ISO-10303-21; HEADER; /* Generated by software containing ST-Developer * from STEP Tools, Inc. (www.steptools.com) */ FILE_DESCRIPTION( /* description */ (''), /* implementation_level */ '2;1'); FILE_NAME( /* name */ 'CylinderFan.step', /* time_stamp */ '2024-04-07T17:40:07+08:00', /* author */ (''), /* organization */ (''), /* preprocessor_version */ 'ST-DEVELOPER v20', /* originating_system */ 'Autodesk Translation Framework v12.20.1.177', /* authorisation */ ''); FILE_SCHEMA (('AUTOMOTIVE_DESIGN { 1 0 10303 214 3 1 1 }')); ENDSEC; DATA; #10=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#841,#842, #843,#844,#845,#846,#847,#848,#849,#850,#851,#852,#853,#854,#855,#856,#857, #858,#859,#860,#861,#862,#863,#864,#865,#866,#867,#868,#869,#870,#871,#872, #873,#874,#875,#876,#877,#878,#879,#880,#881,#882,#883,#884,#885,#886,#887, #888,#889,#890,#891,#892,#893,#894,#895,#896,#897,#898,#899,#900,#901,#902, #903,#904,#905,#906,#907,#908,#909,#910,#911,#912,#913,#914,#915,#916,#917, #918,#919,#920,#921,#922,#923,#924,#925,#926,#927,#928,#929,#930,#931,#932, #933,#934,#935,#936,#937,#938,#939,#940,#941,#942,#943,#944,#945,#946,#947, #948,#949,#950,#951,#952,#953,#954,#955,#956,#957,#958,#959,#960,#961,#962, #963,#964,#965,#966,#967,#968,#969,#970,#971,#972,#973,#974,#975,#976,#977, #978,#979,#980,#981,#982,#983,#984,#985,#986,#987,#988,#989,#990,#991,#992, #993,#994,#995,#996,#997,#998,#999,#1000,#1001,#1002,#1003,#1004,#1005, #1006,#1007,#1008,#1009,#1010,#1011,#1012,#1013,#1014,#1015,#1016,#1017, #1018,#1019,#1020,#1021,#1022,#1023,#1024,#1025,#1026,#1027,#1028,#1029, #1030,#1031,#1032,#1033,#1034,#1035,#1036,#1037,#1038,#1039,#1040,#1041, #1042,#1043,#1044,#1045,#1046,#1047,#1048,#1049,#1050,#1051,#1052,#1053, #1054,#1055,#1056,#1057,#1058,#1059,#1060,#1061,#1062,#1063,#1064,#1065, #1066,#1067,#1068,#1069,#1070,#1071,#1072,#1073,#1074,#1075,#1076,#1077, #1078,#1079,#1080,#1081,#1082,#1083,#1084,#1085,#1086,#1087,#1088,#1089, #1090,#1091,#1092,#1093,#1094,#1095,#1096,#1097,#1098,#1099,#1100,#1101, #1102,#1103,#1104,#1105,#1106,#1107,#1108,#1109,#1110,#1111,#1112,#1113, #1114,#1115,#1116,#1117,#1118,#1119,#1120,#1121,#1122,#1123,#1124,#1125, #1126,#1127,#1128,#1129,#1130,#1131,#1132,#1133,#1134,#1135,#1136,#1137, #1138,#1139,#1140,#1141,#1142,#1143,#1144,#1145,#1146,#1147,#1148,#1149, #1150,#1151,#1152,#1153,#1154,#1155,#1156,#1157,#1158,#1159,#1160,#1161, #1162,#1163,#1164,#1165,#1166,#1167,#1168,#1169,#1170,#1171,#1172,#1173, #1174,#1175,#1176,#1177,#1178,#1179,#1180,#1181,#1182,#1183,#1184,#1185, #1186,#1187,#1188,#1189,#1190,#1191,#1192,#1193,#1194,#1195,#1196,#1197, #1198,#1199,#1200,#1201,#1202,#1203,#1204,#1205,#1206,#1207,#1208,#1209, #1210,#1211,#1212,#1213,#1214,#1215,#1216,#1217,#1218,#1219,#1220,#1221, #1222,#1223,#1224,#1225,#1226,#1227,#1228,#1229,#1230,#1231,#1232,#1233, #1234,#1235,#1236,#1237,#1238,#1239,#1240,#1241,#1242,#1243,#1244,#1245, #1246,#1247,#1248,#1249,#1250,#1251,#1252,#1253,#1254,#1255,#1256,#1257, #1258,#1259,#1260,#1261,#1262,#1263,#1264,#1265,#1266,#1267,#1268,#1269, #1270,#1271,#1272,#1273,#1274,#1275,#1276,#1277,#1278,#1279,#1280,#1281, #1282,#1283,#1284,#1285,#1286,#1287,#1288,#1289,#1290,#1291,#1292,#1293, #1294,#1295,#1296,#1297,#1298,#1299,#1300,#1301,#1302,#1303,#1304,#1305, #1306,#1307,#1308,#1309,#1310,#1311,#1312,#1313,#1314,#1315,#1316,#1317, #1318,#1319,#1320,#1321,#1322,#1323,#1324,#1325,#1326,#1327,#1328,#1329, #1330,#1331,#1332,#1333,#1334,#1335,#1336,#1337,#1338,#1339,#1340,#1341, #1342,#1343,#1344,#1345,#1346,#1347,#1348,#1349,#1350,#1351,#1352,#1353, #1354,#1355,#1356,#1357,#1358,#1359,#1360,#1361,#1362,#1363,#1364,#1365, #1366,#1367,#1368,#1369,#1370,#1371,#1372,#1373,#1374,#1375,#1376,#1377, #1378,#1379,#1380,#1381,#1382,#1383,#1384,#1385,#1386,#1387,#1388,#1389, #1390,#1391,#1392,#1393,#1394,#1395,#1396,#1397,#1398,#1399,#1400,#1401, #1402,#1403,#1404,#1405,#1406,#1407,#1408,#1409,#1410,#1411,#1412,#1413, #1414,#1415,#1416,#1417,#1418,#1419,#1420,#1421,#1422,#1423,#1424,#1425, #1426,#1427,#1428,#1429,#1430,#1431,#1432,#1433,#1434,#1435,#1436,#1437, #1438,#1439,#1440,#1441,#1442,#1443,#1444,#1445,#1446,#1447,#1448,#1449, #1450,#1451,#1452,#1453,#1454,#1455,#1456,#1457,#1458,#1459,#1460,#1461, #1462,#1463,#1464,#1465,#1466,#1467,#1468,#1469,#1470,#1471,#1472,#1473, #1474,#1475,#1476,#1477,#1478,#1479,#1480,#1481,#1482,#1483,#1484,#1485, #1486,#1487,#1488,#1489,#1490,#1491,#1492,#1493,#1494,#1495,#1496,#1497, #1498,#1499,#1500,#1501,#1502,#1503,#1504,#1505,#1506,#1507,#1508,#1509, #1510,#1511,#1512,#1513,#1514,#1515,#1516,#1517,#1518,#1519,#1520,#1521, #1522,#1523,#1524,#1525,#1526,#1527,#1528,#1529,#1530,#1531,#1532,#1533, #1534,#1535,#1536,#1537,#1538,#1539,#1540,#1541,#1542,#1543,#1544,#1545, #1546,#1547,#1548,#1549,#1550,#1551,#1552,#1553,#1554,#1555,#1556,#1557, #1558,#1559,#1560,#1561,#1562,#1563,#1564,#1565,#1566,#1567,#1568,#1569, #1570,#1571,#1572,#1573,#1574,#1575,#1576,#1577,#1578,#1579,#1580,#1581, #1582,#1583,#1584,#1585,#1586,#1587,#1588,#1589,#1590,#1591,#1592,#1593, #1594,#1595,#1596,#1597,#1598,#1599,#1600,#1601,#1602,#1603,#1604,#1605, #1606,#1607,#1608,#1609,#1610,#1611,#1612,#1613,#1614,#1615,#1616,#1617, #1618,#1619,#1620,#1621,#1622,#1623,#1624,#1625,#1626,#1627,#1628,#1629, #1630,#1631,#1632,#1633,#1634,#1635,#1636,#1637,#1638,#1639,#1640,#1641, #1642,#1643,#1644,#1645,#1646,#1647,#1648,#1649,#1650,#1651,#1652,#1653, #1654,#1655,#1656,#1657,#1658,#1659,#1660,#1661,#1662,#1663,#1664,#1665, #1666,#1667,#1668,#1669,#1670,#1671,#1672,#1673,#1674,#1675,#1676,#1677, #1678,#1679,#1680,#1681,#1682,#1683,#1684,#1685,#1686,#1687,#1688,#1689, #1690,#1691,#1692,#1693,#1694,#1695,#1696,#1697,#1698,#1699,#1700,#1701, #1702,#1703,#1704,#1705,#1706,#1707,#1708,#1709,#1710,#1711,#1712,#1713, #1714,#1715,#1716,#1717,#1718,#1719,#1720,#1721,#1722,#1723,#1724,#1725, #1726,#1727,#1728,#1729,#1730,#1731,#1732,#1733,#1734,#1735,#1736,#1737, #1738,#1739,#1740,#1741,#1742,#1743,#1744,#1745,#1746,#1747,#1748,#1749, #1750,#1751,#1752,#1753,#1754,#1755,#1756,#1757,#1758,#1759,#1760,#1761, #1762,#1763,#1764,#1765,#1766,#1767,#1768,#1769,#1770,#1771,#1772,#1773, #1774,#1775,#1776,#1777,#1778,#1779,#1780,#1781,#1782,#1783,#1784,#1785, #1786,#1787,#1788,#1789,#1790,#1791,#1792,#1793,#1794,#1795,#1796,#1797, #1798,#1799,#1800,#1801,#1802,#1803,#1804,#1805,#1806,#1807,#1808,#1809, #1810,#1811,#1812,#1813,#1814,#1815,#1816,#1817,#1818,#1819,#1820,#1821, #1822,#1823,#1824,#1825,#1826,#1827,#1828,#1829,#1830,#1831,#1832,#1833, #1834,#1835,#1836,#1837,#1838,#1839,#1840,#1841,#1842,#1843,#1844,#1845, #1846,#1847,#1848,#1849,#1850,#1851,#1852,#1853,#1854,#1855,#1856,#1857, #1858,#1859,#1860,#1861,#1862,#1863,#1864,#1865,#1866,#1867,#1868,#1869, #1870,#1871,#1872,#1873,#1874,#1875,#1876,#1877,#1878,#1879,#1880,#1881, #1882,#1883,#1884,#1885,#1886,#1887,#1888,#1889,#1890,#1891,#1892,#1893, #1894,#1895,#1896,#1897,#1898,#1899,#1900,#1901,#1902,#1903,#1904,#1905, #1906,#1907,#1908,#1909,#1910,#1911,#1912,#1913,#1914,#1915,#1916,#1917, #1918,#1919,#1920,#1921,#1922,#1923,#1924,#1925,#1926,#1927,#1928,#1929, #1930,#1931,#1932,#1933,#1934,#1935,#1936,#1937,#1938,#1939,#1940,#1941, #1942,#1943,#1944,#1945,#1946,#1947,#1948,#1949,#1950,#1951,#1952,#1953, #1954,#1955,#1956,#1957,#1958,#1959,#1960,#1961,#1962,#1963,#1964,#1965, #1966,#1967,#1968,#1969,#1970,#1971,#1972,#1973,#1974,#1975,#1976,#1977, #1978,#1979,#1980,#1981,#1982,#1983,#1984,#1985,#1986,#1987,#1988,#1989, #1990,#1991,#1992,#1993,#1994,#1995,#1996,#1997,#1998,#1999,#2000,#2001, #2002,#2003,#2004,#2005,#2006,#2007,#2008,#2009,#2010,#2011,#2012,#2013, #2014,#2015,#2016,#2017,#2018,#2019,#2020,#2021,#2022,#2023,#2024,#2025, #2026,#2027,#2028,#2029,#2030,#2031,#2032,#2033,#2034,#2035,#2036,#2037, #2038,#2039,#2040,#2041,#2042,#2043,#2044,#2045,#2046,#2047,#2048,#2049, #2050,#2051,#2052,#2053,#2054,#2055,#2056,#2057,#2058,#2059,#2060,#2061, #2062,#2063,#2064,#2065,#2066,#2067,#2068,#2069,#2070,#2071,#2072,#2073, #2074,#2075,#2076,#2077,#2078,#2079,#2080,#2081,#2082,#2083,#2084,#2085, #2086,#2087,#2088,#2089,#2090,#2091,#2092,#2093,#2094,#2095,#2096,#2097, #2098,#2099,#2100,#2101,#2102,#2103,#2104,#2105,#2106,#2107,#2108,#2109, #2110,#2111,#2112,#2113,#2114,#2115,#2116,#2117,#2118,#2119,#2120,#2121, #2122,#2123,#2124,#2125,#2126,#2127,#2128,#2129,#2130,#2131,#2132,#2133, #2134,#2135,#2136,#2137,#2138,#2139,#2140,#2141,#2142,#2143,#2144,#2145, #2146,#2147,#2148,#2149,#2150,#2151,#2152,#2153,#2154,#2155,#2156,#2157, #2158,#2159,#2160,#2161,#2162,#2163,#2164,#2165,#2166,#2167,#2168,#2169, #2170,#2171,#2172,#2173,#2174,#2175,#2176,#2177,#2178,#2179,#2180),#595611); #11=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#596454),#595967,#2180, (#417,#413,#405)); #12=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#596457),#595969,#2180, (#417,#413,#407)); #13=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#596454),#595968,#2180, (#417,#413,#406)); #14=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#596457),#595970,#2180, (#417,#413,#408)); #15=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#596456),#595966,#2180, (#417,#413,#404)); #16=MAPPED_ITEM('',#17,#377019); #17=REPRESENTATION_MAP(#377019,#595960); #18=ORIENTED_CLOSED_SHELL('',*,#349668,.F.); #19=ORIENTED_CLOSED_SHELL('',*,#349669,.F.); #20=ORIENTED_CLOSED_SHELL('',*,#349670,.F.); #21=ORIENTED_CLOSED_SHELL('',*,#349671,.F.); #22=BREP_WITH_VOIDS('Body1',#349667,(#18,#19,#20,#21)); #23=SPHERICAL_SURFACE('',#371963,0.016); #24=SPHERICAL_SURFACE('',#371967,0.016); #25=SPHERICAL_SURFACE('',#371971,0.016); #26=SPHERICAL_SURFACE('',#371975,0.016); #27=SPHERICAL_SURFACE('',#371979,0.016); #28=SPHERICAL_SURFACE('',#371984,0.016); #29=SPHERICAL_SURFACE('',#371988,0.016); #30=SPHERICAL_SURFACE('',#371994,0.016); #31=SPHERICAL_SURFACE('',#372013,0.016); #32=SPHERICAL_SURFACE('',#372017,0.016); #33=SPHERICAL_SURFACE('',#372021,0.016); #34=SPHERICAL_SURFACE('',#372025,0.016); #35=SPHERICAL_SURFACE('',#372029,0.016); #36=SPHERICAL_SURFACE('',#372034,0.016); #37=SPHERICAL_SURFACE('',#372038,0.0159999999999999); #38=SPHERICAL_SURFACE('',#372044,0.016); #39=SPHERICAL_SURFACE('',#373302,0.15); #40=SPHERICAL_SURFACE('',#373306,0.15); #41=SPHERICAL_SURFACE('',#373442,0.15); #42=SPHERICAL_SURFACE('',#373446,0.15); #43=SPHERICAL_SURFACE('',#373822,0.04); #44=SPHERICAL_SURFACE('',#373826,0.04); #45=SPHERICAL_SURFACE('',#373830,0.04); #46=SPHERICAL_SURFACE('',#373834,0.04); #47=SPHERICAL_SURFACE('',#373838,0.04); #48=SPHERICAL_SURFACE('',#373843,0.04); #49=SPHERICAL_SURFACE('',#373847,0.04); #50=SPHERICAL_SURFACE('',#373853,0.04); #51=SPHERICAL_SURFACE('',#373872,0.04); #52=SPHERICAL_SURFACE('',#373876,0.04); #53=SPHERICAL_SURFACE('',#373880,0.04); #54=SPHERICAL_SURFACE('',#373884,0.04); #55=SPHERICAL_SURFACE('',#373888,0.04); #56=SPHERICAL_SURFACE('',#373893,0.04); #57=SPHERICAL_SURFACE('',#373897,0.04); #58=SPHERICAL_SURFACE('',#373903,0.04); #59=SPHERICAL_SURFACE('',#373953,0.032); #60=SPHERICAL_SURFACE('',#373957,0.032); #61=SPHERICAL_SURFACE('',#373961,0.032); #62=SPHERICAL_SURFACE('',#373965,0.0319999999999999); #63=SPHERICAL_SURFACE('',#373969,0.032); #64=SPHERICAL_SURFACE('',#373974,0.032); #65=SPHERICAL_SURFACE('',#373978,0.0319999999999999); #66=SPHERICAL_SURFACE('',#373984,0.032); #67=SPHERICAL_SURFACE('',#374003,0.032); #68=SPHERICAL_SURFACE('',#374007,0.0319999999999999); #69=SPHERICAL_SURFACE('',#374011,0.032); #70=SPHERICAL_SURFACE('',#374015,0.032); #71=SPHERICAL_SURFACE('',#374019,0.032); #72=SPHERICAL_SURFACE('',#374024,0.032); #73=SPHERICAL_SURFACE('',#374028,0.0319999999999999); #74=SPHERICAL_SURFACE('',#374034,0.032); #75=SPHERICAL_SURFACE('',#374062,0.04); #76=SPHERICAL_SURFACE('',#374066,0.04); #77=SPHERICAL_SURFACE('',#374070,0.04); #78=SPHERICAL_SURFACE('',#374074,0.04); #79=SPHERICAL_SURFACE('',#374078,0.04); #80=SPHERICAL_SURFACE('',#374083,0.04); #81=SPHERICAL_SURFACE('',#374087,0.0399999999999998); #82=SPHERICAL_SURFACE('',#374093,0.04); #83=SPHERICAL_SURFACE('',#374112,0.04); #84=SPHERICAL_SURFACE('',#374116,0.04); #85=SPHERICAL_SURFACE('',#374120,0.04); #86=SPHERICAL_SURFACE('',#374124,0.04); #87=SPHERICAL_SURFACE('',#374128,0.04); #88=SPHERICAL_SURFACE('',#374133,0.04); #89=SPHERICAL_SURFACE('',#374137,0.0400000000000002); #90=SPHERICAL_SURFACE('',#374143,0.04); #91=SPHERICAL_SURFACE('',#375865,0.04); #92=SPHERICAL_SURFACE('',#375869,0.04); #93=SPHERICAL_SURFACE('',#375873,0.04); #94=SPHERICAL_SURFACE('',#375877,0.04); #95=SPHERICAL_SURFACE('',#375881,0.04); #96=SPHERICAL_SURFACE('',#375886,0.04); #97=SPHERICAL_SURFACE('',#375890,0.04); #98=SPHERICAL_SURFACE('',#375896,0.04); #99=SPHERICAL_SURFACE('',#375915,0.04); #100=SPHERICAL_SURFACE('',#375919,0.04); #101=SPHERICAL_SURFACE('',#375923,0.04); #102=SPHERICAL_SURFACE('',#375927,0.04); #103=SPHERICAL_SURFACE('',#375931,0.04); #104=SPHERICAL_SURFACE('',#375936,0.04); #105=SPHERICAL_SURFACE('',#375940,0.04); #106=SPHERICAL_SURFACE('',#375946,0.04); #107=SPHERICAL_SURFACE('',#376345,0.04); #108=SPHERICAL_SURFACE('',#376349,0.04); #109=SPHERICAL_SURFACE('',#376353,0.04); #110=SPHERICAL_SURFACE('',#376357,0.04); #111=SPHERICAL_SURFACE('',#376361,0.04); #112=SPHERICAL_SURFACE('',#376366,0.04); #113=SPHERICAL_SURFACE('',#376370,0.0399999999999998); #114=SPHERICAL_SURFACE('',#376376,0.04); #115=SPHERICAL_SURFACE('',#376395,0.04); #116=SPHERICAL_SURFACE('',#376399,0.04); #117=SPHERICAL_SURFACE('',#376403,0.04); #118=SPHERICAL_SURFACE('',#376407,0.04); #119=SPHERICAL_SURFACE('',#376411,0.04); #120=SPHERICAL_SURFACE('',#376416,0.04); #121=SPHERICAL_SURFACE('',#376420,0.0400000000000002); #122=SPHERICAL_SURFACE('',#376426,0.04); #123=ITEM_DEFINED_TRANSFORMATION($,$,#350181,#351678); #124=ITEM_DEFINED_TRANSFORMATION($,$,#350835,#351679); #125=ITEM_DEFINED_TRANSFORMATION($,$,#371853,#371958); #126=ITEM_DEFINED_TRANSFORMATION($,$,#371962,#372081); #127=ITEM_DEFINED_TRANSFORMATION($,$,#371962,#372082); #128=ITEM_DEFINED_TRANSFORMATION($,$,#371962,#372083); #129=ITEM_DEFINED_TRANSFORMATION($,$,#372109,#373705); #130=ITEM_DEFINED_TRANSFORMATION($,$,#372415,#373706); #131=ITEM_DEFINED_TRANSFORMATION($,$,#372444,#373707); #132=ITEM_DEFINED_TRANSFORMATION($,$,#373676,#373708); #133=ITEM_DEFINED_TRANSFORMATION($,$,#372473,#373709); #134=ITEM_DEFINED_TRANSFORMATION($,$,#372502,#373710); #135=ITEM_DEFINED_TRANSFORMATION($,$,#372531,#373711); #136=ITEM_DEFINED_TRANSFORMATION($,$,#372560,#373712); #137=ITEM_DEFINED_TRANSFORMATION($,$,#372589,#373713); #138=ITEM_DEFINED_TRANSFORMATION($,$,#372618,#373714); #139=ITEM_DEFINED_TRANSFORMATION($,$,#372647,#373715); #140=ITEM_DEFINED_TRANSFORMATION($,$,#372676,#373716); #141=ITEM_DEFINED_TRANSFORMATION($,$,#372705,#373717); #142=ITEM_DEFINED_TRANSFORMATION($,$,#372734,#373718); #143=ITEM_DEFINED_TRANSFORMATION($,$,#372763,#373719); #144=ITEM_DEFINED_TRANSFORMATION($,$,#372792,#373720); #145=ITEM_DEFINED_TRANSFORMATION($,$,#372821,#373721); #146=ITEM_DEFINED_TRANSFORMATION($,$,#372884,#373722); #147=ITEM_DEFINED_TRANSFORMATION($,$,#373676,#373723); #148=ITEM_DEFINED_TRANSFORMATION($,$,#372108,#373724); #149=ITEM_DEFINED_TRANSFORMATION($,$,#372107,#373725); #150=ITEM_DEFINED_TRANSFORMATION($,$,#373728,#373818); #151=ITEM_DEFINED_TRANSFORMATION($,$,#373728,#373819); #152=ITEM_DEFINED_TRANSFORMATION($,$,#373821,#373928); #153=ITEM_DEFINED_TRANSFORMATION($,$,#373821,#373929); #154=ITEM_DEFINED_TRANSFORMATION($,$,#373821,#373930); #155=ITEM_DEFINED_TRANSFORMATION($,$,#373821,#373931); #156=ITEM_DEFINED_TRANSFORMATION($,$,#373821,#373932); #157=ITEM_DEFINED_TRANSFORMATION($,$,#373821,#373933); #158=ITEM_DEFINED_TRANSFORMATION($,$,#373821,#373934); #159=ITEM_DEFINED_TRANSFORMATION($,$,#373821,#373935); #160=ITEM_DEFINED_TRANSFORMATION($,$,#373821,#373936); #161=ITEM_DEFINED_TRANSFORMATION($,$,#373821,#373937); #162=ITEM_DEFINED_TRANSFORMATION($,$,#373821,#373938); #163=ITEM_DEFINED_TRANSFORMATION($,$,#373821,#373939); #164=ITEM_DEFINED_TRANSFORMATION($,$,#373821,#373940); #165=ITEM_DEFINED_TRANSFORMATION($,$,#373821,#373941); #166=ITEM_DEFINED_TRANSFORMATION($,$,#373821,#373942); #167=ITEM_DEFINED_TRANSFORMATION($,$,#373821,#373943); #168=ITEM_DEFINED_TRANSFORMATION($,$,#373821,#373944); #169=ITEM_DEFINED_TRANSFORMATION($,$,#373821,#373945); #170=ITEM_DEFINED_TRANSFORMATION($,$,#373821,#373946); #171=ITEM_DEFINED_TRANSFORMATION($,$,#373821,#373947); #172=ITEM_DEFINED_TRANSFORMATION($,$,#373821,#373948); #173=ITEM_DEFINED_TRANSFORMATION($,$,#373821,#373949); #174=ITEM_DEFINED_TRANSFORMATION($,$,#373821,#373950); #175=ITEM_DEFINED_TRANSFORMATION($,$,#373952,#374059); #176=ITEM_DEFINED_TRANSFORMATION($,$,#374061,#374168); #177=ITEM_DEFINED_TRANSFORMATION($,$,#374170,#374351); #178=ITEM_DEFINED_TRANSFORMATION($,$,#374353,#374518); #179=ITEM_DEFINED_TRANSFORMATION($,$,#374520,#374685); #180=ITEM_DEFINED_TRANSFORMATION($,$,#374687,#374722); #181=ITEM_DEFINED_TRANSFORMATION($,$,#374724,#375359); #182=ITEM_DEFINED_TRANSFORMATION($,$,#375361,#375862); #183=ITEM_DEFINED_TRANSFORMATION($,$,#375864,#375971); #184=ITEM_DEFINED_TRANSFORMATION($,$,#375973,#376014); #185=ITEM_DEFINED_TRANSFORMATION($,$,#376016,#376211); #186=ITEM_DEFINED_TRANSFORMATION($,$,#376213,#376342); #187=ITEM_DEFINED_TRANSFORMATION($,$,#376344,#376451); #188=ITEM_DEFINED_TRANSFORMATION($,$,#371852,#376452); #189=ITEM_DEFINED_TRANSFORMATION($,$,#371959,#376453); #190=ITEM_DEFINED_TRANSFORMATION($,$,#371960,#376454); #191=ITEM_DEFINED_TRANSFORMATION($,$,#371961,#376455); #192=ITEM_DEFINED_TRANSFORMATION($,$,#372084,#376456); #193=ITEM_DEFINED_TRANSFORMATION($,$,#372085,#376457); #194=ITEM_DEFINED_TRANSFORMATION($,$,#372086,#376458); #195=ITEM_DEFINED_TRANSFORMATION($,$,#372087,#376459); #196=ITEM_DEFINED_TRANSFORMATION($,$,#372088,#376460); #197=ITEM_DEFINED_TRANSFORMATION($,$,#372089,#376461); #198=ITEM_DEFINED_TRANSFORMATION($,$,#372090,#376462); #199=ITEM_DEFINED_TRANSFORMATION($,$,#372091,#376463); #200=ITEM_DEFINED_TRANSFORMATION($,$,#372092,#376464); #201=ITEM_DEFINED_TRANSFORMATION($,$,#372093,#376465); #202=ITEM_DEFINED_TRANSFORMATION($,$,#372094,#376466); #203=ITEM_DEFINED_TRANSFORMATION($,$,#372095,#376467); #204=ITEM_DEFINED_TRANSFORMATION($,$,#372096,#376468); #205=ITEM_DEFINED_TRANSFORMATION($,$,#372097,#376469); #206=ITEM_DEFINED_TRANSFORMATION($,$,#372098,#376470); #207=ITEM_DEFINED_TRANSFORMATION($,$,#372099,#376471); #208=ITEM_DEFINED_TRANSFORMATION($,$,#372100,#376472); #209=ITEM_DEFINED_TRANSFORMATION($,$,#372101,#376473); #210=ITEM_DEFINED_TRANSFORMATION($,$,#372102,#376474); #211=ITEM_DEFINED_TRANSFORMATION($,$,#372103,#376475); #212=ITEM_DEFINED_TRANSFORMATION($,$,#372104,#376476); #213=ITEM_DEFINED_TRANSFORMATION($,$,#372105,#376477); #214=ITEM_DEFINED_TRANSFORMATION($,$,#372106,#376478); #215=ITEM_DEFINED_TRANSFORMATION($,$,#373726,#376479); #216=ITEM_DEFINED_TRANSFORMATION($,$,#373727,#376480); #217=ITEM_DEFINED_TRANSFORMATION($,$,#373820,#376481); #218=ITEM_DEFINED_TRANSFORMATION($,$,#373820,#376482); #219=ITEM_DEFINED_TRANSFORMATION($,$,#373951,#376483); #220=ITEM_DEFINED_TRANSFORMATION($,$,#373951,#376484); #221=ITEM_DEFINED_TRANSFORMATION($,$,#373951,#376485); #222=ITEM_DEFINED_TRANSFORMATION($,$,#373951,#376486); #223=ITEM_DEFINED_TRANSFORMATION($,$,#373951,#376487); #224=ITEM_DEFINED_TRANSFORMATION($,$,#373951,#376488); #225=ITEM_DEFINED_TRANSFORMATION($,$,#373951,#376489); #226=ITEM_DEFINED_TRANSFORMATION($,$,#373951,#376490); #227=ITEM_DEFINED_TRANSFORMATION($,$,#373951,#376491); #228=ITEM_DEFINED_TRANSFORMATION($,$,#373951,#376492); #229=ITEM_DEFINED_TRANSFORMATION($,$,#373951,#376493); #230=ITEM_DEFINED_TRANSFORMATION($,$,#373951,#376494); #231=ITEM_DEFINED_TRANSFORMATION($,$,#373951,#376495); #232=ITEM_DEFINED_TRANSFORMATION($,$,#373951,#376496); #233=ITEM_DEFINED_TRANSFORMATION($,$,#374060,#376497); #234=ITEM_DEFINED_TRANSFORMATION($,$,#374060,#376498); #235=ITEM_DEFINED_TRANSFORMATION($,$,#374060,#376499); #236=ITEM_DEFINED_TRANSFORMATION($,$,#374060,#376500); #237=ITEM_DEFINED_TRANSFORMATION($,$,#374060,#376501); #238=ITEM_DEFINED_TRANSFORMATION($,$,#374060,#376502); #239=ITEM_DEFINED_TRANSFORMATION($,$,#374169,#376503); #240=ITEM_DEFINED_TRANSFORMATION($,$,#374169,#376504); #241=ITEM_DEFINED_TRANSFORMATION($,$,#374352,#376505); #242=ITEM_DEFINED_TRANSFORMATION($,$,#374519,#376506); #243=ITEM_DEFINED_TRANSFORMATION($,$,#374519,#376507); #244=ITEM_DEFINED_TRANSFORMATION($,$,#374519,#376508); #245=ITEM_DEFINED_TRANSFORMATION($,$,#374519,#376509); #246=ITEM_DEFINED_TRANSFORMATION($,$,#374686,#376510); #247=ITEM_DEFINED_TRANSFORMATION($,$,#374723,#376511); #248=ITEM_DEFINED_TRANSFORMATION($,$,#375360,#376512); #249=ITEM_DEFINED_TRANSFORMATION($,$,#375863,#376513); #250=ITEM_DEFINED_TRANSFORMATION($,$,#375863,#376514); #251=ITEM_DEFINED_TRANSFORMATION($,$,#375972,#376515); #252=ITEM_DEFINED_TRANSFORMATION($,$,#375972,#376516); #253=ITEM_DEFINED_TRANSFORMATION($,$,#376015,#376517); #254=ITEM_DEFINED_TRANSFORMATION($,$,#376212,#376518); #255=ITEM_DEFINED_TRANSFORMATION($,$,#376343,#376519); #256=ITEM_DEFINED_TRANSFORMATION($,$,#351682,#376520); #257=ITEM_DEFINED_TRANSFORMATION($,$,#355249,#376521); #258=ITEM_DEFINED_TRANSFORMATION($,$,#363537,#376522); #259=ITEM_DEFINED_TRANSFORMATION($,$,#369877,#376523); #260=ITEM_DEFINED_TRANSFORMATION($,$,#370864,#376524); #261=ITEM_DEFINED_TRANSFORMATION($,$,#371851,#376525); #262=ITEM_DEFINED_TRANSFORMATION($,$,#376527,#376596); #263=ITEM_DEFINED_TRANSFORMATION($,$,#376548,#376597); #264=ITEM_DEFINED_TRANSFORMATION($,$,#376569,#376598); #265=ITEM_DEFINED_TRANSFORMATION($,$,#351681,#376957); #266=ITEM_DEFINED_TRANSFORMATION($,$,#376526,#376958); #267=ITEM_DEFINED_TRANSFORMATION($,$,#376960,#377015); #268=ITEM_DEFINED_TRANSFORMATION($,$,#350180,#377016); #269=ITEM_DEFINED_TRANSFORMATION($,$,#351680,#377017); #270=ITEM_DEFINED_TRANSFORMATION($,$,#376959,#377018); #271=( REPRESENTATION_RELATIONSHIP($,$,#595962,#595961) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#123) SHAPE_REPRESENTATION_RELATIONSHIP() ); #272=( REPRESENTATION_RELATIONSHIP($,$,#595963,#595961) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#124) SHAPE_REPRESENTATION_RELATIONSHIP() ); #273=( REPRESENTATION_RELATIONSHIP($,$,#595973,#595972) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#125) SHAPE_REPRESENTATION_RELATIONSHIP() ); #274=( REPRESENTATION_RELATIONSHIP($,$,#595977,#595974) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#126) SHAPE_REPRESENTATION_RELATIONSHIP() ); #275=( REPRESENTATION_RELATIONSHIP($,$,#595977,#595975) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#127) SHAPE_REPRESENTATION_RELATIONSHIP() ); #276=( REPRESENTATION_RELATIONSHIP($,$,#595977,#595976) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#128) SHAPE_REPRESENTATION_RELATIONSHIP() ); #277=( REPRESENTATION_RELATIONSHIP($,$,#596003,#596002) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#129) SHAPE_REPRESENTATION_RELATIONSHIP() ); #278=( REPRESENTATION_RELATIONSHIP($,$,#596004,#596002) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#130) SHAPE_REPRESENTATION_RELATIONSHIP() ); #279=( REPRESENTATION_RELATIONSHIP($,$,#596005,#596002) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#131) SHAPE_REPRESENTATION_RELATIONSHIP() ); #280=( REPRESENTATION_RELATIONSHIP($,$,#596020,#596002) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#132) SHAPE_REPRESENTATION_RELATIONSHIP() ); #281=( REPRESENTATION_RELATIONSHIP($,$,#596006,#596002) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#133) SHAPE_REPRESENTATION_RELATIONSHIP() ); #282=( REPRESENTATION_RELATIONSHIP($,$,#596007,#596002) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#134) SHAPE_REPRESENTATION_RELATIONSHIP() ); #283=( REPRESENTATION_RELATIONSHIP($,$,#596008,#596002) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#135) SHAPE_REPRESENTATION_RELATIONSHIP() ); #284=( REPRESENTATION_RELATIONSHIP($,$,#596009,#596002) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#136) SHAPE_REPRESENTATION_RELATIONSHIP() ); #285=( REPRESENTATION_RELATIONSHIP($,$,#596010,#596002) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#137) SHAPE_REPRESENTATION_RELATIONSHIP() ); #286=( REPRESENTATION_RELATIONSHIP($,$,#596011,#596002) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#138) SHAPE_REPRESENTATION_RELATIONSHIP() ); #287=( REPRESENTATION_RELATIONSHIP($,$,#596012,#596002) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#139) SHAPE_REPRESENTATION_RELATIONSHIP() ); #288=( REPRESENTATION_RELATIONSHIP($,$,#596013,#596002) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#140) SHAPE_REPRESENTATION_RELATIONSHIP() ); #289=( REPRESENTATION_RELATIONSHIP($,$,#596014,#596002) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#141) SHAPE_REPRESENTATION_RELATIONSHIP() ); #290=( REPRESENTATION_RELATIONSHIP($,$,#596015,#596002) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#142) SHAPE_REPRESENTATION_RELATIONSHIP() ); #291=( REPRESENTATION_RELATIONSHIP($,$,#596016,#596002) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#143) SHAPE_REPRESENTATION_RELATIONSHIP() ); #292=( REPRESENTATION_RELATIONSHIP($,$,#596017,#596002) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#144) SHAPE_REPRESENTATION_RELATIONSHIP() ); #293=( REPRESENTATION_RELATIONSHIP($,$,#596018,#596002) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#145) SHAPE_REPRESENTATION_RELATIONSHIP() ); #294=( REPRESENTATION_RELATIONSHIP($,$,#596019,#596002) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#146) SHAPE_REPRESENTATION_RELATIONSHIP() ); #295=( REPRESENTATION_RELATIONSHIP($,$,#596020,#596002) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#147) SHAPE_REPRESENTATION_RELATIONSHIP() ); #296=( REPRESENTATION_RELATIONSHIP($,$,#596002,#596001) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#148) SHAPE_REPRESENTATION_RELATIONSHIP() ); #297=( REPRESENTATION_RELATIONSHIP($,$,#596001,#596000) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#149) SHAPE_REPRESENTATION_RELATIONSHIP() ); #298=( REPRESENTATION_RELATIONSHIP($,$,#596023,#596021) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#150) SHAPE_REPRESENTATION_RELATIONSHIP() ); #299=( REPRESENTATION_RELATIONSHIP($,$,#596023,#596022) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#151) SHAPE_REPRESENTATION_RELATIONSHIP() ); #300=( REPRESENTATION_RELATIONSHIP($,$,#596025,#595978) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#152) SHAPE_REPRESENTATION_RELATIONSHIP() ); #301=( REPRESENTATION_RELATIONSHIP($,$,#596025,#595979) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#153) SHAPE_REPRESENTATION_RELATIONSHIP() ); #302=( REPRESENTATION_RELATIONSHIP($,$,#596025,#595980) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#154) SHAPE_REPRESENTATION_RELATIONSHIP() ); #303=( REPRESENTATION_RELATIONSHIP($,$,#596025,#595981) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#155) SHAPE_REPRESENTATION_RELATIONSHIP() ); #304=( REPRESENTATION_RELATIONSHIP($,$,#596025,#595982) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#156) SHAPE_REPRESENTATION_RELATIONSHIP() ); #305=( REPRESENTATION_RELATIONSHIP($,$,#596025,#595983) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#157) SHAPE_REPRESENTATION_RELATIONSHIP() ); #306=( REPRESENTATION_RELATIONSHIP($,$,#596025,#595984) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#158) SHAPE_REPRESENTATION_RELATIONSHIP() ); #307=( REPRESENTATION_RELATIONSHIP($,$,#596025,#595985) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#159) SHAPE_REPRESENTATION_RELATIONSHIP() ); #308=( REPRESENTATION_RELATIONSHIP($,$,#596025,#595986) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#160) SHAPE_REPRESENTATION_RELATIONSHIP() ); #309=( REPRESENTATION_RELATIONSHIP($,$,#596025,#595987) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#161) SHAPE_REPRESENTATION_RELATIONSHIP() ); #310=( REPRESENTATION_RELATIONSHIP($,$,#596025,#595988) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#162) SHAPE_REPRESENTATION_RELATIONSHIP() ); #311=( REPRESENTATION_RELATIONSHIP($,$,#596025,#595989) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#163) SHAPE_REPRESENTATION_RELATIONSHIP() ); #312=( REPRESENTATION_RELATIONSHIP($,$,#596025,#595990) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#164) SHAPE_REPRESENTATION_RELATIONSHIP() ); #313=( REPRESENTATION_RELATIONSHIP($,$,#596025,#595991) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#165) SHAPE_REPRESENTATION_RELATIONSHIP() ); #314=( REPRESENTATION_RELATIONSHIP($,$,#596025,#595992) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#166) SHAPE_REPRESENTATION_RELATIONSHIP() ); #315=( REPRESENTATION_RELATIONSHIP($,$,#596025,#595993) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#167) SHAPE_REPRESENTATION_RELATIONSHIP() ); #316=( REPRESENTATION_RELATIONSHIP($,$,#596025,#595994) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#168) SHAPE_REPRESENTATION_RELATIONSHIP() ); #317=( REPRESENTATION_RELATIONSHIP($,$,#596025,#595995) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#169) SHAPE_REPRESENTATION_RELATIONSHIP() ); #318=( REPRESENTATION_RELATIONSHIP($,$,#596025,#595996) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#170) SHAPE_REPRESENTATION_RELATIONSHIP() ); #319=( REPRESENTATION_RELATIONSHIP($,$,#596025,#595997) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#171) SHAPE_REPRESENTATION_RELATIONSHIP() ); #320=( REPRESENTATION_RELATIONSHIP($,$,#596025,#595998) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#172) SHAPE_REPRESENTATION_RELATIONSHIP() ); #321=( REPRESENTATION_RELATIONSHIP($,$,#596025,#595999) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#173) SHAPE_REPRESENTATION_RELATIONSHIP() ); #322=( REPRESENTATION_RELATIONSHIP($,$,#596025,#596024) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#174) SHAPE_REPRESENTATION_RELATIONSHIP() ); #323=( REPRESENTATION_RELATIONSHIP($,$,#596027,#596026) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#175) SHAPE_REPRESENTATION_RELATIONSHIP() ); #324=( REPRESENTATION_RELATIONSHIP($,$,#596029,#596028) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#176) SHAPE_REPRESENTATION_RELATIONSHIP() ); #325=( REPRESENTATION_RELATIONSHIP($,$,#596031,#596030) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#177) SHAPE_REPRESENTATION_RELATIONSHIP() ); #326=( REPRESENTATION_RELATIONSHIP($,$,#596033,#596032) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#178) SHAPE_REPRESENTATION_RELATIONSHIP() ); #327=( REPRESENTATION_RELATIONSHIP($,$,#596035,#596034) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#179) SHAPE_REPRESENTATION_RELATIONSHIP() ); #328=( REPRESENTATION_RELATIONSHIP($,$,#596037,#596036) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#180) SHAPE_REPRESENTATION_RELATIONSHIP() ); #329=( REPRESENTATION_RELATIONSHIP($,$,#596039,#596038) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#181) SHAPE_REPRESENTATION_RELATIONSHIP() ); #330=( REPRESENTATION_RELATIONSHIP($,$,#596041,#596040) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#182) SHAPE_REPRESENTATION_RELATIONSHIP() ); #331=( REPRESENTATION_RELATIONSHIP($,$,#596043,#596042) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#183) SHAPE_REPRESENTATION_RELATIONSHIP() ); #332=( REPRESENTATION_RELATIONSHIP($,$,#596045,#596044) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#184) SHAPE_REPRESENTATION_RELATIONSHIP() ); #333=( REPRESENTATION_RELATIONSHIP($,$,#596047,#596046) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#185) SHAPE_REPRESENTATION_RELATIONSHIP() ); #334=( REPRESENTATION_RELATIONSHIP($,$,#596049,#596048) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#186) SHAPE_REPRESENTATION_RELATIONSHIP() ); #335=( REPRESENTATION_RELATIONSHIP($,$,#596051,#596050) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#187) SHAPE_REPRESENTATION_RELATIONSHIP() ); #336=( REPRESENTATION_RELATIONSHIP($,$,#595972,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#188) SHAPE_REPRESENTATION_RELATIONSHIP() ); #337=( REPRESENTATION_RELATIONSHIP($,$,#595974,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#189) SHAPE_REPRESENTATION_RELATIONSHIP() ); #338=( REPRESENTATION_RELATIONSHIP($,$,#595975,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#190) SHAPE_REPRESENTATION_RELATIONSHIP() ); #339=( REPRESENTATION_RELATIONSHIP($,$,#595976,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#191) SHAPE_REPRESENTATION_RELATIONSHIP() ); #340=( REPRESENTATION_RELATIONSHIP($,$,#595978,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#192) SHAPE_REPRESENTATION_RELATIONSHIP() ); #341=( REPRESENTATION_RELATIONSHIP($,$,#595979,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#193) SHAPE_REPRESENTATION_RELATIONSHIP() ); #342=( REPRESENTATION_RELATIONSHIP($,$,#595980,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#194) SHAPE_REPRESENTATION_RELATIONSHIP() ); #343=( REPRESENTATION_RELATIONSHIP($,$,#595981,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#195) SHAPE_REPRESENTATION_RELATIONSHIP() ); #344=( REPRESENTATION_RELATIONSHIP($,$,#595982,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#196) SHAPE_REPRESENTATION_RELATIONSHIP() ); #345=( REPRESENTATION_RELATIONSHIP($,$,#595983,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#197) SHAPE_REPRESENTATION_RELATIONSHIP() ); #346=( REPRESENTATION_RELATIONSHIP($,$,#595984,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#198) SHAPE_REPRESENTATION_RELATIONSHIP() ); #347=( REPRESENTATION_RELATIONSHIP($,$,#595985,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#199) SHAPE_REPRESENTATION_RELATIONSHIP() ); #348=( REPRESENTATION_RELATIONSHIP($,$,#595986,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#200) SHAPE_REPRESENTATION_RELATIONSHIP() ); #349=( REPRESENTATION_RELATIONSHIP($,$,#595987,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#201) SHAPE_REPRESENTATION_RELATIONSHIP() ); #350=( REPRESENTATION_RELATIONSHIP($,$,#595988,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#202) SHAPE_REPRESENTATION_RELATIONSHIP() ); #351=( REPRESENTATION_RELATIONSHIP($,$,#595989,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#203) SHAPE_REPRESENTATION_RELATIONSHIP() ); #352=( REPRESENTATION_RELATIONSHIP($,$,#595990,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#204) SHAPE_REPRESENTATION_RELATIONSHIP() ); #353=( REPRESENTATION_RELATIONSHIP($,$,#595991,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#205) SHAPE_REPRESENTATION_RELATIONSHIP() ); #354=( REPRESENTATION_RELATIONSHIP($,$,#595992,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#206) SHAPE_REPRESENTATION_RELATIONSHIP() ); #355=( REPRESENTATION_RELATIONSHIP($,$,#595993,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#207) SHAPE_REPRESENTATION_RELATIONSHIP() ); #356=( REPRESENTATION_RELATIONSHIP($,$,#595994,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#208) SHAPE_REPRESENTATION_RELATIONSHIP() ); #357=( REPRESENTATION_RELATIONSHIP($,$,#595995,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#209) SHAPE_REPRESENTATION_RELATIONSHIP() ); #358=( REPRESENTATION_RELATIONSHIP($,$,#595996,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#210) SHAPE_REPRESENTATION_RELATIONSHIP() ); #359=( REPRESENTATION_RELATIONSHIP($,$,#595997,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#211) SHAPE_REPRESENTATION_RELATIONSHIP() ); #360=( REPRESENTATION_RELATIONSHIP($,$,#595998,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#212) SHAPE_REPRESENTATION_RELATIONSHIP() ); #361=( REPRESENTATION_RELATIONSHIP($,$,#595999,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#213) SHAPE_REPRESENTATION_RELATIONSHIP() ); #362=( REPRESENTATION_RELATIONSHIP($,$,#596000,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#214) SHAPE_REPRESENTATION_RELATIONSHIP() ); #363=( REPRESENTATION_RELATIONSHIP($,$,#596021,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#215) SHAPE_REPRESENTATION_RELATIONSHIP() ); #364=( REPRESENTATION_RELATIONSHIP($,$,#596022,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#216) SHAPE_REPRESENTATION_RELATIONSHIP() ); #365=( REPRESENTATION_RELATIONSHIP($,$,#596024,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#217) SHAPE_REPRESENTATION_RELATIONSHIP() ); #366=( REPRESENTATION_RELATIONSHIP($,$,#596024,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#218) SHAPE_REPRESENTATION_RELATIONSHIP() ); #367=( REPRESENTATION_RELATIONSHIP($,$,#596026,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#219) SHAPE_REPRESENTATION_RELATIONSHIP() ); #368=( REPRESENTATION_RELATIONSHIP($,$,#596026,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#220) SHAPE_REPRESENTATION_RELATIONSHIP() ); #369=( REPRESENTATION_RELATIONSHIP($,$,#596026,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#221) SHAPE_REPRESENTATION_RELATIONSHIP() ); #370=( REPRESENTATION_RELATIONSHIP($,$,#596026,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#222) SHAPE_REPRESENTATION_RELATIONSHIP() ); #371=( REPRESENTATION_RELATIONSHIP($,$,#596026,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#223) SHAPE_REPRESENTATION_RELATIONSHIP() ); #372=( REPRESENTATION_RELATIONSHIP($,$,#596026,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#224) SHAPE_REPRESENTATION_RELATIONSHIP() ); #373=( REPRESENTATION_RELATIONSHIP($,$,#596026,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#225) SHAPE_REPRESENTATION_RELATIONSHIP() ); #374=( REPRESENTATION_RELATIONSHIP($,$,#596026,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#226) SHAPE_REPRESENTATION_RELATIONSHIP() ); #375=( REPRESENTATION_RELATIONSHIP($,$,#596026,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#227) SHAPE_REPRESENTATION_RELATIONSHIP() ); #376=( REPRESENTATION_RELATIONSHIP($,$,#596026,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#228) SHAPE_REPRESENTATION_RELATIONSHIP() ); #377=( REPRESENTATION_RELATIONSHIP($,$,#596026,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#229) SHAPE_REPRESENTATION_RELATIONSHIP() ); #378=( REPRESENTATION_RELATIONSHIP($,$,#596026,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#230) SHAPE_REPRESENTATION_RELATIONSHIP() ); #379=( REPRESENTATION_RELATIONSHIP($,$,#596026,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#231) SHAPE_REPRESENTATION_RELATIONSHIP() ); #380=( REPRESENTATION_RELATIONSHIP($,$,#596026,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#232) SHAPE_REPRESENTATION_RELATIONSHIP() ); #381=( REPRESENTATION_RELATIONSHIP($,$,#596028,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#233) SHAPE_REPRESENTATION_RELATIONSHIP() ); #382=( REPRESENTATION_RELATIONSHIP($,$,#596028,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#234) SHAPE_REPRESENTATION_RELATIONSHIP() ); #383=( REPRESENTATION_RELATIONSHIP($,$,#596028,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#235) SHAPE_REPRESENTATION_RELATIONSHIP() ); #384=( REPRESENTATION_RELATIONSHIP($,$,#596028,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#236) SHAPE_REPRESENTATION_RELATIONSHIP() ); #385=( REPRESENTATION_RELATIONSHIP($,$,#596028,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#237) SHAPE_REPRESENTATION_RELATIONSHIP() ); #386=( REPRESENTATION_RELATIONSHIP($,$,#596028,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#238) SHAPE_REPRESENTATION_RELATIONSHIP() ); #387=( REPRESENTATION_RELATIONSHIP($,$,#596030,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#239) SHAPE_REPRESENTATION_RELATIONSHIP() ); #388=( REPRESENTATION_RELATIONSHIP($,$,#596030,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#240) SHAPE_REPRESENTATION_RELATIONSHIP() ); #389=( REPRESENTATION_RELATIONSHIP($,$,#596032,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#241) SHAPE_REPRESENTATION_RELATIONSHIP() ); #390=( REPRESENTATION_RELATIONSHIP($,$,#596034,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#242) SHAPE_REPRESENTATION_RELATIONSHIP() ); #391=( REPRESENTATION_RELATIONSHIP($,$,#596034,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#243) SHAPE_REPRESENTATION_RELATIONSHIP() ); #392=( REPRESENTATION_RELATIONSHIP($,$,#596034,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#244) SHAPE_REPRESENTATION_RELATIONSHIP() ); #393=( REPRESENTATION_RELATIONSHIP($,$,#596034,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#245) SHAPE_REPRESENTATION_RELATIONSHIP() ); #394=( REPRESENTATION_RELATIONSHIP($,$,#596036,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#246) SHAPE_REPRESENTATION_RELATIONSHIP() ); #395=( REPRESENTATION_RELATIONSHIP($,$,#596038,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#247) SHAPE_REPRESENTATION_RELATIONSHIP() ); #396=( REPRESENTATION_RELATIONSHIP($,$,#596040,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#248) SHAPE_REPRESENTATION_RELATIONSHIP() ); #397=( REPRESENTATION_RELATIONSHIP($,$,#596042,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#249) SHAPE_REPRESENTATION_RELATIONSHIP() ); #398=( REPRESENTATION_RELATIONSHIP($,$,#596042,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#250) SHAPE_REPRESENTATION_RELATIONSHIP() ); #399=( REPRESENTATION_RELATIONSHIP($,$,#596044,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#251) SHAPE_REPRESENTATION_RELATIONSHIP() ); #400=( REPRESENTATION_RELATIONSHIP($,$,#596044,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#252) SHAPE_REPRESENTATION_RELATIONSHIP() ); #401=( REPRESENTATION_RELATIONSHIP($,$,#596046,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#253) SHAPE_REPRESENTATION_RELATIONSHIP() ); #402=( REPRESENTATION_RELATIONSHIP($,$,#596048,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#254) SHAPE_REPRESENTATION_RELATIONSHIP() ); #403=( REPRESENTATION_RELATIONSHIP($,$,#596050,#595971) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#255) SHAPE_REPRESENTATION_RELATIONSHIP() ); #404=( REPRESENTATION_RELATIONSHIP($,$,#595966,#595965) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#256) SHAPE_REPRESENTATION_RELATIONSHIP() ); #405=( REPRESENTATION_RELATIONSHIP($,$,#595967,#595965) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#257) SHAPE_REPRESENTATION_RELATIONSHIP() ); #406=( REPRESENTATION_RELATIONSHIP($,$,#595968,#595965) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#258) SHAPE_REPRESENTATION_RELATIONSHIP() ); #407=( REPRESENTATION_RELATIONSHIP($,$,#595969,#595965) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#259) SHAPE_REPRESENTATION_RELATIONSHIP() ); #408=( REPRESENTATION_RELATIONSHIP($,$,#595970,#595965) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#260) SHAPE_REPRESENTATION_RELATIONSHIP() ); #409=( REPRESENTATION_RELATIONSHIP($,$,#595971,#595965) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#261) SHAPE_REPRESENTATION_RELATIONSHIP() ); #410=( REPRESENTATION_RELATIONSHIP($,$,#596053,#596052) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#262) SHAPE_REPRESENTATION_RELATIONSHIP() ); #411=( REPRESENTATION_RELATIONSHIP($,$,#596054,#596052) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#263) SHAPE_REPRESENTATION_RELATIONSHIP() ); #412=( REPRESENTATION_RELATIONSHIP($,$,#596055,#596052) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#264) SHAPE_REPRESENTATION_RELATIONSHIP() ); #413=( REPRESENTATION_RELATIONSHIP($,$,#595965,#595964) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#265) SHAPE_REPRESENTATION_RELATIONSHIP() ); #414=( REPRESENTATION_RELATIONSHIP($,$,#596052,#595964) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#266) SHAPE_REPRESENTATION_RELATIONSHIP() ); #415=( REPRESENTATION_RELATIONSHIP($,$,#596057,#596056) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#267) SHAPE_REPRESENTATION_RELATIONSHIP() ); #416=( REPRESENTATION_RELATIONSHIP($,$,#595961,#595960) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#268) SHAPE_REPRESENTATION_RELATIONSHIP() ); #417=( REPRESENTATION_RELATIONSHIP($,$,#595964,#595960) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#269) SHAPE_REPRESENTATION_RELATIONSHIP() ); #418=( REPRESENTATION_RELATIONSHIP($,$,#596056,#595960) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#270) SHAPE_REPRESENTATION_RELATIONSHIP() ); #419=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#271,#595718); #420=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#272,#595719); #421=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#273,#595730); #422=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#274,#595735); #423=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#275,#595736); #424=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#276,#595737); #425=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#277,#595781); #426=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#278,#595782); #427=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#279,#595783); #428=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#280,#595784); #429=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#281,#595785); #430=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#282,#595786); #431=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#283,#595787); #432=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#284,#595788); #433=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#285,#595789); #434=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#286,#595790); #435=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#287,#595791); #436=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#288,#595792); #437=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#289,#595793); #438=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#290,#595794); #439=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#291,#595795); #440=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#292,#595796); #441=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#293,#595797); #442=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#294,#595798); #443=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#295,#595799); #444=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#296,#595800); #445=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#297,#595801); #446=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#298,#595805); #447=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#299,#595806); #448=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#300,#595809); #449=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#301,#595810); #450=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#302,#595811); #451=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#303,#595812); #452=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#304,#595813); #453=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#305,#595814); #454=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#306,#595815); #455=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#307,#595816); #456=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#308,#595817); #457=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#309,#595818); #458=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#310,#595819); #459=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#311,#595820); #460=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#312,#595821); #461=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#313,#595822); #462=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#314,#595823); #463=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#315,#595824); #464=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#316,#595825); #465=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#317,#595826); #466=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#318,#595827); #467=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#319,#595828); #468=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#320,#595829); #469=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#321,#595830); #470=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#322,#595831); #471=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#323,#595834); #472=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#324,#595837); #473=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#325,#595840); #474=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#326,#595843); #475=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#327,#595846); #476=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#328,#595849); #477=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#329,#595852); #478=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#330,#595855); #479=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#331,#595858); #480=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#332,#595861); #481=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#333,#595864); #482=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#334,#595867); #483=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#335,#595870); #484=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#336,#595871); #485=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#337,#595872); #486=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#338,#595873); #487=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#339,#595874); #488=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#340,#595875); #489=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#341,#595876); #490=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#342,#595877); #491=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#343,#595878); #492=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#344,#595879); #493=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#345,#595880); #494=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#346,#595881); #495=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#347,#595882); #496=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#348,#595883); #497=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#349,#595884); #498=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#350,#595885); #499=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#351,#595886); #500=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#352,#595887); #501=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#353,#595888); #502=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#354,#595889); #503=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#355,#595890); #504=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#356,#595891); #505=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#357,#595892); #506=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#358,#595893); #507=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#359,#595894); #508=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#360,#595895); #509=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#361,#595896); #510=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#362,#595897); #511=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#363,#595898); #512=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#364,#595899); #513=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#365,#595900); #514=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#366,#595901); #515=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#367,#595902); #516=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#368,#595903); #517=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#369,#595904); #518=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#370,#595905); #519=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#371,#595906); #520=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#372,#595907); #521=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#373,#595908); #522=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#374,#595909); #523=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#375,#595910); #524=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#376,#595911); #525=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#377,#595912); #526=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#378,#595913); #527=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#379,#595914); #528=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#380,#595915); #529=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#381,#595916); #530=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#382,#595917); #531=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#383,#595918); #532=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#384,#595919); #533=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#385,#595920); #534=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#386,#595921); #535=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#387,#595922); #536=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#388,#595923); #537=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#389,#595924); #538=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#390,#595925); #539=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#391,#595926); #540=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#392,#595927); #541=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#393,#595928); #542=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#394,#595929); #543=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#395,#595930); #544=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#396,#595931); #545=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#397,#595932); #546=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#398,#595933); #547=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#399,#595934); #548=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#400,#595935); #549=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#401,#595936); #550=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#402,#595937); #551=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#403,#595938); #552=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#404,#595939); #553=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#405,#595940); #554=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#406,#595941); #555=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#407,#595942); #556=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#408,#595943); #557=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#409,#595944); #558=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#410,#595949); #559=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#411,#595950); #560=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#412,#595951); #561=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#413,#595952); #562=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#414,#595953); #563=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#415,#595956); #564=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#416,#595957); #565=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#417,#595958); #566=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#418,#595959); #567=NEXT_ASSEMBLY_USAGE_OCCURRENCE('PFB0512EHF v3:1','PFB0512EHF v3:1', 'PFB0512EHF v3:1',#596060,#596061,'PFB0512EHF v3:1'); #568=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Battery Pack v7:1', 'Battery Pack v7:1','Battery Pack v7:1',#596060,#596062, 'Battery Pack v7:1'); #569=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model:1','Model:1','Model:1',#596071, #596072,'Model:1'); #570=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (7):1','Model (7):1', 'Model (7):1',#596073,#596076,'Model (7):1'); #571=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (7):1','Model (7):1', 'Model (7):1',#596074,#596076,'Model (7):1'); #572=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (7):1','Model (7):1', 'Model (7):1',#596075,#596076,'Model (7):1'); #573=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Housing:1', '629722000214_Housing:1','629722000214_Housing:1',#596101,#596102, '629722000214_Housing:1'); #574=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin1_Top:1', '629722000214_Pin1_Top:1','629722000214_Pin1_Top:1',#596101,#596103, '629722000214_Pin1_Top:1'); #575=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin4_Bottom:1', '629722000214_Pin4_Bottom:1','629722000214_Pin4_Bottom:1',#596101,#596104, '629722000214_Pin4_Bottom:1'); #576=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin4_Top:1', '629722000214_Pin4_Top:1','629722000214_Pin4_Top:1',#596101,#596119, '629722000214_Pin4_Top:1'); #577=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin1_Bottom:1', '629722000214_Pin1_Bottom:1','629722000214_Pin1_Bottom:1',#596101,#596105, '629722000214_Pin1_Bottom:1'); #578=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin3_Bottom:1', '629722000214_Pin3_Bottom:1','629722000214_Pin3_Bottom:1',#596101,#596106, '629722000214_Pin3_Bottom:1'); #579=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin3_Top:1', '629722000214_Pin3_Top:1','629722000214_Pin3_Top:1',#596101,#596107, '629722000214_Pin3_Top:1'); #580=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin2_Top:1', '629722000214_Pin2_Top:1','629722000214_Pin2_Top:1',#596101,#596108, '629722000214_Pin2_Top:1'); #581=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin2_Bottom:1', '629722000214_Pin2_Bottom:1','629722000214_Pin2_Bottom:1',#596101,#596109, '629722000214_Pin2_Bottom:1'); #582=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin1_Top_mir:1', '629722000214_Pin1_Top_mir:1','629722000214_Pin1_Top_mir:1',#596101,#596110, '629722000214_Pin1_Top_mir:1'); #583=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin1_Bottom_mir:1', '629722000214_Pin1_Bottom_mir:1','629722000214_Pin1_Bottom_mir:1',#596101, #596111,'629722000214_Pin1_Bottom_mir:1'); #584=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin2_Top_mir:1', '629722000214_Pin2_Top_mir:1','629722000214_Pin2_Top_mir:1',#596101,#596112, '629722000214_Pin2_Top_mir:1'); #585=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin2_Bottom_mir:1', '629722000214_Pin2_Bottom_mir:1','629722000214_Pin2_Bottom_mir:1',#596101, #596113,'629722000214_Pin2_Bottom_mir:1'); #586=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin3_Top_mir:1', '629722000214_Pin3_Top_mir:1','629722000214_Pin3_Top_mir:1',#596101,#596114, '629722000214_Pin3_Top_mir:1'); #587=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin3_Bottom_mir:1', '629722000214_Pin3_Bottom_mir:1','629722000214_Pin3_Bottom_mir:1',#596101, #596115,'629722000214_Pin3_Bottom_mir:1'); #588=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin4_Bottom_mir:1', '629722000214_Pin4_Bottom_mir:1','629722000214_Pin4_Bottom_mir:1',#596101, #596116,'629722000214_Pin4_Bottom_mir:1'); #589=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Shielding2:1', '629722000214_Shielding2:1','629722000214_Shielding2:1',#596101,#596117, '629722000214_Shielding2:1'); #590=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Shielding:1', '629722000214_Shielding:1','629722000214_Shielding:1',#596101,#596118, '629722000214_Shielding:1'); #591=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin4_Top:2', '629722000214_Pin4_Top:2','629722000214_Pin4_Top:2',#596101,#596119, '629722000214_Pin4_Top:2'); #592=NEXT_ASSEMBLY_USAGE_OCCURRENCE('34707316.f3d :1','34707316.f3d :1', '34707316.f3d :1',#596100,#596101,'34707316.f3d :1'); #593=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (19):1','Model (19):1', 'Model (19):1',#596099,#596100,'Model (19):1'); #594=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (20):1','Model (20):1', 'Model (20):1',#596120,#596122,'Model (20):1'); #595=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (20):1','Model (20):1', 'Model (20):1',#596121,#596122,'Model (20):1'); #596=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#596077,#596124,'Model (10):1'); #597=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#596078,#596124,'Model (10):1'); #598=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#596079,#596124,'Model (10):1'); #599=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#596080,#596124,'Model (10):1'); #600=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#596081,#596124,'Model (10):1'); #601=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#596082,#596124,'Model (10):1'); #602=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#596083,#596124,'Model (10):1'); #603=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#596084,#596124,'Model (10):1'); #604=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#596085,#596124,'Model (10):1'); #605=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#596086,#596124,'Model (10):1'); #606=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#596087,#596124,'Model (10):1'); #607=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#596088,#596124,'Model (10):1'); #608=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#596089,#596124,'Model (10):1'); #609=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#596090,#596124,'Model (10):1'); #610=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#596091,#596124,'Model (10):1'); #611=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#596092,#596124,'Model (10):1'); #612=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#596093,#596124,'Model (10):1'); #613=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#596094,#596124,'Model (10):1'); #614=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#596095,#596124,'Model (10):1'); #615=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#596096,#596124,'Model (10):1'); #616=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#596097,#596124,'Model (10):1'); #617=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#596098,#596124,'Model (10):1'); #618=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#596123,#596124,'Model (10):1'); #619=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (1):1','Model (1):1', 'Model (1):1',#596125,#596126,'Model (1):1'); #620=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (2):1','Model (2):1', 'Model (2):1',#596127,#596128,'Model (2):1'); #621=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (3):1','Model (3):1', 'Model (3):1',#596129,#596130,'Model (3):1'); #622=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (4):1','Model (4):1', 'Model (4):1',#596131,#596132,'Model (4):1'); #623=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (5):1','Model (5):1', 'Model (5):1',#596133,#596134,'Model (5):1'); #624=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (6):1','Model (6):1', 'Model (6):1',#596135,#596136,'Model (6):1'); #625=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (8):1','Model (8):1', 'Model (8):1',#596137,#596138,'Model (8):1'); #626=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (9):1','Model (9):1', 'Model (9):1',#596139,#596140,'Model (9):1'); #627=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (11):1','Model (11):1', 'Model (11):1',#596141,#596142,'Model (11):1'); #628=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (12):1','Model (12):1', 'Model (12):1',#596143,#596144,'Model (12):1'); #629=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (13):1','Model (13):1', 'Model (13):1',#596145,#596146,'Model (13):1'); #630=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (14):1','Model (14):1', 'Model (14):1',#596147,#596148,'Model (14):1'); #631=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (15):1','Model (15):1', 'Model (15):1',#596149,#596150,'Model (15):1'); #632=NEXT_ASSEMBLY_USAGE_OCCURRENCE('2510-2.54-4P:JP3', '2510-2.54-4P:JP3','2510-2.54-4P:JP3',#596070,#596071, '2510-2.54-4P:JP3'); #633=NEXT_ASSEMBLY_USAGE_OCCURRENCE('LED0603:LED1','LED0603:LED1', 'LED0603:LED1',#596070,#596073,'LED0603:LED1'); #634=NEXT_ASSEMBLY_USAGE_OCCURRENCE('LED0603:LED2','LED0603:LED2', 'LED0603:LED2',#596070,#596074,'LED0603:LED2'); #635=NEXT_ASSEMBLY_USAGE_OCCURRENCE('LED0603:LED3','LED0603:LED3', 'LED0603:LED3',#596070,#596075,'LED0603:LED3'); #636=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R10','R0603:R10','R0603:R10', #596070,#596077,'R0603:R10'); #637=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R2','R0603:R2','R0603:R2',#596070, #596078,'R0603:R2'); #638=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R3','R0603:R3','R0603:R3',#596070, #596079,'R0603:R3'); #639=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R4','R0603:R4','R0603:R4',#596070, #596080,'R0603:R4'); #640=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R5','R0603:R5','R0603:R5',#596070, #596081,'R0603:R5'); #641=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R6','R0603:R6','R0603:R6',#596070, #596082,'R0603:R6'); #642=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R7','R0603:R7','R0603:R7',#596070, #596083,'R0603:R7'); #643=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R8','R0603:R8','R0603:R8',#596070, #596084,'R0603:R8'); #644=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R11','R0603:R11','R0603:R11', #596070,#596085,'R0603:R11'); #645=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R12','R0603:R12','R0603:R12', #596070,#596086,'R0603:R12'); #646=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R13','R0603:R13','R0603:R13', #596070,#596087,'R0603:R13'); #647=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R14','R0603:R14','R0603:R14', #596070,#596088,'R0603:R14'); #648=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R15','R0603:R15','R0603:R15', #596070,#596089,'R0603:R15'); #649=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R16','R0603:R16','R0603:R16', #596070,#596090,'R0603:R16'); #650=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R17','R0603:R17','R0603:R17', #596070,#596091,'R0603:R17'); #651=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R18','R0603:R18','R0603:R18', #596070,#596092,'R0603:R18'); #652=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R19','R0603:R19','R0603:R19', #596070,#596093,'R0603:R19'); #653=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R20','R0603:R20','R0603:R20', #596070,#596094,'R0603:R20'); #654=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R21','R0603:R21','R0603:R21', #596070,#596095,'R0603:R21'); #655=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R22','R0603:R22','R0603:R22', #596070,#596096,'R0603:R22'); #656=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R24','R0603:R24','R0603:R24', #596070,#596097,'R0603:R24'); #657=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R26','R0603:R26','R0603:R26', #596070,#596098,'R0603:R26'); #658=NEXT_ASSEMBLY_USAGE_OCCURRENCE('TYPE-C-16P-FH:J1', 'TYPE-C-16P-FH:J1','TYPE-C-16P-FH:J1',#596070,#596099, 'TYPE-C-16P-FH:J1'); #659=NEXT_ASSEMBLY_USAGE_OCCURRENCE('XH-2.50-2P:JP1','XH-2.50-2P:JP1', 'XH-2.50-2P:JP1',#596070,#596120,'XH-2.50-2P:JP1'); #660=NEXT_ASSEMBLY_USAGE_OCCURRENCE('XH-2.50-2P:JP2','XH-2.50-2P:JP2', 'XH-2.50-2P:JP2',#596070,#596121,'XH-2.50-2P:JP2'); #661=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R23','R0603:R23','R0603:R23', #596070,#596123,'R0603:R23'); #662=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R25','R0603:R25','R0603:R25', #596070,#596123,'R0603:R25'); #663=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C9','C0603:C9','C0603:C9',#596070, #596125,'C0603:C9'); #664=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C10','C0603:C10','C0603:C10', #596070,#596125,'C0603:C10'); #665=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C11','C0603:C11','C0603:C11', #596070,#596125,'C0603:C11'); #666=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C12','C0603:C12','C0603:C12', #596070,#596125,'C0603:C12'); #667=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C13','C0603:C13','C0603:C13', #596070,#596125,'C0603:C13'); #668=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C14','C0603:C14','C0603:C14', #596070,#596125,'C0603:C14'); #669=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C15','C0603:C15','C0603:C15', #596070,#596125,'C0603:C15'); #670=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C16','C0603:C16','C0603:C16', #596070,#596125,'C0603:C16'); #671=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C17','C0603:C17','C0603:C17', #596070,#596125,'C0603:C17'); #672=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C18','C0603:C18','C0603:C18', #596070,#596125,'C0603:C18'); #673=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C19','C0603:C19','C0603:C19', #596070,#596125,'C0603:C19'); #674=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C20','C0603:C20','C0603:C20', #596070,#596125,'C0603:C20'); #675=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C21','C0603:C21','C0603:C21', #596070,#596125,'C0603:C21'); #676=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C22','C0603:C22','C0603:C22', #596070,#596125,'C0603:C22'); #677=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C1210:C3','C1210:C3','C1210:C3',#596070, #596127,'C1210:C3'); #678=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C1210:C4','C1210:C4','C1210:C4',#596070, #596127,'C1210:C4'); #679=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C1210:C5','C1210:C5','C1210:C5',#596070, #596127,'C1210:C5'); #680=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C1210:C6','C1210:C6','C1210:C6',#596070, #596127,'C1210:C6'); #681=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C1210:C7','C1210:C7','C1210:C7',#596070, #596127,'C1210:C7'); #682=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C1210:C8','C1210:C8','C1210:C8',#596070, #596127,'C1210:C8'); #683=NEXT_ASSEMBLY_USAGE_OCCURRENCE('CAP-PTH(D8.0-P3.50):C1', 'CAP-PTH(D8.0-P3.50):C1','CAP-PTH(D8.0-P3.50):C1',#596070,#596129, 'CAP-PTH(D8.0-P3.50):C1'); #684=NEXT_ASSEMBLY_USAGE_OCCURRENCE('CAP-PTH(D8.0-P3.50):C2', 'CAP-PTH(D8.0-P3.50):C2','CAP-PTH(D8.0-P3.50):C2',#596070,#596129, 'CAP-PTH(D8.0-P3.50):C2'); #685=NEXT_ASSEMBLY_USAGE_OCCURRENCE('DFN-8(3X3):Q1','DFN-8(3X3):Q1', 'DFN-8(3X3):Q1',#596070,#596131,'DFN-8(3X3):Q1'); #686=NEXT_ASSEMBLY_USAGE_OCCURRENCE('DFN-8(5X6):Q2','DFN-8(5X6):Q2', 'DFN-8(5X6):Q2',#596070,#596133,'DFN-8(5X6):Q2'); #687=NEXT_ASSEMBLY_USAGE_OCCURRENCE('DFN-8(5X6):Q3','DFN-8(5X6):Q3', 'DFN-8(5X6):Q3',#596070,#596133,'DFN-8(5X6):Q3'); #688=NEXT_ASSEMBLY_USAGE_OCCURRENCE('DFN-8(5X6):Q4','DFN-8(5X6):Q4', 'DFN-8(5X6):Q4',#596070,#596133,'DFN-8(5X6):Q4'); #689=NEXT_ASSEMBLY_USAGE_OCCURRENCE('DFN-8(5X6):Q5','DFN-8(5X6):Q5', 'DFN-8(5X6):Q5',#596070,#596133,'DFN-8(5X6):Q5'); #690=NEXT_ASSEMBLY_USAGE_OCCURRENCE('L12XX:L1','L12XX:L1','L12XX:L1',#596070, #596135,'L12XX:L1'); #691=NEXT_ASSEMBLY_USAGE_OCCURRENCE('POT-R1S1-RV097NS:VR1', 'POT-R1S1-RV097NS:VR1','POT-R1S1-RV097NS:VR1',#596070,#596137, 'POT-R1S1-RV097NS:VR1'); #692=NEXT_ASSEMBLY_USAGE_OCCURRENCE('QFN-48(7X7):U1','QFN-48(7X7):U1', 'QFN-48(7X7):U1',#596070,#596139,'QFN-48(7X7):U1'); #693=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R1206:R1','R1206:R1','R1206:R1',#596070, #596141,'R1206:R1'); #694=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R1206:R9','R1206:R9','R1206:R9',#596070, #596141,'R1206:R9'); #695=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SOD-123FL:D1','SOD-123FL:D1', 'SOD-123FL:D1',#596070,#596143,'SOD-123FL:D1'); #696=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SOD-123FL:D2','SOD-123FL:D2', 'SOD-123FL:D2',#596070,#596143,'SOD-123FL:D2'); #697=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SOP-8(L5.0-W3.9-P1.27):U3', 'SOP-8(L5.0-W3.9-P1.27):U3','SOP-8(L5.0-W3.9-P1.27):U3',#596070,#596145, 'SOP-8(L5.0-W3.9-P1.27):U3'); #698=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SOT23-5:U2','SOT23-5:U2', 'SOT23-5:U2',#596070,#596147,'SOT23-5:U2'); #699=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C1206:C23','C1206:C23','C1206:C23', #596070,#596149,'C1206:C23'); #700=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Board:1','Board:1','Board:1',#596064, #596065,'Board:1'); #701=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1-copper:1','1-copper:1', '1-copper:1',#596064,#596066,'1-copper:1'); #702=NEXT_ASSEMBLY_USAGE_OCCURRENCE('16-copper:1','16-copper:1', '16-copper:1',#596064,#596067,'16-copper:1'); #703=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1-soldermask:1','1-soldermask:1', '1-soldermask:1',#596064,#596068,'1-soldermask:1'); #704=NEXT_ASSEMBLY_USAGE_OCCURRENCE('16-soldermask:1','16-soldermask:1', '16-soldermask:1',#596064,#596069,'16-soldermask:1'); #705=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Packages:1','Packages:1', 'Packages:1',#596064,#596070,'Packages:1'); #706=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Board:1','Board:1','Board:1',#596151, #596152,'Board:1'); #707=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1-soldermask:1','1-soldermask:1', '1-soldermask:1',#596151,#596153,'1-soldermask:1'); #708=NEXT_ASSEMBLY_USAGE_OCCURRENCE('16-soldermask:1','16-soldermask:1', '16-soldermask:1',#596151,#596154,'16-soldermask:1'); #709=NEXT_ASSEMBLY_USAGE_OCCURRENCE('PCB v18:1','PCB v18:1','PCB v18:1', #596063,#596064,'PCB v18:1'); #710=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Power Display v5:1', 'Power Display v5:1','Power Display v5:1',#596063,#596151, 'Power Display v5:1'); #711=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Flat Nozzle:1','Flat Nozzle:1', 'Flat Nozzle:1',#596155,#596156,'Flat Nozzle:1'); #712=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Master:1','Master:1','Master:1',#596059, #596060,'Master:1'); #713=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Electronic:1','Electronic:1', 'Electronic:1',#596059,#596063,'Electronic:1'); #714=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nozzle:1','Nozzle:1','Nozzle:1',#596059, #596155,'Nozzle:1'); #715=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#595962,#764); #716=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#595963,#765); #717=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#595961,#766); #718=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#595966,#767); #719=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#595967,#768); #720=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#595968,#769); #721=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#595969,#770); #722=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#595970,#771); #723=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#595973,#772); #724=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#595977,#773); #725=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#596003,#774); #726=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#596004,#775); #727=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#596005,#776); #728=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#596006,#777); #729=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#596007,#778); #730=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#596008,#779); #731=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#596009,#780); #732=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#596010,#781); #733=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#596011,#782); #734=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#596012,#783); #735=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#596013,#784); #736=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#596014,#785); #737=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#596015,#786); #738=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#596016,#787); #739=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#596017,#788); #740=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#596018,#789); #741=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#596019,#790); #742=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#596020,#791); #743=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#596023,#792); #744=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#596025,#793); #745=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#596027,#794); #746=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#596029,#795); #747=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#596031,#796); #748=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#596033,#797); #749=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#596035,#798); #750=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#596037,#799); #751=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#596039,#800); #752=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#596041,#801); #753=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#596043,#802); #754=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#596045,#803); #755=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#596047,#804); #756=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#596049,#805); #757=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#596051,#806); #758=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#596053,#807); #759=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#596054,#808); #760=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#596055,#809); #761=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#596052,#810); #762=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#595964,#811); #763=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#596057,#812); #764=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2181,#2182),#595515); #765=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2183,#2184,#2185),#595516); #766=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2186,#2187,#2188),#595514); #767=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2189,#2190,#2191,#2192,#2193, #2194,#2195,#2196,#2197,#2198,#2199,#2200,#2201,#2202,#2203,#2204,#2205, #2206,#2207,#2208,#2209,#2210,#2211,#2212,#2213,#2214,#2215,#2216,#2217, #2218,#2219,#2220,#2221,#2222,#2223,#2224,#2225,#2226,#2227,#2228,#2229, #2230,#2231,#2232,#2233,#2234,#2235,#2236,#2237,#2238,#2239,#2240,#2241, #2242,#2243,#2244,#2245,#2246,#2247,#2248,#2249,#2250,#2251,#2252,#2253, #2254,#2255,#2256,#2257,#2258,#2259,#2260,#2261,#2262,#2263,#2264,#2265, #2266,#2267,#2268,#2269,#2270,#2271,#2272,#2273,#2274,#2275,#2276,#2277, #2278,#2279,#2280,#2281,#2282,#2283,#2284,#2285,#2286,#2287,#2288,#2289, #2290,#2291,#2292,#2293,#2294,#2295,#2296,#2297,#2298,#2299,#2300,#2301, #2302,#2303,#2304,#2305,#2306,#2307,#2308,#2309,#2310,#2311,#2312,#2313, #2314,#2315,#2316,#2317,#2318,#2319,#2320,#2321,#2322,#2323,#2324,#2325, #2326,#2327,#2328,#2329,#2330,#2331,#2332,#2333,#2334,#2335,#2336,#2337, #2338,#2339,#2340,#2341,#2342,#2343,#2344,#2345,#2346,#2347,#2348,#2349, #2350,#2351,#2352,#2353,#2354,#2355,#2356,#2357,#2358,#2359,#2360,#2361, #2362,#2363,#2364,#2365,#2366,#2367,#2368,#2369,#2370,#2371,#2372,#2373, #2374,#2375,#2376,#2377,#2378,#2379,#2380,#2381,#2382,#2383,#2384,#2385, #2386,#2387,#2388,#2389,#2390,#2391,#2392,#2393,#2394,#2395,#2396,#2397, #2398,#2399,#2400,#2401,#2402,#2403,#2404,#2405,#2406,#2407,#2408,#2409, #2410,#2411,#2412,#2413,#2414,#2415,#2416,#2417,#2418,#2419,#2420,#2421, #2422,#2423,#2424,#2425,#2426,#2427,#2428,#2429,#2430,#2431,#2432,#2433, #2434,#2435,#2436,#2437,#2438,#2439,#2440,#2441,#2442,#2443,#2444,#2445, #2446,#2447,#2448,#2449,#2450,#2451,#2452,#2453,#2454,#2455,#2456,#2457, #2458,#2459,#2460,#2461,#2462,#2463,#2464,#2465,#2466,#2467,#2468,#2469, #2470,#2471,#2472,#2473,#2474,#2475,#2476,#2477,#2478,#2479,#2480,#2481, #2482,#2483,#2484,#2485,#2486,#2487,#2488,#2489,#2490,#2491,#2492,#2493, #2494,#2495,#2496,#2497,#2498,#2499,#2500,#2501,#2502,#2503,#2504),#595519); #768=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2505,#2506,#2507,#2508,#2509, #2510,#2511,#2512,#2513,#2514,#2515,#2516,#2517,#2518,#2519,#2520,#2521, #2522,#2523,#2524,#2525,#2526,#2527,#2528,#2529,#2530,#2531,#2532,#2533, #2534,#2535,#2536,#2537,#2538,#2539,#2540,#2541,#2542,#2543,#2544,#2545, #2546,#2547,#2548,#2549,#2550,#2551,#2552,#2553,#2554,#2555,#2556,#2557, #2558,#2559,#2560,#2561,#2562,#2563,#2564,#2565,#2566,#2567,#2568,#2569, #2570,#2571,#2572,#2573,#2574,#2575,#2576,#2577,#2578,#2579,#2580,#2581, #2582,#2583,#2584,#2585,#2586,#2587,#2588,#2589,#2590,#2591,#2592,#2593, #2594,#2595,#2596,#2597,#2598,#2599,#2600,#2601,#2602,#2603,#2604,#2605, #2606,#2607,#2608,#2609,#2610,#2611,#2612,#2613,#2614,#2615,#2616,#2617, #2618,#2619,#2620,#2621,#2622,#2623,#2624,#2625,#2626,#2627,#2628,#2629, #2630,#2631,#2632,#2633,#2634,#2635,#2636,#2637,#2638,#2639,#2640,#2641, #2642,#2643,#2644,#2645,#2646,#2647,#2648,#2649,#2650,#2651,#2652,#2653, #2654,#2655,#2656,#2657,#2658,#2659,#2660,#2661,#2662,#2663,#2664,#2665, #2666,#2667,#2668,#2669,#2670,#2671,#2672,#2673,#2674,#2675,#2676,#2677, #2678,#2679,#2680,#2681,#2682,#2683,#2684,#2685,#2686,#2687,#2688,#2689, #2690,#2691,#2692,#2693,#2694,#2695,#2696,#2697,#2698,#2699,#2700,#2701, #2702,#2703,#2704,#2705,#2706,#2707,#2708,#2709,#2710,#2711,#2712,#2713, #2714,#2715,#2716,#2717,#2718,#2719,#2720,#2721,#2722,#2723,#2724,#2725, #2726,#2727,#2728,#2729,#2730,#2731,#2732,#2733,#2734,#2735,#2736,#2737, #2738,#2739,#2740,#2741,#2742,#2743,#2744,#2745,#2746,#2747,#2748,#2749, #2750,#2751,#2752,#2753,#2754,#2755,#2756,#2757,#2758,#2759,#2760,#2761, #2762,#2763,#2764,#2765,#2766,#2767,#2768,#2769,#2770,#2771,#2772,#2773, #2774,#2775,#2776,#2777,#2778,#2779,#2780,#2781,#2782,#2783,#2784,#2785, #2786,#2787),#595520); #769=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2788,#2789,#2790,#2791,#2792, #2793,#2794,#2795,#2796,#2797,#2798,#2799,#2800,#2801,#2802,#2803,#2804, #2805,#2806,#2807,#2808,#2809,#2810,#2811,#2812,#2813,#2814,#2815,#2816, #2817,#2818,#2819,#2820,#2821,#2822,#2823,#2824,#2825,#2826,#2827,#2828, #2829,#2830,#2831,#2832,#2833,#2834,#2835,#2836,#2837,#2838,#2839,#2840, #2841,#2842,#2843,#2844,#2845,#2846,#2847,#2848,#2849,#2850,#2851,#2852, #2853,#2854,#2855,#2856,#2857,#2858,#2859,#2860,#2861,#2862,#2863,#2864, #2865,#2866,#2867,#2868,#2869,#2870,#2871,#2872,#2873,#2874,#2875,#2876, #2877,#2878,#2879,#2880,#2881,#2882,#2883,#2884,#2885,#2886,#2887,#2888, #2889,#2890,#2891,#2892,#2893,#2894,#2895,#2896,#2897,#2898,#2899,#2900, #2901,#2902,#2903,#2904,#2905,#2906,#2907,#2908,#2909,#2910,#2911,#2912, #2913,#2914,#2915,#2916,#2917,#2918,#2919,#2920,#2921,#2922,#2923,#2924, #2925,#2926,#2927,#2928,#2929,#2930,#2931,#2932,#2933,#2934,#2935,#2936, #2937,#2938,#2939,#2940,#2941,#2942,#2943,#2944,#2945,#2946,#2947,#2948, #2949,#2950,#2951,#2952,#2953,#2954,#2955,#2956,#2957,#2958,#2959,#2960, #2961,#2962,#2963,#2964,#2965,#2966,#2967,#2968,#2969,#2970,#2971,#2972, #2973,#2974,#2975,#2976,#2977,#2978,#2979,#2980,#2981,#2982),#595521); #770=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2983),#595522); #771=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2984),#595523); #772=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2985),#595526); #773=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2986,#2987,#2988,#2989,#2990), #595530); #774=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2991),#595556); #775=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2992),#595557); #776=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2993),#595558); #777=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2994),#595559); #778=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2995),#595560); #779=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2996),#595561); #780=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2997),#595562); #781=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2998),#595563); #782=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2999),#595564); #783=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3000),#595565); #784=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3001),#595566); #785=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3002),#595567); #786=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3003),#595568); #787=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3004),#595569); #788=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3005),#595570); #789=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3006),#595571); #790=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3007),#595572); #791=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3008),#595573); #792=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3009),#595576); #793=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3010,#3011,#3012),#595578); #794=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3013,#3014,#3015),#595580); #795=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3016,#3017,#3018),#595582); #796=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3019,#3020,#3021,#3022,#3023, #3024,#3025,#3026,#3027,#3028,#3029,#3030,#3031),#595584); #797=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3032,#3033,#3034,#3035,#3036, #3037,#3038,#3039,#3040,#3041,#3042,#3043,#3044,#3045,#3046,#3047,#3048, #3049),#595586); #798=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3050,#3051,#3052,#3053,#3054, #3055,#3056,#3057,#3058,#3059,#3060,#3061,#3062,#3063,#3064,#3065,#3066, #3067),#595588); #799=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3068,#3069,#3070,#3071,#3072), #595590); #800=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3073,#3074,#3075),#595592); #801=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3076,#3077,#3078,#3079,#3080, #3081,#3082,#3083,#3084,#3085,#3086,#3087,#3088,#3089,#3090,#3091,#3092, #3093,#3094,#3095,#3096,#3097,#3098,#3099,#3100,#3101,#3102,#3103,#3104, #3105,#3106,#3107,#3108,#3109,#3110,#3111,#3112,#3113,#3114,#3115,#3116, #3117,#3118,#3119,#3120,#3121,#3122,#3123,#3124,#3125),#595594); #802=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3126,#3127,#3128),#595596); #803=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3129,#3130,#3131,#3132,#3133, #3134),#595598); #804=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3135,#3136,#3137,#3138,#3139, #3140,#3141,#3142,#3143),#595600); #805=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3144,#3145,#3146,#3147,#3148, #3149),#595602); #806=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3150,#3151,#3152),#595604); #807=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3153),#595606); #808=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3154),#595607); #809=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3155),#595608); #810=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3156),#595605); #811=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3157,#3158,#3159,#3160,#3161), #595517); #812=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#22),#595610); #813=TOROIDAL_SURFACE('',#350831,10.85957922917,1.); #814=TOROIDAL_SURFACE('',#374177,4.10833333333333,0.141666666666667); #815=TOROIDAL_SURFACE('',#374182,4.10833333333333,0.141666666666667); #816=TOROIDAL_SURFACE('',#374185,4.25,0.425000000000001); #817=TOROIDAL_SURFACE('',#374188,4.10833333333333,0.141666666666667); #818=TOROIDAL_SURFACE('',#374193,4.10833333333333,0.141666666666667); #819=TOROIDAL_SURFACE('',#374208,4.27,0.415); #820=TOROIDAL_SURFACE('',#374212,4.12833333333333,0.141666666666667); #821=TOROIDAL_SURFACE('',#374217,4.12833333333333,0.141666666666667); #822=TOROIDAL_SURFACE('',#374222,4.10833333333333,0.141666666666667); #823=TOROIDAL_SURFACE('',#374227,4.10833333333333,0.141666666666667); #824=TOROIDAL_SURFACE('',#374230,4.25,0.425000000000001); #825=TOROIDAL_SURFACE('',#374233,4.10833333333333,0.141666666666667); #826=TOROIDAL_SURFACE('',#374238,4.10833333333333,0.141666666666667); #827=TOROIDAL_SURFACE('',#374243,4.12833333333333,0.141666666666667); #828=TOROIDAL_SURFACE('',#374248,4.12833333333333,0.141666666666666); #829=( BOUNDED_SURFACE() B_SPLINE_SURFACE(3,2,((#485535,#485536,#485537,#485538,#485539,#485540, #485541,#485542,#485543),(#485544,#485545,#485546,#485547,#485548,#485549, #485550,#485551,#485552),(#485553,#485554,#485555,#485556,#485557,#485558, #485559,#485560,#485561),(#485562,#485563,#485564,#485565,#485566,#485567, #485568,#485569,#485570),(#485571,#485572,#485573,#485574,#485575,#485576, #485577,#485578,#485579),(#485580,#485581,#485582,#485583,#485584,#485585, #485586,#485587,#485588),(#485589,#485590,#485591,#485592,#485593,#485594, #485595,#485596,#485597),(#485598,#485599,#485600,#485601,#485602,#485603, #485604,#485605,#485606),(#485607,#485608,#485609,#485610,#485611,#485612, #485613,#485614,#485615),(#485616,#485617,#485618,#485619,#485620,#485621, #485622,#485623,#485624),(#485625,#485626,#485627,#485628,#485629,#485630, #485631,#485632,#485633),(#485634,#485635,#485636,#485637,#485638,#485639, #485640,#485641,#485642)),.UNSPECIFIED.,.F.,.T.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((4,1,1,1,1,1,1,1,1,4),(3,2,2,2,3),(0.,0.06595893347558, 0.1838950513417,0.302383599567,0.429865257976,0.5587788753077,0.6759563157, 0.7821934419393,0.8795791682249,1.),(0.,1.5707963267949,3.14159265358979, 4.71238898038469,6.28318530717959),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.))) REPRESENTATION_ITEM('') SURFACE() ); #830=( BOUNDED_SURFACE() B_SPLINE_SURFACE(3,2,((#485683,#485684,#485685,#485686,#485687,#485688, #485689,#485690,#485691),(#485692,#485693,#485694,#485695,#485696,#485697, #485698,#485699,#485700),(#485701,#485702,#485703,#485704,#485705,#485706, #485707,#485708,#485709),(#485710,#485711,#485712,#485713,#485714,#485715, #485716,#485717,#485718),(#485719,#485720,#485721,#485722,#485723,#485724, #485725,#485726,#485727),(#485728,#485729,#485730,#485731,#485732,#485733, #485734,#485735,#485736),(#485737,#485738,#485739,#485740,#485741,#485742, #485743,#485744,#485745),(#485746,#485747,#485748,#485749,#485750,#485751, #485752,#485753,#485754),(#485755,#485756,#485757,#485758,#485759,#485760, #485761,#485762,#485763),(#485764,#485765,#485766,#485767,#485768,#485769, #485770,#485771,#485772),(#485773,#485774,#485775,#485776,#485777,#485778, #485779,#485780,#485781),(#485782,#485783,#485784,#485785,#485786,#485787, #485788,#485789,#485790),(#485791,#485792,#485793,#485794,#485795,#485796, #485797,#485798,#485799),(#485800,#485801,#485802,#485803,#485804,#485805, #485806,#485807,#485808),(#485809,#485810,#485811,#485812,#485813,#485814, #485815,#485816,#485817),(#485818,#485819,#485820,#485821,#485822,#485823, #485824,#485825,#485826),(#485827,#485828,#485829,#485830,#485831,#485832, #485833,#485834,#485835),(#485836,#485837,#485838,#485839,#485840,#485841, #485842,#485843,#485844),(#485845,#485846,#485847,#485848,#485849,#485850, #485851,#485852,#485853),(#485854,#485855,#485856,#485857,#485858,#485859, #485860,#485861,#485862),(#485863,#485864,#485865,#485866,#485867,#485868, #485869,#485870,#485871),(#485872,#485873,#485874,#485875,#485876,#485877, #485878,#485879,#485880),(#485881,#485882,#485883,#485884,#485885,#485886, #485887,#485888,#485889),(#485890,#485891,#485892,#485893,#485894,#485895, #485896,#485897,#485898)),.UNSPECIFIED.,.F.,.T.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 4),(3,2,2,2,3),(0.,0.05117952043802,0.1011093117662,0.1493885517984,0.1936851475077, 0.2343256428478,0.2735989093492,0.3144964633361,0.3591075046083,0.4083339533164, 0.4621916522941,0.5190942391359,0.5745380284403,0.625964860631,0.6773184285406, 0.7305823107753,0.7813421172187,0.8262408360278,0.8656550315436,0.902105094907, 0.9393718648311,1.),(0.,1.5707963267949,3.14159265358979,4.71238898038469, 6.28318530717959),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.))) REPRESENTATION_ITEM('') SURFACE() ); #831=( BOUNDED_SURFACE() B_SPLINE_SURFACE(3,2,((#486415,#486416,#486417,#486418,#486419,#486420, #486421,#486422,#486423),(#486424,#486425,#486426,#486427,#486428,#486429, #486430,#486431,#486432),(#486433,#486434,#486435,#486436,#486437,#486438, #486439,#486440,#486441),(#486442,#486443,#486444,#486445,#486446,#486447, #486448,#486449,#486450),(#486451,#486452,#486453,#486454,#486455,#486456, #486457,#486458,#486459),(#486460,#486461,#486462,#486463,#486464,#486465, #486466,#486467,#486468),(#486469,#486470,#486471,#486472,#486473,#486474, #486475,#486476,#486477),(#486478,#486479,#486480,#486481,#486482,#486483, #486484,#486485,#486486),(#486487,#486488,#486489,#486490,#486491,#486492, #486493,#486494,#486495),(#486496,#486497,#486498,#486499,#486500,#486501, #486502,#486503,#486504),(#486505,#486506,#486507,#486508,#486509,#486510, #486511,#486512,#486513),(#486514,#486515,#486516,#486517,#486518,#486519, #486520,#486521,#486522)),.UNSPECIFIED.,.F.,.T.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((4,1,1,1,1,1,1,1,1,4),(3,2,2,2,3),(0.,0.06595893340383, 0.1838950512526,0.3023835994818,0.4298652578945,0.5587788752301,0.6759563156259, 0.7821934418684,0.8795791681571,1.),(0.,1.5707963267949,3.14159265358979, 4.71238898038469,6.28318530717959),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.))) REPRESENTATION_ITEM('') SURFACE() ); #832=( BOUNDED_SURFACE() B_SPLINE_SURFACE(3,2,((#486764,#486765,#486766,#486767,#486768,#486769, #486770,#486771,#486772,#486773,#486774),(#486775,#486776,#486777,#486778, #486779,#486780,#486781,#486782,#486783,#486784,#486785),(#486786,#486787, #486788,#486789,#486790,#486791,#486792,#486793,#486794,#486795,#486796), (#486797,#486798,#486799,#486800,#486801,#486802,#486803,#486804,#486805, #486806,#486807),(#486808,#486809,#486810,#486811,#486812,#486813,#486814, #486815,#486816,#486817,#486818),(#486819,#486820,#486821,#486822,#486823, #486824,#486825,#486826,#486827,#486828,#486829),(#486830,#486831,#486832, #486833,#486834,#486835,#486836,#486837,#486838,#486839,#486840),(#486841, #486842,#486843,#486844,#486845,#486846,#486847,#486848,#486849,#486850, #486851),(#486852,#486853,#486854,#486855,#486856,#486857,#486858,#486859, #486860,#486861,#486862),(#486863,#486864,#486865,#486866,#486867,#486868, #486869,#486870,#486871,#486872,#486873),(#486874,#486875,#486876,#486877, #486878,#486879,#486880,#486881,#486882,#486883,#486884),(#486885,#486886, #486887,#486888,#486889,#486890,#486891,#486892,#486893,#486894,#486895), (#486896,#486897,#486898,#486899,#486900,#486901,#486902,#486903,#486904, #486905,#486906),(#486907,#486908,#486909,#486910,#486911,#486912,#486913, #486914,#486915,#486916,#486917),(#486918,#486919,#486920,#486921,#486922, #486923,#486924,#486925,#486926,#486927,#486928),(#486929,#486930,#486931, #486932,#486933,#486934,#486935,#486936,#486937,#486938,#486939),(#486940, #486941,#486942,#486943,#486944,#486945,#486946,#486947,#486948,#486949, #486950),(#486951,#486952,#486953,#486954,#486955,#486956,#486957,#486958, #486959,#486960,#486961),(#486962,#486963,#486964,#486965,#486966,#486967, #486968,#486969,#486970,#486971,#486972),(#486973,#486974,#486975,#486976, #486977,#486978,#486979,#486980,#486981,#486982,#486983),(#486984,#486985, #486986,#486987,#486988,#486989,#486990,#486991,#486992,#486993,#486994), (#486995,#486996,#486997,#486998,#486999,#487000,#487001,#487002,#487003, #487004,#487005),(#487006,#487007,#487008,#487009,#487010,#487011,#487012, #487013,#487014,#487015,#487016),(#487017,#487018,#487019,#487020,#487021, #487022,#487023,#487024,#487025,#487026,#487027),(#487028,#487029,#487030, #487031,#487032,#487033,#487034,#487035,#487036,#487037,#487038)), .UNSPECIFIED.,.F.,.T.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,4),(3,2,2,2,2,3),(0.,0.02214743093905,0.05117952038786,0.1011093117191, 0.1493885517542,0.1936851474661,0.2343256428085,0.2735989093123,0.3144964633018, 0.3591075045766,0.4083339532876,0.4621916522685,0.5190942391137,0.5745380284214, 0.6259648606153,0.6773184285279,0.7305823107659,0.7813421172123,0.826240836024, 0.8656550315422,0.9021050949078,0.939371864834,1.),(-0.241765418589566, 0.,1.5707963267949,3.14159265358979,4.71238898038469,6.04141988859002), .UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((0.923716814517648,0.954920029770527,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.752186751416021,0.923716814517648), (0.923716814517648,0.954920029770527,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.752186751416021,0.923716814517648),(0.923716814517648, 0.954920029770527,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.752186751416021,0.923716814517648),(0.923716814517648,0.954920029770527, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.752186751416021, 0.923716814517648),(0.923716814517648,0.954920029770527,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.752186751416021,0.923716814517648), (0.923716814517648,0.954920029770527,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.752186751416021,0.923716814517648),(0.923716814517648, 0.954920029770527,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.752186751416021,0.923716814517648),(0.923716814517648,0.954920029770527, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.752186751416021, 0.923716814517648),(0.923716814517648,0.954920029770527,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.752186751416021,0.923716814517648), (0.923716814517648,0.954920029770527,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.752186751416021,0.923716814517648),(0.923716814517648, 0.954920029770527,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.752186751416021,0.923716814517648),(0.923716814517648,0.954920029770527, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.752186751416021, 0.923716814517648),(0.923716814517648,0.954920029770527,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.752186751416021,0.923716814517648), (0.923716814517648,0.954920029770527,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.752186751416021,0.923716814517648),(0.923716814517648, 0.954920029770527,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.752186751416021,0.923716814517648),(0.923716814517648,0.954920029770527, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.752186751416021, 0.923716814517648),(0.923716814517648,0.954920029770527,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.752186751416021,0.923716814517648), (0.923716814517648,0.954920029770527,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.752186751416021,0.923716814517648),(0.923716814517648, 0.954920029770527,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.752186751416021,0.923716814517648),(0.923716814517648,0.954920029770527, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.752186751416021, 0.923716814517648),(0.923716814517648,0.954920029770527,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.752186751416021,0.923716814517648), (0.923716814517648,0.954920029770527,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.752186751416021,0.923716814517648),(0.923716814517648, 0.954920029770527,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.752186751416021,0.923716814517648),(0.923716814517648,0.954920029770527, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.752186751416021, 0.923716814517648),(0.923716814517648,0.954920029770527,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.752186751416021,0.923716814517648))) REPRESENTATION_ITEM('') SURFACE() ); #833=( BOUNDED_SURFACE() B_SPLINE_SURFACE(3,2,((#487457,#487458,#487459,#487460,#487461,#487462, #487463,#487464,#487465),(#487466,#487467,#487468,#487469,#487470,#487471, #487472,#487473,#487474),(#487475,#487476,#487477,#487478,#487479,#487480, #487481,#487482,#487483),(#487484,#487485,#487486,#487487,#487488,#487489, #487490,#487491,#487492),(#487493,#487494,#487495,#487496,#487497,#487498, #487499,#487500,#487501),(#487502,#487503,#487504,#487505,#487506,#487507, #487508,#487509,#487510),(#487511,#487512,#487513,#487514,#487515,#487516, #487517,#487518,#487519),(#487520,#487521,#487522,#487523,#487524,#487525, #487526,#487527,#487528),(#487529,#487530,#487531,#487532,#487533,#487534, #487535,#487536,#487537),(#487538,#487539,#487540,#487541,#487542,#487543, #487544,#487545,#487546),(#487547,#487548,#487549,#487550,#487551,#487552, #487553,#487554,#487555),(#487556,#487557,#487558,#487559,#487560,#487561, #487562,#487563,#487564)),.UNSPECIFIED.,.F.,.T.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((4,1,1,1,1,1,1,1,1,4),(3,2,2,2,3),(0.,0.06595893347558, 0.1838950513417,0.302383599567,0.429865257976,0.5587788753077,0.6759563157, 0.7821934419393,0.8795791682249,1.),(0.,1.5707963267949,3.14159265358979, 4.71238898038469,6.28318530717959),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.))) REPRESENTATION_ITEM('') SURFACE() ); #834=( BOUNDED_SURFACE() B_SPLINE_SURFACE(3,2,((#487947,#487948,#487949,#487950,#487951,#487952, #487953,#487954,#487955),(#487956,#487957,#487958,#487959,#487960,#487961, #487962,#487963,#487964),(#487965,#487966,#487967,#487968,#487969,#487970, #487971,#487972,#487973),(#487974,#487975,#487976,#487977,#487978,#487979, #487980,#487981,#487982),(#487983,#487984,#487985,#487986,#487987,#487988, #487989,#487990,#487991),(#487992,#487993,#487994,#487995,#487996,#487997, #487998,#487999,#488000),(#488001,#488002,#488003,#488004,#488005,#488006, #488007,#488008,#488009),(#488010,#488011,#488012,#488013,#488014,#488015, #488016,#488017,#488018),(#488019,#488020,#488021,#488022,#488023,#488024, #488025,#488026,#488027),(#488028,#488029,#488030,#488031,#488032,#488033, #488034,#488035,#488036),(#488037,#488038,#488039,#488040,#488041,#488042, #488043,#488044,#488045),(#488046,#488047,#488048,#488049,#488050,#488051, #488052,#488053,#488054)),.UNSPECIFIED.,.F.,.T.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((4,1,1,1,1,1,1,1,1,4),(3,2,2,2,3),(0.,0.06595893344177, 0.183895051394,0.3023835996267,0.4298652580437,0.5587788753834,0.675956315783, 0.7821934420289,0.8795791683206,1.),(0.,1.5707963267949,3.14159265358979, 4.71238898038469,6.28318530717959),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.))) REPRESENTATION_ITEM('') SURFACE() ); #835=( BOUNDED_SURFACE() B_SPLINE_SURFACE(3,2,((#488768,#488769,#488770,#488771,#488772,#488773, #488774,#488775,#488776),(#488777,#488778,#488779,#488780,#488781,#488782, #488783,#488784,#488785),(#488786,#488787,#488788,#488789,#488790,#488791, #488792,#488793,#488794),(#488795,#488796,#488797,#488798,#488799,#488800, #488801,#488802,#488803),(#488804,#488805,#488806,#488807,#488808,#488809, #488810,#488811,#488812),(#488813,#488814,#488815,#488816,#488817,#488818, #488819,#488820,#488821),(#488822,#488823,#488824,#488825,#488826,#488827, #488828,#488829,#488830),(#488831,#488832,#488833,#488834,#488835,#488836, #488837,#488838,#488839),(#488840,#488841,#488842,#488843,#488844,#488845, #488846,#488847,#488848),(#488849,#488850,#488851,#488852,#488853,#488854, #488855,#488856,#488857),(#488858,#488859,#488860,#488861,#488862,#488863, #488864,#488865,#488866),(#488867,#488868,#488869,#488870,#488871,#488872, #488873,#488874,#488875)),.UNSPECIFIED.,.F.,.T.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((4,1,1,1,1,1,1,1,1,4),(3,2,2,2,3),(0.,0.06595893340383, 0.1838950512526,0.3023835994818,0.4298652578945,0.5587788752301,0.6759563156259, 0.7821934418684,0.8795791681571,1.),(0.,1.5707963267949,3.14159265358979, 4.71238898038469,6.28318530717959),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.))) REPRESENTATION_ITEM('') SURFACE() ); #836=( BOUNDED_SURFACE() B_SPLINE_SURFACE(3,2,((#489158,#489159,#489160,#489161,#489162,#489163, #489164,#489165,#489166),(#489167,#489168,#489169,#489170,#489171,#489172, #489173,#489174,#489175),(#489176,#489177,#489178,#489179,#489180,#489181, #489182,#489183,#489184),(#489185,#489186,#489187,#489188,#489189,#489190, #489191,#489192,#489193),(#489194,#489195,#489196,#489197,#489198,#489199, #489200,#489201,#489202),(#489203,#489204,#489205,#489206,#489207,#489208, #489209,#489210,#489211),(#489212,#489213,#489214,#489215,#489216,#489217, #489218,#489219,#489220),(#489221,#489222,#489223,#489224,#489225,#489226, #489227,#489228,#489229),(#489230,#489231,#489232,#489233,#489234,#489235, #489236,#489237,#489238),(#489239,#489240,#489241,#489242,#489243,#489244, #489245,#489246,#489247),(#489248,#489249,#489250,#489251,#489252,#489253, #489254,#489255,#489256),(#489257,#489258,#489259,#489260,#489261,#489262, #489263,#489264,#489265),(#489266,#489267,#489268,#489269,#489270,#489271, #489272,#489273,#489274),(#489275,#489276,#489277,#489278,#489279,#489280, #489281,#489282,#489283),(#489284,#489285,#489286,#489287,#489288,#489289, #489290,#489291,#489292),(#489293,#489294,#489295,#489296,#489297,#489298, #489299,#489300,#489301),(#489302,#489303,#489304,#489305,#489306,#489307, #489308,#489309,#489310),(#489311,#489312,#489313,#489314,#489315,#489316, #489317,#489318,#489319),(#489320,#489321,#489322,#489323,#489324,#489325, #489326,#489327,#489328),(#489329,#489330,#489331,#489332,#489333,#489334, #489335,#489336,#489337),(#489338,#489339,#489340,#489341,#489342,#489343, #489344,#489345,#489346),(#489347,#489348,#489349,#489350,#489351,#489352, #489353,#489354,#489355),(#489356,#489357,#489358,#489359,#489360,#489361, #489362,#489363,#489364),(#489365,#489366,#489367,#489368,#489369,#489370, #489371,#489372,#489373),(#489374,#489375,#489376,#489377,#489378,#489379, #489380,#489381,#489382)),.UNSPECIFIED.,.F.,.T.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,4),(3,2,2,2,3),(0.,0.02214743093905,0.05117952038786,0.1011093117191, 0.1493885517542,0.1936851474661,0.2343256428085,0.2735989093123,0.3144964633018, 0.3591075045766,0.4083339532876,0.4621916522685,0.5190942391137,0.5745380284214, 0.6259648606153,0.6773184285279,0.7305823107659,0.7813421172123,0.826240836024, 0.8656550315422,0.9021050949078,0.939371864834,1.),(0.,1.5707963267949, 3.14159265358979,4.71238898038469,6.28318530717959),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.))) REPRESENTATION_ITEM('') SURFACE() ); #837=( BOUNDED_SURFACE() B_SPLINE_SURFACE(3,2,((#489417,#489418,#489419,#489420,#489421,#489422, #489423,#489424,#489425),(#489426,#489427,#489428,#489429,#489430,#489431, #489432,#489433,#489434),(#489435,#489436,#489437,#489438,#489439,#489440, #489441,#489442,#489443),(#489444,#489445,#489446,#489447,#489448,#489449, #489450,#489451,#489452),(#489453,#489454,#489455,#489456,#489457,#489458, #489459,#489460,#489461),(#489462,#489463,#489464,#489465,#489466,#489467, #489468,#489469,#489470),(#489471,#489472,#489473,#489474,#489475,#489476, #489477,#489478,#489479),(#489480,#489481,#489482,#489483,#489484,#489485, #489486,#489487,#489488),(#489489,#489490,#489491,#489492,#489493,#489494, #489495,#489496,#489497),(#489498,#489499,#489500,#489501,#489502,#489503, #489504,#489505,#489506),(#489507,#489508,#489509,#489510,#489511,#489512, #489513,#489514,#489515),(#489516,#489517,#489518,#489519,#489520,#489521, #489522,#489523,#489524),(#489525,#489526,#489527,#489528,#489529,#489530, #489531,#489532,#489533),(#489534,#489535,#489536,#489537,#489538,#489539, #489540,#489541,#489542),(#489543,#489544,#489545,#489546,#489547,#489548, #489549,#489550,#489551),(#489552,#489553,#489554,#489555,#489556,#489557, #489558,#489559,#489560),(#489561,#489562,#489563,#489564,#489565,#489566, #489567,#489568,#489569),(#489570,#489571,#489572,#489573,#489574,#489575, #489576,#489577,#489578),(#489579,#489580,#489581,#489582,#489583,#489584, #489585,#489586,#489587),(#489588,#489589,#489590,#489591,#489592,#489593, #489594,#489595,#489596),(#489597,#489598,#489599,#489600,#489601,#489602, #489603,#489604,#489605),(#489606,#489607,#489608,#489609,#489610,#489611, #489612,#489613,#489614),(#489615,#489616,#489617,#489618,#489619,#489620, #489621,#489622,#489623),(#489624,#489625,#489626,#489627,#489628,#489629, #489630,#489631,#489632)),.UNSPECIFIED.,.F.,.T.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 4),(3,2,2,2,3),(0.,0.05117952043802,0.1011093117662,0.1493885517984,0.1936851475077, 0.2343256428478,0.2735989093492,0.3144964633361,0.3591075046083,0.4083339533164, 0.4621916522941,0.5190942391359,0.5745380284403,0.625964860631,0.6773184285406, 0.7305823107753,0.7813421172187,0.8262408360278,0.8656550315436,0.902105094907, 0.9393718648311,1.),(0.,1.5707963267949,3.14159265358979,4.71238898038469, 6.28318530717959),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.))) REPRESENTATION_ITEM('') SURFACE() ); #838=( BOUNDED_SURFACE() B_SPLINE_SURFACE(3,2,((#489928,#489929,#489930,#489931,#489932,#489933, #489934,#489935,#489936),(#489937,#489938,#489939,#489940,#489941,#489942, #489943,#489944,#489945),(#489946,#489947,#489948,#489949,#489950,#489951, #489952,#489953,#489954),(#489955,#489956,#489957,#489958,#489959,#489960, #489961,#489962,#489963),(#489964,#489965,#489966,#489967,#489968,#489969, #489970,#489971,#489972),(#489973,#489974,#489975,#489976,#489977,#489978, #489979,#489980,#489981),(#489982,#489983,#489984,#489985,#489986,#489987, #489988,#489989,#489990),(#489991,#489992,#489993,#489994,#489995,#489996, #489997,#489998,#489999),(#490000,#490001,#490002,#490003,#490004,#490005, #490006,#490007,#490008),(#490009,#490010,#490011,#490012,#490013,#490014, #490015,#490016,#490017),(#490018,#490019,#490020,#490021,#490022,#490023, #490024,#490025,#490026),(#490027,#490028,#490029,#490030,#490031,#490032, #490033,#490034,#490035),(#490036,#490037,#490038,#490039,#490040,#490041, #490042,#490043,#490044),(#490045,#490046,#490047,#490048,#490049,#490050, #490051,#490052,#490053),(#490054,#490055,#490056,#490057,#490058,#490059, #490060,#490061,#490062),(#490063,#490064,#490065,#490066,#490067,#490068, #490069,#490070,#490071),(#490072,#490073,#490074,#490075,#490076,#490077, #490078,#490079,#490080),(#490081,#490082,#490083,#490084,#490085,#490086, #490087,#490088,#490089),(#490090,#490091,#490092,#490093,#490094,#490095, #490096,#490097,#490098),(#490099,#490100,#490101,#490102,#490103,#490104, #490105,#490106,#490107),(#490108,#490109,#490110,#490111,#490112,#490113, #490114,#490115,#490116),(#490117,#490118,#490119,#490120,#490121,#490122, #490123,#490124,#490125),(#490126,#490127,#490128,#490129,#490130,#490131, #490132,#490133,#490134),(#490135,#490136,#490137,#490138,#490139,#490140, #490141,#490142,#490143)),.UNSPECIFIED.,.F.,.T.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 4),(3,2,2,2,3),(0.,0.05117952043802,0.1011093117662,0.1493885517984,0.1936851475077, 0.2343256428478,0.2735989093492,0.3144964633361,0.3591075046083,0.4083339533164, 0.4621916522941,0.5190942391359,0.5745380284403,0.625964860631,0.6773184285406, 0.7305823107753,0.7813421172187,0.8262408360278,0.8656550315436,0.902105094907, 0.9393718648311,1.),(0.,1.5707963267949,3.14159265358979,4.71238898038469, 6.28318530717959),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.))) REPRESENTATION_ITEM('') SURFACE() ); #839=( BOUNDED_SURFACE() B_SPLINE_SURFACE(3,3,((#585855,#585856,#585857,#585858),(#585859,#585860, #585861,#585862),(#585863,#585864,#585865,#585866),(#585867,#585868,#585869, #585870),(#585871,#585872,#585873,#585874),(#585875,#585876,#585877,#585878), (#585879,#585880,#585881,#585882)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((4,3,4),(4,4),(-0.635528307263547,0.00408186307996069, 1.),(0.,1.),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.333333333333298,0.333333333333298,1.), (1.,0.333333333333298,0.333333333333298,1.),(1.,0.333333333333298,0.333333333333298, 1.),(1.,0.333333333333298,0.333333333333298,1.),(1.,0.333333333333298,0.333333333333298, 1.),(1.,0.333333333333298,0.333333333333298,1.),(1.,0.333333333333298,0.333333333333298, 1.))) REPRESENTATION_ITEM('') SURFACE() ); #840=( BOUNDED_SURFACE() B_SPLINE_SURFACE(3,3,((#585957,#585958,#585959,#585960),(#585961,#585962, #585963,#585964),(#585965,#585966,#585967,#585968),(#585969,#585970,#585971, #585972),(#585973,#585974,#585975,#585976),(#585977,#585978,#585979,#585980), (#585981,#585982,#585983,#585984)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((4,3,4),(4,4),(-0.119730781394121,0.,1.),(0., 1.),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.333333333333333,0.333333333333333,1.), (1.,0.333333333333333,0.333333333333333,1.),(1.,0.333333333333333,0.333333333333333, 1.),(1.,0.333333333333333,0.333333333333333,1.),(1.,0.333333333333333,0.333333333333333, 1.),(1.,0.333333333333333,0.333333333333333,1.),(1.,0.333333333333333,0.333333333333333, 1.))) REPRESENTATION_ITEM('') SURFACE() ); #841=STYLED_ITEM('',(#596458),#2181); #842=STYLED_ITEM('',(#596458),#2182); #843=STYLED_ITEM('',(#596455),#2183); #844=STYLED_ITEM('',(#596455),#2184); #845=STYLED_ITEM('',(#596455),#2185); #846=STYLED_ITEM('',(#596455),#2186); #847=STYLED_ITEM('',(#596455),#2187); #848=STYLED_ITEM('',(#596455),#2188); #849=STYLED_ITEM('',(#596456),#2189); #850=STYLED_ITEM('',(#596454),#2190); #851=STYLED_ITEM('',(#596454),#2191); #852=STYLED_ITEM('',(#596454),#2192); #853=STYLED_ITEM('',(#596454),#2193); #854=STYLED_ITEM('',(#596454),#2194); #855=STYLED_ITEM('',(#596454),#2195); #856=STYLED_ITEM('',(#596454),#2196); #857=STYLED_ITEM('',(#596454),#2197); #858=STYLED_ITEM('',(#596454),#2198); #859=STYLED_ITEM('',(#596454),#2199); #860=STYLED_ITEM('',(#596454),#2200); #861=STYLED_ITEM('',(#596454),#2201); #862=STYLED_ITEM('',(#596454),#2202); #863=STYLED_ITEM('',(#596454),#2203); #864=STYLED_ITEM('',(#596454),#2204); #865=STYLED_ITEM('',(#596454),#2205); #866=STYLED_ITEM('',(#596454),#2206); #867=STYLED_ITEM('',(#596454),#2207); #868=STYLED_ITEM('',(#596454),#2208); #869=STYLED_ITEM('',(#596454),#2209); #870=STYLED_ITEM('',(#596454),#2210); #871=STYLED_ITEM('',(#596454),#2211); #872=STYLED_ITEM('',(#596454),#2212); #873=STYLED_ITEM('',(#596454),#2213); #874=STYLED_ITEM('',(#596454),#2214); #875=STYLED_ITEM('',(#596454),#2215); #876=STYLED_ITEM('',(#596454),#2216); #877=STYLED_ITEM('',(#596454),#2217); #878=STYLED_ITEM('',(#596454),#2218); #879=STYLED_ITEM('',(#596454),#2219); #880=STYLED_ITEM('',(#596454),#2220); #881=STYLED_ITEM('',(#596454),#2221); #882=STYLED_ITEM('',(#596454),#2222); #883=STYLED_ITEM('',(#596454),#2223); #884=STYLED_ITEM('',(#596454),#2224); #885=STYLED_ITEM('',(#596454),#2225); #886=STYLED_ITEM('',(#596454),#2226); #887=STYLED_ITEM('',(#596454),#2227); #888=STYLED_ITEM('',(#596454),#2228); #889=STYLED_ITEM('',(#596454),#2229); #890=STYLED_ITEM('',(#596454),#2230); #891=STYLED_ITEM('',(#596454),#2231); #892=STYLED_ITEM('',(#596454),#2232); #893=STYLED_ITEM('',(#596454),#2233); #894=STYLED_ITEM('',(#596454),#2234); #895=STYLED_ITEM('',(#596454),#2235); #896=STYLED_ITEM('',(#596454),#2236); #897=STYLED_ITEM('',(#596454),#2237); #898=STYLED_ITEM('',(#596454),#2238); #899=STYLED_ITEM('',(#596454),#2239); #900=STYLED_ITEM('',(#596454),#2240); #901=STYLED_ITEM('',(#596454),#2241); #902=STYLED_ITEM('',(#596454),#2242); #903=STYLED_ITEM('',(#596454),#2243); #904=STYLED_ITEM('',(#596454),#2244); #905=STYLED_ITEM('',(#596454),#2245); #906=STYLED_ITEM('',(#596454),#2246); #907=STYLED_ITEM('',(#596454),#2247); #908=STYLED_ITEM('',(#596454),#2248); #909=STYLED_ITEM('',(#596454),#2249); #910=STYLED_ITEM('',(#596454),#2250); #911=STYLED_ITEM('',(#596454),#2251); #912=STYLED_ITEM('',(#596454),#2252); #913=STYLED_ITEM('',(#596454),#2253); #914=STYLED_ITEM('',(#596454),#2254); #915=STYLED_ITEM('',(#596454),#2255); #916=STYLED_ITEM('',(#596454),#2256); #917=STYLED_ITEM('',(#596454),#2257); #918=STYLED_ITEM('',(#596454),#2258); #919=STYLED_ITEM('',(#596454),#2259); #920=STYLED_ITEM('',(#596454),#2260); #921=STYLED_ITEM('',(#596454),#2261); #922=STYLED_ITEM('',(#596454),#2262); #923=STYLED_ITEM('',(#596454),#2263); #924=STYLED_ITEM('',(#596454),#2264); #925=STYLED_ITEM('',(#596454),#2265); #926=STYLED_ITEM('',(#596454),#2266); #927=STYLED_ITEM('',(#596454),#2267); #928=STYLED_ITEM('',(#596454),#2268); #929=STYLED_ITEM('',(#596454),#2269); #930=STYLED_ITEM('',(#596454),#2270); #931=STYLED_ITEM('',(#596454),#2271); #932=STYLED_ITEM('',(#596454),#2272); #933=STYLED_ITEM('',(#596454),#2273); #934=STYLED_ITEM('',(#596454),#2274); #935=STYLED_ITEM('',(#596454),#2275); #936=STYLED_ITEM('',(#596454),#2276); #937=STYLED_ITEM('',(#596454),#2277); #938=STYLED_ITEM('',(#596454),#2278); #939=STYLED_ITEM('',(#596454),#2279); #940=STYLED_ITEM('',(#596454),#2280); #941=STYLED_ITEM('',(#596454),#2281); #942=STYLED_ITEM('',(#596454),#2282); #943=STYLED_ITEM('',(#596454),#2283); #944=STYLED_ITEM('',(#596454),#2284); #945=STYLED_ITEM('',(#596454),#2285); #946=STYLED_ITEM('',(#596454),#2286); #947=STYLED_ITEM('',(#596454),#2287); #948=STYLED_ITEM('',(#596454),#2288); #949=STYLED_ITEM('',(#596454),#2289); #950=STYLED_ITEM('',(#596454),#2290); #951=STYLED_ITEM('',(#596454),#2291); #952=STYLED_ITEM('',(#596454),#2292); #953=STYLED_ITEM('',(#596454),#2293); #954=STYLED_ITEM('',(#596454),#2294); #955=STYLED_ITEM('',(#596454),#2295); #956=STYLED_ITEM('',(#596454),#2296); #957=STYLED_ITEM('',(#596454),#2297); #958=STYLED_ITEM('',(#596454),#2298); #959=STYLED_ITEM('',(#596454),#2299); #960=STYLED_ITEM('',(#596454),#2300); #961=STYLED_ITEM('',(#596454),#2301); #962=STYLED_ITEM('',(#596454),#2302); #963=STYLED_ITEM('',(#596454),#2303); #964=STYLED_ITEM('',(#596454),#2304); #965=STYLED_ITEM('',(#596454),#2305); #966=STYLED_ITEM('',(#596454),#2306); #967=STYLED_ITEM('',(#596454),#2307); #968=STYLED_ITEM('',(#596454),#2308); #969=STYLED_ITEM('',(#596454),#2309); #970=STYLED_ITEM('',(#596454),#2310); #971=STYLED_ITEM('',(#596454),#2311); #972=STYLED_ITEM('',(#596454),#2312); #973=STYLED_ITEM('',(#596454),#2313); #974=STYLED_ITEM('',(#596454),#2314); #975=STYLED_ITEM('',(#596454),#2315); #976=STYLED_ITEM('',(#596454),#2316); #977=STYLED_ITEM('',(#596454),#2317); #978=STYLED_ITEM('',(#596454),#2318); #979=STYLED_ITEM('',(#596454),#2319); #980=STYLED_ITEM('',(#596454),#2320); #981=STYLED_ITEM('',(#596454),#2321); #982=STYLED_ITEM('',(#596454),#2322); #983=STYLED_ITEM('',(#596454),#2323); #984=STYLED_ITEM('',(#596454),#2324); #985=STYLED_ITEM('',(#596454),#2325); #986=STYLED_ITEM('',(#596454),#2326); #987=STYLED_ITEM('',(#596454),#2327); #988=STYLED_ITEM('',(#596454),#2328); #989=STYLED_ITEM('',(#596454),#2329); #990=STYLED_ITEM('',(#596454),#2330); #991=STYLED_ITEM('',(#596454),#2331); #992=STYLED_ITEM('',(#596454),#2332); #993=STYLED_ITEM('',(#596454),#2333); #994=STYLED_ITEM('',(#596454),#2334); #995=STYLED_ITEM('',(#596454),#2335); #996=STYLED_ITEM('',(#596454),#2336); #997=STYLED_ITEM('',(#596454),#2337); #998=STYLED_ITEM('',(#596454),#2338); #999=STYLED_ITEM('',(#596454),#2339); #1000=STYLED_ITEM('',(#596454),#2340); #1001=STYLED_ITEM('',(#596454),#2341); #1002=STYLED_ITEM('',(#596454),#2342); #1003=STYLED_ITEM('',(#596454),#2343); #1004=STYLED_ITEM('',(#596454),#2344); #1005=STYLED_ITEM('',(#596454),#2345); #1006=STYLED_ITEM('',(#596454),#2346); #1007=STYLED_ITEM('',(#596454),#2347); #1008=STYLED_ITEM('',(#596454),#2348); #1009=STYLED_ITEM('',(#596454),#2349); #1010=STYLED_ITEM('',(#596454),#2350); #1011=STYLED_ITEM('',(#596454),#2351); #1012=STYLED_ITEM('',(#596454),#2352); #1013=STYLED_ITEM('',(#596454),#2353); #1014=STYLED_ITEM('',(#596454),#2354); #1015=STYLED_ITEM('',(#596454),#2355); #1016=STYLED_ITEM('',(#596454),#2356); #1017=STYLED_ITEM('',(#596454),#2357); #1018=STYLED_ITEM('',(#596454),#2358); #1019=STYLED_ITEM('',(#596454),#2359); #1020=STYLED_ITEM('',(#596454),#2360); #1021=STYLED_ITEM('',(#596454),#2361); #1022=STYLED_ITEM('',(#596454),#2362); #1023=STYLED_ITEM('',(#596454),#2363); #1024=STYLED_ITEM('',(#596454),#2364); #1025=STYLED_ITEM('',(#596454),#2365); #1026=STYLED_ITEM('',(#596454),#2366); #1027=STYLED_ITEM('',(#596454),#2367); #1028=STYLED_ITEM('',(#596454),#2368); #1029=STYLED_ITEM('',(#596454),#2369); #1030=STYLED_ITEM('',(#596454),#2370); #1031=STYLED_ITEM('',(#596454),#2371); #1032=STYLED_ITEM('',(#596454),#2372); #1033=STYLED_ITEM('',(#596454),#2373); #1034=STYLED_ITEM('',(#596454),#2374); #1035=STYLED_ITEM('',(#596454),#2375); #1036=STYLED_ITEM('',(#596454),#2376); #1037=STYLED_ITEM('',(#596454),#2377); #1038=STYLED_ITEM('',(#596454),#2378); #1039=STYLED_ITEM('',(#596454),#2379); #1040=STYLED_ITEM('',(#596454),#2380); #1041=STYLED_ITEM('',(#596454),#2381); #1042=STYLED_ITEM('',(#596454),#2382); #1043=STYLED_ITEM('',(#596454),#2383); #1044=STYLED_ITEM('',(#596454),#2384); #1045=STYLED_ITEM('',(#596454),#2385); #1046=STYLED_ITEM('',(#596454),#2386); #1047=STYLED_ITEM('',(#596454),#2387); #1048=STYLED_ITEM('',(#596454),#2388); #1049=STYLED_ITEM('',(#596454),#2389); #1050=STYLED_ITEM('',(#596454),#2390); #1051=STYLED_ITEM('',(#596454),#2391); #1052=STYLED_ITEM('',(#596454),#2392); #1053=STYLED_ITEM('',(#596454),#2393); #1054=STYLED_ITEM('',(#596454),#2394); #1055=STYLED_ITEM('',(#596454),#2395); #1056=STYLED_ITEM('',(#596454),#2396); #1057=STYLED_ITEM('',(#596454),#2397); #1058=STYLED_ITEM('',(#596454),#2398); #1059=STYLED_ITEM('',(#596454),#2399); #1060=STYLED_ITEM('',(#596454),#2400); #1061=STYLED_ITEM('',(#596454),#2401); #1062=STYLED_ITEM('',(#596454),#2402); #1063=STYLED_ITEM('',(#596454),#2403); #1064=STYLED_ITEM('',(#596454),#2404); #1065=STYLED_ITEM('',(#596454),#2405); #1066=STYLED_ITEM('',(#596454),#2406); #1067=STYLED_ITEM('',(#596454),#2407); #1068=STYLED_ITEM('',(#596454),#2408); #1069=STYLED_ITEM('',(#596454),#2409); #1070=STYLED_ITEM('',(#596454),#2410); #1071=STYLED_ITEM('',(#596454),#2411); #1072=STYLED_ITEM('',(#596454),#2412); #1073=STYLED_ITEM('',(#596454),#2413); #1074=STYLED_ITEM('',(#596454),#2414); #1075=STYLED_ITEM('',(#596454),#2415); #1076=STYLED_ITEM('',(#596454),#2416); #1077=STYLED_ITEM('',(#596454),#2417); #1078=STYLED_ITEM('',(#596454),#2418); #1079=STYLED_ITEM('',(#596454),#2419); #1080=STYLED_ITEM('',(#596454),#2420); #1081=STYLED_ITEM('',(#596454),#2421); #1082=STYLED_ITEM('',(#596454),#2422); #1083=STYLED_ITEM('',(#596454),#2423); #1084=STYLED_ITEM('',(#596454),#2424); #1085=STYLED_ITEM('',(#596454),#2425); #1086=STYLED_ITEM('',(#596454),#2426); #1087=STYLED_ITEM('',(#596454),#2427); #1088=STYLED_ITEM('',(#596454),#2428); #1089=STYLED_ITEM('',(#596454),#2429); #1090=STYLED_ITEM('',(#596454),#2430); #1091=STYLED_ITEM('',(#596454),#2431); #1092=STYLED_ITEM('',(#596454),#2432); #1093=STYLED_ITEM('',(#596454),#2433); #1094=STYLED_ITEM('',(#596454),#2434); #1095=STYLED_ITEM('',(#596454),#2435); #1096=STYLED_ITEM('',(#596454),#2436); #1097=STYLED_ITEM('',(#596454),#2437); #1098=STYLED_ITEM('',(#596454),#2438); #1099=STYLED_ITEM('',(#596454),#2439); #1100=STYLED_ITEM('',(#596454),#2440); #1101=STYLED_ITEM('',(#596454),#2441); #1102=STYLED_ITEM('',(#596454),#2442); #1103=STYLED_ITEM('',(#596454),#2443); #1104=STYLED_ITEM('',(#596454),#2444); #1105=STYLED_ITEM('',(#596454),#2445); #1106=STYLED_ITEM('',(#596454),#2446); #1107=STYLED_ITEM('',(#596454),#2447); #1108=STYLED_ITEM('',(#596454),#2448); #1109=STYLED_ITEM('',(#596454),#2449); #1110=STYLED_ITEM('',(#596454),#2450); #1111=STYLED_ITEM('',(#596454),#2451); #1112=STYLED_ITEM('',(#596454),#2452); #1113=STYLED_ITEM('',(#596454),#2453); #1114=STYLED_ITEM('',(#596454),#2454); #1115=STYLED_ITEM('',(#596454),#2455); #1116=STYLED_ITEM('',(#596454),#2456); #1117=STYLED_ITEM('',(#596454),#2457); #1118=STYLED_ITEM('',(#596454),#2458); #1119=STYLED_ITEM('',(#596454),#2459); #1120=STYLED_ITEM('',(#596454),#2460); #1121=STYLED_ITEM('',(#596454),#2461); #1122=STYLED_ITEM('',(#596454),#2462); #1123=STYLED_ITEM('',(#596454),#2463); #1124=STYLED_ITEM('',(#596454),#2464); #1125=STYLED_ITEM('',(#596454),#2465); #1126=STYLED_ITEM('',(#596454),#2466); #1127=STYLED_ITEM('',(#596454),#2467); #1128=STYLED_ITEM('',(#596454),#2468); #1129=STYLED_ITEM('',(#596454),#2469); #1130=STYLED_ITEM('',(#596454),#2470); #1131=STYLED_ITEM('',(#596454),#2471); #1132=STYLED_ITEM('',(#596454),#2472); #1133=STYLED_ITEM('',(#596454),#2473); #1134=STYLED_ITEM('',(#596454),#2474); #1135=STYLED_ITEM('',(#596454),#2475); #1136=STYLED_ITEM('',(#596454),#2476); #1137=STYLED_ITEM('',(#596454),#2477); #1138=STYLED_ITEM('',(#596454),#2478); #1139=STYLED_ITEM('',(#596454),#2479); #1140=STYLED_ITEM('',(#596454),#2480); #1141=STYLED_ITEM('',(#596454),#2481); #1142=STYLED_ITEM('',(#596454),#2482); #1143=STYLED_ITEM('',(#596454),#2483); #1144=STYLED_ITEM('',(#596454),#2484); #1145=STYLED_ITEM('',(#596454),#2485); #1146=STYLED_ITEM('',(#596454),#2486); #1147=STYLED_ITEM('',(#596454),#2487); #1148=STYLED_ITEM('',(#596454),#2488); #1149=STYLED_ITEM('',(#596454),#2489); #1150=STYLED_ITEM('',(#596454),#2490); #1151=STYLED_ITEM('',(#596454),#2491); #1152=STYLED_ITEM('',(#596454),#2492); #1153=STYLED_ITEM('',(#596454),#2493); #1154=STYLED_ITEM('',(#596454),#2494); #1155=STYLED_ITEM('',(#596454),#2495); #1156=STYLED_ITEM('',(#596454),#2496); #1157=STYLED_ITEM('',(#596454),#2497); #1158=STYLED_ITEM('',(#596454),#2498); #1159=STYLED_ITEM('',(#596454),#2499); #1160=STYLED_ITEM('',(#596454),#2500); #1161=STYLED_ITEM('',(#596454),#2501); #1162=STYLED_ITEM('',(#596454),#2502); #1163=STYLED_ITEM('',(#596454),#2503); #1164=STYLED_ITEM('',(#596454),#2504); #1165=STYLED_ITEM('',(#596454),#2505); #1166=STYLED_ITEM('',(#596454),#2506); #1167=STYLED_ITEM('',(#596454),#2507); #1168=STYLED_ITEM('',(#596454),#2508); #1169=STYLED_ITEM('',(#596454),#2509); #1170=STYLED_ITEM('',(#596454),#2510); #1171=STYLED_ITEM('',(#596454),#2511); #1172=STYLED_ITEM('',(#596454),#2512); #1173=STYLED_ITEM('',(#596454),#2513); #1174=STYLED_ITEM('',(#596454),#2514); #1175=STYLED_ITEM('',(#596454),#2515); #1176=STYLED_ITEM('',(#596454),#2516); #1177=STYLED_ITEM('',(#596454),#2517); #1178=STYLED_ITEM('',(#596454),#2518); #1179=STYLED_ITEM('',(#596454),#2519); #1180=STYLED_ITEM('',(#596454),#2520); #1181=STYLED_ITEM('',(#596454),#2521); #1182=STYLED_ITEM('',(#596454),#2522); #1183=STYLED_ITEM('',(#596454),#2523); #1184=STYLED_ITEM('',(#596454),#2524); #1185=STYLED_ITEM('',(#596454),#2525); #1186=STYLED_ITEM('',(#596454),#2526); #1187=STYLED_ITEM('',(#596454),#2527); #1188=STYLED_ITEM('',(#596454),#2528); #1189=STYLED_ITEM('',(#596454),#2529); #1190=STYLED_ITEM('',(#596454),#2530); #1191=STYLED_ITEM('',(#596454),#2531); #1192=STYLED_ITEM('',(#596454),#2532); #1193=STYLED_ITEM('',(#596454),#2533); #1194=STYLED_ITEM('',(#596454),#2534); #1195=STYLED_ITEM('',(#596454),#2535); #1196=STYLED_ITEM('',(#596454),#2536); #1197=STYLED_ITEM('',(#596454),#2537); #1198=STYLED_ITEM('',(#596454),#2538); #1199=STYLED_ITEM('',(#596454),#2539); #1200=STYLED_ITEM('',(#596454),#2540); #1201=STYLED_ITEM('',(#596454),#2541); #1202=STYLED_ITEM('',(#596454),#2542); #1203=STYLED_ITEM('',(#596454),#2543); #1204=STYLED_ITEM('',(#596454),#2544); #1205=STYLED_ITEM('',(#596454),#2545); #1206=STYLED_ITEM('',(#596454),#2546); #1207=STYLED_ITEM('',(#596454),#2547); #1208=STYLED_ITEM('',(#596454),#2548); #1209=STYLED_ITEM('',(#596454),#2549); #1210=STYLED_ITEM('',(#596454),#2550); #1211=STYLED_ITEM('',(#596454),#2551); #1212=STYLED_ITEM('',(#596454),#2552); #1213=STYLED_ITEM('',(#596454),#2553); #1214=STYLED_ITEM('',(#596454),#2554); #1215=STYLED_ITEM('',(#596454),#2555); #1216=STYLED_ITEM('',(#596454),#2556); #1217=STYLED_ITEM('',(#596454),#2557); #1218=STYLED_ITEM('',(#596454),#2558); #1219=STYLED_ITEM('',(#596454),#2559); #1220=STYLED_ITEM('',(#596454),#2560); #1221=STYLED_ITEM('',(#596454),#2561); #1222=STYLED_ITEM('',(#596454),#2562); #1223=STYLED_ITEM('',(#596454),#2563); #1224=STYLED_ITEM('',(#596454),#2564); #1225=STYLED_ITEM('',(#596454),#2565); #1226=STYLED_ITEM('',(#596454),#2566); #1227=STYLED_ITEM('',(#596454),#2567); #1228=STYLED_ITEM('',(#596454),#2568); #1229=STYLED_ITEM('',(#596454),#2569); #1230=STYLED_ITEM('',(#596454),#2570); #1231=STYLED_ITEM('',(#596454),#2571); #1232=STYLED_ITEM('',(#596454),#2572); #1233=STYLED_ITEM('',(#596454),#2573); #1234=STYLED_ITEM('',(#596454),#2574); #1235=STYLED_ITEM('',(#596454),#2575); #1236=STYLED_ITEM('',(#596454),#2576); #1237=STYLED_ITEM('',(#596454),#2577); #1238=STYLED_ITEM('',(#596454),#2578); #1239=STYLED_ITEM('',(#596454),#2579); #1240=STYLED_ITEM('',(#596454),#2580); #1241=STYLED_ITEM('',(#596454),#2581); #1242=STYLED_ITEM('',(#596454),#2582); #1243=STYLED_ITEM('',(#596454),#2583); #1244=STYLED_ITEM('',(#596454),#2584); #1245=STYLED_ITEM('',(#596454),#2585); #1246=STYLED_ITEM('',(#596454),#2586); #1247=STYLED_ITEM('',(#596454),#2587); #1248=STYLED_ITEM('',(#596454),#2588); #1249=STYLED_ITEM('',(#596454),#2589); #1250=STYLED_ITEM('',(#596454),#2590); #1251=STYLED_ITEM('',(#596454),#2591); #1252=STYLED_ITEM('',(#596454),#2592); #1253=STYLED_ITEM('',(#596454),#2593); #1254=STYLED_ITEM('',(#596454),#2594); #1255=STYLED_ITEM('',(#596454),#2595); #1256=STYLED_ITEM('',(#596454),#2596); #1257=STYLED_ITEM('',(#596454),#2597); #1258=STYLED_ITEM('',(#596454),#2598); #1259=STYLED_ITEM('',(#596454),#2599); #1260=STYLED_ITEM('',(#596454),#2600); #1261=STYLED_ITEM('',(#596454),#2601); #1262=STYLED_ITEM('',(#596454),#2602); #1263=STYLED_ITEM('',(#596454),#2603); #1264=STYLED_ITEM('',(#596454),#2604); #1265=STYLED_ITEM('',(#596454),#2605); #1266=STYLED_ITEM('',(#596454),#2606); #1267=STYLED_ITEM('',(#596454),#2607); #1268=STYLED_ITEM('',(#596454),#2608); #1269=STYLED_ITEM('',(#596454),#2609); #1270=STYLED_ITEM('',(#596454),#2610); #1271=STYLED_ITEM('',(#596454),#2611); #1272=STYLED_ITEM('',(#596454),#2612); #1273=STYLED_ITEM('',(#596454),#2613); #1274=STYLED_ITEM('',(#596454),#2614); #1275=STYLED_ITEM('',(#596454),#2615); #1276=STYLED_ITEM('',(#596454),#2616); #1277=STYLED_ITEM('',(#596454),#2617); #1278=STYLED_ITEM('',(#596454),#2618); #1279=STYLED_ITEM('',(#596454),#2619); #1280=STYLED_ITEM('',(#596454),#2620); #1281=STYLED_ITEM('',(#596454),#2621); #1282=STYLED_ITEM('',(#596454),#2622); #1283=STYLED_ITEM('',(#596454),#2623); #1284=STYLED_ITEM('',(#596454),#2624); #1285=STYLED_ITEM('',(#596454),#2625); #1286=STYLED_ITEM('',(#596454),#2626); #1287=STYLED_ITEM('',(#596454),#2627); #1288=STYLED_ITEM('',(#596454),#2628); #1289=STYLED_ITEM('',(#596454),#2629); #1290=STYLED_ITEM('',(#596454),#2630); #1291=STYLED_ITEM('',(#596454),#2631); #1292=STYLED_ITEM('',(#596454),#2632); #1293=STYLED_ITEM('',(#596454),#2633); #1294=STYLED_ITEM('',(#596454),#2634); #1295=STYLED_ITEM('',(#596454),#2635); #1296=STYLED_ITEM('',(#596454),#2636); #1297=STYLED_ITEM('',(#596454),#2637); #1298=STYLED_ITEM('',(#596454),#2638); #1299=STYLED_ITEM('',(#596454),#2639); #1300=STYLED_ITEM('',(#596454),#2640); #1301=STYLED_ITEM('',(#596454),#2641); #1302=STYLED_ITEM('',(#596454),#2642); #1303=STYLED_ITEM('',(#596454),#2643); #1304=STYLED_ITEM('',(#596454),#2644); #1305=STYLED_ITEM('',(#596454),#2645); #1306=STYLED_ITEM('',(#596454),#2646); #1307=STYLED_ITEM('',(#596454),#2647); #1308=STYLED_ITEM('',(#596454),#2648); #1309=STYLED_ITEM('',(#596454),#2649); #1310=STYLED_ITEM('',(#596454),#2650); #1311=STYLED_ITEM('',(#596454),#2651); #1312=STYLED_ITEM('',(#596454),#2652); #1313=STYLED_ITEM('',(#596454),#2653); #1314=STYLED_ITEM('',(#596454),#2654); #1315=STYLED_ITEM('',(#596454),#2655); #1316=STYLED_ITEM('',(#596454),#2656); #1317=STYLED_ITEM('',(#596454),#2657); #1318=STYLED_ITEM('',(#596454),#2658); #1319=STYLED_ITEM('',(#596454),#2659); #1320=STYLED_ITEM('',(#596454),#2660); #1321=STYLED_ITEM('',(#596454),#2661); #1322=STYLED_ITEM('',(#596454),#2662); #1323=STYLED_ITEM('',(#596454),#2663); #1324=STYLED_ITEM('',(#596454),#2664); #1325=STYLED_ITEM('',(#596454),#2665); #1326=STYLED_ITEM('',(#596454),#2666); #1327=STYLED_ITEM('',(#596454),#2667); #1328=STYLED_ITEM('',(#596454),#2668); #1329=STYLED_ITEM('',(#596454),#2669); #1330=STYLED_ITEM('',(#596454),#2670); #1331=STYLED_ITEM('',(#596454),#2671); #1332=STYLED_ITEM('',(#596454),#2672); #1333=STYLED_ITEM('',(#596454),#2673); #1334=STYLED_ITEM('',(#596454),#2674); #1335=STYLED_ITEM('',(#596454),#2675); #1336=STYLED_ITEM('',(#596454),#2676); #1337=STYLED_ITEM('',(#596454),#2677); #1338=STYLED_ITEM('',(#596454),#2678); #1339=STYLED_ITEM('',(#596454),#2679); #1340=STYLED_ITEM('',(#596454),#2680); #1341=STYLED_ITEM('',(#596454),#2681); #1342=STYLED_ITEM('',(#596454),#2682); #1343=STYLED_ITEM('',(#596454),#2683); #1344=STYLED_ITEM('',(#596454),#2684); #1345=STYLED_ITEM('',(#596454),#2685); #1346=STYLED_ITEM('',(#596454),#2686); #1347=STYLED_ITEM('',(#596454),#2687); #1348=STYLED_ITEM('',(#596454),#2688); #1349=STYLED_ITEM('',(#596454),#2689); #1350=STYLED_ITEM('',(#596454),#2690); #1351=STYLED_ITEM('',(#596454),#2691); #1352=STYLED_ITEM('',(#596454),#2692); #1353=STYLED_ITEM('',(#596454),#2693); #1354=STYLED_ITEM('',(#596454),#2694); #1355=STYLED_ITEM('',(#596454),#2695); #1356=STYLED_ITEM('',(#596454),#2696); #1357=STYLED_ITEM('',(#596454),#2697); #1358=STYLED_ITEM('',(#596454),#2698); #1359=STYLED_ITEM('',(#596454),#2699); #1360=STYLED_ITEM('',(#596454),#2700); #1361=STYLED_ITEM('',(#596454),#2701); #1362=STYLED_ITEM('',(#596454),#2702); #1363=STYLED_ITEM('',(#596454),#2703); #1364=STYLED_ITEM('',(#596454),#2704); #1365=STYLED_ITEM('',(#596454),#2705); #1366=STYLED_ITEM('',(#596454),#2706); #1367=STYLED_ITEM('',(#596454),#2707); #1368=STYLED_ITEM('',(#596454),#2708); #1369=STYLED_ITEM('',(#596454),#2709); #1370=STYLED_ITEM('',(#596454),#2710); #1371=STYLED_ITEM('',(#596454),#2711); #1372=STYLED_ITEM('',(#596454),#2712); #1373=STYLED_ITEM('',(#596454),#2713); #1374=STYLED_ITEM('',(#596454),#2714); #1375=STYLED_ITEM('',(#596454),#2715); #1376=STYLED_ITEM('',(#596454),#2716); #1377=STYLED_ITEM('',(#596454),#2717); #1378=STYLED_ITEM('',(#596454),#2718); #1379=STYLED_ITEM('',(#596454),#2719); #1380=STYLED_ITEM('',(#596454),#2720); #1381=STYLED_ITEM('',(#596454),#2721); #1382=STYLED_ITEM('',(#596454),#2722); #1383=STYLED_ITEM('',(#596454),#2723); #1384=STYLED_ITEM('',(#596454),#2724); #1385=STYLED_ITEM('',(#596454),#2725); #1386=STYLED_ITEM('',(#596454),#2726); #1387=STYLED_ITEM('',(#596454),#2727); #1388=STYLED_ITEM('',(#596454),#2728); #1389=STYLED_ITEM('',(#596454),#2729); #1390=STYLED_ITEM('',(#596454),#2730); #1391=STYLED_ITEM('',(#596454),#2731); #1392=STYLED_ITEM('',(#596454),#2732); #1393=STYLED_ITEM('',(#596454),#2733); #1394=STYLED_ITEM('',(#596454),#2734); #1395=STYLED_ITEM('',(#596454),#2735); #1396=STYLED_ITEM('',(#596454),#2736); #1397=STYLED_ITEM('',(#596454),#2737); #1398=STYLED_ITEM('',(#596454),#2738); #1399=STYLED_ITEM('',(#596454),#2739); #1400=STYLED_ITEM('',(#596454),#2740); #1401=STYLED_ITEM('',(#596454),#2741); #1402=STYLED_ITEM('',(#596454),#2742); #1403=STYLED_ITEM('',(#596454),#2743); #1404=STYLED_ITEM('',(#596454),#2744); #1405=STYLED_ITEM('',(#596454),#2745); #1406=STYLED_ITEM('',(#596454),#2746); #1407=STYLED_ITEM('',(#596454),#2747); #1408=STYLED_ITEM('',(#596454),#2748); #1409=STYLED_ITEM('',(#596454),#2749); #1410=STYLED_ITEM('',(#596454),#2750); #1411=STYLED_ITEM('',(#596454),#2751); #1412=STYLED_ITEM('',(#596454),#2752); #1413=STYLED_ITEM('',(#596454),#2753); #1414=STYLED_ITEM('',(#596454),#2754); #1415=STYLED_ITEM('',(#596454),#2755); #1416=STYLED_ITEM('',(#596454),#2756); #1417=STYLED_ITEM('',(#596454),#2757); #1418=STYLED_ITEM('',(#596454),#2758); #1419=STYLED_ITEM('',(#596454),#2759); #1420=STYLED_ITEM('',(#596454),#2760); #1421=STYLED_ITEM('',(#596454),#2761); #1422=STYLED_ITEM('',(#596454),#2762); #1423=STYLED_ITEM('',(#596454),#2763); #1424=STYLED_ITEM('',(#596454),#2764); #1425=STYLED_ITEM('',(#596454),#2765); #1426=STYLED_ITEM('',(#596454),#2766); #1427=STYLED_ITEM('',(#596454),#2767); #1428=STYLED_ITEM('',(#596454),#2768); #1429=STYLED_ITEM('',(#596454),#2769); #1430=STYLED_ITEM('',(#596454),#2770); #1431=STYLED_ITEM('',(#596454),#2771); #1432=STYLED_ITEM('',(#596454),#2772); #1433=STYLED_ITEM('',(#596454),#2773); #1434=STYLED_ITEM('',(#596454),#2774); #1435=STYLED_ITEM('',(#596454),#2775); #1436=STYLED_ITEM('',(#596454),#2776); #1437=STYLED_ITEM('',(#596454),#2777); #1438=STYLED_ITEM('',(#596454),#2778); #1439=STYLED_ITEM('',(#596454),#2779); #1440=STYLED_ITEM('',(#596454),#2780); #1441=STYLED_ITEM('',(#596454),#2781); #1442=STYLED_ITEM('',(#596454),#2782); #1443=STYLED_ITEM('',(#596454),#2783); #1444=STYLED_ITEM('',(#596454),#2784); #1445=STYLED_ITEM('',(#596454),#2785); #1446=STYLED_ITEM('',(#596454),#2786); #1447=STYLED_ITEM('',(#596454),#2787); #1448=STYLED_ITEM('',(#596454),#2788); #1449=STYLED_ITEM('',(#596454),#2789); #1450=STYLED_ITEM('',(#596454),#2790); #1451=STYLED_ITEM('',(#596454),#2791); #1452=STYLED_ITEM('',(#596454),#2792); #1453=STYLED_ITEM('',(#596454),#2793); #1454=STYLED_ITEM('',(#596454),#2794); #1455=STYLED_ITEM('',(#596454),#2795); #1456=STYLED_ITEM('',(#596454),#2796); #1457=STYLED_ITEM('',(#596454),#2797); #1458=STYLED_ITEM('',(#596454),#2798); #1459=STYLED_ITEM('',(#596454),#2799); #1460=STYLED_ITEM('',(#596454),#2800); #1461=STYLED_ITEM('',(#596454),#2801); #1462=STYLED_ITEM('',(#596454),#2802); #1463=STYLED_ITEM('',(#596454),#2803); #1464=STYLED_ITEM('',(#596454),#2804); #1465=STYLED_ITEM('',(#596454),#2805); #1466=STYLED_ITEM('',(#596454),#2806); #1467=STYLED_ITEM('',(#596454),#2807); #1468=STYLED_ITEM('',(#596454),#2808); #1469=STYLED_ITEM('',(#596454),#2809); #1470=STYLED_ITEM('',(#596454),#2810); #1471=STYLED_ITEM('',(#596454),#2811); #1472=STYLED_ITEM('',(#596454),#2812); #1473=STYLED_ITEM('',(#596454),#2813); #1474=STYLED_ITEM('',(#596454),#2814); #1475=STYLED_ITEM('',(#596454),#2815); #1476=STYLED_ITEM('',(#596454),#2816); #1477=STYLED_ITEM('',(#596454),#2817); #1478=STYLED_ITEM('',(#596454),#2818); #1479=STYLED_ITEM('',(#596454),#2819); #1480=STYLED_ITEM('',(#596454),#2820); #1481=STYLED_ITEM('',(#596454),#2821); #1482=STYLED_ITEM('',(#596454),#2822); #1483=STYLED_ITEM('',(#596454),#2823); #1484=STYLED_ITEM('',(#596454),#2824); #1485=STYLED_ITEM('',(#596454),#2825); #1486=STYLED_ITEM('',(#596454),#2826); #1487=STYLED_ITEM('',(#596454),#2827); #1488=STYLED_ITEM('',(#596454),#2828); #1489=STYLED_ITEM('',(#596454),#2829); #1490=STYLED_ITEM('',(#596454),#2830); #1491=STYLED_ITEM('',(#596454),#2831); #1492=STYLED_ITEM('',(#596454),#2832); #1493=STYLED_ITEM('',(#596454),#2833); #1494=STYLED_ITEM('',(#596454),#2834); #1495=STYLED_ITEM('',(#596454),#2835); #1496=STYLED_ITEM('',(#596454),#2836); #1497=STYLED_ITEM('',(#596454),#2837); #1498=STYLED_ITEM('',(#596454),#2838); #1499=STYLED_ITEM('',(#596454),#2839); #1500=STYLED_ITEM('',(#596454),#2840); #1501=STYLED_ITEM('',(#596454),#2841); #1502=STYLED_ITEM('',(#596454),#2842); #1503=STYLED_ITEM('',(#596454),#2843); #1504=STYLED_ITEM('',(#596454),#2844); #1505=STYLED_ITEM('',(#596454),#2845); #1506=STYLED_ITEM('',(#596454),#2846); #1507=STYLED_ITEM('',(#596454),#2847); #1508=STYLED_ITEM('',(#596454),#2848); #1509=STYLED_ITEM('',(#596454),#2849); #1510=STYLED_ITEM('',(#596454),#2850); #1511=STYLED_ITEM('',(#596454),#2851); #1512=STYLED_ITEM('',(#596454),#2852); #1513=STYLED_ITEM('',(#596454),#2853); #1514=STYLED_ITEM('',(#596454),#2854); #1515=STYLED_ITEM('',(#596454),#2855); #1516=STYLED_ITEM('',(#596454),#2856); #1517=STYLED_ITEM('',(#596454),#2857); #1518=STYLED_ITEM('',(#596454),#2858); #1519=STYLED_ITEM('',(#596454),#2859); #1520=STYLED_ITEM('',(#596454),#2860); #1521=STYLED_ITEM('',(#596454),#2861); #1522=STYLED_ITEM('',(#596454),#2862); #1523=STYLED_ITEM('',(#596454),#2863); #1524=STYLED_ITEM('',(#596454),#2864); #1525=STYLED_ITEM('',(#596454),#2865); #1526=STYLED_ITEM('',(#596454),#2866); #1527=STYLED_ITEM('',(#596454),#2867); #1528=STYLED_ITEM('',(#596454),#2868); #1529=STYLED_ITEM('',(#596454),#2869); #1530=STYLED_ITEM('',(#596454),#2870); #1531=STYLED_ITEM('',(#596454),#2871); #1532=STYLED_ITEM('',(#596454),#2872); #1533=STYLED_ITEM('',(#596454),#2873); #1534=STYLED_ITEM('',(#596454),#2874); #1535=STYLED_ITEM('',(#596454),#2875); #1536=STYLED_ITEM('',(#596454),#2876); #1537=STYLED_ITEM('',(#596454),#2877); #1538=STYLED_ITEM('',(#596454),#2878); #1539=STYLED_ITEM('',(#596454),#2879); #1540=STYLED_ITEM('',(#596454),#2880); #1541=STYLED_ITEM('',(#596454),#2881); #1542=STYLED_ITEM('',(#596454),#2882); #1543=STYLED_ITEM('',(#596454),#2883); #1544=STYLED_ITEM('',(#596454),#2884); #1545=STYLED_ITEM('',(#596454),#2885); #1546=STYLED_ITEM('',(#596454),#2886); #1547=STYLED_ITEM('',(#596454),#2887); #1548=STYLED_ITEM('',(#596454),#2888); #1549=STYLED_ITEM('',(#596454),#2889); #1550=STYLED_ITEM('',(#596454),#2890); #1551=STYLED_ITEM('',(#596454),#2891); #1552=STYLED_ITEM('',(#596454),#2892); #1553=STYLED_ITEM('',(#596454),#2893); #1554=STYLED_ITEM('',(#596454),#2894); #1555=STYLED_ITEM('',(#596454),#2895); #1556=STYLED_ITEM('',(#596454),#2896); #1557=STYLED_ITEM('',(#596454),#2897); #1558=STYLED_ITEM('',(#596454),#2898); #1559=STYLED_ITEM('',(#596454),#2899); #1560=STYLED_ITEM('',(#596454),#2900); #1561=STYLED_ITEM('',(#596454),#2901); #1562=STYLED_ITEM('',(#596454),#2902); #1563=STYLED_ITEM('',(#596454),#2903); #1564=STYLED_ITEM('',(#596454),#2904); #1565=STYLED_ITEM('',(#596454),#2905); #1566=STYLED_ITEM('',(#596454),#2906); #1567=STYLED_ITEM('',(#596454),#2907); #1568=STYLED_ITEM('',(#596454),#2908); #1569=STYLED_ITEM('',(#596454),#2909); #1570=STYLED_ITEM('',(#596454),#2910); #1571=STYLED_ITEM('',(#596454),#2911); #1572=STYLED_ITEM('',(#596454),#2912); #1573=STYLED_ITEM('',(#596454),#2913); #1574=STYLED_ITEM('',(#596454),#2914); #1575=STYLED_ITEM('',(#596454),#2915); #1576=STYLED_ITEM('',(#596454),#2916); #1577=STYLED_ITEM('',(#596454),#2917); #1578=STYLED_ITEM('',(#596454),#2918); #1579=STYLED_ITEM('',(#596454),#2919); #1580=STYLED_ITEM('',(#596454),#2920); #1581=STYLED_ITEM('',(#596454),#2921); #1582=STYLED_ITEM('',(#596454),#2922); #1583=STYLED_ITEM('',(#596454),#2923); #1584=STYLED_ITEM('',(#596454),#2924); #1585=STYLED_ITEM('',(#596454),#2925); #1586=STYLED_ITEM('',(#596454),#2926); #1587=STYLED_ITEM('',(#596454),#2927); #1588=STYLED_ITEM('',(#596454),#2928); #1589=STYLED_ITEM('',(#596454),#2929); #1590=STYLED_ITEM('',(#596454),#2930); #1591=STYLED_ITEM('',(#596454),#2931); #1592=STYLED_ITEM('',(#596454),#2932); #1593=STYLED_ITEM('',(#596454),#2933); #1594=STYLED_ITEM('',(#596454),#2934); #1595=STYLED_ITEM('',(#596454),#2935); #1596=STYLED_ITEM('',(#596454),#2936); #1597=STYLED_ITEM('',(#596454),#2937); #1598=STYLED_ITEM('',(#596454),#2938); #1599=STYLED_ITEM('',(#596454),#2939); #1600=STYLED_ITEM('',(#596454),#2940); #1601=STYLED_ITEM('',(#596454),#2941); #1602=STYLED_ITEM('',(#596454),#2942); #1603=STYLED_ITEM('',(#596454),#2943); #1604=STYLED_ITEM('',(#596454),#2944); #1605=STYLED_ITEM('',(#596454),#2945); #1606=STYLED_ITEM('',(#596454),#2946); #1607=STYLED_ITEM('',(#596454),#2947); #1608=STYLED_ITEM('',(#596454),#2948); #1609=STYLED_ITEM('',(#596454),#2949); #1610=STYLED_ITEM('',(#596454),#2950); #1611=STYLED_ITEM('',(#596454),#2951); #1612=STYLED_ITEM('',(#596454),#2952); #1613=STYLED_ITEM('',(#596454),#2953); #1614=STYLED_ITEM('',(#596454),#2954); #1615=STYLED_ITEM('',(#596454),#2955); #1616=STYLED_ITEM('',(#596454),#2956); #1617=STYLED_ITEM('',(#596454),#2957); #1618=STYLED_ITEM('',(#596454),#2958); #1619=STYLED_ITEM('',(#596454),#2959); #1620=STYLED_ITEM('',(#596454),#2960); #1621=STYLED_ITEM('',(#596454),#2961); #1622=STYLED_ITEM('',(#596454),#2962); #1623=STYLED_ITEM('',(#596454),#2963); #1624=STYLED_ITEM('',(#596454),#2964); #1625=STYLED_ITEM('',(#596454),#2965); #1626=STYLED_ITEM('',(#596454),#2966); #1627=STYLED_ITEM('',(#596454),#2967); #1628=STYLED_ITEM('',(#596454),#2968); #1629=STYLED_ITEM('',(#596454),#2969); #1630=STYLED_ITEM('',(#596454),#2970); #1631=STYLED_ITEM('',(#596454),#2971); #1632=STYLED_ITEM('',(#596454),#2972); #1633=STYLED_ITEM('',(#596454),#2973); #1634=STYLED_ITEM('',(#596454),#2974); #1635=STYLED_ITEM('',(#596454),#2975); #1636=STYLED_ITEM('',(#596454),#2976); #1637=STYLED_ITEM('',(#596454),#2977); #1638=STYLED_ITEM('',(#596454),#2978); #1639=STYLED_ITEM('',(#596454),#2979); #1640=STYLED_ITEM('',(#596454),#2980); #1641=STYLED_ITEM('',(#596454),#2981); #1642=STYLED_ITEM('',(#596454),#2982); #1643=STYLED_ITEM('',(#596457),#2983); #1644=STYLED_ITEM('',(#596457),#2984); #1645=STYLED_ITEM('',(#596460),#345380); #1646=STYLED_ITEM('',(#596460),#345381); #1647=STYLED_ITEM('',(#596460),#345383); #1648=STYLED_ITEM('',(#596460),#345384); #1649=STYLED_ITEM('',(#596460),#345385); #1650=STYLED_ITEM('',(#596460),#345386); #1651=STYLED_ITEM('',(#596460),#345387); #1652=STYLED_ITEM('',(#596460),#345388); #1653=STYLED_ITEM('',(#596460),#345389); #1654=STYLED_ITEM('',(#596460),#345390); #1655=STYLED_ITEM('',(#596460),#345391); #1656=STYLED_ITEM('',(#596460),#345392); #1657=STYLED_ITEM('',(#596460),#345393); #1658=STYLED_ITEM('',(#596460),#345394); #1659=STYLED_ITEM('',(#596460),#345395); #1660=STYLED_ITEM('',(#596460),#345396); #1661=STYLED_ITEM('',(#596460),#345397); #1662=STYLED_ITEM('',(#596460),#345398); #1663=STYLED_ITEM('',(#596460),#345399); #1664=STYLED_ITEM('',(#596460),#345400); #1665=STYLED_ITEM('',(#596460),#345401); #1666=STYLED_ITEM('',(#596460),#345402); #1667=STYLED_ITEM('',(#596460),#345403); #1668=STYLED_ITEM('',(#596461),#345404); #1669=STYLED_ITEM('',(#596461),#345405); #1670=STYLED_ITEM('',(#596461),#345406); #1671=STYLED_ITEM('',(#596461),#345407); #1672=STYLED_ITEM('',(#596461),#345408); #1673=STYLED_ITEM('',(#596461),#345409); #1674=STYLED_ITEM('',(#596461),#345410); #1675=STYLED_ITEM('',(#596461),#345411); #1676=STYLED_ITEM('',(#596461),#345412); #1677=STYLED_ITEM('',(#596461),#345413); #1678=STYLED_ITEM('',(#596461),#345414); #1679=STYLED_ITEM('',(#596461),#345415); #1680=STYLED_ITEM('',(#596461),#345416); #1681=STYLED_ITEM('',(#596461),#345417); #1682=STYLED_ITEM('',(#596461),#345418); #1683=STYLED_ITEM('',(#596461),#345419); #1684=STYLED_ITEM('',(#596461),#345420); #1685=STYLED_ITEM('',(#596461),#345421); #1686=STYLED_ITEM('',(#596460),#345422); #1687=STYLED_ITEM('',(#596460),#345423); #1688=STYLED_ITEM('',(#596460),#345424); #1689=STYLED_ITEM('',(#596460),#345425); #1690=STYLED_ITEM('',(#596460),#345426); #1691=STYLED_ITEM('',(#596460),#345427); #1692=STYLED_ITEM('',(#596461),#345428); #1693=STYLED_ITEM('',(#596461),#345429); #1694=STYLED_ITEM('',(#596461),#345430); #1695=STYLED_ITEM('',(#596461),#345431); #1696=STYLED_ITEM('',(#596461),#345432); #1697=STYLED_ITEM('',(#596461),#345433); #1698=STYLED_ITEM('',(#596461),#345434); #1699=STYLED_ITEM('',(#596461),#345435); #1700=STYLED_ITEM('',(#596461),#345436); #1701=STYLED_ITEM('',(#596461),#345437); #1702=STYLED_ITEM('',(#596461),#345438); #1703=STYLED_ITEM('',(#596461),#345439); #1704=STYLED_ITEM('',(#596461),#345440); #1705=STYLED_ITEM('',(#596461),#345441); #1706=STYLED_ITEM('',(#596461),#345442); #1707=STYLED_ITEM('',(#596461),#345443); #1708=STYLED_ITEM('',(#596461),#345444); #1709=STYLED_ITEM('',(#596461),#345445); #1710=STYLED_ITEM('',(#596461),#345446); #1711=STYLED_ITEM('',(#596461),#345447); #1712=STYLED_ITEM('',(#596461),#345448); #1713=STYLED_ITEM('',(#596461),#345449); #1714=STYLED_ITEM('',(#596461),#345450); #1715=STYLED_ITEM('',(#596461),#345451); #1716=STYLED_ITEM('',(#596461),#345452); #1717=STYLED_ITEM('',(#596461),#345453); #1718=STYLED_ITEM('',(#596461),#345454); #1719=STYLED_ITEM('',(#596461),#345455); #1720=STYLED_ITEM('',(#596461),#345456); #1721=STYLED_ITEM('',(#596461),#345457); #1722=STYLED_ITEM('',(#596461),#345458); #1723=STYLED_ITEM('',(#596461),#345459); #1724=STYLED_ITEM('',(#596461),#345460); #1725=STYLED_ITEM('',(#596461),#345461); #1726=STYLED_ITEM('',(#596461),#345462); #1727=STYLED_ITEM('',(#596461),#345463); #1728=STYLED_ITEM('',(#596461),#345464); #1729=STYLED_ITEM('',(#596461),#345465); #1730=STYLED_ITEM('',(#596461),#345466); #1731=STYLED_ITEM('',(#596461),#345467); #1732=STYLED_ITEM('',(#596461),#345468); #1733=STYLED_ITEM('',(#596461),#345469); #1734=STYLED_ITEM('',(#596461),#345470); #1735=STYLED_ITEM('',(#596461),#345471); #1736=STYLED_ITEM('',(#596461),#345472); #1737=STYLED_ITEM('',(#596461),#345473); #1738=STYLED_ITEM('',(#596461),#345474); #1739=STYLED_ITEM('',(#596461),#345475); #1740=STYLED_ITEM('',(#596461),#345476); #1741=STYLED_ITEM('',(#596461),#345477); #1742=STYLED_ITEM('',(#596461),#345478); #1743=STYLED_ITEM('',(#596461),#345479); #1744=STYLED_ITEM('',(#596461),#345480); #1745=STYLED_ITEM('',(#596461),#345481); #1746=STYLED_ITEM('',(#596460),#345482); #1747=STYLED_ITEM('',(#596459),#2985); #1748=STYLED_ITEM('',(#596462),#2986); #1749=STYLED_ITEM('',(#596462),#2987); #1750=STYLED_ITEM('',(#596463),#2988); #1751=STYLED_ITEM('',(#596464),#2989); #1752=STYLED_ITEM('',(#596465),#2990); #1753=STYLED_ITEM('',(#596466),#2991); #1754=STYLED_ITEM('',(#596467),#2992); #1755=STYLED_ITEM('',(#596467),#2993); #1756=STYLED_ITEM('',(#596467),#2994); #1757=STYLED_ITEM('',(#596467),#2995); #1758=STYLED_ITEM('',(#596467),#2996); #1759=STYLED_ITEM('',(#596467),#2997); #1760=STYLED_ITEM('',(#596467),#2998); #1761=STYLED_ITEM('',(#596467),#2999); #1762=STYLED_ITEM('',(#596467),#3000); #1763=STYLED_ITEM('',(#596467),#3001); #1764=STYLED_ITEM('',(#596467),#3002); #1765=STYLED_ITEM('',(#596467),#3003); #1766=STYLED_ITEM('',(#596467),#3004); #1767=STYLED_ITEM('',(#596467),#3005); #1768=STYLED_ITEM('',(#596468),#3006); #1769=STYLED_ITEM('',(#596469),#3007); #1770=STYLED_ITEM('',(#596467),#3008); #1771=STYLED_ITEM('',(#596459),#346561); #1772=STYLED_ITEM('',(#596459),#346562); #1773=STYLED_ITEM('',(#596459),#346563); #1774=STYLED_ITEM('',(#596459),#346564); #1775=STYLED_ITEM('',(#596459),#346565); #1776=STYLED_ITEM('',(#596459),#346566); #1777=STYLED_ITEM('',(#596459),#346567); #1778=STYLED_ITEM('',(#596459),#346568); #1779=STYLED_ITEM('',(#596459),#346569); #1780=STYLED_ITEM('',(#596459),#346570); #1781=STYLED_ITEM('',(#596459),#346571); #1782=STYLED_ITEM('',(#596459),#346572); #1783=STYLED_ITEM('',(#596459),#346573); #1784=STYLED_ITEM('',(#596459),#346574); #1785=STYLED_ITEM('',(#596459),#346575); #1786=STYLED_ITEM('',(#596459),#346576); #1787=STYLED_ITEM('',(#596459),#346577); #1788=STYLED_ITEM('',(#596459),#346578); #1789=STYLED_ITEM('',(#596459),#346579); #1790=STYLED_ITEM('',(#596459),#346580); #1791=STYLED_ITEM('',(#596459),#346581); #1792=STYLED_ITEM('',(#596459),#346582); #1793=STYLED_ITEM('',(#596459),#346583); #1794=STYLED_ITEM('',(#596459),#346584); #1795=STYLED_ITEM('',(#596459),#346585); #1796=STYLED_ITEM('',(#596459),#346586); #1797=STYLED_ITEM('',(#596459),#346587); #1798=STYLED_ITEM('',(#596459),#346588); #1799=STYLED_ITEM('',(#596459),#346589); #1800=STYLED_ITEM('',(#596459),#346590); #1801=STYLED_ITEM('',(#596459),#346591); #1802=STYLED_ITEM('',(#596459),#346592); #1803=STYLED_ITEM('',(#596459),#346593); #1804=STYLED_ITEM('',(#596459),#346594); #1805=STYLED_ITEM('',(#596459),#346595); #1806=STYLED_ITEM('',(#596459),#346596); #1807=STYLED_ITEM('',(#596471),#346597); #1808=STYLED_ITEM('',(#596471),#346598); #1809=STYLED_ITEM('',(#596471),#346599); #1810=STYLED_ITEM('',(#596471),#346600); #1811=STYLED_ITEM('',(#596471),#346601); #1812=STYLED_ITEM('',(#596471),#346602); #1813=STYLED_ITEM('',(#596471),#346603); #1814=STYLED_ITEM('',(#596471),#346604); #1815=STYLED_ITEM('',(#596471),#346605); #1816=STYLED_ITEM('',(#596471),#346606); #1817=STYLED_ITEM('',(#596471),#346607); #1818=STYLED_ITEM('',(#596471),#346608); #1819=STYLED_ITEM('',(#596471),#346609); #1820=STYLED_ITEM('',(#596471),#346610); #1821=STYLED_ITEM('',(#596471),#346611); #1822=STYLED_ITEM('',(#596471),#346612); #1823=STYLED_ITEM('',(#596471),#346613); #1824=STYLED_ITEM('',(#596471),#346614); #1825=STYLED_ITEM('',(#596471),#346615); #1826=STYLED_ITEM('',(#596471),#346616); #1827=STYLED_ITEM('',(#596471),#346617); #1828=STYLED_ITEM('',(#596471),#346618); #1829=STYLED_ITEM('',(#596471),#346619); #1830=STYLED_ITEM('',(#596471),#346620); #1831=STYLED_ITEM('',(#596471),#346621); #1832=STYLED_ITEM('',(#596471),#346622); #1833=STYLED_ITEM('',(#596471),#346623); #1834=STYLED_ITEM('',(#596471),#346624); #1835=STYLED_ITEM('',(#596471),#346625); #1836=STYLED_ITEM('',(#596471),#346626); #1837=STYLED_ITEM('',(#596471),#346627); #1838=STYLED_ITEM('',(#596471),#346628); #1839=STYLED_ITEM('',(#596471),#346629); #1840=STYLED_ITEM('',(#596471),#346630); #1841=STYLED_ITEM('',(#596471),#346631); #1842=STYLED_ITEM('',(#596471),#346632); #1843=STYLED_ITEM('',(#596459),#346633); #1844=STYLED_ITEM('',(#596459),#346634); #1845=STYLED_ITEM('',(#596459),#346635); #1846=STYLED_ITEM('',(#596459),#346636); #1847=STYLED_ITEM('',(#596459),#346637); #1848=STYLED_ITEM('',(#596459),#346638); #1849=STYLED_ITEM('',(#596459),#346639); #1850=STYLED_ITEM('',(#596459),#346640); #1851=STYLED_ITEM('',(#596459),#346641); #1852=STYLED_ITEM('',(#596470),#3009); #1853=STYLED_ITEM('',(#596462),#3010); #1854=STYLED_ITEM('',(#596462),#3011); #1855=STYLED_ITEM('',(#596472),#3012); #1856=STYLED_ITEM('',(#596462),#3013); #1857=STYLED_ITEM('',(#596462),#3014); #1858=STYLED_ITEM('',(#596473),#3015); #1859=STYLED_ITEM('',(#596462),#3016); #1860=STYLED_ITEM('',(#596462),#3017); #1861=STYLED_ITEM('',(#596473),#3018); #1862=STYLED_ITEM('',(#596475),#346816); #1863=STYLED_ITEM('',(#596475),#346817); #1864=STYLED_ITEM('',(#596474),#3019); #1865=STYLED_ITEM('',(#596476),#3020); #1866=STYLED_ITEM('',(#596477),#3021); #1867=STYLED_ITEM('',(#596477),#3022); #1868=STYLED_ITEM('',(#596478),#3023); #1869=STYLED_ITEM('',(#596478),#3024); #1870=STYLED_ITEM('',(#596478),#3025); #1871=STYLED_ITEM('',(#596478),#3026); #1872=STYLED_ITEM('',(#596478),#3027); #1873=STYLED_ITEM('',(#596478),#3028); #1874=STYLED_ITEM('',(#596478),#3029); #1875=STYLED_ITEM('',(#596478),#3030); #1876=STYLED_ITEM('',(#596478),#3031); #1877=STYLED_ITEM('',(#596479),#3032); #1878=STYLED_ITEM('',(#596477),#3033); #1879=STYLED_ITEM('',(#596477),#3034); #1880=STYLED_ITEM('',(#596477),#3035); #1881=STYLED_ITEM('',(#596477),#3036); #1882=STYLED_ITEM('',(#596477),#3037); #1883=STYLED_ITEM('',(#596477),#3038); #1884=STYLED_ITEM('',(#596477),#3039); #1885=STYLED_ITEM('',(#596477),#3040); #1886=STYLED_ITEM('',(#596477),#3041); #1887=STYLED_ITEM('',(#596477),#3042); #1888=STYLED_ITEM('',(#596477),#3043); #1889=STYLED_ITEM('',(#596477),#3044); #1890=STYLED_ITEM('',(#596477),#3045); #1891=STYLED_ITEM('',(#596477),#3046); #1892=STYLED_ITEM('',(#596477),#3047); #1893=STYLED_ITEM('',(#596477),#3048); #1894=STYLED_ITEM('',(#596480),#3049); #1895=STYLED_ITEM('',(#596479),#3050); #1896=STYLED_ITEM('',(#596477),#3051); #1897=STYLED_ITEM('',(#596477),#3052); #1898=STYLED_ITEM('',(#596477),#3053); #1899=STYLED_ITEM('',(#596477),#3054); #1900=STYLED_ITEM('',(#596477),#3055); #1901=STYLED_ITEM('',(#596477),#3056); #1902=STYLED_ITEM('',(#596477),#3057); #1903=STYLED_ITEM('',(#596477),#3058); #1904=STYLED_ITEM('',(#596477),#3059); #1905=STYLED_ITEM('',(#596477),#3060); #1906=STYLED_ITEM('',(#596477),#3061); #1907=STYLED_ITEM('',(#596477),#3062); #1908=STYLED_ITEM('',(#596477),#3063); #1909=STYLED_ITEM('',(#596477),#3064); #1910=STYLED_ITEM('',(#596477),#3065); #1911=STYLED_ITEM('',(#596477),#3066); #1912=STYLED_ITEM('',(#596480),#3067); #1913=STYLED_ITEM('',(#596479),#3068); #1914=STYLED_ITEM('',(#596462),#3069); #1915=STYLED_ITEM('',(#596462),#3070); #1916=STYLED_ITEM('',(#596462),#3071); #1917=STYLED_ITEM('',(#596462),#3072); #1918=STYLED_ITEM('',(#596481),#3073); #1919=STYLED_ITEM('',(#596481),#3074); #1920=STYLED_ITEM('',(#596481),#347222); #1921=STYLED_ITEM('',(#596481),#347223); #1922=STYLED_ITEM('',(#596481),#347224); #1923=STYLED_ITEM('',(#596481),#347225); #1924=STYLED_ITEM('',(#596481),#347226); #1925=STYLED_ITEM('',(#596481),#347227); #1926=STYLED_ITEM('',(#596481),#347228); #1927=STYLED_ITEM('',(#596481),#347229); #1928=STYLED_ITEM('',(#596481),#347230); #1929=STYLED_ITEM('',(#596481),#347231); #1930=STYLED_ITEM('',(#596481),#347232); #1931=STYLED_ITEM('',(#596481),#347233); #1932=STYLED_ITEM('',(#596481),#347234); #1933=STYLED_ITEM('',(#596481),#347235); #1934=STYLED_ITEM('',(#596481),#347242); #1935=STYLED_ITEM('',(#596481),#347243); #1936=STYLED_ITEM('',(#596481),#347244); #1937=STYLED_ITEM('',(#596481),#347245); #1938=STYLED_ITEM('',(#596481),#347246); #1939=STYLED_ITEM('',(#596481),#347247); #1940=STYLED_ITEM('',(#596481),#347248); #1941=STYLED_ITEM('',(#596481),#347249); #1942=STYLED_ITEM('',(#596481),#347250); #1943=STYLED_ITEM('',(#596481),#347251); #1944=STYLED_ITEM('',(#596481),#347252); #1945=STYLED_ITEM('',(#596481),#347253); #1946=STYLED_ITEM('',(#596481),#347254); #1947=STYLED_ITEM('',(#596481),#347255); #1948=STYLED_ITEM('',(#596481),#347256); #1949=STYLED_ITEM('',(#596481),#347257); #1950=STYLED_ITEM('',(#596481),#347258); #1951=STYLED_ITEM('',(#596481),#347259); #1952=STYLED_ITEM('',(#596481),#347260); #1953=STYLED_ITEM('',(#596481),#347261); #1954=STYLED_ITEM('',(#596481),#347262); #1955=STYLED_ITEM('',(#596481),#347263); #1956=STYLED_ITEM('',(#596481),#347264); #1957=STYLED_ITEM('',(#596481),#347265); #1958=STYLED_ITEM('',(#596481),#347266); #1959=STYLED_ITEM('',(#596481),#347267); #1960=STYLED_ITEM('',(#596481),#347268); #1961=STYLED_ITEM('',(#596481),#347269); #1962=STYLED_ITEM('',(#596481),#347270); #1963=STYLED_ITEM('',(#596481),#347271); #1964=STYLED_ITEM('',(#596481),#347272); #1965=STYLED_ITEM('',(#596481),#347273); #1966=STYLED_ITEM('',(#596481),#347274); #1967=STYLED_ITEM('',(#596481),#347275); #1968=STYLED_ITEM('',(#596481),#347276); #1969=STYLED_ITEM('',(#596481),#347277); #1970=STYLED_ITEM('',(#596481),#347278); #1971=STYLED_ITEM('',(#596481),#347279); #1972=STYLED_ITEM('',(#596481),#347280); #1973=STYLED_ITEM('',(#596481),#347281); #1974=STYLED_ITEM('',(#596481),#347282); #1975=STYLED_ITEM('',(#596481),#347283); #1976=STYLED_ITEM('',(#596481),#347284); #1977=STYLED_ITEM('',(#596481),#347285); #1978=STYLED_ITEM('',(#596481),#347286); #1979=STYLED_ITEM('',(#596481),#347287); #1980=STYLED_ITEM('',(#596481),#347288); #1981=STYLED_ITEM('',(#596481),#347289); #1982=STYLED_ITEM('',(#596481),#347290); #1983=STYLED_ITEM('',(#596481),#347291); #1984=STYLED_ITEM('',(#596481),#347292); #1985=STYLED_ITEM('',(#596481),#347293); #1986=STYLED_ITEM('',(#596481),#347294); #1987=STYLED_ITEM('',(#596481),#347295); #1988=STYLED_ITEM('',(#596481),#347296); #1989=STYLED_ITEM('',(#596481),#347297); #1990=STYLED_ITEM('',(#596481),#347298); #1991=STYLED_ITEM('',(#596481),#347299); #1992=STYLED_ITEM('',(#596481),#347300); #1993=STYLED_ITEM('',(#596481),#347301); #1994=STYLED_ITEM('',(#596481),#347302); #1995=STYLED_ITEM('',(#596481),#347303); #1996=STYLED_ITEM('',(#596481),#347304); #1997=STYLED_ITEM('',(#596481),#347305); #1998=STYLED_ITEM('',(#596481),#347306); #1999=STYLED_ITEM('',(#596481),#347307); #2000=STYLED_ITEM('',(#596481),#347308); #2001=STYLED_ITEM('',(#596481),#347309); #2002=STYLED_ITEM('',(#596481),#347310); #2003=STYLED_ITEM('',(#596481),#347311); #2004=STYLED_ITEM('',(#596481),#347312); #2005=STYLED_ITEM('',(#596481),#347313); #2006=STYLED_ITEM('',(#596481),#347314); #2007=STYLED_ITEM('',(#596481),#347315); #2008=STYLED_ITEM('',(#596481),#347316); #2009=STYLED_ITEM('',(#596481),#347317); #2010=STYLED_ITEM('',(#596482),#347395); #2011=STYLED_ITEM('',(#596482),#347396); #2012=STYLED_ITEM('',(#596482),#347397); #2013=STYLED_ITEM('',(#596482),#347398); #2014=STYLED_ITEM('',(#596482),#347399); #2015=STYLED_ITEM('',(#596481),#347400); #2016=STYLED_ITEM('',(#596481),#347401); #2017=STYLED_ITEM('',(#596481),#347402); #2018=STYLED_ITEM('',(#596481),#347403); #2019=STYLED_ITEM('',(#596481),#347404); #2020=STYLED_ITEM('',(#596481),#347405); #2021=STYLED_ITEM('',(#596481),#347406); #2022=STYLED_ITEM('',(#596481),#347407); #2023=STYLED_ITEM('',(#596481),#347408); #2024=STYLED_ITEM('',(#596481),#347409); #2025=STYLED_ITEM('',(#596481),#347410); #2026=STYLED_ITEM('',(#596481),#347411); #2027=STYLED_ITEM('',(#596482),#347412); #2028=STYLED_ITEM('',(#596482),#347413); #2029=STYLED_ITEM('',(#596481),#347414); #2030=STYLED_ITEM('',(#596482),#347415); #2031=STYLED_ITEM('',(#596481),#347417); #2032=STYLED_ITEM('',(#596481),#347418); #2033=STYLED_ITEM('',(#596481),#347419); #2034=STYLED_ITEM('',(#596481),#347420); #2035=STYLED_ITEM('',(#596481),#347421); #2036=STYLED_ITEM('',(#596481),#347422); #2037=STYLED_ITEM('',(#596481),#347423); #2038=STYLED_ITEM('',(#596481),#347424); #2039=STYLED_ITEM('',(#596481),#347425); #2040=STYLED_ITEM('',(#596481),#347426); #2041=STYLED_ITEM('',(#596481),#347427); #2042=STYLED_ITEM('',(#596481),#347428); #2043=STYLED_ITEM('',(#596481),#347429); #2044=STYLED_ITEM('',(#596481),#347430); #2045=STYLED_ITEM('',(#596481),#347431); #2046=STYLED_ITEM('',(#596481),#347432); #2047=STYLED_ITEM('',(#596481),#347433); #2048=STYLED_ITEM('',(#596482),#347434); #2049=STYLED_ITEM('',(#596482),#347435); #2050=STYLED_ITEM('',(#596482),#347436); #2051=STYLED_ITEM('',(#596482),#347437); #2052=STYLED_ITEM('',(#596482),#347438); #2053=STYLED_ITEM('',(#596482),#347439); #2054=STYLED_ITEM('',(#596482),#347440); #2055=STYLED_ITEM('',(#596481),#347441); #2056=STYLED_ITEM('',(#596481),#347442); #2057=STYLED_ITEM('',(#596481),#347443); #2058=STYLED_ITEM('',(#596481),#347444); #2059=STYLED_ITEM('',(#596481),#347445); #2060=STYLED_ITEM('',(#596481),#347446); #2061=STYLED_ITEM('',(#596481),#347447); #2062=STYLED_ITEM('',(#596481),#347448); #2063=STYLED_ITEM('',(#596481),#347449); #2064=STYLED_ITEM('',(#596481),#347450); #2065=STYLED_ITEM('',(#596481),#347451); #2066=STYLED_ITEM('',(#596481),#347452); #2067=STYLED_ITEM('',(#596481),#347453); #2068=STYLED_ITEM('',(#596482),#347454); #2069=STYLED_ITEM('',(#596482),#347455); #2070=STYLED_ITEM('',(#596481),#347456); #2071=STYLED_ITEM('',(#596482),#347457); #2072=STYLED_ITEM('',(#596481),#347458); #2073=STYLED_ITEM('',(#596481),#347459); #2074=STYLED_ITEM('',(#596481),#347460); #2075=STYLED_ITEM('',(#596481),#347461); #2076=STYLED_ITEM('',(#596481),#347462); #2077=STYLED_ITEM('',(#596482),#347463); #2078=STYLED_ITEM('',(#596482),#347464); #2079=STYLED_ITEM('',(#596482),#347465); #2080=STYLED_ITEM('',(#596481),#347466); #2081=STYLED_ITEM('',(#596481),#347467); #2082=STYLED_ITEM('',(#596481),#347468); #2083=STYLED_ITEM('',(#596481),#347469); #2084=STYLED_ITEM('',(#596481),#347470); #2085=STYLED_ITEM('',(#596481),#347471); #2086=STYLED_ITEM('',(#596482),#347472); #2087=STYLED_ITEM('',(#596482),#347473); #2088=STYLED_ITEM('',(#596482),#347480); #2089=STYLED_ITEM('',(#596482),#347482); #2090=STYLED_ITEM('',(#596482),#347519); #2091=STYLED_ITEM('',(#596459),#3075); #2092=STYLED_ITEM('',(#596479),#3076); #2093=STYLED_ITEM('',(#596477),#3077); #2094=STYLED_ITEM('',(#596477),#3078); #2095=STYLED_ITEM('',(#596477),#3079); #2096=STYLED_ITEM('',(#596477),#3080); #2097=STYLED_ITEM('',(#596477),#3081); #2098=STYLED_ITEM('',(#596477),#3082); #2099=STYLED_ITEM('',(#596477),#3083); #2100=STYLED_ITEM('',(#596477),#3084); #2101=STYLED_ITEM('',(#596477),#3085); #2102=STYLED_ITEM('',(#596477),#3086); #2103=STYLED_ITEM('',(#596477),#3087); #2104=STYLED_ITEM('',(#596477),#3088); #2105=STYLED_ITEM('',(#596477),#3089); #2106=STYLED_ITEM('',(#596477),#3090); #2107=STYLED_ITEM('',(#596477),#3091); #2108=STYLED_ITEM('',(#596477),#3092); #2109=STYLED_ITEM('',(#596477),#3093); #2110=STYLED_ITEM('',(#596477),#3094); #2111=STYLED_ITEM('',(#596477),#3095); #2112=STYLED_ITEM('',(#596477),#3096); #2113=STYLED_ITEM('',(#596477),#3097); #2114=STYLED_ITEM('',(#596477),#3098); #2115=STYLED_ITEM('',(#596477),#3099); #2116=STYLED_ITEM('',(#596477),#3100); #2117=STYLED_ITEM('',(#596477),#3101); #2118=STYLED_ITEM('',(#596477),#3102); #2119=STYLED_ITEM('',(#596477),#3103); #2120=STYLED_ITEM('',(#596477),#3104); #2121=STYLED_ITEM('',(#596477),#3105); #2122=STYLED_ITEM('',(#596477),#3106); #2123=STYLED_ITEM('',(#596477),#3107); #2124=STYLED_ITEM('',(#596477),#3108); #2125=STYLED_ITEM('',(#596477),#3109); #2126=STYLED_ITEM('',(#596477),#3110); #2127=STYLED_ITEM('',(#596477),#3111); #2128=STYLED_ITEM('',(#596477),#3112); #2129=STYLED_ITEM('',(#596477),#3113); #2130=STYLED_ITEM('',(#596477),#3114); #2131=STYLED_ITEM('',(#596477),#3115); #2132=STYLED_ITEM('',(#596477),#3116); #2133=STYLED_ITEM('',(#596477),#3117); #2134=STYLED_ITEM('',(#596477),#3118); #2135=STYLED_ITEM('',(#596477),#3119); #2136=STYLED_ITEM('',(#596477),#3120); #2137=STYLED_ITEM('',(#596477),#3121); #2138=STYLED_ITEM('',(#596477),#3122); #2139=STYLED_ITEM('',(#596477),#3123); #2140=STYLED_ITEM('',(#596477),#3124); #2141=STYLED_ITEM('',(#596480),#3125); #2142=STYLED_ITEM('',(#596462),#3126); #2143=STYLED_ITEM('',(#596462),#3127); #2144=STYLED_ITEM('',(#596472),#3128); #2145=STYLED_ITEM('',(#596479),#3129); #2146=STYLED_ITEM('',(#596462),#3130); #2147=STYLED_ITEM('',(#596462),#3131); #2148=STYLED_ITEM('',(#596462),#3132); #2149=STYLED_ITEM('',(#596462),#3133); #2150=STYLED_ITEM('',(#596475),#3134); #2151=STYLED_ITEM('',(#596479),#3135); #2152=STYLED_ITEM('',(#596477),#3136); #2153=STYLED_ITEM('',(#596477),#3137); #2154=STYLED_ITEM('',(#596477),#3138); #2155=STYLED_ITEM('',(#596477),#3139); #2156=STYLED_ITEM('',(#596477),#3140); #2157=STYLED_ITEM('',(#596477),#3141); #2158=STYLED_ITEM('',(#596477),#3142); #2159=STYLED_ITEM('',(#596477),#3143); #2160=STYLED_ITEM('',(#596479),#3144); #2161=STYLED_ITEM('',(#596462),#3145); #2162=STYLED_ITEM('',(#596462),#3146); #2163=STYLED_ITEM('',(#596462),#3147); #2164=STYLED_ITEM('',(#596462),#3148); #2165=STYLED_ITEM('',(#596462),#3149); #2166=STYLED_ITEM('',(#596462),#3150); #2167=STYLED_ITEM('',(#596462),#3151); #2168=STYLED_ITEM('',(#596473),#3152); #2169=STYLED_ITEM('',(#596456),#3153); #2170=STYLED_ITEM('',(#596485),#3154); #2171=STYLED_ITEM('',(#596485),#3155); #2172=STYLED_ITEM('',(#596484),#348432); #2173=STYLED_ITEM('',(#596483),#3156); #2174=STYLED_ITEM('',(#596455),#3157); #2175=STYLED_ITEM('',(#596455),#3158); #2176=STYLED_ITEM('',(#596455),#3159); #2177=STYLED_ITEM('',(#596455),#3160); #2178=STYLED_ITEM('',(#596455),#3161); #2179=STYLED_ITEM('',(#596455),#22); #2180=STYLED_ITEM('',(#596486),#16); #2181=MANIFOLD_SOLID_BREP('Body1',#348686); #2182=MANIFOLD_SOLID_BREP('Body2',#348687); #2183=MANIFOLD_SOLID_BREP('Body1',#348688); #2184=MANIFOLD_SOLID_BREP('Body8',#348689); #2185=MANIFOLD_SOLID_BREP('Body9',#348690); #2186=MANIFOLD_SOLID_BREP('Body1',#348691); #2187=MANIFOLD_SOLID_BREP('Body5',#348692); #2188=MANIFOLD_SOLID_BREP('Body6',#348693); #2189=MANIFOLD_SOLID_BREP('Board',#348694); #2190=MANIFOLD_SOLID_BREP('CopperHoles',#348695); #2191=MANIFOLD_SOLID_BREP('CopperHoles',#348696); #2192=MANIFOLD_SOLID_BREP('CopperHoles',#348697); #2193=MANIFOLD_SOLID_BREP('CopperHoles',#348698); #2194=MANIFOLD_SOLID_BREP('CopperHoles',#348699); #2195=MANIFOLD_SOLID_BREP('CopperHoles',#348700); #2196=MANIFOLD_SOLID_BREP('CopperHoles',#348701); #2197=MANIFOLD_SOLID_BREP('CopperHoles',#348702); #2198=MANIFOLD_SOLID_BREP('CopperHoles',#348703); #2199=MANIFOLD_SOLID_BREP('CopperHoles',#348704); #2200=MANIFOLD_SOLID_BREP('CopperHoles',#348705); #2201=MANIFOLD_SOLID_BREP('CopperHoles',#348706); #2202=MANIFOLD_SOLID_BREP('CopperHoles',#348707); #2203=MANIFOLD_SOLID_BREP('CopperHoles',#348708); #2204=MANIFOLD_SOLID_BREP('CopperHoles',#348709); #2205=MANIFOLD_SOLID_BREP('CopperHoles',#348710); #2206=MANIFOLD_SOLID_BREP('CopperHoles',#348711); #2207=MANIFOLD_SOLID_BREP('CopperHoles',#348712); #2208=MANIFOLD_SOLID_BREP('CopperHoles',#348713); #2209=MANIFOLD_SOLID_BREP('CopperHoles',#348714); #2210=MANIFOLD_SOLID_BREP('CopperHoles',#348715); #2211=MANIFOLD_SOLID_BREP('CopperHoles',#348716); #2212=MANIFOLD_SOLID_BREP('CopperHoles',#348717); #2213=MANIFOLD_SOLID_BREP('CopperHoles',#348718); #2214=MANIFOLD_SOLID_BREP('CopperHoles',#348719); #2215=MANIFOLD_SOLID_BREP('CopperHoles',#348720); #2216=MANIFOLD_SOLID_BREP('CopperHoles',#348721); #2217=MANIFOLD_SOLID_BREP('CopperHoles',#348722); #2218=MANIFOLD_SOLID_BREP('CopperHoles',#348723); #2219=MANIFOLD_SOLID_BREP('CopperHoles',#348724); #2220=MANIFOLD_SOLID_BREP('CopperHoles',#348725); #2221=MANIFOLD_SOLID_BREP('CopperHoles',#348726); #2222=MANIFOLD_SOLID_BREP('CopperHoles',#348727); #2223=MANIFOLD_SOLID_BREP('CopperHoles',#348728); #2224=MANIFOLD_SOLID_BREP('CopperHoles',#348729); #2225=MANIFOLD_SOLID_BREP('CopperHoles',#348730); #2226=MANIFOLD_SOLID_BREP('CopperHoles',#348731); #2227=MANIFOLD_SOLID_BREP('CopperHoles',#348732); #2228=MANIFOLD_SOLID_BREP('CopperHoles',#348733); #2229=MANIFOLD_SOLID_BREP('CopperHoles',#348734); #2230=MANIFOLD_SOLID_BREP('CopperHoles',#348735); #2231=MANIFOLD_SOLID_BREP('CopperHoles',#348736); #2232=MANIFOLD_SOLID_BREP('CopperHoles',#348737); #2233=MANIFOLD_SOLID_BREP('CopperHoles',#348738); #2234=MANIFOLD_SOLID_BREP('CopperHoles',#348739); #2235=MANIFOLD_SOLID_BREP('CopperHoles',#348740); #2236=MANIFOLD_SOLID_BREP('CopperHoles',#348741); #2237=MANIFOLD_SOLID_BREP('CopperHoles',#348742); #2238=MANIFOLD_SOLID_BREP('CopperHoles',#348743); #2239=MANIFOLD_SOLID_BREP('CopperHoles',#348744); #2240=MANIFOLD_SOLID_BREP('CopperHoles',#348745); #2241=MANIFOLD_SOLID_BREP('CopperHoles',#348746); #2242=MANIFOLD_SOLID_BREP('CopperHoles',#348747); #2243=MANIFOLD_SOLID_BREP('CopperHoles',#348748); #2244=MANIFOLD_SOLID_BREP('CopperHoles',#348749); #2245=MANIFOLD_SOLID_BREP('CopperHoles',#348750); #2246=MANIFOLD_SOLID_BREP('CopperHoles',#348751); #2247=MANIFOLD_SOLID_BREP('CopperHoles',#348752); #2248=MANIFOLD_SOLID_BREP('CopperHoles',#348753); #2249=MANIFOLD_SOLID_BREP('CopperHoles',#348754); #2250=MANIFOLD_SOLID_BREP('CopperHoles',#348755); #2251=MANIFOLD_SOLID_BREP('CopperHoles',#348756); #2252=MANIFOLD_SOLID_BREP('CopperHoles',#348757); #2253=MANIFOLD_SOLID_BREP('CopperHoles',#348758); #2254=MANIFOLD_SOLID_BREP('CopperHoles',#348759); #2255=MANIFOLD_SOLID_BREP('CopperHoles',#348760); #2256=MANIFOLD_SOLID_BREP('CopperHoles',#348761); #2257=MANIFOLD_SOLID_BREP('CopperHoles',#348762); #2258=MANIFOLD_SOLID_BREP('CopperHoles',#348763); #2259=MANIFOLD_SOLID_BREP('CopperHoles',#348764); #2260=MANIFOLD_SOLID_BREP('CopperHoles',#348765); #2261=MANIFOLD_SOLID_BREP('CopperHoles',#348766); #2262=MANIFOLD_SOLID_BREP('CopperHoles',#348767); #2263=MANIFOLD_SOLID_BREP('CopperHoles',#348768); #2264=MANIFOLD_SOLID_BREP('CopperHoles',#348769); #2265=MANIFOLD_SOLID_BREP('CopperHoles',#348770); #2266=MANIFOLD_SOLID_BREP('CopperHoles',#348771); #2267=MANIFOLD_SOLID_BREP('CopperHoles',#348772); #2268=MANIFOLD_SOLID_BREP('CopperHoles',#348773); #2269=MANIFOLD_SOLID_BREP('CopperHoles',#348774); #2270=MANIFOLD_SOLID_BREP('CopperHoles',#348775); #2271=MANIFOLD_SOLID_BREP('CopperHoles',#348776); #2272=MANIFOLD_SOLID_BREP('CopperHoles',#348777); #2273=MANIFOLD_SOLID_BREP('CopperHoles',#348778); #2274=MANIFOLD_SOLID_BREP('CopperHoles',#348779); #2275=MANIFOLD_SOLID_BREP('CopperHoles',#348780); #2276=MANIFOLD_SOLID_BREP('CopperHoles',#348781); #2277=MANIFOLD_SOLID_BREP('CopperHoles',#348782); #2278=MANIFOLD_SOLID_BREP('CopperHoles',#348783); #2279=MANIFOLD_SOLID_BREP('CopperHoles',#348784); #2280=MANIFOLD_SOLID_BREP('CopperHoles',#348785); #2281=MANIFOLD_SOLID_BREP('CopperHoles',#348786); #2282=MANIFOLD_SOLID_BREP('CopperHoles',#348787); #2283=MANIFOLD_SOLID_BREP('CopperHoles',#348788); #2284=MANIFOLD_SOLID_BREP('CopperHoles',#348789); #2285=MANIFOLD_SOLID_BREP('CopperHoles',#348790); #2286=MANIFOLD_SOLID_BREP('CopperHoles',#348791); #2287=MANIFOLD_SOLID_BREP('CopperHoles',#348792); #2288=MANIFOLD_SOLID_BREP('CopperHoles',#348793); #2289=MANIFOLD_SOLID_BREP('CopperHoles',#348794); #2290=MANIFOLD_SOLID_BREP('CopperHoles',#348795); #2291=MANIFOLD_SOLID_BREP('CopperHoles',#348796); #2292=MANIFOLD_SOLID_BREP('CopperHoles',#348797); #2293=MANIFOLD_SOLID_BREP('CopperHoles',#348798); #2294=MANIFOLD_SOLID_BREP('CopperHoles',#348799); #2295=MANIFOLD_SOLID_BREP('CopperHoles',#348800); #2296=MANIFOLD_SOLID_BREP('CopperHoles',#348801); #2297=MANIFOLD_SOLID_BREP('CopperHoles',#348802); #2298=MANIFOLD_SOLID_BREP('CopperHoles',#348803); #2299=MANIFOLD_SOLID_BREP('CopperHoles',#348804); #2300=MANIFOLD_SOLID_BREP('CopperHoles',#348805); #2301=MANIFOLD_SOLID_BREP('CopperHoles',#348806); #2302=MANIFOLD_SOLID_BREP('CopperHoles',#348807); #2303=MANIFOLD_SOLID_BREP('CopperHoles',#348808); #2304=MANIFOLD_SOLID_BREP('CopperHoles',#348809); #2305=MANIFOLD_SOLID_BREP('CopperHoles',#348810); #2306=MANIFOLD_SOLID_BREP('CopperHoles',#348811); #2307=MANIFOLD_SOLID_BREP('CopperHoles',#348812); #2308=MANIFOLD_SOLID_BREP('CopperHoles',#348813); #2309=MANIFOLD_SOLID_BREP('CopperHoles',#348814); #2310=MANIFOLD_SOLID_BREP('CopperHoles',#348815); #2311=MANIFOLD_SOLID_BREP('CopperHoles',#348816); #2312=MANIFOLD_SOLID_BREP('CopperHoles',#348817); #2313=MANIFOLD_SOLID_BREP('CopperHoles',#348818); #2314=MANIFOLD_SOLID_BREP('CopperHoles',#348819); #2315=MANIFOLD_SOLID_BREP('CopperHoles',#348820); #2316=MANIFOLD_SOLID_BREP('CopperHoles',#348821); #2317=MANIFOLD_SOLID_BREP('CopperHoles',#348822); #2318=MANIFOLD_SOLID_BREP('CopperHoles',#348823); #2319=MANIFOLD_SOLID_BREP('CopperHoles',#348824); #2320=MANIFOLD_SOLID_BREP('CopperHoles',#348825); #2321=MANIFOLD_SOLID_BREP('CopperHoles',#348826); #2322=MANIFOLD_SOLID_BREP('CopperHoles',#348827); #2323=MANIFOLD_SOLID_BREP('CopperHoles',#348828); #2324=MANIFOLD_SOLID_BREP('CopperHoles',#348829); #2325=MANIFOLD_SOLID_BREP('CopperHoles',#348830); #2326=MANIFOLD_SOLID_BREP('CopperHoles',#348831); #2327=MANIFOLD_SOLID_BREP('CopperHoles',#348832); #2328=MANIFOLD_SOLID_BREP('CopperHoles',#348833); #2329=MANIFOLD_SOLID_BREP('CopperHoles',#348834); #2330=MANIFOLD_SOLID_BREP('CopperHoles',#348835); #2331=MANIFOLD_SOLID_BREP('CopperHoles',#348836); #2332=MANIFOLD_SOLID_BREP('CopperHoles',#348837); #2333=MANIFOLD_SOLID_BREP('CopperHoles',#348838); #2334=MANIFOLD_SOLID_BREP('CopperHoles',#348839); #2335=MANIFOLD_SOLID_BREP('CopperHoles',#348840); #2336=MANIFOLD_SOLID_BREP('CopperHoles',#348841); #2337=MANIFOLD_SOLID_BREP('CopperHoles',#348842); #2338=MANIFOLD_SOLID_BREP('CopperHoles',#348843); #2339=MANIFOLD_SOLID_BREP('CopperHoles',#348844); #2340=MANIFOLD_SOLID_BREP('CopperHoles',#348845); #2341=MANIFOLD_SOLID_BREP('CopperHoles',#348846); #2342=MANIFOLD_SOLID_BREP('CopperHoles',#348847); #2343=MANIFOLD_SOLID_BREP('CopperHoles',#348848); #2344=MANIFOLD_SOLID_BREP('CopperHoles',#348849); #2345=MANIFOLD_SOLID_BREP('CopperHoles',#348850); #2346=MANIFOLD_SOLID_BREP('CopperHoles',#348851); #2347=MANIFOLD_SOLID_BREP('CopperHoles',#348852); #2348=MANIFOLD_SOLID_BREP('CopperHoles',#348853); #2349=MANIFOLD_SOLID_BREP('CopperHoles',#348854); #2350=MANIFOLD_SOLID_BREP('CopperHoles',#348855); #2351=MANIFOLD_SOLID_BREP('CopperHoles',#348856); #2352=MANIFOLD_SOLID_BREP('CopperHoles',#348857); #2353=MANIFOLD_SOLID_BREP('CopperHoles',#348858); #2354=MANIFOLD_SOLID_BREP('CopperHoles',#348859); #2355=MANIFOLD_SOLID_BREP('CopperHoles',#348860); #2356=MANIFOLD_SOLID_BREP('CopperHoles',#348861); #2357=MANIFOLD_SOLID_BREP('CopperHoles',#348862); #2358=MANIFOLD_SOLID_BREP('CopperHoles',#348863); #2359=MANIFOLD_SOLID_BREP('CopperHoles',#348864); #2360=MANIFOLD_SOLID_BREP('CopperHoles',#348865); #2361=MANIFOLD_SOLID_BREP('CopperHoles',#348866); #2362=MANIFOLD_SOLID_BREP('CopperHoles',#348867); #2363=MANIFOLD_SOLID_BREP('CopperHoles',#348868); #2364=MANIFOLD_SOLID_BREP('CopperHoles',#348869); #2365=MANIFOLD_SOLID_BREP('CopperHoles',#348870); #2366=MANIFOLD_SOLID_BREP('CopperHoles',#348871); #2367=MANIFOLD_SOLID_BREP('CopperHoles',#348872); #2368=MANIFOLD_SOLID_BREP('CopperHoles',#348873); #2369=MANIFOLD_SOLID_BREP('CopperHoles',#348874); #2370=MANIFOLD_SOLID_BREP('CopperHoles',#348875); #2371=MANIFOLD_SOLID_BREP('CopperHoles',#348876); #2372=MANIFOLD_SOLID_BREP('CopperHoles',#348877); #2373=MANIFOLD_SOLID_BREP('CopperHoles',#348878); #2374=MANIFOLD_SOLID_BREP('CopperHoles',#348879); #2375=MANIFOLD_SOLID_BREP('CopperHoles',#348880); #2376=MANIFOLD_SOLID_BREP('CopperHoles',#348881); #2377=MANIFOLD_SOLID_BREP('CopperHoles',#348882); #2378=MANIFOLD_SOLID_BREP('CopperHoles',#348883); #2379=MANIFOLD_SOLID_BREP('CopperHoles',#348884); #2380=MANIFOLD_SOLID_BREP('CopperHoles',#348885); #2381=MANIFOLD_SOLID_BREP('CopperHoles',#348886); #2382=MANIFOLD_SOLID_BREP('CopperHoles',#348887); #2383=MANIFOLD_SOLID_BREP('CopperHoles',#348888); #2384=MANIFOLD_SOLID_BREP('CopperHoles',#348889); #2385=MANIFOLD_SOLID_BREP('CopperHoles',#348890); #2386=MANIFOLD_SOLID_BREP('CopperHoles',#348891); #2387=MANIFOLD_SOLID_BREP('CopperHoles',#348892); #2388=MANIFOLD_SOLID_BREP('CopperHoles',#348893); #2389=MANIFOLD_SOLID_BREP('CopperHoles',#348894); #2390=MANIFOLD_SOLID_BREP('CopperHoles',#348895); #2391=MANIFOLD_SOLID_BREP('CopperHoles',#348896); #2392=MANIFOLD_SOLID_BREP('CopperHoles',#348897); #2393=MANIFOLD_SOLID_BREP('CopperHoles',#348898); #2394=MANIFOLD_SOLID_BREP('CopperHoles',#348899); #2395=MANIFOLD_SOLID_BREP('CopperHoles',#348900); #2396=MANIFOLD_SOLID_BREP('CopperHoles',#348901); #2397=MANIFOLD_SOLID_BREP('CopperHoles',#348902); #2398=MANIFOLD_SOLID_BREP('CopperHoles',#348903); #2399=MANIFOLD_SOLID_BREP('CopperHoles',#348904); #2400=MANIFOLD_SOLID_BREP('CopperHoles',#348905); #2401=MANIFOLD_SOLID_BREP('CopperHoles',#348906); #2402=MANIFOLD_SOLID_BREP('CopperHoles',#348907); #2403=MANIFOLD_SOLID_BREP('CopperHoles',#348908); #2404=MANIFOLD_SOLID_BREP('CopperHoles',#348909); #2405=MANIFOLD_SOLID_BREP('CopperHoles',#348910); #2406=MANIFOLD_SOLID_BREP('CopperHoles',#348911); #2407=MANIFOLD_SOLID_BREP('CopperHoles',#348912); #2408=MANIFOLD_SOLID_BREP('CopperHoles',#348913); #2409=MANIFOLD_SOLID_BREP('CopperHoles',#348914); #2410=MANIFOLD_SOLID_BREP('CopperHoles',#348915); #2411=MANIFOLD_SOLID_BREP('CopperHoles',#348916); #2412=MANIFOLD_SOLID_BREP('CopperHoles',#348917); #2413=MANIFOLD_SOLID_BREP('CopperHoles',#348918); #2414=MANIFOLD_SOLID_BREP('CopperHoles',#348919); #2415=MANIFOLD_SOLID_BREP('CopperHoles',#348920); #2416=MANIFOLD_SOLID_BREP('CopperHoles',#348921); #2417=MANIFOLD_SOLID_BREP('CopperHoles',#348922); #2418=MANIFOLD_SOLID_BREP('CopperHoles',#348923); #2419=MANIFOLD_SOLID_BREP('CopperHoles',#348924); #2420=MANIFOLD_SOLID_BREP('CopperHoles',#348925); #2421=MANIFOLD_SOLID_BREP('CopperHoles',#348926); #2422=MANIFOLD_SOLID_BREP('CopperHoles',#348927); #2423=MANIFOLD_SOLID_BREP('CopperHoles',#348928); #2424=MANIFOLD_SOLID_BREP('CopperHoles',#348929); #2425=MANIFOLD_SOLID_BREP('CopperHoles',#348930); #2426=MANIFOLD_SOLID_BREP('CopperHoles',#348931); #2427=MANIFOLD_SOLID_BREP('CopperHoles',#348932); #2428=MANIFOLD_SOLID_BREP('CopperHoles',#348933); #2429=MANIFOLD_SOLID_BREP('CopperHoles',#348934); #2430=MANIFOLD_SOLID_BREP('CopperHoles',#348935); #2431=MANIFOLD_SOLID_BREP('CopperHoles',#348936); #2432=MANIFOLD_SOLID_BREP('CopperHoles',#348937); #2433=MANIFOLD_SOLID_BREP('CopperHoles',#348938); #2434=MANIFOLD_SOLID_BREP('CopperHoles',#348939); #2435=MANIFOLD_SOLID_BREP('CopperHoles',#348940); #2436=MANIFOLD_SOLID_BREP('CopperHoles',#348941); #2437=MANIFOLD_SOLID_BREP('CopperHoles',#348942); #2438=MANIFOLD_SOLID_BREP('CopperHoles',#348943); #2439=MANIFOLD_SOLID_BREP('CopperHoles',#348944); #2440=MANIFOLD_SOLID_BREP('CopperHoles',#348945); #2441=MANIFOLD_SOLID_BREP('CopperHoles',#348946); #2442=MANIFOLD_SOLID_BREP('CopperHoles',#348947); #2443=MANIFOLD_SOLID_BREP('CopperHoles',#348948); #2444=MANIFOLD_SOLID_BREP('CopperHoles',#348949); #2445=MANIFOLD_SOLID_BREP('CopperHoles',#348950); #2446=MANIFOLD_SOLID_BREP('CopperHoles',#348951); #2447=MANIFOLD_SOLID_BREP('CopperHoles',#348952); #2448=MANIFOLD_SOLID_BREP('CopperHoles',#348953); #2449=MANIFOLD_SOLID_BREP('CopperHoles',#348954); #2450=MANIFOLD_SOLID_BREP('CopperHoles',#348955); #2451=MANIFOLD_SOLID_BREP('CopperHoles',#348956); #2452=MANIFOLD_SOLID_BREP('CopperHoles',#348957); #2453=MANIFOLD_SOLID_BREP('CopperHoles',#348958); #2454=MANIFOLD_SOLID_BREP('CopperHoles',#348959); #2455=MANIFOLD_SOLID_BREP('CopperHoles',#348960); #2456=MANIFOLD_SOLID_BREP('CopperHoles',#348961); #2457=MANIFOLD_SOLID_BREP('CopperHoles',#348962); #2458=MANIFOLD_SOLID_BREP('CopperHoles',#348963); #2459=MANIFOLD_SOLID_BREP('CopperHoles',#348964); #2460=MANIFOLD_SOLID_BREP('CopperHoles',#348965); #2461=MANIFOLD_SOLID_BREP('CopperHoles',#348966); #2462=MANIFOLD_SOLID_BREP('CopperHoles',#348967); #2463=MANIFOLD_SOLID_BREP('CopperHoles',#348968); #2464=MANIFOLD_SOLID_BREP('CopperHoles',#348969); #2465=MANIFOLD_SOLID_BREP('CopperHoles',#348970); #2466=MANIFOLD_SOLID_BREP('CopperHoles',#348971); #2467=MANIFOLD_SOLID_BREP('CopperHoles',#348972); #2468=MANIFOLD_SOLID_BREP('CopperHoles',#348973); #2469=MANIFOLD_SOLID_BREP('CopperHoles',#348974); #2470=MANIFOLD_SOLID_BREP('CopperHoles',#348975); #2471=MANIFOLD_SOLID_BREP('CopperHoles',#348976); #2472=MANIFOLD_SOLID_BREP('CopperHoles',#348977); #2473=MANIFOLD_SOLID_BREP('CopperHoles',#348978); #2474=MANIFOLD_SOLID_BREP('CopperHoles',#348979); #2475=MANIFOLD_SOLID_BREP('CopperHoles',#348980); #2476=MANIFOLD_SOLID_BREP('CopperHoles',#348981); #2477=MANIFOLD_SOLID_BREP('CopperHoles',#348982); #2478=MANIFOLD_SOLID_BREP('CopperHoles',#348983); #2479=MANIFOLD_SOLID_BREP('CopperHoles',#348984); #2480=MANIFOLD_SOLID_BREP('CopperHoles',#348985); #2481=MANIFOLD_SOLID_BREP('CopperHoles',#348986); #2482=MANIFOLD_SOLID_BREP('CopperHoles',#348987); #2483=MANIFOLD_SOLID_BREP('CopperHoles',#348988); #2484=MANIFOLD_SOLID_BREP('CopperHoles',#348989); #2485=MANIFOLD_SOLID_BREP('CopperHoles',#348990); #2486=MANIFOLD_SOLID_BREP('CopperHoles',#348991); #2487=MANIFOLD_SOLID_BREP('CopperHoles',#348992); #2488=MANIFOLD_SOLID_BREP('CopperHoles',#348993); #2489=MANIFOLD_SOLID_BREP('CopperHoles',#348994); #2490=MANIFOLD_SOLID_BREP('CopperHoles',#348995); #2491=MANIFOLD_SOLID_BREP('CopperHoles',#348996); #2492=MANIFOLD_SOLID_BREP('CopperHoles',#348997); #2493=MANIFOLD_SOLID_BREP('CopperHoles',#348998); #2494=MANIFOLD_SOLID_BREP('CopperHoles',#348999); #2495=MANIFOLD_SOLID_BREP('CopperHoles',#349000); #2496=MANIFOLD_SOLID_BREP('CopperHoles',#349001); #2497=MANIFOLD_SOLID_BREP('CopperHoles',#349002); #2498=MANIFOLD_SOLID_BREP('CopperHoles',#349003); #2499=MANIFOLD_SOLID_BREP('CopperHoles',#349004); #2500=MANIFOLD_SOLID_BREP('CopperHoles',#349005); #2501=MANIFOLD_SOLID_BREP('CopperHoles',#349006); #2502=MANIFOLD_SOLID_BREP('CopperHoles',#349007); #2503=MANIFOLD_SOLID_BREP('CopperHoles',#349008); #2504=MANIFOLD_SOLID_BREP('CopperHoles',#349009); #2505=MANIFOLD_SOLID_BREP('Body1',#349010); #2506=MANIFOLD_SOLID_BREP('Body1',#349011); #2507=MANIFOLD_SOLID_BREP('Body1',#349012); #2508=MANIFOLD_SOLID_BREP('Body1',#349013); #2509=MANIFOLD_SOLID_BREP('Body1',#349014); #2510=MANIFOLD_SOLID_BREP('Body1',#349015); #2511=MANIFOLD_SOLID_BREP('Body1',#349016); #2512=MANIFOLD_SOLID_BREP('Body1',#349017); #2513=MANIFOLD_SOLID_BREP('Body1',#349018); #2514=MANIFOLD_SOLID_BREP('Body1',#349019); #2515=MANIFOLD_SOLID_BREP('Body1',#349020); #2516=MANIFOLD_SOLID_BREP('Body1',#349021); #2517=MANIFOLD_SOLID_BREP('Body1',#349022); #2518=MANIFOLD_SOLID_BREP('Body1',#349023); #2519=MANIFOLD_SOLID_BREP('Body1',#349024); #2520=MANIFOLD_SOLID_BREP('Body1',#349025); #2521=MANIFOLD_SOLID_BREP('Body1',#349026); #2522=MANIFOLD_SOLID_BREP('Body1',#349027); #2523=MANIFOLD_SOLID_BREP('Body1',#349028); #2524=MANIFOLD_SOLID_BREP('Body1',#349029); #2525=MANIFOLD_SOLID_BREP('Body1',#349030); #2526=MANIFOLD_SOLID_BREP('Body1',#349031); #2527=MANIFOLD_SOLID_BREP('Body1',#349032); #2528=MANIFOLD_SOLID_BREP('Body1',#349033); #2529=MANIFOLD_SOLID_BREP('Body1',#349034); #2530=MANIFOLD_SOLID_BREP('Body1',#349035); #2531=MANIFOLD_SOLID_BREP('Body1',#349036); #2532=MANIFOLD_SOLID_BREP('Body1',#349037); #2533=MANIFOLD_SOLID_BREP('Body1',#349038); #2534=MANIFOLD_SOLID_BREP('Body1',#349039); #2535=MANIFOLD_SOLID_BREP('Body1',#349040); #2536=MANIFOLD_SOLID_BREP('Body1',#349041); #2537=MANIFOLD_SOLID_BREP('Body1',#349042); #2538=MANIFOLD_SOLID_BREP('Body1',#349043); #2539=MANIFOLD_SOLID_BREP('Body1',#349044); #2540=MANIFOLD_SOLID_BREP('Body1',#349045); #2541=MANIFOLD_SOLID_BREP('Body1',#349046); #2542=MANIFOLD_SOLID_BREP('Body1',#349047); #2543=MANIFOLD_SOLID_BREP('Body1',#349048); #2544=MANIFOLD_SOLID_BREP('Body1',#349049); #2545=MANIFOLD_SOLID_BREP('Body1',#349050); #2546=MANIFOLD_SOLID_BREP('Body1',#349051); #2547=MANIFOLD_SOLID_BREP('Body1',#349052); #2548=MANIFOLD_SOLID_BREP('Body1',#349053); #2549=MANIFOLD_SOLID_BREP('Body1',#349054); #2550=MANIFOLD_SOLID_BREP('Body1',#349055); #2551=MANIFOLD_SOLID_BREP('Body1',#349056); #2552=MANIFOLD_SOLID_BREP('Body1',#349057); #2553=MANIFOLD_SOLID_BREP('Body1',#349058); #2554=MANIFOLD_SOLID_BREP('Body1',#349059); #2555=MANIFOLD_SOLID_BREP('Body1',#349060); #2556=MANIFOLD_SOLID_BREP('Body1',#349061); #2557=MANIFOLD_SOLID_BREP('Body1',#349062); #2558=MANIFOLD_SOLID_BREP('Body1',#349063); #2559=MANIFOLD_SOLID_BREP('Body1',#349064); #2560=MANIFOLD_SOLID_BREP('Body1',#349065); #2561=MANIFOLD_SOLID_BREP('Body1',#349066); #2562=MANIFOLD_SOLID_BREP('Body1',#349067); #2563=MANIFOLD_SOLID_BREP('Body1',#349068); #2564=MANIFOLD_SOLID_BREP('Body1',#349069); #2565=MANIFOLD_SOLID_BREP('Body1',#349070); #2566=MANIFOLD_SOLID_BREP('Body1',#349071); #2567=MANIFOLD_SOLID_BREP('Body1',#349072); #2568=MANIFOLD_SOLID_BREP('Body1',#349073); #2569=MANIFOLD_SOLID_BREP('Body1',#349074); #2570=MANIFOLD_SOLID_BREP('Body1',#349075); #2571=MANIFOLD_SOLID_BREP('Body1',#349076); #2572=MANIFOLD_SOLID_BREP('Body1',#349077); #2573=MANIFOLD_SOLID_BREP('Body5',#349078); #2574=MANIFOLD_SOLID_BREP('Body5',#349079); #2575=MANIFOLD_SOLID_BREP('Body5',#349080); #2576=MANIFOLD_SOLID_BREP('Body5',#349081); #2577=MANIFOLD_SOLID_BREP('Body5',#349082); #2578=MANIFOLD_SOLID_BREP('Body5',#349083); #2579=MANIFOLD_SOLID_BREP('Body5',#349084); #2580=MANIFOLD_SOLID_BREP('Body5',#349085); #2581=MANIFOLD_SOLID_BREP('Body5',#349086); #2582=MANIFOLD_SOLID_BREP('Body5',#349087); #2583=MANIFOLD_SOLID_BREP('Body5',#349088); #2584=MANIFOLD_SOLID_BREP('Body5',#349089); #2585=MANIFOLD_SOLID_BREP('Body5',#349090); #2586=MANIFOLD_SOLID_BREP('Body5',#349091); #2587=MANIFOLD_SOLID_BREP('Body5',#349092); #2588=MANIFOLD_SOLID_BREP('Body5',#349093); #2589=MANIFOLD_SOLID_BREP('Body5',#349094); #2590=MANIFOLD_SOLID_BREP('Body5',#349095); #2591=MANIFOLD_SOLID_BREP('Body5',#349096); #2592=MANIFOLD_SOLID_BREP('Body5',#349097); #2593=MANIFOLD_SOLID_BREP('Body5',#349098); #2594=MANIFOLD_SOLID_BREP('Body5',#349099); #2595=MANIFOLD_SOLID_BREP('Body5',#349100); #2596=MANIFOLD_SOLID_BREP('Body5',#349101); #2597=MANIFOLD_SOLID_BREP('Body5',#349102); #2598=MANIFOLD_SOLID_BREP('Body5',#349103); #2599=MANIFOLD_SOLID_BREP('Body5',#349104); #2600=MANIFOLD_SOLID_BREP('Body5',#349105); #2601=MANIFOLD_SOLID_BREP('Body5',#349106); #2602=MANIFOLD_SOLID_BREP('Body5',#349107); #2603=MANIFOLD_SOLID_BREP('Body5',#349108); #2604=MANIFOLD_SOLID_BREP('Body5',#349109); #2605=MANIFOLD_SOLID_BREP('Body5',#349110); #2606=MANIFOLD_SOLID_BREP('Body5',#349111); #2607=MANIFOLD_SOLID_BREP('Body5',#349112); #2608=MANIFOLD_SOLID_BREP('Body5',#349113); #2609=MANIFOLD_SOLID_BREP('Body5',#349114); #2610=MANIFOLD_SOLID_BREP('Body5',#349115); #2611=MANIFOLD_SOLID_BREP('Body5',#349116); #2612=MANIFOLD_SOLID_BREP('Body5',#349117); #2613=MANIFOLD_SOLID_BREP('Body5',#349118); #2614=MANIFOLD_SOLID_BREP('Body5',#349119); #2615=MANIFOLD_SOLID_BREP('Body5',#349120); #2616=MANIFOLD_SOLID_BREP('Body5',#349121); #2617=MANIFOLD_SOLID_BREP('Body5',#349122); #2618=MANIFOLD_SOLID_BREP('Body5',#349123); #2619=MANIFOLD_SOLID_BREP('Body5',#349124); #2620=MANIFOLD_SOLID_BREP('Body5',#349125); #2621=MANIFOLD_SOLID_BREP('Body5',#349126); #2622=MANIFOLD_SOLID_BREP('Body5',#349127); #2623=MANIFOLD_SOLID_BREP('Body5',#349128); #2624=MANIFOLD_SOLID_BREP('Body5',#349129); #2625=MANIFOLD_SOLID_BREP('Body5',#349130); #2626=MANIFOLD_SOLID_BREP('Body5',#349131); #2627=MANIFOLD_SOLID_BREP('Body5',#349132); #2628=MANIFOLD_SOLID_BREP('Body5',#349133); #2629=MANIFOLD_SOLID_BREP('Body5',#349134); #2630=MANIFOLD_SOLID_BREP('Body5',#349135); #2631=MANIFOLD_SOLID_BREP('Body5',#349136); #2632=MANIFOLD_SOLID_BREP('Body5',#349137); #2633=MANIFOLD_SOLID_BREP('Body5',#349138); #2634=MANIFOLD_SOLID_BREP('Body5',#349139); #2635=MANIFOLD_SOLID_BREP('Body2',#349140); #2636=MANIFOLD_SOLID_BREP('Body2',#349141); #2637=MANIFOLD_SOLID_BREP('Body2',#349142); #2638=MANIFOLD_SOLID_BREP('Body2',#349143); #2639=MANIFOLD_SOLID_BREP('Body2',#349144); #2640=MANIFOLD_SOLID_BREP('Body2',#349145); #2641=MANIFOLD_SOLID_BREP('Body2',#349146); #2642=MANIFOLD_SOLID_BREP('Body2',#349147); #2643=MANIFOLD_SOLID_BREP('Body2',#349148); #2644=MANIFOLD_SOLID_BREP('Body2',#349149); #2645=MANIFOLD_SOLID_BREP('Body2',#349150); #2646=MANIFOLD_SOLID_BREP('Body2',#349151); #2647=MANIFOLD_SOLID_BREP('Body2',#349152); #2648=MANIFOLD_SOLID_BREP('Body2',#349153); #2649=MANIFOLD_SOLID_BREP('Body2',#349154); #2650=MANIFOLD_SOLID_BREP('Body2',#349155); #2651=MANIFOLD_SOLID_BREP('Body2',#349156); #2652=MANIFOLD_SOLID_BREP('Body2',#349157); #2653=MANIFOLD_SOLID_BREP('Body2',#349158); #2654=MANIFOLD_SOLID_BREP('Body2',#349159); #2655=MANIFOLD_SOLID_BREP('Body2',#349160); #2656=MANIFOLD_SOLID_BREP('Body2',#349161); #2657=MANIFOLD_SOLID_BREP('Body2',#349162); #2658=MANIFOLD_SOLID_BREP('Body3',#349163); #2659=MANIFOLD_SOLID_BREP('Body3',#349164); #2660=MANIFOLD_SOLID_BREP('Body3',#349165); #2661=MANIFOLD_SOLID_BREP('Body3',#349166); #2662=MANIFOLD_SOLID_BREP('Body3',#349167); #2663=MANIFOLD_SOLID_BREP('Body3',#349168); #2664=MANIFOLD_SOLID_BREP('Body3',#349169); #2665=MANIFOLD_SOLID_BREP('Body3',#349170); #2666=MANIFOLD_SOLID_BREP('Body3',#349171); #2667=MANIFOLD_SOLID_BREP('Body3',#349172); #2668=MANIFOLD_SOLID_BREP('Body3',#349173); #2669=MANIFOLD_SOLID_BREP('Body3',#349174); #2670=MANIFOLD_SOLID_BREP('Body3',#349175); #2671=MANIFOLD_SOLID_BREP('Body3',#349176); #2672=MANIFOLD_SOLID_BREP('Body3',#349177); #2673=MANIFOLD_SOLID_BREP('Body3',#349178); #2674=MANIFOLD_SOLID_BREP('Body3',#349179); #2675=MANIFOLD_SOLID_BREP('Body3',#349180); #2676=MANIFOLD_SOLID_BREP('Body3',#349181); #2677=MANIFOLD_SOLID_BREP('Body3',#349182); #2678=MANIFOLD_SOLID_BREP('Body3',#349183); #2679=MANIFOLD_SOLID_BREP('Body3',#349184); #2680=MANIFOLD_SOLID_BREP('Body3',#349185); #2681=MANIFOLD_SOLID_BREP('Body3',#349186); #2682=MANIFOLD_SOLID_BREP('Body3',#349187); #2683=MANIFOLD_SOLID_BREP('Body3',#349188); #2684=MANIFOLD_SOLID_BREP('Body3',#349189); #2685=MANIFOLD_SOLID_BREP('Body3',#349190); #2686=MANIFOLD_SOLID_BREP('Body3',#349191); #2687=MANIFOLD_SOLID_BREP('Body3',#349192); #2688=MANIFOLD_SOLID_BREP('Body3',#349193); #2689=MANIFOLD_SOLID_BREP('Body3',#349194); #2690=MANIFOLD_SOLID_BREP('Body3',#349195); #2691=MANIFOLD_SOLID_BREP('Body3',#349196); #2692=MANIFOLD_SOLID_BREP('Body3',#349197); #2693=MANIFOLD_SOLID_BREP('Body3',#349198); #2694=MANIFOLD_SOLID_BREP('Body3',#349199); #2695=MANIFOLD_SOLID_BREP('Body3',#349200); #2696=MANIFOLD_SOLID_BREP('Body3',#349201); #2697=MANIFOLD_SOLID_BREP('Body3',#349202); #2698=MANIFOLD_SOLID_BREP('Body3',#349203); #2699=MANIFOLD_SOLID_BREP('Body3',#349204); #2700=MANIFOLD_SOLID_BREP('Body3',#349205); #2701=MANIFOLD_SOLID_BREP('Body3',#349206); #2702=MANIFOLD_SOLID_BREP('Body3',#349207); #2703=MANIFOLD_SOLID_BREP('Body3',#349208); #2704=MANIFOLD_SOLID_BREP('Body3',#349209); #2705=MANIFOLD_SOLID_BREP('Body3',#349210); #2706=MANIFOLD_SOLID_BREP('Body3',#349211); #2707=MANIFOLD_SOLID_BREP('Body3',#349212); #2708=MANIFOLD_SOLID_BREP('Body3',#349213); #2709=MANIFOLD_SOLID_BREP('Body3',#349214); #2710=MANIFOLD_SOLID_BREP('Body3',#349215); #2711=MANIFOLD_SOLID_BREP('Body3',#349216); #2712=MANIFOLD_SOLID_BREP('Body3',#349217); #2713=MANIFOLD_SOLID_BREP('Body3',#349218); #2714=MANIFOLD_SOLID_BREP('Body3',#349219); #2715=MANIFOLD_SOLID_BREP('Body3',#349220); #2716=MANIFOLD_SOLID_BREP('Body3',#349221); #2717=MANIFOLD_SOLID_BREP('Body3',#349222); #2718=MANIFOLD_SOLID_BREP('Body3',#349223); #2719=MANIFOLD_SOLID_BREP('Body3',#349224); #2720=MANIFOLD_SOLID_BREP('Body3',#349225); #2721=MANIFOLD_SOLID_BREP('Body3',#349226); #2722=MANIFOLD_SOLID_BREP('Body3',#349227); #2723=MANIFOLD_SOLID_BREP('Body3',#349228); #2724=MANIFOLD_SOLID_BREP('Body3',#349229); #2725=MANIFOLD_SOLID_BREP('Body3',#349230); #2726=MANIFOLD_SOLID_BREP('Body3',#349231); #2727=MANIFOLD_SOLID_BREP('Body3',#349232); #2728=MANIFOLD_SOLID_BREP('Body3',#349233); #2729=MANIFOLD_SOLID_BREP('Body3',#349234); #2730=MANIFOLD_SOLID_BREP('Body3',#349235); #2731=MANIFOLD_SOLID_BREP('Body3',#349236); #2732=MANIFOLD_SOLID_BREP('Body3',#349237); #2733=MANIFOLD_SOLID_BREP('Body3',#349238); #2734=MANIFOLD_SOLID_BREP('Body3',#349239); #2735=MANIFOLD_SOLID_BREP('Body3',#349240); #2736=MANIFOLD_SOLID_BREP('Body3',#349241); #2737=MANIFOLD_SOLID_BREP('Body3',#349242); #2738=MANIFOLD_SOLID_BREP('Body3',#349243); #2739=MANIFOLD_SOLID_BREP('Body3',#349244); #2740=MANIFOLD_SOLID_BREP('Body3',#349245); #2741=MANIFOLD_SOLID_BREP('Body3',#349246); #2742=MANIFOLD_SOLID_BREP('Body3',#349247); #2743=MANIFOLD_SOLID_BREP('Body3',#349248); #2744=MANIFOLD_SOLID_BREP('Body3',#349249); #2745=MANIFOLD_SOLID_BREP('Body3',#349250); #2746=MANIFOLD_SOLID_BREP('Body3',#349251); #2747=MANIFOLD_SOLID_BREP('Body3',#349252); #2748=MANIFOLD_SOLID_BREP('Body3',#349253); #2749=MANIFOLD_SOLID_BREP('Body3',#349254); #2750=MANIFOLD_SOLID_BREP('Body3',#349255); #2751=MANIFOLD_SOLID_BREP('Body3',#349256); #2752=MANIFOLD_SOLID_BREP('Body3',#349257); #2753=MANIFOLD_SOLID_BREP('Body3',#349258); #2754=MANIFOLD_SOLID_BREP('Body3',#349259); #2755=MANIFOLD_SOLID_BREP('Body3',#349260); #2756=MANIFOLD_SOLID_BREP('Body3',#349261); #2757=MANIFOLD_SOLID_BREP('Body3',#349262); #2758=MANIFOLD_SOLID_BREP('Body3',#349263); #2759=MANIFOLD_SOLID_BREP('Body3',#349264); #2760=MANIFOLD_SOLID_BREP('Body3',#349265); #2761=MANIFOLD_SOLID_BREP('Body3',#349266); #2762=MANIFOLD_SOLID_BREP('Body3',#349267); #2763=MANIFOLD_SOLID_BREP('Body3',#349268); #2764=MANIFOLD_SOLID_BREP('Body3',#349269); #2765=MANIFOLD_SOLID_BREP('Body3',#349270); #2766=MANIFOLD_SOLID_BREP('Body3',#349271); #2767=MANIFOLD_SOLID_BREP('Body3',#349272); #2768=MANIFOLD_SOLID_BREP('Body3',#349273); #2769=MANIFOLD_SOLID_BREP('Body3',#349274); #2770=MANIFOLD_SOLID_BREP('Body3',#349275); #2771=MANIFOLD_SOLID_BREP('Body3',#349276); #2772=MANIFOLD_SOLID_BREP('Body3',#349277); #2773=MANIFOLD_SOLID_BREP('Body3',#349278); #2774=MANIFOLD_SOLID_BREP('Body3',#349279); #2775=MANIFOLD_SOLID_BREP('Body3',#349280); #2776=MANIFOLD_SOLID_BREP('Body3',#349281); #2777=MANIFOLD_SOLID_BREP('Body3',#349282); #2778=MANIFOLD_SOLID_BREP('Body3',#349283); #2779=MANIFOLD_SOLID_BREP('Body3',#349284); #2780=MANIFOLD_SOLID_BREP('Body3',#349285); #2781=MANIFOLD_SOLID_BREP('Body3',#349286); #2782=MANIFOLD_SOLID_BREP('Body3',#349287); #2783=MANIFOLD_SOLID_BREP('Body3',#349288); #2784=MANIFOLD_SOLID_BREP('Body3',#349289); #2785=MANIFOLD_SOLID_BREP('Body3',#349290); #2786=MANIFOLD_SOLID_BREP('Body3',#349291); #2787=MANIFOLD_SOLID_BREP('Body3',#349292); #2788=MANIFOLD_SOLID_BREP('Body1',#349293); #2789=MANIFOLD_SOLID_BREP('Body1',#349294); #2790=MANIFOLD_SOLID_BREP('Body1',#349295); #2791=MANIFOLD_SOLID_BREP('Body1',#349296); #2792=MANIFOLD_SOLID_BREP('Body1',#349297); #2793=MANIFOLD_SOLID_BREP('Body1',#349298); #2794=MANIFOLD_SOLID_BREP('Body1',#349299); #2795=MANIFOLD_SOLID_BREP('Body1',#349300); #2796=MANIFOLD_SOLID_BREP('Body1',#349301); #2797=MANIFOLD_SOLID_BREP('Body1',#349302); #2798=MANIFOLD_SOLID_BREP('Body1',#349303); #2799=MANIFOLD_SOLID_BREP('Body1',#349304); #2800=MANIFOLD_SOLID_BREP('Body1',#349305); #2801=MANIFOLD_SOLID_BREP('Body1',#349306); #2802=MANIFOLD_SOLID_BREP('Body1',#349307); #2803=MANIFOLD_SOLID_BREP('Body1',#349308); #2804=MANIFOLD_SOLID_BREP('Body1',#349309); #2805=MANIFOLD_SOLID_BREP('Body1',#349310); #2806=MANIFOLD_SOLID_BREP('Body1',#349311); #2807=MANIFOLD_SOLID_BREP('Body1',#349312); #2808=MANIFOLD_SOLID_BREP('Body1',#349313); #2809=MANIFOLD_SOLID_BREP('Body1',#349314); #2810=MANIFOLD_SOLID_BREP('Body1',#349315); #2811=MANIFOLD_SOLID_BREP('Body1',#349316); #2812=MANIFOLD_SOLID_BREP('Body1',#349317); #2813=MANIFOLD_SOLID_BREP('Body1',#349318); #2814=MANIFOLD_SOLID_BREP('Body1',#349319); #2815=MANIFOLD_SOLID_BREP('Body1',#349320); #2816=MANIFOLD_SOLID_BREP('Body1',#349321); #2817=MANIFOLD_SOLID_BREP('Body1',#349322); #2818=MANIFOLD_SOLID_BREP('Body1',#349323); #2819=MANIFOLD_SOLID_BREP('Body1',#349324); #2820=MANIFOLD_SOLID_BREP('Body1',#349325); #2821=MANIFOLD_SOLID_BREP('Body1',#349326); #2822=MANIFOLD_SOLID_BREP('Body1',#349327); #2823=MANIFOLD_SOLID_BREP('Body1',#349328); #2824=MANIFOLD_SOLID_BREP('Body1',#349329); #2825=MANIFOLD_SOLID_BREP('Body1',#349330); #2826=MANIFOLD_SOLID_BREP('Body1',#349331); #2827=MANIFOLD_SOLID_BREP('Body1',#349332); #2828=MANIFOLD_SOLID_BREP('Body1',#349333); #2829=MANIFOLD_SOLID_BREP('Body1',#349334); #2830=MANIFOLD_SOLID_BREP('Body1',#349335); #2831=MANIFOLD_SOLID_BREP('Body1',#349336); #2832=MANIFOLD_SOLID_BREP('Body1',#349337); #2833=MANIFOLD_SOLID_BREP('Body5',#349338); #2834=MANIFOLD_SOLID_BREP('Body5',#349339); #2835=MANIFOLD_SOLID_BREP('Body5',#349340); #2836=MANIFOLD_SOLID_BREP('Body5',#349341); #2837=MANIFOLD_SOLID_BREP('Body5',#349342); #2838=MANIFOLD_SOLID_BREP('Body5',#349343); #2839=MANIFOLD_SOLID_BREP('Body5',#349344); #2840=MANIFOLD_SOLID_BREP('Body5',#349345); #2841=MANIFOLD_SOLID_BREP('Body5',#349346); #2842=MANIFOLD_SOLID_BREP('Body5',#349347); #2843=MANIFOLD_SOLID_BREP('Body5',#349348); #2844=MANIFOLD_SOLID_BREP('Body5',#349349); #2845=MANIFOLD_SOLID_BREP('Body5',#349350); #2846=MANIFOLD_SOLID_BREP('Body5',#349351); #2847=MANIFOLD_SOLID_BREP('Body5',#349352); #2848=MANIFOLD_SOLID_BREP('Body5',#349353); #2849=MANIFOLD_SOLID_BREP('Body5',#349354); #2850=MANIFOLD_SOLID_BREP('Body5',#349355); #2851=MANIFOLD_SOLID_BREP('Body5',#349356); #2852=MANIFOLD_SOLID_BREP('Body5',#349357); #2853=MANIFOLD_SOLID_BREP('Body5',#349358); #2854=MANIFOLD_SOLID_BREP('Body5',#349359); #2855=MANIFOLD_SOLID_BREP('Body5',#349360); #2856=MANIFOLD_SOLID_BREP('Body5',#349361); #2857=MANIFOLD_SOLID_BREP('Body5',#349362); #2858=MANIFOLD_SOLID_BREP('Body5',#349363); #2859=MANIFOLD_SOLID_BREP('Body5',#349364); #2860=MANIFOLD_SOLID_BREP('Body5',#349365); #2861=MANIFOLD_SOLID_BREP('Body5',#349366); #2862=MANIFOLD_SOLID_BREP('Body5',#349367); #2863=MANIFOLD_SOLID_BREP('Body5',#349368); #2864=MANIFOLD_SOLID_BREP('Body5',#349369); #2865=MANIFOLD_SOLID_BREP('Body2',#349370); #2866=MANIFOLD_SOLID_BREP('Body2',#349371); #2867=MANIFOLD_SOLID_BREP('Body2',#349372); #2868=MANIFOLD_SOLID_BREP('Body2',#349373); #2869=MANIFOLD_SOLID_BREP('Body2',#349374); #2870=MANIFOLD_SOLID_BREP('Body2',#349375); #2871=MANIFOLD_SOLID_BREP('Body2',#349376); #2872=MANIFOLD_SOLID_BREP('Body2',#349377); #2873=MANIFOLD_SOLID_BREP('Body2',#349378); #2874=MANIFOLD_SOLID_BREP('Body2',#349379); #2875=MANIFOLD_SOLID_BREP('Body2',#349380); #2876=MANIFOLD_SOLID_BREP('Body2',#349381); #2877=MANIFOLD_SOLID_BREP('Body2',#349382); #2878=MANIFOLD_SOLID_BREP('Body2',#349383); #2879=MANIFOLD_SOLID_BREP('Body2',#349384); #2880=MANIFOLD_SOLID_BREP('Body2',#349385); #2881=MANIFOLD_SOLID_BREP('Body2',#349386); #2882=MANIFOLD_SOLID_BREP('Body2',#349387); #2883=MANIFOLD_SOLID_BREP('Body2',#349388); #2884=MANIFOLD_SOLID_BREP('Body2',#349389); #2885=MANIFOLD_SOLID_BREP('Body2',#349390); #2886=MANIFOLD_SOLID_BREP('Body2',#349391); #2887=MANIFOLD_SOLID_BREP('Body2',#349392); #2888=MANIFOLD_SOLID_BREP('Body3',#349393); #2889=MANIFOLD_SOLID_BREP('Body3',#349394); #2890=MANIFOLD_SOLID_BREP('Body3',#349395); #2891=MANIFOLD_SOLID_BREP('Body3',#349396); #2892=MANIFOLD_SOLID_BREP('Body3',#349397); #2893=MANIFOLD_SOLID_BREP('Body3',#349398); #2894=MANIFOLD_SOLID_BREP('Body3',#349399); #2895=MANIFOLD_SOLID_BREP('Body3',#349400); #2896=MANIFOLD_SOLID_BREP('Body3',#349401); #2897=MANIFOLD_SOLID_BREP('Body3',#349402); #2898=MANIFOLD_SOLID_BREP('Body3',#349403); #2899=MANIFOLD_SOLID_BREP('Body3',#349404); #2900=MANIFOLD_SOLID_BREP('Body3',#349405); #2901=MANIFOLD_SOLID_BREP('Body3',#349406); #2902=MANIFOLD_SOLID_BREP('Body3',#349407); #2903=MANIFOLD_SOLID_BREP('Body3',#349408); #2904=MANIFOLD_SOLID_BREP('Body3',#349409); #2905=MANIFOLD_SOLID_BREP('Body3',#349410); #2906=MANIFOLD_SOLID_BREP('Body3',#349411); #2907=MANIFOLD_SOLID_BREP('Body3',#349412); #2908=MANIFOLD_SOLID_BREP('Body3',#349413); #2909=MANIFOLD_SOLID_BREP('Body3',#349414); #2910=MANIFOLD_SOLID_BREP('Body3',#349415); #2911=MANIFOLD_SOLID_BREP('Body3',#349416); #2912=MANIFOLD_SOLID_BREP('Body3',#349417); #2913=MANIFOLD_SOLID_BREP('Body3',#349418); #2914=MANIFOLD_SOLID_BREP('Body3',#349419); #2915=MANIFOLD_SOLID_BREP('Body3',#349420); #2916=MANIFOLD_SOLID_BREP('Body3',#349421); #2917=MANIFOLD_SOLID_BREP('Body3',#349422); #2918=MANIFOLD_SOLID_BREP('Body3',#349423); #2919=MANIFOLD_SOLID_BREP('Body3',#349424); #2920=MANIFOLD_SOLID_BREP('Body3',#349425); #2921=MANIFOLD_SOLID_BREP('Body3',#349426); #2922=MANIFOLD_SOLID_BREP('Body3',#349427); #2923=MANIFOLD_SOLID_BREP('Body3',#349428); #2924=MANIFOLD_SOLID_BREP('Body3',#349429); #2925=MANIFOLD_SOLID_BREP('Body3',#349430); #2926=MANIFOLD_SOLID_BREP('Body3',#349431); #2927=MANIFOLD_SOLID_BREP('Body3',#349432); #2928=MANIFOLD_SOLID_BREP('Body3',#349433); #2929=MANIFOLD_SOLID_BREP('Body3',#349434); #2930=MANIFOLD_SOLID_BREP('Body3',#349435); #2931=MANIFOLD_SOLID_BREP('Body3',#349436); #2932=MANIFOLD_SOLID_BREP('Body3',#349437); #2933=MANIFOLD_SOLID_BREP('Body3',#349438); #2934=MANIFOLD_SOLID_BREP('Body3',#349439); #2935=MANIFOLD_SOLID_BREP('Body3',#349440); #2936=MANIFOLD_SOLID_BREP('Body3',#349441); #2937=MANIFOLD_SOLID_BREP('Body3',#349442); #2938=MANIFOLD_SOLID_BREP('Body3',#349443); #2939=MANIFOLD_SOLID_BREP('Body3',#349444); #2940=MANIFOLD_SOLID_BREP('Body3',#349445); #2941=MANIFOLD_SOLID_BREP('Body3',#349446); #2942=MANIFOLD_SOLID_BREP('Body3',#349447); #2943=MANIFOLD_SOLID_BREP('Body3',#349448); #2944=MANIFOLD_SOLID_BREP('Body3',#349449); #2945=MANIFOLD_SOLID_BREP('Body3',#349450); #2946=MANIFOLD_SOLID_BREP('Body3',#349451); #2947=MANIFOLD_SOLID_BREP('Body3',#349452); #2948=MANIFOLD_SOLID_BREP('Body3',#349453); #2949=MANIFOLD_SOLID_BREP('Body3',#349454); #2950=MANIFOLD_SOLID_BREP('Body3',#349455); #2951=MANIFOLD_SOLID_BREP('Body3',#349456); #2952=MANIFOLD_SOLID_BREP('Body3',#349457); #2953=MANIFOLD_SOLID_BREP('Body3',#349458); #2954=MANIFOLD_SOLID_BREP('Body3',#349459); #2955=MANIFOLD_SOLID_BREP('Body3',#349460); #2956=MANIFOLD_SOLID_BREP('Body3',#349461); #2957=MANIFOLD_SOLID_BREP('Body3',#349462); #2958=MANIFOLD_SOLID_BREP('Body3',#349463); #2959=MANIFOLD_SOLID_BREP('Body3',#349464); #2960=MANIFOLD_SOLID_BREP('Body3',#349465); #2961=MANIFOLD_SOLID_BREP('Body3',#349466); #2962=MANIFOLD_SOLID_BREP('Body3',#349467); #2963=MANIFOLD_SOLID_BREP('Body3',#349468); #2964=MANIFOLD_SOLID_BREP('Body3',#349469); #2965=MANIFOLD_SOLID_BREP('Body3',#349470); #2966=MANIFOLD_SOLID_BREP('Body3',#349471); #2967=MANIFOLD_SOLID_BREP('Body3',#349472); #2968=MANIFOLD_SOLID_BREP('Body3',#349473); #2969=MANIFOLD_SOLID_BREP('Body3',#349474); #2970=MANIFOLD_SOLID_BREP('Body3',#349475); #2971=MANIFOLD_SOLID_BREP('Body3',#349476); #2972=MANIFOLD_SOLID_BREP('Body3',#349477); #2973=MANIFOLD_SOLID_BREP('Body3',#349478); #2974=MANIFOLD_SOLID_BREP('Body3',#349479); #2975=MANIFOLD_SOLID_BREP('Body3',#349480); #2976=MANIFOLD_SOLID_BREP('Body3',#349481); #2977=MANIFOLD_SOLID_BREP('Body3',#349482); #2978=MANIFOLD_SOLID_BREP('Body3',#349483); #2979=MANIFOLD_SOLID_BREP('Body3',#349484); #2980=MANIFOLD_SOLID_BREP('Body3',#349485); #2981=MANIFOLD_SOLID_BREP('Body3',#349486); #2982=MANIFOLD_SOLID_BREP('Body3',#349487); #2983=MANIFOLD_SOLID_BREP('Body1',#349488); #2984=MANIFOLD_SOLID_BREP('Body1',#349489); #2985=MANIFOLD_SOLID_BREP('Body1',#349490); #2986=MANIFOLD_SOLID_BREP('Body1',#349491); #2987=MANIFOLD_SOLID_BREP('Body2',#349492); #2988=MANIFOLD_SOLID_BREP('Body3',#349493); #2989=MANIFOLD_SOLID_BREP('Body4',#349494); #2990=MANIFOLD_SOLID_BREP('Body5',#349495); #2991=MANIFOLD_SOLID_BREP('Body1',#349496); #2992=MANIFOLD_SOLID_BREP('Body1',#349497); #2993=MANIFOLD_SOLID_BREP('Body1',#349498); #2994=MANIFOLD_SOLID_BREP('Body1',#349499); #2995=MANIFOLD_SOLID_BREP('Body1',#349500); #2996=MANIFOLD_SOLID_BREP('Body1',#349501); #2997=MANIFOLD_SOLID_BREP('Body1',#349502); #2998=MANIFOLD_SOLID_BREP('Body1',#349503); #2999=MANIFOLD_SOLID_BREP('Body1',#349504); #3000=MANIFOLD_SOLID_BREP('Body1',#349505); #3001=MANIFOLD_SOLID_BREP('Body1',#349506); #3002=MANIFOLD_SOLID_BREP('Body1',#349507); #3003=MANIFOLD_SOLID_BREP('Body1',#349508); #3004=MANIFOLD_SOLID_BREP('Body1',#349509); #3005=MANIFOLD_SOLID_BREP('Body1',#349510); #3006=MANIFOLD_SOLID_BREP('Body1',#349511); #3007=MANIFOLD_SOLID_BREP('Body1',#349512); #3008=MANIFOLD_SOLID_BREP('Body1',#349513); #3009=MANIFOLD_SOLID_BREP('Body1',#349514); #3010=MANIFOLD_SOLID_BREP('Body1',#349515); #3011=MANIFOLD_SOLID_BREP('Body2',#349516); #3012=MANIFOLD_SOLID_BREP('Body3',#349517); #3013=MANIFOLD_SOLID_BREP('Body1',#349518); #3014=MANIFOLD_SOLID_BREP('Body2',#349519); #3015=MANIFOLD_SOLID_BREP('Body3',#349520); #3016=MANIFOLD_SOLID_BREP('Body1',#349521); #3017=MANIFOLD_SOLID_BREP('Body2',#349522); #3018=MANIFOLD_SOLID_BREP('Body3',#349523); #3019=MANIFOLD_SOLID_BREP('Body1',#349524); #3020=MANIFOLD_SOLID_BREP('Body2',#349525); #3021=MANIFOLD_SOLID_BREP('Body3',#349526); #3022=MANIFOLD_SOLID_BREP('Body4',#349527); #3023=MANIFOLD_SOLID_BREP('Body5',#349528); #3024=MANIFOLD_SOLID_BREP('Body6',#349529); #3025=MANIFOLD_SOLID_BREP('Body7',#349530); #3026=MANIFOLD_SOLID_BREP('Body8',#349531); #3027=MANIFOLD_SOLID_BREP('Body9',#349532); #3028=MANIFOLD_SOLID_BREP('Body10',#349533); #3029=MANIFOLD_SOLID_BREP('Body11',#349534); #3030=MANIFOLD_SOLID_BREP('Body12',#349535); #3031=MANIFOLD_SOLID_BREP('Body13',#349536); #3032=MANIFOLD_SOLID_BREP('Body1',#349537); #3033=MANIFOLD_SOLID_BREP('Body2',#349538); #3034=MANIFOLD_SOLID_BREP('Body3',#349539); #3035=MANIFOLD_SOLID_BREP('Body4',#349540); #3036=MANIFOLD_SOLID_BREP('Body5',#349541); #3037=MANIFOLD_SOLID_BREP('Body6',#349542); #3038=MANIFOLD_SOLID_BREP('Body7',#349543); #3039=MANIFOLD_SOLID_BREP('Body8',#349544); #3040=MANIFOLD_SOLID_BREP('Body9',#349545); #3041=MANIFOLD_SOLID_BREP('Body10',#349546); #3042=MANIFOLD_SOLID_BREP('Body11',#349547); #3043=MANIFOLD_SOLID_BREP('Body12',#349548); #3044=MANIFOLD_SOLID_BREP('Body13',#349549); #3045=MANIFOLD_SOLID_BREP('Body14',#349550); #3046=MANIFOLD_SOLID_BREP('Body15',#349551); #3047=MANIFOLD_SOLID_BREP('Body16',#349552); #3048=MANIFOLD_SOLID_BREP('Body17',#349553); #3049=MANIFOLD_SOLID_BREP('Body18',#349554); #3050=MANIFOLD_SOLID_BREP('Body1',#349555); #3051=MANIFOLD_SOLID_BREP('Body2',#349556); #3052=MANIFOLD_SOLID_BREP('Body3',#349557); #3053=MANIFOLD_SOLID_BREP('Body4',#349558); #3054=MANIFOLD_SOLID_BREP('Body5',#349559); #3055=MANIFOLD_SOLID_BREP('Body6',#349560); #3056=MANIFOLD_SOLID_BREP('Body7',#349561); #3057=MANIFOLD_SOLID_BREP('Body8',#349562); #3058=MANIFOLD_SOLID_BREP('Body9',#349563); #3059=MANIFOLD_SOLID_BREP('Body10',#349564); #3060=MANIFOLD_SOLID_BREP('Body11',#349565); #3061=MANIFOLD_SOLID_BREP('Body12',#349566); #3062=MANIFOLD_SOLID_BREP('Body13',#349567); #3063=MANIFOLD_SOLID_BREP('Body14',#349568); #3064=MANIFOLD_SOLID_BREP('Body15',#349569); #3065=MANIFOLD_SOLID_BREP('Body16',#349570); #3066=MANIFOLD_SOLID_BREP('Body17',#349571); #3067=MANIFOLD_SOLID_BREP('Body18',#349572); #3068=MANIFOLD_SOLID_BREP('Body1',#349573); #3069=MANIFOLD_SOLID_BREP('Body2',#349574); #3070=MANIFOLD_SOLID_BREP('Body3',#349575); #3071=MANIFOLD_SOLID_BREP('Body4',#349576); #3072=MANIFOLD_SOLID_BREP('Body5',#349577); #3073=MANIFOLD_SOLID_BREP('Body1',#349578); #3074=MANIFOLD_SOLID_BREP('Body2',#349579); #3075=MANIFOLD_SOLID_BREP('Body3',#349580); #3076=MANIFOLD_SOLID_BREP('Body1',#349581); #3077=MANIFOLD_SOLID_BREP('Body2',#349582); #3078=MANIFOLD_SOLID_BREP('Body3',#349583); #3079=MANIFOLD_SOLID_BREP('Body4',#349584); #3080=MANIFOLD_SOLID_BREP('Body5',#349585); #3081=MANIFOLD_SOLID_BREP('Body6',#349586); #3082=MANIFOLD_SOLID_BREP('Body7',#349587); #3083=MANIFOLD_SOLID_BREP('Body8',#349588); #3084=MANIFOLD_SOLID_BREP('Body9',#349589); #3085=MANIFOLD_SOLID_BREP('Body10',#349590); #3086=MANIFOLD_SOLID_BREP('Body11',#349591); #3087=MANIFOLD_SOLID_BREP('Body12',#349592); #3088=MANIFOLD_SOLID_BREP('Body13',#349593); #3089=MANIFOLD_SOLID_BREP('Body14',#349594); #3090=MANIFOLD_SOLID_BREP('Body15',#349595); #3091=MANIFOLD_SOLID_BREP('Body16',#349596); #3092=MANIFOLD_SOLID_BREP('Body17',#349597); #3093=MANIFOLD_SOLID_BREP('Body18',#349598); #3094=MANIFOLD_SOLID_BREP('Body19',#349599); #3095=MANIFOLD_SOLID_BREP('Body20',#349600); #3096=MANIFOLD_SOLID_BREP('Body21',#349601); #3097=MANIFOLD_SOLID_BREP('Body22',#349602); #3098=MANIFOLD_SOLID_BREP('Body23',#349603); #3099=MANIFOLD_SOLID_BREP('Body24',#349604); #3100=MANIFOLD_SOLID_BREP('Body25',#349605); #3101=MANIFOLD_SOLID_BREP('Body26',#349606); #3102=MANIFOLD_SOLID_BREP('Body27',#349607); #3103=MANIFOLD_SOLID_BREP('Body28',#349608); #3104=MANIFOLD_SOLID_BREP('Body29',#349609); #3105=MANIFOLD_SOLID_BREP('Body30',#349610); #3106=MANIFOLD_SOLID_BREP('Body31',#349611); #3107=MANIFOLD_SOLID_BREP('Body32',#349612); #3108=MANIFOLD_SOLID_BREP('Body33',#349613); #3109=MANIFOLD_SOLID_BREP('Body34',#349614); #3110=MANIFOLD_SOLID_BREP('Body35',#349615); #3111=MANIFOLD_SOLID_BREP('Body36',#349616); #3112=MANIFOLD_SOLID_BREP('Body37',#349617); #3113=MANIFOLD_SOLID_BREP('Body38',#349618); #3114=MANIFOLD_SOLID_BREP('Body39',#349619); #3115=MANIFOLD_SOLID_BREP('Body40',#349620); #3116=MANIFOLD_SOLID_BREP('Body41',#349621); #3117=MANIFOLD_SOLID_BREP('Body42',#349622); #3118=MANIFOLD_SOLID_BREP('Body43',#349623); #3119=MANIFOLD_SOLID_BREP('Body44',#349624); #3120=MANIFOLD_SOLID_BREP('Body45',#349625); #3121=MANIFOLD_SOLID_BREP('Body46',#349626); #3122=MANIFOLD_SOLID_BREP('Body47',#349627); #3123=MANIFOLD_SOLID_BREP('Body48',#349628); #3124=MANIFOLD_SOLID_BREP('Body49',#349629); #3125=MANIFOLD_SOLID_BREP('Body50',#349630); #3126=MANIFOLD_SOLID_BREP('Body1',#349631); #3127=MANIFOLD_SOLID_BREP('Body2',#349632); #3128=MANIFOLD_SOLID_BREP('Body3',#349633); #3129=MANIFOLD_SOLID_BREP('Body1',#349634); #3130=MANIFOLD_SOLID_BREP('Body2',#349635); #3131=MANIFOLD_SOLID_BREP('Body3',#349636); #3132=MANIFOLD_SOLID_BREP('Body4',#349637); #3133=MANIFOLD_SOLID_BREP('Body5',#349638); #3134=MANIFOLD_SOLID_BREP('Body6',#349639); #3135=MANIFOLD_SOLID_BREP('Body1',#349640); #3136=MANIFOLD_SOLID_BREP('Body2',#349641); #3137=MANIFOLD_SOLID_BREP('Body3',#349642); #3138=MANIFOLD_SOLID_BREP('Body4',#349643); #3139=MANIFOLD_SOLID_BREP('Body5',#349644); #3140=MANIFOLD_SOLID_BREP('Body6',#349645); #3141=MANIFOLD_SOLID_BREP('Body7',#349646); #3142=MANIFOLD_SOLID_BREP('Body8',#349647); #3143=MANIFOLD_SOLID_BREP('Body9',#349648); #3144=MANIFOLD_SOLID_BREP('Body1',#349649); #3145=MANIFOLD_SOLID_BREP('Body2',#349650); #3146=MANIFOLD_SOLID_BREP('Body3',#349651); #3147=MANIFOLD_SOLID_BREP('Body4',#349652); #3148=MANIFOLD_SOLID_BREP('Body5',#349653); #3149=MANIFOLD_SOLID_BREP('Body6',#349654); #3150=MANIFOLD_SOLID_BREP('Body1',#349655); #3151=MANIFOLD_SOLID_BREP('Body2',#349656); #3152=MANIFOLD_SOLID_BREP('Body3',#349657); #3153=MANIFOLD_SOLID_BREP('Body1',#349658); #3154=MANIFOLD_SOLID_BREP('Body1',#349659); #3155=MANIFOLD_SOLID_BREP('Body1',#349660); #3156=MANIFOLD_SOLID_BREP('Body1',#349661); #3157=MANIFOLD_SOLID_BREP('Body1',#349662); #3158=MANIFOLD_SOLID_BREP('Body2',#349663); #3159=MANIFOLD_SOLID_BREP('Body3',#349664); #3160=MANIFOLD_SOLID_BREP('Body4',#349665); #3161=MANIFOLD_SOLID_BREP('Body6',#349666); #3162=ELLIPSE('',#350423,0.655872693719607,0.571300577527462); #3163=ELLIPSE('',#350447,0.655872989589472,0.571301075641745); #3164=ELLIPSE('',#350469,0.655873341283983,0.571301667168426); #3165=ELLIPSE('',#350488,0.655873192947597,0.571301417522085); #3166=ELLIPSE('',#350497,5.21316964591279,2.964336607013); #3167=ELLIPSE('',#350499,13.3334398186432,2.964336607013); #3168=ELLIPSE('',#350507,0.655872759661309,0.571300689121702); #3169=ELLIPSE('',#350513,0.300378755011943,0.300131939155302); #3170=ELLIPSE('',#350514,0.332908566684663,0.315545739298333); #3171=ELLIPSE('',#350860,18.0312229202569,12.75); #3172=ELLIPSE('',#350861,19.4454364826301,13.75); #3173=ELLIPSE('',#350869,18.0312229202569,12.75); #3174=ELLIPSE('',#350870,19.44543648263,13.75); #3175=ELLIPSE('',#350881,18.0312229202569,12.75); #3176=ELLIPSE('',#350882,19.4454364826301,13.75); #3177=ELLIPSE('',#350888,18.031222920257,12.75); #3178=ELLIPSE('',#350889,19.4454364826301,13.75); #3179=ELLIPSE('',#351542,3.53553390593273,2.5); #3180=ELLIPSE('',#351545,3.53553390593273,2.5); #3181=ELLIPSE('',#372279,0.197989898732233,0.14); #3182=ELLIPSE('',#372280,0.197989898732233,0.14); #3183=ELLIPSE('',#372282,0.197989898732233,0.14); #3184=ELLIPSE('',#372283,0.197989898732233,0.14); #3185=ELLIPSE('',#372980,1.81019335983756,1.28); #3186=ELLIPSE('',#372981,0.28356572915838,0.200511249999998); #3187=ELLIPSE('',#372982,0.28356572915838,0.200511249999998); #3188=ELLIPSE('',#373032,1.81019335983756,1.28); #3189=ELLIPSE('',#373033,0.28356572915838,0.200511249999998); #3190=ELLIPSE('',#373034,0.28356572915838,0.200511249999998); #3191=ELLIPSE('',#373454,0.223606797749979,0.2); #3192=ELLIPSE('',#373514,0.223606797749979,0.2); #3193=ELLIPSE('',#373522,0.223606797749979,0.2); #3194=ELLIPSE('',#373527,0.223606797749979,0.2); #3195=ELLIPSE('',#373566,0.249858826427815,0.176676870506421); #3196=ELLIPSE('',#373569,0.249858826427815,0.176676870506421); #3197=ELLIPSE('',#373582,0.249858826427815,0.176676870506421); #3198=ELLIPSE('',#373585,0.249858826427815,0.176676870506421); #3199=ELLIPSE('',#373634,0.0972259329022809,0.070502406497278); #3200=ELLIPSE('',#373635,0.266890079046204,0.214958102704807); #3201=ELLIPSE('',#373644,0.0972259328296396,0.070502406471255); #3202=ELLIPSE('',#373645,0.266890079316344,0.214958103138367); #3203=ELLIPSE('',#376709,46.6690475793855,33.0000000149012); #3204=ELLIPSE('',#376711,46.6690475793855,33.0000000149012); #3205=ELLIPSE('',#376713,46.6690475793855,33.0000000149012); #3206=ELLIPSE('',#376715,46.6690475793855,33.0000000149012); #3207=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#470894,#470895,#470896,#470897, #470898,#470899,#470900),(#470901,#470902,#470903,#470904,#470905,#470906, #470907),(#470908,#470909,#470910,#470911,#470912,#470913,#470914),(#470915, #470916,#470917,#470918,#470919,#470920,#470921),(#470922,#470923,#470924, #470925,#470926,#470927,#470928),(#470929,#470930,#470931,#470932,#470933, #470934,#470935),(#470936,#470937,#470938,#470939,#470940,#470941,#470942), (#470943,#470944,#470945,#470946,#470947,#470948,#470949),(#470950,#470951, #470952,#470953,#470954,#470955,#470956),(#470957,#470958,#470959,#470960, #470961,#470962,#470963),(#470964,#470965,#470966,#470967,#470968,#470969, #470970),(#470971,#470972,#470973,#470974,#470975,#470976,#470977),(#470978, #470979,#470980,#470981,#470982,#470983,#470984),(#470985,#470986,#470987, #470988,#470989,#470990,#470991),(#470992,#470993,#470994,#470995,#470996, #470997,#470998),(#470999,#471000,#471001,#471002,#471003,#471004,#471005), (#471006,#471007,#471008,#471009,#471010,#471011,#471012),(#471013,#471014, #471015,#471016,#471017,#471018,#471019),(#471020,#471021,#471022,#471023, #471024,#471025,#471026),(#471027,#471028,#471029,#471030,#471031,#471032, #471033),(#471034,#471035,#471036,#471037,#471038,#471039,#471040),(#471041, #471042,#471043,#471044,#471045,#471046,#471047),(#471048,#471049,#471050, #471051,#471052,#471053,#471054),(#471055,#471056,#471057,#471058,#471059, #471060,#471061),(#471062,#471063,#471064,#471065,#471066,#471067,#471068), (#471069,#471070,#471071,#471072,#471073,#471074,#471075),(#471076,#471077, #471078,#471079,#471080,#471081,#471082)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,4),(0.1146109944069, 0.1176100566661,0.1555094515635,0.1947716657828,0.2328550590384,0.2718330378558, 0.3105507686079,0.3515306289077,0.3908968364299,0.468580873187,0.507825899467, 0.5470828937349,0.5828452283053,0.6224576341538,0.6642337918712,0.6999427983147, 0.7424075828287,0.7815747745354,0.8204893791621,0.8602451345903,0.8965001152177, 0.9007389083434,0.9393033842601,0.9427592968332,1.00848302741),(-0.002656491669022, 0.1264509983255,0.375989706336,0.9365554875361,1.00527808056), .UNSPECIFIED.); #3208=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#471424,#471425,#471426,#471427, #471428,#471429,#471430),(#471431,#471432,#471433,#471434,#471435,#471436, #471437),(#471438,#471439,#471440,#471441,#471442,#471443,#471444),(#471445, #471446,#471447,#471448,#471449,#471450,#471451),(#471452,#471453,#471454, #471455,#471456,#471457,#471458),(#471459,#471460,#471461,#471462,#471463, #471464,#471465),(#471466,#471467,#471468,#471469,#471470,#471471,#471472), (#471473,#471474,#471475,#471476,#471477,#471478,#471479),(#471480,#471481, #471482,#471483,#471484,#471485,#471486),(#471487,#471488,#471489,#471490, #471491,#471492,#471493),(#471494,#471495,#471496,#471497,#471498,#471499, #471500),(#471501,#471502,#471503,#471504,#471505,#471506,#471507),(#471508, #471509,#471510,#471511,#471512,#471513,#471514),(#471515,#471516,#471517, #471518,#471519,#471520,#471521),(#471522,#471523,#471524,#471525,#471526, #471527,#471528),(#471529,#471530,#471531,#471532,#471533,#471534,#471535), (#471536,#471537,#471538,#471539,#471540,#471541,#471542),(#471543,#471544, #471545,#471546,#471547,#471548,#471549),(#471550,#471551,#471552,#471553, #471554,#471555,#471556),(#471557,#471558,#471559,#471560,#471561,#471562, #471563),(#471564,#471565,#471566,#471567,#471568,#471569,#471570),(#471571, #471572,#471573,#471574,#471575,#471576,#471577),(#471578,#471579,#471580, #471581,#471582,#471583,#471584),(#471585,#471586,#471587,#471588,#471589, #471590,#471591),(#471592,#471593,#471594,#471595,#471596,#471597,#471598), (#471599,#471600,#471601,#471602,#471603,#471604,#471605),(#471606,#471607, #471608,#471609,#471610,#471611,#471612),(#471613,#471614,#471615,#471616, #471617,#471618,#471619)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,4),(-0.03090233175915,0.,0.06192591746869, 0.06623076183942,0.1055195748058,0.110925467738,0.1467187193989,0.1517591952361, 0.186744627067,0.2257585302754,0.2642176295386,0.3075312127639,0.3418319655461, 0.3844596077469,0.4227743751137,0.4610783812203,0.4994614191564,0.5387624720224, 0.5770175251575,0.612778984873,0.6519928793349,0.6921559898189,0.7306443365622, 0.7678207934223,0.8062460346199,0.8341645128187),(0.07238360598557,0.1264509983255, 0.375989706336,0.9365554875361,1.004432938708),.UNSPECIFIED.); #3209=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#473322,#473323,#473324,#473325, #473326,#473327,#473328,#473329,#473330,#473331,#473332),(#473333,#473334, #473335,#473336,#473337,#473338,#473339,#473340,#473341,#473342,#473343), (#473344,#473345,#473346,#473347,#473348,#473349,#473350,#473351,#473352, #473353,#473354),(#473355,#473356,#473357,#473358,#473359,#473360,#473361, #473362,#473363,#473364,#473365),(#473366,#473367,#473368,#473369,#473370, #473371,#473372,#473373,#473374,#473375,#473376),(#473377,#473378,#473379, #473380,#473381,#473382,#473383,#473384,#473385,#473386,#473387),(#473388, #473389,#473390,#473391,#473392,#473393,#473394,#473395,#473396,#473397, #473398),(#473399,#473400,#473401,#473402,#473403,#473404,#473405,#473406, #473407,#473408,#473409),(#473410,#473411,#473412,#473413,#473414,#473415, #473416,#473417,#473418,#473419,#473420),(#473421,#473422,#473423,#473424, #473425,#473426,#473427,#473428,#473429,#473430,#473431),(#473432,#473433, #473434,#473435,#473436,#473437,#473438,#473439,#473440,#473441,#473442), (#473443,#473444,#473445,#473446,#473447,#473448,#473449,#473450,#473451, #473452,#473453),(#473454,#473455,#473456,#473457,#473458,#473459,#473460, #473461,#473462,#473463,#473464),(#473465,#473466,#473467,#473468,#473469, #473470,#473471,#473472,#473473,#473474,#473475),(#473476,#473477,#473478, #473479,#473480,#473481,#473482,#473483,#473484,#473485,#473486),(#473487, #473488,#473489,#473490,#473491,#473492,#473493,#473494,#473495,#473496, #473497),(#473498,#473499,#473500,#473501,#473502,#473503,#473504,#473505, #473506,#473507,#473508),(#473509,#473510,#473511,#473512,#473513,#473514, #473515,#473516,#473517,#473518,#473519)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(0.01339824721523,0.0136870713273, 0.01380679246587,0.01392651360445,0.0141659558816,0.01440539815875,0.01488428271306, 0.01536316726736,0.01584205182167,0.01608149409882,0.01632093637597,0.01656037865313, 0.01679982093028,0.01703926320743,0.01715898434601,0.01726719944113),(-0.06998632774413, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.070140425408),.UNSPECIFIED.); #3210=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#473647,#473648,#473649,#473650, #473651),(#473652,#473653,#473654,#473655,#473656),(#473657,#473658,#473659, #473660,#473661),(#473662,#473663,#473664,#473665,#473666),(#473667,#473668, #473669,#473670,#473671),(#473672,#473673,#473674,#473675,#473676),(#473677, #473678,#473679,#473680,#473681),(#473682,#473683,#473684,#473685,#473686)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,4),(4,1,4),(-5.219500530897E-5,0.0002189289158846, 0.0004378578317693,0.0005473222897116,0.0006567867476539,0.0009279815254603), (-0.08970709858613,0.5,1.090377631951),.UNSPECIFIED.); #3211=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#473703,#473704,#473705,#473706, #473707),(#473708,#473709,#473710,#473711,#473712),(#473713,#473714,#473715, #473716,#473717),(#473718,#473719,#473720,#473721,#473722)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,4),(-5.260696503402E-5,0.0004327546400625), (-0.1017418385027,0.5,1.101801397241),.UNSPECIFIED.); #3212=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#473735,#473736,#473737,#473738, #473739),(#473740,#473741,#473742,#473743,#473744),(#473745,#473746,#473747, #473748,#473749),(#473750,#473751,#473752,#473753,#473754),(#473755,#473756, #473757,#473758,#473759)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(-5.202454049241E-5, 0.0003343700118778,0.000721551645529),(-0.1240256478901,0.5,1.123971094), .UNSPECIFIED.); #3213=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#473767,#473768,#473769,#473770, #473771),(#473772,#473773,#473774,#473775,#473776),(#473777,#473778,#473779, #473780,#473781),(#473782,#473783,#473784,#473785,#473786),(#473787,#473788, #473789,#473790,#473791),(#473792,#473793,#473794,#473795,#473796)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,1,4),(0.005152255282044,0.00557278991075, 0.005758549574441,0.00599546053534),(-0.1412233591345,0.5,1.141159517607), .UNSPECIFIED.); #3214=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#473818,#473819,#473820,#473821, #473822),(#473823,#473824,#473825,#473826,#473827),(#473828,#473829,#473830, #473831,#473832),(#473833,#473834,#473835,#473836,#473837),(#473838,#473839, #473840,#473841,#473842),(#473843,#473844,#473845,#473846,#473847),(#473848, #473849,#473850,#473851,#473852),(#473853,#473854,#473855,#473856,#473857), (#473858,#473859,#473860,#473861,#473862),(#473863,#473864,#473865,#473866, #473867),(#473868,#473869,#473870,#473871,#473872),(#473873,#473874,#473875, #473876,#473877),(#473878,#473879,#473880,#473881,#473882),(#473883,#473884, #473885,#473886,#473887),(#473888,#473889,#473890,#473891,#473892),(#473893, #473894,#473895,#473896,#473897)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1, 1,1,1,1,1,1,1,1,4),(4,1,4),(0.002923389624968,0.003157914282758,0.00334367394645, 0.003436553778296,0.003529433610142,0.003715193273833,0.003900952937525, 0.004086712601216,0.004272472264908,0.004365352096754,0.0044582319286,0.004643991592291, 0.004829751255983,0.005249989812697),(-0.1669006370904,0.5,1.166236095491), .UNSPECIFIED.); #3215=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#473916,#473917,#473918,#473919, #473920),(#473921,#473922,#473923,#473924,#473925),(#473926,#473927,#473928, #473929,#473930),(#473931,#473932,#473933,#473934,#473935),(#473936,#473937, #473938,#473939,#473940),(#473941,#473942,#473943,#473944,#473945),(#473946, #473947,#473948,#473949,#473950),(#473951,#473952,#473953,#473954,#473955), (#473956,#473957,#473958,#473959,#473960),(#473961,#473962,#473963,#473964, #473965),(#473966,#473967,#473968,#473969,#473970),(#473971,#473972,#473973, #473974,#473975),(#473976,#473977,#473978,#473979,#473980),(#473981,#473982, #473983,#473984,#473985),(#473986,#473987,#473988,#473989,#473990),(#473991, #473992,#473993,#473994,#473995),(#473996,#473997,#473998,#473999,#474000), (#474001,#474002,#474003,#474004,#474005),(#474006,#474007,#474008,#474009, #474010)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4), (4,1,4),(-4.863420103814E-5,9.287983184583E-5,0.0001857596636917,0.0003715193273833, 0.0004643991592291,0.000557278991075,0.0007430386547666,0.0008359184866125, 0.0009287983184583,0.00111455798215,0.001300317645842,0.001486077309533, 0.001671836973225,0.001857596636917,0.0022291159643,0.002600635291683,0.003021153336421), (-0.229038804871,0.5,1.20571991303),.UNSPECIFIED.); #3216=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#474101,#474102,#474103,#474104), (#474105,#474106,#474107,#474108),(#474109,#474110,#474111,#474112),(#474113, #474114,#474115,#474116),(#474117,#474118,#474119,#474120),(#474121,#474122, #474123,#474124),(#474125,#474126,#474127,#474128),(#474129,#474130,#474131, #474132),(#474133,#474134,#474135,#474136),(#474137,#474138,#474139,#474140), (#474141,#474142,#474143,#474144),(#474145,#474146,#474147,#474148)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4),(4,4),(7.80337066570755E-5, 0.0001885327814306,0.0002513770419075,0.0003770655628613,0.000502754083815, 0.0006284426047688,0.0006912868652456,0.0007541311257225,0.0008798196466763, 0.00109831433678907),(-0.2114619433997,1.208974415693),.UNSPECIFIED.); #3217=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#474257,#474258,#474259,#474260, #474261,#474262,#474263,#474264,#474265,#474266,#474267),(#474268,#474269, #474270,#474271,#474272,#474273,#474274,#474275,#474276,#474277,#474278), (#474279,#474280,#474281,#474282,#474283,#474284,#474285,#474286,#474287, #474288,#474289),(#474290,#474291,#474292,#474293,#474294,#474295,#474296, #474297,#474298,#474299,#474300),(#474301,#474302,#474303,#474304,#474305, #474306,#474307,#474308,#474309,#474310,#474311),(#474312,#474313,#474314, #474315,#474316,#474317,#474318,#474319,#474320,#474321,#474322),(#474323, #474324,#474325,#474326,#474327,#474328,#474329,#474330,#474331,#474332, #474333),(#474334,#474335,#474336,#474337,#474338,#474339,#474340,#474341, #474342,#474343,#474344),(#474345,#474346,#474347,#474348,#474349,#474350, #474351,#474352,#474353,#474354,#474355),(#474356,#474357,#474358,#474359, #474360,#474361,#474362,#474363,#474364,#474365,#474366),(#474367,#474368, #474369,#474370,#474371,#474372,#474373,#474374,#474375,#474376,#474377), (#474378,#474379,#474380,#474381,#474382,#474383,#474384,#474385,#474386, #474387,#474388),(#474389,#474390,#474391,#474392,#474393,#474394,#474395, #474396,#474397,#474398,#474399),(#474400,#474401,#474402,#474403,#474404, #474405,#474406,#474407,#474408,#474409,#474410)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(0.0008959521176418, 0.00113083280957,0.001319304944498,0.001507777079427,0.001696249214355, 0.001884721349283,0.002073193484212,0.00226166561914,0.002638609888997, 0.002732845956461,0.002827082023925,0.003049453914753),(-0.06039716062672, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.061531584501),.UNSPECIFIED.); #3218=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#474462,#474463,#474464,#474465, #474466,#474467,#474468,#474469,#474470,#474471,#474472),(#474473,#474474, #474475,#474476,#474477,#474478,#474479,#474480,#474481,#474482,#474483), (#474484,#474485,#474486,#474487,#474488,#474489,#474490,#474491,#474492, #474493,#474494),(#474495,#474496,#474497,#474498,#474499,#474500,#474501, #474502,#474503,#474504,#474505),(#474506,#474507,#474508,#474509,#474510, #474511,#474512,#474513,#474514,#474515,#474516),(#474517,#474518,#474519, #474520,#474521,#474522,#474523,#474524,#474525,#474526,#474527),(#474528, #474529,#474530,#474531,#474532,#474533,#474534,#474535,#474536,#474537, #474538),(#474539,#474540,#474541,#474542,#474543,#474544,#474545,#474546, #474547,#474548,#474549),(#474550,#474551,#474552,#474553,#474554,#474555, #474556,#474557,#474558,#474559,#474560),(#474561,#474562,#474563,#474564, #474565,#474566,#474567,#474568,#474569,#474570,#474571)),.UNSPECIFIED., .F.,.F.,.F.,(4,1,1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(-4.770199442283E-5, 0.0001884721349283,0.0002827082023925,0.0003769442698567,0.000565416404785, 0.0006596524722491,0.0007538885397133,0.0009889346586281),(-0.06112982980939, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.062291688789),.UNSPECIFIED.); #3219=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#474596,#474597,#474598,#474599, #474600,#474601,#474602,#474603,#474604,#474605,#474606),(#474607,#474608, #474609,#474610,#474611,#474612,#474613,#474614,#474615,#474616,#474617), (#474618,#474619,#474620,#474621,#474622,#474623,#474624,#474625,#474626, #474627,#474628),(#474629,#474630,#474631,#474632,#474633,#474634,#474635, #474636,#474637,#474638,#474639),(#474640,#474641,#474642,#474643,#474644, #474645,#474646,#474647,#474648,#474649,#474650),(#474651,#474652,#474653, #474654,#474655,#474656,#474657,#474658,#474659,#474660,#474661),(#474662, #474663,#474664,#474665,#474666,#474667,#474668,#474669,#474670,#474671, #474672),(#474673,#474674,#474675,#474676,#474677,#474678,#474679,#474680, #474681,#474682,#474683),(#474684,#474685,#474686,#474687,#474688,#474689, #474690,#474691,#474692,#474693,#474694),(#474695,#474696,#474697,#474698, #474699,#474700,#474701,#474702,#474703,#474704,#474705),(#474706,#474707, #474708,#474709,#474710,#474711,#474712,#474713,#474714,#474715,#474716), (#474717,#474718,#474719,#474720,#474721,#474722,#474723,#474724,#474725, #474726,#474727),(#474728,#474729,#474730,#474731,#474732,#474733,#474734, #474735,#474736,#474737,#474738)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1, 1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(-4.690993388588E-5,0.0004585357276162, 0.0005731696595203,0.0006878035914244,0.0009170714552325,0.001031705387137, 0.001146339319041,0.001260973250945,0.001375607182849,0.001604875046657, 0.001881819250261),(-0.0625868105887,0.125,0.25,0.375,0.5,0.625,0.75,0.875, 1.062813755724),.UNSPECIFIED.); #3220=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#474754,#474755,#474756,#474757, #474758,#474759,#474760,#474761,#474762,#474763,#474764),(#474765,#474766, #474767,#474768,#474769,#474770,#474771,#474772,#474773,#474774,#474775), (#474776,#474777,#474778,#474779,#474780,#474781,#474782,#474783,#474784, #474785,#474786),(#474787,#474788,#474789,#474790,#474791,#474792,#474793, #474794,#474795,#474796,#474797)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1, 1,1,1,1,1,1,4),(0.001515129021661,0.001715400260177),(-0.06332560693878, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.063176967055),.UNSPECIFIED.); #3221=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#474804,#474805,#474806,#474807, #474808,#474809,#474810,#474811,#474812,#474813,#474814),(#474815,#474816, #474817,#474818,#474819,#474820,#474821,#474822,#474823,#474824,#474825), (#474826,#474827,#474828,#474829,#474830,#474831,#474832,#474833,#474834, #474835,#474836),(#474837,#474838,#474839,#474840,#474841,#474842,#474843, #474844,#474845,#474846,#474847),(#474848,#474849,#474850,#474851,#474852, #474853,#474854,#474855,#474856,#474857,#474858),(#474859,#474860,#474861, #474862,#474863,#474864,#474865,#474866,#474867,#474868,#474869),(#474870, #474871,#474872,#474873,#474874,#474875,#474876,#474877,#474878,#474879, #474880),(#474881,#474882,#474883,#474884,#474885,#474886,#474887,#474888, #474889,#474890,#474891),(#474892,#474893,#474894,#474895,#474896,#474897, #474898,#474899,#474900,#474901,#474902),(#474903,#474904,#474905,#474906, #474907,#474908,#474909,#474910,#474911,#474912,#474913),(#474914,#474915, #474916,#474917,#474918,#474919,#474920,#474921,#474922,#474923,#474924), (#474925,#474926,#474927,#474928,#474929,#474930,#474931,#474932,#474933, #474934,#474935),(#474936,#474937,#474938,#474939,#474940,#474941,#474942, #474943,#474944,#474945,#474946)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1, 1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(-4.90228591429E-5,0.0001042089698664, 0.0002084179397329,0.0003126269095994,0.0004168358794658,0.0006252538191987, 0.0008336717589317,0.001042089698665,0.001250507638397,0.00145892557813, 0.001609321780654),(-0.0633105476731,0.125,0.25,0.375,0.5,0.625,0.75,0.875, 1.063176733106),.UNSPECIFIED.); #3222=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#475024,#475025,#475026,#475027, #475028,#475029,#475030,#475031,#475032,#475033,#475034),(#475035,#475036, #475037,#475038,#475039,#475040,#475041,#475042,#475043,#475044,#475045), (#475046,#475047,#475048,#475049,#475050,#475051,#475052,#475053,#475054, #475055,#475056),(#475057,#475058,#475059,#475060,#475061,#475062,#475063, #475064,#475065,#475066,#475067),(#475068,#475069,#475070,#475071,#475072, #475073,#475074,#475075,#475076,#475077,#475078),(#475079,#475080,#475081, #475082,#475083,#475084,#475085,#475086,#475087,#475088,#475089)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,1,1,1,1,1,1,1,4),(0.001224760629043, 0.0013795520394,0.001485671427046,0.001746627726184),(-0.06112416916325, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.06157500603),.UNSPECIFIED.); #3223=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#475098,#475099,#475100,#475101, #475102,#475103,#475104,#475105,#475106,#475107,#475108),(#475109,#475110, #475111,#475112,#475113,#475114,#475115,#475116,#475117,#475118,#475119), (#475120,#475121,#475122,#475123,#475124,#475125,#475126,#475127,#475128, #475129,#475130),(#475131,#475132,#475133,#475134,#475135,#475136,#475137, #475138,#475139,#475140,#475141),(#475142,#475143,#475144,#475145,#475146, #475147,#475148,#475149,#475150,#475151,#475152),(#475153,#475154,#475155, #475156,#475157,#475158,#475159,#475160,#475161,#475162,#475163),(#475164, #475165,#475166,#475167,#475168,#475169,#475170,#475171,#475172,#475173, #475174),(#475175,#475176,#475177,#475178,#475179,#475180,#475181,#475182, #475183,#475184,#475185),(#475186,#475187,#475188,#475189,#475190,#475191, #475192,#475193,#475194,#475195,#475196),(#475197,#475198,#475199,#475200, #475201,#475202,#475203,#475204,#475205,#475206,#475207),(#475208,#475209, #475210,#475211,#475212,#475213,#475214,#475215,#475216,#475217,#475218), (#475219,#475220,#475221,#475222,#475223,#475224,#475225,#475226,#475227, #475228,#475229)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4),(4,1, 1,1,1,1,1,1,4),(-4.386918437224E-5,0.0001061193876461,0.0002122387752923, 0.0004244775505845,0.0006367163258768,0.0007428357135229,0.0008489551011691, 0.0009550744888152,0.001061193876461,0.001316069183301),(-0.06140709426235, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.060984002309),.UNSPECIFIED.); #3224=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#475305,#475306,#475307,#475308, #475309,#475310,#475311,#475312,#475313,#475314,#475315),(#475316,#475317, #475318,#475319,#475320,#475321,#475322,#475323,#475324,#475325,#475326), (#475327,#475328,#475329,#475330,#475331,#475332,#475333,#475334,#475335, #475336,#475337),(#475338,#475339,#475340,#475341,#475342,#475343,#475344, #475345,#475346,#475347,#475348)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1, 1,1,1,1,1,1,4),(0.008722774337561,0.009280442797732),(-0.05901555342603, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.059067062987),.UNSPECIFIED.); #3225=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#475365,#475366,#475367,#475368, #475369,#475370,#475371),(#475372,#475373,#475374,#475375,#475376,#475377, #475378),(#475379,#475380,#475381,#475382,#475383,#475384,#475385),(#475386, #475387,#475388,#475389,#475390,#475391,#475392),(#475393,#475394,#475395, #475396,#475397,#475398,#475399),(#475400,#475401,#475402,#475403,#475404, #475405,#475406),(#475407,#475408,#475409,#475410,#475411,#475412,#475413), (#475414,#475415,#475416,#475417,#475418,#475419,#475420),(#475421,#475422, #475423,#475424,#475425,#475426,#475427),(#475428,#475429,#475430,#475431, #475432,#475433,#475434),(#475435,#475436,#475437,#475438,#475439,#475440, #475441),(#475442,#475443,#475444,#475445,#475446,#475447,#475448),(#475449, #475450,#475451,#475452,#475453,#475454,#475455),(#475456,#475457,#475458, #475459,#475460,#475461,#475462),(#475463,#475464,#475465,#475466,#475467, #475468,#475469),(#475470,#475471,#475472,#475473,#475474,#475475,#475476), (#475477,#475478,#475479,#475480,#475481,#475482,#475483),(#475484,#475485, #475486,#475487,#475488,#475489,#475490),(#475491,#475492,#475493,#475494, #475495,#475496,#475497),(#475498,#475499,#475500,#475501,#475502,#475503, #475504),(#475505,#475506,#475507,#475508,#475509,#475510,#475511),(#475512, #475513,#475514,#475515,#475516,#475517,#475518),(#475519,#475520,#475521, #475522,#475523,#475524,#475525),(#475526,#475527,#475528,#475529,#475530, #475531,#475532),(#475533,#475534,#475535,#475536,#475537,#475538,#475539), (#475540,#475541,#475542,#475543,#475544,#475545,#475546),(#475547,#475548, #475549,#475550,#475551,#475552,#475553),(#475554,#475555,#475556,#475557, #475558,#475559,#475560)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,4),(-0.03090233181511,0.,0.06192591746869, 0.06623076183942,0.1055195748058,0.110925467738,0.1467187193989,0.1517591952361, 0.186744627067,0.2257585302754,0.2642176295386,0.3075312127639,0.3418319655461, 0.3844596077469,0.4227743751137,0.4610783812203,0.4994614191564,0.5387624720224, 0.5770175251575,0.612778984873,0.6519928793349,0.6921559898189,0.7306443365622, 0.7678207934223,0.8062460346199,0.83416451846),(0.07238360599088,0.1264509983255, 0.375989706336,0.9365554875361,1.004432938302),.UNSPECIFIED.); #3226=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#475574,#475575,#475576,#475577), (#475578,#475579,#475580,#475581),(#475582,#475583,#475584,#475585),(#475586, #475587,#475588,#475589)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.01721809788389, 0.01725652735407),(-0.0003402293722035,0.01554074754733),.UNSPECIFIED.); #3227=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#475594,#475595,#475596,#475597, #475598,#475599,#475600),(#475601,#475602,#475603,#475604,#475605,#475606, #475607),(#475608,#475609,#475610,#475611,#475612,#475613,#475614),(#475615, #475616,#475617,#475618,#475619,#475620,#475621),(#475622,#475623,#475624, #475625,#475626,#475627,#475628),(#475629,#475630,#475631,#475632,#475633, #475634,#475635),(#475636,#475637,#475638,#475639,#475640,#475641,#475642), (#475643,#475644,#475645,#475646,#475647,#475648,#475649),(#475650,#475651, #475652,#475653,#475654,#475655,#475656),(#475657,#475658,#475659,#475660, #475661,#475662,#475663),(#475664,#475665,#475666,#475667,#475668,#475669, #475670),(#475671,#475672,#475673,#475674,#475675,#475676,#475677),(#475678, #475679,#475680,#475681,#475682,#475683,#475684),(#475685,#475686,#475687, #475688,#475689,#475690,#475691),(#475692,#475693,#475694,#475695,#475696, #475697,#475698),(#475699,#475700,#475701,#475702,#475703,#475704,#475705), (#475706,#475707,#475708,#475709,#475710,#475711,#475712),(#475713,#475714, #475715,#475716,#475717,#475718,#475719),(#475720,#475721,#475722,#475723, #475724,#475725,#475726),(#475727,#475728,#475729,#475730,#475731,#475732, #475733),(#475734,#475735,#475736,#475737,#475738,#475739,#475740),(#475741, #475742,#475743,#475744,#475745,#475746,#475747),(#475748,#475749,#475750, #475751,#475752,#475753,#475754),(#475755,#475756,#475757,#475758,#475759, #475760,#475761),(#475762,#475763,#475764,#475765,#475766,#475767,#475768), (#475769,#475770,#475771,#475772,#475773,#475774,#475775),(#475776,#475777, #475778,#475779,#475780,#475781,#475782)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,4),(0.114591583535, 0.1176100566661,0.1555094515635,0.1947716657828,0.2328550590384,0.2718330378558, 0.3105507686079,0.3515306289077,0.3908968364299,0.468580873187,0.507825899467, 0.5470828937349,0.5828452283053,0.6224576341538,0.6642337918712,0.6999427983147, 0.7424075828287,0.7815747745354,0.8204893791621,0.8602451345903,0.8965001152177, 0.9007389083434,0.9393033842601,0.9427592968332,1.008483853577),(-0.002656980183404, 0.1264509983255,0.375989706336,0.9365554875361,1.00532759155), .UNSPECIFIED.); #3228=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#475892,#475893,#475894,#475895, #475896,#475897,#475898,#475899,#475900,#475901,#475902),(#475903,#475904, #475905,#475906,#475907,#475908,#475909,#475910,#475911,#475912,#475913), (#475914,#475915,#475916,#475917,#475918,#475919,#475920,#475921,#475922, #475923,#475924),(#475925,#475926,#475927,#475928,#475929,#475930,#475931, #475932,#475933,#475934,#475935),(#475936,#475937,#475938,#475939,#475940, #475941,#475942,#475943,#475944,#475945,#475946),(#475947,#475948,#475949, #475950,#475951,#475952,#475953,#475954,#475955,#475956,#475957),(#475958, #475959,#475960,#475961,#475962,#475963,#475964,#475965,#475966,#475967, #475968),(#475969,#475970,#475971,#475972,#475973,#475974,#475975,#475976, #475977,#475978,#475979),(#475980,#475981,#475982,#475983,#475984,#475985, #475986,#475987,#475988,#475989,#475990),(#475991,#475992,#475993,#475994, #475995,#475996,#475997,#475998,#475999,#476000,#476001),(#476002,#476003, #476004,#476005,#476006,#476007,#476008,#476009,#476010,#476011,#476012), (#476013,#476014,#476015,#476016,#476017,#476018,#476019,#476020,#476021, #476022,#476023),(#476024,#476025,#476026,#476027,#476028,#476029,#476030, #476031,#476032,#476033,#476034),(#476035,#476036,#476037,#476038,#476039, #476040,#476041,#476042,#476043,#476044,#476045)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(0.0008959521176665, 0.00113083280957,0.001319304944498,0.001507777079427,0.001696249214355, 0.001884721349283,0.002073193484212,0.00226166561914,0.002638609888997, 0.002732845956461,0.002827082023925,0.003049453356959),(-0.06039685184625, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.061531584566),.UNSPECIFIED.); #3229=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#476097,#476098,#476099,#476100, #476101,#476102,#476103,#476104,#476105,#476106,#476107),(#476108,#476109, #476110,#476111,#476112,#476113,#476114,#476115,#476116,#476117,#476118), (#476119,#476120,#476121,#476122,#476123,#476124,#476125,#476126,#476127, #476128,#476129),(#476130,#476131,#476132,#476133,#476134,#476135,#476136, #476137,#476138,#476139,#476140)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1, 1,1,1,1,1,1,4),(0.008722774337593,0.009280442720693),(-0.05901555251381, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.059067062966),.UNSPECIFIED.); #3230=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#476190,#476191,#476192,#476193, #476194,#476195,#476196,#476197,#476198,#476199,#476200),(#476201,#476202, #476203,#476204,#476205,#476206,#476207,#476208,#476209,#476210,#476211), (#476212,#476213,#476214,#476215,#476216,#476217,#476218,#476219,#476220, #476221,#476222),(#476223,#476224,#476225,#476226,#476227,#476228,#476229, #476230,#476231,#476232,#476233),(#476234,#476235,#476236,#476237,#476238, #476239,#476240,#476241,#476242,#476243,#476244),(#476245,#476246,#476247, #476248,#476249,#476250,#476251,#476252,#476253,#476254,#476255),(#476256, #476257,#476258,#476259,#476260,#476261,#476262,#476263,#476264,#476265, #476266),(#476267,#476268,#476269,#476270,#476271,#476272,#476273,#476274, #476275,#476276,#476277),(#476278,#476279,#476280,#476281,#476282,#476283, #476284,#476285,#476286,#476287,#476288),(#476289,#476290,#476291,#476292, #476293,#476294,#476295,#476296,#476297,#476298,#476299),(#476300,#476301, #476302,#476303,#476304,#476305,#476306,#476307,#476308,#476309,#476310), (#476311,#476312,#476313,#476314,#476315,#476316,#476317,#476318,#476319, #476320,#476321),(#476322,#476323,#476324,#476325,#476326,#476327,#476328, #476329,#476330,#476331,#476332),(#476333,#476334,#476335,#476336,#476337, #476338,#476339,#476340,#476341,#476342,#476343),(#476344,#476345,#476346, #476347,#476348,#476349,#476350,#476351,#476352,#476353,#476354),(#476355, #476356,#476357,#476358,#476359,#476360,#476361,#476362,#476363,#476364, #476365),(#476366,#476367,#476368,#476369,#476370,#476371,#476372,#476373, #476374,#476375,#476376),(#476377,#476378,#476379,#476380,#476381,#476382, #476383,#476384,#476385,#476386,#476387)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(0.01339824721524,0.0136870713273, 0.01380679246588,0.01392651360445,0.0141659558816,0.01440539815876,0.01488428271306, 0.01536316726737,0.01584205182167,0.01608149409883,0.01632093637598,0.01656037865313, 0.01679982093028,0.01703926320744,0.01715898434601,0.01726719944115),(-0.06998632777362, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.070140425408),.UNSPECIFIED.); #3231=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#476498,#476499,#476500,#476501, #476502),(#476503,#476504,#476505,#476506,#476507),(#476508,#476509,#476510, #476511,#476512),(#476513,#476514,#476515,#476516,#476517),(#476518,#476519, #476520,#476521,#476522),(#476523,#476524,#476525,#476526,#476527),(#476528, #476529,#476530,#476531,#476532),(#476533,#476534,#476535,#476536,#476537)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,4),(4,1,4),(-5.219500531481E-5,0.0002189289158846, 0.0004378578317693,0.0005473222897116,0.000656786747654,0.0009279815254659), (-0.08970709859629,0.5,1.090377631947),.UNSPECIFIED.); #3232=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#476554,#476555,#476556,#476557, #476558),(#476559,#476560,#476561,#476562,#476563),(#476564,#476565,#476566, #476567,#476568),(#476569,#476570,#476571,#476572,#476573)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,4),(-5.260696503381E-5,0.0004327546400692), (-0.1017418384984,0.5,1.101801397241),.UNSPECIFIED.); #3233=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#476586,#476587,#476588,#476589, #476590),(#476591,#476592,#476593,#476594,#476595),(#476596,#476597,#476598, #476599,#476600),(#476601,#476602,#476603,#476604,#476605),(#476606,#476607, #476608,#476609,#476610)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(-5.202454049019E-5, 0.0003343700118754,0.0007215516455262),(-0.1240256478916,0.5,1.123971094006), .UNSPECIFIED.); #3234=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#476618,#476619,#476620,#476621, #476622),(#476623,#476624,#476625,#476626,#476627),(#476628,#476629,#476630, #476631,#476632),(#476633,#476634,#476635,#476636,#476637),(#476638,#476639, #476640,#476641,#476642),(#476643,#476644,#476645,#476646,#476647)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,1,4),(0.005152255282047,0.00557278991075, 0.005758549574442,0.005995460535337),(-0.141223359144,0.5,1.141159517617), .UNSPECIFIED.); #3235=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#476669,#476670,#476671,#476672, #476673),(#476674,#476675,#476676,#476677,#476678),(#476679,#476680,#476681, #476682,#476683),(#476684,#476685,#476686,#476687,#476688),(#476689,#476690, #476691,#476692,#476693),(#476694,#476695,#476696,#476697,#476698),(#476699, #476700,#476701,#476702,#476703),(#476704,#476705,#476706,#476707,#476708), (#476709,#476710,#476711,#476712,#476713),(#476714,#476715,#476716,#476717, #476718),(#476719,#476720,#476721,#476722,#476723),(#476724,#476725,#476726, #476727,#476728),(#476729,#476730,#476731,#476732,#476733),(#476734,#476735, #476736,#476737,#476738),(#476739,#476740,#476741,#476742,#476743),(#476744, #476745,#476746,#476747,#476748)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1, 1,1,1,1,1,1,1,1,4),(4,1,4),(0.002923389624966,0.003157914282758,0.00334367394645, 0.003436553778296,0.003529433610142,0.003715193273833,0.003900952937525, 0.004086712601217,0.004272472264908,0.004365352096754,0.0044582319286,0.004643991592292, 0.004829751255983,0.0052499898127),(-0.1669006370928,0.5,1.166236095492), .UNSPECIFIED.); #3236=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#476767,#476768,#476769,#476770, #476771),(#476772,#476773,#476774,#476775,#476776),(#476777,#476778,#476779, #476780,#476781),(#476782,#476783,#476784,#476785,#476786),(#476787,#476788, #476789,#476790,#476791),(#476792,#476793,#476794,#476795,#476796),(#476797, #476798,#476799,#476800,#476801),(#476802,#476803,#476804,#476805,#476806), (#476807,#476808,#476809,#476810,#476811),(#476812,#476813,#476814,#476815, #476816),(#476817,#476818,#476819,#476820,#476821),(#476822,#476823,#476824, #476825,#476826),(#476827,#476828,#476829,#476830,#476831),(#476832,#476833, #476834,#476835,#476836),(#476837,#476838,#476839,#476840,#476841),(#476842, #476843,#476844,#476845,#476846),(#476847,#476848,#476849,#476850,#476851), (#476852,#476853,#476854,#476855,#476856),(#476857,#476858,#476859,#476860, #476861)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4), (4,1,4),(-4.863420103793E-5,9.287983184583E-5,0.0001857596636917,0.0003715193273833, 0.0004643991592292,0.000557278991075,0.0007430386547667,0.0008359184866125, 0.0009287983184583,0.00111455798215,0.001300317645842,0.001486077309533, 0.001671836973225,0.001857596636917,0.0022291159643,0.002600635291683,0.003021153336416), (-0.2290388048797,0.5,1.205719913017),.UNSPECIFIED.); #3237=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#476952,#476953,#476954,#476955), (#476956,#476957,#476958,#476959),(#476960,#476961,#476962,#476963),(#476964, #476965,#476966,#476967),(#476968,#476969,#476970,#476971),(#476972,#476973, #476974,#476975),(#476976,#476977,#476978,#476979),(#476980,#476981,#476982, #476983),(#476984,#476985,#476986,#476987),(#476988,#476989,#476990,#476991), (#476992,#476993,#476994,#476995),(#476996,#476997,#476998,#476999)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4),(4,4),(7.80136545852854E-5, 0.0001885327814308,0.0002513770419078,0.0003770655628617,0.0005027540838155, 0.0006284426047694,0.0006912868652464,0.0007541311257233,0.0008798196466772, 0.00109831637525867),(-0.2114618569912,1.209287077132),.UNSPECIFIED.); #3238=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#477055,#477056,#477057,#477058, #477059,#477060,#477061),(#477062,#477063,#477064,#477065,#477066,#477067, #477068),(#477069,#477070,#477071,#477072,#477073,#477074,#477075),(#477076, #477077,#477078,#477079,#477080,#477081,#477082),(#477083,#477084,#477085, #477086,#477087,#477088,#477089),(#477090,#477091,#477092,#477093,#477094, #477095,#477096),(#477097,#477098,#477099,#477100,#477101,#477102,#477103), (#477104,#477105,#477106,#477107,#477108,#477109,#477110),(#477111,#477112, #477113,#477114,#477115,#477116,#477117),(#477118,#477119,#477120,#477121, #477122,#477123,#477124),(#477125,#477126,#477127,#477128,#477129,#477130, #477131),(#477132,#477133,#477134,#477135,#477136,#477137,#477138),(#477139, #477140,#477141,#477142,#477143,#477144,#477145),(#477146,#477147,#477148, #477149,#477150,#477151,#477152),(#477153,#477154,#477155,#477156,#477157, #477158,#477159),(#477160,#477161,#477162,#477163,#477164,#477165,#477166), (#477167,#477168,#477169,#477170,#477171,#477172,#477173),(#477174,#477175, #477176,#477177,#477178,#477179,#477180),(#477181,#477182,#477183,#477184, #477185,#477186,#477187),(#477188,#477189,#477190,#477191,#477192,#477193, #477194),(#477195,#477196,#477197,#477198,#477199,#477200,#477201),(#477202, #477203,#477204,#477205,#477206,#477207,#477208),(#477209,#477210,#477211, #477212,#477213,#477214,#477215),(#477216,#477217,#477218,#477219,#477220, #477221,#477222),(#477223,#477224,#477225,#477226,#477227,#477228,#477229), (#477230,#477231,#477232,#477233,#477234,#477235,#477236),(#477237,#477238, #477239,#477240,#477241,#477242,#477243)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,4),(0.1145915740186, 0.1176100566661,0.1555094515635,0.1947716657828,0.2328550590384,0.2718330378558, 0.3105507686079,0.3515306289077,0.3908968364299,0.468580873187,0.507825899467, 0.5470828937349,0.5828452283053,0.6224576341538,0.6642337918712,0.6999427983147, 0.7424075828287,0.7815747745354,0.8204893791621,0.8602451345903,0.8965001152177, 0.9007389083434,0.9393033842601,0.9427592968332,1.008483853603),(-0.002657010593992, 0.1264509983255,0.375989706336,0.9365554875361,1.005330733525), .UNSPECIFIED.); #3239=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#477255,#477256,#477257,#477258, #477259,#477260,#477261),(#477262,#477263,#477264,#477265,#477266,#477267, #477268),(#477269,#477270,#477271,#477272,#477273,#477274,#477275),(#477276, #477277,#477278,#477279,#477280,#477281,#477282),(#477283,#477284,#477285, #477286,#477287,#477288,#477289),(#477290,#477291,#477292,#477293,#477294, #477295,#477296),(#477297,#477298,#477299,#477300,#477301,#477302,#477303), (#477304,#477305,#477306,#477307,#477308,#477309,#477310),(#477311,#477312, #477313,#477314,#477315,#477316,#477317),(#477318,#477319,#477320,#477321, #477322,#477323,#477324),(#477325,#477326,#477327,#477328,#477329,#477330, #477331),(#477332,#477333,#477334,#477335,#477336,#477337,#477338),(#477339, #477340,#477341,#477342,#477343,#477344,#477345),(#477346,#477347,#477348, #477349,#477350,#477351,#477352),(#477353,#477354,#477355,#477356,#477357, #477358,#477359),(#477360,#477361,#477362,#477363,#477364,#477365,#477366), (#477367,#477368,#477369,#477370,#477371,#477372,#477373),(#477374,#477375, #477376,#477377,#477378,#477379,#477380),(#477381,#477382,#477383,#477384, #477385,#477386,#477387),(#477388,#477389,#477390,#477391,#477392,#477393, #477394),(#477395,#477396,#477397,#477398,#477399,#477400,#477401),(#477402, #477403,#477404,#477405,#477406,#477407,#477408),(#477409,#477410,#477411, #477412,#477413,#477414,#477415),(#477416,#477417,#477418,#477419,#477420, #477421,#477422),(#477423,#477424,#477425,#477426,#477427,#477428,#477429), (#477430,#477431,#477432,#477433,#477434,#477435,#477436),(#477437,#477438, #477439,#477440,#477441,#477442,#477443),(#477444,#477445,#477446,#477447, #477448,#477449,#477450)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,4),(-0.03090233180306,0.,0.06192591746869, 0.06623076183942,0.1055195748058,0.110925467738,0.1467187193989,0.1517591952361, 0.186744627067,0.2257585302754,0.2642176295386,0.3075312127639,0.3418319655461, 0.3844596077469,0.4227743751137,0.4610783812203,0.4994614191564,0.5387624720224, 0.5770175251575,0.612778984873,0.6519928793349,0.6921559898189,0.7306443365622, 0.7678207934223,0.8062460346199,0.834164517316),(0.07238357477233,0.1264509983255, 0.375989706336,0.9365554875361,1.004436096017),.UNSPECIFIED.); #3240=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#477654,#477655,#477656,#477657, #477658,#477659,#477660,#477661,#477662,#477663,#477664),(#477665,#477666, #477667,#477668,#477669,#477670,#477671,#477672,#477673,#477674,#477675), (#477676,#477677,#477678,#477679,#477680,#477681,#477682,#477683,#477684, #477685,#477686),(#477687,#477688,#477689,#477690,#477691,#477692,#477693, #477694,#477695,#477696,#477697),(#477698,#477699,#477700,#477701,#477702, #477703,#477704,#477705,#477706,#477707,#477708),(#477709,#477710,#477711, #477712,#477713,#477714,#477715,#477716,#477717,#477718,#477719),(#477720, #477721,#477722,#477723,#477724,#477725,#477726,#477727,#477728,#477729, #477730),(#477731,#477732,#477733,#477734,#477735,#477736,#477737,#477738, #477739,#477740,#477741),(#477742,#477743,#477744,#477745,#477746,#477747, #477748,#477749,#477750,#477751,#477752),(#477753,#477754,#477755,#477756, #477757,#477758,#477759,#477760,#477761,#477762,#477763)),.UNSPECIFIED., .F.,.F.,.F.,(4,1,1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(-4.770199442976E-5, 0.0001884721349283,0.0002827082023925,0.0003769442698566,0.000565416404785, 0.0006596524722491,0.0007538885397133,0.000988934658628),(-0.06112982980732, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.062291688781),.UNSPECIFIED.); #3241=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#477765,#477766,#477767,#477768, #477769,#477770,#477771,#477772,#477773,#477774,#477775),(#477776,#477777, #477778,#477779,#477780,#477781,#477782,#477783,#477784,#477785,#477786), (#477787,#477788,#477789,#477790,#477791,#477792,#477793,#477794,#477795, #477796,#477797),(#477798,#477799,#477800,#477801,#477802,#477803,#477804, #477805,#477806,#477807,#477808),(#477809,#477810,#477811,#477812,#477813, #477814,#477815,#477816,#477817,#477818,#477819),(#477820,#477821,#477822, #477823,#477824,#477825,#477826,#477827,#477828,#477829,#477830),(#477831, #477832,#477833,#477834,#477835,#477836,#477837,#477838,#477839,#477840, #477841),(#477842,#477843,#477844,#477845,#477846,#477847,#477848,#477849, #477850,#477851,#477852),(#477853,#477854,#477855,#477856,#477857,#477858, #477859,#477860,#477861,#477862,#477863),(#477864,#477865,#477866,#477867, #477868,#477869,#477870,#477871,#477872,#477873,#477874),(#477875,#477876, #477877,#477878,#477879,#477880,#477881,#477882,#477883,#477884,#477885), (#477886,#477887,#477888,#477889,#477890,#477891,#477892,#477893,#477894, #477895,#477896),(#477897,#477898,#477899,#477900,#477901,#477902,#477903, #477904,#477905,#477906,#477907),(#477908,#477909,#477910,#477911,#477912, #477913,#477914,#477915,#477916,#477917,#477918)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(-4.690765745198E-5, 0.0004585357276165,0.0005731696595207,0.0006878035914248,0.0008024375233289, 0.0009170714552331,0.001031705387137,0.001146339319041,0.001260973250945, 0.00137560718285,0.001604875046658,0.001881819176749),(-0.06258681065769, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.062813746033),.UNSPECIFIED.); #3242=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#477920,#477921,#477922,#477923, #477924,#477925,#477926,#477927,#477928,#477929,#477930),(#477931,#477932, #477933,#477934,#477935,#477936,#477937,#477938,#477939,#477940,#477941), (#477942,#477943,#477944,#477945,#477946,#477947,#477948,#477949,#477950, #477951,#477952),(#477953,#477954,#477955,#477956,#477957,#477958,#477959, #477960,#477961,#477962,#477963)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1, 1,1,1,1,1,1,4),(0.001515129021653,0.001715400260177),(-0.0633256069363, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.063176967057),.UNSPECIFIED.); #3243=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#477965,#477966,#477967,#477968, #477969,#477970,#477971,#477972,#477973,#477974,#477975),(#477976,#477977, #477978,#477979,#477980,#477981,#477982,#477983,#477984,#477985,#477986), (#477987,#477988,#477989,#477990,#477991,#477992,#477993,#477994,#477995, #477996,#477997),(#477998,#477999,#478000,#478001,#478002,#478003,#478004, #478005,#478006,#478007,#478008),(#478009,#478010,#478011,#478012,#478013, #478014,#478015,#478016,#478017,#478018,#478019),(#478020,#478021,#478022, #478023,#478024,#478025,#478026,#478027,#478028,#478029,#478030),(#478031, #478032,#478033,#478034,#478035,#478036,#478037,#478038,#478039,#478040, #478041),(#478042,#478043,#478044,#478045,#478046,#478047,#478048,#478049, #478050,#478051,#478052),(#478053,#478054,#478055,#478056,#478057,#478058, #478059,#478060,#478061,#478062,#478063),(#478064,#478065,#478066,#478067, #478068,#478069,#478070,#478071,#478072,#478073,#478074),(#478075,#478076, #478077,#478078,#478079,#478080,#478081,#478082,#478083,#478084,#478085), (#478086,#478087,#478088,#478089,#478090,#478091,#478092,#478093,#478094, #478095,#478096),(#478097,#478098,#478099,#478100,#478101,#478102,#478103, #478104,#478105,#478106,#478107)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1, 1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(-4.90228591482E-5,0.0001042089698664, 0.0002084179397328,0.0003126269095991,0.0004168358794655,0.0006252538191983, 0.0008336717589311,0.001042089698664,0.001250507638397,0.001458925578129, 0.001609321780654),(-0.0633105476542,0.125,0.25,0.375,0.5,0.625,0.75,0.875, 1.063176733108),.UNSPECIFIED.); #3244=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#478109,#478110,#478111,#478112, #478113,#478114,#478115,#478116,#478117,#478118,#478119),(#478120,#478121, #478122,#478123,#478124,#478125,#478126,#478127,#478128,#478129,#478130), (#478131,#478132,#478133,#478134,#478135,#478136,#478137,#478138,#478139, #478140,#478141),(#478142,#478143,#478144,#478145,#478146,#478147,#478148, #478149,#478150,#478151,#478152),(#478153,#478154,#478155,#478156,#478157, #478158,#478159,#478160,#478161,#478162,#478163),(#478164,#478165,#478166, #478167,#478168,#478169,#478170,#478171,#478172,#478173,#478174)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,1,1,1,1,1,1,1,4),(0.001224760629045, 0.001379552039402,0.001485671427048,0.001746627726172),(-0.06112416915774, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.061575006033),.UNSPECIFIED.); #3245=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#478176,#478177,#478178,#478179, #478180,#478181,#478182,#478183,#478184,#478185,#478186),(#478187,#478188, #478189,#478190,#478191,#478192,#478193,#478194,#478195,#478196,#478197), (#478198,#478199,#478200,#478201,#478202,#478203,#478204,#478205,#478206, #478207,#478208),(#478209,#478210,#478211,#478212,#478213,#478214,#478215, #478216,#478217,#478218,#478219),(#478220,#478221,#478222,#478223,#478224, #478225,#478226,#478227,#478228,#478229,#478230),(#478231,#478232,#478233, #478234,#478235,#478236,#478237,#478238,#478239,#478240,#478241),(#478242, #478243,#478244,#478245,#478246,#478247,#478248,#478249,#478250,#478251, #478252),(#478253,#478254,#478255,#478256,#478257,#478258,#478259,#478260, #478261,#478262,#478263),(#478264,#478265,#478266,#478267,#478268,#478269, #478270,#478271,#478272,#478273,#478274),(#478275,#478276,#478277,#478278, #478279,#478280,#478281,#478282,#478283,#478284,#478285),(#478286,#478287, #478288,#478289,#478290,#478291,#478292,#478293,#478294,#478295,#478296), (#478297,#478298,#478299,#478300,#478301,#478302,#478303,#478304,#478305, #478306,#478307)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4),(4,1, 1,1,1,1,1,1,4),(-4.386918431297E-5,0.0001061193876463,0.0002122387752926, 0.0004244775505851,0.0006367163258777,0.0007428357135239,0.0008489551011702, 0.0009550744888165,0.001061193876463,0.001316069183303),(-0.06140709422996, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.060984002312),.UNSPECIFIED.); #3246=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#478308,#478309,#478310,#478311), (#478312,#478313,#478314,#478315),(#478316,#478317,#478318,#478319),(#478320, #478321,#478322,#478323)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.01721809788389, 0.01725652735403),(-0.0003402294841272,0.01554074755075),.UNSPECIFIED.); #3247=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#478324,#478325,#478326,#478327), (#478328,#478329,#478330,#478331),(#478332,#478333,#478334,#478335),(#478336, #478337,#478338,#478339),(#478340,#478341,#478342,#478343),(#478344,#478345, #478346,#478347),(#478348,#478349,#478350,#478351),(#478352,#478353,#478354, #478355),(#478356,#478357,#478358,#478359),(#478360,#478361,#478362,#478363), (#478364,#478365,#478366,#478367),(#478368,#478369,#478370,#478371)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4),(4,4),(7.80337055462268E-5, 0.0001885327812232,0.0002513770416309,0.0003770655624464,0.0005027540832618, 0.0006284426040773,0.000691286864485,0.0007541311248927,0.0008798196457082, 0.00109831433567921),(-0.2114619471703,1.208974415708),.UNSPECIFIED.); #3248=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#478482,#478483,#478484,#478485, #478486,#478487,#478488,#478489,#478490,#478491,#478492),(#478493,#478494, #478495,#478496,#478497,#478498,#478499,#478500,#478501,#478502,#478503), (#478504,#478505,#478506,#478507,#478508,#478509,#478510,#478511,#478512, #478513,#478514),(#478515,#478516,#478517,#478518,#478519,#478520,#478521, #478522,#478523,#478524,#478525),(#478526,#478527,#478528,#478529,#478530, #478531,#478532,#478533,#478534,#478535,#478536),(#478537,#478538,#478539, #478540,#478541,#478542,#478543,#478544,#478545,#478546,#478547),(#478548, #478549,#478550,#478551,#478552,#478553,#478554,#478555,#478556,#478557, #478558),(#478559,#478560,#478561,#478562,#478563,#478564,#478565,#478566, #478567,#478568,#478569),(#478570,#478571,#478572,#478573,#478574,#478575, #478576,#478577,#478578,#478579,#478580),(#478581,#478582,#478583,#478584, #478585,#478586,#478587,#478588,#478589,#478590,#478591),(#478592,#478593, #478594,#478595,#478596,#478597,#478598,#478599,#478600,#478601,#478602), (#478603,#478604,#478605,#478606,#478607,#478608,#478609,#478610,#478611, #478612,#478613),(#478614,#478615,#478616,#478617,#478618,#478619,#478620, #478621,#478622,#478623,#478624),(#478625,#478626,#478627,#478628,#478629, #478630,#478631,#478632,#478633,#478634,#478635)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(0.0008959521175012, 0.00113083280957,0.001319304944499,0.001507777079427,0.001696249214355, 0.001884721349284,0.002073193484212,0.00226166561914,0.002638609888997, 0.002732845956461,0.002827082023926,0.00304945397326),(-0.06085161627082, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.061531449643),.UNSPECIFIED.); #3249=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#478687,#478688,#478689,#478690, #478691,#478692,#478693,#478694,#478695,#478696,#478697),(#478698,#478699, #478700,#478701,#478702,#478703,#478704,#478705,#478706,#478707,#478708), (#478709,#478710,#478711,#478712,#478713,#478714,#478715,#478716,#478717, #478718,#478719),(#478720,#478721,#478722,#478723,#478724,#478725,#478726, #478727,#478728,#478729,#478730),(#478731,#478732,#478733,#478734,#478735, #478736,#478737,#478738,#478739,#478740,#478741),(#478742,#478743,#478744, #478745,#478746,#478747,#478748,#478749,#478750,#478751,#478752),(#478753, #478754,#478755,#478756,#478757,#478758,#478759,#478760,#478761,#478762, #478763),(#478764,#478765,#478766,#478767,#478768,#478769,#478770,#478771, #478772,#478773,#478774),(#478775,#478776,#478777,#478778,#478779,#478780, #478781,#478782,#478783,#478784,#478785),(#478786,#478787,#478788,#478789, #478790,#478791,#478792,#478793,#478794,#478795,#478796)),.UNSPECIFIED., .F.,.F.,.F.,(4,1,1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(-4.77019944282E-5,0.0001884721349284, 0.0002827082023926,0.0003769442698567,0.0005654164047851,0.0006596524722493, 0.0007538885397135,0.0009889346586225),(-0.06105189914496,0.125,0.25,0.375, 0.5,0.625,0.75,0.875,1.062291705844),.UNSPECIFIED.); #3250=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#478821,#478822,#478823,#478824, #478825,#478826,#478827,#478828,#478829,#478830,#478831),(#478832,#478833, #478834,#478835,#478836,#478837,#478838,#478839,#478840,#478841,#478842), (#478843,#478844,#478845,#478846,#478847,#478848,#478849,#478850,#478851, #478852,#478853),(#478854,#478855,#478856,#478857,#478858,#478859,#478860, #478861,#478862,#478863,#478864),(#478865,#478866,#478867,#478868,#478869, #478870,#478871,#478872,#478873,#478874,#478875),(#478876,#478877,#478878, #478879,#478880,#478881,#478882,#478883,#478884,#478885,#478886),(#478887, #478888,#478889,#478890,#478891,#478892,#478893,#478894,#478895,#478896, #478897),(#478898,#478899,#478900,#478901,#478902,#478903,#478904,#478905, #478906,#478907,#478908),(#478909,#478910,#478911,#478912,#478913,#478914, #478915,#478916,#478917,#478918,#478919),(#478920,#478921,#478922,#478923, #478924,#478925,#478926,#478927,#478928,#478929,#478930),(#478931,#478932, #478933,#478934,#478935,#478936,#478937,#478938,#478939,#478940,#478941), (#478942,#478943,#478944,#478945,#478946,#478947,#478948,#478949,#478950, #478951,#478952),(#478953,#478954,#478955,#478956,#478957,#478958,#478959, #478960,#478961,#478962,#478963),(#478964,#478965,#478966,#478967,#478968, #478969,#478970,#478971,#478972,#478973,#478974)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(-4.690765744369E-5, 0.0004585357276159,0.0005731696595199,0.0006878035914239,0.0008024375233278, 0.0009170714552318,0.001031705387136,0.00114633931904,0.001260973250944, 0.001375607182848,0.001604875046656,0.001881819176744),(-0.06258681065009, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.062813746038),.UNSPECIFIED.); #3251=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#478991,#478992,#478993,#478994, #478995,#478996,#478997,#478998,#478999,#479000,#479001),(#479002,#479003, #479004,#479005,#479006,#479007,#479008,#479009,#479010,#479011,#479012), (#479013,#479014,#479015,#479016,#479017,#479018,#479019,#479020,#479021, #479022,#479023),(#479024,#479025,#479026,#479027,#479028,#479029,#479030, #479031,#479032,#479033,#479034)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1, 1,1,1,1,1,1,4),(0.001515129021661,0.001715400260179),(-0.06332560693008, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.063176967053),.UNSPECIFIED.); #3252=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#479041,#479042,#479043,#479044, #479045,#479046,#479047,#479048,#479049,#479050,#479051),(#479052,#479053, #479054,#479055,#479056,#479057,#479058,#479059,#479060,#479061,#479062), (#479063,#479064,#479065,#479066,#479067,#479068,#479069,#479070,#479071, #479072,#479073),(#479074,#479075,#479076,#479077,#479078,#479079,#479080, #479081,#479082,#479083,#479084),(#479085,#479086,#479087,#479088,#479089, #479090,#479091,#479092,#479093,#479094,#479095),(#479096,#479097,#479098, #479099,#479100,#479101,#479102,#479103,#479104,#479105,#479106),(#479107, #479108,#479109,#479110,#479111,#479112,#479113,#479114,#479115,#479116, #479117),(#479118,#479119,#479120,#479121,#479122,#479123,#479124,#479125, #479126,#479127,#479128),(#479129,#479130,#479131,#479132,#479133,#479134, #479135,#479136,#479137,#479138,#479139),(#479140,#479141,#479142,#479143, #479144,#479145,#479146,#479147,#479148,#479149,#479150),(#479151,#479152, #479153,#479154,#479155,#479156,#479157,#479158,#479159,#479160,#479161), (#479162,#479163,#479164,#479165,#479166,#479167,#479168,#479169,#479170, #479171,#479172),(#479173,#479174,#479175,#479176,#479177,#479178,#479179, #479180,#479181,#479182,#479183)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1, 1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(-4.902285913689E-5,0.0001042089698664, 0.0002084179397328,0.0003126269095993,0.0004168358794657,0.0006252538191986, 0.0008336717589315,0.001042089698664,0.001250507638397,0.00145892557813, 0.001609321780655),(-0.06331054765717,0.125,0.25,0.375,0.5,0.625,0.75,0.875, 1.063176733107),.UNSPECIFIED.); #3253=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#479259,#479260,#479261,#479262, #479263,#479264,#479265,#479266,#479267,#479268,#479269),(#479270,#479271, #479272,#479273,#479274,#479275,#479276,#479277,#479278,#479279,#479280), (#479281,#479282,#479283,#479284,#479285,#479286,#479287,#479288,#479289, #479290,#479291),(#479292,#479293,#479294,#479295,#479296,#479297,#479298, #479299,#479300,#479301,#479302),(#479303,#479304,#479305,#479306,#479307, #479308,#479309,#479310,#479311,#479312,#479313),(#479314,#479315,#479316, #479317,#479318,#479319,#479320,#479321,#479322,#479323,#479324)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,1,1,1,1,1,1,1,4),(0.001224760629047, 0.001379552039403,0.00148567142705,0.001746627726172),(-0.06112416915427, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.061575006035),.UNSPECIFIED.); #3254=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#479333,#479334,#479335,#479336, #479337,#479338,#479339,#479340,#479341,#479342,#479343),(#479344,#479345, #479346,#479347,#479348,#479349,#479350,#479351,#479352,#479353,#479354), (#479355,#479356,#479357,#479358,#479359,#479360,#479361,#479362,#479363, #479364,#479365),(#479366,#479367,#479368,#479369,#479370,#479371,#479372, #479373,#479374,#479375,#479376),(#479377,#479378,#479379,#479380,#479381, #479382,#479383,#479384,#479385,#479386,#479387),(#479388,#479389,#479390, #479391,#479392,#479393,#479394,#479395,#479396,#479397,#479398),(#479399, #479400,#479401,#479402,#479403,#479404,#479405,#479406,#479407,#479408, #479409),(#479410,#479411,#479412,#479413,#479414,#479415,#479416,#479417, #479418,#479419,#479420),(#479421,#479422,#479423,#479424,#479425,#479426, #479427,#479428,#479429,#479430,#479431),(#479432,#479433,#479434,#479435, #479436,#479437,#479438,#479439,#479440,#479441,#479442),(#479443,#479444, #479445,#479446,#479447,#479448,#479449,#479450,#479451,#479452,#479453), (#479454,#479455,#479456,#479457,#479458,#479459,#479460,#479461,#479462, #479463,#479464)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4),(4,1, 1,1,1,1,1,1,4),(-4.38691601662E-5,0.0001061193876464,0.0002122387752928, 0.0004244775505857,0.0006367163258785,0.0007428357135249,0.0008489551011714, 0.0009550744888178,0.001061193876464,0.001316069182667),(-0.06140709416871, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.060984002315),.UNSPECIFIED.); #3255=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#479540,#479541,#479542,#479543, #479544,#479545,#479546,#479547,#479548,#479549,#479550),(#479551,#479552, #479553,#479554,#479555,#479556,#479557,#479558,#479559,#479560,#479561), (#479562,#479563,#479564,#479565,#479566,#479567,#479568,#479569,#479570, #479571,#479572),(#479573,#479574,#479575,#479576,#479577,#479578,#479579, #479580,#479581,#479582,#479583)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1, 1,1,1,1,1,1,4),(0.008722774335975,0.009280442689733),(-0.05901555235471, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.059067062998),.UNSPECIFIED.); #3256=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#479631,#479632,#479633,#479634, #479635,#479636,#479637,#479638,#479639,#479640,#479641),(#479642,#479643, #479644,#479645,#479646,#479647,#479648,#479649,#479650,#479651,#479652), (#479653,#479654,#479655,#479656,#479657,#479658,#479659,#479660,#479661, #479662,#479663),(#479664,#479665,#479666,#479667,#479668,#479669,#479670, #479671,#479672,#479673,#479674),(#479675,#479676,#479677,#479678,#479679, #479680,#479681,#479682,#479683,#479684,#479685),(#479686,#479687,#479688, #479689,#479690,#479691,#479692,#479693,#479694,#479695,#479696),(#479697, #479698,#479699,#479700,#479701,#479702,#479703,#479704,#479705,#479706, #479707),(#479708,#479709,#479710,#479711,#479712,#479713,#479714,#479715, #479716,#479717,#479718),(#479719,#479720,#479721,#479722,#479723,#479724, #479725,#479726,#479727,#479728,#479729),(#479730,#479731,#479732,#479733, #479734,#479735,#479736,#479737,#479738,#479739,#479740),(#479741,#479742, #479743,#479744,#479745,#479746,#479747,#479748,#479749,#479750,#479751), (#479752,#479753,#479754,#479755,#479756,#479757,#479758,#479759,#479760, #479761,#479762),(#479763,#479764,#479765,#479766,#479767,#479768,#479769, #479770,#479771,#479772,#479773),(#479774,#479775,#479776,#479777,#479778, #479779,#479780,#479781,#479782,#479783,#479784),(#479785,#479786,#479787, #479788,#479789,#479790,#479791,#479792,#479793,#479794,#479795),(#479796, #479797,#479798,#479799,#479800,#479801,#479802,#479803,#479804,#479805, #479806),(#479807,#479808,#479809,#479810,#479811,#479812,#479813,#479814, #479815,#479816,#479817),(#479818,#479819,#479820,#479821,#479822,#479823, #479824,#479825,#479826,#479827,#479828),(#479829,#479830,#479831,#479832, #479833,#479834,#479835,#479836,#479837,#479838,#479839)),.UNSPECIFIED., .F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(0.01339830836434, 0.01356735018883,0.01368707132741,0.01380679246598,0.01392651360456,0.01416595588171, 0.01440539815886,0.01488428271317,0.01536316726747,0.01584205182177,0.01608149409892, 0.01632093637607,0.01656037865323,0.01679982093038,0.01703926320753,0.0171589843461, 0.01726719919409),(-0.0699877473832,0.125,0.25,0.375,0.5,0.625,0.75,0.875, 1.070167321555),.UNSPECIFIED.); #3257=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#479953,#479954,#479955,#479956, #479957),(#479958,#479959,#479960,#479961,#479962),(#479963,#479964,#479965, #479966,#479967),(#479968,#479969,#479970,#479971,#479972),(#479973,#479974, #479975,#479976,#479977),(#479978,#479979,#479980,#479981,#479982),(#479983, #479984,#479985,#479986,#479987),(#479988,#479989,#479990,#479991,#479992)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,4),(4,1,4),(-5.219502581022E-5,0.0002189289158908, 0.0004378578317816,0.0005473222897269,0.0006567867476723,0.0009279814999675), (-0.08970712007447,0.5,1.090264974466),.UNSPECIFIED.); #3258=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#480009,#480010,#480011,#480012, #480013),(#480014,#480015,#480016,#480017,#480018),(#480019,#480020,#480021, #480022,#480023),(#480024,#480025,#480026,#480027,#480028)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,4),(-5.260696503526E-5,0.0004327546400399), (-0.101741838503,0.5,1.10180139724),.UNSPECIFIED.); #3259=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#480041,#480042,#480043,#480044, #480045),(#480046,#480047,#480048,#480049,#480050),(#480051,#480052,#480053, #480054,#480055),(#480056,#480057,#480058,#480059,#480060),(#480061,#480062, #480063,#480064,#480065)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(-5.202454049239E-5, 0.0003343700118794,0.0007215516455322),(-0.124025647897,0.5,1.123971093999), .UNSPECIFIED.); #3260=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#480073,#480074,#480075,#480076, #480077),(#480078,#480079,#480080,#480081,#480082),(#480083,#480084,#480085, #480086,#480087),(#480088,#480089,#480090,#480091,#480092),(#480093,#480094, #480095,#480096,#480097),(#480098,#480099,#480100,#480101,#480102)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,1,4),(0.005152255282042,0.005572789910747, 0.005758549574438,0.005995460535335),(-0.141223359152,0.5,1.141159517619), .UNSPECIFIED.); #3261=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#480124,#480125,#480126,#480127, #480128),(#480129,#480130,#480131,#480132,#480133),(#480134,#480135,#480136, #480137,#480138),(#480139,#480140,#480141,#480142,#480143),(#480144,#480145, #480146,#480147,#480148),(#480149,#480150,#480151,#480152,#480153),(#480154, #480155,#480156,#480157,#480158),(#480159,#480160,#480161,#480162,#480163), (#480164,#480165,#480166,#480167,#480168),(#480169,#480170,#480171,#480172, #480173),(#480174,#480175,#480176,#480177,#480178),(#480179,#480180,#480181, #480182,#480183),(#480184,#480185,#480186,#480187,#480188),(#480189,#480190, #480191,#480192,#480193),(#480194,#480195,#480196,#480197,#480198),(#480199, #480200,#480201,#480202,#480203)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1, 1,1,1,1,1,1,1,1,4),(4,1,4),(0.002923389624963,0.003157914282756,0.003343673946448, 0.003436553778294,0.003529433610139,0.003715193273831,0.003900952937523, 0.004086712601214,0.004272472264906,0.004365352096751,0.004458231928597, 0.004643991592289,0.00482975125598,0.005249989812694),(-0.1669006370814, 0.5,1.166236095489),.UNSPECIFIED.); #3262=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#480222,#480223,#480224,#480225, #480226),(#480227,#480228,#480229,#480230,#480231),(#480232,#480233,#480234, #480235,#480236),(#480237,#480238,#480239,#480240,#480241),(#480242,#480243, #480244,#480245,#480246),(#480247,#480248,#480249,#480250,#480251),(#480252, #480253,#480254,#480255,#480256),(#480257,#480258,#480259,#480260,#480261), (#480262,#480263,#480264,#480265,#480266),(#480267,#480268,#480269,#480270, #480271),(#480272,#480273,#480274,#480275,#480276),(#480277,#480278,#480279, #480280,#480281),(#480282,#480283,#480284,#480285,#480286),(#480287,#480288, #480289,#480290,#480291),(#480292,#480293,#480294,#480295,#480296),(#480297, #480298,#480299,#480300,#480301),(#480302,#480303,#480304,#480305,#480306), (#480307,#480308,#480309,#480310,#480311),(#480312,#480313,#480314,#480315, #480316)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4), (4,1,4),(-4.863420103824E-5,9.287983184578E-5,0.0001857596636916,0.0003715193273831, 0.0004643991592289,0.0005572789910747,0.0007430386547662,0.000835918486612, 0.0009287983184578,0.001114557982149,0.001300317645841,0.001486077309532, 0.001671836973224,0.001857596636916,0.002229115964299,0.002600635291682, 0.003021153336414),(-0.229038804861,0.5,1.205719913028),.UNSPECIFIED.); #3263=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#480405,#480406,#480407,#480408, #480409,#480410,#480411),(#480412,#480413,#480414,#480415,#480416,#480417, #480418),(#480419,#480420,#480421,#480422,#480423,#480424,#480425),(#480426, #480427,#480428,#480429,#480430,#480431,#480432),(#480433,#480434,#480435, #480436,#480437,#480438,#480439),(#480440,#480441,#480442,#480443,#480444, #480445,#480446),(#480447,#480448,#480449,#480450,#480451,#480452,#480453), (#480454,#480455,#480456,#480457,#480458,#480459,#480460),(#480461,#480462, #480463,#480464,#480465,#480466,#480467),(#480468,#480469,#480470,#480471, #480472,#480473,#480474),(#480475,#480476,#480477,#480478,#480479,#480480, #480481),(#480482,#480483,#480484,#480485,#480486,#480487,#480488),(#480489, #480490,#480491,#480492,#480493,#480494,#480495),(#480496,#480497,#480498, #480499,#480500,#480501,#480502),(#480503,#480504,#480505,#480506,#480507, #480508,#480509),(#480510,#480511,#480512,#480513,#480514,#480515,#480516), (#480517,#480518,#480519,#480520,#480521,#480522,#480523),(#480524,#480525, #480526,#480527,#480528,#480529,#480530),(#480531,#480532,#480533,#480534, #480535,#480536,#480537),(#480538,#480539,#480540,#480541,#480542,#480543, #480544),(#480545,#480546,#480547,#480548,#480549,#480550,#480551),(#480552, #480553,#480554,#480555,#480556,#480557,#480558),(#480559,#480560,#480561, #480562,#480563,#480564,#480565),(#480566,#480567,#480568,#480569,#480570, #480571,#480572),(#480573,#480574,#480575,#480576,#480577,#480578,#480579), (#480580,#480581,#480582,#480583,#480584,#480585,#480586),(#480587,#480588, #480589,#480590,#480591,#480592,#480593)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,4),(0.1145915829725, 0.1176100566661,0.1555094515635,0.1947716657828,0.2328550590384,0.2718330378558, 0.3105507686079,0.3515306289077,0.3908968364299,0.468580873187,0.507825899467, 0.5470828937349,0.5828452283053,0.6224576341538,0.6642337918712,0.6999427983147, 0.7424075828287,0.7815747745354,0.8204893791621,0.8602451345903,0.8965001152177, 0.9007389083434,0.9393033842601,0.9427592968332,1.008483467064),(-0.00265701088567, 0.1264509983255,0.375989706336,0.9365554875361,1.005330774987), .UNSPECIFIED.); #3264=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#480726,#480727,#480728,#480729, #480730,#480731,#480732,#480733,#480734,#480735,#480736),(#480737,#480738, #480739,#480740,#480741,#480742,#480743,#480744,#480745,#480746,#480747), (#480748,#480749,#480750,#480751,#480752,#480753,#480754,#480755,#480756, #480757,#480758),(#480759,#480760,#480761,#480762,#480763,#480764,#480765, #480766,#480767,#480768,#480769),(#480770,#480771,#480772,#480773,#480774, #480775,#480776,#480777,#480778,#480779,#480780),(#480781,#480782,#480783, #480784,#480785,#480786,#480787,#480788,#480789,#480790,#480791),(#480792, #480793,#480794,#480795,#480796,#480797,#480798,#480799,#480800,#480801, #480802),(#480803,#480804,#480805,#480806,#480807,#480808,#480809,#480810, #480811,#480812,#480813),(#480814,#480815,#480816,#480817,#480818,#480819, #480820,#480821,#480822,#480823,#480824),(#480825,#480826,#480827,#480828, #480829,#480830,#480831,#480832,#480833,#480834,#480835),(#480836,#480837, #480838,#480839,#480840,#480841,#480842,#480843,#480844,#480845,#480846), (#480847,#480848,#480849,#480850,#480851,#480852,#480853,#480854,#480855, #480856,#480857)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4),(4,1, 1,1,1,1,1,1,4),(-3.384782830085E-5,0.0001882650867111,0.0002823976300667, 0.0003765301734223,0.0007530603468445,0.0009413254335557,0.001129590520267, 0.001317855606978,0.001506120693689,0.00174237361049),(-0.0603323815734, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.06003737511),.UNSPECIFIED.); #3265=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#480897,#480898,#480899,#480900, #480901,#480902,#480903,#480904,#480905,#480906,#480907),(#480908,#480909, #480910,#480911,#480912,#480913,#480914,#480915,#480916,#480917,#480918), (#480919,#480920,#480921,#480922,#480923,#480924,#480925,#480926,#480927, #480928,#480929),(#480930,#480931,#480932,#480933,#480934,#480935,#480936, #480937,#480938,#480939,#480940),(#480941,#480942,#480943,#480944,#480945, #480946,#480947,#480948,#480949,#480950,#480951),(#480952,#480953,#480954, #480955,#480956,#480957,#480958,#480959,#480960,#480961,#480962),(#480963, #480964,#480965,#480966,#480967,#480968,#480969,#480970,#480971,#480972, #480973),(#480974,#480975,#480976,#480977,#480978,#480979,#480980,#480981, #480982,#480983,#480984),(#480985,#480986,#480987,#480988,#480989,#480990, #480991,#480992,#480993,#480994,#480995),(#480996,#480997,#480998,#480999, #481000,#481001,#481002,#481003,#481004,#481005,#481006),(#481007,#481008, #481009,#481010,#481011,#481012,#481013,#481014,#481015,#481016,#481017), (#481018,#481019,#481020,#481021,#481022,#481023,#481024,#481025,#481026, #481027,#481028),(#481029,#481030,#481031,#481032,#481033,#481034,#481035, #481036,#481037,#481038,#481039),(#481040,#481041,#481042,#481043,#481044, #481045,#481046,#481047,#481048,#481049,#481050)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(0.001646527454056,0.001882650867111, 0.001976783410467,0.002070915953822,0.002165048497178,0.002259181040534, 0.002353313583889,0.002447446127245,0.002635711213956,0.002729843757311, 0.002823976300667,0.003060021302536),(-0.06164466566156,0.125,0.25,0.375, 0.5,0.625,0.75,0.875,1.061352791528),.UNSPECIFIED.); #3266=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#481067,#481068,#481069,#481070, #481071,#481072,#481073,#481074,#481075,#481076,#481077),(#481078,#481079, #481080,#481081,#481082,#481083,#481084,#481085,#481086,#481087,#481088), (#481089,#481090,#481091,#481092,#481093,#481094,#481095,#481096,#481097, #481098,#481099),(#481100,#481101,#481102,#481103,#481104,#481105,#481106, #481107,#481108,#481109,#481110),(#481111,#481112,#481113,#481114,#481115, #481116,#481117,#481118,#481119,#481120,#481121),(#481122,#481123,#481124, #481125,#481126,#481127,#481128,#481129,#481130,#481131,#481132),(#481133, #481134,#481135,#481136,#481137,#481138,#481139,#481140,#481141,#481142, #481143),(#481144,#481145,#481146,#481147,#481148,#481149,#481150,#481151, #481152,#481153,#481154),(#481155,#481156,#481157,#481158,#481159,#481160, #481161,#481162,#481163,#481164,#481165),(#481166,#481167,#481168,#481169, #481170,#481171,#481172,#481173,#481174,#481175,#481176),(#481177,#481178, #481179,#481180,#481181,#481182,#481183,#481184,#481185,#481186,#481187), (#481188,#481189,#481190,#481191,#481192,#481193,#481194,#481195,#481196, #481197,#481198),(#481199,#481200,#481201,#481202,#481203,#481204,#481205, #481206,#481207,#481208,#481209)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1, 1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(-4.69249336146E-5,0.0002273807899611, 0.0004547615799223,0.0005684519749029,0.0006821423698834,0.000795832764864, 0.0009095231598446,0.001136903949806,0.001250594344786,0.001364284739767, 0.001866109254205),(-0.06255264376068,0.125,0.25,0.375,0.5,0.625,0.75,0.875, 1.062779029931),.UNSPECIFIED.); #3267=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#481225,#481226,#481227,#481228, #481229,#481230,#481231,#481232,#481233,#481234,#481235),(#481236,#481237, #481238,#481239,#481240,#481241,#481242,#481243,#481244,#481245,#481246), (#481247,#481248,#481249,#481250,#481251,#481252,#481253,#481254,#481255, #481256,#481257),(#481258,#481259,#481260,#481261,#481262,#481263,#481264, #481265,#481266,#481267,#481268),(#481269,#481270,#481271,#481272,#481273, #481274,#481275,#481276,#481277,#481278,#481279),(#481280,#481281,#481282, #481283,#481284,#481285,#481286,#481287,#481288,#481289,#481290),(#481291, #481292,#481293,#481294,#481295,#481296,#481297,#481298,#481299,#481300, #481301),(#481302,#481303,#481304,#481305,#481306,#481307,#481308,#481309, #481310,#481311,#481312),(#481313,#481314,#481315,#481316,#481317,#481318, #481319,#481320,#481321,#481322,#481323),(#481324,#481325,#481326,#481327, #481328,#481329,#481330,#481331,#481332,#481333,#481334),(#481335,#481336, #481337,#481338,#481339,#481340,#481341,#481342,#481343,#481344,#481345), (#481346,#481347,#481348,#481349,#481350,#481351,#481352,#481353,#481354, #481355,#481356),(#481357,#481358,#481359,#481360,#481361,#481362,#481363, #481364,#481365,#481366,#481367)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1, 1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(-4.792194741977E-5,0.000207023691117, 0.000414047382234,0.0006210710733511,0.0008280947644681,0.001035118455585, 0.001242142146702,0.001345653992261,0.001449165837819,0.001552677683378, 0.001705250110215),(-0.06255446643795,0.125,0.25,0.375,0.5,0.625,0.75,0.875, 1.062778675621),.UNSPECIFIED.); #3268=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#481410,#481411,#481412,#481413, #481414,#481415,#481416,#481417,#481418,#481419,#481420),(#481421,#481422, #481423,#481424,#481425,#481426,#481427,#481428,#481429,#481430,#481431), (#481432,#481433,#481434,#481435,#481436,#481437,#481438,#481439,#481440, #481441,#481442),(#481443,#481444,#481445,#481446,#481447,#481448,#481449, #481450,#481451,#481452,#481453),(#481454,#481455,#481456,#481457,#481458, #481459,#481460,#481461,#481462,#481463,#481464),(#481465,#481466,#481467, #481468,#481469,#481470,#481471,#481472,#481473,#481474,#481475),(#481476, #481477,#481478,#481479,#481480,#481481,#481482,#481483,#481484,#481485, #481486),(#481487,#481488,#481489,#481490,#481491,#481492,#481493,#481494, #481495,#481496,#481497),(#481498,#481499,#481500,#481501,#481502,#481503, #481504,#481505,#481506,#481507,#481508),(#481509,#481510,#481511,#481512, #481513,#481514,#481515,#481516,#481517,#481518,#481519),(#481520,#481521, #481522,#481523,#481524,#481525,#481526,#481527,#481528,#481529,#481530), (#481531,#481532,#481533,#481534,#481535,#481536,#481537,#481538,#481539, #481540,#481541),(#481542,#481543,#481544,#481545,#481546,#481547,#481548, #481549,#481550,#481551,#481552),(#481553,#481554,#481555,#481556,#481557, #481558,#481559,#481560,#481561,#481562,#481563),(#481564,#481565,#481566, #481567,#481568,#481569,#481570,#481571,#481572,#481573,#481574),(#481575, #481576,#481577,#481578,#481579,#481580,#481581,#481582,#481583,#481584, #481585),(#481586,#481587,#481588,#481589,#481590,#481591,#481592,#481593, #481594,#481595,#481596)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1, 1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(-5.022952833589E-5,0.0002173068718646, 0.0003259603077969,0.000380287025763,0.0004346137437291,0.0006519206155937, 0.000760574051526,0.0008692274874583,0.0009235542054244,0.0009778809233906, 0.001086534359323,0.001303841231187,0.001521148103052,0.001629801538984, 0.001783864444314),(-0.06191410179097,0.125,0.25,0.375,0.5,0.625,0.75,0.875, 1.062987675642),.UNSPECIFIED.); #3269=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#481711,#481712,#481713,#481714, #481715,#481716,#481717,#481718,#481719,#481720,#481721),(#481722,#481723, #481724,#481725,#481726,#481727,#481728,#481729,#481730,#481731,#481732), (#481733,#481734,#481735,#481736,#481737,#481738,#481739,#481740,#481741, #481742,#481743),(#481744,#481745,#481746,#481747,#481748,#481749,#481750, #481751,#481752,#481753,#481754)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1, 1,1,1,1,1,1,4),(0.008722774673914,0.009298220225253),(-0.05907976602357, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.059071870177),.UNSPECIFIED.); #3270=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#481802,#481803,#481804,#481805, #481806,#481807,#481808,#481809,#481810,#481811,#481812),(#481813,#481814, #481815,#481816,#481817,#481818,#481819,#481820,#481821,#481822,#481823), (#481824,#481825,#481826,#481827,#481828,#481829,#481830,#481831,#481832, #481833,#481834),(#481835,#481836,#481837,#481838,#481839,#481840,#481841, #481842,#481843,#481844,#481845),(#481846,#481847,#481848,#481849,#481850, #481851,#481852,#481853,#481854,#481855,#481856),(#481857,#481858,#481859, #481860,#481861,#481862,#481863,#481864,#481865,#481866,#481867),(#481868, #481869,#481870,#481871,#481872,#481873,#481874,#481875,#481876,#481877, #481878),(#481879,#481880,#481881,#481882,#481883,#481884,#481885,#481886, #481887,#481888,#481889),(#481890,#481891,#481892,#481893,#481894,#481895, #481896,#481897,#481898,#481899,#481900),(#481901,#481902,#481903,#481904, #481905,#481906,#481907,#481908,#481909,#481910,#481911),(#481912,#481913, #481914,#481915,#481916,#481917,#481918,#481919,#481920,#481921,#481922), (#481923,#481924,#481925,#481926,#481927,#481928,#481929,#481930,#481931, #481932,#481933),(#481934,#481935,#481936,#481937,#481938,#481939,#481940, #481941,#481942,#481943,#481944),(#481945,#481946,#481947,#481948,#481949, #481950,#481951,#481952,#481953,#481954,#481955),(#481956,#481957,#481958, #481959,#481960,#481961,#481962,#481963,#481964,#481965,#481966),(#481967, #481968,#481969,#481970,#481971,#481972,#481973,#481974,#481975,#481976, #481977),(#481978,#481979,#481980,#481981,#481982,#481983,#481984,#481985, #481986,#481987,#481988),(#481989,#481990,#481991,#481992,#481993,#481994, #481995,#481996,#481997,#481998,#481999),(#482000,#482001,#482002,#482003, #482004,#482005,#482006,#482007,#482008,#482009,#482010)),.UNSPECIFIED., .F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(0.01339830740941, 0.01356734923821,0.01368707040764,0.01380679157707,0.0139265127465,0.01416595508536, 0.01440539742422,0.01488428210195,0.01536316677967,0.0158420514574,0.01608149379626, 0.01632093613512,0.01656037847398,0.01679982081284,0.01703926315171,0.01715898432114, 0.01726719918591),(-0.06998776398038,0.125,0.25,0.375,0.5,0.625,0.75,0.875, 1.070140444596),.UNSPECIFIED.); #3271=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#482124,#482125,#482126,#482127, #482128),(#482129,#482130,#482131,#482132,#482133),(#482134,#482135,#482136, #482137,#482138),(#482139,#482140,#482141,#482142,#482143),(#482144,#482145, #482146,#482147,#482148),(#482149,#482150,#482151,#482152,#482153),(#482154, #482155,#482156,#482157,#482158)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,4), (4,1,4),(-0.0002755366825523,1.61128964259E-5,0.0001355900398956,0.0002550671833653, 0.0007853534684419),(-0.09250105961665,0.5,1.092139140779), .UNSPECIFIED.); #3272=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#482174,#482175,#482176,#482177, #482178),(#482179,#482180,#482181,#482182,#482183),(#482184,#482185,#482186, #482187,#482188),(#482189,#482190,#482191,#482192,#482193),(#482194,#482195, #482196,#482197,#482198)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(-5.231909562096E-5, 0.0001742097537105,0.0004011264316365),(-0.1033511635227,0.5,1.103551313783), .UNSPECIFIED.); #3273=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#482214,#482215,#482216,#482217, #482218),(#482219,#482220,#482221,#482222,#482223),(#482224,#482225,#482226, #482227,#482228),(#482229,#482230,#482231,#482232,#482233),(#482234,#482235, #482236,#482237,#482238)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(-5.274478389527E-5, 0.0003265893747131,0.0007053296613595),(-0.1249039626915,0.5,1.125094358886), .UNSPECIFIED.); #3274=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#482246,#482247,#482248,#482249, #482250),(#482251,#482252,#482253,#482254,#482255),(#482256,#482257,#482258, #482259,#482260),(#482261,#482262,#482263,#482264,#482265),(#482266,#482267, #482268,#482269,#482270),(#482271,#482272,#482273,#482274,#482275),(#482276, #482277,#482278,#482279,#482280),(#482281,#482282,#482283,#482284,#482285), (#482286,#482287,#482288,#482289,#482290),(#482291,#482292,#482293,#482294, #482295),(#482296,#482297,#482298,#482299,#482300),(#482301,#482302,#482303, #482304,#482305),(#482306,#482307,#482308,#482309,#482310)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(4,1,4),(-5.042878044932E-5, 0.0003685709381732,0.0005528564072598,0.0007371418763464,0.000921427345433, 0.00110571281452,0.001474283752693,0.001658569221779,0.001842854690866, 0.001934997425409,0.002078160778736),(-0.1564958107688,0.5,1.158562451937), .UNSPECIFIED.); #3275=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#482326,#482327,#482328,#482329, #482330),(#482331,#482332,#482333,#482334,#482335),(#482336,#482337,#482338, #482339,#482340),(#482341,#482342,#482343,#482344,#482345),(#482346,#482347, #482348,#482349,#482350),(#482351,#482352,#482353,#482354,#482355),(#482356, #482357,#482358,#482359,#482360),(#482361,#482362,#482363,#482364,#482365), (#482366,#482367,#482368,#482369,#482370),(#482371,#482372,#482373,#482374, #482375),(#482376,#482377,#482378,#482379,#482380),(#482381,#482382,#482383, #482384,#482385),(#482386,#482387,#482388,#482389,#482390),(#482391,#482392, #482393,#482394,#482395),(#482396,#482397,#482398,#482399,#482400),(#482401, #482402,#482403,#482404,#482405),(#482406,#482407,#482408,#482409,#482410)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,1,4),(0.001976308201387, 0.002211425629039,0.002395711098126,0.002487853832669,0.002579996567212, 0.002764282036299,0.002948567505385,0.003317138443559,0.003685709381732, 0.004054280319905,0.004238565788992,0.004422851258078,0.004607136727165, 0.004791422196251,0.005024284269141),(-0.1932952262152,0.5,1.192343222738), .UNSPECIFIED.); #3276=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#482480,#482481,#482482,#482483, #482484),(#482485,#482486,#482487,#482488,#482489),(#482490,#482491,#482492, #482493,#482494),(#482495,#482496,#482497,#482498,#482499),(#482500,#482501, #482502,#482503,#482504),(#482505,#482506,#482507,#482508,#482509),(#482510, #482511,#482512,#482513,#482514),(#482515,#482516,#482517,#482518,#482519), (#482520,#482521,#482522,#482523,#482524),(#482525,#482526,#482527,#482528, #482529),(#482530,#482531,#482532,#482533,#482534)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,1,1,1,1,4),(4,1,4),(0.004928868773398,0.005067850399881,0.005159993134425, 0.005344278603511,0.005436421338054,0.005528564072598,0.005712849541684, 0.005804992276228,0.005943869230184),(-0.2032363642794,0.5,1.200409334698), .UNSPECIFIED.); #3277=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#482548,#482549,#482550,#482551), (#482552,#482553,#482554,#482555),(#482556,#482557,#482558,#482559),(#482560, #482561,#482562,#482563),(#482564,#482565,#482566,#482567),(#482568,#482569, #482570,#482571),(#482572,#482573,#482574,#482575),(#482576,#482577,#482578, #482579),(#482580,#482581,#482582,#482583),(#482584,#482585,#482586,#482587), (#482588,#482589,#482590,#482591)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1, 1,1,1,4),(4,4),(-4.553807784044E-5,0.0001259842586306,0.0002519685172612, 0.0003149606465766,0.0003779527758919,0.0005039370345225,0.0006299212931531, 0.0007559055517838,0.0008853074534863),(-0.208799120947,1.211605729506), .UNSPECIFIED.); #3278=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#482641,#482642,#482643,#482644, #482645,#482646,#482647),(#482648,#482649,#482650,#482651,#482652,#482653, #482654),(#482655,#482656,#482657,#482658,#482659,#482660,#482661),(#482662, #482663,#482664,#482665,#482666,#482667,#482668),(#482669,#482670,#482671, #482672,#482673,#482674,#482675),(#482676,#482677,#482678,#482679,#482680, #482681,#482682),(#482683,#482684,#482685,#482686,#482687,#482688,#482689), (#482690,#482691,#482692,#482693,#482694,#482695,#482696),(#482697,#482698, #482699,#482700,#482701,#482702,#482703),(#482704,#482705,#482706,#482707, #482708,#482709,#482710),(#482711,#482712,#482713,#482714,#482715,#482716, #482717),(#482718,#482719,#482720,#482721,#482722,#482723,#482724),(#482725, #482726,#482727,#482728,#482729,#482730,#482731),(#482732,#482733,#482734, #482735,#482736,#482737,#482738),(#482739,#482740,#482741,#482742,#482743, #482744,#482745),(#482746,#482747,#482748,#482749,#482750,#482751,#482752), (#482753,#482754,#482755,#482756,#482757,#482758,#482759),(#482760,#482761, #482762,#482763,#482764,#482765,#482766),(#482767,#482768,#482769,#482770, #482771,#482772,#482773),(#482774,#482775,#482776,#482777,#482778,#482779, #482780),(#482781,#482782,#482783,#482784,#482785,#482786,#482787),(#482788, #482789,#482790,#482791,#482792,#482793,#482794),(#482795,#482796,#482797, #482798,#482799,#482800,#482801),(#482802,#482803,#482804,#482805,#482806, #482807,#482808),(#482809,#482810,#482811,#482812,#482813,#482814,#482815), (#482816,#482817,#482818,#482819,#482820,#482821,#482822),(#482823,#482824, #482825,#482826,#482827,#482828,#482829)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,4),(0.1145064370061, 0.1176100566661,0.1555094515635,0.1947716657828,0.2328550590384,0.2718330378558, 0.3105507686079,0.3515306289077,0.3908968364299,0.468580873187,0.507825899467, 0.5470828937349,0.5828452283053,0.6224576341538,0.6642337918712,0.6999427983147, 0.7424075828287,0.7815747745354,0.8204893791621,0.8602451345903,0.8965001152177, 0.9007389083434,0.9393033842601,0.9427592968332,1.008484269786),(-0.002658295380285, 0.1264509983255,0.375989706336,0.9365554875361,1.005331231328), .UNSPECIFIED.); #3279=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#482841,#482842,#482843,#482844, #482845,#482846,#482847),(#482848,#482849,#482850,#482851,#482852,#482853, #482854),(#482855,#482856,#482857,#482858,#482859,#482860,#482861),(#482862, #482863,#482864,#482865,#482866,#482867,#482868),(#482869,#482870,#482871, #482872,#482873,#482874,#482875),(#482876,#482877,#482878,#482879,#482880, #482881,#482882),(#482883,#482884,#482885,#482886,#482887,#482888,#482889), (#482890,#482891,#482892,#482893,#482894,#482895,#482896),(#482897,#482898, #482899,#482900,#482901,#482902,#482903),(#482904,#482905,#482906,#482907, #482908,#482909,#482910),(#482911,#482912,#482913,#482914,#482915,#482916, #482917),(#482918,#482919,#482920,#482921,#482922,#482923,#482924),(#482925, #482926,#482927,#482928,#482929,#482930,#482931),(#482932,#482933,#482934, #482935,#482936,#482937,#482938),(#482939,#482940,#482941,#482942,#482943, #482944,#482945),(#482946,#482947,#482948,#482949,#482950,#482951,#482952), (#482953,#482954,#482955,#482956,#482957,#482958,#482959),(#482960,#482961, #482962,#482963,#482964,#482965,#482966),(#482967,#482968,#482969,#482970, #482971,#482972,#482973),(#482974,#482975,#482976,#482977,#482978,#482979, #482980),(#482981,#482982,#482983,#482984,#482985,#482986,#482987),(#482988, #482989,#482990,#482991,#482992,#482993,#482994),(#482995,#482996,#482997, #482998,#482999,#483000,#483001),(#483002,#483003,#483004,#483005,#483006, #483007,#483008),(#483009,#483010,#483011,#483012,#483013,#483014,#483015), (#483016,#483017,#483018,#483019,#483020,#483021,#483022),(#483023,#483024, #483025,#483026,#483027,#483028,#483029),(#483030,#483031,#483032,#483033, #483034,#483035,#483036)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,4),(-0.03090497590557,0.,0.06192591746869, 0.06623076183942,0.1055195748058,0.110925467738,0.1467187193989,0.1517591952361, 0.186744627067,0.2257585302754,0.2642176295386,0.3075312127639,0.3418319655461, 0.3844596077469,0.4227743751137,0.4610783812203,0.4994614191564,0.5387624720224, 0.5770175251575,0.612778984873,0.6519928793349,0.6921559898189,0.7306443365622, 0.7678207934223,0.8062460346199,0.8341454820336),(0.07231762214831,0.1264509983255, 0.375989706336,0.9365554875361,1.004434094551),.UNSPECIFIED.); #3280=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#483043,#483044,#483045,#483046), (#483047,#483048,#483049,#483050),(#483051,#483052,#483053,#483054),(#483055, #483056,#483057,#483058)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.01721809723358, 0.01725656002887),(-0.000340515383324,0.01554075688159),.UNSPECIFIED.); #3281=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#483075,#483076,#483077,#483078, #483079,#483080,#483081),(#483082,#483083,#483084,#483085,#483086,#483087, #483088),(#483089,#483090,#483091,#483092,#483093,#483094,#483095),(#483096, #483097,#483098,#483099,#483100,#483101,#483102),(#483103,#483104,#483105, #483106,#483107,#483108,#483109),(#483110,#483111,#483112,#483113,#483114, #483115,#483116),(#483117,#483118,#483119,#483120,#483121,#483122,#483123), (#483124,#483125,#483126,#483127,#483128,#483129,#483130),(#483131,#483132, #483133,#483134,#483135,#483136,#483137),(#483138,#483139,#483140,#483141, #483142,#483143,#483144),(#483145,#483146,#483147,#483148,#483149,#483150, #483151),(#483152,#483153,#483154,#483155,#483156,#483157,#483158),(#483159, #483160,#483161,#483162,#483163,#483164,#483165),(#483166,#483167,#483168, #483169,#483170,#483171,#483172),(#483173,#483174,#483175,#483176,#483177, #483178,#483179),(#483180,#483181,#483182,#483183,#483184,#483185,#483186), (#483187,#483188,#483189,#483190,#483191,#483192,#483193),(#483194,#483195, #483196,#483197,#483198,#483199,#483200),(#483201,#483202,#483203,#483204, #483205,#483206,#483207),(#483208,#483209,#483210,#483211,#483212,#483213, #483214),(#483215,#483216,#483217,#483218,#483219,#483220,#483221),(#483222, #483223,#483224,#483225,#483226,#483227,#483228),(#483229,#483230,#483231, #483232,#483233,#483234,#483235),(#483236,#483237,#483238,#483239,#483240, #483241,#483242),(#483243,#483244,#483245,#483246,#483247,#483248,#483249), (#483250,#483251,#483252,#483253,#483254,#483255,#483256),(#483257,#483258, #483259,#483260,#483261,#483262,#483263),(#483264,#483265,#483266,#483267, #483268,#483269,#483270)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,4),(-0.03090233424459,0.,0.06192591746869, 0.06623076183942,0.1055195748058,0.110925467738,0.1467187193989,0.1517591952361, 0.186744627067,0.2257585302754,0.2642176295386,0.3075312127639,0.3418319655461, 0.3844596077469,0.4227743751137,0.4610783812203,0.4994614191564,0.5387624720224, 0.5770175251575,0.612778984873,0.6519928793349,0.6921559898189,0.7306443365622, 0.7678207934223,0.8062460346199,0.8341644951055),(0.07238357564586,0.1264509983255, 0.375989706336,0.9365554875361,1.0044360959),.UNSPECIFIED.); #3282=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#483277,#483278,#483279,#483280), (#483281,#483282,#483283,#483284),(#483285,#483286,#483287,#483288),(#483289, #483290,#483291,#483292)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.01721809699757, 0.01725657256127),(-0.0003405152838216,0.01554075315706),.UNSPECIFIED.); #3283=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#483309,#483310,#483311,#483312, #483313,#483314,#483315,#483316,#483317,#483318,#483319),(#483320,#483321, #483322,#483323,#483324,#483325,#483326,#483327,#483328,#483329,#483330), (#483331,#483332,#483333,#483334,#483335,#483336,#483337,#483338,#483339, #483340,#483341),(#483342,#483343,#483344,#483345,#483346,#483347,#483348, #483349,#483350,#483351,#483352),(#483353,#483354,#483355,#483356,#483357, #483358,#483359,#483360,#483361,#483362,#483363),(#483364,#483365,#483366, #483367,#483368,#483369,#483370,#483371,#483372,#483373,#483374),(#483375, #483376,#483377,#483378,#483379,#483380,#483381,#483382,#483383,#483384, #483385),(#483386,#483387,#483388,#483389,#483390,#483391,#483392,#483393, #483394,#483395,#483396),(#483397,#483398,#483399,#483400,#483401,#483402, #483403,#483404,#483405,#483406,#483407),(#483408,#483409,#483410,#483411, #483412,#483413,#483414,#483415,#483416,#483417,#483418),(#483419,#483420, #483421,#483422,#483423,#483424,#483425,#483426,#483427,#483428,#483429), (#483430,#483431,#483432,#483433,#483434,#483435,#483436,#483437,#483438, #483439,#483440),(#483441,#483442,#483443,#483444,#483445,#483446,#483447, #483448,#483449,#483450,#483451),(#483452,#483453,#483454,#483455,#483456, #483457,#483458,#483459,#483460,#483461,#483462),(#483463,#483464,#483465, #483466,#483467,#483468,#483469,#483470,#483471,#483472,#483473),(#483474, #483475,#483476,#483477,#483478,#483479,#483480,#483481,#483482,#483483, #483484),(#483485,#483486,#483487,#483488,#483489,#483490,#483491,#483492, #483493,#483494,#483495),(#483496,#483497,#483498,#483499,#483500,#483501, #483502,#483503,#483504,#483505,#483506)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(0.01339824721524,0.0136870713273, 0.01380679246587,0.01392651360445,0.0141659558816,0.01440539815876,0.01488428271306, 0.01536316726737,0.01584205182167,0.01608149409882,0.01632093637598,0.01656037865313, 0.01679982093028,0.01703926320743,0.01715898434601,0.01726719944115),(-0.06998632777778, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.070140425413),.UNSPECIFIED.); #3284=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#483525,#483526,#483527,#483528, #483529),(#483530,#483531,#483532,#483533,#483534),(#483535,#483536,#483537, #483538,#483539),(#483540,#483541,#483542,#483543,#483544),(#483545,#483546, #483547,#483548,#483549),(#483550,#483551,#483552,#483553,#483554),(#483555, #483556,#483557,#483558,#483559),(#483560,#483561,#483562,#483563,#483564)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,4),(4,1,4),(-5.219500530899E-5,0.0002189289158846, 0.0004378578317693,0.0005473222897116,0.0006567867476539,0.000927981525459), (-0.08970709858457,0.5,1.090377631951),.UNSPECIFIED.); #3285=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#483572,#483573,#483574,#483575, #483576),(#483577,#483578,#483579,#483580,#483581),(#483582,#483583,#483584, #483585,#483586),(#483587,#483588,#483589,#483590,#483591)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,4),(-5.260696503513E-5,0.0004327546400701), (-0.1017418385109,0.5,1.101801397241),.UNSPECIFIED.); #3286=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#483599,#483600,#483601,#483602, #483603),(#483604,#483605,#483606,#483607,#483608),(#483609,#483610,#483611, #483612,#483613),(#483614,#483615,#483616,#483617,#483618),(#483619,#483620, #483621,#483622,#483623)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(-5.202454049168E-5, 0.000334370011877,0.0007215516455274),(-0.1240256478897,0.5,1.123971094008), .UNSPECIFIED.); #3287=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#483625,#483626,#483627,#483628, #483629),(#483630,#483631,#483632,#483633,#483634),(#483635,#483636,#483637, #483638,#483639),(#483640,#483641,#483642,#483643,#483644),(#483645,#483646, #483647,#483648,#483649),(#483650,#483651,#483652,#483653,#483654)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,1,4),(0.005152255282044,0.00557278991075, 0.005758549574441,0.005995460535337),(-0.1412233591294,0.5,1.14115951763), .UNSPECIFIED.); #3288=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#483656,#483657,#483658,#483659, #483660),(#483661,#483662,#483663,#483664,#483665),(#483666,#483667,#483668, #483669,#483670),(#483671,#483672,#483673,#483674,#483675),(#483676,#483677, #483678,#483679,#483680),(#483681,#483682,#483683,#483684,#483685),(#483686, #483687,#483688,#483689,#483690),(#483691,#483692,#483693,#483694,#483695), (#483696,#483697,#483698,#483699,#483700),(#483701,#483702,#483703,#483704, #483705),(#483706,#483707,#483708,#483709,#483710),(#483711,#483712,#483713, #483714,#483715),(#483716,#483717,#483718,#483719,#483720),(#483721,#483722, #483723,#483724,#483725),(#483726,#483727,#483728,#483729,#483730),(#483731, #483732,#483733,#483734,#483735)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1, 1,1,1,1,1,1,1,1,4),(4,1,4),(0.002923389624965,0.003157914282758,0.00334367394645, 0.003436553778296,0.003529433610142,0.003715193273833,0.003900952937525, 0.004086712601217,0.004272472264908,0.004365352096754,0.0044582319286,0.004643991592292, 0.004829751255983,0.005249989812698),(-0.1669006370932,0.5,1.166236095483), .UNSPECIFIED.); #3289=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#483737,#483738,#483739,#483740, #483741),(#483742,#483743,#483744,#483745,#483746),(#483747,#483748,#483749, #483750,#483751),(#483752,#483753,#483754,#483755,#483756),(#483757,#483758, #483759,#483760,#483761),(#483762,#483763,#483764,#483765,#483766),(#483767, #483768,#483769,#483770,#483771),(#483772,#483773,#483774,#483775,#483776), (#483777,#483778,#483779,#483780,#483781),(#483782,#483783,#483784,#483785, #483786),(#483787,#483788,#483789,#483790,#483791),(#483792,#483793,#483794, #483795,#483796),(#483797,#483798,#483799,#483800,#483801),(#483802,#483803, #483804,#483805,#483806),(#483807,#483808,#483809,#483810,#483811),(#483812, #483813,#483814,#483815,#483816),(#483817,#483818,#483819,#483820,#483821), (#483822,#483823,#483824,#483825,#483826),(#483827,#483828,#483829,#483830, #483831)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4), (4,1,4),(-4.863420104437E-5,9.287983184582E-5,0.0001857596636917,0.0003715193273833, 0.0004643991592291,0.000557278991075,0.0007430386547666,0.0008359184866125, 0.0009287983184583,0.00111455798215,0.001300317645842,0.001486077309533, 0.001671836973225,0.001857596636917,0.0022291159643,0.002600635291683,0.003021153336417), (-0.2290388048717,0.5,1.205719913022),.UNSPECIFIED.); #3290=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#483833,#483834,#483835,#483836), (#483837,#483838,#483839,#483840),(#483841,#483842,#483843,#483844),(#483845, #483846,#483847,#483848),(#483849,#483850,#483851,#483852),(#483853,#483854, #483855,#483856),(#483857,#483858,#483859,#483860),(#483861,#483862,#483863, #483864),(#483865,#483866,#483867,#483868),(#483869,#483870,#483871,#483872), (#483873,#483874,#483875,#483876),(#483877,#483878,#483879,#483880)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4),(4,4),(7.80136534817441E-5, 0.0001885327812233,0.000251377041631,0.0003770655624465,0.0005027540832621, 0.0006284426040776,0.0006912868644853,0.0007541311248931,0.0008798196457086, 0.00109831637414865),(-0.211461862394,1.209287077152),.UNSPECIFIED.); #3291=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#483881,#483882,#483883,#483884), (#483885,#483886,#483887,#483888),(#483889,#483890,#483891,#483892),(#483893, #483894,#483895,#483896)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.0172180978839, 0.01725652735403),(-0.0003402295200215,0.01554074755151),.UNSPECIFIED.); #3292=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#483897,#483898,#483899,#483900, #483901,#483902,#483903,#483904,#483905,#483906,#483907),(#483908,#483909, #483910,#483911,#483912,#483913,#483914,#483915,#483916,#483917,#483918), (#483919,#483920,#483921,#483922,#483923,#483924,#483925,#483926,#483927, #483928,#483929),(#483930,#483931,#483932,#483933,#483934,#483935,#483936, #483937,#483938,#483939,#483940)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1, 1,1,1,1,1,1,4),(0.008722774337567,0.009280442735668),(-0.05901555276795, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.059067062976),.UNSPECIFIED.); #3293=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#483944,#483945,#483946,#483947, #483948,#483949,#483950,#483951,#483952,#483953,#483954),(#483955,#483956, #483957,#483958,#483959,#483960,#483961,#483962,#483963,#483964,#483965), (#483966,#483967,#483968,#483969,#483970,#483971,#483972,#483973,#483974, #483975,#483976),(#483977,#483978,#483979,#483980,#483981,#483982,#483983, #483984,#483985,#483986,#483987),(#483988,#483989,#483990,#483991,#483992, #483993,#483994,#483995,#483996,#483997,#483998),(#483999,#484000,#484001, #484002,#484003,#484004,#484005,#484006,#484007,#484008,#484009),(#484010, #484011,#484012,#484013,#484014,#484015,#484016,#484017,#484018,#484019, #484020),(#484021,#484022,#484023,#484024,#484025,#484026,#484027,#484028, #484029,#484030,#484031),(#484032,#484033,#484034,#484035,#484036,#484037, #484038,#484039,#484040,#484041,#484042),(#484043,#484044,#484045,#484046, #484047,#484048,#484049,#484050,#484051,#484052,#484053),(#484054,#484055, #484056,#484057,#484058,#484059,#484060,#484061,#484062,#484063,#484064), (#484065,#484066,#484067,#484068,#484069,#484070,#484071,#484072,#484073, #484074,#484075)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4),(4,1, 1,1,1,1,1,1,4),(-4.386918495212E-5,0.0001061193876462,0.0002122387752924, 0.0004244775505849,0.0006367163258773,0.0007428357135235,0.0008489551011697, 0.0009550744888159,0.001061193876462,0.001316069183322),(-0.06140709420762, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.060984002314),.UNSPECIFIED.); #3294=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#484077,#484078,#484079,#484080, #484081,#484082,#484083,#484084,#484085,#484086,#484087),(#484088,#484089, #484090,#484091,#484092,#484093,#484094,#484095,#484096,#484097,#484098), (#484099,#484100,#484101,#484102,#484103,#484104,#484105,#484106,#484107, #484108,#484109),(#484110,#484111,#484112,#484113,#484114,#484115,#484116, #484117,#484118,#484119,#484120),(#484121,#484122,#484123,#484124,#484125, #484126,#484127,#484128,#484129,#484130,#484131),(#484132,#484133,#484134, #484135,#484136,#484137,#484138,#484139,#484140,#484141,#484142)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,1,1,1,1,1,1,1,4),(0.001224760629044, 0.001379552039401,0.001485671427047,0.001746627726199),(-0.06112416914442, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.061575006036),.UNSPECIFIED.); #3295=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#484144,#484145,#484146,#484147, #484148,#484149,#484150,#484151,#484152,#484153,#484154),(#484155,#484156, #484157,#484158,#484159,#484160,#484161,#484162,#484163,#484164,#484165), (#484166,#484167,#484168,#484169,#484170,#484171,#484172,#484173,#484174, #484175,#484176),(#484177,#484178,#484179,#484180,#484181,#484182,#484183, #484184,#484185,#484186,#484187),(#484188,#484189,#484190,#484191,#484192, #484193,#484194,#484195,#484196,#484197,#484198),(#484199,#484200,#484201, #484202,#484203,#484204,#484205,#484206,#484207,#484208,#484209),(#484210, #484211,#484212,#484213,#484214,#484215,#484216,#484217,#484218,#484219, #484220),(#484221,#484222,#484223,#484224,#484225,#484226,#484227,#484228, #484229,#484230,#484231),(#484232,#484233,#484234,#484235,#484236,#484237, #484238,#484239,#484240,#484241,#484242),(#484243,#484244,#484245,#484246, #484247,#484248,#484249,#484250,#484251,#484252,#484253),(#484254,#484255, #484256,#484257,#484258,#484259,#484260,#484261,#484262,#484263,#484264), (#484265,#484266,#484267,#484268,#484269,#484270,#484271,#484272,#484273, #484274,#484275),(#484276,#484277,#484278,#484279,#484280,#484281,#484282, #484283,#484284,#484285,#484286)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1, 1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(-4.902285911515E-5,0.0001042089698665, 0.000208417939733,0.0003126269095995,0.0004168358794659,0.0006252538191989, 0.0008336717589319,0.001042089698665,0.001250507638398,0.001458925578131, 0.001609321780656),(-0.06331054764853,0.125,0.25,0.375,0.5,0.625,0.75,0.875, 1.063176733112),.UNSPECIFIED.); #3296=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#484288,#484289,#484290,#484291, #484292,#484293,#484294,#484295,#484296,#484297,#484298),(#484299,#484300, #484301,#484302,#484303,#484304,#484305,#484306,#484307,#484308,#484309), (#484310,#484311,#484312,#484313,#484314,#484315,#484316,#484317,#484318, #484319,#484320),(#484321,#484322,#484323,#484324,#484325,#484326,#484327, #484328,#484329,#484330,#484331)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1, 1,1,1,1,1,1,4),(0.001515129021666,0.001715400260177),(-0.06332560692776, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.063176967055),.UNSPECIFIED.); #3297=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#484333,#484334,#484335,#484336, #484337,#484338,#484339,#484340,#484341,#484342,#484343),(#484344,#484345, #484346,#484347,#484348,#484349,#484350,#484351,#484352,#484353,#484354), (#484355,#484356,#484357,#484358,#484359,#484360,#484361,#484362,#484363, #484364,#484365),(#484366,#484367,#484368,#484369,#484370,#484371,#484372, #484373,#484374,#484375,#484376),(#484377,#484378,#484379,#484380,#484381, #484382,#484383,#484384,#484385,#484386,#484387),(#484388,#484389,#484390, #484391,#484392,#484393,#484394,#484395,#484396,#484397,#484398),(#484399, #484400,#484401,#484402,#484403,#484404,#484405,#484406,#484407,#484408, #484409),(#484410,#484411,#484412,#484413,#484414,#484415,#484416,#484417, #484418,#484419,#484420),(#484421,#484422,#484423,#484424,#484425,#484426, #484427,#484428,#484429,#484430,#484431),(#484432,#484433,#484434,#484435, #484436,#484437,#484438,#484439,#484440,#484441,#484442),(#484443,#484444, #484445,#484446,#484447,#484448,#484449,#484450,#484451,#484452,#484453), (#484454,#484455,#484456,#484457,#484458,#484459,#484460,#484461,#484462, #484463,#484464),(#484465,#484466,#484467,#484468,#484469,#484470,#484471, #484472,#484473,#484474,#484475)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1, 1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(-4.690993389189E-5,0.0004585357276166, 0.0005731696595207,0.0006878035914249,0.0009170714552332,0.001031705387137, 0.001146339319041,0.001260973250946,0.00137560718285,0.001604875046658, 0.001881819250261),(-0.06258681059491,0.125,0.25,0.375,0.5,0.625,0.75,0.875, 1.062813755725),.UNSPECIFIED.); #3298=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#484477,#484478,#484479,#484480, #484481,#484482,#484483,#484484,#484485,#484486,#484487),(#484488,#484489, #484490,#484491,#484492,#484493,#484494,#484495,#484496,#484497,#484498), (#484499,#484500,#484501,#484502,#484503,#484504,#484505,#484506,#484507, #484508,#484509),(#484510,#484511,#484512,#484513,#484514,#484515,#484516, #484517,#484518,#484519,#484520),(#484521,#484522,#484523,#484524,#484525, #484526,#484527,#484528,#484529,#484530,#484531),(#484532,#484533,#484534, #484535,#484536,#484537,#484538,#484539,#484540,#484541,#484542),(#484543, #484544,#484545,#484546,#484547,#484548,#484549,#484550,#484551,#484552, #484553),(#484554,#484555,#484556,#484557,#484558,#484559,#484560,#484561, #484562,#484563,#484564),(#484565,#484566,#484567,#484568,#484569,#484570, #484571,#484572,#484573,#484574,#484575),(#484576,#484577,#484578,#484579, #484580,#484581,#484582,#484583,#484584,#484585,#484586)),.UNSPECIFIED., .F.,.F.,.F.,(4,1,1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(-4.770199443595E-5, 0.0001884721349283,0.0002827082023924,0.0003769442698566,0.0005654164047849, 0.000659652472249,0.0007538885397132,0.0009889346586286),(-0.06112982980287, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.062291688777),.UNSPECIFIED.); #3299=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#484588,#484589,#484590,#484591, #484592,#484593,#484594,#484595,#484596,#484597,#484598),(#484599,#484600, #484601,#484602,#484603,#484604,#484605,#484606,#484607,#484608,#484609), (#484610,#484611,#484612,#484613,#484614,#484615,#484616,#484617,#484618, #484619,#484620),(#484621,#484622,#484623,#484624,#484625,#484626,#484627, #484628,#484629,#484630,#484631),(#484632,#484633,#484634,#484635,#484636, #484637,#484638,#484639,#484640,#484641,#484642),(#484643,#484644,#484645, #484646,#484647,#484648,#484649,#484650,#484651,#484652,#484653),(#484654, #484655,#484656,#484657,#484658,#484659,#484660,#484661,#484662,#484663, #484664),(#484665,#484666,#484667,#484668,#484669,#484670,#484671,#484672, #484673,#484674,#484675),(#484676,#484677,#484678,#484679,#484680,#484681, #484682,#484683,#484684,#484685,#484686),(#484687,#484688,#484689,#484690, #484691,#484692,#484693,#484694,#484695,#484696,#484697),(#484698,#484699, #484700,#484701,#484702,#484703,#484704,#484705,#484706,#484707,#484708), (#484709,#484710,#484711,#484712,#484713,#484714,#484715,#484716,#484717, #484718,#484719),(#484720,#484721,#484722,#484723,#484724,#484725,#484726, #484727,#484728,#484729,#484730),(#484731,#484732,#484733,#484734,#484735, #484736,#484737,#484738,#484739,#484740,#484741)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(0.0008959521176451, 0.00113083280957,0.001319304944498,0.001507777079426,0.001696249214355, 0.001884721349283,0.002073193484211,0.002261665619139,0.002638609888996, 0.00273284595646,0.002827082023924,0.003049453913351),(-0.06039695241014, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.061531584537),.UNSPECIFIED.); #3300=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#485925,#485926,#485927,#485928), (#485929,#485930,#485931,#485932),(#485933,#485934,#485935,#485936),(#485937, #485938,#485939,#485940),(#485941,#485942,#485943,#485944),(#485945,#485946, #485947,#485948),(#485949,#485950,#485951,#485952),(#485953,#485954,#485955, #485956),(#485957,#485958,#485959,#485960),(#485961,#485962,#485963,#485964), (#485965,#485966,#485967,#485968),(#485969,#485970,#485971,#485972),(#485973, #485974,#485975,#485976),(#485977,#485978,#485979,#485980),(#485981,#485982, #485983,#485984),(#485985,#485986,#485987,#485988),(#485989,#485990,#485991, #485992),(#485993,#485994,#485995,#485996),(#485997,#485998,#485999,#486000)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,4),(-0.008610478003521, 0.,0.03125382654815,0.06250369818793,0.0937535698277,0.1250034414675,0.1562533131072, 0.187503184747,0.2500029280265,0.312502671306,0.3750024145857,0.5000019011447, 0.6250013877039,0.7500008742628,0.875000360822,1.,1.009708090316),(2.879507328413E-8, 0.9999985314622),.UNSPECIFIED.); #3301=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#486272,#486273,#486274,#486275), (#486276,#486277,#486278,#486279),(#486280,#486281,#486282,#486283),(#486284, #486285,#486286,#486287),(#486288,#486289,#486290,#486291),(#486292,#486293, #486294,#486295),(#486296,#486297,#486298,#486299),(#486300,#486301,#486302, #486303),(#486304,#486305,#486306,#486307),(#486308,#486309,#486310,#486311), (#486312,#486313,#486314,#486315),(#486316,#486317,#486318,#486319),(#486320, #486321,#486322,#486323),(#486324,#486325,#486326,#486327),(#486328,#486329, #486330,#486331),(#486332,#486333,#486334,#486335),(#486336,#486337,#486338, #486339),(#486340,#486341,#486342,#486343),(#486344,#486345,#486346,#486347)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,4),(-0.008610478004279, 0.,0.0312538265489,0.06250369818868,0.09375356982844,0.1250034414682,0.156253313108, 0.1875031847477,0.2500029280273,0.3125026713067,0.3750024145864,0.5000019011454, 0.6250013877046,0.7500008742635,0.8750003608227,1.,1.009708090317),(2.879492438587E-8, 0.9999985314625),.UNSPECIFIED.); #3302=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#486533,#486534,#486535,#486536), (#486537,#486538,#486539,#486540),(#486541,#486542,#486543,#486544),(#486545, #486546,#486547,#486548),(#486549,#486550,#486551,#486552),(#486553,#486554, #486555,#486556),(#486557,#486558,#486559,#486560),(#486561,#486562,#486563, #486564),(#486565,#486566,#486567,#486568),(#486569,#486570,#486571,#486572), (#486573,#486574,#486575,#486576),(#486577,#486578,#486579,#486580),(#486581, #486582,#486583,#486584),(#486585,#486586,#486587,#486588),(#486589,#486590, #486591,#486592),(#486593,#486594,#486595,#486596),(#486597,#486598,#486599, #486600),(#486601,#486602,#486603,#486604),(#486605,#486606,#486607,#486608), (#486609,#486610,#486611,#486612),(#486613,#486614,#486615,#486616),(#486617, #486618,#486619,#486620),(#486621,#486622,#486623,#486624),(#486625,#486626, #486627,#486628),(#486629,#486630,#486631,#486632),(#486633,#486634,#486635, #486636),(#486637,#486638,#486639,#486640),(#486641,#486642,#486643,#486644), (#486645,#486646,#486647,#486648),(#486649,#486650,#486651,#486652),(#486653, #486654,#486655,#486656),(#486657,#486658,#486659,#486660),(#486661,#486662, #486663,#486664),(#486665,#486666,#486667,#486668),(#486669,#486670,#486671, #486672),(#486673,#486674,#486675,#486676)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,4), (-0.01688569729112,0.,0.02083881288059,0.06250524637503,0.09375507149586, 0.1250048966167,0.1562547217375,0.1875045468583,0.2187543719792,0.2500041971, 0.2812540222208,0.3125038473416,0.3437536724625,0.3750034975833,0.437503147825, 0.5000027980666,0.5312526231874,0.5625024483083,0.5937522734291,0.6250020985499, 0.6875017487916,0.7500013990332,0.7812512241541,0.8125010492749,0.8437508743957, 0.8750006995165,0.8906256120769,0.9062505246374,0.9218754371978,0.9375003497582, 0.968750174879,0.9895833916262,1.,1.004539870628),(-2.428692931489E-8,1.000001238603), .UNSPECIFIED.); #3303=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#487047,#487048,#487049,#487050), (#487051,#487052,#487053,#487054),(#487055,#487056,#487057,#487058),(#487059, #487060,#487061,#487062),(#487063,#487064,#487065,#487066),(#487067,#487068, #487069,#487070),(#487071,#487072,#487073,#487074),(#487075,#487076,#487077, #487078),(#487079,#487080,#487081,#487082),(#487083,#487084,#487085,#487086), (#487087,#487088,#487089,#487090),(#487091,#487092,#487093,#487094),(#487095, #487096,#487097,#487098),(#487099,#487100,#487101,#487102),(#487103,#487104, #487105,#487106),(#487107,#487108,#487109,#487110)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,4),(-0.009827448912837,0.,0.1250001656154, 0.2500001419561,0.5000000946375,0.6250000709783,0.6875000591485,0.7500000473189, 0.8125000354893,0.8750000236597,0.9375000118299,0.9687500059151,1.,1.003401343713), (1.427523722147E-8,1.000000779815),.UNSPECIFIED.); #3304=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#487163,#487164,#487165,#487166), (#487167,#487168,#487169,#487170),(#487171,#487172,#487173,#487174),(#487175, #487176,#487177,#487178),(#487179,#487180,#487181,#487182),(#487183,#487184, #487185,#487186)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(-0.01999973224018, 0.,1.,1.020000002351),(-0.01085010614348,1.010851515608),.UNSPECIFIED.); #3305=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#487247,#487248,#487249,#487250), (#487251,#487252,#487253,#487254),(#487255,#487256,#487257,#487258),(#487259, #487260,#487261,#487262),(#487263,#487264,#487265,#487266),(#487267,#487268, #487269,#487270),(#487271,#487272,#487273,#487274),(#487275,#487276,#487277, #487278),(#487279,#487280,#487281,#487282),(#487283,#487284,#487285,#487286), (#487287,#487288,#487289,#487290),(#487291,#487292,#487293,#487294),(#487295, #487296,#487297,#487298),(#487299,#487300,#487301,#487302),(#487303,#487304, #487305,#487306),(#487307,#487308,#487309,#487310),(#487311,#487312,#487313, #487314),(#487315,#487316,#487317,#487318),(#487319,#487320,#487321,#487322), (#487323,#487324,#487325,#487326),(#487327,#487328,#487329,#487330),(#487331, #487332,#487333,#487334),(#487335,#487336,#487337,#487338),(#487339,#487340, #487341,#487342),(#487343,#487344,#487345,#487346),(#487347,#487348,#487349, #487350),(#487351,#487352,#487353,#487354),(#487355,#487356,#487357,#487358), (#487359,#487360,#487361,#487362),(#487363,#487364,#487365,#487366),(#487367, #487368,#487369,#487370),(#487371,#487372,#487373,#487374),(#487375,#487376, #487377,#487378),(#487379,#487380,#487381,#487382),(#487383,#487384,#487385, #487386),(#487387,#487388,#487389,#487390)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,4), (-0.01688569729098,0.,0.02083881288025,0.06250524637471,0.09375507149555, 0.1250048966164,0.1562547217372,0.1875045468581,0.2187543719789,0.2500041970998, 0.2812540222206,0.3125038473415,0.3437536724623,0.3750034975831,0.4375031478248, 0.5000027980665,0.5312526231873,0.5625024483082,0.593752273429,0.6250020985499, 0.6875017487916,0.7500013990332,0.7812512241541,0.8125010492749,0.8437508743958, 0.8750006995166,0.890625612077,0.9062505246375,0.9218754371979,0.9375003497583, 0.9687501748791,0.9895833916263,1.,1.004539870628),(-2.428574426283E-8, 1.000001238603),.UNSPECIFIED.); #3306=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#487571,#487572,#487573,#487574), (#487575,#487576,#487577,#487578),(#487579,#487580,#487581,#487582),(#487583, #487584,#487585,#487586),(#487587,#487588,#487589,#487590),(#487591,#487592, #487593,#487594),(#487595,#487596,#487597,#487598),(#487599,#487600,#487601, #487602),(#487603,#487604,#487605,#487606),(#487607,#487608,#487609,#487610), (#487611,#487612,#487613,#487614),(#487615,#487616,#487617,#487618),(#487619, #487620,#487621,#487622),(#487623,#487624,#487625,#487626),(#487627,#487628, #487629,#487630),(#487631,#487632,#487633,#487634),(#487635,#487636,#487637, #487638),(#487639,#487640,#487641,#487642),(#487643,#487644,#487645,#487646)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,4),(-0.00861047876917, 0.,0.03125382836532,0.06250370182092,0.0937535752765,0.1250034487321,0.1562533221877, 0.1875031956433,0.2500029425544,0.3125026894655,0.3750024363769,0.5000019301991, 0.6250014240215,0.7500009178437,0.8750004116662,1.,1.009708112758),(2.879492570079E-8, 0.9999985314624),.UNSPECIFIED.); #3307=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#487689,#487690,#487691,#487692), (#487693,#487694,#487695,#487696),(#487697,#487698,#487699,#487700),(#487701, #487702,#487703,#487704),(#487705,#487706,#487707,#487708),(#487709,#487710, #487711,#487712),(#487713,#487714,#487715,#487716),(#487717,#487718,#487719, #487720),(#487721,#487722,#487723,#487724),(#487725,#487726,#487727,#487728), (#487729,#487730,#487731,#487732),(#487733,#487734,#487735,#487736),(#487737, #487738,#487739,#487740),(#487741,#487742,#487743,#487744),(#487745,#487746, #487747,#487748),(#487749,#487750,#487751,#487752),(#487753,#487754,#487755, #487756),(#487757,#487758,#487759,#487760),(#487761,#487762,#487763,#487764), (#487765,#487766,#487767,#487768),(#487769,#487770,#487771,#487772),(#487773, #487774,#487775,#487776),(#487777,#487778,#487779,#487780),(#487781,#487782, #487783,#487784),(#487785,#487786,#487787,#487788),(#487789,#487790,#487791, #487792),(#487793,#487794,#487795,#487796),(#487797,#487798,#487799,#487800), (#487801,#487802,#487803,#487804),(#487805,#487806,#487807,#487808),(#487809, #487810,#487811,#487812),(#487813,#487814,#487815,#487816),(#487817,#487818, #487819,#487820),(#487821,#487822,#487823,#487824),(#487825,#487826,#487827, #487828),(#487829,#487830,#487831,#487832)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,4), (-0.0168856972912,0.,0.02083881288016,0.06250524637462,0.09375507149547, 0.1250048966163,0.1562547217372,0.187504546858,0.2187543719788,0.2500041970997, 0.2812540222205,0.3125038473414,0.3437536724622,0.3750034975831,0.4375031478247, 0.5000027980664,0.5312526231873,0.5625024483081,0.593752273429,0.6250020985498, 0.6875017487915,0.7500013990332,0.781251224154,0.8125010492749,0.8437508743957, 0.8750006995166,0.890625612077,0.9062505246374,0.9218754371978,0.9375003497582, 0.9687501748791,0.9895833916263,1.,1.004539870628),(-2.428657413026E-8, 1.000001238603),.UNSPECIFIED.); #3308=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#488061,#488062,#488063,#488064), (#488065,#488066,#488067,#488068),(#488069,#488070,#488071,#488072),(#488073, #488074,#488075,#488076),(#488077,#488078,#488079,#488080),(#488081,#488082, #488083,#488084),(#488085,#488086,#488087,#488088),(#488089,#488090,#488091, #488092),(#488093,#488094,#488095,#488096),(#488097,#488098,#488099,#488100), (#488101,#488102,#488103,#488104),(#488105,#488106,#488107,#488108),(#488109, #488110,#488111,#488112),(#488113,#488114,#488115,#488116),(#488117,#488118, #488119,#488120),(#488121,#488122,#488123,#488124),(#488125,#488126,#488127, #488128),(#488129,#488130,#488131,#488132),(#488133,#488134,#488135,#488136)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,4),(-0.008610478004548, 0.,0.03125382655096,0.06250369819067,0.09375356983035,0.1250034414701,0.1562533131097, 0.1875031847494,0.2500029280288,0.3125026713081,0.3750024145877,0.5000019011463, 0.6250013877052,0.7500008742638,0.8750003608227,1.,1.009708090317),(2.879445749893E-8, 0.9999985314625),.UNSPECIFIED.); #3309=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#488179,#488180,#488181,#488182), (#488183,#488184,#488185,#488186),(#488187,#488188,#488189,#488190),(#488191, #488192,#488193,#488194),(#488195,#488196,#488197,#488198),(#488199,#488200, #488201,#488202),(#488203,#488204,#488205,#488206),(#488207,#488208,#488209, #488210),(#488211,#488212,#488213,#488214),(#488215,#488216,#488217,#488218), (#488219,#488220,#488221,#488222),(#488223,#488224,#488225,#488226),(#488227, #488228,#488229,#488230),(#488231,#488232,#488233,#488234),(#488235,#488236, #488237,#488238),(#488239,#488240,#488241,#488242),(#488243,#488244,#488245, #488246),(#488247,#488248,#488249,#488250),(#488251,#488252,#488253,#488254), (#488255,#488256,#488257,#488258),(#488259,#488260,#488261,#488262),(#488263, #488264,#488265,#488266),(#488267,#488268,#488269,#488270),(#488271,#488272, #488273,#488274),(#488275,#488276,#488277,#488278),(#488279,#488280,#488281, #488282),(#488283,#488284,#488285,#488286),(#488287,#488288,#488289,#488290), (#488291,#488292,#488293,#488294),(#488295,#488296,#488297,#488298),(#488299, #488300,#488301,#488302),(#488303,#488304,#488305,#488306),(#488307,#488308, #488309,#488310),(#488311,#488312,#488313,#488314),(#488315,#488316,#488317, #488318),(#488319,#488320,#488321,#488322)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,4), (-0.01688569729083,0.,0.02083881288006,0.06250524637453,0.09375507149538, 0.1250048966162,0.1562547217371,0.1875045468579,0.2187543719788,0.2500041970996, 0.2812540222205,0.3125038473413,0.3437536724622,0.375003497583,0.4375031478247, 0.5000027980664,0.5312526231873,0.5625024483081,0.593752273429,0.6250020985498, 0.6875017487915,0.7500013990332,0.7812512241541,0.8125010492749,0.8437508743958, 0.8750006995166,0.8906256120771,0.9062505246375,0.9218754371979,0.9375003497583, 0.9687501748792,0.9895833916264,1.,1.004539870628),(-2.428576945795E-8, 1.000001238603),.UNSPECIFIED.); #3310=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#488416,#488417,#488418,#488419), (#488420,#488421,#488422,#488423),(#488424,#488425,#488426,#488427),(#488428, #488429,#488430,#488431),(#488432,#488433,#488434,#488435),(#488436,#488437, #488438,#488439),(#488440,#488441,#488442,#488443),(#488444,#488445,#488446, #488447),(#488448,#488449,#488450,#488451),(#488452,#488453,#488454,#488455), (#488456,#488457,#488458,#488459),(#488460,#488461,#488462,#488463),(#488464, #488465,#488466,#488467),(#488468,#488469,#488470,#488471),(#488472,#488473, #488474,#488475),(#488476,#488477,#488478,#488479)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,4),(-0.009827448912549,0.,0.1250001656155, 0.2500001419562,0.5000000946376,0.6250000709784,0.6875000591486,0.750000047319, 0.8125000354894,0.8750000236598,0.9375000118301,0.9687500059153,1.,1.003401343713), (1.427473193469E-8,1.000000779815),.UNSPECIFIED.); #3311=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#488556,#488557,#488558,#488559), (#488560,#488561,#488562,#488563),(#488564,#488565,#488566,#488567),(#488568, #488569,#488570,#488571),(#488572,#488573,#488574,#488575),(#488576,#488577, #488578,#488579),(#488580,#488581,#488582,#488583),(#488584,#488585,#488586, #488587),(#488588,#488589,#488590,#488591),(#488592,#488593,#488594,#488595), (#488596,#488597,#488598,#488599),(#488600,#488601,#488602,#488603),(#488604, #488605,#488606,#488607),(#488608,#488609,#488610,#488611),(#488612,#488613, #488614,#488615),(#488616,#488617,#488618,#488619),(#488620,#488621,#488622, #488623),(#488624,#488625,#488626,#488627),(#488628,#488629,#488630,#488631), (#488632,#488633,#488634,#488635),(#488636,#488637,#488638,#488639),(#488640, #488641,#488642,#488643),(#488644,#488645,#488646,#488647),(#488648,#488649, #488650,#488651),(#488652,#488653,#488654,#488655),(#488656,#488657,#488658, #488659),(#488660,#488661,#488662,#488663),(#488664,#488665,#488666,#488667), (#488668,#488669,#488670,#488671),(#488672,#488673,#488674,#488675),(#488676, #488677,#488678,#488679),(#488680,#488681,#488682,#488683),(#488684,#488685, #488686,#488687),(#488688,#488689,#488690,#488691),(#488692,#488693,#488694, #488695),(#488696,#488697,#488698,#488699)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,4), (-0.01688569729089,0.,0.02083881288066,0.0625052463751,0.09375507149593, 0.1250048966168,0.1562547217376,0.1875045468584,0.2187543719792,0.2500041971001, 0.2812540222209,0.3125038473417,0.3437536724626,0.3750034975834,0.437503147825, 0.5000027980667,0.5312526231875,0.5625024483083,0.5937522734292,0.62500209855, 0.6875017487917,0.7500013990333,0.7812512241541,0.812501049275,0.8437508743958, 0.8750006995166,0.890625612077,0.9062505246374,0.9218754371979,0.9375003497583, 0.9687501748791,0.9895833916263,1.,1.004539870628),(-2.428627492515E-8, 1.000001238602),.UNSPECIFIED.); #3312=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#488882,#488883,#488884,#488885), (#488886,#488887,#488888,#488889),(#488890,#488891,#488892,#488893),(#488894, #488895,#488896,#488897),(#488898,#488899,#488900,#488901),(#488902,#488903, #488904,#488905),(#488906,#488907,#488908,#488909),(#488910,#488911,#488912, #488913),(#488914,#488915,#488916,#488917),(#488918,#488919,#488920,#488921), (#488922,#488923,#488924,#488925),(#488926,#488927,#488928,#488929),(#488930, #488931,#488932,#488933),(#488934,#488935,#488936,#488937),(#488938,#488939, #488940,#488941),(#488942,#488943,#488944,#488945),(#488946,#488947,#488948, #488949),(#488950,#488951,#488952,#488953),(#488954,#488955,#488956,#488957)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,4),(-0.008610478003951, 0.,0.03125382654609,0.06250369818598,0.09375356982585,0.1250034414657,0.1562533131056, 0.1875031847455,0.2500029280252,0.3125026713049,0.3750024145848,0.5000019011442, 0.6250013877038,0.7500008742632,0.8750003608228,1.,1.009708090317),(2.879586583765E-8, 0.9999985314623),.UNSPECIFIED.); #3313=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#489027,#489028,#489029,#489030), (#489031,#489032,#489033,#489034),(#489035,#489036,#489037,#489038),(#489039, #489040,#489041,#489042),(#489043,#489044,#489045,#489046),(#489047,#489048, #489049,#489050)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(-0.01999973224283, 0.,1.,1.020000002341),(-0.01085010614933,1.010851515597),.UNSPECIFIED.); #3314=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#489088,#489089,#489090,#489091), (#489092,#489093,#489094,#489095),(#489096,#489097,#489098,#489099),(#489100, #489101,#489102,#489103),(#489104,#489105,#489106,#489107),(#489108,#489109, #489110,#489111),(#489112,#489113,#489114,#489115),(#489116,#489117,#489118, #489119),(#489120,#489121,#489122,#489123),(#489124,#489125,#489126,#489127), (#489128,#489129,#489130,#489131),(#489132,#489133,#489134,#489135),(#489136, #489137,#489138,#489139),(#489140,#489141,#489142,#489143),(#489144,#489145, #489146,#489147),(#489148,#489149,#489150,#489151)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,4),(-0.009827448913372,0.,0.1250001656153, 0.2500001419559,0.5000000946374,0.6250000709782,0.6875000591484,0.7500000473189, 0.8125000354893,0.8750000236597,0.9375000118299,0.9687500059151,1.,1.003401343713), (1.427472012469E-8,1.000000779815),.UNSPECIFIED.); #3315=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#489639,#489640,#489641,#489642), (#489643,#489644,#489645,#489646),(#489647,#489648,#489649,#489650),(#489651, #489652,#489653,#489654),(#489655,#489656,#489657,#489658),(#489659,#489660, #489661,#489662),(#489663,#489664,#489665,#489666),(#489667,#489668,#489669, #489670),(#489671,#489672,#489673,#489674),(#489675,#489676,#489677,#489678), (#489679,#489680,#489681,#489682),(#489683,#489684,#489685,#489686),(#489687, #489688,#489689,#489690),(#489691,#489692,#489693,#489694),(#489695,#489696, #489697,#489698),(#489699,#489700,#489701,#489702)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,4),(-0.009827448913428,0.,0.125000165615, 0.2500001419557,0.5000000946373,0.6250000709781,0.6875000591484,0.7500000473188, 0.8125000354893,0.8750000236597,0.93750001183,0.9687500059152,1.,1.003401343713), (1.427432274465E-8,1.000000779815),.UNSPECIFIED.); #3316=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#489731,#489732,#489733,#489734), (#489735,#489736,#489737,#489738),(#489739,#489740,#489741,#489742),(#489743, #489744,#489745,#489746),(#489747,#489748,#489749,#489750),(#489751,#489752, #489753,#489754)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(-0.0200000001488, 0.,1.,1.020000007589),(-0.01085010669439,1.010850106693),.UNSPECIFIED.); #3317=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#489780,#489781,#489782,#489783), (#489784,#489785,#489786,#489787),(#489788,#489789,#489790,#489791),(#489792, #489793,#489794,#489795),(#489796,#489797,#489798,#489799),(#489800,#489801, #489802,#489803)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(-0.01999973268778, 0.,1.,1.020000002353),(-0.01085010614979,1.010851513258),.UNSPECIFIED.); #3318=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#489830,#489831,#489832,#489833), (#489834,#489835,#489836,#489837),(#489838,#489839,#489840,#489841),(#489842, #489843,#489844,#489845),(#489846,#489847,#489848,#489849),(#489850,#489851, #489852,#489853),(#489854,#489855,#489856,#489857),(#489858,#489859,#489860, #489861),(#489862,#489863,#489864,#489865),(#489866,#489867,#489868,#489869), (#489870,#489871,#489872,#489873),(#489874,#489875,#489876,#489877),(#489878, #489879,#489880,#489881),(#489882,#489883,#489884,#489885),(#489886,#489887, #489888,#489889),(#489890,#489891,#489892,#489893)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,4),(-0.009827477695164,0.,0.1250001656156, 0.2500001419562,0.5000000946376,0.6250000709784,0.6875000591486,0.750000047319, 0.8125000354894,0.8750000236598,0.93750001183,0.9687500059152,1.,1.003401343809), (5.155251427136E-9,1.000000779994),.UNSPECIFIED.); #3319=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#490151,#490152,#490153,#490154), (#490155,#490156,#490157,#490158),(#490159,#490160,#490161,#490162),(#490163, #490164,#490165,#490166),(#490167,#490168,#490169,#490170),(#490171,#490172, #490173,#490174)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(-0.0199997325514, 0.,1.,1.020000002357),(-0.01085010614704,1.010851513971),.UNSPECIFIED.); #3320=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#492477,#492478,#492479,#492480, #492481,#492482,#492483,#492484,#492485,#492486,#492487,#492488,#492489), (#492490,#492491,#492492,#492493,#492494,#492495,#492496,#492497,#492498, #492499,#492500,#492501,#492502),(#492503,#492504,#492505,#492506,#492507, #492508,#492509,#492510,#492511,#492512,#492513,#492514,#492515),(#492516, #492517,#492518,#492519,#492520,#492521,#492522,#492523,#492524,#492525, #492526,#492527,#492528)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1, 1,1,1,1,4),(0.,1.),(-0.753718252063852,-0.646044216054731,-0.538370180045609, -0.484533162041048,-0.430696144036487,-0.323022108027365,-0.269185090022804, -0.242266581020523,-0.215348072018243,-0.107674036009121,9.39992480277463E-16), .UNSPECIFIED.); #3321=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#492548,#492549,#492550,#492551, #492552,#492553,#492554,#492555,#492556,#492557,#492558,#492559,#492560, #492561),(#492562,#492563,#492564,#492565,#492566,#492567,#492568,#492569, #492570,#492571,#492572,#492573,#492574,#492575),(#492576,#492577,#492578, #492579,#492580,#492581,#492582,#492583,#492584,#492585,#492586,#492587, #492588,#492589),(#492590,#492591,#492592,#492593,#492594,#492595,#492596, #492597,#492598,#492599,#492600,#492601,#492602,#492603)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(-9.42224513361642E-16, 0.107674036009121,0.215348072018243,0.242266581020523,0.269185090022804, 0.323022108027365,0.430696144036487,0.484533162041047,0.524910925544468, 0.538370180045608,0.64604421605473,0.753718252063852),.UNSPECIFIED.); #3322=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#492649,#492650,#492651,#492652, #492653,#492654,#492655,#492656,#492657,#492658,#492659,#492660,#492661, #492662),(#492663,#492664,#492665,#492666,#492667,#492668,#492669,#492670, #492671,#492672,#492673,#492674,#492675,#492676),(#492677,#492678,#492679, #492680,#492681,#492682,#492683,#492684,#492685,#492686,#492687,#492688, #492689,#492690),(#492691,#492692,#492693,#492694,#492695,#492696,#492697, #492698,#492699,#492700,#492701,#492702,#492703,#492704)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,1,2,1,1,1,1,1,1,1,4),(0.,1.),(-0.753644316068368, -0.678279852287755,-0.565233156616834,-0.452186525293467,-0.395663209631783, -0.3391398939701,-0.282616578308416,-0.254354920477574,-0.226093262646733, -0.113046631323365,1.76247905159244E-15),.UNSPECIFIED.); #3323=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#492741,#492742,#492743,#492744, #492745,#492746,#492747,#492748,#492749,#492750,#492751,#492752,#492753, #492754,#492755,#492756),(#492757,#492758,#492759,#492760,#492761,#492762, #492763,#492764,#492765,#492766,#492767,#492768,#492769,#492770,#492771, #492772),(#492773,#492774,#492775,#492776,#492777,#492778,#492779,#492780, #492781,#492782,#492783,#492784,#492785,#492786,#492787,#492788),(#492789, #492790,#492791,#492792,#492793,#492794,#492795,#492796,#492797,#492798, #492799,#492800,#492801,#492802,#492803,#492804)),.UNSPECIFIED.,.F.,.F., .F.,(4,4),(4,2,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(8.7286385338222E-16,0.0942055797257666, 0.160149453360027,0.226093326994287,0.292037200628547,0.357981074262808, 0.390953011079938,0.423924947897068,0.489868821531328,0.555812695165588, 0.621756568799849,0.687700442434109,0.753644316068369),.UNSPECIFIED.); #3324=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#493368,#493369,#493370,#493371, #493372,#493373,#493374,#493375,#493376,#493377,#493378,#493379,#493380, #493381),(#493382,#493383,#493384,#493385,#493386,#493387,#493388,#493389, #493390,#493391,#493392,#493393,#493394,#493395),(#493396,#493397,#493398, #493399,#493400,#493401,#493402,#493403,#493404,#493405,#493406,#493407, #493408,#493409),(#493410,#493411,#493412,#493413,#493414,#493415,#493416, #493417,#493418,#493419,#493420,#493421,#493422,#493423)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(-0.753718252063854, -0.646044216054732,-0.59220719805017,-0.538370180045609,-0.430696144036487, -0.309562853526225,-0.296103599025085,-0.269185090022804,-0.242266581020524, -0.215348072018243,-0.107674036009121,7.39757063765003E-16), .UNSPECIFIED.); #3325=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#493444,#493445,#493446,#493447, #493448,#493449,#493450,#493451,#493452,#493453,#493454,#493455,#493456, #493457,#493458),(#493459,#493460,#493461,#493462,#493463,#493464,#493465, #493466,#493467,#493468,#493469,#493470,#493471,#493472,#493473),(#493474, #493475,#493476,#493477,#493478,#493479,#493480,#493481,#493482,#493483, #493484,#493485,#493486,#493487,#493488),(#493489,#493490,#493491,#493492, #493493,#493494,#493495,#493496,#493497,#493498,#493499,#493500,#493501, #493502,#493503)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,1,1,1,1,1,1,1,1, 1,4),(0.,1.),(-9.45693960313659E-16,0.0942148466754539,0.160165187214294, 0.226115527753134,0.292065868291973,0.358016208830813,0.423966549369653, 0.489916889908493,0.555867230447333,0.621817570986173,0.687767911525013, 0.753718252063852),.UNSPECIFIED.); #3326=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#493544,#493545,#493546,#493547, #493548,#493549,#493550,#493551,#493552,#493553,#493554,#493555,#493556, #493557,#493558,#493559),(#493560,#493561,#493562,#493563,#493564,#493565, #493566,#493567,#493568,#493569,#493570,#493571,#493572,#493573,#493574, #493575),(#493576,#493577,#493578,#493579,#493580,#493581,#493582,#493583, #493584,#493585,#493586,#493587,#493588,#493589,#493590,#493591),(#493592, #493593,#493594,#493595,#493596,#493597,#493598,#493599,#493600,#493601, #493602,#493603,#493604,#493605,#493606,#493607)),.UNSPECIFIED.,.F.,.F., .F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(-0.75364431606837,-0.645980842344317, -0.538317368620264,-0.504672533081497,-0.484485631758237,-0.43065389489621, -0.322990421172157,-0.289345585633391,-0.269158684310131,-0.242242815879118, -0.215326947448104,-0.148037276370571,-0.107663473724051,1.81315475125379E-15), .UNSPECIFIED.); #3327=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#493629,#493630,#493631,#493632, #493633,#493634,#493635,#493636,#493637,#493638,#493639,#493640,#493641), (#493642,#493643,#493644,#493645,#493646,#493647,#493648,#493649,#493650, #493651,#493652,#493653,#493654),(#493655,#493656,#493657,#493658,#493659, #493660,#493661,#493662,#493663,#493664,#493665,#493666,#493667),(#493668, #493669,#493670,#493671,#493672,#493673,#493674,#493675,#493676,#493677, #493678,#493679,#493680)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1, 1,1,1,1,4),(0.,1.),(1.76247905159243E-15,0.107663473724054,0.215326947448107, 0.269158684310133,0.322990421172159,0.430653894896212,0.484485631758238, 0.511401500189251,0.538317368620264,0.645980842344317,0.753644316068369), .UNSPECIFIED.); #3328=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#493690,#493691,#493692,#493693, #493694,#493695,#493696,#493697,#493698,#493699,#493700,#493701,#493702, #493703),(#493704,#493705,#493706,#493707,#493708,#493709,#493710,#493711, #493712,#493713,#493714,#493715,#493716,#493717),(#493718,#493719,#493720, #493721,#493722,#493723,#493724,#493725,#493726,#493727,#493728,#493729, #493730,#493731),(#493732,#493733,#493734,#493735,#493736,#493737,#493738, #493739,#493740,#493741,#493742,#493743,#493744,#493745)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(-0.753718252063852, -0.64604421605473,-0.59220719805017,-0.538370180045609,-0.430696144036487, -0.309562853526225,-0.296103599025085,-0.269185090022804,-0.242266581020524, -0.215348072018243,-0.107674036009122,2.7945637976506E-19), .UNSPECIFIED.); #3329=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#493766,#493767,#493768,#493769, #493770,#493771,#493772,#493773,#493774,#493775,#493776,#493777,#493778, #493779,#493780,#493781),(#493782,#493783,#493784,#493785,#493786,#493787, #493788,#493789,#493790,#493791,#493792,#493793,#493794,#493795,#493796, #493797),(#493798,#493799,#493800,#493801,#493802,#493803,#493804,#493805, #493806,#493807,#493808,#493809,#493810,#493811,#493812,#493813),(#493814, #493815,#493816,#493817,#493818,#493819,#493820,#493821,#493822,#493823, #493824,#493825,#493826,#493827,#493828,#493829)),.UNSPECIFIED.,.F.,.F., .F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(-6.9177680047851E-45, 0.107674036009122,0.215348072018243,0.248996208271094,0.269185090022804, 0.323022108027365,0.430696144036487,0.464344280289338,0.484533162041048, 0.511451671043328,0.538370180045609,0.60566645255131,0.646044216054731, 0.753718252063852),.UNSPECIFIED.); #3330=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#493871,#493872,#493873,#493874, #493875,#493876,#493877,#493878,#493879,#493880,#493881,#493882),(#493883, #493884,#493885,#493886,#493887,#493888,#493889,#493890,#493891,#493892, #493893,#493894),(#493895,#493896,#493897,#493898,#493899,#493900,#493901, #493902,#493903,#493904,#493905,#493906),(#493907,#493908,#493909,#493910, #493911,#493912,#493913,#493914,#493915,#493916,#493917,#493918)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,1,1,1,1,2,4),(0.,1.),(-0.753644316068369, -0.659438736342602,-0.546392105019235,-0.489868789357551,-0.320298842372501, -0.207252211049134,-0.0942055797257666,8.47448333532326E-16), .UNSPECIFIED.); #3331=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#493936,#493937,#493938,#493939, #493940,#493941,#493942,#493943,#493944,#493945,#493946,#493947,#493948), (#493949,#493950,#493951,#493952,#493953,#493954,#493955,#493956,#493957, #493958,#493959,#493960,#493961),(#493962,#493963,#493964,#493965,#493966, #493967,#493968,#493969,#493970,#493971,#493972,#493973,#493974),(#493975, #493976,#493977,#493978,#493979,#493980,#493981,#493982,#493983,#493984, #493985,#493986,#493987)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1, 1,1,1,1,4),(0.,1.),(-1.43677851009475E-18,0.107663473724053,0.215326947448105, 0.269158684310132,0.322990421172158,0.430653894896211,0.484485631758237, 0.51140150018925,0.538317368620264,0.645980842344317,0.753644316068369), .UNSPECIFIED.); #3332=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#493997,#493998,#493999,#494000, #494001,#494002,#494003,#494004,#494005,#494006,#494007,#494008,#494009), (#494010,#494011,#494012,#494013,#494014,#494015,#494016,#494017,#494018, #494019,#494020,#494021,#494022),(#494023,#494024,#494025,#494026,#494027, #494028,#494029,#494030,#494031,#494032,#494033,#494034,#494035),(#494036, #494037,#494038,#494039,#494040,#494041,#494042,#494043,#494044,#494045, #494046,#494047,#494048)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1, 1,1,1,1,4),(0.,1.),(-0.753718252063852,-0.64604421605473,-0.538370180045609, -0.484533162041048,-0.430696144036487,-0.323022108027365,-0.269185090022804, -0.242266581020523,-0.215348072018243,-0.107674036009121,7.39757063764406E-16), .UNSPECIFIED.); #3333=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#494068,#494069,#494070,#494071, #494072,#494073,#494074,#494075,#494076,#494077,#494078,#494079,#494080, #494081),(#494082,#494083,#494084,#494085,#494086,#494087,#494088,#494089, #494090,#494091,#494092,#494093,#494094,#494095),(#494096,#494097,#494098, #494099,#494100,#494101,#494102,#494103,#494104,#494105,#494106,#494107, #494108,#494109),(#494110,#494111,#494112,#494113,#494114,#494115,#494116, #494117,#494118,#494119,#494120,#494121,#494122,#494123)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,2,1,4),(0.,1.),(-8.6671334434807E-17, 0.113057711742589,0.226115423485178,0.254379851420825,0.282644279356472, 0.339173135227766,0.395701991099061,0.452230846970355,0.565288558712944, 0.659503405388398,0.753718252063852),.UNSPECIFIED.); #3334=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#494163,#494164,#494165,#494166, #494167,#494168,#494169,#494170,#494171,#494172,#494173,#494174,#494175, #494176),(#494177,#494178,#494179,#494180,#494181,#494182,#494183,#494184, #494185,#494186,#494187,#494188,#494189,#494190),(#494191,#494192,#494193, #494194,#494195,#494196,#494197,#494198,#494199,#494200,#494201,#494202, #494203,#494204),(#494205,#494206,#494207,#494208,#494209,#494210,#494211, #494212,#494213,#494214,#494215,#494216,#494217,#494218)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,2,1,1,1,1,1,1,1,1,4),(0.,1.),(-0.753644316068369, -0.659438736342601,-0.593494862708341,-0.52755098907408,-0.46160711543982, -0.39566324180556,-0.329719368171299,-0.263775494537039,-0.131887747268518, -0.0659438736342578,2.64109001901538E-15),.UNSPECIFIED.); #3335=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#494238,#494239,#494240,#494241, #494242,#494243,#494244,#494245,#494246,#494247,#494248,#494249,#494250), (#494251,#494252,#494253,#494254,#494255,#494256,#494257,#494258,#494259, #494260,#494261,#494262,#494263),(#494264,#494265,#494266,#494267,#494268, #494269,#494270,#494271,#494272,#494273,#494274,#494275,#494276),(#494277, #494278,#494279,#494280,#494281,#494282,#494283,#494284,#494285,#494286, #494287,#494288,#494289)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1, 1,1,1,1,4),(0.,1.),(1.76247905159244E-15,0.107663473724054,0.215326947448106, 0.269158684310133,0.322990421172159,0.430653894896211,0.484485631758237, 0.51140150018925,0.538317368620264,0.645980842344316,0.753644316068368), .UNSPECIFIED.); #3336=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#494299,#494300,#494301,#494302, #494303,#494304,#494305,#494306,#494307,#494308,#494309,#494310,#494311, #494312),(#494313,#494314,#494315,#494316,#494317,#494318,#494319,#494320, #494321,#494322,#494323,#494324,#494325,#494326),(#494327,#494328,#494329, #494330,#494331,#494332,#494333,#494334,#494335,#494336,#494337,#494338, #494339,#494340),(#494341,#494342,#494343,#494344,#494345,#494346,#494347, #494348,#494349,#494350,#494351,#494352,#494353,#494354)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(-0.753718252063852, -0.646044216054731,-0.538370180045609,-0.511451671043328,-0.484533162041048, -0.457614653038768,-0.444155398537627,-0.323022108027365,-0.215348072018244, -0.161511054013683,-0.107674036009122,4.52403380645698E-32), .UNSPECIFIED.); #3337=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#494375,#494376,#494377,#494378, #494379,#494380,#494381,#494382,#494383,#494384,#494385,#494386,#494387, #494388),(#494389,#494390,#494391,#494392,#494393,#494394,#494395,#494396, #494397,#494398,#494399,#494400,#494401,#494402),(#494403,#494404,#494405, #494406,#494407,#494408,#494409,#494410,#494411,#494412,#494413,#494414, #494415,#494416),(#494417,#494418,#494419,#494420,#494421,#494422,#494423, #494424,#494425,#494426,#494427,#494428,#494429,#494430)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(-9.42224513361641E-16, 0.107674036009121,0.215348072018243,0.242266581020523,0.269185090022804, 0.323022108027365,0.430696144036486,0.484533162041047,0.524910925544468, 0.538370180045608,0.64604421605473,0.753718252063852),.UNSPECIFIED.); #3338=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#494473,#494474,#494475,#494476, #494477,#494478,#494479,#494480,#494481,#494482,#494483,#494484,#494485, #494486,#494487),(#494488,#494489,#494490,#494491,#494492,#494493,#494494, #494495,#494496,#494497,#494498,#494499,#494500,#494501,#494502),(#494503, #494504,#494505,#494506,#494507,#494508,#494509,#494510,#494511,#494512, #494513,#494514,#494515,#494516,#494517),(#494518,#494519,#494520,#494521, #494522,#494523,#494524,#494525,#494526,#494527,#494528,#494529,#494530, #494531,#494532)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,2,1,1,1,1,1,1,1, 1,4),(0.,1.),(-0.753644316068368,-0.659438736342601,-0.565233156616834, -0.452186525293467,-0.395663209631783,-0.3391398939701,-0.282616578308416, -0.254354920477574,-0.240224091562153,-0.226093262646732,-0.113046631323365, 2.56455277009693E-15),.UNSPECIFIED.); #3339=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#494553,#494554,#494555,#494556, #494557,#494558,#494559,#494560,#494561,#494562,#494563,#494564,#494565, #494566,#494567,#494568),(#494569,#494570,#494571,#494572,#494573,#494574, #494575,#494576,#494577,#494578,#494579,#494580,#494581,#494582,#494583, #494584),(#494585,#494586,#494587,#494588,#494589,#494590,#494591,#494592, #494593,#494594,#494595,#494596,#494597,#494598,#494599,#494600),(#494601, #494602,#494603,#494604,#494605,#494606,#494607,#494608,#494609,#494610, #494611,#494612,#494613,#494614,#494615,#494616)),.UNSPECIFIED.,.F.,.F., .F.,(4,4),(4,2,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(8.43311574220752E-16,0.0942055797257666, 0.160149453360027,0.226093326994287,0.292037200628547,0.357981074262808, 0.390953011079938,0.423924947897068,0.489868821531328,0.555812695165589, 0.621756568799849,0.687700442434109,0.753644316068369),.UNSPECIFIED.); #3340=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#494626,#494627,#494628,#494629, #494630,#494631,#494632,#494633,#494634,#494635,#494636,#494637,#494638, #494639),(#494640,#494641,#494642,#494643,#494644,#494645,#494646,#494647, #494648,#494649,#494650,#494651,#494652,#494653),(#494654,#494655,#494656, #494657,#494658,#494659,#494660,#494661,#494662,#494663,#494664,#494665, #494666,#494667),(#494668,#494669,#494670,#494671,#494672,#494673,#494674, #494675,#494676,#494677,#494678,#494679,#494680,#494681)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(-0.753718252063854, -0.646044216054732,-0.592207198050171,-0.53837018004561,-0.430696144036488, -0.309562853526225,-0.296103599025085,-0.269185090022804,-0.242266581020524, -0.215348072018243,-0.107674036009121,9.92671461928697E-16), .UNSPECIFIED.); #3341=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#494702,#494703,#494704,#494705, #494706,#494707,#494708,#494709,#494710,#494711,#494712,#494713,#494714, #494715),(#494716,#494717,#494718,#494719,#494720,#494721,#494722,#494723, #494724,#494725,#494726,#494727,#494728,#494729),(#494730,#494731,#494732, #494733,#494734,#494735,#494736,#494737,#494738,#494739,#494740,#494741, #494742,#494743),(#494744,#494745,#494746,#494747,#494748,#494749,#494750, #494751,#494752,#494753,#494754,#494755,#494756,#494757)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(-9.4122721514474E-16, 0.107674036009121,0.215348072018243,0.228807326519383,0.242266581020523, 0.269185090022804,0.296103599025084,0.430696144036487,0.538370180045609, 0.59220719805017,0.64604421605473,0.753718252063852),.UNSPECIFIED.); #3342=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#494797,#494798,#494799,#494800, #494801,#494802,#494803,#494804,#494805,#494806,#494807,#494808,#494809, #494810,#494811),(#494812,#494813,#494814,#494815,#494816,#494817,#494818, #494819,#494820,#494821,#494822,#494823,#494824,#494825,#494826),(#494827, #494828,#494829,#494830,#494831,#494832,#494833,#494834,#494835,#494836, #494837,#494838,#494839,#494840,#494841),(#494842,#494843,#494844,#494845, #494846,#494847,#494848,#494849,#494850,#494851,#494852,#494853,#494854, #494855,#494856)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,2,1,1,1,1,1,2,4), (0.,1.),(-0.75364431606837,-0.659438736342602,-0.565233156616835,-0.447476262394068, -0.329719368171301,-0.270840921059917,-0.211962473948534,-0.15308402683715, -0.094205579725767,1.80827672160342E-15),.UNSPECIFIED.); #3343=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#494877,#494878,#494879,#494880, #494881,#494882,#494883,#494884,#494885,#494886,#494887,#494888,#494889), (#494890,#494891,#494892,#494893,#494894,#494895,#494896,#494897,#494898, #494899,#494900,#494901,#494902),(#494903,#494904,#494905,#494906,#494907, #494908,#494909,#494910,#494911,#494912,#494913,#494914,#494915),(#494916, #494917,#494918,#494919,#494920,#494921,#494922,#494923,#494924,#494925, #494926,#494927,#494928)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1, 1,1,1,1,4),(0.,1.),(1.76247905159244E-15,0.107663473724054,0.215326947448107, 0.269158684310133,0.322990421172159,0.430653894896211,0.484485631758238, 0.511401500189251,0.538317368620264,0.645980842344316,0.753644316068369), .UNSPECIFIED.); #3344=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#494938,#494939,#494940,#494941, #494942,#494943,#494944,#494945,#494946,#494947,#494948,#494949,#494950), (#494951,#494952,#494953,#494954,#494955,#494956,#494957,#494958,#494959, #494960,#494961,#494962,#494963),(#494964,#494965,#494966,#494967,#494968, #494969,#494970,#494971,#494972,#494973,#494974,#494975,#494976),(#494977, #494978,#494979,#494980,#494981,#494982,#494983,#494984,#494985,#494986, #494987,#494988,#494989)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1, 1,1,1,1,4),(0.,1.),(-0.753718252063854,-0.646044216054732,-0.53837018004561, -0.484533162041048,-0.430696144036487,-0.323022108027365,-0.269185090022804, -0.242266581020524,-0.215348072018243,-0.107674036009121,9.42224513361644E-16), .UNSPECIFIED.); #3345=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#495009,#495010,#495011,#495012, #495013,#495014,#495015,#495016,#495017,#495018,#495019,#495020,#495021, #495022,#495023,#495024),(#495025,#495026,#495027,#495028,#495029,#495030, #495031,#495032,#495033,#495034,#495035,#495036,#495037,#495038,#495039, #495040),(#495041,#495042,#495043,#495044,#495045,#495046,#495047,#495048, #495049,#495050,#495051,#495052,#495053,#495054,#495055,#495056),(#495057, #495058,#495059,#495060,#495061,#495062,#495063,#495064,#495065,#495066, #495067,#495068,#495069,#495070,#495071,#495072)),.UNSPECIFIED.,.F.,.F., .F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(-3.16168801885136E-18, 0.107674036009122,0.215348072018244,0.248996208271094,0.269185090022805, 0.323022108027366,0.430696144036487,0.464344280289338,0.484533162041048, 0.511451671043329,0.538370180045609,0.60566645255131,0.646044216054731, 0.753718252063853),.UNSPECIFIED.); #3346=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#495115,#495116,#495117,#495118, #495119,#495120,#495121,#495122,#495123,#495124,#495125,#495126,#495127, #495128,#495129),(#495130,#495131,#495132,#495133,#495134,#495135,#495136, #495137,#495138,#495139,#495140,#495141,#495142,#495143,#495144),(#495145, #495146,#495147,#495148,#495149,#495150,#495151,#495152,#495153,#495154, #495155,#495156,#495157,#495158,#495159),(#495160,#495161,#495162,#495163, #495164,#495165,#495166,#495167,#495168,#495169,#495170,#495171,#495172, #495173,#495174)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,2,1,1,1,1,1,1,1, 1,4),(0.,1.),(-0.753644316068366,-0.6594387363426,-0.565233156616834,-0.452186525293467, -0.395663209631783,-0.3391398939701,-0.282616578308416,-0.254354920477574, -0.240224091562153,-0.226093262646732,-0.113046631323365,1.84352976573044E-15), .UNSPECIFIED.); #3347=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#495195,#495196,#495197,#495198, #495199,#495200,#495201,#495202,#495203,#495204,#495205,#495206,#495207, #495208),(#495209,#495210,#495211,#495212,#495213,#495214,#495215,#495216, #495217,#495218,#495219,#495220,#495221,#495222),(#495223,#495224,#495225, #495226,#495227,#495228,#495229,#495230,#495231,#495232,#495233,#495234, #495235,#495236),(#495237,#495238,#495239,#495240,#495241,#495242,#495243, #495244,#495245,#495246,#495247,#495248,#495249,#495250)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(-1.76921497258808E-18, 0.107663473724053,0.161495210586079,0.215326947448105,0.322990421172158, 0.457569763327224,0.484485631758237,0.51140150018925,0.524859434404757, 0.538317368620263,0.645980842344316,0.753644316068369),.UNSPECIFIED.); #3348=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#495260,#495261,#495262,#495263, #495264,#495265,#495266,#495267,#495268,#495269,#495270,#495271,#495272, #495273,#495274),(#495275,#495276,#495277,#495278,#495279,#495280,#495281, #495282,#495283,#495284,#495285,#495286,#495287,#495288,#495289),(#495290, #495291,#495292,#495293,#495294,#495295,#495296,#495297,#495298,#495299, #495300,#495301,#495302,#495303,#495304),(#495305,#495306,#495307,#495308, #495309,#495310,#495311,#495312,#495313,#495314,#495315,#495316,#495317, #495318,#495319)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,1,1,1,1,1,1,1,1, 1,4),(0.,1.),(-0.753718252063852,-0.659503405388398,-0.593553064849558, -0.527602724310718,-0.461652383771879,-0.395702043233039,-0.329751702694199, -0.263801362155359,-0.197851021616519,-0.131900681077679,-0.0659503405388397, 8.90228586609956E-17),.UNSPECIFIED.); #3349=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#495341,#495342,#495343,#495344, #495345,#495346,#495347,#495348,#495349,#495350,#495351,#495352,#495353, #495354),(#495355,#495356,#495357,#495358,#495359,#495360,#495361,#495362, #495363,#495364,#495365,#495366,#495367,#495368),(#495369,#495370,#495371, #495372,#495373,#495374,#495375,#495376,#495377,#495378,#495379,#495380, #495381,#495382),(#495383,#495384,#495385,#495386,#495387,#495388,#495389, #495390,#495391,#495392,#495393,#495394,#495395,#495396)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,1,2,1,1,1,1,1,1,1,4),(0.,1.),(-8.66713344348107E-17, 0.075371877340363,0.188429693350908,0.301487405093497,0.358016260964791, 0.414545116836085,0.47107397270738,0.499338400643027,0.527602828578674, 0.640660540321263,0.753718252063852),.UNSPECIFIED.); #3350=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#495438,#495439,#495440,#495441, #495442,#495443,#495444,#495445,#495446,#495447,#495448,#495449,#495450, #495451),(#495452,#495453,#495454,#495455,#495456,#495457,#495458,#495459, #495460,#495461,#495462,#495463,#495464,#495465),(#495466,#495467,#495468, #495469,#495470,#495471,#495472,#495473,#495474,#495475,#495476,#495477, #495478,#495479),(#495480,#495481,#495482,#495483,#495484,#495485,#495486, #495487,#495488,#495489,#495490,#495491,#495492,#495493)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,2,1,1,1,1,1,1,1,1,4),(0.,1.),(-0.753644316068369, -0.659438736342601,-0.593494862708341,-0.527550989074081,-0.461607115439821, -0.39566324180556,-0.3297193681713,-0.26377549453704,-0.131887747268519, -0.0659438736342593,9.64175146780574E-16),.UNSPECIFIED.); #3351=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#495513,#495514,#495515,#495516, #495517,#495518,#495519,#495520,#495521,#495522,#495523,#495524,#495525, #495526,#495527),(#495528,#495529,#495530,#495531,#495532,#495533,#495534, #495535,#495536,#495537,#495538,#495539,#495540,#495541,#495542),(#495543, #495544,#495545,#495546,#495547,#495548,#495549,#495550,#495551,#495552, #495553,#495554,#495555,#495556,#495557),(#495558,#495559,#495560,#495561, #495562,#495563,#495564,#495565,#495566,#495567,#495568,#495569,#495570, #495571,#495572)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,2,1,1,1,1,1,1,1, 1,4),(0.,1.),(1.76247905159244E-15,0.0942055797257675,0.188411159451533, 0.3014577907749,0.357981106436584,0.414504422098267,0.471027737759951,0.499289395590793, 0.513420224506213,0.527551053421634,0.640597684745001,0.753644316068368), .UNSPECIFIED.); #3352=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#495582,#495583,#495584,#495585, #495586,#495587,#495588,#495589,#495590,#495591,#495592,#495593,#495594, #495595,#495596),(#495597,#495598,#495599,#495600,#495601,#495602,#495603, #495604,#495605,#495606,#495607,#495608,#495609,#495610,#495611),(#495612, #495613,#495614,#495615,#495616,#495617,#495618,#495619,#495620,#495621, #495622,#495623,#495624,#495625,#495626),(#495627,#495628,#495629,#495630, #495631,#495632,#495633,#495634,#495635,#495636,#495637,#495638,#495639, #495640,#495641)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,1,1,1,1,1,1,2,1, 4),(0.,1.),(-0.753718252063854,-0.659503405388398,-0.5652886629809,-0.518181291777151, -0.471073920573402,-0.423966549369653,-0.329751806962155,-0.282644435758406, -0.188429693350908,-0.113057816010545,8.55553178926869E-16), .UNSPECIFIED.); #3353=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#495663,#495664,#495665,#495666, #495667,#495668,#495669,#495670,#495671,#495672,#495673,#495674,#495675, #495676,#495677,#495678),(#495679,#495680,#495681,#495682,#495683,#495684, #495685,#495686,#495687,#495688,#495689,#495690,#495691,#495692,#495693, #495694),(#495695,#495696,#495697,#495698,#495699,#495700,#495701,#495702, #495703,#495704,#495705,#495706,#495707,#495708,#495709,#495710),(#495711, #495712,#495713,#495714,#495715,#495716,#495717,#495718,#495719,#495720, #495721,#495722,#495723,#495724,#495725,#495726)),.UNSPECIFIED.,.F.,.F., .F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(-6.11298813144299E-30, 0.107674036009122,0.215348072018244,0.248996208271094,0.269185090022804, 0.323022108027365,0.430696144036487,0.464344280289338,0.484533162041048, 0.511451671043329,0.538370180045609,0.60566645255131,0.646044216054731, 0.753718252063853),.UNSPECIFIED.); #3354=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#495769,#495770,#495771,#495772, #495773,#495774,#495775,#495776,#495777,#495778,#495779,#495780,#495781, #495782),(#495783,#495784,#495785,#495786,#495787,#495788,#495789,#495790, #495791,#495792,#495793,#495794,#495795,#495796),(#495797,#495798,#495799, #495800,#495801,#495802,#495803,#495804,#495805,#495806,#495807,#495808, #495809,#495810),(#495811,#495812,#495813,#495814,#495815,#495816,#495817, #495818,#495819,#495820,#495821,#495822,#495823,#495824)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,2,1,4),(0.,1.),(-0.75364431606837,-0.640597684745003, -0.527551053421636,-0.499289395590794,-0.471027737759952,-0.414504422098268, -0.357981106436585,-0.301457790774901,-0.188411159451534,-0.0753644637806117, 3.24216126281558E-15),.UNSPECIFIED.); #3355=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#495844,#495845,#495846,#495847, #495848,#495849,#495850,#495851,#495852,#495853,#495854,#495855,#495856, #495857),(#495858,#495859,#495860,#495861,#495862,#495863,#495864,#495865, #495866,#495867,#495868,#495869,#495870,#495871),(#495872,#495873,#495874, #495875,#495876,#495877,#495878,#495879,#495880,#495881,#495882,#495883, #495884,#495885),(#495886,#495887,#495888,#495889,#495890,#495891,#495892, #495893,#495894,#495895,#495896,#495897,#495898,#495899)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,2,1,1,1,1,1,1,1,1,4),(0.,1.),(8.42354134932531E-16, 0.0942055797257666,0.160149453360027,0.226093326994287,0.292037200628547, 0.357981074262808,0.423924947897068,0.489868821531328,0.621756568799849, 0.687700442434109,0.753644316068369),.UNSPECIFIED.); #3356=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#495909,#495910,#495911,#495912, #495913,#495914,#495915,#495916,#495917,#495918,#495919,#495920,#495921), (#495922,#495923,#495924,#495925,#495926,#495927,#495928,#495929,#495930, #495931,#495932,#495933,#495934),(#495935,#495936,#495937,#495938,#495939, #495940,#495941,#495942,#495943,#495944,#495945,#495946,#495947),(#495948, #495949,#495950,#495951,#495952,#495953,#495954,#495955,#495956,#495957, #495958,#495959,#495960)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1, 1,1,1,1,4),(0.,1.),(-0.753718252063852,-0.646044216054731,-0.538370180045609, -0.484533162041048,-0.430696144036487,-0.323022108027365,-0.269185090022804, -0.242266581020524,-0.215348072018243,-0.107674036009122,8.90228586610146E-17), .UNSPECIFIED.); #3357=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#495980,#495981,#495982,#495983, #495984,#495985,#495986,#495987,#495988,#495989,#495990,#495991,#495992, #495993,#495994,#495995),(#495996,#495997,#495998,#495999,#496000,#496001, #496002,#496003,#496004,#496005,#496006,#496007,#496008,#496009,#496010, #496011),(#496012,#496013,#496014,#496015,#496016,#496017,#496018,#496019, #496020,#496021,#496022,#496023,#496024,#496025,#496026,#496027),(#496028, #496029,#496030,#496031,#496032,#496033,#496034,#496035,#496036,#496037, #496038,#496039,#496040,#496041,#496042,#496043)),.UNSPECIFIED.,.F.,.F., .F.,(4,4),(4,2,1,1,1,1,1,1,1,2,1,4),(0.,1.),(-9.42224513361682E-16,0.0942148466754539, 0.188429589082952,0.235536960286701,0.28264433149045,0.329751702694199, 0.353305388296073,0.376859073897948,0.471073816305446,0.565288558712944, 0.640660436053307,0.753718252063852),.UNSPECIFIED.); #3358=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#496085,#496086,#496087,#496088, #496089,#496090,#496091,#496092,#496093,#496094,#496095,#496096,#496097, #496098,#496099),(#496100,#496101,#496102,#496103,#496104,#496105,#496106, #496107,#496108,#496109,#496110,#496111,#496112,#496113,#496114),(#496115, #496116,#496117,#496118,#496119,#496120,#496121,#496122,#496123,#496124, #496125,#496126,#496127,#496128,#496129),(#496130,#496131,#496132,#496133, #496134,#496135,#496136,#496137,#496138,#496139,#496140,#496141,#496142, #496143,#496144)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,2,1,1,1,2, 4),(0.,1.),(-0.753644316068369,-0.682990151382654,-0.640597652571225,-0.527550989074081, -0.499289323199795,-0.471027657325509,-0.320298826285612,-0.244934410765664, -0.169569995245715,-0.094205579725767,2.5321805907428E-15), .UNSPECIFIED.); #3359=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#496165,#496166,#496167,#496168, #496169,#496170,#496171,#496172,#496173,#496174,#496175,#496176,#496177), (#496178,#496179,#496180,#496181,#496182,#496183,#496184,#496185,#496186, #496187,#496188,#496189,#496190),(#496191,#496192,#496193,#496194,#496195, #496196,#496197,#496198,#496199,#496200,#496201,#496202,#496203),(#496204, #496205,#496206,#496207,#496208,#496209,#496210,#496211,#496212,#496213, #496214,#496215,#496216)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1, 1,1,1,1,4),(0.,1.),(-7.93640295628326E-17,0.107663473724053,0.215326947448105, 0.269158684310132,0.322990421172158,0.430653894896211,0.484485631758237, 0.51140150018925,0.538317368620263,0.645980842344316,0.753644316068368), .UNSPECIFIED.); #3360=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#496226,#496227,#496228,#496229, #496230,#496231,#496232,#496233,#496234,#496235,#496236,#496237,#496238, #496239),(#496240,#496241,#496242,#496243,#496244,#496245,#496246,#496247, #496248,#496249,#496250,#496251,#496252,#496253),(#496254,#496255,#496256, #496257,#496258,#496259,#496260,#496261,#496262,#496263,#496264,#496265, #496266,#496267),(#496268,#496269,#496270,#496271,#496272,#496273,#496274, #496275,#496276,#496277,#496278,#496279,#496280,#496281)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(-0.753718252063852, -0.64604421605473,-0.592207198050169,-0.538370180045608,-0.430696144036486, -0.309562853526224,-0.296103599025084,-0.269185090022804,-0.242266581020523, -0.215348072018243,-0.107674036009121,9.42224513361644E-16), .UNSPECIFIED.); #3361=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#496302,#496303,#496304,#496305, #496306,#496307,#496308,#496309,#496310,#496311,#496312,#496313,#496314, #496315,#496316),(#496317,#496318,#496319,#496320,#496321,#496322,#496323, #496324,#496325,#496326,#496327,#496328,#496329,#496330,#496331),(#496332, #496333,#496334,#496335,#496336,#496337,#496338,#496339,#496340,#496341, #496342,#496343,#496344,#496345,#496346),(#496347,#496348,#496349,#496350, #496351,#496352,#496353,#496354,#496355,#496356,#496357,#496358,#496359, #496360,#496361)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1, 1,1,4),(0.,1.),(-8.69875032366961E-17,0.107674036009122,0.161511054013682, 0.215348072018243,0.323022108027365,0.457614653038767,0.471073907539907, 0.484533162041048,0.511451671043328,0.524910925544468,0.538370180045608, 0.64604421605473,0.753718252063852),.UNSPECIFIED.); #3362=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#496405,#496406,#496407,#496408, #496409,#496410,#496411,#496412,#496413,#496414,#496415,#496416,#496417), (#496418,#496419,#496420,#496421,#496422,#496423,#496424,#496425,#496426, #496427,#496428,#496429,#496430),(#496431,#496432,#496433,#496434,#496435, #496436,#496437,#496438,#496439,#496440,#496441,#496442,#496443),(#496444, #496445,#496446,#496447,#496448,#496449,#496450,#496451,#496452,#496453, #496454,#496455,#496456)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,2, 1,1,1,4),(0.,1.),(-0.753644316068369,-0.659438784603267,-0.565233253138165, -0.518130487405614,-0.471027721673063,-0.282616658742859,-0.226093326994287, -0.169569995245715,-0.113046663497143,9.72826288461632E-16), .UNSPECIFIED.); #3363=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#496475,#496476,#496477,#496478, #496479,#496480,#496481,#496482,#496483,#496484,#496485,#496486,#496487, #496488,#496489,#496490),(#496491,#496492,#496493,#496494,#496495,#496496, #496497,#496498,#496499,#496500,#496501,#496502,#496503,#496504,#496505, #496506),(#496507,#496508,#496509,#496510,#496511,#496512,#496513,#496514, #496515,#496516,#496517,#496518,#496519,#496520,#496521,#496522),(#496523, #496524,#496525,#496526,#496527,#496528,#496529,#496530,#496531,#496532, #496533,#496534,#496535,#496536,#496537,#496538)),.UNSPECIFIED.,.F.,.F., .F.,(4,4),(4,2,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(8.44082395692724E-16,0.0942055797257666, 0.160149453360027,0.226093326994287,0.292037200628547,0.357981074262808, 0.390953011079938,0.423924947897068,0.489868821531328,0.555812695165589, 0.621756568799849,0.687700442434109,0.753644316068369),.UNSPECIFIED.); #3364=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#496548,#496549,#496550,#496551, #496552,#496553,#496554,#496555,#496556,#496557,#496558,#496559,#496560), (#496561,#496562,#496563,#496564,#496565,#496566,#496567,#496568,#496569, #496570,#496571,#496572,#496573),(#496574,#496575,#496576,#496577,#496578, #496579,#496580,#496581,#496582,#496583,#496584,#496585,#496586),(#496587, #496588,#496589,#496590,#496591,#496592,#496593,#496594,#496595,#496596, #496597,#496598,#496599)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1, 1,1,1,1,4),(0.,1.),(-0.753718252063852,-0.64604421605473,-0.538370180045609, -0.484533162041048,-0.430696144036487,-0.323022108027365,-0.269185090022804, -0.242266581020523,-0.215348072018243,-0.107674036009121,7.39757063764407E-16), .UNSPECIFIED.); #3365=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#496619,#496620,#496621,#496622, #496623,#496624,#496625,#496626,#496627,#496628,#496629,#496630,#496631, #496632,#496633),(#496634,#496635,#496636,#496637,#496638,#496639,#496640, #496641,#496642,#496643,#496644,#496645,#496646,#496647,#496648),(#496649, #496650,#496651,#496652,#496653,#496654,#496655,#496656,#496657,#496658, #496659,#496660,#496661,#496662,#496663),(#496664,#496665,#496666,#496667, #496668,#496669,#496670,#496671,#496672,#496673,#496674,#496675,#496676, #496677,#496678)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1, 1,1,4),(0.,1.),(-8.66713344348105E-17,0.107674036009122,0.161511054013682, 0.215348072018243,0.323022108027365,0.457614653038767,0.471073907539907, 0.484533162041048,0.511451671043328,0.524910925544468,0.538370180045608, 0.64604421605473,0.753718252063852),.UNSPECIFIED.); #3366=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#496721,#496722,#496723,#496724, #496725,#496726,#496727,#496728,#496729,#496730,#496731,#496732,#496733, #496734,#496735),(#496736,#496737,#496738,#496739,#496740,#496741,#496742, #496743,#496744,#496745,#496746,#496747,#496748,#496749,#496750),(#496751, #496752,#496753,#496754,#496755,#496756,#496757,#496758,#496759,#496760, #496761,#496762,#496763,#496764,#496765),(#496766,#496767,#496768,#496769, #496770,#496771,#496772,#496773,#496774,#496775,#496776,#496777,#496778, #496779,#496780)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1, 1,1,4),(0.,1.),(-0.753644316068369,-0.645980842344317,-0.59214910548229, -0.538317368620264,-0.430653894896211,-0.309532486956651,-0.296074552741145, -0.269158684310131,-0.242242815879118,-0.228784881663611,-0.215326947448105, -0.107663473724052,9.64175146780574E-16),.UNSPECIFIED.); #3367=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#496801,#496802,#496803,#496804, #496805,#496806,#496807,#496808,#496809,#496810,#496811,#496812,#496813, #496814,#496815),(#496816,#496817,#496818,#496819,#496820,#496821,#496822, #496823,#496824,#496825,#496826,#496827,#496828,#496829,#496830),(#496831, #496832,#496833,#496834,#496835,#496836,#496837,#496838,#496839,#496840, #496841,#496842,#496843,#496844,#496845),(#496846,#496847,#496848,#496849, #496850,#496851,#496852,#496853,#496854,#496855,#496856,#496857,#496858, #496859,#496860)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,2,1,1,1,1,1,1,1, 1,4),(0.,1.),(1.76247905159244E-15,0.0942055797257675,0.188411159451533, 0.3014577907749,0.357981106436584,0.414504422098267,0.471027737759951,0.499289395590793, 0.513420224506213,0.527551053421634,0.640597684745001,0.753644316068368), .UNSPECIFIED.); #3368=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#496870,#496871,#496872,#496873, #496874,#496875,#496876,#496877,#496878,#496879,#496880,#496881,#496882, #496883,#496884,#496885),(#496886,#496887,#496888,#496889,#496890,#496891, #496892,#496893,#496894,#496895,#496896,#496897,#496898,#496899,#496900, #496901),(#496902,#496903,#496904,#496905,#496906,#496907,#496908,#496909, #496910,#496911,#496912,#496913,#496914,#496915,#496916,#496917),(#496918, #496919,#496920,#496921,#496922,#496923,#496924,#496925,#496926,#496927, #496928,#496929,#496930,#496931,#496932,#496933)),.UNSPECIFIED.,.F.,.F., .F.,(4,4),(4,2,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(-0.753718252063854,-0.659503405388398, -0.593553064849558,-0.527602724310719,-0.461652383771879,-0.395702043233039, -0.362726872963619,-0.329751702694199,-0.263801362155359,-0.197851021616519, -0.131900681077679,-0.065950340538839,8.55553178926864E-16), .UNSPECIFIED.); #3369=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#496956,#496957,#496958,#496959, #496960,#496961,#496962,#496963,#496964,#496965,#496966,#496967,#496968, #496969,#496970),(#496971,#496972,#496973,#496974,#496975,#496976,#496977, #496978,#496979,#496980,#496981,#496982,#496983,#496984,#496985),(#496986, #496987,#496988,#496989,#496990,#496991,#496992,#496993,#496994,#496995, #496996,#496997,#496998,#496999,#497000),(#497001,#497002,#497003,#497004, #497005,#497006,#497007,#497008,#497009,#497010,#497011,#497012,#497013, #497014,#497015)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1, 1,1,4),(0.,1.),(-4.7682920242965E-18,0.107674036009122,0.161511054013683, 0.215348072018243,0.323022108027365,0.457614653038767,0.471073907539907, 0.484533162041048,0.511451671043328,0.524910925544468,0.538370180045609, 0.64604421605473,0.753718252063852),.UNSPECIFIED.); #3370=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#497058,#497059,#497060,#497061, #497062,#497063,#497064,#497065,#497066,#497067,#497068,#497069,#497070, #497071,#497072),(#497073,#497074,#497075,#497076,#497077,#497078,#497079, #497080,#497081,#497082,#497083,#497084,#497085,#497086,#497087),(#497088, #497089,#497090,#497091,#497092,#497093,#497094,#497095,#497096,#497097, #497098,#497099,#497100,#497101,#497102),(#497103,#497104,#497105,#497106, #497107,#497108,#497109,#497110,#497111,#497112,#497113,#497114,#497115, #497116,#497117)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,2,1,1,1,1,1,2,4), (0.,1.),(-0.753644316068369,-0.659438736342601,-0.565233156616834,-0.447476262394067, -0.3297193681713,-0.270840921059917,-0.211962473948534,-0.15308402683715, -0.094205579725767,1.84352976573045E-15),.UNSPECIFIED.); #3371=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#497138,#497139,#497140,#497141, #497142,#497143,#497144,#497145,#497146,#497147,#497148,#497149,#497150, #497151,#497152),(#497153,#497154,#497155,#497156,#497157,#497158,#497159, #497160,#497161,#497162,#497163,#497164,#497165,#497166,#497167),(#497168, #497169,#497170,#497171,#497172,#497173,#497174,#497175,#497176,#497177, #497178,#497179,#497180,#497181,#497182),(#497183,#497184,#497185,#497186, #497187,#497188,#497189,#497190,#497191,#497192,#497193,#497194,#497195, #497196,#497197)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,2,1,1,1,1,1,1,1, 1,4),(0.,1.),(-8.15756624988073E-17,0.0942055797257666,0.188411159451533, 0.3014577907749,0.357981106436584,0.414504422098268,0.471027737759951,0.499289395590793, 0.513420224506214,0.527551053421635,0.640597684745002,0.753644316068369), .UNSPECIFIED.); #3372=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#497207,#497208,#497209,#497210, #497211,#497212,#497213,#497214,#497215,#497216,#497217,#497218,#497219, #497220),(#497221,#497222,#497223,#497224,#497225,#497226,#497227,#497228, #497229,#497230,#497231,#497232,#497233,#497234),(#497235,#497236,#497237, #497238,#497239,#497240,#497241,#497242,#497243,#497244,#497245,#497246, #497247,#497248),(#497249,#497250,#497251,#497252,#497253,#497254,#497255, #497256,#497257,#497258,#497259,#497260,#497261,#497262)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,2,1,1,1,1,1,1,1,1,4),(0.,1.),(-0.753718252063853, -0.659503405388398,-0.593553064849558,-0.527602724310719,-0.461652383771879, -0.395702043233039,-0.329751702694199,-0.263801362155359,-0.13190068107768, -0.0659503405388399,1.38777878078145E-17),.UNSPECIFIED.); #3373=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#497283,#497284,#497285,#497286, #497287,#497288,#497289,#497290,#497291,#497292,#497293,#497294,#497295, #497296,#497297,#497298),(#497299,#497300,#497301,#497302,#497303,#497304, #497305,#497306,#497307,#497308,#497309,#497310,#497311,#497312,#497313, #497314),(#497315,#497316,#497317,#497318,#497319,#497320,#497321,#497322, #497323,#497324,#497325,#497326,#497327,#497328,#497329,#497330),(#497331, #497332,#497333,#497334,#497335,#497336,#497337,#497338,#497339,#497340, #497341,#497342,#497343,#497344,#497345,#497346)),.UNSPECIFIED.,.F.,.F., .F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(-1.2643413859963E-31, 0.107674036009122,0.215348072018244,0.248996208271094,0.269185090022804, 0.323022108027365,0.430696144036487,0.464344280289338,0.484533162041048, 0.511451671043328,0.538370180045609,0.60566645255131,0.646044216054731, 0.753718252063852),.UNSPECIFIED.); #3374=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#497391,#497392,#497393,#497394, #497395,#497396,#497397,#497398,#497399,#497400,#497401,#497402,#497403, #497404),(#497405,#497406,#497407,#497408,#497409,#497410,#497411,#497412, #497413,#497414,#497415,#497416,#497417,#497418),(#497419,#497420,#497421, #497422,#497423,#497424,#497425,#497426,#497427,#497428,#497429,#497430, #497431,#497432),(#497433,#497434,#497435,#497436,#497437,#497438,#497439, #497440,#497441,#497442,#497443,#497444,#497445,#497446)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,1,2,1,1,1,1,1,1,1,4),(0.,1.),(-0.753644316068369, -0.678279852287755,-0.565233156616834,-0.452186525293467,-0.395663209631784, -0.3391398939701,-0.282616578308417,-0.254354920477575,-0.226093262646733, -0.113046631323366,1.00658421307692E-15),.UNSPECIFIED.); #3375=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#497466,#497467,#497468,#497469, #497470,#497471,#497472,#497473,#497474,#497475,#497476,#497477,#497478, #497479,#497480,#497481),(#497482,#497483,#497484,#497485,#497486,#497487, #497488,#497489,#497490,#497491,#497492,#497493,#497494,#497495,#497496, #497497),(#497498,#497499,#497500,#497501,#497502,#497503,#497504,#497505, #497506,#497507,#497508,#497509,#497510,#497511,#497512,#497513),(#497514, #497515,#497516,#497517,#497518,#497519,#497520,#497521,#497522,#497523, #497524,#497525,#497526,#497527,#497528,#497529)),.UNSPECIFIED.,.F.,.F., .F.,(4,4),(4,2,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(-1.47968221122463E-15,0.0942055797257666, 0.160149453360027,0.226093326994287,0.292037200628547,0.357981074262808, 0.390953011079938,0.423924947897068,0.489868821531328,0.555812695165588, 0.621756568799848,0.687700442434109,0.753644316068369),.UNSPECIFIED.); #3376=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#497539,#497540,#497541,#497542, #497543,#497544,#497545,#497546,#497547,#497548,#497549,#497550,#497551, #497552),(#497553,#497554,#497555,#497556,#497557,#497558,#497559,#497560, #497561,#497562,#497563,#497564,#497565,#497566),(#497567,#497568,#497569, #497570,#497571,#497572,#497573,#497574,#497575,#497576,#497577,#497578, #497579,#497580),(#497581,#497582,#497583,#497584,#497585,#497586,#497587, #497588,#497589,#497590,#497591,#497592,#497593,#497594)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(-0.753718252063853, -0.646044216054731,-0.59220719805017,-0.538370180045609,-0.430696144036487, -0.309562853526225,-0.296103599025085,-0.269185090022805,-0.242266581020524, -0.215348072018244,-0.107674036009122,-1.62299143742911E-16), .UNSPECIFIED.); #3377=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#497615,#497616,#497617,#497618, #497619,#497620,#497621,#497622,#497623,#497624,#497625,#497626,#497627, #497628),(#497629,#497630,#497631,#497632,#497633,#497634,#497635,#497636, #497637,#497638,#497639,#497640,#497641,#497642),(#497643,#497644,#497645, #497646,#497647,#497648,#497649,#497650,#497651,#497652,#497653,#497654, #497655,#497656),(#497657,#497658,#497659,#497660,#497661,#497662,#497663, #497664,#497665,#497666,#497667,#497668,#497669,#497670)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,2,1,4),(0.,1.),(-8.6671334434807E-17, 0.113057711742589,0.226115423485178,0.254379851420825,0.282644279356472, 0.339173135227766,0.395701991099061,0.452230846970355,0.565288558712944, 0.659503405388398,0.753718252063852),.UNSPECIFIED.); #3378=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#497713,#497714,#497715,#497716, #497717,#497718,#497719,#497720,#497721,#497722,#497723,#497724,#497725, #497726,#497727,#497728),(#497729,#497730,#497731,#497732,#497733,#497734, #497735,#497736,#497737,#497738,#497739,#497740,#497741,#497742,#497743, #497744),(#497745,#497746,#497747,#497748,#497749,#497750,#497751,#497752, #497753,#497754,#497755,#497756,#497757,#497758,#497759,#497760),(#497761, #497762,#497763,#497764,#497765,#497766,#497767,#497768,#497769,#497770, #497771,#497772,#497773,#497774,#497775,#497776)),.UNSPECIFIED.,.F.,.F., .F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(-0.753644316068369,-0.645980842344316, -0.538317368620263,-0.504672533081497,-0.484485631758237,-0.43065389489621, -0.322990421172158,-0.289345585633391,-0.269158684310131,-0.242242815879118, -0.215326947448105,-0.148037276370572,-0.107663473724052,1.02046200088479E-15), .UNSPECIFIED.); #3379=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#497798,#497799,#497800,#497801, #497802,#497803,#497804,#497805,#497806,#497807,#497808,#497809,#497810, #497811,#497812,#497813),(#497814,#497815,#497816,#497817,#497818,#497819, #497820,#497821,#497822,#497823,#497824,#497825,#497826,#497827,#497828, #497829),(#497830,#497831,#497832,#497833,#497834,#497835,#497836,#497837, #497838,#497839,#497840,#497841,#497842,#497843,#497844,#497845),(#497846, #497847,#497848,#497849,#497850,#497851,#497852,#497853,#497854,#497855, #497856,#497857,#497858,#497859,#497860,#497861)),.UNSPECIFIED.,.F.,.F., .F.,(4,4),(4,2,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(8.4449758703877E-16,0.0942055797257666, 0.160149453360027,0.226093326994287,0.292037200628547,0.357981074262808, 0.390953011079938,0.423924947897068,0.489868821531328,0.555812695165589, 0.621756568799849,0.687700442434109,0.75364431606837),.UNSPECIFIED.); #3380=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#497871,#497872,#497873,#497874, #497875,#497876,#497877,#497878,#497879,#497880,#497881,#497882,#497883), (#497884,#497885,#497886,#497887,#497888,#497889,#497890,#497891,#497892, #497893,#497894,#497895,#497896),(#497897,#497898,#497899,#497900,#497901, #497902,#497903,#497904,#497905,#497906,#497907,#497908,#497909),(#497910, #497911,#497912,#497913,#497914,#497915,#497916,#497917,#497918,#497919, #497920,#497921,#497922)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1, 1,1,1,1,4),(0.,1.),(-0.753718252063852,-0.646044216054731,-0.538370180045609, -0.484533162041048,-0.430696144036487,-0.323022108027365,-0.269185090022804, -0.242266581020524,-0.215348072018243,-0.107674036009122,8.90228586609956E-17), .UNSPECIFIED.); #3381=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#497942,#497943,#497944,#497945, #497946,#497947,#497948,#497949,#497950,#497951,#497952,#497953,#497954, #497955),(#497956,#497957,#497958,#497959,#497960,#497961,#497962,#497963, #497964,#497965,#497966,#497967,#497968,#497969),(#497970,#497971,#497972, #497973,#497974,#497975,#497976,#497977,#497978,#497979,#497980,#497981, #497982,#497983),(#497984,#497985,#497986,#497987,#497988,#497989,#497990, #497991,#497992,#497993,#497994,#497995,#497996,#497997)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,1,2,1,1,1,1,1,1,1,4),(0.,1.),(-8.66713344348075E-17, 0.075371877340363,0.188429693350908,0.301487405093497,0.358016260964791, 0.414545116836085,0.47107397270738,0.499338400643027,0.527602828578674, 0.640660540321263,0.753718252063852),.UNSPECIFIED.); #3382=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#498038,#498039,#498040,#498041, #498042,#498043,#498044,#498045,#498046,#498047,#498048,#498049,#498050, #498051),(#498052,#498053,#498054,#498055,#498056,#498057,#498058,#498059, #498060,#498061,#498062,#498063,#498064,#498065),(#498066,#498067,#498068, #498069,#498070,#498071,#498072,#498073,#498074,#498075,#498076,#498077, #498078,#498079),(#498080,#498081,#498082,#498083,#498084,#498085,#498086, #498087,#498088,#498089,#498090,#498091,#498092,#498093)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,2,1,1,1,1,1,1,1,1,4),(0.,1.),(-0.753644316068369, -0.659438736342601,-0.593494862708341,-0.52755098907408,-0.46160711543982, -0.39566324180556,-0.3297193681713,-0.263775494537039,-0.131887747268518, -0.0659438736342581,2.23340110464413E-15),.UNSPECIFIED.); #3383=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#498113,#498114,#498115,#498116, #498117,#498118,#498119,#498120,#498121,#498122,#498123,#498124,#498125, #498126),(#498127,#498128,#498129,#498130,#498131,#498132,#498133,#498134, #498135,#498136,#498137,#498138,#498139,#498140),(#498141,#498142,#498143, #498144,#498145,#498146,#498147,#498148,#498149,#498150,#498151,#498152, #498153,#498154),(#498155,#498156,#498157,#498158,#498159,#498160,#498161, #498162,#498163,#498164,#498165,#498166,#498167,#498168)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(1.74860126378462E-15, 0.107663473724054,0.215326947448106,0.228784881663613,0.24224281587912, 0.269158684310133,0.296074552741146,0.430653894896211,0.538317368620264, 0.59214910548229,0.645980842344316,0.753644316068368),.UNSPECIFIED.); #3384=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#580699,#580700,#580701,#580702, #580703,#580704),(#580705,#580706,#580707,#580708,#580709,#580710)), .UNSPECIFIED.,.F.,.F.,.F.,(2,2),(4,2,4),(0.,1.00002104133256),(1.63499979223499, 2.20469221263347,2.77438463303195),.UNSPECIFIED.); #3385=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#581071,#581072,#581073,#581074, #581075,#581076),(#581077,#581078,#581079,#581080,#581081,#581082)), .UNSPECIFIED.,.F.,.F.,.F.,(2,2),(4,2,4),(0.,1.00001458904557),(3.50880067414764, 4.07849309454612,4.6481855149446),.UNSPECIFIED.); #3386=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#581335,#581336,#581337,#581338), (#581339,#581340,#581341,#581342)),.UNSPECIFIED.,.F.,.F.,.F.,(2,2),(4,4), (0.,1.),(3.15895045879223,3.32903316875533),.UNSPECIFIED.); #3387=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#581368,#581369,#581370,#581371, #581372,#581373),(#581374,#581375,#581376,#581377,#581378,#581379)), .UNSPECIFIED.,.F.,.F.,.F.,(2,2),(4,2,4),(0.,1.),(4.71238898038469,5.48910824118092, 6.26582750197716),.UNSPECIFIED.); #3388=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#581475,#581476,#581477,#581478, #581479,#581480),(#581481,#581482,#581483,#581484,#581485,#581486)), .UNSPECIFIED.,.F.,.F.,.F.,(2,2),(4,2,4),(0.,1.),(3.15895045879222,3.93566971958846, 4.71238898038469),.UNSPECIFIED.); #3389=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#581549,#581550,#581551,#581552), (#581553,#581554,#581555,#581556)),.UNSPECIFIED.,.F.,.F.,.F.,(2,2),(4,4), (0.,1.),(6.09574479201405,6.26582750197715),.UNSPECIFIED.); #3390=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#581841,#581842,#581843,#581844), (#581845,#581846,#581847,#581848),(#581849,#581850,#581851,#581852)), .UNSPECIFIED.,.F.,.F.,.F.,(2,1,2),(4,4),(-0.0060004424615139,0.,1.),(3.04460905449018, 3.14159265358979),.UNSPECIFIED.); #3391=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#581864,#581865,#581866,#581867), (#581868,#581869,#581870,#581871)),.UNSPECIFIED.,.F.,.F.,.F.,(2,2),(4,4), (0.,1.),(3.14159265358979,3.48142956304391),.UNSPECIFIED.); #3392=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#581886,#581887,#581888,#581889), (#581890,#581891,#581892,#581893)),.UNSPECIFIED.,.F.,.F.,.F.,(2,2),(4,4), (0.,1.),(2.80175574413567,3.14159265358979),.UNSPECIFIED.); #3393=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#581910,#581911,#581912,#581913), (#581914,#581915,#581916,#581917)),.UNSPECIFIED.,.F.,.F.,.F.,(2,2),(4,4), (0.,1.),(0.,0.339836909454123),.UNSPECIFIED.); #3394=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#581932,#581933,#581934,#581935), (#581936,#581937,#581938,#581939)),.UNSPECIFIED.,.F.,.F.,.F.,(2,2),(4,4), (0.,1.),(2.80175574413568,3.14159265358979),.UNSPECIFIED.); #3395=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#581963,#581964,#581965,#581966), (#581967,#581968,#581969,#581970),(#581971,#581972,#581973,#581974)), .UNSPECIFIED.,.F.,.F.,.F.,(2,1,2),(4,4),(-0.00600044243415675,0.,1.),(3.14159265358979, 3.23857625268942),.UNSPECIFIED.); #3396=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#585289,#585290,#585291,#585292, #585293,#585294,#585295,#585296,#585297,#585298,#585299,#585300,#585301, #585302,#585303,#585304,#585305,#585306,#585307,#585308,#585309,#585310, #585311,#585312),(#585313,#585314,#585315,#585316,#585317,#585318,#585319, #585320,#585321,#585322,#585323,#585324,#585325,#585326,#585327,#585328, #585329,#585330,#585331,#585332,#585333,#585334,#585335,#585336),(#585337, #585338,#585339,#585340,#585341,#585342,#585343,#585344,#585345,#585346, #585347,#585348,#585349,#585350,#585351,#585352,#585353,#585354,#585355, #585356,#585357,#585358,#585359,#585360),(#585361,#585362,#585363,#585364, #585365,#585366,#585367,#585368,#585369,#585370,#585371,#585372,#585373, #585374,#585375,#585376,#585377,#585378,#585379,#585380,#585381,#585382, #585383,#585384)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,4),(0.00693386621067668,0.0483242175311726),(14.6895753849103, 15.6457284434202,16.6018815019301,17.55803456044,18.5141876189499,19.4703406774598, 20.4264937359697,21.3826467944796,22.3387998529895,23.2949529114994,24.2511059700093, 25.2072590285192,26.1634120870291,27.119565145539,28.0757182040489,29.0318712625588, 29.9880243210687,30.9441773795786,31.9003304380885,32.8564834965984,33.8126365551083, 34.7687896136182),.UNSPECIFIED.); #3397=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#585575,#585576,#585577,#585578, #585579,#585580,#585581,#585582,#585583,#585584,#585585,#585586,#585587, #585588,#585589,#585590,#585591,#585592,#585593,#585594,#585595,#585596, #585597,#585598),(#585599,#585600,#585601,#585602,#585603,#585604,#585605, #585606,#585607,#585608,#585609,#585610,#585611,#585612,#585613,#585614, #585615,#585616,#585617,#585618,#585619,#585620,#585621,#585622),(#585623, #585624,#585625,#585626,#585627,#585628,#585629,#585630,#585631,#585632, #585633,#585634,#585635,#585636,#585637,#585638,#585639,#585640,#585641, #585642,#585643,#585644,#585645,#585646),(#585647,#585648,#585649,#585650, #585651,#585652,#585653,#585654,#585655,#585656,#585657,#585658,#585659, #585660,#585661,#585662,#585663,#585664,#585665,#585666,#585667,#585668, #585669,#585670)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,4),(-5.02564215243405E-14,0.0413905540313562),(11.8510081451052, 12.8062366196733,13.7614650942413,14.7166935688094,15.6719220433775,16.6271505179455, 17.5823789925136,18.5376074670817,19.4928359416498,20.4480644162178,21.4032928907859, 22.358521365354,23.313749839922,24.2689783144901,25.2242067890582,26.1794352636263, 27.1346637381943,28.0898922127624,29.0451206873305,30.0003491618985,30.9555776364666, 31.9108061110347),.UNSPECIFIED.); #3398=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#586614,#586615),(#586616,#586617), (#586618,#586619),(#586620,#586621)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2, 2),(0.0033140844331539,0.00371814176801589),(0.,0.015),.UNSPECIFIED.); #3399=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#586633,#586634),(#586635,#586636), (#586637,#586638),(#586639,#586640)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2, 2),(0.00364444770158918,0.0040486112059512),(0.,0.015),.UNSPECIFIED.); #3400=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#586690,#586691),(#586692,#586693), (#586694,#586695),(#586696,#586697)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2, 2),(0.0033140844331539,0.00371814176801585),(0.,0.015),.UNSPECIFIED.); #3401=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#586709,#586710),(#586711,#586712), (#586713,#586714),(#586715,#586716)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2, 2),(0.00364444770158922,0.0040486112059512),(0.,0.015),.UNSPECIFIED.); #3402=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#587649,#587650,#587651,#587652, #587653,#587654,#587655,#587656,#587657,#587658,#587659,#587660,#587661, #587662,#587663,#587664,#587665,#587666,#587667,#587668,#587669,#587670, #587671,#587672,#587673,#587674,#587675,#587676,#587677,#587678,#587679, #587680,#587681,#587682,#587683,#587684,#587685,#587686,#587687,#587688, #587689,#587690,#587691,#587692,#587693,#587694,#587695),(#587696,#587697, #587698,#587699,#587700,#587701,#587702,#587703,#587704,#587705,#587706, #587707,#587708,#587709,#587710,#587711,#587712,#587713,#587714,#587715, #587716,#587717,#587718,#587719,#587720,#587721,#587722,#587723,#587724, #587725,#587726,#587727,#587728,#587729,#587730,#587731,#587732,#587733, #587734,#587735,#587736,#587737,#587738,#587739,#587740,#587741,#587742), (#587743,#587744,#587745,#587746,#587747,#587748,#587749,#587750,#587751, #587752,#587753,#587754,#587755,#587756,#587757,#587758,#587759,#587760, #587761,#587762,#587763,#587764,#587765,#587766,#587767,#587768,#587769, #587770,#587771,#587772,#587773,#587774,#587775,#587776,#587777,#587778, #587779,#587780,#587781,#587782,#587783,#587784,#587785,#587786,#587787, #587788,#587789),(#587790,#587791,#587792,#587793,#587794,#587795,#587796, #587797,#587798,#587799,#587800,#587801,#587802,#587803,#587804,#587805, #587806,#587807,#587808,#587809,#587810,#587811,#587812,#587813,#587814, #587815,#587816,#587817,#587818,#587819,#587820,#587821,#587822,#587823, #587824,#587825,#587826,#587827,#587828,#587829,#587830,#587831,#587832, #587833,#587834,#587835,#587836)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,4),(0.,0.0496809906637673),(8.29514371672427,9.29443768305219, 10.2937316493801,11.293025615708,12.292319582036,13.2916135483639,14.2909075146918, 15.2902014810197,16.2894954473476,17.2887894136756,18.2880833800035,19.2873773463314, 20.2866713126593,21.2859652789873,22.2852592453152,23.2845532116431,24.283847177971, 25.283141144299,26.2824351106269,27.2817290769548,28.2810230432827,29.2803170096106, 30.2796109759386,31.2789049422665,32.2781989085944,33.2774928749223,34.2767868412503, 35.2760808075782,36.2753747739061,37.274668740234,38.273962706562,39.2732566728899, 40.2725506392178,41.2718446055457,42.2711385718736,43.2704325382016,44.2697265045295, 45.2690204708574,46.2683144371853,47.2676084035133,48.2669023698412,49.2661963361691, 50.265490302497,51.264784268825,52.2640782351529),.UNSPECIFIED.); #3403=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#588057,#588058,#588059,#588060, #588061,#588062,#588063,#588064,#588065,#588066,#588067,#588068,#588069, #588070,#588071,#588072,#588073,#588074,#588075,#588076,#588077,#588078, #588079,#588080,#588081,#588082,#588083,#588084,#588085,#588086,#588087, #588088,#588089,#588090,#588091,#588092,#588093,#588094,#588095,#588096, #588097,#588098,#588099,#588100,#588101,#588102,#588103),(#588104,#588105, #588106,#588107,#588108,#588109,#588110,#588111,#588112,#588113,#588114, #588115,#588116,#588117,#588118,#588119,#588120,#588121,#588122,#588123, #588124,#588125,#588126,#588127,#588128,#588129,#588130,#588131,#588132, #588133,#588134,#588135,#588136,#588137,#588138,#588139,#588140,#588141, #588142,#588143,#588144,#588145,#588146,#588147,#588148,#588149,#588150), (#588151,#588152,#588153,#588154,#588155,#588156,#588157,#588158,#588159, #588160,#588161,#588162,#588163,#588164,#588165,#588166,#588167,#588168, #588169,#588170,#588171,#588172,#588173,#588174,#588175,#588176,#588177, #588178,#588179,#588180,#588181,#588182,#588183,#588184,#588185,#588186, #588187,#588188,#588189,#588190,#588191,#588192,#588193,#588194,#588195, #588196,#588197),(#588198,#588199,#588200,#588201,#588202,#588203,#588204, #588205,#588206,#588207,#588208,#588209,#588210,#588211,#588212,#588213, #588214,#588215,#588216,#588217,#588218,#588219,#588220,#588221,#588222, #588223,#588224,#588225,#588226,#588227,#588228,#588229,#588230,#588231, #588232,#588233,#588234,#588235,#588236,#588237,#588238,#588239,#588240, #588241,#588242,#588243,#588244)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,4),(0.,0.0496809906637673),(11.6149723878395,12.6142663541674, 13.6135603204953,14.6128542868233,15.6121482531512,16.6114422194791,17.610736185807, 18.610030152135,19.6093241184629,20.6086180847908,21.6079120511187,22.6072060174466, 23.6064999837746,24.6057939501025,25.6050879164304,26.6043818827583,27.6036758490863, 28.6029698154142,29.6022637817421,30.60155774807,31.600851714398,32.6001456807259, 33.5994396470538,34.5987336133817,35.5980275797097,36.5973215460376,37.5966155123655, 38.5959094786934,39.5952034450213,40.5944974113493,41.5937913776772,42.5930853440051, 43.592379310333,44.591673276661,45.5909672429889,46.5902612093168,47.5895551756447, 48.5888491419727,49.5881431083006,50.5874370746285,51.5867310409564,52.5860250072843, 53.5853189736123,54.5846129399402,55.5839069062681),.UNSPECIFIED.); #3404=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#589230,#589231),(#589232,#589233), (#589234,#589235),(#589236,#589237),(#589238,#589239),(#589240,#589241)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(2,2),(0.,0.329628389497,0.659256776023, 0.670371616447),(0.,0.02400000002904),.UNSPECIFIED.); #3405=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#589244,#589245),(#589246,#589247), (#589248,#589249),(#589250,#589251),(#589252,#589253),(#589254,#589255)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(2,2),(0.,0.329628389497,0.659256776023, 0.670371616447),(0.,0.02400000002904),.UNSPECIFIED.); #3406=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#594757,#594758,#594759,#594760), (#594761,#594762,#594763,#594764),(#594765,#594766,#594767,#594768),(#594769, #594770,#594771,#594772),(#594773,#594774,#594775,#594776),(#594777,#594778, #594779,#594780)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(0.,0.285714285714286, 0.571428571428571,1.),(0.,1.),.UNSPECIFIED.); #3407=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#594800,#594801,#594802,#594803), (#594804,#594805,#594806,#594807),(#594808,#594809,#594810,#594811),(#594812, #594813,#594814,#594815),(#594816,#594817,#594818,#594819),(#594820,#594821, #594822,#594823)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(0.,0.285714285714286, 0.571428571428571,1.),(0.,1.),.UNSPECIFIED.); #3408=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#594839,#594840,#594841,#594842), (#594843,#594844,#594845,#594846),(#594847,#594848,#594849,#594850),(#594851, #594852,#594853,#594854),(#594855,#594856,#594857,#594858),(#594859,#594860, #594861,#594862)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(0.,0.285714285714286, 0.571428571428571,1.),(0.,1.),.UNSPECIFIED.); #3409=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#594876,#594877,#594878,#594879), (#594880,#594881,#594882,#594883),(#594884,#594885,#594886,#594887),(#594888, #594889,#594890,#594891),(#594892,#594893,#594894,#594895),(#594896,#594897, #594898,#594899),(#594900,#594901,#594902,#594903),(#594904,#594905,#594906, #594907),(#594908,#594909,#594910,#594911),(#594912,#594913,#594914,#594915)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,4),(4,4),(0.,0.142857142857143, 0.285714285714286,0.428571428571429,0.571428571428571,0.714285714285714, 0.857142857142857,1.),(0.,1.),.UNSPECIFIED.); #3410=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#594933,#594934,#594935,#594936), (#594937,#594938,#594939,#594940),(#594941,#594942,#594943,#594944),(#594945, #594946,#594947,#594948),(#594949,#594950,#594951,#594952),(#594953,#594954, #594955,#594956)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(0.,0.285714285714286, 0.571428571428571,1.),(0.,1.),.UNSPECIFIED.); #3411=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#594970,#594971,#594972,#594973), (#594974,#594975,#594976,#594977),(#594978,#594979,#594980,#594981),(#594982, #594983,#594984,#594985),(#594986,#594987,#594988,#594989)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 1.),.UNSPECIFIED.); #3412=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#595002,#595003,#595004,#595005), (#595006,#595007,#595008,#595009),(#595010,#595011,#595012,#595013),(#595014, #595015,#595016,#595017),(#595018,#595019,#595020,#595021),(#595022,#595023, #595024,#595025)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(0.,0.285714285714286, 0.571428571428571,1.),(0.,1.),.UNSPECIFIED.); #3413=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#595039,#595040,#595041,#595042), (#595043,#595044,#595045,#595046),(#595047,#595048,#595049,#595050),(#595051, #595052,#595053,#595054),(#595055,#595056,#595057,#595058),(#595059,#595060, #595061,#595062),(#595063,#595064,#595065,#595066),(#595067,#595068,#595069, #595070),(#595071,#595072,#595073,#595074),(#595075,#595076,#595077,#595078)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,4),(4,4),(0.,0.142857142857143, 0.285714285714286,0.428571428571429,0.571428571428571,0.714285714285714, 0.857142857142857,1.),(0.,1.),.UNSPECIFIED.); #3414=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#595107,#595108,#595109,#595110), (#595111,#595112,#595113,#595114),(#595115,#595116,#595117,#595118),(#595119, #595120,#595121,#595122)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.),.UNSPECIFIED.); #3415=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#595128,#595129,#595130,#595131), (#595132,#595133,#595134,#595135),(#595136,#595137,#595138,#595139),(#595140, #595141,#595142,#595143),(#595144,#595145,#595146,#595147),(#595148,#595149, #595150,#595151),(#595152,#595153,#595154,#595155)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,4),(4,4),(0.,0.285714285714286,0.571428571428571,0.714285714285714, 1.),(0.,1.),.UNSPECIFIED.); #3416=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#595159,#595160,#595161,#595162), (#595163,#595164,#595165,#595166),(#595167,#595168,#595169,#595170),(#595171, #595172,#595173,#595174),(#595175,#595176,#595177,#595178),(#595179,#595180, #595181,#595182),(#595183,#595184,#595185,#595186),(#595187,#595188,#595189, #595190),(#595191,#595192,#595193,#595194),(#595195,#595196,#595197,#595198)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,4),(4,4),(0.,0.142857142857143, 0.285714285714286,0.428571428571429,0.571428571428571,0.714285714285714, 0.857142857142857,1.),(0.,1.),.UNSPECIFIED.); #3417=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#595202,#595203,#595204,#595205), (#595206,#595207,#595208,#595209),(#595210,#595211,#595212,#595213),(#595214, #595215,#595216,#595217),(#595218,#595219,#595220,#595221),(#595222,#595223, #595224,#595225),(#595226,#595227,#595228,#595229)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,4),(4,4),(0.,0.285714285714286,0.571428571428571,0.785714285714286, 1.),(0.,1.),.UNSPECIFIED.); #3418=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#595233,#595234,#595235,#595236), (#595237,#595238,#595239,#595240),(#595241,#595242,#595243,#595244),(#595245, #595246,#595247,#595248)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.),.UNSPECIFIED.); #3419=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#595254,#595255,#595256,#595257), (#595258,#595259,#595260,#595261),(#595262,#595263,#595264,#595265),(#595266, #595267,#595268,#595269),(#595270,#595271,#595272,#595273),(#595274,#595275, #595276,#595277),(#595278,#595279,#595280,#595281)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,4),(4,4),(0.,0.285714285714286,0.571428571428571,0.785714285714286, 1.),(0.,1.),.UNSPECIFIED.); #3420=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#595285,#595286,#595287,#595288), (#595289,#595290,#595291,#595292),(#595293,#595294,#595295,#595296),(#595297, #595298,#595299,#595300),(#595301,#595302,#595303,#595304),(#595305,#595306, #595307,#595308),(#595309,#595310,#595311,#595312),(#595313,#595314,#595315, #595316),(#595317,#595318,#595319,#595320),(#595321,#595322,#595323,#595324)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,4),(4,4),(0.,0.142857142857143, 0.285714285714286,0.428571428571429,0.571428571428571,0.714285714285714, 0.857142857142857,1.),(0.,1.),.UNSPECIFIED.); #3421=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#595328,#595329,#595330,#595331), (#595332,#595333,#595334,#595335),(#595336,#595337,#595338,#595339),(#595340, #595341,#595342,#595343),(#595344,#595345,#595346,#595347),(#595348,#595349, #595350,#595351),(#595352,#595353,#595354,#595355)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,4),(4,4),(0.,0.285714285714286,0.571428571428571,0.785714285714286, 1.),(0.,1.),.UNSPECIFIED.); #3422=CONICAL_SURFACE('',#350375,24.8,0.418224329579261); #3423=CONICAL_SURFACE('',#350379,24.8,0.418224329579261); #3424=CONICAL_SURFACE('',#350383,24.8,0.418224329579261); #3425=CONICAL_SURFACE('',#350387,24.8,0.418224329579261); #3426=CONICAL_SURFACE('',#350403,19.4009345747,1.14649832306184); #3427=CONICAL_SURFACE('',#350429,19.40086581547,1.14649832306184); #3428=CONICAL_SURFACE('',#350439,24.79995831259,0.463647609000841); #3429=CONICAL_SURFACE('',#350441,24.79995831259,0.463647609000841); #3430=CONICAL_SURFACE('',#350443,19.40086581548,1.14649832306184); #3431=CONICAL_SURFACE('',#350459,19.40086581548,1.14649832306184); #3432=CONICAL_SURFACE('',#350477,24.79995831259,0.463647609000841); #3433=CONICAL_SURFACE('',#350479,24.79995831259,0.463647609000841); #3434=CONICAL_SURFACE('',#350481,19.40086581548,1.14649832306184); #3435=CONICAL_SURFACE('',#350544,25.5,0.321750554396782); #3436=CONICAL_SURFACE('',#350564,25.5,0.321750554396782); #3437=CONICAL_SURFACE('',#350568,25.5,0.321750554396782); #3438=CONICAL_SURFACE('',#350607,25.5,0.321750554396782); #3439=CONICAL_SURFACE('',#350640,25.5,0.321750554396782); #3440=CONICAL_SURFACE('',#350659,25.5,0.321750554396782); #3441=CONICAL_SURFACE('',#350679,25.5,0.321750554396782); #3442=CONICAL_SURFACE('',#350683,25.5,0.321750554396782); #3443=CONICAL_SURFACE('',#350722,25.5,0.321750554396782); #3444=CONICAL_SURFACE('',#350742,25.5,0.321750554396782); #3445=CONICAL_SURFACE('',#350764,25.5,0.321750554396782); #3446=CONICAL_SURFACE('',#350783,12.98758303364,0.431573051225772); #3447=CONICAL_SURFACE('',#351073,31.2842712474619,0.785398163397448); #3448=CONICAL_SURFACE('',#351078,2.5,0.785398163397447); #3449=CONICAL_SURFACE('',#351082,4.5,0.785398163397445); #3450=CONICAL_SURFACE('',#351086,4.5,0.785398163397447); #3451=CONICAL_SURFACE('',#351090,2.5,0.785398163397448); #3452=CONICAL_SURFACE('',#351100,2.5,0.785398163397448); #3453=CONICAL_SURFACE('',#351103,2.5,0.785398163397447); #3454=CONICAL_SURFACE('',#351106,2.5,0.785398163397447); #3455=CONICAL_SURFACE('',#351109,2.5,0.785398163397449); #3456=CONICAL_SURFACE('',#351111,2.5,0.785398163397447); #3457=CONICAL_SURFACE('',#351115,2.5,0.785398163397447); #3458=CONICAL_SURFACE('',#351119,2.5,0.785398163397449); #3459=CONICAL_SURFACE('',#351123,2.5,0.785398163397449); #3460=CONICAL_SURFACE('',#351133,2.5,0.785398163397448); #3461=CONICAL_SURFACE('',#351136,4.5,0.785398163397447); #3462=CONICAL_SURFACE('',#351139,4.5,0.785398163397445); #3463=CONICAL_SURFACE('',#351142,2.5,0.785398163397447); #3464=CONICAL_SURFACE('',#351144,2.5,0.785398163397449); #3465=CONICAL_SURFACE('',#351148,2.5,0.785398163397449); #3466=CONICAL_SURFACE('',#351152,2.5,0.785398163397447); #3467=CONICAL_SURFACE('',#351156,2.5,0.785398163397447); #3468=CONICAL_SURFACE('',#351166,2.5,0.785398163397447); #3469=CONICAL_SURFACE('',#351169,4.5,0.785398163397445); #3470=CONICAL_SURFACE('',#351172,4.5,0.785398163397447); #3471=CONICAL_SURFACE('',#351175,2.5,0.785398163397448); #3472=CONICAL_SURFACE('',#351177,2.5,0.785398163397448); #3473=CONICAL_SURFACE('',#351181,4.5,0.785398163397447); #3474=CONICAL_SURFACE('',#351185,4.5,0.785398163397445); #3475=CONICAL_SURFACE('',#351189,2.5,0.785398163397447); #3476=CONICAL_SURFACE('',#351199,2.5,0.785398163397449); #3477=CONICAL_SURFACE('',#351202,2.5,0.785398163397447); #3478=CONICAL_SURFACE('',#351205,2.5,0.785398163397447); #3479=CONICAL_SURFACE('',#351208,2.5,0.785398163397448); #3480=CONICAL_SURFACE('',#351242,31.9092712474619,0.785398163397448); #3481=CONICAL_SURFACE('',#351263,3.125,0.785398163397449); #3482=CONICAL_SURFACE('',#351268,3.125,0.785398163397449); #3483=CONICAL_SURFACE('',#351279,28.5421356237311,0.831555618899825); #3484=CONICAL_SURFACE('',#351329,30.2842712474619,0.785398163397448); #3485=CONICAL_SURFACE('',#351482,33.6592712474619,0.78539816339745); #3486=CONICAL_SURFACE('',#351527,31.2342712474619,0.785398163397448); #3487=CONICAL_SURFACE('',#351616,30.25,0.785398163397453); #3488=CONICAL_SURFACE('',#351620,30.25,0.785398163397453); #3489=CONICAL_SURFACE('',#351657,30.25,0.785398163397453); #3490=CONICAL_SURFACE('',#351658,30.25,0.785398163397451); #3491=CONICAL_SURFACE('',#351660,31.75,0.785398163397453); #3492=CONICAL_SURFACE('',#351661,30.25,0.785398163397453); #3493=CONICAL_SURFACE('',#351663,30.25,0.785398163397453); #3494=CONICAL_SURFACE('',#372131,0.96,0.785398163397447); #3495=CONICAL_SURFACE('',#372264,0.22,0.785398163397449); #3496=CONICAL_SURFACE('',#372267,0.22,0.785398163397448); #3497=CONICAL_SURFACE('',#372268,0.22,0.785398163397448); #3498=CONICAL_SURFACE('',#372271,0.22,0.785398163397449); #3499=CONICAL_SURFACE('',#372272,0.81,0.785398163397447); #3500=CONICAL_SURFACE('',#372274,0.81,0.785398163397448); #3501=CONICAL_SURFACE('',#372275,0.81,0.785398163397447); #3502=CONICAL_SURFACE('',#372277,0.81,0.785398163397447); #3503=CONICAL_SURFACE('',#372322,0.15,0.785398163397447); #3504=CONICAL_SURFACE('',#372323,0.25,0.785398163397447); #3505=CONICAL_SURFACE('',#372326,0.96,0.785398163397448); #3506=CONICAL_SURFACE('',#373199,1.08,0.785398163397448); #3507=CONICAL_SURFACE('',#373201,1.08,0.785398163397448); #3508=CONICAL_SURFACE('',#373203,1.08,0.785398163397448); #3509=CONICAL_SURFACE('',#373205,1.08,0.785398163397448); #3510=CONICAL_SURFACE('',#374799,2.49999999999999,0.463647609000805); #3511=CONICAL_SURFACE('',#374810,2.49999999999999,0.463647609000805); #3512=CONICAL_SURFACE('',#374812,2.49999999999999,0.463647609000805); #3513=CONICAL_SURFACE('',#374814,2.49999999999999,0.463647609000805); #3514=CONICAL_SURFACE('',#374816,2.49999999999999,0.463647609000805); #3515=CONICAL_SURFACE('',#374818,2.49999999999999,0.463647609000805); #3516=CONICAL_SURFACE('',#374820,2.49999999999999,0.463647609000805); #3517=CONICAL_SURFACE('',#374822,2.49999999999999,0.463647609000805); #3518=CONICAL_SURFACE('',#374844,2.49999999999999,0.463647609000805); #3519=CONICAL_SURFACE('',#374847,2.49999999999999,0.463647609000805); #3520=CONICAL_SURFACE('',#374850,2.49999999999999,0.463647609000805); #3521=CONICAL_SURFACE('',#374853,2.49999999999999,0.463647609000805); #3522=CONICAL_SURFACE('',#374856,2.49999999999999,0.463647609000805); #3523=CONICAL_SURFACE('',#374859,2.49999999999999,0.463647609000805); #3524=CONICAL_SURFACE('',#374862,2.49999999999999,0.463647609000805); #3525=CONICAL_SURFACE('',#374867,2.49999999999999,0.463647609000805); #3526=CONICAL_SURFACE('',#376599,29.25,0.785398163397448); #3527=CONICAL_SURFACE('',#376612,28.85,0.785398163397446); #3528=CONICAL_SURFACE('',#376625,26.75,0.785398163397446); #3529=CONICAL_SURFACE('',#376629,27.25,0.785398163397448); #3530=CONICAL_SURFACE('',#376636,30.1,0.785398163397448); #3531=CONICAL_SURFACE('',#376638,30.1,0.785398163397448); #3532=CONICAL_SURFACE('',#376641,30.1,0.785398163397448); #3533=CONICAL_SURFACE('',#376643,30.1,0.785398163397448); #3534=CONICAL_SURFACE('',#376646,30.1,0.785398163397448); #3535=CONICAL_SURFACE('',#376648,30.1,0.785398163397448); #3536=CONICAL_SURFACE('',#376651,30.35,0.785398163397448); #3537=CONICAL_SURFACE('',#376655,35.4842712474619,0.785398163397448); #3538=CONICAL_SURFACE('',#376659,35.4842712474619,0.785398163397448); #3539=CONICAL_SURFACE('',#376765,2.225,0.785398163397448); #3540=CONICAL_SURFACE('',#376776,2.225,0.785398163397448); #3541=CONICAL_SURFACE('',#376780,1.525,0.785398163397448); #3542=CONICAL_SURFACE('',#376791,1.525,0.785398163397448); #3543=CONICAL_SURFACE('',#376796,3.225,0.785398163397451); #3544=CONICAL_SURFACE('',#376799,3.225,0.785398163397451); #3545=CONICAL_SURFACE('',#376803,3.225,0.785398163397451); #3546=CONICAL_SURFACE('',#376809,3.225,0.785398163397451); #3547=CONICAL_SURFACE('',#376984,33.4092712474619,0.78539816339745); #3548=FACE_BOUND('',#40229,.T.); #3549=FACE_BOUND('',#40230,.T.); #3550=FACE_BOUND('',#40231,.T.); #3551=FACE_BOUND('',#40232,.T.); #3552=FACE_BOUND('',#40235,.T.); #3553=FACE_BOUND('',#40236,.T.); #3554=FACE_BOUND('',#40237,.T.); #3555=FACE_BOUND('',#40238,.T.); #3556=FACE_BOUND('',#40239,.T.); #3557=FACE_BOUND('',#40266,.T.); #3558=FACE_BOUND('',#40267,.T.); #3559=FACE_BOUND('',#40268,.T.); #3560=FACE_BOUND('',#40269,.T.); #3561=FACE_BOUND('',#40272,.T.); #3562=FACE_BOUND('',#40273,.T.); #3563=FACE_BOUND('',#40274,.T.); #3564=FACE_BOUND('',#40275,.T.); #3565=FACE_BOUND('',#40276,.T.); #3566=FACE_BOUND('',#40749,.T.); #3567=FACE_BOUND('',#40896,.T.); #3568=FACE_BOUND('',#40899,.T.); #3569=FACE_BOUND('',#40902,.T.); #3570=FACE_BOUND('',#40905,.T.); #3571=FACE_BOUND('',#40915,.T.); #3572=FACE_BOUND('',#40929,.T.); #3573=FACE_BOUND('',#40930,.T.); #3574=FACE_BOUND('',#40931,.T.); #3575=FACE_BOUND('',#40932,.T.); #3576=FACE_BOUND('',#40933,.T.); #3577=FACE_BOUND('',#40934,.T.); #3578=FACE_BOUND('',#40935,.T.); #3579=FACE_BOUND('',#40936,.T.); #3580=FACE_BOUND('',#40937,.T.); #3581=FACE_BOUND('',#40938,.T.); #3582=FACE_BOUND('',#40939,.T.); #3583=FACE_BOUND('',#40940,.T.); #3584=FACE_BOUND('',#40941,.T.); #3585=FACE_BOUND('',#40942,.T.); #3586=FACE_BOUND('',#40943,.T.); #3587=FACE_BOUND('',#40944,.T.); #3588=FACE_BOUND('',#40945,.T.); #3589=FACE_BOUND('',#40946,.T.); #3590=FACE_BOUND('',#40947,.T.); #3591=FACE_BOUND('',#41144,.T.); #3592=FACE_BOUND('',#41145,.T.); #3593=FACE_BOUND('',#41146,.T.); #3594=FACE_BOUND('',#41147,.T.); #3595=FACE_BOUND('',#41148,.T.); #3596=FACE_BOUND('',#41152,.T.); #3597=FACE_BOUND('',#41153,.T.); #3598=FACE_BOUND('',#41154,.T.); #3599=FACE_BOUND('',#41155,.T.); #3600=FACE_BOUND('',#41156,.T.); #3601=FACE_BOUND('',#41158,.T.); #3602=FACE_BOUND('',#41165,.T.); #3603=FACE_BOUND('',#41168,.T.); #3604=FACE_BOUND('',#41171,.T.); #3605=FACE_BOUND('',#41174,.T.); #3606=FACE_BOUND('',#41178,.T.); #3607=FACE_BOUND('',#41179,.T.); #3608=FACE_BOUND('',#41180,.T.); #3609=FACE_BOUND('',#41181,.T.); #3610=FACE_BOUND('',#41182,.T.); #3611=FACE_BOUND('',#41187,.T.); #3612=FACE_BOUND('',#41189,.T.); #3613=FACE_BOUND('',#41209,.T.); #3614=FACE_BOUND('',#41611,.T.); #3615=FACE_BOUND('',#41612,.T.); #3616=FACE_BOUND('',#41613,.T.); #3617=FACE_BOUND('',#41614,.T.); #3618=FACE_BOUND('',#41615,.T.); #3619=FACE_BOUND('',#41616,.T.); #3620=FACE_BOUND('',#41617,.T.); #3621=FACE_BOUND('',#41618,.T.); #3622=FACE_BOUND('',#41619,.T.); #3623=FACE_BOUND('',#41620,.T.); #3624=FACE_BOUND('',#41621,.T.); #3625=FACE_BOUND('',#41622,.T.); #3626=FACE_BOUND('',#41623,.T.); #3627=FACE_BOUND('',#41624,.T.); #3628=FACE_BOUND('',#41625,.T.); #3629=FACE_BOUND('',#41626,.T.); #3630=FACE_BOUND('',#41627,.T.); #3631=FACE_BOUND('',#41628,.T.); #3632=FACE_BOUND('',#41629,.T.); #3633=FACE_BOUND('',#41630,.T.); #3634=FACE_BOUND('',#41631,.T.); #3635=FACE_BOUND('',#41632,.T.); #3636=FACE_BOUND('',#41633,.T.); #3637=FACE_BOUND('',#41634,.T.); #3638=FACE_BOUND('',#41635,.T.); #3639=FACE_BOUND('',#41636,.T.); #3640=FACE_BOUND('',#41637,.T.); #3641=FACE_BOUND('',#41638,.T.); #3642=FACE_BOUND('',#41639,.T.); #3643=FACE_BOUND('',#41640,.T.); #3644=FACE_BOUND('',#41641,.T.); #3645=FACE_BOUND('',#41642,.T.); #3646=FACE_BOUND('',#41643,.T.); #3647=FACE_BOUND('',#41644,.T.); #3648=FACE_BOUND('',#41645,.T.); #3649=FACE_BOUND('',#41646,.T.); #3650=FACE_BOUND('',#41647,.T.); #3651=FACE_BOUND('',#41648,.T.); #3652=FACE_BOUND('',#41649,.T.); #3653=FACE_BOUND('',#41650,.T.); #3654=FACE_BOUND('',#41651,.T.); #3655=FACE_BOUND('',#41652,.T.); #3656=FACE_BOUND('',#41653,.T.); #3657=FACE_BOUND('',#41654,.T.); #3658=FACE_BOUND('',#41655,.T.); #3659=FACE_BOUND('',#41656,.T.); #3660=FACE_BOUND('',#41657,.T.); #3661=FACE_BOUND('',#41658,.T.); #3662=FACE_BOUND('',#41659,.T.); #3663=FACE_BOUND('',#41660,.T.); #3664=FACE_BOUND('',#41661,.T.); #3665=FACE_BOUND('',#41662,.T.); #3666=FACE_BOUND('',#41663,.T.); #3667=FACE_BOUND('',#41664,.T.); #3668=FACE_BOUND('',#41665,.T.); #3669=FACE_BOUND('',#41666,.T.); #3670=FACE_BOUND('',#41667,.T.); #3671=FACE_BOUND('',#41668,.T.); #3672=FACE_BOUND('',#41669,.T.); #3673=FACE_BOUND('',#41670,.T.); #3674=FACE_BOUND('',#41671,.T.); #3675=FACE_BOUND('',#41672,.T.); #3676=FACE_BOUND('',#41673,.T.); #3677=FACE_BOUND('',#41674,.T.); #3678=FACE_BOUND('',#41675,.T.); #3679=FACE_BOUND('',#41676,.T.); #3680=FACE_BOUND('',#41677,.T.); #3681=FACE_BOUND('',#41678,.T.); #3682=FACE_BOUND('',#41679,.T.); #3683=FACE_BOUND('',#41680,.T.); #3684=FACE_BOUND('',#41681,.T.); #3685=FACE_BOUND('',#41682,.T.); #3686=FACE_BOUND('',#41683,.T.); #3687=FACE_BOUND('',#41684,.T.); #3688=FACE_BOUND('',#41685,.T.); #3689=FACE_BOUND('',#41686,.T.); #3690=FACE_BOUND('',#41687,.T.); #3691=FACE_BOUND('',#41688,.T.); #3692=FACE_BOUND('',#41689,.T.); #3693=FACE_BOUND('',#41690,.T.); #3694=FACE_BOUND('',#41691,.T.); #3695=FACE_BOUND('',#41692,.T.); #3696=FACE_BOUND('',#41693,.T.); #3697=FACE_BOUND('',#41694,.T.); #3698=FACE_BOUND('',#41695,.T.); #3699=FACE_BOUND('',#41696,.T.); #3700=FACE_BOUND('',#41697,.T.); #3701=FACE_BOUND('',#41698,.T.); #3702=FACE_BOUND('',#41699,.T.); #3703=FACE_BOUND('',#41700,.T.); #3704=FACE_BOUND('',#41701,.T.); #3705=FACE_BOUND('',#41702,.T.); #3706=FACE_BOUND('',#41703,.T.); #3707=FACE_BOUND('',#41704,.T.); #3708=FACE_BOUND('',#41705,.T.); #3709=FACE_BOUND('',#41706,.T.); #3710=FACE_BOUND('',#41707,.T.); #3711=FACE_BOUND('',#41708,.T.); #3712=FACE_BOUND('',#41709,.T.); #3713=FACE_BOUND('',#41710,.T.); #3714=FACE_BOUND('',#41711,.T.); #3715=FACE_BOUND('',#41712,.T.); #3716=FACE_BOUND('',#41713,.T.); #3717=FACE_BOUND('',#41714,.T.); #3718=FACE_BOUND('',#41715,.T.); #3719=FACE_BOUND('',#41716,.T.); #3720=FACE_BOUND('',#41717,.T.); #3721=FACE_BOUND('',#41718,.T.); #3722=FACE_BOUND('',#41719,.T.); #3723=FACE_BOUND('',#41720,.T.); #3724=FACE_BOUND('',#41721,.T.); #3725=FACE_BOUND('',#41722,.T.); #3726=FACE_BOUND('',#41723,.T.); #3727=FACE_BOUND('',#41724,.T.); #3728=FACE_BOUND('',#41725,.T.); #3729=FACE_BOUND('',#41726,.T.); #3730=FACE_BOUND('',#41727,.T.); #3731=FACE_BOUND('',#41728,.T.); #3732=FACE_BOUND('',#41729,.T.); #3733=FACE_BOUND('',#41730,.T.); #3734=FACE_BOUND('',#41731,.T.); #3735=FACE_BOUND('',#41732,.T.); #3736=FACE_BOUND('',#41733,.T.); #3737=FACE_BOUND('',#41734,.T.); #3738=FACE_BOUND('',#41735,.T.); #3739=FACE_BOUND('',#41736,.T.); #3740=FACE_BOUND('',#41737,.T.); #3741=FACE_BOUND('',#41738,.T.); #3742=FACE_BOUND('',#41739,.T.); #3743=FACE_BOUND('',#41740,.T.); #3744=FACE_BOUND('',#41741,.T.); #3745=FACE_BOUND('',#41742,.T.); #3746=FACE_BOUND('',#41743,.T.); #3747=FACE_BOUND('',#41744,.T.); #3748=FACE_BOUND('',#41745,.T.); #3749=FACE_BOUND('',#41746,.T.); #3750=FACE_BOUND('',#41747,.T.); #3751=FACE_BOUND('',#41748,.T.); #3752=FACE_BOUND('',#41749,.T.); #3753=FACE_BOUND('',#41750,.T.); #3754=FACE_BOUND('',#41751,.T.); #3755=FACE_BOUND('',#41752,.T.); #3756=FACE_BOUND('',#41753,.T.); #3757=FACE_BOUND('',#41754,.T.); #3758=FACE_BOUND('',#41755,.T.); #3759=FACE_BOUND('',#41756,.T.); #3760=FACE_BOUND('',#41757,.T.); #3761=FACE_BOUND('',#41758,.T.); #3762=FACE_BOUND('',#41759,.T.); #3763=FACE_BOUND('',#41760,.T.); #3764=FACE_BOUND('',#41761,.T.); #3765=FACE_BOUND('',#41762,.T.); #3766=FACE_BOUND('',#41763,.T.); #3767=FACE_BOUND('',#41764,.T.); #3768=FACE_BOUND('',#41765,.T.); #3769=FACE_BOUND('',#41766,.T.); #3770=FACE_BOUND('',#41767,.T.); #3771=FACE_BOUND('',#41768,.T.); #3772=FACE_BOUND('',#41769,.T.); #3773=FACE_BOUND('',#41770,.T.); #3774=FACE_BOUND('',#41771,.T.); #3775=FACE_BOUND('',#41772,.T.); #3776=FACE_BOUND('',#41773,.T.); #3777=FACE_BOUND('',#41774,.T.); #3778=FACE_BOUND('',#41775,.T.); #3779=FACE_BOUND('',#41776,.T.); #3780=FACE_BOUND('',#41777,.T.); #3781=FACE_BOUND('',#41778,.T.); #3782=FACE_BOUND('',#41779,.T.); #3783=FACE_BOUND('',#41780,.T.); #3784=FACE_BOUND('',#41781,.T.); #3785=FACE_BOUND('',#41782,.T.); #3786=FACE_BOUND('',#41783,.T.); #3787=FACE_BOUND('',#41784,.T.); #3788=FACE_BOUND('',#41785,.T.); #3789=FACE_BOUND('',#41786,.T.); #3790=FACE_BOUND('',#41787,.T.); #3791=FACE_BOUND('',#41788,.T.); #3792=FACE_BOUND('',#41789,.T.); #3793=FACE_BOUND('',#41790,.T.); #3794=FACE_BOUND('',#41791,.T.); #3795=FACE_BOUND('',#41792,.T.); #3796=FACE_BOUND('',#41793,.T.); #3797=FACE_BOUND('',#41794,.T.); #3798=FACE_BOUND('',#41795,.T.); #3799=FACE_BOUND('',#41796,.T.); #3800=FACE_BOUND('',#41797,.T.); #3801=FACE_BOUND('',#41798,.T.); #3802=FACE_BOUND('',#41799,.T.); #3803=FACE_BOUND('',#41800,.T.); #3804=FACE_BOUND('',#41801,.T.); #3805=FACE_BOUND('',#41802,.T.); #3806=FACE_BOUND('',#41803,.T.); #3807=FACE_BOUND('',#41804,.T.); #3808=FACE_BOUND('',#41805,.T.); #3809=FACE_BOUND('',#41806,.T.); #3810=FACE_BOUND('',#41807,.T.); #3811=FACE_BOUND('',#41808,.T.); #3812=FACE_BOUND('',#41809,.T.); #3813=FACE_BOUND('',#41810,.T.); #3814=FACE_BOUND('',#41811,.T.); #3815=FACE_BOUND('',#41812,.T.); #3816=FACE_BOUND('',#41813,.T.); #3817=FACE_BOUND('',#41814,.T.); #3818=FACE_BOUND('',#41815,.T.); #3819=FACE_BOUND('',#41816,.T.); #3820=FACE_BOUND('',#41817,.T.); #3821=FACE_BOUND('',#41818,.T.); #3822=FACE_BOUND('',#41819,.T.); #3823=FACE_BOUND('',#41820,.T.); #3824=FACE_BOUND('',#41821,.T.); #3825=FACE_BOUND('',#41822,.T.); #3826=FACE_BOUND('',#41823,.T.); #3827=FACE_BOUND('',#41824,.T.); #3828=FACE_BOUND('',#41825,.T.); #3829=FACE_BOUND('',#41826,.T.); #3830=FACE_BOUND('',#41827,.T.); #3831=FACE_BOUND('',#41828,.T.); #3832=FACE_BOUND('',#41829,.T.); #3833=FACE_BOUND('',#41830,.T.); #3834=FACE_BOUND('',#41831,.T.); #3835=FACE_BOUND('',#41832,.T.); #3836=FACE_BOUND('',#41833,.T.); #3837=FACE_BOUND('',#41834,.T.); #3838=FACE_BOUND('',#41835,.T.); #3839=FACE_BOUND('',#41836,.T.); #3840=FACE_BOUND('',#41837,.T.); #3841=FACE_BOUND('',#41838,.T.); #3842=FACE_BOUND('',#41839,.T.); #3843=FACE_BOUND('',#41840,.T.); #3844=FACE_BOUND('',#41841,.T.); #3845=FACE_BOUND('',#41842,.T.); #3846=FACE_BOUND('',#41843,.T.); #3847=FACE_BOUND('',#41844,.T.); #3848=FACE_BOUND('',#41845,.T.); #3849=FACE_BOUND('',#41846,.T.); #3850=FACE_BOUND('',#41847,.T.); #3851=FACE_BOUND('',#41848,.T.); #3852=FACE_BOUND('',#41849,.T.); #3853=FACE_BOUND('',#41850,.T.); #3854=FACE_BOUND('',#41851,.T.); #3855=FACE_BOUND('',#41852,.T.); #3856=FACE_BOUND('',#41853,.T.); #3857=FACE_BOUND('',#41854,.T.); #3858=FACE_BOUND('',#41855,.T.); #3859=FACE_BOUND('',#41856,.T.); #3860=FACE_BOUND('',#41857,.T.); #3861=FACE_BOUND('',#41858,.T.); #3862=FACE_BOUND('',#41859,.T.); #3863=FACE_BOUND('',#41860,.T.); #3864=FACE_BOUND('',#41861,.T.); #3865=FACE_BOUND('',#41862,.T.); #3866=FACE_BOUND('',#41863,.T.); #3867=FACE_BOUND('',#41864,.T.); #3868=FACE_BOUND('',#41865,.T.); #3869=FACE_BOUND('',#41866,.T.); #3870=FACE_BOUND('',#41867,.T.); #3871=FACE_BOUND('',#41868,.T.); #3872=FACE_BOUND('',#41869,.T.); #3873=FACE_BOUND('',#41870,.T.); #3874=FACE_BOUND('',#41871,.T.); #3875=FACE_BOUND('',#41872,.T.); #3876=FACE_BOUND('',#41873,.T.); #3877=FACE_BOUND('',#41874,.T.); #3878=FACE_BOUND('',#41875,.T.); #3879=FACE_BOUND('',#41876,.T.); #3880=FACE_BOUND('',#41877,.T.); #3881=FACE_BOUND('',#41878,.T.); #3882=FACE_BOUND('',#41879,.T.); #3883=FACE_BOUND('',#41880,.T.); #3884=FACE_BOUND('',#41881,.T.); #3885=FACE_BOUND('',#41882,.T.); #3886=FACE_BOUND('',#41883,.T.); #3887=FACE_BOUND('',#41884,.T.); #3888=FACE_BOUND('',#41885,.T.); #3889=FACE_BOUND('',#41886,.T.); #3890=FACE_BOUND('',#41887,.T.); #3891=FACE_BOUND('',#41888,.T.); #3892=FACE_BOUND('',#41889,.T.); #3893=FACE_BOUND('',#41890,.T.); #3894=FACE_BOUND('',#41891,.T.); #3895=FACE_BOUND('',#41892,.T.); #3896=FACE_BOUND('',#41893,.T.); #3897=FACE_BOUND('',#41894,.T.); #3898=FACE_BOUND('',#41895,.T.); #3899=FACE_BOUND('',#41896,.T.); #3900=FACE_BOUND('',#41897,.T.); #3901=FACE_BOUND('',#41898,.T.); #3902=FACE_BOUND('',#41899,.T.); #3903=FACE_BOUND('',#41900,.T.); #3904=FACE_BOUND('',#41901,.T.); #3905=FACE_BOUND('',#41902,.T.); #3906=FACE_BOUND('',#41903,.T.); #3907=FACE_BOUND('',#41904,.T.); #3908=FACE_BOUND('',#41905,.T.); #3909=FACE_BOUND('',#41906,.T.); #3910=FACE_BOUND('',#41907,.T.); #3911=FACE_BOUND('',#41908,.T.); #3912=FACE_BOUND('',#41909,.T.); #3913=FACE_BOUND('',#41910,.T.); #3914=FACE_BOUND('',#41911,.T.); #3915=FACE_BOUND('',#41912,.T.); #3916=FACE_BOUND('',#41913,.T.); #3917=FACE_BOUND('',#41914,.T.); #3918=FACE_BOUND('',#41915,.T.); #3919=FACE_BOUND('',#41916,.T.); #3920=FACE_BOUND('',#41917,.T.); #3921=FACE_BOUND('',#41918,.T.); #3922=FACE_BOUND('',#41919,.T.); #3923=FACE_BOUND('',#41920,.T.); #3924=FACE_BOUND('',#41921,.T.); #3925=FACE_BOUND('',#41922,.T.); #3926=FACE_BOUND('',#41923,.T.); #3927=FACE_BOUND('',#41924,.T.); #3928=FACE_BOUND('',#41925,.T.); #3929=FACE_BOUND('',#41926,.T.); #3930=FACE_BOUND('',#41927,.T.); #3931=FACE_BOUND('',#41929,.T.); #3932=FACE_BOUND('',#41930,.T.); #3933=FACE_BOUND('',#41931,.T.); #3934=FACE_BOUND('',#41932,.T.); #3935=FACE_BOUND('',#41933,.T.); #3936=FACE_BOUND('',#41934,.T.); #3937=FACE_BOUND('',#41935,.T.); #3938=FACE_BOUND('',#41936,.T.); #3939=FACE_BOUND('',#41937,.T.); #3940=FACE_BOUND('',#41938,.T.); #3941=FACE_BOUND('',#41939,.T.); #3942=FACE_BOUND('',#41940,.T.); #3943=FACE_BOUND('',#41941,.T.); #3944=FACE_BOUND('',#41942,.T.); #3945=FACE_BOUND('',#41943,.T.); #3946=FACE_BOUND('',#41944,.T.); #3947=FACE_BOUND('',#41945,.T.); #3948=FACE_BOUND('',#41946,.T.); #3949=FACE_BOUND('',#41947,.T.); #3950=FACE_BOUND('',#41948,.T.); #3951=FACE_BOUND('',#41949,.T.); #3952=FACE_BOUND('',#41950,.T.); #3953=FACE_BOUND('',#41951,.T.); #3954=FACE_BOUND('',#41952,.T.); #3955=FACE_BOUND('',#41953,.T.); #3956=FACE_BOUND('',#41954,.T.); #3957=FACE_BOUND('',#41955,.T.); #3958=FACE_BOUND('',#41956,.T.); #3959=FACE_BOUND('',#41957,.T.); #3960=FACE_BOUND('',#41958,.T.); #3961=FACE_BOUND('',#41959,.T.); #3962=FACE_BOUND('',#41960,.T.); #3963=FACE_BOUND('',#41961,.T.); #3964=FACE_BOUND('',#41962,.T.); #3965=FACE_BOUND('',#41963,.T.); #3966=FACE_BOUND('',#41964,.T.); #3967=FACE_BOUND('',#41965,.T.); #3968=FACE_BOUND('',#41966,.T.); #3969=FACE_BOUND('',#41967,.T.); #3970=FACE_BOUND('',#41968,.T.); #3971=FACE_BOUND('',#41969,.T.); #3972=FACE_BOUND('',#41970,.T.); #3973=FACE_BOUND('',#41971,.T.); #3974=FACE_BOUND('',#41972,.T.); #3975=FACE_BOUND('',#41973,.T.); #3976=FACE_BOUND('',#41974,.T.); #3977=FACE_BOUND('',#41975,.T.); #3978=FACE_BOUND('',#41976,.T.); #3979=FACE_BOUND('',#41977,.T.); #3980=FACE_BOUND('',#41978,.T.); #3981=FACE_BOUND('',#41979,.T.); #3982=FACE_BOUND('',#41980,.T.); #3983=FACE_BOUND('',#41981,.T.); #3984=FACE_BOUND('',#41982,.T.); #3985=FACE_BOUND('',#41983,.T.); #3986=FACE_BOUND('',#41984,.T.); #3987=FACE_BOUND('',#41985,.T.); #3988=FACE_BOUND('',#41986,.T.); #3989=FACE_BOUND('',#41987,.T.); #3990=FACE_BOUND('',#41988,.T.); #3991=FACE_BOUND('',#41989,.T.); #3992=FACE_BOUND('',#41990,.T.); #3993=FACE_BOUND('',#41991,.T.); #3994=FACE_BOUND('',#41992,.T.); #3995=FACE_BOUND('',#41993,.T.); #3996=FACE_BOUND('',#41994,.T.); #3997=FACE_BOUND('',#41995,.T.); #3998=FACE_BOUND('',#41996,.T.); #3999=FACE_BOUND('',#41997,.T.); #4000=FACE_BOUND('',#41998,.T.); #4001=FACE_BOUND('',#41999,.T.); #4002=FACE_BOUND('',#42000,.T.); #4003=FACE_BOUND('',#42001,.T.); #4004=FACE_BOUND('',#42002,.T.); #4005=FACE_BOUND('',#42003,.T.); #4006=FACE_BOUND('',#42004,.T.); #4007=FACE_BOUND('',#42005,.T.); #4008=FACE_BOUND('',#42006,.T.); #4009=FACE_BOUND('',#42007,.T.); #4010=FACE_BOUND('',#42008,.T.); #4011=FACE_BOUND('',#42009,.T.); #4012=FACE_BOUND('',#42010,.T.); #4013=FACE_BOUND('',#42011,.T.); #4014=FACE_BOUND('',#42012,.T.); #4015=FACE_BOUND('',#42013,.T.); #4016=FACE_BOUND('',#42014,.T.); #4017=FACE_BOUND('',#42015,.T.); #4018=FACE_BOUND('',#42016,.T.); #4019=FACE_BOUND('',#42017,.T.); #4020=FACE_BOUND('',#42018,.T.); #4021=FACE_BOUND('',#42019,.T.); #4022=FACE_BOUND('',#42020,.T.); #4023=FACE_BOUND('',#42021,.T.); #4024=FACE_BOUND('',#42022,.T.); #4025=FACE_BOUND('',#42023,.T.); #4026=FACE_BOUND('',#42024,.T.); #4027=FACE_BOUND('',#42025,.T.); #4028=FACE_BOUND('',#42026,.T.); #4029=FACE_BOUND('',#42027,.T.); #4030=FACE_BOUND('',#42028,.T.); #4031=FACE_BOUND('',#42029,.T.); #4032=FACE_BOUND('',#42030,.T.); #4033=FACE_BOUND('',#42031,.T.); #4034=FACE_BOUND('',#42032,.T.); #4035=FACE_BOUND('',#42033,.T.); #4036=FACE_BOUND('',#42034,.T.); #4037=FACE_BOUND('',#42035,.T.); #4038=FACE_BOUND('',#42036,.T.); #4039=FACE_BOUND('',#42037,.T.); #4040=FACE_BOUND('',#42038,.T.); #4041=FACE_BOUND('',#42039,.T.); #4042=FACE_BOUND('',#42040,.T.); #4043=FACE_BOUND('',#42041,.T.); #4044=FACE_BOUND('',#42042,.T.); #4045=FACE_BOUND('',#42043,.T.); #4046=FACE_BOUND('',#42044,.T.); #4047=FACE_BOUND('',#42045,.T.); #4048=FACE_BOUND('',#42046,.T.); #4049=FACE_BOUND('',#42047,.T.); #4050=FACE_BOUND('',#42048,.T.); #4051=FACE_BOUND('',#42049,.T.); #4052=FACE_BOUND('',#42050,.T.); #4053=FACE_BOUND('',#42051,.T.); #4054=FACE_BOUND('',#42052,.T.); #4055=FACE_BOUND('',#42053,.T.); #4056=FACE_BOUND('',#42054,.T.); #4057=FACE_BOUND('',#42055,.T.); #4058=FACE_BOUND('',#42056,.T.); #4059=FACE_BOUND('',#42057,.T.); #4060=FACE_BOUND('',#42058,.T.); #4061=FACE_BOUND('',#42059,.T.); #4062=FACE_BOUND('',#42060,.T.); #4063=FACE_BOUND('',#42061,.T.); #4064=FACE_BOUND('',#42062,.T.); #4065=FACE_BOUND('',#42063,.T.); #4066=FACE_BOUND('',#42064,.T.); #4067=FACE_BOUND('',#42065,.T.); #4068=FACE_BOUND('',#42066,.T.); #4069=FACE_BOUND('',#42067,.T.); #4070=FACE_BOUND('',#42068,.T.); #4071=FACE_BOUND('',#42069,.T.); #4072=FACE_BOUND('',#42070,.T.); #4073=FACE_BOUND('',#42071,.T.); #4074=FACE_BOUND('',#42072,.T.); #4075=FACE_BOUND('',#42073,.T.); #4076=FACE_BOUND('',#42074,.T.); #4077=FACE_BOUND('',#42075,.T.); #4078=FACE_BOUND('',#42076,.T.); #4079=FACE_BOUND('',#42077,.T.); #4080=FACE_BOUND('',#42078,.T.); #4081=FACE_BOUND('',#42079,.T.); #4082=FACE_BOUND('',#42080,.T.); #4083=FACE_BOUND('',#42081,.T.); #4084=FACE_BOUND('',#42082,.T.); #4085=FACE_BOUND('',#42083,.T.); #4086=FACE_BOUND('',#42084,.T.); #4087=FACE_BOUND('',#42085,.T.); #4088=FACE_BOUND('',#42086,.T.); #4089=FACE_BOUND('',#42087,.T.); #4090=FACE_BOUND('',#42088,.T.); #4091=FACE_BOUND('',#42089,.T.); #4092=FACE_BOUND('',#42090,.T.); #4093=FACE_BOUND('',#42091,.T.); #4094=FACE_BOUND('',#42092,.T.); #4095=FACE_BOUND('',#42093,.T.); #4096=FACE_BOUND('',#42094,.T.); #4097=FACE_BOUND('',#42095,.T.); #4098=FACE_BOUND('',#42096,.T.); #4099=FACE_BOUND('',#42097,.T.); #4100=FACE_BOUND('',#42098,.T.); #4101=FACE_BOUND('',#42099,.T.); #4102=FACE_BOUND('',#42100,.T.); #4103=FACE_BOUND('',#42101,.T.); #4104=FACE_BOUND('',#42102,.T.); #4105=FACE_BOUND('',#42103,.T.); #4106=FACE_BOUND('',#42104,.T.); #4107=FACE_BOUND('',#42105,.T.); #4108=FACE_BOUND('',#42106,.T.); #4109=FACE_BOUND('',#42107,.T.); #4110=FACE_BOUND('',#42108,.T.); #4111=FACE_BOUND('',#42109,.T.); #4112=FACE_BOUND('',#42110,.T.); #4113=FACE_BOUND('',#42111,.T.); #4114=FACE_BOUND('',#42112,.T.); #4115=FACE_BOUND('',#42113,.T.); #4116=FACE_BOUND('',#42114,.T.); #4117=FACE_BOUND('',#42115,.T.); #4118=FACE_BOUND('',#42116,.T.); #4119=FACE_BOUND('',#42117,.T.); #4120=FACE_BOUND('',#42118,.T.); #4121=FACE_BOUND('',#42119,.T.); #4122=FACE_BOUND('',#42120,.T.); #4123=FACE_BOUND('',#42121,.T.); #4124=FACE_BOUND('',#42122,.T.); #4125=FACE_BOUND('',#42123,.T.); #4126=FACE_BOUND('',#42124,.T.); #4127=FACE_BOUND('',#42125,.T.); #4128=FACE_BOUND('',#42126,.T.); #4129=FACE_BOUND('',#42127,.T.); #4130=FACE_BOUND('',#42128,.T.); #4131=FACE_BOUND('',#42129,.T.); #4132=FACE_BOUND('',#42130,.T.); #4133=FACE_BOUND('',#42131,.T.); #4134=FACE_BOUND('',#42132,.T.); #4135=FACE_BOUND('',#42133,.T.); #4136=FACE_BOUND('',#42134,.T.); #4137=FACE_BOUND('',#42135,.T.); #4138=FACE_BOUND('',#42136,.T.); #4139=FACE_BOUND('',#42137,.T.); #4140=FACE_BOUND('',#42138,.T.); #4141=FACE_BOUND('',#42139,.T.); #4142=FACE_BOUND('',#42140,.T.); #4143=FACE_BOUND('',#42141,.T.); #4144=FACE_BOUND('',#42142,.T.); #4145=FACE_BOUND('',#42143,.T.); #4146=FACE_BOUND('',#42144,.T.); #4147=FACE_BOUND('',#42145,.T.); #4148=FACE_BOUND('',#42146,.T.); #4149=FACE_BOUND('',#42147,.T.); #4150=FACE_BOUND('',#42148,.T.); #4151=FACE_BOUND('',#42149,.T.); #4152=FACE_BOUND('',#42150,.T.); #4153=FACE_BOUND('',#42151,.T.); #4154=FACE_BOUND('',#42152,.T.); #4155=FACE_BOUND('',#42153,.T.); #4156=FACE_BOUND('',#42154,.T.); #4157=FACE_BOUND('',#42155,.T.); #4158=FACE_BOUND('',#42156,.T.); #4159=FACE_BOUND('',#42157,.T.); #4160=FACE_BOUND('',#42158,.T.); #4161=FACE_BOUND('',#42159,.T.); #4162=FACE_BOUND('',#42160,.T.); #4163=FACE_BOUND('',#42161,.T.); #4164=FACE_BOUND('',#42162,.T.); #4165=FACE_BOUND('',#42163,.T.); #4166=FACE_BOUND('',#42164,.T.); #4167=FACE_BOUND('',#42165,.T.); #4168=FACE_BOUND('',#42166,.T.); #4169=FACE_BOUND('',#42167,.T.); #4170=FACE_BOUND('',#42168,.T.); #4171=FACE_BOUND('',#42169,.T.); #4172=FACE_BOUND('',#42170,.T.); #4173=FACE_BOUND('',#42171,.T.); #4174=FACE_BOUND('',#42172,.T.); #4175=FACE_BOUND('',#42173,.T.); #4176=FACE_BOUND('',#42174,.T.); #4177=FACE_BOUND('',#42175,.T.); #4178=FACE_BOUND('',#42176,.T.); #4179=FACE_BOUND('',#42177,.T.); #4180=FACE_BOUND('',#42178,.T.); #4181=FACE_BOUND('',#42179,.T.); #4182=FACE_BOUND('',#42180,.T.); #4183=FACE_BOUND('',#42181,.T.); #4184=FACE_BOUND('',#42182,.T.); #4185=FACE_BOUND('',#42183,.T.); #4186=FACE_BOUND('',#42184,.T.); #4187=FACE_BOUND('',#42185,.T.); #4188=FACE_BOUND('',#42186,.T.); #4189=FACE_BOUND('',#42187,.T.); #4190=FACE_BOUND('',#42188,.T.); #4191=FACE_BOUND('',#42189,.T.); #4192=FACE_BOUND('',#42190,.T.); #4193=FACE_BOUND('',#42191,.T.); #4194=FACE_BOUND('',#42192,.T.); #4195=FACE_BOUND('',#42193,.T.); #4196=FACE_BOUND('',#42194,.T.); #4197=FACE_BOUND('',#42195,.T.); #4198=FACE_BOUND('',#42196,.T.); #4199=FACE_BOUND('',#42197,.T.); #4200=FACE_BOUND('',#42198,.T.); #4201=FACE_BOUND('',#42199,.T.); #4202=FACE_BOUND('',#42200,.T.); #4203=FACE_BOUND('',#42201,.T.); #4204=FACE_BOUND('',#42202,.T.); #4205=FACE_BOUND('',#42203,.T.); #4206=FACE_BOUND('',#42204,.T.); #4207=FACE_BOUND('',#42205,.T.); #4208=FACE_BOUND('',#42206,.T.); #4209=FACE_BOUND('',#42207,.T.); #4210=FACE_BOUND('',#42208,.T.); #4211=FACE_BOUND('',#42209,.T.); #4212=FACE_BOUND('',#42210,.T.); #4213=FACE_BOUND('',#42211,.T.); #4214=FACE_BOUND('',#42212,.T.); #4215=FACE_BOUND('',#42213,.T.); #4216=FACE_BOUND('',#42214,.T.); #4217=FACE_BOUND('',#42215,.T.); #4218=FACE_BOUND('',#42216,.T.); #4219=FACE_BOUND('',#42217,.T.); #4220=FACE_BOUND('',#42218,.T.); #4221=FACE_BOUND('',#42219,.T.); #4222=FACE_BOUND('',#42220,.T.); #4223=FACE_BOUND('',#42221,.T.); #4224=FACE_BOUND('',#42222,.T.); #4225=FACE_BOUND('',#42223,.T.); #4226=FACE_BOUND('',#42224,.T.); #4227=FACE_BOUND('',#42225,.T.); #4228=FACE_BOUND('',#42226,.T.); #4229=FACE_BOUND('',#42227,.T.); #4230=FACE_BOUND('',#42228,.T.); #4231=FACE_BOUND('',#42229,.T.); #4232=FACE_BOUND('',#42230,.T.); #4233=FACE_BOUND('',#42231,.T.); #4234=FACE_BOUND('',#42232,.T.); #4235=FACE_BOUND('',#42233,.T.); #4236=FACE_BOUND('',#42234,.T.); #4237=FACE_BOUND('',#42235,.T.); #4238=FACE_BOUND('',#42236,.T.); #4239=FACE_BOUND('',#42237,.T.); #4240=FACE_BOUND('',#42238,.T.); #4241=FACE_BOUND('',#42239,.T.); #4242=FACE_BOUND('',#42240,.T.); #4243=FACE_BOUND('',#42241,.T.); #4244=FACE_BOUND('',#42242,.T.); #4245=FACE_BOUND('',#42243,.T.); #4246=FACE_BOUND('',#42244,.T.); #4247=FACE_BOUND('',#42245,.T.); #4248=FACE_BOUND('',#42249,.T.); #4249=FACE_BOUND('',#42251,.T.); #4250=FACE_BOUND('',#42255,.T.); #4251=FACE_BOUND('',#42257,.T.); #4252=FACE_BOUND('',#42261,.T.); #4253=FACE_BOUND('',#42263,.T.); #4254=FACE_BOUND('',#42267,.T.); #4255=FACE_BOUND('',#42269,.T.); #4256=FACE_BOUND('',#42273,.T.); #4257=FACE_BOUND('',#42275,.T.); #4258=FACE_BOUND('',#42279,.T.); #4259=FACE_BOUND('',#42281,.T.); #4260=FACE_BOUND('',#42285,.T.); #4261=FACE_BOUND('',#42287,.T.); #4262=FACE_BOUND('',#42291,.T.); #4263=FACE_BOUND('',#42293,.T.); #4264=FACE_BOUND('',#42297,.T.); #4265=FACE_BOUND('',#42299,.T.); #4266=FACE_BOUND('',#42303,.T.); #4267=FACE_BOUND('',#42305,.T.); #4268=FACE_BOUND('',#42309,.T.); #4269=FACE_BOUND('',#42311,.T.); #4270=FACE_BOUND('',#42315,.T.); #4271=FACE_BOUND('',#42317,.T.); #4272=FACE_BOUND('',#42321,.T.); #4273=FACE_BOUND('',#42323,.T.); #4274=FACE_BOUND('',#42327,.T.); #4275=FACE_BOUND('',#42329,.T.); #4276=FACE_BOUND('',#42333,.T.); #4277=FACE_BOUND('',#42335,.T.); #4278=FACE_BOUND('',#42339,.T.); #4279=FACE_BOUND('',#42341,.T.); #4280=FACE_BOUND('',#42345,.T.); #4281=FACE_BOUND('',#42347,.T.); #4282=FACE_BOUND('',#42351,.T.); #4283=FACE_BOUND('',#42353,.T.); #4284=FACE_BOUND('',#42357,.T.); #4285=FACE_BOUND('',#42359,.T.); #4286=FACE_BOUND('',#42363,.T.); #4287=FACE_BOUND('',#42365,.T.); #4288=FACE_BOUND('',#42369,.T.); #4289=FACE_BOUND('',#42371,.T.); #4290=FACE_BOUND('',#42375,.T.); #4291=FACE_BOUND('',#42377,.T.); #4292=FACE_BOUND('',#42381,.T.); #4293=FACE_BOUND('',#42383,.T.); #4294=FACE_BOUND('',#42387,.T.); #4295=FACE_BOUND('',#42389,.T.); #4296=FACE_BOUND('',#42393,.T.); #4297=FACE_BOUND('',#42395,.T.); #4298=FACE_BOUND('',#42399,.T.); #4299=FACE_BOUND('',#42401,.T.); #4300=FACE_BOUND('',#42405,.T.); #4301=FACE_BOUND('',#42407,.T.); #4302=FACE_BOUND('',#42411,.T.); #4303=FACE_BOUND('',#42413,.T.); #4304=FACE_BOUND('',#42417,.T.); #4305=FACE_BOUND('',#42419,.T.); #4306=FACE_BOUND('',#42423,.T.); #4307=FACE_BOUND('',#42425,.T.); #4308=FACE_BOUND('',#42429,.T.); #4309=FACE_BOUND('',#42431,.T.); #4310=FACE_BOUND('',#42435,.T.); #4311=FACE_BOUND('',#42437,.T.); #4312=FACE_BOUND('',#42441,.T.); #4313=FACE_BOUND('',#42443,.T.); #4314=FACE_BOUND('',#42447,.T.); #4315=FACE_BOUND('',#42449,.T.); #4316=FACE_BOUND('',#42453,.T.); #4317=FACE_BOUND('',#42455,.T.); #4318=FACE_BOUND('',#42459,.T.); #4319=FACE_BOUND('',#42461,.T.); #4320=FACE_BOUND('',#42465,.T.); #4321=FACE_BOUND('',#42467,.T.); #4322=FACE_BOUND('',#42471,.T.); #4323=FACE_BOUND('',#42473,.T.); #4324=FACE_BOUND('',#42477,.T.); #4325=FACE_BOUND('',#42479,.T.); #4326=FACE_BOUND('',#42483,.T.); #4327=FACE_BOUND('',#42485,.T.); #4328=FACE_BOUND('',#42489,.T.); #4329=FACE_BOUND('',#42491,.T.); #4330=FACE_BOUND('',#42495,.T.); #4331=FACE_BOUND('',#42497,.T.); #4332=FACE_BOUND('',#42501,.T.); #4333=FACE_BOUND('',#42503,.T.); #4334=FACE_BOUND('',#42507,.T.); #4335=FACE_BOUND('',#42509,.T.); #4336=FACE_BOUND('',#42513,.T.); #4337=FACE_BOUND('',#42515,.T.); #4338=FACE_BOUND('',#42519,.T.); #4339=FACE_BOUND('',#42521,.T.); #4340=FACE_BOUND('',#42525,.T.); #4341=FACE_BOUND('',#42527,.T.); #4342=FACE_BOUND('',#42531,.T.); #4343=FACE_BOUND('',#42533,.T.); #4344=FACE_BOUND('',#42537,.T.); #4345=FACE_BOUND('',#42539,.T.); #4346=FACE_BOUND('',#42543,.T.); #4347=FACE_BOUND('',#42545,.T.); #4348=FACE_BOUND('',#42549,.T.); #4349=FACE_BOUND('',#42551,.T.); #4350=FACE_BOUND('',#42555,.T.); #4351=FACE_BOUND('',#42557,.T.); #4352=FACE_BOUND('',#42561,.T.); #4353=FACE_BOUND('',#42563,.T.); #4354=FACE_BOUND('',#42567,.T.); #4355=FACE_BOUND('',#42569,.T.); #4356=FACE_BOUND('',#42573,.T.); #4357=FACE_BOUND('',#42575,.T.); #4358=FACE_BOUND('',#42579,.T.); #4359=FACE_BOUND('',#42581,.T.); #4360=FACE_BOUND('',#42585,.T.); #4361=FACE_BOUND('',#42587,.T.); #4362=FACE_BOUND('',#42591,.T.); #4363=FACE_BOUND('',#42593,.T.); #4364=FACE_BOUND('',#42597,.T.); #4365=FACE_BOUND('',#42599,.T.); #4366=FACE_BOUND('',#42603,.T.); #4367=FACE_BOUND('',#42605,.T.); #4368=FACE_BOUND('',#42609,.T.); #4369=FACE_BOUND('',#42611,.T.); #4370=FACE_BOUND('',#42615,.T.); #4371=FACE_BOUND('',#42617,.T.); #4372=FACE_BOUND('',#42621,.T.); #4373=FACE_BOUND('',#42623,.T.); #4374=FACE_BOUND('',#42627,.T.); #4375=FACE_BOUND('',#42629,.T.); #4376=FACE_BOUND('',#42633,.T.); #4377=FACE_BOUND('',#42635,.T.); #4378=FACE_BOUND('',#42639,.T.); #4379=FACE_BOUND('',#42641,.T.); #4380=FACE_BOUND('',#42645,.T.); #4381=FACE_BOUND('',#42647,.T.); #4382=FACE_BOUND('',#42651,.T.); #4383=FACE_BOUND('',#42653,.T.); #4384=FACE_BOUND('',#42657,.T.); #4385=FACE_BOUND('',#42659,.T.); #4386=FACE_BOUND('',#42663,.T.); #4387=FACE_BOUND('',#42665,.T.); #4388=FACE_BOUND('',#42669,.T.); #4389=FACE_BOUND('',#42671,.T.); #4390=FACE_BOUND('',#42675,.T.); #4391=FACE_BOUND('',#42677,.T.); #4392=FACE_BOUND('',#42681,.T.); #4393=FACE_BOUND('',#42683,.T.); #4394=FACE_BOUND('',#42687,.T.); #4395=FACE_BOUND('',#42689,.T.); #4396=FACE_BOUND('',#42693,.T.); #4397=FACE_BOUND('',#42695,.T.); #4398=FACE_BOUND('',#42699,.T.); #4399=FACE_BOUND('',#42701,.T.); #4400=FACE_BOUND('',#42705,.T.); #4401=FACE_BOUND('',#42707,.T.); #4402=FACE_BOUND('',#42711,.T.); #4403=FACE_BOUND('',#42713,.T.); #4404=FACE_BOUND('',#42717,.T.); #4405=FACE_BOUND('',#42719,.T.); #4406=FACE_BOUND('',#42723,.T.); #4407=FACE_BOUND('',#42725,.T.); #4408=FACE_BOUND('',#42729,.T.); #4409=FACE_BOUND('',#42731,.T.); #4410=FACE_BOUND('',#42735,.T.); #4411=FACE_BOUND('',#42737,.T.); #4412=FACE_BOUND('',#42741,.T.); #4413=FACE_BOUND('',#42743,.T.); #4414=FACE_BOUND('',#42747,.T.); #4415=FACE_BOUND('',#42749,.T.); #4416=FACE_BOUND('',#42753,.T.); #4417=FACE_BOUND('',#42755,.T.); #4418=FACE_BOUND('',#42759,.T.); #4419=FACE_BOUND('',#42761,.T.); #4420=FACE_BOUND('',#42765,.T.); #4421=FACE_BOUND('',#42767,.T.); #4422=FACE_BOUND('',#42771,.T.); #4423=FACE_BOUND('',#42773,.T.); #4424=FACE_BOUND('',#42777,.T.); #4425=FACE_BOUND('',#42779,.T.); #4426=FACE_BOUND('',#42783,.T.); #4427=FACE_BOUND('',#42785,.T.); #4428=FACE_BOUND('',#42789,.T.); #4429=FACE_BOUND('',#42791,.T.); #4430=FACE_BOUND('',#42795,.T.); #4431=FACE_BOUND('',#42797,.T.); #4432=FACE_BOUND('',#42801,.T.); #4433=FACE_BOUND('',#42803,.T.); #4434=FACE_BOUND('',#42807,.T.); #4435=FACE_BOUND('',#42809,.T.); #4436=FACE_BOUND('',#42813,.T.); #4437=FACE_BOUND('',#42815,.T.); #4438=FACE_BOUND('',#42819,.T.); #4439=FACE_BOUND('',#42821,.T.); #4440=FACE_BOUND('',#42825,.T.); #4441=FACE_BOUND('',#42827,.T.); #4442=FACE_BOUND('',#42831,.T.); #4443=FACE_BOUND('',#42833,.T.); #4444=FACE_BOUND('',#42837,.T.); #4445=FACE_BOUND('',#42839,.T.); #4446=FACE_BOUND('',#42843,.T.); #4447=FACE_BOUND('',#42845,.T.); #4448=FACE_BOUND('',#42849,.T.); #4449=FACE_BOUND('',#42851,.T.); #4450=FACE_BOUND('',#42855,.T.); #4451=FACE_BOUND('',#42857,.T.); #4452=FACE_BOUND('',#42861,.T.); #4453=FACE_BOUND('',#42863,.T.); #4454=FACE_BOUND('',#42867,.T.); #4455=FACE_BOUND('',#42869,.T.); #4456=FACE_BOUND('',#42873,.T.); #4457=FACE_BOUND('',#42875,.T.); #4458=FACE_BOUND('',#42879,.T.); #4459=FACE_BOUND('',#42881,.T.); #4460=FACE_BOUND('',#42885,.T.); #4461=FACE_BOUND('',#42887,.T.); #4462=FACE_BOUND('',#42891,.T.); #4463=FACE_BOUND('',#42893,.T.); #4464=FACE_BOUND('',#42897,.T.); #4465=FACE_BOUND('',#42899,.T.); #4466=FACE_BOUND('',#42903,.T.); #4467=FACE_BOUND('',#42905,.T.); #4468=FACE_BOUND('',#42909,.T.); #4469=FACE_BOUND('',#42911,.T.); #4470=FACE_BOUND('',#42915,.T.); #4471=FACE_BOUND('',#42917,.T.); #4472=FACE_BOUND('',#42921,.T.); #4473=FACE_BOUND('',#42923,.T.); #4474=FACE_BOUND('',#42927,.T.); #4475=FACE_BOUND('',#42929,.T.); #4476=FACE_BOUND('',#42933,.T.); #4477=FACE_BOUND('',#42935,.T.); #4478=FACE_BOUND('',#42939,.T.); #4479=FACE_BOUND('',#42941,.T.); #4480=FACE_BOUND('',#42945,.T.); #4481=FACE_BOUND('',#42947,.T.); #4482=FACE_BOUND('',#42951,.T.); #4483=FACE_BOUND('',#42953,.T.); #4484=FACE_BOUND('',#42957,.T.); #4485=FACE_BOUND('',#42959,.T.); #4486=FACE_BOUND('',#42963,.T.); #4487=FACE_BOUND('',#42965,.T.); #4488=FACE_BOUND('',#42969,.T.); #4489=FACE_BOUND('',#42971,.T.); #4490=FACE_BOUND('',#42975,.T.); #4491=FACE_BOUND('',#42977,.T.); #4492=FACE_BOUND('',#42981,.T.); #4493=FACE_BOUND('',#42983,.T.); #4494=FACE_BOUND('',#42987,.T.); #4495=FACE_BOUND('',#42989,.T.); #4496=FACE_BOUND('',#42993,.T.); #4497=FACE_BOUND('',#42995,.T.); #4498=FACE_BOUND('',#42999,.T.); #4499=FACE_BOUND('',#43001,.T.); #4500=FACE_BOUND('',#43005,.T.); #4501=FACE_BOUND('',#43007,.T.); #4502=FACE_BOUND('',#43011,.T.); #4503=FACE_BOUND('',#43013,.T.); #4504=FACE_BOUND('',#43017,.T.); #4505=FACE_BOUND('',#43019,.T.); #4506=FACE_BOUND('',#43023,.T.); #4507=FACE_BOUND('',#43025,.T.); #4508=FACE_BOUND('',#43029,.T.); #4509=FACE_BOUND('',#43031,.T.); #4510=FACE_BOUND('',#43035,.T.); #4511=FACE_BOUND('',#43037,.T.); #4512=FACE_BOUND('',#43047,.T.); #4513=FACE_BOUND('',#43049,.T.); #4514=FACE_BOUND('',#43053,.T.); #4515=FACE_BOUND('',#43055,.T.); #4516=FACE_BOUND('',#43065,.T.); #4517=FACE_BOUND('',#43067,.T.); #4518=FACE_BOUND('',#43071,.T.); #4519=FACE_BOUND('',#43073,.T.); #4520=FACE_BOUND('',#43083,.T.); #4521=FACE_BOUND('',#43085,.T.); #4522=FACE_BOUND('',#43089,.T.); #4523=FACE_BOUND('',#43091,.T.); #4524=FACE_BOUND('',#43095,.T.); #4525=FACE_BOUND('',#43097,.T.); #4526=FACE_BOUND('',#43101,.T.); #4527=FACE_BOUND('',#43103,.T.); #4528=FACE_BOUND('',#43107,.T.); #4529=FACE_BOUND('',#43109,.T.); #4530=FACE_BOUND('',#43113,.T.); #4531=FACE_BOUND('',#43115,.T.); #4532=FACE_BOUND('',#43119,.T.); #4533=FACE_BOUND('',#43121,.T.); #4534=FACE_BOUND('',#43125,.T.); #4535=FACE_BOUND('',#43127,.T.); #4536=FACE_BOUND('',#43131,.T.); #4537=FACE_BOUND('',#43133,.T.); #4538=FACE_BOUND('',#43137,.T.); #4539=FACE_BOUND('',#43139,.T.); #4540=FACE_BOUND('',#43143,.T.); #4541=FACE_BOUND('',#43145,.T.); #4542=FACE_BOUND('',#43155,.T.); #4543=FACE_BOUND('',#43157,.T.); #4544=FACE_BOUND('',#43161,.T.); #4545=FACE_BOUND('',#43163,.T.); #4546=FACE_BOUND('',#43167,.T.); #4547=FACE_BOUND('',#43169,.T.); #4548=FACE_BOUND('',#43173,.T.); #4549=FACE_BOUND('',#43175,.T.); #4550=FACE_BOUND('',#43185,.T.); #4551=FACE_BOUND('',#43187,.T.); #4552=FACE_BOUND('',#43191,.T.); #4553=FACE_BOUND('',#43193,.T.); #4554=FACE_BOUND('',#43203,.T.); #4555=FACE_BOUND('',#43205,.T.); #4556=FACE_BOUND('',#43209,.T.); #4557=FACE_BOUND('',#43211,.T.); #4558=FACE_BOUND('',#43215,.T.); #4559=FACE_BOUND('',#43217,.T.); #4560=FACE_BOUND('',#43221,.T.); #4561=FACE_BOUND('',#43223,.T.); #4562=FACE_BOUND('',#43227,.T.); #4563=FACE_BOUND('',#43229,.T.); #4564=FACE_BOUND('',#43233,.T.); #4565=FACE_BOUND('',#43235,.T.); #4566=FACE_BOUND('',#43239,.T.); #4567=FACE_BOUND('',#43241,.T.); #4568=FACE_BOUND('',#43245,.T.); #4569=FACE_BOUND('',#43247,.T.); #4570=FACE_BOUND('',#43251,.T.); #4571=FACE_BOUND('',#43253,.T.); #4572=FACE_BOUND('',#43257,.T.); #4573=FACE_BOUND('',#43259,.T.); #4574=FACE_BOUND('',#43263,.T.); #4575=FACE_BOUND('',#43265,.T.); #4576=FACE_BOUND('',#43269,.T.); #4577=FACE_BOUND('',#43271,.T.); #4578=FACE_BOUND('',#43275,.T.); #4579=FACE_BOUND('',#43277,.T.); #4580=FACE_BOUND('',#43281,.T.); #4581=FACE_BOUND('',#43283,.T.); #4582=FACE_BOUND('',#43287,.T.); #4583=FACE_BOUND('',#43289,.T.); #4584=FACE_BOUND('',#43293,.T.); #4585=FACE_BOUND('',#43295,.T.); #4586=FACE_BOUND('',#43299,.T.); #4587=FACE_BOUND('',#43301,.T.); #4588=FACE_BOUND('',#43305,.T.); #4589=FACE_BOUND('',#43307,.T.); #4590=FACE_BOUND('',#43311,.T.); #4591=FACE_BOUND('',#43313,.T.); #4592=FACE_BOUND('',#43317,.T.); #4593=FACE_BOUND('',#43319,.T.); #4594=FACE_BOUND('',#43323,.T.); #4595=FACE_BOUND('',#43325,.T.); #4596=FACE_BOUND('',#43329,.T.); #4597=FACE_BOUND('',#43331,.T.); #4598=FACE_BOUND('',#43335,.T.); #4599=FACE_BOUND('',#43337,.T.); #4600=FACE_BOUND('',#43341,.T.); #4601=FACE_BOUND('',#43343,.T.); #4602=FACE_BOUND('',#43347,.T.); #4603=FACE_BOUND('',#43349,.T.); #4604=FACE_BOUND('',#43353,.T.); #4605=FACE_BOUND('',#43355,.T.); #4606=FACE_BOUND('',#43359,.T.); #4607=FACE_BOUND('',#43361,.T.); #4608=FACE_BOUND('',#43365,.T.); #4609=FACE_BOUND('',#43367,.T.); #4610=FACE_BOUND('',#43371,.T.); #4611=FACE_BOUND('',#43373,.T.); #4612=FACE_BOUND('',#43377,.T.); #4613=FACE_BOUND('',#43379,.T.); #4614=FACE_BOUND('',#43383,.T.); #4615=FACE_BOUND('',#43385,.T.); #4616=FACE_BOUND('',#43389,.T.); #4617=FACE_BOUND('',#43391,.T.); #4618=FACE_BOUND('',#43395,.T.); #4619=FACE_BOUND('',#43397,.T.); #4620=FACE_BOUND('',#43401,.T.); #4621=FACE_BOUND('',#43403,.T.); #4622=FACE_BOUND('',#43407,.T.); #4623=FACE_BOUND('',#43409,.T.); #4624=FACE_BOUND('',#43413,.T.); #4625=FACE_BOUND('',#43415,.T.); #4626=FACE_BOUND('',#43419,.T.); #4627=FACE_BOUND('',#43421,.T.); #4628=FACE_BOUND('',#43425,.T.); #4629=FACE_BOUND('',#43427,.T.); #4630=FACE_BOUND('',#43431,.T.); #4631=FACE_BOUND('',#43433,.T.); #4632=FACE_BOUND('',#43437,.T.); #4633=FACE_BOUND('',#43439,.T.); #4634=FACE_BOUND('',#43443,.T.); #4635=FACE_BOUND('',#43445,.T.); #4636=FACE_BOUND('',#43449,.T.); #4637=FACE_BOUND('',#43451,.T.); #4638=FACE_BOUND('',#43455,.T.); #4639=FACE_BOUND('',#43457,.T.); #4640=FACE_BOUND('',#43461,.T.); #4641=FACE_BOUND('',#43463,.T.); #4642=FACE_BOUND('',#43467,.T.); #4643=FACE_BOUND('',#43469,.T.); #4644=FACE_BOUND('',#43473,.T.); #4645=FACE_BOUND('',#43475,.T.); #4646=FACE_BOUND('',#43479,.T.); #4647=FACE_BOUND('',#43481,.T.); #4648=FACE_BOUND('',#43485,.T.); #4649=FACE_BOUND('',#43487,.T.); #4650=FACE_BOUND('',#43491,.T.); #4651=FACE_BOUND('',#43493,.T.); #4652=FACE_BOUND('',#43497,.T.); #4653=FACE_BOUND('',#43499,.T.); #4654=FACE_BOUND('',#43503,.T.); #4655=FACE_BOUND('',#43505,.T.); #4656=FACE_BOUND('',#43509,.T.); #4657=FACE_BOUND('',#43511,.T.); #4658=FACE_BOUND('',#43515,.T.); #4659=FACE_BOUND('',#43517,.T.); #4660=FACE_BOUND('',#43521,.T.); #4661=FACE_BOUND('',#43523,.T.); #4662=FACE_BOUND('',#43527,.T.); #4663=FACE_BOUND('',#43529,.T.); #4664=FACE_BOUND('',#43533,.T.); #4665=FACE_BOUND('',#43535,.T.); #4666=FACE_BOUND('',#43539,.T.); #4667=FACE_BOUND('',#43541,.T.); #4668=FACE_BOUND('',#43545,.T.); #4669=FACE_BOUND('',#43547,.T.); #4670=FACE_BOUND('',#43551,.T.); #4671=FACE_BOUND('',#43553,.T.); #4672=FACE_BOUND('',#43557,.T.); #4673=FACE_BOUND('',#43559,.T.); #4674=FACE_BOUND('',#43563,.T.); #4675=FACE_BOUND('',#43565,.T.); #4676=FACE_BOUND('',#43569,.T.); #4677=FACE_BOUND('',#43571,.T.); #4678=FACE_BOUND('',#43575,.T.); #4679=FACE_BOUND('',#43577,.T.); #4680=FACE_BOUND('',#43581,.T.); #4681=FACE_BOUND('',#43583,.T.); #4682=FACE_BOUND('',#43587,.T.); #4683=FACE_BOUND('',#43589,.T.); #4684=FACE_BOUND('',#43593,.T.); #4685=FACE_BOUND('',#43595,.T.); #4686=FACE_BOUND('',#43599,.T.); #4687=FACE_BOUND('',#43601,.T.); #4688=FACE_BOUND('',#43605,.T.); #4689=FACE_BOUND('',#43607,.T.); #4690=FACE_BOUND('',#43611,.T.); #4691=FACE_BOUND('',#43613,.T.); #4692=FACE_BOUND('',#43617,.T.); #4693=FACE_BOUND('',#43619,.T.); #4694=FACE_BOUND('',#43623,.T.); #4695=FACE_BOUND('',#43625,.T.); #4696=FACE_BOUND('',#43629,.T.); #4697=FACE_BOUND('',#43631,.T.); #4698=FACE_BOUND('',#43635,.T.); #4699=FACE_BOUND('',#43637,.T.); #4700=FACE_BOUND('',#43641,.T.); #4701=FACE_BOUND('',#43643,.T.); #4702=FACE_BOUND('',#43647,.T.); #4703=FACE_BOUND('',#43649,.T.); #4704=FACE_BOUND('',#43653,.T.); #4705=FACE_BOUND('',#43655,.T.); #4706=FACE_BOUND('',#43659,.T.); #4707=FACE_BOUND('',#43661,.T.); #4708=FACE_BOUND('',#43665,.T.); #4709=FACE_BOUND('',#43667,.T.); #4710=FACE_BOUND('',#43671,.T.); #4711=FACE_BOUND('',#43673,.T.); #4712=FACE_BOUND('',#43677,.T.); #4713=FACE_BOUND('',#43679,.T.); #4714=FACE_BOUND('',#43683,.T.); #4715=FACE_BOUND('',#43685,.T.); #4716=FACE_BOUND('',#43689,.T.); #4717=FACE_BOUND('',#43691,.T.); #4718=FACE_BOUND('',#43695,.T.); #4719=FACE_BOUND('',#43697,.T.); #4720=FACE_BOUND('',#43701,.T.); #4721=FACE_BOUND('',#43703,.T.); #4722=FACE_BOUND('',#43707,.T.); #4723=FACE_BOUND('',#43709,.T.); #4724=FACE_BOUND('',#43713,.T.); #4725=FACE_BOUND('',#43715,.T.); #4726=FACE_BOUND('',#43719,.T.); #4727=FACE_BOUND('',#43721,.T.); #4728=FACE_BOUND('',#43725,.T.); #4729=FACE_BOUND('',#43727,.T.); #4730=FACE_BOUND('',#43731,.T.); #4731=FACE_BOUND('',#43733,.T.); #4732=FACE_BOUND('',#43737,.T.); #4733=FACE_BOUND('',#43739,.T.); #4734=FACE_BOUND('',#43743,.T.); #4735=FACE_BOUND('',#43745,.T.); #4736=FACE_BOUND('',#43749,.T.); #4737=FACE_BOUND('',#43751,.T.); #4738=FACE_BOUND('',#43755,.T.); #4739=FACE_BOUND('',#43757,.T.); #4740=FACE_BOUND('',#43761,.T.); #4741=FACE_BOUND('',#43763,.T.); #4742=FACE_BOUND('',#43767,.T.); #4743=FACE_BOUND('',#43769,.T.); #4744=FACE_BOUND('',#43773,.T.); #4745=FACE_BOUND('',#43775,.T.); #4746=FACE_BOUND('',#43779,.T.); #4747=FACE_BOUND('',#43781,.T.); #4748=FACE_BOUND('',#43785,.T.); #4749=FACE_BOUND('',#43787,.T.); #4750=FACE_BOUND('',#43791,.T.); #4751=FACE_BOUND('',#43793,.T.); #4752=FACE_BOUND('',#43797,.T.); #4753=FACE_BOUND('',#43799,.T.); #4754=FACE_BOUND('',#43803,.T.); #4755=FACE_BOUND('',#43805,.T.); #4756=FACE_BOUND('',#43809,.T.); #4757=FACE_BOUND('',#43811,.T.); #4758=FACE_BOUND('',#43815,.T.); #4759=FACE_BOUND('',#43817,.T.); #4760=FACE_BOUND('',#43821,.T.); #4761=FACE_BOUND('',#43823,.T.); #4762=FACE_BOUND('',#43827,.T.); #4763=FACE_BOUND('',#43829,.T.); #4764=FACE_BOUND('',#43833,.T.); #4765=FACE_BOUND('',#43835,.T.); #4766=FACE_BOUND('',#43839,.T.); #4767=FACE_BOUND('',#43841,.T.); #4768=FACE_BOUND('',#43845,.T.); #4769=FACE_BOUND('',#43847,.T.); #4770=FACE_BOUND('',#43851,.T.); #4771=FACE_BOUND('',#43853,.T.); #4772=FACE_BOUND('',#43857,.T.); #4773=FACE_BOUND('',#43859,.T.); #4774=FACE_BOUND('',#43863,.T.); #4775=FACE_BOUND('',#43865,.T.); #4776=FACE_BOUND('',#43869,.T.); #4777=FACE_BOUND('',#43871,.T.); #4778=FACE_BOUND('',#43875,.T.); #4779=FACE_BOUND('',#43877,.T.); #4780=FACE_BOUND('',#43881,.T.); #4781=FACE_BOUND('',#43883,.T.); #4782=FACE_BOUND('',#43887,.T.); #4783=FACE_BOUND('',#43889,.T.); #4784=FACE_BOUND('',#43893,.T.); #4785=FACE_BOUND('',#43895,.T.); #4786=FACE_BOUND('',#43899,.T.); #4787=FACE_BOUND('',#43901,.T.); #4788=FACE_BOUND('',#43905,.T.); #4789=FACE_BOUND('',#43907,.T.); #4790=FACE_BOUND('',#43911,.T.); #4791=FACE_BOUND('',#43913,.T.); #4792=FACE_BOUND('',#43917,.T.); #4793=FACE_BOUND('',#43919,.T.); #4794=FACE_BOUND('',#43923,.T.); #4795=FACE_BOUND('',#43925,.T.); #4796=FACE_BOUND('',#43929,.T.); #4797=FACE_BOUND('',#43931,.T.); #4798=FACE_BOUND('',#43935,.T.); #4799=FACE_BOUND('',#43937,.T.); #4800=FACE_BOUND('',#43941,.T.); #4801=FACE_BOUND('',#43943,.T.); #4802=FACE_BOUND('',#43947,.T.); #4803=FACE_BOUND('',#43949,.T.); #4804=FACE_BOUND('',#43953,.T.); #4805=FACE_BOUND('',#43955,.T.); #4806=FACE_BOUND('',#43959,.T.); #4807=FACE_BOUND('',#43961,.T.); #4808=FACE_BOUND('',#43965,.T.); #4809=FACE_BOUND('',#43967,.T.); #4810=FACE_BOUND('',#43971,.T.); #4811=FACE_BOUND('',#43973,.T.); #4812=FACE_BOUND('',#43977,.T.); #4813=FACE_BOUND('',#43979,.T.); #4814=FACE_BOUND('',#43983,.T.); #4815=FACE_BOUND('',#43985,.T.); #4816=FACE_BOUND('',#43989,.T.); #4817=FACE_BOUND('',#43991,.T.); #4818=FACE_BOUND('',#43995,.T.); #4819=FACE_BOUND('',#43997,.T.); #4820=FACE_BOUND('',#44001,.T.); #4821=FACE_BOUND('',#44003,.T.); #4822=FACE_BOUND('',#44007,.T.); #4823=FACE_BOUND('',#44009,.T.); #4824=FACE_BOUND('',#44013,.T.); #4825=FACE_BOUND('',#44015,.T.); #4826=FACE_BOUND('',#44019,.T.); #4827=FACE_BOUND('',#44021,.T.); #4828=FACE_BOUND('',#44025,.T.); #4829=FACE_BOUND('',#44027,.T.); #4830=FACE_BOUND('',#44031,.T.); #4831=FACE_BOUND('',#44033,.T.); #4832=FACE_BOUND('',#44037,.T.); #4833=FACE_BOUND('',#44039,.T.); #4834=FACE_BOUND('',#44043,.T.); #4835=FACE_BOUND('',#44045,.T.); #4836=FACE_BOUND('',#44049,.T.); #4837=FACE_BOUND('',#44051,.T.); #4838=FACE_BOUND('',#44055,.T.); #4839=FACE_BOUND('',#44057,.T.); #4840=FACE_BOUND('',#44061,.T.); #4841=FACE_BOUND('',#44063,.T.); #4842=FACE_BOUND('',#44067,.T.); #4843=FACE_BOUND('',#44069,.T.); #4844=FACE_BOUND('',#44073,.T.); #4845=FACE_BOUND('',#44075,.T.); #4846=FACE_BOUND('',#44079,.T.); #4847=FACE_BOUND('',#44081,.T.); #4848=FACE_BOUND('',#44085,.T.); #4849=FACE_BOUND('',#44087,.T.); #4850=FACE_BOUND('',#44091,.T.); #4851=FACE_BOUND('',#44093,.T.); #4852=FACE_BOUND('',#44097,.T.); #4853=FACE_BOUND('',#44099,.T.); #4854=FACE_BOUND('',#44103,.T.); #4855=FACE_BOUND('',#44105,.T.); #4856=FACE_BOUND('',#44109,.T.); #4857=FACE_BOUND('',#44111,.T.); #4858=FACE_BOUND('',#44115,.T.); #4859=FACE_BOUND('',#44117,.T.); #4860=FACE_BOUND('',#44121,.T.); #4861=FACE_BOUND('',#44123,.T.); #4862=FACE_BOUND('',#44127,.T.); #4863=FACE_BOUND('',#44129,.T.); #4864=FACE_BOUND('',#44133,.T.); #4865=FACE_BOUND('',#44135,.T.); #4866=FACE_BOUND('',#44139,.T.); #4867=FACE_BOUND('',#44141,.T.); #4868=FACE_BOUND('',#44145,.T.); #4869=FACE_BOUND('',#44147,.T.); #4870=FACE_BOUND('',#44151,.T.); #4871=FACE_BOUND('',#44153,.T.); #4872=FACE_BOUND('',#44157,.T.); #4873=FACE_BOUND('',#44159,.T.); #4874=FACE_BOUND('',#44163,.T.); #4875=FACE_BOUND('',#44165,.T.); #4876=FACE_BOUND('',#44169,.T.); #4877=FACE_BOUND('',#44171,.T.); #4878=FACE_BOUND('',#44177,.T.); #4879=FACE_BOUND('',#44179,.T.); #4880=FACE_BOUND('',#44245,.T.); #4881=FACE_BOUND('',#44247,.T.); #4882=FACE_BOUND('',#44259,.T.); #4883=FACE_BOUND('',#44260,.T.); #4884=FACE_BOUND('',#44262,.T.); #4885=FACE_BOUND('',#44263,.T.); #4886=FACE_BOUND('',#44269,.T.); #4887=FACE_BOUND('',#44271,.T.); #4888=FACE_BOUND('',#44277,.T.); #4889=FACE_BOUND('',#44279,.T.); #4890=FACE_BOUND('',#44295,.T.); #4891=FACE_BOUND('',#44297,.T.); #4892=FACE_BOUND('',#44310,.T.); #4893=FACE_BOUND('',#44312,.T.); #4894=FACE_BOUND('',#44435,.T.); #4895=FACE_BOUND('',#44437,.T.); #4896=FACE_BOUND('',#44456,.T.); #4897=FACE_BOUND('',#44458,.T.); #4898=FACE_BOUND('',#44473,.T.); #4899=FACE_BOUND('',#44475,.T.); #4900=FACE_BOUND('',#44492,.T.); #4901=FACE_BOUND('',#44494,.T.); #4902=FACE_BOUND('',#44506,.T.); #4903=FACE_BOUND('',#44507,.T.); #4904=FACE_BOUND('',#44509,.T.); #4905=FACE_BOUND('',#44510,.T.); #4906=FACE_BOUND('',#44529,.T.); #4907=FACE_BOUND('',#44531,.T.); #4908=FACE_BOUND('',#44556,.T.); #4909=FACE_BOUND('',#44558,.T.); #4910=FACE_BOUND('',#44613,.T.); #4911=FACE_BOUND('',#44615,.T.); #4912=FACE_BOUND('',#44629,.T.); #4913=FACE_BOUND('',#44631,.T.); #4914=FACE_BOUND('',#44757,.T.); #4915=FACE_BOUND('',#44759,.T.); #4916=FACE_BOUND('',#44778,.T.); #4917=FACE_BOUND('',#44780,.T.); #4918=FACE_BOUND('',#44796,.T.); #4919=FACE_BOUND('',#44798,.T.); #4920=FACE_BOUND('',#44819,.T.); #4921=FACE_BOUND('',#44821,.T.); #4922=FACE_BOUND('',#44837,.T.); #4923=FACE_BOUND('',#44839,.T.); #4924=FACE_BOUND('',#44860,.T.); #4925=FACE_BOUND('',#44862,.T.); #4926=FACE_BOUND('',#44876,.T.); #4927=FACE_BOUND('',#44878,.T.); #4928=FACE_BOUND('',#44892,.T.); #4929=FACE_BOUND('',#44894,.T.); #4930=FACE_BOUND('',#44920,.T.); #4931=FACE_BOUND('',#44922,.T.); #4932=FACE_BOUND('',#44942,.T.); #4933=FACE_BOUND('',#44944,.T.); #4934=FACE_BOUND('',#44970,.T.); #4935=FACE_BOUND('',#44972,.T.); #4936=FACE_BOUND('',#45005,.T.); #4937=FACE_BOUND('',#45007,.T.); #4938=FACE_BOUND('',#45034,.T.); #4939=FACE_BOUND('',#45036,.T.); #4940=FACE_BOUND('',#45054,.T.); #4941=FACE_BOUND('',#45056,.T.); #4942=FACE_BOUND('',#45102,.T.); #4943=FACE_BOUND('',#45104,.T.); #4944=FACE_BOUND('',#45128,.T.); #4945=FACE_BOUND('',#45130,.T.); #4946=FACE_BOUND('',#45137,.T.); #4947=FACE_BOUND('',#45139,.T.); #4948=FACE_BOUND('',#45146,.T.); #4949=FACE_BOUND('',#45148,.T.); #4950=FACE_BOUND('',#45165,.T.); #4951=FACE_BOUND('',#45167,.T.); #4952=FACE_BOUND('',#45173,.T.); #4953=FACE_BOUND('',#45175,.T.); #4954=FACE_BOUND('',#45186,.T.); #4955=FACE_BOUND('',#45187,.T.); #4956=FACE_BOUND('',#45189,.T.); #4957=FACE_BOUND('',#45190,.T.); #4958=FACE_BOUND('',#45196,.T.); #4959=FACE_BOUND('',#45198,.T.); #4960=FACE_BOUND('',#45205,.T.); #4961=FACE_BOUND('',#45207,.T.); #4962=FACE_BOUND('',#45253,.T.); #4963=FACE_BOUND('',#45255,.T.); #4964=FACE_BOUND('',#45279,.T.); #4965=FACE_BOUND('',#45281,.T.); #4966=FACE_BOUND('',#45329,.T.); #4967=FACE_BOUND('',#45331,.T.); #4968=FACE_BOUND('',#45356,.T.); #4969=FACE_BOUND('',#45358,.T.); #4970=FACE_BOUND('',#45373,.T.); #4971=FACE_BOUND('',#45375,.T.); #4972=FACE_BOUND('',#45426,.T.); #4973=FACE_BOUND('',#45428,.T.); #4974=FACE_BOUND('',#45453,.T.); #4975=FACE_BOUND('',#45454,.T.); #4976=FACE_BOUND('',#45456,.T.); #4977=FACE_BOUND('',#45457,.T.); #4978=FACE_BOUND('',#45619,.T.); #4979=FACE_BOUND('',#45620,.T.); #4980=FACE_BOUND('',#45621,.T.); #4981=FACE_BOUND('',#45622,.T.); #4982=FACE_BOUND('',#45623,.T.); #4983=FACE_BOUND('',#45624,.T.); #4984=FACE_BOUND('',#45625,.T.); #4985=FACE_BOUND('',#45626,.T.); #4986=FACE_BOUND('',#45627,.T.); #4987=FACE_BOUND('',#45628,.T.); #4988=FACE_BOUND('',#45629,.T.); #4989=FACE_BOUND('',#45631,.T.); #4990=FACE_BOUND('',#45632,.T.); #4991=FACE_BOUND('',#45633,.T.); #4992=FACE_BOUND('',#45634,.T.); #4993=FACE_BOUND('',#45635,.T.); #4994=FACE_BOUND('',#45636,.T.); #4995=FACE_BOUND('',#45637,.T.); #4996=FACE_BOUND('',#45638,.T.); #4997=FACE_BOUND('',#45639,.T.); #4998=FACE_BOUND('',#45640,.T.); #4999=FACE_BOUND('',#45641,.T.); #5000=FACE_BOUND('',#45647,.T.); #5001=FACE_BOUND('',#45649,.T.); #5002=FACE_BOUND('',#45834,.T.); #5003=FACE_BOUND('',#45835,.T.); #5004=FACE_BOUND('',#45836,.T.); #5005=FACE_BOUND('',#45838,.T.); #5006=FACE_BOUND('',#45839,.T.); #5007=FACE_BOUND('',#45840,.T.); #5008=FACE_BOUND('',#46164,.T.); #5009=FACE_BOUND('',#46165,.T.); #5010=FACE_BOUND('',#46166,.T.); #5011=FACE_BOUND('',#46167,.T.); #5012=FACE_BOUND('',#46168,.T.); #5013=FACE_BOUND('',#46169,.T.); #5014=FACE_BOUND('',#46170,.T.); #5015=FACE_BOUND('',#46171,.T.); #5016=FACE_BOUND('',#46172,.T.); #5017=FACE_BOUND('',#46173,.T.); #5018=FACE_BOUND('',#46174,.T.); #5019=FACE_BOUND('',#46175,.T.); #5020=FACE_BOUND('',#46177,.T.); #5021=FACE_BOUND('',#46178,.T.); #5022=FACE_BOUND('',#46179,.T.); #5023=FACE_BOUND('',#46180,.T.); #5024=FACE_BOUND('',#46181,.T.); #5025=FACE_BOUND('',#46182,.T.); #5026=FACE_BOUND('',#46183,.T.); #5027=FACE_BOUND('',#46184,.T.); #5028=FACE_BOUND('',#46185,.T.); #5029=FACE_BOUND('',#46186,.T.); #5030=FACE_BOUND('',#46187,.T.); #5031=FACE_BOUND('',#46188,.T.); #5032=FACE_BOUND('',#47246,.T.); #5033=FACE_BOUND('',#47247,.T.); #5034=FACE_BOUND('',#47248,.T.); #5035=FACE_BOUND('',#47249,.T.); #5036=FACE_BOUND('',#47250,.T.); #5037=FACE_BOUND('',#47251,.T.); #5038=FACE_BOUND('',#47252,.T.); #5039=FACE_BOUND('',#47253,.T.); #5040=FACE_BOUND('',#47254,.T.); #5041=FACE_BOUND('',#47255,.T.); #5042=FACE_BOUND('',#47256,.T.); #5043=FACE_BOUND('',#47257,.T.); #5044=FACE_BOUND('',#47258,.T.); #5045=FACE_BOUND('',#47259,.T.); #5046=FACE_BOUND('',#47260,.T.); #5047=FACE_BOUND('',#47261,.T.); #5048=FACE_BOUND('',#47262,.T.); #5049=FACE_BOUND('',#47263,.T.); #5050=FACE_BOUND('',#47264,.T.); #5051=FACE_BOUND('',#47265,.T.); #5052=FACE_BOUND('',#47266,.T.); #5053=FACE_BOUND('',#47267,.T.); #5054=FACE_BOUND('',#47268,.T.); #5055=FACE_BOUND('',#47269,.T.); #5056=FACE_BOUND('',#47270,.T.); #5057=FACE_BOUND('',#47271,.T.); #5058=FACE_BOUND('',#47272,.T.); #5059=FACE_BOUND('',#47273,.T.); #5060=FACE_BOUND('',#47274,.T.); #5061=FACE_BOUND('',#47275,.T.); #5062=FACE_BOUND('',#47276,.T.); #5063=FACE_BOUND('',#47277,.T.); #5064=FACE_BOUND('',#47278,.T.); #5065=FACE_BOUND('',#47279,.T.); #5066=FACE_BOUND('',#47280,.T.); #5067=FACE_BOUND('',#47281,.T.); #5068=FACE_BOUND('',#47282,.T.); #5069=FACE_BOUND('',#47283,.T.); #5070=FACE_BOUND('',#47284,.T.); #5071=FACE_BOUND('',#47285,.T.); #5072=FACE_BOUND('',#47286,.T.); #5073=FACE_BOUND('',#47287,.T.); #5074=FACE_BOUND('',#47288,.T.); #5075=FACE_BOUND('',#47289,.T.); #5076=FACE_BOUND('',#47290,.T.); #5077=FACE_BOUND('',#47291,.T.); #5078=FACE_BOUND('',#47292,.T.); #5079=FACE_BOUND('',#47293,.T.); #5080=FACE_BOUND('',#47294,.T.); #5081=FACE_BOUND('',#47295,.T.); #5082=FACE_BOUND('',#47296,.T.); #5083=FACE_BOUND('',#47297,.T.); #5084=FACE_BOUND('',#47298,.T.); #5085=FACE_BOUND('',#47299,.T.); #5086=FACE_BOUND('',#47300,.T.); #5087=FACE_BOUND('',#47301,.T.); #5088=FACE_BOUND('',#47302,.T.); #5089=FACE_BOUND('',#47303,.T.); #5090=FACE_BOUND('',#47304,.T.); #5091=FACE_BOUND('',#47305,.T.); #5092=FACE_BOUND('',#47306,.T.); #5093=FACE_BOUND('',#47307,.T.); #5094=FACE_BOUND('',#47308,.T.); #5095=FACE_BOUND('',#47309,.T.); #5096=FACE_BOUND('',#47310,.T.); #5097=FACE_BOUND('',#47311,.T.); #5098=FACE_BOUND('',#47312,.T.); #5099=FACE_BOUND('',#47313,.T.); #5100=FACE_BOUND('',#47314,.T.); #5101=FACE_BOUND('',#47315,.T.); #5102=FACE_BOUND('',#47317,.T.); #5103=FACE_BOUND('',#47318,.T.); #5104=FACE_BOUND('',#47319,.T.); #5105=FACE_BOUND('',#47320,.T.); #5106=FACE_BOUND('',#47321,.T.); #5107=FACE_BOUND('',#47322,.T.); #5108=FACE_BOUND('',#47323,.T.); #5109=FACE_BOUND('',#47324,.T.); #5110=FACE_BOUND('',#47325,.T.); #5111=FACE_BOUND('',#47326,.T.); #5112=FACE_BOUND('',#47327,.T.); #5113=FACE_BOUND('',#47328,.T.); #5114=FACE_BOUND('',#47329,.T.); #5115=FACE_BOUND('',#47330,.T.); #5116=FACE_BOUND('',#47331,.T.); #5117=FACE_BOUND('',#47332,.T.); #5118=FACE_BOUND('',#47333,.T.); #5119=FACE_BOUND('',#47334,.T.); #5120=FACE_BOUND('',#47335,.T.); #5121=FACE_BOUND('',#47336,.T.); #5122=FACE_BOUND('',#47337,.T.); #5123=FACE_BOUND('',#47338,.T.); #5124=FACE_BOUND('',#47339,.T.); #5125=FACE_BOUND('',#47340,.T.); #5126=FACE_BOUND('',#47341,.T.); #5127=FACE_BOUND('',#47342,.T.); #5128=FACE_BOUND('',#47343,.T.); #5129=FACE_BOUND('',#47344,.T.); #5130=FACE_BOUND('',#47345,.T.); #5131=FACE_BOUND('',#47346,.T.); #5132=FACE_BOUND('',#47347,.T.); #5133=FACE_BOUND('',#47348,.T.); #5134=FACE_BOUND('',#47349,.T.); #5135=FACE_BOUND('',#47350,.T.); #5136=FACE_BOUND('',#47351,.T.); #5137=FACE_BOUND('',#47352,.T.); #5138=FACE_BOUND('',#47353,.T.); #5139=FACE_BOUND('',#47354,.T.); #5140=FACE_BOUND('',#47355,.T.); #5141=FACE_BOUND('',#47356,.T.); #5142=FACE_BOUND('',#47357,.T.); #5143=FACE_BOUND('',#47358,.T.); #5144=FACE_BOUND('',#47359,.T.); #5145=FACE_BOUND('',#47360,.T.); #5146=FACE_BOUND('',#47361,.T.); #5147=FACE_BOUND('',#47362,.T.); #5148=FACE_BOUND('',#47363,.T.); #5149=FACE_BOUND('',#47364,.T.); #5150=FACE_BOUND('',#47365,.T.); #5151=FACE_BOUND('',#47366,.T.); #5152=FACE_BOUND('',#47367,.T.); #5153=FACE_BOUND('',#47368,.T.); #5154=FACE_BOUND('',#47369,.T.); #5155=FACE_BOUND('',#47370,.T.); #5156=FACE_BOUND('',#47371,.T.); #5157=FACE_BOUND('',#47372,.T.); #5158=FACE_BOUND('',#47373,.T.); #5159=FACE_BOUND('',#47374,.T.); #5160=FACE_BOUND('',#47375,.T.); #5161=FACE_BOUND('',#47376,.T.); #5162=FACE_BOUND('',#47377,.T.); #5163=FACE_BOUND('',#47378,.T.); #5164=FACE_BOUND('',#47379,.T.); #5165=FACE_BOUND('',#47380,.T.); #5166=FACE_BOUND('',#47381,.T.); #5167=FACE_BOUND('',#47382,.T.); #5168=FACE_BOUND('',#47383,.T.); #5169=FACE_BOUND('',#47384,.T.); #5170=FACE_BOUND('',#47385,.T.); #5171=FACE_BOUND('',#47386,.T.); #5172=FACE_BOUND('',#47688,.T.); #5173=FACE_BOUND('',#47689,.T.); #5174=FACE_BOUND('',#47690,.T.); #5175=FACE_BOUND('',#47692,.T.); #5176=FACE_BOUND('',#47693,.T.); #5177=FACE_BOUND('',#47694,.T.); #5178=FACE_BOUND('',#48093,.T.); #5179=FACE_BOUND('',#48094,.T.); #5180=FACE_BOUND('',#48095,.T.); #5181=FACE_BOUND('',#48096,.T.); #5182=FACE_BOUND('',#48097,.T.); #5183=FACE_BOUND('',#48098,.T.); #5184=FACE_BOUND('',#48099,.T.); #5185=FACE_BOUND('',#48100,.T.); #5186=FACE_BOUND('',#48101,.T.); #5187=FACE_BOUND('',#48102,.T.); #5188=FACE_BOUND('',#48103,.T.); #5189=FACE_BOUND('',#48104,.T.); #5190=FACE_BOUND('',#48105,.T.); #5191=FACE_BOUND('',#48106,.T.); #5192=FACE_BOUND('',#48107,.T.); #5193=FACE_BOUND('',#48108,.T.); #5194=FACE_BOUND('',#48109,.T.); #5195=FACE_BOUND('',#48110,.T.); #5196=FACE_BOUND('',#48111,.T.); #5197=FACE_BOUND('',#48112,.T.); #5198=FACE_BOUND('',#48113,.T.); #5199=FACE_BOUND('',#48114,.T.); #5200=FACE_BOUND('',#48115,.T.); #5201=FACE_BOUND('',#48116,.T.); #5202=FACE_BOUND('',#48117,.T.); #5203=FACE_BOUND('',#48118,.T.); #5204=FACE_BOUND('',#48119,.T.); #5205=FACE_BOUND('',#48120,.T.); #5206=FACE_BOUND('',#48121,.T.); #5207=FACE_BOUND('',#48122,.T.); #5208=FACE_BOUND('',#48123,.T.); #5209=FACE_BOUND('',#48124,.T.); #5210=FACE_BOUND('',#48125,.T.); #5211=FACE_BOUND('',#48126,.T.); #5212=FACE_BOUND('',#48127,.T.); #5213=FACE_BOUND('',#48128,.T.); #5214=FACE_BOUND('',#48129,.T.); #5215=FACE_BOUND('',#48130,.T.); #5216=FACE_BOUND('',#48131,.T.); #5217=FACE_BOUND('',#48132,.T.); #5218=FACE_BOUND('',#48133,.T.); #5219=FACE_BOUND('',#48134,.T.); #5220=FACE_BOUND('',#48135,.T.); #5221=FACE_BOUND('',#48136,.T.); #5222=FACE_BOUND('',#48137,.T.); #5223=FACE_BOUND('',#48138,.T.); #5224=FACE_BOUND('',#48139,.T.); #5225=FACE_BOUND('',#48140,.T.); #5226=FACE_BOUND('',#48141,.T.); #5227=FACE_BOUND('',#48143,.T.); #5228=FACE_BOUND('',#48144,.T.); #5229=FACE_BOUND('',#48145,.T.); #5230=FACE_BOUND('',#48146,.T.); #5231=FACE_BOUND('',#48147,.T.); #5232=FACE_BOUND('',#48148,.T.); #5233=FACE_BOUND('',#48149,.T.); #5234=FACE_BOUND('',#48150,.T.); #5235=FACE_BOUND('',#48151,.T.); #5236=FACE_BOUND('',#48152,.T.); #5237=FACE_BOUND('',#48153,.T.); #5238=FACE_BOUND('',#48154,.T.); #5239=FACE_BOUND('',#48155,.T.); #5240=FACE_BOUND('',#48156,.T.); #5241=FACE_BOUND('',#48157,.T.); #5242=FACE_BOUND('',#48158,.T.); #5243=FACE_BOUND('',#48159,.T.); #5244=FACE_BOUND('',#48160,.T.); #5245=FACE_BOUND('',#48161,.T.); #5246=FACE_BOUND('',#48162,.T.); #5247=FACE_BOUND('',#48163,.T.); #5248=FACE_BOUND('',#48164,.T.); #5249=FACE_BOUND('',#48165,.T.); #5250=FACE_BOUND('',#48166,.T.); #5251=FACE_BOUND('',#48167,.T.); #5252=FACE_BOUND('',#48168,.T.); #5253=FACE_BOUND('',#48169,.T.); #5254=FACE_BOUND('',#48170,.T.); #5255=FACE_BOUND('',#48171,.T.); #5256=FACE_BOUND('',#48172,.T.); #5257=FACE_BOUND('',#48173,.T.); #5258=FACE_BOUND('',#48174,.T.); #5259=FACE_BOUND('',#48175,.T.); #5260=FACE_BOUND('',#48176,.T.); #5261=FACE_BOUND('',#48177,.T.); #5262=FACE_BOUND('',#48178,.T.); #5263=FACE_BOUND('',#48179,.T.); #5264=FACE_BOUND('',#48180,.T.); #5265=FACE_BOUND('',#48181,.T.); #5266=FACE_BOUND('',#48182,.T.); #5267=FACE_BOUND('',#48183,.T.); #5268=FACE_BOUND('',#48184,.T.); #5269=FACE_BOUND('',#48185,.T.); #5270=FACE_BOUND('',#48186,.T.); #5271=FACE_BOUND('',#48187,.T.); #5272=FACE_BOUND('',#48188,.T.); #5273=FACE_BOUND('',#48189,.T.); #5274=FACE_BOUND('',#48190,.T.); #5275=FACE_BOUND('',#48191,.T.); #5276=FACE_BOUND('',#48794,.T.); #5277=FACE_BOUND('',#48795,.T.); #5278=FACE_BOUND('',#48797,.T.); #5279=FACE_BOUND('',#48798,.T.); #5280=FACE_BOUND('',#48878,.T.); #5281=FACE_BOUND('',#48879,.T.); #5282=FACE_BOUND('',#48880,.T.); #5283=FACE_BOUND('',#48881,.T.); #5284=FACE_BOUND('',#48882,.T.); #5285=FACE_BOUND('',#48883,.T.); #5286=FACE_BOUND('',#48884,.T.); #5287=FACE_BOUND('',#48885,.T.); #5288=FACE_BOUND('',#48886,.T.); #5289=FACE_BOUND('',#48887,.T.); #5290=FACE_BOUND('',#48888,.T.); #5291=FACE_BOUND('',#48889,.T.); #5292=FACE_BOUND('',#48890,.T.); #5293=FACE_BOUND('',#48891,.T.); #5294=FACE_BOUND('',#48892,.T.); #5295=FACE_BOUND('',#48894,.T.); #5296=FACE_BOUND('',#48895,.T.); #5297=FACE_BOUND('',#48896,.T.); #5298=FACE_BOUND('',#48897,.T.); #5299=FACE_BOUND('',#48898,.T.); #5300=FACE_BOUND('',#48899,.T.); #5301=FACE_BOUND('',#48900,.T.); #5302=FACE_BOUND('',#48901,.T.); #5303=FACE_BOUND('',#48902,.T.); #5304=FACE_BOUND('',#48903,.T.); #5305=FACE_BOUND('',#48904,.T.); #5306=FACE_BOUND('',#48905,.T.); #5307=FACE_BOUND('',#48906,.T.); #5308=FACE_BOUND('',#48907,.T.); #5309=FACE_BOUND('',#48908,.T.); #5310=FACE_BOUND('',#48953,.T.); #5311=FACE_BOUND('',#48955,.T.); #5312=FACE_BOUND('',#49021,.T.); #5313=FACE_BOUND('',#49022,.T.); #5314=FACE_BOUND('',#49023,.T.); #5315=FACE_BOUND('',#49024,.T.); #5316=FACE_BOUND('',#49025,.T.); #5317=FACE_BOUND('',#49026,.T.); #5318=FACE_BOUND('',#49027,.T.); #5319=FACE_BOUND('',#49028,.T.); #5320=FACE_BOUND('',#49029,.T.); #5321=FACE_BOUND('',#49030,.T.); #5322=FACE_BOUND('',#49031,.T.); #5323=FACE_BOUND('',#49032,.T.); #5324=FACE_BOUND('',#49033,.T.); #5325=FACE_BOUND('',#49034,.T.); #5326=FACE_BOUND('',#49035,.T.); #5327=FACE_BOUND('',#49037,.T.); #5328=FACE_BOUND('',#49038,.T.); #5329=FACE_BOUND('',#49039,.T.); #5330=FACE_BOUND('',#49040,.T.); #5331=FACE_BOUND('',#49041,.T.); #5332=FACE_BOUND('',#49042,.T.); #5333=FACE_BOUND('',#49043,.T.); #5334=FACE_BOUND('',#49044,.T.); #5335=FACE_BOUND('',#49045,.T.); #5336=FACE_BOUND('',#49046,.T.); #5337=FACE_BOUND('',#49047,.T.); #5338=FACE_BOUND('',#49048,.T.); #5339=FACE_BOUND('',#49049,.T.); #5340=FACE_BOUND('',#49050,.T.); #5341=FACE_BOUND('',#49051,.T.); #5342=FACE_BOUND('',#49096,.T.); #5343=FACE_BOUND('',#49098,.T.); #5344=FACE_BOUND('',#49216,.T.); #5345=FACE_BOUND('',#49217,.T.); #5346=FACE_BOUND('',#49218,.T.); #5347=FACE_BOUND('',#49219,.T.); #5348=FACE_BOUND('',#49220,.T.); #5349=FACE_BOUND('',#49221,.T.); #5350=FACE_BOUND('',#49222,.T.); #5351=FACE_BOUND('',#49223,.T.); #5352=FACE_BOUND('',#49224,.T.); #5353=FACE_BOUND('',#49225,.T.); #5354=FACE_BOUND('',#49226,.T.); #5355=FACE_BOUND('',#49227,.T.); #5356=FACE_BOUND('',#49228,.T.); #5357=FACE_BOUND('',#49229,.T.); #5358=FACE_BOUND('',#49230,.T.); #5359=FACE_BOUND('',#49231,.T.); #5360=FACE_BOUND('',#49232,.T.); #5361=FACE_BOUND('',#49233,.T.); #5362=FACE_BOUND('',#49234,.T.); #5363=FACE_BOUND('',#49235,.T.); #5364=FACE_BOUND('',#49236,.T.); #5365=FACE_BOUND('',#49237,.T.); #5366=FACE_BOUND('',#49238,.T.); #5367=FACE_BOUND('',#49239,.T.); #5368=FACE_BOUND('',#49240,.T.); #5369=FACE_BOUND('',#49241,.T.); #5370=FACE_BOUND('',#49242,.T.); #5371=FACE_BOUND('',#49243,.T.); #5372=FACE_BOUND('',#49244,.T.); #5373=FACE_BOUND('',#49245,.T.); #5374=FACE_BOUND('',#49246,.T.); #5375=FACE_BOUND('',#49248,.T.); #5376=FACE_BOUND('',#49249,.T.); #5377=FACE_BOUND('',#49250,.T.); #5378=FACE_BOUND('',#49251,.T.); #5379=FACE_BOUND('',#49252,.T.); #5380=FACE_BOUND('',#49253,.T.); #5381=FACE_BOUND('',#49254,.T.); #5382=FACE_BOUND('',#49255,.T.); #5383=FACE_BOUND('',#49256,.T.); #5384=FACE_BOUND('',#49257,.T.); #5385=FACE_BOUND('',#49258,.T.); #5386=FACE_BOUND('',#49259,.T.); #5387=FACE_BOUND('',#49260,.T.); #5388=FACE_BOUND('',#49261,.T.); #5389=FACE_BOUND('',#49262,.T.); #5390=FACE_BOUND('',#49263,.T.); #5391=FACE_BOUND('',#49264,.T.); #5392=FACE_BOUND('',#49265,.T.); #5393=FACE_BOUND('',#49266,.T.); #5394=FACE_BOUND('',#49267,.T.); #5395=FACE_BOUND('',#49268,.T.); #5396=FACE_BOUND('',#49269,.T.); #5397=FACE_BOUND('',#49270,.T.); #5398=FACE_BOUND('',#49271,.T.); #5399=FACE_BOUND('',#49272,.T.); #5400=FACE_BOUND('',#49273,.T.); #5401=FACE_BOUND('',#49274,.T.); #5402=FACE_BOUND('',#49275,.T.); #5403=FACE_BOUND('',#49276,.T.); #5404=FACE_BOUND('',#49277,.T.); #5405=FACE_BOUND('',#49278,.T.); #5406=FACE_BOUND('',#49302,.T.); #5407=FACE_BOUND('',#49304,.T.); #5408=FACE_BOUND('',#49441,.T.); #5409=FACE_BOUND('',#49442,.T.); #5410=FACE_BOUND('',#49443,.T.); #5411=FACE_BOUND('',#49444,.T.); #5412=FACE_BOUND('',#49445,.T.); #5413=FACE_BOUND('',#49446,.T.); #5414=FACE_BOUND('',#49447,.T.); #5415=FACE_BOUND('',#49448,.T.); #5416=FACE_BOUND('',#49449,.T.); #5417=FACE_BOUND('',#49450,.T.); #5418=FACE_BOUND('',#49451,.T.); #5419=FACE_BOUND('',#49453,.T.); #5420=FACE_BOUND('',#49454,.T.); #5421=FACE_BOUND('',#49455,.T.); #5422=FACE_BOUND('',#49456,.T.); #5423=FACE_BOUND('',#49457,.T.); #5424=FACE_BOUND('',#49458,.T.); #5425=FACE_BOUND('',#49459,.T.); #5426=FACE_BOUND('',#49460,.T.); #5427=FACE_BOUND('',#49461,.T.); #5428=FACE_BOUND('',#49462,.T.); #5429=FACE_BOUND('',#49463,.T.); #5430=FACE_BOUND('',#49576,.T.); #5431=FACE_BOUND('',#49577,.T.); #5432=FACE_BOUND('',#49578,.T.); #5433=FACE_BOUND('',#49579,.T.); #5434=FACE_BOUND('',#49580,.T.); #5435=FACE_BOUND('',#49581,.T.); #5436=FACE_BOUND('',#49582,.T.); #5437=FACE_BOUND('',#49583,.T.); #5438=FACE_BOUND('',#49584,.T.); #5439=FACE_BOUND('',#49585,.T.); #5440=FACE_BOUND('',#49586,.T.); #5441=FACE_BOUND('',#49587,.T.); #5442=FACE_BOUND('',#49588,.T.); #5443=FACE_BOUND('',#49589,.T.); #5444=FACE_BOUND('',#49590,.T.); #5445=FACE_BOUND('',#49591,.T.); #5446=FACE_BOUND('',#49592,.T.); #5447=FACE_BOUND('',#49593,.T.); #5448=FACE_BOUND('',#49594,.T.); #5449=FACE_BOUND('',#49595,.T.); #5450=FACE_BOUND('',#49596,.T.); #5451=FACE_BOUND('',#49597,.T.); #5452=FACE_BOUND('',#49598,.T.); #5453=FACE_BOUND('',#49599,.T.); #5454=FACE_BOUND('',#49600,.T.); #5455=FACE_BOUND('',#49601,.T.); #5456=FACE_BOUND('',#49602,.T.); #5457=FACE_BOUND('',#49603,.T.); #5458=FACE_BOUND('',#49604,.T.); #5459=FACE_BOUND('',#49605,.T.); #5460=FACE_BOUND('',#49606,.T.); #5461=FACE_BOUND('',#49608,.T.); #5462=FACE_BOUND('',#49609,.T.); #5463=FACE_BOUND('',#49610,.T.); #5464=FACE_BOUND('',#49611,.T.); #5465=FACE_BOUND('',#49612,.T.); #5466=FACE_BOUND('',#49613,.T.); #5467=FACE_BOUND('',#49614,.T.); #5468=FACE_BOUND('',#49615,.T.); #5469=FACE_BOUND('',#49616,.T.); #5470=FACE_BOUND('',#49617,.T.); #5471=FACE_BOUND('',#49618,.T.); #5472=FACE_BOUND('',#49619,.T.); #5473=FACE_BOUND('',#49620,.T.); #5474=FACE_BOUND('',#49621,.T.); #5475=FACE_BOUND('',#49622,.T.); #5476=FACE_BOUND('',#49623,.T.); #5477=FACE_BOUND('',#49624,.T.); #5478=FACE_BOUND('',#49625,.T.); #5479=FACE_BOUND('',#49626,.T.); #5480=FACE_BOUND('',#49627,.T.); #5481=FACE_BOUND('',#49628,.T.); #5482=FACE_BOUND('',#49629,.T.); #5483=FACE_BOUND('',#49630,.T.); #5484=FACE_BOUND('',#49631,.T.); #5485=FACE_BOUND('',#49632,.T.); #5486=FACE_BOUND('',#49633,.T.); #5487=FACE_BOUND('',#49634,.T.); #5488=FACE_BOUND('',#49635,.T.); #5489=FACE_BOUND('',#49636,.T.); #5490=FACE_BOUND('',#49637,.T.); #5491=FACE_BOUND('',#49638,.T.); #5492=FACE_BOUND('',#49662,.T.); #5493=FACE_BOUND('',#49664,.T.); #5494=FACE_BOUND('',#50004,.T.); #5495=FACE_BOUND('',#50005,.T.); #5496=FACE_BOUND('',#50006,.T.); #5497=FACE_BOUND('',#50007,.T.); #5498=FACE_BOUND('',#50008,.T.); #5499=FACE_BOUND('',#50009,.T.); #5500=FACE_BOUND('',#50010,.T.); #5501=FACE_BOUND('',#50011,.T.); #5502=FACE_BOUND('',#50012,.T.); #5503=FACE_BOUND('',#50013,.T.); #5504=FACE_BOUND('',#50014,.T.); #5505=FACE_BOUND('',#50015,.T.); #5506=FACE_BOUND('',#50016,.T.); #5507=FACE_BOUND('',#50017,.T.); #5508=FACE_BOUND('',#50018,.T.); #5509=FACE_BOUND('',#50019,.T.); #5510=FACE_BOUND('',#50020,.T.); #5511=FACE_BOUND('',#50022,.T.); #5512=FACE_BOUND('',#50023,.T.); #5513=FACE_BOUND('',#50024,.T.); #5514=FACE_BOUND('',#50025,.T.); #5515=FACE_BOUND('',#50026,.T.); #5516=FACE_BOUND('',#50027,.T.); #5517=FACE_BOUND('',#50028,.T.); #5518=FACE_BOUND('',#50029,.T.); #5519=FACE_BOUND('',#50030,.T.); #5520=FACE_BOUND('',#50031,.T.); #5521=FACE_BOUND('',#50032,.T.); #5522=FACE_BOUND('',#50033,.T.); #5523=FACE_BOUND('',#50034,.T.); #5524=FACE_BOUND('',#50035,.T.); #5525=FACE_BOUND('',#50036,.T.); #5526=FACE_BOUND('',#50037,.T.); #5527=FACE_BOUND('',#50038,.T.); #5528=FACE_BOUND('',#50180,.T.); #5529=FACE_BOUND('',#50182,.T.); #5530=FACE_BOUND('',#50188,.T.); #5531=FACE_BOUND('',#50190,.T.); #5532=FACE_BOUND('',#50196,.T.); #5533=FACE_BOUND('',#50198,.T.); #5534=FACE_BOUND('',#50204,.T.); #5535=FACE_BOUND('',#50206,.T.); #5536=FACE_BOUND('',#50213,.T.); #5537=FACE_BOUND('',#50215,.T.); #5538=FACE_BOUND('',#50221,.T.); #5539=FACE_BOUND('',#50223,.T.); #5540=FACE_BOUND('',#50229,.T.); #5541=FACE_BOUND('',#50231,.T.); #5542=FACE_BOUND('',#50237,.T.); #5543=FACE_BOUND('',#50239,.T.); #5544=FACE_BOUND('',#50245,.T.); #5545=FACE_BOUND('',#50247,.T.); #5546=FACE_BOUND('',#50309,.T.); #5547=FACE_BOUND('',#50311,.T.); #5548=FACE_BOUND('',#50389,.T.); #5549=FACE_BOUND('',#50391,.T.); #5550=FACE_BOUND('',#50453,.T.); #5551=FACE_BOUND('',#50455,.T.); #5552=FACE_BOUND('',#50462,.T.); #5553=FACE_BOUND('',#50464,.T.); #5554=FACE_BOUND('',#50526,.T.); #5555=FACE_BOUND('',#50528,.T.); #5556=FACE_BOUND('',#50606,.T.); #5557=FACE_BOUND('',#50608,.T.); #5558=FACE_BOUND('',#50614,.T.); #5559=FACE_BOUND('',#50616,.T.); #5560=FACE_BOUND('',#50622,.T.); #5561=FACE_BOUND('',#50624,.T.); #5562=FACE_BOUND('',#50686,.T.); #5563=FACE_BOUND('',#50688,.T.); #5564=FACE_BOUND('',#50694,.T.); #5565=FACE_BOUND('',#50696,.T.); #5566=FACE_BOUND('',#50702,.T.); #5567=FACE_BOUND('',#50704,.T.); #5568=FACE_BOUND('',#50710,.T.); #5569=FACE_BOUND('',#50712,.T.); #5570=FACE_BOUND('',#50719,.T.); #5571=FACE_BOUND('',#50721,.T.); #5572=FACE_BOUND('',#50727,.T.); #5573=FACE_BOUND('',#50729,.T.); #5574=FACE_BOUND('',#50819,.T.); #5575=FACE_BOUND('',#50820,.T.); #5576=FACE_BOUND('',#50821,.T.); #5577=FACE_BOUND('',#50822,.T.); #5578=FACE_BOUND('',#50823,.T.); #5579=FACE_BOUND('',#50824,.T.); #5580=FACE_BOUND('',#50826,.T.); #5581=FACE_BOUND('',#50827,.T.); #5582=FACE_BOUND('',#50828,.T.); #5583=FACE_BOUND('',#50829,.T.); #5584=FACE_BOUND('',#50830,.T.); #5585=FACE_BOUND('',#50831,.T.); #5586=FACE_BOUND('',#50999,.T.); #5587=FACE_BOUND('',#51000,.T.); #5588=FACE_BOUND('',#51001,.T.); #5589=FACE_BOUND('',#51002,.T.); #5590=FACE_BOUND('',#51003,.T.); #5591=FACE_BOUND('',#51004,.T.); #5592=FACE_BOUND('',#51006,.T.); #5593=FACE_BOUND('',#51007,.T.); #5594=FACE_BOUND('',#51008,.T.); #5595=FACE_BOUND('',#51009,.T.); #5596=FACE_BOUND('',#51010,.T.); #5597=FACE_BOUND('',#51011,.T.); #5598=FACE_BOUND('',#51059,.T.); #5599=FACE_BOUND('',#51060,.T.); #5600=FACE_BOUND('',#51061,.T.); #5601=FACE_BOUND('',#51062,.T.); #5602=FACE_BOUND('',#51063,.T.); #5603=FACE_BOUND('',#51064,.T.); #5604=FACE_BOUND('',#51066,.T.); #5605=FACE_BOUND('',#51067,.T.); #5606=FACE_BOUND('',#51068,.T.); #5607=FACE_BOUND('',#51069,.T.); #5608=FACE_BOUND('',#51070,.T.); #5609=FACE_BOUND('',#51071,.T.); #5610=FACE_BOUND('',#51138,.T.); #5611=FACE_BOUND('',#51139,.T.); #5612=FACE_BOUND('',#51140,.T.); #5613=FACE_BOUND('',#51141,.T.); #5614=FACE_BOUND('',#51142,.T.); #5615=FACE_BOUND('',#51143,.T.); #5616=FACE_BOUND('',#51144,.T.); #5617=FACE_BOUND('',#51145,.T.); #5618=FACE_BOUND('',#51146,.T.); #5619=FACE_BOUND('',#51147,.T.); #5620=FACE_BOUND('',#51148,.T.); #5621=FACE_BOUND('',#51149,.T.); #5622=FACE_BOUND('',#51150,.T.); #5623=FACE_BOUND('',#51151,.T.); #5624=FACE_BOUND('',#51152,.T.); #5625=FACE_BOUND('',#51153,.T.); #5626=FACE_BOUND('',#51154,.T.); #5627=FACE_BOUND('',#51155,.T.); #5628=FACE_BOUND('',#51156,.T.); #5629=FACE_BOUND('',#51157,.T.); #5630=FACE_BOUND('',#51158,.T.); #5631=FACE_BOUND('',#51159,.T.); #5632=FACE_BOUND('',#51160,.T.); #5633=FACE_BOUND('',#51161,.T.); #5634=FACE_BOUND('',#51162,.T.); #5635=FACE_BOUND('',#51163,.T.); #5636=FACE_BOUND('',#51164,.T.); #5637=FACE_BOUND('',#51165,.T.); #5638=FACE_BOUND('',#51166,.T.); #5639=FACE_BOUND('',#51167,.T.); #5640=FACE_BOUND('',#51168,.T.); #5641=FACE_BOUND('',#51169,.T.); #5642=FACE_BOUND('',#51170,.T.); #5643=FACE_BOUND('',#51171,.T.); #5644=FACE_BOUND('',#51172,.T.); #5645=FACE_BOUND('',#51173,.T.); #5646=FACE_BOUND('',#51174,.T.); #5647=FACE_BOUND('',#51175,.T.); #5648=FACE_BOUND('',#51176,.T.); #5649=FACE_BOUND('',#51177,.T.); #5650=FACE_BOUND('',#51178,.T.); #5651=FACE_BOUND('',#51179,.T.); #5652=FACE_BOUND('',#51180,.T.); #5653=FACE_BOUND('',#51181,.T.); #5654=FACE_BOUND('',#51182,.T.); #5655=FACE_BOUND('',#51183,.T.); #5656=FACE_BOUND('',#51184,.T.); #5657=FACE_BOUND('',#51185,.T.); #5658=FACE_BOUND('',#51186,.T.); #5659=FACE_BOUND('',#51188,.T.); #5660=FACE_BOUND('',#51189,.T.); #5661=FACE_BOUND('',#51190,.T.); #5662=FACE_BOUND('',#51191,.T.); #5663=FACE_BOUND('',#51192,.T.); #5664=FACE_BOUND('',#51193,.T.); #5665=FACE_BOUND('',#51194,.T.); #5666=FACE_BOUND('',#51195,.T.); #5667=FACE_BOUND('',#51196,.T.); #5668=FACE_BOUND('',#51197,.T.); #5669=FACE_BOUND('',#51198,.T.); #5670=FACE_BOUND('',#51199,.T.); #5671=FACE_BOUND('',#51200,.T.); #5672=FACE_BOUND('',#51201,.T.); #5673=FACE_BOUND('',#51202,.T.); #5674=FACE_BOUND('',#51203,.T.); #5675=FACE_BOUND('',#51204,.T.); #5676=FACE_BOUND('',#51205,.T.); #5677=FACE_BOUND('',#51206,.T.); #5678=FACE_BOUND('',#51207,.T.); #5679=FACE_BOUND('',#51208,.T.); #5680=FACE_BOUND('',#51209,.T.); #5681=FACE_BOUND('',#51210,.T.); #5682=FACE_BOUND('',#51211,.T.); #5683=FACE_BOUND('',#51212,.T.); #5684=FACE_BOUND('',#51213,.T.); #5685=FACE_BOUND('',#51214,.T.); #5686=FACE_BOUND('',#51215,.T.); #5687=FACE_BOUND('',#51216,.T.); #5688=FACE_BOUND('',#51217,.T.); #5689=FACE_BOUND('',#51218,.T.); #5690=FACE_BOUND('',#51219,.T.); #5691=FACE_BOUND('',#51220,.T.); #5692=FACE_BOUND('',#51221,.T.); #5693=FACE_BOUND('',#51222,.T.); #5694=FACE_BOUND('',#51223,.T.); #5695=FACE_BOUND('',#51224,.T.); #5696=FACE_BOUND('',#51225,.T.); #5697=FACE_BOUND('',#51226,.T.); #5698=FACE_BOUND('',#51227,.T.); #5699=FACE_BOUND('',#51228,.T.); #5700=FACE_BOUND('',#51229,.T.); #5701=FACE_BOUND('',#51230,.T.); #5702=FACE_BOUND('',#51231,.T.); #5703=FACE_BOUND('',#51232,.T.); #5704=FACE_BOUND('',#51233,.T.); #5705=FACE_BOUND('',#51234,.T.); #5706=FACE_BOUND('',#51235,.T.); #5707=FACE_BOUND('',#51236,.T.); #5708=FACE_BOUND('',#51351,.T.); #5709=FACE_BOUND('',#51353,.T.); #5710=FACE_BOUND('',#51372,.T.); #5711=FACE_BOUND('',#51374,.T.); #5712=FACE_BOUND('',#51461,.T.); #5713=FACE_BOUND('',#51463,.T.); #5714=FACE_BOUND('',#51470,.T.); #5715=FACE_BOUND('',#51472,.T.); #5716=FACE_BOUND('',#51509,.T.); #5717=FACE_BOUND('',#51511,.T.); #5718=FACE_BOUND('',#51518,.T.); #5719=FACE_BOUND('',#51520,.T.); #5720=FACE_BOUND('',#51598,.T.); #5721=FACE_BOUND('',#51599,.T.); #5722=FACE_BOUND('',#51600,.T.); #5723=FACE_BOUND('',#51601,.T.); #5724=FACE_BOUND('',#51602,.T.); #5725=FACE_BOUND('',#51603,.T.); #5726=FACE_BOUND('',#51605,.T.); #5727=FACE_BOUND('',#51606,.T.); #5728=FACE_BOUND('',#51607,.T.); #5729=FACE_BOUND('',#51608,.T.); #5730=FACE_BOUND('',#51609,.T.); #5731=FACE_BOUND('',#51610,.T.); #5732=FACE_BOUND('',#51642,.T.); #5733=FACE_BOUND('',#51643,.T.); #5734=FACE_BOUND('',#51647,.T.); #5735=FACE_BOUND('',#51648,.T.); #5736=FACE_BOUND('',#51780,.T.); #5737=FACE_BOUND('',#51782,.T.); #5738=FACE_BOUND('',#51802,.T.); #5739=FACE_BOUND('',#51803,.T.); #5740=FACE_BOUND('',#51805,.T.); #5741=FACE_BOUND('',#51806,.T.); #5742=FACE_BOUND('',#51820,.T.); #5743=FACE_BOUND('',#51821,.T.); #5744=FACE_BOUND('',#51823,.T.); #5745=FACE_BOUND('',#51824,.T.); #5746=FACE_BOUND('',#51838,.T.); #5747=FACE_BOUND('',#51839,.T.); #5748=FACE_BOUND('',#51841,.T.); #5749=FACE_BOUND('',#51842,.T.); #5750=FACE_BOUND('',#51856,.T.); #5751=FACE_BOUND('',#51857,.T.); #5752=FACE_BOUND('',#51859,.T.); #5753=FACE_BOUND('',#51860,.T.); #5754=FACE_BOUND('',#51938,.T.); #5755=FACE_BOUND('',#51939,.T.); #5756=FACE_BOUND('',#51941,.T.); #5757=FACE_BOUND('',#51942,.T.); #5758=FACE_BOUND('',#51955,.T.); #5759=FACE_BOUND('',#51957,.T.); #5760=FACE_BOUND('',#51973,.T.); #5761=FACE_BOUND('',#51975,.T.); #5762=FACE_BOUND('',#52023,.T.); #5763=FACE_BOUND('',#52024,.T.); #5764=FACE_BOUND('',#52026,.T.); #5765=FACE_BOUND('',#52027,.T.); #5766=FACE_BOUND('',#52042,.T.); #5767=FACE_BOUND('',#52043,.T.); #5768=FACE_BOUND('',#52045,.T.); #5769=FACE_BOUND('',#52046,.T.); #5770=FACE_BOUND('',#52073,.T.); #5771=FACE_BOUND('',#52075,.T.); #5772=FACE_BOUND('',#52104,.T.); #5773=FACE_BOUND('',#52106,.T.); #5774=FACE_BOUND('',#52171,.T.); #5775=FACE_BOUND('',#52172,.T.); #5776=FACE_BOUND('',#52174,.T.); #5777=FACE_BOUND('',#52175,.T.); #5778=FACE_BOUND('',#52187,.T.); #5779=FACE_BOUND('',#52188,.T.); #5780=FACE_BOUND('',#52190,.T.); #5781=FACE_BOUND('',#52191,.T.); #5782=FACE_BOUND('',#52203,.T.); #5783=FACE_BOUND('',#52204,.T.); #5784=FACE_BOUND('',#52206,.T.); #5785=FACE_BOUND('',#52207,.T.); #5786=FACE_BOUND('',#52219,.T.); #5787=FACE_BOUND('',#52220,.T.); #5788=FACE_BOUND('',#52222,.T.); #5789=FACE_BOUND('',#52223,.T.); #5790=FACE_BOUND('',#52240,.T.); #5791=FACE_BOUND('',#52241,.T.); #5792=FACE_BOUND('',#52243,.T.); #5793=FACE_BOUND('',#52244,.T.); #5794=FACE_BOUND('',#52251,.T.); #5795=FACE_BOUND('',#52253,.T.); #5796=FACE_BOUND('',#52260,.T.); #5797=FACE_BOUND('',#52262,.T.); #5798=FACE_BOUND('',#52269,.T.); #5799=FACE_BOUND('',#52271,.T.); #5800=FACE_BOUND('',#52284,.T.); #5801=FACE_BOUND('',#52286,.T.); #5802=FACE_BOUND('',#52351,.T.); #5803=FACE_BOUND('',#52352,.T.); #5804=FACE_BOUND('',#52354,.T.); #5805=FACE_BOUND('',#52355,.T.); #5806=FACE_BOUND('',#52366,.T.); #5807=FACE_BOUND('',#52367,.T.); #5808=FACE_BOUND('',#52369,.T.); #5809=FACE_BOUND('',#52370,.T.); #5810=FACE_BOUND('',#52383,.T.); #5811=FACE_BOUND('',#52385,.T.); #5812=FACE_BOUND('',#52398,.T.); #5813=FACE_BOUND('',#52400,.T.); #5814=FACE_BOUND('',#52420,.T.); #5815=FACE_BOUND('',#52422,.T.); #5816=FACE_BOUND('',#52440,.T.); #5817=FACE_BOUND('',#52442,.T.); #5818=FACE_BOUND('',#52448,.T.); #5819=FACE_BOUND('',#52450,.T.); #5820=FACE_BOUND('',#52474,.T.); #5821=FACE_BOUND('',#52476,.T.); #5822=FACE_BOUND('',#52494,.T.); #5823=FACE_BOUND('',#52496,.T.); #5824=FACE_BOUND('',#52570,.T.); #5825=FACE_BOUND('',#52572,.T.); #5826=FACE_BOUND('',#52583,.T.); #5827=FACE_BOUND('',#52584,.T.); #5828=FACE_BOUND('',#52586,.T.); #5829=FACE_BOUND('',#52587,.T.); #5830=FACE_BOUND('',#52594,.T.); #5831=FACE_BOUND('',#52596,.T.); #5832=FACE_BOUND('',#52623,.T.); #5833=FACE_BOUND('',#52624,.T.); #5834=FACE_BOUND('',#52626,.T.); #5835=FACE_BOUND('',#52627,.T.); #5836=FACE_BOUND('',#52639,.T.); #5837=FACE_BOUND('',#52640,.T.); #5838=FACE_BOUND('',#52642,.T.); #5839=FACE_BOUND('',#52643,.T.); #5840=FACE_BOUND('',#52650,.T.); #5841=FACE_BOUND('',#52652,.T.); #5842=FACE_BOUND('',#52748,.T.); #5843=FACE_BOUND('',#52749,.T.); #5844=FACE_BOUND('',#52750,.T.); #5845=FACE_BOUND('',#52751,.T.); #5846=FACE_BOUND('',#52752,.T.); #5847=FACE_BOUND('',#52754,.T.); #5848=FACE_BOUND('',#52755,.T.); #5849=FACE_BOUND('',#52756,.T.); #5850=FACE_BOUND('',#52757,.T.); #5851=FACE_BOUND('',#52758,.T.); #5852=FACE_BOUND('',#52809,.T.); #5853=FACE_BOUND('',#52811,.T.); #5854=FACE_BOUND('',#52922,.T.); #5855=FACE_BOUND('',#52923,.T.); #5856=FACE_BOUND('',#52924,.T.); #5857=FACE_BOUND('',#52925,.T.); #5858=FACE_BOUND('',#52926,.T.); #5859=FACE_BOUND('',#52927,.T.); #5860=FACE_BOUND('',#52928,.T.); #5861=FACE_BOUND('',#52929,.T.); #5862=FACE_BOUND('',#52930,.T.); #5863=FACE_BOUND('',#52931,.T.); #5864=FACE_BOUND('',#52932,.T.); #5865=FACE_BOUND('',#52933,.T.); #5866=FACE_BOUND('',#52935,.T.); #5867=FACE_BOUND('',#52936,.T.); #5868=FACE_BOUND('',#52937,.T.); #5869=FACE_BOUND('',#52938,.T.); #5870=FACE_BOUND('',#52939,.T.); #5871=FACE_BOUND('',#52940,.T.); #5872=FACE_BOUND('',#52941,.T.); #5873=FACE_BOUND('',#52942,.T.); #5874=FACE_BOUND('',#52943,.T.); #5875=FACE_BOUND('',#52944,.T.); #5876=FACE_BOUND('',#52945,.T.); #5877=FACE_BOUND('',#52946,.T.); #5878=FACE_BOUND('',#54862,.T.); #5879=FACE_BOUND('',#54863,.T.); #5880=FACE_BOUND('',#54864,.T.); #5881=FACE_BOUND('',#54865,.T.); #5882=FACE_BOUND('',#54866,.T.); #5883=FACE_BOUND('',#54867,.T.); #5884=FACE_BOUND('',#54868,.T.); #5885=FACE_BOUND('',#54869,.T.); #5886=FACE_BOUND('',#54870,.T.); #5887=FACE_BOUND('',#54871,.T.); #5888=FACE_BOUND('',#54872,.T.); #5889=FACE_BOUND('',#54873,.T.); #5890=FACE_BOUND('',#54874,.T.); #5891=FACE_BOUND('',#54875,.T.); #5892=FACE_BOUND('',#54876,.T.); #5893=FACE_BOUND('',#54877,.T.); #5894=FACE_BOUND('',#54878,.T.); #5895=FACE_BOUND('',#54879,.T.); #5896=FACE_BOUND('',#54880,.T.); #5897=FACE_BOUND('',#54881,.T.); #5898=FACE_BOUND('',#54882,.T.); #5899=FACE_BOUND('',#54883,.T.); #5900=FACE_BOUND('',#54884,.T.); #5901=FACE_BOUND('',#54885,.T.); #5902=FACE_BOUND('',#54886,.T.); #5903=FACE_BOUND('',#54887,.T.); #5904=FACE_BOUND('',#54888,.T.); #5905=FACE_BOUND('',#54889,.T.); #5906=FACE_BOUND('',#54890,.T.); #5907=FACE_BOUND('',#54891,.T.); #5908=FACE_BOUND('',#54892,.T.); #5909=FACE_BOUND('',#54893,.T.); #5910=FACE_BOUND('',#54894,.T.); #5911=FACE_BOUND('',#54895,.T.); #5912=FACE_BOUND('',#54896,.T.); #5913=FACE_BOUND('',#54897,.T.); #5914=FACE_BOUND('',#54898,.T.); #5915=FACE_BOUND('',#54899,.T.); #5916=FACE_BOUND('',#54900,.T.); #5917=FACE_BOUND('',#54901,.T.); #5918=FACE_BOUND('',#54902,.T.); #5919=FACE_BOUND('',#54903,.T.); #5920=FACE_BOUND('',#54904,.T.); #5921=FACE_BOUND('',#54905,.T.); #5922=FACE_BOUND('',#54906,.T.); #5923=FACE_BOUND('',#54907,.T.); #5924=FACE_BOUND('',#54908,.T.); #5925=FACE_BOUND('',#54909,.T.); #5926=FACE_BOUND('',#54910,.T.); #5927=FACE_BOUND('',#54911,.T.); #5928=FACE_BOUND('',#54912,.T.); #5929=FACE_BOUND('',#54913,.T.); #5930=FACE_BOUND('',#54914,.T.); #5931=FACE_BOUND('',#54915,.T.); #5932=FACE_BOUND('',#54916,.T.); #5933=FACE_BOUND('',#54917,.T.); #5934=FACE_BOUND('',#54918,.T.); #5935=FACE_BOUND('',#54919,.T.); #5936=FACE_BOUND('',#54920,.T.); #5937=FACE_BOUND('',#54921,.T.); #5938=FACE_BOUND('',#54922,.T.); #5939=FACE_BOUND('',#54923,.T.); #5940=FACE_BOUND('',#54924,.T.); #5941=FACE_BOUND('',#54925,.T.); #5942=FACE_BOUND('',#54926,.T.); #5943=FACE_BOUND('',#54927,.T.); #5944=FACE_BOUND('',#54928,.T.); #5945=FACE_BOUND('',#54929,.T.); #5946=FACE_BOUND('',#54930,.T.); #5947=FACE_BOUND('',#54931,.T.); #5948=FACE_BOUND('',#54932,.T.); #5949=FACE_BOUND('',#54933,.T.); #5950=FACE_BOUND('',#54934,.T.); #5951=FACE_BOUND('',#54935,.T.); #5952=FACE_BOUND('',#54936,.T.); #5953=FACE_BOUND('',#54937,.T.); #5954=FACE_BOUND('',#54938,.T.); #5955=FACE_BOUND('',#54939,.T.); #5956=FACE_BOUND('',#54940,.T.); #5957=FACE_BOUND('',#54941,.T.); #5958=FACE_BOUND('',#54942,.T.); #5959=FACE_BOUND('',#54943,.T.); #5960=FACE_BOUND('',#54944,.T.); #5961=FACE_BOUND('',#54945,.T.); #5962=FACE_BOUND('',#54946,.T.); #5963=FACE_BOUND('',#54947,.T.); #5964=FACE_BOUND('',#54948,.T.); #5965=FACE_BOUND('',#54949,.T.); #5966=FACE_BOUND('',#54950,.T.); #5967=FACE_BOUND('',#54951,.T.); #5968=FACE_BOUND('',#54952,.T.); #5969=FACE_BOUND('',#54953,.T.); #5970=FACE_BOUND('',#54954,.T.); #5971=FACE_BOUND('',#54955,.T.); #5972=FACE_BOUND('',#54956,.T.); #5973=FACE_BOUND('',#54957,.T.); #5974=FACE_BOUND('',#54958,.T.); #5975=FACE_BOUND('',#54959,.T.); #5976=FACE_BOUND('',#54960,.T.); #5977=FACE_BOUND('',#54961,.T.); #5978=FACE_BOUND('',#54962,.T.); #5979=FACE_BOUND('',#54963,.T.); #5980=FACE_BOUND('',#54964,.T.); #5981=FACE_BOUND('',#54965,.T.); #5982=FACE_BOUND('',#54966,.T.); #5983=FACE_BOUND('',#54967,.T.); #5984=FACE_BOUND('',#54968,.T.); #5985=FACE_BOUND('',#54969,.T.); #5986=FACE_BOUND('',#54970,.T.); #5987=FACE_BOUND('',#54971,.T.); #5988=FACE_BOUND('',#54972,.T.); #5989=FACE_BOUND('',#54973,.T.); #5990=FACE_BOUND('',#54974,.T.); #5991=FACE_BOUND('',#54975,.T.); #5992=FACE_BOUND('',#54976,.T.); #5993=FACE_BOUND('',#54977,.T.); #5994=FACE_BOUND('',#54978,.T.); #5995=FACE_BOUND('',#54979,.T.); #5996=FACE_BOUND('',#54980,.T.); #5997=FACE_BOUND('',#54981,.T.); #5998=FACE_BOUND('',#54982,.T.); #5999=FACE_BOUND('',#54983,.T.); #6000=FACE_BOUND('',#54984,.T.); #6001=FACE_BOUND('',#54985,.T.); #6002=FACE_BOUND('',#54986,.T.); #6003=FACE_BOUND('',#54987,.T.); #6004=FACE_BOUND('',#54988,.T.); #6005=FACE_BOUND('',#54989,.T.); #6006=FACE_BOUND('',#54990,.T.); #6007=FACE_BOUND('',#54991,.T.); #6008=FACE_BOUND('',#54992,.T.); #6009=FACE_BOUND('',#54993,.T.); #6010=FACE_BOUND('',#54994,.T.); #6011=FACE_BOUND('',#54995,.T.); #6012=FACE_BOUND('',#54996,.T.); #6013=FACE_BOUND('',#54997,.T.); #6014=FACE_BOUND('',#54998,.T.); #6015=FACE_BOUND('',#54999,.T.); #6016=FACE_BOUND('',#55000,.T.); #6017=FACE_BOUND('',#55001,.T.); #6018=FACE_BOUND('',#55002,.T.); #6019=FACE_BOUND('',#55003,.T.); #6020=FACE_BOUND('',#55004,.T.); #6021=FACE_BOUND('',#55005,.T.); #6022=FACE_BOUND('',#55006,.T.); #6023=FACE_BOUND('',#55008,.T.); #6024=FACE_BOUND('',#55009,.T.); #6025=FACE_BOUND('',#55010,.T.); #6026=FACE_BOUND('',#55011,.T.); #6027=FACE_BOUND('',#55012,.T.); #6028=FACE_BOUND('',#55013,.T.); #6029=FACE_BOUND('',#55014,.T.); #6030=FACE_BOUND('',#55015,.T.); #6031=FACE_BOUND('',#55016,.T.); #6032=FACE_BOUND('',#55017,.T.); #6033=FACE_BOUND('',#55018,.T.); #6034=FACE_BOUND('',#55019,.T.); #6035=FACE_BOUND('',#55020,.T.); #6036=FACE_BOUND('',#55021,.T.); #6037=FACE_BOUND('',#55022,.T.); #6038=FACE_BOUND('',#55023,.T.); #6039=FACE_BOUND('',#55024,.T.); #6040=FACE_BOUND('',#55025,.T.); #6041=FACE_BOUND('',#55026,.T.); #6042=FACE_BOUND('',#55027,.T.); #6043=FACE_BOUND('',#55028,.T.); #6044=FACE_BOUND('',#55029,.T.); #6045=FACE_BOUND('',#55030,.T.); #6046=FACE_BOUND('',#55031,.T.); #6047=FACE_BOUND('',#55032,.T.); #6048=FACE_BOUND('',#55033,.T.); #6049=FACE_BOUND('',#55034,.T.); #6050=FACE_BOUND('',#55035,.T.); #6051=FACE_BOUND('',#55036,.T.); #6052=FACE_BOUND('',#55037,.T.); #6053=FACE_BOUND('',#55038,.T.); #6054=FACE_BOUND('',#55039,.T.); #6055=FACE_BOUND('',#55040,.T.); #6056=FACE_BOUND('',#55041,.T.); #6057=FACE_BOUND('',#55042,.T.); #6058=FACE_BOUND('',#55043,.T.); #6059=FACE_BOUND('',#55044,.T.); #6060=FACE_BOUND('',#55045,.T.); #6061=FACE_BOUND('',#55046,.T.); #6062=FACE_BOUND('',#55047,.T.); #6063=FACE_BOUND('',#55048,.T.); #6064=FACE_BOUND('',#55049,.T.); #6065=FACE_BOUND('',#55050,.T.); #6066=FACE_BOUND('',#55051,.T.); #6067=FACE_BOUND('',#55052,.T.); #6068=FACE_BOUND('',#55053,.T.); #6069=FACE_BOUND('',#55054,.T.); #6070=FACE_BOUND('',#55055,.T.); #6071=FACE_BOUND('',#55056,.T.); #6072=FACE_BOUND('',#55057,.T.); #6073=FACE_BOUND('',#55058,.T.); #6074=FACE_BOUND('',#55059,.T.); #6075=FACE_BOUND('',#55060,.T.); #6076=FACE_BOUND('',#55061,.T.); #6077=FACE_BOUND('',#55062,.T.); #6078=FACE_BOUND('',#55063,.T.); #6079=FACE_BOUND('',#55064,.T.); #6080=FACE_BOUND('',#55065,.T.); #6081=FACE_BOUND('',#55066,.T.); #6082=FACE_BOUND('',#55067,.T.); #6083=FACE_BOUND('',#55068,.T.); #6084=FACE_BOUND('',#55069,.T.); #6085=FACE_BOUND('',#55070,.T.); #6086=FACE_BOUND('',#55071,.T.); #6087=FACE_BOUND('',#55072,.T.); #6088=FACE_BOUND('',#55073,.T.); #6089=FACE_BOUND('',#55074,.T.); #6090=FACE_BOUND('',#55075,.T.); #6091=FACE_BOUND('',#55076,.T.); #6092=FACE_BOUND('',#55077,.T.); #6093=FACE_BOUND('',#55078,.T.); #6094=FACE_BOUND('',#55079,.T.); #6095=FACE_BOUND('',#55080,.T.); #6096=FACE_BOUND('',#55081,.T.); #6097=FACE_BOUND('',#55082,.T.); #6098=FACE_BOUND('',#55083,.T.); #6099=FACE_BOUND('',#55084,.T.); #6100=FACE_BOUND('',#55085,.T.); #6101=FACE_BOUND('',#55086,.T.); #6102=FACE_BOUND('',#55087,.T.); #6103=FACE_BOUND('',#55088,.T.); #6104=FACE_BOUND('',#55089,.T.); #6105=FACE_BOUND('',#55090,.T.); #6106=FACE_BOUND('',#55091,.T.); #6107=FACE_BOUND('',#55092,.T.); #6108=FACE_BOUND('',#55093,.T.); #6109=FACE_BOUND('',#55094,.T.); #6110=FACE_BOUND('',#55095,.T.); #6111=FACE_BOUND('',#55096,.T.); #6112=FACE_BOUND('',#55097,.T.); #6113=FACE_BOUND('',#55098,.T.); #6114=FACE_BOUND('',#55099,.T.); #6115=FACE_BOUND('',#55100,.T.); #6116=FACE_BOUND('',#55101,.T.); #6117=FACE_BOUND('',#55102,.T.); #6118=FACE_BOUND('',#55103,.T.); #6119=FACE_BOUND('',#55104,.T.); #6120=FACE_BOUND('',#55105,.T.); #6121=FACE_BOUND('',#55106,.T.); #6122=FACE_BOUND('',#55107,.T.); #6123=FACE_BOUND('',#55108,.T.); #6124=FACE_BOUND('',#55109,.T.); #6125=FACE_BOUND('',#55110,.T.); #6126=FACE_BOUND('',#55111,.T.); #6127=FACE_BOUND('',#55112,.T.); #6128=FACE_BOUND('',#55113,.T.); #6129=FACE_BOUND('',#55114,.T.); #6130=FACE_BOUND('',#55115,.T.); #6131=FACE_BOUND('',#55116,.T.); #6132=FACE_BOUND('',#55117,.T.); #6133=FACE_BOUND('',#55118,.T.); #6134=FACE_BOUND('',#55119,.T.); #6135=FACE_BOUND('',#55120,.T.); #6136=FACE_BOUND('',#55121,.T.); #6137=FACE_BOUND('',#55122,.T.); #6138=FACE_BOUND('',#55123,.T.); #6139=FACE_BOUND('',#55124,.T.); #6140=FACE_BOUND('',#55125,.T.); #6141=FACE_BOUND('',#55126,.T.); #6142=FACE_BOUND('',#55127,.T.); #6143=FACE_BOUND('',#55128,.T.); #6144=FACE_BOUND('',#55129,.T.); #6145=FACE_BOUND('',#55130,.T.); #6146=FACE_BOUND('',#55131,.T.); #6147=FACE_BOUND('',#55132,.T.); #6148=FACE_BOUND('',#55133,.T.); #6149=FACE_BOUND('',#55134,.T.); #6150=FACE_BOUND('',#55135,.T.); #6151=FACE_BOUND('',#55136,.T.); #6152=FACE_BOUND('',#55137,.T.); #6153=FACE_BOUND('',#55138,.T.); #6154=FACE_BOUND('',#55139,.T.); #6155=FACE_BOUND('',#55140,.T.); #6156=FACE_BOUND('',#55141,.T.); #6157=FACE_BOUND('',#55142,.T.); #6158=FACE_BOUND('',#55143,.T.); #6159=FACE_BOUND('',#55144,.T.); #6160=FACE_BOUND('',#55145,.T.); #6161=FACE_BOUND('',#55146,.T.); #6162=FACE_BOUND('',#55147,.T.); #6163=FACE_BOUND('',#55148,.T.); #6164=FACE_BOUND('',#55149,.T.); #6165=FACE_BOUND('',#55150,.T.); #6166=FACE_BOUND('',#55151,.T.); #6167=FACE_BOUND('',#55152,.T.); #6168=FACE_BOUND('',#55553,.T.); #6169=FACE_BOUND('',#55554,.T.); #6170=FACE_BOUND('',#55555,.T.); #6171=FACE_BOUND('',#55556,.T.); #6172=FACE_BOUND('',#55557,.T.); #6173=FACE_BOUND('',#55558,.T.); #6174=FACE_BOUND('',#55559,.T.); #6175=FACE_BOUND('',#55560,.T.); #6176=FACE_BOUND('',#55561,.T.); #6177=FACE_BOUND('',#55562,.T.); #6178=FACE_BOUND('',#55563,.T.); #6179=FACE_BOUND('',#55564,.T.); #6180=FACE_BOUND('',#55565,.T.); #6181=FACE_BOUND('',#55566,.T.); #6182=FACE_BOUND('',#55567,.T.); #6183=FACE_BOUND('',#55568,.T.); #6184=FACE_BOUND('',#55570,.T.); #6185=FACE_BOUND('',#55571,.T.); #6186=FACE_BOUND('',#55572,.T.); #6187=FACE_BOUND('',#55573,.T.); #6188=FACE_BOUND('',#55574,.T.); #6189=FACE_BOUND('',#55575,.T.); #6190=FACE_BOUND('',#55576,.T.); #6191=FACE_BOUND('',#55577,.T.); #6192=FACE_BOUND('',#55578,.T.); #6193=FACE_BOUND('',#55579,.T.); #6194=FACE_BOUND('',#55580,.T.); #6195=FACE_BOUND('',#55581,.T.); #6196=FACE_BOUND('',#55582,.T.); #6197=FACE_BOUND('',#55583,.T.); #6198=FACE_BOUND('',#55584,.T.); #6199=FACE_BOUND('',#55585,.T.); #6200=FACE_BOUND('',#55673,.T.); #6201=FACE_BOUND('',#55674,.T.); #6202=FACE_BOUND('',#55675,.T.); #6203=FACE_BOUND('',#55676,.T.); #6204=FACE_BOUND('',#55677,.T.); #6205=FACE_BOUND('',#55678,.T.); #6206=FACE_BOUND('',#55679,.T.); #6207=FACE_BOUND('',#55680,.T.); #6208=FACE_BOUND('',#55681,.T.); #6209=FACE_BOUND('',#55682,.T.); #6210=FACE_BOUND('',#55683,.T.); #6211=FACE_BOUND('',#55684,.T.); #6212=FACE_BOUND('',#55685,.T.); #6213=FACE_BOUND('',#55686,.T.); #6214=FACE_BOUND('',#55687,.T.); #6215=FACE_BOUND('',#55688,.T.); #6216=FACE_BOUND('',#55690,.T.); #6217=FACE_BOUND('',#55691,.T.); #6218=FACE_BOUND('',#55692,.T.); #6219=FACE_BOUND('',#55693,.T.); #6220=FACE_BOUND('',#55694,.T.); #6221=FACE_BOUND('',#55695,.T.); #6222=FACE_BOUND('',#55696,.T.); #6223=FACE_BOUND('',#55697,.T.); #6224=FACE_BOUND('',#55698,.T.); #6225=FACE_BOUND('',#55699,.T.); #6226=FACE_BOUND('',#55700,.T.); #6227=FACE_BOUND('',#55701,.T.); #6228=FACE_BOUND('',#55702,.T.); #6229=FACE_BOUND('',#55703,.T.); #6230=FACE_BOUND('',#55704,.T.); #6231=FACE_BOUND('',#55705,.T.); #6232=FACE_BOUND('',#55782,.T.); #6233=FACE_BOUND('',#55783,.T.); #6234=FACE_BOUND('',#55784,.T.); #6235=FACE_BOUND('',#55785,.T.); #6236=FACE_BOUND('',#55786,.T.); #6237=FACE_BOUND('',#55787,.T.); #6238=FACE_BOUND('',#55788,.T.); #6239=FACE_BOUND('',#55789,.T.); #6240=FACE_BOUND('',#55790,.T.); #6241=FACE_BOUND('',#55791,.T.); #6242=FACE_BOUND('',#55792,.T.); #6243=FACE_BOUND('',#55793,.T.); #6244=FACE_BOUND('',#55794,.T.); #6245=FACE_BOUND('',#55795,.T.); #6246=FACE_BOUND('',#55796,.T.); #6247=FACE_BOUND('',#55797,.T.); #6248=FACE_BOUND('',#55798,.T.); #6249=FACE_BOUND('',#55799,.T.); #6250=FACE_BOUND('',#55800,.T.); #6251=FACE_BOUND('',#55801,.T.); #6252=FACE_BOUND('',#55802,.T.); #6253=FACE_BOUND('',#55803,.T.); #6254=FACE_BOUND('',#55804,.T.); #6255=FACE_BOUND('',#55805,.T.); #6256=FACE_BOUND('',#55806,.T.); #6257=FACE_BOUND('',#55807,.T.); #6258=FACE_BOUND('',#55808,.T.); #6259=FACE_BOUND('',#55809,.T.); #6260=FACE_BOUND('',#55810,.T.); #6261=FACE_BOUND('',#55811,.T.); #6262=FACE_BOUND('',#55813,.T.); #6263=FACE_BOUND('',#55814,.T.); #6264=FACE_BOUND('',#55815,.T.); #6265=FACE_BOUND('',#55816,.T.); #6266=FACE_BOUND('',#55817,.T.); #6267=FACE_BOUND('',#55818,.T.); #6268=FACE_BOUND('',#55819,.T.); #6269=FACE_BOUND('',#55820,.T.); #6270=FACE_BOUND('',#55821,.T.); #6271=FACE_BOUND('',#55822,.T.); #6272=FACE_BOUND('',#55823,.T.); #6273=FACE_BOUND('',#55824,.T.); #6274=FACE_BOUND('',#55825,.T.); #6275=FACE_BOUND('',#55826,.T.); #6276=FACE_BOUND('',#55827,.T.); #6277=FACE_BOUND('',#55828,.T.); #6278=FACE_BOUND('',#55829,.T.); #6279=FACE_BOUND('',#55830,.T.); #6280=FACE_BOUND('',#55831,.T.); #6281=FACE_BOUND('',#55832,.T.); #6282=FACE_BOUND('',#55833,.T.); #6283=FACE_BOUND('',#55834,.T.); #6284=FACE_BOUND('',#55835,.T.); #6285=FACE_BOUND('',#55836,.T.); #6286=FACE_BOUND('',#55837,.T.); #6287=FACE_BOUND('',#55838,.T.); #6288=FACE_BOUND('',#55839,.T.); #6289=FACE_BOUND('',#55840,.T.); #6290=FACE_BOUND('',#55841,.T.); #6291=FACE_BOUND('',#55842,.T.); #6292=FACE_BOUND('',#55853,.T.); #6293=FACE_BOUND('',#55854,.T.); #6294=FACE_BOUND('',#55856,.T.); #6295=FACE_BOUND('',#55857,.T.); #6296=FACE_BOUND('',#55893,.T.); #6297=FACE_BOUND('',#55894,.T.); #6298=FACE_BOUND('',#55895,.T.); #6299=FACE_BOUND('',#55896,.T.); #6300=FACE_BOUND('',#55897,.T.); #6301=FACE_BOUND('',#55898,.T.); #6302=FACE_BOUND('',#55899,.T.); #6303=FACE_BOUND('',#55900,.T.); #6304=FACE_BOUND('',#55901,.T.); #6305=FACE_BOUND('',#55902,.T.); #6306=FACE_BOUND('',#55904,.T.); #6307=FACE_BOUND('',#55905,.T.); #6308=FACE_BOUND('',#55906,.T.); #6309=FACE_BOUND('',#55907,.T.); #6310=FACE_BOUND('',#55908,.T.); #6311=FACE_BOUND('',#55909,.T.); #6312=FACE_BOUND('',#55910,.T.); #6313=FACE_BOUND('',#55911,.T.); #6314=FACE_BOUND('',#55912,.T.); #6315=FACE_BOUND('',#55913,.T.); #6316=FACE_BOUND('',#55919,.T.); #6317=FACE_BOUND('',#55921,.T.); #6318=FACE_BOUND('',#55998,.T.); #6319=FACE_BOUND('',#55999,.T.); #6320=FACE_BOUND('',#56000,.T.); #6321=FACE_BOUND('',#56001,.T.); #6322=FACE_BOUND('',#56002,.T.); #6323=FACE_BOUND('',#56003,.T.); #6324=FACE_BOUND('',#56004,.T.); #6325=FACE_BOUND('',#56005,.T.); #6326=FACE_BOUND('',#56006,.T.); #6327=FACE_BOUND('',#56007,.T.); #6328=FACE_BOUND('',#56008,.T.); #6329=FACE_BOUND('',#56009,.T.); #6330=FACE_BOUND('',#56010,.T.); #6331=FACE_BOUND('',#56011,.T.); #6332=FACE_BOUND('',#56012,.T.); #6333=FACE_BOUND('',#56013,.T.); #6334=FACE_BOUND('',#56014,.T.); #6335=FACE_BOUND('',#56015,.T.); #6336=FACE_BOUND('',#56016,.T.); #6337=FACE_BOUND('',#56017,.T.); #6338=FACE_BOUND('',#56018,.T.); #6339=FACE_BOUND('',#56019,.T.); #6340=FACE_BOUND('',#56020,.T.); #6341=FACE_BOUND('',#56021,.T.); #6342=FACE_BOUND('',#56022,.T.); #6343=FACE_BOUND('',#56023,.T.); #6344=FACE_BOUND('',#56024,.T.); #6345=FACE_BOUND('',#56025,.T.); #6346=FACE_BOUND('',#56026,.T.); #6347=FACE_BOUND('',#56027,.T.); #6348=FACE_BOUND('',#56029,.T.); #6349=FACE_BOUND('',#56030,.T.); #6350=FACE_BOUND('',#56031,.T.); #6351=FACE_BOUND('',#56032,.T.); #6352=FACE_BOUND('',#56033,.T.); #6353=FACE_BOUND('',#56034,.T.); #6354=FACE_BOUND('',#56035,.T.); #6355=FACE_BOUND('',#56036,.T.); #6356=FACE_BOUND('',#56037,.T.); #6357=FACE_BOUND('',#56038,.T.); #6358=FACE_BOUND('',#56039,.T.); #6359=FACE_BOUND('',#56040,.T.); #6360=FACE_BOUND('',#56041,.T.); #6361=FACE_BOUND('',#56042,.T.); #6362=FACE_BOUND('',#56043,.T.); #6363=FACE_BOUND('',#56044,.T.); #6364=FACE_BOUND('',#56045,.T.); #6365=FACE_BOUND('',#56046,.T.); #6366=FACE_BOUND('',#56047,.T.); #6367=FACE_BOUND('',#56048,.T.); #6368=FACE_BOUND('',#56049,.T.); #6369=FACE_BOUND('',#56050,.T.); #6370=FACE_BOUND('',#56051,.T.); #6371=FACE_BOUND('',#56052,.T.); #6372=FACE_BOUND('',#56053,.T.); #6373=FACE_BOUND('',#56054,.T.); #6374=FACE_BOUND('',#56055,.T.); #6375=FACE_BOUND('',#56056,.T.); #6376=FACE_BOUND('',#56057,.T.); #6377=FACE_BOUND('',#56058,.T.); #6378=FACE_BOUND('',#56069,.T.); #6379=FACE_BOUND('',#56070,.T.); #6380=FACE_BOUND('',#56072,.T.); #6381=FACE_BOUND('',#56073,.T.); #6382=FACE_BOUND('',#56079,.T.); #6383=FACE_BOUND('',#56081,.T.); #6384=FACE_BOUND('',#56265,.T.); #6385=FACE_BOUND('',#56266,.T.); #6386=FACE_BOUND('',#56267,.T.); #6387=FACE_BOUND('',#56268,.T.); #6388=FACE_BOUND('',#56269,.T.); #6389=FACE_BOUND('',#56270,.T.); #6390=FACE_BOUND('',#56271,.T.); #6391=FACE_BOUND('',#56272,.T.); #6392=FACE_BOUND('',#56273,.T.); #6393=FACE_BOUND('',#56274,.T.); #6394=FACE_BOUND('',#56275,.T.); #6395=FACE_BOUND('',#56276,.T.); #6396=FACE_BOUND('',#56277,.T.); #6397=FACE_BOUND('',#56278,.T.); #6398=FACE_BOUND('',#56279,.T.); #6399=FACE_BOUND('',#56280,.T.); #6400=FACE_BOUND('',#56282,.T.); #6401=FACE_BOUND('',#56283,.T.); #6402=FACE_BOUND('',#56284,.T.); #6403=FACE_BOUND('',#56285,.T.); #6404=FACE_BOUND('',#56286,.T.); #6405=FACE_BOUND('',#56287,.T.); #6406=FACE_BOUND('',#56288,.T.); #6407=FACE_BOUND('',#56289,.T.); #6408=FACE_BOUND('',#56290,.T.); #6409=FACE_BOUND('',#56291,.T.); #6410=FACE_BOUND('',#56292,.T.); #6411=FACE_BOUND('',#56293,.T.); #6412=FACE_BOUND('',#56294,.T.); #6413=FACE_BOUND('',#56295,.T.); #6414=FACE_BOUND('',#56296,.T.); #6415=FACE_BOUND('',#56297,.T.); #6416=FACE_BOUND('',#56303,.T.); #6417=FACE_BOUND('',#56305,.T.); #6418=FACE_BOUND('',#56311,.T.); #6419=FACE_BOUND('',#56313,.T.); #6420=FACE_BOUND('',#56319,.T.); #6421=FACE_BOUND('',#56321,.T.); #6422=FACE_BOUND('',#56327,.T.); #6423=FACE_BOUND('',#56329,.T.); #6424=FACE_BOUND('',#56336,.T.); #6425=FACE_BOUND('',#56338,.T.); #6426=FACE_BOUND('',#56344,.T.); #6427=FACE_BOUND('',#56346,.T.); #6428=FACE_BOUND('',#56352,.T.); #6429=FACE_BOUND('',#56354,.T.); #6430=FACE_BOUND('',#56360,.T.); #6431=FACE_BOUND('',#56362,.T.); #6432=FACE_BOUND('',#56368,.T.); #6433=FACE_BOUND('',#56370,.T.); #6434=FACE_BOUND('',#56432,.T.); #6435=FACE_BOUND('',#56434,.T.); #6436=FACE_BOUND('',#56512,.T.); #6437=FACE_BOUND('',#56514,.T.); #6438=FACE_BOUND('',#56576,.T.); #6439=FACE_BOUND('',#56578,.T.); #6440=FACE_BOUND('',#56585,.T.); #6441=FACE_BOUND('',#56587,.T.); #6442=FACE_BOUND('',#56649,.T.); #6443=FACE_BOUND('',#56651,.T.); #6444=FACE_BOUND('',#56729,.T.); #6445=FACE_BOUND('',#56731,.T.); #6446=FACE_BOUND('',#56737,.T.); #6447=FACE_BOUND('',#56739,.T.); #6448=FACE_BOUND('',#56745,.T.); #6449=FACE_BOUND('',#56747,.T.); #6450=FACE_BOUND('',#56809,.T.); #6451=FACE_BOUND('',#56811,.T.); #6452=FACE_BOUND('',#56817,.T.); #6453=FACE_BOUND('',#56819,.T.); #6454=FACE_BOUND('',#56825,.T.); #6455=FACE_BOUND('',#56827,.T.); #6456=FACE_BOUND('',#56833,.T.); #6457=FACE_BOUND('',#56835,.T.); #6458=FACE_BOUND('',#56842,.T.); #6459=FACE_BOUND('',#56844,.T.); #6460=FACE_BOUND('',#56850,.T.); #6461=FACE_BOUND('',#56852,.T.); #6462=FACE_BOUND('',#56998,.T.); #6463=FACE_BOUND('',#56999,.T.); #6464=FACE_BOUND('',#57001,.T.); #6465=FACE_BOUND('',#57002,.T.); #6466=FACE_BOUND('',#57016,.T.); #6467=FACE_BOUND('',#57017,.T.); #6468=FACE_BOUND('',#57019,.T.); #6469=FACE_BOUND('',#57020,.T.); #6470=FACE_BOUND('',#57123,.T.); #6471=FACE_BOUND('',#57125,.T.); #6472=FACE_BOUND('',#57170,.T.); #6473=FACE_BOUND('',#57171,.T.); #6474=FACE_BOUND('',#57172,.T.); #6475=FACE_BOUND('',#57173,.T.); #6476=FACE_BOUND('',#57174,.T.); #6477=FACE_BOUND('',#57175,.T.); #6478=FACE_BOUND('',#57176,.T.); #6479=FACE_BOUND('',#57177,.T.); #6480=FACE_BOUND('',#57178,.T.); #6481=FACE_BOUND('',#57179,.T.); #6482=FACE_BOUND('',#57180,.T.); #6483=FACE_BOUND('',#57181,.T.); #6484=FACE_BOUND('',#57182,.T.); #6485=FACE_BOUND('',#57183,.T.); #6486=FACE_BOUND('',#57184,.T.); #6487=FACE_BOUND('',#57186,.T.); #6488=FACE_BOUND('',#57187,.T.); #6489=FACE_BOUND('',#57188,.T.); #6490=FACE_BOUND('',#57189,.T.); #6491=FACE_BOUND('',#57190,.T.); #6492=FACE_BOUND('',#57191,.T.); #6493=FACE_BOUND('',#57192,.T.); #6494=FACE_BOUND('',#57193,.T.); #6495=FACE_BOUND('',#57194,.T.); #6496=FACE_BOUND('',#57195,.T.); #6497=FACE_BOUND('',#57196,.T.); #6498=FACE_BOUND('',#57197,.T.); #6499=FACE_BOUND('',#57198,.T.); #6500=FACE_BOUND('',#57199,.T.); #6501=FACE_BOUND('',#57200,.T.); #6502=FACE_BOUND('',#57225,.T.); #6503=FACE_BOUND('',#57227,.T.); #6504=FACE_BOUND('',#57272,.T.); #6505=FACE_BOUND('',#57273,.T.); #6506=FACE_BOUND('',#57274,.T.); #6507=FACE_BOUND('',#57275,.T.); #6508=FACE_BOUND('',#57276,.T.); #6509=FACE_BOUND('',#57277,.T.); #6510=FACE_BOUND('',#57278,.T.); #6511=FACE_BOUND('',#57279,.T.); #6512=FACE_BOUND('',#57280,.T.); #6513=FACE_BOUND('',#57281,.T.); #6514=FACE_BOUND('',#57282,.T.); #6515=FACE_BOUND('',#57283,.T.); #6516=FACE_BOUND('',#57284,.T.); #6517=FACE_BOUND('',#57285,.T.); #6518=FACE_BOUND('',#57286,.T.); #6519=FACE_BOUND('',#57288,.T.); #6520=FACE_BOUND('',#57289,.T.); #6521=FACE_BOUND('',#57290,.T.); #6522=FACE_BOUND('',#57291,.T.); #6523=FACE_BOUND('',#57292,.T.); #6524=FACE_BOUND('',#57293,.T.); #6525=FACE_BOUND('',#57294,.T.); #6526=FACE_BOUND('',#57295,.T.); #6527=FACE_BOUND('',#57296,.T.); #6528=FACE_BOUND('',#57297,.T.); #6529=FACE_BOUND('',#57298,.T.); #6530=FACE_BOUND('',#57299,.T.); #6531=FACE_BOUND('',#57300,.T.); #6532=FACE_BOUND('',#57301,.T.); #6533=FACE_BOUND('',#57302,.T.); #6534=FACE_BOUND('',#57327,.T.); #6535=FACE_BOUND('',#57329,.T.); #6536=FACE_BOUND('',#57336,.T.); #6537=FACE_BOUND('',#57338,.T.); #6538=FACE_BOUND('',#57345,.T.); #6539=FACE_BOUND('',#57347,.T.); #6540=FACE_BOUND('',#57368,.T.); #6541=FACE_BOUND('',#57369,.T.); #6542=FACE_BOUND('',#57370,.T.); #6543=FACE_BOUND('',#57371,.T.); #6544=FACE_BOUND('',#57372,.T.); #6545=FACE_BOUND('',#57373,.T.); #6546=FACE_BOUND('',#57374,.T.); #6547=FACE_BOUND('',#57375,.T.); #6548=FACE_BOUND('',#57376,.T.); #6549=FACE_BOUND('',#57377,.T.); #6550=FACE_BOUND('',#57378,.T.); #6551=FACE_BOUND('',#57379,.T.); #6552=FACE_BOUND('',#57380,.T.); #6553=FACE_BOUND('',#57381,.T.); #6554=FACE_BOUND('',#57382,.T.); #6555=FACE_BOUND('',#57384,.T.); #6556=FACE_BOUND('',#57385,.T.); #6557=FACE_BOUND('',#57386,.T.); #6558=FACE_BOUND('',#57387,.T.); #6559=FACE_BOUND('',#57388,.T.); #6560=FACE_BOUND('',#57389,.T.); #6561=FACE_BOUND('',#57390,.T.); #6562=FACE_BOUND('',#57391,.T.); #6563=FACE_BOUND('',#57392,.T.); #6564=FACE_BOUND('',#57393,.T.); #6565=FACE_BOUND('',#57394,.T.); #6566=FACE_BOUND('',#57395,.T.); #6567=FACE_BOUND('',#57396,.T.); #6568=FACE_BOUND('',#57397,.T.); #6569=FACE_BOUND('',#57398,.T.); #6570=FACE_BOUND('',#57539,.T.); #6571=FACE_BOUND('',#57540,.T.); #6572=FACE_BOUND('',#57541,.T.); #6573=FACE_BOUND('',#57542,.T.); #6574=FACE_BOUND('',#57543,.T.); #6575=FACE_BOUND('',#57544,.T.); #6576=FACE_BOUND('',#57545,.T.); #6577=FACE_BOUND('',#57546,.T.); #6578=FACE_BOUND('',#57547,.T.); #6579=FACE_BOUND('',#57548,.T.); #6580=FACE_BOUND('',#57549,.T.); #6581=FACE_BOUND('',#57550,.T.); #6582=FACE_BOUND('',#57551,.T.); #6583=FACE_BOUND('',#57552,.T.); #6584=FACE_BOUND('',#57553,.T.); #6585=FACE_BOUND('',#57555,.T.); #6586=FACE_BOUND('',#57556,.T.); #6587=FACE_BOUND('',#57557,.T.); #6588=FACE_BOUND('',#57558,.T.); #6589=FACE_BOUND('',#57559,.T.); #6590=FACE_BOUND('',#57560,.T.); #6591=FACE_BOUND('',#57561,.T.); #6592=FACE_BOUND('',#57562,.T.); #6593=FACE_BOUND('',#57563,.T.); #6594=FACE_BOUND('',#57564,.T.); #6595=FACE_BOUND('',#57565,.T.); #6596=FACE_BOUND('',#57566,.T.); #6597=FACE_BOUND('',#57567,.T.); #6598=FACE_BOUND('',#57568,.T.); #6599=FACE_BOUND('',#57569,.T.); #6600=FACE_BOUND('',#57612,.T.); #6601=FACE_BOUND('',#57614,.T.); #6602=FACE_BOUND('',#57622,.T.); #6603=FACE_BOUND('',#57623,.T.); #6604=FACE_BOUND('',#57625,.T.); #6605=FACE_BOUND('',#57626,.T.); #6606=FACE_BOUND('',#57634,.T.); #6607=FACE_BOUND('',#57635,.T.); #6608=FACE_BOUND('',#57637,.T.); #6609=FACE_BOUND('',#57638,.T.); #6610=FACE_BOUND('',#57645,.T.); #6611=FACE_BOUND('',#57647,.T.); #6612=FACE_BOUND('',#57985,.T.); #6613=FACE_BOUND('',#57986,.T.); #6614=FACE_BOUND('',#57987,.T.); #6615=FACE_BOUND('',#57988,.T.); #6616=FACE_BOUND('',#57989,.T.); #6617=FACE_BOUND('',#57990,.T.); #6618=FACE_BOUND('',#57991,.T.); #6619=FACE_BOUND('',#57992,.T.); #6620=FACE_BOUND('',#57993,.T.); #6621=FACE_BOUND('',#57994,.T.); #6622=FACE_BOUND('',#57995,.T.); #6623=FACE_BOUND('',#57996,.T.); #6624=FACE_BOUND('',#57997,.T.); #6625=FACE_BOUND('',#57998,.T.); #6626=FACE_BOUND('',#57999,.T.); #6627=FACE_BOUND('',#58000,.T.); #6628=FACE_BOUND('',#58001,.T.); #6629=FACE_BOUND('',#58002,.T.); #6630=FACE_BOUND('',#58003,.T.); #6631=FACE_BOUND('',#58004,.T.); #6632=FACE_BOUND('',#58005,.T.); #6633=FACE_BOUND('',#58006,.T.); #6634=FACE_BOUND('',#58007,.T.); #6635=FACE_BOUND('',#58008,.T.); #6636=FACE_BOUND('',#58009,.T.); #6637=FACE_BOUND('',#58010,.T.); #6638=FACE_BOUND('',#58011,.T.); #6639=FACE_BOUND('',#58012,.T.); #6640=FACE_BOUND('',#58013,.T.); #6641=FACE_BOUND('',#58014,.T.); #6642=FACE_BOUND('',#58015,.T.); #6643=FACE_BOUND('',#58016,.T.); #6644=FACE_BOUND('',#58017,.T.); #6645=FACE_BOUND('',#58018,.T.); #6646=FACE_BOUND('',#58019,.T.); #6647=FACE_BOUND('',#58020,.T.); #6648=FACE_BOUND('',#58021,.T.); #6649=FACE_BOUND('',#58022,.T.); #6650=FACE_BOUND('',#58023,.T.); #6651=FACE_BOUND('',#58024,.T.); #6652=FACE_BOUND('',#58025,.T.); #6653=FACE_BOUND('',#58026,.T.); #6654=FACE_BOUND('',#58027,.T.); #6655=FACE_BOUND('',#58028,.T.); #6656=FACE_BOUND('',#58029,.T.); #6657=FACE_BOUND('',#58030,.T.); #6658=FACE_BOUND('',#58031,.T.); #6659=FACE_BOUND('',#58032,.T.); #6660=FACE_BOUND('',#58033,.T.); #6661=FACE_BOUND('',#58034,.T.); #6662=FACE_BOUND('',#58035,.T.); #6663=FACE_BOUND('',#58036,.T.); #6664=FACE_BOUND('',#58037,.T.); #6665=FACE_BOUND('',#58038,.T.); #6666=FACE_BOUND('',#58039,.T.); #6667=FACE_BOUND('',#58040,.T.); #6668=FACE_BOUND('',#58041,.T.); #6669=FACE_BOUND('',#58042,.T.); #6670=FACE_BOUND('',#58043,.T.); #6671=FACE_BOUND('',#58044,.T.); #6672=FACE_BOUND('',#58045,.T.); #6673=FACE_BOUND('',#58046,.T.); #6674=FACE_BOUND('',#58047,.T.); #6675=FACE_BOUND('',#58048,.T.); #6676=FACE_BOUND('',#58049,.T.); #6677=FACE_BOUND('',#58050,.T.); #6678=FACE_BOUND('',#58051,.T.); #6679=FACE_BOUND('',#58052,.T.); #6680=FACE_BOUND('',#58053,.T.); #6681=FACE_BOUND('',#58054,.T.); #6682=FACE_BOUND('',#58055,.T.); #6683=FACE_BOUND('',#58056,.T.); #6684=FACE_BOUND('',#58057,.T.); #6685=FACE_BOUND('',#58058,.T.); #6686=FACE_BOUND('',#58059,.T.); #6687=FACE_BOUND('',#58060,.T.); #6688=FACE_BOUND('',#58061,.T.); #6689=FACE_BOUND('',#58062,.T.); #6690=FACE_BOUND('',#58063,.T.); #6691=FACE_BOUND('',#58064,.T.); #6692=FACE_BOUND('',#58065,.T.); #6693=FACE_BOUND('',#58066,.T.); #6694=FACE_BOUND('',#58067,.T.); #6695=FACE_BOUND('',#58068,.T.); #6696=FACE_BOUND('',#58069,.T.); #6697=FACE_BOUND('',#58070,.T.); #6698=FACE_BOUND('',#58071,.T.); #6699=FACE_BOUND('',#58072,.T.); #6700=FACE_BOUND('',#58073,.T.); #6701=FACE_BOUND('',#58074,.T.); #6702=FACE_BOUND('',#58075,.T.); #6703=FACE_BOUND('',#58076,.T.); #6704=FACE_BOUND('',#58077,.T.); #6705=FACE_BOUND('',#58078,.T.); #6706=FACE_BOUND('',#58079,.T.); #6707=FACE_BOUND('',#58080,.T.); #6708=FACE_BOUND('',#58081,.T.); #6709=FACE_BOUND('',#58082,.T.); #6710=FACE_BOUND('',#58083,.T.); #6711=FACE_BOUND('',#58084,.T.); #6712=FACE_BOUND('',#58085,.T.); #6713=FACE_BOUND('',#58086,.T.); #6714=FACE_BOUND('',#58087,.T.); #6715=FACE_BOUND('',#58088,.T.); #6716=FACE_BOUND('',#58089,.T.); #6717=FACE_BOUND('',#58090,.T.); #6718=FACE_BOUND('',#58091,.T.); #6719=FACE_BOUND('',#58092,.T.); #6720=FACE_BOUND('',#58093,.T.); #6721=FACE_BOUND('',#58094,.T.); #6722=FACE_BOUND('',#58095,.T.); #6723=FACE_BOUND('',#58096,.T.); #6724=FACE_BOUND('',#58097,.T.); #6725=FACE_BOUND('',#58098,.T.); #6726=FACE_BOUND('',#58099,.T.); #6727=FACE_BOUND('',#58100,.T.); #6728=FACE_BOUND('',#58101,.T.); #6729=FACE_BOUND('',#58102,.T.); #6730=FACE_BOUND('',#58103,.T.); #6731=FACE_BOUND('',#58104,.T.); #6732=FACE_BOUND('',#58105,.T.); #6733=FACE_BOUND('',#58106,.T.); #6734=FACE_BOUND('',#58107,.T.); #6735=FACE_BOUND('',#58108,.T.); #6736=FACE_BOUND('',#58109,.T.); #6737=FACE_BOUND('',#58110,.T.); #6738=FACE_BOUND('',#58111,.T.); #6739=FACE_BOUND('',#58112,.T.); #6740=FACE_BOUND('',#58113,.T.); #6741=FACE_BOUND('',#58114,.T.); #6742=FACE_BOUND('',#58115,.T.); #6743=FACE_BOUND('',#58116,.T.); #6744=FACE_BOUND('',#58117,.T.); #6745=FACE_BOUND('',#58118,.T.); #6746=FACE_BOUND('',#58119,.T.); #6747=FACE_BOUND('',#58120,.T.); #6748=FACE_BOUND('',#58121,.T.); #6749=FACE_BOUND('',#58122,.T.); #6750=FACE_BOUND('',#58123,.T.); #6751=FACE_BOUND('',#58124,.T.); #6752=FACE_BOUND('',#58125,.T.); #6753=FACE_BOUND('',#58126,.T.); #6754=FACE_BOUND('',#58127,.T.); #6755=FACE_BOUND('',#58128,.T.); #6756=FACE_BOUND('',#58129,.T.); #6757=FACE_BOUND('',#58130,.T.); #6758=FACE_BOUND('',#58131,.T.); #6759=FACE_BOUND('',#58132,.T.); #6760=FACE_BOUND('',#58133,.T.); #6761=FACE_BOUND('',#58134,.T.); #6762=FACE_BOUND('',#58135,.T.); #6763=FACE_BOUND('',#58136,.T.); #6764=FACE_BOUND('',#58137,.T.); #6765=FACE_BOUND('',#58138,.T.); #6766=FACE_BOUND('',#58139,.T.); #6767=FACE_BOUND('',#58140,.T.); #6768=FACE_BOUND('',#58141,.T.); #6769=FACE_BOUND('',#58142,.T.); #6770=FACE_BOUND('',#58143,.T.); #6771=FACE_BOUND('',#58144,.T.); #6772=FACE_BOUND('',#58145,.T.); #6773=FACE_BOUND('',#58146,.T.); #6774=FACE_BOUND('',#58147,.T.); #6775=FACE_BOUND('',#58148,.T.); #6776=FACE_BOUND('',#58149,.T.); #6777=FACE_BOUND('',#58150,.T.); #6778=FACE_BOUND('',#58151,.T.); #6779=FACE_BOUND('',#58152,.T.); #6780=FACE_BOUND('',#58153,.T.); #6781=FACE_BOUND('',#58154,.T.); #6782=FACE_BOUND('',#58155,.T.); #6783=FACE_BOUND('',#58156,.T.); #6784=FACE_BOUND('',#58157,.T.); #6785=FACE_BOUND('',#58158,.T.); #6786=FACE_BOUND('',#58159,.T.); #6787=FACE_BOUND('',#58160,.T.); #6788=FACE_BOUND('',#58161,.T.); #6789=FACE_BOUND('',#58162,.T.); #6790=FACE_BOUND('',#58163,.T.); #6791=FACE_BOUND('',#58164,.T.); #6792=FACE_BOUND('',#58165,.T.); #6793=FACE_BOUND('',#58166,.T.); #6794=FACE_BOUND('',#58167,.T.); #6795=FACE_BOUND('',#58168,.T.); #6796=FACE_BOUND('',#58169,.T.); #6797=FACE_BOUND('',#58170,.T.); #6798=FACE_BOUND('',#58171,.T.); #6799=FACE_BOUND('',#58172,.T.); #6800=FACE_BOUND('',#58173,.T.); #6801=FACE_BOUND('',#58174,.T.); #6802=FACE_BOUND('',#58175,.T.); #6803=FACE_BOUND('',#58176,.T.); #6804=FACE_BOUND('',#58177,.T.); #6805=FACE_BOUND('',#58178,.T.); #6806=FACE_BOUND('',#58179,.T.); #6807=FACE_BOUND('',#58180,.T.); #6808=FACE_BOUND('',#58181,.T.); #6809=FACE_BOUND('',#58182,.T.); #6810=FACE_BOUND('',#58183,.T.); #6811=FACE_BOUND('',#58184,.T.); #6812=FACE_BOUND('',#58185,.T.); #6813=FACE_BOUND('',#58186,.T.); #6814=FACE_BOUND('',#58187,.T.); #6815=FACE_BOUND('',#58188,.T.); #6816=FACE_BOUND('',#58189,.T.); #6817=FACE_BOUND('',#58190,.T.); #6818=FACE_BOUND('',#58191,.T.); #6819=FACE_BOUND('',#58192,.T.); #6820=FACE_BOUND('',#58193,.T.); #6821=FACE_BOUND('',#58194,.T.); #6822=FACE_BOUND('',#58195,.T.); #6823=FACE_BOUND('',#58196,.T.); #6824=FACE_BOUND('',#58197,.T.); #6825=FACE_BOUND('',#58198,.T.); #6826=FACE_BOUND('',#58199,.T.); #6827=FACE_BOUND('',#58200,.T.); #6828=FACE_BOUND('',#58201,.T.); #6829=FACE_BOUND('',#58202,.T.); #6830=FACE_BOUND('',#58203,.T.); #6831=FACE_BOUND('',#58204,.T.); #6832=FACE_BOUND('',#58205,.T.); #6833=FACE_BOUND('',#58206,.T.); #6834=FACE_BOUND('',#58207,.T.); #6835=FACE_BOUND('',#58208,.T.); #6836=FACE_BOUND('',#58209,.T.); #6837=FACE_BOUND('',#58210,.T.); #6838=FACE_BOUND('',#58211,.T.); #6839=FACE_BOUND('',#58212,.T.); #6840=FACE_BOUND('',#58213,.T.); #6841=FACE_BOUND('',#58214,.T.); #6842=FACE_BOUND('',#58215,.T.); #6843=FACE_BOUND('',#58216,.T.); #6844=FACE_BOUND('',#58217,.T.); #6845=FACE_BOUND('',#58218,.T.); #6846=FACE_BOUND('',#58219,.T.); #6847=FACE_BOUND('',#58220,.T.); #6848=FACE_BOUND('',#58221,.T.); #6849=FACE_BOUND('',#58222,.T.); #6850=FACE_BOUND('',#58223,.T.); #6851=FACE_BOUND('',#58224,.T.); #6852=FACE_BOUND('',#58225,.T.); #6853=FACE_BOUND('',#58226,.T.); #6854=FACE_BOUND('',#58227,.T.); #6855=FACE_BOUND('',#58228,.T.); #6856=FACE_BOUND('',#58229,.T.); #6857=FACE_BOUND('',#58230,.T.); #6858=FACE_BOUND('',#58231,.T.); #6859=FACE_BOUND('',#58232,.T.); #6860=FACE_BOUND('',#58233,.T.); #6861=FACE_BOUND('',#58234,.T.); #6862=FACE_BOUND('',#58235,.T.); #6863=FACE_BOUND('',#58236,.T.); #6864=FACE_BOUND('',#58237,.T.); #6865=FACE_BOUND('',#58238,.T.); #6866=FACE_BOUND('',#58239,.T.); #6867=FACE_BOUND('',#58240,.T.); #6868=FACE_BOUND('',#58241,.T.); #6869=FACE_BOUND('',#58242,.T.); #6870=FACE_BOUND('',#58243,.T.); #6871=FACE_BOUND('',#58244,.T.); #6872=FACE_BOUND('',#58245,.T.); #6873=FACE_BOUND('',#58246,.T.); #6874=FACE_BOUND('',#58247,.T.); #6875=FACE_BOUND('',#58248,.T.); #6876=FACE_BOUND('',#58249,.T.); #6877=FACE_BOUND('',#58250,.T.); #6878=FACE_BOUND('',#58251,.T.); #6879=FACE_BOUND('',#58252,.T.); #6880=FACE_BOUND('',#58253,.T.); #6881=FACE_BOUND('',#58254,.T.); #6882=FACE_BOUND('',#58255,.T.); #6883=FACE_BOUND('',#58256,.T.); #6884=FACE_BOUND('',#58257,.T.); #6885=FACE_BOUND('',#58258,.T.); #6886=FACE_BOUND('',#58259,.T.); #6887=FACE_BOUND('',#58260,.T.); #6888=FACE_BOUND('',#58261,.T.); #6889=FACE_BOUND('',#58262,.T.); #6890=FACE_BOUND('',#58263,.T.); #6891=FACE_BOUND('',#58264,.T.); #6892=FACE_BOUND('',#58265,.T.); #6893=FACE_BOUND('',#58266,.T.); #6894=FACE_BOUND('',#58267,.T.); #6895=FACE_BOUND('',#58268,.T.); #6896=FACE_BOUND('',#58269,.T.); #6897=FACE_BOUND('',#58270,.T.); #6898=FACE_BOUND('',#58271,.T.); #6899=FACE_BOUND('',#58272,.T.); #6900=FACE_BOUND('',#58273,.T.); #6901=FACE_BOUND('',#58274,.T.); #6902=FACE_BOUND('',#58275,.T.); #6903=FACE_BOUND('',#58276,.T.); #6904=FACE_BOUND('',#58277,.T.); #6905=FACE_BOUND('',#58278,.T.); #6906=FACE_BOUND('',#58279,.T.); #6907=FACE_BOUND('',#58280,.T.); #6908=FACE_BOUND('',#58281,.T.); #6909=FACE_BOUND('',#58282,.T.); #6910=FACE_BOUND('',#58283,.T.); #6911=FACE_BOUND('',#58284,.T.); #6912=FACE_BOUND('',#58285,.T.); #6913=FACE_BOUND('',#58286,.T.); #6914=FACE_BOUND('',#58287,.T.); #6915=FACE_BOUND('',#58288,.T.); #6916=FACE_BOUND('',#58289,.T.); #6917=FACE_BOUND('',#58290,.T.); #6918=FACE_BOUND('',#58291,.T.); #6919=FACE_BOUND('',#58292,.T.); #6920=FACE_BOUND('',#58293,.T.); #6921=FACE_BOUND('',#58294,.T.); #6922=FACE_BOUND('',#58295,.T.); #6923=FACE_BOUND('',#58296,.T.); #6924=FACE_BOUND('',#58297,.T.); #6925=FACE_BOUND('',#58298,.T.); #6926=FACE_BOUND('',#58299,.T.); #6927=FACE_BOUND('',#58300,.T.); #6928=FACE_BOUND('',#58301,.T.); #6929=FACE_BOUND('',#58303,.T.); #6930=FACE_BOUND('',#58304,.T.); #6931=FACE_BOUND('',#58305,.T.); #6932=FACE_BOUND('',#58306,.T.); #6933=FACE_BOUND('',#58307,.T.); #6934=FACE_BOUND('',#58308,.T.); #6935=FACE_BOUND('',#58309,.T.); #6936=FACE_BOUND('',#58310,.T.); #6937=FACE_BOUND('',#58311,.T.); #6938=FACE_BOUND('',#58312,.T.); #6939=FACE_BOUND('',#58313,.T.); #6940=FACE_BOUND('',#58314,.T.); #6941=FACE_BOUND('',#58315,.T.); #6942=FACE_BOUND('',#58316,.T.); #6943=FACE_BOUND('',#58317,.T.); #6944=FACE_BOUND('',#58318,.T.); #6945=FACE_BOUND('',#58319,.T.); #6946=FACE_BOUND('',#58320,.T.); #6947=FACE_BOUND('',#58321,.T.); #6948=FACE_BOUND('',#58322,.T.); #6949=FACE_BOUND('',#58323,.T.); #6950=FACE_BOUND('',#58324,.T.); #6951=FACE_BOUND('',#58325,.T.); #6952=FACE_BOUND('',#58326,.T.); #6953=FACE_BOUND('',#58327,.T.); #6954=FACE_BOUND('',#58328,.T.); #6955=FACE_BOUND('',#58329,.T.); #6956=FACE_BOUND('',#58330,.T.); #6957=FACE_BOUND('',#58331,.T.); #6958=FACE_BOUND('',#58332,.T.); #6959=FACE_BOUND('',#58333,.T.); #6960=FACE_BOUND('',#58334,.T.); #6961=FACE_BOUND('',#58335,.T.); #6962=FACE_BOUND('',#58336,.T.); #6963=FACE_BOUND('',#58337,.T.); #6964=FACE_BOUND('',#58338,.T.); #6965=FACE_BOUND('',#58339,.T.); #6966=FACE_BOUND('',#58340,.T.); #6967=FACE_BOUND('',#58341,.T.); #6968=FACE_BOUND('',#58342,.T.); #6969=FACE_BOUND('',#58343,.T.); #6970=FACE_BOUND('',#58344,.T.); #6971=FACE_BOUND('',#58345,.T.); #6972=FACE_BOUND('',#58346,.T.); #6973=FACE_BOUND('',#58347,.T.); #6974=FACE_BOUND('',#58348,.T.); #6975=FACE_BOUND('',#58349,.T.); #6976=FACE_BOUND('',#58350,.T.); #6977=FACE_BOUND('',#58351,.T.); #6978=FACE_BOUND('',#58352,.T.); #6979=FACE_BOUND('',#58353,.T.); #6980=FACE_BOUND('',#58354,.T.); #6981=FACE_BOUND('',#58355,.T.); #6982=FACE_BOUND('',#58356,.T.); #6983=FACE_BOUND('',#58357,.T.); #6984=FACE_BOUND('',#58358,.T.); #6985=FACE_BOUND('',#58359,.T.); #6986=FACE_BOUND('',#58360,.T.); #6987=FACE_BOUND('',#58361,.T.); #6988=FACE_BOUND('',#58362,.T.); #6989=FACE_BOUND('',#58363,.T.); #6990=FACE_BOUND('',#58364,.T.); #6991=FACE_BOUND('',#58365,.T.); #6992=FACE_BOUND('',#58366,.T.); #6993=FACE_BOUND('',#58367,.T.); #6994=FACE_BOUND('',#58368,.T.); #6995=FACE_BOUND('',#58369,.T.); #6996=FACE_BOUND('',#58370,.T.); #6997=FACE_BOUND('',#58371,.T.); #6998=FACE_BOUND('',#58372,.T.); #6999=FACE_BOUND('',#58373,.T.); #7000=FACE_BOUND('',#58374,.T.); #7001=FACE_BOUND('',#58375,.T.); #7002=FACE_BOUND('',#58376,.T.); #7003=FACE_BOUND('',#58377,.T.); #7004=FACE_BOUND('',#58378,.T.); #7005=FACE_BOUND('',#58379,.T.); #7006=FACE_BOUND('',#58380,.T.); #7007=FACE_BOUND('',#58381,.T.); #7008=FACE_BOUND('',#58382,.T.); #7009=FACE_BOUND('',#58383,.T.); #7010=FACE_BOUND('',#58384,.T.); #7011=FACE_BOUND('',#58385,.T.); #7012=FACE_BOUND('',#58386,.T.); #7013=FACE_BOUND('',#58387,.T.); #7014=FACE_BOUND('',#58388,.T.); #7015=FACE_BOUND('',#58389,.T.); #7016=FACE_BOUND('',#58390,.T.); #7017=FACE_BOUND('',#58391,.T.); #7018=FACE_BOUND('',#58392,.T.); #7019=FACE_BOUND('',#58393,.T.); #7020=FACE_BOUND('',#58394,.T.); #7021=FACE_BOUND('',#58395,.T.); #7022=FACE_BOUND('',#58396,.T.); #7023=FACE_BOUND('',#58397,.T.); #7024=FACE_BOUND('',#58398,.T.); #7025=FACE_BOUND('',#58399,.T.); #7026=FACE_BOUND('',#58400,.T.); #7027=FACE_BOUND('',#58401,.T.); #7028=FACE_BOUND('',#58402,.T.); #7029=FACE_BOUND('',#58403,.T.); #7030=FACE_BOUND('',#58404,.T.); #7031=FACE_BOUND('',#58405,.T.); #7032=FACE_BOUND('',#58406,.T.); #7033=FACE_BOUND('',#58407,.T.); #7034=FACE_BOUND('',#58408,.T.); #7035=FACE_BOUND('',#58409,.T.); #7036=FACE_BOUND('',#58410,.T.); #7037=FACE_BOUND('',#58411,.T.); #7038=FACE_BOUND('',#58412,.T.); #7039=FACE_BOUND('',#58413,.T.); #7040=FACE_BOUND('',#58414,.T.); #7041=FACE_BOUND('',#58415,.T.); #7042=FACE_BOUND('',#58416,.T.); #7043=FACE_BOUND('',#58417,.T.); #7044=FACE_BOUND('',#58418,.T.); #7045=FACE_BOUND('',#58419,.T.); #7046=FACE_BOUND('',#58420,.T.); #7047=FACE_BOUND('',#58421,.T.); #7048=FACE_BOUND('',#58422,.T.); #7049=FACE_BOUND('',#58423,.T.); #7050=FACE_BOUND('',#58424,.T.); #7051=FACE_BOUND('',#58425,.T.); #7052=FACE_BOUND('',#58426,.T.); #7053=FACE_BOUND('',#58427,.T.); #7054=FACE_BOUND('',#58428,.T.); #7055=FACE_BOUND('',#58429,.T.); #7056=FACE_BOUND('',#58430,.T.); #7057=FACE_BOUND('',#58431,.T.); #7058=FACE_BOUND('',#58432,.T.); #7059=FACE_BOUND('',#58433,.T.); #7060=FACE_BOUND('',#58434,.T.); #7061=FACE_BOUND('',#58435,.T.); #7062=FACE_BOUND('',#58436,.T.); #7063=FACE_BOUND('',#58437,.T.); #7064=FACE_BOUND('',#58438,.T.); #7065=FACE_BOUND('',#58439,.T.); #7066=FACE_BOUND('',#58440,.T.); #7067=FACE_BOUND('',#58441,.T.); #7068=FACE_BOUND('',#58442,.T.); #7069=FACE_BOUND('',#58443,.T.); #7070=FACE_BOUND('',#58444,.T.); #7071=FACE_BOUND('',#58445,.T.); #7072=FACE_BOUND('',#58446,.T.); #7073=FACE_BOUND('',#58447,.T.); #7074=FACE_BOUND('',#58448,.T.); #7075=FACE_BOUND('',#58449,.T.); #7076=FACE_BOUND('',#58450,.T.); #7077=FACE_BOUND('',#58451,.T.); #7078=FACE_BOUND('',#58452,.T.); #7079=FACE_BOUND('',#58453,.T.); #7080=FACE_BOUND('',#58454,.T.); #7081=FACE_BOUND('',#58455,.T.); #7082=FACE_BOUND('',#58456,.T.); #7083=FACE_BOUND('',#58457,.T.); #7084=FACE_BOUND('',#58458,.T.); #7085=FACE_BOUND('',#58459,.T.); #7086=FACE_BOUND('',#58460,.T.); #7087=FACE_BOUND('',#58461,.T.); #7088=FACE_BOUND('',#58462,.T.); #7089=FACE_BOUND('',#58463,.T.); #7090=FACE_BOUND('',#58464,.T.); #7091=FACE_BOUND('',#58465,.T.); #7092=FACE_BOUND('',#58466,.T.); #7093=FACE_BOUND('',#58467,.T.); #7094=FACE_BOUND('',#58468,.T.); #7095=FACE_BOUND('',#58469,.T.); #7096=FACE_BOUND('',#58470,.T.); #7097=FACE_BOUND('',#58471,.T.); #7098=FACE_BOUND('',#58472,.T.); #7099=FACE_BOUND('',#58473,.T.); #7100=FACE_BOUND('',#58474,.T.); #7101=FACE_BOUND('',#58475,.T.); #7102=FACE_BOUND('',#58476,.T.); #7103=FACE_BOUND('',#58477,.T.); #7104=FACE_BOUND('',#58478,.T.); #7105=FACE_BOUND('',#58479,.T.); #7106=FACE_BOUND('',#58480,.T.); #7107=FACE_BOUND('',#58481,.T.); #7108=FACE_BOUND('',#58482,.T.); #7109=FACE_BOUND('',#58483,.T.); #7110=FACE_BOUND('',#58484,.T.); #7111=FACE_BOUND('',#58485,.T.); #7112=FACE_BOUND('',#58486,.T.); #7113=FACE_BOUND('',#58487,.T.); #7114=FACE_BOUND('',#58488,.T.); #7115=FACE_BOUND('',#58489,.T.); #7116=FACE_BOUND('',#58490,.T.); #7117=FACE_BOUND('',#58491,.T.); #7118=FACE_BOUND('',#58492,.T.); #7119=FACE_BOUND('',#58493,.T.); #7120=FACE_BOUND('',#58494,.T.); #7121=FACE_BOUND('',#58495,.T.); #7122=FACE_BOUND('',#58496,.T.); #7123=FACE_BOUND('',#58497,.T.); #7124=FACE_BOUND('',#58498,.T.); #7125=FACE_BOUND('',#58499,.T.); #7126=FACE_BOUND('',#58500,.T.); #7127=FACE_BOUND('',#58501,.T.); #7128=FACE_BOUND('',#58502,.T.); #7129=FACE_BOUND('',#58503,.T.); #7130=FACE_BOUND('',#58504,.T.); #7131=FACE_BOUND('',#58505,.T.); #7132=FACE_BOUND('',#58506,.T.); #7133=FACE_BOUND('',#58507,.T.); #7134=FACE_BOUND('',#58508,.T.); #7135=FACE_BOUND('',#58509,.T.); #7136=FACE_BOUND('',#58510,.T.); #7137=FACE_BOUND('',#58511,.T.); #7138=FACE_BOUND('',#58512,.T.); #7139=FACE_BOUND('',#58513,.T.); #7140=FACE_BOUND('',#58514,.T.); #7141=FACE_BOUND('',#58515,.T.); #7142=FACE_BOUND('',#58516,.T.); #7143=FACE_BOUND('',#58517,.T.); #7144=FACE_BOUND('',#58518,.T.); #7145=FACE_BOUND('',#58519,.T.); #7146=FACE_BOUND('',#58520,.T.); #7147=FACE_BOUND('',#58521,.T.); #7148=FACE_BOUND('',#58522,.T.); #7149=FACE_BOUND('',#58523,.T.); #7150=FACE_BOUND('',#58524,.T.); #7151=FACE_BOUND('',#58525,.T.); #7152=FACE_BOUND('',#58526,.T.); #7153=FACE_BOUND('',#58527,.T.); #7154=FACE_BOUND('',#58528,.T.); #7155=FACE_BOUND('',#58529,.T.); #7156=FACE_BOUND('',#58530,.T.); #7157=FACE_BOUND('',#58531,.T.); #7158=FACE_BOUND('',#58532,.T.); #7159=FACE_BOUND('',#58533,.T.); #7160=FACE_BOUND('',#58534,.T.); #7161=FACE_BOUND('',#58535,.T.); #7162=FACE_BOUND('',#58536,.T.); #7163=FACE_BOUND('',#58537,.T.); #7164=FACE_BOUND('',#58538,.T.); #7165=FACE_BOUND('',#58539,.T.); #7166=FACE_BOUND('',#58540,.T.); #7167=FACE_BOUND('',#58541,.T.); #7168=FACE_BOUND('',#58542,.T.); #7169=FACE_BOUND('',#58543,.T.); #7170=FACE_BOUND('',#58544,.T.); #7171=FACE_BOUND('',#58545,.T.); #7172=FACE_BOUND('',#58546,.T.); #7173=FACE_BOUND('',#58547,.T.); #7174=FACE_BOUND('',#58548,.T.); #7175=FACE_BOUND('',#58549,.T.); #7176=FACE_BOUND('',#58550,.T.); #7177=FACE_BOUND('',#58551,.T.); #7178=FACE_BOUND('',#58552,.T.); #7179=FACE_BOUND('',#58553,.T.); #7180=FACE_BOUND('',#58554,.T.); #7181=FACE_BOUND('',#58555,.T.); #7182=FACE_BOUND('',#58556,.T.); #7183=FACE_BOUND('',#58557,.T.); #7184=FACE_BOUND('',#58558,.T.); #7185=FACE_BOUND('',#58559,.T.); #7186=FACE_BOUND('',#58560,.T.); #7187=FACE_BOUND('',#58561,.T.); #7188=FACE_BOUND('',#58562,.T.); #7189=FACE_BOUND('',#58563,.T.); #7190=FACE_BOUND('',#58564,.T.); #7191=FACE_BOUND('',#58565,.T.); #7192=FACE_BOUND('',#58566,.T.); #7193=FACE_BOUND('',#58567,.T.); #7194=FACE_BOUND('',#58568,.T.); #7195=FACE_BOUND('',#58569,.T.); #7196=FACE_BOUND('',#58570,.T.); #7197=FACE_BOUND('',#58571,.T.); #7198=FACE_BOUND('',#58572,.T.); #7199=FACE_BOUND('',#58573,.T.); #7200=FACE_BOUND('',#58574,.T.); #7201=FACE_BOUND('',#58575,.T.); #7202=FACE_BOUND('',#58576,.T.); #7203=FACE_BOUND('',#58577,.T.); #7204=FACE_BOUND('',#58578,.T.); #7205=FACE_BOUND('',#58579,.T.); #7206=FACE_BOUND('',#58580,.T.); #7207=FACE_BOUND('',#58581,.T.); #7208=FACE_BOUND('',#58582,.T.); #7209=FACE_BOUND('',#58583,.T.); #7210=FACE_BOUND('',#58584,.T.); #7211=FACE_BOUND('',#58585,.T.); #7212=FACE_BOUND('',#58586,.T.); #7213=FACE_BOUND('',#58587,.T.); #7214=FACE_BOUND('',#58588,.T.); #7215=FACE_BOUND('',#58589,.T.); #7216=FACE_BOUND('',#58590,.T.); #7217=FACE_BOUND('',#58591,.T.); #7218=FACE_BOUND('',#58592,.T.); #7219=FACE_BOUND('',#58593,.T.); #7220=FACE_BOUND('',#58594,.T.); #7221=FACE_BOUND('',#58595,.T.); #7222=FACE_BOUND('',#58596,.T.); #7223=FACE_BOUND('',#58597,.T.); #7224=FACE_BOUND('',#58598,.T.); #7225=FACE_BOUND('',#58599,.T.); #7226=FACE_BOUND('',#58600,.T.); #7227=FACE_BOUND('',#58601,.T.); #7228=FACE_BOUND('',#58602,.T.); #7229=FACE_BOUND('',#58603,.T.); #7230=FACE_BOUND('',#58604,.T.); #7231=FACE_BOUND('',#58605,.T.); #7232=FACE_BOUND('',#58606,.T.); #7233=FACE_BOUND('',#58607,.T.); #7234=FACE_BOUND('',#58608,.T.); #7235=FACE_BOUND('',#58609,.T.); #7236=FACE_BOUND('',#58610,.T.); #7237=FACE_BOUND('',#58611,.T.); #7238=FACE_BOUND('',#58612,.T.); #7239=FACE_BOUND('',#58613,.T.); #7240=FACE_BOUND('',#58614,.T.); #7241=FACE_BOUND('',#58615,.T.); #7242=FACE_BOUND('',#58616,.T.); #7243=FACE_BOUND('',#58617,.T.); #7244=FACE_BOUND('',#58618,.T.); #7245=FACE_BOUND('',#58619,.T.); #7246=FACE_BOUND('',#58957,.T.); #7247=FACE_BOUND('',#58958,.T.); #7248=FACE_BOUND('',#58959,.T.); #7249=FACE_BOUND('',#58960,.T.); #7250=FACE_BOUND('',#58961,.T.); #7251=FACE_BOUND('',#58962,.T.); #7252=FACE_BOUND('',#58963,.T.); #7253=FACE_BOUND('',#58964,.T.); #7254=FACE_BOUND('',#58965,.T.); #7255=FACE_BOUND('',#58966,.T.); #7256=FACE_BOUND('',#58967,.T.); #7257=FACE_BOUND('',#58968,.T.); #7258=FACE_BOUND('',#58969,.T.); #7259=FACE_BOUND('',#58970,.T.); #7260=FACE_BOUND('',#58971,.T.); #7261=FACE_BOUND('',#58972,.T.); #7262=FACE_BOUND('',#58973,.T.); #7263=FACE_BOUND('',#58974,.T.); #7264=FACE_BOUND('',#58975,.T.); #7265=FACE_BOUND('',#58976,.T.); #7266=FACE_BOUND('',#58977,.T.); #7267=FACE_BOUND('',#58978,.T.); #7268=FACE_BOUND('',#58979,.T.); #7269=FACE_BOUND('',#58980,.T.); #7270=FACE_BOUND('',#58981,.T.); #7271=FACE_BOUND('',#58982,.T.); #7272=FACE_BOUND('',#58983,.T.); #7273=FACE_BOUND('',#58984,.T.); #7274=FACE_BOUND('',#58985,.T.); #7275=FACE_BOUND('',#58986,.T.); #7276=FACE_BOUND('',#58987,.T.); #7277=FACE_BOUND('',#58988,.T.); #7278=FACE_BOUND('',#58989,.T.); #7279=FACE_BOUND('',#58990,.T.); #7280=FACE_BOUND('',#58991,.T.); #7281=FACE_BOUND('',#58992,.T.); #7282=FACE_BOUND('',#58993,.T.); #7283=FACE_BOUND('',#58994,.T.); #7284=FACE_BOUND('',#58995,.T.); #7285=FACE_BOUND('',#58996,.T.); #7286=FACE_BOUND('',#58997,.T.); #7287=FACE_BOUND('',#58998,.T.); #7288=FACE_BOUND('',#58999,.T.); #7289=FACE_BOUND('',#59000,.T.); #7290=FACE_BOUND('',#59001,.T.); #7291=FACE_BOUND('',#59002,.T.); #7292=FACE_BOUND('',#59003,.T.); #7293=FACE_BOUND('',#59004,.T.); #7294=FACE_BOUND('',#59005,.T.); #7295=FACE_BOUND('',#59006,.T.); #7296=FACE_BOUND('',#59007,.T.); #7297=FACE_BOUND('',#59008,.T.); #7298=FACE_BOUND('',#59009,.T.); #7299=FACE_BOUND('',#59010,.T.); #7300=FACE_BOUND('',#59011,.T.); #7301=FACE_BOUND('',#59012,.T.); #7302=FACE_BOUND('',#59013,.T.); #7303=FACE_BOUND('',#59014,.T.); #7304=FACE_BOUND('',#59015,.T.); #7305=FACE_BOUND('',#59016,.T.); #7306=FACE_BOUND('',#59017,.T.); #7307=FACE_BOUND('',#59018,.T.); #7308=FACE_BOUND('',#59019,.T.); #7309=FACE_BOUND('',#59020,.T.); #7310=FACE_BOUND('',#59021,.T.); #7311=FACE_BOUND('',#59022,.T.); #7312=FACE_BOUND('',#59023,.T.); #7313=FACE_BOUND('',#59024,.T.); #7314=FACE_BOUND('',#59025,.T.); #7315=FACE_BOUND('',#59026,.T.); #7316=FACE_BOUND('',#59027,.T.); #7317=FACE_BOUND('',#59028,.T.); #7318=FACE_BOUND('',#59029,.T.); #7319=FACE_BOUND('',#59030,.T.); #7320=FACE_BOUND('',#59031,.T.); #7321=FACE_BOUND('',#59032,.T.); #7322=FACE_BOUND('',#59033,.T.); #7323=FACE_BOUND('',#59034,.T.); #7324=FACE_BOUND('',#59035,.T.); #7325=FACE_BOUND('',#59036,.T.); #7326=FACE_BOUND('',#59037,.T.); #7327=FACE_BOUND('',#59038,.T.); #7328=FACE_BOUND('',#59039,.T.); #7329=FACE_BOUND('',#59040,.T.); #7330=FACE_BOUND('',#59041,.T.); #7331=FACE_BOUND('',#59042,.T.); #7332=FACE_BOUND('',#59043,.T.); #7333=FACE_BOUND('',#59044,.T.); #7334=FACE_BOUND('',#59045,.T.); #7335=FACE_BOUND('',#59046,.T.); #7336=FACE_BOUND('',#59047,.T.); #7337=FACE_BOUND('',#59048,.T.); #7338=FACE_BOUND('',#59049,.T.); #7339=FACE_BOUND('',#59050,.T.); #7340=FACE_BOUND('',#59051,.T.); #7341=FACE_BOUND('',#59052,.T.); #7342=FACE_BOUND('',#59053,.T.); #7343=FACE_BOUND('',#59054,.T.); #7344=FACE_BOUND('',#59055,.T.); #7345=FACE_BOUND('',#59056,.T.); #7346=FACE_BOUND('',#59057,.T.); #7347=FACE_BOUND('',#59058,.T.); #7348=FACE_BOUND('',#59059,.T.); #7349=FACE_BOUND('',#59060,.T.); #7350=FACE_BOUND('',#59061,.T.); #7351=FACE_BOUND('',#59062,.T.); #7352=FACE_BOUND('',#59063,.T.); #7353=FACE_BOUND('',#59064,.T.); #7354=FACE_BOUND('',#59065,.T.); #7355=FACE_BOUND('',#59066,.T.); #7356=FACE_BOUND('',#59067,.T.); #7357=FACE_BOUND('',#59068,.T.); #7358=FACE_BOUND('',#59069,.T.); #7359=FACE_BOUND('',#59070,.T.); #7360=FACE_BOUND('',#59071,.T.); #7361=FACE_BOUND('',#59072,.T.); #7362=FACE_BOUND('',#59073,.T.); #7363=FACE_BOUND('',#59074,.T.); #7364=FACE_BOUND('',#59075,.T.); #7365=FACE_BOUND('',#59076,.T.); #7366=FACE_BOUND('',#59077,.T.); #7367=FACE_BOUND('',#59078,.T.); #7368=FACE_BOUND('',#59079,.T.); #7369=FACE_BOUND('',#59080,.T.); #7370=FACE_BOUND('',#59081,.T.); #7371=FACE_BOUND('',#59082,.T.); #7372=FACE_BOUND('',#59083,.T.); #7373=FACE_BOUND('',#59084,.T.); #7374=FACE_BOUND('',#59085,.T.); #7375=FACE_BOUND('',#59086,.T.); #7376=FACE_BOUND('',#59087,.T.); #7377=FACE_BOUND('',#59088,.T.); #7378=FACE_BOUND('',#59089,.T.); #7379=FACE_BOUND('',#59090,.T.); #7380=FACE_BOUND('',#59091,.T.); #7381=FACE_BOUND('',#59092,.T.); #7382=FACE_BOUND('',#59093,.T.); #7383=FACE_BOUND('',#59094,.T.); #7384=FACE_BOUND('',#59095,.T.); #7385=FACE_BOUND('',#59096,.T.); #7386=FACE_BOUND('',#59097,.T.); #7387=FACE_BOUND('',#59098,.T.); #7388=FACE_BOUND('',#59099,.T.); #7389=FACE_BOUND('',#59100,.T.); #7390=FACE_BOUND('',#59101,.T.); #7391=FACE_BOUND('',#59102,.T.); #7392=FACE_BOUND('',#59103,.T.); #7393=FACE_BOUND('',#59104,.T.); #7394=FACE_BOUND('',#59105,.T.); #7395=FACE_BOUND('',#59106,.T.); #7396=FACE_BOUND('',#59107,.T.); #7397=FACE_BOUND('',#59108,.T.); #7398=FACE_BOUND('',#59109,.T.); #7399=FACE_BOUND('',#59110,.T.); #7400=FACE_BOUND('',#59111,.T.); #7401=FACE_BOUND('',#59112,.T.); #7402=FACE_BOUND('',#59113,.T.); #7403=FACE_BOUND('',#59114,.T.); #7404=FACE_BOUND('',#59115,.T.); #7405=FACE_BOUND('',#59116,.T.); #7406=FACE_BOUND('',#59117,.T.); #7407=FACE_BOUND('',#59118,.T.); #7408=FACE_BOUND('',#59119,.T.); #7409=FACE_BOUND('',#59120,.T.); #7410=FACE_BOUND('',#59121,.T.); #7411=FACE_BOUND('',#59122,.T.); #7412=FACE_BOUND('',#59123,.T.); #7413=FACE_BOUND('',#59124,.T.); #7414=FACE_BOUND('',#59125,.T.); #7415=FACE_BOUND('',#59126,.T.); #7416=FACE_BOUND('',#59127,.T.); #7417=FACE_BOUND('',#59128,.T.); #7418=FACE_BOUND('',#59129,.T.); #7419=FACE_BOUND('',#59130,.T.); #7420=FACE_BOUND('',#59131,.T.); #7421=FACE_BOUND('',#59132,.T.); #7422=FACE_BOUND('',#59133,.T.); #7423=FACE_BOUND('',#59134,.T.); #7424=FACE_BOUND('',#59135,.T.); #7425=FACE_BOUND('',#59136,.T.); #7426=FACE_BOUND('',#59137,.T.); #7427=FACE_BOUND('',#59138,.T.); #7428=FACE_BOUND('',#59139,.T.); #7429=FACE_BOUND('',#59140,.T.); #7430=FACE_BOUND('',#59141,.T.); #7431=FACE_BOUND('',#59142,.T.); #7432=FACE_BOUND('',#59143,.T.); #7433=FACE_BOUND('',#59144,.T.); #7434=FACE_BOUND('',#59145,.T.); #7435=FACE_BOUND('',#59146,.T.); #7436=FACE_BOUND('',#59147,.T.); #7437=FACE_BOUND('',#59148,.T.); #7438=FACE_BOUND('',#59149,.T.); #7439=FACE_BOUND('',#59150,.T.); #7440=FACE_BOUND('',#59151,.T.); #7441=FACE_BOUND('',#59152,.T.); #7442=FACE_BOUND('',#59153,.T.); #7443=FACE_BOUND('',#59154,.T.); #7444=FACE_BOUND('',#59155,.T.); #7445=FACE_BOUND('',#59156,.T.); #7446=FACE_BOUND('',#59157,.T.); #7447=FACE_BOUND('',#59158,.T.); #7448=FACE_BOUND('',#59159,.T.); #7449=FACE_BOUND('',#59160,.T.); #7450=FACE_BOUND('',#59161,.T.); #7451=FACE_BOUND('',#59162,.T.); #7452=FACE_BOUND('',#59163,.T.); #7453=FACE_BOUND('',#59164,.T.); #7454=FACE_BOUND('',#59165,.T.); #7455=FACE_BOUND('',#59166,.T.); #7456=FACE_BOUND('',#59167,.T.); #7457=FACE_BOUND('',#59168,.T.); #7458=FACE_BOUND('',#59169,.T.); #7459=FACE_BOUND('',#59170,.T.); #7460=FACE_BOUND('',#59171,.T.); #7461=FACE_BOUND('',#59172,.T.); #7462=FACE_BOUND('',#59173,.T.); #7463=FACE_BOUND('',#59174,.T.); #7464=FACE_BOUND('',#59175,.T.); #7465=FACE_BOUND('',#59176,.T.); #7466=FACE_BOUND('',#59177,.T.); #7467=FACE_BOUND('',#59178,.T.); #7468=FACE_BOUND('',#59179,.T.); #7469=FACE_BOUND('',#59180,.T.); #7470=FACE_BOUND('',#59181,.T.); #7471=FACE_BOUND('',#59182,.T.); #7472=FACE_BOUND('',#59183,.T.); #7473=FACE_BOUND('',#59184,.T.); #7474=FACE_BOUND('',#59185,.T.); #7475=FACE_BOUND('',#59186,.T.); #7476=FACE_BOUND('',#59187,.T.); #7477=FACE_BOUND('',#59188,.T.); #7478=FACE_BOUND('',#59189,.T.); #7479=FACE_BOUND('',#59190,.T.); #7480=FACE_BOUND('',#59191,.T.); #7481=FACE_BOUND('',#59192,.T.); #7482=FACE_BOUND('',#59193,.T.); #7483=FACE_BOUND('',#59194,.T.); #7484=FACE_BOUND('',#59195,.T.); #7485=FACE_BOUND('',#59196,.T.); #7486=FACE_BOUND('',#59197,.T.); #7487=FACE_BOUND('',#59198,.T.); #7488=FACE_BOUND('',#59199,.T.); #7489=FACE_BOUND('',#59200,.T.); #7490=FACE_BOUND('',#59201,.T.); #7491=FACE_BOUND('',#59202,.T.); #7492=FACE_BOUND('',#59203,.T.); #7493=FACE_BOUND('',#59204,.T.); #7494=FACE_BOUND('',#59205,.T.); #7495=FACE_BOUND('',#59206,.T.); #7496=FACE_BOUND('',#59207,.T.); #7497=FACE_BOUND('',#59208,.T.); #7498=FACE_BOUND('',#59209,.T.); #7499=FACE_BOUND('',#59210,.T.); #7500=FACE_BOUND('',#59211,.T.); #7501=FACE_BOUND('',#59212,.T.); #7502=FACE_BOUND('',#59213,.T.); #7503=FACE_BOUND('',#59214,.T.); #7504=FACE_BOUND('',#59215,.T.); #7505=FACE_BOUND('',#59216,.T.); #7506=FACE_BOUND('',#59217,.T.); #7507=FACE_BOUND('',#59218,.T.); #7508=FACE_BOUND('',#59219,.T.); #7509=FACE_BOUND('',#59220,.T.); #7510=FACE_BOUND('',#59221,.T.); #7511=FACE_BOUND('',#59222,.T.); #7512=FACE_BOUND('',#59223,.T.); #7513=FACE_BOUND('',#59224,.T.); #7514=FACE_BOUND('',#59225,.T.); #7515=FACE_BOUND('',#59226,.T.); #7516=FACE_BOUND('',#59227,.T.); #7517=FACE_BOUND('',#59228,.T.); #7518=FACE_BOUND('',#59229,.T.); #7519=FACE_BOUND('',#59230,.T.); #7520=FACE_BOUND('',#59231,.T.); #7521=FACE_BOUND('',#59232,.T.); #7522=FACE_BOUND('',#59233,.T.); #7523=FACE_BOUND('',#59234,.T.); #7524=FACE_BOUND('',#59235,.T.); #7525=FACE_BOUND('',#59236,.T.); #7526=FACE_BOUND('',#59237,.T.); #7527=FACE_BOUND('',#59238,.T.); #7528=FACE_BOUND('',#59239,.T.); #7529=FACE_BOUND('',#59240,.T.); #7530=FACE_BOUND('',#59241,.T.); #7531=FACE_BOUND('',#59242,.T.); #7532=FACE_BOUND('',#59243,.T.); #7533=FACE_BOUND('',#59244,.T.); #7534=FACE_BOUND('',#59245,.T.); #7535=FACE_BOUND('',#59246,.T.); #7536=FACE_BOUND('',#59247,.T.); #7537=FACE_BOUND('',#59248,.T.); #7538=FACE_BOUND('',#59249,.T.); #7539=FACE_BOUND('',#59250,.T.); #7540=FACE_BOUND('',#59251,.T.); #7541=FACE_BOUND('',#59252,.T.); #7542=FACE_BOUND('',#59253,.T.); #7543=FACE_BOUND('',#59254,.T.); #7544=FACE_BOUND('',#59255,.T.); #7545=FACE_BOUND('',#59256,.T.); #7546=FACE_BOUND('',#59257,.T.); #7547=FACE_BOUND('',#59258,.T.); #7548=FACE_BOUND('',#59259,.T.); #7549=FACE_BOUND('',#59260,.T.); #7550=FACE_BOUND('',#59261,.T.); #7551=FACE_BOUND('',#59262,.T.); #7552=FACE_BOUND('',#59263,.T.); #7553=FACE_BOUND('',#59264,.T.); #7554=FACE_BOUND('',#59265,.T.); #7555=FACE_BOUND('',#59266,.T.); #7556=FACE_BOUND('',#59267,.T.); #7557=FACE_BOUND('',#59268,.T.); #7558=FACE_BOUND('',#59269,.T.); #7559=FACE_BOUND('',#59270,.T.); #7560=FACE_BOUND('',#59271,.T.); #7561=FACE_BOUND('',#59272,.T.); #7562=FACE_BOUND('',#59273,.T.); #7563=FACE_BOUND('',#59275,.T.); #7564=FACE_BOUND('',#59276,.T.); #7565=FACE_BOUND('',#59277,.T.); #7566=FACE_BOUND('',#59278,.T.); #7567=FACE_BOUND('',#59279,.T.); #7568=FACE_BOUND('',#59280,.T.); #7569=FACE_BOUND('',#59281,.T.); #7570=FACE_BOUND('',#59282,.T.); #7571=FACE_BOUND('',#59283,.T.); #7572=FACE_BOUND('',#59284,.T.); #7573=FACE_BOUND('',#59285,.T.); #7574=FACE_BOUND('',#59286,.T.); #7575=FACE_BOUND('',#59287,.T.); #7576=FACE_BOUND('',#59288,.T.); #7577=FACE_BOUND('',#59289,.T.); #7578=FACE_BOUND('',#59290,.T.); #7579=FACE_BOUND('',#59291,.T.); #7580=FACE_BOUND('',#59292,.T.); #7581=FACE_BOUND('',#59293,.T.); #7582=FACE_BOUND('',#59294,.T.); #7583=FACE_BOUND('',#59295,.T.); #7584=FACE_BOUND('',#59296,.T.); #7585=FACE_BOUND('',#59297,.T.); #7586=FACE_BOUND('',#59298,.T.); #7587=FACE_BOUND('',#59299,.T.); #7588=FACE_BOUND('',#59300,.T.); #7589=FACE_BOUND('',#59301,.T.); #7590=FACE_BOUND('',#59302,.T.); #7591=FACE_BOUND('',#59303,.T.); #7592=FACE_BOUND('',#59304,.T.); #7593=FACE_BOUND('',#59305,.T.); #7594=FACE_BOUND('',#59306,.T.); #7595=FACE_BOUND('',#59307,.T.); #7596=FACE_BOUND('',#59308,.T.); #7597=FACE_BOUND('',#59309,.T.); #7598=FACE_BOUND('',#59310,.T.); #7599=FACE_BOUND('',#59311,.T.); #7600=FACE_BOUND('',#59312,.T.); #7601=FACE_BOUND('',#59313,.T.); #7602=FACE_BOUND('',#59314,.T.); #7603=FACE_BOUND('',#59315,.T.); #7604=FACE_BOUND('',#59316,.T.); #7605=FACE_BOUND('',#59317,.T.); #7606=FACE_BOUND('',#59318,.T.); #7607=FACE_BOUND('',#59319,.T.); #7608=FACE_BOUND('',#59320,.T.); #7609=FACE_BOUND('',#59321,.T.); #7610=FACE_BOUND('',#59322,.T.); #7611=FACE_BOUND('',#59323,.T.); #7612=FACE_BOUND('',#59324,.T.); #7613=FACE_BOUND('',#59325,.T.); #7614=FACE_BOUND('',#59326,.T.); #7615=FACE_BOUND('',#59327,.T.); #7616=FACE_BOUND('',#59328,.T.); #7617=FACE_BOUND('',#59329,.T.); #7618=FACE_BOUND('',#59330,.T.); #7619=FACE_BOUND('',#59331,.T.); #7620=FACE_BOUND('',#59332,.T.); #7621=FACE_BOUND('',#59333,.T.); #7622=FACE_BOUND('',#59334,.T.); #7623=FACE_BOUND('',#59335,.T.); #7624=FACE_BOUND('',#59336,.T.); #7625=FACE_BOUND('',#59337,.T.); #7626=FACE_BOUND('',#59338,.T.); #7627=FACE_BOUND('',#59339,.T.); #7628=FACE_BOUND('',#59340,.T.); #7629=FACE_BOUND('',#59341,.T.); #7630=FACE_BOUND('',#59342,.T.); #7631=FACE_BOUND('',#59343,.T.); #7632=FACE_BOUND('',#59344,.T.); #7633=FACE_BOUND('',#59345,.T.); #7634=FACE_BOUND('',#59346,.T.); #7635=FACE_BOUND('',#59347,.T.); #7636=FACE_BOUND('',#59348,.T.); #7637=FACE_BOUND('',#59349,.T.); #7638=FACE_BOUND('',#59350,.T.); #7639=FACE_BOUND('',#59351,.T.); #7640=FACE_BOUND('',#59352,.T.); #7641=FACE_BOUND('',#59353,.T.); #7642=FACE_BOUND('',#59354,.T.); #7643=FACE_BOUND('',#59355,.T.); #7644=FACE_BOUND('',#59356,.T.); #7645=FACE_BOUND('',#59357,.T.); #7646=FACE_BOUND('',#59358,.T.); #7647=FACE_BOUND('',#59359,.T.); #7648=FACE_BOUND('',#59360,.T.); #7649=FACE_BOUND('',#59361,.T.); #7650=FACE_BOUND('',#59362,.T.); #7651=FACE_BOUND('',#59363,.T.); #7652=FACE_BOUND('',#59364,.T.); #7653=FACE_BOUND('',#59365,.T.); #7654=FACE_BOUND('',#59366,.T.); #7655=FACE_BOUND('',#59367,.T.); #7656=FACE_BOUND('',#59368,.T.); #7657=FACE_BOUND('',#59369,.T.); #7658=FACE_BOUND('',#59370,.T.); #7659=FACE_BOUND('',#59371,.T.); #7660=FACE_BOUND('',#59372,.T.); #7661=FACE_BOUND('',#59373,.T.); #7662=FACE_BOUND('',#59374,.T.); #7663=FACE_BOUND('',#59375,.T.); #7664=FACE_BOUND('',#59376,.T.); #7665=FACE_BOUND('',#59377,.T.); #7666=FACE_BOUND('',#59378,.T.); #7667=FACE_BOUND('',#59379,.T.); #7668=FACE_BOUND('',#59380,.T.); #7669=FACE_BOUND('',#59381,.T.); #7670=FACE_BOUND('',#59382,.T.); #7671=FACE_BOUND('',#59383,.T.); #7672=FACE_BOUND('',#59384,.T.); #7673=FACE_BOUND('',#59385,.T.); #7674=FACE_BOUND('',#59386,.T.); #7675=FACE_BOUND('',#59387,.T.); #7676=FACE_BOUND('',#59388,.T.); #7677=FACE_BOUND('',#59389,.T.); #7678=FACE_BOUND('',#59390,.T.); #7679=FACE_BOUND('',#59391,.T.); #7680=FACE_BOUND('',#59392,.T.); #7681=FACE_BOUND('',#59393,.T.); #7682=FACE_BOUND('',#59394,.T.); #7683=FACE_BOUND('',#59395,.T.); #7684=FACE_BOUND('',#59396,.T.); #7685=FACE_BOUND('',#59397,.T.); #7686=FACE_BOUND('',#59398,.T.); #7687=FACE_BOUND('',#59399,.T.); #7688=FACE_BOUND('',#59400,.T.); #7689=FACE_BOUND('',#59401,.T.); #7690=FACE_BOUND('',#59402,.T.); #7691=FACE_BOUND('',#59403,.T.); #7692=FACE_BOUND('',#59404,.T.); #7693=FACE_BOUND('',#59405,.T.); #7694=FACE_BOUND('',#59406,.T.); #7695=FACE_BOUND('',#59407,.T.); #7696=FACE_BOUND('',#59408,.T.); #7697=FACE_BOUND('',#59409,.T.); #7698=FACE_BOUND('',#59410,.T.); #7699=FACE_BOUND('',#59411,.T.); #7700=FACE_BOUND('',#59412,.T.); #7701=FACE_BOUND('',#59413,.T.); #7702=FACE_BOUND('',#59414,.T.); #7703=FACE_BOUND('',#59415,.T.); #7704=FACE_BOUND('',#59416,.T.); #7705=FACE_BOUND('',#59417,.T.); #7706=FACE_BOUND('',#59418,.T.); #7707=FACE_BOUND('',#59419,.T.); #7708=FACE_BOUND('',#59420,.T.); #7709=FACE_BOUND('',#59421,.T.); #7710=FACE_BOUND('',#59422,.T.); #7711=FACE_BOUND('',#59423,.T.); #7712=FACE_BOUND('',#59424,.T.); #7713=FACE_BOUND('',#59425,.T.); #7714=FACE_BOUND('',#59426,.T.); #7715=FACE_BOUND('',#59427,.T.); #7716=FACE_BOUND('',#59428,.T.); #7717=FACE_BOUND('',#59429,.T.); #7718=FACE_BOUND('',#59430,.T.); #7719=FACE_BOUND('',#59431,.T.); #7720=FACE_BOUND('',#59432,.T.); #7721=FACE_BOUND('',#59433,.T.); #7722=FACE_BOUND('',#59434,.T.); #7723=FACE_BOUND('',#59435,.T.); #7724=FACE_BOUND('',#59436,.T.); #7725=FACE_BOUND('',#59437,.T.); #7726=FACE_BOUND('',#59438,.T.); #7727=FACE_BOUND('',#59439,.T.); #7728=FACE_BOUND('',#59440,.T.); #7729=FACE_BOUND('',#59441,.T.); #7730=FACE_BOUND('',#59442,.T.); #7731=FACE_BOUND('',#59443,.T.); #7732=FACE_BOUND('',#59444,.T.); #7733=FACE_BOUND('',#59445,.T.); #7734=FACE_BOUND('',#59446,.T.); #7735=FACE_BOUND('',#59447,.T.); #7736=FACE_BOUND('',#59448,.T.); #7737=FACE_BOUND('',#59449,.T.); #7738=FACE_BOUND('',#59450,.T.); #7739=FACE_BOUND('',#59451,.T.); #7740=FACE_BOUND('',#59452,.T.); #7741=FACE_BOUND('',#59453,.T.); #7742=FACE_BOUND('',#59454,.T.); #7743=FACE_BOUND('',#59455,.T.); #7744=FACE_BOUND('',#59456,.T.); #7745=FACE_BOUND('',#59457,.T.); #7746=FACE_BOUND('',#59458,.T.); #7747=FACE_BOUND('',#59459,.T.); #7748=FACE_BOUND('',#59460,.T.); #7749=FACE_BOUND('',#59461,.T.); #7750=FACE_BOUND('',#59462,.T.); #7751=FACE_BOUND('',#59463,.T.); #7752=FACE_BOUND('',#59464,.T.); #7753=FACE_BOUND('',#59465,.T.); #7754=FACE_BOUND('',#59466,.T.); #7755=FACE_BOUND('',#59467,.T.); #7756=FACE_BOUND('',#59468,.T.); #7757=FACE_BOUND('',#59469,.T.); #7758=FACE_BOUND('',#59470,.T.); #7759=FACE_BOUND('',#59471,.T.); #7760=FACE_BOUND('',#59472,.T.); #7761=FACE_BOUND('',#59473,.T.); #7762=FACE_BOUND('',#59474,.T.); #7763=FACE_BOUND('',#59475,.T.); #7764=FACE_BOUND('',#59476,.T.); #7765=FACE_BOUND('',#59477,.T.); #7766=FACE_BOUND('',#59478,.T.); #7767=FACE_BOUND('',#59479,.T.); #7768=FACE_BOUND('',#59480,.T.); #7769=FACE_BOUND('',#59481,.T.); #7770=FACE_BOUND('',#59482,.T.); #7771=FACE_BOUND('',#59483,.T.); #7772=FACE_BOUND('',#59484,.T.); #7773=FACE_BOUND('',#59485,.T.); #7774=FACE_BOUND('',#59486,.T.); #7775=FACE_BOUND('',#59487,.T.); #7776=FACE_BOUND('',#59488,.T.); #7777=FACE_BOUND('',#59489,.T.); #7778=FACE_BOUND('',#59490,.T.); #7779=FACE_BOUND('',#59491,.T.); #7780=FACE_BOUND('',#59492,.T.); #7781=FACE_BOUND('',#59493,.T.); #7782=FACE_BOUND('',#59494,.T.); #7783=FACE_BOUND('',#59495,.T.); #7784=FACE_BOUND('',#59496,.T.); #7785=FACE_BOUND('',#59497,.T.); #7786=FACE_BOUND('',#59498,.T.); #7787=FACE_BOUND('',#59499,.T.); #7788=FACE_BOUND('',#59500,.T.); #7789=FACE_BOUND('',#59501,.T.); #7790=FACE_BOUND('',#59502,.T.); #7791=FACE_BOUND('',#59503,.T.); #7792=FACE_BOUND('',#59504,.T.); #7793=FACE_BOUND('',#59505,.T.); #7794=FACE_BOUND('',#59506,.T.); #7795=FACE_BOUND('',#59507,.T.); #7796=FACE_BOUND('',#59508,.T.); #7797=FACE_BOUND('',#59509,.T.); #7798=FACE_BOUND('',#59510,.T.); #7799=FACE_BOUND('',#59511,.T.); #7800=FACE_BOUND('',#59512,.T.); #7801=FACE_BOUND('',#59513,.T.); #7802=FACE_BOUND('',#59514,.T.); #7803=FACE_BOUND('',#59515,.T.); #7804=FACE_BOUND('',#59516,.T.); #7805=FACE_BOUND('',#59517,.T.); #7806=FACE_BOUND('',#59518,.T.); #7807=FACE_BOUND('',#59519,.T.); #7808=FACE_BOUND('',#59520,.T.); #7809=FACE_BOUND('',#59521,.T.); #7810=FACE_BOUND('',#59522,.T.); #7811=FACE_BOUND('',#59523,.T.); #7812=FACE_BOUND('',#59524,.T.); #7813=FACE_BOUND('',#59525,.T.); #7814=FACE_BOUND('',#59526,.T.); #7815=FACE_BOUND('',#59527,.T.); #7816=FACE_BOUND('',#59528,.T.); #7817=FACE_BOUND('',#59529,.T.); #7818=FACE_BOUND('',#59530,.T.); #7819=FACE_BOUND('',#59531,.T.); #7820=FACE_BOUND('',#59532,.T.); #7821=FACE_BOUND('',#59533,.T.); #7822=FACE_BOUND('',#59534,.T.); #7823=FACE_BOUND('',#59535,.T.); #7824=FACE_BOUND('',#59536,.T.); #7825=FACE_BOUND('',#59537,.T.); #7826=FACE_BOUND('',#59538,.T.); #7827=FACE_BOUND('',#59539,.T.); #7828=FACE_BOUND('',#59540,.T.); #7829=FACE_BOUND('',#59541,.T.); #7830=FACE_BOUND('',#59542,.T.); #7831=FACE_BOUND('',#59543,.T.); #7832=FACE_BOUND('',#59544,.T.); #7833=FACE_BOUND('',#59545,.T.); #7834=FACE_BOUND('',#59546,.T.); #7835=FACE_BOUND('',#59547,.T.); #7836=FACE_BOUND('',#59548,.T.); #7837=FACE_BOUND('',#59549,.T.); #7838=FACE_BOUND('',#59550,.T.); #7839=FACE_BOUND('',#59551,.T.); #7840=FACE_BOUND('',#59552,.T.); #7841=FACE_BOUND('',#59553,.T.); #7842=FACE_BOUND('',#59554,.T.); #7843=FACE_BOUND('',#59555,.T.); #7844=FACE_BOUND('',#59556,.T.); #7845=FACE_BOUND('',#59557,.T.); #7846=FACE_BOUND('',#59558,.T.); #7847=FACE_BOUND('',#59559,.T.); #7848=FACE_BOUND('',#59560,.T.); #7849=FACE_BOUND('',#59561,.T.); #7850=FACE_BOUND('',#59562,.T.); #7851=FACE_BOUND('',#59563,.T.); #7852=FACE_BOUND('',#59564,.T.); #7853=FACE_BOUND('',#59565,.T.); #7854=FACE_BOUND('',#59566,.T.); #7855=FACE_BOUND('',#59567,.T.); #7856=FACE_BOUND('',#59568,.T.); #7857=FACE_BOUND('',#59569,.T.); #7858=FACE_BOUND('',#59570,.T.); #7859=FACE_BOUND('',#59571,.T.); #7860=FACE_BOUND('',#59572,.T.); #7861=FACE_BOUND('',#59573,.T.); #7862=FACE_BOUND('',#59574,.T.); #7863=FACE_BOUND('',#59575,.T.); #7864=FACE_BOUND('',#59576,.T.); #7865=FACE_BOUND('',#59577,.T.); #7866=FACE_BOUND('',#59578,.T.); #7867=FACE_BOUND('',#59579,.T.); #7868=FACE_BOUND('',#59580,.T.); #7869=FACE_BOUND('',#59581,.T.); #7870=FACE_BOUND('',#59582,.T.); #7871=FACE_BOUND('',#59583,.T.); #7872=FACE_BOUND('',#59584,.T.); #7873=FACE_BOUND('',#59585,.T.); #7874=FACE_BOUND('',#59586,.T.); #7875=FACE_BOUND('',#59587,.T.); #7876=FACE_BOUND('',#59588,.T.); #7877=FACE_BOUND('',#59589,.T.); #7878=FACE_BOUND('',#59590,.T.); #7879=FACE_BOUND('',#59591,.T.); #7880=FACE_BOUND('',#59599,.T.); #7881=FACE_BOUND('',#59600,.T.); #7882=FACE_BOUND('',#59601,.T.); #7883=FACE_BOUND('',#59602,.T.); #7884=FACE_BOUND('',#59604,.T.); #7885=FACE_BOUND('',#59606,.T.); #7886=FACE_BOUND('',#59608,.T.); #7887=FACE_BOUND('',#59612,.T.); #7888=FACE_BOUND('',#59877,.T.); #7889=FACE_BOUND('',#59933,.T.); #7890=FACE_BOUND('',#59934,.T.); #7891=FACE_BOUND('',#60351,.T.); #7892=FACE_BOUND('',#60353,.T.); #7893=FACE_BOUND('',#60359,.T.); #7894=FACE_BOUND('',#60360,.T.); #7895=FACE_BOUND('',#60362,.T.); #7896=FACE_BOUND('',#60365,.T.); #7897=FACE_BOUND('',#60373,.T.); #7898=FACE_BOUND('',#60380,.T.); #7899=FACE_BOUND('',#60383,.T.); #7900=FACE_BOUND('',#60399,.T.); #7901=FACE_BOUND('',#60402,.T.); #7902=FACE_BOUND('',#60403,.T.); #7903=FACE_BOUND('',#60683,.T.); #7904=FACE_BOUND('',#60687,.T.); #7905=FACE_BOUND('',#60689,.T.); #7906=FACE_BOUND('',#60694,.T.); #7907=FACE_BOUND('',#60805,.T.); #7908=FACE_BOUND('',#60807,.T.); #7909=FACE_BOUND('',#60809,.T.); #7910=FACE_BOUND('',#60810,.T.); #7911=FACE_BOUND('',#61071,.T.); #7912=FACE_BOUND('',#61159,.T.); #7913=FACE_BOUND('',#61290,.T.); #7914=FACE_BOUND('',#61449,.T.); #7915=FACE_BOUND('',#61451,.T.); #7916=FACE_BOUND('',#61465,.T.); #7917=FACE_BOUND('',#61650,.T.); #7918=FACE_BOUND('',#61651,.T.); #7919=FACE_BOUND('',#61664,.T.); #7920=FACE_BOUND('',#61686,.T.); #7921=FACE_BOUND('',#61719,.T.); #7922=FACE_BOUND('',#61720,.T.); #7923=FACE_BOUND('',#61721,.T.); #7924=FACE_BOUND('',#61878,.T.); #7925=FACE_BOUND('',#62379,.T.); #7926=FACE_BOUND('',#62508,.T.); #7927=FACE_BOUND('',#62659,.T.); #7928=FACE_BOUND('',#62660,.T.); #7929=FACE_BOUND('',#62662,.T.); #7930=FACE_BOUND('',#62663,.T.); #7931=FACE_BOUND('',#62679,.T.); #7932=FACE_BOUND('',#62680,.T.); #7933=FACE_BOUND('',#62682,.T.); #7934=FACE_BOUND('',#62683,.T.); #7935=FACE_BOUND('',#62699,.T.); #7936=FACE_BOUND('',#62700,.T.); #7937=FACE_BOUND('',#62702,.T.); #7938=FACE_BOUND('',#62703,.T.); #7939=FACE_BOUND('',#62712,.T.); #7940=FACE_BOUND('',#62720,.T.); #7941=FACE_BOUND('',#62722,.T.); #7942=FACE_BOUND('',#62723,.T.); #7943=FACE_BOUND('',#62724,.T.); #7944=FACE_BOUND('',#62745,.T.); #7945=FACE_BOUND('',#62767,.T.); #7946=FACE_BOUND('',#62784,.T.); #7947=FACE_BOUND('',#62787,.T.); #7948=FACE_BOUND('',#62802,.T.); #7949=FACE_BOUND('',#62803,.T.); #7950=FACE_BOUND('',#62814,.T.); #7951=FACE_BOUND('',#62815,.T.); #7952=FACE_BOUND('',#62816,.T.); #7953=FACE_BOUND('',#62817,.T.); #7954=FACE_BOUND('',#62818,.T.); #7955=FACE_BOUND('',#62819,.T.); #7956=FACE_BOUND('',#62825,.T.); #7957=FACE_BOUND('',#62826,.T.); #7958=FACE_BOUND('',#62827,.T.); #7959=FACE_BOUND('',#62828,.T.); #7960=FACE_BOUND('',#62829,.T.); #7961=FACE_BOUND('',#62830,.T.); #7962=FACE_BOUND('',#62847,.T.); #7963=FACE_BOUND('',#62849,.T.); #7964=FACE_BOUND('',#62861,.T.); #7965=FACE_BOUND('',#62911,.T.); #7966=FACE_BOUND('',#62914,.T.); #7967=FACE_BOUND('',#62916,.T.); #7968=FACE_BOUND('',#62918,.T.); #7969=FACE_BOUND('',#62921,.T.); #7970=FACE_BOUND('',#62935,.T.); #7971=FACE_BOUND('',#62954,.T.); #7972=FACE_BOUND('',#62955,.T.); #7973=FACE_BOUND('',#62956,.T.); #7974=FACE_BOUND('',#62957,.T.); #7975=FACE_BOUND('',#62959,.T.); #7976=FACE_BOUND('',#62960,.T.); #7977=FACE_BOUND('',#62961,.T.); #7978=FACE_BOUND('',#62962,.T.); #7979=FACE_BOUND('',#62972,.T.); #7980=FACE_BOUND('',#62984,.T.); #7981=FACE_BOUND('',#62987,.T.); #7982=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#469699,#469700,#469701),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.0839221563756458,0.344235980876899), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00150839779303,1.00377494972039,1.00558950724641)) REPRESENTATION_ITEM('') ); #7983=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#469744,#469745,#469746),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(-0.344235980876975,-0.0839221563756666), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00558950724639,1.00377494972038,1.00150839779303)) REPRESENTATION_ITEM('') ); #7984=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#470338,#470339,#470340),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.2358317369345,2.35826487158462), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.05139389097543,1.05139389097543,1.00899897601722)) REPRESENTATION_ITEM('') ); #7985=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#470343,#470344,#470345),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(-2.35826487158456,-1.23583173693445), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00899897601723,1.05139389097543,1.05139389097543)) REPRESENTATION_ITEM('') ); #7986=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#470348,#470349,#470350),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.23523626969719,2.35754423511557), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.05135387488329,1.05135387488329,1.00896057347716)) REPRESENTATION_ITEM('') ); #7987=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#470372,#470373,#470374),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(-2.35826487158462,-1.23583173693455), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00899897601722,1.05139389097543,1.05139389097543)) REPRESENTATION_ITEM('') ); #7988=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#470377,#470378,#470379),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.23523626969708,2.35754423511552), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.05135387488329,1.05135387488329,1.00896057347717)) REPRESENTATION_ITEM('') ); #7989=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#470401,#470402,#470403),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.113398602277999,1.23583173693451), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00899897601675,1.05139389097543,1.05139389097543)) REPRESENTATION_ITEM('') ); #7990=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#470406,#470407,#470408),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(-1.23523626969713,-0.11292830427272), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.05135387488329,1.05135387488329,1.00896057347671)) REPRESENTATION_ITEM('') ); #7991=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#470429,#470430,#470431),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.113398602277998,1.2358317369345), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00899897601675,1.05139389097543,1.05139389097543)) REPRESENTATION_ITEM('') ); #7992=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#475789,#475790,#475791),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.35428312421794,2.32769543393325), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.05194772141163,1.04757651364328,1.01008410779526)) REPRESENTATION_ITEM('') ); #7993=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#475810,#475811,#475812),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.22625049193224,2.3282133998764), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.05255544755996,1.05255544755996,1.01011369564238)) REPRESENTATION_ITEM('') ); #7994=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#475814,#475815,#475816),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.225815922371,2.32769542712918), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.05252461612102,1.05252461612102,1.01008410740662)) REPRESENTATION_ITEM('') ); #7995=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#475837,#475838,#475839),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.22625049193205,2.32821339987653), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.05255544755997,1.05255544755997,1.01011369564238)) REPRESENTATION_ITEM('') ); #7996=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#480618,#480619,#480620),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(-1.22581592237094,-0.123936417610335), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.05252461612103,1.05252461612102,1.01008410740642)) REPRESENTATION_ITEM('') ); #7997=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#480639,#480640,#480641),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(-1.2262504919324,-0.124287583985486), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.05255544755997,1.05255544755997,1.01011369564219)) REPRESENTATION_ITEM('') ); #7998=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#480643,#480644,#480645),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(-1.22580402674756,-0.123926804866046), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.05252377222739,1.05252377222739,1.01008329754076)) REPRESENTATION_ITEM('') ); #7999=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#480667,#480668,#480669),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.124287583985369,1.08100341940862), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.01011369564218,1.04696130262607,1.05181809681153)) REPRESENTATION_ITEM('') ); #8000=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#480670,#480671,#480672),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.132122612402919,0.140154233044186), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00122646778455,1.00125530210393,1.00128310985945)) REPRESENTATION_ITEM('') ); #8001=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#484900,#484901,#484902),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.0839221563756497,0.344235980876915), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00150839779303,1.00377494972038,1.0055895072464)) REPRESENTATION_ITEM('') ); #8002=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#484929,#484930,#484931),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(2.43432562792191,2.69463945242319), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.0055895072464,1.00377494972038,1.00150839779303)) REPRESENTATION_ITEM('') ); #8003=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#485268,#485269,#485270),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(-2.69463945242318,-2.43432562792192), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00150839779303,1.00377494972038,1.0055895072464)) REPRESENTATION_ITEM('') ); #8004=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#485297,#485298,#485299),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(-0.344235980876836,-0.0839221563756497), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.0055895072464,1.00377494972038,1.00150839779303)) REPRESENTATION_ITEM('') ); #8005=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#485489,#485490,#485491),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.0839221563756534,0.344235980876928), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00150839779303,1.00377494972038,1.0055895072464)) REPRESENTATION_ITEM('') ); #8006=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#491033,#491034,#491035),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.665164704448418,0.910858957477012), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00031073010661,1.00021275279571,1.)) REPRESENTATION_ITEM('') ); #8007=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#492316,#492317,#492318),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.245694253028611),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00021275279568,1.00031073010656)) REPRESENTATION_ITEM('') ); #8008=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#492351,#492352,#492353),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.224790789549754,0.543917806158432), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.0019755668706,1.00277042669467,1.00184051288008)) REPRESENTATION_ITEM('') ); #8009=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#492366,#492367,#492368),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.199802364347268,0.518929380957421), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00184051288496,1.00277042670195,1.00197556687573)) REPRESENTATION_ITEM('') ); #8010=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#498592,#498593,#498594),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.174543654349781,0.257707902524851), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00026244713355,1.00019374728158,1.00000000014199)) REPRESENTATION_ITEM('') ); #8011=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#498596,#498597,#498598),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(3.04736440199232E-8,0.0831642786471549), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00000000014199,1.00019374728124,1.00026244713308)) REPRESENTATION_ITEM('') ); #8012=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#498612,#498613,#498614),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(3.04736432082691E-8,0.083164278647112), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00000000014199,1.00019374728233,1.00026244713456)) REPRESENTATION_ITEM('') ); #8013=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#498619,#498620,#498621),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.174543654349725,0.257707902524849), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00026244713303,1.0001937472812,1.00000000014199)) REPRESENTATION_ITEM('') ); #8014=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#498634,#498635,#498636),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.174543654349738,0.257707902524851), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00026244713366,1.00019374728167,1.00000000014199)) REPRESENTATION_ITEM('') ); #8015=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#498638,#498639,#498640),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(3.04736425811698E-8,0.0831642786470686), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00000000014199,1.00019374728279,1.00026244713519)) REPRESENTATION_ITEM('') ); #8016=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#498655,#498656,#498657),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(14.6835688380568,14.9709935656799), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((4.39760086074376,4.41903147733316,4.43999924539201)) REPRESENTATION_ITEM('') ); #8017=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#498666,#498667,#498668),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(40.3998271336894,41.3000047961501), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((4.52729687906724,4.46471882413568,4.39760086074377)) REPRESENTATION_ITEM('') ); #8018=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#498672,#498673,#498674),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(14.6835688380567,14.9709935656799), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((4.39760086074377,4.41903147733318,4.43999924539202)) REPRESENTATION_ITEM('') ); #8019=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#498686,#498687,#498688),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(40.3998271336896,41.3000047961502), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((4.52729687906724,4.46471882413568,4.39760086074377)) REPRESENTATION_ITEM('') ); #8020=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#498753,#498754,#498755),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(14.1780661752956,14.8386565212631), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((4.27823601881214,4.3275605699608,4.37436485204132)) REPRESENTATION_ITEM('') ); #8021=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#498756,#498757,#498758),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(14.8386565212631,15.0525600691092), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((4.37436485204132,4.38952038989095,4.40441167564498)) REPRESENTATION_ITEM('') ); #8022=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#498759,#498760,#498761),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(71.1429372211867,72.1894601695895), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((7.57779916885212,7.50650018423628,7.43168020347927)) REPRESENTATION_ITEM('') ); #8023=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#498763,#498764,#498765),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(39.160591057743,40.0350849515567), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((4.40441167564498,4.34353218769789,4.27823601881212)) REPRESENTATION_ITEM('') ); #8024=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#498767,#498768,#498769),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(14.1780661752956,15.0525600691092), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((4.27823601881215,4.34353218769791,4.40441167564499)) REPRESENTATION_ITEM('') ); #8025=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#498770,#498771,#498772),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(71.1429372211869,72.1894601695897), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((7.57779916885216,7.50650018423631,7.4316802034793)) REPRESENTATION_ITEM('') ); #8026=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#498774,#498775,#498776),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(39.1605910577431,40.0350849515567), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((4.40441167564497,4.34353218769789,4.27823601881213)) REPRESENTATION_ITEM('') ); #8027=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#498778,#498779,#498780),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(14.1780661752956,15.0525600691092), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((4.27823601881215,4.34353218769791,4.40441167564499)) REPRESENTATION_ITEM('') ); #8028=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#498781,#498782,#498783),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(71.1429372211871,72.1894601695898), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((7.57779916885219,7.50650018423635,7.43168020347934)) REPRESENTATION_ITEM('') ); #8029=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#498784,#498785,#498786),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(14.6835688380567,14.9709935656798), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((4.39760086074377,4.41903147733318,4.43999924539202)) REPRESENTATION_ITEM('') ); #8030=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#498788,#498789,#498790),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(40.3998271336895,41.3000047961502), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((4.52729687906725,4.46471882413568,4.39760086074377)) REPRESENTATION_ITEM('') ); #8031=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#498791,#498792,#498793),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(39.1605910577434,40.0350849515563), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((4.40441167564494,4.34353218769789,4.27823601881218)) REPRESENTATION_ITEM('') ); #8032=( BOUNDED_CURVE() B_SPLINE_CURVE(3,(#585884,#585885,#585886,#585887),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((4,4),(0.0306276689583522,1.),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.,1.,1.)) REPRESENTATION_ITEM('') ); #8033=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#586743,#586744,#586745),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666387,0.558350975401024), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854478,1.19005359568536,1.2097473586927)) REPRESENTATION_ITEM('') ); #8034=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#586752,#586753,#586754),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771355,1.28042132444819), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19005359568547,1.15288606854489)) REPRESENTATION_ITEM('') ); #8035=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#586762,#586763,#586764),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666387,0.558350975401026), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854479,1.19005359568538,1.20974735869271)) REPRESENTATION_ITEM('') ); #8036=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#586771,#586772,#586773),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771355,1.28042132444817), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19005359568547,1.1528860685449)) REPRESENTATION_ITEM('') ); #8037=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#586781,#586782,#586783),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666385,0.558350975401022), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854479,1.19005359568537,1.20974735869271)) REPRESENTATION_ITEM('') ); #8038=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#586790,#586791,#586792),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771367,1.28042132444832), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586927,1.19005359568536,1.15288606854478)) REPRESENTATION_ITEM('') ); #8039=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#586795,#586796,#586797),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.423706197171351,0.558350975401023), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.17941351000692,1.1977973299105,1.2097473586927)) REPRESENTATION_ITEM('') ); #8040=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#586802,#586803,#586804),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771355,1.19317020594321), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19779732991061,1.17941351000703)) REPRESENTATION_ITEM('') ); #8041=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#586812,#586813,#586814),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666387,0.558350975401026), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854479,1.19005359568538,1.20974735869271)) REPRESENTATION_ITEM('') ); #8042=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#586821,#586822,#586823),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771356,1.28042132444819), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19005359568547,1.15288606854489)) REPRESENTATION_ITEM('') ); #8043=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#586831,#586832,#586833),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666386,0.558350975401023), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854478,1.19005359568536,1.2097473586927)) REPRESENTATION_ITEM('') ); #8044=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#586840,#586841,#586842),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771356,1.28042132444818), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19005359568547,1.15288606854489)) REPRESENTATION_ITEM('') ); #8045=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#586850,#586851,#586852),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666387,0.558350975401024), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854479,1.19005359568537,1.2097473586927)) REPRESENTATION_ITEM('') ); #8046=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#586859,#586860,#586861),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771355,1.28042132444818), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19005359568547,1.15288606854489)) REPRESENTATION_ITEM('') ); #8047=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#586869,#586870,#586871),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666386,0.558350975401024), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854479,1.19005359568537,1.20974735869271)) REPRESENTATION_ITEM('') ); #8048=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#586878,#586879,#586880),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771355,1.28042132444818), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19005359568547,1.15288606854489)) REPRESENTATION_ITEM('') ); #8049=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#586925,#586926,#586927),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666572,0.558350975401195), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854489,1.19005359568546,1.20974735869279)) REPRESENTATION_ITEM('') ); #8050=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#586934,#586935,#586936),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771355,1.28042132444818), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.20974735869279,1.19005359568546,1.15288606854489)) REPRESENTATION_ITEM('') ); #8051=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#586944,#586945,#586946),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666388,0.558350975401026), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854479,1.19005359568537,1.20974735869271)) REPRESENTATION_ITEM('') ); #8052=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#586953,#586954,#586955),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771355,1.28042132444816), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19005359568547,1.1528860685449)) REPRESENTATION_ITEM('') ); #8053=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#586963,#586964,#586965),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666388,0.558350975401026), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854479,1.19005359568537,1.20974735869271)) REPRESENTATION_ITEM('') ); #8054=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#586972,#586973,#586974),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771355,1.28042132444817), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19005359568547,1.1528860685449)) REPRESENTATION_ITEM('') ); #8055=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#586982,#586983,#586984),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666386,0.558350975401023), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854479,1.19005359568537,1.20974735869271)) REPRESENTATION_ITEM('') ); #8056=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#586991,#586992,#586993),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771367,1.2804213244483), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.20974735869271,1.19005359568537,1.15288606854479)) REPRESENTATION_ITEM('') ); #8057=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#586996,#586997,#586998),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.423706197171344,0.558350975401024), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.17941351000692,1.1977973299105,1.2097473586927)) REPRESENTATION_ITEM('') ); #8058=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#587010,#587011,#587012),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771366,1.2804213244483), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586927,1.19005359568537,1.15288606854479)) REPRESENTATION_ITEM('') ); #8059=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#587017,#587018,#587019),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666386,0.558350975401025), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854479,1.19005359568538,1.20974735869271)) REPRESENTATION_ITEM('') ); #8060=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#587023,#587024,#587025),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771355,1.28042132444817), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.20974735869281,1.19005359568548,1.15288606854491)) REPRESENTATION_ITEM('') ); #8061=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#587029,#587030,#587031),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666387,0.558350975401025), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854479,1.19005359568537,1.20974735869271)) REPRESENTATION_ITEM('') ); #8062=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#587035,#587036,#587037),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771355,1.28042132444817), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19005359568547,1.1528860685449)) REPRESENTATION_ITEM('') ); #8063=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#587046,#587047,#587048),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666388,0.558350975401025), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854478,1.19005359568536,1.2097473586927)) REPRESENTATION_ITEM('') ); #8064=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#587050,#587051,#587052),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771356,1.19317020594322), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19779732991061,1.17941351000702)) REPRESENTATION_ITEM('') ); #8065=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#593555,#593556,#593557),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.301489915643274),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00083949588647,1.)) REPRESENTATION_ITEM('') ); #8066=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#593559,#593560,#593561),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.301489915643273),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00083949588661,1.)) REPRESENTATION_ITEM('') ); #8067=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#593565,#593566,#593567),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.301489915643274),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00083949588663,1.)) REPRESENTATION_ITEM('') ); #8068=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#593569,#593570,#593571),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.301489915643274),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00083949588648,1.)) REPRESENTATION_ITEM('') ); #8069=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#593575,#593576,#593577),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.301489915643273),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.0008394958859,1.)) REPRESENTATION_ITEM('') ); #8070=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#593580,#593581,#593582),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.301489915643273),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00083949588703,1.)) REPRESENTATION_ITEM('') ); #8071=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#593585,#593586,#593587),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.301489915643275),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00083949588616,1.)) REPRESENTATION_ITEM('') ); #8072=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#593590,#593591,#593592),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.301489915643274),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00083949588669,1.)) REPRESENTATION_ITEM('') ); #8073=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#593595,#593596,#593597),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.301489915643274),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00083949588582,1.)) REPRESENTATION_ITEM('') ); #8074=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#593600,#593601,#593602),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.301489915643274),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00083949588582,1.)) REPRESENTATION_ITEM('') ); #8075=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#593605,#593606,#593607),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.296219351491558),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00123203393596,1.0007845714119)) REPRESENTATION_ITEM('') ); #8076=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#593609,#593610,#593611),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.138377924791831,0.434597276283386), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00078457141188,1.00123203393593,1.)) REPRESENTATION_ITEM('') ); #8077=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#593652,#593653,#593654),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.74112877083027,1.7426402624637), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.04111098587881,1.04111879701973,1.04112659074063)) REPRESENTATION_ITEM('') ); #8078=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#593662,#593663,#593664),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(3.09512473740911,3.09663622904254), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.04112659074063,1.04111879701973,1.04111098587881)) REPRESENTATION_ITEM('') ); #8079=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#593678,#593679,#593680),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(4.68331332803589,4.68394323423844), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.07426789420206,1.07421539014209,1.07416287061499)) REPRESENTATION_ITEM('') ); #8080=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#593682,#593683,#593684),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.40617846164349,0.406808367846041), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.07416287061499,1.07421539014209,1.07426789420206)) REPRESENTATION_ITEM('') ); #8081=PLANE('',#350187); #8082=PLANE('',#350189); #8083=PLANE('',#350191); #8084=PLANE('',#350192); #8085=PLANE('',#350193); #8086=PLANE('',#350194); #8087=PLANE('',#350236); #8088=PLANE('',#350237); #8089=PLANE('',#350263); #8090=PLANE('',#350265); #8091=PLANE('',#350267); #8092=PLANE('',#350269); #8093=PLANE('',#350271); #8094=PLANE('',#350273); #8095=PLANE('',#350274); #8096=PLANE('',#350276); #8097=PLANE('',#350277); #8098=PLANE('',#350281); #8099=PLANE('',#350282); #8100=PLANE('',#350286); #8101=PLANE('',#350290); #8102=PLANE('',#350294); #8103=PLANE('',#350298); #8104=PLANE('',#350331); #8105=PLANE('',#350332); #8106=PLANE('',#350358); #8107=PLANE('',#350362); #8108=PLANE('',#350364); #8109=PLANE('',#350365); #8110=PLANE('',#350367); #8111=PLANE('',#350369); #8112=PLANE('',#350371); #8113=PLANE('',#350373); #8114=PLANE('',#350378); #8115=PLANE('',#350382); #8116=PLANE('',#350386); #8117=PLANE('',#350390); #8118=PLANE('',#350419); #8119=PLANE('',#350422); #8120=PLANE('',#350440); #8121=PLANE('',#350446); #8122=PLANE('',#350468); #8123=PLANE('',#350474); #8124=PLANE('',#350475); #8125=PLANE('',#350476); #8126=PLANE('',#350478); #8127=PLANE('',#350487); #8128=PLANE('',#350494); #8129=PLANE('',#350495); #8130=PLANE('',#350496); #8131=PLANE('',#350498); #8132=PLANE('',#350503); #8133=PLANE('',#350506); #8134=PLANE('',#350521); #8135=PLANE('',#350527); #8136=PLANE('',#350531); #8137=PLANE('',#350535); #8138=PLANE('',#350536); #8139=PLANE('',#350540); #8140=PLANE('',#350547); #8141=PLANE('',#350552); #8142=PLANE('',#350556); #8143=PLANE('',#350560); #8144=PLANE('',#350565); #8145=PLANE('',#350566); #8146=PLANE('',#350567); #8147=PLANE('',#350571); #8148=PLANE('',#350575); #8149=PLANE('',#350579); #8150=PLANE('',#350582); #8151=PLANE('',#350586); #8152=PLANE('',#350590); #8153=PLANE('',#350594); #8154=PLANE('',#350598); #8155=PLANE('',#350599); #8156=PLANE('',#350603); #8157=PLANE('',#350612); #8158=PLANE('',#350615); #8159=PLANE('',#350618); #8160=PLANE('',#350622); #8161=PLANE('',#350627); #8162=PLANE('',#350631); #8163=PLANE('',#350632); #8164=PLANE('',#350636); #8165=PLANE('',#350642); #8166=PLANE('',#350646); #8167=PLANE('',#350650); #8168=PLANE('',#350651); #8169=PLANE('',#350655); #8170=PLANE('',#350662); #8171=PLANE('',#350667); #8172=PLANE('',#350671); #8173=PLANE('',#350675); #8174=PLANE('',#350680); #8175=PLANE('',#350681); #8176=PLANE('',#350682); #8177=PLANE('',#350686); #8178=PLANE('',#350690); #8179=PLANE('',#350694); #8180=PLANE('',#350697); #8181=PLANE('',#350701); #8182=PLANE('',#350705); #8183=PLANE('',#350709); #8184=PLANE('',#350713); #8185=PLANE('',#350714); #8186=PLANE('',#350718); #8187=PLANE('',#350725); #8188=PLANE('',#350730); #8189=PLANE('',#350734); #8190=PLANE('',#350738); #8191=PLANE('',#350743); #8192=PLANE('',#350744); #8193=PLANE('',#350747); #8194=PLANE('',#350750); #8195=PLANE('',#350753); #8196=PLANE('',#350756); #8197=PLANE('',#350768); #8198=PLANE('',#350772); #8199=PLANE('',#350776); #8200=PLANE('',#350812); #8201=PLANE('',#350834); #8202=PLANE('',#350836); #8203=PLANE('',#350838); #8204=PLANE('',#350840); #8205=PLANE('',#350842); #8206=PLANE('',#350844); #8207=PLANE('',#350846); #8208=PLANE('',#350849); #8209=PLANE('',#350850); #8210=PLANE('',#350852); #8211=PLANE('',#350853); #8212=PLANE('',#350854); #8213=PLANE('',#350855); #8214=PLANE('',#350856); #8215=PLANE('',#350857); #8216=PLANE('',#350858); #8217=PLANE('',#350859); #8218=PLANE('',#350866); #8219=PLANE('',#350868); #8220=PLANE('',#350871); #8221=PLANE('',#350872); #8222=PLANE('',#350873); #8223=PLANE('',#350876); #8224=PLANE('',#350877); #8225=PLANE('',#350880); #8226=PLANE('',#350883); #8227=PLANE('',#350884); #8228=PLANE('',#350885); #8229=PLANE('',#350887); #8230=PLANE('',#350890); #8231=PLANE('',#350891); #8232=PLANE('',#350892); #8233=PLANE('',#350895); #8234=PLANE('',#350903); #8235=PLANE('',#350904); #8236=PLANE('',#350905); #8237=PLANE('',#350906); #8238=PLANE('',#350907); #8239=PLANE('',#350910); #8240=PLANE('',#350912); #8241=PLANE('',#350916); #8242=PLANE('',#350917); #8243=PLANE('',#350922); #8244=PLANE('',#350923); #8245=PLANE('',#350924); #8246=PLANE('',#350925); #8247=PLANE('',#350926); #8248=PLANE('',#350931); #8249=PLANE('',#350933); #8250=PLANE('',#350934); #8251=PLANE('',#350938); #8252=PLANE('',#350939); #8253=PLANE('',#350945); #8254=PLANE('',#350949); #8255=PLANE('',#350950); #8256=PLANE('',#350953); #8257=PLANE('',#350954); #8258=PLANE('',#350960); #8259=PLANE('',#350964); #8260=PLANE('',#350965); #8261=PLANE('',#350968); #8262=PLANE('',#350969); #8263=PLANE('',#350970); #8264=PLANE('',#350971); #8265=PLANE('',#350972); #8266=PLANE('',#350975); #8267=PLANE('',#350976); #8268=PLANE('',#350977); #8269=PLANE('',#350978); #8270=PLANE('',#350979); #8271=PLANE('',#350980); #8272=PLANE('',#350982); #8273=PLANE('',#350985); #8274=PLANE('',#350988); #8275=PLANE('',#350989); #8276=PLANE('',#350990); #8277=PLANE('',#350991); #8278=PLANE('',#350992); #8279=PLANE('',#350993); #8280=PLANE('',#350994); #8281=PLANE('',#350995); #8282=PLANE('',#350996); #8283=PLANE('',#350997); #8284=PLANE('',#350998); #8285=PLANE('',#351004); #8286=PLANE('',#351005); #8287=PLANE('',#351007); #8288=PLANE('',#351014); #8289=PLANE('',#351015); #8290=PLANE('',#351016); #8291=PLANE('',#351017); #8292=PLANE('',#351018); #8293=PLANE('',#351019); #8294=PLANE('',#351026); #8295=PLANE('',#351027); #8296=PLANE('',#351028); #8297=PLANE('',#351029); #8298=PLANE('',#351030); #8299=PLANE('',#351031); #8300=PLANE('',#351035); #8301=PLANE('',#351040); #8302=PLANE('',#351042); #8303=PLANE('',#351044); #8304=PLANE('',#351047); #8305=PLANE('',#351048); #8306=PLANE('',#351050); #8307=PLANE('',#351051); #8308=PLANE('',#351052); #8309=PLANE('',#351056); #8310=PLANE('',#351057); #8311=PLANE('',#351058); #8312=PLANE('',#351059); #8313=PLANE('',#351060); #8314=PLANE('',#351061); #8315=PLANE('',#351064); #8316=PLANE('',#351067); #8317=PLANE('',#351070); #8318=PLANE('',#351071); #8319=PLANE('',#351072); #8320=PLANE('',#351081); #8321=PLANE('',#351085); #8322=PLANE('',#351089); #8323=PLANE('',#351093); #8324=PLANE('',#351102); #8325=PLANE('',#351105); #8326=PLANE('',#351108); #8327=PLANE('',#351114); #8328=PLANE('',#351118); #8329=PLANE('',#351122); #8330=PLANE('',#351126); #8331=PLANE('',#351135); #8332=PLANE('',#351138); #8333=PLANE('',#351141); #8334=PLANE('',#351147); #8335=PLANE('',#351151); #8336=PLANE('',#351155); #8337=PLANE('',#351159); #8338=PLANE('',#351168); #8339=PLANE('',#351171); #8340=PLANE('',#351174); #8341=PLANE('',#351180); #8342=PLANE('',#351184); #8343=PLANE('',#351188); #8344=PLANE('',#351192); #8345=PLANE('',#351201); #8346=PLANE('',#351204); #8347=PLANE('',#351207); #8348=PLANE('',#351211); #8349=PLANE('',#351213); #8350=PLANE('',#351215); #8351=PLANE('',#351217); #8352=PLANE('',#351219); #8353=PLANE('',#351221); #8354=PLANE('',#351225); #8355=PLANE('',#351228); #8356=PLANE('',#351230); #8357=PLANE('',#351234); #8358=PLANE('',#351236); #8359=PLANE('',#351237); #8360=PLANE('',#351265); #8361=PLANE('',#351267); #8362=PLANE('',#351272); #8363=PLANE('',#351276); #8364=PLANE('',#351278); #8365=PLANE('',#351287); #8366=PLANE('',#351289); #8367=PLANE('',#351290); #8368=PLANE('',#351293); #8369=PLANE('',#351294); #8370=PLANE('',#351298); #8371=PLANE('',#351303); #8372=PLANE('',#351308); #8373=PLANE('',#351313); #8374=PLANE('',#351317); #8375=PLANE('',#351320); #8376=PLANE('',#351323); #8377=PLANE('',#351326); #8378=PLANE('',#351327); #8379=PLANE('',#351330); #8380=PLANE('',#351331); #8381=PLANE('',#351333); #8382=PLANE('',#351334); #8383=PLANE('',#351335); #8384=PLANE('',#351339); #8385=PLANE('',#351340); #8386=PLANE('',#351341); #8387=PLANE('',#351343); #8388=PLANE('',#351344); #8389=PLANE('',#351345); #8390=PLANE('',#351347); #8391=PLANE('',#351348); #8392=PLANE('',#351349); #8393=PLANE('',#351351); #8394=PLANE('',#351352); #8395=PLANE('',#351353); #8396=PLANE('',#351355); #8397=PLANE('',#351356); #8398=PLANE('',#351357); #8399=PLANE('',#351359); #8400=PLANE('',#351360); #8401=PLANE('',#351361); #8402=PLANE('',#351363); #8403=PLANE('',#351364); #8404=PLANE('',#351365); #8405=PLANE('',#351367); #8406=PLANE('',#351368); #8407=PLANE('',#351369); #8408=PLANE('',#351371); #8409=PLANE('',#351372); #8410=PLANE('',#351373); #8411=PLANE('',#351375); #8412=PLANE('',#351376); #8413=PLANE('',#351377); #8414=PLANE('',#351379); #8415=PLANE('',#351380); #8416=PLANE('',#351381); #8417=PLANE('',#351383); #8418=PLANE('',#351384); #8419=PLANE('',#351385); #8420=PLANE('',#351387); #8421=PLANE('',#351388); #8422=PLANE('',#351389); #8423=PLANE('',#351391); #8424=PLANE('',#351392); #8425=PLANE('',#351393); #8426=PLANE('',#351395); #8427=PLANE('',#351396); #8428=PLANE('',#351397); #8429=PLANE('',#351399); #8430=PLANE('',#351400); #8431=PLANE('',#351401); #8432=PLANE('',#351403); #8433=PLANE('',#351404); #8434=PLANE('',#351405); #8435=PLANE('',#351407); #8436=PLANE('',#351408); #8437=PLANE('',#351409); #8438=PLANE('',#351411); #8439=PLANE('',#351412); #8440=PLANE('',#351413); #8441=PLANE('',#351415); #8442=PLANE('',#351416); #8443=PLANE('',#351417); #8444=PLANE('',#351419); #8445=PLANE('',#351420); #8446=PLANE('',#351421); #8447=PLANE('',#351423); #8448=PLANE('',#351424); #8449=PLANE('',#351425); #8450=PLANE('',#351427); #8451=PLANE('',#351428); #8452=PLANE('',#351429); #8453=PLANE('',#351431); #8454=PLANE('',#351432); #8455=PLANE('',#351433); #8456=PLANE('',#351435); #8457=PLANE('',#351436); #8458=PLANE('',#351437); #8459=PLANE('',#351439); #8460=PLANE('',#351440); #8461=PLANE('',#351441); #8462=PLANE('',#351443); #8463=PLANE('',#351444); #8464=PLANE('',#351445); #8465=PLANE('',#351447); #8466=PLANE('',#351448); #8467=PLANE('',#351449); #8468=PLANE('',#351451); #8469=PLANE('',#351452); #8470=PLANE('',#351453); #8471=PLANE('',#351455); #8472=PLANE('',#351456); #8473=PLANE('',#351457); #8474=PLANE('',#351459); #8475=PLANE('',#351460); #8476=PLANE('',#351461); #8477=PLANE('',#351462); #8478=PLANE('',#351464); #8479=PLANE('',#351466); #8480=PLANE('',#351468); #8481=PLANE('',#351469); #8482=PLANE('',#351474); #8483=PLANE('',#351477); #8484=PLANE('',#351480); #8485=PLANE('',#351481); #8486=PLANE('',#351487); #8487=PLANE('',#351493); #8488=PLANE('',#351509); #8489=PLANE('',#351512); #8490=PLANE('',#351515); #8491=PLANE('',#351518); #8492=PLANE('',#351523); #8493=PLANE('',#351532); #8494=PLANE('',#351533); #8495=PLANE('',#351535); #8496=PLANE('',#351536); #8497=PLANE('',#351537); #8498=PLANE('',#351538); #8499=PLANE('',#351539); #8500=PLANE('',#351540); #8501=PLANE('',#351541); #8502=PLANE('',#351546); #8503=PLANE('',#351547); #8504=PLANE('',#351548); #8505=PLANE('',#351549); #8506=PLANE('',#351550); #8507=PLANE('',#351551); #8508=PLANE('',#351552); #8509=PLANE('',#351553); #8510=PLANE('',#351554); #8511=PLANE('',#351555); #8512=PLANE('',#351556); #8513=PLANE('',#351561); #8514=PLANE('',#351562); #8515=PLANE('',#351563); #8516=PLANE('',#351564); #8517=PLANE('',#351568); #8518=PLANE('',#351569); #8519=PLANE('',#351575); #8520=PLANE('',#351578); #8521=PLANE('',#351579); #8522=PLANE('',#351585); #8523=PLANE('',#351588); #8524=PLANE('',#351594); #8525=PLANE('',#351595); #8526=PLANE('',#351601); #8527=PLANE('',#351605); #8528=PLANE('',#351610); #8529=PLANE('',#351651); #8530=PLANE('',#351652); #8531=PLANE('',#351653); #8532=PLANE('',#351654); #8533=PLANE('',#351655); #8534=PLANE('',#351656); #8535=PLANE('',#351659); #8536=PLANE('',#351662); #8537=PLANE('',#351664); #8538=PLANE('',#351667); #8539=PLANE('',#351670); #8540=PLANE('',#351673); #8541=PLANE('',#351674); #8542=PLANE('',#351675); #8543=PLANE('',#351676); #8544=PLANE('',#351677); #8545=PLANE('',#352172); #8546=PLANE('',#352176); #8547=PLANE('',#352183); #8548=PLANE('',#352187); #8549=PLANE('',#352200); #8550=PLANE('',#352204); #8551=PLANE('',#352238); #8552=PLANE('',#352242); #8553=PLANE('',#352249); #8554=PLANE('',#352253); #8555=PLANE('',#352260); #8556=PLANE('',#352264); #8557=PLANE('',#352667); #8558=PLANE('',#352668); #8559=PLANE('',#352675); #8560=PLANE('',#352676); #8561=PLANE('',#352683); #8562=PLANE('',#352684); #8563=PLANE('',#352691); #8564=PLANE('',#352692); #8565=PLANE('',#352699); #8566=PLANE('',#352700); #8567=PLANE('',#352707); #8568=PLANE('',#352708); #8569=PLANE('',#352715); #8570=PLANE('',#352716); #8571=PLANE('',#352723); #8572=PLANE('',#352724); #8573=PLANE('',#352731); #8574=PLANE('',#352732); #8575=PLANE('',#352739); #8576=PLANE('',#352740); #8577=PLANE('',#352747); #8578=PLANE('',#352748); #8579=PLANE('',#352755); #8580=PLANE('',#352756); #8581=PLANE('',#352763); #8582=PLANE('',#352764); #8583=PLANE('',#352771); #8584=PLANE('',#352772); #8585=PLANE('',#352779); #8586=PLANE('',#352780); #8587=PLANE('',#352787); #8588=PLANE('',#352788); #8589=PLANE('',#352795); #8590=PLANE('',#352796); #8591=PLANE('',#352803); #8592=PLANE('',#352804); #8593=PLANE('',#352811); #8594=PLANE('',#352812); #8595=PLANE('',#352819); #8596=PLANE('',#352820); #8597=PLANE('',#352827); #8598=PLANE('',#352828); #8599=PLANE('',#352835); #8600=PLANE('',#352836); #8601=PLANE('',#352843); #8602=PLANE('',#352844); #8603=PLANE('',#352851); #8604=PLANE('',#352852); #8605=PLANE('',#352859); #8606=PLANE('',#352860); #8607=PLANE('',#352867); #8608=PLANE('',#352868); #8609=PLANE('',#352875); #8610=PLANE('',#352876); #8611=PLANE('',#352883); #8612=PLANE('',#352884); #8613=PLANE('',#352891); #8614=PLANE('',#352892); #8615=PLANE('',#352899); #8616=PLANE('',#352900); #8617=PLANE('',#352907); #8618=PLANE('',#352908); #8619=PLANE('',#352915); #8620=PLANE('',#352916); #8621=PLANE('',#352923); #8622=PLANE('',#352924); #8623=PLANE('',#352931); #8624=PLANE('',#352932); #8625=PLANE('',#352939); #8626=PLANE('',#352940); #8627=PLANE('',#352947); #8628=PLANE('',#352948); #8629=PLANE('',#352955); #8630=PLANE('',#352956); #8631=PLANE('',#352963); #8632=PLANE('',#352964); #8633=PLANE('',#352971); #8634=PLANE('',#352972); #8635=PLANE('',#352979); #8636=PLANE('',#352980); #8637=PLANE('',#352987); #8638=PLANE('',#352988); #8639=PLANE('',#352995); #8640=PLANE('',#352996); #8641=PLANE('',#353003); #8642=PLANE('',#353004); #8643=PLANE('',#353011); #8644=PLANE('',#353012); #8645=PLANE('',#353019); #8646=PLANE('',#353020); #8647=PLANE('',#353027); #8648=PLANE('',#353028); #8649=PLANE('',#353035); #8650=PLANE('',#353036); #8651=PLANE('',#353043); #8652=PLANE('',#353044); #8653=PLANE('',#353051); #8654=PLANE('',#353052); #8655=PLANE('',#353059); #8656=PLANE('',#353060); #8657=PLANE('',#353067); #8658=PLANE('',#353068); #8659=PLANE('',#353075); #8660=PLANE('',#353076); #8661=PLANE('',#353083); #8662=PLANE('',#353084); #8663=PLANE('',#353091); #8664=PLANE('',#353092); #8665=PLANE('',#353099); #8666=PLANE('',#353100); #8667=PLANE('',#353107); #8668=PLANE('',#353108); #8669=PLANE('',#353115); #8670=PLANE('',#353116); #8671=PLANE('',#353123); #8672=PLANE('',#353124); #8673=PLANE('',#353131); #8674=PLANE('',#353132); #8675=PLANE('',#353139); #8676=PLANE('',#353140); #8677=PLANE('',#353147); #8678=PLANE('',#353148); #8679=PLANE('',#353155); #8680=PLANE('',#353156); #8681=PLANE('',#353163); #8682=PLANE('',#353164); #8683=PLANE('',#353171); #8684=PLANE('',#353172); #8685=PLANE('',#353179); #8686=PLANE('',#353180); #8687=PLANE('',#353187); #8688=PLANE('',#353188); #8689=PLANE('',#353195); #8690=PLANE('',#353196); #8691=PLANE('',#353203); #8692=PLANE('',#353204); #8693=PLANE('',#353211); #8694=PLANE('',#353212); #8695=PLANE('',#353219); #8696=PLANE('',#353220); #8697=PLANE('',#353227); #8698=PLANE('',#353228); #8699=PLANE('',#353235); #8700=PLANE('',#353236); #8701=PLANE('',#353243); #8702=PLANE('',#353244); #8703=PLANE('',#353251); #8704=PLANE('',#353252); #8705=PLANE('',#353259); #8706=PLANE('',#353260); #8707=PLANE('',#353267); #8708=PLANE('',#353268); #8709=PLANE('',#353275); #8710=PLANE('',#353276); #8711=PLANE('',#353283); #8712=PLANE('',#353284); #8713=PLANE('',#353291); #8714=PLANE('',#353292); #8715=PLANE('',#353299); #8716=PLANE('',#353300); #8717=PLANE('',#353307); #8718=PLANE('',#353308); #8719=PLANE('',#353315); #8720=PLANE('',#353316); #8721=PLANE('',#353323); #8722=PLANE('',#353324); #8723=PLANE('',#353331); #8724=PLANE('',#353332); #8725=PLANE('',#353339); #8726=PLANE('',#353340); #8727=PLANE('',#353347); #8728=PLANE('',#353348); #8729=PLANE('',#353355); #8730=PLANE('',#353356); #8731=PLANE('',#353363); #8732=PLANE('',#353364); #8733=PLANE('',#353371); #8734=PLANE('',#353372); #8735=PLANE('',#353379); #8736=PLANE('',#353380); #8737=PLANE('',#353387); #8738=PLANE('',#353388); #8739=PLANE('',#353395); #8740=PLANE('',#353396); #8741=PLANE('',#353403); #8742=PLANE('',#353404); #8743=PLANE('',#353411); #8744=PLANE('',#353412); #8745=PLANE('',#353419); #8746=PLANE('',#353420); #8747=PLANE('',#353427); #8748=PLANE('',#353428); #8749=PLANE('',#353435); #8750=PLANE('',#353436); #8751=PLANE('',#353443); #8752=PLANE('',#353444); #8753=PLANE('',#353451); #8754=PLANE('',#353452); #8755=PLANE('',#353459); #8756=PLANE('',#353460); #8757=PLANE('',#353467); #8758=PLANE('',#353468); #8759=PLANE('',#353475); #8760=PLANE('',#353476); #8761=PLANE('',#353483); #8762=PLANE('',#353484); #8763=PLANE('',#353491); #8764=PLANE('',#353492); #8765=PLANE('',#353499); #8766=PLANE('',#353500); #8767=PLANE('',#353507); #8768=PLANE('',#353508); #8769=PLANE('',#353515); #8770=PLANE('',#353516); #8771=PLANE('',#353523); #8772=PLANE('',#353524); #8773=PLANE('',#353531); #8774=PLANE('',#353532); #8775=PLANE('',#353539); #8776=PLANE('',#353540); #8777=PLANE('',#353547); #8778=PLANE('',#353548); #8779=PLANE('',#353555); #8780=PLANE('',#353556); #8781=PLANE('',#353563); #8782=PLANE('',#353564); #8783=PLANE('',#353571); #8784=PLANE('',#353572); #8785=PLANE('',#353579); #8786=PLANE('',#353580); #8787=PLANE('',#353587); #8788=PLANE('',#353588); #8789=PLANE('',#353595); #8790=PLANE('',#353596); #8791=PLANE('',#353603); #8792=PLANE('',#353604); #8793=PLANE('',#353611); #8794=PLANE('',#353612); #8795=PLANE('',#353619); #8796=PLANE('',#353620); #8797=PLANE('',#353627); #8798=PLANE('',#353628); #8799=PLANE('',#353635); #8800=PLANE('',#353636); #8801=PLANE('',#353643); #8802=PLANE('',#353644); #8803=PLANE('',#353651); #8804=PLANE('',#353652); #8805=PLANE('',#353659); #8806=PLANE('',#353660); #8807=PLANE('',#353667); #8808=PLANE('',#353668); #8809=PLANE('',#353675); #8810=PLANE('',#353676); #8811=PLANE('',#353683); #8812=PLANE('',#353684); #8813=PLANE('',#353691); #8814=PLANE('',#353692); #8815=PLANE('',#353699); #8816=PLANE('',#353700); #8817=PLANE('',#353707); #8818=PLANE('',#353708); #8819=PLANE('',#353715); #8820=PLANE('',#353716); #8821=PLANE('',#353723); #8822=PLANE('',#353724); #8823=PLANE('',#353725); #8824=PLANE('',#353729); #8825=PLANE('',#353733); #8826=PLANE('',#353737); #8827=PLANE('',#353741); #8828=PLANE('',#353742); #8829=PLANE('',#353749); #8830=PLANE('',#353750); #8831=PLANE('',#353751); #8832=PLANE('',#353755); #8833=PLANE('',#353759); #8834=PLANE('',#353763); #8835=PLANE('',#353767); #8836=PLANE('',#353768); #8837=PLANE('',#353775); #8838=PLANE('',#353776); #8839=PLANE('',#353777); #8840=PLANE('',#353781); #8841=PLANE('',#353785); #8842=PLANE('',#353789); #8843=PLANE('',#353793); #8844=PLANE('',#353794); #8845=PLANE('',#353801); #8846=PLANE('',#353802); #8847=PLANE('',#353809); #8848=PLANE('',#353810); #8849=PLANE('',#353817); #8850=PLANE('',#353818); #8851=PLANE('',#353825); #8852=PLANE('',#353826); #8853=PLANE('',#353833); #8854=PLANE('',#353834); #8855=PLANE('',#353841); #8856=PLANE('',#353842); #8857=PLANE('',#353849); #8858=PLANE('',#353850); #8859=PLANE('',#353857); #8860=PLANE('',#353858); #8861=PLANE('',#353865); #8862=PLANE('',#353866); #8863=PLANE('',#353873); #8864=PLANE('',#353874); #8865=PLANE('',#353875); #8866=PLANE('',#353879); #8867=PLANE('',#353883); #8868=PLANE('',#353887); #8869=PLANE('',#353891); #8870=PLANE('',#353892); #8871=PLANE('',#353899); #8872=PLANE('',#353900); #8873=PLANE('',#353907); #8874=PLANE('',#353908); #8875=PLANE('',#353915); #8876=PLANE('',#353916); #8877=PLANE('',#353917); #8878=PLANE('',#353921); #8879=PLANE('',#353925); #8880=PLANE('',#353929); #8881=PLANE('',#353933); #8882=PLANE('',#353934); #8883=PLANE('',#353941); #8884=PLANE('',#353942); #8885=PLANE('',#353943); #8886=PLANE('',#353947); #8887=PLANE('',#353951); #8888=PLANE('',#353955); #8889=PLANE('',#353959); #8890=PLANE('',#353960); #8891=PLANE('',#353967); #8892=PLANE('',#353968); #8893=PLANE('',#353975); #8894=PLANE('',#353976); #8895=PLANE('',#353983); #8896=PLANE('',#353984); #8897=PLANE('',#353991); #8898=PLANE('',#353992); #8899=PLANE('',#353999); #8900=PLANE('',#354000); #8901=PLANE('',#354007); #8902=PLANE('',#354008); #8903=PLANE('',#354015); #8904=PLANE('',#354016); #8905=PLANE('',#354023); #8906=PLANE('',#354024); #8907=PLANE('',#354031); #8908=PLANE('',#354032); #8909=PLANE('',#354039); #8910=PLANE('',#354040); #8911=PLANE('',#354047); #8912=PLANE('',#354048); #8913=PLANE('',#354055); #8914=PLANE('',#354056); #8915=PLANE('',#354063); #8916=PLANE('',#354064); #8917=PLANE('',#354071); #8918=PLANE('',#354072); #8919=PLANE('',#354079); #8920=PLANE('',#354080); #8921=PLANE('',#354087); #8922=PLANE('',#354088); #8923=PLANE('',#354095); #8924=PLANE('',#354096); #8925=PLANE('',#354103); #8926=PLANE('',#354104); #8927=PLANE('',#354111); #8928=PLANE('',#354112); #8929=PLANE('',#354119); #8930=PLANE('',#354120); #8931=PLANE('',#354127); #8932=PLANE('',#354128); #8933=PLANE('',#354135); #8934=PLANE('',#354136); #8935=PLANE('',#354143); #8936=PLANE('',#354144); #8937=PLANE('',#354151); #8938=PLANE('',#354152); #8939=PLANE('',#354159); #8940=PLANE('',#354160); #8941=PLANE('',#354167); #8942=PLANE('',#354168); #8943=PLANE('',#354175); #8944=PLANE('',#354176); #8945=PLANE('',#354183); #8946=PLANE('',#354184); #8947=PLANE('',#354191); #8948=PLANE('',#354192); #8949=PLANE('',#354199); #8950=PLANE('',#354200); #8951=PLANE('',#354207); #8952=PLANE('',#354208); #8953=PLANE('',#354215); #8954=PLANE('',#354216); #8955=PLANE('',#354223); #8956=PLANE('',#354224); #8957=PLANE('',#354231); #8958=PLANE('',#354232); #8959=PLANE('',#354239); #8960=PLANE('',#354240); #8961=PLANE('',#354247); #8962=PLANE('',#354248); #8963=PLANE('',#354255); #8964=PLANE('',#354256); #8965=PLANE('',#354263); #8966=PLANE('',#354264); #8967=PLANE('',#354271); #8968=PLANE('',#354272); #8969=PLANE('',#354279); #8970=PLANE('',#354280); #8971=PLANE('',#354287); #8972=PLANE('',#354288); #8973=PLANE('',#354295); #8974=PLANE('',#354296); #8975=PLANE('',#354303); #8976=PLANE('',#354304); #8977=PLANE('',#354311); #8978=PLANE('',#354312); #8979=PLANE('',#354319); #8980=PLANE('',#354320); #8981=PLANE('',#354327); #8982=PLANE('',#354328); #8983=PLANE('',#354335); #8984=PLANE('',#354336); #8985=PLANE('',#354343); #8986=PLANE('',#354344); #8987=PLANE('',#354351); #8988=PLANE('',#354352); #8989=PLANE('',#354359); #8990=PLANE('',#354360); #8991=PLANE('',#354367); #8992=PLANE('',#354368); #8993=PLANE('',#354375); #8994=PLANE('',#354376); #8995=PLANE('',#354383); #8996=PLANE('',#354384); #8997=PLANE('',#354391); #8998=PLANE('',#354392); #8999=PLANE('',#354399); #9000=PLANE('',#354400); #9001=PLANE('',#354407); #9002=PLANE('',#354408); #9003=PLANE('',#354415); #9004=PLANE('',#354416); #9005=PLANE('',#354423); #9006=PLANE('',#354424); #9007=PLANE('',#354431); #9008=PLANE('',#354432); #9009=PLANE('',#354439); #9010=PLANE('',#354440); #9011=PLANE('',#354447); #9012=PLANE('',#354448); #9013=PLANE('',#354455); #9014=PLANE('',#354456); #9015=PLANE('',#354463); #9016=PLANE('',#354464); #9017=PLANE('',#354471); #9018=PLANE('',#354472); #9019=PLANE('',#354479); #9020=PLANE('',#354480); #9021=PLANE('',#354487); #9022=PLANE('',#354488); #9023=PLANE('',#354495); #9024=PLANE('',#354496); #9025=PLANE('',#354503); #9026=PLANE('',#354504); #9027=PLANE('',#354511); #9028=PLANE('',#354512); #9029=PLANE('',#354519); #9030=PLANE('',#354520); #9031=PLANE('',#354527); #9032=PLANE('',#354528); #9033=PLANE('',#354535); #9034=PLANE('',#354536); #9035=PLANE('',#354543); #9036=PLANE('',#354544); #9037=PLANE('',#354551); #9038=PLANE('',#354552); #9039=PLANE('',#354559); #9040=PLANE('',#354560); #9041=PLANE('',#354567); #9042=PLANE('',#354568); #9043=PLANE('',#354575); #9044=PLANE('',#354576); #9045=PLANE('',#354583); #9046=PLANE('',#354584); #9047=PLANE('',#354591); #9048=PLANE('',#354592); #9049=PLANE('',#354599); #9050=PLANE('',#354600); #9051=PLANE('',#354607); #9052=PLANE('',#354608); #9053=PLANE('',#354615); #9054=PLANE('',#354616); #9055=PLANE('',#354623); #9056=PLANE('',#354624); #9057=PLANE('',#354631); #9058=PLANE('',#354632); #9059=PLANE('',#354639); #9060=PLANE('',#354640); #9061=PLANE('',#354647); #9062=PLANE('',#354648); #9063=PLANE('',#354655); #9064=PLANE('',#354656); #9065=PLANE('',#354663); #9066=PLANE('',#354664); #9067=PLANE('',#354671); #9068=PLANE('',#354672); #9069=PLANE('',#354679); #9070=PLANE('',#354680); #9071=PLANE('',#354687); #9072=PLANE('',#354688); #9073=PLANE('',#354695); #9074=PLANE('',#354696); #9075=PLANE('',#354703); #9076=PLANE('',#354704); #9077=PLANE('',#354711); #9078=PLANE('',#354712); #9079=PLANE('',#354719); #9080=PLANE('',#354720); #9081=PLANE('',#354727); #9082=PLANE('',#354728); #9083=PLANE('',#354735); #9084=PLANE('',#354736); #9085=PLANE('',#354743); #9086=PLANE('',#354744); #9087=PLANE('',#354751); #9088=PLANE('',#354752); #9089=PLANE('',#354759); #9090=PLANE('',#354760); #9091=PLANE('',#354767); #9092=PLANE('',#354768); #9093=PLANE('',#354775); #9094=PLANE('',#354776); #9095=PLANE('',#354783); #9096=PLANE('',#354784); #9097=PLANE('',#354791); #9098=PLANE('',#354792); #9099=PLANE('',#354799); #9100=PLANE('',#354800); #9101=PLANE('',#354807); #9102=PLANE('',#354808); #9103=PLANE('',#354815); #9104=PLANE('',#354816); #9105=PLANE('',#354823); #9106=PLANE('',#354824); #9107=PLANE('',#354831); #9108=PLANE('',#354832); #9109=PLANE('',#354839); #9110=PLANE('',#354840); #9111=PLANE('',#354847); #9112=PLANE('',#354848); #9113=PLANE('',#354855); #9114=PLANE('',#354856); #9115=PLANE('',#354863); #9116=PLANE('',#354864); #9117=PLANE('',#354871); #9118=PLANE('',#354872); #9119=PLANE('',#354879); #9120=PLANE('',#354880); #9121=PLANE('',#354887); #9122=PLANE('',#354888); #9123=PLANE('',#354895); #9124=PLANE('',#354896); #9125=PLANE('',#354903); #9126=PLANE('',#354904); #9127=PLANE('',#354911); #9128=PLANE('',#354912); #9129=PLANE('',#354919); #9130=PLANE('',#354920); #9131=PLANE('',#354927); #9132=PLANE('',#354928); #9133=PLANE('',#354935); #9134=PLANE('',#354936); #9135=PLANE('',#354943); #9136=PLANE('',#354944); #9137=PLANE('',#354951); #9138=PLANE('',#354952); #9139=PLANE('',#354959); #9140=PLANE('',#354960); #9141=PLANE('',#354967); #9142=PLANE('',#354968); #9143=PLANE('',#354975); #9144=PLANE('',#354976); #9145=PLANE('',#354983); #9146=PLANE('',#354984); #9147=PLANE('',#354991); #9148=PLANE('',#354992); #9149=PLANE('',#354999); #9150=PLANE('',#355000); #9151=PLANE('',#355007); #9152=PLANE('',#355008); #9153=PLANE('',#355015); #9154=PLANE('',#355016); #9155=PLANE('',#355023); #9156=PLANE('',#355024); #9157=PLANE('',#355031); #9158=PLANE('',#355032); #9159=PLANE('',#355039); #9160=PLANE('',#355040); #9161=PLANE('',#355047); #9162=PLANE('',#355048); #9163=PLANE('',#355055); #9164=PLANE('',#355056); #9165=PLANE('',#355063); #9166=PLANE('',#355064); #9167=PLANE('',#355071); #9168=PLANE('',#355072); #9169=PLANE('',#355079); #9170=PLANE('',#355080); #9171=PLANE('',#355087); #9172=PLANE('',#355088); #9173=PLANE('',#355095); #9174=PLANE('',#355096); #9175=PLANE('',#355103); #9176=PLANE('',#355104); #9177=PLANE('',#355111); #9178=PLANE('',#355112); #9179=PLANE('',#355119); #9180=PLANE('',#355120); #9181=PLANE('',#355127); #9182=PLANE('',#355128); #9183=PLANE('',#355135); #9184=PLANE('',#355136); #9185=PLANE('',#355143); #9186=PLANE('',#355144); #9187=PLANE('',#355151); #9188=PLANE('',#355152); #9189=PLANE('',#355159); #9190=PLANE('',#355160); #9191=PLANE('',#355167); #9192=PLANE('',#355168); #9193=PLANE('',#355175); #9194=PLANE('',#355176); #9195=PLANE('',#355183); #9196=PLANE('',#355184); #9197=PLANE('',#355191); #9198=PLANE('',#355192); #9199=PLANE('',#355199); #9200=PLANE('',#355200); #9201=PLANE('',#355207); #9202=PLANE('',#355208); #9203=PLANE('',#355215); #9204=PLANE('',#355216); #9205=PLANE('',#355223); #9206=PLANE('',#355224); #9207=PLANE('',#355231); #9208=PLANE('',#355232); #9209=PLANE('',#355239); #9210=PLANE('',#355240); #9211=PLANE('',#355247); #9212=PLANE('',#355248); #9213=PLANE('',#355253); #9214=PLANE('',#355260); #9215=PLANE('',#355261); #9216=PLANE('',#355262); #9217=PLANE('',#355263); #9218=PLANE('',#355264); #9219=PLANE('',#355265); #9220=PLANE('',#355266); #9221=PLANE('',#355267); #9222=PLANE('',#355268); #9223=PLANE('',#355269); #9224=PLANE('',#355270); #9225=PLANE('',#355271); #9226=PLANE('',#355272); #9227=PLANE('',#355273); #9228=PLANE('',#355274); #9229=PLANE('',#355275); #9230=PLANE('',#355276); #9231=PLANE('',#355277); #9232=PLANE('',#355278); #9233=PLANE('',#355279); #9234=PLANE('',#355280); #9235=PLANE('',#355281); #9236=PLANE('',#355282); #9237=PLANE('',#355283); #9238=PLANE('',#355284); #9239=PLANE('',#355285); #9240=PLANE('',#355286); #9241=PLANE('',#355287); #9242=PLANE('',#355288); #9243=PLANE('',#355289); #9244=PLANE('',#355290); #9245=PLANE('',#355291); #9246=PLANE('',#355292); #9247=PLANE('',#355293); #9248=PLANE('',#355294); #9249=PLANE('',#355295); #9250=PLANE('',#355296); #9251=PLANE('',#355297); #9252=PLANE('',#355298); #9253=PLANE('',#355299); #9254=PLANE('',#355300); #9255=PLANE('',#355301); #9256=PLANE('',#355302); #9257=PLANE('',#355303); #9258=PLANE('',#355304); #9259=PLANE('',#355305); #9260=PLANE('',#355306); #9261=PLANE('',#355307); #9262=PLANE('',#355308); #9263=PLANE('',#355309); #9264=PLANE('',#355310); #9265=PLANE('',#355311); #9266=PLANE('',#355312); #9267=PLANE('',#355313); #9268=PLANE('',#355314); #9269=PLANE('',#355315); #9270=PLANE('',#355316); #9271=PLANE('',#355317); #9272=PLANE('',#355318); #9273=PLANE('',#355319); #9274=PLANE('',#355320); #9275=PLANE('',#355321); #9276=PLANE('',#355325); #9277=PLANE('',#355332); #9278=PLANE('',#355333); #9279=PLANE('',#355340); #9280=PLANE('',#355347); #9281=PLANE('',#355354); #9282=PLANE('',#355358); #9283=PLANE('',#355359); #9284=PLANE('',#355363); #9285=PLANE('',#355370); #9286=PLANE('',#355371); #9287=PLANE('',#355375); #9288=PLANE('',#355382); #9289=PLANE('',#355383); #9290=PLANE('',#355387); #9291=PLANE('',#355391); #9292=PLANE('',#355395); #9293=PLANE('',#355396); #9294=PLANE('',#355397); #9295=PLANE('',#355398); #9296=PLANE('',#355399); #9297=PLANE('',#355400); #9298=PLANE('',#355401); #9299=PLANE('',#355408); #9300=PLANE('',#355409); #9301=PLANE('',#355413); #9302=PLANE('',#355417); #9303=PLANE('',#355418); #9304=PLANE('',#355419); #9305=PLANE('',#355420); #9306=PLANE('',#355421); #9307=PLANE('',#355422); #9308=PLANE('',#355423); #9309=PLANE('',#355427); #9310=PLANE('',#355428); #9311=PLANE('',#355429); #9312=PLANE('',#355430); #9313=PLANE('',#355431); #9314=PLANE('',#355432); #9315=PLANE('',#355433); #9316=PLANE('',#355434); #9317=PLANE('',#355435); #9318=PLANE('',#355436); #9319=PLANE('',#355440); #9320=PLANE('',#355444); #9321=PLANE('',#355445); #9322=PLANE('',#355446); #9323=PLANE('',#355447); #9324=PLANE('',#355448); #9325=PLANE('',#355449); #9326=PLANE('',#355450); #9327=PLANE('',#355454); #9328=PLANE('',#355455); #9329=PLANE('',#355456); #9330=PLANE('',#355457); #9331=PLANE('',#355458); #9332=PLANE('',#355459); #9333=PLANE('',#355460); #9334=PLANE('',#355461); #9335=PLANE('',#355465); #9336=PLANE('',#355466); #9337=PLANE('',#355467); #9338=PLANE('',#355468); #9339=PLANE('',#355469); #9340=PLANE('',#355470); #9341=PLANE('',#355471); #9342=PLANE('',#355475); #9343=PLANE('',#355476); #9344=PLANE('',#355480); #9345=PLANE('',#355481); #9346=PLANE('',#355482); #9347=PLANE('',#355483); #9348=PLANE('',#355484); #9349=PLANE('',#355485); #9350=PLANE('',#355486); #9351=PLANE('',#355490); #9352=PLANE('',#355491); #9353=PLANE('',#355492); #9354=PLANE('',#355493); #9355=PLANE('',#355494); #9356=PLANE('',#355498); #9357=PLANE('',#355502); #9358=PLANE('',#355503); #9359=PLANE('',#355504); #9360=PLANE('',#355505); #9361=PLANE('',#355506); #9362=PLANE('',#355507); #9363=PLANE('',#355508); #9364=PLANE('',#355509); #9365=PLANE('',#355510); #9366=PLANE('',#355511); #9367=PLANE('',#355512); #9368=PLANE('',#355516); #9369=PLANE('',#355520); #9370=PLANE('',#355521); #9371=PLANE('',#355522); #9372=PLANE('',#355523); #9373=PLANE('',#355524); #9374=PLANE('',#355528); #9375=PLANE('',#355529); #9376=PLANE('',#355530); #9377=PLANE('',#355531); #9378=PLANE('',#355532); #9379=PLANE('',#355533); #9380=PLANE('',#355534); #9381=PLANE('',#355538); #9382=PLANE('',#355539); #9383=PLANE('',#355543); #9384=PLANE('',#355544); #9385=PLANE('',#355545); #9386=PLANE('',#355546); #9387=PLANE('',#355547); #9388=PLANE('',#355548); #9389=PLANE('',#355549); #9390=PLANE('',#355553); #9391=PLANE('',#355554); #9392=PLANE('',#355555); #9393=PLANE('',#355556); #9394=PLANE('',#355560); #9395=PLANE('',#355564); #9396=PLANE('',#355565); #9397=PLANE('',#355569); #9398=PLANE('',#355570); #9399=PLANE('',#355574); #9400=PLANE('',#355575); #9401=PLANE('',#355576); #9402=PLANE('',#355577); #9403=PLANE('',#355578); #9404=PLANE('',#355579); #9405=PLANE('',#355580); #9406=PLANE('',#355581); #9407=PLANE('',#355585); #9408=PLANE('',#355586); #9409=PLANE('',#355590); #9410=PLANE('',#355594); #9411=PLANE('',#355595); #9412=PLANE('',#355599); #9413=PLANE('',#355603); #9414=PLANE('',#355604); #9415=PLANE('',#355608); #9416=PLANE('',#355609); #9417=PLANE('',#355610); #9418=PLANE('',#355611); #9419=PLANE('',#355612); #9420=PLANE('',#355613); #9421=PLANE('',#355614); #9422=PLANE('',#355615); #9423=PLANE('',#355619); #9424=PLANE('',#355623); #9425=PLANE('',#355624); #9426=PLANE('',#355628); #9427=PLANE('',#355632); #9428=PLANE('',#355633); #9429=PLANE('',#355634); #9430=PLANE('',#355635); #9431=PLANE('',#355636); #9432=PLANE('',#355637); #9433=PLANE('',#355638); #9434=PLANE('',#355642); #9435=PLANE('',#355646); #9436=PLANE('',#355647); #9437=PLANE('',#355651); #9438=PLANE('',#355655); #9439=PLANE('',#355659); #9440=PLANE('',#355660); #9441=PLANE('',#355661); #9442=PLANE('',#355662); #9443=PLANE('',#355663); #9444=PLANE('',#355664); #9445=PLANE('',#355665); #9446=PLANE('',#355666); #9447=PLANE('',#355667); #9448=PLANE('',#355671); #9449=PLANE('',#355672); #9450=PLANE('',#355679); #9451=PLANE('',#355686); #9452=PLANE('',#355693); #9453=PLANE('',#355697); #9454=PLANE('',#355698); #9455=PLANE('',#355702); #9456=PLANE('',#355706); #9457=PLANE('',#355707); #9458=PLANE('',#355711); #9459=PLANE('',#355712); #9460=PLANE('',#355713); #9461=PLANE('',#355714); #9462=PLANE('',#355715); #9463=PLANE('',#355716); #9464=PLANE('',#355717); #9465=PLANE('',#355718); #9466=PLANE('',#355722); #9467=PLANE('',#355726); #9468=PLANE('',#355727); #9469=PLANE('',#355731); #9470=PLANE('',#355735); #9471=PLANE('',#355736); #9472=PLANE('',#355740); #9473=PLANE('',#355741); #9474=PLANE('',#355745); #9475=PLANE('',#355746); #9476=PLANE('',#355747); #9477=PLANE('',#355748); #9478=PLANE('',#355749); #9479=PLANE('',#355750); #9480=PLANE('',#355751); #9481=PLANE('',#355752); #9482=PLANE('',#355756); #9483=PLANE('',#355757); #9484=PLANE('',#355761); #9485=PLANE('',#355765); #9486=PLANE('',#355766); #9487=PLANE('',#355767); #9488=PLANE('',#355768); #9489=PLANE('',#355769); #9490=PLANE('',#355770); #9491=PLANE('',#355774); #9492=PLANE('',#355778); #9493=PLANE('',#355779); #9494=PLANE('',#355780); #9495=PLANE('',#355784); #9496=PLANE('',#355785); #9497=PLANE('',#355786); #9498=PLANE('',#355787); #9499=PLANE('',#355788); #9500=PLANE('',#355789); #9501=PLANE('',#355790); #9502=PLANE('',#355794); #9503=PLANE('',#355798); #9504=PLANE('',#355799); #9505=PLANE('',#355800); #9506=PLANE('',#355801); #9507=PLANE('',#355802); #9508=PLANE('',#355803); #9509=PLANE('',#355804); #9510=PLANE('',#355808); #9511=PLANE('',#355812); #9512=PLANE('',#355813); #9513=PLANE('',#355817); #9514=PLANE('',#355821); #9515=PLANE('',#355825); #9516=PLANE('',#355826); #9517=PLANE('',#355827); #9518=PLANE('',#355828); #9519=PLANE('',#355829); #9520=PLANE('',#355830); #9521=PLANE('',#355831); #9522=PLANE('',#355835); #9523=PLANE('',#355836); #9524=PLANE('',#355837); #9525=PLANE('',#355838); #9526=PLANE('',#355842); #9527=PLANE('',#355846); #9528=PLANE('',#355847); #9529=PLANE('',#355851); #9530=PLANE('',#355855); #9531=PLANE('',#355856); #9532=PLANE('',#355857); #9533=PLANE('',#355858); #9534=PLANE('',#355859); #9535=PLANE('',#355860); #9536=PLANE('',#355861); #9537=PLANE('',#355868); #9538=PLANE('',#355869); #9539=PLANE('',#355870); #9540=PLANE('',#355871); #9541=PLANE('',#355872); #9542=PLANE('',#355873); #9543=PLANE('',#355874); #9544=PLANE('',#355878); #9545=PLANE('',#355879); #9546=PLANE('',#355880); #9547=PLANE('',#355884); #9548=PLANE('',#355888); #9549=PLANE('',#355892); #9550=PLANE('',#355893); #9551=PLANE('',#355897); #9552=PLANE('',#355898); #9553=PLANE('',#355899); #9554=PLANE('',#355900); #9555=PLANE('',#355901); #9556=PLANE('',#355902); #9557=PLANE('',#355903); #9558=PLANE('',#355907); #9559=PLANE('',#355908); #9560=PLANE('',#355912); #9561=PLANE('',#355913); #9562=PLANE('',#355914); #9563=PLANE('',#355915); #9564=PLANE('',#355919); #9565=PLANE('',#355923); #9566=PLANE('',#355924); #9567=PLANE('',#355925); #9568=PLANE('',#355926); #9569=PLANE('',#355927); #9570=PLANE('',#355928); #9571=PLANE('',#355929); #9572=PLANE('',#355930); #9573=PLANE('',#355931); #9574=PLANE('',#355932); #9575=PLANE('',#355933); #9576=PLANE('',#355937); #9577=PLANE('',#355941); #9578=PLANE('',#355942); #9579=PLANE('',#355943); #9580=PLANE('',#355944); #9581=PLANE('',#355948); #9582=PLANE('',#355949); #9583=PLANE('',#355953); #9584=PLANE('',#355954); #9585=PLANE('',#355955); #9586=PLANE('',#355956); #9587=PLANE('',#355957); #9588=PLANE('',#355958); #9589=PLANE('',#355959); #9590=PLANE('',#355963); #9591=PLANE('',#355964); #9592=PLANE('',#355968); #9593=PLANE('',#355972); #9594=PLANE('',#355976); #9595=PLANE('',#355977); #9596=PLANE('',#355978); #9597=PLANE('',#355982); #9598=PLANE('',#355983); #9599=PLANE('',#355984); #9600=PLANE('',#355985); #9601=PLANE('',#355986); #9602=PLANE('',#355987); #9603=PLANE('',#355988); #9604=PLANE('',#355989); #9605=PLANE('',#355993); #9606=PLANE('',#355994); #9607=PLANE('',#355998); #9608=PLANE('',#355999); #9609=PLANE('',#356000); #9610=PLANE('',#356001); #9611=PLANE('',#356002); #9612=PLANE('',#356003); #9613=PLANE('',#356004); #9614=PLANE('',#356008); #9615=PLANE('',#356009); #9616=PLANE('',#356010); #9617=PLANE('',#356011); #9618=PLANE('',#356012); #9619=PLANE('',#356013); #9620=PLANE('',#356017); #9621=PLANE('',#356018); #9622=PLANE('',#356022); #9623=PLANE('',#356023); #9624=PLANE('',#356024); #9625=PLANE('',#356028); #9626=PLANE('',#356029); #9627=PLANE('',#356036); #9628=PLANE('',#356040); #9629=PLANE('',#356041); #9630=PLANE('',#356045); #9631=PLANE('',#356046); #9632=PLANE('',#356047); #9633=PLANE('',#356048); #9634=PLANE('',#356049); #9635=PLANE('',#356050); #9636=PLANE('',#356051); #9637=PLANE('',#356055); #9638=PLANE('',#356059); #9639=PLANE('',#356060); #9640=PLANE('',#356064); #9641=PLANE('',#356065); #9642=PLANE('',#356066); #9643=PLANE('',#356067); #9644=PLANE('',#356068); #9645=PLANE('',#356069); #9646=PLANE('',#356070); #9647=PLANE('',#356071); #9648=PLANE('',#356075); #9649=PLANE('',#356079); #9650=PLANE('',#356080); #9651=PLANE('',#356084); #9652=PLANE('',#356091); #9653=PLANE('',#356092); #9654=PLANE('',#356093); #9655=PLANE('',#356094); #9656=PLANE('',#356095); #9657=PLANE('',#356096); #9658=PLANE('',#356097); #9659=PLANE('',#356101); #9660=PLANE('',#356105); #9661=PLANE('',#356106); #9662=PLANE('',#356110); #9663=PLANE('',#356111); #9664=PLANE('',#356112); #9665=PLANE('',#356113); #9666=PLANE('',#356114); #9667=PLANE('',#356118); #9668=PLANE('',#356119); #9669=PLANE('',#356123); #9670=PLANE('',#356130); #9671=PLANE('',#356131); #9672=PLANE('',#356135); #9673=PLANE('',#356136); #9674=PLANE('',#356137); #9675=PLANE('',#356138); #9676=PLANE('',#356139); #9677=PLANE('',#356143); #9678=PLANE('',#356147); #9679=PLANE('',#356151); #9680=PLANE('',#356152); #9681=PLANE('',#356153); #9682=PLANE('',#356154); #9683=PLANE('',#356155); #9684=PLANE('',#356156); #9685=PLANE('',#356157); #9686=PLANE('',#356164); #9687=PLANE('',#356165); #9688=PLANE('',#356169); #9689=PLANE('',#356170); #9690=PLANE('',#356171); #9691=PLANE('',#356172); #9692=PLANE('',#356173); #9693=PLANE('',#356174); #9694=PLANE('',#356178); #9695=PLANE('',#356179); #9696=PLANE('',#356186); #9697=PLANE('',#356190); #9698=PLANE('',#356191); #9699=PLANE('',#356195); #9700=PLANE('',#356196); #9701=PLANE('',#356197); #9702=PLANE('',#356198); #9703=PLANE('',#356202); #9704=PLANE('',#356206); #9705=PLANE('',#356207); #9706=PLANE('',#356208); #9707=PLANE('',#356209); #9708=PLANE('',#356210); #9709=PLANE('',#356211); #9710=PLANE('',#356212); #9711=PLANE('',#356219); #9712=PLANE('',#356220); #9713=PLANE('',#356224); #9714=PLANE('',#356231); #9715=PLANE('',#356232); #9716=PLANE('',#356233); #9717=PLANE('',#356234); #9718=PLANE('',#356235); #9719=PLANE('',#356236); #9720=PLANE('',#356237); #9721=PLANE('',#356241); #9722=PLANE('',#356242); #9723=PLANE('',#356246); #9724=PLANE('',#356247); #9725=PLANE('',#356248); #9726=PLANE('',#356249); #9727=PLANE('',#356250); #9728=PLANE('',#356254); #9729=PLANE('',#356255); #9730=PLANE('',#356256); #9731=PLANE('',#356257); #9732=PLANE('',#356258); #9733=PLANE('',#356265); #9734=PLANE('',#356269); #9735=PLANE('',#356273); #9736=PLANE('',#356277); #9737=PLANE('',#356281); #9738=PLANE('',#356282); #9739=PLANE('',#356283); #9740=PLANE('',#356284); #9741=PLANE('',#356288); #9742=PLANE('',#356289); #9743=PLANE('',#356290); #9744=PLANE('',#356291); #9745=PLANE('',#356292); #9746=PLANE('',#356293); #9747=PLANE('',#356294); #9748=PLANE('',#356295); #9749=PLANE('',#356302); #9750=PLANE('',#356306); #9751=PLANE('',#356310); #9752=PLANE('',#356314); #9753=PLANE('',#356315); #9754=PLANE('',#356316); #9755=PLANE('',#356320); #9756=PLANE('',#356321); #9757=PLANE('',#356322); #9758=PLANE('',#356323); #9759=PLANE('',#356324); #9760=PLANE('',#356328); #9761=PLANE('',#356332); #9762=PLANE('',#356336); #9763=PLANE('',#356340); #9764=PLANE('',#356347); #9765=PLANE('',#356348); #9766=PLANE('',#356349); #9767=PLANE('',#356350); #9768=PLANE('',#356351); #9769=PLANE('',#356355); #9770=PLANE('',#356356); #9771=PLANE('',#356357); #9772=PLANE('',#356358); #9773=PLANE('',#356359); #9774=PLANE('',#356360); #9775=PLANE('',#356361); #9776=PLANE('',#356362); #9777=PLANE('',#356366); #9778=PLANE('',#356370); #9779=PLANE('',#356371); #9780=PLANE('',#356372); #9781=PLANE('',#356373); #9782=PLANE('',#356374); #9783=PLANE('',#356375); #9784=PLANE('',#356376); #9785=PLANE('',#356377); #9786=PLANE('',#356378); #9787=PLANE('',#356382); #9788=PLANE('',#356386); #9789=PLANE('',#356387); #9790=PLANE('',#356388); #9791=PLANE('',#356389); #9792=PLANE('',#356390); #9793=PLANE('',#356391); #9794=PLANE('',#356392); #9795=PLANE('',#356396); #9796=PLANE('',#356397); #9797=PLANE('',#356398); #9798=PLANE('',#356399); #9799=PLANE('',#356400); #9800=PLANE('',#356401); #9801=PLANE('',#356405); #9802=PLANE('',#356409); #9803=PLANE('',#356413); #9804=PLANE('',#356414); #9805=PLANE('',#356415); #9806=PLANE('',#356416); #9807=PLANE('',#356420); #9808=PLANE('',#356421); #9809=PLANE('',#356422); #9810=PLANE('',#356423); #9811=PLANE('',#356424); #9812=PLANE('',#356425); #9813=PLANE('',#356426); #9814=PLANE('',#356430); #9815=PLANE('',#356434); #9816=PLANE('',#356438); #9817=PLANE('',#356439); #9818=PLANE('',#356443); #9819=PLANE('',#356444); #9820=PLANE('',#356448); #9821=PLANE('',#356449); #9822=PLANE('',#356450); #9823=PLANE('',#356451); #9824=PLANE('',#356452); #9825=PLANE('',#356453); #9826=PLANE('',#356454); #9827=PLANE('',#356458); #9828=PLANE('',#356465); #9829=PLANE('',#356466); #9830=PLANE('',#356470); #9831=PLANE('',#356471); #9832=PLANE('',#356472); #9833=PLANE('',#356476); #9834=PLANE('',#356477); #9835=PLANE('',#356478); #9836=PLANE('',#356479); #9837=PLANE('',#356480); #9838=PLANE('',#356481); #9839=PLANE('',#356482); #9840=PLANE('',#356483); #9841=PLANE('',#356484); #9842=PLANE('',#356485); #9843=PLANE('',#356489); #9844=PLANE('',#356493); #9845=PLANE('',#356494); #9846=PLANE('',#356495); #9847=PLANE('',#356499); #9848=PLANE('',#356503); #9849=PLANE('',#356504); #9850=PLANE('',#356505); #9851=PLANE('',#356512); #9852=PLANE('',#356516); #9853=PLANE('',#356520); #9854=PLANE('',#356521); #9855=PLANE('',#356522); #9856=PLANE('',#356526); #9857=PLANE('',#356530); #9858=PLANE('',#356531); #9859=PLANE('',#356532); #9860=PLANE('',#356533); #9861=PLANE('',#356534); #9862=PLANE('',#356535); #9863=PLANE('',#356536); #9864=PLANE('',#356537); #9865=PLANE('',#356538); #9866=PLANE('',#356539); #9867=PLANE('',#356540); #9868=PLANE('',#356544); #9869=PLANE('',#356545); #9870=PLANE('',#356546); #9871=PLANE('',#356547); #9872=PLANE('',#356548); #9873=PLANE('',#356552); #9874=PLANE('',#356553); #9875=PLANE('',#356557); #9876=PLANE('',#356558); #9877=PLANE('',#356565); #9878=PLANE('',#356569); #9879=PLANE('',#356570); #9880=PLANE('',#356574); #9881=PLANE('',#356575); #9882=PLANE('',#356576); #9883=PLANE('',#356577); #9884=PLANE('',#356578); #9885=PLANE('',#356582); #9886=PLANE('',#356583); #9887=PLANE('',#356584); #9888=PLANE('',#356585); #9889=PLANE('',#356586); #9890=PLANE('',#356587); #9891=PLANE('',#356591); #9892=PLANE('',#356592); #9893=PLANE('',#356593); #9894=PLANE('',#356594); #9895=PLANE('',#356595); #9896=PLANE('',#356596); #9897=PLANE('',#356600); #9898=PLANE('',#356604); #9899=PLANE('',#356608); #9900=PLANE('',#356609); #9901=PLANE('',#356610); #9902=PLANE('',#356611); #9903=PLANE('',#356612); #9904=PLANE('',#356613); #9905=PLANE('',#356614); #9906=PLANE('',#356615); #9907=PLANE('',#356616); #9908=PLANE('',#356620); #9909=PLANE('',#356621); #9910=PLANE('',#356625); #9911=PLANE('',#356632); #9912=PLANE('',#356633); #9913=PLANE('',#356640); #9914=PLANE('',#356644); #9915=PLANE('',#356651); #9916=PLANE('',#356655); #9917=PLANE('',#356656); #9918=PLANE('',#356660); #9919=PLANE('',#356667); #9920=PLANE('',#356668); #9921=PLANE('',#356672); #9922=PLANE('',#356673); #9923=PLANE('',#356674); #9924=PLANE('',#356675); #9925=PLANE('',#356676); #9926=PLANE('',#356677); #9927=PLANE('',#356681); #9928=PLANE('',#356682); #9929=PLANE('',#356683); #9930=PLANE('',#356684); #9931=PLANE('',#356685); #9932=PLANE('',#356686); #9933=PLANE('',#356687); #9934=PLANE('',#356688); #9935=PLANE('',#356689); #9936=PLANE('',#356690); #9937=PLANE('',#356691); #9938=PLANE('',#356692); #9939=PLANE('',#356696); #9940=PLANE('',#356700); #9941=PLANE('',#356701); #9942=PLANE('',#356702); #9943=PLANE('',#356706); #9944=PLANE('',#356710); #9945=PLANE('',#356717); #9946=PLANE('',#356718); #9947=PLANE('',#356719); #9948=PLANE('',#356723); #9949=PLANE('',#356727); #9950=PLANE('',#356728); #9951=PLANE('',#356729); #9952=PLANE('',#356733); #9953=PLANE('',#356737); #9954=PLANE('',#356738); #9955=PLANE('',#356739); #9956=PLANE('',#356740); #9957=PLANE('',#356741); #9958=PLANE('',#356742); #9959=PLANE('',#356743); #9960=PLANE('',#356744); #9961=PLANE('',#356745); #9962=PLANE('',#356749); #9963=PLANE('',#356750); #9964=PLANE('',#356751); #9965=PLANE('',#356752); #9966=PLANE('',#356756); #9967=PLANE('',#356760); #9968=PLANE('',#356761); #9969=PLANE('',#356765); #9970=PLANE('',#356772); #9971=PLANE('',#356773); #9972=PLANE('',#356777); #9973=PLANE('',#356778); #9974=PLANE('',#356779); #9975=PLANE('',#356780); #9976=PLANE('',#356781); #9977=PLANE('',#356782); #9978=PLANE('',#356783); #9979=PLANE('',#356784); #9980=PLANE('',#356785); #9981=PLANE('',#356786); #9982=PLANE('',#356787); #9983=PLANE('',#356788); #9984=PLANE('',#356789); #9985=PLANE('',#356790); #9986=PLANE('',#356791); #9987=PLANE('',#356792); #9988=PLANE('',#356793); #9989=PLANE('',#356794); #9990=PLANE('',#356795); #9991=PLANE('',#356796); #9992=PLANE('',#356797); #9993=PLANE('',#356798); #9994=PLANE('',#356799); #9995=PLANE('',#356800); #9996=PLANE('',#356801); #9997=PLANE('',#356802); #9998=PLANE('',#356803); #9999=PLANE('',#356804); #10000=PLANE('',#356805); #10001=PLANE('',#356806); #10002=PLANE('',#356807); #10003=PLANE('',#356808); #10004=PLANE('',#356809); #10005=PLANE('',#356810); #10006=PLANE('',#356811); #10007=PLANE('',#356815); #10008=PLANE('',#356816); #10009=PLANE('',#356817); #10010=PLANE('',#356818); #10011=PLANE('',#356822); #10012=PLANE('',#356826); #10013=PLANE('',#356830); #10014=PLANE('',#356837); #10015=PLANE('',#356838); #10016=PLANE('',#356839); #10017=PLANE('',#356840); #10018=PLANE('',#356841); #10019=PLANE('',#356842); #10020=PLANE('',#356843); #10021=PLANE('',#356847); #10022=PLANE('',#356851); #10023=PLANE('',#356855); #10024=PLANE('',#356859); #10025=PLANE('',#356860); #10026=PLANE('',#356861); #10027=PLANE('',#356862); #10028=PLANE('',#356863); #10029=PLANE('',#356864); #10030=PLANE('',#356865); #10031=PLANE('',#356866); #10032=PLANE('',#356867); #10033=PLANE('',#356871); #10034=PLANE('',#356875); #10035=PLANE('',#356876); #10036=PLANE('',#356877); #10037=PLANE('',#356881); #10038=PLANE('',#356882); #10039=PLANE('',#356886); #10040=PLANE('',#356893); #10041=PLANE('',#356894); #10042=PLANE('',#356895); #10043=PLANE('',#356896); #10044=PLANE('',#356897); #10045=PLANE('',#356898); #10046=PLANE('',#356902); #10047=PLANE('',#356906); #10048=PLANE('',#356907); #10049=PLANE('',#356908); #10050=PLANE('',#356909); #10051=PLANE('',#356910); #10052=PLANE('',#356911); #10053=PLANE('',#356915); #10054=PLANE('',#356919); #10055=PLANE('',#356920); #10056=PLANE('',#356921); #10057=PLANE('',#356925); #10058=PLANE('',#356929); #10059=PLANE('',#356930); #10060=PLANE('',#356931); #10061=PLANE('',#356932); #10062=PLANE('',#356933); #10063=PLANE('',#356934); #10064=PLANE('',#356935); #10065=PLANE('',#356936); #10066=PLANE('',#356937); #10067=PLANE('',#356941); #10068=PLANE('',#356945); #10069=PLANE('',#356946); #10070=PLANE('',#356947); #10071=PLANE('',#356951); #10072=PLANE('',#356955); #10073=PLANE('',#356956); #10074=PLANE('',#356957); #10075=PLANE('',#356958); #10076=PLANE('',#356959); #10077=PLANE('',#356960); #10078=PLANE('',#356961); #10079=PLANE('',#356965); #10080=PLANE('',#356969); #10081=PLANE('',#356970); #10082=PLANE('',#356971); #10083=PLANE('',#356972); #10084=PLANE('',#356973); #10085=PLANE('',#356974); #10086=PLANE('',#356975); #10087=PLANE('',#356979); #10088=PLANE('',#356980); #10089=PLANE('',#356987); #10090=PLANE('',#356988); #10091=PLANE('',#356995); #10092=PLANE('',#356996); #10093=PLANE('',#357003); #10094=PLANE('',#357004); #10095=PLANE('',#357005); #10096=PLANE('',#357006); #10097=PLANE('',#357007); #10098=PLANE('',#357008); #10099=PLANE('',#357009); #10100=PLANE('',#357010); #10101=PLANE('',#357011); #10102=PLANE('',#357012); #10103=PLANE('',#357016); #10104=PLANE('',#357020); #10105=PLANE('',#357021); #10106=PLANE('',#357022); #10107=PLANE('',#357023); #10108=PLANE('',#357024); #10109=PLANE('',#357025); #10110=PLANE('',#357029); #10111=PLANE('',#357030); #10112=PLANE('',#357031); #10113=PLANE('',#357032); #10114=PLANE('',#357033); #10115=PLANE('',#357034); #10116=PLANE('',#357035); #10117=PLANE('',#357036); #10118=PLANE('',#357037); #10119=PLANE('',#357038); #10120=PLANE('',#357039); #10121=PLANE('',#357073); #10122=PLANE('',#357074); #10123=PLANE('',#357075); #10124=PLANE('',#357076); #10125=PLANE('',#357080); #10126=PLANE('',#357084); #10127=PLANE('',#357085); #10128=PLANE('',#357086); #10129=PLANE('',#357087); #10130=PLANE('',#357088); #10131=PLANE('',#357089); #10132=PLANE('',#357090); #10133=PLANE('',#357091); #10134=PLANE('',#357092); #10135=PLANE('',#357093); #10136=PLANE('',#357094); #10137=PLANE('',#357095); #10138=PLANE('',#357096); #10139=PLANE('',#357097); #10140=PLANE('',#357098); #10141=PLANE('',#357099); #10142=PLANE('',#357100); #10143=PLANE('',#357101); #10144=PLANE('',#357102); #10145=PLANE('',#357103); #10146=PLANE('',#357104); #10147=PLANE('',#357105); #10148=PLANE('',#357106); #10149=PLANE('',#357107); #10150=PLANE('',#357108); #10151=PLANE('',#357109); #10152=PLANE('',#357110); #10153=PLANE('',#357111); #10154=PLANE('',#357112); #10155=PLANE('',#357113); #10156=PLANE('',#357114); #10157=PLANE('',#357115); #10158=PLANE('',#357116); #10159=PLANE('',#357117); #10160=PLANE('',#357118); #10161=PLANE('',#357119); #10162=PLANE('',#357120); #10163=PLANE('',#357121); #10164=PLANE('',#357122); #10165=PLANE('',#357123); #10166=PLANE('',#357124); #10167=PLANE('',#357125); #10168=PLANE('',#357126); #10169=PLANE('',#357127); #10170=PLANE('',#357128); #10171=PLANE('',#357129); #10172=PLANE('',#357130); #10173=PLANE('',#357131); #10174=PLANE('',#357132); #10175=PLANE('',#357133); #10176=PLANE('',#357134); #10177=PLANE('',#357135); #10178=PLANE('',#357136); #10179=PLANE('',#357137); #10180=PLANE('',#357138); #10181=PLANE('',#357139); #10182=PLANE('',#357140); #10183=PLANE('',#357141); #10184=PLANE('',#357142); #10185=PLANE('',#357143); #10186=PLANE('',#357144); #10187=PLANE('',#357145); #10188=PLANE('',#357146); #10189=PLANE('',#357147); #10190=PLANE('',#357148); #10191=PLANE('',#357149); #10192=PLANE('',#357150); #10193=PLANE('',#357151); #10194=PLANE('',#357152); #10195=PLANE('',#357153); #10196=PLANE('',#357154); #10197=PLANE('',#357155); #10198=PLANE('',#357156); #10199=PLANE('',#357157); #10200=PLANE('',#357158); #10201=PLANE('',#357159); #10202=PLANE('',#357160); #10203=PLANE('',#357161); #10204=PLANE('',#357162); #10205=PLANE('',#357163); #10206=PLANE('',#357164); #10207=PLANE('',#357165); #10208=PLANE('',#357166); #10209=PLANE('',#357167); #10210=PLANE('',#357168); #10211=PLANE('',#357169); #10212=PLANE('',#357170); #10213=PLANE('',#357171); #10214=PLANE('',#357172); #10215=PLANE('',#357173); #10216=PLANE('',#357174); #10217=PLANE('',#357175); #10218=PLANE('',#357176); #10219=PLANE('',#357177); #10220=PLANE('',#357178); #10221=PLANE('',#357179); #10222=PLANE('',#357180); #10223=PLANE('',#357181); #10224=PLANE('',#357182); #10225=PLANE('',#357183); #10226=PLANE('',#357184); #10227=PLANE('',#357185); #10228=PLANE('',#357186); #10229=PLANE('',#357187); #10230=PLANE('',#357188); #10231=PLANE('',#357189); #10232=PLANE('',#357190); #10233=PLANE('',#357191); #10234=PLANE('',#357192); #10235=PLANE('',#357193); #10236=PLANE('',#357194); #10237=PLANE('',#357195); #10238=PLANE('',#357196); #10239=PLANE('',#357197); #10240=PLANE('',#357198); #10241=PLANE('',#357199); #10242=PLANE('',#357200); #10243=PLANE('',#357201); #10244=PLANE('',#357205); #10245=PLANE('',#357209); #10246=PLANE('',#357210); #10247=PLANE('',#357211); #10248=PLANE('',#357212); #10249=PLANE('',#357213); #10250=PLANE('',#357214); #10251=PLANE('',#357215); #10252=PLANE('',#357219); #10253=PLANE('',#357226); #10254=PLANE('',#357227); #10255=PLANE('',#357228); #10256=PLANE('',#357229); #10257=PLANE('',#357230); #10258=PLANE('',#357231); #10259=PLANE('',#357232); #10260=PLANE('',#357233); #10261=PLANE('',#357234); #10262=PLANE('',#357235); #10263=PLANE('',#357236); #10264=PLANE('',#357237); #10265=PLANE('',#357238); #10266=PLANE('',#357239); #10267=PLANE('',#357240); #10268=PLANE('',#357241); #10269=PLANE('',#357242); #10270=PLANE('',#357243); #10271=PLANE('',#357244); #10272=PLANE('',#357245); #10273=PLANE('',#357246); #10274=PLANE('',#357247); #10275=PLANE('',#357248); #10276=PLANE('',#357249); #10277=PLANE('',#357250); #10278=PLANE('',#357251); #10279=PLANE('',#357252); #10280=PLANE('',#357253); #10281=PLANE('',#357254); #10282=PLANE('',#357255); #10283=PLANE('',#357256); #10284=PLANE('',#357257); #10285=PLANE('',#357258); #10286=PLANE('',#357259); #10287=PLANE('',#357260); #10288=PLANE('',#357261); #10289=PLANE('',#357262); #10290=PLANE('',#357263); #10291=PLANE('',#357264); #10292=PLANE('',#357265); #10293=PLANE('',#357266); #10294=PLANE('',#357267); #10295=PLANE('',#357268); #10296=PLANE('',#357269); #10297=PLANE('',#357270); #10298=PLANE('',#357271); #10299=PLANE('',#357272); #10300=PLANE('',#357273); #10301=PLANE('',#357274); #10302=PLANE('',#357275); #10303=PLANE('',#357276); #10304=PLANE('',#357277); #10305=PLANE('',#357278); #10306=PLANE('',#357279); #10307=PLANE('',#357280); #10308=PLANE('',#357281); #10309=PLANE('',#357282); #10310=PLANE('',#357283); #10311=PLANE('',#357284); #10312=PLANE('',#357285); #10313=PLANE('',#357286); #10314=PLANE('',#357287); #10315=PLANE('',#357288); #10316=PLANE('',#357289); #10317=PLANE('',#357290); #10318=PLANE('',#357291); #10319=PLANE('',#357292); #10320=PLANE('',#357293); #10321=PLANE('',#357294); #10322=PLANE('',#357295); #10323=PLANE('',#357296); #10324=PLANE('',#357297); #10325=PLANE('',#357298); #10326=PLANE('',#357299); #10327=PLANE('',#357300); #10328=PLANE('',#357301); #10329=PLANE('',#357302); #10330=PLANE('',#357303); #10331=PLANE('',#357304); #10332=PLANE('',#357305); #10333=PLANE('',#357306); #10334=PLANE('',#357307); #10335=PLANE('',#357308); #10336=PLANE('',#357309); #10337=PLANE('',#357310); #10338=PLANE('',#357311); #10339=PLANE('',#357312); #10340=PLANE('',#357313); #10341=PLANE('',#357314); #10342=PLANE('',#357315); #10343=PLANE('',#357316); #10344=PLANE('',#357317); #10345=PLANE('',#357327); #10346=PLANE('',#357328); #10347=PLANE('',#357329); #10348=PLANE('',#357330); #10349=PLANE('',#357331); #10350=PLANE('',#357332); #10351=PLANE('',#357333); #10352=PLANE('',#357334); #10353=PLANE('',#357335); #10354=PLANE('',#357336); #10355=PLANE('',#357337); #10356=PLANE('',#357338); #10357=PLANE('',#357339); #10358=PLANE('',#357340); #10359=PLANE('',#357341); #10360=PLANE('',#357342); #10361=PLANE('',#357343); #10362=PLANE('',#357344); #10363=PLANE('',#357345); #10364=PLANE('',#357346); #10365=PLANE('',#357347); #10366=PLANE('',#357348); #10367=PLANE('',#357349); #10368=PLANE('',#357350); #10369=PLANE('',#357351); #10370=PLANE('',#357352); #10371=PLANE('',#357353); #10372=PLANE('',#357354); #10373=PLANE('',#357355); #10374=PLANE('',#357356); #10375=PLANE('',#357357); #10376=PLANE('',#357358); #10377=PLANE('',#357359); #10378=PLANE('',#357360); #10379=PLANE('',#357361); #10380=PLANE('',#357362); #10381=PLANE('',#357363); #10382=PLANE('',#357364); #10383=PLANE('',#357365); #10384=PLANE('',#357366); #10385=PLANE('',#357367); #10386=PLANE('',#357368); #10387=PLANE('',#357369); #10388=PLANE('',#357370); #10389=PLANE('',#357371); #10390=PLANE('',#357372); #10391=PLANE('',#357373); #10392=PLANE('',#357374); #10393=PLANE('',#357375); #10394=PLANE('',#357376); #10395=PLANE('',#357377); #10396=PLANE('',#357378); #10397=PLANE('',#357379); #10398=PLANE('',#357380); #10399=PLANE('',#357381); #10400=PLANE('',#357382); #10401=PLANE('',#357383); #10402=PLANE('',#357384); #10403=PLANE('',#357385); #10404=PLANE('',#357386); #10405=PLANE('',#357387); #10406=PLANE('',#357388); #10407=PLANE('',#357389); #10408=PLANE('',#357390); #10409=PLANE('',#357391); #10410=PLANE('',#357392); #10411=PLANE('',#357393); #10412=PLANE('',#357394); #10413=PLANE('',#357395); #10414=PLANE('',#357396); #10415=PLANE('',#357397); #10416=PLANE('',#357398); #10417=PLANE('',#357399); #10418=PLANE('',#357400); #10419=PLANE('',#357401); #10420=PLANE('',#357402); #10421=PLANE('',#357403); #10422=PLANE('',#357404); #10423=PLANE('',#357405); #10424=PLANE('',#357406); #10425=PLANE('',#357407); #10426=PLANE('',#357408); #10427=PLANE('',#357409); #10428=PLANE('',#357410); #10429=PLANE('',#357411); #10430=PLANE('',#357412); #10431=PLANE('',#357413); #10432=PLANE('',#357414); #10433=PLANE('',#357415); #10434=PLANE('',#357416); #10435=PLANE('',#357417); #10436=PLANE('',#357418); #10437=PLANE('',#357419); #10438=PLANE('',#357420); #10439=PLANE('',#357421); #10440=PLANE('',#357422); #10441=PLANE('',#357423); #10442=PLANE('',#357424); #10443=PLANE('',#357425); #10444=PLANE('',#357426); #10445=PLANE('',#357427); #10446=PLANE('',#357428); #10447=PLANE('',#357429); #10448=PLANE('',#357430); #10449=PLANE('',#357431); #10450=PLANE('',#357432); #10451=PLANE('',#357433); #10452=PLANE('',#357434); #10453=PLANE('',#357435); #10454=PLANE('',#357436); #10455=PLANE('',#357437); #10456=PLANE('',#357438); #10457=PLANE('',#357439); #10458=PLANE('',#357440); #10459=PLANE('',#357441); #10460=PLANE('',#357442); #10461=PLANE('',#357443); #10462=PLANE('',#357444); #10463=PLANE('',#357445); #10464=PLANE('',#357446); #10465=PLANE('',#357447); #10466=PLANE('',#357448); #10467=PLANE('',#357449); #10468=PLANE('',#357450); #10469=PLANE('',#357451); #10470=PLANE('',#357452); #10471=PLANE('',#357453); #10472=PLANE('',#357454); #10473=PLANE('',#357455); #10474=PLANE('',#357456); #10475=PLANE('',#357457); #10476=PLANE('',#357458); #10477=PLANE('',#357459); #10478=PLANE('',#357460); #10479=PLANE('',#357461); #10480=PLANE('',#357462); #10481=PLANE('',#357463); #10482=PLANE('',#357464); #10483=PLANE('',#357465); #10484=PLANE('',#357466); #10485=PLANE('',#357467); #10486=PLANE('',#357468); #10487=PLANE('',#357469); #10488=PLANE('',#357470); #10489=PLANE('',#357471); #10490=PLANE('',#357472); #10491=PLANE('',#357473); #10492=PLANE('',#357474); #10493=PLANE('',#357475); #10494=PLANE('',#357476); #10495=PLANE('',#357477); #10496=PLANE('',#357478); #10497=PLANE('',#357479); #10498=PLANE('',#357480); #10499=PLANE('',#357481); #10500=PLANE('',#357482); #10501=PLANE('',#357483); #10502=PLANE('',#357484); #10503=PLANE('',#357485); #10504=PLANE('',#357486); #10505=PLANE('',#357487); #10506=PLANE('',#357488); #10507=PLANE('',#357489); #10508=PLANE('',#357490); #10509=PLANE('',#357491); #10510=PLANE('',#357492); #10511=PLANE('',#357493); #10512=PLANE('',#357494); #10513=PLANE('',#357495); #10514=PLANE('',#357496); #10515=PLANE('',#357497); #10516=PLANE('',#357498); #10517=PLANE('',#357499); #10518=PLANE('',#357503); #10519=PLANE('',#357507); #10520=PLANE('',#357538); #10521=PLANE('',#357539); #10522=PLANE('',#357540); #10523=PLANE('',#357541); #10524=PLANE('',#357542); #10525=PLANE('',#357543); #10526=PLANE('',#357544); #10527=PLANE('',#357545); #10528=PLANE('',#357546); #10529=PLANE('',#357547); #10530=PLANE('',#357548); #10531=PLANE('',#357549); #10532=PLANE('',#357550); #10533=PLANE('',#357551); #10534=PLANE('',#357552); #10535=PLANE('',#357553); #10536=PLANE('',#357554); #10537=PLANE('',#357555); #10538=PLANE('',#357556); #10539=PLANE('',#357557); #10540=PLANE('',#357558); #10541=PLANE('',#357559); #10542=PLANE('',#357560); #10543=PLANE('',#357561); #10544=PLANE('',#357562); #10545=PLANE('',#357563); #10546=PLANE('',#357564); #10547=PLANE('',#357565); #10548=PLANE('',#357566); #10549=PLANE('',#357567); #10550=PLANE('',#357568); #10551=PLANE('',#357569); #10552=PLANE('',#357570); #10553=PLANE('',#357571); #10554=PLANE('',#357572); #10555=PLANE('',#357573); #10556=PLANE('',#357574); #10557=PLANE('',#357575); #10558=PLANE('',#357576); #10559=PLANE('',#357577); #10560=PLANE('',#357578); #10561=PLANE('',#357579); #10562=PLANE('',#357580); #10563=PLANE('',#357581); #10564=PLANE('',#357582); #10565=PLANE('',#357583); #10566=PLANE('',#357584); #10567=PLANE('',#357585); #10568=PLANE('',#357586); #10569=PLANE('',#357587); #10570=PLANE('',#357588); #10571=PLANE('',#357589); #10572=PLANE('',#357590); #10573=PLANE('',#357591); #10574=PLANE('',#357592); #10575=PLANE('',#357593); #10576=PLANE('',#357594); #10577=PLANE('',#357595); #10578=PLANE('',#357596); #10579=PLANE('',#357597); #10580=PLANE('',#357598); #10581=PLANE('',#357599); #10582=PLANE('',#357600); #10583=PLANE('',#357601); #10584=PLANE('',#357602); #10585=PLANE('',#357603); #10586=PLANE('',#357604); #10587=PLANE('',#357605); #10588=PLANE('',#357606); #10589=PLANE('',#357607); #10590=PLANE('',#357608); #10591=PLANE('',#357609); #10592=PLANE('',#357610); #10593=PLANE('',#357611); #10594=PLANE('',#357612); #10595=PLANE('',#357613); #10596=PLANE('',#357614); #10597=PLANE('',#357615); #10598=PLANE('',#357616); #10599=PLANE('',#357617); #10600=PLANE('',#357618); #10601=PLANE('',#357619); #10602=PLANE('',#357620); #10603=PLANE('',#357621); #10604=PLANE('',#357622); #10605=PLANE('',#357623); #10606=PLANE('',#357624); #10607=PLANE('',#357625); #10608=PLANE('',#357626); #10609=PLANE('',#357627); #10610=PLANE('',#357628); #10611=PLANE('',#357629); #10612=PLANE('',#357630); #10613=PLANE('',#357631); #10614=PLANE('',#357632); #10615=PLANE('',#357633); #10616=PLANE('',#357634); #10617=PLANE('',#357635); #10618=PLANE('',#357636); #10619=PLANE('',#357637); #10620=PLANE('',#357638); #10621=PLANE('',#357639); #10622=PLANE('',#357640); #10623=PLANE('',#357641); #10624=PLANE('',#357642); #10625=PLANE('',#357643); #10626=PLANE('',#357644); #10627=PLANE('',#357645); #10628=PLANE('',#357646); #10629=PLANE('',#357647); #10630=PLANE('',#357648); #10631=PLANE('',#357649); #10632=PLANE('',#357650); #10633=PLANE('',#357651); #10634=PLANE('',#357652); #10635=PLANE('',#357653); #10636=PLANE('',#357654); #10637=PLANE('',#357655); #10638=PLANE('',#357656); #10639=PLANE('',#357657); #10640=PLANE('',#357658); #10641=PLANE('',#357659); #10642=PLANE('',#357660); #10643=PLANE('',#357661); #10644=PLANE('',#357662); #10645=PLANE('',#357663); #10646=PLANE('',#357664); #10647=PLANE('',#357665); #10648=PLANE('',#357666); #10649=PLANE('',#357667); #10650=PLANE('',#357668); #10651=PLANE('',#357669); #10652=PLANE('',#357670); #10653=PLANE('',#357671); #10654=PLANE('',#357672); #10655=PLANE('',#357673); #10656=PLANE('',#357674); #10657=PLANE('',#357675); #10658=PLANE('',#357676); #10659=PLANE('',#357677); #10660=PLANE('',#357678); #10661=PLANE('',#357679); #10662=PLANE('',#357680); #10663=PLANE('',#357681); #10664=PLANE('',#357682); #10665=PLANE('',#357683); #10666=PLANE('',#357684); #10667=PLANE('',#357685); #10668=PLANE('',#357686); #10669=PLANE('',#357687); #10670=PLANE('',#357688); #10671=PLANE('',#357689); #10672=PLANE('',#357690); #10673=PLANE('',#357691); #10674=PLANE('',#357692); #10675=PLANE('',#357693); #10676=PLANE('',#357694); #10677=PLANE('',#357695); #10678=PLANE('',#357696); #10679=PLANE('',#357697); #10680=PLANE('',#357698); #10681=PLANE('',#357699); #10682=PLANE('',#357700); #10683=PLANE('',#357701); #10684=PLANE('',#357702); #10685=PLANE('',#357703); #10686=PLANE('',#357704); #10687=PLANE('',#357705); #10688=PLANE('',#357706); #10689=PLANE('',#357707); #10690=PLANE('',#357708); #10691=PLANE('',#357709); #10692=PLANE('',#357710); #10693=PLANE('',#357711); #10694=PLANE('',#357712); #10695=PLANE('',#357713); #10696=PLANE('',#357714); #10697=PLANE('',#357715); #10698=PLANE('',#357716); #10699=PLANE('',#357717); #10700=PLANE('',#357718); #10701=PLANE('',#357719); #10702=PLANE('',#357720); #10703=PLANE('',#357721); #10704=PLANE('',#357722); #10705=PLANE('',#357723); #10706=PLANE('',#357724); #10707=PLANE('',#357725); #10708=PLANE('',#357726); #10709=PLANE('',#357727); #10710=PLANE('',#357728); #10711=PLANE('',#357729); #10712=PLANE('',#357730); #10713=PLANE('',#357731); #10714=PLANE('',#357732); #10715=PLANE('',#357733); #10716=PLANE('',#357734); #10717=PLANE('',#357735); #10718=PLANE('',#357736); #10719=PLANE('',#357737); #10720=PLANE('',#357738); #10721=PLANE('',#357739); #10722=PLANE('',#357740); #10723=PLANE('',#357741); #10724=PLANE('',#357742); #10725=PLANE('',#357743); #10726=PLANE('',#357744); #10727=PLANE('',#357745); #10728=PLANE('',#357746); #10729=PLANE('',#357747); #10730=PLANE('',#357748); #10731=PLANE('',#357749); #10732=PLANE('',#357750); #10733=PLANE('',#357751); #10734=PLANE('',#357752); #10735=PLANE('',#357753); #10736=PLANE('',#357754); #10737=PLANE('',#357755); #10738=PLANE('',#357756); #10739=PLANE('',#357757); #10740=PLANE('',#357758); #10741=PLANE('',#357759); #10742=PLANE('',#357760); #10743=PLANE('',#357761); #10744=PLANE('',#357762); #10745=PLANE('',#357763); #10746=PLANE('',#357764); #10747=PLANE('',#357765); #10748=PLANE('',#357766); #10749=PLANE('',#357767); #10750=PLANE('',#357768); #10751=PLANE('',#357769); #10752=PLANE('',#357770); #10753=PLANE('',#357771); #10754=PLANE('',#357772); #10755=PLANE('',#357773); #10756=PLANE('',#357774); #10757=PLANE('',#357775); #10758=PLANE('',#357776); #10759=PLANE('',#357777); #10760=PLANE('',#357778); #10761=PLANE('',#357779); #10762=PLANE('',#357780); #10763=PLANE('',#357781); #10764=PLANE('',#357782); #10765=PLANE('',#357783); #10766=PLANE('',#357784); #10767=PLANE('',#357785); #10768=PLANE('',#357786); #10769=PLANE('',#357787); #10770=PLANE('',#357788); #10771=PLANE('',#357789); #10772=PLANE('',#357790); #10773=PLANE('',#357791); #10774=PLANE('',#357792); #10775=PLANE('',#357793); #10776=PLANE('',#357794); #10777=PLANE('',#357795); #10778=PLANE('',#357796); #10779=PLANE('',#357797); #10780=PLANE('',#357798); #10781=PLANE('',#357799); #10782=PLANE('',#357800); #10783=PLANE('',#357801); #10784=PLANE('',#357802); #10785=PLANE('',#357803); #10786=PLANE('',#357804); #10787=PLANE('',#357805); #10788=PLANE('',#357806); #10789=PLANE('',#357807); #10790=PLANE('',#357808); #10791=PLANE('',#357809); #10792=PLANE('',#357810); #10793=PLANE('',#357811); #10794=PLANE('',#357917); #10795=PLANE('',#357921); #10796=PLANE('',#357937); #10797=PLANE('',#357941); #10798=PLANE('',#357945); #10799=PLANE('',#357949); #10800=PLANE('',#357953); #10801=PLANE('',#357957); #10802=PLANE('',#358018); #10803=PLANE('',#358019); #10804=PLANE('',#358020); #10805=PLANE('',#358021); #10806=PLANE('',#358022); #10807=PLANE('',#358023); #10808=PLANE('',#358024); #10809=PLANE('',#358025); #10810=PLANE('',#358026); #10811=PLANE('',#358027); #10812=PLANE('',#358028); #10813=PLANE('',#358029); #10814=PLANE('',#358030); #10815=PLANE('',#358031); #10816=PLANE('',#358032); #10817=PLANE('',#358033); #10818=PLANE('',#358034); #10819=PLANE('',#358035); #10820=PLANE('',#358036); #10821=PLANE('',#358037); #10822=PLANE('',#358038); #10823=PLANE('',#358039); #10824=PLANE('',#358040); #10825=PLANE('',#358041); #10826=PLANE('',#358042); #10827=PLANE('',#358043); #10828=PLANE('',#358044); #10829=PLANE('',#358045); #10830=PLANE('',#358046); #10831=PLANE('',#358047); #10832=PLANE('',#358048); #10833=PLANE('',#358049); #10834=PLANE('',#358050); #10835=PLANE('',#358051); #10836=PLANE('',#358052); #10837=PLANE('',#358053); #10838=PLANE('',#358054); #10839=PLANE('',#358055); #10840=PLANE('',#358056); #10841=PLANE('',#358057); #10842=PLANE('',#358058); #10843=PLANE('',#358059); #10844=PLANE('',#358060); #10845=PLANE('',#358061); #10846=PLANE('',#358062); #10847=PLANE('',#358063); #10848=PLANE('',#358064); #10849=PLANE('',#358065); #10850=PLANE('',#358066); #10851=PLANE('',#358067); #10852=PLANE('',#358068); #10853=PLANE('',#358069); #10854=PLANE('',#358070); #10855=PLANE('',#358071); #10856=PLANE('',#358072); #10857=PLANE('',#358073); #10858=PLANE('',#358074); #10859=PLANE('',#358075); #10860=PLANE('',#358076); #10861=PLANE('',#358077); #10862=PLANE('',#358078); #10863=PLANE('',#358079); #10864=PLANE('',#358080); #10865=PLANE('',#358081); #10866=PLANE('',#358082); #10867=PLANE('',#358083); #10868=PLANE('',#358084); #10869=PLANE('',#358085); #10870=PLANE('',#358086); #10871=PLANE('',#358087); #10872=PLANE('',#358088); #10873=PLANE('',#358089); #10874=PLANE('',#358090); #10875=PLANE('',#358091); #10876=PLANE('',#358092); #10877=PLANE('',#358093); #10878=PLANE('',#358094); #10879=PLANE('',#358095); #10880=PLANE('',#358096); #10881=PLANE('',#358097); #10882=PLANE('',#358098); #10883=PLANE('',#358099); #10884=PLANE('',#358100); #10885=PLANE('',#358101); #10886=PLANE('',#358102); #10887=PLANE('',#358103); #10888=PLANE('',#358104); #10889=PLANE('',#358105); #10890=PLANE('',#358106); #10891=PLANE('',#358107); #10892=PLANE('',#358108); #10893=PLANE('',#358109); #10894=PLANE('',#358110); #10895=PLANE('',#358111); #10896=PLANE('',#358112); #10897=PLANE('',#358113); #10898=PLANE('',#358114); #10899=PLANE('',#358115); #10900=PLANE('',#358116); #10901=PLANE('',#358117); #10902=PLANE('',#358118); #10903=PLANE('',#358119); #10904=PLANE('',#358120); #10905=PLANE('',#358121); #10906=PLANE('',#358122); #10907=PLANE('',#358123); #10908=PLANE('',#358124); #10909=PLANE('',#358125); #10910=PLANE('',#358126); #10911=PLANE('',#358127); #10912=PLANE('',#358128); #10913=PLANE('',#358129); #10914=PLANE('',#358130); #10915=PLANE('',#358131); #10916=PLANE('',#358132); #10917=PLANE('',#358133); #10918=PLANE('',#358134); #10919=PLANE('',#358135); #10920=PLANE('',#358136); #10921=PLANE('',#358137); #10922=PLANE('',#358138); #10923=PLANE('',#358139); #10924=PLANE('',#358140); #10925=PLANE('',#358141); #10926=PLANE('',#358142); #10927=PLANE('',#358143); #10928=PLANE('',#358144); #10929=PLANE('',#358145); #10930=PLANE('',#358146); #10931=PLANE('',#358147); #10932=PLANE('',#358148); #10933=PLANE('',#358149); #10934=PLANE('',#358150); #10935=PLANE('',#358151); #10936=PLANE('',#358152); #10937=PLANE('',#358153); #10938=PLANE('',#358154); #10939=PLANE('',#358155); #10940=PLANE('',#358156); #10941=PLANE('',#358157); #10942=PLANE('',#358158); #10943=PLANE('',#358159); #10944=PLANE('',#358160); #10945=PLANE('',#358161); #10946=PLANE('',#358162); #10947=PLANE('',#358163); #10948=PLANE('',#358164); #10949=PLANE('',#358165); #10950=PLANE('',#358166); #10951=PLANE('',#358167); #10952=PLANE('',#358168); #10953=PLANE('',#358169); #10954=PLANE('',#358170); #10955=PLANE('',#358171); #10956=PLANE('',#358172); #10957=PLANE('',#358173); #10958=PLANE('',#358174); #10959=PLANE('',#358175); #10960=PLANE('',#358176); #10961=PLANE('',#358177); #10962=PLANE('',#358178); #10963=PLANE('',#358179); #10964=PLANE('',#358180); #10965=PLANE('',#358181); #10966=PLANE('',#358182); #10967=PLANE('',#358183); #10968=PLANE('',#358184); #10969=PLANE('',#358185); #10970=PLANE('',#358186); #10971=PLANE('',#358187); #10972=PLANE('',#358188); #10973=PLANE('',#358189); #10974=PLANE('',#358190); #10975=PLANE('',#358191); #10976=PLANE('',#358192); #10977=PLANE('',#358193); #10978=PLANE('',#358194); #10979=PLANE('',#358195); #10980=PLANE('',#358196); #10981=PLANE('',#358197); #10982=PLANE('',#358198); #10983=PLANE('',#358199); #10984=PLANE('',#358200); #10985=PLANE('',#358201); #10986=PLANE('',#358202); #10987=PLANE('',#358203); #10988=PLANE('',#358204); #10989=PLANE('',#358205); #10990=PLANE('',#358206); #10991=PLANE('',#358207); #10992=PLANE('',#358208); #10993=PLANE('',#358209); #10994=PLANE('',#358210); #10995=PLANE('',#358211); #10996=PLANE('',#358212); #10997=PLANE('',#358213); #10998=PLANE('',#358214); #10999=PLANE('',#358215); #11000=PLANE('',#358216); #11001=PLANE('',#358217); #11002=PLANE('',#358218); #11003=PLANE('',#358219); #11004=PLANE('',#358220); #11005=PLANE('',#358221); #11006=PLANE('',#358222); #11007=PLANE('',#358223); #11008=PLANE('',#358224); #11009=PLANE('',#358225); #11010=PLANE('',#358226); #11011=PLANE('',#358227); #11012=PLANE('',#358228); #11013=PLANE('',#358229); #11014=PLANE('',#358230); #11015=PLANE('',#358231); #11016=PLANE('',#358232); #11017=PLANE('',#358233); #11018=PLANE('',#358234); #11019=PLANE('',#358235); #11020=PLANE('',#358236); #11021=PLANE('',#358237); #11022=PLANE('',#358238); #11023=PLANE('',#358239); #11024=PLANE('',#358240); #11025=PLANE('',#358241); #11026=PLANE('',#358242); #11027=PLANE('',#358243); #11028=PLANE('',#358244); #11029=PLANE('',#358245); #11030=PLANE('',#358246); #11031=PLANE('',#358247); #11032=PLANE('',#358248); #11033=PLANE('',#358249); #11034=PLANE('',#358250); #11035=PLANE('',#358251); #11036=PLANE('',#358252); #11037=PLANE('',#358253); #11038=PLANE('',#358254); #11039=PLANE('',#358255); #11040=PLANE('',#358256); #11041=PLANE('',#358257); #11042=PLANE('',#358258); #11043=PLANE('',#358259); #11044=PLANE('',#358260); #11045=PLANE('',#358261); #11046=PLANE('',#358262); #11047=PLANE('',#358263); #11048=PLANE('',#358264); #11049=PLANE('',#358265); #11050=PLANE('',#358266); #11051=PLANE('',#358267); #11052=PLANE('',#358268); #11053=PLANE('',#358269); #11054=PLANE('',#358270); #11055=PLANE('',#358271); #11056=PLANE('',#358272); #11057=PLANE('',#358273); #11058=PLANE('',#358274); #11059=PLANE('',#358275); #11060=PLANE('',#358276); #11061=PLANE('',#358277); #11062=PLANE('',#358278); #11063=PLANE('',#358279); #11064=PLANE('',#358280); #11065=PLANE('',#358281); #11066=PLANE('',#358282); #11067=PLANE('',#358283); #11068=PLANE('',#358284); #11069=PLANE('',#358285); #11070=PLANE('',#358286); #11071=PLANE('',#358287); #11072=PLANE('',#358288); #11073=PLANE('',#358289); #11074=PLANE('',#358290); #11075=PLANE('',#358291); #11076=PLANE('',#358292); #11077=PLANE('',#358293); #11078=PLANE('',#358294); #11079=PLANE('',#358295); #11080=PLANE('',#358296); #11081=PLANE('',#358297); #11082=PLANE('',#358298); #11083=PLANE('',#358299); #11084=PLANE('',#358300); #11085=PLANE('',#358301); #11086=PLANE('',#358302); #11087=PLANE('',#358303); #11088=PLANE('',#358304); #11089=PLANE('',#358305); #11090=PLANE('',#358306); #11091=PLANE('',#358307); #11092=PLANE('',#358308); #11093=PLANE('',#358309); #11094=PLANE('',#358310); #11095=PLANE('',#358311); #11096=PLANE('',#358312); #11097=PLANE('',#358313); #11098=PLANE('',#358314); #11099=PLANE('',#358315); #11100=PLANE('',#358316); #11101=PLANE('',#358317); #11102=PLANE('',#358318); #11103=PLANE('',#358319); #11104=PLANE('',#358320); #11105=PLANE('',#358321); #11106=PLANE('',#358322); #11107=PLANE('',#358323); #11108=PLANE('',#358324); #11109=PLANE('',#358325); #11110=PLANE('',#358326); #11111=PLANE('',#358327); #11112=PLANE('',#358328); #11113=PLANE('',#358329); #11114=PLANE('',#358330); #11115=PLANE('',#358331); #11116=PLANE('',#358332); #11117=PLANE('',#358333); #11118=PLANE('',#358334); #11119=PLANE('',#358335); #11120=PLANE('',#358336); #11121=PLANE('',#358337); #11122=PLANE('',#358338); #11123=PLANE('',#358339); #11124=PLANE('',#358340); #11125=PLANE('',#358341); #11126=PLANE('',#358342); #11127=PLANE('',#358343); #11128=PLANE('',#358344); #11129=PLANE('',#358345); #11130=PLANE('',#358346); #11131=PLANE('',#358347); #11132=PLANE('',#358348); #11133=PLANE('',#358349); #11134=PLANE('',#358350); #11135=PLANE('',#358351); #11136=PLANE('',#358352); #11137=PLANE('',#358353); #11138=PLANE('',#358354); #11139=PLANE('',#358355); #11140=PLANE('',#358356); #11141=PLANE('',#358357); #11142=PLANE('',#358358); #11143=PLANE('',#358359); #11144=PLANE('',#358360); #11145=PLANE('',#358361); #11146=PLANE('',#358362); #11147=PLANE('',#358363); #11148=PLANE('',#358364); #11149=PLANE('',#358365); #11150=PLANE('',#358366); #11151=PLANE('',#358367); #11152=PLANE('',#358368); #11153=PLANE('',#358369); #11154=PLANE('',#358370); #11155=PLANE('',#358371); #11156=PLANE('',#358372); #11157=PLANE('',#358373); #11158=PLANE('',#358374); #11159=PLANE('',#358375); #11160=PLANE('',#358376); #11161=PLANE('',#358377); #11162=PLANE('',#358378); #11163=PLANE('',#358379); #11164=PLANE('',#358380); #11165=PLANE('',#358381); #11166=PLANE('',#358382); #11167=PLANE('',#358383); #11168=PLANE('',#358384); #11169=PLANE('',#358385); #11170=PLANE('',#358386); #11171=PLANE('',#358387); #11172=PLANE('',#358388); #11173=PLANE('',#358389); #11174=PLANE('',#358390); #11175=PLANE('',#358391); #11176=PLANE('',#358392); #11177=PLANE('',#358393); #11178=PLANE('',#358394); #11179=PLANE('',#358395); #11180=PLANE('',#358396); #11181=PLANE('',#358397); #11182=PLANE('',#358398); #11183=PLANE('',#358399); #11184=PLANE('',#358400); #11185=PLANE('',#358401); #11186=PLANE('',#358402); #11187=PLANE('',#358403); #11188=PLANE('',#358404); #11189=PLANE('',#358405); #11190=PLANE('',#358406); #11191=PLANE('',#358407); #11192=PLANE('',#358408); #11193=PLANE('',#358409); #11194=PLANE('',#358410); #11195=PLANE('',#358411); #11196=PLANE('',#358412); #11197=PLANE('',#358413); #11198=PLANE('',#358414); #11199=PLANE('',#358415); #11200=PLANE('',#358416); #11201=PLANE('',#358417); #11202=PLANE('',#358418); #11203=PLANE('',#358419); #11204=PLANE('',#358420); #11205=PLANE('',#358421); #11206=PLANE('',#358422); #11207=PLANE('',#358423); #11208=PLANE('',#358424); #11209=PLANE('',#358425); #11210=PLANE('',#358426); #11211=PLANE('',#358427); #11212=PLANE('',#358428); #11213=PLANE('',#358429); #11214=PLANE('',#358430); #11215=PLANE('',#358431); #11216=PLANE('',#358432); #11217=PLANE('',#358433); #11218=PLANE('',#358434); #11219=PLANE('',#358435); #11220=PLANE('',#358436); #11221=PLANE('',#358437); #11222=PLANE('',#358438); #11223=PLANE('',#358439); #11224=PLANE('',#358440); #11225=PLANE('',#358441); #11226=PLANE('',#358442); #11227=PLANE('',#358443); #11228=PLANE('',#358444); #11229=PLANE('',#358445); #11230=PLANE('',#358446); #11231=PLANE('',#358447); #11232=PLANE('',#358448); #11233=PLANE('',#358449); #11234=PLANE('',#358450); #11235=PLANE('',#358451); #11236=PLANE('',#358452); #11237=PLANE('',#358453); #11238=PLANE('',#358454); #11239=PLANE('',#358455); #11240=PLANE('',#358456); #11241=PLANE('',#358457); #11242=PLANE('',#358458); #11243=PLANE('',#358459); #11244=PLANE('',#358460); #11245=PLANE('',#358461); #11246=PLANE('',#358462); #11247=PLANE('',#358463); #11248=PLANE('',#358464); #11249=PLANE('',#358465); #11250=PLANE('',#358466); #11251=PLANE('',#358467); #11252=PLANE('',#358468); #11253=PLANE('',#358469); #11254=PLANE('',#358470); #11255=PLANE('',#358471); #11256=PLANE('',#358472); #11257=PLANE('',#358473); #11258=PLANE('',#358474); #11259=PLANE('',#358475); #11260=PLANE('',#358476); #11261=PLANE('',#358477); #11262=PLANE('',#358478); #11263=PLANE('',#358479); #11264=PLANE('',#358480); #11265=PLANE('',#358481); #11266=PLANE('',#358482); #11267=PLANE('',#358483); #11268=PLANE('',#358484); #11269=PLANE('',#358485); #11270=PLANE('',#358486); #11271=PLANE('',#358487); #11272=PLANE('',#358488); #11273=PLANE('',#358489); #11274=PLANE('',#358490); #11275=PLANE('',#358491); #11276=PLANE('',#358492); #11277=PLANE('',#358493); #11278=PLANE('',#358494); #11279=PLANE('',#358495); #11280=PLANE('',#358496); #11281=PLANE('',#358497); #11282=PLANE('',#358498); #11283=PLANE('',#358499); #11284=PLANE('',#358500); #11285=PLANE('',#358501); #11286=PLANE('',#358502); #11287=PLANE('',#358503); #11288=PLANE('',#358504); #11289=PLANE('',#358505); #11290=PLANE('',#358506); #11291=PLANE('',#358507); #11292=PLANE('',#358508); #11293=PLANE('',#358509); #11294=PLANE('',#358510); #11295=PLANE('',#358511); #11296=PLANE('',#358512); #11297=PLANE('',#358513); #11298=PLANE('',#358514); #11299=PLANE('',#358515); #11300=PLANE('',#358516); #11301=PLANE('',#358517); #11302=PLANE('',#358518); #11303=PLANE('',#358519); #11304=PLANE('',#358520); #11305=PLANE('',#358521); #11306=PLANE('',#358522); #11307=PLANE('',#358523); #11308=PLANE('',#358524); #11309=PLANE('',#358525); #11310=PLANE('',#358526); #11311=PLANE('',#358527); #11312=PLANE('',#358528); #11313=PLANE('',#358529); #11314=PLANE('',#358530); #11315=PLANE('',#358531); #11316=PLANE('',#358532); #11317=PLANE('',#358533); #11318=PLANE('',#358534); #11319=PLANE('',#358535); #11320=PLANE('',#358536); #11321=PLANE('',#358537); #11322=PLANE('',#358538); #11323=PLANE('',#358539); #11324=PLANE('',#358540); #11325=PLANE('',#358541); #11326=PLANE('',#358542); #11327=PLANE('',#358543); #11328=PLANE('',#358544); #11329=PLANE('',#358545); #11330=PLANE('',#358546); #11331=PLANE('',#358547); #11332=PLANE('',#358548); #11333=PLANE('',#358549); #11334=PLANE('',#358550); #11335=PLANE('',#358551); #11336=PLANE('',#358552); #11337=PLANE('',#358553); #11338=PLANE('',#358554); #11339=PLANE('',#358555); #11340=PLANE('',#358556); #11341=PLANE('',#358557); #11342=PLANE('',#358558); #11343=PLANE('',#358559); #11344=PLANE('',#358560); #11345=PLANE('',#358561); #11346=PLANE('',#358562); #11347=PLANE('',#358563); #11348=PLANE('',#358564); #11349=PLANE('',#358565); #11350=PLANE('',#358566); #11351=PLANE('',#358567); #11352=PLANE('',#358568); #11353=PLANE('',#358569); #11354=PLANE('',#358570); #11355=PLANE('',#358571); #11356=PLANE('',#358572); #11357=PLANE('',#358573); #11358=PLANE('',#358574); #11359=PLANE('',#358575); #11360=PLANE('',#358576); #11361=PLANE('',#358577); #11362=PLANE('',#358578); #11363=PLANE('',#358579); #11364=PLANE('',#358580); #11365=PLANE('',#358581); #11366=PLANE('',#358582); #11367=PLANE('',#358583); #11368=PLANE('',#358584); #11369=PLANE('',#358585); #11370=PLANE('',#358586); #11371=PLANE('',#358587); #11372=PLANE('',#358588); #11373=PLANE('',#358589); #11374=PLANE('',#358590); #11375=PLANE('',#358591); #11376=PLANE('',#358592); #11377=PLANE('',#358593); #11378=PLANE('',#358594); #11379=PLANE('',#358595); #11380=PLANE('',#358596); #11381=PLANE('',#358597); #11382=PLANE('',#358598); #11383=PLANE('',#358599); #11384=PLANE('',#358600); #11385=PLANE('',#358601); #11386=PLANE('',#358602); #11387=PLANE('',#358603); #11388=PLANE('',#358604); #11389=PLANE('',#358605); #11390=PLANE('',#358606); #11391=PLANE('',#358607); #11392=PLANE('',#358608); #11393=PLANE('',#358609); #11394=PLANE('',#358610); #11395=PLANE('',#358611); #11396=PLANE('',#358612); #11397=PLANE('',#358613); #11398=PLANE('',#358614); #11399=PLANE('',#358615); #11400=PLANE('',#358616); #11401=PLANE('',#358617); #11402=PLANE('',#358618); #11403=PLANE('',#358619); #11404=PLANE('',#358620); #11405=PLANE('',#358621); #11406=PLANE('',#358622); #11407=PLANE('',#358623); #11408=PLANE('',#358624); #11409=PLANE('',#358625); #11410=PLANE('',#358626); #11411=PLANE('',#358627); #11412=PLANE('',#358628); #11413=PLANE('',#358629); #11414=PLANE('',#358630); #11415=PLANE('',#358631); #11416=PLANE('',#358632); #11417=PLANE('',#358633); #11418=PLANE('',#358634); #11419=PLANE('',#358635); #11420=PLANE('',#358636); #11421=PLANE('',#358637); #11422=PLANE('',#358638); #11423=PLANE('',#358639); #11424=PLANE('',#358640); #11425=PLANE('',#358641); #11426=PLANE('',#358642); #11427=PLANE('',#358643); #11428=PLANE('',#358644); #11429=PLANE('',#358645); #11430=PLANE('',#358646); #11431=PLANE('',#358647); #11432=PLANE('',#358648); #11433=PLANE('',#358649); #11434=PLANE('',#358650); #11435=PLANE('',#358651); #11436=PLANE('',#358652); #11437=PLANE('',#358653); #11438=PLANE('',#358654); #11439=PLANE('',#358655); #11440=PLANE('',#358656); #11441=PLANE('',#358657); #11442=PLANE('',#358658); #11443=PLANE('',#358659); #11444=PLANE('',#358660); #11445=PLANE('',#358661); #11446=PLANE('',#358662); #11447=PLANE('',#358663); #11448=PLANE('',#358664); #11449=PLANE('',#358665); #11450=PLANE('',#358666); #11451=PLANE('',#358667); #11452=PLANE('',#358668); #11453=PLANE('',#358669); #11454=PLANE('',#358670); #11455=PLANE('',#358671); #11456=PLANE('',#358672); #11457=PLANE('',#358673); #11458=PLANE('',#358674); #11459=PLANE('',#358675); #11460=PLANE('',#358676); #11461=PLANE('',#358677); #11462=PLANE('',#358678); #11463=PLANE('',#358679); #11464=PLANE('',#358680); #11465=PLANE('',#358681); #11466=PLANE('',#358682); #11467=PLANE('',#358683); #11468=PLANE('',#358684); #11469=PLANE('',#358685); #11470=PLANE('',#358686); #11471=PLANE('',#358687); #11472=PLANE('',#358688); #11473=PLANE('',#358689); #11474=PLANE('',#358690); #11475=PLANE('',#358691); #11476=PLANE('',#358692); #11477=PLANE('',#358693); #11478=PLANE('',#358694); #11479=PLANE('',#358695); #11480=PLANE('',#358696); #11481=PLANE('',#358697); #11482=PLANE('',#358698); #11483=PLANE('',#358699); #11484=PLANE('',#358700); #11485=PLANE('',#358701); #11486=PLANE('',#358702); #11487=PLANE('',#358703); #11488=PLANE('',#358704); #11489=PLANE('',#358705); #11490=PLANE('',#358706); #11491=PLANE('',#358707); #11492=PLANE('',#358708); #11493=PLANE('',#358709); #11494=PLANE('',#358710); #11495=PLANE('',#358711); #11496=PLANE('',#358712); #11497=PLANE('',#358713); #11498=PLANE('',#358714); #11499=PLANE('',#358715); #11500=PLANE('',#358716); #11501=PLANE('',#358717); #11502=PLANE('',#358718); #11503=PLANE('',#358719); #11504=PLANE('',#358720); #11505=PLANE('',#358721); #11506=PLANE('',#358722); #11507=PLANE('',#358723); #11508=PLANE('',#358724); #11509=PLANE('',#358725); #11510=PLANE('',#358726); #11511=PLANE('',#358727); #11512=PLANE('',#358728); #11513=PLANE('',#358729); #11514=PLANE('',#358730); #11515=PLANE('',#358731); #11516=PLANE('',#358732); #11517=PLANE('',#358733); #11518=PLANE('',#358734); #11519=PLANE('',#358735); #11520=PLANE('',#358736); #11521=PLANE('',#358737); #11522=PLANE('',#358738); #11523=PLANE('',#358739); #11524=PLANE('',#358740); #11525=PLANE('',#358741); #11526=PLANE('',#358742); #11527=PLANE('',#358743); #11528=PLANE('',#358744); #11529=PLANE('',#358745); #11530=PLANE('',#358746); #11531=PLANE('',#358747); #11532=PLANE('',#358748); #11533=PLANE('',#358749); #11534=PLANE('',#358750); #11535=PLANE('',#358751); #11536=PLANE('',#358752); #11537=PLANE('',#358753); #11538=PLANE('',#358754); #11539=PLANE('',#358755); #11540=PLANE('',#358756); #11541=PLANE('',#358757); #11542=PLANE('',#358758); #11543=PLANE('',#358759); #11544=PLANE('',#358760); #11545=PLANE('',#358761); #11546=PLANE('',#358762); #11547=PLANE('',#358763); #11548=PLANE('',#358764); #11549=PLANE('',#358765); #11550=PLANE('',#358766); #11551=PLANE('',#358767); #11552=PLANE('',#358768); #11553=PLANE('',#358769); #11554=PLANE('',#358770); #11555=PLANE('',#358771); #11556=PLANE('',#358772); #11557=PLANE('',#358773); #11558=PLANE('',#358774); #11559=PLANE('',#358775); #11560=PLANE('',#358776); #11561=PLANE('',#358777); #11562=PLANE('',#358778); #11563=PLANE('',#358779); #11564=PLANE('',#358780); #11565=PLANE('',#358781); #11566=PLANE('',#358782); #11567=PLANE('',#358783); #11568=PLANE('',#358784); #11569=PLANE('',#358785); #11570=PLANE('',#358786); #11571=PLANE('',#358787); #11572=PLANE('',#358788); #11573=PLANE('',#358789); #11574=PLANE('',#358790); #11575=PLANE('',#358791); #11576=PLANE('',#358792); #11577=PLANE('',#358793); #11578=PLANE('',#358794); #11579=PLANE('',#358795); #11580=PLANE('',#358796); #11581=PLANE('',#358797); #11582=PLANE('',#358798); #11583=PLANE('',#358799); #11584=PLANE('',#358800); #11585=PLANE('',#358801); #11586=PLANE('',#358802); #11587=PLANE('',#358803); #11588=PLANE('',#358804); #11589=PLANE('',#358805); #11590=PLANE('',#358806); #11591=PLANE('',#358807); #11592=PLANE('',#358808); #11593=PLANE('',#358809); #11594=PLANE('',#358810); #11595=PLANE('',#358811); #11596=PLANE('',#358812); #11597=PLANE('',#358813); #11598=PLANE('',#358814); #11599=PLANE('',#358815); #11600=PLANE('',#358816); #11601=PLANE('',#358817); #11602=PLANE('',#358818); #11603=PLANE('',#358819); #11604=PLANE('',#358820); #11605=PLANE('',#358821); #11606=PLANE('',#358822); #11607=PLANE('',#358823); #11608=PLANE('',#358824); #11609=PLANE('',#358825); #11610=PLANE('',#358826); #11611=PLANE('',#358827); #11612=PLANE('',#358828); #11613=PLANE('',#358829); #11614=PLANE('',#358830); #11615=PLANE('',#358831); #11616=PLANE('',#358832); #11617=PLANE('',#358833); #11618=PLANE('',#358834); #11619=PLANE('',#358835); #11620=PLANE('',#358836); #11621=PLANE('',#358837); #11622=PLANE('',#358838); #11623=PLANE('',#358839); #11624=PLANE('',#358840); #11625=PLANE('',#358841); #11626=PLANE('',#358842); #11627=PLANE('',#358843); #11628=PLANE('',#358844); #11629=PLANE('',#358845); #11630=PLANE('',#358846); #11631=PLANE('',#358847); #11632=PLANE('',#358848); #11633=PLANE('',#358849); #11634=PLANE('',#358850); #11635=PLANE('',#358851); #11636=PLANE('',#358852); #11637=PLANE('',#358853); #11638=PLANE('',#358854); #11639=PLANE('',#358855); #11640=PLANE('',#358856); #11641=PLANE('',#358857); #11642=PLANE('',#358858); #11643=PLANE('',#358859); #11644=PLANE('',#358860); #11645=PLANE('',#358861); #11646=PLANE('',#358862); #11647=PLANE('',#358863); #11648=PLANE('',#358864); #11649=PLANE('',#358865); #11650=PLANE('',#358866); #11651=PLANE('',#358867); #11652=PLANE('',#358868); #11653=PLANE('',#358869); #11654=PLANE('',#358870); #11655=PLANE('',#358871); #11656=PLANE('',#358872); #11657=PLANE('',#358873); #11658=PLANE('',#358874); #11659=PLANE('',#358875); #11660=PLANE('',#358876); #11661=PLANE('',#358877); #11662=PLANE('',#358878); #11663=PLANE('',#358879); #11664=PLANE('',#358880); #11665=PLANE('',#358881); #11666=PLANE('',#358882); #11667=PLANE('',#358883); #11668=PLANE('',#358884); #11669=PLANE('',#358885); #11670=PLANE('',#358886); #11671=PLANE('',#358887); #11672=PLANE('',#358888); #11673=PLANE('',#358889); #11674=PLANE('',#358890); #11675=PLANE('',#358891); #11676=PLANE('',#358892); #11677=PLANE('',#358893); #11678=PLANE('',#358894); #11679=PLANE('',#358895); #11680=PLANE('',#358896); #11681=PLANE('',#358897); #11682=PLANE('',#358898); #11683=PLANE('',#358899); #11684=PLANE('',#358900); #11685=PLANE('',#358901); #11686=PLANE('',#358902); #11687=PLANE('',#358903); #11688=PLANE('',#358904); #11689=PLANE('',#358905); #11690=PLANE('',#358906); #11691=PLANE('',#358907); #11692=PLANE('',#358908); #11693=PLANE('',#358909); #11694=PLANE('',#358910); #11695=PLANE('',#358911); #11696=PLANE('',#358912); #11697=PLANE('',#358913); #11698=PLANE('',#358914); #11699=PLANE('',#358915); #11700=PLANE('',#358916); #11701=PLANE('',#358917); #11702=PLANE('',#358918); #11703=PLANE('',#358919); #11704=PLANE('',#358920); #11705=PLANE('',#358921); #11706=PLANE('',#358922); #11707=PLANE('',#358923); #11708=PLANE('',#358924); #11709=PLANE('',#358925); #11710=PLANE('',#358926); #11711=PLANE('',#358927); #11712=PLANE('',#358928); #11713=PLANE('',#358929); #11714=PLANE('',#358930); #11715=PLANE('',#358931); #11716=PLANE('',#358932); #11717=PLANE('',#358933); #11718=PLANE('',#358934); #11719=PLANE('',#358935); #11720=PLANE('',#358936); #11721=PLANE('',#358937); #11722=PLANE('',#358938); #11723=PLANE('',#358939); #11724=PLANE('',#358940); #11725=PLANE('',#358941); #11726=PLANE('',#358942); #11727=PLANE('',#358943); #11728=PLANE('',#358944); #11729=PLANE('',#358945); #11730=PLANE('',#358946); #11731=PLANE('',#358947); #11732=PLANE('',#358948); #11733=PLANE('',#358949); #11734=PLANE('',#358950); #11735=PLANE('',#358951); #11736=PLANE('',#358952); #11737=PLANE('',#358953); #11738=PLANE('',#358954); #11739=PLANE('',#358955); #11740=PLANE('',#358956); #11741=PLANE('',#358957); #11742=PLANE('',#358958); #11743=PLANE('',#358959); #11744=PLANE('',#358960); #11745=PLANE('',#358961); #11746=PLANE('',#358962); #11747=PLANE('',#358963); #11748=PLANE('',#358964); #11749=PLANE('',#358965); #11750=PLANE('',#358966); #11751=PLANE('',#358967); #11752=PLANE('',#358968); #11753=PLANE('',#358969); #11754=PLANE('',#358970); #11755=PLANE('',#358971); #11756=PLANE('',#358972); #11757=PLANE('',#358973); #11758=PLANE('',#358974); #11759=PLANE('',#358975); #11760=PLANE('',#358976); #11761=PLANE('',#358977); #11762=PLANE('',#358978); #11763=PLANE('',#358979); #11764=PLANE('',#358980); #11765=PLANE('',#358981); #11766=PLANE('',#358982); #11767=PLANE('',#358983); #11768=PLANE('',#358984); #11769=PLANE('',#358985); #11770=PLANE('',#358986); #11771=PLANE('',#358987); #11772=PLANE('',#358988); #11773=PLANE('',#358989); #11774=PLANE('',#358990); #11775=PLANE('',#358991); #11776=PLANE('',#358992); #11777=PLANE('',#358993); #11778=PLANE('',#358994); #11779=PLANE('',#358995); #11780=PLANE('',#358996); #11781=PLANE('',#358997); #11782=PLANE('',#358998); #11783=PLANE('',#358999); #11784=PLANE('',#359000); #11785=PLANE('',#359001); #11786=PLANE('',#359002); #11787=PLANE('',#359003); #11788=PLANE('',#359004); #11789=PLANE('',#359005); #11790=PLANE('',#359006); #11791=PLANE('',#359007); #11792=PLANE('',#359008); #11793=PLANE('',#359009); #11794=PLANE('',#359010); #11795=PLANE('',#359011); #11796=PLANE('',#359012); #11797=PLANE('',#359013); #11798=PLANE('',#359014); #11799=PLANE('',#359015); #11800=PLANE('',#359016); #11801=PLANE('',#359017); #11802=PLANE('',#359018); #11803=PLANE('',#359019); #11804=PLANE('',#359020); #11805=PLANE('',#359021); #11806=PLANE('',#359022); #11807=PLANE('',#359023); #11808=PLANE('',#359024); #11809=PLANE('',#359025); #11810=PLANE('',#359026); #11811=PLANE('',#359027); #11812=PLANE('',#359028); #11813=PLANE('',#359029); #11814=PLANE('',#359030); #11815=PLANE('',#359031); #11816=PLANE('',#359032); #11817=PLANE('',#359033); #11818=PLANE('',#359034); #11819=PLANE('',#359035); #11820=PLANE('',#359036); #11821=PLANE('',#359037); #11822=PLANE('',#359038); #11823=PLANE('',#359039); #11824=PLANE('',#359040); #11825=PLANE('',#359041); #11826=PLANE('',#359042); #11827=PLANE('',#359043); #11828=PLANE('',#359044); #11829=PLANE('',#359045); #11830=PLANE('',#359046); #11831=PLANE('',#359047); #11832=PLANE('',#359048); #11833=PLANE('',#359049); #11834=PLANE('',#359050); #11835=PLANE('',#359051); #11836=PLANE('',#359052); #11837=PLANE('',#359053); #11838=PLANE('',#359054); #11839=PLANE('',#359055); #11840=PLANE('',#359056); #11841=PLANE('',#359057); #11842=PLANE('',#359058); #11843=PLANE('',#359059); #11844=PLANE('',#359060); #11845=PLANE('',#359061); #11846=PLANE('',#359062); #11847=PLANE('',#359063); #11848=PLANE('',#359064); #11849=PLANE('',#359065); #11850=PLANE('',#359066); #11851=PLANE('',#359067); #11852=PLANE('',#359068); #11853=PLANE('',#359069); #11854=PLANE('',#359070); #11855=PLANE('',#359071); #11856=PLANE('',#359072); #11857=PLANE('',#359073); #11858=PLANE('',#359074); #11859=PLANE('',#359075); #11860=PLANE('',#359076); #11861=PLANE('',#359077); #11862=PLANE('',#359078); #11863=PLANE('',#359079); #11864=PLANE('',#359080); #11865=PLANE('',#359081); #11866=PLANE('',#359082); #11867=PLANE('',#359083); #11868=PLANE('',#359084); #11869=PLANE('',#359085); #11870=PLANE('',#359086); #11871=PLANE('',#359087); #11872=PLANE('',#359088); #11873=PLANE('',#359089); #11874=PLANE('',#359090); #11875=PLANE('',#359091); #11876=PLANE('',#359092); #11877=PLANE('',#359093); #11878=PLANE('',#359094); #11879=PLANE('',#359095); #11880=PLANE('',#359096); #11881=PLANE('',#359097); #11882=PLANE('',#359098); #11883=PLANE('',#359099); #11884=PLANE('',#359100); #11885=PLANE('',#359101); #11886=PLANE('',#359102); #11887=PLANE('',#359103); #11888=PLANE('',#359104); #11889=PLANE('',#359105); #11890=PLANE('',#359106); #11891=PLANE('',#359107); #11892=PLANE('',#359108); #11893=PLANE('',#359109); #11894=PLANE('',#359110); #11895=PLANE('',#359111); #11896=PLANE('',#359112); #11897=PLANE('',#359113); #11898=PLANE('',#359114); #11899=PLANE('',#359115); #11900=PLANE('',#359116); #11901=PLANE('',#359117); #11902=PLANE('',#359118); #11903=PLANE('',#359119); #11904=PLANE('',#359120); #11905=PLANE('',#359121); #11906=PLANE('',#359122); #11907=PLANE('',#359123); #11908=PLANE('',#359124); #11909=PLANE('',#359125); #11910=PLANE('',#359126); #11911=PLANE('',#359127); #11912=PLANE('',#359128); #11913=PLANE('',#359129); #11914=PLANE('',#359130); #11915=PLANE('',#359131); #11916=PLANE('',#359132); #11917=PLANE('',#359133); #11918=PLANE('',#359134); #11919=PLANE('',#359135); #11920=PLANE('',#359136); #11921=PLANE('',#359137); #11922=PLANE('',#359138); #11923=PLANE('',#359139); #11924=PLANE('',#359140); #11925=PLANE('',#359141); #11926=PLANE('',#359142); #11927=PLANE('',#359143); #11928=PLANE('',#359144); #11929=PLANE('',#359145); #11930=PLANE('',#359146); #11931=PLANE('',#359147); #11932=PLANE('',#359148); #11933=PLANE('',#359149); #11934=PLANE('',#359150); #11935=PLANE('',#359151); #11936=PLANE('',#359152); #11937=PLANE('',#359153); #11938=PLANE('',#359154); #11939=PLANE('',#359155); #11940=PLANE('',#359156); #11941=PLANE('',#359157); #11942=PLANE('',#359158); #11943=PLANE('',#359159); #11944=PLANE('',#359160); #11945=PLANE('',#359161); #11946=PLANE('',#359162); #11947=PLANE('',#359163); #11948=PLANE('',#359164); #11949=PLANE('',#359165); #11950=PLANE('',#359166); #11951=PLANE('',#359176); #11952=PLANE('',#359177); #11953=PLANE('',#359178); #11954=PLANE('',#359179); #11955=PLANE('',#359180); #11956=PLANE('',#359181); #11957=PLANE('',#359182); #11958=PLANE('',#359183); #11959=PLANE('',#359184); #11960=PLANE('',#359185); #11961=PLANE('',#359186); #11962=PLANE('',#359187); #11963=PLANE('',#359188); #11964=PLANE('',#359189); #11965=PLANE('',#359190); #11966=PLANE('',#359191); #11967=PLANE('',#359192); #11968=PLANE('',#359193); #11969=PLANE('',#359194); #11970=PLANE('',#359195); #11971=PLANE('',#359196); #11972=PLANE('',#359197); #11973=PLANE('',#359198); #11974=PLANE('',#359199); #11975=PLANE('',#359200); #11976=PLANE('',#359201); #11977=PLANE('',#359202); #11978=PLANE('',#359203); #11979=PLANE('',#359204); #11980=PLANE('',#359205); #11981=PLANE('',#359206); #11982=PLANE('',#359207); #11983=PLANE('',#359208); #11984=PLANE('',#359209); #11985=PLANE('',#359210); #11986=PLANE('',#359211); #11987=PLANE('',#359212); #11988=PLANE('',#359213); #11989=PLANE('',#359214); #11990=PLANE('',#359215); #11991=PLANE('',#359216); #11992=PLANE('',#359217); #11993=PLANE('',#359218); #11994=PLANE('',#359219); #11995=PLANE('',#359220); #11996=PLANE('',#359221); #11997=PLANE('',#359222); #11998=PLANE('',#359223); #11999=PLANE('',#359224); #12000=PLANE('',#359225); #12001=PLANE('',#359226); #12002=PLANE('',#359227); #12003=PLANE('',#359228); #12004=PLANE('',#359229); #12005=PLANE('',#359230); #12006=PLANE('',#359231); #12007=PLANE('',#359232); #12008=PLANE('',#359233); #12009=PLANE('',#359234); #12010=PLANE('',#359235); #12011=PLANE('',#359236); #12012=PLANE('',#359237); #12013=PLANE('',#359238); #12014=PLANE('',#359239); #12015=PLANE('',#359240); #12016=PLANE('',#359241); #12017=PLANE('',#359242); #12018=PLANE('',#359243); #12019=PLANE('',#359244); #12020=PLANE('',#359245); #12021=PLANE('',#359246); #12022=PLANE('',#359247); #12023=PLANE('',#359248); #12024=PLANE('',#359249); #12025=PLANE('',#359250); #12026=PLANE('',#359251); #12027=PLANE('',#359252); #12028=PLANE('',#359253); #12029=PLANE('',#359254); #12030=PLANE('',#359255); #12031=PLANE('',#359256); #12032=PLANE('',#359257); #12033=PLANE('',#359258); #12034=PLANE('',#359259); #12035=PLANE('',#359260); #12036=PLANE('',#359261); #12037=PLANE('',#359262); #12038=PLANE('',#359263); #12039=PLANE('',#359264); #12040=PLANE('',#359265); #12041=PLANE('',#359266); #12042=PLANE('',#359267); #12043=PLANE('',#359268); #12044=PLANE('',#359269); #12045=PLANE('',#359270); #12046=PLANE('',#359271); #12047=PLANE('',#359272); #12048=PLANE('',#359273); #12049=PLANE('',#359274); #12050=PLANE('',#359275); #12051=PLANE('',#359276); #12052=PLANE('',#359277); #12053=PLANE('',#359278); #12054=PLANE('',#359279); #12055=PLANE('',#359280); #12056=PLANE('',#359281); #12057=PLANE('',#359282); #12058=PLANE('',#359283); #12059=PLANE('',#359284); #12060=PLANE('',#359285); #12061=PLANE('',#359286); #12062=PLANE('',#359287); #12063=PLANE('',#359288); #12064=PLANE('',#359289); #12065=PLANE('',#359290); #12066=PLANE('',#359291); #12067=PLANE('',#359292); #12068=PLANE('',#359293); #12069=PLANE('',#359294); #12070=PLANE('',#359295); #12071=PLANE('',#359296); #12072=PLANE('',#359297); #12073=PLANE('',#359298); #12074=PLANE('',#359299); #12075=PLANE('',#359300); #12076=PLANE('',#359301); #12077=PLANE('',#359302); #12078=PLANE('',#359303); #12079=PLANE('',#359304); #12080=PLANE('',#359305); #12081=PLANE('',#359306); #12082=PLANE('',#359307); #12083=PLANE('',#359308); #12084=PLANE('',#359309); #12085=PLANE('',#359310); #12086=PLANE('',#359311); #12087=PLANE('',#359312); #12088=PLANE('',#359313); #12089=PLANE('',#359314); #12090=PLANE('',#359315); #12091=PLANE('',#359316); #12092=PLANE('',#359464); #12093=PLANE('',#359465); #12094=PLANE('',#359466); #12095=PLANE('',#359467); #12096=PLANE('',#359468); #12097=PLANE('',#359469); #12098=PLANE('',#359470); #12099=PLANE('',#359471); #12100=PLANE('',#359472); #12101=PLANE('',#359473); #12102=PLANE('',#359474); #12103=PLANE('',#359475); #12104=PLANE('',#359476); #12105=PLANE('',#359477); #12106=PLANE('',#359478); #12107=PLANE('',#359479); #12108=PLANE('',#359480); #12109=PLANE('',#359481); #12110=PLANE('',#359482); #12111=PLANE('',#359483); #12112=PLANE('',#359484); #12113=PLANE('',#359485); #12114=PLANE('',#359486); #12115=PLANE('',#359487); #12116=PLANE('',#359488); #12117=PLANE('',#359489); #12118=PLANE('',#359490); #12119=PLANE('',#359491); #12120=PLANE('',#359492); #12121=PLANE('',#359493); #12122=PLANE('',#359494); #12123=PLANE('',#359495); #12124=PLANE('',#359496); #12125=PLANE('',#359497); #12126=PLANE('',#359498); #12127=PLANE('',#359499); #12128=PLANE('',#359500); #12129=PLANE('',#359501); #12130=PLANE('',#359502); #12131=PLANE('',#359503); #12132=PLANE('',#359504); #12133=PLANE('',#359505); #12134=PLANE('',#359506); #12135=PLANE('',#359507); #12136=PLANE('',#359508); #12137=PLANE('',#359509); #12138=PLANE('',#359510); #12139=PLANE('',#359511); #12140=PLANE('',#359512); #12141=PLANE('',#359513); #12142=PLANE('',#359514); #12143=PLANE('',#359515); #12144=PLANE('',#359516); #12145=PLANE('',#359517); #12146=PLANE('',#359518); #12147=PLANE('',#359519); #12148=PLANE('',#359520); #12149=PLANE('',#359521); #12150=PLANE('',#359522); #12151=PLANE('',#359523); #12152=PLANE('',#359524); #12153=PLANE('',#359525); #12154=PLANE('',#359526); #12155=PLANE('',#359527); #12156=PLANE('',#359528); #12157=PLANE('',#359529); #12158=PLANE('',#359530); #12159=PLANE('',#359531); #12160=PLANE('',#359532); #12161=PLANE('',#359533); #12162=PLANE('',#359534); #12163=PLANE('',#359535); #12164=PLANE('',#359536); #12165=PLANE('',#359537); #12166=PLANE('',#359538); #12167=PLANE('',#359539); #12168=PLANE('',#359540); #12169=PLANE('',#359541); #12170=PLANE('',#359542); #12171=PLANE('',#359543); #12172=PLANE('',#359544); #12173=PLANE('',#359545); #12174=PLANE('',#359546); #12175=PLANE('',#359547); #12176=PLANE('',#359548); #12177=PLANE('',#359549); #12178=PLANE('',#359550); #12179=PLANE('',#359551); #12180=PLANE('',#359552); #12181=PLANE('',#359553); #12182=PLANE('',#359554); #12183=PLANE('',#359555); #12184=PLANE('',#359556); #12185=PLANE('',#359557); #12186=PLANE('',#359558); #12187=PLANE('',#359559); #12188=PLANE('',#359560); #12189=PLANE('',#359561); #12190=PLANE('',#359562); #12191=PLANE('',#359563); #12192=PLANE('',#359564); #12193=PLANE('',#359565); #12194=PLANE('',#359566); #12195=PLANE('',#359567); #12196=PLANE('',#359568); #12197=PLANE('',#359569); #12198=PLANE('',#359570); #12199=PLANE('',#359571); #12200=PLANE('',#359572); #12201=PLANE('',#359573); #12202=PLANE('',#359574); #12203=PLANE('',#359575); #12204=PLANE('',#359576); #12205=PLANE('',#359577); #12206=PLANE('',#359578); #12207=PLANE('',#359579); #12208=PLANE('',#359580); #12209=PLANE('',#359581); #12210=PLANE('',#359582); #12211=PLANE('',#359583); #12212=PLANE('',#359584); #12213=PLANE('',#359585); #12214=PLANE('',#359586); #12215=PLANE('',#359587); #12216=PLANE('',#359588); #12217=PLANE('',#359589); #12218=PLANE('',#359590); #12219=PLANE('',#359591); #12220=PLANE('',#359592); #12221=PLANE('',#359593); #12222=PLANE('',#359594); #12223=PLANE('',#359595); #12224=PLANE('',#359596); #12225=PLANE('',#359597); #12226=PLANE('',#359598); #12227=PLANE('',#359599); #12228=PLANE('',#359600); #12229=PLANE('',#359601); #12230=PLANE('',#359602); #12231=PLANE('',#359603); #12232=PLANE('',#359604); #12233=PLANE('',#359605); #12234=PLANE('',#359606); #12235=PLANE('',#359607); #12236=PLANE('',#359608); #12237=PLANE('',#359609); #12238=PLANE('',#359610); #12239=PLANE('',#359611); #12240=PLANE('',#359612); #12241=PLANE('',#359613); #12242=PLANE('',#359614); #12243=PLANE('',#359615); #12244=PLANE('',#359616); #12245=PLANE('',#359617); #12246=PLANE('',#359618); #12247=PLANE('',#359619); #12248=PLANE('',#359620); #12249=PLANE('',#359621); #12250=PLANE('',#359622); #12251=PLANE('',#359623); #12252=PLANE('',#359624); #12253=PLANE('',#359625); #12254=PLANE('',#359626); #12255=PLANE('',#359627); #12256=PLANE('',#359628); #12257=PLANE('',#359629); #12258=PLANE('',#359630); #12259=PLANE('',#359631); #12260=PLANE('',#359632); #12261=PLANE('',#359633); #12262=PLANE('',#359634); #12263=PLANE('',#359635); #12264=PLANE('',#359636); #12265=PLANE('',#359637); #12266=PLANE('',#359638); #12267=PLANE('',#359639); #12268=PLANE('',#359640); #12269=PLANE('',#359641); #12270=PLANE('',#359642); #12271=PLANE('',#359643); #12272=PLANE('',#359644); #12273=PLANE('',#359645); #12274=PLANE('',#359646); #12275=PLANE('',#359647); #12276=PLANE('',#359648); #12277=PLANE('',#359649); #12278=PLANE('',#359650); #12279=PLANE('',#359651); #12280=PLANE('',#359652); #12281=PLANE('',#359653); #12282=PLANE('',#359654); #12283=PLANE('',#359655); #12284=PLANE('',#359656); #12285=PLANE('',#359657); #12286=PLANE('',#359658); #12287=PLANE('',#359659); #12288=PLANE('',#359660); #12289=PLANE('',#359661); #12290=PLANE('',#359662); #12291=PLANE('',#359663); #12292=PLANE('',#359664); #12293=PLANE('',#359665); #12294=PLANE('',#359666); #12295=PLANE('',#359667); #12296=PLANE('',#359668); #12297=PLANE('',#359669); #12298=PLANE('',#359670); #12299=PLANE('',#359671); #12300=PLANE('',#359672); #12301=PLANE('',#359673); #12302=PLANE('',#359674); #12303=PLANE('',#359675); #12304=PLANE('',#359676); #12305=PLANE('',#359677); #12306=PLANE('',#359678); #12307=PLANE('',#359679); #12308=PLANE('',#359680); #12309=PLANE('',#359681); #12310=PLANE('',#359682); #12311=PLANE('',#359683); #12312=PLANE('',#359684); #12313=PLANE('',#359685); #12314=PLANE('',#359686); #12315=PLANE('',#359687); #12316=PLANE('',#359688); #12317=PLANE('',#359689); #12318=PLANE('',#359690); #12319=PLANE('',#359691); #12320=PLANE('',#359692); #12321=PLANE('',#359693); #12322=PLANE('',#359694); #12323=PLANE('',#359695); #12324=PLANE('',#359696); #12325=PLANE('',#359697); #12326=PLANE('',#359698); #12327=PLANE('',#359699); #12328=PLANE('',#359700); #12329=PLANE('',#359701); #12330=PLANE('',#359702); #12331=PLANE('',#359703); #12332=PLANE('',#359704); #12333=PLANE('',#359705); #12334=PLANE('',#359706); #12335=PLANE('',#359707); #12336=PLANE('',#359708); #12337=PLANE('',#359709); #12338=PLANE('',#359710); #12339=PLANE('',#359711); #12340=PLANE('',#359712); #12341=PLANE('',#359713); #12342=PLANE('',#359714); #12343=PLANE('',#359715); #12344=PLANE('',#359716); #12345=PLANE('',#359717); #12346=PLANE('',#359718); #12347=PLANE('',#359719); #12348=PLANE('',#359720); #12349=PLANE('',#359721); #12350=PLANE('',#359722); #12351=PLANE('',#359723); #12352=PLANE('',#359724); #12353=PLANE('',#359725); #12354=PLANE('',#359726); #12355=PLANE('',#359727); #12356=PLANE('',#359728); #12357=PLANE('',#359729); #12358=PLANE('',#359730); #12359=PLANE('',#359731); #12360=PLANE('',#359732); #12361=PLANE('',#359733); #12362=PLANE('',#359734); #12363=PLANE('',#359735); #12364=PLANE('',#359736); #12365=PLANE('',#359737); #12366=PLANE('',#359738); #12367=PLANE('',#359739); #12368=PLANE('',#359740); #12369=PLANE('',#359741); #12370=PLANE('',#359742); #12371=PLANE('',#359743); #12372=PLANE('',#359744); #12373=PLANE('',#359745); #12374=PLANE('',#359746); #12375=PLANE('',#359747); #12376=PLANE('',#359748); #12377=PLANE('',#359749); #12378=PLANE('',#359750); #12379=PLANE('',#359751); #12380=PLANE('',#359752); #12381=PLANE('',#359753); #12382=PLANE('',#359754); #12383=PLANE('',#359755); #12384=PLANE('',#359756); #12385=PLANE('',#359757); #12386=PLANE('',#359758); #12387=PLANE('',#359759); #12388=PLANE('',#359760); #12389=PLANE('',#359761); #12390=PLANE('',#359762); #12391=PLANE('',#359763); #12392=PLANE('',#359764); #12393=PLANE('',#359765); #12394=PLANE('',#359766); #12395=PLANE('',#359767); #12396=PLANE('',#359768); #12397=PLANE('',#359769); #12398=PLANE('',#359770); #12399=PLANE('',#359771); #12400=PLANE('',#359772); #12401=PLANE('',#359773); #12402=PLANE('',#359774); #12403=PLANE('',#359775); #12404=PLANE('',#359776); #12405=PLANE('',#359777); #12406=PLANE('',#359778); #12407=PLANE('',#359779); #12408=PLANE('',#359780); #12409=PLANE('',#359781); #12410=PLANE('',#359782); #12411=PLANE('',#359783); #12412=PLANE('',#359784); #12413=PLANE('',#359785); #12414=PLANE('',#359786); #12415=PLANE('',#359787); #12416=PLANE('',#359788); #12417=PLANE('',#359789); #12418=PLANE('',#359790); #12419=PLANE('',#359791); #12420=PLANE('',#359792); #12421=PLANE('',#359793); #12422=PLANE('',#359794); #12423=PLANE('',#359795); #12424=PLANE('',#359796); #12425=PLANE('',#359797); #12426=PLANE('',#359798); #12427=PLANE('',#359799); #12428=PLANE('',#359800); #12429=PLANE('',#359801); #12430=PLANE('',#359802); #12431=PLANE('',#359803); #12432=PLANE('',#359804); #12433=PLANE('',#359805); #12434=PLANE('',#359806); #12435=PLANE('',#359807); #12436=PLANE('',#359808); #12437=PLANE('',#359809); #12438=PLANE('',#359810); #12439=PLANE('',#359811); #12440=PLANE('',#359812); #12441=PLANE('',#359813); #12442=PLANE('',#359814); #12443=PLANE('',#359815); #12444=PLANE('',#359816); #12445=PLANE('',#359817); #12446=PLANE('',#359818); #12447=PLANE('',#359819); #12448=PLANE('',#359820); #12449=PLANE('',#359821); #12450=PLANE('',#359822); #12451=PLANE('',#359823); #12452=PLANE('',#359824); #12453=PLANE('',#359825); #12454=PLANE('',#359826); #12455=PLANE('',#359827); #12456=PLANE('',#359828); #12457=PLANE('',#359829); #12458=PLANE('',#359830); #12459=PLANE('',#359831); #12460=PLANE('',#359832); #12461=PLANE('',#359833); #12462=PLANE('',#359834); #12463=PLANE('',#359835); #12464=PLANE('',#359836); #12465=PLANE('',#359837); #12466=PLANE('',#359838); #12467=PLANE('',#359839); #12468=PLANE('',#359840); #12469=PLANE('',#359841); #12470=PLANE('',#359842); #12471=PLANE('',#359843); #12472=PLANE('',#359844); #12473=PLANE('',#359845); #12474=PLANE('',#359846); #12475=PLANE('',#359847); #12476=PLANE('',#359848); #12477=PLANE('',#359849); #12478=PLANE('',#359850); #12479=PLANE('',#359851); #12480=PLANE('',#359852); #12481=PLANE('',#359853); #12482=PLANE('',#359854); #12483=PLANE('',#359855); #12484=PLANE('',#359856); #12485=PLANE('',#359857); #12486=PLANE('',#359858); #12487=PLANE('',#359859); #12488=PLANE('',#359860); #12489=PLANE('',#359861); #12490=PLANE('',#359862); #12491=PLANE('',#359863); #12492=PLANE('',#359864); #12493=PLANE('',#359865); #12494=PLANE('',#359866); #12495=PLANE('',#359867); #12496=PLANE('',#359868); #12497=PLANE('',#359869); #12498=PLANE('',#359870); #12499=PLANE('',#359871); #12500=PLANE('',#359872); #12501=PLANE('',#359873); #12502=PLANE('',#359874); #12503=PLANE('',#359875); #12504=PLANE('',#359876); #12505=PLANE('',#359877); #12506=PLANE('',#359878); #12507=PLANE('',#359879); #12508=PLANE('',#359880); #12509=PLANE('',#359881); #12510=PLANE('',#359882); #12511=PLANE('',#359883); #12512=PLANE('',#359884); #12513=PLANE('',#359885); #12514=PLANE('',#359886); #12515=PLANE('',#359887); #12516=PLANE('',#359888); #12517=PLANE('',#359889); #12518=PLANE('',#359890); #12519=PLANE('',#359891); #12520=PLANE('',#359892); #12521=PLANE('',#359893); #12522=PLANE('',#359894); #12523=PLANE('',#359895); #12524=PLANE('',#359896); #12525=PLANE('',#359897); #12526=PLANE('',#359898); #12527=PLANE('',#359899); #12528=PLANE('',#359900); #12529=PLANE('',#359901); #12530=PLANE('',#359902); #12531=PLANE('',#359903); #12532=PLANE('',#359904); #12533=PLANE('',#359905); #12534=PLANE('',#359906); #12535=PLANE('',#359907); #12536=PLANE('',#359908); #12537=PLANE('',#359909); #12538=PLANE('',#359910); #12539=PLANE('',#359911); #12540=PLANE('',#359912); #12541=PLANE('',#359913); #12542=PLANE('',#359914); #12543=PLANE('',#359915); #12544=PLANE('',#359916); #12545=PLANE('',#359917); #12546=PLANE('',#359918); #12547=PLANE('',#359919); #12548=PLANE('',#359920); #12549=PLANE('',#359921); #12550=PLANE('',#359922); #12551=PLANE('',#359923); #12552=PLANE('',#359924); #12553=PLANE('',#359925); #12554=PLANE('',#359926); #12555=PLANE('',#359927); #12556=PLANE('',#359928); #12557=PLANE('',#359929); #12558=PLANE('',#359930); #12559=PLANE('',#359931); #12560=PLANE('',#359932); #12561=PLANE('',#359933); #12562=PLANE('',#359934); #12563=PLANE('',#359935); #12564=PLANE('',#359936); #12565=PLANE('',#359937); #12566=PLANE('',#359938); #12567=PLANE('',#359939); #12568=PLANE('',#359940); #12569=PLANE('',#359941); #12570=PLANE('',#359942); #12571=PLANE('',#359943); #12572=PLANE('',#359944); #12573=PLANE('',#359945); #12574=PLANE('',#359946); #12575=PLANE('',#359947); #12576=PLANE('',#359948); #12577=PLANE('',#359949); #12578=PLANE('',#359950); #12579=PLANE('',#359951); #12580=PLANE('',#359952); #12581=PLANE('',#359953); #12582=PLANE('',#359954); #12583=PLANE('',#359955); #12584=PLANE('',#359956); #12585=PLANE('',#359957); #12586=PLANE('',#359958); #12587=PLANE('',#359959); #12588=PLANE('',#359960); #12589=PLANE('',#359961); #12590=PLANE('',#359962); #12591=PLANE('',#359963); #12592=PLANE('',#359964); #12593=PLANE('',#359965); #12594=PLANE('',#359966); #12595=PLANE('',#359967); #12596=PLANE('',#359968); #12597=PLANE('',#359969); #12598=PLANE('',#359970); #12599=PLANE('',#359971); #12600=PLANE('',#359972); #12601=PLANE('',#359973); #12602=PLANE('',#359974); #12603=PLANE('',#359975); #12604=PLANE('',#359976); #12605=PLANE('',#359977); #12606=PLANE('',#359978); #12607=PLANE('',#359979); #12608=PLANE('',#359980); #12609=PLANE('',#359981); #12610=PLANE('',#359982); #12611=PLANE('',#359983); #12612=PLANE('',#359984); #12613=PLANE('',#359985); #12614=PLANE('',#359986); #12615=PLANE('',#359987); #12616=PLANE('',#359988); #12617=PLANE('',#359989); #12618=PLANE('',#359990); #12619=PLANE('',#359991); #12620=PLANE('',#359992); #12621=PLANE('',#359993); #12622=PLANE('',#359994); #12623=PLANE('',#359995); #12624=PLANE('',#359996); #12625=PLANE('',#359997); #12626=PLANE('',#359998); #12627=PLANE('',#359999); #12628=PLANE('',#360000); #12629=PLANE('',#360001); #12630=PLANE('',#360002); #12631=PLANE('',#360003); #12632=PLANE('',#360004); #12633=PLANE('',#360005); #12634=PLANE('',#360006); #12635=PLANE('',#360007); #12636=PLANE('',#360008); #12637=PLANE('',#360009); #12638=PLANE('',#360010); #12639=PLANE('',#360011); #12640=PLANE('',#360012); #12641=PLANE('',#360013); #12642=PLANE('',#360014); #12643=PLANE('',#360015); #12644=PLANE('',#360016); #12645=PLANE('',#360017); #12646=PLANE('',#360018); #12647=PLANE('',#360019); #12648=PLANE('',#360020); #12649=PLANE('',#360021); #12650=PLANE('',#360022); #12651=PLANE('',#360023); #12652=PLANE('',#360024); #12653=PLANE('',#360025); #12654=PLANE('',#360026); #12655=PLANE('',#360027); #12656=PLANE('',#360028); #12657=PLANE('',#360029); #12658=PLANE('',#360030); #12659=PLANE('',#360031); #12660=PLANE('',#360032); #12661=PLANE('',#360033); #12662=PLANE('',#360034); #12663=PLANE('',#360035); #12664=PLANE('',#360036); #12665=PLANE('',#360037); #12666=PLANE('',#360038); #12667=PLANE('',#360039); #12668=PLANE('',#360040); #12669=PLANE('',#360041); #12670=PLANE('',#360042); #12671=PLANE('',#360043); #12672=PLANE('',#360044); #12673=PLANE('',#360045); #12674=PLANE('',#360046); #12675=PLANE('',#360047); #12676=PLANE('',#360048); #12677=PLANE('',#360049); #12678=PLANE('',#360050); #12679=PLANE('',#360051); #12680=PLANE('',#360052); #12681=PLANE('',#360053); #12682=PLANE('',#360054); #12683=PLANE('',#360055); #12684=PLANE('',#360056); #12685=PLANE('',#360057); #12686=PLANE('',#360058); #12687=PLANE('',#360059); #12688=PLANE('',#360060); #12689=PLANE('',#360061); #12690=PLANE('',#360062); #12691=PLANE('',#360063); #12692=PLANE('',#360064); #12693=PLANE('',#360065); #12694=PLANE('',#360066); #12695=PLANE('',#360067); #12696=PLANE('',#360068); #12697=PLANE('',#360069); #12698=PLANE('',#360070); #12699=PLANE('',#360071); #12700=PLANE('',#360072); #12701=PLANE('',#360073); #12702=PLANE('',#360074); #12703=PLANE('',#360075); #12704=PLANE('',#360076); #12705=PLANE('',#360077); #12706=PLANE('',#360078); #12707=PLANE('',#360079); #12708=PLANE('',#360080); #12709=PLANE('',#360081); #12710=PLANE('',#360082); #12711=PLANE('',#360083); #12712=PLANE('',#360084); #12713=PLANE('',#360085); #12714=PLANE('',#360086); #12715=PLANE('',#360087); #12716=PLANE('',#360088); #12717=PLANE('',#360089); #12718=PLANE('',#360090); #12719=PLANE('',#360091); #12720=PLANE('',#360092); #12721=PLANE('',#360093); #12722=PLANE('',#360094); #12723=PLANE('',#360095); #12724=PLANE('',#360096); #12725=PLANE('',#360097); #12726=PLANE('',#360098); #12727=PLANE('',#360099); #12728=PLANE('',#360100); #12729=PLANE('',#360101); #12730=PLANE('',#360102); #12731=PLANE('',#360103); #12732=PLANE('',#360104); #12733=PLANE('',#360105); #12734=PLANE('',#360106); #12735=PLANE('',#360107); #12736=PLANE('',#360108); #12737=PLANE('',#360109); #12738=PLANE('',#360110); #12739=PLANE('',#360111); #12740=PLANE('',#360112); #12741=PLANE('',#360113); #12742=PLANE('',#360114); #12743=PLANE('',#360115); #12744=PLANE('',#360116); #12745=PLANE('',#360117); #12746=PLANE('',#360118); #12747=PLANE('',#360119); #12748=PLANE('',#360120); #12749=PLANE('',#360121); #12750=PLANE('',#360122); #12751=PLANE('',#360123); #12752=PLANE('',#360124); #12753=PLANE('',#360125); #12754=PLANE('',#360126); #12755=PLANE('',#360127); #12756=PLANE('',#360128); #12757=PLANE('',#360129); #12758=PLANE('',#360130); #12759=PLANE('',#360131); #12760=PLANE('',#360132); #12761=PLANE('',#360133); #12762=PLANE('',#360134); #12763=PLANE('',#360135); #12764=PLANE('',#360136); #12765=PLANE('',#360137); #12766=PLANE('',#360138); #12767=PLANE('',#360139); #12768=PLANE('',#360140); #12769=PLANE('',#360141); #12770=PLANE('',#360142); #12771=PLANE('',#360143); #12772=PLANE('',#360144); #12773=PLANE('',#360145); #12774=PLANE('',#360146); #12775=PLANE('',#360147); #12776=PLANE('',#360148); #12777=PLANE('',#360149); #12778=PLANE('',#360150); #12779=PLANE('',#360151); #12780=PLANE('',#360152); #12781=PLANE('',#360153); #12782=PLANE('',#360154); #12783=PLANE('',#360155); #12784=PLANE('',#360156); #12785=PLANE('',#360157); #12786=PLANE('',#360158); #12787=PLANE('',#360159); #12788=PLANE('',#360160); #12789=PLANE('',#360161); #12790=PLANE('',#360162); #12791=PLANE('',#360163); #12792=PLANE('',#360164); #12793=PLANE('',#360165); #12794=PLANE('',#360166); #12795=PLANE('',#360167); #12796=PLANE('',#360168); #12797=PLANE('',#360169); #12798=PLANE('',#360170); #12799=PLANE('',#360171); #12800=PLANE('',#360172); #12801=PLANE('',#360173); #12802=PLANE('',#360174); #12803=PLANE('',#360175); #12804=PLANE('',#360176); #12805=PLANE('',#360177); #12806=PLANE('',#360178); #12807=PLANE('',#360179); #12808=PLANE('',#360180); #12809=PLANE('',#360181); #12810=PLANE('',#360182); #12811=PLANE('',#360183); #12812=PLANE('',#360184); #12813=PLANE('',#360185); #12814=PLANE('',#360186); #12815=PLANE('',#360187); #12816=PLANE('',#360188); #12817=PLANE('',#360189); #12818=PLANE('',#360190); #12819=PLANE('',#360191); #12820=PLANE('',#360192); #12821=PLANE('',#360193); #12822=PLANE('',#360194); #12823=PLANE('',#360195); #12824=PLANE('',#360196); #12825=PLANE('',#360197); #12826=PLANE('',#360198); #12827=PLANE('',#360199); #12828=PLANE('',#360200); #12829=PLANE('',#360201); #12830=PLANE('',#360202); #12831=PLANE('',#360203); #12832=PLANE('',#360204); #12833=PLANE('',#360205); #12834=PLANE('',#360206); #12835=PLANE('',#360207); #12836=PLANE('',#360208); #12837=PLANE('',#360209); #12838=PLANE('',#360210); #12839=PLANE('',#360211); #12840=PLANE('',#360212); #12841=PLANE('',#360213); #12842=PLANE('',#360214); #12843=PLANE('',#360215); #12844=PLANE('',#360216); #12845=PLANE('',#360217); #12846=PLANE('',#360218); #12847=PLANE('',#360219); #12848=PLANE('',#360220); #12849=PLANE('',#360221); #12850=PLANE('',#360222); #12851=PLANE('',#360223); #12852=PLANE('',#360224); #12853=PLANE('',#360225); #12854=PLANE('',#360226); #12855=PLANE('',#360227); #12856=PLANE('',#360228); #12857=PLANE('',#360229); #12858=PLANE('',#360230); #12859=PLANE('',#360231); #12860=PLANE('',#360232); #12861=PLANE('',#360233); #12862=PLANE('',#360234); #12863=PLANE('',#360235); #12864=PLANE('',#360236); #12865=PLANE('',#360237); #12866=PLANE('',#360238); #12867=PLANE('',#360239); #12868=PLANE('',#360240); #12869=PLANE('',#360241); #12870=PLANE('',#360242); #12871=PLANE('',#360243); #12872=PLANE('',#360244); #12873=PLANE('',#360245); #12874=PLANE('',#360246); #12875=PLANE('',#360247); #12876=PLANE('',#360248); #12877=PLANE('',#360249); #12878=PLANE('',#360250); #12879=PLANE('',#360251); #12880=PLANE('',#360252); #12881=PLANE('',#360253); #12882=PLANE('',#360254); #12883=PLANE('',#360255); #12884=PLANE('',#360256); #12885=PLANE('',#360257); #12886=PLANE('',#360258); #12887=PLANE('',#360259); #12888=PLANE('',#360260); #12889=PLANE('',#360261); #12890=PLANE('',#360262); #12891=PLANE('',#360263); #12892=PLANE('',#360264); #12893=PLANE('',#360268); #12894=PLANE('',#360272); #12895=PLANE('',#360276); #12896=PLANE('',#360277); #12897=PLANE('',#360278); #12898=PLANE('',#360279); #12899=PLANE('',#360280); #12900=PLANE('',#360281); #12901=PLANE('',#360282); #12902=PLANE('',#360283); #12903=PLANE('',#360284); #12904=PLANE('',#360285); #12905=PLANE('',#360286); #12906=PLANE('',#360287); #12907=PLANE('',#360288); #12908=PLANE('',#360289); #12909=PLANE('',#360290); #12910=PLANE('',#360291); #12911=PLANE('',#360292); #12912=PLANE('',#360293); #12913=PLANE('',#360294); #12914=PLANE('',#360295); #12915=PLANE('',#360296); #12916=PLANE('',#360297); #12917=PLANE('',#360298); #12918=PLANE('',#360299); #12919=PLANE('',#360300); #12920=PLANE('',#360301); #12921=PLANE('',#360302); #12922=PLANE('',#360303); #12923=PLANE('',#360304); #12924=PLANE('',#360305); #12925=PLANE('',#360306); #12926=PLANE('',#360307); #12927=PLANE('',#360308); #12928=PLANE('',#360309); #12929=PLANE('',#360310); #12930=PLANE('',#360311); #12931=PLANE('',#360312); #12932=PLANE('',#360313); #12933=PLANE('',#360314); #12934=PLANE('',#360315); #12935=PLANE('',#360316); #12936=PLANE('',#360317); #12937=PLANE('',#360318); #12938=PLANE('',#360319); #12939=PLANE('',#360320); #12940=PLANE('',#360321); #12941=PLANE('',#360322); #12942=PLANE('',#360323); #12943=PLANE('',#360324); #12944=PLANE('',#360325); #12945=PLANE('',#360326); #12946=PLANE('',#360327); #12947=PLANE('',#360328); #12948=PLANE('',#360329); #12949=PLANE('',#360330); #12950=PLANE('',#360331); #12951=PLANE('',#360332); #12952=PLANE('',#360333); #12953=PLANE('',#360334); #12954=PLANE('',#360335); #12955=PLANE('',#360336); #12956=PLANE('',#360337); #12957=PLANE('',#360338); #12958=PLANE('',#360339); #12959=PLANE('',#360340); #12960=PLANE('',#360341); #12961=PLANE('',#360342); #12962=PLANE('',#360343); #12963=PLANE('',#360344); #12964=PLANE('',#360345); #12965=PLANE('',#360346); #12966=PLANE('',#360347); #12967=PLANE('',#360348); #12968=PLANE('',#360349); #12969=PLANE('',#360350); #12970=PLANE('',#360351); #12971=PLANE('',#360352); #12972=PLANE('',#360353); #12973=PLANE('',#360354); #12974=PLANE('',#360355); #12975=PLANE('',#360356); #12976=PLANE('',#360357); #12977=PLANE('',#360358); #12978=PLANE('',#360359); #12979=PLANE('',#360360); #12980=PLANE('',#360361); #12981=PLANE('',#360362); #12982=PLANE('',#360363); #12983=PLANE('',#360364); #12984=PLANE('',#360365); #12985=PLANE('',#360366); #12986=PLANE('',#360367); #12987=PLANE('',#360368); #12988=PLANE('',#360369); #12989=PLANE('',#360370); #12990=PLANE('',#360371); #12991=PLANE('',#360372); #12992=PLANE('',#360373); #12993=PLANE('',#360374); #12994=PLANE('',#360375); #12995=PLANE('',#360376); #12996=PLANE('',#360377); #12997=PLANE('',#360378); #12998=PLANE('',#360379); #12999=PLANE('',#360380); #13000=PLANE('',#360381); #13001=PLANE('',#360382); #13002=PLANE('',#360383); #13003=PLANE('',#360384); #13004=PLANE('',#360385); #13005=PLANE('',#360386); #13006=PLANE('',#360387); #13007=PLANE('',#360388); #13008=PLANE('',#360389); #13009=PLANE('',#360390); #13010=PLANE('',#360391); #13011=PLANE('',#360392); #13012=PLANE('',#360438); #13013=PLANE('',#360439); #13014=PLANE('',#360440); #13015=PLANE('',#360441); #13016=PLANE('',#360442); #13017=PLANE('',#360443); #13018=PLANE('',#360444); #13019=PLANE('',#360445); #13020=PLANE('',#360446); #13021=PLANE('',#360447); #13022=PLANE('',#360448); #13023=PLANE('',#360449); #13024=PLANE('',#360450); #13025=PLANE('',#360451); #13026=PLANE('',#360452); #13027=PLANE('',#360453); #13028=PLANE('',#360454); #13029=PLANE('',#360455); #13030=PLANE('',#360456); #13031=PLANE('',#360457); #13032=PLANE('',#360458); #13033=PLANE('',#360459); #13034=PLANE('',#360460); #13035=PLANE('',#360461); #13036=PLANE('',#360462); #13037=PLANE('',#360463); #13038=PLANE('',#360464); #13039=PLANE('',#360465); #13040=PLANE('',#360466); #13041=PLANE('',#360467); #13042=PLANE('',#360468); #13043=PLANE('',#360469); #13044=PLANE('',#360470); #13045=PLANE('',#360471); #13046=PLANE('',#360472); #13047=PLANE('',#360473); #13048=PLANE('',#360474); #13049=PLANE('',#360475); #13050=PLANE('',#360476); #13051=PLANE('',#360477); #13052=PLANE('',#360478); #13053=PLANE('',#360479); #13054=PLANE('',#360480); #13055=PLANE('',#360484); #13056=PLANE('',#360485); #13057=PLANE('',#360486); #13058=PLANE('',#360487); #13059=PLANE('',#360488); #13060=PLANE('',#360492); #13061=PLANE('',#360493); #13062=PLANE('',#360497); #13063=PLANE('',#360498); #13064=PLANE('',#360499); #13065=PLANE('',#360500); #13066=PLANE('',#360501); #13067=PLANE('',#360502); #13068=PLANE('',#360503); #13069=PLANE('',#360504); #13070=PLANE('',#360505); #13071=PLANE('',#360506); #13072=PLANE('',#360507); #13073=PLANE('',#360508); #13074=PLANE('',#360509); #13075=PLANE('',#360510); #13076=PLANE('',#360511); #13077=PLANE('',#360512); #13078=PLANE('',#360516); #13079=PLANE('',#360520); #13080=PLANE('',#360524); #13081=PLANE('',#360525); #13082=PLANE('',#360526); #13083=PLANE('',#360530); #13084=PLANE('',#360534); #13085=PLANE('',#360535); #13086=PLANE('',#360539); #13087=PLANE('',#360540); #13088=PLANE('',#360541); #13089=PLANE('',#360542); #13090=PLANE('',#360543); #13091=PLANE('',#360589); #13092=PLANE('',#360590); #13093=PLANE('',#360591); #13094=PLANE('',#360592); #13095=PLANE('',#360593); #13096=PLANE('',#360594); #13097=PLANE('',#360595); #13098=PLANE('',#360596); #13099=PLANE('',#360597); #13100=PLANE('',#360598); #13101=PLANE('',#360599); #13102=PLANE('',#360600); #13103=PLANE('',#360601); #13104=PLANE('',#360602); #13105=PLANE('',#360603); #13106=PLANE('',#360604); #13107=PLANE('',#360605); #13108=PLANE('',#360606); #13109=PLANE('',#360607); #13110=PLANE('',#360608); #13111=PLANE('',#360609); #13112=PLANE('',#360610); #13113=PLANE('',#360611); #13114=PLANE('',#360612); #13115=PLANE('',#360613); #13116=PLANE('',#360614); #13117=PLANE('',#360615); #13118=PLANE('',#360616); #13119=PLANE('',#360617); #13120=PLANE('',#360618); #13121=PLANE('',#360619); #13122=PLANE('',#360620); #13123=PLANE('',#360621); #13124=PLANE('',#360622); #13125=PLANE('',#360623); #13126=PLANE('',#360624); #13127=PLANE('',#360625); #13128=PLANE('',#360626); #13129=PLANE('',#360627); #13130=PLANE('',#360628); #13131=PLANE('',#360629); #13132=PLANE('',#360630); #13133=PLANE('',#360631); #13134=PLANE('',#360632); #13135=PLANE('',#360633); #13136=PLANE('',#360634); #13137=PLANE('',#360635); #13138=PLANE('',#360636); #13139=PLANE('',#360637); #13140=PLANE('',#360638); #13141=PLANE('',#360639); #13142=PLANE('',#360643); #13143=PLANE('',#360644); #13144=PLANE('',#360645); #13145=PLANE('',#360646); #13146=PLANE('',#360647); #13147=PLANE('',#360648); #13148=PLANE('',#360652); #13149=PLANE('',#360653); #13150=PLANE('',#360657); #13151=PLANE('',#360661); #13152=PLANE('',#360662); #13153=PLANE('',#360663); #13154=PLANE('',#360667); #13155=PLANE('',#360671); #13156=PLANE('',#360675); #13157=PLANE('',#360676); #13158=PLANE('',#360677); #13159=PLANE('',#360678); #13160=PLANE('',#360679); #13161=PLANE('',#360680); #13162=PLANE('',#360681); #13163=PLANE('',#360682); #13164=PLANE('',#360683); #13165=PLANE('',#360684); #13166=PLANE('',#360685); #13167=PLANE('',#360686); #13168=PLANE('',#360687); #13169=PLANE('',#360688); #13170=PLANE('',#360689); #13171=PLANE('',#360690); #13172=PLANE('',#360694); #13173=PLANE('',#360695); #13174=PLANE('',#360699); #13175=PLANE('',#360700); #13176=PLANE('',#360701); #13177=PLANE('',#360702); #13178=PLANE('',#360796); #13179=PLANE('',#360800); #13180=PLANE('',#360801); #13181=PLANE('',#360802); #13182=PLANE('',#360806); #13183=PLANE('',#360810); #13184=PLANE('',#360811); #13185=PLANE('',#360812); #13186=PLANE('',#360813); #13187=PLANE('',#360814); #13188=PLANE('',#360815); #13189=PLANE('',#360816); #13190=PLANE('',#360817); #13191=PLANE('',#360818); #13192=PLANE('',#360819); #13193=PLANE('',#360820); #13194=PLANE('',#360821); #13195=PLANE('',#360822); #13196=PLANE('',#360823); #13197=PLANE('',#360824); #13198=PLANE('',#360825); #13199=PLANE('',#360826); #13200=PLANE('',#360827); #13201=PLANE('',#360828); #13202=PLANE('',#360829); #13203=PLANE('',#360830); #13204=PLANE('',#360831); #13205=PLANE('',#360832); #13206=PLANE('',#360833); #13207=PLANE('',#360834); #13208=PLANE('',#360835); #13209=PLANE('',#360836); #13210=PLANE('',#360837); #13211=PLANE('',#360838); #13212=PLANE('',#360839); #13213=PLANE('',#360840); #13214=PLANE('',#360841); #13215=PLANE('',#360842); #13216=PLANE('',#360843); #13217=PLANE('',#360844); #13218=PLANE('',#360845); #13219=PLANE('',#360846); #13220=PLANE('',#360847); #13221=PLANE('',#360848); #13222=PLANE('',#360849); #13223=PLANE('',#360850); #13224=PLANE('',#360851); #13225=PLANE('',#360852); #13226=PLANE('',#360853); #13227=PLANE('',#360854); #13228=PLANE('',#360855); #13229=PLANE('',#360856); #13230=PLANE('',#360857); #13231=PLANE('',#360858); #13232=PLANE('',#360859); #13233=PLANE('',#360860); #13234=PLANE('',#360861); #13235=PLANE('',#360862); #13236=PLANE('',#360863); #13237=PLANE('',#360864); #13238=PLANE('',#360865); #13239=PLANE('',#360866); #13240=PLANE('',#360867); #13241=PLANE('',#360868); #13242=PLANE('',#360869); #13243=PLANE('',#360870); #13244=PLANE('',#360871); #13245=PLANE('',#360872); #13246=PLANE('',#360873); #13247=PLANE('',#360874); #13248=PLANE('',#360875); #13249=PLANE('',#360876); #13250=PLANE('',#360877); #13251=PLANE('',#360878); #13252=PLANE('',#360879); #13253=PLANE('',#360880); #13254=PLANE('',#360881); #13255=PLANE('',#360885); #13256=PLANE('',#360889); #13257=PLANE('',#360893); #13258=PLANE('',#360894); #13259=PLANE('',#360898); #13260=PLANE('',#360899); #13261=PLANE('',#360900); #13262=PLANE('',#360901); #13263=PLANE('',#360902); #13264=PLANE('',#360903); #13265=PLANE('',#360907); #13266=PLANE('',#360908); #13267=PLANE('',#360912); #13268=PLANE('',#360919); #13269=PLANE('',#360920); #13270=PLANE('',#360924); #13271=PLANE('',#360925); #13272=PLANE('',#360929); #13273=PLANE('',#360930); #13274=PLANE('',#360931); #13275=PLANE('',#360932); #13276=PLANE('',#360966); #13277=PLANE('',#360967); #13278=PLANE('',#360968); #13279=PLANE('',#360969); #13280=PLANE('',#360970); #13281=PLANE('',#360971); #13282=PLANE('',#360972); #13283=PLANE('',#360973); #13284=PLANE('',#360974); #13285=PLANE('',#360975); #13286=PLANE('',#360976); #13287=PLANE('',#360977); #13288=PLANE('',#360978); #13289=PLANE('',#360979); #13290=PLANE('',#360980); #13291=PLANE('',#360981); #13292=PLANE('',#360982); #13293=PLANE('',#360983); #13294=PLANE('',#360984); #13295=PLANE('',#360985); #13296=PLANE('',#360986); #13297=PLANE('',#360987); #13298=PLANE('',#360988); #13299=PLANE('',#360989); #13300=PLANE('',#360993); #13301=PLANE('',#360994); #13302=PLANE('',#360998); #13303=PLANE('',#360999); #13304=PLANE('',#361000); #13305=PLANE('',#361001); #13306=PLANE('',#361002); #13307=PLANE('',#361003); #13308=PLANE('',#361004); #13309=PLANE('',#361005); #13310=PLANE('',#361009); #13311=PLANE('',#361013); #13312=PLANE('',#361014); #13313=PLANE('',#361015); #13314=PLANE('',#361016); #13315=PLANE('',#361017); #13316=PLANE('',#361018); #13317=PLANE('',#361019); #13318=PLANE('',#361020); #13319=PLANE('',#361021); #13320=PLANE('',#361022); #13321=PLANE('',#361023); #13322=PLANE('',#361024); #13323=PLANE('',#361025); #13324=PLANE('',#361026); #13325=PLANE('',#361027); #13326=PLANE('',#361031); #13327=PLANE('',#361035); #13328=PLANE('',#361036); #13329=PLANE('',#361037); #13330=PLANE('',#361038); #13331=PLANE('',#361039); #13332=PLANE('',#361040); #13333=PLANE('',#361041); #13334=PLANE('',#361042); #13335=PLANE('',#361043); #13336=PLANE('',#361044); #13337=PLANE('',#361045); #13338=PLANE('',#361046); #13339=PLANE('',#361047); #13340=PLANE('',#361048); #13341=PLANE('',#361049); #13342=PLANE('',#361050); #13343=PLANE('',#361051); #13344=PLANE('',#361052); #13345=PLANE('',#361053); #13346=PLANE('',#361054); #13347=PLANE('',#361055); #13348=PLANE('',#361056); #13349=PLANE('',#361057); #13350=PLANE('',#361058); #13351=PLANE('',#361059); #13352=PLANE('',#361060); #13353=PLANE('',#361061); #13354=PLANE('',#361062); #13355=PLANE('',#361063); #13356=PLANE('',#361064); #13357=PLANE('',#361065); #13358=PLANE('',#361066); #13359=PLANE('',#361067); #13360=PLANE('',#361068); #13361=PLANE('',#361069); #13362=PLANE('',#361070); #13363=PLANE('',#361071); #13364=PLANE('',#361072); #13365=PLANE('',#361073); #13366=PLANE('',#361074); #13367=PLANE('',#361075); #13368=PLANE('',#361076); #13369=PLANE('',#361077); #13370=PLANE('',#361078); #13371=PLANE('',#361079); #13372=PLANE('',#361080); #13373=PLANE('',#361081); #13374=PLANE('',#361082); #13375=PLANE('',#361083); #13376=PLANE('',#361084); #13377=PLANE('',#361085); #13378=PLANE('',#361086); #13379=PLANE('',#361087); #13380=PLANE('',#361088); #13381=PLANE('',#361089); #13382=PLANE('',#361090); #13383=PLANE('',#361091); #13384=PLANE('',#361092); #13385=PLANE('',#361093); #13386=PLANE('',#361094); #13387=PLANE('',#361095); #13388=PLANE('',#361096); #13389=PLANE('',#361097); #13390=PLANE('',#361098); #13391=PLANE('',#361099); #13392=PLANE('',#361100); #13393=PLANE('',#361101); #13394=PLANE('',#361102); #13395=PLANE('',#361103); #13396=PLANE('',#361197); #13397=PLANE('',#361201); #13398=PLANE('',#361205); #13399=PLANE('',#361209); #13400=PLANE('',#361210); #13401=PLANE('',#361211); #13402=PLANE('',#361212); #13403=PLANE('',#361213); #13404=PLANE('',#361214); #13405=PLANE('',#361215); #13406=PLANE('',#361216); #13407=PLANE('',#361217); #13408=PLANE('',#361218); #13409=PLANE('',#361219); #13410=PLANE('',#361220); #13411=PLANE('',#361221); #13412=PLANE('',#361222); #13413=PLANE('',#361223); #13414=PLANE('',#361224); #13415=PLANE('',#361225); #13416=PLANE('',#361226); #13417=PLANE('',#361227); #13418=PLANE('',#361228); #13419=PLANE('',#361229); #13420=PLANE('',#361230); #13421=PLANE('',#361231); #13422=PLANE('',#361232); #13423=PLANE('',#361233); #13424=PLANE('',#361234); #13425=PLANE('',#361235); #13426=PLANE('',#361236); #13427=PLANE('',#361237); #13428=PLANE('',#361238); #13429=PLANE('',#361239); #13430=PLANE('',#361240); #13431=PLANE('',#361241); #13432=PLANE('',#361242); #13433=PLANE('',#361243); #13434=PLANE('',#361244); #13435=PLANE('',#361245); #13436=PLANE('',#361246); #13437=PLANE('',#361247); #13438=PLANE('',#361248); #13439=PLANE('',#361249); #13440=PLANE('',#361250); #13441=PLANE('',#361251); #13442=PLANE('',#361252); #13443=PLANE('',#361253); #13444=PLANE('',#361254); #13445=PLANE('',#361255); #13446=PLANE('',#361256); #13447=PLANE('',#361257); #13448=PLANE('',#361258); #13449=PLANE('',#361259); #13450=PLANE('',#361260); #13451=PLANE('',#361261); #13452=PLANE('',#361262); #13453=PLANE('',#361263); #13454=PLANE('',#361264); #13455=PLANE('',#361265); #13456=PLANE('',#361266); #13457=PLANE('',#361267); #13458=PLANE('',#361268); #13459=PLANE('',#361269); #13460=PLANE('',#361270); #13461=PLANE('',#361271); #13462=PLANE('',#361272); #13463=PLANE('',#361273); #13464=PLANE('',#361274); #13465=PLANE('',#361275); #13466=PLANE('',#361279); #13467=PLANE('',#361283); #13468=PLANE('',#361284); #13469=PLANE('',#361285); #13470=PLANE('',#361289); #13471=PLANE('',#361290); #13472=PLANE('',#361294); #13473=PLANE('',#361295); #13474=PLANE('',#361296); #13475=PLANE('',#361297); #13476=PLANE('',#361298); #13477=PLANE('',#361302); #13478=PLANE('',#361303); #13479=PLANE('',#361307); #13480=PLANE('',#361308); #13481=PLANE('',#361315); #13482=PLANE('',#361319); #13483=PLANE('',#361320); #13484=PLANE('',#361324); #13485=PLANE('',#361325); #13486=PLANE('',#361326); #13487=PLANE('',#361327); #13488=PLANE('',#361328); #13489=PLANE('',#361377); #13490=PLANE('',#361378); #13491=PLANE('',#361379); #13492=PLANE('',#361380); #13493=PLANE('',#361381); #13494=PLANE('',#361382); #13495=PLANE('',#361383); #13496=PLANE('',#361384); #13497=PLANE('',#361385); #13498=PLANE('',#361386); #13499=PLANE('',#361387); #13500=PLANE('',#361388); #13501=PLANE('',#361389); #13502=PLANE('',#361390); #13503=PLANE('',#361391); #13504=PLANE('',#361392); #13505=PLANE('',#361393); #13506=PLANE('',#361394); #13507=PLANE('',#361395); #13508=PLANE('',#361396); #13509=PLANE('',#361397); #13510=PLANE('',#361398); #13511=PLANE('',#361399); #13512=PLANE('',#361400); #13513=PLANE('',#361401); #13514=PLANE('',#361402); #13515=PLANE('',#361403); #13516=PLANE('',#361404); #13517=PLANE('',#361405); #13518=PLANE('',#361406); #13519=PLANE('',#361407); #13520=PLANE('',#361408); #13521=PLANE('',#361409); #13522=PLANE('',#361410); #13523=PLANE('',#361411); #13524=PLANE('',#361412); #13525=PLANE('',#361413); #13526=PLANE('',#361414); #13527=PLANE('',#361415); #13528=PLANE('',#361416); #13529=PLANE('',#361417); #13530=PLANE('',#361418); #13531=PLANE('',#361419); #13532=PLANE('',#361420); #13533=PLANE('',#361421); #13534=PLANE('',#361422); #13535=PLANE('',#361423); #13536=PLANE('',#361424); #13537=PLANE('',#361425); #13538=PLANE('',#361426); #13539=PLANE('',#361427); #13540=PLANE('',#361428); #13541=PLANE('',#361429); #13542=PLANE('',#361430); #13543=PLANE('',#361431); #13544=PLANE('',#361432); #13545=PLANE('',#361433); #13546=PLANE('',#361434); #13547=PLANE('',#361435); #13548=PLANE('',#361436); #13549=PLANE('',#361437); #13550=PLANE('',#361438); #13551=PLANE('',#361439); #13552=PLANE('',#361440); #13553=PLANE('',#361441); #13554=PLANE('',#361442); #13555=PLANE('',#361443); #13556=PLANE('',#361444); #13557=PLANE('',#361445); #13558=PLANE('',#361446); #13559=PLANE('',#361447); #13560=PLANE('',#361448); #13561=PLANE('',#361449); #13562=PLANE('',#361450); #13563=PLANE('',#361451); #13564=PLANE('',#361452); #13565=PLANE('',#361453); #13566=PLANE('',#361454); #13567=PLANE('',#361455); #13568=PLANE('',#361456); #13569=PLANE('',#361457); #13570=PLANE('',#361458); #13571=PLANE('',#361459); #13572=PLANE('',#361460); #13573=PLANE('',#361461); #13574=PLANE('',#361462); #13575=PLANE('',#361463); #13576=PLANE('',#361464); #13577=PLANE('',#361465); #13578=PLANE('',#361466); #13579=PLANE('',#361467); #13580=PLANE('',#361468); #13581=PLANE('',#361469); #13582=PLANE('',#361470); #13583=PLANE('',#361471); #13584=PLANE('',#361472); #13585=PLANE('',#361473); #13586=PLANE('',#361474); #13587=PLANE('',#361475); #13588=PLANE('',#361476); #13589=PLANE('',#361477); #13590=PLANE('',#361478); #13591=PLANE('',#361479); #13592=PLANE('',#361480); #13593=PLANE('',#361481); #13594=PLANE('',#361482); #13595=PLANE('',#361483); #13596=PLANE('',#361484); #13597=PLANE('',#361485); #13598=PLANE('',#361486); #13599=PLANE('',#361487); #13600=PLANE('',#361488); #13601=PLANE('',#361489); #13602=PLANE('',#361490); #13603=PLANE('',#361491); #13604=PLANE('',#361492); #13605=PLANE('',#361493); #13606=PLANE('',#361494); #13607=PLANE('',#361495); #13608=PLANE('',#361496); #13609=PLANE('',#361497); #13610=PLANE('',#361498); #13611=PLANE('',#361499); #13612=PLANE('',#361500); #13613=PLANE('',#361501); #13614=PLANE('',#361502); #13615=PLANE('',#361503); #13616=PLANE('',#361504); #13617=PLANE('',#361505); #13618=PLANE('',#361506); #13619=PLANE('',#361507); #13620=PLANE('',#361508); #13621=PLANE('',#361509); #13622=PLANE('',#361510); #13623=PLANE('',#361511); #13624=PLANE('',#361512); #13625=PLANE('',#361513); #13626=PLANE('',#361514); #13627=PLANE('',#361515); #13628=PLANE('',#361516); #13629=PLANE('',#361517); #13630=PLANE('',#361518); #13631=PLANE('',#361519); #13632=PLANE('',#361520); #13633=PLANE('',#361521); #13634=PLANE('',#361522); #13635=PLANE('',#361523); #13636=PLANE('',#361524); #13637=PLANE('',#361525); #13638=PLANE('',#361526); #13639=PLANE('',#361527); #13640=PLANE('',#361528); #13641=PLANE('',#361529); #13642=PLANE('',#361530); #13643=PLANE('',#361531); #13644=PLANE('',#361532); #13645=PLANE('',#361533); #13646=PLANE('',#361534); #13647=PLANE('',#361535); #13648=PLANE('',#361536); #13649=PLANE('',#361537); #13650=PLANE('',#361538); #13651=PLANE('',#361539); #13652=PLANE('',#361540); #13653=PLANE('',#361541); #13654=PLANE('',#361542); #13655=PLANE('',#361543); #13656=PLANE('',#361544); #13657=PLANE('',#361545); #13658=PLANE('',#361546); #13659=PLANE('',#361547); #13660=PLANE('',#361548); #13661=PLANE('',#361549); #13662=PLANE('',#361550); #13663=PLANE('',#361551); #13664=PLANE('',#361552); #13665=PLANE('',#361553); #13666=PLANE('',#361554); #13667=PLANE('',#361555); #13668=PLANE('',#361556); #13669=PLANE('',#361557); #13670=PLANE('',#361558); #13671=PLANE('',#361559); #13672=PLANE('',#361560); #13673=PLANE('',#361561); #13674=PLANE('',#361562); #13675=PLANE('',#361563); #13676=PLANE('',#361564); #13677=PLANE('',#361565); #13678=PLANE('',#361566); #13679=PLANE('',#361567); #13680=PLANE('',#361568); #13681=PLANE('',#361569); #13682=PLANE('',#361570); #13683=PLANE('',#361571); #13684=PLANE('',#361572); #13685=PLANE('',#361573); #13686=PLANE('',#361574); #13687=PLANE('',#361575); #13688=PLANE('',#361576); #13689=PLANE('',#361577); #13690=PLANE('',#361578); #13691=PLANE('',#361579); #13692=PLANE('',#361580); #13693=PLANE('',#361581); #13694=PLANE('',#361582); #13695=PLANE('',#361583); #13696=PLANE('',#361584); #13697=PLANE('',#361585); #13698=PLANE('',#361586); #13699=PLANE('',#361587); #13700=PLANE('',#361588); #13701=PLANE('',#361589); #13702=PLANE('',#361590); #13703=PLANE('',#361591); #13704=PLANE('',#361592); #13705=PLANE('',#361593); #13706=PLANE('',#361594); #13707=PLANE('',#361595); #13708=PLANE('',#361596); #13709=PLANE('',#361597); #13710=PLANE('',#361598); #13711=PLANE('',#361599); #13712=PLANE('',#361603); #13713=PLANE('',#361607); #13714=PLANE('',#361608); #13715=PLANE('',#361609); #13716=PLANE('',#361610); #13717=PLANE('',#361611); #13718=PLANE('',#361612); #13719=PLANE('',#361613); #13720=PLANE('',#361614); #13721=PLANE('',#361618); #13722=PLANE('',#361619); #13723=PLANE('',#361623); #13724=PLANE('',#361624); #13725=PLANE('',#361625); #13726=PLANE('',#361626); #13727=PLANE('',#361627); #13728=PLANE('',#361628); #13729=PLANE('',#361629); #13730=PLANE('',#361630); #13731=PLANE('',#361631); #13732=PLANE('',#361632); #13733=PLANE('',#361633); #13734=PLANE('',#361634); #13735=PLANE('',#361635); #13736=PLANE('',#361636); #13737=PLANE('',#361637); #13738=PLANE('',#361638); #13739=PLANE('',#361639); #13740=PLANE('',#361640); #13741=PLANE('',#361641); #13742=PLANE('',#361642); #13743=PLANE('',#361643); #13744=PLANE('',#361644); #13745=PLANE('',#361645); #13746=PLANE('',#361646); #13747=PLANE('',#361647); #13748=PLANE('',#361648); #13749=PLANE('',#361649); #13750=PLANE('',#361650); #13751=PLANE('',#361651); #13752=PLANE('',#361652); #13753=PLANE('',#361653); #13754=PLANE('',#361654); #13755=PLANE('',#361655); #13756=PLANE('',#361656); #13757=PLANE('',#361657); #13758=PLANE('',#361658); #13759=PLANE('',#361659); #13760=PLANE('',#361660); #13761=PLANE('',#361661); #13762=PLANE('',#361662); #13763=PLANE('',#361663); #13764=PLANE('',#361664); #13765=PLANE('',#361665); #13766=PLANE('',#361666); #13767=PLANE('',#361667); #13768=PLANE('',#361668); #13769=PLANE('',#361669); #13770=PLANE('',#361670); #13771=PLANE('',#361671); #13772=PLANE('',#361672); #13773=PLANE('',#361673); #13774=PLANE('',#361674); #13775=PLANE('',#361675); #13776=PLANE('',#361676); #13777=PLANE('',#361677); #13778=PLANE('',#361678); #13779=PLANE('',#361679); #13780=PLANE('',#361680); #13781=PLANE('',#361681); #13782=PLANE('',#361682); #13783=PLANE('',#361683); #13784=PLANE('',#361684); #13785=PLANE('',#361685); #13786=PLANE('',#361686); #13787=PLANE('',#361687); #13788=PLANE('',#361688); #13789=PLANE('',#361689); #13790=PLANE('',#361690); #13791=PLANE('',#361691); #13792=PLANE('',#361692); #13793=PLANE('',#361693); #13794=PLANE('',#361694); #13795=PLANE('',#361695); #13796=PLANE('',#361696); #13797=PLANE('',#361697); #13798=PLANE('',#361698); #13799=PLANE('',#361699); #13800=PLANE('',#361700); #13801=PLANE('',#361701); #13802=PLANE('',#361702); #13803=PLANE('',#361703); #13804=PLANE('',#361704); #13805=PLANE('',#361705); #13806=PLANE('',#361706); #13807=PLANE('',#361707); #13808=PLANE('',#361708); #13809=PLANE('',#361709); #13810=PLANE('',#361710); #13811=PLANE('',#361711); #13812=PLANE('',#361712); #13813=PLANE('',#361713); #13814=PLANE('',#361714); #13815=PLANE('',#361715); #13816=PLANE('',#361716); #13817=PLANE('',#361717); #13818=PLANE('',#361718); #13819=PLANE('',#361719); #13820=PLANE('',#361720); #13821=PLANE('',#361721); #13822=PLANE('',#361722); #13823=PLANE('',#361723); #13824=PLANE('',#361724); #13825=PLANE('',#361725); #13826=PLANE('',#361726); #13827=PLANE('',#361727); #13828=PLANE('',#361728); #13829=PLANE('',#361729); #13830=PLANE('',#361730); #13831=PLANE('',#361731); #13832=PLANE('',#361732); #13833=PLANE('',#361733); #13834=PLANE('',#361734); #13835=PLANE('',#361735); #13836=PLANE('',#361736); #13837=PLANE('',#361737); #13838=PLANE('',#361738); #13839=PLANE('',#361739); #13840=PLANE('',#361740); #13841=PLANE('',#361741); #13842=PLANE('',#361742); #13843=PLANE('',#361743); #13844=PLANE('',#361744); #13845=PLANE('',#361745); #13846=PLANE('',#361746); #13847=PLANE('',#361747); #13848=PLANE('',#361748); #13849=PLANE('',#361749); #13850=PLANE('',#361750); #13851=PLANE('',#361751); #13852=PLANE('',#361752); #13853=PLANE('',#361753); #13854=PLANE('',#361754); #13855=PLANE('',#361755); #13856=PLANE('',#361756); #13857=PLANE('',#361757); #13858=PLANE('',#361758); #13859=PLANE('',#361759); #13860=PLANE('',#361760); #13861=PLANE('',#361761); #13862=PLANE('',#361762); #13863=PLANE('',#361763); #13864=PLANE('',#361764); #13865=PLANE('',#361765); #13866=PLANE('',#361766); #13867=PLANE('',#361767); #13868=PLANE('',#361768); #13869=PLANE('',#361769); #13870=PLANE('',#361770); #13871=PLANE('',#361771); #13872=PLANE('',#361772); #13873=PLANE('',#361773); #13874=PLANE('',#361774); #13875=PLANE('',#361775); #13876=PLANE('',#361776); #13877=PLANE('',#361777); #13878=PLANE('',#361778); #13879=PLANE('',#361779); #13880=PLANE('',#361780); #13881=PLANE('',#361781); #13882=PLANE('',#361782); #13883=PLANE('',#361783); #13884=PLANE('',#361784); #13885=PLANE('',#361785); #13886=PLANE('',#361786); #13887=PLANE('',#361787); #13888=PLANE('',#361788); #13889=PLANE('',#361789); #13890=PLANE('',#361790); #13891=PLANE('',#361791); #13892=PLANE('',#361792); #13893=PLANE('',#361793); #13894=PLANE('',#361794); #13895=PLANE('',#361795); #13896=PLANE('',#361796); #13897=PLANE('',#361797); #13898=PLANE('',#361798); #13899=PLANE('',#361799); #13900=PLANE('',#361800); #13901=PLANE('',#361801); #13902=PLANE('',#361802); #13903=PLANE('',#361803); #13904=PLANE('',#361804); #13905=PLANE('',#361805); #13906=PLANE('',#361806); #13907=PLANE('',#361807); #13908=PLANE('',#361808); #13909=PLANE('',#361809); #13910=PLANE('',#361810); #13911=PLANE('',#361811); #13912=PLANE('',#361812); #13913=PLANE('',#361813); #13914=PLANE('',#361814); #13915=PLANE('',#361815); #13916=PLANE('',#361816); #13917=PLANE('',#361817); #13918=PLANE('',#361818); #13919=PLANE('',#361819); #13920=PLANE('',#361820); #13921=PLANE('',#361821); #13922=PLANE('',#361822); #13923=PLANE('',#361823); #13924=PLANE('',#361824); #13925=PLANE('',#361825); #13926=PLANE('',#361826); #13927=PLANE('',#361827); #13928=PLANE('',#361828); #13929=PLANE('',#361829); #13930=PLANE('',#361830); #13931=PLANE('',#361831); #13932=PLANE('',#361832); #13933=PLANE('',#361833); #13934=PLANE('',#361834); #13935=PLANE('',#361835); #13936=PLANE('',#361836); #13937=PLANE('',#361837); #13938=PLANE('',#361838); #13939=PLANE('',#361839); #13940=PLANE('',#361840); #13941=PLANE('',#361841); #13942=PLANE('',#361842); #13943=PLANE('',#361843); #13944=PLANE('',#361844); #13945=PLANE('',#361848); #13946=PLANE('',#361855); #13947=PLANE('',#361856); #13948=PLANE('',#361860); #13949=PLANE('',#361867); #13950=PLANE('',#361868); #13951=PLANE('',#361872); #13952=PLANE('',#361879); #13953=PLANE('',#361880); #13954=PLANE('',#361884); #13955=PLANE('',#361891); #13956=PLANE('',#361892); #13957=PLANE('',#361896); #13958=PLANE('',#361897); #13959=PLANE('',#361898); #13960=PLANE('',#361899); #13961=PLANE('',#361900); #13962=PLANE('',#361901); #13963=PLANE('',#361905); #13964=PLANE('',#361912); #13965=PLANE('',#361913); #13966=PLANE('',#361917); #13967=PLANE('',#361924); #13968=PLANE('',#361925); #13969=PLANE('',#361929); #13970=PLANE('',#361936); #13971=PLANE('',#361937); #13972=PLANE('',#361941); #13973=PLANE('',#361948); #13974=PLANE('',#361949); #13975=PLANE('',#361950); #13976=PLANE('',#361954); #13977=PLANE('',#361958); #13978=PLANE('',#361959); #13979=PLANE('',#361960); #13980=PLANE('',#361961); #13981=PLANE('',#361962); #13982=PLANE('',#361963); #13983=PLANE('',#361964); #13984=PLANE('',#361965); #13985=PLANE('',#361966); #13986=PLANE('',#361967); #13987=PLANE('',#361968); #13988=PLANE('',#361969); #13989=PLANE('',#361970); #13990=PLANE('',#361971); #13991=PLANE('',#361972); #13992=PLANE('',#361973); #13993=PLANE('',#361974); #13994=PLANE('',#361975); #13995=PLANE('',#361976); #13996=PLANE('',#361977); #13997=PLANE('',#361978); #13998=PLANE('',#361979); #13999=PLANE('',#361980); #14000=PLANE('',#361981); #14001=PLANE('',#361982); #14002=PLANE('',#361983); #14003=PLANE('',#361984); #14004=PLANE('',#361985); #14005=PLANE('',#361986); #14006=PLANE('',#361987); #14007=PLANE('',#361988); #14008=PLANE('',#361989); #14009=PLANE('',#361990); #14010=PLANE('',#361991); #14011=PLANE('',#361992); #14012=PLANE('',#361993); #14013=PLANE('',#361994); #14014=PLANE('',#361995); #14015=PLANE('',#361996); #14016=PLANE('',#361997); #14017=PLANE('',#361998); #14018=PLANE('',#361999); #14019=PLANE('',#362000); #14020=PLANE('',#362001); #14021=PLANE('',#362002); #14022=PLANE('',#362003); #14023=PLANE('',#362004); #14024=PLANE('',#362005); #14025=PLANE('',#362006); #14026=PLANE('',#362007); #14027=PLANE('',#362008); #14028=PLANE('',#362009); #14029=PLANE('',#362010); #14030=PLANE('',#362011); #14031=PLANE('',#362012); #14032=PLANE('',#362013); #14033=PLANE('',#362014); #14034=PLANE('',#362015); #14035=PLANE('',#362016); #14036=PLANE('',#362020); #14037=PLANE('',#362024); #14038=PLANE('',#362025); #14039=PLANE('',#362026); #14040=PLANE('',#362027); #14041=PLANE('',#362028); #14042=PLANE('',#362029); #14043=PLANE('',#362030); #14044=PLANE('',#362031); #14045=PLANE('',#362032); #14046=PLANE('',#362033); #14047=PLANE('',#362034); #14048=PLANE('',#362035); #14049=PLANE('',#362036); #14050=PLANE('',#362037); #14051=PLANE('',#362038); #14052=PLANE('',#362039); #14053=PLANE('',#362040); #14054=PLANE('',#362041); #14055=PLANE('',#362042); #14056=PLANE('',#362043); #14057=PLANE('',#362044); #14058=PLANE('',#362045); #14059=PLANE('',#362046); #14060=PLANE('',#362047); #14061=PLANE('',#362048); #14062=PLANE('',#362049); #14063=PLANE('',#362050); #14064=PLANE('',#362051); #14065=PLANE('',#362052); #14066=PLANE('',#362053); #14067=PLANE('',#362054); #14068=PLANE('',#362055); #14069=PLANE('',#362056); #14070=PLANE('',#362057); #14071=PLANE('',#362058); #14072=PLANE('',#362059); #14073=PLANE('',#362060); #14074=PLANE('',#362061); #14075=PLANE('',#362062); #14076=PLANE('',#362063); #14077=PLANE('',#362064); #14078=PLANE('',#362065); #14079=PLANE('',#362066); #14080=PLANE('',#362067); #14081=PLANE('',#362068); #14082=PLANE('',#362069); #14083=PLANE('',#362070); #14084=PLANE('',#362071); #14085=PLANE('',#362072); #14086=PLANE('',#362073); #14087=PLANE('',#362074); #14088=PLANE('',#362075); #14089=PLANE('',#362076); #14090=PLANE('',#362077); #14091=PLANE('',#362078); #14092=PLANE('',#362079); #14093=PLANE('',#362080); #14094=PLANE('',#362081); #14095=PLANE('',#362082); #14096=PLANE('',#362083); #14097=PLANE('',#362084); #14098=PLANE('',#362085); #14099=PLANE('',#362086); #14100=PLANE('',#362087); #14101=PLANE('',#362088); #14102=PLANE('',#362089); #14103=PLANE('',#362090); #14104=PLANE('',#362091); #14105=PLANE('',#362092); #14106=PLANE('',#362093); #14107=PLANE('',#362094); #14108=PLANE('',#362095); #14109=PLANE('',#362096); #14110=PLANE('',#362097); #14111=PLANE('',#362098); #14112=PLANE('',#362102); #14113=PLANE('',#362106); #14114=PLANE('',#362107); #14115=PLANE('',#362108); #14116=PLANE('',#362109); #14117=PLANE('',#362110); #14118=PLANE('',#362111); #14119=PLANE('',#362112); #14120=PLANE('',#362113); #14121=PLANE('',#362114); #14122=PLANE('',#362115); #14123=PLANE('',#362116); #14124=PLANE('',#362117); #14125=PLANE('',#362118); #14126=PLANE('',#362119); #14127=PLANE('',#362120); #14128=PLANE('',#362121); #14129=PLANE('',#362122); #14130=PLANE('',#362123); #14131=PLANE('',#362124); #14132=PLANE('',#362125); #14133=PLANE('',#362126); #14134=PLANE('',#362127); #14135=PLANE('',#362128); #14136=PLANE('',#362129); #14137=PLANE('',#362130); #14138=PLANE('',#362131); #14139=PLANE('',#362132); #14140=PLANE('',#362133); #14141=PLANE('',#362134); #14142=PLANE('',#362135); #14143=PLANE('',#362136); #14144=PLANE('',#362137); #14145=PLANE('',#362138); #14146=PLANE('',#362139); #14147=PLANE('',#362140); #14148=PLANE('',#362141); #14149=PLANE('',#362142); #14150=PLANE('',#362143); #14151=PLANE('',#362144); #14152=PLANE('',#362145); #14153=PLANE('',#362146); #14154=PLANE('',#362147); #14155=PLANE('',#362148); #14156=PLANE('',#362149); #14157=PLANE('',#362150); #14158=PLANE('',#362151); #14159=PLANE('',#362152); #14160=PLANE('',#362153); #14161=PLANE('',#362154); #14162=PLANE('',#362155); #14163=PLANE('',#362156); #14164=PLANE('',#362157); #14165=PLANE('',#362158); #14166=PLANE('',#362159); #14167=PLANE('',#362160); #14168=PLANE('',#362161); #14169=PLANE('',#362162); #14170=PLANE('',#362163); #14171=PLANE('',#362167); #14172=PLANE('',#362168); #14173=PLANE('',#362169); #14174=PLANE('',#362170); #14175=PLANE('',#362171); #14176=PLANE('',#362172); #14177=PLANE('',#362173); #14178=PLANE('',#362177); #14179=PLANE('',#362181); #14180=PLANE('',#362182); #14181=PLANE('',#362183); #14182=PLANE('',#362184); #14183=PLANE('',#362185); #14184=PLANE('',#362186); #14185=PLANE('',#362187); #14186=PLANE('',#362188); #14187=PLANE('',#362189); #14188=PLANE('',#362190); #14189=PLANE('',#362191); #14190=PLANE('',#362192); #14191=PLANE('',#362193); #14192=PLANE('',#362194); #14193=PLANE('',#362195); #14194=PLANE('',#362196); #14195=PLANE('',#362197); #14196=PLANE('',#362198); #14197=PLANE('',#362199); #14198=PLANE('',#362200); #14199=PLANE('',#362201); #14200=PLANE('',#362202); #14201=PLANE('',#362203); #14202=PLANE('',#362204); #14203=PLANE('',#362205); #14204=PLANE('',#362206); #14205=PLANE('',#362207); #14206=PLANE('',#362208); #14207=PLANE('',#362209); #14208=PLANE('',#362210); #14209=PLANE('',#362211); #14210=PLANE('',#362212); #14211=PLANE('',#362213); #14212=PLANE('',#362214); #14213=PLANE('',#362215); #14214=PLANE('',#362216); #14215=PLANE('',#362217); #14216=PLANE('',#362218); #14217=PLANE('',#362219); #14218=PLANE('',#362220); #14219=PLANE('',#362221); #14220=PLANE('',#362222); #14221=PLANE('',#362223); #14222=PLANE('',#362224); #14223=PLANE('',#362225); #14224=PLANE('',#362226); #14225=PLANE('',#362227); #14226=PLANE('',#362228); #14227=PLANE('',#362229); #14228=PLANE('',#362230); #14229=PLANE('',#362231); #14230=PLANE('',#362232); #14231=PLANE('',#362233); #14232=PLANE('',#362234); #14233=PLANE('',#362235); #14234=PLANE('',#362236); #14235=PLANE('',#362237); #14236=PLANE('',#362238); #14237=PLANE('',#362239); #14238=PLANE('',#362243); #14239=PLANE('',#362247); #14240=PLANE('',#362248); #14241=PLANE('',#362249); #14242=PLANE('',#362250); #14243=PLANE('',#362251); #14244=PLANE('',#362252); #14245=PLANE('',#362253); #14246=PLANE('',#362254); #14247=PLANE('',#362255); #14248=PLANE('',#362256); #14249=PLANE('',#362257); #14250=PLANE('',#362258); #14251=PLANE('',#362259); #14252=PLANE('',#362260); #14253=PLANE('',#362261); #14254=PLANE('',#362262); #14255=PLANE('',#362263); #14256=PLANE('',#362264); #14257=PLANE('',#362265); #14258=PLANE('',#362266); #14259=PLANE('',#362267); #14260=PLANE('',#362268); #14261=PLANE('',#362269); #14262=PLANE('',#362270); #14263=PLANE('',#362271); #14264=PLANE('',#362272); #14265=PLANE('',#362273); #14266=PLANE('',#362274); #14267=PLANE('',#362275); #14268=PLANE('',#362276); #14269=PLANE('',#362277); #14270=PLANE('',#362278); #14271=PLANE('',#362279); #14272=PLANE('',#362280); #14273=PLANE('',#362281); #14274=PLANE('',#362282); #14275=PLANE('',#362283); #14276=PLANE('',#362284); #14277=PLANE('',#362285); #14278=PLANE('',#362286); #14279=PLANE('',#362287); #14280=PLANE('',#362288); #14281=PLANE('',#362289); #14282=PLANE('',#362290); #14283=PLANE('',#362291); #14284=PLANE('',#362292); #14285=PLANE('',#362293); #14286=PLANE('',#362294); #14287=PLANE('',#362295); #14288=PLANE('',#362296); #14289=PLANE('',#362297); #14290=PLANE('',#362298); #14291=PLANE('',#362299); #14292=PLANE('',#362300); #14293=PLANE('',#362301); #14294=PLANE('',#362302); #14295=PLANE('',#362303); #14296=PLANE('',#362304); #14297=PLANE('',#362305); #14298=PLANE('',#362306); #14299=PLANE('',#362307); #14300=PLANE('',#362308); #14301=PLANE('',#362309); #14302=PLANE('',#362310); #14303=PLANE('',#362311); #14304=PLANE('',#362312); #14305=PLANE('',#362313); #14306=PLANE('',#362314); #14307=PLANE('',#362315); #14308=PLANE('',#362316); #14309=PLANE('',#362317); #14310=PLANE('',#362318); #14311=PLANE('',#362319); #14312=PLANE('',#362320); #14313=PLANE('',#362324); #14314=PLANE('',#362331); #14315=PLANE('',#362332); #14316=PLANE('',#362336); #14317=PLANE('',#362343); #14318=PLANE('',#362344); #14319=PLANE('',#362345); #14320=PLANE('',#362349); #14321=PLANE('',#362353); #14322=PLANE('',#362354); #14323=PLANE('',#362355); #14324=PLANE('',#362356); #14325=PLANE('',#362357); #14326=PLANE('',#362358); #14327=PLANE('',#362359); #14328=PLANE('',#362360); #14329=PLANE('',#362361); #14330=PLANE('',#362362); #14331=PLANE('',#362363); #14332=PLANE('',#362364); #14333=PLANE('',#362365); #14334=PLANE('',#362366); #14335=PLANE('',#362367); #14336=PLANE('',#362368); #14337=PLANE('',#362369); #14338=PLANE('',#362370); #14339=PLANE('',#362371); #14340=PLANE('',#362372); #14341=PLANE('',#362373); #14342=PLANE('',#362374); #14343=PLANE('',#362375); #14344=PLANE('',#362376); #14345=PLANE('',#362377); #14346=PLANE('',#362378); #14347=PLANE('',#362379); #14348=PLANE('',#362380); #14349=PLANE('',#362381); #14350=PLANE('',#362382); #14351=PLANE('',#362383); #14352=PLANE('',#362384); #14353=PLANE('',#362385); #14354=PLANE('',#362386); #14355=PLANE('',#362387); #14356=PLANE('',#362388); #14357=PLANE('',#362389); #14358=PLANE('',#362390); #14359=PLANE('',#362391); #14360=PLANE('',#362392); #14361=PLANE('',#362393); #14362=PLANE('',#362394); #14363=PLANE('',#362395); #14364=PLANE('',#362396); #14365=PLANE('',#362397); #14366=PLANE('',#362398); #14367=PLANE('',#362399); #14368=PLANE('',#362400); #14369=PLANE('',#362401); #14370=PLANE('',#362402); #14371=PLANE('',#362403); #14372=PLANE('',#362404); #14373=PLANE('',#362405); #14374=PLANE('',#362406); #14375=PLANE('',#362407); #14376=PLANE('',#362408); #14377=PLANE('',#362409); #14378=PLANE('',#362410); #14379=PLANE('',#362414); #14380=PLANE('',#362421); #14381=PLANE('',#362422); #14382=PLANE('',#362426); #14383=PLANE('',#362433); #14384=PLANE('',#362434); #14385=PLANE('',#362438); #14386=PLANE('',#362445); #14387=PLANE('',#362446); #14388=PLANE('',#362450); #14389=PLANE('',#362451); #14390=PLANE('',#362452); #14391=PLANE('',#362453); #14392=PLANE('',#362454); #14393=PLANE('',#362455); #14394=PLANE('',#362459); #14395=PLANE('',#362466); #14396=PLANE('',#362467); #14397=PLANE('',#362468); #14398=PLANE('',#362469); #14399=PLANE('',#362470); #14400=PLANE('',#362471); #14401=PLANE('',#362472); #14402=PLANE('',#362473); #14403=PLANE('',#362474); #14404=PLANE('',#362475); #14405=PLANE('',#362476); #14406=PLANE('',#362477); #14407=PLANE('',#362478); #14408=PLANE('',#362479); #14409=PLANE('',#362480); #14410=PLANE('',#362481); #14411=PLANE('',#362482); #14412=PLANE('',#362483); #14413=PLANE('',#362484); #14414=PLANE('',#362485); #14415=PLANE('',#362486); #14416=PLANE('',#362487); #14417=PLANE('',#362488); #14418=PLANE('',#362489); #14419=PLANE('',#362490); #14420=PLANE('',#362491); #14421=PLANE('',#362492); #14422=PLANE('',#362493); #14423=PLANE('',#362494); #14424=PLANE('',#362495); #14425=PLANE('',#362496); #14426=PLANE('',#362497); #14427=PLANE('',#362498); #14428=PLANE('',#362499); #14429=PLANE('',#362500); #14430=PLANE('',#362501); #14431=PLANE('',#362502); #14432=PLANE('',#362503); #14433=PLANE('',#362504); #14434=PLANE('',#362505); #14435=PLANE('',#362506); #14436=PLANE('',#362507); #14437=PLANE('',#362508); #14438=PLANE('',#362509); #14439=PLANE('',#362510); #14440=PLANE('',#362511); #14441=PLANE('',#362512); #14442=PLANE('',#362513); #14443=PLANE('',#362514); #14444=PLANE('',#362515); #14445=PLANE('',#362516); #14446=PLANE('',#362517); #14447=PLANE('',#362518); #14448=PLANE('',#362519); #14449=PLANE('',#362520); #14450=PLANE('',#362521); #14451=PLANE('',#362522); #14452=PLANE('',#362523); #14453=PLANE('',#362524); #14454=PLANE('',#362525); #14455=PLANE('',#362526); #14456=PLANE('',#362527); #14457=PLANE('',#362528); #14458=PLANE('',#362529); #14459=PLANE('',#362530); #14460=PLANE('',#362531); #14461=PLANE('',#362532); #14462=PLANE('',#362533); #14463=PLANE('',#362534); #14464=PLANE('',#362535); #14465=PLANE('',#362536); #14466=PLANE('',#362537); #14467=PLANE('',#362538); #14468=PLANE('',#362539); #14469=PLANE('',#362540); #14470=PLANE('',#362541); #14471=PLANE('',#362542); #14472=PLANE('',#362543); #14473=PLANE('',#362544); #14474=PLANE('',#362545); #14475=PLANE('',#362564); #14476=PLANE('',#362565); #14477=PLANE('',#362566); #14478=PLANE('',#362567); #14479=PLANE('',#362568); #14480=PLANE('',#362569); #14481=PLANE('',#362570); #14482=PLANE('',#362571); #14483=PLANE('',#362572); #14484=PLANE('',#362573); #14485=PLANE('',#362574); #14486=PLANE('',#362575); #14487=PLANE('',#362576); #14488=PLANE('',#362577); #14489=PLANE('',#362578); #14490=PLANE('',#362579); #14491=PLANE('',#362580); #14492=PLANE('',#362581); #14493=PLANE('',#362582); #14494=PLANE('',#362583); #14495=PLANE('',#362584); #14496=PLANE('',#362585); #14497=PLANE('',#362586); #14498=PLANE('',#362587); #14499=PLANE('',#362588); #14500=PLANE('',#362589); #14501=PLANE('',#362590); #14502=PLANE('',#362591); #14503=PLANE('',#362592); #14504=PLANE('',#362593); #14505=PLANE('',#362594); #14506=PLANE('',#362595); #14507=PLANE('',#362596); #14508=PLANE('',#362597); #14509=PLANE('',#362598); #14510=PLANE('',#362599); #14511=PLANE('',#362600); #14512=PLANE('',#362601); #14513=PLANE('',#362602); #14514=PLANE('',#362603); #14515=PLANE('',#362604); #14516=PLANE('',#362605); #14517=PLANE('',#362606); #14518=PLANE('',#362607); #14519=PLANE('',#362608); #14520=PLANE('',#362609); #14521=PLANE('',#362610); #14522=PLANE('',#362611); #14523=PLANE('',#362612); #14524=PLANE('',#362613); #14525=PLANE('',#362614); #14526=PLANE('',#362615); #14527=PLANE('',#362616); #14528=PLANE('',#362617); #14529=PLANE('',#362618); #14530=PLANE('',#362619); #14531=PLANE('',#362620); #14532=PLANE('',#362621); #14533=PLANE('',#362622); #14534=PLANE('',#362623); #14535=PLANE('',#362624); #14536=PLANE('',#362625); #14537=PLANE('',#362626); #14538=PLANE('',#362627); #14539=PLANE('',#362628); #14540=PLANE('',#362629); #14541=PLANE('',#362630); #14542=PLANE('',#362631); #14543=PLANE('',#362632); #14544=PLANE('',#362633); #14545=PLANE('',#362634); #14546=PLANE('',#362635); #14547=PLANE('',#362636); #14548=PLANE('',#362637); #14549=PLANE('',#362638); #14550=PLANE('',#362639); #14551=PLANE('',#362640); #14552=PLANE('',#362641); #14553=PLANE('',#362642); #14554=PLANE('',#362643); #14555=PLANE('',#362644); #14556=PLANE('',#362645); #14557=PLANE('',#362646); #14558=PLANE('',#362647); #14559=PLANE('',#362648); #14560=PLANE('',#362649); #14561=PLANE('',#362650); #14562=PLANE('',#362651); #14563=PLANE('',#362652); #14564=PLANE('',#362653); #14565=PLANE('',#362654); #14566=PLANE('',#362655); #14567=PLANE('',#362656); #14568=PLANE('',#362657); #14569=PLANE('',#362658); #14570=PLANE('',#362659); #14571=PLANE('',#362660); #14572=PLANE('',#362661); #14573=PLANE('',#362662); #14574=PLANE('',#362663); #14575=PLANE('',#362664); #14576=PLANE('',#362665); #14577=PLANE('',#362666); #14578=PLANE('',#362667); #14579=PLANE('',#362668); #14580=PLANE('',#362669); #14581=PLANE('',#362670); #14582=PLANE('',#362671); #14583=PLANE('',#362672); #14584=PLANE('',#362673); #14585=PLANE('',#362674); #14586=PLANE('',#362675); #14587=PLANE('',#362676); #14588=PLANE('',#362677); #14589=PLANE('',#362678); #14590=PLANE('',#362679); #14591=PLANE('',#362680); #14592=PLANE('',#362681); #14593=PLANE('',#362682); #14594=PLANE('',#362683); #14595=PLANE('',#362684); #14596=PLANE('',#362685); #14597=PLANE('',#362686); #14598=PLANE('',#362687); #14599=PLANE('',#362688); #14600=PLANE('',#362689); #14601=PLANE('',#362690); #14602=PLANE('',#362691); #14603=PLANE('',#362692); #14604=PLANE('',#362693); #14605=PLANE('',#362694); #14606=PLANE('',#362695); #14607=PLANE('',#362696); #14608=PLANE('',#362697); #14609=PLANE('',#362698); #14610=PLANE('',#362699); #14611=PLANE('',#362700); #14612=PLANE('',#362701); #14613=PLANE('',#362702); #14614=PLANE('',#362703); #14615=PLANE('',#362704); #14616=PLANE('',#362705); #14617=PLANE('',#362706); #14618=PLANE('',#362707); #14619=PLANE('',#362708); #14620=PLANE('',#362709); #14621=PLANE('',#362710); #14622=PLANE('',#362711); #14623=PLANE('',#362712); #14624=PLANE('',#362713); #14625=PLANE('',#362714); #14626=PLANE('',#362715); #14627=PLANE('',#362716); #14628=PLANE('',#362717); #14629=PLANE('',#362718); #14630=PLANE('',#362719); #14631=PLANE('',#362720); #14632=PLANE('',#362721); #14633=PLANE('',#362722); #14634=PLANE('',#362723); #14635=PLANE('',#362724); #14636=PLANE('',#362725); #14637=PLANE('',#362744); #14638=PLANE('',#362745); #14639=PLANE('',#362746); #14640=PLANE('',#362747); #14641=PLANE('',#362748); #14642=PLANE('',#362749); #14643=PLANE('',#362750); #14644=PLANE('',#362751); #14645=PLANE('',#362752); #14646=PLANE('',#362753); #14647=PLANE('',#362754); #14648=PLANE('',#362755); #14649=PLANE('',#362756); #14650=PLANE('',#362757); #14651=PLANE('',#362758); #14652=PLANE('',#362759); #14653=PLANE('',#362760); #14654=PLANE('',#362761); #14655=PLANE('',#362762); #14656=PLANE('',#362763); #14657=PLANE('',#362764); #14658=PLANE('',#362765); #14659=PLANE('',#362766); #14660=PLANE('',#362767); #14661=PLANE('',#362768); #14662=PLANE('',#362769); #14663=PLANE('',#362770); #14664=PLANE('',#362771); #14665=PLANE('',#362772); #14666=PLANE('',#362773); #14667=PLANE('',#362774); #14668=PLANE('',#362775); #14669=PLANE('',#362776); #14670=PLANE('',#362777); #14671=PLANE('',#362778); #14672=PLANE('',#362779); #14673=PLANE('',#362780); #14674=PLANE('',#362781); #14675=PLANE('',#362782); #14676=PLANE('',#362783); #14677=PLANE('',#362784); #14678=PLANE('',#362785); #14679=PLANE('',#362804); #14680=PLANE('',#362805); #14681=PLANE('',#362806); #14682=PLANE('',#362807); #14683=PLANE('',#362808); #14684=PLANE('',#362809); #14685=PLANE('',#362810); #14686=PLANE('',#362811); #14687=PLANE('',#362812); #14688=PLANE('',#362813); #14689=PLANE('',#362814); #14690=PLANE('',#362815); #14691=PLANE('',#362816); #14692=PLANE('',#362817); #14693=PLANE('',#362818); #14694=PLANE('',#362819); #14695=PLANE('',#362820); #14696=PLANE('',#362821); #14697=PLANE('',#362969); #14698=PLANE('',#362970); #14699=PLANE('',#362971); #14700=PLANE('',#362972); #14701=PLANE('',#362973); #14702=PLANE('',#362974); #14703=PLANE('',#362975); #14704=PLANE('',#362976); #14705=PLANE('',#362977); #14706=PLANE('',#362978); #14707=PLANE('',#362979); #14708=PLANE('',#362980); #14709=PLANE('',#362981); #14710=PLANE('',#362982); #14711=PLANE('',#362983); #14712=PLANE('',#362984); #14713=PLANE('',#362985); #14714=PLANE('',#362986); #14715=PLANE('',#362987); #14716=PLANE('',#362988); #14717=PLANE('',#362989); #14718=PLANE('',#362990); #14719=PLANE('',#362991); #14720=PLANE('',#362992); #14721=PLANE('',#362993); #14722=PLANE('',#362994); #14723=PLANE('',#362995); #14724=PLANE('',#362996); #14725=PLANE('',#362997); #14726=PLANE('',#362998); #14727=PLANE('',#362999); #14728=PLANE('',#363000); #14729=PLANE('',#363001); #14730=PLANE('',#363002); #14731=PLANE('',#363003); #14732=PLANE('',#363004); #14733=PLANE('',#363005); #14734=PLANE('',#363006); #14735=PLANE('',#363007); #14736=PLANE('',#363008); #14737=PLANE('',#363009); #14738=PLANE('',#363010); #14739=PLANE('',#363011); #14740=PLANE('',#363012); #14741=PLANE('',#363013); #14742=PLANE('',#363014); #14743=PLANE('',#363015); #14744=PLANE('',#363016); #14745=PLANE('',#363017); #14746=PLANE('',#363018); #14747=PLANE('',#363019); #14748=PLANE('',#363020); #14749=PLANE('',#363021); #14750=PLANE('',#363022); #14751=PLANE('',#363023); #14752=PLANE('',#363024); #14753=PLANE('',#363025); #14754=PLANE('',#363026); #14755=PLANE('',#363027); #14756=PLANE('',#363028); #14757=PLANE('',#363029); #14758=PLANE('',#363030); #14759=PLANE('',#363031); #14760=PLANE('',#363032); #14761=PLANE('',#363033); #14762=PLANE('',#363034); #14763=PLANE('',#363035); #14764=PLANE('',#363036); #14765=PLANE('',#363037); #14766=PLANE('',#363038); #14767=PLANE('',#363039); #14768=PLANE('',#363040); #14769=PLANE('',#363041); #14770=PLANE('',#363042); #14771=PLANE('',#363043); #14772=PLANE('',#363044); #14773=PLANE('',#363045); #14774=PLANE('',#363046); #14775=PLANE('',#363047); #14776=PLANE('',#363048); #14777=PLANE('',#363049); #14778=PLANE('',#363050); #14779=PLANE('',#363051); #14780=PLANE('',#363052); #14781=PLANE('',#363053); #14782=PLANE('',#363054); #14783=PLANE('',#363055); #14784=PLANE('',#363056); #14785=PLANE('',#363057); #14786=PLANE('',#363058); #14787=PLANE('',#363059); #14788=PLANE('',#363060); #14789=PLANE('',#363061); #14790=PLANE('',#363062); #14791=PLANE('',#363063); #14792=PLANE('',#363064); #14793=PLANE('',#363065); #14794=PLANE('',#363066); #14795=PLANE('',#363067); #14796=PLANE('',#363068); #14797=PLANE('',#363069); #14798=PLANE('',#363070); #14799=PLANE('',#363071); #14800=PLANE('',#363072); #14801=PLANE('',#363073); #14802=PLANE('',#363074); #14803=PLANE('',#363075); #14804=PLANE('',#363076); #14805=PLANE('',#363077); #14806=PLANE('',#363078); #14807=PLANE('',#363079); #14808=PLANE('',#363080); #14809=PLANE('',#363081); #14810=PLANE('',#363082); #14811=PLANE('',#363086); #14812=PLANE('',#363087); #14813=PLANE('',#363088); #14814=PLANE('',#363089); #14815=PLANE('',#363090); #14816=PLANE('',#363091); #14817=PLANE('',#363092); #14818=PLANE('',#363093); #14819=PLANE('',#363094); #14820=PLANE('',#363095); #14821=PLANE('',#363096); #14822=PLANE('',#363097); #14823=PLANE('',#363098); #14824=PLANE('',#363099); #14825=PLANE('',#363100); #14826=PLANE('',#363101); #14827=PLANE('',#363102); #14828=PLANE('',#363103); #14829=PLANE('',#363107); #14830=PLANE('',#363108); #14831=PLANE('',#363109); #14832=PLANE('',#363110); #14833=PLANE('',#363111); #14834=PLANE('',#363112); #14835=PLANE('',#363113); #14836=PLANE('',#363114); #14837=PLANE('',#363115); #14838=PLANE('',#363116); #14839=PLANE('',#363117); #14840=PLANE('',#363118); #14841=PLANE('',#363119); #14842=PLANE('',#363120); #14843=PLANE('',#363121); #14844=PLANE('',#363122); #14845=PLANE('',#363123); #14846=PLANE('',#363124); #14847=PLANE('',#363125); #14848=PLANE('',#363126); #14849=PLANE('',#363127); #14850=PLANE('',#363128); #14851=PLANE('',#363129); #14852=PLANE('',#363130); #14853=PLANE('',#363131); #14854=PLANE('',#363132); #14855=PLANE('',#363133); #14856=PLANE('',#363134); #14857=PLANE('',#363135); #14858=PLANE('',#363136); #14859=PLANE('',#363137); #14860=PLANE('',#363138); #14861=PLANE('',#363139); #14862=PLANE('',#363140); #14863=PLANE('',#363141); #14864=PLANE('',#363142); #14865=PLANE('',#363143); #14866=PLANE('',#363144); #14867=PLANE('',#363145); #14868=PLANE('',#363146); #14869=PLANE('',#363147); #14870=PLANE('',#363148); #14871=PLANE('',#363149); #14872=PLANE('',#363150); #14873=PLANE('',#363151); #14874=PLANE('',#363152); #14875=PLANE('',#363153); #14876=PLANE('',#363154); #14877=PLANE('',#363155); #14878=PLANE('',#363156); #14879=PLANE('',#363157); #14880=PLANE('',#363158); #14881=PLANE('',#363159); #14882=PLANE('',#363160); #14883=PLANE('',#363161); #14884=PLANE('',#363162); #14885=PLANE('',#363163); #14886=PLANE('',#363164); #14887=PLANE('',#363165); #14888=PLANE('',#363166); #14889=PLANE('',#363167); #14890=PLANE('',#363168); #14891=PLANE('',#363169); #14892=PLANE('',#363170); #14893=PLANE('',#363171); #14894=PLANE('',#363172); #14895=PLANE('',#363173); #14896=PLANE('',#363174); #14897=PLANE('',#363175); #14898=PLANE('',#363176); #14899=PLANE('',#363177); #14900=PLANE('',#363178); #14901=PLANE('',#363206); #14902=PLANE('',#363207); #14903=PLANE('',#363208); #14904=PLANE('',#363209); #14905=PLANE('',#363210); #14906=PLANE('',#363211); #14907=PLANE('',#363212); #14908=PLANE('',#363213); #14909=PLANE('',#363214); #14910=PLANE('',#363215); #14911=PLANE('',#363216); #14912=PLANE('',#363217); #14913=PLANE('',#363221); #14914=PLANE('',#363222); #14915=PLANE('',#363223); #14916=PLANE('',#363224); #14917=PLANE('',#363225); #14918=PLANE('',#363226); #14919=PLANE('',#363227); #14920=PLANE('',#363228); #14921=PLANE('',#363229); #14922=PLANE('',#363230); #14923=PLANE('',#363231); #14924=PLANE('',#363232); #14925=PLANE('',#363233); #14926=PLANE('',#363234); #14927=PLANE('',#363235); #14928=PLANE('',#363236); #14929=PLANE('',#363237); #14930=PLANE('',#363238); #14931=PLANE('',#363239); #14932=PLANE('',#363240); #14933=PLANE('',#363241); #14934=PLANE('',#363242); #14935=PLANE('',#363243); #14936=PLANE('',#363244); #14937=PLANE('',#363245); #14938=PLANE('',#363246); #14939=PLANE('',#363247); #14940=PLANE('',#363248); #14941=PLANE('',#363249); #14942=PLANE('',#363250); #14943=PLANE('',#363251); #14944=PLANE('',#363252); #14945=PLANE('',#363253); #14946=PLANE('',#363254); #14947=PLANE('',#363255); #14948=PLANE('',#363256); #14949=PLANE('',#363260); #14950=PLANE('',#363261); #14951=PLANE('',#363262); #14952=PLANE('',#363263); #14953=PLANE('',#363264); #14954=PLANE('',#363265); #14955=PLANE('',#363269); #14956=PLANE('',#363270); #14957=PLANE('',#363271); #14958=PLANE('',#363272); #14959=PLANE('',#363273); #14960=PLANE('',#363274); #14961=PLANE('',#363275); #14962=PLANE('',#363276); #14963=PLANE('',#363277); #14964=PLANE('',#363278); #14965=PLANE('',#363279); #14966=PLANE('',#363280); #14967=PLANE('',#363281); #14968=PLANE('',#363282); #14969=PLANE('',#363283); #14970=PLANE('',#363284); #14971=PLANE('',#363285); #14972=PLANE('',#363286); #14973=PLANE('',#363287); #14974=PLANE('',#363288); #14975=PLANE('',#363289); #14976=PLANE('',#363290); #14977=PLANE('',#363291); #14978=PLANE('',#363292); #14979=PLANE('',#363293); #14980=PLANE('',#363294); #14981=PLANE('',#363295); #14982=PLANE('',#363296); #14983=PLANE('',#363297); #14984=PLANE('',#363298); #14985=PLANE('',#363299); #14986=PLANE('',#363300); #14987=PLANE('',#363301); #14988=PLANE('',#363302); #14989=PLANE('',#363303); #14990=PLANE('',#363304); #14991=PLANE('',#363305); #14992=PLANE('',#363306); #14993=PLANE('',#363307); #14994=PLANE('',#363308); #14995=PLANE('',#363309); #14996=PLANE('',#363310); #14997=PLANE('',#363311); #14998=PLANE('',#363312); #14999=PLANE('',#363313); #15000=PLANE('',#363314); #15001=PLANE('',#363315); #15002=PLANE('',#363316); #15003=PLANE('',#363317); #15004=PLANE('',#363318); #15005=PLANE('',#363319); #15006=PLANE('',#363320); #15007=PLANE('',#363321); #15008=PLANE('',#363322); #15009=PLANE('',#363323); #15010=PLANE('',#363324); #15011=PLANE('',#363325); #15012=PLANE('',#363326); #15013=PLANE('',#363327); #15014=PLANE('',#363328); #15015=PLANE('',#363329); #15016=PLANE('',#363330); #15017=PLANE('',#363331); #15018=PLANE('',#363332); #15019=PLANE('',#363333); #15020=PLANE('',#363334); #15021=PLANE('',#363335); #15022=PLANE('',#363336); #15023=PLANE('',#363337); #15024=PLANE('',#363338); #15025=PLANE('',#363339); #15026=PLANE('',#363340); #15027=PLANE('',#363359); #15028=PLANE('',#363360); #15029=PLANE('',#363361); #15030=PLANE('',#363362); #15031=PLANE('',#363363); #15032=PLANE('',#363364); #15033=PLANE('',#363365); #15034=PLANE('',#363366); #15035=PLANE('',#363367); #15036=PLANE('',#363368); #15037=PLANE('',#363369); #15038=PLANE('',#363370); #15039=PLANE('',#363371); #15040=PLANE('',#363372); #15041=PLANE('',#363373); #15042=PLANE('',#363374); #15043=PLANE('',#363375); #15044=PLANE('',#363376); #15045=PLANE('',#363377); #15046=PLANE('',#363378); #15047=PLANE('',#363379); #15048=PLANE('',#363380); #15049=PLANE('',#363381); #15050=PLANE('',#363382); #15051=PLANE('',#363401); #15052=PLANE('',#363402); #15053=PLANE('',#363403); #15054=PLANE('',#363404); #15055=PLANE('',#363405); #15056=PLANE('',#363406); #15057=PLANE('',#363407); #15058=PLANE('',#363408); #15059=PLANE('',#363409); #15060=PLANE('',#363410); #15061=PLANE('',#363411); #15062=PLANE('',#363412); #15063=PLANE('',#363413); #15064=PLANE('',#363414); #15065=PLANE('',#363415); #15066=PLANE('',#363416); #15067=PLANE('',#363417); #15068=PLANE('',#363418); #15069=PLANE('',#363419); #15070=PLANE('',#363420); #15071=PLANE('',#363421); #15072=PLANE('',#363422); #15073=PLANE('',#363423); #15074=PLANE('',#363424); #15075=PLANE('',#363425); #15076=PLANE('',#363426); #15077=PLANE('',#363427); #15078=PLANE('',#363428); #15079=PLANE('',#363429); #15080=PLANE('',#363430); #15081=PLANE('',#363431); #15082=PLANE('',#363432); #15083=PLANE('',#363433); #15084=PLANE('',#363434); #15085=PLANE('',#363435); #15086=PLANE('',#363436); #15087=PLANE('',#363437); #15088=PLANE('',#363438); #15089=PLANE('',#363439); #15090=PLANE('',#363440); #15091=PLANE('',#363441); #15092=PLANE('',#363442); #15093=PLANE('',#363443); #15094=PLANE('',#363444); #15095=PLANE('',#363445); #15096=PLANE('',#363446); #15097=PLANE('',#363447); #15098=PLANE('',#363448); #15099=PLANE('',#363449); #15100=PLANE('',#363450); #15101=PLANE('',#363451); #15102=PLANE('',#363452); #15103=PLANE('',#363453); #15104=PLANE('',#363454); #15105=PLANE('',#363455); #15106=PLANE('',#363456); #15107=PLANE('',#363457); #15108=PLANE('',#363458); #15109=PLANE('',#363459); #15110=PLANE('',#363460); #15111=PLANE('',#363461); #15112=PLANE('',#363462); #15113=PLANE('',#363463); #15114=PLANE('',#363464); #15115=PLANE('',#363465); #15116=PLANE('',#363466); #15117=PLANE('',#363467); #15118=PLANE('',#363468); #15119=PLANE('',#363469); #15120=PLANE('',#363470); #15121=PLANE('',#363471); #15122=PLANE('',#363472); #15123=PLANE('',#363473); #15124=PLANE('',#363474); #15125=PLANE('',#363475); #15126=PLANE('',#363476); #15127=PLANE('',#363477); #15128=PLANE('',#363478); #15129=PLANE('',#363479); #15130=PLANE('',#363480); #15131=PLANE('',#363481); #15132=PLANE('',#363482); #15133=PLANE('',#363483); #15134=PLANE('',#363484); #15135=PLANE('',#363485); #15136=PLANE('',#363486); #15137=PLANE('',#363487); #15138=PLANE('',#363488); #15139=PLANE('',#363489); #15140=PLANE('',#363490); #15141=PLANE('',#363491); #15142=PLANE('',#363492); #15143=PLANE('',#363493); #15144=PLANE('',#363494); #15145=PLANE('',#363495); #15146=PLANE('',#363496); #15147=PLANE('',#363497); #15148=PLANE('',#363498); #15149=PLANE('',#363499); #15150=PLANE('',#363500); #15151=PLANE('',#363501); #15152=PLANE('',#363502); #15153=PLANE('',#363503); #15154=PLANE('',#363504); #15155=PLANE('',#363505); #15156=PLANE('',#363506); #15157=PLANE('',#363507); #15158=PLANE('',#363508); #15159=PLANE('',#363509); #15160=PLANE('',#363510); #15161=PLANE('',#363511); #15162=PLANE('',#363512); #15163=PLANE('',#363513); #15164=PLANE('',#363514); #15165=PLANE('',#363515); #15166=PLANE('',#363516); #15167=PLANE('',#363517); #15168=PLANE('',#363518); #15169=PLANE('',#363519); #15170=PLANE('',#363520); #15171=PLANE('',#363521); #15172=PLANE('',#363522); #15173=PLANE('',#363523); #15174=PLANE('',#363524); #15175=PLANE('',#363525); #15176=PLANE('',#363526); #15177=PLANE('',#363527); #15178=PLANE('',#363528); #15179=PLANE('',#363529); #15180=PLANE('',#363530); #15181=PLANE('',#363531); #15182=PLANE('',#363532); #15183=PLANE('',#363533); #15184=PLANE('',#363534); #15185=PLANE('',#363535); #15186=PLANE('',#363536); #15187=PLANE('',#363541); #15188=PLANE('',#363548); #15189=PLANE('',#363549); #15190=PLANE('',#363550); #15191=PLANE('',#363551); #15192=PLANE('',#363552); #15193=PLANE('',#363553); #15194=PLANE('',#363554); #15195=PLANE('',#363555); #15196=PLANE('',#363556); #15197=PLANE('',#363557); #15198=PLANE('',#363558); #15199=PLANE('',#363559); #15200=PLANE('',#363560); #15201=PLANE('',#363561); #15202=PLANE('',#363568); #15203=PLANE('',#363569); #15204=PLANE('',#363570); #15205=PLANE('',#363571); #15206=PLANE('',#363572); #15207=PLANE('',#363573); #15208=PLANE('',#363574); #15209=PLANE('',#363575); #15210=PLANE('',#363576); #15211=PLANE('',#363577); #15212=PLANE('',#363578); #15213=PLANE('',#363579); #15214=PLANE('',#363586); #15215=PLANE('',#363587); #15216=PLANE('',#363588); #15217=PLANE('',#363589); #15218=PLANE('',#363590); #15219=PLANE('',#363591); #15220=PLANE('',#363592); #15221=PLANE('',#363593); #15222=PLANE('',#363594); #15223=PLANE('',#363595); #15224=PLANE('',#363596); #15225=PLANE('',#363597); #15226=PLANE('',#363604); #15227=PLANE('',#363605); #15228=PLANE('',#363606); #15229=PLANE('',#363607); #15230=PLANE('',#363608); #15231=PLANE('',#363609); #15232=PLANE('',#363610); #15233=PLANE('',#363611); #15234=PLANE('',#363612); #15235=PLANE('',#363613); #15236=PLANE('',#363614); #15237=PLANE('',#363615); #15238=PLANE('',#363622); #15239=PLANE('',#363623); #15240=PLANE('',#363624); #15241=PLANE('',#363625); #15242=PLANE('',#363626); #15243=PLANE('',#363627); #15244=PLANE('',#363628); #15245=PLANE('',#363629); #15246=PLANE('',#363630); #15247=PLANE('',#363631); #15248=PLANE('',#363632); #15249=PLANE('',#363633); #15250=PLANE('',#363634); #15251=PLANE('',#363635); #15252=PLANE('',#363636); #15253=PLANE('',#363637); #15254=PLANE('',#363638); #15255=PLANE('',#363639); #15256=PLANE('',#363643); #15257=PLANE('',#363644); #15258=PLANE('',#363645); #15259=PLANE('',#363646); #15260=PLANE('',#363647); #15261=PLANE('',#363651); #15262=PLANE('',#363652); #15263=PLANE('',#363656); #15264=PLANE('',#363657); #15265=PLANE('',#363658); #15266=PLANE('',#363659); #15267=PLANE('',#363660); #15268=PLANE('',#363661); #15269=PLANE('',#363662); #15270=PLANE('',#363666); #15271=PLANE('',#363670); #15272=PLANE('',#363671); #15273=PLANE('',#363672); #15274=PLANE('',#363673); #15275=PLANE('',#363674); #15276=PLANE('',#363675); #15277=PLANE('',#363676); #15278=PLANE('',#363677); #15279=PLANE('',#363681); #15280=PLANE('',#363685); #15281=PLANE('',#363686); #15282=PLANE('',#363687); #15283=PLANE('',#363691); #15284=PLANE('',#363695); #15285=PLANE('',#363696); #15286=PLANE('',#363697); #15287=PLANE('',#363698); #15288=PLANE('',#363699); #15289=PLANE('',#363703); #15290=PLANE('',#363704); #15291=PLANE('',#363705); #15292=PLANE('',#363706); #15293=PLANE('',#363707); #15294=PLANE('',#363708); #15295=PLANE('',#363712); #15296=PLANE('',#363713); #15297=PLANE('',#363714); #15298=PLANE('',#363715); #15299=PLANE('',#363722); #15300=PLANE('',#363729); #15301=PLANE('',#363736); #15302=PLANE('',#363740); #15303=PLANE('',#363741); #15304=PLANE('',#363745); #15305=PLANE('',#363749); #15306=PLANE('',#363750); #15307=PLANE('',#363751); #15308=PLANE('',#363752); #15309=PLANE('',#363753); #15310=PLANE('',#363754); #15311=PLANE('',#363755); #15312=PLANE('',#363759); #15313=PLANE('',#363760); #15314=PLANE('',#363764); #15315=PLANE('',#363765); #15316=PLANE('',#363766); #15317=PLANE('',#363767); #15318=PLANE('',#363768); #15319=PLANE('',#363772); #15320=PLANE('',#363782); #15321=PLANE('',#363783); #15322=PLANE('',#363784); #15323=PLANE('',#363785); #15324=PLANE('',#363786); #15325=PLANE('',#363793); #15326=PLANE('',#363800); #15327=PLANE('',#363801); #15328=PLANE('',#363802); #15329=PLANE('',#363803); #15330=PLANE('',#363804); #15331=PLANE('',#363808); #15332=PLANE('',#363809); #15333=PLANE('',#363813); #15334=PLANE('',#363817); #15335=PLANE('',#363818); #15336=PLANE('',#363819); #15337=PLANE('',#363823); #15338=PLANE('',#363827); #15339=PLANE('',#363834); #15340=PLANE('',#363835); #15341=PLANE('',#363836); #15342=PLANE('',#363840); #15343=PLANE('',#363844); #15344=PLANE('',#363845); #15345=PLANE('',#363846); #15346=PLANE('',#363850); #15347=PLANE('',#363851); #15348=PLANE('',#363855); #15349=PLANE('',#363859); #15350=PLANE('',#363863); #15351=PLANE('',#363867); #15352=PLANE('',#363871); #15353=PLANE('',#363872); #15354=PLANE('',#363879); #15355=PLANE('',#363886); #15356=PLANE('',#363887); #15357=PLANE('',#363894); #15358=PLANE('',#363898); #15359=PLANE('',#363902); #15360=PLANE('',#363903); #15361=PLANE('',#363907); #15362=PLANE('',#363908); #15363=PLANE('',#363909); #15364=PLANE('',#363910); #15365=PLANE('',#363911); #15366=PLANE('',#363915); #15367=PLANE('',#363925); #15368=PLANE('',#363929); #15369=PLANE('',#363930); #15370=PLANE('',#363931); #15371=PLANE('',#363932); #15372=PLANE('',#363933); #15373=PLANE('',#363934); #15374=PLANE('',#363935); #15375=PLANE('',#363936); #15376=PLANE('',#363940); #15377=PLANE('',#363941); #15378=PLANE('',#363942); #15379=PLANE('',#363943); #15380=PLANE('',#363944); #15381=PLANE('',#363948); #15382=PLANE('',#363955); #15383=PLANE('',#363959); #15384=PLANE('',#363960); #15385=PLANE('',#363961); #15386=PLANE('',#363962); #15387=PLANE('',#363963); #15388=PLANE('',#363964); #15389=PLANE('',#363965); #15390=PLANE('',#363966); #15391=PLANE('',#363970); #15392=PLANE('',#363971); #15393=PLANE('',#363972); #15394=PLANE('',#363973); #15395=PLANE('',#363974); #15396=PLANE('',#363975); #15397=PLANE('',#363976); #15398=PLANE('',#363977); #15399=PLANE('',#363981); #15400=PLANE('',#363988); #15401=PLANE('',#363989); #15402=PLANE('',#363996); #15403=PLANE('',#363997); #15404=PLANE('',#364001); #15405=PLANE('',#364002); #15406=PLANE('',#364009); #15407=PLANE('',#364013); #15408=PLANE('',#364014); #15409=PLANE('',#364015); #15410=PLANE('',#364019); #15411=PLANE('',#364020); #15412=PLANE('',#364021); #15413=PLANE('',#364022); #15414=PLANE('',#364023); #15415=PLANE('',#364027); #15416=PLANE('',#364028); #15417=PLANE('',#364032); #15418=PLANE('',#364036); #15419=PLANE('',#364037); #15420=PLANE('',#364038); #15421=PLANE('',#364042); #15422=PLANE('',#364046); #15423=PLANE('',#364050); #15424=PLANE('',#364051); #15425=PLANE('',#364058); #15426=PLANE('',#364062); #15427=PLANE('',#364063); #15428=PLANE('',#364064); #15429=PLANE('',#364065); #15430=PLANE('',#364069); #15431=PLANE('',#364073); #15432=PLANE('',#364074); #15433=PLANE('',#364075); #15434=PLANE('',#364079); #15435=PLANE('',#364080); #15436=PLANE('',#364084); #15437=PLANE('',#364088); #15438=PLANE('',#364092); #15439=PLANE('',#364096); #15440=PLANE('',#364097); #15441=PLANE('',#364101); #15442=PLANE('',#364102); #15443=PLANE('',#364109); #15444=PLANE('',#364116); #15445=PLANE('',#364123); #15446=PLANE('',#364127); #15447=PLANE('',#364128); #15448=PLANE('',#364135); #15449=PLANE('',#364142); #15450=PLANE('',#364149); #15451=PLANE('',#364153); #15452=PLANE('',#364154); #15453=PLANE('',#364161); #15454=PLANE('',#364168); #15455=PLANE('',#364175); #15456=PLANE('',#364179); #15457=PLANE('',#364180); #15458=PLANE('',#364187); #15459=PLANE('',#364191); #15460=PLANE('',#364192); #15461=PLANE('',#364193); #15462=PLANE('',#364200); #15463=PLANE('',#364204); #15464=PLANE('',#364208); #15465=PLANE('',#364212); #15466=PLANE('',#364213); #15467=PLANE('',#364217); #15468=PLANE('',#364218); #15469=PLANE('',#364219); #15470=PLANE('',#364220); #15471=PLANE('',#364221); #15472=PLANE('',#364222); #15473=PLANE('',#364226); #15474=PLANE('',#364227); #15475=PLANE('',#364228); #15476=PLANE('',#364229); #15477=PLANE('',#364230); #15478=PLANE('',#364231); #15479=PLANE('',#364235); #15480=PLANE('',#364236); #15481=PLANE('',#364237); #15482=PLANE('',#364238); #15483=PLANE('',#364239); #15484=PLANE('',#364240); #15485=PLANE('',#364244); #15486=PLANE('',#364248); #15487=PLANE('',#364249); #15488=PLANE('',#364250); #15489=PLANE('',#364251); #15490=PLANE('',#364252); #15491=PLANE('',#364253); #15492=PLANE('',#364254); #15493=PLANE('',#364258); #15494=PLANE('',#364259); #15495=PLANE('',#364266); #15496=PLANE('',#364267); #15497=PLANE('',#364271); #15498=PLANE('',#364272); #15499=PLANE('',#364276); #15500=PLANE('',#364277); #15501=PLANE('',#364284); #15502=PLANE('',#364288); #15503=PLANE('',#364289); #15504=PLANE('',#364293); #15505=PLANE('',#364294); #15506=PLANE('',#364295); #15507=PLANE('',#364296); #15508=PLANE('',#364297); #15509=PLANE('',#364298); #15510=PLANE('',#364302); #15511=PLANE('',#364303); #15512=PLANE('',#364307); #15513=PLANE('',#364311); #15514=PLANE('',#364312); #15515=PLANE('',#364313); #15516=PLANE('',#364317); #15517=PLANE('',#364321); #15518=PLANE('',#364322); #15519=PLANE('',#364326); #15520=PLANE('',#364333); #15521=PLANE('',#364334); #15522=PLANE('',#364338); #15523=PLANE('',#364339); #15524=PLANE('',#364340); #15525=PLANE('',#364344); #15526=PLANE('',#364348); #15527=PLANE('',#364349); #15528=PLANE('',#364350); #15529=PLANE('',#364354); #15530=PLANE('',#364355); #15531=PLANE('',#364359); #15532=PLANE('',#364363); #15533=PLANE('',#364367); #15534=PLANE('',#364371); #15535=PLANE('',#364372); #15536=PLANE('',#364379); #15537=PLANE('',#364383); #15538=PLANE('',#364390); #15539=PLANE('',#364394); #15540=PLANE('',#364395); #15541=PLANE('',#364399); #15542=PLANE('',#364403); #15543=PLANE('',#364404); #15544=PLANE('',#364405); #15545=PLANE('',#364406); #15546=PLANE('',#364407); #15547=PLANE('',#364408); #15548=PLANE('',#364409); #15549=PLANE('',#364413); #15550=PLANE('',#364414); #15551=PLANE('',#364418); #15552=PLANE('',#364422); #15553=PLANE('',#364423); #15554=PLANE('',#364424); #15555=PLANE('',#364425); #15556=PLANE('',#364426); #15557=PLANE('',#364427); #15558=PLANE('',#364428); #15559=PLANE('',#364432); #15560=PLANE('',#364433); #15561=PLANE('',#364437); #15562=PLANE('',#364441); #15563=PLANE('',#364445); #15564=PLANE('',#364449); #15565=PLANE('',#364450); #15566=PLANE('',#364451); #15567=PLANE('',#364452); #15568=PLANE('',#364453); #15569=PLANE('',#364454); #15570=PLANE('',#364455); #15571=PLANE('',#364456); #15572=PLANE('',#364457); #15573=PLANE('',#364464); #15574=PLANE('',#364465); #15575=PLANE('',#364469); #15576=PLANE('',#364470); #15577=PLANE('',#364471); #15578=PLANE('',#364472); #15579=PLANE('',#364473); #15580=PLANE('',#364474); #15581=PLANE('',#364475); #15582=PLANE('',#364476); #15583=PLANE('',#364483); #15584=PLANE('',#364487); #15585=PLANE('',#364491); #15586=PLANE('',#364492); #15587=PLANE('',#364493); #15588=PLANE('',#364497); #15589=PLANE('',#364504); #15590=PLANE('',#364505); #15591=PLANE('',#364509); #15592=PLANE('',#364510); #15593=PLANE('',#364511); #15594=PLANE('',#364512); #15595=PLANE('',#364513); #15596=PLANE('',#364514); #15597=PLANE('',#364515); #15598=PLANE('',#364519); #15599=PLANE('',#364520); #15600=PLANE('',#364527); #15601=PLANE('',#364531); #15602=PLANE('',#364532); #15603=PLANE('',#364536); #15604=PLANE('',#364540); #15605=PLANE('',#364541); #15606=PLANE('',#364542); #15607=PLANE('',#364543); #15608=PLANE('',#364547); #15609=PLANE('',#364548); #15610=PLANE('',#364549); #15611=PLANE('',#364550); #15612=PLANE('',#364554); #15613=PLANE('',#364558); #15614=PLANE('',#364565); #15615=PLANE('',#364566); #15616=PLANE('',#364567); #15617=PLANE('',#364568); #15618=PLANE('',#364569); #15619=PLANE('',#364570); #15620=PLANE('',#364571); #15621=PLANE('',#364572); #15622=PLANE('',#364573); #15623=PLANE('',#364574); #15624=PLANE('',#364575); #15625=PLANE('',#364576); #15626=PLANE('',#364580); #15627=PLANE('',#364581); #15628=PLANE('',#364582); #15629=PLANE('',#364583); #15630=PLANE('',#364584); #15631=PLANE('',#364585); #15632=PLANE('',#364586); #15633=PLANE('',#364587); #15634=PLANE('',#364588); #15635=PLANE('',#364589); #15636=PLANE('',#364590); #15637=PLANE('',#364591); #15638=PLANE('',#364592); #15639=PLANE('',#364593); #15640=PLANE('',#364594); #15641=PLANE('',#364595); #15642=PLANE('',#364596); #15643=PLANE('',#364597); #15644=PLANE('',#364598); #15645=PLANE('',#364599); #15646=PLANE('',#364600); #15647=PLANE('',#364604); #15648=PLANE('',#364605); #15649=PLANE('',#364606); #15650=PLANE('',#364607); #15651=PLANE('',#364611); #15652=PLANE('',#364612); #15653=PLANE('',#364616); #15654=PLANE('',#364620); #15655=PLANE('',#364621); #15656=PLANE('',#364625); #15657=PLANE('',#364626); #15658=PLANE('',#364627); #15659=PLANE('',#364628); #15660=PLANE('',#364629); #15661=PLANE('',#364630); #15662=PLANE('',#364631); #15663=PLANE('',#364632); #15664=PLANE('',#364636); #15665=PLANE('',#364637); #15666=PLANE('',#364638); #15667=PLANE('',#364639); #15668=PLANE('',#364643); #15669=PLANE('',#364644); #15670=PLANE('',#364645); #15671=PLANE('',#364646); #15672=PLANE('',#364647); #15673=PLANE('',#364648); #15674=PLANE('',#364649); #15675=PLANE('',#364650); #15676=PLANE('',#364651); #15677=PLANE('',#364652); #15678=PLANE('',#364653); #15679=PLANE('',#364654); #15680=PLANE('',#364655); #15681=PLANE('',#364656); #15682=PLANE('',#364657); #15683=PLANE('',#364658); #15684=PLANE('',#364662); #15685=PLANE('',#364663); #15686=PLANE('',#364670); #15687=PLANE('',#364674); #15688=PLANE('',#364681); #15689=PLANE('',#364685); #15690=PLANE('',#364686); #15691=PLANE('',#364690); #15692=PLANE('',#364691); #15693=PLANE('',#364692); #15694=PLANE('',#364693); #15695=PLANE('',#364694); #15696=PLANE('',#364695); #15697=PLANE('',#364702); #15698=PLANE('',#364706); #15699=PLANE('',#364710); #15700=PLANE('',#364714); #15701=PLANE('',#364718); #15702=PLANE('',#364722); #15703=PLANE('',#364726); #15704=PLANE('',#364733); #15705=PLANE('',#364734); #15706=PLANE('',#364735); #15707=PLANE('',#364736); #15708=PLANE('',#364737); #15709=PLANE('',#364738); #15710=PLANE('',#364745); #15711=PLANE('',#364746); #15712=PLANE('',#364753); #15713=PLANE('',#364757); #15714=PLANE('',#364764); #15715=PLANE('',#364765); #15716=PLANE('',#364769); #15717=PLANE('',#364770); #15718=PLANE('',#364774); #15719=PLANE('',#364775); #15720=PLANE('',#364776); #15721=PLANE('',#364777); #15722=PLANE('',#364778); #15723=PLANE('',#364779); #15724=PLANE('',#364792); #15725=PLANE('',#364793); #15726=PLANE('',#364794); #15727=PLANE('',#364795); #15728=PLANE('',#364796); #15729=PLANE('',#364797); #15730=PLANE('',#364798); #15731=PLANE('',#364799); #15732=PLANE('',#364800); #15733=PLANE('',#364801); #15734=PLANE('',#364802); #15735=PLANE('',#364803); #15736=PLANE('',#364804); #15737=PLANE('',#364805); #15738=PLANE('',#364806); #15739=PLANE('',#364807); #15740=PLANE('',#364808); #15741=PLANE('',#364809); #15742=PLANE('',#364810); #15743=PLANE('',#364811); #15744=PLANE('',#364812); #15745=PLANE('',#364813); #15746=PLANE('',#364814); #15747=PLANE('',#364815); #15748=PLANE('',#364816); #15749=PLANE('',#364817); #15750=PLANE('',#364818); #15751=PLANE('',#364819); #15752=PLANE('',#364820); #15753=PLANE('',#364821); #15754=PLANE('',#364822); #15755=PLANE('',#364823); #15756=PLANE('',#364824); #15757=PLANE('',#364825); #15758=PLANE('',#364826); #15759=PLANE('',#364827); #15760=PLANE('',#364828); #15761=PLANE('',#364829); #15762=PLANE('',#364830); #15763=PLANE('',#364831); #15764=PLANE('',#364832); #15765=PLANE('',#364833); #15766=PLANE('',#364834); #15767=PLANE('',#364835); #15768=PLANE('',#364836); #15769=PLANE('',#364837); #15770=PLANE('',#364838); #15771=PLANE('',#364839); #15772=PLANE('',#364840); #15773=PLANE('',#364841); #15774=PLANE('',#364842); #15775=PLANE('',#364843); #15776=PLANE('',#364844); #15777=PLANE('',#364845); #15778=PLANE('',#364846); #15779=PLANE('',#364847); #15780=PLANE('',#364848); #15781=PLANE('',#364849); #15782=PLANE('',#364850); #15783=PLANE('',#364851); #15784=PLANE('',#364852); #15785=PLANE('',#364853); #15786=PLANE('',#364854); #15787=PLANE('',#364855); #15788=PLANE('',#364856); #15789=PLANE('',#364857); #15790=PLANE('',#364858); #15791=PLANE('',#364859); #15792=PLANE('',#364860); #15793=PLANE('',#364861); #15794=PLANE('',#364865); #15795=PLANE('',#364866); #15796=PLANE('',#364867); #15797=PLANE('',#364874); #15798=PLANE('',#364878); #15799=PLANE('',#364882); #15800=PLANE('',#364886); #15801=PLANE('',#364887); #15802=PLANE('',#364888); #15803=PLANE('',#364889); #15804=PLANE('',#364890); #15805=PLANE('',#364891); #15806=PLANE('',#364892); #15807=PLANE('',#364893); #15808=PLANE('',#364894); #15809=PLANE('',#364895); #15810=PLANE('',#364899); #15811=PLANE('',#364900); #15812=PLANE('',#364904); #15813=PLANE('',#364905); #15814=PLANE('',#364906); #15815=PLANE('',#364907); #15816=PLANE('',#364908); #15817=PLANE('',#364909); #15818=PLANE('',#364910); #15819=PLANE('',#364911); #15820=PLANE('',#364912); #15821=PLANE('',#364913); #15822=PLANE('',#364914); #15823=PLANE('',#364915); #15824=PLANE('',#364916); #15825=PLANE('',#364917); #15826=PLANE('',#364918); #15827=PLANE('',#364919); #15828=PLANE('',#364920); #15829=PLANE('',#364921); #15830=PLANE('',#364922); #15831=PLANE('',#364923); #15832=PLANE('',#364927); #15833=PLANE('',#364928); #15834=PLANE('',#364929); #15835=PLANE('',#364933); #15836=PLANE('',#364934); #15837=PLANE('',#364938); #15838=PLANE('',#364945); #15839=PLANE('',#364946); #15840=PLANE('',#364950); #15841=PLANE('',#364951); #15842=PLANE('',#364955); #15843=PLANE('',#364959); #15844=PLANE('',#364960); #15845=PLANE('',#364961); #15846=PLANE('',#364962); #15847=PLANE('',#364963); #15848=PLANE('',#364967); #15849=PLANE('',#364968); #15850=PLANE('',#365005); #15851=PLANE('',#365006); #15852=PLANE('',#365007); #15853=PLANE('',#365008); #15854=PLANE('',#365009); #15855=PLANE('',#365010); #15856=PLANE('',#365011); #15857=PLANE('',#365012); #15858=PLANE('',#365013); #15859=PLANE('',#365014); #15860=PLANE('',#365015); #15861=PLANE('',#365016); #15862=PLANE('',#365017); #15863=PLANE('',#365018); #15864=PLANE('',#365019); #15865=PLANE('',#365020); #15866=PLANE('',#365021); #15867=PLANE('',#365022); #15868=PLANE('',#365023); #15869=PLANE('',#365024); #15870=PLANE('',#365025); #15871=PLANE('',#365026); #15872=PLANE('',#365027); #15873=PLANE('',#365028); #15874=PLANE('',#365029); #15875=PLANE('',#365030); #15876=PLANE('',#365031); #15877=PLANE('',#365032); #15878=PLANE('',#365033); #15879=PLANE('',#365034); #15880=PLANE('',#365035); #15881=PLANE('',#365036); #15882=PLANE('',#365037); #15883=PLANE('',#365038); #15884=PLANE('',#365039); #15885=PLANE('',#365040); #15886=PLANE('',#365041); #15887=PLANE('',#365042); #15888=PLANE('',#365043); #15889=PLANE('',#365044); #15890=PLANE('',#365045); #15891=PLANE('',#365046); #15892=PLANE('',#365047); #15893=PLANE('',#365048); #15894=PLANE('',#365049); #15895=PLANE('',#365050); #15896=PLANE('',#365051); #15897=PLANE('',#365052); #15898=PLANE('',#365053); #15899=PLANE('',#365054); #15900=PLANE('',#365055); #15901=PLANE('',#365056); #15902=PLANE('',#365057); #15903=PLANE('',#365058); #15904=PLANE('',#365059); #15905=PLANE('',#365060); #15906=PLANE('',#365061); #15907=PLANE('',#365062); #15908=PLANE('',#365063); #15909=PLANE('',#365064); #15910=PLANE('',#365065); #15911=PLANE('',#365066); #15912=PLANE('',#365067); #15913=PLANE('',#365068); #15914=PLANE('',#365069); #15915=PLANE('',#365070); #15916=PLANE('',#365071); #15917=PLANE('',#365072); #15918=PLANE('',#365073); #15919=PLANE('',#365074); #15920=PLANE('',#365075); #15921=PLANE('',#365076); #15922=PLANE('',#365077); #15923=PLANE('',#365078); #15924=PLANE('',#365079); #15925=PLANE('',#365080); #15926=PLANE('',#365081); #15927=PLANE('',#365082); #15928=PLANE('',#365083); #15929=PLANE('',#365084); #15930=PLANE('',#365085); #15931=PLANE('',#365086); #15932=PLANE('',#365087); #15933=PLANE('',#365088); #15934=PLANE('',#365089); #15935=PLANE('',#365090); #15936=PLANE('',#365091); #15937=PLANE('',#365092); #15938=PLANE('',#365093); #15939=PLANE('',#365094); #15940=PLANE('',#365095); #15941=PLANE('',#365096); #15942=PLANE('',#365097); #15943=PLANE('',#365098); #15944=PLANE('',#365099); #15945=PLANE('',#365100); #15946=PLANE('',#365101); #15947=PLANE('',#365102); #15948=PLANE('',#365103); #15949=PLANE('',#365104); #15950=PLANE('',#365105); #15951=PLANE('',#365106); #15952=PLANE('',#365107); #15953=PLANE('',#365108); #15954=PLANE('',#365109); #15955=PLANE('',#365110); #15956=PLANE('',#365111); #15957=PLANE('',#365112); #15958=PLANE('',#365113); #15959=PLANE('',#365114); #15960=PLANE('',#365115); #15961=PLANE('',#365116); #15962=PLANE('',#365117); #15963=PLANE('',#365118); #15964=PLANE('',#365119); #15965=PLANE('',#365120); #15966=PLANE('',#365121); #15967=PLANE('',#365122); #15968=PLANE('',#365123); #15969=PLANE('',#365124); #15970=PLANE('',#365125); #15971=PLANE('',#365126); #15972=PLANE('',#365127); #15973=PLANE('',#365128); #15974=PLANE('',#365129); #15975=PLANE('',#365130); #15976=PLANE('',#365131); #15977=PLANE('',#365132); #15978=PLANE('',#365133); #15979=PLANE('',#365134); #15980=PLANE('',#365135); #15981=PLANE('',#365136); #15982=PLANE('',#365137); #15983=PLANE('',#365138); #15984=PLANE('',#365139); #15985=PLANE('',#365140); #15986=PLANE('',#365141); #15987=PLANE('',#365142); #15988=PLANE('',#365143); #15989=PLANE('',#365144); #15990=PLANE('',#365145); #15991=PLANE('',#365146); #15992=PLANE('',#365147); #15993=PLANE('',#365148); #15994=PLANE('',#365149); #15995=PLANE('',#365150); #15996=PLANE('',#365151); #15997=PLANE('',#365152); #15998=PLANE('',#365153); #15999=PLANE('',#365154); #16000=PLANE('',#365155); #16001=PLANE('',#365156); #16002=PLANE('',#365157); #16003=PLANE('',#365158); #16004=PLANE('',#365159); #16005=PLANE('',#365160); #16006=PLANE('',#365161); #16007=PLANE('',#365162); #16008=PLANE('',#365163); #16009=PLANE('',#365164); #16010=PLANE('',#365165); #16011=PLANE('',#365166); #16012=PLANE('',#365167); #16013=PLANE('',#365168); #16014=PLANE('',#365169); #16015=PLANE('',#365170); #16016=PLANE('',#365171); #16017=PLANE('',#365172); #16018=PLANE('',#365173); #16019=PLANE('',#365174); #16020=PLANE('',#365175); #16021=PLANE('',#365176); #16022=PLANE('',#365177); #16023=PLANE('',#365178); #16024=PLANE('',#365179); #16025=PLANE('',#365180); #16026=PLANE('',#365181); #16027=PLANE('',#365182); #16028=PLANE('',#365183); #16029=PLANE('',#365184); #16030=PLANE('',#365185); #16031=PLANE('',#365186); #16032=PLANE('',#365187); #16033=PLANE('',#365188); #16034=PLANE('',#365189); #16035=PLANE('',#365190); #16036=PLANE('',#365191); #16037=PLANE('',#365192); #16038=PLANE('',#365193); #16039=PLANE('',#365194); #16040=PLANE('',#365195); #16041=PLANE('',#365196); #16042=PLANE('',#365197); #16043=PLANE('',#365198); #16044=PLANE('',#365199); #16045=PLANE('',#365200); #16046=PLANE('',#365201); #16047=PLANE('',#365202); #16048=PLANE('',#365203); #16049=PLANE('',#365204); #16050=PLANE('',#365205); #16051=PLANE('',#365206); #16052=PLANE('',#365207); #16053=PLANE('',#365208); #16054=PLANE('',#365209); #16055=PLANE('',#365210); #16056=PLANE('',#365211); #16057=PLANE('',#365212); #16058=PLANE('',#365213); #16059=PLANE('',#365214); #16060=PLANE('',#365215); #16061=PLANE('',#365216); #16062=PLANE('',#365217); #16063=PLANE('',#365218); #16064=PLANE('',#365219); #16065=PLANE('',#365220); #16066=PLANE('',#365221); #16067=PLANE('',#365222); #16068=PLANE('',#365223); #16069=PLANE('',#365224); #16070=PLANE('',#365225); #16071=PLANE('',#365226); #16072=PLANE('',#365227); #16073=PLANE('',#365228); #16074=PLANE('',#365229); #16075=PLANE('',#365230); #16076=PLANE('',#365231); #16077=PLANE('',#365232); #16078=PLANE('',#365233); #16079=PLANE('',#365234); #16080=PLANE('',#365235); #16081=PLANE('',#365236); #16082=PLANE('',#365237); #16083=PLANE('',#365238); #16084=PLANE('',#365239); #16085=PLANE('',#365240); #16086=PLANE('',#365241); #16087=PLANE('',#365242); #16088=PLANE('',#365243); #16089=PLANE('',#365244); #16090=PLANE('',#365245); #16091=PLANE('',#365246); #16092=PLANE('',#365247); #16093=PLANE('',#365248); #16094=PLANE('',#365249); #16095=PLANE('',#365250); #16096=PLANE('',#365251); #16097=PLANE('',#365252); #16098=PLANE('',#365253); #16099=PLANE('',#365254); #16100=PLANE('',#365255); #16101=PLANE('',#365256); #16102=PLANE('',#365257); #16103=PLANE('',#365258); #16104=PLANE('',#365259); #16105=PLANE('',#365260); #16106=PLANE('',#365261); #16107=PLANE('',#365262); #16108=PLANE('',#365263); #16109=PLANE('',#365264); #16110=PLANE('',#365265); #16111=PLANE('',#365266); #16112=PLANE('',#365267); #16113=PLANE('',#365268); #16114=PLANE('',#365269); #16115=PLANE('',#365270); #16116=PLANE('',#365271); #16117=PLANE('',#365272); #16118=PLANE('',#365273); #16119=PLANE('',#365274); #16120=PLANE('',#365275); #16121=PLANE('',#365276); #16122=PLANE('',#365277); #16123=PLANE('',#365278); #16124=PLANE('',#365279); #16125=PLANE('',#365280); #16126=PLANE('',#365281); #16127=PLANE('',#365282); #16128=PLANE('',#365283); #16129=PLANE('',#365284); #16130=PLANE('',#365285); #16131=PLANE('',#365286); #16132=PLANE('',#365287); #16133=PLANE('',#365288); #16134=PLANE('',#365289); #16135=PLANE('',#365290); #16136=PLANE('',#365291); #16137=PLANE('',#365292); #16138=PLANE('',#365293); #16139=PLANE('',#365294); #16140=PLANE('',#365295); #16141=PLANE('',#365296); #16142=PLANE('',#365297); #16143=PLANE('',#365298); #16144=PLANE('',#365299); #16145=PLANE('',#365300); #16146=PLANE('',#365301); #16147=PLANE('',#365302); #16148=PLANE('',#365303); #16149=PLANE('',#365304); #16150=PLANE('',#365305); #16151=PLANE('',#365306); #16152=PLANE('',#365307); #16153=PLANE('',#365308); #16154=PLANE('',#365309); #16155=PLANE('',#365310); #16156=PLANE('',#365311); #16157=PLANE('',#365312); #16158=PLANE('',#365313); #16159=PLANE('',#365314); #16160=PLANE('',#365315); #16161=PLANE('',#365316); #16162=PLANE('',#365317); #16163=PLANE('',#365318); #16164=PLANE('',#365319); #16165=PLANE('',#365320); #16166=PLANE('',#365321); #16167=PLANE('',#365322); #16168=PLANE('',#365323); #16169=PLANE('',#365324); #16170=PLANE('',#365325); #16171=PLANE('',#365326); #16172=PLANE('',#365327); #16173=PLANE('',#365328); #16174=PLANE('',#365329); #16175=PLANE('',#365330); #16176=PLANE('',#365331); #16177=PLANE('',#365332); #16178=PLANE('',#365333); #16179=PLANE('',#365334); #16180=PLANE('',#365335); #16181=PLANE('',#365336); #16182=PLANE('',#365529); #16183=PLANE('',#365533); #16184=PLANE('',#365537); #16185=PLANE('',#365541); #16186=PLANE('',#365551); #16187=PLANE('',#365555); #16188=PLANE('',#365574); #16189=PLANE('',#365578); #16190=PLANE('',#365585); #16191=PLANE('',#365589); #16192=PLANE('',#365593); #16193=PLANE('',#365597); #16194=PLANE('',#365757); #16195=PLANE('',#365758); #16196=PLANE('',#365759); #16197=PLANE('',#365760); #16198=PLANE('',#365761); #16199=PLANE('',#365762); #16200=PLANE('',#365763); #16201=PLANE('',#365764); #16202=PLANE('',#365765); #16203=PLANE('',#365766); #16204=PLANE('',#365767); #16205=PLANE('',#365768); #16206=PLANE('',#365769); #16207=PLANE('',#365770); #16208=PLANE('',#365771); #16209=PLANE('',#365772); #16210=PLANE('',#365773); #16211=PLANE('',#365774); #16212=PLANE('',#365775); #16213=PLANE('',#365776); #16214=PLANE('',#365777); #16215=PLANE('',#365778); #16216=PLANE('',#365779); #16217=PLANE('',#365780); #16218=PLANE('',#365781); #16219=PLANE('',#365782); #16220=PLANE('',#365783); #16221=PLANE('',#365784); #16222=PLANE('',#365785); #16223=PLANE('',#365786); #16224=PLANE('',#365787); #16225=PLANE('',#365788); #16226=PLANE('',#365789); #16227=PLANE('',#365790); #16228=PLANE('',#365791); #16229=PLANE('',#365792); #16230=PLANE('',#365793); #16231=PLANE('',#365794); #16232=PLANE('',#365795); #16233=PLANE('',#365796); #16234=PLANE('',#365797); #16235=PLANE('',#365798); #16236=PLANE('',#365799); #16237=PLANE('',#365800); #16238=PLANE('',#365801); #16239=PLANE('',#365802); #16240=PLANE('',#365803); #16241=PLANE('',#365804); #16242=PLANE('',#365805); #16243=PLANE('',#365806); #16244=PLANE('',#365807); #16245=PLANE('',#365808); #16246=PLANE('',#365809); #16247=PLANE('',#365810); #16248=PLANE('',#365811); #16249=PLANE('',#365812); #16250=PLANE('',#365813); #16251=PLANE('',#365814); #16252=PLANE('',#365815); #16253=PLANE('',#365816); #16254=PLANE('',#365817); #16255=PLANE('',#365818); #16256=PLANE('',#365819); #16257=PLANE('',#365820); #16258=PLANE('',#365821); #16259=PLANE('',#365822); #16260=PLANE('',#365823); #16261=PLANE('',#365824); #16262=PLANE('',#365825); #16263=PLANE('',#365826); #16264=PLANE('',#365827); #16265=PLANE('',#365828); #16266=PLANE('',#365829); #16267=PLANE('',#365830); #16268=PLANE('',#365831); #16269=PLANE('',#365832); #16270=PLANE('',#365833); #16271=PLANE('',#365834); #16272=PLANE('',#365835); #16273=PLANE('',#365836); #16274=PLANE('',#365837); #16275=PLANE('',#365838); #16276=PLANE('',#365839); #16277=PLANE('',#365840); #16278=PLANE('',#365841); #16279=PLANE('',#365842); #16280=PLANE('',#365843); #16281=PLANE('',#365844); #16282=PLANE('',#365845); #16283=PLANE('',#365846); #16284=PLANE('',#365847); #16285=PLANE('',#365848); #16286=PLANE('',#365849); #16287=PLANE('',#365850); #16288=PLANE('',#365851); #16289=PLANE('',#365852); #16290=PLANE('',#365853); #16291=PLANE('',#365854); #16292=PLANE('',#365855); #16293=PLANE('',#365856); #16294=PLANE('',#365857); #16295=PLANE('',#365858); #16296=PLANE('',#365859); #16297=PLANE('',#365860); #16298=PLANE('',#365861); #16299=PLANE('',#365862); #16300=PLANE('',#365863); #16301=PLANE('',#365864); #16302=PLANE('',#365865); #16303=PLANE('',#365866); #16304=PLANE('',#365867); #16305=PLANE('',#365868); #16306=PLANE('',#365869); #16307=PLANE('',#365870); #16308=PLANE('',#365871); #16309=PLANE('',#365872); #16310=PLANE('',#365873); #16311=PLANE('',#365874); #16312=PLANE('',#365875); #16313=PLANE('',#365876); #16314=PLANE('',#365877); #16315=PLANE('',#365878); #16316=PLANE('',#365879); #16317=PLANE('',#365880); #16318=PLANE('',#365881); #16319=PLANE('',#365882); #16320=PLANE('',#365883); #16321=PLANE('',#365884); #16322=PLANE('',#365885); #16323=PLANE('',#365886); #16324=PLANE('',#365887); #16325=PLANE('',#365888); #16326=PLANE('',#365889); #16327=PLANE('',#365890); #16328=PLANE('',#365891); #16329=PLANE('',#365892); #16330=PLANE('',#365893); #16331=PLANE('',#365894); #16332=PLANE('',#365895); #16333=PLANE('',#365896); #16334=PLANE('',#365897); #16335=PLANE('',#365898); #16336=PLANE('',#365899); #16337=PLANE('',#365900); #16338=PLANE('',#365901); #16339=PLANE('',#365902); #16340=PLANE('',#365903); #16341=PLANE('',#365904); #16342=PLANE('',#365905); #16343=PLANE('',#365906); #16344=PLANE('',#365907); #16345=PLANE('',#365908); #16346=PLANE('',#365909); #16347=PLANE('',#365910); #16348=PLANE('',#365911); #16349=PLANE('',#365912); #16350=PLANE('',#365913); #16351=PLANE('',#365914); #16352=PLANE('',#365915); #16353=PLANE('',#365916); #16354=PLANE('',#365917); #16355=PLANE('',#365918); #16356=PLANE('',#365919); #16357=PLANE('',#365920); #16358=PLANE('',#365921); #16359=PLANE('',#365922); #16360=PLANE('',#365923); #16361=PLANE('',#365924); #16362=PLANE('',#365925); #16363=PLANE('',#365926); #16364=PLANE('',#365927); #16365=PLANE('',#365928); #16366=PLANE('',#365929); #16367=PLANE('',#365930); #16368=PLANE('',#365931); #16369=PLANE('',#365932); #16370=PLANE('',#365933); #16371=PLANE('',#365934); #16372=PLANE('',#365935); #16373=PLANE('',#365936); #16374=PLANE('',#365937); #16375=PLANE('',#365938); #16376=PLANE('',#365939); #16377=PLANE('',#365940); #16378=PLANE('',#365941); #16379=PLANE('',#365942); #16380=PLANE('',#365943); #16381=PLANE('',#365944); #16382=PLANE('',#365945); #16383=PLANE('',#365946); #16384=PLANE('',#365947); #16385=PLANE('',#365948); #16386=PLANE('',#365949); #16387=PLANE('',#365950); #16388=PLANE('',#365951); #16389=PLANE('',#365952); #16390=PLANE('',#365953); #16391=PLANE('',#365954); #16392=PLANE('',#365955); #16393=PLANE('',#365956); #16394=PLANE('',#365957); #16395=PLANE('',#365958); #16396=PLANE('',#365959); #16397=PLANE('',#365960); #16398=PLANE('',#365961); #16399=PLANE('',#365962); #16400=PLANE('',#365963); #16401=PLANE('',#365964); #16402=PLANE('',#365965); #16403=PLANE('',#365966); #16404=PLANE('',#365967); #16405=PLANE('',#365968); #16406=PLANE('',#365969); #16407=PLANE('',#365970); #16408=PLANE('',#365971); #16409=PLANE('',#365972); #16410=PLANE('',#365973); #16411=PLANE('',#365974); #16412=PLANE('',#365975); #16413=PLANE('',#365976); #16414=PLANE('',#365977); #16415=PLANE('',#365978); #16416=PLANE('',#365979); #16417=PLANE('',#365980); #16418=PLANE('',#365981); #16419=PLANE('',#365982); #16420=PLANE('',#365983); #16421=PLANE('',#365984); #16422=PLANE('',#365985); #16423=PLANE('',#365986); #16424=PLANE('',#365987); #16425=PLANE('',#365988); #16426=PLANE('',#365989); #16427=PLANE('',#365990); #16428=PLANE('',#365991); #16429=PLANE('',#365992); #16430=PLANE('',#365993); #16431=PLANE('',#365994); #16432=PLANE('',#365995); #16433=PLANE('',#365996); #16434=PLANE('',#365997); #16435=PLANE('',#365998); #16436=PLANE('',#365999); #16437=PLANE('',#366000); #16438=PLANE('',#366001); #16439=PLANE('',#366002); #16440=PLANE('',#366003); #16441=PLANE('',#366004); #16442=PLANE('',#366005); #16443=PLANE('',#366006); #16444=PLANE('',#366007); #16445=PLANE('',#366008); #16446=PLANE('',#366009); #16447=PLANE('',#366010); #16448=PLANE('',#366011); #16449=PLANE('',#366012); #16450=PLANE('',#366013); #16451=PLANE('',#366014); #16452=PLANE('',#366015); #16453=PLANE('',#366016); #16454=PLANE('',#366017); #16455=PLANE('',#366018); #16456=PLANE('',#366019); #16457=PLANE('',#366020); #16458=PLANE('',#366021); #16459=PLANE('',#366022); #16460=PLANE('',#366023); #16461=PLANE('',#366024); #16462=PLANE('',#366025); #16463=PLANE('',#366026); #16464=PLANE('',#366027); #16465=PLANE('',#366028); #16466=PLANE('',#366029); #16467=PLANE('',#366030); #16468=PLANE('',#366031); #16469=PLANE('',#366032); #16470=PLANE('',#366033); #16471=PLANE('',#366034); #16472=PLANE('',#366035); #16473=PLANE('',#366036); #16474=PLANE('',#366037); #16475=PLANE('',#366038); #16476=PLANE('',#366039); #16477=PLANE('',#366040); #16478=PLANE('',#366041); #16479=PLANE('',#366042); #16480=PLANE('',#366043); #16481=PLANE('',#366044); #16482=PLANE('',#366045); #16483=PLANE('',#366046); #16484=PLANE('',#366047); #16485=PLANE('',#366048); #16486=PLANE('',#366049); #16487=PLANE('',#366050); #16488=PLANE('',#366051); #16489=PLANE('',#366052); #16490=PLANE('',#366053); #16491=PLANE('',#366054); #16492=PLANE('',#366055); #16493=PLANE('',#366056); #16494=PLANE('',#366057); #16495=PLANE('',#366058); #16496=PLANE('',#366059); #16497=PLANE('',#366060); #16498=PLANE('',#366061); #16499=PLANE('',#366062); #16500=PLANE('',#366063); #16501=PLANE('',#366064); #16502=PLANE('',#366065); #16503=PLANE('',#366066); #16504=PLANE('',#366067); #16505=PLANE('',#366068); #16506=PLANE('',#366069); #16507=PLANE('',#366070); #16508=PLANE('',#366071); #16509=PLANE('',#366072); #16510=PLANE('',#366073); #16511=PLANE('',#366074); #16512=PLANE('',#366075); #16513=PLANE('',#366076); #16514=PLANE('',#366077); #16515=PLANE('',#366078); #16516=PLANE('',#366079); #16517=PLANE('',#366080); #16518=PLANE('',#366081); #16519=PLANE('',#366082); #16520=PLANE('',#366083); #16521=PLANE('',#366084); #16522=PLANE('',#366085); #16523=PLANE('',#366086); #16524=PLANE('',#366087); #16525=PLANE('',#366088); #16526=PLANE('',#366089); #16527=PLANE('',#366090); #16528=PLANE('',#366091); #16529=PLANE('',#366092); #16530=PLANE('',#366093); #16531=PLANE('',#366094); #16532=PLANE('',#366095); #16533=PLANE('',#366096); #16534=PLANE('',#366097); #16535=PLANE('',#366098); #16536=PLANE('',#366099); #16537=PLANE('',#366100); #16538=PLANE('',#366101); #16539=PLANE('',#366102); #16540=PLANE('',#366103); #16541=PLANE('',#366104); #16542=PLANE('',#366105); #16543=PLANE('',#366106); #16544=PLANE('',#366107); #16545=PLANE('',#366108); #16546=PLANE('',#366109); #16547=PLANE('',#366110); #16548=PLANE('',#366111); #16549=PLANE('',#366112); #16550=PLANE('',#366113); #16551=PLANE('',#366114); #16552=PLANE('',#366115); #16553=PLANE('',#366116); #16554=PLANE('',#366117); #16555=PLANE('',#366118); #16556=PLANE('',#366119); #16557=PLANE('',#366120); #16558=PLANE('',#366121); #16559=PLANE('',#366122); #16560=PLANE('',#366123); #16561=PLANE('',#366124); #16562=PLANE('',#366125); #16563=PLANE('',#366126); #16564=PLANE('',#366127); #16565=PLANE('',#366128); #16566=PLANE('',#366129); #16567=PLANE('',#366130); #16568=PLANE('',#366131); #16569=PLANE('',#366132); #16570=PLANE('',#366133); #16571=PLANE('',#366134); #16572=PLANE('',#366135); #16573=PLANE('',#366136); #16574=PLANE('',#366137); #16575=PLANE('',#366138); #16576=PLANE('',#366139); #16577=PLANE('',#366140); #16578=PLANE('',#366141); #16579=PLANE('',#366142); #16580=PLANE('',#366143); #16581=PLANE('',#366144); #16582=PLANE('',#366145); #16583=PLANE('',#366146); #16584=PLANE('',#366147); #16585=PLANE('',#366148); #16586=PLANE('',#366149); #16587=PLANE('',#366150); #16588=PLANE('',#366151); #16589=PLANE('',#366152); #16590=PLANE('',#366153); #16591=PLANE('',#366154); #16592=PLANE('',#366155); #16593=PLANE('',#366156); #16594=PLANE('',#366157); #16595=PLANE('',#366158); #16596=PLANE('',#366159); #16597=PLANE('',#366160); #16598=PLANE('',#366161); #16599=PLANE('',#366162); #16600=PLANE('',#366163); #16601=PLANE('',#366164); #16602=PLANE('',#366165); #16603=PLANE('',#366166); #16604=PLANE('',#366167); #16605=PLANE('',#366168); #16606=PLANE('',#366169); #16607=PLANE('',#366170); #16608=PLANE('',#366171); #16609=PLANE('',#366172); #16610=PLANE('',#366173); #16611=PLANE('',#366174); #16612=PLANE('',#366175); #16613=PLANE('',#366176); #16614=PLANE('',#366177); #16615=PLANE('',#366178); #16616=PLANE('',#366179); #16617=PLANE('',#366180); #16618=PLANE('',#366181); #16619=PLANE('',#366182); #16620=PLANE('',#366183); #16621=PLANE('',#366184); #16622=PLANE('',#366185); #16623=PLANE('',#366186); #16624=PLANE('',#366187); #16625=PLANE('',#366188); #16626=PLANE('',#366189); #16627=PLANE('',#366190); #16628=PLANE('',#366191); #16629=PLANE('',#366192); #16630=PLANE('',#366193); #16631=PLANE('',#366194); #16632=PLANE('',#366195); #16633=PLANE('',#366196); #16634=PLANE('',#366197); #16635=PLANE('',#366198); #16636=PLANE('',#366199); #16637=PLANE('',#366200); #16638=PLANE('',#366201); #16639=PLANE('',#366202); #16640=PLANE('',#366203); #16641=PLANE('',#366204); #16642=PLANE('',#366205); #16643=PLANE('',#366206); #16644=PLANE('',#366207); #16645=PLANE('',#366208); #16646=PLANE('',#366209); #16647=PLANE('',#366210); #16648=PLANE('',#366211); #16649=PLANE('',#366212); #16650=PLANE('',#366213); #16651=PLANE('',#366214); #16652=PLANE('',#366215); #16653=PLANE('',#366216); #16654=PLANE('',#366217); #16655=PLANE('',#366218); #16656=PLANE('',#366219); #16657=PLANE('',#366220); #16658=PLANE('',#366221); #16659=PLANE('',#366222); #16660=PLANE('',#366223); #16661=PLANE('',#366224); #16662=PLANE('',#366225); #16663=PLANE('',#366226); #16664=PLANE('',#366227); #16665=PLANE('',#366228); #16666=PLANE('',#366229); #16667=PLANE('',#366230); #16668=PLANE('',#366231); #16669=PLANE('',#366232); #16670=PLANE('',#366233); #16671=PLANE('',#366234); #16672=PLANE('',#366235); #16673=PLANE('',#366236); #16674=PLANE('',#366237); #16675=PLANE('',#366238); #16676=PLANE('',#366239); #16677=PLANE('',#366240); #16678=PLANE('',#366241); #16679=PLANE('',#366242); #16680=PLANE('',#366243); #16681=PLANE('',#366244); #16682=PLANE('',#366245); #16683=PLANE('',#366246); #16684=PLANE('',#366247); #16685=PLANE('',#366248); #16686=PLANE('',#366249); #16687=PLANE('',#366250); #16688=PLANE('',#366251); #16689=PLANE('',#366252); #16690=PLANE('',#366253); #16691=PLANE('',#366254); #16692=PLANE('',#366255); #16693=PLANE('',#366256); #16694=PLANE('',#366257); #16695=PLANE('',#366258); #16696=PLANE('',#366259); #16697=PLANE('',#366260); #16698=PLANE('',#366261); #16699=PLANE('',#366262); #16700=PLANE('',#366263); #16701=PLANE('',#366264); #16702=PLANE('',#366265); #16703=PLANE('',#366266); #16704=PLANE('',#366267); #16705=PLANE('',#366268); #16706=PLANE('',#366269); #16707=PLANE('',#366270); #16708=PLANE('',#366271); #16709=PLANE('',#366272); #16710=PLANE('',#366273); #16711=PLANE('',#366274); #16712=PLANE('',#366275); #16713=PLANE('',#366276); #16714=PLANE('',#366277); #16715=PLANE('',#366278); #16716=PLANE('',#366279); #16717=PLANE('',#366280); #16718=PLANE('',#366281); #16719=PLANE('',#366282); #16720=PLANE('',#366283); #16721=PLANE('',#366284); #16722=PLANE('',#366285); #16723=PLANE('',#366286); #16724=PLANE('',#366287); #16725=PLANE('',#366288); #16726=PLANE('',#366289); #16727=PLANE('',#366290); #16728=PLANE('',#366291); #16729=PLANE('',#366292); #16730=PLANE('',#366293); #16731=PLANE('',#366294); #16732=PLANE('',#366295); #16733=PLANE('',#366296); #16734=PLANE('',#366297); #16735=PLANE('',#366298); #16736=PLANE('',#366299); #16737=PLANE('',#366300); #16738=PLANE('',#366301); #16739=PLANE('',#366302); #16740=PLANE('',#366303); #16741=PLANE('',#366304); #16742=PLANE('',#366305); #16743=PLANE('',#366306); #16744=PLANE('',#366307); #16745=PLANE('',#366308); #16746=PLANE('',#366309); #16747=PLANE('',#366310); #16748=PLANE('',#366311); #16749=PLANE('',#366312); #16750=PLANE('',#366313); #16751=PLANE('',#366314); #16752=PLANE('',#366315); #16753=PLANE('',#366316); #16754=PLANE('',#366317); #16755=PLANE('',#366318); #16756=PLANE('',#366319); #16757=PLANE('',#366320); #16758=PLANE('',#366321); #16759=PLANE('',#366322); #16760=PLANE('',#366323); #16761=PLANE('',#366324); #16762=PLANE('',#366325); #16763=PLANE('',#366326); #16764=PLANE('',#366327); #16765=PLANE('',#366328); #16766=PLANE('',#366329); #16767=PLANE('',#366330); #16768=PLANE('',#366331); #16769=PLANE('',#366332); #16770=PLANE('',#366333); #16771=PLANE('',#366334); #16772=PLANE('',#366335); #16773=PLANE('',#366336); #16774=PLANE('',#366337); #16775=PLANE('',#366338); #16776=PLANE('',#366339); #16777=PLANE('',#366340); #16778=PLANE('',#366341); #16779=PLANE('',#366342); #16780=PLANE('',#366343); #16781=PLANE('',#366344); #16782=PLANE('',#366345); #16783=PLANE('',#366346); #16784=PLANE('',#366347); #16785=PLANE('',#366348); #16786=PLANE('',#366349); #16787=PLANE('',#366350); #16788=PLANE('',#366351); #16789=PLANE('',#366352); #16790=PLANE('',#366353); #16791=PLANE('',#366354); #16792=PLANE('',#366355); #16793=PLANE('',#366356); #16794=PLANE('',#366357); #16795=PLANE('',#366358); #16796=PLANE('',#366359); #16797=PLANE('',#366360); #16798=PLANE('',#366361); #16799=PLANE('',#366362); #16800=PLANE('',#366363); #16801=PLANE('',#366364); #16802=PLANE('',#366365); #16803=PLANE('',#366366); #16804=PLANE('',#366367); #16805=PLANE('',#366368); #16806=PLANE('',#366369); #16807=PLANE('',#366370); #16808=PLANE('',#366371); #16809=PLANE('',#366372); #16810=PLANE('',#366373); #16811=PLANE('',#366374); #16812=PLANE('',#366375); #16813=PLANE('',#366376); #16814=PLANE('',#366377); #16815=PLANE('',#366378); #16816=PLANE('',#366379); #16817=PLANE('',#366380); #16818=PLANE('',#366381); #16819=PLANE('',#366382); #16820=PLANE('',#366383); #16821=PLANE('',#366384); #16822=PLANE('',#366385); #16823=PLANE('',#366386); #16824=PLANE('',#366387); #16825=PLANE('',#366388); #16826=PLANE('',#366389); #16827=PLANE('',#366390); #16828=PLANE('',#366391); #16829=PLANE('',#366392); #16830=PLANE('',#366393); #16831=PLANE('',#366394); #16832=PLANE('',#366395); #16833=PLANE('',#366396); #16834=PLANE('',#366397); #16835=PLANE('',#366398); #16836=PLANE('',#366399); #16837=PLANE('',#366400); #16838=PLANE('',#366401); #16839=PLANE('',#366402); #16840=PLANE('',#366403); #16841=PLANE('',#366404); #16842=PLANE('',#366405); #16843=PLANE('',#366406); #16844=PLANE('',#366407); #16845=PLANE('',#366408); #16846=PLANE('',#366409); #16847=PLANE('',#366410); #16848=PLANE('',#366411); #16849=PLANE('',#366412); #16850=PLANE('',#366413); #16851=PLANE('',#366414); #16852=PLANE('',#366415); #16853=PLANE('',#366416); #16854=PLANE('',#366417); #16855=PLANE('',#366418); #16856=PLANE('',#366419); #16857=PLANE('',#366420); #16858=PLANE('',#366421); #16859=PLANE('',#366422); #16860=PLANE('',#366423); #16861=PLANE('',#366424); #16862=PLANE('',#366425); #16863=PLANE('',#366426); #16864=PLANE('',#366427); #16865=PLANE('',#366428); #16866=PLANE('',#366429); #16867=PLANE('',#366430); #16868=PLANE('',#366431); #16869=PLANE('',#366432); #16870=PLANE('',#366433); #16871=PLANE('',#366434); #16872=PLANE('',#366435); #16873=PLANE('',#366436); #16874=PLANE('',#366437); #16875=PLANE('',#366438); #16876=PLANE('',#366439); #16877=PLANE('',#366440); #16878=PLANE('',#366441); #16879=PLANE('',#366442); #16880=PLANE('',#366443); #16881=PLANE('',#366444); #16882=PLANE('',#366445); #16883=PLANE('',#366446); #16884=PLANE('',#366447); #16885=PLANE('',#366448); #16886=PLANE('',#366449); #16887=PLANE('',#366450); #16888=PLANE('',#366451); #16889=PLANE('',#366452); #16890=PLANE('',#366453); #16891=PLANE('',#366454); #16892=PLANE('',#366455); #16893=PLANE('',#366456); #16894=PLANE('',#366457); #16895=PLANE('',#366458); #16896=PLANE('',#366459); #16897=PLANE('',#366460); #16898=PLANE('',#366461); #16899=PLANE('',#366462); #16900=PLANE('',#366463); #16901=PLANE('',#366464); #16902=PLANE('',#366465); #16903=PLANE('',#366466); #16904=PLANE('',#366467); #16905=PLANE('',#366468); #16906=PLANE('',#366469); #16907=PLANE('',#366470); #16908=PLANE('',#366471); #16909=PLANE('',#366472); #16910=PLANE('',#366473); #16911=PLANE('',#366474); #16912=PLANE('',#366475); #16913=PLANE('',#366476); #16914=PLANE('',#366477); #16915=PLANE('',#366478); #16916=PLANE('',#366479); #16917=PLANE('',#366480); #16918=PLANE('',#366481); #16919=PLANE('',#366482); #16920=PLANE('',#366483); #16921=PLANE('',#366484); #16922=PLANE('',#366485); #16923=PLANE('',#366486); #16924=PLANE('',#366487); #16925=PLANE('',#366488); #16926=PLANE('',#366489); #16927=PLANE('',#366490); #16928=PLANE('',#366491); #16929=PLANE('',#366492); #16930=PLANE('',#366493); #16931=PLANE('',#366494); #16932=PLANE('',#366495); #16933=PLANE('',#366496); #16934=PLANE('',#366497); #16935=PLANE('',#366498); #16936=PLANE('',#366499); #16937=PLANE('',#366500); #16938=PLANE('',#366501); #16939=PLANE('',#366502); #16940=PLANE('',#366503); #16941=PLANE('',#366504); #16942=PLANE('',#366505); #16943=PLANE('',#366506); #16944=PLANE('',#366507); #16945=PLANE('',#366508); #16946=PLANE('',#366509); #16947=PLANE('',#366510); #16948=PLANE('',#366511); #16949=PLANE('',#366512); #16950=PLANE('',#366513); #16951=PLANE('',#366514); #16952=PLANE('',#366515); #16953=PLANE('',#366516); #16954=PLANE('',#366517); #16955=PLANE('',#366518); #16956=PLANE('',#366519); #16957=PLANE('',#366520); #16958=PLANE('',#366521); #16959=PLANE('',#366522); #16960=PLANE('',#366523); #16961=PLANE('',#366524); #16962=PLANE('',#366525); #16963=PLANE('',#366526); #16964=PLANE('',#366527); #16965=PLANE('',#366528); #16966=PLANE('',#366529); #16967=PLANE('',#366530); #16968=PLANE('',#366531); #16969=PLANE('',#366532); #16970=PLANE('',#366533); #16971=PLANE('',#366534); #16972=PLANE('',#366535); #16973=PLANE('',#366536); #16974=PLANE('',#366537); #16975=PLANE('',#366538); #16976=PLANE('',#366539); #16977=PLANE('',#366540); #16978=PLANE('',#366541); #16979=PLANE('',#366542); #16980=PLANE('',#366543); #16981=PLANE('',#366544); #16982=PLANE('',#366545); #16983=PLANE('',#366546); #16984=PLANE('',#366547); #16985=PLANE('',#366548); #16986=PLANE('',#366549); #16987=PLANE('',#366550); #16988=PLANE('',#366551); #16989=PLANE('',#366552); #16990=PLANE('',#366553); #16991=PLANE('',#366554); #16992=PLANE('',#366555); #16993=PLANE('',#366556); #16994=PLANE('',#366557); #16995=PLANE('',#366558); #16996=PLANE('',#366559); #16997=PLANE('',#366560); #16998=PLANE('',#366561); #16999=PLANE('',#366562); #17000=PLANE('',#366563); #17001=PLANE('',#366564); #17002=PLANE('',#366565); #17003=PLANE('',#366566); #17004=PLANE('',#366567); #17005=PLANE('',#366568); #17006=PLANE('',#366569); #17007=PLANE('',#366570); #17008=PLANE('',#366571); #17009=PLANE('',#366572); #17010=PLANE('',#366573); #17011=PLANE('',#366574); #17012=PLANE('',#366575); #17013=PLANE('',#366576); #17014=PLANE('',#366577); #17015=PLANE('',#366578); #17016=PLANE('',#366579); #17017=PLANE('',#366580); #17018=PLANE('',#366581); #17019=PLANE('',#366582); #17020=PLANE('',#366583); #17021=PLANE('',#366584); #17022=PLANE('',#366585); #17023=PLANE('',#366586); #17024=PLANE('',#366587); #17025=PLANE('',#366588); #17026=PLANE('',#366589); #17027=PLANE('',#366590); #17028=PLANE('',#366591); #17029=PLANE('',#366592); #17030=PLANE('',#366593); #17031=PLANE('',#366594); #17032=PLANE('',#366595); #17033=PLANE('',#366596); #17034=PLANE('',#366597); #17035=PLANE('',#366598); #17036=PLANE('',#366599); #17037=PLANE('',#366600); #17038=PLANE('',#366601); #17039=PLANE('',#366602); #17040=PLANE('',#366603); #17041=PLANE('',#366604); #17042=PLANE('',#366605); #17043=PLANE('',#366606); #17044=PLANE('',#366607); #17045=PLANE('',#366608); #17046=PLANE('',#366609); #17047=PLANE('',#366610); #17048=PLANE('',#366611); #17049=PLANE('',#366612); #17050=PLANE('',#366613); #17051=PLANE('',#366614); #17052=PLANE('',#366615); #17053=PLANE('',#366616); #17054=PLANE('',#366617); #17055=PLANE('',#366618); #17056=PLANE('',#366619); #17057=PLANE('',#366620); #17058=PLANE('',#366621); #17059=PLANE('',#366622); #17060=PLANE('',#366623); #17061=PLANE('',#366624); #17062=PLANE('',#366625); #17063=PLANE('',#366626); #17064=PLANE('',#366627); #17065=PLANE('',#366628); #17066=PLANE('',#366629); #17067=PLANE('',#366630); #17068=PLANE('',#366631); #17069=PLANE('',#366632); #17070=PLANE('',#366633); #17071=PLANE('',#366634); #17072=PLANE('',#366635); #17073=PLANE('',#366636); #17074=PLANE('',#366637); #17075=PLANE('',#366638); #17076=PLANE('',#366639); #17077=PLANE('',#366640); #17078=PLANE('',#366641); #17079=PLANE('',#366642); #17080=PLANE('',#366643); #17081=PLANE('',#366644); #17082=PLANE('',#366645); #17083=PLANE('',#366646); #17084=PLANE('',#366647); #17085=PLANE('',#366648); #17086=PLANE('',#366649); #17087=PLANE('',#366650); #17088=PLANE('',#366651); #17089=PLANE('',#366652); #17090=PLANE('',#366653); #17091=PLANE('',#366654); #17092=PLANE('',#366655); #17093=PLANE('',#366656); #17094=PLANE('',#366657); #17095=PLANE('',#366658); #17096=PLANE('',#366659); #17097=PLANE('',#366660); #17098=PLANE('',#366661); #17099=PLANE('',#366662); #17100=PLANE('',#366663); #17101=PLANE('',#366664); #17102=PLANE('',#366665); #17103=PLANE('',#366666); #17104=PLANE('',#366667); #17105=PLANE('',#366668); #17106=PLANE('',#366669); #17107=PLANE('',#366670); #17108=PLANE('',#366671); #17109=PLANE('',#366672); #17110=PLANE('',#366673); #17111=PLANE('',#366674); #17112=PLANE('',#366675); #17113=PLANE('',#366676); #17114=PLANE('',#366677); #17115=PLANE('',#366678); #17116=PLANE('',#366679); #17117=PLANE('',#366680); #17118=PLANE('',#366681); #17119=PLANE('',#366682); #17120=PLANE('',#366683); #17121=PLANE('',#366684); #17122=PLANE('',#366685); #17123=PLANE('',#366686); #17124=PLANE('',#366687); #17125=PLANE('',#366688); #17126=PLANE('',#366689); #17127=PLANE('',#366690); #17128=PLANE('',#366691); #17129=PLANE('',#366692); #17130=PLANE('',#366693); #17131=PLANE('',#366694); #17132=PLANE('',#366695); #17133=PLANE('',#366696); #17134=PLANE('',#366697); #17135=PLANE('',#366698); #17136=PLANE('',#366699); #17137=PLANE('',#366700); #17138=PLANE('',#366701); #17139=PLANE('',#366702); #17140=PLANE('',#366703); #17141=PLANE('',#366704); #17142=PLANE('',#366705); #17143=PLANE('',#366706); #17144=PLANE('',#366707); #17145=PLANE('',#366708); #17146=PLANE('',#366709); #17147=PLANE('',#366710); #17148=PLANE('',#366711); #17149=PLANE('',#366712); #17150=PLANE('',#366713); #17151=PLANE('',#366714); #17152=PLANE('',#366715); #17153=PLANE('',#366716); #17154=PLANE('',#366717); #17155=PLANE('',#366718); #17156=PLANE('',#366719); #17157=PLANE('',#366720); #17158=PLANE('',#366721); #17159=PLANE('',#366722); #17160=PLANE('',#366723); #17161=PLANE('',#366724); #17162=PLANE('',#366725); #17163=PLANE('',#366726); #17164=PLANE('',#366727); #17165=PLANE('',#366728); #17166=PLANE('',#366729); #17167=PLANE('',#366730); #17168=PLANE('',#366731); #17169=PLANE('',#366732); #17170=PLANE('',#366733); #17171=PLANE('',#366734); #17172=PLANE('',#366735); #17173=PLANE('',#366736); #17174=PLANE('',#366737); #17175=PLANE('',#366738); #17176=PLANE('',#366739); #17177=PLANE('',#366740); #17178=PLANE('',#366741); #17179=PLANE('',#366742); #17180=PLANE('',#366743); #17181=PLANE('',#366744); #17182=PLANE('',#366745); #17183=PLANE('',#366746); #17184=PLANE('',#366747); #17185=PLANE('',#366748); #17186=PLANE('',#366749); #17187=PLANE('',#366750); #17188=PLANE('',#366751); #17189=PLANE('',#366752); #17190=PLANE('',#366753); #17191=PLANE('',#366754); #17192=PLANE('',#366755); #17193=PLANE('',#366756); #17194=PLANE('',#366757); #17195=PLANE('',#366758); #17196=PLANE('',#366759); #17197=PLANE('',#366760); #17198=PLANE('',#366761); #17199=PLANE('',#366762); #17200=PLANE('',#366763); #17201=PLANE('',#366764); #17202=PLANE('',#366765); #17203=PLANE('',#366766); #17204=PLANE('',#366767); #17205=PLANE('',#366768); #17206=PLANE('',#366769); #17207=PLANE('',#366770); #17208=PLANE('',#366771); #17209=PLANE('',#366772); #17210=PLANE('',#366773); #17211=PLANE('',#366774); #17212=PLANE('',#366775); #17213=PLANE('',#366776); #17214=PLANE('',#366777); #17215=PLANE('',#366778); #17216=PLANE('',#366779); #17217=PLANE('',#366780); #17218=PLANE('',#366781); #17219=PLANE('',#366782); #17220=PLANE('',#366783); #17221=PLANE('',#366784); #17222=PLANE('',#366785); #17223=PLANE('',#366786); #17224=PLANE('',#366787); #17225=PLANE('',#366788); #17226=PLANE('',#366789); #17227=PLANE('',#366790); #17228=PLANE('',#366791); #17229=PLANE('',#366792); #17230=PLANE('',#366793); #17231=PLANE('',#366794); #17232=PLANE('',#366795); #17233=PLANE('',#366796); #17234=PLANE('',#366797); #17235=PLANE('',#366798); #17236=PLANE('',#366799); #17237=PLANE('',#366800); #17238=PLANE('',#366801); #17239=PLANE('',#366802); #17240=PLANE('',#366803); #17241=PLANE('',#366804); #17242=PLANE('',#366805); #17243=PLANE('',#366806); #17244=PLANE('',#366807); #17245=PLANE('',#366808); #17246=PLANE('',#366809); #17247=PLANE('',#366810); #17248=PLANE('',#366811); #17249=PLANE('',#366812); #17250=PLANE('',#366813); #17251=PLANE('',#366814); #17252=PLANE('',#366815); #17253=PLANE('',#366816); #17254=PLANE('',#366817); #17255=PLANE('',#366818); #17256=PLANE('',#366819); #17257=PLANE('',#366820); #17258=PLANE('',#366821); #17259=PLANE('',#366822); #17260=PLANE('',#366823); #17261=PLANE('',#366824); #17262=PLANE('',#366825); #17263=PLANE('',#366826); #17264=PLANE('',#366827); #17265=PLANE('',#366828); #17266=PLANE('',#366829); #17267=PLANE('',#366830); #17268=PLANE('',#366831); #17269=PLANE('',#366832); #17270=PLANE('',#366833); #17271=PLANE('',#366834); #17272=PLANE('',#366835); #17273=PLANE('',#366836); #17274=PLANE('',#366837); #17275=PLANE('',#366838); #17276=PLANE('',#366839); #17277=PLANE('',#366840); #17278=PLANE('',#366841); #17279=PLANE('',#366842); #17280=PLANE('',#366843); #17281=PLANE('',#366844); #17282=PLANE('',#366845); #17283=PLANE('',#366846); #17284=PLANE('',#366847); #17285=PLANE('',#366848); #17286=PLANE('',#366849); #17287=PLANE('',#366850); #17288=PLANE('',#366851); #17289=PLANE('',#366852); #17290=PLANE('',#366853); #17291=PLANE('',#366854); #17292=PLANE('',#366855); #17293=PLANE('',#366856); #17294=PLANE('',#366857); #17295=PLANE('',#366858); #17296=PLANE('',#366859); #17297=PLANE('',#366860); #17298=PLANE('',#366861); #17299=PLANE('',#366862); #17300=PLANE('',#366863); #17301=PLANE('',#366864); #17302=PLANE('',#366865); #17303=PLANE('',#366866); #17304=PLANE('',#366867); #17305=PLANE('',#366868); #17306=PLANE('',#366869); #17307=PLANE('',#366870); #17308=PLANE('',#366871); #17309=PLANE('',#366872); #17310=PLANE('',#366873); #17311=PLANE('',#366874); #17312=PLANE('',#366875); #17313=PLANE('',#366876); #17314=PLANE('',#366877); #17315=PLANE('',#366878); #17316=PLANE('',#366879); #17317=PLANE('',#366880); #17318=PLANE('',#366881); #17319=PLANE('',#366882); #17320=PLANE('',#366883); #17321=PLANE('',#366884); #17322=PLANE('',#366885); #17323=PLANE('',#366886); #17324=PLANE('',#366887); #17325=PLANE('',#366888); #17326=PLANE('',#366889); #17327=PLANE('',#366890); #17328=PLANE('',#366891); #17329=PLANE('',#366892); #17330=PLANE('',#366893); #17331=PLANE('',#366894); #17332=PLANE('',#366895); #17333=PLANE('',#366896); #17334=PLANE('',#366897); #17335=PLANE('',#366898); #17336=PLANE('',#366899); #17337=PLANE('',#366900); #17338=PLANE('',#366901); #17339=PLANE('',#366902); #17340=PLANE('',#366903); #17341=PLANE('',#366904); #17342=PLANE('',#366905); #17343=PLANE('',#366906); #17344=PLANE('',#366907); #17345=PLANE('',#366908); #17346=PLANE('',#366909); #17347=PLANE('',#366910); #17348=PLANE('',#366911); #17349=PLANE('',#366912); #17350=PLANE('',#366913); #17351=PLANE('',#366914); #17352=PLANE('',#366915); #17353=PLANE('',#366916); #17354=PLANE('',#366917); #17355=PLANE('',#366918); #17356=PLANE('',#366919); #17357=PLANE('',#366920); #17358=PLANE('',#366921); #17359=PLANE('',#366922); #17360=PLANE('',#366923); #17361=PLANE('',#366924); #17362=PLANE('',#366925); #17363=PLANE('',#366926); #17364=PLANE('',#366927); #17365=PLANE('',#366928); #17366=PLANE('',#366929); #17367=PLANE('',#366930); #17368=PLANE('',#366931); #17369=PLANE('',#366932); #17370=PLANE('',#366933); #17371=PLANE('',#366934); #17372=PLANE('',#366935); #17373=PLANE('',#366936); #17374=PLANE('',#366937); #17375=PLANE('',#366938); #17376=PLANE('',#366939); #17377=PLANE('',#366940); #17378=PLANE('',#366941); #17379=PLANE('',#366942); #17380=PLANE('',#366943); #17381=PLANE('',#366944); #17382=PLANE('',#366945); #17383=PLANE('',#366946); #17384=PLANE('',#366947); #17385=PLANE('',#366948); #17386=PLANE('',#366949); #17387=PLANE('',#366950); #17388=PLANE('',#366951); #17389=PLANE('',#366952); #17390=PLANE('',#366953); #17391=PLANE('',#366954); #17392=PLANE('',#366955); #17393=PLANE('',#366956); #17394=PLANE('',#366957); #17395=PLANE('',#366958); #17396=PLANE('',#366959); #17397=PLANE('',#366960); #17398=PLANE('',#366961); #17399=PLANE('',#366962); #17400=PLANE('',#366963); #17401=PLANE('',#366964); #17402=PLANE('',#366965); #17403=PLANE('',#366966); #17404=PLANE('',#366967); #17405=PLANE('',#366968); #17406=PLANE('',#366969); #17407=PLANE('',#366970); #17408=PLANE('',#366971); #17409=PLANE('',#366972); #17410=PLANE('',#366973); #17411=PLANE('',#366974); #17412=PLANE('',#366975); #17413=PLANE('',#366976); #17414=PLANE('',#366977); #17415=PLANE('',#366978); #17416=PLANE('',#366979); #17417=PLANE('',#366980); #17418=PLANE('',#366981); #17419=PLANE('',#366982); #17420=PLANE('',#366983); #17421=PLANE('',#366984); #17422=PLANE('',#366985); #17423=PLANE('',#366986); #17424=PLANE('',#366987); #17425=PLANE('',#366988); #17426=PLANE('',#366989); #17427=PLANE('',#366990); #17428=PLANE('',#366991); #17429=PLANE('',#366992); #17430=PLANE('',#366993); #17431=PLANE('',#366994); #17432=PLANE('',#366995); #17433=PLANE('',#366996); #17434=PLANE('',#366997); #17435=PLANE('',#366998); #17436=PLANE('',#366999); #17437=PLANE('',#367000); #17438=PLANE('',#367001); #17439=PLANE('',#367002); #17440=PLANE('',#367003); #17441=PLANE('',#367004); #17442=PLANE('',#367005); #17443=PLANE('',#367006); #17444=PLANE('',#367007); #17445=PLANE('',#367008); #17446=PLANE('',#367009); #17447=PLANE('',#367010); #17448=PLANE('',#367011); #17449=PLANE('',#367012); #17450=PLANE('',#367013); #17451=PLANE('',#367014); #17452=PLANE('',#367015); #17453=PLANE('',#367016); #17454=PLANE('',#367017); #17455=PLANE('',#367018); #17456=PLANE('',#367019); #17457=PLANE('',#367020); #17458=PLANE('',#367021); #17459=PLANE('',#367022); #17460=PLANE('',#367023); #17461=PLANE('',#367024); #17462=PLANE('',#367025); #17463=PLANE('',#367026); #17464=PLANE('',#367027); #17465=PLANE('',#367028); #17466=PLANE('',#367029); #17467=PLANE('',#367030); #17468=PLANE('',#367031); #17469=PLANE('',#367032); #17470=PLANE('',#367033); #17471=PLANE('',#367034); #17472=PLANE('',#367035); #17473=PLANE('',#367036); #17474=PLANE('',#367037); #17475=PLANE('',#367038); #17476=PLANE('',#367039); #17477=PLANE('',#367040); #17478=PLANE('',#367041); #17479=PLANE('',#367042); #17480=PLANE('',#367043); #17481=PLANE('',#367044); #17482=PLANE('',#367045); #17483=PLANE('',#367046); #17484=PLANE('',#367047); #17485=PLANE('',#367048); #17486=PLANE('',#367049); #17487=PLANE('',#367050); #17488=PLANE('',#367051); #17489=PLANE('',#367052); #17490=PLANE('',#367053); #17491=PLANE('',#367054); #17492=PLANE('',#367055); #17493=PLANE('',#367056); #17494=PLANE('',#367057); #17495=PLANE('',#367058); #17496=PLANE('',#367059); #17497=PLANE('',#367060); #17498=PLANE('',#367061); #17499=PLANE('',#367062); #17500=PLANE('',#367063); #17501=PLANE('',#367064); #17502=PLANE('',#367065); #17503=PLANE('',#367066); #17504=PLANE('',#367067); #17505=PLANE('',#367068); #17506=PLANE('',#367069); #17507=PLANE('',#367070); #17508=PLANE('',#367071); #17509=PLANE('',#367072); #17510=PLANE('',#367073); #17511=PLANE('',#367074); #17512=PLANE('',#367075); #17513=PLANE('',#367076); #17514=PLANE('',#367077); #17515=PLANE('',#367078); #17516=PLANE('',#367079); #17517=PLANE('',#367080); #17518=PLANE('',#367081); #17519=PLANE('',#367082); #17520=PLANE('',#367083); #17521=PLANE('',#367084); #17522=PLANE('',#367085); #17523=PLANE('',#367086); #17524=PLANE('',#367087); #17525=PLANE('',#367088); #17526=PLANE('',#367089); #17527=PLANE('',#367090); #17528=PLANE('',#367091); #17529=PLANE('',#367092); #17530=PLANE('',#367093); #17531=PLANE('',#367094); #17532=PLANE('',#367095); #17533=PLANE('',#367096); #17534=PLANE('',#367097); #17535=PLANE('',#367098); #17536=PLANE('',#367099); #17537=PLANE('',#367100); #17538=PLANE('',#367101); #17539=PLANE('',#367102); #17540=PLANE('',#367103); #17541=PLANE('',#367104); #17542=PLANE('',#367105); #17543=PLANE('',#367106); #17544=PLANE('',#367107); #17545=PLANE('',#367108); #17546=PLANE('',#367109); #17547=PLANE('',#367110); #17548=PLANE('',#367111); #17549=PLANE('',#367112); #17550=PLANE('',#367113); #17551=PLANE('',#367114); #17552=PLANE('',#367115); #17553=PLANE('',#367116); #17554=PLANE('',#367117); #17555=PLANE('',#367118); #17556=PLANE('',#367119); #17557=PLANE('',#367120); #17558=PLANE('',#367121); #17559=PLANE('',#367122); #17560=PLANE('',#367123); #17561=PLANE('',#367124); #17562=PLANE('',#367125); #17563=PLANE('',#367126); #17564=PLANE('',#367127); #17565=PLANE('',#367128); #17566=PLANE('',#367129); #17567=PLANE('',#367130); #17568=PLANE('',#367131); #17569=PLANE('',#367132); #17570=PLANE('',#367133); #17571=PLANE('',#367134); #17572=PLANE('',#367135); #17573=PLANE('',#367136); #17574=PLANE('',#367137); #17575=PLANE('',#367138); #17576=PLANE('',#367139); #17577=PLANE('',#367140); #17578=PLANE('',#367141); #17579=PLANE('',#367142); #17580=PLANE('',#367143); #17581=PLANE('',#367144); #17582=PLANE('',#367145); #17583=PLANE('',#367146); #17584=PLANE('',#367147); #17585=PLANE('',#367148); #17586=PLANE('',#367149); #17587=PLANE('',#367150); #17588=PLANE('',#367151); #17589=PLANE('',#367152); #17590=PLANE('',#367153); #17591=PLANE('',#367154); #17592=PLANE('',#367155); #17593=PLANE('',#367156); #17594=PLANE('',#367157); #17595=PLANE('',#367158); #17596=PLANE('',#367159); #17597=PLANE('',#367160); #17598=PLANE('',#367161); #17599=PLANE('',#367162); #17600=PLANE('',#367163); #17601=PLANE('',#367164); #17602=PLANE('',#367165); #17603=PLANE('',#367166); #17604=PLANE('',#367167); #17605=PLANE('',#367168); #17606=PLANE('',#367169); #17607=PLANE('',#367170); #17608=PLANE('',#367171); #17609=PLANE('',#367172); #17610=PLANE('',#367173); #17611=PLANE('',#367174); #17612=PLANE('',#367175); #17613=PLANE('',#367176); #17614=PLANE('',#367177); #17615=PLANE('',#367178); #17616=PLANE('',#367179); #17617=PLANE('',#367180); #17618=PLANE('',#367181); #17619=PLANE('',#367182); #17620=PLANE('',#367183); #17621=PLANE('',#367184); #17622=PLANE('',#367185); #17623=PLANE('',#367186); #17624=PLANE('',#367187); #17625=PLANE('',#367188); #17626=PLANE('',#367189); #17627=PLANE('',#367190); #17628=PLANE('',#367191); #17629=PLANE('',#367192); #17630=PLANE('',#367193); #17631=PLANE('',#367194); #17632=PLANE('',#367195); #17633=PLANE('',#367196); #17634=PLANE('',#367197); #17635=PLANE('',#367198); #17636=PLANE('',#367199); #17637=PLANE('',#367200); #17638=PLANE('',#367201); #17639=PLANE('',#367202); #17640=PLANE('',#367203); #17641=PLANE('',#367204); #17642=PLANE('',#367205); #17643=PLANE('',#367206); #17644=PLANE('',#367207); #17645=PLANE('',#367208); #17646=PLANE('',#367209); #17647=PLANE('',#367210); #17648=PLANE('',#367211); #17649=PLANE('',#367212); #17650=PLANE('',#367213); #17651=PLANE('',#367214); #17652=PLANE('',#367215); #17653=PLANE('',#367216); #17654=PLANE('',#367217); #17655=PLANE('',#367218); #17656=PLANE('',#367219); #17657=PLANE('',#367220); #17658=PLANE('',#367221); #17659=PLANE('',#367222); #17660=PLANE('',#367223); #17661=PLANE('',#367224); #17662=PLANE('',#367225); #17663=PLANE('',#367226); #17664=PLANE('',#367227); #17665=PLANE('',#367228); #17666=PLANE('',#367229); #17667=PLANE('',#367230); #17668=PLANE('',#367231); #17669=PLANE('',#367232); #17670=PLANE('',#367233); #17671=PLANE('',#367234); #17672=PLANE('',#367235); #17673=PLANE('',#367236); #17674=PLANE('',#367237); #17675=PLANE('',#367238); #17676=PLANE('',#367239); #17677=PLANE('',#367240); #17678=PLANE('',#367241); #17679=PLANE('',#367242); #17680=PLANE('',#367243); #17681=PLANE('',#367244); #17682=PLANE('',#367245); #17683=PLANE('',#367246); #17684=PLANE('',#367247); #17685=PLANE('',#367248); #17686=PLANE('',#367249); #17687=PLANE('',#367250); #17688=PLANE('',#367251); #17689=PLANE('',#367252); #17690=PLANE('',#367253); #17691=PLANE('',#367254); #17692=PLANE('',#367255); #17693=PLANE('',#367256); #17694=PLANE('',#367257); #17695=PLANE('',#367258); #17696=PLANE('',#367259); #17697=PLANE('',#367260); #17698=PLANE('',#367261); #17699=PLANE('',#367262); #17700=PLANE('',#367263); #17701=PLANE('',#367264); #17702=PLANE('',#367265); #17703=PLANE('',#367266); #17704=PLANE('',#367267); #17705=PLANE('',#367268); #17706=PLANE('',#367269); #17707=PLANE('',#367270); #17708=PLANE('',#367271); #17709=PLANE('',#367272); #17710=PLANE('',#367273); #17711=PLANE('',#367274); #17712=PLANE('',#367275); #17713=PLANE('',#367276); #17714=PLANE('',#367277); #17715=PLANE('',#367278); #17716=PLANE('',#367279); #17717=PLANE('',#367280); #17718=PLANE('',#367281); #17719=PLANE('',#367282); #17720=PLANE('',#367283); #17721=PLANE('',#367284); #17722=PLANE('',#367285); #17723=PLANE('',#367286); #17724=PLANE('',#367287); #17725=PLANE('',#367288); #17726=PLANE('',#367289); #17727=PLANE('',#367290); #17728=PLANE('',#367291); #17729=PLANE('',#367292); #17730=PLANE('',#367293); #17731=PLANE('',#367294); #17732=PLANE('',#367295); #17733=PLANE('',#367296); #17734=PLANE('',#367297); #17735=PLANE('',#367298); #17736=PLANE('',#367299); #17737=PLANE('',#367300); #17738=PLANE('',#367301); #17739=PLANE('',#367302); #17740=PLANE('',#367303); #17741=PLANE('',#367304); #17742=PLANE('',#367305); #17743=PLANE('',#367306); #17744=PLANE('',#367307); #17745=PLANE('',#367308); #17746=PLANE('',#367309); #17747=PLANE('',#367310); #17748=PLANE('',#367311); #17749=PLANE('',#367312); #17750=PLANE('',#367313); #17751=PLANE('',#367314); #17752=PLANE('',#367315); #17753=PLANE('',#367316); #17754=PLANE('',#367317); #17755=PLANE('',#367318); #17756=PLANE('',#367319); #17757=PLANE('',#367320); #17758=PLANE('',#367321); #17759=PLANE('',#367322); #17760=PLANE('',#367323); #17761=PLANE('',#367324); #17762=PLANE('',#367325); #17763=PLANE('',#367326); #17764=PLANE('',#367327); #17765=PLANE('',#367328); #17766=PLANE('',#367329); #17767=PLANE('',#367330); #17768=PLANE('',#367331); #17769=PLANE('',#367332); #17770=PLANE('',#367333); #17771=PLANE('',#367334); #17772=PLANE('',#367335); #17773=PLANE('',#367336); #17774=PLANE('',#367337); #17775=PLANE('',#367338); #17776=PLANE('',#367339); #17777=PLANE('',#367340); #17778=PLANE('',#367341); #17779=PLANE('',#367342); #17780=PLANE('',#367343); #17781=PLANE('',#367344); #17782=PLANE('',#367345); #17783=PLANE('',#367346); #17784=PLANE('',#367347); #17785=PLANE('',#367348); #17786=PLANE('',#367349); #17787=PLANE('',#367350); #17788=PLANE('',#367351); #17789=PLANE('',#367352); #17790=PLANE('',#367353); #17791=PLANE('',#367354); #17792=PLANE('',#367355); #17793=PLANE('',#367356); #17794=PLANE('',#367357); #17795=PLANE('',#367358); #17796=PLANE('',#367359); #17797=PLANE('',#367360); #17798=PLANE('',#367361); #17799=PLANE('',#367362); #17800=PLANE('',#367363); #17801=PLANE('',#367364); #17802=PLANE('',#367365); #17803=PLANE('',#367366); #17804=PLANE('',#367367); #17805=PLANE('',#367368); #17806=PLANE('',#367369); #17807=PLANE('',#367370); #17808=PLANE('',#367371); #17809=PLANE('',#367372); #17810=PLANE('',#367373); #17811=PLANE('',#367374); #17812=PLANE('',#367375); #17813=PLANE('',#367376); #17814=PLANE('',#367377); #17815=PLANE('',#367378); #17816=PLANE('',#367379); #17817=PLANE('',#367380); #17818=PLANE('',#367381); #17819=PLANE('',#367382); #17820=PLANE('',#367383); #17821=PLANE('',#367384); #17822=PLANE('',#367385); #17823=PLANE('',#367386); #17824=PLANE('',#367387); #17825=PLANE('',#367388); #17826=PLANE('',#367389); #17827=PLANE('',#367390); #17828=PLANE('',#367391); #17829=PLANE('',#367392); #17830=PLANE('',#367393); #17831=PLANE('',#367394); #17832=PLANE('',#367395); #17833=PLANE('',#367396); #17834=PLANE('',#367397); #17835=PLANE('',#367398); #17836=PLANE('',#367399); #17837=PLANE('',#367400); #17838=PLANE('',#367401); #17839=PLANE('',#367402); #17840=PLANE('',#367403); #17841=PLANE('',#367404); #17842=PLANE('',#367405); #17843=PLANE('',#367406); #17844=PLANE('',#367407); #17845=PLANE('',#367408); #17846=PLANE('',#367409); #17847=PLANE('',#367410); #17848=PLANE('',#367411); #17849=PLANE('',#367412); #17850=PLANE('',#367413); #17851=PLANE('',#367414); #17852=PLANE('',#367415); #17853=PLANE('',#367416); #17854=PLANE('',#367417); #17855=PLANE('',#367418); #17856=PLANE('',#367419); #17857=PLANE('',#367420); #17858=PLANE('',#367421); #17859=PLANE('',#367422); #17860=PLANE('',#367423); #17861=PLANE('',#367424); #17862=PLANE('',#367425); #17863=PLANE('',#367426); #17864=PLANE('',#367427); #17865=PLANE('',#367428); #17866=PLANE('',#367429); #17867=PLANE('',#367430); #17868=PLANE('',#367431); #17869=PLANE('',#367432); #17870=PLANE('',#367433); #17871=PLANE('',#367434); #17872=PLANE('',#367435); #17873=PLANE('',#367436); #17874=PLANE('',#367437); #17875=PLANE('',#367438); #17876=PLANE('',#367439); #17877=PLANE('',#367440); #17878=PLANE('',#367441); #17879=PLANE('',#367442); #17880=PLANE('',#367443); #17881=PLANE('',#367444); #17882=PLANE('',#367445); #17883=PLANE('',#367446); #17884=PLANE('',#367447); #17885=PLANE('',#367448); #17886=PLANE('',#367449); #17887=PLANE('',#367450); #17888=PLANE('',#367451); #17889=PLANE('',#367452); #17890=PLANE('',#367453); #17891=PLANE('',#367454); #17892=PLANE('',#367455); #17893=PLANE('',#367456); #17894=PLANE('',#367457); #17895=PLANE('',#367458); #17896=PLANE('',#367459); #17897=PLANE('',#367460); #17898=PLANE('',#367461); #17899=PLANE('',#367462); #17900=PLANE('',#367463); #17901=PLANE('',#367464); #17902=PLANE('',#367465); #17903=PLANE('',#367466); #17904=PLANE('',#367467); #17905=PLANE('',#367468); #17906=PLANE('',#367469); #17907=PLANE('',#367470); #17908=PLANE('',#367471); #17909=PLANE('',#367472); #17910=PLANE('',#367473); #17911=PLANE('',#367474); #17912=PLANE('',#367475); #17913=PLANE('',#367476); #17914=PLANE('',#367477); #17915=PLANE('',#367478); #17916=PLANE('',#367479); #17917=PLANE('',#367480); #17918=PLANE('',#367481); #17919=PLANE('',#367482); #17920=PLANE('',#367483); #17921=PLANE('',#367484); #17922=PLANE('',#367485); #17923=PLANE('',#367486); #17924=PLANE('',#367487); #17925=PLANE('',#367488); #17926=PLANE('',#367489); #17927=PLANE('',#367490); #17928=PLANE('',#367491); #17929=PLANE('',#367492); #17930=PLANE('',#367493); #17931=PLANE('',#367494); #17932=PLANE('',#367495); #17933=PLANE('',#367496); #17934=PLANE('',#367497); #17935=PLANE('',#367498); #17936=PLANE('',#367499); #17937=PLANE('',#367500); #17938=PLANE('',#367501); #17939=PLANE('',#367502); #17940=PLANE('',#367503); #17941=PLANE('',#367504); #17942=PLANE('',#367505); #17943=PLANE('',#367506); #17944=PLANE('',#367507); #17945=PLANE('',#367508); #17946=PLANE('',#367509); #17947=PLANE('',#367510); #17948=PLANE('',#367511); #17949=PLANE('',#367512); #17950=PLANE('',#367513); #17951=PLANE('',#367514); #17952=PLANE('',#367515); #17953=PLANE('',#367516); #17954=PLANE('',#367517); #17955=PLANE('',#367518); #17956=PLANE('',#367519); #17957=PLANE('',#367520); #17958=PLANE('',#367521); #17959=PLANE('',#367522); #17960=PLANE('',#367523); #17961=PLANE('',#367524); #17962=PLANE('',#367525); #17963=PLANE('',#367526); #17964=PLANE('',#367527); #17965=PLANE('',#367528); #17966=PLANE('',#367529); #17967=PLANE('',#367530); #17968=PLANE('',#367531); #17969=PLANE('',#367532); #17970=PLANE('',#367533); #17971=PLANE('',#367534); #17972=PLANE('',#367535); #17973=PLANE('',#367536); #17974=PLANE('',#367537); #17975=PLANE('',#367538); #17976=PLANE('',#367539); #17977=PLANE('',#367540); #17978=PLANE('',#367541); #17979=PLANE('',#367542); #17980=PLANE('',#367543); #17981=PLANE('',#367544); #17982=PLANE('',#367545); #17983=PLANE('',#367546); #17984=PLANE('',#367547); #17985=PLANE('',#367548); #17986=PLANE('',#367549); #17987=PLANE('',#367550); #17988=PLANE('',#367551); #17989=PLANE('',#367552); #17990=PLANE('',#367553); #17991=PLANE('',#367554); #17992=PLANE('',#367555); #17993=PLANE('',#367556); #17994=PLANE('',#367557); #17995=PLANE('',#367558); #17996=PLANE('',#367559); #17997=PLANE('',#367560); #17998=PLANE('',#367561); #17999=PLANE('',#367562); #18000=PLANE('',#367563); #18001=PLANE('',#367564); #18002=PLANE('',#367565); #18003=PLANE('',#367566); #18004=PLANE('',#367567); #18005=PLANE('',#367568); #18006=PLANE('',#367569); #18007=PLANE('',#367570); #18008=PLANE('',#367571); #18009=PLANE('',#367572); #18010=PLANE('',#367573); #18011=PLANE('',#367574); #18012=PLANE('',#367575); #18013=PLANE('',#367576); #18014=PLANE('',#367577); #18015=PLANE('',#367578); #18016=PLANE('',#367579); #18017=PLANE('',#367580); #18018=PLANE('',#367581); #18019=PLANE('',#367582); #18020=PLANE('',#367583); #18021=PLANE('',#367584); #18022=PLANE('',#367585); #18023=PLANE('',#367586); #18024=PLANE('',#367587); #18025=PLANE('',#367588); #18026=PLANE('',#367589); #18027=PLANE('',#367590); #18028=PLANE('',#367591); #18029=PLANE('',#367592); #18030=PLANE('',#367593); #18031=PLANE('',#367594); #18032=PLANE('',#367595); #18033=PLANE('',#367596); #18034=PLANE('',#367597); #18035=PLANE('',#367598); #18036=PLANE('',#367599); #18037=PLANE('',#367600); #18038=PLANE('',#367649); #18039=PLANE('',#367650); #18040=PLANE('',#367651); #18041=PLANE('',#367652); #18042=PLANE('',#367653); #18043=PLANE('',#367654); #18044=PLANE('',#367655); #18045=PLANE('',#367656); #18046=PLANE('',#367657); #18047=PLANE('',#367658); #18048=PLANE('',#367659); #18049=PLANE('',#367660); #18050=PLANE('',#367661); #18051=PLANE('',#367662); #18052=PLANE('',#367663); #18053=PLANE('',#367664); #18054=PLANE('',#367665); #18055=PLANE('',#367666); #18056=PLANE('',#367667); #18057=PLANE('',#367668); #18058=PLANE('',#367669); #18059=PLANE('',#367670); #18060=PLANE('',#367671); #18061=PLANE('',#367672); #18062=PLANE('',#367673); #18063=PLANE('',#367674); #18064=PLANE('',#367675); #18065=PLANE('',#367676); #18066=PLANE('',#367677); #18067=PLANE('',#367678); #18068=PLANE('',#367679); #18069=PLANE('',#367680); #18070=PLANE('',#367681); #18071=PLANE('',#367682); #18072=PLANE('',#367683); #18073=PLANE('',#367684); #18074=PLANE('',#367685); #18075=PLANE('',#367686); #18076=PLANE('',#367687); #18077=PLANE('',#367688); #18078=PLANE('',#367689); #18079=PLANE('',#367690); #18080=PLANE('',#367691); #18081=PLANE('',#367692); #18082=PLANE('',#367693); #18083=PLANE('',#367694); #18084=PLANE('',#367695); #18085=PLANE('',#367696); #18086=PLANE('',#367697); #18087=PLANE('',#367698); #18088=PLANE('',#367699); #18089=PLANE('',#367700); #18090=PLANE('',#367701); #18091=PLANE('',#367702); #18092=PLANE('',#367703); #18093=PLANE('',#367704); #18094=PLANE('',#367705); #18095=PLANE('',#367706); #18096=PLANE('',#367707); #18097=PLANE('',#367708); #18098=PLANE('',#367709); #18099=PLANE('',#367710); #18100=PLANE('',#367711); #18101=PLANE('',#367712); #18102=PLANE('',#367713); #18103=PLANE('',#367714); #18104=PLANE('',#367715); #18105=PLANE('',#367716); #18106=PLANE('',#367717); #18107=PLANE('',#367718); #18108=PLANE('',#367719); #18109=PLANE('',#367720); #18110=PLANE('',#367721); #18111=PLANE('',#367722); #18112=PLANE('',#367723); #18113=PLANE('',#367724); #18114=PLANE('',#367773); #18115=PLANE('',#367774); #18116=PLANE('',#367775); #18117=PLANE('',#367776); #18118=PLANE('',#367777); #18119=PLANE('',#367778); #18120=PLANE('',#367779); #18121=PLANE('',#367780); #18122=PLANE('',#367781); #18123=PLANE('',#367782); #18124=PLANE('',#367783); #18125=PLANE('',#367784); #18126=PLANE('',#367785); #18127=PLANE('',#367786); #18128=PLANE('',#367787); #18129=PLANE('',#367788); #18130=PLANE('',#367789); #18131=PLANE('',#367790); #18132=PLANE('',#367791); #18133=PLANE('',#367792); #18134=PLANE('',#367793); #18135=PLANE('',#367794); #18136=PLANE('',#367795); #18137=PLANE('',#367796); #18138=PLANE('',#367797); #18139=PLANE('',#367798); #18140=PLANE('',#367799); #18141=PLANE('',#367800); #18142=PLANE('',#367801); #18143=PLANE('',#367802); #18144=PLANE('',#367803); #18145=PLANE('',#367804); #18146=PLANE('',#367805); #18147=PLANE('',#367806); #18148=PLANE('',#367807); #18149=PLANE('',#367808); #18150=PLANE('',#367809); #18151=PLANE('',#367810); #18152=PLANE('',#367811); #18153=PLANE('',#367812); #18154=PLANE('',#367813); #18155=PLANE('',#367814); #18156=PLANE('',#367815); #18157=PLANE('',#367816); #18158=PLANE('',#367817); #18159=PLANE('',#367818); #18160=PLANE('',#367819); #18161=PLANE('',#367820); #18162=PLANE('',#367821); #18163=PLANE('',#367822); #18164=PLANE('',#367823); #18165=PLANE('',#367824); #18166=PLANE('',#367825); #18167=PLANE('',#367826); #18168=PLANE('',#367827); #18169=PLANE('',#367828); #18170=PLANE('',#367829); #18171=PLANE('',#367830); #18172=PLANE('',#367831); #18173=PLANE('',#367832); #18174=PLANE('',#367833); #18175=PLANE('',#367834); #18176=PLANE('',#367835); #18177=PLANE('',#367836); #18178=PLANE('',#367837); #18179=PLANE('',#367838); #18180=PLANE('',#367839); #18181=PLANE('',#367840); #18182=PLANE('',#367841); #18183=PLANE('',#367842); #18184=PLANE('',#367843); #18185=PLANE('',#367844); #18186=PLANE('',#367935); #18187=PLANE('',#367936); #18188=PLANE('',#367937); #18189=PLANE('',#367938); #18190=PLANE('',#367939); #18191=PLANE('',#367940); #18192=PLANE('',#367941); #18193=PLANE('',#367942); #18194=PLANE('',#367943); #18195=PLANE('',#367944); #18196=PLANE('',#367945); #18197=PLANE('',#367946); #18198=PLANE('',#367947); #18199=PLANE('',#367948); #18200=PLANE('',#367949); #18201=PLANE('',#367950); #18202=PLANE('',#367951); #18203=PLANE('',#367952); #18204=PLANE('',#367953); #18205=PLANE('',#367954); #18206=PLANE('',#367955); #18207=PLANE('',#367956); #18208=PLANE('',#367957); #18209=PLANE('',#367958); #18210=PLANE('',#367959); #18211=PLANE('',#367960); #18212=PLANE('',#367961); #18213=PLANE('',#367962); #18214=PLANE('',#367963); #18215=PLANE('',#367964); #18216=PLANE('',#367965); #18217=PLANE('',#367966); #18218=PLANE('',#367967); #18219=PLANE('',#367968); #18220=PLANE('',#367969); #18221=PLANE('',#367970); #18222=PLANE('',#367971); #18223=PLANE('',#367972); #18224=PLANE('',#367973); #18225=PLANE('',#367974); #18226=PLANE('',#367975); #18227=PLANE('',#367976); #18228=PLANE('',#367977); #18229=PLANE('',#367978); #18230=PLANE('',#367979); #18231=PLANE('',#367980); #18232=PLANE('',#367981); #18233=PLANE('',#367988); #18234=PLANE('',#367992); #18235=PLANE('',#367999); #18236=PLANE('',#368003); #18237=PLANE('',#368004); #18238=PLANE('',#368035); #18239=PLANE('',#368036); #18240=PLANE('',#368037); #18241=PLANE('',#368038); #18242=PLANE('',#368039); #18243=PLANE('',#368040); #18244=PLANE('',#368041); #18245=PLANE('',#368042); #18246=PLANE('',#368043); #18247=PLANE('',#368044); #18248=PLANE('',#368045); #18249=PLANE('',#368046); #18250=PLANE('',#368047); #18251=PLANE('',#368048); #18252=PLANE('',#368049); #18253=PLANE('',#368050); #18254=PLANE('',#368051); #18255=PLANE('',#368052); #18256=PLANE('',#368053); #18257=PLANE('',#368054); #18258=PLANE('',#368055); #18259=PLANE('',#368056); #18260=PLANE('',#368057); #18261=PLANE('',#368058); #18262=PLANE('',#368059); #18263=PLANE('',#368060); #18264=PLANE('',#368064); #18265=PLANE('',#368071); #18266=PLANE('',#368072); #18267=PLANE('',#368163); #18268=PLANE('',#368164); #18269=PLANE('',#368165); #18270=PLANE('',#368166); #18271=PLANE('',#368167); #18272=PLANE('',#368168); #18273=PLANE('',#368169); #18274=PLANE('',#368170); #18275=PLANE('',#368171); #18276=PLANE('',#368172); #18277=PLANE('',#368173); #18278=PLANE('',#368174); #18279=PLANE('',#368175); #18280=PLANE('',#368176); #18281=PLANE('',#368177); #18282=PLANE('',#368178); #18283=PLANE('',#368179); #18284=PLANE('',#368180); #18285=PLANE('',#368181); #18286=PLANE('',#368182); #18287=PLANE('',#368183); #18288=PLANE('',#368184); #18289=PLANE('',#368185); #18290=PLANE('',#368186); #18291=PLANE('',#368187); #18292=PLANE('',#368188); #18293=PLANE('',#368189); #18294=PLANE('',#368190); #18295=PLANE('',#368191); #18296=PLANE('',#368192); #18297=PLANE('',#368193); #18298=PLANE('',#368194); #18299=PLANE('',#368195); #18300=PLANE('',#368196); #18301=PLANE('',#368197); #18302=PLANE('',#368198); #18303=PLANE('',#368199); #18304=PLANE('',#368200); #18305=PLANE('',#368201); #18306=PLANE('',#368202); #18307=PLANE('',#368203); #18308=PLANE('',#368204); #18309=PLANE('',#368205); #18310=PLANE('',#368206); #18311=PLANE('',#368207); #18312=PLANE('',#368208); #18313=PLANE('',#368209); #18314=PLANE('',#368216); #18315=PLANE('',#368220); #18316=PLANE('',#368227); #18317=PLANE('',#368231); #18318=PLANE('',#368232); #18319=PLANE('',#368236); #18320=PLANE('',#368243); #18321=PLANE('',#368244); #18322=PLANE('',#368290); #18323=PLANE('',#368291); #18324=PLANE('',#368292); #18325=PLANE('',#368293); #18326=PLANE('',#368294); #18327=PLANE('',#368295); #18328=PLANE('',#368296); #18329=PLANE('',#368297); #18330=PLANE('',#368298); #18331=PLANE('',#368299); #18332=PLANE('',#368300); #18333=PLANE('',#368301); #18334=PLANE('',#368302); #18335=PLANE('',#368303); #18336=PLANE('',#368304); #18337=PLANE('',#368305); #18338=PLANE('',#368306); #18339=PLANE('',#368307); #18340=PLANE('',#368308); #18341=PLANE('',#368309); #18342=PLANE('',#368310); #18343=PLANE('',#368311); #18344=PLANE('',#368312); #18345=PLANE('',#368313); #18346=PLANE('',#368314); #18347=PLANE('',#368315); #18348=PLANE('',#368316); #18349=PLANE('',#368317); #18350=PLANE('',#368318); #18351=PLANE('',#368319); #18352=PLANE('',#368320); #18353=PLANE('',#368321); #18354=PLANE('',#368322); #18355=PLANE('',#368323); #18356=PLANE('',#368324); #18357=PLANE('',#368325); #18358=PLANE('',#368326); #18359=PLANE('',#368327); #18360=PLANE('',#368328); #18361=PLANE('',#368329); #18362=PLANE('',#368330); #18363=PLANE('',#368331); #18364=PLANE('',#368332); #18365=PLANE('',#368333); #18366=PLANE('',#368334); #18367=PLANE('',#368335); #18368=PLANE('',#368336); #18369=PLANE('',#368337); #18370=PLANE('',#368338); #18371=PLANE('',#368339); #18372=PLANE('',#368340); #18373=PLANE('',#368341); #18374=PLANE('',#368342); #18375=PLANE('',#368343); #18376=PLANE('',#368344); #18377=PLANE('',#368345); #18378=PLANE('',#368346); #18379=PLANE('',#368347); #18380=PLANE('',#368348); #18381=PLANE('',#368349); #18382=PLANE('',#368350); #18383=PLANE('',#368351); #18384=PLANE('',#368352); #18385=PLANE('',#368353); #18386=PLANE('',#368354); #18387=PLANE('',#368355); #18388=PLANE('',#368356); #18389=PLANE('',#368357); #18390=PLANE('',#368358); #18391=PLANE('',#368359); #18392=PLANE('',#368360); #18393=PLANE('',#368361); #18394=PLANE('',#368362); #18395=PLANE('',#368363); #18396=PLANE('',#368364); #18397=PLANE('',#368365); #18398=PLANE('',#368366); #18399=PLANE('',#368367); #18400=PLANE('',#368368); #18401=PLANE('',#368369); #18402=PLANE('',#368370); #18403=PLANE('',#368371); #18404=PLANE('',#368372); #18405=PLANE('',#368373); #18406=PLANE('',#368374); #18407=PLANE('',#368375); #18408=PLANE('',#368376); #18409=PLANE('',#368377); #18410=PLANE('',#368378); #18411=PLANE('',#368379); #18412=PLANE('',#368380); #18413=PLANE('',#368381); #18414=PLANE('',#368382); #18415=PLANE('',#368383); #18416=PLANE('',#368384); #18417=PLANE('',#368385); #18418=PLANE('',#368386); #18419=PLANE('',#368387); #18420=PLANE('',#368388); #18421=PLANE('',#368389); #18422=PLANE('',#368390); #18423=PLANE('',#368391); #18424=PLANE('',#368392); #18425=PLANE('',#368393); #18426=PLANE('',#368394); #18427=PLANE('',#368395); #18428=PLANE('',#368396); #18429=PLANE('',#368397); #18430=PLANE('',#368398); #18431=PLANE('',#368399); #18432=PLANE('',#368400); #18433=PLANE('',#368401); #18434=PLANE('',#368402); #18435=PLANE('',#368403); #18436=PLANE('',#368404); #18437=PLANE('',#368405); #18438=PLANE('',#368406); #18439=PLANE('',#368407); #18440=PLANE('',#368408); #18441=PLANE('',#368409); #18442=PLANE('',#368410); #18443=PLANE('',#368411); #18444=PLANE('',#368412); #18445=PLANE('',#368413); #18446=PLANE('',#368414); #18447=PLANE('',#368415); #18448=PLANE('',#368416); #18449=PLANE('',#368417); #18450=PLANE('',#368418); #18451=PLANE('',#368419); #18452=PLANE('',#368420); #18453=PLANE('',#368421); #18454=PLANE('',#368422); #18455=PLANE('',#368423); #18456=PLANE('',#368424); #18457=PLANE('',#368425); #18458=PLANE('',#368426); #18459=PLANE('',#368427); #18460=PLANE('',#368428); #18461=PLANE('',#368429); #18462=PLANE('',#368430); #18463=PLANE('',#368431); #18464=PLANE('',#368432); #18465=PLANE('',#368433); #18466=PLANE('',#368434); #18467=PLANE('',#368435); #18468=PLANE('',#368436); #18469=PLANE('',#368437); #18470=PLANE('',#368438); #18471=PLANE('',#368439); #18472=PLANE('',#368440); #18473=PLANE('',#368441); #18474=PLANE('',#368442); #18475=PLANE('',#368443); #18476=PLANE('',#368444); #18477=PLANE('',#368445); #18478=PLANE('',#368446); #18479=PLANE('',#368447); #18480=PLANE('',#368448); #18481=PLANE('',#368449); #18482=PLANE('',#368450); #18483=PLANE('',#368451); #18484=PLANE('',#368452); #18485=PLANE('',#368453); #18486=PLANE('',#368454); #18487=PLANE('',#368455); #18488=PLANE('',#368456); #18489=PLANE('',#368457); #18490=PLANE('',#368458); #18491=PLANE('',#368462); #18492=PLANE('',#368469); #18493=PLANE('',#368470); #18494=PLANE('',#368474); #18495=PLANE('',#368481); #18496=PLANE('',#368482); #18497=PLANE('',#368486); #18498=PLANE('',#368493); #18499=PLANE('',#368494); #18500=PLANE('',#368498); #18501=PLANE('',#368505); #18502=PLANE('',#368506); #18503=PLANE('',#368510); #18504=PLANE('',#368511); #18505=PLANE('',#368512); #18506=PLANE('',#368513); #18507=PLANE('',#368514); #18508=PLANE('',#368515); #18509=PLANE('',#368519); #18510=PLANE('',#368526); #18511=PLANE('',#368527); #18512=PLANE('',#368531); #18513=PLANE('',#368538); #18514=PLANE('',#368539); #18515=PLANE('',#368543); #18516=PLANE('',#368550); #18517=PLANE('',#368551); #18518=PLANE('',#368555); #18519=PLANE('',#368562); #18520=PLANE('',#368563); #18521=PLANE('',#368564); #18522=PLANE('',#368568); #18523=PLANE('',#368572); #18524=PLANE('',#368573); #18525=PLANE('',#368574); #18526=PLANE('',#368575); #18527=PLANE('',#368576); #18528=PLANE('',#368577); #18529=PLANE('',#368578); #18530=PLANE('',#368579); #18531=PLANE('',#368580); #18532=PLANE('',#368581); #18533=PLANE('',#368582); #18534=PLANE('',#368583); #18535=PLANE('',#368584); #18536=PLANE('',#368585); #18537=PLANE('',#368586); #18538=PLANE('',#368587); #18539=PLANE('',#368588); #18540=PLANE('',#368589); #18541=PLANE('',#368590); #18542=PLANE('',#368591); #18543=PLANE('',#368592); #18544=PLANE('',#368593); #18545=PLANE('',#368594); #18546=PLANE('',#368595); #18547=PLANE('',#368596); #18548=PLANE('',#368597); #18549=PLANE('',#368598); #18550=PLANE('',#368599); #18551=PLANE('',#368600); #18552=PLANE('',#368601); #18553=PLANE('',#368602); #18554=PLANE('',#368603); #18555=PLANE('',#368604); #18556=PLANE('',#368605); #18557=PLANE('',#368606); #18558=PLANE('',#368607); #18559=PLANE('',#368608); #18560=PLANE('',#368609); #18561=PLANE('',#368610); #18562=PLANE('',#368611); #18563=PLANE('',#368612); #18564=PLANE('',#368613); #18565=PLANE('',#368614); #18566=PLANE('',#368615); #18567=PLANE('',#368616); #18568=PLANE('',#368617); #18569=PLANE('',#368618); #18570=PLANE('',#368619); #18571=PLANE('',#368620); #18572=PLANE('',#368621); #18573=PLANE('',#368622); #18574=PLANE('',#368623); #18575=PLANE('',#368624); #18576=PLANE('',#368625); #18577=PLANE('',#368626); #18578=PLANE('',#368627); #18579=PLANE('',#368628); #18580=PLANE('',#368629); #18581=PLANE('',#368630); #18582=PLANE('',#368634); #18583=PLANE('',#368638); #18584=PLANE('',#368639); #18585=PLANE('',#368640); #18586=PLANE('',#368641); #18587=PLANE('',#368642); #18588=PLANE('',#368643); #18589=PLANE('',#368644); #18590=PLANE('',#368645); #18591=PLANE('',#368646); #18592=PLANE('',#368647); #18593=PLANE('',#368648); #18594=PLANE('',#368649); #18595=PLANE('',#368650); #18596=PLANE('',#368651); #18597=PLANE('',#368652); #18598=PLANE('',#368653); #18599=PLANE('',#368654); #18600=PLANE('',#368655); #18601=PLANE('',#368656); #18602=PLANE('',#368657); #18603=PLANE('',#368658); #18604=PLANE('',#368659); #18605=PLANE('',#368660); #18606=PLANE('',#368661); #18607=PLANE('',#368662); #18608=PLANE('',#368663); #18609=PLANE('',#368664); #18610=PLANE('',#368665); #18611=PLANE('',#368666); #18612=PLANE('',#368667); #18613=PLANE('',#368668); #18614=PLANE('',#368669); #18615=PLANE('',#368670); #18616=PLANE('',#368671); #18617=PLANE('',#368672); #18618=PLANE('',#368673); #18619=PLANE('',#368674); #18620=PLANE('',#368675); #18621=PLANE('',#368676); #18622=PLANE('',#368677); #18623=PLANE('',#368678); #18624=PLANE('',#368679); #18625=PLANE('',#368680); #18626=PLANE('',#368681); #18627=PLANE('',#368682); #18628=PLANE('',#368683); #18629=PLANE('',#368684); #18630=PLANE('',#368685); #18631=PLANE('',#368686); #18632=PLANE('',#368687); #18633=PLANE('',#368688); #18634=PLANE('',#368689); #18635=PLANE('',#368690); #18636=PLANE('',#368691); #18637=PLANE('',#368692); #18638=PLANE('',#368693); #18639=PLANE('',#368694); #18640=PLANE('',#368695); #18641=PLANE('',#368696); #18642=PLANE('',#368697); #18643=PLANE('',#368698); #18644=PLANE('',#368699); #18645=PLANE('',#368700); #18646=PLANE('',#368701); #18647=PLANE('',#368702); #18648=PLANE('',#368703); #18649=PLANE('',#368704); #18650=PLANE('',#368705); #18651=PLANE('',#368706); #18652=PLANE('',#368707); #18653=PLANE('',#368708); #18654=PLANE('',#368709); #18655=PLANE('',#368710); #18656=PLANE('',#368711); #18657=PLANE('',#368712); #18658=PLANE('',#368716); #18659=PLANE('',#368720); #18660=PLANE('',#368721); #18661=PLANE('',#368722); #18662=PLANE('',#368723); #18663=PLANE('',#368724); #18664=PLANE('',#368725); #18665=PLANE('',#368726); #18666=PLANE('',#368727); #18667=PLANE('',#368728); #18668=PLANE('',#368729); #18669=PLANE('',#368730); #18670=PLANE('',#368731); #18671=PLANE('',#368732); #18672=PLANE('',#368733); #18673=PLANE('',#368734); #18674=PLANE('',#368735); #18675=PLANE('',#368736); #18676=PLANE('',#368737); #18677=PLANE('',#368738); #18678=PLANE('',#368739); #18679=PLANE('',#368740); #18680=PLANE('',#368741); #18681=PLANE('',#368742); #18682=PLANE('',#368743); #18683=PLANE('',#368744); #18684=PLANE('',#368745); #18685=PLANE('',#368746); #18686=PLANE('',#368747); #18687=PLANE('',#368748); #18688=PLANE('',#368749); #18689=PLANE('',#368750); #18690=PLANE('',#368751); #18691=PLANE('',#368752); #18692=PLANE('',#368753); #18693=PLANE('',#368754); #18694=PLANE('',#368755); #18695=PLANE('',#368756); #18696=PLANE('',#368757); #18697=PLANE('',#368758); #18698=PLANE('',#368759); #18699=PLANE('',#368760); #18700=PLANE('',#368761); #18701=PLANE('',#368762); #18702=PLANE('',#368763); #18703=PLANE('',#368764); #18704=PLANE('',#368765); #18705=PLANE('',#368766); #18706=PLANE('',#368767); #18707=PLANE('',#368768); #18708=PLANE('',#368769); #18709=PLANE('',#368770); #18710=PLANE('',#368771); #18711=PLANE('',#368772); #18712=PLANE('',#368773); #18713=PLANE('',#368774); #18714=PLANE('',#368775); #18715=PLANE('',#368776); #18716=PLANE('',#368777); #18717=PLANE('',#368781); #18718=PLANE('',#368782); #18719=PLANE('',#368783); #18720=PLANE('',#368784); #18721=PLANE('',#368785); #18722=PLANE('',#368786); #18723=PLANE('',#368787); #18724=PLANE('',#368791); #18725=PLANE('',#368795); #18726=PLANE('',#368796); #18727=PLANE('',#368797); #18728=PLANE('',#368798); #18729=PLANE('',#368799); #18730=PLANE('',#368800); #18731=PLANE('',#368801); #18732=PLANE('',#368802); #18733=PLANE('',#368803); #18734=PLANE('',#368804); #18735=PLANE('',#368805); #18736=PLANE('',#368806); #18737=PLANE('',#368807); #18738=PLANE('',#368808); #18739=PLANE('',#368809); #18740=PLANE('',#368810); #18741=PLANE('',#368811); #18742=PLANE('',#368812); #18743=PLANE('',#368813); #18744=PLANE('',#368814); #18745=PLANE('',#368815); #18746=PLANE('',#368816); #18747=PLANE('',#368817); #18748=PLANE('',#368818); #18749=PLANE('',#368819); #18750=PLANE('',#368820); #18751=PLANE('',#368821); #18752=PLANE('',#368822); #18753=PLANE('',#368823); #18754=PLANE('',#368824); #18755=PLANE('',#368825); #18756=PLANE('',#368826); #18757=PLANE('',#368827); #18758=PLANE('',#368828); #18759=PLANE('',#368829); #18760=PLANE('',#368830); #18761=PLANE('',#368831); #18762=PLANE('',#368832); #18763=PLANE('',#368833); #18764=PLANE('',#368834); #18765=PLANE('',#368835); #18766=PLANE('',#368836); #18767=PLANE('',#368837); #18768=PLANE('',#368838); #18769=PLANE('',#368839); #18770=PLANE('',#368840); #18771=PLANE('',#368841); #18772=PLANE('',#368842); #18773=PLANE('',#368843); #18774=PLANE('',#368844); #18775=PLANE('',#368845); #18776=PLANE('',#368846); #18777=PLANE('',#368847); #18778=PLANE('',#368848); #18779=PLANE('',#368849); #18780=PLANE('',#368850); #18781=PLANE('',#368851); #18782=PLANE('',#368852); #18783=PLANE('',#368853); #18784=PLANE('',#368857); #18785=PLANE('',#368861); #18786=PLANE('',#368862); #18787=PLANE('',#368863); #18788=PLANE('',#368864); #18789=PLANE('',#368865); #18790=PLANE('',#368866); #18791=PLANE('',#368867); #18792=PLANE('',#368868); #18793=PLANE('',#368869); #18794=PLANE('',#368870); #18795=PLANE('',#368871); #18796=PLANE('',#368872); #18797=PLANE('',#368873); #18798=PLANE('',#368874); #18799=PLANE('',#368875); #18800=PLANE('',#368876); #18801=PLANE('',#368877); #18802=PLANE('',#368878); #18803=PLANE('',#368879); #18804=PLANE('',#368880); #18805=PLANE('',#368881); #18806=PLANE('',#368882); #18807=PLANE('',#368883); #18808=PLANE('',#368884); #18809=PLANE('',#368885); #18810=PLANE('',#368886); #18811=PLANE('',#368887); #18812=PLANE('',#368888); #18813=PLANE('',#368889); #18814=PLANE('',#368890); #18815=PLANE('',#368891); #18816=PLANE('',#368892); #18817=PLANE('',#368893); #18818=PLANE('',#368894); #18819=PLANE('',#368895); #18820=PLANE('',#368896); #18821=PLANE('',#368897); #18822=PLANE('',#368898); #18823=PLANE('',#368899); #18824=PLANE('',#368900); #18825=PLANE('',#368901); #18826=PLANE('',#368902); #18827=PLANE('',#368903); #18828=PLANE('',#368904); #18829=PLANE('',#368905); #18830=PLANE('',#368906); #18831=PLANE('',#368907); #18832=PLANE('',#368908); #18833=PLANE('',#368909); #18834=PLANE('',#368910); #18835=PLANE('',#368911); #18836=PLANE('',#368912); #18837=PLANE('',#368913); #18838=PLANE('',#368914); #18839=PLANE('',#368915); #18840=PLANE('',#368916); #18841=PLANE('',#368917); #18842=PLANE('',#368918); #18843=PLANE('',#368919); #18844=PLANE('',#368920); #18845=PLANE('',#368921); #18846=PLANE('',#368922); #18847=PLANE('',#368923); #18848=PLANE('',#368924); #18849=PLANE('',#368925); #18850=PLANE('',#368926); #18851=PLANE('',#368927); #18852=PLANE('',#368928); #18853=PLANE('',#368929); #18854=PLANE('',#368930); #18855=PLANE('',#368931); #18856=PLANE('',#368932); #18857=PLANE('',#368933); #18858=PLANE('',#368934); #18859=PLANE('',#368938); #18860=PLANE('',#368945); #18861=PLANE('',#368946); #18862=PLANE('',#368950); #18863=PLANE('',#368957); #18864=PLANE('',#368958); #18865=PLANE('',#368959); #18866=PLANE('',#368963); #18867=PLANE('',#368967); #18868=PLANE('',#368968); #18869=PLANE('',#368969); #18870=PLANE('',#368970); #18871=PLANE('',#368971); #18872=PLANE('',#368972); #18873=PLANE('',#368973); #18874=PLANE('',#368974); #18875=PLANE('',#368975); #18876=PLANE('',#368976); #18877=PLANE('',#368977); #18878=PLANE('',#368978); #18879=PLANE('',#368979); #18880=PLANE('',#368980); #18881=PLANE('',#368981); #18882=PLANE('',#368982); #18883=PLANE('',#368983); #18884=PLANE('',#368984); #18885=PLANE('',#368985); #18886=PLANE('',#368986); #18887=PLANE('',#368987); #18888=PLANE('',#368988); #18889=PLANE('',#368989); #18890=PLANE('',#368990); #18891=PLANE('',#368991); #18892=PLANE('',#368992); #18893=PLANE('',#368993); #18894=PLANE('',#368994); #18895=PLANE('',#368995); #18896=PLANE('',#368996); #18897=PLANE('',#368997); #18898=PLANE('',#368998); #18899=PLANE('',#368999); #18900=PLANE('',#369000); #18901=PLANE('',#369001); #18902=PLANE('',#369002); #18903=PLANE('',#369003); #18904=PLANE('',#369004); #18905=PLANE('',#369005); #18906=PLANE('',#369006); #18907=PLANE('',#369007); #18908=PLANE('',#369008); #18909=PLANE('',#369009); #18910=PLANE('',#369010); #18911=PLANE('',#369011); #18912=PLANE('',#369012); #18913=PLANE('',#369013); #18914=PLANE('',#369014); #18915=PLANE('',#369015); #18916=PLANE('',#369016); #18917=PLANE('',#369017); #18918=PLANE('',#369018); #18919=PLANE('',#369019); #18920=PLANE('',#369020); #18921=PLANE('',#369021); #18922=PLANE('',#369022); #18923=PLANE('',#369023); #18924=PLANE('',#369024); #18925=PLANE('',#369028); #18926=PLANE('',#369035); #18927=PLANE('',#369036); #18928=PLANE('',#369040); #18929=PLANE('',#369047); #18930=PLANE('',#369048); #18931=PLANE('',#369052); #18932=PLANE('',#369059); #18933=PLANE('',#369060); #18934=PLANE('',#369064); #18935=PLANE('',#369065); #18936=PLANE('',#369066); #18937=PLANE('',#369067); #18938=PLANE('',#369068); #18939=PLANE('',#369069); #18940=PLANE('',#369073); #18941=PLANE('',#369080); #18942=PLANE('',#369081); #18943=PLANE('',#369082); #18944=PLANE('',#369083); #18945=PLANE('',#369084); #18946=PLANE('',#369085); #18947=PLANE('',#369086); #18948=PLANE('',#369087); #18949=PLANE('',#369088); #18950=PLANE('',#369089); #18951=PLANE('',#369090); #18952=PLANE('',#369091); #18953=PLANE('',#369092); #18954=PLANE('',#369093); #18955=PLANE('',#369094); #18956=PLANE('',#369095); #18957=PLANE('',#369096); #18958=PLANE('',#369097); #18959=PLANE('',#369098); #18960=PLANE('',#369099); #18961=PLANE('',#369100); #18962=PLANE('',#369101); #18963=PLANE('',#369102); #18964=PLANE('',#369103); #18965=PLANE('',#369104); #18966=PLANE('',#369105); #18967=PLANE('',#369106); #18968=PLANE('',#369107); #18969=PLANE('',#369108); #18970=PLANE('',#369109); #18971=PLANE('',#369110); #18972=PLANE('',#369111); #18973=PLANE('',#369112); #18974=PLANE('',#369113); #18975=PLANE('',#369114); #18976=PLANE('',#369115); #18977=PLANE('',#369116); #18978=PLANE('',#369117); #18979=PLANE('',#369118); #18980=PLANE('',#369119); #18981=PLANE('',#369120); #18982=PLANE('',#369121); #18983=PLANE('',#369122); #18984=PLANE('',#369123); #18985=PLANE('',#369124); #18986=PLANE('',#369125); #18987=PLANE('',#369126); #18988=PLANE('',#369127); #18989=PLANE('',#369128); #18990=PLANE('',#369129); #18991=PLANE('',#369130); #18992=PLANE('',#369131); #18993=PLANE('',#369132); #18994=PLANE('',#369133); #18995=PLANE('',#369134); #18996=PLANE('',#369135); #18997=PLANE('',#369136); #18998=PLANE('',#369137); #18999=PLANE('',#369138); #19000=PLANE('',#369139); #19001=PLANE('',#369140); #19002=PLANE('',#369141); #19003=PLANE('',#369142); #19004=PLANE('',#369143); #19005=PLANE('',#369144); #19006=PLANE('',#369145); #19007=PLANE('',#369146); #19008=PLANE('',#369147); #19009=PLANE('',#369148); #19010=PLANE('',#369149); #19011=PLANE('',#369150); #19012=PLANE('',#369151); #19013=PLANE('',#369152); #19014=PLANE('',#369153); #19015=PLANE('',#369154); #19016=PLANE('',#369155); #19017=PLANE('',#369156); #19018=PLANE('',#369157); #19019=PLANE('',#369158); #19020=PLANE('',#369159); #19021=PLANE('',#369160); #19022=PLANE('',#369161); #19023=PLANE('',#369162); #19024=PLANE('',#369163); #19025=PLANE('',#369164); #19026=PLANE('',#369165); #19027=PLANE('',#369166); #19028=PLANE('',#369167); #19029=PLANE('',#369168); #19030=PLANE('',#369169); #19031=PLANE('',#369170); #19032=PLANE('',#369171); #19033=PLANE('',#369172); #19034=PLANE('',#369173); #19035=PLANE('',#369174); #19036=PLANE('',#369175); #19037=PLANE('',#369176); #19038=PLANE('',#369177); #19039=PLANE('',#369178); #19040=PLANE('',#369179); #19041=PLANE('',#369180); #19042=PLANE('',#369181); #19043=PLANE('',#369182); #19044=PLANE('',#369183); #19045=PLANE('',#369184); #19046=PLANE('',#369185); #19047=PLANE('',#369186); #19048=PLANE('',#369187); #19049=PLANE('',#369188); #19050=PLANE('',#369189); #19051=PLANE('',#369190); #19052=PLANE('',#369191); #19053=PLANE('',#369192); #19054=PLANE('',#369193); #19055=PLANE('',#369194); #19056=PLANE('',#369195); #19057=PLANE('',#369196); #19058=PLANE('',#369197); #19059=PLANE('',#369198); #19060=PLANE('',#369199); #19061=PLANE('',#369200); #19062=PLANE('',#369201); #19063=PLANE('',#369202); #19064=PLANE('',#369203); #19065=PLANE('',#369204); #19066=PLANE('',#369205); #19067=PLANE('',#369206); #19068=PLANE('',#369207); #19069=PLANE('',#369208); #19070=PLANE('',#369209); #19071=PLANE('',#369210); #19072=PLANE('',#369211); #19073=PLANE('',#369212); #19074=PLANE('',#369213); #19075=PLANE('',#369214); #19076=PLANE('',#369215); #19077=PLANE('',#369216); #19078=PLANE('',#369217); #19079=PLANE('',#369218); #19080=PLANE('',#369219); #19081=PLANE('',#369226); #19082=PLANE('',#369227); #19083=PLANE('',#369228); #19084=PLANE('',#369229); #19085=PLANE('',#369230); #19086=PLANE('',#369231); #19087=PLANE('',#369232); #19088=PLANE('',#369233); #19089=PLANE('',#369234); #19090=PLANE('',#369235); #19091=PLANE('',#369236); #19092=PLANE('',#369237); #19093=PLANE('',#369244); #19094=PLANE('',#369245); #19095=PLANE('',#369246); #19096=PLANE('',#369247); #19097=PLANE('',#369248); #19098=PLANE('',#369249); #19099=PLANE('',#369250); #19100=PLANE('',#369251); #19101=PLANE('',#369252); #19102=PLANE('',#369253); #19103=PLANE('',#369254); #19104=PLANE('',#369255); #19105=PLANE('',#369256); #19106=PLANE('',#369257); #19107=PLANE('',#369258); #19108=PLANE('',#369259); #19109=PLANE('',#369260); #19110=PLANE('',#369261); #19111=PLANE('',#369262); #19112=PLANE('',#369263); #19113=PLANE('',#369264); #19114=PLANE('',#369265); #19115=PLANE('',#369266); #19116=PLANE('',#369267); #19117=PLANE('',#369268); #19118=PLANE('',#369269); #19119=PLANE('',#369270); #19120=PLANE('',#369271); #19121=PLANE('',#369272); #19122=PLANE('',#369273); #19123=PLANE('',#369274); #19124=PLANE('',#369275); #19125=PLANE('',#369276); #19126=PLANE('',#369277); #19127=PLANE('',#369278); #19128=PLANE('',#369279); #19129=PLANE('',#369280); #19130=PLANE('',#369281); #19131=PLANE('',#369282); #19132=PLANE('',#369283); #19133=PLANE('',#369284); #19134=PLANE('',#369285); #19135=PLANE('',#369286); #19136=PLANE('',#369287); #19137=PLANE('',#369288); #19138=PLANE('',#369289); #19139=PLANE('',#369290); #19140=PLANE('',#369291); #19141=PLANE('',#369292); #19142=PLANE('',#369293); #19143=PLANE('',#369294); #19144=PLANE('',#369295); #19145=PLANE('',#369296); #19146=PLANE('',#369297); #19147=PLANE('',#369298); #19148=PLANE('',#369299); #19149=PLANE('',#369300); #19150=PLANE('',#369301); #19151=PLANE('',#369302); #19152=PLANE('',#369303); #19153=PLANE('',#369304); #19154=PLANE('',#369305); #19155=PLANE('',#369306); #19156=PLANE('',#369307); #19157=PLANE('',#369308); #19158=PLANE('',#369309); #19159=PLANE('',#369310); #19160=PLANE('',#369311); #19161=PLANE('',#369312); #19162=PLANE('',#369313); #19163=PLANE('',#369314); #19164=PLANE('',#369315); #19165=PLANE('',#369316); #19166=PLANE('',#369317); #19167=PLANE('',#369318); #19168=PLANE('',#369319); #19169=PLANE('',#369320); #19170=PLANE('',#369321); #19171=PLANE('',#369322); #19172=PLANE('',#369323); #19173=PLANE('',#369324); #19174=PLANE('',#369325); #19175=PLANE('',#369326); #19176=PLANE('',#369327); #19177=PLANE('',#369328); #19178=PLANE('',#369329); #19179=PLANE('',#369330); #19180=PLANE('',#369331); #19181=PLANE('',#369332); #19182=PLANE('',#369333); #19183=PLANE('',#369334); #19184=PLANE('',#369335); #19185=PLANE('',#369336); #19186=PLANE('',#369337); #19187=PLANE('',#369338); #19188=PLANE('',#369339); #19189=PLANE('',#369340); #19190=PLANE('',#369341); #19191=PLANE('',#369342); #19192=PLANE('',#369343); #19193=PLANE('',#369344); #19194=PLANE('',#369345); #19195=PLANE('',#369349); #19196=PLANE('',#369350); #19197=PLANE('',#369351); #19198=PLANE('',#369352); #19199=PLANE('',#369353); #19200=PLANE('',#369354); #19201=PLANE('',#369355); #19202=PLANE('',#369356); #19203=PLANE('',#369357); #19204=PLANE('',#369358); #19205=PLANE('',#369359); #19206=PLANE('',#369360); #19207=PLANE('',#369361); #19208=PLANE('',#369362); #19209=PLANE('',#369363); #19210=PLANE('',#369364); #19211=PLANE('',#369365); #19212=PLANE('',#369366); #19213=PLANE('',#369367); #19214=PLANE('',#369368); #19215=PLANE('',#369369); #19216=PLANE('',#369370); #19217=PLANE('',#369371); #19218=PLANE('',#369372); #19219=PLANE('',#369373); #19220=PLANE('',#369374); #19221=PLANE('',#369375); #19222=PLANE('',#369376); #19223=PLANE('',#369377); #19224=PLANE('',#369378); #19225=PLANE('',#369424); #19226=PLANE('',#369425); #19227=PLANE('',#369426); #19228=PLANE('',#369427); #19229=PLANE('',#369428); #19230=PLANE('',#369429); #19231=PLANE('',#369430); #19232=PLANE('',#369431); #19233=PLANE('',#369432); #19234=PLANE('',#369433); #19235=PLANE('',#369434); #19236=PLANE('',#369435); #19237=PLANE('',#369436); #19238=PLANE('',#369437); #19239=PLANE('',#369438); #19240=PLANE('',#369439); #19241=PLANE('',#369440); #19242=PLANE('',#369441); #19243=PLANE('',#369442); #19244=PLANE('',#369443); #19245=PLANE('',#369444); #19246=PLANE('',#369445); #19247=PLANE('',#369446); #19248=PLANE('',#369447); #19249=PLANE('',#369451); #19250=PLANE('',#369452); #19251=PLANE('',#369453); #19252=PLANE('',#369454); #19253=PLANE('',#369455); #19254=PLANE('',#369456); #19255=PLANE('',#369457); #19256=PLANE('',#369458); #19257=PLANE('',#369459); #19258=PLANE('',#369460); #19259=PLANE('',#369461); #19260=PLANE('',#369462); #19261=PLANE('',#369463); #19262=PLANE('',#369464); #19263=PLANE('',#369465); #19264=PLANE('',#369466); #19265=PLANE('',#369467); #19266=PLANE('',#369468); #19267=PLANE('',#369469); #19268=PLANE('',#369470); #19269=PLANE('',#369471); #19270=PLANE('',#369472); #19271=PLANE('',#369473); #19272=PLANE('',#369474); #19273=PLANE('',#369475); #19274=PLANE('',#369476); #19275=PLANE('',#369477); #19276=PLANE('',#369478); #19277=PLANE('',#369479); #19278=PLANE('',#369480); #19279=PLANE('',#369526); #19280=PLANE('',#369527); #19281=PLANE('',#369528); #19282=PLANE('',#369529); #19283=PLANE('',#369530); #19284=PLANE('',#369531); #19285=PLANE('',#369532); #19286=PLANE('',#369533); #19287=PLANE('',#369534); #19288=PLANE('',#369535); #19289=PLANE('',#369536); #19290=PLANE('',#369537); #19291=PLANE('',#369538); #19292=PLANE('',#369539); #19293=PLANE('',#369540); #19294=PLANE('',#369541); #19295=PLANE('',#369542); #19296=PLANE('',#369543); #19297=PLANE('',#369544); #19298=PLANE('',#369545); #19299=PLANE('',#369546); #19300=PLANE('',#369547); #19301=PLANE('',#369548); #19302=PLANE('',#369549); #19303=PLANE('',#369553); #19304=PLANE('',#369554); #19305=PLANE('',#369555); #19306=PLANE('',#369556); #19307=PLANE('',#369557); #19308=PLANE('',#369558); #19309=PLANE('',#369562); #19310=PLANE('',#369563); #19311=PLANE('',#369564); #19312=PLANE('',#369565); #19313=PLANE('',#369566); #19314=PLANE('',#369567); #19315=PLANE('',#369571); #19316=PLANE('',#369572); #19317=PLANE('',#369573); #19318=PLANE('',#369574); #19319=PLANE('',#369575); #19320=PLANE('',#369576); #19321=PLANE('',#369622); #19322=PLANE('',#369623); #19323=PLANE('',#369624); #19324=PLANE('',#369625); #19325=PLANE('',#369626); #19326=PLANE('',#369627); #19327=PLANE('',#369628); #19328=PLANE('',#369629); #19329=PLANE('',#369630); #19330=PLANE('',#369631); #19331=PLANE('',#369632); #19332=PLANE('',#369633); #19333=PLANE('',#369634); #19334=PLANE('',#369635); #19335=PLANE('',#369636); #19336=PLANE('',#369637); #19337=PLANE('',#369638); #19338=PLANE('',#369639); #19339=PLANE('',#369640); #19340=PLANE('',#369641); #19341=PLANE('',#369642); #19342=PLANE('',#369643); #19343=PLANE('',#369644); #19344=PLANE('',#369645); #19345=PLANE('',#369646); #19346=PLANE('',#369647); #19347=PLANE('',#369648); #19348=PLANE('',#369649); #19349=PLANE('',#369650); #19350=PLANE('',#369651); #19351=PLANE('',#369652); #19352=PLANE('',#369653); #19353=PLANE('',#369654); #19354=PLANE('',#369655); #19355=PLANE('',#369656); #19356=PLANE('',#369657); #19357=PLANE('',#369658); #19358=PLANE('',#369659); #19359=PLANE('',#369660); #19360=PLANE('',#369661); #19361=PLANE('',#369662); #19362=PLANE('',#369663); #19363=PLANE('',#369664); #19364=PLANE('',#369665); #19365=PLANE('',#369666); #19366=PLANE('',#369667); #19367=PLANE('',#369668); #19368=PLANE('',#369669); #19369=PLANE('',#369670); #19370=PLANE('',#369671); #19371=PLANE('',#369672); #19372=PLANE('',#369673); #19373=PLANE('',#369674); #19374=PLANE('',#369675); #19375=PLANE('',#369676); #19376=PLANE('',#369677); #19377=PLANE('',#369678); #19378=PLANE('',#369679); #19379=PLANE('',#369680); #19380=PLANE('',#369681); #19381=PLANE('',#369682); #19382=PLANE('',#369683); #19383=PLANE('',#369684); #19384=PLANE('',#369685); #19385=PLANE('',#369686); #19386=PLANE('',#369687); #19387=PLANE('',#369688); #19388=PLANE('',#369689); #19389=PLANE('',#369690); #19390=PLANE('',#369691); #19391=PLANE('',#369692); #19392=PLANE('',#369693); #19393=PLANE('',#369694); #19394=PLANE('',#369695); #19395=PLANE('',#369696); #19396=PLANE('',#369697); #19397=PLANE('',#369698); #19398=PLANE('',#369699); #19399=PLANE('',#369700); #19400=PLANE('',#369701); #19401=PLANE('',#369702); #19402=PLANE('',#369703); #19403=PLANE('',#369704); #19404=PLANE('',#369705); #19405=PLANE('',#369706); #19406=PLANE('',#369707); #19407=PLANE('',#369708); #19408=PLANE('',#369709); #19409=PLANE('',#369710); #19410=PLANE('',#369711); #19411=PLANE('',#369712); #19412=PLANE('',#369713); #19413=PLANE('',#369714); #19414=PLANE('',#369715); #19415=PLANE('',#369716); #19416=PLANE('',#369717); #19417=PLANE('',#369718); #19418=PLANE('',#369719); #19419=PLANE('',#369720); #19420=PLANE('',#369721); #19421=PLANE('',#369722); #19422=PLANE('',#369723); #19423=PLANE('',#369724); #19424=PLANE('',#369725); #19425=PLANE('',#369726); #19426=PLANE('',#369727); #19427=PLANE('',#369728); #19428=PLANE('',#369729); #19429=PLANE('',#369730); #19430=PLANE('',#369731); #19431=PLANE('',#369732); #19432=PLANE('',#369733); #19433=PLANE('',#369734); #19434=PLANE('',#369735); #19435=PLANE('',#369736); #19436=PLANE('',#369737); #19437=PLANE('',#369738); #19438=PLANE('',#369739); #19439=PLANE('',#369740); #19440=PLANE('',#369741); #19441=PLANE('',#369742); #19442=PLANE('',#369743); #19443=PLANE('',#369744); #19444=PLANE('',#369745); #19445=PLANE('',#369746); #19446=PLANE('',#369747); #19447=PLANE('',#369793); #19448=PLANE('',#369794); #19449=PLANE('',#369795); #19450=PLANE('',#369796); #19451=PLANE('',#369797); #19452=PLANE('',#369798); #19453=PLANE('',#369799); #19454=PLANE('',#369800); #19455=PLANE('',#369801); #19456=PLANE('',#369802); #19457=PLANE('',#369803); #19458=PLANE('',#369804); #19459=PLANE('',#369805); #19460=PLANE('',#369806); #19461=PLANE('',#369807); #19462=PLANE('',#369808); #19463=PLANE('',#369809); #19464=PLANE('',#369810); #19465=PLANE('',#369811); #19466=PLANE('',#369812); #19467=PLANE('',#369813); #19468=PLANE('',#369814); #19469=PLANE('',#369815); #19470=PLANE('',#369816); #19471=PLANE('',#369817); #19472=PLANE('',#369818); #19473=PLANE('',#369819); #19474=PLANE('',#369820); #19475=PLANE('',#369821); #19476=PLANE('',#369822); #19477=PLANE('',#369823); #19478=PLANE('',#369824); #19479=PLANE('',#369825); #19480=PLANE('',#369826); #19481=PLANE('',#369827); #19482=PLANE('',#369828); #19483=PLANE('',#369829); #19484=PLANE('',#369830); #19485=PLANE('',#369831); #19486=PLANE('',#369832); #19487=PLANE('',#369833); #19488=PLANE('',#369834); #19489=PLANE('',#369838); #19490=PLANE('',#369839); #19491=PLANE('',#369840); #19492=PLANE('',#369841); #19493=PLANE('',#369842); #19494=PLANE('',#369843); #19495=PLANE('',#369850); #19496=PLANE('',#369851); #19497=PLANE('',#369852); #19498=PLANE('',#369853); #19499=PLANE('',#369854); #19500=PLANE('',#369855); #19501=PLANE('',#369862); #19502=PLANE('',#369863); #19503=PLANE('',#369864); #19504=PLANE('',#369865); #19505=PLANE('',#369866); #19506=PLANE('',#369867); #19507=PLANE('',#369871); #19508=PLANE('',#369872); #19509=PLANE('',#369873); #19510=PLANE('',#369874); #19511=PLANE('',#369875); #19512=PLANE('',#369876); #19513=PLANE('',#370367); #19514=PLANE('',#370371); #19515=PLANE('',#370378); #19516=PLANE('',#370382); #19517=PLANE('',#370395); #19518=PLANE('',#370399); #19519=PLANE('',#370433); #19520=PLANE('',#370437); #19521=PLANE('',#370444); #19522=PLANE('',#370448); #19523=PLANE('',#370455); #19524=PLANE('',#370459); #19525=PLANE('',#370862); #19526=PLANE('',#370863); #19527=PLANE('',#371354); #19528=PLANE('',#371358); #19529=PLANE('',#371365); #19530=PLANE('',#371369); #19531=PLANE('',#371382); #19532=PLANE('',#371386); #19533=PLANE('',#371420); #19534=PLANE('',#371424); #19535=PLANE('',#371431); #19536=PLANE('',#371435); #19537=PLANE('',#371442); #19538=PLANE('',#371446); #19539=PLANE('',#371849); #19540=PLANE('',#371850); #19541=PLANE('',#371854); #19542=PLANE('',#371855); #19543=PLANE('',#371856); #19544=PLANE('',#371857); #19545=PLANE('',#371858); #19546=PLANE('',#371859); #19547=PLANE('',#371860); #19548=PLANE('',#371861); #19549=PLANE('',#371862); #19550=PLANE('',#371863); #19551=PLANE('',#371864); #19552=PLANE('',#371865); #19553=PLANE('',#371866); #19554=PLANE('',#371867); #19555=PLANE('',#371868); #19556=PLANE('',#371869); #19557=PLANE('',#371870); #19558=PLANE('',#371871); #19559=PLANE('',#371872); #19560=PLANE('',#371873); #19561=PLANE('',#371874); #19562=PLANE('',#371875); #19563=PLANE('',#371876); #19564=PLANE('',#371877); #19565=PLANE('',#371878); #19566=PLANE('',#371879); #19567=PLANE('',#371880); #19568=PLANE('',#371881); #19569=PLANE('',#371882); #19570=PLANE('',#371883); #19571=PLANE('',#371884); #19572=PLANE('',#371885); #19573=PLANE('',#371886); #19574=PLANE('',#371887); #19575=PLANE('',#371888); #19576=PLANE('',#371889); #19577=PLANE('',#371890); #19578=PLANE('',#371891); #19579=PLANE('',#371892); #19580=PLANE('',#371893); #19581=PLANE('',#371894); #19582=PLANE('',#371895); #19583=PLANE('',#371896); #19584=PLANE('',#371897); #19585=PLANE('',#371898); #19586=PLANE('',#371899); #19587=PLANE('',#371900); #19588=PLANE('',#371901); #19589=PLANE('',#371902); #19590=PLANE('',#371903); #19591=PLANE('',#371904); #19592=PLANE('',#371905); #19593=PLANE('',#371906); #19594=PLANE('',#371907); #19595=PLANE('',#371908); #19596=PLANE('',#371909); #19597=PLANE('',#371910); #19598=PLANE('',#371911); #19599=PLANE('',#371912); #19600=PLANE('',#371913); #19601=PLANE('',#371914); #19602=PLANE('',#371915); #19603=PLANE('',#371916); #19604=PLANE('',#371917); #19605=PLANE('',#371918); #19606=PLANE('',#371919); #19607=PLANE('',#371920); #19608=PLANE('',#371921); #19609=PLANE('',#371922); #19610=PLANE('',#371923); #19611=PLANE('',#371924); #19612=PLANE('',#371925); #19613=PLANE('',#371926); #19614=PLANE('',#371927); #19615=PLANE('',#371928); #19616=PLANE('',#371929); #19617=PLANE('',#371930); #19618=PLANE('',#371931); #19619=PLANE('',#371932); #19620=PLANE('',#371933); #19621=PLANE('',#371934); #19622=PLANE('',#371935); #19623=PLANE('',#371936); #19624=PLANE('',#371937); #19625=PLANE('',#371938); #19626=PLANE('',#371939); #19627=PLANE('',#371940); #19628=PLANE('',#371941); #19629=PLANE('',#371942); #19630=PLANE('',#371943); #19631=PLANE('',#371944); #19632=PLANE('',#371945); #19633=PLANE('',#371946); #19634=PLANE('',#371947); #19635=PLANE('',#371948); #19636=PLANE('',#371949); #19637=PLANE('',#371950); #19638=PLANE('',#371951); #19639=PLANE('',#371952); #19640=PLANE('',#371953); #19641=PLANE('',#371954); #19642=PLANE('',#371955); #19643=PLANE('',#371956); #19644=PLANE('',#371957); #19645=PLANE('',#372007); #19646=PLANE('',#372008); #19647=PLANE('',#372009); #19648=PLANE('',#372010); #19649=PLANE('',#372011); #19650=PLANE('',#372012); #19651=PLANE('',#372057); #19652=PLANE('',#372058); #19653=PLANE('',#372059); #19654=PLANE('',#372060); #19655=PLANE('',#372061); #19656=PLANE('',#372062); #19657=PLANE('',#372063); #19658=PLANE('',#372064); #19659=PLANE('',#372065); #19660=PLANE('',#372066); #19661=PLANE('',#372067); #19662=PLANE('',#372068); #19663=PLANE('',#372069); #19664=PLANE('',#372070); #19665=PLANE('',#372071); #19666=PLANE('',#372072); #19667=PLANE('',#372073); #19668=PLANE('',#372074); #19669=PLANE('',#372075); #19670=PLANE('',#372076); #19671=PLANE('',#372077); #19672=PLANE('',#372078); #19673=PLANE('',#372079); #19674=PLANE('',#372080); #19675=PLANE('',#372110); #19676=PLANE('',#372111); #19677=PLANE('',#372122); #19678=PLANE('',#372123); #19679=PLANE('',#372124); #19680=PLANE('',#372125); #19681=PLANE('',#372126); #19682=PLANE('',#372127); #19683=PLANE('',#372129); #19684=PLANE('',#372135); #19685=PLANE('',#372136); #19686=PLANE('',#372137); #19687=PLANE('',#372138); #19688=PLANE('',#372139); #19689=PLANE('',#372140); #19690=PLANE('',#372141); #19691=PLANE('',#372142); #19692=PLANE('',#372143); #19693=PLANE('',#372144); #19694=PLANE('',#372145); #19695=PLANE('',#372146); #19696=PLANE('',#372147); #19697=PLANE('',#372148); #19698=PLANE('',#372149); #19699=PLANE('',#372150); #19700=PLANE('',#372151); #19701=PLANE('',#372152); #19702=PLANE('',#372153); #19703=PLANE('',#372154); #19704=PLANE('',#372155); #19705=PLANE('',#372156); #19706=PLANE('',#372157); #19707=PLANE('',#372158); #19708=PLANE('',#372159); #19709=PLANE('',#372160); #19710=PLANE('',#372161); #19711=PLANE('',#372162); #19712=PLANE('',#372163); #19713=PLANE('',#372164); #19714=PLANE('',#372165); #19715=PLANE('',#372166); #19716=PLANE('',#372167); #19717=PLANE('',#372168); #19718=PLANE('',#372169); #19719=PLANE('',#372170); #19720=PLANE('',#372171); #19721=PLANE('',#372172); #19722=PLANE('',#372173); #19723=PLANE('',#372174); #19724=PLANE('',#372175); #19725=PLANE('',#372176); #19726=PLANE('',#372177); #19727=PLANE('',#372178); #19728=PLANE('',#372179); #19729=PLANE('',#372180); #19730=PLANE('',#372181); #19731=PLANE('',#372182); #19732=PLANE('',#372183); #19733=PLANE('',#372184); #19734=PLANE('',#372185); #19735=PLANE('',#372186); #19736=PLANE('',#372187); #19737=PLANE('',#372188); #19738=PLANE('',#372189); #19739=PLANE('',#372190); #19740=PLANE('',#372191); #19741=PLANE('',#372192); #19742=PLANE('',#372193); #19743=PLANE('',#372194); #19744=PLANE('',#372195); #19745=PLANE('',#372196); #19746=PLANE('',#372197); #19747=PLANE('',#372198); #19748=PLANE('',#372199); #19749=PLANE('',#372200); #19750=PLANE('',#372204); #19751=PLANE('',#372205); #19752=PLANE('',#372206); #19753=PLANE('',#372207); #19754=PLANE('',#372208); #19755=PLANE('',#372209); #19756=PLANE('',#372214); #19757=PLANE('',#372215); #19758=PLANE('',#372216); #19759=PLANE('',#372219); #19760=PLANE('',#372220); #19761=PLANE('',#372221); #19762=PLANE('',#372222); #19763=PLANE('',#372226); #19764=PLANE('',#372232); #19765=PLANE('',#372233); #19766=PLANE('',#372238); #19767=PLANE('',#372243); #19768=PLANE('',#372244); #19769=PLANE('',#372249); #19770=PLANE('',#372250); #19771=PLANE('',#372254); #19772=PLANE('',#372255); #19773=PLANE('',#372256); #19774=PLANE('',#372257); #19775=PLANE('',#372258); #19776=PLANE('',#372262); #19777=PLANE('',#372263); #19778=PLANE('',#372265); #19779=PLANE('',#372266); #19780=PLANE('',#372269); #19781=PLANE('',#372270); #19782=PLANE('',#372273); #19783=PLANE('',#372276); #19784=PLANE('',#372278); #19785=PLANE('',#372281); #19786=PLANE('',#372286); #19787=PLANE('',#372287); #19788=PLANE('',#372288); #19789=PLANE('',#372289); #19790=PLANE('',#372290); #19791=PLANE('',#372291); #19792=PLANE('',#372292); #19793=PLANE('',#372293); #19794=PLANE('',#372294); #19795=PLANE('',#372295); #19796=PLANE('',#372296); #19797=PLANE('',#372297); #19798=PLANE('',#372298); #19799=PLANE('',#372299); #19800=PLANE('',#372300); #19801=PLANE('',#372301); #19802=PLANE('',#372302); #19803=PLANE('',#372303); #19804=PLANE('',#372304); #19805=PLANE('',#372305); #19806=PLANE('',#372306); #19807=PLANE('',#372307); #19808=PLANE('',#372308); #19809=PLANE('',#372309); #19810=PLANE('',#372310); #19811=PLANE('',#372311); #19812=PLANE('',#372316); #19813=PLANE('',#372320); #19814=PLANE('',#372324); #19815=PLANE('',#372325); #19816=PLANE('',#372329); #19817=PLANE('',#372330); #19818=PLANE('',#372331); #19819=PLANE('',#372332); #19820=PLANE('',#372333); #19821=PLANE('',#372334); #19822=PLANE('',#372335); #19823=PLANE('',#372336); #19824=PLANE('',#372337); #19825=PLANE('',#372338); #19826=PLANE('',#372339); #19827=PLANE('',#372340); #19828=PLANE('',#372341); #19829=PLANE('',#372342); #19830=PLANE('',#372343); #19831=PLANE('',#372344); #19832=PLANE('',#372345); #19833=PLANE('',#372346); #19834=PLANE('',#372347); #19835=PLANE('',#372348); #19836=PLANE('',#372349); #19837=PLANE('',#372350); #19838=PLANE('',#372351); #19839=PLANE('',#372352); #19840=PLANE('',#372353); #19841=PLANE('',#372354); #19842=PLANE('',#372355); #19843=PLANE('',#372356); #19844=PLANE('',#372357); #19845=PLANE('',#372358); #19846=PLANE('',#372359); #19847=PLANE('',#372360); #19848=PLANE('',#372361); #19849=PLANE('',#372362); #19850=PLANE('',#372363); #19851=PLANE('',#372364); #19852=PLANE('',#372365); #19853=PLANE('',#372366); #19854=PLANE('',#372367); #19855=PLANE('',#372368); #19856=PLANE('',#372369); #19857=PLANE('',#372370); #19858=PLANE('',#372371); #19859=PLANE('',#372372); #19860=PLANE('',#372373); #19861=PLANE('',#372374); #19862=PLANE('',#372375); #19863=PLANE('',#372376); #19864=PLANE('',#372377); #19865=PLANE('',#372378); #19866=PLANE('',#372379); #19867=PLANE('',#372380); #19868=PLANE('',#372381); #19869=PLANE('',#372382); #19870=PLANE('',#372383); #19871=PLANE('',#372384); #19872=PLANE('',#372385); #19873=PLANE('',#372386); #19874=PLANE('',#372387); #19875=PLANE('',#372388); #19876=PLANE('',#372389); #19877=PLANE('',#372390); #19878=PLANE('',#372391); #19879=PLANE('',#372392); #19880=PLANE('',#372393); #19881=PLANE('',#372394); #19882=PLANE('',#372395); #19883=PLANE('',#372396); #19884=PLANE('',#372397); #19885=PLANE('',#372398); #19886=PLANE('',#372399); #19887=PLANE('',#372400); #19888=PLANE('',#372401); #19889=PLANE('',#372402); #19890=PLANE('',#372403); #19891=PLANE('',#372404); #19892=PLANE('',#372405); #19893=PLANE('',#372406); #19894=PLANE('',#372407); #19895=PLANE('',#372408); #19896=PLANE('',#372409); #19897=PLANE('',#372410); #19898=PLANE('',#372411); #19899=PLANE('',#372412); #19900=PLANE('',#372413); #19901=PLANE('',#372414); #19902=PLANE('',#372416); #19903=PLANE('',#372417); #19904=PLANE('',#372422); #19905=PLANE('',#372427); #19906=PLANE('',#372428); #19907=PLANE('',#372429); #19908=PLANE('',#372430); #19909=PLANE('',#372431); #19910=PLANE('',#372432); #19911=PLANE('',#372433); #19912=PLANE('',#372435); #19913=PLANE('',#372437); #19914=PLANE('',#372439); #19915=PLANE('',#372441); #19916=PLANE('',#372442); #19917=PLANE('',#372443); #19918=PLANE('',#372445); #19919=PLANE('',#372446); #19920=PLANE('',#372451); #19921=PLANE('',#372456); #19922=PLANE('',#372457); #19923=PLANE('',#372458); #19924=PLANE('',#372459); #19925=PLANE('',#372460); #19926=PLANE('',#372461); #19927=PLANE('',#372462); #19928=PLANE('',#372464); #19929=PLANE('',#372466); #19930=PLANE('',#372468); #19931=PLANE('',#372470); #19932=PLANE('',#372471); #19933=PLANE('',#372472); #19934=PLANE('',#372474); #19935=PLANE('',#372475); #19936=PLANE('',#372480); #19937=PLANE('',#372485); #19938=PLANE('',#372486); #19939=PLANE('',#372487); #19940=PLANE('',#372488); #19941=PLANE('',#372489); #19942=PLANE('',#372490); #19943=PLANE('',#372491); #19944=PLANE('',#372493); #19945=PLANE('',#372495); #19946=PLANE('',#372497); #19947=PLANE('',#372499); #19948=PLANE('',#372500); #19949=PLANE('',#372501); #19950=PLANE('',#372503); #19951=PLANE('',#372504); #19952=PLANE('',#372509); #19953=PLANE('',#372514); #19954=PLANE('',#372515); #19955=PLANE('',#372516); #19956=PLANE('',#372517); #19957=PLANE('',#372518); #19958=PLANE('',#372519); #19959=PLANE('',#372520); #19960=PLANE('',#372522); #19961=PLANE('',#372524); #19962=PLANE('',#372526); #19963=PLANE('',#372528); #19964=PLANE('',#372529); #19965=PLANE('',#372530); #19966=PLANE('',#372532); #19967=PLANE('',#372533); #19968=PLANE('',#372538); #19969=PLANE('',#372543); #19970=PLANE('',#372544); #19971=PLANE('',#372545); #19972=PLANE('',#372546); #19973=PLANE('',#372547); #19974=PLANE('',#372548); #19975=PLANE('',#372549); #19976=PLANE('',#372551); #19977=PLANE('',#372553); #19978=PLANE('',#372555); #19979=PLANE('',#372557); #19980=PLANE('',#372558); #19981=PLANE('',#372559); #19982=PLANE('',#372561); #19983=PLANE('',#372562); #19984=PLANE('',#372567); #19985=PLANE('',#372572); #19986=PLANE('',#372573); #19987=PLANE('',#372574); #19988=PLANE('',#372575); #19989=PLANE('',#372576); #19990=PLANE('',#372577); #19991=PLANE('',#372578); #19992=PLANE('',#372580); #19993=PLANE('',#372582); #19994=PLANE('',#372584); #19995=PLANE('',#372586); #19996=PLANE('',#372587); #19997=PLANE('',#372588); #19998=PLANE('',#372590); #19999=PLANE('',#372591); #20000=PLANE('',#372596); #20001=PLANE('',#372601); #20002=PLANE('',#372602); #20003=PLANE('',#372603); #20004=PLANE('',#372604); #20005=PLANE('',#372605); #20006=PLANE('',#372606); #20007=PLANE('',#372607); #20008=PLANE('',#372609); #20009=PLANE('',#372611); #20010=PLANE('',#372613); #20011=PLANE('',#372615); #20012=PLANE('',#372616); #20013=PLANE('',#372617); #20014=PLANE('',#372619); #20015=PLANE('',#372620); #20016=PLANE('',#372625); #20017=PLANE('',#372630); #20018=PLANE('',#372631); #20019=PLANE('',#372632); #20020=PLANE('',#372633); #20021=PLANE('',#372634); #20022=PLANE('',#372635); #20023=PLANE('',#372636); #20024=PLANE('',#372638); #20025=PLANE('',#372640); #20026=PLANE('',#372642); #20027=PLANE('',#372644); #20028=PLANE('',#372645); #20029=PLANE('',#372646); #20030=PLANE('',#372648); #20031=PLANE('',#372649); #20032=PLANE('',#372654); #20033=PLANE('',#372659); #20034=PLANE('',#372660); #20035=PLANE('',#372661); #20036=PLANE('',#372662); #20037=PLANE('',#372663); #20038=PLANE('',#372664); #20039=PLANE('',#372665); #20040=PLANE('',#372667); #20041=PLANE('',#372669); #20042=PLANE('',#372671); #20043=PLANE('',#372673); #20044=PLANE('',#372674); #20045=PLANE('',#372675); #20046=PLANE('',#372677); #20047=PLANE('',#372678); #20048=PLANE('',#372683); #20049=PLANE('',#372688); #20050=PLANE('',#372689); #20051=PLANE('',#372690); #20052=PLANE('',#372691); #20053=PLANE('',#372692); #20054=PLANE('',#372693); #20055=PLANE('',#372694); #20056=PLANE('',#372696); #20057=PLANE('',#372698); #20058=PLANE('',#372700); #20059=PLANE('',#372702); #20060=PLANE('',#372703); #20061=PLANE('',#372704); #20062=PLANE('',#372706); #20063=PLANE('',#372707); #20064=PLANE('',#372712); #20065=PLANE('',#372717); #20066=PLANE('',#372718); #20067=PLANE('',#372719); #20068=PLANE('',#372720); #20069=PLANE('',#372721); #20070=PLANE('',#372722); #20071=PLANE('',#372723); #20072=PLANE('',#372725); #20073=PLANE('',#372727); #20074=PLANE('',#372729); #20075=PLANE('',#372731); #20076=PLANE('',#372732); #20077=PLANE('',#372733); #20078=PLANE('',#372735); #20079=PLANE('',#372736); #20080=PLANE('',#372741); #20081=PLANE('',#372746); #20082=PLANE('',#372747); #20083=PLANE('',#372748); #20084=PLANE('',#372749); #20085=PLANE('',#372750); #20086=PLANE('',#372751); #20087=PLANE('',#372752); #20088=PLANE('',#372754); #20089=PLANE('',#372756); #20090=PLANE('',#372758); #20091=PLANE('',#372760); #20092=PLANE('',#372761); #20093=PLANE('',#372762); #20094=PLANE('',#372764); #20095=PLANE('',#372765); #20096=PLANE('',#372770); #20097=PLANE('',#372775); #20098=PLANE('',#372776); #20099=PLANE('',#372777); #20100=PLANE('',#372778); #20101=PLANE('',#372779); #20102=PLANE('',#372780); #20103=PLANE('',#372781); #20104=PLANE('',#372783); #20105=PLANE('',#372785); #20106=PLANE('',#372787); #20107=PLANE('',#372789); #20108=PLANE('',#372790); #20109=PLANE('',#372791); #20110=PLANE('',#372793); #20111=PLANE('',#372794); #20112=PLANE('',#372799); #20113=PLANE('',#372804); #20114=PLANE('',#372805); #20115=PLANE('',#372806); #20116=PLANE('',#372807); #20117=PLANE('',#372808); #20118=PLANE('',#372809); #20119=PLANE('',#372810); #20120=PLANE('',#372812); #20121=PLANE('',#372814); #20122=PLANE('',#372816); #20123=PLANE('',#372818); #20124=PLANE('',#372819); #20125=PLANE('',#372820); #20126=PLANE('',#372822); #20127=PLANE('',#372823); #20128=PLANE('',#372824); #20129=PLANE('',#372825); #20130=PLANE('',#372829); #20131=PLANE('',#372830); #20132=PLANE('',#372831); #20133=PLANE('',#372835); #20134=PLANE('',#372842); #20135=PLANE('',#372846); #20136=PLANE('',#372850); #20137=PLANE('',#372851); #20138=PLANE('',#372852); #20139=PLANE('',#372853); #20140=PLANE('',#372854); #20141=PLANE('',#372855); #20142=PLANE('',#372856); #20143=PLANE('',#372860); #20144=PLANE('',#372864); #20145=PLANE('',#372871); #20146=PLANE('',#372875); #20147=PLANE('',#372876); #20148=PLANE('',#372877); #20149=PLANE('',#372881); #20150=PLANE('',#372882); #20151=PLANE('',#372883); #20152=PLANE('',#372885); #20153=PLANE('',#372886); #20154=PLANE('',#372887); #20155=PLANE('',#372905); #20156=PLANE('',#372923); #20157=PLANE('',#372924); #20158=PLANE('',#372931); #20159=PLANE('',#372934); #20160=PLANE('',#372954); #20161=PLANE('',#372957); #20162=PLANE('',#372975); #20163=PLANE('',#372976); #20164=PLANE('',#372977); #20165=PLANE('',#372978); #20166=PLANE('',#372979); #20167=PLANE('',#372983); #20168=PLANE('',#372986); #20169=PLANE('',#373007); #20170=PLANE('',#373008); #20171=PLANE('',#373009); #20172=PLANE('',#373010); #20173=PLANE('',#373011); #20174=PLANE('',#373031); #20175=PLANE('',#373035); #20176=PLANE('',#373071); #20177=PLANE('',#373072); #20178=PLANE('',#373073); #20179=PLANE('',#373076); #20180=PLANE('',#373077); #20181=PLANE('',#373091); #20182=PLANE('',#373112); #20183=PLANE('',#373122); #20184=PLANE('',#373123); #20185=PLANE('',#373127); #20186=PLANE('',#373129); #20187=PLANE('',#373130); #20188=PLANE('',#373132); #20189=PLANE('',#373134); #20190=PLANE('',#373136); #20191=PLANE('',#373139); #20192=PLANE('',#373141); #20193=PLANE('',#373143); #20194=PLANE('',#373145); #20195=PLANE('',#373146); #20196=PLANE('',#373148); #20197=PLANE('',#373150); #20198=PLANE('',#373152); #20199=PLANE('',#373154); #20200=PLANE('',#373156); #20201=PLANE('',#373157); #20202=PLANE('',#373159); #20203=PLANE('',#373161); #20204=PLANE('',#373163); #20205=PLANE('',#373165); #20206=PLANE('',#373167); #20207=PLANE('',#373169); #20208=PLANE('',#373171); #20209=PLANE('',#373175); #20210=PLANE('',#373177); #20211=PLANE('',#373180); #20212=PLANE('',#373181); #20213=PLANE('',#373182); #20214=PLANE('',#373184); #20215=PLANE('',#373186); #20216=PLANE('',#373188); #20217=PLANE('',#373190); #20218=PLANE('',#373193); #20219=PLANE('',#373194); #20220=PLANE('',#373198); #20221=PLANE('',#373200); #20222=PLANE('',#373202); #20223=PLANE('',#373204); #20224=PLANE('',#373206); #20225=PLANE('',#373207); #20226=PLANE('',#373208); #20227=PLANE('',#373209); #20228=PLANE('',#373210); #20229=PLANE('',#373211); #20230=PLANE('',#373220); #20231=PLANE('',#373230); #20232=PLANE('',#373232); #20233=PLANE('',#373234); #20234=PLANE('',#373237); #20235=PLANE('',#373239); #20236=PLANE('',#373241); #20237=PLANE('',#373243); #20238=PLANE('',#373244); #20239=PLANE('',#373245); #20240=PLANE('',#373246); #20241=PLANE('',#373247); #20242=PLANE('',#373256); #20243=PLANE('',#373266); #20244=PLANE('',#373268); #20245=PLANE('',#373270); #20246=PLANE('',#373273); #20247=PLANE('',#373275); #20248=PLANE('',#373277); #20249=PLANE('',#373279); #20250=PLANE('',#373283); #20251=PLANE('',#373287); #20252=PLANE('',#373291); #20253=PLANE('',#373297); #20254=PLANE('',#373301); #20255=PLANE('',#373307); #20256=PLANE('',#373308); #20257=PLANE('',#373309); #20258=PLANE('',#373310); #20259=PLANE('',#373311); #20260=PLANE('',#373312); #20261=PLANE('',#373313); #20262=PLANE('',#373315); #20263=PLANE('',#373319); #20264=PLANE('',#373321); #20265=PLANE('',#373323); #20266=PLANE('',#373325); #20267=PLANE('',#373327); #20268=PLANE('',#373330); #20269=PLANE('',#373332); #20270=PLANE('',#373334); #20271=PLANE('',#373336); #20272=PLANE('',#373338); #20273=PLANE('',#373339); #20274=PLANE('',#373340); #20275=PLANE('',#373343); #20276=PLANE('',#373347); #20277=PLANE('',#373348); #20278=PLANE('',#373349); #20279=PLANE('',#373350); #20280=PLANE('',#373351); #20281=PLANE('',#373360); #20282=PLANE('',#373370); #20283=PLANE('',#373372); #20284=PLANE('',#373374); #20285=PLANE('',#373377); #20286=PLANE('',#373379); #20287=PLANE('',#373381); #20288=PLANE('',#373383); #20289=PLANE('',#373384); #20290=PLANE('',#373385); #20291=PLANE('',#373386); #20292=PLANE('',#373387); #20293=PLANE('',#373396); #20294=PLANE('',#373406); #20295=PLANE('',#373408); #20296=PLANE('',#373410); #20297=PLANE('',#373413); #20298=PLANE('',#373415); #20299=PLANE('',#373417); #20300=PLANE('',#373422); #20301=PLANE('',#373426); #20302=PLANE('',#373430); #20303=PLANE('',#373431); #20304=PLANE('',#373440); #20305=PLANE('',#373441); #20306=PLANE('',#373447); #20307=PLANE('',#373448); #20308=PLANE('',#373449); #20309=PLANE('',#373450); #20310=PLANE('',#373451); #20311=PLANE('',#373452); #20312=PLANE('',#373456); #20313=PLANE('',#373466); #20314=PLANE('',#373476); #20315=PLANE('',#373480); #20316=PLANE('',#373484); #20317=PLANE('',#373494); #20318=PLANE('',#373504); #20319=PLANE('',#373508); #20320=PLANE('',#373512); #20321=PLANE('',#373516); #20322=PLANE('',#373519); #20323=PLANE('',#373523); #20324=PLANE('',#373524); #20325=PLANE('',#373525); #20326=PLANE('',#373526); #20327=PLANE('',#373530); #20328=PLANE('',#373531); #20329=PLANE('',#373536); #20330=PLANE('',#373537); #20331=PLANE('',#373540); #20332=PLANE('',#373552); #20333=PLANE('',#373556); #20334=PLANE('',#373560); #20335=PLANE('',#373568); #20336=PLANE('',#373576); #20337=PLANE('',#373584); #20338=PLANE('',#373592); #20339=PLANE('',#373596); #20340=PLANE('',#373600); #20341=PLANE('',#373612); #20342=PLANE('',#373613); #20343=PLANE('',#373620); #20344=PLANE('',#373623); #20345=PLANE('',#373628); #20346=PLANE('',#373631); #20347=PLANE('',#373636); #20348=PLANE('',#373641); #20349=PLANE('',#373649); #20350=PLANE('',#373651); #20351=PLANE('',#373653); #20352=PLANE('',#373656); #20353=PLANE('',#373658); #20354=PLANE('',#373660); #20355=PLANE('',#373663); #20356=PLANE('',#373665); #20357=PLANE('',#373667); #20358=PLANE('',#373670); #20359=PLANE('',#373672); #20360=PLANE('',#373674); #20361=PLANE('',#373677); #20362=PLANE('',#373678); #20363=PLANE('',#373683); #20364=PLANE('',#373688); #20365=PLANE('',#373689); #20366=PLANE('',#373690); #20367=PLANE('',#373691); #20368=PLANE('',#373692); #20369=PLANE('',#373693); #20370=PLANE('',#373694); #20371=PLANE('',#373696); #20372=PLANE('',#373698); #20373=PLANE('',#373700); #20374=PLANE('',#373702); #20375=PLANE('',#373703); #20376=PLANE('',#373704); #20377=PLANE('',#373729); #20378=PLANE('',#373730); #20379=PLANE('',#373731); #20380=PLANE('',#373732); #20381=PLANE('',#373733); #20382=PLANE('',#373734); #20383=PLANE('',#373735); #20384=PLANE('',#373736); #20385=PLANE('',#373737); #20386=PLANE('',#373738); #20387=PLANE('',#373739); #20388=PLANE('',#373740); #20389=PLANE('',#373741); #20390=PLANE('',#373742); #20391=PLANE('',#373744); #20392=PLANE('',#373746); #20393=PLANE('',#373749); #20394=PLANE('',#373750); #20395=PLANE('',#373753); #20396=PLANE('',#373755); #20397=PLANE('',#373756); #20398=PLANE('',#373758); #20399=PLANE('',#373759); #20400=PLANE('',#373760); #20401=PLANE('',#373761); #20402=PLANE('',#373763); #20403=PLANE('',#373764); #20404=PLANE('',#373766); #20405=PLANE('',#373767); #20406=PLANE('',#373768); #20407=PLANE('',#373769); #20408=PLANE('',#373770); #20409=PLANE('',#373771); #20410=PLANE('',#373772); #20411=PLANE('',#373773); #20412=PLANE('',#373774); #20413=PLANE('',#373775); #20414=PLANE('',#373776); #20415=PLANE('',#373777); #20416=PLANE('',#373778); #20417=PLANE('',#373779); #20418=PLANE('',#373780); #20419=PLANE('',#373781); #20420=PLANE('',#373782); #20421=PLANE('',#373783); #20422=PLANE('',#373784); #20423=PLANE('',#373785); #20424=PLANE('',#373786); #20425=PLANE('',#373787); #20426=PLANE('',#373788); #20427=PLANE('',#373789); #20428=PLANE('',#373790); #20429=PLANE('',#373791); #20430=PLANE('',#373792); #20431=PLANE('',#373793); #20432=PLANE('',#373794); #20433=PLANE('',#373795); #20434=PLANE('',#373796); #20435=PLANE('',#373797); #20436=PLANE('',#373798); #20437=PLANE('',#373799); #20438=PLANE('',#373800); #20439=PLANE('',#373801); #20440=PLANE('',#373802); #20441=PLANE('',#373803); #20442=PLANE('',#373804); #20443=PLANE('',#373805); #20444=PLANE('',#373806); #20445=PLANE('',#373807); #20446=PLANE('',#373808); #20447=PLANE('',#373809); #20448=PLANE('',#373810); #20449=PLANE('',#373811); #20450=PLANE('',#373812); #20451=PLANE('',#373815); #20452=PLANE('',#373816); #20453=PLANE('',#373817); #20454=PLANE('',#373866); #20455=PLANE('',#373867); #20456=PLANE('',#373868); #20457=PLANE('',#373869); #20458=PLANE('',#373870); #20459=PLANE('',#373871); #20460=PLANE('',#373916); #20461=PLANE('',#373917); #20462=PLANE('',#373918); #20463=PLANE('',#373919); #20464=PLANE('',#373920); #20465=PLANE('',#373921); #20466=PLANE('',#373922); #20467=PLANE('',#373923); #20468=PLANE('',#373924); #20469=PLANE('',#373925); #20470=PLANE('',#373926); #20471=PLANE('',#373927); #20472=PLANE('',#373997); #20473=PLANE('',#373998); #20474=PLANE('',#373999); #20475=PLANE('',#374000); #20476=PLANE('',#374001); #20477=PLANE('',#374002); #20478=PLANE('',#374047); #20479=PLANE('',#374048); #20480=PLANE('',#374049); #20481=PLANE('',#374050); #20482=PLANE('',#374051); #20483=PLANE('',#374052); #20484=PLANE('',#374053); #20485=PLANE('',#374054); #20486=PLANE('',#374055); #20487=PLANE('',#374056); #20488=PLANE('',#374057); #20489=PLANE('',#374058); #20490=PLANE('',#374106); #20491=PLANE('',#374107); #20492=PLANE('',#374108); #20493=PLANE('',#374109); #20494=PLANE('',#374110); #20495=PLANE('',#374111); #20496=PLANE('',#374156); #20497=PLANE('',#374157); #20498=PLANE('',#374158); #20499=PLANE('',#374159); #20500=PLANE('',#374160); #20501=PLANE('',#374161); #20502=PLANE('',#374162); #20503=PLANE('',#374163); #20504=PLANE('',#374164); #20505=PLANE('',#374165); #20506=PLANE('',#374166); #20507=PLANE('',#374167); #20508=PLANE('',#374174); #20509=PLANE('',#374175); #20510=PLANE('',#374196); #20511=PLANE('',#374197); #20512=PLANE('',#374220); #20513=PLANE('',#374241); #20514=PLANE('',#374250); #20515=PLANE('',#374254); #20516=PLANE('',#374255); #20517=PLANE('',#374259); #20518=PLANE('',#374260); #20519=PLANE('',#374261); #20520=PLANE('',#374262); #20521=PLANE('',#374267); #20522=PLANE('',#374270); #20523=PLANE('',#374271); #20524=PLANE('',#374272); #20525=PLANE('',#374277); #20526=PLANE('',#374280); #20527=PLANE('',#374281); #20528=PLANE('',#374282); #20529=PLANE('',#374287); #20530=PLANE('',#374290); #20531=PLANE('',#374291); #20532=PLANE('',#374292); #20533=PLANE('',#374297); #20534=PLANE('',#374300); #20535=PLANE('',#374301); #20536=PLANE('',#374302); #20537=PLANE('',#374307); #20538=PLANE('',#374310); #20539=PLANE('',#374311); #20540=PLANE('',#374312); #20541=PLANE('',#374317); #20542=PLANE('',#374320); #20543=PLANE('',#374321); #20544=PLANE('',#374322); #20545=PLANE('',#374327); #20546=PLANE('',#374330); #20547=PLANE('',#374331); #20548=PLANE('',#374332); #20549=PLANE('',#374337); #20550=PLANE('',#374340); #20551=PLANE('',#374341); #20552=PLANE('',#374342); #20553=PLANE('',#374347); #20554=PLANE('',#374350); #20555=PLANE('',#374357); #20556=PLANE('',#374358); #20557=PLANE('',#374359); #20558=PLANE('',#374360); #20559=PLANE('',#374361); #20560=PLANE('',#374362); #20561=PLANE('',#374363); #20562=PLANE('',#374364); #20563=PLANE('',#374365); #20564=PLANE('',#374366); #20565=PLANE('',#374367); #20566=PLANE('',#374368); #20567=PLANE('',#374369); #20568=PLANE('',#374370); #20569=PLANE('',#374371); #20570=PLANE('',#374372); #20571=PLANE('',#374373); #20572=PLANE('',#374374); #20573=PLANE('',#374375); #20574=PLANE('',#374379); #20575=PLANE('',#374383); #20576=PLANE('',#374384); #20577=PLANE('',#374385); #20578=PLANE('',#374386); #20579=PLANE('',#374387); #20580=PLANE('',#374391); #20581=PLANE('',#374395); #20582=PLANE('',#374396); #20583=PLANE('',#374397); #20584=PLANE('',#374398); #20585=PLANE('',#374399); #20586=PLANE('',#374403); #20587=PLANE('',#374407); #20588=PLANE('',#374408); #20589=PLANE('',#374409); #20590=PLANE('',#374410); #20591=PLANE('',#374411); #20592=PLANE('',#374415); #20593=PLANE('',#374419); #20594=PLANE('',#374420); #20595=PLANE('',#374421); #20596=PLANE('',#374422); #20597=PLANE('',#374423); #20598=PLANE('',#374427); #20599=PLANE('',#374431); #20600=PLANE('',#374432); #20601=PLANE('',#374433); #20602=PLANE('',#374434); #20603=PLANE('',#374435); #20604=PLANE('',#374439); #20605=PLANE('',#374443); #20606=PLANE('',#374444); #20607=PLANE('',#374445); #20608=PLANE('',#374446); #20609=PLANE('',#374447); #20610=PLANE('',#374451); #20611=PLANE('',#374455); #20612=PLANE('',#374456); #20613=PLANE('',#374457); #20614=PLANE('',#374458); #20615=PLANE('',#374459); #20616=PLANE('',#374463); #20617=PLANE('',#374467); #20618=PLANE('',#374468); #20619=PLANE('',#374469); #20620=PLANE('',#374470); #20621=PLANE('',#374471); #20622=PLANE('',#374472); #20623=PLANE('',#374473); #20624=PLANE('',#374474); #20625=PLANE('',#374475); #20626=PLANE('',#374476); #20627=PLANE('',#374477); #20628=PLANE('',#374478); #20629=PLANE('',#374479); #20630=PLANE('',#374480); #20631=PLANE('',#374481); #20632=PLANE('',#374482); #20633=PLANE('',#374483); #20634=PLANE('',#374484); #20635=PLANE('',#374485); #20636=PLANE('',#374486); #20637=PLANE('',#374487); #20638=PLANE('',#374488); #20639=PLANE('',#374489); #20640=PLANE('',#374490); #20641=PLANE('',#374491); #20642=PLANE('',#374492); #20643=PLANE('',#374493); #20644=PLANE('',#374494); #20645=PLANE('',#374495); #20646=PLANE('',#374496); #20647=PLANE('',#374497); #20648=PLANE('',#374498); #20649=PLANE('',#374499); #20650=PLANE('',#374500); #20651=PLANE('',#374501); #20652=PLANE('',#374502); #20653=PLANE('',#374503); #20654=PLANE('',#374504); #20655=PLANE('',#374505); #20656=PLANE('',#374506); #20657=PLANE('',#374507); #20658=PLANE('',#374508); #20659=PLANE('',#374509); #20660=PLANE('',#374510); #20661=PLANE('',#374511); #20662=PLANE('',#374512); #20663=PLANE('',#374513); #20664=PLANE('',#374514); #20665=PLANE('',#374515); #20666=PLANE('',#374516); #20667=PLANE('',#374517); #20668=PLANE('',#374524); #20669=PLANE('',#374525); #20670=PLANE('',#374526); #20671=PLANE('',#374527); #20672=PLANE('',#374528); #20673=PLANE('',#374529); #20674=PLANE('',#374530); #20675=PLANE('',#374531); #20676=PLANE('',#374532); #20677=PLANE('',#374533); #20678=PLANE('',#374534); #20679=PLANE('',#374535); #20680=PLANE('',#374536); #20681=PLANE('',#374537); #20682=PLANE('',#374538); #20683=PLANE('',#374539); #20684=PLANE('',#374540); #20685=PLANE('',#374541); #20686=PLANE('',#374542); #20687=PLANE('',#374546); #20688=PLANE('',#374550); #20689=PLANE('',#374551); #20690=PLANE('',#374552); #20691=PLANE('',#374553); #20692=PLANE('',#374554); #20693=PLANE('',#374558); #20694=PLANE('',#374562); #20695=PLANE('',#374563); #20696=PLANE('',#374564); #20697=PLANE('',#374565); #20698=PLANE('',#374566); #20699=PLANE('',#374570); #20700=PLANE('',#374574); #20701=PLANE('',#374575); #20702=PLANE('',#374576); #20703=PLANE('',#374577); #20704=PLANE('',#374578); #20705=PLANE('',#374582); #20706=PLANE('',#374586); #20707=PLANE('',#374587); #20708=PLANE('',#374588); #20709=PLANE('',#374589); #20710=PLANE('',#374590); #20711=PLANE('',#374594); #20712=PLANE('',#374598); #20713=PLANE('',#374599); #20714=PLANE('',#374600); #20715=PLANE('',#374601); #20716=PLANE('',#374602); #20717=PLANE('',#374606); #20718=PLANE('',#374610); #20719=PLANE('',#374611); #20720=PLANE('',#374612); #20721=PLANE('',#374613); #20722=PLANE('',#374614); #20723=PLANE('',#374618); #20724=PLANE('',#374622); #20725=PLANE('',#374623); #20726=PLANE('',#374624); #20727=PLANE('',#374625); #20728=PLANE('',#374626); #20729=PLANE('',#374630); #20730=PLANE('',#374634); #20731=PLANE('',#374635); #20732=PLANE('',#374636); #20733=PLANE('',#374637); #20734=PLANE('',#374638); #20735=PLANE('',#374639); #20736=PLANE('',#374640); #20737=PLANE('',#374641); #20738=PLANE('',#374642); #20739=PLANE('',#374643); #20740=PLANE('',#374644); #20741=PLANE('',#374645); #20742=PLANE('',#374646); #20743=PLANE('',#374647); #20744=PLANE('',#374648); #20745=PLANE('',#374649); #20746=PLANE('',#374650); #20747=PLANE('',#374651); #20748=PLANE('',#374652); #20749=PLANE('',#374653); #20750=PLANE('',#374654); #20751=PLANE('',#374655); #20752=PLANE('',#374656); #20753=PLANE('',#374657); #20754=PLANE('',#374658); #20755=PLANE('',#374659); #20756=PLANE('',#374660); #20757=PLANE('',#374661); #20758=PLANE('',#374662); #20759=PLANE('',#374663); #20760=PLANE('',#374664); #20761=PLANE('',#374665); #20762=PLANE('',#374666); #20763=PLANE('',#374667); #20764=PLANE('',#374668); #20765=PLANE('',#374669); #20766=PLANE('',#374670); #20767=PLANE('',#374671); #20768=PLANE('',#374672); #20769=PLANE('',#374673); #20770=PLANE('',#374674); #20771=PLANE('',#374675); #20772=PLANE('',#374676); #20773=PLANE('',#374677); #20774=PLANE('',#374678); #20775=PLANE('',#374679); #20776=PLANE('',#374680); #20777=PLANE('',#374681); #20778=PLANE('',#374682); #20779=PLANE('',#374683); #20780=PLANE('',#374684); #20781=PLANE('',#374688); #20782=PLANE('',#374689); #20783=PLANE('',#374690); #20784=PLANE('',#374691); #20785=PLANE('',#374692); #20786=PLANE('',#374693); #20787=PLANE('',#374694); #20788=PLANE('',#374695); #20789=PLANE('',#374696); #20790=PLANE('',#374697); #20791=PLANE('',#374698); #20792=PLANE('',#374699); #20793=PLANE('',#374700); #20794=PLANE('',#374701); #20795=PLANE('',#374702); #20796=PLANE('',#374703); #20797=PLANE('',#374704); #20798=PLANE('',#374705); #20799=PLANE('',#374706); #20800=PLANE('',#374707); #20801=PLANE('',#374708); #20802=PLANE('',#374709); #20803=PLANE('',#374710); #20804=PLANE('',#374711); #20805=PLANE('',#374712); #20806=PLANE('',#374713); #20807=PLANE('',#374714); #20808=PLANE('',#374715); #20809=PLANE('',#374716); #20810=PLANE('',#374717); #20811=PLANE('',#374718); #20812=PLANE('',#374719); #20813=PLANE('',#374720); #20814=PLANE('',#374721); #20815=PLANE('',#374731); #20816=PLANE('',#374732); #20817=PLANE('',#374743); #20818=PLANE('',#374744); #20819=PLANE('',#374745); #20820=PLANE('',#374746); #20821=PLANE('',#374747); #20822=PLANE('',#374748); #20823=PLANE('',#374749); #20824=PLANE('',#374767); #20825=PLANE('',#374768); #20826=PLANE('',#374769); #20827=PLANE('',#374770); #20828=PLANE('',#374771); #20829=PLANE('',#374772); #20830=PLANE('',#374773); #20831=PLANE('',#374774); #20832=PLANE('',#374775); #20833=PLANE('',#374776); #20834=PLANE('',#374780); #20835=PLANE('',#374781); #20836=PLANE('',#374782); #20837=PLANE('',#374783); #20838=PLANE('',#374784); #20839=PLANE('',#374785); #20840=PLANE('',#374786); #20841=PLANE('',#374787); #20842=PLANE('',#374788); #20843=PLANE('',#374789); #20844=PLANE('',#374790); #20845=PLANE('',#374791); #20846=PLANE('',#374792); #20847=PLANE('',#374793); #20848=PLANE('',#374794); #20849=PLANE('',#374795); #20850=PLANE('',#374796); #20851=PLANE('',#374797); #20852=PLANE('',#374798); #20853=PLANE('',#374802); #20854=PLANE('',#374824); #20855=PLANE('',#374826); #20856=PLANE('',#374828); #20857=PLANE('',#374830); #20858=PLANE('',#374832); #20859=PLANE('',#374834); #20860=PLANE('',#374836); #20861=PLANE('',#374837); #20862=PLANE('',#374838); #20863=PLANE('',#374839); #20864=PLANE('',#374840); #20865=PLANE('',#374841); #20866=PLANE('',#374842); #20867=PLANE('',#374843); #20868=PLANE('',#374865); #20869=PLANE('',#374869); #20870=PLANE('',#374870); #20871=PLANE('',#374871); #20872=PLANE('',#374872); #20873=PLANE('',#374873); #20874=PLANE('',#374874); #20875=PLANE('',#374875); #20876=PLANE('',#374876); #20877=PLANE('',#374877); #20878=PLANE('',#374879); #20879=PLANE('',#374881); #20880=PLANE('',#374883); #20881=PLANE('',#374885); #20882=PLANE('',#374887); #20883=PLANE('',#374889); #20884=PLANE('',#374891); #20885=PLANE('',#374895); #20886=PLANE('',#374896); #20887=PLANE('',#374903); #20888=PLANE('',#374908); #20889=PLANE('',#374909); #20890=PLANE('',#374910); #20891=PLANE('',#374911); #20892=PLANE('',#374914); #20893=PLANE('',#374919); #20894=PLANE('',#374920); #20895=PLANE('',#374923); #20896=PLANE('',#374924); #20897=PLANE('',#374929); #20898=PLANE('',#374930); #20899=PLANE('',#374936); #20900=PLANE('',#374939); #20901=PLANE('',#374941); #20902=PLANE('',#374942); #20903=PLANE('',#374943); #20904=PLANE('',#374944); #20905=PLANE('',#374945); #20906=PLANE('',#374952); #20907=PLANE('',#374953); #20908=PLANE('',#374958); #20909=PLANE('',#374961); #20910=PLANE('',#374965); #20911=PLANE('',#374968); #20912=PLANE('',#374970); #20913=PLANE('',#374971); #20914=PLANE('',#374972); #20915=PLANE('',#374973); #20916=PLANE('',#374974); #20917=PLANE('',#374975); #20918=PLANE('',#374982); #20919=PLANE('',#374987); #20920=PLANE('',#374988); #20921=PLANE('',#374989); #20922=PLANE('',#374990); #20923=PLANE('',#374993); #20924=PLANE('',#374998); #20925=PLANE('',#374999); #20926=PLANE('',#375002); #20927=PLANE('',#375003); #20928=PLANE('',#375008); #20929=PLANE('',#375009); #20930=PLANE('',#375014); #20931=PLANE('',#375015); #20932=PLANE('',#375016); #20933=PLANE('',#375017); #20934=PLANE('',#375019); #20935=PLANE('',#375022); #20936=PLANE('',#375024); #20937=PLANE('',#375027); #20938=PLANE('',#375028); #20939=PLANE('',#375031); #20940=PLANE('',#375034); #20941=PLANE('',#375043); #20942=PLANE('',#375044); #20943=PLANE('',#375045); #20944=PLANE('',#375046); #20945=PLANE('',#375047); #20946=PLANE('',#375048); #20947=PLANE('',#375049); #20948=PLANE('',#375050); #20949=PLANE('',#375051); #20950=PLANE('',#375052); #20951=PLANE('',#375053); #20952=PLANE('',#375054); #20953=PLANE('',#375055); #20954=PLANE('',#375056); #20955=PLANE('',#375060); #20956=PLANE('',#375061); #20957=PLANE('',#375064); #20958=PLANE('',#375065); #20959=PLANE('',#375066); #20960=PLANE('',#375069); #20961=PLANE('',#375073); #20962=PLANE('',#375074); #20963=PLANE('',#375075); #20964=PLANE('',#375076); #20965=PLANE('',#375080); #20966=PLANE('',#375084); #20967=PLANE('',#375087); #20968=PLANE('',#375088); #20969=PLANE('',#375089); #20970=PLANE('',#375092); #20971=PLANE('',#375093); #20972=PLANE('',#375096); #20973=PLANE('',#375097); #20974=PLANE('',#375101); #20975=PLANE('',#375105); #20976=PLANE('',#375106); #20977=PLANE('',#375107); #20978=PLANE('',#375108); #20979=PLANE('',#375109); #20980=PLANE('',#375110); #20981=PLANE('',#375113); #20982=PLANE('',#375116); #20983=PLANE('',#375117); #20984=PLANE('',#375118); #20985=PLANE('',#375121); #20986=PLANE('',#375122); #20987=PLANE('',#375126); #20988=PLANE('',#375132); #20989=PLANE('',#375134); #20990=PLANE('',#375135); #20991=PLANE('',#375138); #20992=PLANE('',#375139); #20993=PLANE('',#375142); #20994=PLANE('',#375147); #20995=PLANE('',#375150); #20996=PLANE('',#375163); #20997=PLANE('',#375165); #20998=PLANE('',#375167); #20999=PLANE('',#375168); #21000=PLANE('',#375169); #21001=PLANE('',#375170); #21002=PLANE('',#375171); #21003=PLANE('',#375172); #21004=PLANE('',#375173); #21005=PLANE('',#375174); #21006=PLANE('',#375175); #21007=PLANE('',#375176); #21008=PLANE('',#375183); #21009=PLANE('',#375184); #21010=PLANE('',#375185); #21011=PLANE('',#375186); #21012=PLANE('',#375191); #21013=PLANE('',#375193); #21014=PLANE('',#375195); #21015=PLANE('',#375196); #21016=PLANE('',#375197); #21017=PLANE('',#375198); #21018=PLANE('',#375205); #21019=PLANE('',#375206); #21020=PLANE('',#375207); #21021=PLANE('',#375208); #21022=PLANE('',#375213); #21023=PLANE('',#375215); #21024=PLANE('',#375217); #21025=PLANE('',#375218); #21026=PLANE('',#375219); #21027=PLANE('',#375220); #21028=PLANE('',#375221); #21029=PLANE('',#375223); #21030=PLANE('',#375229); #21031=PLANE('',#375230); #21032=PLANE('',#375233); #21033=PLANE('',#375238); #21034=PLANE('',#375242); #21035=PLANE('',#375244); #21036=PLANE('',#375250); #21037=PLANE('',#375251); #21038=PLANE('',#375256); #21039=PLANE('',#375258); #21040=PLANE('',#375259); #21041=PLANE('',#375260); #21042=PLANE('',#375262); #21043=PLANE('',#375264); #21044=PLANE('',#375265); #21045=PLANE('',#375266); #21046=PLANE('',#375267); #21047=PLANE('',#375268); #21048=PLANE('',#375279); #21049=PLANE('',#375282); #21050=PLANE('',#375291); #21051=PLANE('',#375293); #21052=PLANE('',#375297); #21053=PLANE('',#375298); #21054=PLANE('',#375299); #21055=PLANE('',#375300); #21056=PLANE('',#375301); #21057=PLANE('',#375303); #21058=PLANE('',#375307); #21059=PLANE('',#375308); #21060=PLANE('',#375309); #21061=PLANE('',#375310); #21062=PLANE('',#375311); #21063=PLANE('',#375312); #21064=PLANE('',#375313); #21065=PLANE('',#375314); #21066=PLANE('',#375315); #21067=PLANE('',#375322); #21068=PLANE('',#375325); #21069=PLANE('',#375334); #21070=PLANE('',#375336); #21071=PLANE('',#375337); #21072=PLANE('',#375338); #21073=PLANE('',#375339); #21074=PLANE('',#375340); #21075=PLANE('',#375341); #21076=PLANE('',#375343); #21077=PLANE('',#375344); #21078=PLANE('',#375345); #21079=PLANE('',#375346); #21080=PLANE('',#375349); #21081=PLANE('',#375350); #21082=PLANE('',#375351); #21083=PLANE('',#375352); #21084=PLANE('',#375353); #21085=PLANE('',#375356); #21086=PLANE('',#375365); #21087=PLANE('',#375366); #21088=PLANE('',#375367); #21089=PLANE('',#375368); #21090=PLANE('',#375369); #21091=PLANE('',#375370); #21092=PLANE('',#375371); #21093=PLANE('',#375372); #21094=PLANE('',#375373); #21095=PLANE('',#375374); #21096=PLANE('',#375375); #21097=PLANE('',#375376); #21098=PLANE('',#375380); #21099=PLANE('',#375381); #21100=PLANE('',#375382); #21101=PLANE('',#375383); #21102=PLANE('',#375384); #21103=PLANE('',#375385); #21104=PLANE('',#375386); #21105=PLANE('',#375390); #21106=PLANE('',#375391); #21107=PLANE('',#375392); #21108=PLANE('',#375393); #21109=PLANE('',#375394); #21110=PLANE('',#375395); #21111=PLANE('',#375396); #21112=PLANE('',#375400); #21113=PLANE('',#375401); #21114=PLANE('',#375402); #21115=PLANE('',#375403); #21116=PLANE('',#375404); #21117=PLANE('',#375405); #21118=PLANE('',#375406); #21119=PLANE('',#375410); #21120=PLANE('',#375411); #21121=PLANE('',#375412); #21122=PLANE('',#375413); #21123=PLANE('',#375414); #21124=PLANE('',#375415); #21125=PLANE('',#375416); #21126=PLANE('',#375420); #21127=PLANE('',#375421); #21128=PLANE('',#375422); #21129=PLANE('',#375423); #21130=PLANE('',#375424); #21131=PLANE('',#375425); #21132=PLANE('',#375426); #21133=PLANE('',#375430); #21134=PLANE('',#375431); #21135=PLANE('',#375432); #21136=PLANE('',#375433); #21137=PLANE('',#375434); #21138=PLANE('',#375435); #21139=PLANE('',#375436); #21140=PLANE('',#375440); #21141=PLANE('',#375441); #21142=PLANE('',#375442); #21143=PLANE('',#375443); #21144=PLANE('',#375444); #21145=PLANE('',#375445); #21146=PLANE('',#375446); #21147=PLANE('',#375450); #21148=PLANE('',#375451); #21149=PLANE('',#375452); #21150=PLANE('',#375453); #21151=PLANE('',#375454); #21152=PLANE('',#375455); #21153=PLANE('',#375456); #21154=PLANE('',#375460); #21155=PLANE('',#375461); #21156=PLANE('',#375462); #21157=PLANE('',#375463); #21158=PLANE('',#375464); #21159=PLANE('',#375465); #21160=PLANE('',#375466); #21161=PLANE('',#375470); #21162=PLANE('',#375471); #21163=PLANE('',#375472); #21164=PLANE('',#375473); #21165=PLANE('',#375474); #21166=PLANE('',#375475); #21167=PLANE('',#375476); #21168=PLANE('',#375480); #21169=PLANE('',#375481); #21170=PLANE('',#375482); #21171=PLANE('',#375483); #21172=PLANE('',#375484); #21173=PLANE('',#375485); #21174=PLANE('',#375486); #21175=PLANE('',#375490); #21176=PLANE('',#375491); #21177=PLANE('',#375492); #21178=PLANE('',#375493); #21179=PLANE('',#375494); #21180=PLANE('',#375495); #21181=PLANE('',#375496); #21182=PLANE('',#375500); #21183=PLANE('',#375501); #21184=PLANE('',#375502); #21185=PLANE('',#375503); #21186=PLANE('',#375504); #21187=PLANE('',#375505); #21188=PLANE('',#375506); #21189=PLANE('',#375510); #21190=PLANE('',#375511); #21191=PLANE('',#375512); #21192=PLANE('',#375513); #21193=PLANE('',#375514); #21194=PLANE('',#375515); #21195=PLANE('',#375516); #21196=PLANE('',#375520); #21197=PLANE('',#375521); #21198=PLANE('',#375522); #21199=PLANE('',#375523); #21200=PLANE('',#375524); #21201=PLANE('',#375525); #21202=PLANE('',#375526); #21203=PLANE('',#375530); #21204=PLANE('',#375531); #21205=PLANE('',#375532); #21206=PLANE('',#375533); #21207=PLANE('',#375534); #21208=PLANE('',#375535); #21209=PLANE('',#375536); #21210=PLANE('',#375540); #21211=PLANE('',#375541); #21212=PLANE('',#375542); #21213=PLANE('',#375543); #21214=PLANE('',#375544); #21215=PLANE('',#375545); #21216=PLANE('',#375546); #21217=PLANE('',#375550); #21218=PLANE('',#375551); #21219=PLANE('',#375552); #21220=PLANE('',#375553); #21221=PLANE('',#375554); #21222=PLANE('',#375555); #21223=PLANE('',#375556); #21224=PLANE('',#375560); #21225=PLANE('',#375561); #21226=PLANE('',#375562); #21227=PLANE('',#375563); #21228=PLANE('',#375564); #21229=PLANE('',#375565); #21230=PLANE('',#375566); #21231=PLANE('',#375570); #21232=PLANE('',#375571); #21233=PLANE('',#375572); #21234=PLANE('',#375573); #21235=PLANE('',#375574); #21236=PLANE('',#375575); #21237=PLANE('',#375576); #21238=PLANE('',#375580); #21239=PLANE('',#375581); #21240=PLANE('',#375582); #21241=PLANE('',#375583); #21242=PLANE('',#375584); #21243=PLANE('',#375585); #21244=PLANE('',#375586); #21245=PLANE('',#375590); #21246=PLANE('',#375591); #21247=PLANE('',#375592); #21248=PLANE('',#375593); #21249=PLANE('',#375594); #21250=PLANE('',#375595); #21251=PLANE('',#375596); #21252=PLANE('',#375600); #21253=PLANE('',#375601); #21254=PLANE('',#375602); #21255=PLANE('',#375603); #21256=PLANE('',#375604); #21257=PLANE('',#375605); #21258=PLANE('',#375606); #21259=PLANE('',#375610); #21260=PLANE('',#375611); #21261=PLANE('',#375612); #21262=PLANE('',#375613); #21263=PLANE('',#375614); #21264=PLANE('',#375615); #21265=PLANE('',#375616); #21266=PLANE('',#375620); #21267=PLANE('',#375621); #21268=PLANE('',#375622); #21269=PLANE('',#375623); #21270=PLANE('',#375624); #21271=PLANE('',#375625); #21272=PLANE('',#375626); #21273=PLANE('',#375630); #21274=PLANE('',#375631); #21275=PLANE('',#375632); #21276=PLANE('',#375633); #21277=PLANE('',#375634); #21278=PLANE('',#375635); #21279=PLANE('',#375636); #21280=PLANE('',#375640); #21281=PLANE('',#375641); #21282=PLANE('',#375642); #21283=PLANE('',#375643); #21284=PLANE('',#375644); #21285=PLANE('',#375645); #21286=PLANE('',#375646); #21287=PLANE('',#375650); #21288=PLANE('',#375651); #21289=PLANE('',#375652); #21290=PLANE('',#375653); #21291=PLANE('',#375654); #21292=PLANE('',#375655); #21293=PLANE('',#375656); #21294=PLANE('',#375660); #21295=PLANE('',#375661); #21296=PLANE('',#375662); #21297=PLANE('',#375663); #21298=PLANE('',#375664); #21299=PLANE('',#375665); #21300=PLANE('',#375666); #21301=PLANE('',#375670); #21302=PLANE('',#375671); #21303=PLANE('',#375672); #21304=PLANE('',#375673); #21305=PLANE('',#375674); #21306=PLANE('',#375675); #21307=PLANE('',#375676); #21308=PLANE('',#375680); #21309=PLANE('',#375681); #21310=PLANE('',#375682); #21311=PLANE('',#375683); #21312=PLANE('',#375684); #21313=PLANE('',#375685); #21314=PLANE('',#375686); #21315=PLANE('',#375690); #21316=PLANE('',#375691); #21317=PLANE('',#375692); #21318=PLANE('',#375693); #21319=PLANE('',#375694); #21320=PLANE('',#375695); #21321=PLANE('',#375696); #21322=PLANE('',#375700); #21323=PLANE('',#375701); #21324=PLANE('',#375702); #21325=PLANE('',#375703); #21326=PLANE('',#375704); #21327=PLANE('',#375705); #21328=PLANE('',#375706); #21329=PLANE('',#375710); #21330=PLANE('',#375711); #21331=PLANE('',#375712); #21332=PLANE('',#375713); #21333=PLANE('',#375714); #21334=PLANE('',#375715); #21335=PLANE('',#375716); #21336=PLANE('',#375720); #21337=PLANE('',#375721); #21338=PLANE('',#375722); #21339=PLANE('',#375723); #21340=PLANE('',#375724); #21341=PLANE('',#375725); #21342=PLANE('',#375726); #21343=PLANE('',#375730); #21344=PLANE('',#375731); #21345=PLANE('',#375732); #21346=PLANE('',#375733); #21347=PLANE('',#375734); #21348=PLANE('',#375735); #21349=PLANE('',#375736); #21350=PLANE('',#375740); #21351=PLANE('',#375741); #21352=PLANE('',#375742); #21353=PLANE('',#375743); #21354=PLANE('',#375744); #21355=PLANE('',#375745); #21356=PLANE('',#375746); #21357=PLANE('',#375750); #21358=PLANE('',#375751); #21359=PLANE('',#375752); #21360=PLANE('',#375753); #21361=PLANE('',#375754); #21362=PLANE('',#375755); #21363=PLANE('',#375756); #21364=PLANE('',#375760); #21365=PLANE('',#375761); #21366=PLANE('',#375762); #21367=PLANE('',#375763); #21368=PLANE('',#375764); #21369=PLANE('',#375765); #21370=PLANE('',#375766); #21371=PLANE('',#375770); #21372=PLANE('',#375771); #21373=PLANE('',#375772); #21374=PLANE('',#375773); #21375=PLANE('',#375774); #21376=PLANE('',#375775); #21377=PLANE('',#375776); #21378=PLANE('',#375780); #21379=PLANE('',#375781); #21380=PLANE('',#375782); #21381=PLANE('',#375783); #21382=PLANE('',#375784); #21383=PLANE('',#375785); #21384=PLANE('',#375786); #21385=PLANE('',#375790); #21386=PLANE('',#375791); #21387=PLANE('',#375792); #21388=PLANE('',#375793); #21389=PLANE('',#375794); #21390=PLANE('',#375795); #21391=PLANE('',#375796); #21392=PLANE('',#375800); #21393=PLANE('',#375801); #21394=PLANE('',#375802); #21395=PLANE('',#375803); #21396=PLANE('',#375804); #21397=PLANE('',#375805); #21398=PLANE('',#375806); #21399=PLANE('',#375810); #21400=PLANE('',#375811); #21401=PLANE('',#375812); #21402=PLANE('',#375813); #21403=PLANE('',#375814); #21404=PLANE('',#375815); #21405=PLANE('',#375816); #21406=PLANE('',#375820); #21407=PLANE('',#375821); #21408=PLANE('',#375822); #21409=PLANE('',#375823); #21410=PLANE('',#375824); #21411=PLANE('',#375825); #21412=PLANE('',#375826); #21413=PLANE('',#375830); #21414=PLANE('',#375831); #21415=PLANE('',#375832); #21416=PLANE('',#375833); #21417=PLANE('',#375834); #21418=PLANE('',#375835); #21419=PLANE('',#375836); #21420=PLANE('',#375840); #21421=PLANE('',#375841); #21422=PLANE('',#375842); #21423=PLANE('',#375843); #21424=PLANE('',#375844); #21425=PLANE('',#375845); #21426=PLANE('',#375846); #21427=PLANE('',#375850); #21428=PLANE('',#375851); #21429=PLANE('',#375852); #21430=PLANE('',#375853); #21431=PLANE('',#375854); #21432=PLANE('',#375855); #21433=PLANE('',#375856); #21434=PLANE('',#375857); #21435=PLANE('',#375858); #21436=PLANE('',#375859); #21437=PLANE('',#375860); #21438=PLANE('',#375861); #21439=PLANE('',#375909); #21440=PLANE('',#375910); #21441=PLANE('',#375911); #21442=PLANE('',#375912); #21443=PLANE('',#375913); #21444=PLANE('',#375914); #21445=PLANE('',#375959); #21446=PLANE('',#375960); #21447=PLANE('',#375961); #21448=PLANE('',#375962); #21449=PLANE('',#375963); #21450=PLANE('',#375964); #21451=PLANE('',#375965); #21452=PLANE('',#375966); #21453=PLANE('',#375967); #21454=PLANE('',#375968); #21455=PLANE('',#375969); #21456=PLANE('',#375970); #21457=PLANE('',#375974); #21458=PLANE('',#375975); #21459=PLANE('',#375976); #21460=PLANE('',#375977); #21461=PLANE('',#375978); #21462=PLANE('',#375979); #21463=PLANE('',#375980); #21464=PLANE('',#375981); #21465=PLANE('',#375982); #21466=PLANE('',#375983); #21467=PLANE('',#375984); #21468=PLANE('',#375985); #21469=PLANE('',#375986); #21470=PLANE('',#375987); #21471=PLANE('',#375988); #21472=PLANE('',#375989); #21473=PLANE('',#375990); #21474=PLANE('',#375991); #21475=PLANE('',#375992); #21476=PLANE('',#375993); #21477=PLANE('',#375994); #21478=PLANE('',#375995); #21479=PLANE('',#375996); #21480=PLANE('',#375997); #21481=PLANE('',#375998); #21482=PLANE('',#375999); #21483=PLANE('',#376000); #21484=PLANE('',#376001); #21485=PLANE('',#376002); #21486=PLANE('',#376003); #21487=PLANE('',#376004); #21488=PLANE('',#376005); #21489=PLANE('',#376006); #21490=PLANE('',#376007); #21491=PLANE('',#376008); #21492=PLANE('',#376009); #21493=PLANE('',#376010); #21494=PLANE('',#376011); #21495=PLANE('',#376012); #21496=PLANE('',#376013); #21497=PLANE('',#376020); #21498=PLANE('',#376021); #21499=PLANE('',#376022); #21500=PLANE('',#376023); #21501=PLANE('',#376024); #21502=PLANE('',#376025); #21503=PLANE('',#376026); #21504=PLANE('',#376027); #21505=PLANE('',#376028); #21506=PLANE('',#376029); #21507=PLANE('',#376030); #21508=PLANE('',#376031); #21509=PLANE('',#376032); #21510=PLANE('',#376033); #21511=PLANE('',#376034); #21512=PLANE('',#376035); #21513=PLANE('',#376040); #21514=PLANE('',#376043); #21515=PLANE('',#376044); #21516=PLANE('',#376045); #21517=PLANE('',#376048); #21518=PLANE('',#376051); #21519=PLANE('',#376052); #21520=PLANE('',#376053); #21521=PLANE('',#376056); #21522=PLANE('',#376057); #21523=PLANE('',#376062); #21524=PLANE('',#376065); #21525=PLANE('',#376066); #21526=PLANE('',#376067); #21527=PLANE('',#376070); #21528=PLANE('',#376073); #21529=PLANE('',#376074); #21530=PLANE('',#376075); #21531=PLANE('',#376078); #21532=PLANE('',#376079); #21533=PLANE('',#376084); #21534=PLANE('',#376087); #21535=PLANE('',#376088); #21536=PLANE('',#376089); #21537=PLANE('',#376092); #21538=PLANE('',#376095); #21539=PLANE('',#376096); #21540=PLANE('',#376097); #21541=PLANE('',#376100); #21542=PLANE('',#376101); #21543=PLANE('',#376106); #21544=PLANE('',#376109); #21545=PLANE('',#376110); #21546=PLANE('',#376111); #21547=PLANE('',#376114); #21548=PLANE('',#376117); #21549=PLANE('',#376118); #21550=PLANE('',#376119); #21551=PLANE('',#376122); #21552=PLANE('',#376123); #21553=PLANE('',#376128); #21554=PLANE('',#376131); #21555=PLANE('',#376132); #21556=PLANE('',#376133); #21557=PLANE('',#376136); #21558=PLANE('',#376139); #21559=PLANE('',#376140); #21560=PLANE('',#376141); #21561=PLANE('',#376144); #21562=PLANE('',#376145); #21563=PLANE('',#376150); #21564=PLANE('',#376153); #21565=PLANE('',#376154); #21566=PLANE('',#376155); #21567=PLANE('',#376158); #21568=PLANE('',#376161); #21569=PLANE('',#376162); #21570=PLANE('',#376163); #21571=PLANE('',#376166); #21572=PLANE('',#376167); #21573=PLANE('',#376172); #21574=PLANE('',#376175); #21575=PLANE('',#376176); #21576=PLANE('',#376177); #21577=PLANE('',#376180); #21578=PLANE('',#376183); #21579=PLANE('',#376184); #21580=PLANE('',#376185); #21581=PLANE('',#376188); #21582=PLANE('',#376189); #21583=PLANE('',#376194); #21584=PLANE('',#376197); #21585=PLANE('',#376198); #21586=PLANE('',#376199); #21587=PLANE('',#376202); #21588=PLANE('',#376205); #21589=PLANE('',#376206); #21590=PLANE('',#376207); #21591=PLANE('',#376210); #21592=PLANE('',#376217); #21593=PLANE('',#376218); #21594=PLANE('',#376219); #21595=PLANE('',#376220); #21596=PLANE('',#376221); #21597=PLANE('',#376222); #21598=PLANE('',#376223); #21599=PLANE('',#376224); #21600=PLANE('',#376225); #21601=PLANE('',#376226); #21602=PLANE('',#376227); #21603=PLANE('',#376228); #21604=PLANE('',#376229); #21605=PLANE('',#376230); #21606=PLANE('',#376231); #21607=PLANE('',#376232); #21608=PLANE('',#376237); #21609=PLANE('',#376238); #21610=PLANE('',#376239); #21611=PLANE('',#376242); #21612=PLANE('',#376245); #21613=PLANE('',#376246); #21614=PLANE('',#376247); #21615=PLANE('',#376250); #21616=PLANE('',#376253); #21617=PLANE('',#376254); #21618=PLANE('',#376259); #21619=PLANE('',#376260); #21620=PLANE('',#376261); #21621=PLANE('',#376264); #21622=PLANE('',#376267); #21623=PLANE('',#376268); #21624=PLANE('',#376269); #21625=PLANE('',#376272); #21626=PLANE('',#376275); #21627=PLANE('',#376276); #21628=PLANE('',#376281); #21629=PLANE('',#376282); #21630=PLANE('',#376283); #21631=PLANE('',#376286); #21632=PLANE('',#376289); #21633=PLANE('',#376290); #21634=PLANE('',#376291); #21635=PLANE('',#376294); #21636=PLANE('',#376297); #21637=PLANE('',#376298); #21638=PLANE('',#376303); #21639=PLANE('',#376304); #21640=PLANE('',#376305); #21641=PLANE('',#376308); #21642=PLANE('',#376311); #21643=PLANE('',#376312); #21644=PLANE('',#376313); #21645=PLANE('',#376316); #21646=PLANE('',#376319); #21647=PLANE('',#376320); #21648=PLANE('',#376325); #21649=PLANE('',#376326); #21650=PLANE('',#376327); #21651=PLANE('',#376330); #21652=PLANE('',#376333); #21653=PLANE('',#376334); #21654=PLANE('',#376335); #21655=PLANE('',#376338); #21656=PLANE('',#376341); #21657=PLANE('',#376389); #21658=PLANE('',#376390); #21659=PLANE('',#376391); #21660=PLANE('',#376392); #21661=PLANE('',#376393); #21662=PLANE('',#376394); #21663=PLANE('',#376439); #21664=PLANE('',#376440); #21665=PLANE('',#376441); #21666=PLANE('',#376442); #21667=PLANE('',#376443); #21668=PLANE('',#376444); #21669=PLANE('',#376445); #21670=PLANE('',#376446); #21671=PLANE('',#376447); #21672=PLANE('',#376448); #21673=PLANE('',#376449); #21674=PLANE('',#376450); #21675=PLANE('',#376534); #21676=PLANE('',#376535); #21677=PLANE('',#376536); #21678=PLANE('',#376537); #21679=PLANE('',#376538); #21680=PLANE('',#376539); #21681=PLANE('',#376540); #21682=PLANE('',#376541); #21683=PLANE('',#376542); #21684=PLANE('',#376543); #21685=PLANE('',#376544); #21686=PLANE('',#376545); #21687=PLANE('',#376546); #21688=PLANE('',#376547); #21689=PLANE('',#376555); #21690=PLANE('',#376556); #21691=PLANE('',#376557); #21692=PLANE('',#376558); #21693=PLANE('',#376559); #21694=PLANE('',#376560); #21695=PLANE('',#376561); #21696=PLANE('',#376562); #21697=PLANE('',#376563); #21698=PLANE('',#376564); #21699=PLANE('',#376565); #21700=PLANE('',#376566); #21701=PLANE('',#376567); #21702=PLANE('',#376568); #21703=PLANE('',#376576); #21704=PLANE('',#376577); #21705=PLANE('',#376578); #21706=PLANE('',#376579); #21707=PLANE('',#376580); #21708=PLANE('',#376581); #21709=PLANE('',#376582); #21710=PLANE('',#376583); #21711=PLANE('',#376584); #21712=PLANE('',#376585); #21713=PLANE('',#376586); #21714=PLANE('',#376587); #21715=PLANE('',#376588); #21716=PLANE('',#376589); #21717=PLANE('',#376590); #21718=PLANE('',#376591); #21719=PLANE('',#376592); #21720=PLANE('',#376593); #21721=PLANE('',#376594); #21722=PLANE('',#376595); #21723=PLANE('',#376602); #21724=PLANE('',#376607); #21725=PLANE('',#376608); #21726=PLANE('',#376609); #21727=PLANE('',#376615); #21728=PLANE('',#376630); #21729=PLANE('',#376631); #21730=PLANE('',#376632); #21731=PLANE('',#376633); #21732=PLANE('',#376634); #21733=PLANE('',#376635); #21734=PLANE('',#376653); #21735=PLANE('',#376654); #21736=PLANE('',#376658); #21737=PLANE('',#376662); #21738=PLANE('',#376663); #21739=PLANE('',#376666); #21740=PLANE('',#376668); #21741=PLANE('',#376669); #21742=PLANE('',#376671); #21743=PLANE('',#376682); #21744=PLANE('',#376685); #21745=PLANE('',#376690); #21746=PLANE('',#376695); #21747=PLANE('',#376698); #21748=PLANE('',#376700); #21749=PLANE('',#376716); #21750=PLANE('',#376718); #21751=PLANE('',#376720); #21752=PLANE('',#376721); #21753=PLANE('',#376724); #21754=PLANE('',#376726); #21755=PLANE('',#376731); #21756=PLANE('',#376733); #21757=PLANE('',#376735); #21758=PLANE('',#376741); #21759=PLANE('',#376742); #21760=PLANE('',#376743); #21761=PLANE('',#376744); #21762=PLANE('',#376745); #21763=PLANE('',#376746); #21764=PLANE('',#376747); #21765=PLANE('',#376748); #21766=PLANE('',#376749); #21767=PLANE('',#376753); #21768=PLANE('',#376757); #21769=PLANE('',#376758); #21770=PLANE('',#376759); #21771=PLANE('',#376760); #21772=PLANE('',#376761); #21773=PLANE('',#376768); #21774=PLANE('',#376783); #21775=PLANE('',#376795); #21776=PLANE('',#376798); #21777=PLANE('',#376801); #21778=PLANE('',#376805); #21779=PLANE('',#376808); #21780=PLANE('',#376810); #21781=PLANE('',#376811); #21782=PLANE('',#376812); #21783=PLANE('',#376813); #21784=PLANE('',#376816); #21785=PLANE('',#376820); #21786=PLANE('',#376823); #21787=PLANE('',#376824); #21788=PLANE('',#376826); #21789=PLANE('',#376829); #21790=PLANE('',#376833); #21791=PLANE('',#376835); #21792=PLANE('',#376836); #21793=PLANE('',#376838); #21794=PLANE('',#376839); #21795=PLANE('',#376841); #21796=PLANE('',#376843); #21797=PLANE('',#376844); #21798=PLANE('',#376846); #21799=PLANE('',#376848); #21800=PLANE('',#376850); #21801=PLANE('',#376851); #21802=PLANE('',#376853); #21803=PLANE('',#376854); #21804=PLANE('',#376856); #21805=PLANE('',#376857); #21806=PLANE('',#376858); #21807=PLANE('',#376859); #21808=PLANE('',#376860); #21809=PLANE('',#376861); #21810=PLANE('',#376862); #21811=PLANE('',#376863); #21812=PLANE('',#376864); #21813=PLANE('',#376865); #21814=PLANE('',#376866); #21815=PLANE('',#376867); #21816=PLANE('',#376868); #21817=PLANE('',#376869); #21818=PLANE('',#376870); #21819=PLANE('',#376871); #21820=PLANE('',#376872); #21821=PLANE('',#376873); #21822=PLANE('',#376874); #21823=PLANE('',#376875); #21824=PLANE('',#376876); #21825=PLANE('',#376877); #21826=PLANE('',#376878); #21827=PLANE('',#376879); #21828=PLANE('',#376881); #21829=PLANE('',#376882); #21830=PLANE('',#376883); #21831=PLANE('',#376884); #21832=PLANE('',#376891); #21833=PLANE('',#376892); #21834=PLANE('',#376893); #21835=PLANE('',#376894); #21836=PLANE('',#376895); #21837=PLANE('',#376896); #21838=PLANE('',#376899); #21839=PLANE('',#376901); #21840=PLANE('',#376902); #21841=PLANE('',#376911); #21842=PLANE('',#376912); #21843=PLANE('',#376915); #21844=PLANE('',#376916); #21845=PLANE('',#376917); #21846=PLANE('',#376924); #21847=PLANE('',#376925); #21848=PLANE('',#376926); #21849=PLANE('',#376927); #21850=PLANE('',#376928); #21851=PLANE('',#376932); #21852=PLANE('',#376933); #21853=PLANE('',#376934); #21854=PLANE('',#376938); #21855=PLANE('',#376939); #21856=PLANE('',#376940); #21857=PLANE('',#376941); #21858=PLANE('',#376942); #21859=PLANE('',#376943); #21860=PLANE('',#376947); #21861=PLANE('',#376951); #21862=PLANE('',#376955); #21863=PLANE('',#376956); #21864=PLANE('',#376970); #21865=PLANE('',#376989); #21866=PLANE('',#376993); #21867=PLANE('',#376998); #21868=PLANE('',#376999); #21869=PLANE('',#377003); #21870=PLANE('',#377004); #21871=PLANE('',#377008); #21872=PLANE('',#377009); #21873=PLANE('',#377013); #21874=PLANE('',#377014); #21875=FACE_OUTER_BOUND('',#40221,.T.); #21876=FACE_OUTER_BOUND('',#40222,.T.); #21877=FACE_OUTER_BOUND('',#40223,.T.); #21878=FACE_OUTER_BOUND('',#40224,.T.); #21879=FACE_OUTER_BOUND('',#40225,.T.); #21880=FACE_OUTER_BOUND('',#40226,.T.); #21881=FACE_OUTER_BOUND('',#40227,.T.); #21882=FACE_OUTER_BOUND('',#40228,.T.); #21883=FACE_OUTER_BOUND('',#40233,.T.); #21884=FACE_OUTER_BOUND('',#40234,.T.); #21885=FACE_OUTER_BOUND('',#40240,.T.); #21886=FACE_OUTER_BOUND('',#40241,.T.); #21887=FACE_OUTER_BOUND('',#40242,.T.); #21888=FACE_OUTER_BOUND('',#40243,.T.); #21889=FACE_OUTER_BOUND('',#40244,.T.); #21890=FACE_OUTER_BOUND('',#40245,.T.); #21891=FACE_OUTER_BOUND('',#40246,.T.); #21892=FACE_OUTER_BOUND('',#40247,.T.); #21893=FACE_OUTER_BOUND('',#40248,.T.); #21894=FACE_OUTER_BOUND('',#40249,.T.); #21895=FACE_OUTER_BOUND('',#40250,.T.); #21896=FACE_OUTER_BOUND('',#40251,.T.); #21897=FACE_OUTER_BOUND('',#40252,.T.); #21898=FACE_OUTER_BOUND('',#40253,.T.); #21899=FACE_OUTER_BOUND('',#40254,.T.); #21900=FACE_OUTER_BOUND('',#40255,.T.); #21901=FACE_OUTER_BOUND('',#40256,.T.); #21902=FACE_OUTER_BOUND('',#40257,.T.); #21903=FACE_OUTER_BOUND('',#40258,.T.); #21904=FACE_OUTER_BOUND('',#40259,.T.); #21905=FACE_OUTER_BOUND('',#40260,.T.); #21906=FACE_OUTER_BOUND('',#40261,.T.); #21907=FACE_OUTER_BOUND('',#40262,.T.); #21908=FACE_OUTER_BOUND('',#40263,.T.); #21909=FACE_OUTER_BOUND('',#40264,.T.); #21910=FACE_OUTER_BOUND('',#40265,.T.); #21911=FACE_OUTER_BOUND('',#40270,.T.); #21912=FACE_OUTER_BOUND('',#40271,.T.); #21913=FACE_OUTER_BOUND('',#40277,.T.); #21914=FACE_OUTER_BOUND('',#40278,.T.); #21915=FACE_OUTER_BOUND('',#40279,.T.); #21916=FACE_OUTER_BOUND('',#40280,.T.); #21917=FACE_OUTER_BOUND('',#40281,.T.); #21918=FACE_OUTER_BOUND('',#40282,.T.); #21919=FACE_OUTER_BOUND('',#40283,.T.); #21920=FACE_OUTER_BOUND('',#40284,.T.); #21921=FACE_OUTER_BOUND('',#40285,.T.); #21922=FACE_OUTER_BOUND('',#40286,.T.); #21923=FACE_OUTER_BOUND('',#40287,.T.); #21924=FACE_OUTER_BOUND('',#40288,.T.); #21925=FACE_OUTER_BOUND('',#40289,.T.); #21926=FACE_OUTER_BOUND('',#40290,.T.); #21927=FACE_OUTER_BOUND('',#40291,.T.); #21928=FACE_OUTER_BOUND('',#40292,.T.); #21929=FACE_OUTER_BOUND('',#40293,.T.); #21930=FACE_OUTER_BOUND('',#40294,.T.); #21931=FACE_OUTER_BOUND('',#40295,.T.); #21932=FACE_OUTER_BOUND('',#40296,.T.); #21933=FACE_OUTER_BOUND('',#40297,.T.); #21934=FACE_OUTER_BOUND('',#40298,.T.); #21935=FACE_OUTER_BOUND('',#40299,.T.); #21936=FACE_OUTER_BOUND('',#40300,.T.); #21937=FACE_OUTER_BOUND('',#40301,.T.); #21938=FACE_OUTER_BOUND('',#40302,.T.); #21939=FACE_OUTER_BOUND('',#40303,.T.); #21940=FACE_OUTER_BOUND('',#40304,.T.); #21941=FACE_OUTER_BOUND('',#40305,.T.); #21942=FACE_OUTER_BOUND('',#40306,.T.); #21943=FACE_OUTER_BOUND('',#40307,.T.); #21944=FACE_OUTER_BOUND('',#40308,.T.); #21945=FACE_OUTER_BOUND('',#40309,.T.); #21946=FACE_OUTER_BOUND('',#40310,.T.); #21947=FACE_OUTER_BOUND('',#40311,.T.); #21948=FACE_OUTER_BOUND('',#40312,.T.); #21949=FACE_OUTER_BOUND('',#40313,.T.); #21950=FACE_OUTER_BOUND('',#40314,.T.); #21951=FACE_OUTER_BOUND('',#40315,.T.); #21952=FACE_OUTER_BOUND('',#40316,.T.); #21953=FACE_OUTER_BOUND('',#40317,.T.); #21954=FACE_OUTER_BOUND('',#40318,.T.); #21955=FACE_OUTER_BOUND('',#40319,.T.); #21956=FACE_OUTER_BOUND('',#40320,.T.); #21957=FACE_OUTER_BOUND('',#40321,.T.); #21958=FACE_OUTER_BOUND('',#40322,.T.); #21959=FACE_OUTER_BOUND('',#40323,.T.); #21960=FACE_OUTER_BOUND('',#40324,.T.); #21961=FACE_OUTER_BOUND('',#40325,.T.); #21962=FACE_OUTER_BOUND('',#40326,.T.); #21963=FACE_OUTER_BOUND('',#40327,.T.); #21964=FACE_OUTER_BOUND('',#40328,.T.); #21965=FACE_OUTER_BOUND('',#40329,.T.); #21966=FACE_OUTER_BOUND('',#40330,.T.); #21967=FACE_OUTER_BOUND('',#40331,.T.); #21968=FACE_OUTER_BOUND('',#40332,.T.); #21969=FACE_OUTER_BOUND('',#40333,.T.); #21970=FACE_OUTER_BOUND('',#40334,.T.); #21971=FACE_OUTER_BOUND('',#40335,.T.); #21972=FACE_OUTER_BOUND('',#40336,.T.); #21973=FACE_OUTER_BOUND('',#40337,.T.); #21974=FACE_OUTER_BOUND('',#40338,.T.); #21975=FACE_OUTER_BOUND('',#40339,.T.); #21976=FACE_OUTER_BOUND('',#40340,.T.); #21977=FACE_OUTER_BOUND('',#40341,.T.); #21978=FACE_OUTER_BOUND('',#40342,.T.); #21979=FACE_OUTER_BOUND('',#40343,.T.); #21980=FACE_OUTER_BOUND('',#40344,.T.); #21981=FACE_OUTER_BOUND('',#40345,.T.); #21982=FACE_OUTER_BOUND('',#40346,.T.); #21983=FACE_OUTER_BOUND('',#40347,.T.); #21984=FACE_OUTER_BOUND('',#40348,.T.); #21985=FACE_OUTER_BOUND('',#40349,.T.); #21986=FACE_OUTER_BOUND('',#40350,.T.); #21987=FACE_OUTER_BOUND('',#40351,.T.); #21988=FACE_OUTER_BOUND('',#40352,.T.); #21989=FACE_OUTER_BOUND('',#40353,.T.); #21990=FACE_OUTER_BOUND('',#40354,.T.); #21991=FACE_OUTER_BOUND('',#40355,.T.); #21992=FACE_OUTER_BOUND('',#40356,.T.); #21993=FACE_OUTER_BOUND('',#40357,.T.); #21994=FACE_OUTER_BOUND('',#40358,.T.); #21995=FACE_OUTER_BOUND('',#40359,.T.); #21996=FACE_OUTER_BOUND('',#40360,.T.); #21997=FACE_OUTER_BOUND('',#40361,.T.); #21998=FACE_OUTER_BOUND('',#40362,.T.); #21999=FACE_OUTER_BOUND('',#40363,.T.); #22000=FACE_OUTER_BOUND('',#40364,.T.); #22001=FACE_OUTER_BOUND('',#40365,.T.); #22002=FACE_OUTER_BOUND('',#40366,.T.); #22003=FACE_OUTER_BOUND('',#40367,.T.); #22004=FACE_OUTER_BOUND('',#40368,.T.); #22005=FACE_OUTER_BOUND('',#40369,.T.); #22006=FACE_OUTER_BOUND('',#40370,.T.); #22007=FACE_OUTER_BOUND('',#40371,.T.); #22008=FACE_OUTER_BOUND('',#40372,.T.); #22009=FACE_OUTER_BOUND('',#40373,.T.); #22010=FACE_OUTER_BOUND('',#40374,.T.); #22011=FACE_OUTER_BOUND('',#40375,.T.); #22012=FACE_OUTER_BOUND('',#40376,.T.); #22013=FACE_OUTER_BOUND('',#40377,.T.); #22014=FACE_OUTER_BOUND('',#40378,.T.); #22015=FACE_OUTER_BOUND('',#40379,.T.); #22016=FACE_OUTER_BOUND('',#40380,.T.); #22017=FACE_OUTER_BOUND('',#40381,.T.); #22018=FACE_OUTER_BOUND('',#40382,.T.); #22019=FACE_OUTER_BOUND('',#40383,.T.); #22020=FACE_OUTER_BOUND('',#40384,.T.); #22021=FACE_OUTER_BOUND('',#40385,.T.); #22022=FACE_OUTER_BOUND('',#40386,.T.); #22023=FACE_OUTER_BOUND('',#40387,.T.); #22024=FACE_OUTER_BOUND('',#40388,.T.); #22025=FACE_OUTER_BOUND('',#40389,.T.); #22026=FACE_OUTER_BOUND('',#40390,.T.); #22027=FACE_OUTER_BOUND('',#40391,.T.); #22028=FACE_OUTER_BOUND('',#40392,.T.); #22029=FACE_OUTER_BOUND('',#40393,.T.); #22030=FACE_OUTER_BOUND('',#40394,.T.); #22031=FACE_OUTER_BOUND('',#40395,.T.); #22032=FACE_OUTER_BOUND('',#40396,.T.); #22033=FACE_OUTER_BOUND('',#40397,.T.); #22034=FACE_OUTER_BOUND('',#40398,.T.); #22035=FACE_OUTER_BOUND('',#40399,.T.); #22036=FACE_OUTER_BOUND('',#40400,.T.); #22037=FACE_OUTER_BOUND('',#40401,.T.); #22038=FACE_OUTER_BOUND('',#40402,.T.); #22039=FACE_OUTER_BOUND('',#40403,.T.); #22040=FACE_OUTER_BOUND('',#40404,.T.); #22041=FACE_OUTER_BOUND('',#40405,.T.); #22042=FACE_OUTER_BOUND('',#40406,.T.); #22043=FACE_OUTER_BOUND('',#40407,.T.); #22044=FACE_OUTER_BOUND('',#40408,.T.); #22045=FACE_OUTER_BOUND('',#40409,.T.); #22046=FACE_OUTER_BOUND('',#40410,.T.); #22047=FACE_OUTER_BOUND('',#40411,.T.); #22048=FACE_OUTER_BOUND('',#40412,.T.); #22049=FACE_OUTER_BOUND('',#40413,.T.); #22050=FACE_OUTER_BOUND('',#40414,.T.); #22051=FACE_OUTER_BOUND('',#40415,.T.); #22052=FACE_OUTER_BOUND('',#40416,.T.); #22053=FACE_OUTER_BOUND('',#40417,.T.); #22054=FACE_OUTER_BOUND('',#40418,.T.); #22055=FACE_OUTER_BOUND('',#40419,.T.); #22056=FACE_OUTER_BOUND('',#40420,.T.); #22057=FACE_OUTER_BOUND('',#40421,.T.); #22058=FACE_OUTER_BOUND('',#40422,.T.); #22059=FACE_OUTER_BOUND('',#40423,.T.); #22060=FACE_OUTER_BOUND('',#40424,.T.); #22061=FACE_OUTER_BOUND('',#40425,.T.); #22062=FACE_OUTER_BOUND('',#40426,.T.); #22063=FACE_OUTER_BOUND('',#40427,.T.); #22064=FACE_OUTER_BOUND('',#40428,.T.); #22065=FACE_OUTER_BOUND('',#40429,.T.); #22066=FACE_OUTER_BOUND('',#40430,.T.); #22067=FACE_OUTER_BOUND('',#40431,.T.); #22068=FACE_OUTER_BOUND('',#40432,.T.); #22069=FACE_OUTER_BOUND('',#40433,.T.); #22070=FACE_OUTER_BOUND('',#40434,.T.); #22071=FACE_OUTER_BOUND('',#40435,.T.); #22072=FACE_OUTER_BOUND('',#40436,.T.); #22073=FACE_OUTER_BOUND('',#40437,.T.); #22074=FACE_OUTER_BOUND('',#40438,.T.); #22075=FACE_OUTER_BOUND('',#40439,.T.); #22076=FACE_OUTER_BOUND('',#40440,.T.); #22077=FACE_OUTER_BOUND('',#40441,.T.); #22078=FACE_OUTER_BOUND('',#40442,.T.); #22079=FACE_OUTER_BOUND('',#40443,.T.); #22080=FACE_OUTER_BOUND('',#40444,.T.); #22081=FACE_OUTER_BOUND('',#40445,.T.); #22082=FACE_OUTER_BOUND('',#40446,.T.); #22083=FACE_OUTER_BOUND('',#40447,.T.); #22084=FACE_OUTER_BOUND('',#40448,.T.); #22085=FACE_OUTER_BOUND('',#40449,.T.); #22086=FACE_OUTER_BOUND('',#40450,.T.); #22087=FACE_OUTER_BOUND('',#40451,.T.); #22088=FACE_OUTER_BOUND('',#40452,.T.); #22089=FACE_OUTER_BOUND('',#40453,.T.); #22090=FACE_OUTER_BOUND('',#40454,.T.); #22091=FACE_OUTER_BOUND('',#40455,.T.); #22092=FACE_OUTER_BOUND('',#40456,.T.); #22093=FACE_OUTER_BOUND('',#40457,.T.); #22094=FACE_OUTER_BOUND('',#40458,.T.); #22095=FACE_OUTER_BOUND('',#40459,.T.); #22096=FACE_OUTER_BOUND('',#40460,.T.); #22097=FACE_OUTER_BOUND('',#40461,.T.); #22098=FACE_OUTER_BOUND('',#40462,.T.); #22099=FACE_OUTER_BOUND('',#40463,.T.); #22100=FACE_OUTER_BOUND('',#40464,.T.); #22101=FACE_OUTER_BOUND('',#40465,.T.); #22102=FACE_OUTER_BOUND('',#40466,.T.); #22103=FACE_OUTER_BOUND('',#40467,.T.); #22104=FACE_OUTER_BOUND('',#40468,.T.); #22105=FACE_OUTER_BOUND('',#40469,.T.); #22106=FACE_OUTER_BOUND('',#40470,.T.); #22107=FACE_OUTER_BOUND('',#40471,.T.); #22108=FACE_OUTER_BOUND('',#40472,.T.); #22109=FACE_OUTER_BOUND('',#40473,.T.); #22110=FACE_OUTER_BOUND('',#40474,.T.); #22111=FACE_OUTER_BOUND('',#40475,.T.); #22112=FACE_OUTER_BOUND('',#40476,.T.); #22113=FACE_OUTER_BOUND('',#40477,.T.); #22114=FACE_OUTER_BOUND('',#40478,.T.); #22115=FACE_OUTER_BOUND('',#40479,.T.); #22116=FACE_OUTER_BOUND('',#40480,.T.); #22117=FACE_OUTER_BOUND('',#40481,.T.); #22118=FACE_OUTER_BOUND('',#40482,.T.); #22119=FACE_OUTER_BOUND('',#40483,.T.); #22120=FACE_OUTER_BOUND('',#40484,.T.); #22121=FACE_OUTER_BOUND('',#40485,.T.); #22122=FACE_OUTER_BOUND('',#40486,.T.); #22123=FACE_OUTER_BOUND('',#40487,.T.); #22124=FACE_OUTER_BOUND('',#40488,.T.); #22125=FACE_OUTER_BOUND('',#40489,.T.); #22126=FACE_OUTER_BOUND('',#40490,.T.); #22127=FACE_OUTER_BOUND('',#40491,.T.); #22128=FACE_OUTER_BOUND('',#40492,.T.); #22129=FACE_OUTER_BOUND('',#40493,.T.); #22130=FACE_OUTER_BOUND('',#40494,.T.); #22131=FACE_OUTER_BOUND('',#40495,.T.); #22132=FACE_OUTER_BOUND('',#40496,.T.); #22133=FACE_OUTER_BOUND('',#40497,.T.); #22134=FACE_OUTER_BOUND('',#40498,.T.); #22135=FACE_OUTER_BOUND('',#40499,.T.); #22136=FACE_OUTER_BOUND('',#40500,.T.); #22137=FACE_OUTER_BOUND('',#40501,.T.); #22138=FACE_OUTER_BOUND('',#40502,.T.); #22139=FACE_OUTER_BOUND('',#40503,.T.); #22140=FACE_OUTER_BOUND('',#40504,.T.); #22141=FACE_OUTER_BOUND('',#40505,.T.); #22142=FACE_OUTER_BOUND('',#40506,.T.); #22143=FACE_OUTER_BOUND('',#40507,.T.); #22144=FACE_OUTER_BOUND('',#40508,.T.); #22145=FACE_OUTER_BOUND('',#40509,.T.); #22146=FACE_OUTER_BOUND('',#40510,.T.); #22147=FACE_OUTER_BOUND('',#40511,.T.); #22148=FACE_OUTER_BOUND('',#40512,.T.); #22149=FACE_OUTER_BOUND('',#40513,.T.); #22150=FACE_OUTER_BOUND('',#40514,.T.); #22151=FACE_OUTER_BOUND('',#40515,.T.); #22152=FACE_OUTER_BOUND('',#40516,.T.); #22153=FACE_OUTER_BOUND('',#40517,.T.); #22154=FACE_OUTER_BOUND('',#40518,.T.); #22155=FACE_OUTER_BOUND('',#40519,.T.); #22156=FACE_OUTER_BOUND('',#40520,.T.); #22157=FACE_OUTER_BOUND('',#40521,.T.); #22158=FACE_OUTER_BOUND('',#40522,.T.); #22159=FACE_OUTER_BOUND('',#40523,.T.); #22160=FACE_OUTER_BOUND('',#40524,.T.); #22161=FACE_OUTER_BOUND('',#40525,.T.); #22162=FACE_OUTER_BOUND('',#40526,.T.); #22163=FACE_OUTER_BOUND('',#40527,.T.); #22164=FACE_OUTER_BOUND('',#40528,.T.); #22165=FACE_OUTER_BOUND('',#40529,.T.); #22166=FACE_OUTER_BOUND('',#40530,.T.); #22167=FACE_OUTER_BOUND('',#40531,.T.); #22168=FACE_OUTER_BOUND('',#40532,.T.); #22169=FACE_OUTER_BOUND('',#40533,.T.); #22170=FACE_OUTER_BOUND('',#40534,.T.); #22171=FACE_OUTER_BOUND('',#40535,.T.); #22172=FACE_OUTER_BOUND('',#40536,.T.); #22173=FACE_OUTER_BOUND('',#40537,.T.); #22174=FACE_OUTER_BOUND('',#40538,.T.); #22175=FACE_OUTER_BOUND('',#40539,.T.); #22176=FACE_OUTER_BOUND('',#40540,.T.); #22177=FACE_OUTER_BOUND('',#40541,.T.); #22178=FACE_OUTER_BOUND('',#40542,.T.); #22179=FACE_OUTER_BOUND('',#40543,.T.); #22180=FACE_OUTER_BOUND('',#40544,.T.); #22181=FACE_OUTER_BOUND('',#40545,.T.); #22182=FACE_OUTER_BOUND('',#40546,.T.); #22183=FACE_OUTER_BOUND('',#40547,.T.); #22184=FACE_OUTER_BOUND('',#40548,.T.); #22185=FACE_OUTER_BOUND('',#40549,.T.); #22186=FACE_OUTER_BOUND('',#40550,.T.); #22187=FACE_OUTER_BOUND('',#40551,.T.); #22188=FACE_OUTER_BOUND('',#40552,.T.); #22189=FACE_OUTER_BOUND('',#40553,.T.); #22190=FACE_OUTER_BOUND('',#40554,.T.); #22191=FACE_OUTER_BOUND('',#40555,.T.); #22192=FACE_OUTER_BOUND('',#40556,.T.); #22193=FACE_OUTER_BOUND('',#40557,.T.); #22194=FACE_OUTER_BOUND('',#40558,.T.); #22195=FACE_OUTER_BOUND('',#40559,.T.); #22196=FACE_OUTER_BOUND('',#40560,.T.); #22197=FACE_OUTER_BOUND('',#40561,.T.); #22198=FACE_OUTER_BOUND('',#40562,.T.); #22199=FACE_OUTER_BOUND('',#40563,.T.); #22200=FACE_OUTER_BOUND('',#40564,.T.); #22201=FACE_OUTER_BOUND('',#40565,.T.); #22202=FACE_OUTER_BOUND('',#40566,.T.); #22203=FACE_OUTER_BOUND('',#40567,.T.); #22204=FACE_OUTER_BOUND('',#40568,.T.); #22205=FACE_OUTER_BOUND('',#40569,.T.); #22206=FACE_OUTER_BOUND('',#40570,.T.); #22207=FACE_OUTER_BOUND('',#40571,.T.); #22208=FACE_OUTER_BOUND('',#40572,.T.); #22209=FACE_OUTER_BOUND('',#40573,.T.); #22210=FACE_OUTER_BOUND('',#40574,.T.); #22211=FACE_OUTER_BOUND('',#40575,.T.); #22212=FACE_OUTER_BOUND('',#40576,.T.); #22213=FACE_OUTER_BOUND('',#40577,.T.); #22214=FACE_OUTER_BOUND('',#40578,.T.); #22215=FACE_OUTER_BOUND('',#40579,.T.); #22216=FACE_OUTER_BOUND('',#40580,.T.); #22217=FACE_OUTER_BOUND('',#40581,.T.); #22218=FACE_OUTER_BOUND('',#40582,.T.); #22219=FACE_OUTER_BOUND('',#40583,.T.); #22220=FACE_OUTER_BOUND('',#40584,.T.); #22221=FACE_OUTER_BOUND('',#40585,.T.); #22222=FACE_OUTER_BOUND('',#40586,.T.); #22223=FACE_OUTER_BOUND('',#40587,.T.); #22224=FACE_OUTER_BOUND('',#40588,.T.); #22225=FACE_OUTER_BOUND('',#40589,.T.); #22226=FACE_OUTER_BOUND('',#40590,.T.); #22227=FACE_OUTER_BOUND('',#40591,.T.); #22228=FACE_OUTER_BOUND('',#40592,.T.); #22229=FACE_OUTER_BOUND('',#40593,.T.); #22230=FACE_OUTER_BOUND('',#40594,.T.); #22231=FACE_OUTER_BOUND('',#40595,.T.); #22232=FACE_OUTER_BOUND('',#40596,.T.); #22233=FACE_OUTER_BOUND('',#40597,.T.); #22234=FACE_OUTER_BOUND('',#40598,.T.); #22235=FACE_OUTER_BOUND('',#40599,.T.); #22236=FACE_OUTER_BOUND('',#40600,.T.); #22237=FACE_OUTER_BOUND('',#40601,.T.); #22238=FACE_OUTER_BOUND('',#40602,.T.); #22239=FACE_OUTER_BOUND('',#40603,.T.); #22240=FACE_OUTER_BOUND('',#40604,.T.); #22241=FACE_OUTER_BOUND('',#40605,.T.); #22242=FACE_OUTER_BOUND('',#40606,.T.); #22243=FACE_OUTER_BOUND('',#40607,.T.); #22244=FACE_OUTER_BOUND('',#40608,.T.); #22245=FACE_OUTER_BOUND('',#40609,.T.); #22246=FACE_OUTER_BOUND('',#40610,.T.); #22247=FACE_OUTER_BOUND('',#40611,.T.); #22248=FACE_OUTER_BOUND('',#40612,.T.); #22249=FACE_OUTER_BOUND('',#40613,.T.); #22250=FACE_OUTER_BOUND('',#40614,.T.); #22251=FACE_OUTER_BOUND('',#40615,.T.); #22252=FACE_OUTER_BOUND('',#40616,.T.); #22253=FACE_OUTER_BOUND('',#40617,.T.); #22254=FACE_OUTER_BOUND('',#40618,.T.); #22255=FACE_OUTER_BOUND('',#40619,.T.); #22256=FACE_OUTER_BOUND('',#40620,.T.); #22257=FACE_OUTER_BOUND('',#40621,.T.); #22258=FACE_OUTER_BOUND('',#40622,.T.); #22259=FACE_OUTER_BOUND('',#40623,.T.); #22260=FACE_OUTER_BOUND('',#40624,.T.); #22261=FACE_OUTER_BOUND('',#40625,.T.); #22262=FACE_OUTER_BOUND('',#40626,.T.); #22263=FACE_OUTER_BOUND('',#40627,.T.); #22264=FACE_OUTER_BOUND('',#40628,.T.); #22265=FACE_OUTER_BOUND('',#40629,.T.); #22266=FACE_OUTER_BOUND('',#40630,.T.); #22267=FACE_OUTER_BOUND('',#40631,.T.); #22268=FACE_OUTER_BOUND('',#40632,.T.); #22269=FACE_OUTER_BOUND('',#40633,.T.); #22270=FACE_OUTER_BOUND('',#40634,.T.); #22271=FACE_OUTER_BOUND('',#40635,.T.); #22272=FACE_OUTER_BOUND('',#40636,.T.); #22273=FACE_OUTER_BOUND('',#40637,.T.); #22274=FACE_OUTER_BOUND('',#40638,.T.); #22275=FACE_OUTER_BOUND('',#40639,.T.); #22276=FACE_OUTER_BOUND('',#40640,.T.); #22277=FACE_OUTER_BOUND('',#40641,.T.); #22278=FACE_OUTER_BOUND('',#40642,.T.); #22279=FACE_OUTER_BOUND('',#40643,.T.); #22280=FACE_OUTER_BOUND('',#40644,.T.); #22281=FACE_OUTER_BOUND('',#40645,.T.); #22282=FACE_OUTER_BOUND('',#40646,.T.); #22283=FACE_OUTER_BOUND('',#40647,.T.); #22284=FACE_OUTER_BOUND('',#40648,.T.); #22285=FACE_OUTER_BOUND('',#40649,.T.); #22286=FACE_OUTER_BOUND('',#40650,.T.); #22287=FACE_OUTER_BOUND('',#40651,.T.); #22288=FACE_OUTER_BOUND('',#40652,.T.); #22289=FACE_OUTER_BOUND('',#40653,.T.); #22290=FACE_OUTER_BOUND('',#40654,.T.); #22291=FACE_OUTER_BOUND('',#40655,.T.); #22292=FACE_OUTER_BOUND('',#40656,.T.); #22293=FACE_OUTER_BOUND('',#40657,.T.); #22294=FACE_OUTER_BOUND('',#40658,.T.); #22295=FACE_OUTER_BOUND('',#40659,.T.); #22296=FACE_OUTER_BOUND('',#40660,.T.); #22297=FACE_OUTER_BOUND('',#40661,.T.); #22298=FACE_OUTER_BOUND('',#40662,.T.); #22299=FACE_OUTER_BOUND('',#40663,.T.); #22300=FACE_OUTER_BOUND('',#40664,.T.); #22301=FACE_OUTER_BOUND('',#40665,.T.); #22302=FACE_OUTER_BOUND('',#40666,.T.); #22303=FACE_OUTER_BOUND('',#40667,.T.); #22304=FACE_OUTER_BOUND('',#40668,.T.); #22305=FACE_OUTER_BOUND('',#40669,.T.); #22306=FACE_OUTER_BOUND('',#40670,.T.); #22307=FACE_OUTER_BOUND('',#40671,.T.); #22308=FACE_OUTER_BOUND('',#40672,.T.); #22309=FACE_OUTER_BOUND('',#40673,.T.); #22310=FACE_OUTER_BOUND('',#40674,.T.); #22311=FACE_OUTER_BOUND('',#40675,.T.); #22312=FACE_OUTER_BOUND('',#40676,.T.); #22313=FACE_OUTER_BOUND('',#40677,.T.); #22314=FACE_OUTER_BOUND('',#40678,.T.); #22315=FACE_OUTER_BOUND('',#40679,.T.); #22316=FACE_OUTER_BOUND('',#40680,.T.); #22317=FACE_OUTER_BOUND('',#40681,.T.); #22318=FACE_OUTER_BOUND('',#40682,.T.); #22319=FACE_OUTER_BOUND('',#40683,.T.); #22320=FACE_OUTER_BOUND('',#40684,.T.); #22321=FACE_OUTER_BOUND('',#40685,.T.); #22322=FACE_OUTER_BOUND('',#40686,.T.); #22323=FACE_OUTER_BOUND('',#40687,.T.); #22324=FACE_OUTER_BOUND('',#40688,.T.); #22325=FACE_OUTER_BOUND('',#40689,.T.); #22326=FACE_OUTER_BOUND('',#40690,.T.); #22327=FACE_OUTER_BOUND('',#40691,.T.); #22328=FACE_OUTER_BOUND('',#40692,.T.); #22329=FACE_OUTER_BOUND('',#40693,.T.); #22330=FACE_OUTER_BOUND('',#40694,.T.); #22331=FACE_OUTER_BOUND('',#40695,.T.); #22332=FACE_OUTER_BOUND('',#40696,.T.); #22333=FACE_OUTER_BOUND('',#40697,.T.); #22334=FACE_OUTER_BOUND('',#40698,.T.); #22335=FACE_OUTER_BOUND('',#40699,.T.); #22336=FACE_OUTER_BOUND('',#40700,.T.); #22337=FACE_OUTER_BOUND('',#40701,.T.); #22338=FACE_OUTER_BOUND('',#40702,.T.); #22339=FACE_OUTER_BOUND('',#40703,.T.); #22340=FACE_OUTER_BOUND('',#40704,.T.); #22341=FACE_OUTER_BOUND('',#40705,.T.); #22342=FACE_OUTER_BOUND('',#40706,.T.); #22343=FACE_OUTER_BOUND('',#40707,.T.); #22344=FACE_OUTER_BOUND('',#40708,.T.); #22345=FACE_OUTER_BOUND('',#40709,.T.); #22346=FACE_OUTER_BOUND('',#40710,.T.); #22347=FACE_OUTER_BOUND('',#40711,.T.); #22348=FACE_OUTER_BOUND('',#40712,.T.); #22349=FACE_OUTER_BOUND('',#40713,.T.); #22350=FACE_OUTER_BOUND('',#40714,.T.); #22351=FACE_OUTER_BOUND('',#40715,.T.); #22352=FACE_OUTER_BOUND('',#40716,.T.); #22353=FACE_OUTER_BOUND('',#40717,.T.); #22354=FACE_OUTER_BOUND('',#40718,.T.); #22355=FACE_OUTER_BOUND('',#40719,.T.); #22356=FACE_OUTER_BOUND('',#40720,.T.); #22357=FACE_OUTER_BOUND('',#40721,.T.); #22358=FACE_OUTER_BOUND('',#40722,.T.); #22359=FACE_OUTER_BOUND('',#40723,.T.); #22360=FACE_OUTER_BOUND('',#40724,.T.); #22361=FACE_OUTER_BOUND('',#40725,.T.); #22362=FACE_OUTER_BOUND('',#40726,.T.); #22363=FACE_OUTER_BOUND('',#40727,.T.); #22364=FACE_OUTER_BOUND('',#40728,.T.); #22365=FACE_OUTER_BOUND('',#40729,.T.); #22366=FACE_OUTER_BOUND('',#40730,.T.); #22367=FACE_OUTER_BOUND('',#40731,.T.); #22368=FACE_OUTER_BOUND('',#40732,.T.); #22369=FACE_OUTER_BOUND('',#40733,.T.); #22370=FACE_OUTER_BOUND('',#40734,.T.); #22371=FACE_OUTER_BOUND('',#40735,.T.); #22372=FACE_OUTER_BOUND('',#40736,.T.); #22373=FACE_OUTER_BOUND('',#40737,.T.); #22374=FACE_OUTER_BOUND('',#40738,.T.); #22375=FACE_OUTER_BOUND('',#40739,.T.); #22376=FACE_OUTER_BOUND('',#40740,.T.); #22377=FACE_OUTER_BOUND('',#40741,.T.); #22378=FACE_OUTER_BOUND('',#40742,.T.); #22379=FACE_OUTER_BOUND('',#40743,.T.); #22380=FACE_OUTER_BOUND('',#40744,.T.); #22381=FACE_OUTER_BOUND('',#40745,.T.); #22382=FACE_OUTER_BOUND('',#40746,.T.); #22383=FACE_OUTER_BOUND('',#40747,.T.); #22384=FACE_OUTER_BOUND('',#40748,.T.); #22385=FACE_OUTER_BOUND('',#40750,.T.); #22386=FACE_OUTER_BOUND('',#40751,.T.); #22387=FACE_OUTER_BOUND('',#40752,.T.); #22388=FACE_OUTER_BOUND('',#40753,.T.); #22389=FACE_OUTER_BOUND('',#40754,.T.); #22390=FACE_OUTER_BOUND('',#40755,.T.); #22391=FACE_OUTER_BOUND('',#40756,.T.); #22392=FACE_OUTER_BOUND('',#40757,.T.); #22393=FACE_OUTER_BOUND('',#40758,.T.); #22394=FACE_OUTER_BOUND('',#40759,.T.); #22395=FACE_OUTER_BOUND('',#40760,.T.); #22396=FACE_OUTER_BOUND('',#40761,.T.); #22397=FACE_OUTER_BOUND('',#40762,.T.); #22398=FACE_OUTER_BOUND('',#40763,.T.); #22399=FACE_OUTER_BOUND('',#40764,.T.); #22400=FACE_OUTER_BOUND('',#40765,.T.); #22401=FACE_OUTER_BOUND('',#40766,.T.); #22402=FACE_OUTER_BOUND('',#40767,.T.); #22403=FACE_OUTER_BOUND('',#40768,.T.); #22404=FACE_OUTER_BOUND('',#40769,.T.); #22405=FACE_OUTER_BOUND('',#40770,.T.); #22406=FACE_OUTER_BOUND('',#40771,.T.); #22407=FACE_OUTER_BOUND('',#40772,.T.); #22408=FACE_OUTER_BOUND('',#40773,.T.); #22409=FACE_OUTER_BOUND('',#40774,.T.); #22410=FACE_OUTER_BOUND('',#40775,.T.); #22411=FACE_OUTER_BOUND('',#40776,.T.); #22412=FACE_OUTER_BOUND('',#40777,.T.); #22413=FACE_OUTER_BOUND('',#40778,.T.); #22414=FACE_OUTER_BOUND('',#40779,.T.); #22415=FACE_OUTER_BOUND('',#40780,.T.); #22416=FACE_OUTER_BOUND('',#40781,.T.); #22417=FACE_OUTER_BOUND('',#40782,.T.); #22418=FACE_OUTER_BOUND('',#40783,.T.); #22419=FACE_OUTER_BOUND('',#40784,.T.); #22420=FACE_OUTER_BOUND('',#40785,.T.); #22421=FACE_OUTER_BOUND('',#40786,.T.); #22422=FACE_OUTER_BOUND('',#40787,.T.); #22423=FACE_OUTER_BOUND('',#40788,.T.); #22424=FACE_OUTER_BOUND('',#40789,.T.); #22425=FACE_OUTER_BOUND('',#40790,.T.); #22426=FACE_OUTER_BOUND('',#40791,.T.); #22427=FACE_OUTER_BOUND('',#40792,.T.); #22428=FACE_OUTER_BOUND('',#40793,.T.); #22429=FACE_OUTER_BOUND('',#40794,.T.); #22430=FACE_OUTER_BOUND('',#40795,.T.); #22431=FACE_OUTER_BOUND('',#40796,.T.); #22432=FACE_OUTER_BOUND('',#40797,.T.); #22433=FACE_OUTER_BOUND('',#40798,.T.); #22434=FACE_OUTER_BOUND('',#40799,.T.); #22435=FACE_OUTER_BOUND('',#40800,.T.); #22436=FACE_OUTER_BOUND('',#40801,.T.); #22437=FACE_OUTER_BOUND('',#40802,.T.); #22438=FACE_OUTER_BOUND('',#40803,.T.); #22439=FACE_OUTER_BOUND('',#40804,.T.); #22440=FACE_OUTER_BOUND('',#40805,.T.); #22441=FACE_OUTER_BOUND('',#40806,.T.); #22442=FACE_OUTER_BOUND('',#40807,.T.); #22443=FACE_OUTER_BOUND('',#40808,.T.); #22444=FACE_OUTER_BOUND('',#40809,.T.); #22445=FACE_OUTER_BOUND('',#40810,.T.); #22446=FACE_OUTER_BOUND('',#40811,.T.); #22447=FACE_OUTER_BOUND('',#40812,.T.); #22448=FACE_OUTER_BOUND('',#40813,.T.); #22449=FACE_OUTER_BOUND('',#40814,.T.); #22450=FACE_OUTER_BOUND('',#40815,.T.); #22451=FACE_OUTER_BOUND('',#40816,.T.); #22452=FACE_OUTER_BOUND('',#40817,.T.); #22453=FACE_OUTER_BOUND('',#40818,.T.); #22454=FACE_OUTER_BOUND('',#40819,.T.); #22455=FACE_OUTER_BOUND('',#40820,.T.); #22456=FACE_OUTER_BOUND('',#40821,.T.); #22457=FACE_OUTER_BOUND('',#40822,.T.); #22458=FACE_OUTER_BOUND('',#40823,.T.); #22459=FACE_OUTER_BOUND('',#40824,.T.); #22460=FACE_OUTER_BOUND('',#40825,.T.); #22461=FACE_OUTER_BOUND('',#40826,.T.); #22462=FACE_OUTER_BOUND('',#40827,.T.); #22463=FACE_OUTER_BOUND('',#40828,.T.); #22464=FACE_OUTER_BOUND('',#40829,.T.); #22465=FACE_OUTER_BOUND('',#40830,.T.); #22466=FACE_OUTER_BOUND('',#40831,.T.); #22467=FACE_OUTER_BOUND('',#40832,.T.); #22468=FACE_OUTER_BOUND('',#40833,.T.); #22469=FACE_OUTER_BOUND('',#40834,.T.); #22470=FACE_OUTER_BOUND('',#40835,.T.); #22471=FACE_OUTER_BOUND('',#40836,.T.); #22472=FACE_OUTER_BOUND('',#40837,.T.); #22473=FACE_OUTER_BOUND('',#40838,.T.); #22474=FACE_OUTER_BOUND('',#40839,.T.); #22475=FACE_OUTER_BOUND('',#40840,.T.); #22476=FACE_OUTER_BOUND('',#40841,.T.); #22477=FACE_OUTER_BOUND('',#40842,.T.); #22478=FACE_OUTER_BOUND('',#40843,.T.); #22479=FACE_OUTER_BOUND('',#40844,.T.); #22480=FACE_OUTER_BOUND('',#40845,.T.); #22481=FACE_OUTER_BOUND('',#40846,.T.); #22482=FACE_OUTER_BOUND('',#40847,.T.); #22483=FACE_OUTER_BOUND('',#40848,.T.); #22484=FACE_OUTER_BOUND('',#40849,.T.); #22485=FACE_OUTER_BOUND('',#40850,.T.); #22486=FACE_OUTER_BOUND('',#40851,.T.); #22487=FACE_OUTER_BOUND('',#40852,.T.); #22488=FACE_OUTER_BOUND('',#40853,.T.); #22489=FACE_OUTER_BOUND('',#40854,.T.); #22490=FACE_OUTER_BOUND('',#40855,.T.); #22491=FACE_OUTER_BOUND('',#40856,.T.); #22492=FACE_OUTER_BOUND('',#40857,.T.); #22493=FACE_OUTER_BOUND('',#40858,.T.); #22494=FACE_OUTER_BOUND('',#40859,.T.); #22495=FACE_OUTER_BOUND('',#40860,.T.); #22496=FACE_OUTER_BOUND('',#40861,.T.); #22497=FACE_OUTER_BOUND('',#40862,.T.); #22498=FACE_OUTER_BOUND('',#40863,.T.); #22499=FACE_OUTER_BOUND('',#40864,.T.); #22500=FACE_OUTER_BOUND('',#40865,.T.); #22501=FACE_OUTER_BOUND('',#40866,.T.); #22502=FACE_OUTER_BOUND('',#40867,.T.); #22503=FACE_OUTER_BOUND('',#40868,.T.); #22504=FACE_OUTER_BOUND('',#40869,.T.); #22505=FACE_OUTER_BOUND('',#40870,.T.); #22506=FACE_OUTER_BOUND('',#40871,.T.); #22507=FACE_OUTER_BOUND('',#40872,.T.); #22508=FACE_OUTER_BOUND('',#40873,.T.); #22509=FACE_OUTER_BOUND('',#40874,.T.); #22510=FACE_OUTER_BOUND('',#40875,.T.); #22511=FACE_OUTER_BOUND('',#40876,.T.); #22512=FACE_OUTER_BOUND('',#40877,.T.); #22513=FACE_OUTER_BOUND('',#40878,.T.); #22514=FACE_OUTER_BOUND('',#40879,.T.); #22515=FACE_OUTER_BOUND('',#40880,.T.); #22516=FACE_OUTER_BOUND('',#40881,.T.); #22517=FACE_OUTER_BOUND('',#40882,.T.); #22518=FACE_OUTER_BOUND('',#40883,.T.); #22519=FACE_OUTER_BOUND('',#40884,.T.); #22520=FACE_OUTER_BOUND('',#40885,.T.); #22521=FACE_OUTER_BOUND('',#40886,.T.); #22522=FACE_OUTER_BOUND('',#40887,.T.); #22523=FACE_OUTER_BOUND('',#40888,.T.); #22524=FACE_OUTER_BOUND('',#40889,.T.); #22525=FACE_OUTER_BOUND('',#40890,.T.); #22526=FACE_OUTER_BOUND('',#40891,.T.); #22527=FACE_OUTER_BOUND('',#40892,.T.); #22528=FACE_OUTER_BOUND('',#40893,.T.); #22529=FACE_OUTER_BOUND('',#40894,.T.); #22530=FACE_OUTER_BOUND('',#40895,.T.); #22531=FACE_OUTER_BOUND('',#40897,.T.); #22532=FACE_OUTER_BOUND('',#40898,.T.); #22533=FACE_OUTER_BOUND('',#40900,.T.); #22534=FACE_OUTER_BOUND('',#40901,.T.); #22535=FACE_OUTER_BOUND('',#40903,.T.); #22536=FACE_OUTER_BOUND('',#40904,.T.); #22537=FACE_OUTER_BOUND('',#40906,.T.); #22538=FACE_OUTER_BOUND('',#40907,.T.); #22539=FACE_OUTER_BOUND('',#40908,.T.); #22540=FACE_OUTER_BOUND('',#40909,.T.); #22541=FACE_OUTER_BOUND('',#40910,.T.); #22542=FACE_OUTER_BOUND('',#40911,.T.); #22543=FACE_OUTER_BOUND('',#40912,.T.); #22544=FACE_OUTER_BOUND('',#40913,.T.); #22545=FACE_OUTER_BOUND('',#40914,.T.); #22546=FACE_OUTER_BOUND('',#40916,.T.); #22547=FACE_OUTER_BOUND('',#40917,.T.); #22548=FACE_OUTER_BOUND('',#40918,.T.); #22549=FACE_OUTER_BOUND('',#40919,.T.); #22550=FACE_OUTER_BOUND('',#40920,.T.); #22551=FACE_OUTER_BOUND('',#40921,.T.); #22552=FACE_OUTER_BOUND('',#40922,.T.); #22553=FACE_OUTER_BOUND('',#40923,.T.); #22554=FACE_OUTER_BOUND('',#40924,.T.); #22555=FACE_OUTER_BOUND('',#40925,.T.); #22556=FACE_OUTER_BOUND('',#40926,.T.); #22557=FACE_OUTER_BOUND('',#40927,.T.); #22558=FACE_OUTER_BOUND('',#40928,.T.); #22559=FACE_OUTER_BOUND('',#40948,.T.); #22560=FACE_OUTER_BOUND('',#40949,.T.); #22561=FACE_OUTER_BOUND('',#40950,.T.); #22562=FACE_OUTER_BOUND('',#40951,.T.); #22563=FACE_OUTER_BOUND('',#40952,.T.); #22564=FACE_OUTER_BOUND('',#40953,.T.); #22565=FACE_OUTER_BOUND('',#40954,.T.); #22566=FACE_OUTER_BOUND('',#40955,.T.); #22567=FACE_OUTER_BOUND('',#40956,.T.); #22568=FACE_OUTER_BOUND('',#40957,.T.); #22569=FACE_OUTER_BOUND('',#40958,.T.); #22570=FACE_OUTER_BOUND('',#40959,.T.); #22571=FACE_OUTER_BOUND('',#40960,.T.); #22572=FACE_OUTER_BOUND('',#40961,.T.); #22573=FACE_OUTER_BOUND('',#40962,.T.); #22574=FACE_OUTER_BOUND('',#40963,.T.); #22575=FACE_OUTER_BOUND('',#40964,.T.); #22576=FACE_OUTER_BOUND('',#40965,.T.); #22577=FACE_OUTER_BOUND('',#40966,.T.); #22578=FACE_OUTER_BOUND('',#40967,.T.); #22579=FACE_OUTER_BOUND('',#40968,.T.); #22580=FACE_OUTER_BOUND('',#40969,.T.); #22581=FACE_OUTER_BOUND('',#40970,.T.); #22582=FACE_OUTER_BOUND('',#40971,.T.); #22583=FACE_OUTER_BOUND('',#40972,.T.); #22584=FACE_OUTER_BOUND('',#40973,.T.); #22585=FACE_OUTER_BOUND('',#40974,.T.); #22586=FACE_OUTER_BOUND('',#40975,.T.); #22587=FACE_OUTER_BOUND('',#40976,.T.); #22588=FACE_OUTER_BOUND('',#40977,.T.); #22589=FACE_OUTER_BOUND('',#40978,.T.); #22590=FACE_OUTER_BOUND('',#40979,.T.); #22591=FACE_OUTER_BOUND('',#40980,.T.); #22592=FACE_OUTER_BOUND('',#40981,.T.); #22593=FACE_OUTER_BOUND('',#40982,.T.); #22594=FACE_OUTER_BOUND('',#40983,.T.); #22595=FACE_OUTER_BOUND('',#40984,.T.); #22596=FACE_OUTER_BOUND('',#40985,.T.); #22597=FACE_OUTER_BOUND('',#40986,.T.); #22598=FACE_OUTER_BOUND('',#40987,.T.); #22599=FACE_OUTER_BOUND('',#40988,.T.); #22600=FACE_OUTER_BOUND('',#40989,.T.); #22601=FACE_OUTER_BOUND('',#40990,.T.); #22602=FACE_OUTER_BOUND('',#40991,.T.); #22603=FACE_OUTER_BOUND('',#40992,.T.); #22604=FACE_OUTER_BOUND('',#40993,.T.); #22605=FACE_OUTER_BOUND('',#40994,.T.); #22606=FACE_OUTER_BOUND('',#40995,.T.); #22607=FACE_OUTER_BOUND('',#40996,.T.); #22608=FACE_OUTER_BOUND('',#40997,.T.); #22609=FACE_OUTER_BOUND('',#40998,.T.); #22610=FACE_OUTER_BOUND('',#40999,.T.); #22611=FACE_OUTER_BOUND('',#41000,.T.); #22612=FACE_OUTER_BOUND('',#41001,.T.); #22613=FACE_OUTER_BOUND('',#41002,.T.); #22614=FACE_OUTER_BOUND('',#41003,.T.); #22615=FACE_OUTER_BOUND('',#41004,.T.); #22616=FACE_OUTER_BOUND('',#41005,.T.); #22617=FACE_OUTER_BOUND('',#41006,.T.); #22618=FACE_OUTER_BOUND('',#41007,.T.); #22619=FACE_OUTER_BOUND('',#41008,.T.); #22620=FACE_OUTER_BOUND('',#41009,.T.); #22621=FACE_OUTER_BOUND('',#41010,.T.); #22622=FACE_OUTER_BOUND('',#41011,.T.); #22623=FACE_OUTER_BOUND('',#41012,.T.); #22624=FACE_OUTER_BOUND('',#41013,.T.); #22625=FACE_OUTER_BOUND('',#41014,.T.); #22626=FACE_OUTER_BOUND('',#41015,.T.); #22627=FACE_OUTER_BOUND('',#41016,.T.); #22628=FACE_OUTER_BOUND('',#41017,.T.); #22629=FACE_OUTER_BOUND('',#41018,.T.); #22630=FACE_OUTER_BOUND('',#41019,.T.); #22631=FACE_OUTER_BOUND('',#41020,.T.); #22632=FACE_OUTER_BOUND('',#41021,.T.); #22633=FACE_OUTER_BOUND('',#41022,.T.); #22634=FACE_OUTER_BOUND('',#41023,.T.); #22635=FACE_OUTER_BOUND('',#41024,.T.); #22636=FACE_OUTER_BOUND('',#41025,.T.); #22637=FACE_OUTER_BOUND('',#41026,.T.); #22638=FACE_OUTER_BOUND('',#41027,.T.); #22639=FACE_OUTER_BOUND('',#41028,.T.); #22640=FACE_OUTER_BOUND('',#41029,.T.); #22641=FACE_OUTER_BOUND('',#41030,.T.); #22642=FACE_OUTER_BOUND('',#41031,.T.); #22643=FACE_OUTER_BOUND('',#41032,.T.); #22644=FACE_OUTER_BOUND('',#41033,.T.); #22645=FACE_OUTER_BOUND('',#41034,.T.); #22646=FACE_OUTER_BOUND('',#41035,.T.); #22647=FACE_OUTER_BOUND('',#41036,.T.); #22648=FACE_OUTER_BOUND('',#41037,.T.); #22649=FACE_OUTER_BOUND('',#41038,.T.); #22650=FACE_OUTER_BOUND('',#41039,.T.); #22651=FACE_OUTER_BOUND('',#41040,.T.); #22652=FACE_OUTER_BOUND('',#41041,.T.); #22653=FACE_OUTER_BOUND('',#41042,.T.); #22654=FACE_OUTER_BOUND('',#41043,.T.); #22655=FACE_OUTER_BOUND('',#41044,.T.); #22656=FACE_OUTER_BOUND('',#41045,.T.); #22657=FACE_OUTER_BOUND('',#41046,.T.); #22658=FACE_OUTER_BOUND('',#41047,.T.); #22659=FACE_OUTER_BOUND('',#41048,.T.); #22660=FACE_OUTER_BOUND('',#41049,.T.); #22661=FACE_OUTER_BOUND('',#41050,.T.); #22662=FACE_OUTER_BOUND('',#41051,.T.); #22663=FACE_OUTER_BOUND('',#41052,.T.); #22664=FACE_OUTER_BOUND('',#41053,.T.); #22665=FACE_OUTER_BOUND('',#41054,.T.); #22666=FACE_OUTER_BOUND('',#41055,.T.); #22667=FACE_OUTER_BOUND('',#41056,.T.); #22668=FACE_OUTER_BOUND('',#41057,.T.); #22669=FACE_OUTER_BOUND('',#41058,.T.); #22670=FACE_OUTER_BOUND('',#41059,.T.); #22671=FACE_OUTER_BOUND('',#41060,.T.); #22672=FACE_OUTER_BOUND('',#41061,.T.); #22673=FACE_OUTER_BOUND('',#41062,.T.); #22674=FACE_OUTER_BOUND('',#41063,.T.); #22675=FACE_OUTER_BOUND('',#41064,.T.); #22676=FACE_OUTER_BOUND('',#41065,.T.); #22677=FACE_OUTER_BOUND('',#41066,.T.); #22678=FACE_OUTER_BOUND('',#41067,.T.); #22679=FACE_OUTER_BOUND('',#41068,.T.); #22680=FACE_OUTER_BOUND('',#41069,.T.); #22681=FACE_OUTER_BOUND('',#41070,.T.); #22682=FACE_OUTER_BOUND('',#41071,.T.); #22683=FACE_OUTER_BOUND('',#41072,.T.); #22684=FACE_OUTER_BOUND('',#41073,.T.); #22685=FACE_OUTER_BOUND('',#41074,.T.); #22686=FACE_OUTER_BOUND('',#41075,.T.); #22687=FACE_OUTER_BOUND('',#41076,.T.); #22688=FACE_OUTER_BOUND('',#41077,.T.); #22689=FACE_OUTER_BOUND('',#41078,.T.); #22690=FACE_OUTER_BOUND('',#41079,.T.); #22691=FACE_OUTER_BOUND('',#41080,.T.); #22692=FACE_OUTER_BOUND('',#41081,.T.); #22693=FACE_OUTER_BOUND('',#41082,.T.); #22694=FACE_OUTER_BOUND('',#41083,.T.); #22695=FACE_OUTER_BOUND('',#41084,.T.); #22696=FACE_OUTER_BOUND('',#41085,.T.); #22697=FACE_OUTER_BOUND('',#41086,.T.); #22698=FACE_OUTER_BOUND('',#41087,.T.); #22699=FACE_OUTER_BOUND('',#41088,.T.); #22700=FACE_OUTER_BOUND('',#41089,.T.); #22701=FACE_OUTER_BOUND('',#41090,.T.); #22702=FACE_OUTER_BOUND('',#41091,.T.); #22703=FACE_OUTER_BOUND('',#41092,.T.); #22704=FACE_OUTER_BOUND('',#41093,.T.); #22705=FACE_OUTER_BOUND('',#41094,.T.); #22706=FACE_OUTER_BOUND('',#41095,.T.); #22707=FACE_OUTER_BOUND('',#41096,.T.); #22708=FACE_OUTER_BOUND('',#41097,.T.); #22709=FACE_OUTER_BOUND('',#41098,.T.); #22710=FACE_OUTER_BOUND('',#41099,.T.); #22711=FACE_OUTER_BOUND('',#41100,.T.); #22712=FACE_OUTER_BOUND('',#41101,.T.); #22713=FACE_OUTER_BOUND('',#41102,.T.); #22714=FACE_OUTER_BOUND('',#41103,.T.); #22715=FACE_OUTER_BOUND('',#41104,.T.); #22716=FACE_OUTER_BOUND('',#41105,.T.); #22717=FACE_OUTER_BOUND('',#41106,.T.); #22718=FACE_OUTER_BOUND('',#41107,.T.); #22719=FACE_OUTER_BOUND('',#41108,.T.); #22720=FACE_OUTER_BOUND('',#41109,.T.); #22721=FACE_OUTER_BOUND('',#41110,.T.); #22722=FACE_OUTER_BOUND('',#41111,.T.); #22723=FACE_OUTER_BOUND('',#41112,.T.); #22724=FACE_OUTER_BOUND('',#41113,.T.); #22725=FACE_OUTER_BOUND('',#41114,.T.); #22726=FACE_OUTER_BOUND('',#41115,.T.); #22727=FACE_OUTER_BOUND('',#41116,.T.); #22728=FACE_OUTER_BOUND('',#41117,.T.); #22729=FACE_OUTER_BOUND('',#41118,.T.); #22730=FACE_OUTER_BOUND('',#41119,.T.); #22731=FACE_OUTER_BOUND('',#41120,.T.); #22732=FACE_OUTER_BOUND('',#41121,.T.); #22733=FACE_OUTER_BOUND('',#41122,.T.); #22734=FACE_OUTER_BOUND('',#41123,.T.); #22735=FACE_OUTER_BOUND('',#41124,.T.); #22736=FACE_OUTER_BOUND('',#41125,.T.); #22737=FACE_OUTER_BOUND('',#41126,.T.); #22738=FACE_OUTER_BOUND('',#41127,.T.); #22739=FACE_OUTER_BOUND('',#41128,.T.); #22740=FACE_OUTER_BOUND('',#41129,.T.); #22741=FACE_OUTER_BOUND('',#41130,.T.); #22742=FACE_OUTER_BOUND('',#41131,.T.); #22743=FACE_OUTER_BOUND('',#41132,.T.); #22744=FACE_OUTER_BOUND('',#41133,.T.); #22745=FACE_OUTER_BOUND('',#41134,.T.); #22746=FACE_OUTER_BOUND('',#41135,.T.); #22747=FACE_OUTER_BOUND('',#41136,.T.); #22748=FACE_OUTER_BOUND('',#41137,.T.); #22749=FACE_OUTER_BOUND('',#41138,.T.); #22750=FACE_OUTER_BOUND('',#41139,.T.); #22751=FACE_OUTER_BOUND('',#41140,.T.); #22752=FACE_OUTER_BOUND('',#41141,.T.); #22753=FACE_OUTER_BOUND('',#41142,.T.); #22754=FACE_OUTER_BOUND('',#41143,.T.); #22755=FACE_OUTER_BOUND('',#41149,.T.); #22756=FACE_OUTER_BOUND('',#41150,.T.); #22757=FACE_OUTER_BOUND('',#41151,.T.); #22758=FACE_OUTER_BOUND('',#41157,.T.); #22759=FACE_OUTER_BOUND('',#41159,.T.); #22760=FACE_OUTER_BOUND('',#41160,.T.); #22761=FACE_OUTER_BOUND('',#41161,.T.); #22762=FACE_OUTER_BOUND('',#41162,.T.); #22763=FACE_OUTER_BOUND('',#41163,.T.); #22764=FACE_OUTER_BOUND('',#41164,.T.); #22765=FACE_OUTER_BOUND('',#41166,.T.); #22766=FACE_OUTER_BOUND('',#41167,.T.); #22767=FACE_OUTER_BOUND('',#41169,.T.); #22768=FACE_OUTER_BOUND('',#41170,.T.); #22769=FACE_OUTER_BOUND('',#41172,.T.); #22770=FACE_OUTER_BOUND('',#41173,.T.); #22771=FACE_OUTER_BOUND('',#41175,.T.); #22772=FACE_OUTER_BOUND('',#41176,.T.); #22773=FACE_OUTER_BOUND('',#41177,.T.); #22774=FACE_OUTER_BOUND('',#41183,.T.); #22775=FACE_OUTER_BOUND('',#41184,.T.); #22776=FACE_OUTER_BOUND('',#41185,.T.); #22777=FACE_OUTER_BOUND('',#41186,.T.); #22778=FACE_OUTER_BOUND('',#41188,.T.); #22779=FACE_OUTER_BOUND('',#41190,.T.); #22780=FACE_OUTER_BOUND('',#41191,.T.); #22781=FACE_OUTER_BOUND('',#41192,.T.); #22782=FACE_OUTER_BOUND('',#41193,.T.); #22783=FACE_OUTER_BOUND('',#41194,.T.); #22784=FACE_OUTER_BOUND('',#41195,.T.); #22785=FACE_OUTER_BOUND('',#41196,.T.); #22786=FACE_OUTER_BOUND('',#41197,.T.); #22787=FACE_OUTER_BOUND('',#41198,.T.); #22788=FACE_OUTER_BOUND('',#41199,.T.); #22789=FACE_OUTER_BOUND('',#41200,.T.); #22790=FACE_OUTER_BOUND('',#41201,.T.); #22791=FACE_OUTER_BOUND('',#41202,.T.); #22792=FACE_OUTER_BOUND('',#41203,.T.); #22793=FACE_OUTER_BOUND('',#41204,.T.); #22794=FACE_OUTER_BOUND('',#41205,.T.); #22795=FACE_OUTER_BOUND('',#41206,.T.); #22796=FACE_OUTER_BOUND('',#41207,.T.); #22797=FACE_OUTER_BOUND('',#41208,.T.); #22798=FACE_OUTER_BOUND('',#41210,.T.); #22799=FACE_OUTER_BOUND('',#41211,.T.); #22800=FACE_OUTER_BOUND('',#41212,.T.); #22801=FACE_OUTER_BOUND('',#41213,.T.); #22802=FACE_OUTER_BOUND('',#41214,.T.); #22803=FACE_OUTER_BOUND('',#41215,.T.); #22804=FACE_OUTER_BOUND('',#41216,.T.); #22805=FACE_OUTER_BOUND('',#41217,.T.); #22806=FACE_OUTER_BOUND('',#41218,.T.); #22807=FACE_OUTER_BOUND('',#41219,.T.); #22808=FACE_OUTER_BOUND('',#41220,.T.); #22809=FACE_OUTER_BOUND('',#41221,.T.); #22810=FACE_OUTER_BOUND('',#41222,.T.); #22811=FACE_OUTER_BOUND('',#41223,.T.); #22812=FACE_OUTER_BOUND('',#41224,.T.); #22813=FACE_OUTER_BOUND('',#41225,.T.); #22814=FACE_OUTER_BOUND('',#41226,.T.); #22815=FACE_OUTER_BOUND('',#41227,.T.); #22816=FACE_OUTER_BOUND('',#41228,.T.); #22817=FACE_OUTER_BOUND('',#41229,.T.); #22818=FACE_OUTER_BOUND('',#41230,.T.); #22819=FACE_OUTER_BOUND('',#41231,.T.); #22820=FACE_OUTER_BOUND('',#41232,.T.); #22821=FACE_OUTER_BOUND('',#41233,.T.); #22822=FACE_OUTER_BOUND('',#41234,.T.); #22823=FACE_OUTER_BOUND('',#41235,.T.); #22824=FACE_OUTER_BOUND('',#41236,.T.); #22825=FACE_OUTER_BOUND('',#41237,.T.); #22826=FACE_OUTER_BOUND('',#41238,.T.); #22827=FACE_OUTER_BOUND('',#41239,.T.); #22828=FACE_OUTER_BOUND('',#41240,.T.); #22829=FACE_OUTER_BOUND('',#41241,.T.); #22830=FACE_OUTER_BOUND('',#41242,.T.); #22831=FACE_OUTER_BOUND('',#41243,.T.); #22832=FACE_OUTER_BOUND('',#41244,.T.); #22833=FACE_OUTER_BOUND('',#41245,.T.); #22834=FACE_OUTER_BOUND('',#41246,.T.); #22835=FACE_OUTER_BOUND('',#41247,.T.); #22836=FACE_OUTER_BOUND('',#41248,.T.); #22837=FACE_OUTER_BOUND('',#41249,.T.); #22838=FACE_OUTER_BOUND('',#41250,.T.); #22839=FACE_OUTER_BOUND('',#41251,.T.); #22840=FACE_OUTER_BOUND('',#41252,.T.); #22841=FACE_OUTER_BOUND('',#41253,.T.); #22842=FACE_OUTER_BOUND('',#41254,.T.); #22843=FACE_OUTER_BOUND('',#41255,.T.); #22844=FACE_OUTER_BOUND('',#41256,.T.); #22845=FACE_OUTER_BOUND('',#41257,.T.); #22846=FACE_OUTER_BOUND('',#41258,.T.); #22847=FACE_OUTER_BOUND('',#41259,.T.); #22848=FACE_OUTER_BOUND('',#41260,.T.); #22849=FACE_OUTER_BOUND('',#41261,.T.); #22850=FACE_OUTER_BOUND('',#41262,.T.); #22851=FACE_OUTER_BOUND('',#41263,.T.); #22852=FACE_OUTER_BOUND('',#41264,.T.); #22853=FACE_OUTER_BOUND('',#41265,.T.); #22854=FACE_OUTER_BOUND('',#41266,.T.); #22855=FACE_OUTER_BOUND('',#41267,.T.); #22856=FACE_OUTER_BOUND('',#41268,.T.); #22857=FACE_OUTER_BOUND('',#41269,.T.); #22858=FACE_OUTER_BOUND('',#41270,.T.); #22859=FACE_OUTER_BOUND('',#41271,.T.); #22860=FACE_OUTER_BOUND('',#41272,.T.); #22861=FACE_OUTER_BOUND('',#41273,.T.); #22862=FACE_OUTER_BOUND('',#41274,.T.); #22863=FACE_OUTER_BOUND('',#41275,.T.); #22864=FACE_OUTER_BOUND('',#41276,.T.); #22865=FACE_OUTER_BOUND('',#41277,.T.); #22866=FACE_OUTER_BOUND('',#41278,.T.); #22867=FACE_OUTER_BOUND('',#41279,.T.); #22868=FACE_OUTER_BOUND('',#41280,.T.); #22869=FACE_OUTER_BOUND('',#41281,.T.); #22870=FACE_OUTER_BOUND('',#41282,.T.); #22871=FACE_OUTER_BOUND('',#41283,.T.); #22872=FACE_OUTER_BOUND('',#41284,.T.); #22873=FACE_OUTER_BOUND('',#41285,.T.); #22874=FACE_OUTER_BOUND('',#41286,.T.); #22875=FACE_OUTER_BOUND('',#41287,.T.); #22876=FACE_OUTER_BOUND('',#41288,.T.); #22877=FACE_OUTER_BOUND('',#41289,.T.); #22878=FACE_OUTER_BOUND('',#41290,.T.); #22879=FACE_OUTER_BOUND('',#41291,.T.); #22880=FACE_OUTER_BOUND('',#41292,.T.); #22881=FACE_OUTER_BOUND('',#41293,.T.); #22882=FACE_OUTER_BOUND('',#41294,.T.); #22883=FACE_OUTER_BOUND('',#41295,.T.); #22884=FACE_OUTER_BOUND('',#41296,.T.); #22885=FACE_OUTER_BOUND('',#41297,.T.); #22886=FACE_OUTER_BOUND('',#41298,.T.); #22887=FACE_OUTER_BOUND('',#41299,.T.); #22888=FACE_OUTER_BOUND('',#41300,.T.); #22889=FACE_OUTER_BOUND('',#41301,.T.); #22890=FACE_OUTER_BOUND('',#41302,.T.); #22891=FACE_OUTER_BOUND('',#41303,.T.); #22892=FACE_OUTER_BOUND('',#41304,.T.); #22893=FACE_OUTER_BOUND('',#41305,.T.); #22894=FACE_OUTER_BOUND('',#41306,.T.); #22895=FACE_OUTER_BOUND('',#41307,.T.); #22896=FACE_OUTER_BOUND('',#41308,.T.); #22897=FACE_OUTER_BOUND('',#41309,.T.); #22898=FACE_OUTER_BOUND('',#41310,.T.); #22899=FACE_OUTER_BOUND('',#41311,.T.); #22900=FACE_OUTER_BOUND('',#41312,.T.); #22901=FACE_OUTER_BOUND('',#41313,.T.); #22902=FACE_OUTER_BOUND('',#41314,.T.); #22903=FACE_OUTER_BOUND('',#41315,.T.); #22904=FACE_OUTER_BOUND('',#41316,.T.); #22905=FACE_OUTER_BOUND('',#41317,.T.); #22906=FACE_OUTER_BOUND('',#41318,.T.); #22907=FACE_OUTER_BOUND('',#41319,.T.); #22908=FACE_OUTER_BOUND('',#41320,.T.); #22909=FACE_OUTER_BOUND('',#41321,.T.); #22910=FACE_OUTER_BOUND('',#41322,.T.); #22911=FACE_OUTER_BOUND('',#41323,.T.); #22912=FACE_OUTER_BOUND('',#41324,.T.); #22913=FACE_OUTER_BOUND('',#41325,.T.); #22914=FACE_OUTER_BOUND('',#41326,.T.); #22915=FACE_OUTER_BOUND('',#41327,.T.); #22916=FACE_OUTER_BOUND('',#41328,.T.); #22917=FACE_OUTER_BOUND('',#41329,.T.); #22918=FACE_OUTER_BOUND('',#41330,.T.); #22919=FACE_OUTER_BOUND('',#41331,.T.); #22920=FACE_OUTER_BOUND('',#41332,.T.); #22921=FACE_OUTER_BOUND('',#41333,.T.); #22922=FACE_OUTER_BOUND('',#41334,.T.); #22923=FACE_OUTER_BOUND('',#41335,.T.); #22924=FACE_OUTER_BOUND('',#41336,.T.); #22925=FACE_OUTER_BOUND('',#41337,.T.); #22926=FACE_OUTER_BOUND('',#41338,.T.); #22927=FACE_OUTER_BOUND('',#41339,.T.); #22928=FACE_OUTER_BOUND('',#41340,.T.); #22929=FACE_OUTER_BOUND('',#41341,.T.); #22930=FACE_OUTER_BOUND('',#41342,.T.); #22931=FACE_OUTER_BOUND('',#41343,.T.); #22932=FACE_OUTER_BOUND('',#41344,.T.); #22933=FACE_OUTER_BOUND('',#41345,.T.); #22934=FACE_OUTER_BOUND('',#41346,.T.); #22935=FACE_OUTER_BOUND('',#41347,.T.); #22936=FACE_OUTER_BOUND('',#41348,.T.); #22937=FACE_OUTER_BOUND('',#41349,.T.); #22938=FACE_OUTER_BOUND('',#41350,.T.); #22939=FACE_OUTER_BOUND('',#41351,.T.); #22940=FACE_OUTER_BOUND('',#41352,.T.); #22941=FACE_OUTER_BOUND('',#41353,.T.); #22942=FACE_OUTER_BOUND('',#41354,.T.); #22943=FACE_OUTER_BOUND('',#41355,.T.); #22944=FACE_OUTER_BOUND('',#41356,.T.); #22945=FACE_OUTER_BOUND('',#41357,.T.); #22946=FACE_OUTER_BOUND('',#41358,.T.); #22947=FACE_OUTER_BOUND('',#41359,.T.); #22948=FACE_OUTER_BOUND('',#41360,.T.); #22949=FACE_OUTER_BOUND('',#41361,.T.); #22950=FACE_OUTER_BOUND('',#41362,.T.); #22951=FACE_OUTER_BOUND('',#41363,.T.); #22952=FACE_OUTER_BOUND('',#41364,.T.); #22953=FACE_OUTER_BOUND('',#41365,.T.); #22954=FACE_OUTER_BOUND('',#41366,.T.); #22955=FACE_OUTER_BOUND('',#41367,.T.); #22956=FACE_OUTER_BOUND('',#41368,.T.); #22957=FACE_OUTER_BOUND('',#41369,.T.); #22958=FACE_OUTER_BOUND('',#41370,.T.); #22959=FACE_OUTER_BOUND('',#41371,.T.); #22960=FACE_OUTER_BOUND('',#41372,.T.); #22961=FACE_OUTER_BOUND('',#41373,.T.); #22962=FACE_OUTER_BOUND('',#41374,.T.); #22963=FACE_OUTER_BOUND('',#41375,.T.); #22964=FACE_OUTER_BOUND('',#41376,.T.); #22965=FACE_OUTER_BOUND('',#41377,.T.); #22966=FACE_OUTER_BOUND('',#41378,.T.); #22967=FACE_OUTER_BOUND('',#41379,.T.); #22968=FACE_OUTER_BOUND('',#41380,.T.); #22969=FACE_OUTER_BOUND('',#41381,.T.); #22970=FACE_OUTER_BOUND('',#41382,.T.); #22971=FACE_OUTER_BOUND('',#41383,.T.); #22972=FACE_OUTER_BOUND('',#41384,.T.); #22973=FACE_OUTER_BOUND('',#41385,.T.); #22974=FACE_OUTER_BOUND('',#41386,.T.); #22975=FACE_OUTER_BOUND('',#41387,.T.); #22976=FACE_OUTER_BOUND('',#41388,.T.); #22977=FACE_OUTER_BOUND('',#41389,.T.); #22978=FACE_OUTER_BOUND('',#41390,.T.); #22979=FACE_OUTER_BOUND('',#41391,.T.); #22980=FACE_OUTER_BOUND('',#41392,.T.); #22981=FACE_OUTER_BOUND('',#41393,.T.); #22982=FACE_OUTER_BOUND('',#41394,.T.); #22983=FACE_OUTER_BOUND('',#41395,.T.); #22984=FACE_OUTER_BOUND('',#41396,.T.); #22985=FACE_OUTER_BOUND('',#41397,.T.); #22986=FACE_OUTER_BOUND('',#41398,.T.); #22987=FACE_OUTER_BOUND('',#41399,.T.); #22988=FACE_OUTER_BOUND('',#41400,.T.); #22989=FACE_OUTER_BOUND('',#41401,.T.); #22990=FACE_OUTER_BOUND('',#41402,.T.); #22991=FACE_OUTER_BOUND('',#41403,.T.); #22992=FACE_OUTER_BOUND('',#41404,.T.); #22993=FACE_OUTER_BOUND('',#41405,.T.); #22994=FACE_OUTER_BOUND('',#41406,.T.); #22995=FACE_OUTER_BOUND('',#41407,.T.); #22996=FACE_OUTER_BOUND('',#41408,.T.); #22997=FACE_OUTER_BOUND('',#41409,.T.); #22998=FACE_OUTER_BOUND('',#41410,.T.); #22999=FACE_OUTER_BOUND('',#41411,.T.); #23000=FACE_OUTER_BOUND('',#41412,.T.); #23001=FACE_OUTER_BOUND('',#41413,.T.); #23002=FACE_OUTER_BOUND('',#41414,.T.); #23003=FACE_OUTER_BOUND('',#41415,.T.); #23004=FACE_OUTER_BOUND('',#41416,.T.); #23005=FACE_OUTER_BOUND('',#41417,.T.); #23006=FACE_OUTER_BOUND('',#41418,.T.); #23007=FACE_OUTER_BOUND('',#41419,.T.); #23008=FACE_OUTER_BOUND('',#41420,.T.); #23009=FACE_OUTER_BOUND('',#41421,.T.); #23010=FACE_OUTER_BOUND('',#41422,.T.); #23011=FACE_OUTER_BOUND('',#41423,.T.); #23012=FACE_OUTER_BOUND('',#41424,.T.); #23013=FACE_OUTER_BOUND('',#41425,.T.); #23014=FACE_OUTER_BOUND('',#41426,.T.); #23015=FACE_OUTER_BOUND('',#41427,.T.); #23016=FACE_OUTER_BOUND('',#41428,.T.); #23017=FACE_OUTER_BOUND('',#41429,.T.); #23018=FACE_OUTER_BOUND('',#41430,.T.); #23019=FACE_OUTER_BOUND('',#41431,.T.); #23020=FACE_OUTER_BOUND('',#41432,.T.); #23021=FACE_OUTER_BOUND('',#41433,.T.); #23022=FACE_OUTER_BOUND('',#41434,.T.); #23023=FACE_OUTER_BOUND('',#41435,.T.); #23024=FACE_OUTER_BOUND('',#41436,.T.); #23025=FACE_OUTER_BOUND('',#41437,.T.); #23026=FACE_OUTER_BOUND('',#41438,.T.); #23027=FACE_OUTER_BOUND('',#41439,.T.); #23028=FACE_OUTER_BOUND('',#41440,.T.); #23029=FACE_OUTER_BOUND('',#41441,.T.); #23030=FACE_OUTER_BOUND('',#41442,.T.); #23031=FACE_OUTER_BOUND('',#41443,.T.); #23032=FACE_OUTER_BOUND('',#41444,.T.); #23033=FACE_OUTER_BOUND('',#41445,.T.); #23034=FACE_OUTER_BOUND('',#41446,.T.); #23035=FACE_OUTER_BOUND('',#41447,.T.); #23036=FACE_OUTER_BOUND('',#41448,.T.); #23037=FACE_OUTER_BOUND('',#41449,.T.); #23038=FACE_OUTER_BOUND('',#41450,.T.); #23039=FACE_OUTER_BOUND('',#41451,.T.); #23040=FACE_OUTER_BOUND('',#41452,.T.); #23041=FACE_OUTER_BOUND('',#41453,.T.); #23042=FACE_OUTER_BOUND('',#41454,.T.); #23043=FACE_OUTER_BOUND('',#41455,.T.); #23044=FACE_OUTER_BOUND('',#41456,.T.); #23045=FACE_OUTER_BOUND('',#41457,.T.); #23046=FACE_OUTER_BOUND('',#41458,.T.); #23047=FACE_OUTER_BOUND('',#41459,.T.); #23048=FACE_OUTER_BOUND('',#41460,.T.); #23049=FACE_OUTER_BOUND('',#41461,.T.); #23050=FACE_OUTER_BOUND('',#41462,.T.); #23051=FACE_OUTER_BOUND('',#41463,.T.); #23052=FACE_OUTER_BOUND('',#41464,.T.); #23053=FACE_OUTER_BOUND('',#41465,.T.); #23054=FACE_OUTER_BOUND('',#41466,.T.); #23055=FACE_OUTER_BOUND('',#41467,.T.); #23056=FACE_OUTER_BOUND('',#41468,.T.); #23057=FACE_OUTER_BOUND('',#41469,.T.); #23058=FACE_OUTER_BOUND('',#41470,.T.); #23059=FACE_OUTER_BOUND('',#41471,.T.); #23060=FACE_OUTER_BOUND('',#41472,.T.); #23061=FACE_OUTER_BOUND('',#41473,.T.); #23062=FACE_OUTER_BOUND('',#41474,.T.); #23063=FACE_OUTER_BOUND('',#41475,.T.); #23064=FACE_OUTER_BOUND('',#41476,.T.); #23065=FACE_OUTER_BOUND('',#41477,.T.); #23066=FACE_OUTER_BOUND('',#41478,.T.); #23067=FACE_OUTER_BOUND('',#41479,.T.); #23068=FACE_OUTER_BOUND('',#41480,.T.); #23069=FACE_OUTER_BOUND('',#41481,.T.); #23070=FACE_OUTER_BOUND('',#41482,.T.); #23071=FACE_OUTER_BOUND('',#41483,.T.); #23072=FACE_OUTER_BOUND('',#41484,.T.); #23073=FACE_OUTER_BOUND('',#41485,.T.); #23074=FACE_OUTER_BOUND('',#41486,.T.); #23075=FACE_OUTER_BOUND('',#41487,.T.); #23076=FACE_OUTER_BOUND('',#41488,.T.); #23077=FACE_OUTER_BOUND('',#41489,.T.); #23078=FACE_OUTER_BOUND('',#41490,.T.); #23079=FACE_OUTER_BOUND('',#41491,.T.); #23080=FACE_OUTER_BOUND('',#41492,.T.); #23081=FACE_OUTER_BOUND('',#41493,.T.); #23082=FACE_OUTER_BOUND('',#41494,.T.); #23083=FACE_OUTER_BOUND('',#41495,.T.); #23084=FACE_OUTER_BOUND('',#41496,.T.); #23085=FACE_OUTER_BOUND('',#41497,.T.); #23086=FACE_OUTER_BOUND('',#41498,.T.); #23087=FACE_OUTER_BOUND('',#41499,.T.); #23088=FACE_OUTER_BOUND('',#41500,.T.); #23089=FACE_OUTER_BOUND('',#41501,.T.); #23090=FACE_OUTER_BOUND('',#41502,.T.); #23091=FACE_OUTER_BOUND('',#41503,.T.); #23092=FACE_OUTER_BOUND('',#41504,.T.); #23093=FACE_OUTER_BOUND('',#41505,.T.); #23094=FACE_OUTER_BOUND('',#41506,.T.); #23095=FACE_OUTER_BOUND('',#41507,.T.); #23096=FACE_OUTER_BOUND('',#41508,.T.); #23097=FACE_OUTER_BOUND('',#41509,.T.); #23098=FACE_OUTER_BOUND('',#41510,.T.); #23099=FACE_OUTER_BOUND('',#41511,.T.); #23100=FACE_OUTER_BOUND('',#41512,.T.); #23101=FACE_OUTER_BOUND('',#41513,.T.); #23102=FACE_OUTER_BOUND('',#41514,.T.); #23103=FACE_OUTER_BOUND('',#41515,.T.); #23104=FACE_OUTER_BOUND('',#41516,.T.); #23105=FACE_OUTER_BOUND('',#41517,.T.); #23106=FACE_OUTER_BOUND('',#41518,.T.); #23107=FACE_OUTER_BOUND('',#41519,.T.); #23108=FACE_OUTER_BOUND('',#41520,.T.); #23109=FACE_OUTER_BOUND('',#41521,.T.); #23110=FACE_OUTER_BOUND('',#41522,.T.); #23111=FACE_OUTER_BOUND('',#41523,.T.); #23112=FACE_OUTER_BOUND('',#41524,.T.); #23113=FACE_OUTER_BOUND('',#41525,.T.); #23114=FACE_OUTER_BOUND('',#41526,.T.); #23115=FACE_OUTER_BOUND('',#41527,.T.); #23116=FACE_OUTER_BOUND('',#41528,.T.); #23117=FACE_OUTER_BOUND('',#41529,.T.); #23118=FACE_OUTER_BOUND('',#41530,.T.); #23119=FACE_OUTER_BOUND('',#41531,.T.); #23120=FACE_OUTER_BOUND('',#41532,.T.); #23121=FACE_OUTER_BOUND('',#41533,.T.); #23122=FACE_OUTER_BOUND('',#41534,.T.); #23123=FACE_OUTER_BOUND('',#41535,.T.); #23124=FACE_OUTER_BOUND('',#41536,.T.); #23125=FACE_OUTER_BOUND('',#41537,.T.); #23126=FACE_OUTER_BOUND('',#41538,.T.); #23127=FACE_OUTER_BOUND('',#41539,.T.); #23128=FACE_OUTER_BOUND('',#41540,.T.); #23129=FACE_OUTER_BOUND('',#41541,.T.); #23130=FACE_OUTER_BOUND('',#41542,.T.); #23131=FACE_OUTER_BOUND('',#41543,.T.); #23132=FACE_OUTER_BOUND('',#41544,.T.); #23133=FACE_OUTER_BOUND('',#41545,.T.); #23134=FACE_OUTER_BOUND('',#41546,.T.); #23135=FACE_OUTER_BOUND('',#41547,.T.); #23136=FACE_OUTER_BOUND('',#41548,.T.); #23137=FACE_OUTER_BOUND('',#41549,.T.); #23138=FACE_OUTER_BOUND('',#41550,.T.); #23139=FACE_OUTER_BOUND('',#41551,.T.); #23140=FACE_OUTER_BOUND('',#41552,.T.); #23141=FACE_OUTER_BOUND('',#41553,.T.); #23142=FACE_OUTER_BOUND('',#41554,.T.); #23143=FACE_OUTER_BOUND('',#41555,.T.); #23144=FACE_OUTER_BOUND('',#41556,.T.); #23145=FACE_OUTER_BOUND('',#41557,.T.); #23146=FACE_OUTER_BOUND('',#41558,.T.); #23147=FACE_OUTER_BOUND('',#41559,.T.); #23148=FACE_OUTER_BOUND('',#41560,.T.); #23149=FACE_OUTER_BOUND('',#41561,.T.); #23150=FACE_OUTER_BOUND('',#41562,.T.); #23151=FACE_OUTER_BOUND('',#41563,.T.); #23152=FACE_OUTER_BOUND('',#41564,.T.); #23153=FACE_OUTER_BOUND('',#41565,.T.); #23154=FACE_OUTER_BOUND('',#41566,.T.); #23155=FACE_OUTER_BOUND('',#41567,.T.); #23156=FACE_OUTER_BOUND('',#41568,.T.); #23157=FACE_OUTER_BOUND('',#41569,.T.); #23158=FACE_OUTER_BOUND('',#41570,.T.); #23159=FACE_OUTER_BOUND('',#41571,.T.); #23160=FACE_OUTER_BOUND('',#41572,.T.); #23161=FACE_OUTER_BOUND('',#41573,.T.); #23162=FACE_OUTER_BOUND('',#41574,.T.); #23163=FACE_OUTER_BOUND('',#41575,.T.); #23164=FACE_OUTER_BOUND('',#41576,.T.); #23165=FACE_OUTER_BOUND('',#41577,.T.); #23166=FACE_OUTER_BOUND('',#41578,.T.); #23167=FACE_OUTER_BOUND('',#41579,.T.); #23168=FACE_OUTER_BOUND('',#41580,.T.); #23169=FACE_OUTER_BOUND('',#41581,.T.); #23170=FACE_OUTER_BOUND('',#41582,.T.); #23171=FACE_OUTER_BOUND('',#41583,.T.); #23172=FACE_OUTER_BOUND('',#41584,.T.); #23173=FACE_OUTER_BOUND('',#41585,.T.); #23174=FACE_OUTER_BOUND('',#41586,.T.); #23175=FACE_OUTER_BOUND('',#41587,.T.); #23176=FACE_OUTER_BOUND('',#41588,.T.); #23177=FACE_OUTER_BOUND('',#41589,.T.); #23178=FACE_OUTER_BOUND('',#41590,.T.); #23179=FACE_OUTER_BOUND('',#41591,.T.); #23180=FACE_OUTER_BOUND('',#41592,.T.); #23181=FACE_OUTER_BOUND('',#41593,.T.); #23182=FACE_OUTER_BOUND('',#41594,.T.); #23183=FACE_OUTER_BOUND('',#41595,.T.); #23184=FACE_OUTER_BOUND('',#41596,.T.); #23185=FACE_OUTER_BOUND('',#41597,.T.); #23186=FACE_OUTER_BOUND('',#41598,.T.); #23187=FACE_OUTER_BOUND('',#41599,.T.); #23188=FACE_OUTER_BOUND('',#41600,.T.); #23189=FACE_OUTER_BOUND('',#41601,.T.); #23190=FACE_OUTER_BOUND('',#41602,.T.); #23191=FACE_OUTER_BOUND('',#41603,.T.); #23192=FACE_OUTER_BOUND('',#41604,.T.); #23193=FACE_OUTER_BOUND('',#41605,.T.); #23194=FACE_OUTER_BOUND('',#41606,.T.); #23195=FACE_OUTER_BOUND('',#41607,.T.); #23196=FACE_OUTER_BOUND('',#41608,.T.); #23197=FACE_OUTER_BOUND('',#41609,.T.); #23198=FACE_OUTER_BOUND('',#41610,.T.); #23199=FACE_OUTER_BOUND('',#41928,.T.); #23200=FACE_OUTER_BOUND('',#42246,.T.); #23201=FACE_OUTER_BOUND('',#42247,.T.); #23202=FACE_OUTER_BOUND('',#42248,.T.); #23203=FACE_OUTER_BOUND('',#42250,.T.); #23204=FACE_OUTER_BOUND('',#42252,.T.); #23205=FACE_OUTER_BOUND('',#42253,.T.); #23206=FACE_OUTER_BOUND('',#42254,.T.); #23207=FACE_OUTER_BOUND('',#42256,.T.); #23208=FACE_OUTER_BOUND('',#42258,.T.); #23209=FACE_OUTER_BOUND('',#42259,.T.); #23210=FACE_OUTER_BOUND('',#42260,.T.); #23211=FACE_OUTER_BOUND('',#42262,.T.); #23212=FACE_OUTER_BOUND('',#42264,.T.); #23213=FACE_OUTER_BOUND('',#42265,.T.); #23214=FACE_OUTER_BOUND('',#42266,.T.); #23215=FACE_OUTER_BOUND('',#42268,.T.); #23216=FACE_OUTER_BOUND('',#42270,.T.); #23217=FACE_OUTER_BOUND('',#42271,.T.); #23218=FACE_OUTER_BOUND('',#42272,.T.); #23219=FACE_OUTER_BOUND('',#42274,.T.); #23220=FACE_OUTER_BOUND('',#42276,.T.); #23221=FACE_OUTER_BOUND('',#42277,.T.); #23222=FACE_OUTER_BOUND('',#42278,.T.); #23223=FACE_OUTER_BOUND('',#42280,.T.); #23224=FACE_OUTER_BOUND('',#42282,.T.); #23225=FACE_OUTER_BOUND('',#42283,.T.); #23226=FACE_OUTER_BOUND('',#42284,.T.); #23227=FACE_OUTER_BOUND('',#42286,.T.); #23228=FACE_OUTER_BOUND('',#42288,.T.); #23229=FACE_OUTER_BOUND('',#42289,.T.); #23230=FACE_OUTER_BOUND('',#42290,.T.); #23231=FACE_OUTER_BOUND('',#42292,.T.); #23232=FACE_OUTER_BOUND('',#42294,.T.); #23233=FACE_OUTER_BOUND('',#42295,.T.); #23234=FACE_OUTER_BOUND('',#42296,.T.); #23235=FACE_OUTER_BOUND('',#42298,.T.); #23236=FACE_OUTER_BOUND('',#42300,.T.); #23237=FACE_OUTER_BOUND('',#42301,.T.); #23238=FACE_OUTER_BOUND('',#42302,.T.); #23239=FACE_OUTER_BOUND('',#42304,.T.); #23240=FACE_OUTER_BOUND('',#42306,.T.); #23241=FACE_OUTER_BOUND('',#42307,.T.); #23242=FACE_OUTER_BOUND('',#42308,.T.); #23243=FACE_OUTER_BOUND('',#42310,.T.); #23244=FACE_OUTER_BOUND('',#42312,.T.); #23245=FACE_OUTER_BOUND('',#42313,.T.); #23246=FACE_OUTER_BOUND('',#42314,.T.); #23247=FACE_OUTER_BOUND('',#42316,.T.); #23248=FACE_OUTER_BOUND('',#42318,.T.); #23249=FACE_OUTER_BOUND('',#42319,.T.); #23250=FACE_OUTER_BOUND('',#42320,.T.); #23251=FACE_OUTER_BOUND('',#42322,.T.); #23252=FACE_OUTER_BOUND('',#42324,.T.); #23253=FACE_OUTER_BOUND('',#42325,.T.); #23254=FACE_OUTER_BOUND('',#42326,.T.); #23255=FACE_OUTER_BOUND('',#42328,.T.); #23256=FACE_OUTER_BOUND('',#42330,.T.); #23257=FACE_OUTER_BOUND('',#42331,.T.); #23258=FACE_OUTER_BOUND('',#42332,.T.); #23259=FACE_OUTER_BOUND('',#42334,.T.); #23260=FACE_OUTER_BOUND('',#42336,.T.); #23261=FACE_OUTER_BOUND('',#42337,.T.); #23262=FACE_OUTER_BOUND('',#42338,.T.); #23263=FACE_OUTER_BOUND('',#42340,.T.); #23264=FACE_OUTER_BOUND('',#42342,.T.); #23265=FACE_OUTER_BOUND('',#42343,.T.); #23266=FACE_OUTER_BOUND('',#42344,.T.); #23267=FACE_OUTER_BOUND('',#42346,.T.); #23268=FACE_OUTER_BOUND('',#42348,.T.); #23269=FACE_OUTER_BOUND('',#42349,.T.); #23270=FACE_OUTER_BOUND('',#42350,.T.); #23271=FACE_OUTER_BOUND('',#42352,.T.); #23272=FACE_OUTER_BOUND('',#42354,.T.); #23273=FACE_OUTER_BOUND('',#42355,.T.); #23274=FACE_OUTER_BOUND('',#42356,.T.); #23275=FACE_OUTER_BOUND('',#42358,.T.); #23276=FACE_OUTER_BOUND('',#42360,.T.); #23277=FACE_OUTER_BOUND('',#42361,.T.); #23278=FACE_OUTER_BOUND('',#42362,.T.); #23279=FACE_OUTER_BOUND('',#42364,.T.); #23280=FACE_OUTER_BOUND('',#42366,.T.); #23281=FACE_OUTER_BOUND('',#42367,.T.); #23282=FACE_OUTER_BOUND('',#42368,.T.); #23283=FACE_OUTER_BOUND('',#42370,.T.); #23284=FACE_OUTER_BOUND('',#42372,.T.); #23285=FACE_OUTER_BOUND('',#42373,.T.); #23286=FACE_OUTER_BOUND('',#42374,.T.); #23287=FACE_OUTER_BOUND('',#42376,.T.); #23288=FACE_OUTER_BOUND('',#42378,.T.); #23289=FACE_OUTER_BOUND('',#42379,.T.); #23290=FACE_OUTER_BOUND('',#42380,.T.); #23291=FACE_OUTER_BOUND('',#42382,.T.); #23292=FACE_OUTER_BOUND('',#42384,.T.); #23293=FACE_OUTER_BOUND('',#42385,.T.); #23294=FACE_OUTER_BOUND('',#42386,.T.); #23295=FACE_OUTER_BOUND('',#42388,.T.); #23296=FACE_OUTER_BOUND('',#42390,.T.); #23297=FACE_OUTER_BOUND('',#42391,.T.); #23298=FACE_OUTER_BOUND('',#42392,.T.); #23299=FACE_OUTER_BOUND('',#42394,.T.); #23300=FACE_OUTER_BOUND('',#42396,.T.); #23301=FACE_OUTER_BOUND('',#42397,.T.); #23302=FACE_OUTER_BOUND('',#42398,.T.); #23303=FACE_OUTER_BOUND('',#42400,.T.); #23304=FACE_OUTER_BOUND('',#42402,.T.); #23305=FACE_OUTER_BOUND('',#42403,.T.); #23306=FACE_OUTER_BOUND('',#42404,.T.); #23307=FACE_OUTER_BOUND('',#42406,.T.); #23308=FACE_OUTER_BOUND('',#42408,.T.); #23309=FACE_OUTER_BOUND('',#42409,.T.); #23310=FACE_OUTER_BOUND('',#42410,.T.); #23311=FACE_OUTER_BOUND('',#42412,.T.); #23312=FACE_OUTER_BOUND('',#42414,.T.); #23313=FACE_OUTER_BOUND('',#42415,.T.); #23314=FACE_OUTER_BOUND('',#42416,.T.); #23315=FACE_OUTER_BOUND('',#42418,.T.); #23316=FACE_OUTER_BOUND('',#42420,.T.); #23317=FACE_OUTER_BOUND('',#42421,.T.); #23318=FACE_OUTER_BOUND('',#42422,.T.); #23319=FACE_OUTER_BOUND('',#42424,.T.); #23320=FACE_OUTER_BOUND('',#42426,.T.); #23321=FACE_OUTER_BOUND('',#42427,.T.); #23322=FACE_OUTER_BOUND('',#42428,.T.); #23323=FACE_OUTER_BOUND('',#42430,.T.); #23324=FACE_OUTER_BOUND('',#42432,.T.); #23325=FACE_OUTER_BOUND('',#42433,.T.); #23326=FACE_OUTER_BOUND('',#42434,.T.); #23327=FACE_OUTER_BOUND('',#42436,.T.); #23328=FACE_OUTER_BOUND('',#42438,.T.); #23329=FACE_OUTER_BOUND('',#42439,.T.); #23330=FACE_OUTER_BOUND('',#42440,.T.); #23331=FACE_OUTER_BOUND('',#42442,.T.); #23332=FACE_OUTER_BOUND('',#42444,.T.); #23333=FACE_OUTER_BOUND('',#42445,.T.); #23334=FACE_OUTER_BOUND('',#42446,.T.); #23335=FACE_OUTER_BOUND('',#42448,.T.); #23336=FACE_OUTER_BOUND('',#42450,.T.); #23337=FACE_OUTER_BOUND('',#42451,.T.); #23338=FACE_OUTER_BOUND('',#42452,.T.); #23339=FACE_OUTER_BOUND('',#42454,.T.); #23340=FACE_OUTER_BOUND('',#42456,.T.); #23341=FACE_OUTER_BOUND('',#42457,.T.); #23342=FACE_OUTER_BOUND('',#42458,.T.); #23343=FACE_OUTER_BOUND('',#42460,.T.); #23344=FACE_OUTER_BOUND('',#42462,.T.); #23345=FACE_OUTER_BOUND('',#42463,.T.); #23346=FACE_OUTER_BOUND('',#42464,.T.); #23347=FACE_OUTER_BOUND('',#42466,.T.); #23348=FACE_OUTER_BOUND('',#42468,.T.); #23349=FACE_OUTER_BOUND('',#42469,.T.); #23350=FACE_OUTER_BOUND('',#42470,.T.); #23351=FACE_OUTER_BOUND('',#42472,.T.); #23352=FACE_OUTER_BOUND('',#42474,.T.); #23353=FACE_OUTER_BOUND('',#42475,.T.); #23354=FACE_OUTER_BOUND('',#42476,.T.); #23355=FACE_OUTER_BOUND('',#42478,.T.); #23356=FACE_OUTER_BOUND('',#42480,.T.); #23357=FACE_OUTER_BOUND('',#42481,.T.); #23358=FACE_OUTER_BOUND('',#42482,.T.); #23359=FACE_OUTER_BOUND('',#42484,.T.); #23360=FACE_OUTER_BOUND('',#42486,.T.); #23361=FACE_OUTER_BOUND('',#42487,.T.); #23362=FACE_OUTER_BOUND('',#42488,.T.); #23363=FACE_OUTER_BOUND('',#42490,.T.); #23364=FACE_OUTER_BOUND('',#42492,.T.); #23365=FACE_OUTER_BOUND('',#42493,.T.); #23366=FACE_OUTER_BOUND('',#42494,.T.); #23367=FACE_OUTER_BOUND('',#42496,.T.); #23368=FACE_OUTER_BOUND('',#42498,.T.); #23369=FACE_OUTER_BOUND('',#42499,.T.); #23370=FACE_OUTER_BOUND('',#42500,.T.); #23371=FACE_OUTER_BOUND('',#42502,.T.); #23372=FACE_OUTER_BOUND('',#42504,.T.); #23373=FACE_OUTER_BOUND('',#42505,.T.); #23374=FACE_OUTER_BOUND('',#42506,.T.); #23375=FACE_OUTER_BOUND('',#42508,.T.); #23376=FACE_OUTER_BOUND('',#42510,.T.); #23377=FACE_OUTER_BOUND('',#42511,.T.); #23378=FACE_OUTER_BOUND('',#42512,.T.); #23379=FACE_OUTER_BOUND('',#42514,.T.); #23380=FACE_OUTER_BOUND('',#42516,.T.); #23381=FACE_OUTER_BOUND('',#42517,.T.); #23382=FACE_OUTER_BOUND('',#42518,.T.); #23383=FACE_OUTER_BOUND('',#42520,.T.); #23384=FACE_OUTER_BOUND('',#42522,.T.); #23385=FACE_OUTER_BOUND('',#42523,.T.); #23386=FACE_OUTER_BOUND('',#42524,.T.); #23387=FACE_OUTER_BOUND('',#42526,.T.); #23388=FACE_OUTER_BOUND('',#42528,.T.); #23389=FACE_OUTER_BOUND('',#42529,.T.); #23390=FACE_OUTER_BOUND('',#42530,.T.); #23391=FACE_OUTER_BOUND('',#42532,.T.); #23392=FACE_OUTER_BOUND('',#42534,.T.); #23393=FACE_OUTER_BOUND('',#42535,.T.); #23394=FACE_OUTER_BOUND('',#42536,.T.); #23395=FACE_OUTER_BOUND('',#42538,.T.); #23396=FACE_OUTER_BOUND('',#42540,.T.); #23397=FACE_OUTER_BOUND('',#42541,.T.); #23398=FACE_OUTER_BOUND('',#42542,.T.); #23399=FACE_OUTER_BOUND('',#42544,.T.); #23400=FACE_OUTER_BOUND('',#42546,.T.); #23401=FACE_OUTER_BOUND('',#42547,.T.); #23402=FACE_OUTER_BOUND('',#42548,.T.); #23403=FACE_OUTER_BOUND('',#42550,.T.); #23404=FACE_OUTER_BOUND('',#42552,.T.); #23405=FACE_OUTER_BOUND('',#42553,.T.); #23406=FACE_OUTER_BOUND('',#42554,.T.); #23407=FACE_OUTER_BOUND('',#42556,.T.); #23408=FACE_OUTER_BOUND('',#42558,.T.); #23409=FACE_OUTER_BOUND('',#42559,.T.); #23410=FACE_OUTER_BOUND('',#42560,.T.); #23411=FACE_OUTER_BOUND('',#42562,.T.); #23412=FACE_OUTER_BOUND('',#42564,.T.); #23413=FACE_OUTER_BOUND('',#42565,.T.); #23414=FACE_OUTER_BOUND('',#42566,.T.); #23415=FACE_OUTER_BOUND('',#42568,.T.); #23416=FACE_OUTER_BOUND('',#42570,.T.); #23417=FACE_OUTER_BOUND('',#42571,.T.); #23418=FACE_OUTER_BOUND('',#42572,.T.); #23419=FACE_OUTER_BOUND('',#42574,.T.); #23420=FACE_OUTER_BOUND('',#42576,.T.); #23421=FACE_OUTER_BOUND('',#42577,.T.); #23422=FACE_OUTER_BOUND('',#42578,.T.); #23423=FACE_OUTER_BOUND('',#42580,.T.); #23424=FACE_OUTER_BOUND('',#42582,.T.); #23425=FACE_OUTER_BOUND('',#42583,.T.); #23426=FACE_OUTER_BOUND('',#42584,.T.); #23427=FACE_OUTER_BOUND('',#42586,.T.); #23428=FACE_OUTER_BOUND('',#42588,.T.); #23429=FACE_OUTER_BOUND('',#42589,.T.); #23430=FACE_OUTER_BOUND('',#42590,.T.); #23431=FACE_OUTER_BOUND('',#42592,.T.); #23432=FACE_OUTER_BOUND('',#42594,.T.); #23433=FACE_OUTER_BOUND('',#42595,.T.); #23434=FACE_OUTER_BOUND('',#42596,.T.); #23435=FACE_OUTER_BOUND('',#42598,.T.); #23436=FACE_OUTER_BOUND('',#42600,.T.); #23437=FACE_OUTER_BOUND('',#42601,.T.); #23438=FACE_OUTER_BOUND('',#42602,.T.); #23439=FACE_OUTER_BOUND('',#42604,.T.); #23440=FACE_OUTER_BOUND('',#42606,.T.); #23441=FACE_OUTER_BOUND('',#42607,.T.); #23442=FACE_OUTER_BOUND('',#42608,.T.); #23443=FACE_OUTER_BOUND('',#42610,.T.); #23444=FACE_OUTER_BOUND('',#42612,.T.); #23445=FACE_OUTER_BOUND('',#42613,.T.); #23446=FACE_OUTER_BOUND('',#42614,.T.); #23447=FACE_OUTER_BOUND('',#42616,.T.); #23448=FACE_OUTER_BOUND('',#42618,.T.); #23449=FACE_OUTER_BOUND('',#42619,.T.); #23450=FACE_OUTER_BOUND('',#42620,.T.); #23451=FACE_OUTER_BOUND('',#42622,.T.); #23452=FACE_OUTER_BOUND('',#42624,.T.); #23453=FACE_OUTER_BOUND('',#42625,.T.); #23454=FACE_OUTER_BOUND('',#42626,.T.); #23455=FACE_OUTER_BOUND('',#42628,.T.); #23456=FACE_OUTER_BOUND('',#42630,.T.); #23457=FACE_OUTER_BOUND('',#42631,.T.); #23458=FACE_OUTER_BOUND('',#42632,.T.); #23459=FACE_OUTER_BOUND('',#42634,.T.); #23460=FACE_OUTER_BOUND('',#42636,.T.); #23461=FACE_OUTER_BOUND('',#42637,.T.); #23462=FACE_OUTER_BOUND('',#42638,.T.); #23463=FACE_OUTER_BOUND('',#42640,.T.); #23464=FACE_OUTER_BOUND('',#42642,.T.); #23465=FACE_OUTER_BOUND('',#42643,.T.); #23466=FACE_OUTER_BOUND('',#42644,.T.); #23467=FACE_OUTER_BOUND('',#42646,.T.); #23468=FACE_OUTER_BOUND('',#42648,.T.); #23469=FACE_OUTER_BOUND('',#42649,.T.); #23470=FACE_OUTER_BOUND('',#42650,.T.); #23471=FACE_OUTER_BOUND('',#42652,.T.); #23472=FACE_OUTER_BOUND('',#42654,.T.); #23473=FACE_OUTER_BOUND('',#42655,.T.); #23474=FACE_OUTER_BOUND('',#42656,.T.); #23475=FACE_OUTER_BOUND('',#42658,.T.); #23476=FACE_OUTER_BOUND('',#42660,.T.); #23477=FACE_OUTER_BOUND('',#42661,.T.); #23478=FACE_OUTER_BOUND('',#42662,.T.); #23479=FACE_OUTER_BOUND('',#42664,.T.); #23480=FACE_OUTER_BOUND('',#42666,.T.); #23481=FACE_OUTER_BOUND('',#42667,.T.); #23482=FACE_OUTER_BOUND('',#42668,.T.); #23483=FACE_OUTER_BOUND('',#42670,.T.); #23484=FACE_OUTER_BOUND('',#42672,.T.); #23485=FACE_OUTER_BOUND('',#42673,.T.); #23486=FACE_OUTER_BOUND('',#42674,.T.); #23487=FACE_OUTER_BOUND('',#42676,.T.); #23488=FACE_OUTER_BOUND('',#42678,.T.); #23489=FACE_OUTER_BOUND('',#42679,.T.); #23490=FACE_OUTER_BOUND('',#42680,.T.); #23491=FACE_OUTER_BOUND('',#42682,.T.); #23492=FACE_OUTER_BOUND('',#42684,.T.); #23493=FACE_OUTER_BOUND('',#42685,.T.); #23494=FACE_OUTER_BOUND('',#42686,.T.); #23495=FACE_OUTER_BOUND('',#42688,.T.); #23496=FACE_OUTER_BOUND('',#42690,.T.); #23497=FACE_OUTER_BOUND('',#42691,.T.); #23498=FACE_OUTER_BOUND('',#42692,.T.); #23499=FACE_OUTER_BOUND('',#42694,.T.); #23500=FACE_OUTER_BOUND('',#42696,.T.); #23501=FACE_OUTER_BOUND('',#42697,.T.); #23502=FACE_OUTER_BOUND('',#42698,.T.); #23503=FACE_OUTER_BOUND('',#42700,.T.); #23504=FACE_OUTER_BOUND('',#42702,.T.); #23505=FACE_OUTER_BOUND('',#42703,.T.); #23506=FACE_OUTER_BOUND('',#42704,.T.); #23507=FACE_OUTER_BOUND('',#42706,.T.); #23508=FACE_OUTER_BOUND('',#42708,.T.); #23509=FACE_OUTER_BOUND('',#42709,.T.); #23510=FACE_OUTER_BOUND('',#42710,.T.); #23511=FACE_OUTER_BOUND('',#42712,.T.); #23512=FACE_OUTER_BOUND('',#42714,.T.); #23513=FACE_OUTER_BOUND('',#42715,.T.); #23514=FACE_OUTER_BOUND('',#42716,.T.); #23515=FACE_OUTER_BOUND('',#42718,.T.); #23516=FACE_OUTER_BOUND('',#42720,.T.); #23517=FACE_OUTER_BOUND('',#42721,.T.); #23518=FACE_OUTER_BOUND('',#42722,.T.); #23519=FACE_OUTER_BOUND('',#42724,.T.); #23520=FACE_OUTER_BOUND('',#42726,.T.); #23521=FACE_OUTER_BOUND('',#42727,.T.); #23522=FACE_OUTER_BOUND('',#42728,.T.); #23523=FACE_OUTER_BOUND('',#42730,.T.); #23524=FACE_OUTER_BOUND('',#42732,.T.); #23525=FACE_OUTER_BOUND('',#42733,.T.); #23526=FACE_OUTER_BOUND('',#42734,.T.); #23527=FACE_OUTER_BOUND('',#42736,.T.); #23528=FACE_OUTER_BOUND('',#42738,.T.); #23529=FACE_OUTER_BOUND('',#42739,.T.); #23530=FACE_OUTER_BOUND('',#42740,.T.); #23531=FACE_OUTER_BOUND('',#42742,.T.); #23532=FACE_OUTER_BOUND('',#42744,.T.); #23533=FACE_OUTER_BOUND('',#42745,.T.); #23534=FACE_OUTER_BOUND('',#42746,.T.); #23535=FACE_OUTER_BOUND('',#42748,.T.); #23536=FACE_OUTER_BOUND('',#42750,.T.); #23537=FACE_OUTER_BOUND('',#42751,.T.); #23538=FACE_OUTER_BOUND('',#42752,.T.); #23539=FACE_OUTER_BOUND('',#42754,.T.); #23540=FACE_OUTER_BOUND('',#42756,.T.); #23541=FACE_OUTER_BOUND('',#42757,.T.); #23542=FACE_OUTER_BOUND('',#42758,.T.); #23543=FACE_OUTER_BOUND('',#42760,.T.); #23544=FACE_OUTER_BOUND('',#42762,.T.); #23545=FACE_OUTER_BOUND('',#42763,.T.); #23546=FACE_OUTER_BOUND('',#42764,.T.); #23547=FACE_OUTER_BOUND('',#42766,.T.); #23548=FACE_OUTER_BOUND('',#42768,.T.); #23549=FACE_OUTER_BOUND('',#42769,.T.); #23550=FACE_OUTER_BOUND('',#42770,.T.); #23551=FACE_OUTER_BOUND('',#42772,.T.); #23552=FACE_OUTER_BOUND('',#42774,.T.); #23553=FACE_OUTER_BOUND('',#42775,.T.); #23554=FACE_OUTER_BOUND('',#42776,.T.); #23555=FACE_OUTER_BOUND('',#42778,.T.); #23556=FACE_OUTER_BOUND('',#42780,.T.); #23557=FACE_OUTER_BOUND('',#42781,.T.); #23558=FACE_OUTER_BOUND('',#42782,.T.); #23559=FACE_OUTER_BOUND('',#42784,.T.); #23560=FACE_OUTER_BOUND('',#42786,.T.); #23561=FACE_OUTER_BOUND('',#42787,.T.); #23562=FACE_OUTER_BOUND('',#42788,.T.); #23563=FACE_OUTER_BOUND('',#42790,.T.); #23564=FACE_OUTER_BOUND('',#42792,.T.); #23565=FACE_OUTER_BOUND('',#42793,.T.); #23566=FACE_OUTER_BOUND('',#42794,.T.); #23567=FACE_OUTER_BOUND('',#42796,.T.); #23568=FACE_OUTER_BOUND('',#42798,.T.); #23569=FACE_OUTER_BOUND('',#42799,.T.); #23570=FACE_OUTER_BOUND('',#42800,.T.); #23571=FACE_OUTER_BOUND('',#42802,.T.); #23572=FACE_OUTER_BOUND('',#42804,.T.); #23573=FACE_OUTER_BOUND('',#42805,.T.); #23574=FACE_OUTER_BOUND('',#42806,.T.); #23575=FACE_OUTER_BOUND('',#42808,.T.); #23576=FACE_OUTER_BOUND('',#42810,.T.); #23577=FACE_OUTER_BOUND('',#42811,.T.); #23578=FACE_OUTER_BOUND('',#42812,.T.); #23579=FACE_OUTER_BOUND('',#42814,.T.); #23580=FACE_OUTER_BOUND('',#42816,.T.); #23581=FACE_OUTER_BOUND('',#42817,.T.); #23582=FACE_OUTER_BOUND('',#42818,.T.); #23583=FACE_OUTER_BOUND('',#42820,.T.); #23584=FACE_OUTER_BOUND('',#42822,.T.); #23585=FACE_OUTER_BOUND('',#42823,.T.); #23586=FACE_OUTER_BOUND('',#42824,.T.); #23587=FACE_OUTER_BOUND('',#42826,.T.); #23588=FACE_OUTER_BOUND('',#42828,.T.); #23589=FACE_OUTER_BOUND('',#42829,.T.); #23590=FACE_OUTER_BOUND('',#42830,.T.); #23591=FACE_OUTER_BOUND('',#42832,.T.); #23592=FACE_OUTER_BOUND('',#42834,.T.); #23593=FACE_OUTER_BOUND('',#42835,.T.); #23594=FACE_OUTER_BOUND('',#42836,.T.); #23595=FACE_OUTER_BOUND('',#42838,.T.); #23596=FACE_OUTER_BOUND('',#42840,.T.); #23597=FACE_OUTER_BOUND('',#42841,.T.); #23598=FACE_OUTER_BOUND('',#42842,.T.); #23599=FACE_OUTER_BOUND('',#42844,.T.); #23600=FACE_OUTER_BOUND('',#42846,.T.); #23601=FACE_OUTER_BOUND('',#42847,.T.); #23602=FACE_OUTER_BOUND('',#42848,.T.); #23603=FACE_OUTER_BOUND('',#42850,.T.); #23604=FACE_OUTER_BOUND('',#42852,.T.); #23605=FACE_OUTER_BOUND('',#42853,.T.); #23606=FACE_OUTER_BOUND('',#42854,.T.); #23607=FACE_OUTER_BOUND('',#42856,.T.); #23608=FACE_OUTER_BOUND('',#42858,.T.); #23609=FACE_OUTER_BOUND('',#42859,.T.); #23610=FACE_OUTER_BOUND('',#42860,.T.); #23611=FACE_OUTER_BOUND('',#42862,.T.); #23612=FACE_OUTER_BOUND('',#42864,.T.); #23613=FACE_OUTER_BOUND('',#42865,.T.); #23614=FACE_OUTER_BOUND('',#42866,.T.); #23615=FACE_OUTER_BOUND('',#42868,.T.); #23616=FACE_OUTER_BOUND('',#42870,.T.); #23617=FACE_OUTER_BOUND('',#42871,.T.); #23618=FACE_OUTER_BOUND('',#42872,.T.); #23619=FACE_OUTER_BOUND('',#42874,.T.); #23620=FACE_OUTER_BOUND('',#42876,.T.); #23621=FACE_OUTER_BOUND('',#42877,.T.); #23622=FACE_OUTER_BOUND('',#42878,.T.); #23623=FACE_OUTER_BOUND('',#42880,.T.); #23624=FACE_OUTER_BOUND('',#42882,.T.); #23625=FACE_OUTER_BOUND('',#42883,.T.); #23626=FACE_OUTER_BOUND('',#42884,.T.); #23627=FACE_OUTER_BOUND('',#42886,.T.); #23628=FACE_OUTER_BOUND('',#42888,.T.); #23629=FACE_OUTER_BOUND('',#42889,.T.); #23630=FACE_OUTER_BOUND('',#42890,.T.); #23631=FACE_OUTER_BOUND('',#42892,.T.); #23632=FACE_OUTER_BOUND('',#42894,.T.); #23633=FACE_OUTER_BOUND('',#42895,.T.); #23634=FACE_OUTER_BOUND('',#42896,.T.); #23635=FACE_OUTER_BOUND('',#42898,.T.); #23636=FACE_OUTER_BOUND('',#42900,.T.); #23637=FACE_OUTER_BOUND('',#42901,.T.); #23638=FACE_OUTER_BOUND('',#42902,.T.); #23639=FACE_OUTER_BOUND('',#42904,.T.); #23640=FACE_OUTER_BOUND('',#42906,.T.); #23641=FACE_OUTER_BOUND('',#42907,.T.); #23642=FACE_OUTER_BOUND('',#42908,.T.); #23643=FACE_OUTER_BOUND('',#42910,.T.); #23644=FACE_OUTER_BOUND('',#42912,.T.); #23645=FACE_OUTER_BOUND('',#42913,.T.); #23646=FACE_OUTER_BOUND('',#42914,.T.); #23647=FACE_OUTER_BOUND('',#42916,.T.); #23648=FACE_OUTER_BOUND('',#42918,.T.); #23649=FACE_OUTER_BOUND('',#42919,.T.); #23650=FACE_OUTER_BOUND('',#42920,.T.); #23651=FACE_OUTER_BOUND('',#42922,.T.); #23652=FACE_OUTER_BOUND('',#42924,.T.); #23653=FACE_OUTER_BOUND('',#42925,.T.); #23654=FACE_OUTER_BOUND('',#42926,.T.); #23655=FACE_OUTER_BOUND('',#42928,.T.); #23656=FACE_OUTER_BOUND('',#42930,.T.); #23657=FACE_OUTER_BOUND('',#42931,.T.); #23658=FACE_OUTER_BOUND('',#42932,.T.); #23659=FACE_OUTER_BOUND('',#42934,.T.); #23660=FACE_OUTER_BOUND('',#42936,.T.); #23661=FACE_OUTER_BOUND('',#42937,.T.); #23662=FACE_OUTER_BOUND('',#42938,.T.); #23663=FACE_OUTER_BOUND('',#42940,.T.); #23664=FACE_OUTER_BOUND('',#42942,.T.); #23665=FACE_OUTER_BOUND('',#42943,.T.); #23666=FACE_OUTER_BOUND('',#42944,.T.); #23667=FACE_OUTER_BOUND('',#42946,.T.); #23668=FACE_OUTER_BOUND('',#42948,.T.); #23669=FACE_OUTER_BOUND('',#42949,.T.); #23670=FACE_OUTER_BOUND('',#42950,.T.); #23671=FACE_OUTER_BOUND('',#42952,.T.); #23672=FACE_OUTER_BOUND('',#42954,.T.); #23673=FACE_OUTER_BOUND('',#42955,.T.); #23674=FACE_OUTER_BOUND('',#42956,.T.); #23675=FACE_OUTER_BOUND('',#42958,.T.); #23676=FACE_OUTER_BOUND('',#42960,.T.); #23677=FACE_OUTER_BOUND('',#42961,.T.); #23678=FACE_OUTER_BOUND('',#42962,.T.); #23679=FACE_OUTER_BOUND('',#42964,.T.); #23680=FACE_OUTER_BOUND('',#42966,.T.); #23681=FACE_OUTER_BOUND('',#42967,.T.); #23682=FACE_OUTER_BOUND('',#42968,.T.); #23683=FACE_OUTER_BOUND('',#42970,.T.); #23684=FACE_OUTER_BOUND('',#42972,.T.); #23685=FACE_OUTER_BOUND('',#42973,.T.); #23686=FACE_OUTER_BOUND('',#42974,.T.); #23687=FACE_OUTER_BOUND('',#42976,.T.); #23688=FACE_OUTER_BOUND('',#42978,.T.); #23689=FACE_OUTER_BOUND('',#42979,.T.); #23690=FACE_OUTER_BOUND('',#42980,.T.); #23691=FACE_OUTER_BOUND('',#42982,.T.); #23692=FACE_OUTER_BOUND('',#42984,.T.); #23693=FACE_OUTER_BOUND('',#42985,.T.); #23694=FACE_OUTER_BOUND('',#42986,.T.); #23695=FACE_OUTER_BOUND('',#42988,.T.); #23696=FACE_OUTER_BOUND('',#42990,.T.); #23697=FACE_OUTER_BOUND('',#42991,.T.); #23698=FACE_OUTER_BOUND('',#42992,.T.); #23699=FACE_OUTER_BOUND('',#42994,.T.); #23700=FACE_OUTER_BOUND('',#42996,.T.); #23701=FACE_OUTER_BOUND('',#42997,.T.); #23702=FACE_OUTER_BOUND('',#42998,.T.); #23703=FACE_OUTER_BOUND('',#43000,.T.); #23704=FACE_OUTER_BOUND('',#43002,.T.); #23705=FACE_OUTER_BOUND('',#43003,.T.); #23706=FACE_OUTER_BOUND('',#43004,.T.); #23707=FACE_OUTER_BOUND('',#43006,.T.); #23708=FACE_OUTER_BOUND('',#43008,.T.); #23709=FACE_OUTER_BOUND('',#43009,.T.); #23710=FACE_OUTER_BOUND('',#43010,.T.); #23711=FACE_OUTER_BOUND('',#43012,.T.); #23712=FACE_OUTER_BOUND('',#43014,.T.); #23713=FACE_OUTER_BOUND('',#43015,.T.); #23714=FACE_OUTER_BOUND('',#43016,.T.); #23715=FACE_OUTER_BOUND('',#43018,.T.); #23716=FACE_OUTER_BOUND('',#43020,.T.); #23717=FACE_OUTER_BOUND('',#43021,.T.); #23718=FACE_OUTER_BOUND('',#43022,.T.); #23719=FACE_OUTER_BOUND('',#43024,.T.); #23720=FACE_OUTER_BOUND('',#43026,.T.); #23721=FACE_OUTER_BOUND('',#43027,.T.); #23722=FACE_OUTER_BOUND('',#43028,.T.); #23723=FACE_OUTER_BOUND('',#43030,.T.); #23724=FACE_OUTER_BOUND('',#43032,.T.); #23725=FACE_OUTER_BOUND('',#43033,.T.); #23726=FACE_OUTER_BOUND('',#43034,.T.); #23727=FACE_OUTER_BOUND('',#43036,.T.); #23728=FACE_OUTER_BOUND('',#43038,.T.); #23729=FACE_OUTER_BOUND('',#43039,.T.); #23730=FACE_OUTER_BOUND('',#43040,.T.); #23731=FACE_OUTER_BOUND('',#43041,.T.); #23732=FACE_OUTER_BOUND('',#43042,.T.); #23733=FACE_OUTER_BOUND('',#43043,.T.); #23734=FACE_OUTER_BOUND('',#43044,.T.); #23735=FACE_OUTER_BOUND('',#43045,.T.); #23736=FACE_OUTER_BOUND('',#43046,.T.); #23737=FACE_OUTER_BOUND('',#43048,.T.); #23738=FACE_OUTER_BOUND('',#43050,.T.); #23739=FACE_OUTER_BOUND('',#43051,.T.); #23740=FACE_OUTER_BOUND('',#43052,.T.); #23741=FACE_OUTER_BOUND('',#43054,.T.); #23742=FACE_OUTER_BOUND('',#43056,.T.); #23743=FACE_OUTER_BOUND('',#43057,.T.); #23744=FACE_OUTER_BOUND('',#43058,.T.); #23745=FACE_OUTER_BOUND('',#43059,.T.); #23746=FACE_OUTER_BOUND('',#43060,.T.); #23747=FACE_OUTER_BOUND('',#43061,.T.); #23748=FACE_OUTER_BOUND('',#43062,.T.); #23749=FACE_OUTER_BOUND('',#43063,.T.); #23750=FACE_OUTER_BOUND('',#43064,.T.); #23751=FACE_OUTER_BOUND('',#43066,.T.); #23752=FACE_OUTER_BOUND('',#43068,.T.); #23753=FACE_OUTER_BOUND('',#43069,.T.); #23754=FACE_OUTER_BOUND('',#43070,.T.); #23755=FACE_OUTER_BOUND('',#43072,.T.); #23756=FACE_OUTER_BOUND('',#43074,.T.); #23757=FACE_OUTER_BOUND('',#43075,.T.); #23758=FACE_OUTER_BOUND('',#43076,.T.); #23759=FACE_OUTER_BOUND('',#43077,.T.); #23760=FACE_OUTER_BOUND('',#43078,.T.); #23761=FACE_OUTER_BOUND('',#43079,.T.); #23762=FACE_OUTER_BOUND('',#43080,.T.); #23763=FACE_OUTER_BOUND('',#43081,.T.); #23764=FACE_OUTER_BOUND('',#43082,.T.); #23765=FACE_OUTER_BOUND('',#43084,.T.); #23766=FACE_OUTER_BOUND('',#43086,.T.); #23767=FACE_OUTER_BOUND('',#43087,.T.); #23768=FACE_OUTER_BOUND('',#43088,.T.); #23769=FACE_OUTER_BOUND('',#43090,.T.); #23770=FACE_OUTER_BOUND('',#43092,.T.); #23771=FACE_OUTER_BOUND('',#43093,.T.); #23772=FACE_OUTER_BOUND('',#43094,.T.); #23773=FACE_OUTER_BOUND('',#43096,.T.); #23774=FACE_OUTER_BOUND('',#43098,.T.); #23775=FACE_OUTER_BOUND('',#43099,.T.); #23776=FACE_OUTER_BOUND('',#43100,.T.); #23777=FACE_OUTER_BOUND('',#43102,.T.); #23778=FACE_OUTER_BOUND('',#43104,.T.); #23779=FACE_OUTER_BOUND('',#43105,.T.); #23780=FACE_OUTER_BOUND('',#43106,.T.); #23781=FACE_OUTER_BOUND('',#43108,.T.); #23782=FACE_OUTER_BOUND('',#43110,.T.); #23783=FACE_OUTER_BOUND('',#43111,.T.); #23784=FACE_OUTER_BOUND('',#43112,.T.); #23785=FACE_OUTER_BOUND('',#43114,.T.); #23786=FACE_OUTER_BOUND('',#43116,.T.); #23787=FACE_OUTER_BOUND('',#43117,.T.); #23788=FACE_OUTER_BOUND('',#43118,.T.); #23789=FACE_OUTER_BOUND('',#43120,.T.); #23790=FACE_OUTER_BOUND('',#43122,.T.); #23791=FACE_OUTER_BOUND('',#43123,.T.); #23792=FACE_OUTER_BOUND('',#43124,.T.); #23793=FACE_OUTER_BOUND('',#43126,.T.); #23794=FACE_OUTER_BOUND('',#43128,.T.); #23795=FACE_OUTER_BOUND('',#43129,.T.); #23796=FACE_OUTER_BOUND('',#43130,.T.); #23797=FACE_OUTER_BOUND('',#43132,.T.); #23798=FACE_OUTER_BOUND('',#43134,.T.); #23799=FACE_OUTER_BOUND('',#43135,.T.); #23800=FACE_OUTER_BOUND('',#43136,.T.); #23801=FACE_OUTER_BOUND('',#43138,.T.); #23802=FACE_OUTER_BOUND('',#43140,.T.); #23803=FACE_OUTER_BOUND('',#43141,.T.); #23804=FACE_OUTER_BOUND('',#43142,.T.); #23805=FACE_OUTER_BOUND('',#43144,.T.); #23806=FACE_OUTER_BOUND('',#43146,.T.); #23807=FACE_OUTER_BOUND('',#43147,.T.); #23808=FACE_OUTER_BOUND('',#43148,.T.); #23809=FACE_OUTER_BOUND('',#43149,.T.); #23810=FACE_OUTER_BOUND('',#43150,.T.); #23811=FACE_OUTER_BOUND('',#43151,.T.); #23812=FACE_OUTER_BOUND('',#43152,.T.); #23813=FACE_OUTER_BOUND('',#43153,.T.); #23814=FACE_OUTER_BOUND('',#43154,.T.); #23815=FACE_OUTER_BOUND('',#43156,.T.); #23816=FACE_OUTER_BOUND('',#43158,.T.); #23817=FACE_OUTER_BOUND('',#43159,.T.); #23818=FACE_OUTER_BOUND('',#43160,.T.); #23819=FACE_OUTER_BOUND('',#43162,.T.); #23820=FACE_OUTER_BOUND('',#43164,.T.); #23821=FACE_OUTER_BOUND('',#43165,.T.); #23822=FACE_OUTER_BOUND('',#43166,.T.); #23823=FACE_OUTER_BOUND('',#43168,.T.); #23824=FACE_OUTER_BOUND('',#43170,.T.); #23825=FACE_OUTER_BOUND('',#43171,.T.); #23826=FACE_OUTER_BOUND('',#43172,.T.); #23827=FACE_OUTER_BOUND('',#43174,.T.); #23828=FACE_OUTER_BOUND('',#43176,.T.); #23829=FACE_OUTER_BOUND('',#43177,.T.); #23830=FACE_OUTER_BOUND('',#43178,.T.); #23831=FACE_OUTER_BOUND('',#43179,.T.); #23832=FACE_OUTER_BOUND('',#43180,.T.); #23833=FACE_OUTER_BOUND('',#43181,.T.); #23834=FACE_OUTER_BOUND('',#43182,.T.); #23835=FACE_OUTER_BOUND('',#43183,.T.); #23836=FACE_OUTER_BOUND('',#43184,.T.); #23837=FACE_OUTER_BOUND('',#43186,.T.); #23838=FACE_OUTER_BOUND('',#43188,.T.); #23839=FACE_OUTER_BOUND('',#43189,.T.); #23840=FACE_OUTER_BOUND('',#43190,.T.); #23841=FACE_OUTER_BOUND('',#43192,.T.); #23842=FACE_OUTER_BOUND('',#43194,.T.); #23843=FACE_OUTER_BOUND('',#43195,.T.); #23844=FACE_OUTER_BOUND('',#43196,.T.); #23845=FACE_OUTER_BOUND('',#43197,.T.); #23846=FACE_OUTER_BOUND('',#43198,.T.); #23847=FACE_OUTER_BOUND('',#43199,.T.); #23848=FACE_OUTER_BOUND('',#43200,.T.); #23849=FACE_OUTER_BOUND('',#43201,.T.); #23850=FACE_OUTER_BOUND('',#43202,.T.); #23851=FACE_OUTER_BOUND('',#43204,.T.); #23852=FACE_OUTER_BOUND('',#43206,.T.); #23853=FACE_OUTER_BOUND('',#43207,.T.); #23854=FACE_OUTER_BOUND('',#43208,.T.); #23855=FACE_OUTER_BOUND('',#43210,.T.); #23856=FACE_OUTER_BOUND('',#43212,.T.); #23857=FACE_OUTER_BOUND('',#43213,.T.); #23858=FACE_OUTER_BOUND('',#43214,.T.); #23859=FACE_OUTER_BOUND('',#43216,.T.); #23860=FACE_OUTER_BOUND('',#43218,.T.); #23861=FACE_OUTER_BOUND('',#43219,.T.); #23862=FACE_OUTER_BOUND('',#43220,.T.); #23863=FACE_OUTER_BOUND('',#43222,.T.); #23864=FACE_OUTER_BOUND('',#43224,.T.); #23865=FACE_OUTER_BOUND('',#43225,.T.); #23866=FACE_OUTER_BOUND('',#43226,.T.); #23867=FACE_OUTER_BOUND('',#43228,.T.); #23868=FACE_OUTER_BOUND('',#43230,.T.); #23869=FACE_OUTER_BOUND('',#43231,.T.); #23870=FACE_OUTER_BOUND('',#43232,.T.); #23871=FACE_OUTER_BOUND('',#43234,.T.); #23872=FACE_OUTER_BOUND('',#43236,.T.); #23873=FACE_OUTER_BOUND('',#43237,.T.); #23874=FACE_OUTER_BOUND('',#43238,.T.); #23875=FACE_OUTER_BOUND('',#43240,.T.); #23876=FACE_OUTER_BOUND('',#43242,.T.); #23877=FACE_OUTER_BOUND('',#43243,.T.); #23878=FACE_OUTER_BOUND('',#43244,.T.); #23879=FACE_OUTER_BOUND('',#43246,.T.); #23880=FACE_OUTER_BOUND('',#43248,.T.); #23881=FACE_OUTER_BOUND('',#43249,.T.); #23882=FACE_OUTER_BOUND('',#43250,.T.); #23883=FACE_OUTER_BOUND('',#43252,.T.); #23884=FACE_OUTER_BOUND('',#43254,.T.); #23885=FACE_OUTER_BOUND('',#43255,.T.); #23886=FACE_OUTER_BOUND('',#43256,.T.); #23887=FACE_OUTER_BOUND('',#43258,.T.); #23888=FACE_OUTER_BOUND('',#43260,.T.); #23889=FACE_OUTER_BOUND('',#43261,.T.); #23890=FACE_OUTER_BOUND('',#43262,.T.); #23891=FACE_OUTER_BOUND('',#43264,.T.); #23892=FACE_OUTER_BOUND('',#43266,.T.); #23893=FACE_OUTER_BOUND('',#43267,.T.); #23894=FACE_OUTER_BOUND('',#43268,.T.); #23895=FACE_OUTER_BOUND('',#43270,.T.); #23896=FACE_OUTER_BOUND('',#43272,.T.); #23897=FACE_OUTER_BOUND('',#43273,.T.); #23898=FACE_OUTER_BOUND('',#43274,.T.); #23899=FACE_OUTER_BOUND('',#43276,.T.); #23900=FACE_OUTER_BOUND('',#43278,.T.); #23901=FACE_OUTER_BOUND('',#43279,.T.); #23902=FACE_OUTER_BOUND('',#43280,.T.); #23903=FACE_OUTER_BOUND('',#43282,.T.); #23904=FACE_OUTER_BOUND('',#43284,.T.); #23905=FACE_OUTER_BOUND('',#43285,.T.); #23906=FACE_OUTER_BOUND('',#43286,.T.); #23907=FACE_OUTER_BOUND('',#43288,.T.); #23908=FACE_OUTER_BOUND('',#43290,.T.); #23909=FACE_OUTER_BOUND('',#43291,.T.); #23910=FACE_OUTER_BOUND('',#43292,.T.); #23911=FACE_OUTER_BOUND('',#43294,.T.); #23912=FACE_OUTER_BOUND('',#43296,.T.); #23913=FACE_OUTER_BOUND('',#43297,.T.); #23914=FACE_OUTER_BOUND('',#43298,.T.); #23915=FACE_OUTER_BOUND('',#43300,.T.); #23916=FACE_OUTER_BOUND('',#43302,.T.); #23917=FACE_OUTER_BOUND('',#43303,.T.); #23918=FACE_OUTER_BOUND('',#43304,.T.); #23919=FACE_OUTER_BOUND('',#43306,.T.); #23920=FACE_OUTER_BOUND('',#43308,.T.); #23921=FACE_OUTER_BOUND('',#43309,.T.); #23922=FACE_OUTER_BOUND('',#43310,.T.); #23923=FACE_OUTER_BOUND('',#43312,.T.); #23924=FACE_OUTER_BOUND('',#43314,.T.); #23925=FACE_OUTER_BOUND('',#43315,.T.); #23926=FACE_OUTER_BOUND('',#43316,.T.); #23927=FACE_OUTER_BOUND('',#43318,.T.); #23928=FACE_OUTER_BOUND('',#43320,.T.); #23929=FACE_OUTER_BOUND('',#43321,.T.); #23930=FACE_OUTER_BOUND('',#43322,.T.); #23931=FACE_OUTER_BOUND('',#43324,.T.); #23932=FACE_OUTER_BOUND('',#43326,.T.); #23933=FACE_OUTER_BOUND('',#43327,.T.); #23934=FACE_OUTER_BOUND('',#43328,.T.); #23935=FACE_OUTER_BOUND('',#43330,.T.); #23936=FACE_OUTER_BOUND('',#43332,.T.); #23937=FACE_OUTER_BOUND('',#43333,.T.); #23938=FACE_OUTER_BOUND('',#43334,.T.); #23939=FACE_OUTER_BOUND('',#43336,.T.); #23940=FACE_OUTER_BOUND('',#43338,.T.); #23941=FACE_OUTER_BOUND('',#43339,.T.); #23942=FACE_OUTER_BOUND('',#43340,.T.); #23943=FACE_OUTER_BOUND('',#43342,.T.); #23944=FACE_OUTER_BOUND('',#43344,.T.); #23945=FACE_OUTER_BOUND('',#43345,.T.); #23946=FACE_OUTER_BOUND('',#43346,.T.); #23947=FACE_OUTER_BOUND('',#43348,.T.); #23948=FACE_OUTER_BOUND('',#43350,.T.); #23949=FACE_OUTER_BOUND('',#43351,.T.); #23950=FACE_OUTER_BOUND('',#43352,.T.); #23951=FACE_OUTER_BOUND('',#43354,.T.); #23952=FACE_OUTER_BOUND('',#43356,.T.); #23953=FACE_OUTER_BOUND('',#43357,.T.); #23954=FACE_OUTER_BOUND('',#43358,.T.); #23955=FACE_OUTER_BOUND('',#43360,.T.); #23956=FACE_OUTER_BOUND('',#43362,.T.); #23957=FACE_OUTER_BOUND('',#43363,.T.); #23958=FACE_OUTER_BOUND('',#43364,.T.); #23959=FACE_OUTER_BOUND('',#43366,.T.); #23960=FACE_OUTER_BOUND('',#43368,.T.); #23961=FACE_OUTER_BOUND('',#43369,.T.); #23962=FACE_OUTER_BOUND('',#43370,.T.); #23963=FACE_OUTER_BOUND('',#43372,.T.); #23964=FACE_OUTER_BOUND('',#43374,.T.); #23965=FACE_OUTER_BOUND('',#43375,.T.); #23966=FACE_OUTER_BOUND('',#43376,.T.); #23967=FACE_OUTER_BOUND('',#43378,.T.); #23968=FACE_OUTER_BOUND('',#43380,.T.); #23969=FACE_OUTER_BOUND('',#43381,.T.); #23970=FACE_OUTER_BOUND('',#43382,.T.); #23971=FACE_OUTER_BOUND('',#43384,.T.); #23972=FACE_OUTER_BOUND('',#43386,.T.); #23973=FACE_OUTER_BOUND('',#43387,.T.); #23974=FACE_OUTER_BOUND('',#43388,.T.); #23975=FACE_OUTER_BOUND('',#43390,.T.); #23976=FACE_OUTER_BOUND('',#43392,.T.); #23977=FACE_OUTER_BOUND('',#43393,.T.); #23978=FACE_OUTER_BOUND('',#43394,.T.); #23979=FACE_OUTER_BOUND('',#43396,.T.); #23980=FACE_OUTER_BOUND('',#43398,.T.); #23981=FACE_OUTER_BOUND('',#43399,.T.); #23982=FACE_OUTER_BOUND('',#43400,.T.); #23983=FACE_OUTER_BOUND('',#43402,.T.); #23984=FACE_OUTER_BOUND('',#43404,.T.); #23985=FACE_OUTER_BOUND('',#43405,.T.); #23986=FACE_OUTER_BOUND('',#43406,.T.); #23987=FACE_OUTER_BOUND('',#43408,.T.); #23988=FACE_OUTER_BOUND('',#43410,.T.); #23989=FACE_OUTER_BOUND('',#43411,.T.); #23990=FACE_OUTER_BOUND('',#43412,.T.); #23991=FACE_OUTER_BOUND('',#43414,.T.); #23992=FACE_OUTER_BOUND('',#43416,.T.); #23993=FACE_OUTER_BOUND('',#43417,.T.); #23994=FACE_OUTER_BOUND('',#43418,.T.); #23995=FACE_OUTER_BOUND('',#43420,.T.); #23996=FACE_OUTER_BOUND('',#43422,.T.); #23997=FACE_OUTER_BOUND('',#43423,.T.); #23998=FACE_OUTER_BOUND('',#43424,.T.); #23999=FACE_OUTER_BOUND('',#43426,.T.); #24000=FACE_OUTER_BOUND('',#43428,.T.); #24001=FACE_OUTER_BOUND('',#43429,.T.); #24002=FACE_OUTER_BOUND('',#43430,.T.); #24003=FACE_OUTER_BOUND('',#43432,.T.); #24004=FACE_OUTER_BOUND('',#43434,.T.); #24005=FACE_OUTER_BOUND('',#43435,.T.); #24006=FACE_OUTER_BOUND('',#43436,.T.); #24007=FACE_OUTER_BOUND('',#43438,.T.); #24008=FACE_OUTER_BOUND('',#43440,.T.); #24009=FACE_OUTER_BOUND('',#43441,.T.); #24010=FACE_OUTER_BOUND('',#43442,.T.); #24011=FACE_OUTER_BOUND('',#43444,.T.); #24012=FACE_OUTER_BOUND('',#43446,.T.); #24013=FACE_OUTER_BOUND('',#43447,.T.); #24014=FACE_OUTER_BOUND('',#43448,.T.); #24015=FACE_OUTER_BOUND('',#43450,.T.); #24016=FACE_OUTER_BOUND('',#43452,.T.); #24017=FACE_OUTER_BOUND('',#43453,.T.); #24018=FACE_OUTER_BOUND('',#43454,.T.); #24019=FACE_OUTER_BOUND('',#43456,.T.); #24020=FACE_OUTER_BOUND('',#43458,.T.); #24021=FACE_OUTER_BOUND('',#43459,.T.); #24022=FACE_OUTER_BOUND('',#43460,.T.); #24023=FACE_OUTER_BOUND('',#43462,.T.); #24024=FACE_OUTER_BOUND('',#43464,.T.); #24025=FACE_OUTER_BOUND('',#43465,.T.); #24026=FACE_OUTER_BOUND('',#43466,.T.); #24027=FACE_OUTER_BOUND('',#43468,.T.); #24028=FACE_OUTER_BOUND('',#43470,.T.); #24029=FACE_OUTER_BOUND('',#43471,.T.); #24030=FACE_OUTER_BOUND('',#43472,.T.); #24031=FACE_OUTER_BOUND('',#43474,.T.); #24032=FACE_OUTER_BOUND('',#43476,.T.); #24033=FACE_OUTER_BOUND('',#43477,.T.); #24034=FACE_OUTER_BOUND('',#43478,.T.); #24035=FACE_OUTER_BOUND('',#43480,.T.); #24036=FACE_OUTER_BOUND('',#43482,.T.); #24037=FACE_OUTER_BOUND('',#43483,.T.); #24038=FACE_OUTER_BOUND('',#43484,.T.); #24039=FACE_OUTER_BOUND('',#43486,.T.); #24040=FACE_OUTER_BOUND('',#43488,.T.); #24041=FACE_OUTER_BOUND('',#43489,.T.); #24042=FACE_OUTER_BOUND('',#43490,.T.); #24043=FACE_OUTER_BOUND('',#43492,.T.); #24044=FACE_OUTER_BOUND('',#43494,.T.); #24045=FACE_OUTER_BOUND('',#43495,.T.); #24046=FACE_OUTER_BOUND('',#43496,.T.); #24047=FACE_OUTER_BOUND('',#43498,.T.); #24048=FACE_OUTER_BOUND('',#43500,.T.); #24049=FACE_OUTER_BOUND('',#43501,.T.); #24050=FACE_OUTER_BOUND('',#43502,.T.); #24051=FACE_OUTER_BOUND('',#43504,.T.); #24052=FACE_OUTER_BOUND('',#43506,.T.); #24053=FACE_OUTER_BOUND('',#43507,.T.); #24054=FACE_OUTER_BOUND('',#43508,.T.); #24055=FACE_OUTER_BOUND('',#43510,.T.); #24056=FACE_OUTER_BOUND('',#43512,.T.); #24057=FACE_OUTER_BOUND('',#43513,.T.); #24058=FACE_OUTER_BOUND('',#43514,.T.); #24059=FACE_OUTER_BOUND('',#43516,.T.); #24060=FACE_OUTER_BOUND('',#43518,.T.); #24061=FACE_OUTER_BOUND('',#43519,.T.); #24062=FACE_OUTER_BOUND('',#43520,.T.); #24063=FACE_OUTER_BOUND('',#43522,.T.); #24064=FACE_OUTER_BOUND('',#43524,.T.); #24065=FACE_OUTER_BOUND('',#43525,.T.); #24066=FACE_OUTER_BOUND('',#43526,.T.); #24067=FACE_OUTER_BOUND('',#43528,.T.); #24068=FACE_OUTER_BOUND('',#43530,.T.); #24069=FACE_OUTER_BOUND('',#43531,.T.); #24070=FACE_OUTER_BOUND('',#43532,.T.); #24071=FACE_OUTER_BOUND('',#43534,.T.); #24072=FACE_OUTER_BOUND('',#43536,.T.); #24073=FACE_OUTER_BOUND('',#43537,.T.); #24074=FACE_OUTER_BOUND('',#43538,.T.); #24075=FACE_OUTER_BOUND('',#43540,.T.); #24076=FACE_OUTER_BOUND('',#43542,.T.); #24077=FACE_OUTER_BOUND('',#43543,.T.); #24078=FACE_OUTER_BOUND('',#43544,.T.); #24079=FACE_OUTER_BOUND('',#43546,.T.); #24080=FACE_OUTER_BOUND('',#43548,.T.); #24081=FACE_OUTER_BOUND('',#43549,.T.); #24082=FACE_OUTER_BOUND('',#43550,.T.); #24083=FACE_OUTER_BOUND('',#43552,.T.); #24084=FACE_OUTER_BOUND('',#43554,.T.); #24085=FACE_OUTER_BOUND('',#43555,.T.); #24086=FACE_OUTER_BOUND('',#43556,.T.); #24087=FACE_OUTER_BOUND('',#43558,.T.); #24088=FACE_OUTER_BOUND('',#43560,.T.); #24089=FACE_OUTER_BOUND('',#43561,.T.); #24090=FACE_OUTER_BOUND('',#43562,.T.); #24091=FACE_OUTER_BOUND('',#43564,.T.); #24092=FACE_OUTER_BOUND('',#43566,.T.); #24093=FACE_OUTER_BOUND('',#43567,.T.); #24094=FACE_OUTER_BOUND('',#43568,.T.); #24095=FACE_OUTER_BOUND('',#43570,.T.); #24096=FACE_OUTER_BOUND('',#43572,.T.); #24097=FACE_OUTER_BOUND('',#43573,.T.); #24098=FACE_OUTER_BOUND('',#43574,.T.); #24099=FACE_OUTER_BOUND('',#43576,.T.); #24100=FACE_OUTER_BOUND('',#43578,.T.); #24101=FACE_OUTER_BOUND('',#43579,.T.); #24102=FACE_OUTER_BOUND('',#43580,.T.); #24103=FACE_OUTER_BOUND('',#43582,.T.); #24104=FACE_OUTER_BOUND('',#43584,.T.); #24105=FACE_OUTER_BOUND('',#43585,.T.); #24106=FACE_OUTER_BOUND('',#43586,.T.); #24107=FACE_OUTER_BOUND('',#43588,.T.); #24108=FACE_OUTER_BOUND('',#43590,.T.); #24109=FACE_OUTER_BOUND('',#43591,.T.); #24110=FACE_OUTER_BOUND('',#43592,.T.); #24111=FACE_OUTER_BOUND('',#43594,.T.); #24112=FACE_OUTER_BOUND('',#43596,.T.); #24113=FACE_OUTER_BOUND('',#43597,.T.); #24114=FACE_OUTER_BOUND('',#43598,.T.); #24115=FACE_OUTER_BOUND('',#43600,.T.); #24116=FACE_OUTER_BOUND('',#43602,.T.); #24117=FACE_OUTER_BOUND('',#43603,.T.); #24118=FACE_OUTER_BOUND('',#43604,.T.); #24119=FACE_OUTER_BOUND('',#43606,.T.); #24120=FACE_OUTER_BOUND('',#43608,.T.); #24121=FACE_OUTER_BOUND('',#43609,.T.); #24122=FACE_OUTER_BOUND('',#43610,.T.); #24123=FACE_OUTER_BOUND('',#43612,.T.); #24124=FACE_OUTER_BOUND('',#43614,.T.); #24125=FACE_OUTER_BOUND('',#43615,.T.); #24126=FACE_OUTER_BOUND('',#43616,.T.); #24127=FACE_OUTER_BOUND('',#43618,.T.); #24128=FACE_OUTER_BOUND('',#43620,.T.); #24129=FACE_OUTER_BOUND('',#43621,.T.); #24130=FACE_OUTER_BOUND('',#43622,.T.); #24131=FACE_OUTER_BOUND('',#43624,.T.); #24132=FACE_OUTER_BOUND('',#43626,.T.); #24133=FACE_OUTER_BOUND('',#43627,.T.); #24134=FACE_OUTER_BOUND('',#43628,.T.); #24135=FACE_OUTER_BOUND('',#43630,.T.); #24136=FACE_OUTER_BOUND('',#43632,.T.); #24137=FACE_OUTER_BOUND('',#43633,.T.); #24138=FACE_OUTER_BOUND('',#43634,.T.); #24139=FACE_OUTER_BOUND('',#43636,.T.); #24140=FACE_OUTER_BOUND('',#43638,.T.); #24141=FACE_OUTER_BOUND('',#43639,.T.); #24142=FACE_OUTER_BOUND('',#43640,.T.); #24143=FACE_OUTER_BOUND('',#43642,.T.); #24144=FACE_OUTER_BOUND('',#43644,.T.); #24145=FACE_OUTER_BOUND('',#43645,.T.); #24146=FACE_OUTER_BOUND('',#43646,.T.); #24147=FACE_OUTER_BOUND('',#43648,.T.); #24148=FACE_OUTER_BOUND('',#43650,.T.); #24149=FACE_OUTER_BOUND('',#43651,.T.); #24150=FACE_OUTER_BOUND('',#43652,.T.); #24151=FACE_OUTER_BOUND('',#43654,.T.); #24152=FACE_OUTER_BOUND('',#43656,.T.); #24153=FACE_OUTER_BOUND('',#43657,.T.); #24154=FACE_OUTER_BOUND('',#43658,.T.); #24155=FACE_OUTER_BOUND('',#43660,.T.); #24156=FACE_OUTER_BOUND('',#43662,.T.); #24157=FACE_OUTER_BOUND('',#43663,.T.); #24158=FACE_OUTER_BOUND('',#43664,.T.); #24159=FACE_OUTER_BOUND('',#43666,.T.); #24160=FACE_OUTER_BOUND('',#43668,.T.); #24161=FACE_OUTER_BOUND('',#43669,.T.); #24162=FACE_OUTER_BOUND('',#43670,.T.); #24163=FACE_OUTER_BOUND('',#43672,.T.); #24164=FACE_OUTER_BOUND('',#43674,.T.); #24165=FACE_OUTER_BOUND('',#43675,.T.); #24166=FACE_OUTER_BOUND('',#43676,.T.); #24167=FACE_OUTER_BOUND('',#43678,.T.); #24168=FACE_OUTER_BOUND('',#43680,.T.); #24169=FACE_OUTER_BOUND('',#43681,.T.); #24170=FACE_OUTER_BOUND('',#43682,.T.); #24171=FACE_OUTER_BOUND('',#43684,.T.); #24172=FACE_OUTER_BOUND('',#43686,.T.); #24173=FACE_OUTER_BOUND('',#43687,.T.); #24174=FACE_OUTER_BOUND('',#43688,.T.); #24175=FACE_OUTER_BOUND('',#43690,.T.); #24176=FACE_OUTER_BOUND('',#43692,.T.); #24177=FACE_OUTER_BOUND('',#43693,.T.); #24178=FACE_OUTER_BOUND('',#43694,.T.); #24179=FACE_OUTER_BOUND('',#43696,.T.); #24180=FACE_OUTER_BOUND('',#43698,.T.); #24181=FACE_OUTER_BOUND('',#43699,.T.); #24182=FACE_OUTER_BOUND('',#43700,.T.); #24183=FACE_OUTER_BOUND('',#43702,.T.); #24184=FACE_OUTER_BOUND('',#43704,.T.); #24185=FACE_OUTER_BOUND('',#43705,.T.); #24186=FACE_OUTER_BOUND('',#43706,.T.); #24187=FACE_OUTER_BOUND('',#43708,.T.); #24188=FACE_OUTER_BOUND('',#43710,.T.); #24189=FACE_OUTER_BOUND('',#43711,.T.); #24190=FACE_OUTER_BOUND('',#43712,.T.); #24191=FACE_OUTER_BOUND('',#43714,.T.); #24192=FACE_OUTER_BOUND('',#43716,.T.); #24193=FACE_OUTER_BOUND('',#43717,.T.); #24194=FACE_OUTER_BOUND('',#43718,.T.); #24195=FACE_OUTER_BOUND('',#43720,.T.); #24196=FACE_OUTER_BOUND('',#43722,.T.); #24197=FACE_OUTER_BOUND('',#43723,.T.); #24198=FACE_OUTER_BOUND('',#43724,.T.); #24199=FACE_OUTER_BOUND('',#43726,.T.); #24200=FACE_OUTER_BOUND('',#43728,.T.); #24201=FACE_OUTER_BOUND('',#43729,.T.); #24202=FACE_OUTER_BOUND('',#43730,.T.); #24203=FACE_OUTER_BOUND('',#43732,.T.); #24204=FACE_OUTER_BOUND('',#43734,.T.); #24205=FACE_OUTER_BOUND('',#43735,.T.); #24206=FACE_OUTER_BOUND('',#43736,.T.); #24207=FACE_OUTER_BOUND('',#43738,.T.); #24208=FACE_OUTER_BOUND('',#43740,.T.); #24209=FACE_OUTER_BOUND('',#43741,.T.); #24210=FACE_OUTER_BOUND('',#43742,.T.); #24211=FACE_OUTER_BOUND('',#43744,.T.); #24212=FACE_OUTER_BOUND('',#43746,.T.); #24213=FACE_OUTER_BOUND('',#43747,.T.); #24214=FACE_OUTER_BOUND('',#43748,.T.); #24215=FACE_OUTER_BOUND('',#43750,.T.); #24216=FACE_OUTER_BOUND('',#43752,.T.); #24217=FACE_OUTER_BOUND('',#43753,.T.); #24218=FACE_OUTER_BOUND('',#43754,.T.); #24219=FACE_OUTER_BOUND('',#43756,.T.); #24220=FACE_OUTER_BOUND('',#43758,.T.); #24221=FACE_OUTER_BOUND('',#43759,.T.); #24222=FACE_OUTER_BOUND('',#43760,.T.); #24223=FACE_OUTER_BOUND('',#43762,.T.); #24224=FACE_OUTER_BOUND('',#43764,.T.); #24225=FACE_OUTER_BOUND('',#43765,.T.); #24226=FACE_OUTER_BOUND('',#43766,.T.); #24227=FACE_OUTER_BOUND('',#43768,.T.); #24228=FACE_OUTER_BOUND('',#43770,.T.); #24229=FACE_OUTER_BOUND('',#43771,.T.); #24230=FACE_OUTER_BOUND('',#43772,.T.); #24231=FACE_OUTER_BOUND('',#43774,.T.); #24232=FACE_OUTER_BOUND('',#43776,.T.); #24233=FACE_OUTER_BOUND('',#43777,.T.); #24234=FACE_OUTER_BOUND('',#43778,.T.); #24235=FACE_OUTER_BOUND('',#43780,.T.); #24236=FACE_OUTER_BOUND('',#43782,.T.); #24237=FACE_OUTER_BOUND('',#43783,.T.); #24238=FACE_OUTER_BOUND('',#43784,.T.); #24239=FACE_OUTER_BOUND('',#43786,.T.); #24240=FACE_OUTER_BOUND('',#43788,.T.); #24241=FACE_OUTER_BOUND('',#43789,.T.); #24242=FACE_OUTER_BOUND('',#43790,.T.); #24243=FACE_OUTER_BOUND('',#43792,.T.); #24244=FACE_OUTER_BOUND('',#43794,.T.); #24245=FACE_OUTER_BOUND('',#43795,.T.); #24246=FACE_OUTER_BOUND('',#43796,.T.); #24247=FACE_OUTER_BOUND('',#43798,.T.); #24248=FACE_OUTER_BOUND('',#43800,.T.); #24249=FACE_OUTER_BOUND('',#43801,.T.); #24250=FACE_OUTER_BOUND('',#43802,.T.); #24251=FACE_OUTER_BOUND('',#43804,.T.); #24252=FACE_OUTER_BOUND('',#43806,.T.); #24253=FACE_OUTER_BOUND('',#43807,.T.); #24254=FACE_OUTER_BOUND('',#43808,.T.); #24255=FACE_OUTER_BOUND('',#43810,.T.); #24256=FACE_OUTER_BOUND('',#43812,.T.); #24257=FACE_OUTER_BOUND('',#43813,.T.); #24258=FACE_OUTER_BOUND('',#43814,.T.); #24259=FACE_OUTER_BOUND('',#43816,.T.); #24260=FACE_OUTER_BOUND('',#43818,.T.); #24261=FACE_OUTER_BOUND('',#43819,.T.); #24262=FACE_OUTER_BOUND('',#43820,.T.); #24263=FACE_OUTER_BOUND('',#43822,.T.); #24264=FACE_OUTER_BOUND('',#43824,.T.); #24265=FACE_OUTER_BOUND('',#43825,.T.); #24266=FACE_OUTER_BOUND('',#43826,.T.); #24267=FACE_OUTER_BOUND('',#43828,.T.); #24268=FACE_OUTER_BOUND('',#43830,.T.); #24269=FACE_OUTER_BOUND('',#43831,.T.); #24270=FACE_OUTER_BOUND('',#43832,.T.); #24271=FACE_OUTER_BOUND('',#43834,.T.); #24272=FACE_OUTER_BOUND('',#43836,.T.); #24273=FACE_OUTER_BOUND('',#43837,.T.); #24274=FACE_OUTER_BOUND('',#43838,.T.); #24275=FACE_OUTER_BOUND('',#43840,.T.); #24276=FACE_OUTER_BOUND('',#43842,.T.); #24277=FACE_OUTER_BOUND('',#43843,.T.); #24278=FACE_OUTER_BOUND('',#43844,.T.); #24279=FACE_OUTER_BOUND('',#43846,.T.); #24280=FACE_OUTER_BOUND('',#43848,.T.); #24281=FACE_OUTER_BOUND('',#43849,.T.); #24282=FACE_OUTER_BOUND('',#43850,.T.); #24283=FACE_OUTER_BOUND('',#43852,.T.); #24284=FACE_OUTER_BOUND('',#43854,.T.); #24285=FACE_OUTER_BOUND('',#43855,.T.); #24286=FACE_OUTER_BOUND('',#43856,.T.); #24287=FACE_OUTER_BOUND('',#43858,.T.); #24288=FACE_OUTER_BOUND('',#43860,.T.); #24289=FACE_OUTER_BOUND('',#43861,.T.); #24290=FACE_OUTER_BOUND('',#43862,.T.); #24291=FACE_OUTER_BOUND('',#43864,.T.); #24292=FACE_OUTER_BOUND('',#43866,.T.); #24293=FACE_OUTER_BOUND('',#43867,.T.); #24294=FACE_OUTER_BOUND('',#43868,.T.); #24295=FACE_OUTER_BOUND('',#43870,.T.); #24296=FACE_OUTER_BOUND('',#43872,.T.); #24297=FACE_OUTER_BOUND('',#43873,.T.); #24298=FACE_OUTER_BOUND('',#43874,.T.); #24299=FACE_OUTER_BOUND('',#43876,.T.); #24300=FACE_OUTER_BOUND('',#43878,.T.); #24301=FACE_OUTER_BOUND('',#43879,.T.); #24302=FACE_OUTER_BOUND('',#43880,.T.); #24303=FACE_OUTER_BOUND('',#43882,.T.); #24304=FACE_OUTER_BOUND('',#43884,.T.); #24305=FACE_OUTER_BOUND('',#43885,.T.); #24306=FACE_OUTER_BOUND('',#43886,.T.); #24307=FACE_OUTER_BOUND('',#43888,.T.); #24308=FACE_OUTER_BOUND('',#43890,.T.); #24309=FACE_OUTER_BOUND('',#43891,.T.); #24310=FACE_OUTER_BOUND('',#43892,.T.); #24311=FACE_OUTER_BOUND('',#43894,.T.); #24312=FACE_OUTER_BOUND('',#43896,.T.); #24313=FACE_OUTER_BOUND('',#43897,.T.); #24314=FACE_OUTER_BOUND('',#43898,.T.); #24315=FACE_OUTER_BOUND('',#43900,.T.); #24316=FACE_OUTER_BOUND('',#43902,.T.); #24317=FACE_OUTER_BOUND('',#43903,.T.); #24318=FACE_OUTER_BOUND('',#43904,.T.); #24319=FACE_OUTER_BOUND('',#43906,.T.); #24320=FACE_OUTER_BOUND('',#43908,.T.); #24321=FACE_OUTER_BOUND('',#43909,.T.); #24322=FACE_OUTER_BOUND('',#43910,.T.); #24323=FACE_OUTER_BOUND('',#43912,.T.); #24324=FACE_OUTER_BOUND('',#43914,.T.); #24325=FACE_OUTER_BOUND('',#43915,.T.); #24326=FACE_OUTER_BOUND('',#43916,.T.); #24327=FACE_OUTER_BOUND('',#43918,.T.); #24328=FACE_OUTER_BOUND('',#43920,.T.); #24329=FACE_OUTER_BOUND('',#43921,.T.); #24330=FACE_OUTER_BOUND('',#43922,.T.); #24331=FACE_OUTER_BOUND('',#43924,.T.); #24332=FACE_OUTER_BOUND('',#43926,.T.); #24333=FACE_OUTER_BOUND('',#43927,.T.); #24334=FACE_OUTER_BOUND('',#43928,.T.); #24335=FACE_OUTER_BOUND('',#43930,.T.); #24336=FACE_OUTER_BOUND('',#43932,.T.); #24337=FACE_OUTER_BOUND('',#43933,.T.); #24338=FACE_OUTER_BOUND('',#43934,.T.); #24339=FACE_OUTER_BOUND('',#43936,.T.); #24340=FACE_OUTER_BOUND('',#43938,.T.); #24341=FACE_OUTER_BOUND('',#43939,.T.); #24342=FACE_OUTER_BOUND('',#43940,.T.); #24343=FACE_OUTER_BOUND('',#43942,.T.); #24344=FACE_OUTER_BOUND('',#43944,.T.); #24345=FACE_OUTER_BOUND('',#43945,.T.); #24346=FACE_OUTER_BOUND('',#43946,.T.); #24347=FACE_OUTER_BOUND('',#43948,.T.); #24348=FACE_OUTER_BOUND('',#43950,.T.); #24349=FACE_OUTER_BOUND('',#43951,.T.); #24350=FACE_OUTER_BOUND('',#43952,.T.); #24351=FACE_OUTER_BOUND('',#43954,.T.); #24352=FACE_OUTER_BOUND('',#43956,.T.); #24353=FACE_OUTER_BOUND('',#43957,.T.); #24354=FACE_OUTER_BOUND('',#43958,.T.); #24355=FACE_OUTER_BOUND('',#43960,.T.); #24356=FACE_OUTER_BOUND('',#43962,.T.); #24357=FACE_OUTER_BOUND('',#43963,.T.); #24358=FACE_OUTER_BOUND('',#43964,.T.); #24359=FACE_OUTER_BOUND('',#43966,.T.); #24360=FACE_OUTER_BOUND('',#43968,.T.); #24361=FACE_OUTER_BOUND('',#43969,.T.); #24362=FACE_OUTER_BOUND('',#43970,.T.); #24363=FACE_OUTER_BOUND('',#43972,.T.); #24364=FACE_OUTER_BOUND('',#43974,.T.); #24365=FACE_OUTER_BOUND('',#43975,.T.); #24366=FACE_OUTER_BOUND('',#43976,.T.); #24367=FACE_OUTER_BOUND('',#43978,.T.); #24368=FACE_OUTER_BOUND('',#43980,.T.); #24369=FACE_OUTER_BOUND('',#43981,.T.); #24370=FACE_OUTER_BOUND('',#43982,.T.); #24371=FACE_OUTER_BOUND('',#43984,.T.); #24372=FACE_OUTER_BOUND('',#43986,.T.); #24373=FACE_OUTER_BOUND('',#43987,.T.); #24374=FACE_OUTER_BOUND('',#43988,.T.); #24375=FACE_OUTER_BOUND('',#43990,.T.); #24376=FACE_OUTER_BOUND('',#43992,.T.); #24377=FACE_OUTER_BOUND('',#43993,.T.); #24378=FACE_OUTER_BOUND('',#43994,.T.); #24379=FACE_OUTER_BOUND('',#43996,.T.); #24380=FACE_OUTER_BOUND('',#43998,.T.); #24381=FACE_OUTER_BOUND('',#43999,.T.); #24382=FACE_OUTER_BOUND('',#44000,.T.); #24383=FACE_OUTER_BOUND('',#44002,.T.); #24384=FACE_OUTER_BOUND('',#44004,.T.); #24385=FACE_OUTER_BOUND('',#44005,.T.); #24386=FACE_OUTER_BOUND('',#44006,.T.); #24387=FACE_OUTER_BOUND('',#44008,.T.); #24388=FACE_OUTER_BOUND('',#44010,.T.); #24389=FACE_OUTER_BOUND('',#44011,.T.); #24390=FACE_OUTER_BOUND('',#44012,.T.); #24391=FACE_OUTER_BOUND('',#44014,.T.); #24392=FACE_OUTER_BOUND('',#44016,.T.); #24393=FACE_OUTER_BOUND('',#44017,.T.); #24394=FACE_OUTER_BOUND('',#44018,.T.); #24395=FACE_OUTER_BOUND('',#44020,.T.); #24396=FACE_OUTER_BOUND('',#44022,.T.); #24397=FACE_OUTER_BOUND('',#44023,.T.); #24398=FACE_OUTER_BOUND('',#44024,.T.); #24399=FACE_OUTER_BOUND('',#44026,.T.); #24400=FACE_OUTER_BOUND('',#44028,.T.); #24401=FACE_OUTER_BOUND('',#44029,.T.); #24402=FACE_OUTER_BOUND('',#44030,.T.); #24403=FACE_OUTER_BOUND('',#44032,.T.); #24404=FACE_OUTER_BOUND('',#44034,.T.); #24405=FACE_OUTER_BOUND('',#44035,.T.); #24406=FACE_OUTER_BOUND('',#44036,.T.); #24407=FACE_OUTER_BOUND('',#44038,.T.); #24408=FACE_OUTER_BOUND('',#44040,.T.); #24409=FACE_OUTER_BOUND('',#44041,.T.); #24410=FACE_OUTER_BOUND('',#44042,.T.); #24411=FACE_OUTER_BOUND('',#44044,.T.); #24412=FACE_OUTER_BOUND('',#44046,.T.); #24413=FACE_OUTER_BOUND('',#44047,.T.); #24414=FACE_OUTER_BOUND('',#44048,.T.); #24415=FACE_OUTER_BOUND('',#44050,.T.); #24416=FACE_OUTER_BOUND('',#44052,.T.); #24417=FACE_OUTER_BOUND('',#44053,.T.); #24418=FACE_OUTER_BOUND('',#44054,.T.); #24419=FACE_OUTER_BOUND('',#44056,.T.); #24420=FACE_OUTER_BOUND('',#44058,.T.); #24421=FACE_OUTER_BOUND('',#44059,.T.); #24422=FACE_OUTER_BOUND('',#44060,.T.); #24423=FACE_OUTER_BOUND('',#44062,.T.); #24424=FACE_OUTER_BOUND('',#44064,.T.); #24425=FACE_OUTER_BOUND('',#44065,.T.); #24426=FACE_OUTER_BOUND('',#44066,.T.); #24427=FACE_OUTER_BOUND('',#44068,.T.); #24428=FACE_OUTER_BOUND('',#44070,.T.); #24429=FACE_OUTER_BOUND('',#44071,.T.); #24430=FACE_OUTER_BOUND('',#44072,.T.); #24431=FACE_OUTER_BOUND('',#44074,.T.); #24432=FACE_OUTER_BOUND('',#44076,.T.); #24433=FACE_OUTER_BOUND('',#44077,.T.); #24434=FACE_OUTER_BOUND('',#44078,.T.); #24435=FACE_OUTER_BOUND('',#44080,.T.); #24436=FACE_OUTER_BOUND('',#44082,.T.); #24437=FACE_OUTER_BOUND('',#44083,.T.); #24438=FACE_OUTER_BOUND('',#44084,.T.); #24439=FACE_OUTER_BOUND('',#44086,.T.); #24440=FACE_OUTER_BOUND('',#44088,.T.); #24441=FACE_OUTER_BOUND('',#44089,.T.); #24442=FACE_OUTER_BOUND('',#44090,.T.); #24443=FACE_OUTER_BOUND('',#44092,.T.); #24444=FACE_OUTER_BOUND('',#44094,.T.); #24445=FACE_OUTER_BOUND('',#44095,.T.); #24446=FACE_OUTER_BOUND('',#44096,.T.); #24447=FACE_OUTER_BOUND('',#44098,.T.); #24448=FACE_OUTER_BOUND('',#44100,.T.); #24449=FACE_OUTER_BOUND('',#44101,.T.); #24450=FACE_OUTER_BOUND('',#44102,.T.); #24451=FACE_OUTER_BOUND('',#44104,.T.); #24452=FACE_OUTER_BOUND('',#44106,.T.); #24453=FACE_OUTER_BOUND('',#44107,.T.); #24454=FACE_OUTER_BOUND('',#44108,.T.); #24455=FACE_OUTER_BOUND('',#44110,.T.); #24456=FACE_OUTER_BOUND('',#44112,.T.); #24457=FACE_OUTER_BOUND('',#44113,.T.); #24458=FACE_OUTER_BOUND('',#44114,.T.); #24459=FACE_OUTER_BOUND('',#44116,.T.); #24460=FACE_OUTER_BOUND('',#44118,.T.); #24461=FACE_OUTER_BOUND('',#44119,.T.); #24462=FACE_OUTER_BOUND('',#44120,.T.); #24463=FACE_OUTER_BOUND('',#44122,.T.); #24464=FACE_OUTER_BOUND('',#44124,.T.); #24465=FACE_OUTER_BOUND('',#44125,.T.); #24466=FACE_OUTER_BOUND('',#44126,.T.); #24467=FACE_OUTER_BOUND('',#44128,.T.); #24468=FACE_OUTER_BOUND('',#44130,.T.); #24469=FACE_OUTER_BOUND('',#44131,.T.); #24470=FACE_OUTER_BOUND('',#44132,.T.); #24471=FACE_OUTER_BOUND('',#44134,.T.); #24472=FACE_OUTER_BOUND('',#44136,.T.); #24473=FACE_OUTER_BOUND('',#44137,.T.); #24474=FACE_OUTER_BOUND('',#44138,.T.); #24475=FACE_OUTER_BOUND('',#44140,.T.); #24476=FACE_OUTER_BOUND('',#44142,.T.); #24477=FACE_OUTER_BOUND('',#44143,.T.); #24478=FACE_OUTER_BOUND('',#44144,.T.); #24479=FACE_OUTER_BOUND('',#44146,.T.); #24480=FACE_OUTER_BOUND('',#44148,.T.); #24481=FACE_OUTER_BOUND('',#44149,.T.); #24482=FACE_OUTER_BOUND('',#44150,.T.); #24483=FACE_OUTER_BOUND('',#44152,.T.); #24484=FACE_OUTER_BOUND('',#44154,.T.); #24485=FACE_OUTER_BOUND('',#44155,.T.); #24486=FACE_OUTER_BOUND('',#44156,.T.); #24487=FACE_OUTER_BOUND('',#44158,.T.); #24488=FACE_OUTER_BOUND('',#44160,.T.); #24489=FACE_OUTER_BOUND('',#44161,.T.); #24490=FACE_OUTER_BOUND('',#44162,.T.); #24491=FACE_OUTER_BOUND('',#44164,.T.); #24492=FACE_OUTER_BOUND('',#44166,.T.); #24493=FACE_OUTER_BOUND('',#44167,.T.); #24494=FACE_OUTER_BOUND('',#44168,.T.); #24495=FACE_OUTER_BOUND('',#44170,.T.); #24496=FACE_OUTER_BOUND('',#44172,.T.); #24497=FACE_OUTER_BOUND('',#44173,.T.); #24498=FACE_OUTER_BOUND('',#44174,.T.); #24499=FACE_OUTER_BOUND('',#44175,.T.); #24500=FACE_OUTER_BOUND('',#44176,.T.); #24501=FACE_OUTER_BOUND('',#44178,.T.); #24502=FACE_OUTER_BOUND('',#44180,.T.); #24503=FACE_OUTER_BOUND('',#44181,.T.); #24504=FACE_OUTER_BOUND('',#44182,.T.); #24505=FACE_OUTER_BOUND('',#44183,.T.); #24506=FACE_OUTER_BOUND('',#44184,.T.); #24507=FACE_OUTER_BOUND('',#44185,.T.); #24508=FACE_OUTER_BOUND('',#44186,.T.); #24509=FACE_OUTER_BOUND('',#44187,.T.); #24510=FACE_OUTER_BOUND('',#44188,.T.); #24511=FACE_OUTER_BOUND('',#44189,.T.); #24512=FACE_OUTER_BOUND('',#44190,.T.); #24513=FACE_OUTER_BOUND('',#44191,.T.); #24514=FACE_OUTER_BOUND('',#44192,.T.); #24515=FACE_OUTER_BOUND('',#44193,.T.); #24516=FACE_OUTER_BOUND('',#44194,.T.); #24517=FACE_OUTER_BOUND('',#44195,.T.); #24518=FACE_OUTER_BOUND('',#44196,.T.); #24519=FACE_OUTER_BOUND('',#44197,.T.); #24520=FACE_OUTER_BOUND('',#44198,.T.); #24521=FACE_OUTER_BOUND('',#44199,.T.); #24522=FACE_OUTER_BOUND('',#44200,.T.); #24523=FACE_OUTER_BOUND('',#44201,.T.); #24524=FACE_OUTER_BOUND('',#44202,.T.); #24525=FACE_OUTER_BOUND('',#44203,.T.); #24526=FACE_OUTER_BOUND('',#44204,.T.); #24527=FACE_OUTER_BOUND('',#44205,.T.); #24528=FACE_OUTER_BOUND('',#44206,.T.); #24529=FACE_OUTER_BOUND('',#44207,.T.); #24530=FACE_OUTER_BOUND('',#44208,.T.); #24531=FACE_OUTER_BOUND('',#44209,.T.); #24532=FACE_OUTER_BOUND('',#44210,.T.); #24533=FACE_OUTER_BOUND('',#44211,.T.); #24534=FACE_OUTER_BOUND('',#44212,.T.); #24535=FACE_OUTER_BOUND('',#44213,.T.); #24536=FACE_OUTER_BOUND('',#44214,.T.); #24537=FACE_OUTER_BOUND('',#44215,.T.); #24538=FACE_OUTER_BOUND('',#44216,.T.); #24539=FACE_OUTER_BOUND('',#44217,.T.); #24540=FACE_OUTER_BOUND('',#44218,.T.); #24541=FACE_OUTER_BOUND('',#44219,.T.); #24542=FACE_OUTER_BOUND('',#44220,.T.); #24543=FACE_OUTER_BOUND('',#44221,.T.); #24544=FACE_OUTER_BOUND('',#44222,.T.); #24545=FACE_OUTER_BOUND('',#44223,.T.); #24546=FACE_OUTER_BOUND('',#44224,.T.); #24547=FACE_OUTER_BOUND('',#44225,.T.); #24548=FACE_OUTER_BOUND('',#44226,.T.); #24549=FACE_OUTER_BOUND('',#44227,.T.); #24550=FACE_OUTER_BOUND('',#44228,.T.); #24551=FACE_OUTER_BOUND('',#44229,.T.); #24552=FACE_OUTER_BOUND('',#44230,.T.); #24553=FACE_OUTER_BOUND('',#44231,.T.); #24554=FACE_OUTER_BOUND('',#44232,.T.); #24555=FACE_OUTER_BOUND('',#44233,.T.); #24556=FACE_OUTER_BOUND('',#44234,.T.); #24557=FACE_OUTER_BOUND('',#44235,.T.); #24558=FACE_OUTER_BOUND('',#44236,.T.); #24559=FACE_OUTER_BOUND('',#44237,.T.); #24560=FACE_OUTER_BOUND('',#44238,.T.); #24561=FACE_OUTER_BOUND('',#44239,.T.); #24562=FACE_OUTER_BOUND('',#44240,.T.); #24563=FACE_OUTER_BOUND('',#44241,.T.); #24564=FACE_OUTER_BOUND('',#44242,.T.); #24565=FACE_OUTER_BOUND('',#44243,.T.); #24566=FACE_OUTER_BOUND('',#44244,.T.); #24567=FACE_OUTER_BOUND('',#44246,.T.); #24568=FACE_OUTER_BOUND('',#44248,.T.); #24569=FACE_OUTER_BOUND('',#44249,.T.); #24570=FACE_OUTER_BOUND('',#44250,.T.); #24571=FACE_OUTER_BOUND('',#44251,.T.); #24572=FACE_OUTER_BOUND('',#44252,.T.); #24573=FACE_OUTER_BOUND('',#44253,.T.); #24574=FACE_OUTER_BOUND('',#44254,.T.); #24575=FACE_OUTER_BOUND('',#44255,.T.); #24576=FACE_OUTER_BOUND('',#44256,.T.); #24577=FACE_OUTER_BOUND('',#44257,.T.); #24578=FACE_OUTER_BOUND('',#44258,.T.); #24579=FACE_OUTER_BOUND('',#44261,.T.); #24580=FACE_OUTER_BOUND('',#44264,.T.); #24581=FACE_OUTER_BOUND('',#44265,.T.); #24582=FACE_OUTER_BOUND('',#44266,.T.); #24583=FACE_OUTER_BOUND('',#44267,.T.); #24584=FACE_OUTER_BOUND('',#44268,.T.); #24585=FACE_OUTER_BOUND('',#44270,.T.); #24586=FACE_OUTER_BOUND('',#44272,.T.); #24587=FACE_OUTER_BOUND('',#44273,.T.); #24588=FACE_OUTER_BOUND('',#44274,.T.); #24589=FACE_OUTER_BOUND('',#44275,.T.); #24590=FACE_OUTER_BOUND('',#44276,.T.); #24591=FACE_OUTER_BOUND('',#44278,.T.); #24592=FACE_OUTER_BOUND('',#44280,.T.); #24593=FACE_OUTER_BOUND('',#44281,.T.); #24594=FACE_OUTER_BOUND('',#44282,.T.); #24595=FACE_OUTER_BOUND('',#44283,.T.); #24596=FACE_OUTER_BOUND('',#44284,.T.); #24597=FACE_OUTER_BOUND('',#44285,.T.); #24598=FACE_OUTER_BOUND('',#44286,.T.); #24599=FACE_OUTER_BOUND('',#44287,.T.); #24600=FACE_OUTER_BOUND('',#44288,.T.); #24601=FACE_OUTER_BOUND('',#44289,.T.); #24602=FACE_OUTER_BOUND('',#44290,.T.); #24603=FACE_OUTER_BOUND('',#44291,.T.); #24604=FACE_OUTER_BOUND('',#44292,.T.); #24605=FACE_OUTER_BOUND('',#44293,.T.); #24606=FACE_OUTER_BOUND('',#44294,.T.); #24607=FACE_OUTER_BOUND('',#44296,.T.); #24608=FACE_OUTER_BOUND('',#44298,.T.); #24609=FACE_OUTER_BOUND('',#44299,.T.); #24610=FACE_OUTER_BOUND('',#44300,.T.); #24611=FACE_OUTER_BOUND('',#44301,.T.); #24612=FACE_OUTER_BOUND('',#44302,.T.); #24613=FACE_OUTER_BOUND('',#44303,.T.); #24614=FACE_OUTER_BOUND('',#44304,.T.); #24615=FACE_OUTER_BOUND('',#44305,.T.); #24616=FACE_OUTER_BOUND('',#44306,.T.); #24617=FACE_OUTER_BOUND('',#44307,.T.); #24618=FACE_OUTER_BOUND('',#44308,.T.); #24619=FACE_OUTER_BOUND('',#44309,.T.); #24620=FACE_OUTER_BOUND('',#44311,.T.); #24621=FACE_OUTER_BOUND('',#44313,.T.); #24622=FACE_OUTER_BOUND('',#44314,.T.); #24623=FACE_OUTER_BOUND('',#44315,.T.); #24624=FACE_OUTER_BOUND('',#44316,.T.); #24625=FACE_OUTER_BOUND('',#44317,.T.); #24626=FACE_OUTER_BOUND('',#44318,.T.); #24627=FACE_OUTER_BOUND('',#44319,.T.); #24628=FACE_OUTER_BOUND('',#44320,.T.); #24629=FACE_OUTER_BOUND('',#44321,.T.); #24630=FACE_OUTER_BOUND('',#44322,.T.); #24631=FACE_OUTER_BOUND('',#44323,.T.); #24632=FACE_OUTER_BOUND('',#44324,.T.); #24633=FACE_OUTER_BOUND('',#44325,.T.); #24634=FACE_OUTER_BOUND('',#44326,.T.); #24635=FACE_OUTER_BOUND('',#44327,.T.); #24636=FACE_OUTER_BOUND('',#44328,.T.); #24637=FACE_OUTER_BOUND('',#44329,.T.); #24638=FACE_OUTER_BOUND('',#44330,.T.); #24639=FACE_OUTER_BOUND('',#44331,.T.); #24640=FACE_OUTER_BOUND('',#44332,.T.); #24641=FACE_OUTER_BOUND('',#44333,.T.); #24642=FACE_OUTER_BOUND('',#44334,.T.); #24643=FACE_OUTER_BOUND('',#44335,.T.); #24644=FACE_OUTER_BOUND('',#44336,.T.); #24645=FACE_OUTER_BOUND('',#44337,.T.); #24646=FACE_OUTER_BOUND('',#44338,.T.); #24647=FACE_OUTER_BOUND('',#44339,.T.); #24648=FACE_OUTER_BOUND('',#44340,.T.); #24649=FACE_OUTER_BOUND('',#44341,.T.); #24650=FACE_OUTER_BOUND('',#44342,.T.); #24651=FACE_OUTER_BOUND('',#44343,.T.); #24652=FACE_OUTER_BOUND('',#44344,.T.); #24653=FACE_OUTER_BOUND('',#44345,.T.); #24654=FACE_OUTER_BOUND('',#44346,.T.); #24655=FACE_OUTER_BOUND('',#44347,.T.); #24656=FACE_OUTER_BOUND('',#44348,.T.); #24657=FACE_OUTER_BOUND('',#44349,.T.); #24658=FACE_OUTER_BOUND('',#44350,.T.); #24659=FACE_OUTER_BOUND('',#44351,.T.); #24660=FACE_OUTER_BOUND('',#44352,.T.); #24661=FACE_OUTER_BOUND('',#44353,.T.); #24662=FACE_OUTER_BOUND('',#44354,.T.); #24663=FACE_OUTER_BOUND('',#44355,.T.); #24664=FACE_OUTER_BOUND('',#44356,.T.); #24665=FACE_OUTER_BOUND('',#44357,.T.); #24666=FACE_OUTER_BOUND('',#44358,.T.); #24667=FACE_OUTER_BOUND('',#44359,.T.); #24668=FACE_OUTER_BOUND('',#44360,.T.); #24669=FACE_OUTER_BOUND('',#44361,.T.); #24670=FACE_OUTER_BOUND('',#44362,.T.); #24671=FACE_OUTER_BOUND('',#44363,.T.); #24672=FACE_OUTER_BOUND('',#44364,.T.); #24673=FACE_OUTER_BOUND('',#44365,.T.); #24674=FACE_OUTER_BOUND('',#44366,.T.); #24675=FACE_OUTER_BOUND('',#44367,.T.); #24676=FACE_OUTER_BOUND('',#44368,.T.); #24677=FACE_OUTER_BOUND('',#44369,.T.); #24678=FACE_OUTER_BOUND('',#44370,.T.); #24679=FACE_OUTER_BOUND('',#44371,.T.); #24680=FACE_OUTER_BOUND('',#44372,.T.); #24681=FACE_OUTER_BOUND('',#44373,.T.); #24682=FACE_OUTER_BOUND('',#44374,.T.); #24683=FACE_OUTER_BOUND('',#44375,.T.); #24684=FACE_OUTER_BOUND('',#44376,.T.); #24685=FACE_OUTER_BOUND('',#44377,.T.); #24686=FACE_OUTER_BOUND('',#44378,.T.); #24687=FACE_OUTER_BOUND('',#44379,.T.); #24688=FACE_OUTER_BOUND('',#44380,.T.); #24689=FACE_OUTER_BOUND('',#44381,.T.); #24690=FACE_OUTER_BOUND('',#44382,.T.); #24691=FACE_OUTER_BOUND('',#44383,.T.); #24692=FACE_OUTER_BOUND('',#44384,.T.); #24693=FACE_OUTER_BOUND('',#44385,.T.); #24694=FACE_OUTER_BOUND('',#44386,.T.); #24695=FACE_OUTER_BOUND('',#44387,.T.); #24696=FACE_OUTER_BOUND('',#44388,.T.); #24697=FACE_OUTER_BOUND('',#44389,.T.); #24698=FACE_OUTER_BOUND('',#44390,.T.); #24699=FACE_OUTER_BOUND('',#44391,.T.); #24700=FACE_OUTER_BOUND('',#44392,.T.); #24701=FACE_OUTER_BOUND('',#44393,.T.); #24702=FACE_OUTER_BOUND('',#44394,.T.); #24703=FACE_OUTER_BOUND('',#44395,.T.); #24704=FACE_OUTER_BOUND('',#44396,.T.); #24705=FACE_OUTER_BOUND('',#44397,.T.); #24706=FACE_OUTER_BOUND('',#44398,.T.); #24707=FACE_OUTER_BOUND('',#44399,.T.); #24708=FACE_OUTER_BOUND('',#44400,.T.); #24709=FACE_OUTER_BOUND('',#44401,.T.); #24710=FACE_OUTER_BOUND('',#44402,.T.); #24711=FACE_OUTER_BOUND('',#44403,.T.); #24712=FACE_OUTER_BOUND('',#44404,.T.); #24713=FACE_OUTER_BOUND('',#44405,.T.); #24714=FACE_OUTER_BOUND('',#44406,.T.); #24715=FACE_OUTER_BOUND('',#44407,.T.); #24716=FACE_OUTER_BOUND('',#44408,.T.); #24717=FACE_OUTER_BOUND('',#44409,.T.); #24718=FACE_OUTER_BOUND('',#44410,.T.); #24719=FACE_OUTER_BOUND('',#44411,.T.); #24720=FACE_OUTER_BOUND('',#44412,.T.); #24721=FACE_OUTER_BOUND('',#44413,.T.); #24722=FACE_OUTER_BOUND('',#44414,.T.); #24723=FACE_OUTER_BOUND('',#44415,.T.); #24724=FACE_OUTER_BOUND('',#44416,.T.); #24725=FACE_OUTER_BOUND('',#44417,.T.); #24726=FACE_OUTER_BOUND('',#44418,.T.); #24727=FACE_OUTER_BOUND('',#44419,.T.); #24728=FACE_OUTER_BOUND('',#44420,.T.); #24729=FACE_OUTER_BOUND('',#44421,.T.); #24730=FACE_OUTER_BOUND('',#44422,.T.); #24731=FACE_OUTER_BOUND('',#44423,.T.); #24732=FACE_OUTER_BOUND('',#44424,.T.); #24733=FACE_OUTER_BOUND('',#44425,.T.); #24734=FACE_OUTER_BOUND('',#44426,.T.); #24735=FACE_OUTER_BOUND('',#44427,.T.); #24736=FACE_OUTER_BOUND('',#44428,.T.); #24737=FACE_OUTER_BOUND('',#44429,.T.); #24738=FACE_OUTER_BOUND('',#44430,.T.); #24739=FACE_OUTER_BOUND('',#44431,.T.); #24740=FACE_OUTER_BOUND('',#44432,.T.); #24741=FACE_OUTER_BOUND('',#44433,.T.); #24742=FACE_OUTER_BOUND('',#44434,.T.); #24743=FACE_OUTER_BOUND('',#44436,.T.); #24744=FACE_OUTER_BOUND('',#44438,.T.); #24745=FACE_OUTER_BOUND('',#44439,.T.); #24746=FACE_OUTER_BOUND('',#44440,.T.); #24747=FACE_OUTER_BOUND('',#44441,.T.); #24748=FACE_OUTER_BOUND('',#44442,.T.); #24749=FACE_OUTER_BOUND('',#44443,.T.); #24750=FACE_OUTER_BOUND('',#44444,.T.); #24751=FACE_OUTER_BOUND('',#44445,.T.); #24752=FACE_OUTER_BOUND('',#44446,.T.); #24753=FACE_OUTER_BOUND('',#44447,.T.); #24754=FACE_OUTER_BOUND('',#44448,.T.); #24755=FACE_OUTER_BOUND('',#44449,.T.); #24756=FACE_OUTER_BOUND('',#44450,.T.); #24757=FACE_OUTER_BOUND('',#44451,.T.); #24758=FACE_OUTER_BOUND('',#44452,.T.); #24759=FACE_OUTER_BOUND('',#44453,.T.); #24760=FACE_OUTER_BOUND('',#44454,.T.); #24761=FACE_OUTER_BOUND('',#44455,.T.); #24762=FACE_OUTER_BOUND('',#44457,.T.); #24763=FACE_OUTER_BOUND('',#44459,.T.); #24764=FACE_OUTER_BOUND('',#44460,.T.); #24765=FACE_OUTER_BOUND('',#44461,.T.); #24766=FACE_OUTER_BOUND('',#44462,.T.); #24767=FACE_OUTER_BOUND('',#44463,.T.); #24768=FACE_OUTER_BOUND('',#44464,.T.); #24769=FACE_OUTER_BOUND('',#44465,.T.); #24770=FACE_OUTER_BOUND('',#44466,.T.); #24771=FACE_OUTER_BOUND('',#44467,.T.); #24772=FACE_OUTER_BOUND('',#44468,.T.); #24773=FACE_OUTER_BOUND('',#44469,.T.); #24774=FACE_OUTER_BOUND('',#44470,.T.); #24775=FACE_OUTER_BOUND('',#44471,.T.); #24776=FACE_OUTER_BOUND('',#44472,.T.); #24777=FACE_OUTER_BOUND('',#44474,.T.); #24778=FACE_OUTER_BOUND('',#44476,.T.); #24779=FACE_OUTER_BOUND('',#44477,.T.); #24780=FACE_OUTER_BOUND('',#44478,.T.); #24781=FACE_OUTER_BOUND('',#44479,.T.); #24782=FACE_OUTER_BOUND('',#44480,.T.); #24783=FACE_OUTER_BOUND('',#44481,.T.); #24784=FACE_OUTER_BOUND('',#44482,.T.); #24785=FACE_OUTER_BOUND('',#44483,.T.); #24786=FACE_OUTER_BOUND('',#44484,.T.); #24787=FACE_OUTER_BOUND('',#44485,.T.); #24788=FACE_OUTER_BOUND('',#44486,.T.); #24789=FACE_OUTER_BOUND('',#44487,.T.); #24790=FACE_OUTER_BOUND('',#44488,.T.); #24791=FACE_OUTER_BOUND('',#44489,.T.); #24792=FACE_OUTER_BOUND('',#44490,.T.); #24793=FACE_OUTER_BOUND('',#44491,.T.); #24794=FACE_OUTER_BOUND('',#44493,.T.); #24795=FACE_OUTER_BOUND('',#44495,.T.); #24796=FACE_OUTER_BOUND('',#44496,.T.); #24797=FACE_OUTER_BOUND('',#44497,.T.); #24798=FACE_OUTER_BOUND('',#44498,.T.); #24799=FACE_OUTER_BOUND('',#44499,.T.); #24800=FACE_OUTER_BOUND('',#44500,.T.); #24801=FACE_OUTER_BOUND('',#44501,.T.); #24802=FACE_OUTER_BOUND('',#44502,.T.); #24803=FACE_OUTER_BOUND('',#44503,.T.); #24804=FACE_OUTER_BOUND('',#44504,.T.); #24805=FACE_OUTER_BOUND('',#44505,.T.); #24806=FACE_OUTER_BOUND('',#44508,.T.); #24807=FACE_OUTER_BOUND('',#44511,.T.); #24808=FACE_OUTER_BOUND('',#44512,.T.); #24809=FACE_OUTER_BOUND('',#44513,.T.); #24810=FACE_OUTER_BOUND('',#44514,.T.); #24811=FACE_OUTER_BOUND('',#44515,.T.); #24812=FACE_OUTER_BOUND('',#44516,.T.); #24813=FACE_OUTER_BOUND('',#44517,.T.); #24814=FACE_OUTER_BOUND('',#44518,.T.); #24815=FACE_OUTER_BOUND('',#44519,.T.); #24816=FACE_OUTER_BOUND('',#44520,.T.); #24817=FACE_OUTER_BOUND('',#44521,.T.); #24818=FACE_OUTER_BOUND('',#44522,.T.); #24819=FACE_OUTER_BOUND('',#44523,.T.); #24820=FACE_OUTER_BOUND('',#44524,.T.); #24821=FACE_OUTER_BOUND('',#44525,.T.); #24822=FACE_OUTER_BOUND('',#44526,.T.); #24823=FACE_OUTER_BOUND('',#44527,.T.); #24824=FACE_OUTER_BOUND('',#44528,.T.); #24825=FACE_OUTER_BOUND('',#44530,.T.); #24826=FACE_OUTER_BOUND('',#44532,.T.); #24827=FACE_OUTER_BOUND('',#44533,.T.); #24828=FACE_OUTER_BOUND('',#44534,.T.); #24829=FACE_OUTER_BOUND('',#44535,.T.); #24830=FACE_OUTER_BOUND('',#44536,.T.); #24831=FACE_OUTER_BOUND('',#44537,.T.); #24832=FACE_OUTER_BOUND('',#44538,.T.); #24833=FACE_OUTER_BOUND('',#44539,.T.); #24834=FACE_OUTER_BOUND('',#44540,.T.); #24835=FACE_OUTER_BOUND('',#44541,.T.); #24836=FACE_OUTER_BOUND('',#44542,.T.); #24837=FACE_OUTER_BOUND('',#44543,.T.); #24838=FACE_OUTER_BOUND('',#44544,.T.); #24839=FACE_OUTER_BOUND('',#44545,.T.); #24840=FACE_OUTER_BOUND('',#44546,.T.); #24841=FACE_OUTER_BOUND('',#44547,.T.); #24842=FACE_OUTER_BOUND('',#44548,.T.); #24843=FACE_OUTER_BOUND('',#44549,.T.); #24844=FACE_OUTER_BOUND('',#44550,.T.); #24845=FACE_OUTER_BOUND('',#44551,.T.); #24846=FACE_OUTER_BOUND('',#44552,.T.); #24847=FACE_OUTER_BOUND('',#44553,.T.); #24848=FACE_OUTER_BOUND('',#44554,.T.); #24849=FACE_OUTER_BOUND('',#44555,.T.); #24850=FACE_OUTER_BOUND('',#44557,.T.); #24851=FACE_OUTER_BOUND('',#44559,.T.); #24852=FACE_OUTER_BOUND('',#44560,.T.); #24853=FACE_OUTER_BOUND('',#44561,.T.); #24854=FACE_OUTER_BOUND('',#44562,.T.); #24855=FACE_OUTER_BOUND('',#44563,.T.); #24856=FACE_OUTER_BOUND('',#44564,.T.); #24857=FACE_OUTER_BOUND('',#44565,.T.); #24858=FACE_OUTER_BOUND('',#44566,.T.); #24859=FACE_OUTER_BOUND('',#44567,.T.); #24860=FACE_OUTER_BOUND('',#44568,.T.); #24861=FACE_OUTER_BOUND('',#44569,.T.); #24862=FACE_OUTER_BOUND('',#44570,.T.); #24863=FACE_OUTER_BOUND('',#44571,.T.); #24864=FACE_OUTER_BOUND('',#44572,.T.); #24865=FACE_OUTER_BOUND('',#44573,.T.); #24866=FACE_OUTER_BOUND('',#44574,.T.); #24867=FACE_OUTER_BOUND('',#44575,.T.); #24868=FACE_OUTER_BOUND('',#44576,.T.); #24869=FACE_OUTER_BOUND('',#44577,.T.); #24870=FACE_OUTER_BOUND('',#44578,.T.); #24871=FACE_OUTER_BOUND('',#44579,.T.); #24872=FACE_OUTER_BOUND('',#44580,.T.); #24873=FACE_OUTER_BOUND('',#44581,.T.); #24874=FACE_OUTER_BOUND('',#44582,.T.); #24875=FACE_OUTER_BOUND('',#44583,.T.); #24876=FACE_OUTER_BOUND('',#44584,.T.); #24877=FACE_OUTER_BOUND('',#44585,.T.); #24878=FACE_OUTER_BOUND('',#44586,.T.); #24879=FACE_OUTER_BOUND('',#44587,.T.); #24880=FACE_OUTER_BOUND('',#44588,.T.); #24881=FACE_OUTER_BOUND('',#44589,.T.); #24882=FACE_OUTER_BOUND('',#44590,.T.); #24883=FACE_OUTER_BOUND('',#44591,.T.); #24884=FACE_OUTER_BOUND('',#44592,.T.); #24885=FACE_OUTER_BOUND('',#44593,.T.); #24886=FACE_OUTER_BOUND('',#44594,.T.); #24887=FACE_OUTER_BOUND('',#44595,.T.); #24888=FACE_OUTER_BOUND('',#44596,.T.); #24889=FACE_OUTER_BOUND('',#44597,.T.); #24890=FACE_OUTER_BOUND('',#44598,.T.); #24891=FACE_OUTER_BOUND('',#44599,.T.); #24892=FACE_OUTER_BOUND('',#44600,.T.); #24893=FACE_OUTER_BOUND('',#44601,.T.); #24894=FACE_OUTER_BOUND('',#44602,.T.); #24895=FACE_OUTER_BOUND('',#44603,.T.); #24896=FACE_OUTER_BOUND('',#44604,.T.); #24897=FACE_OUTER_BOUND('',#44605,.T.); #24898=FACE_OUTER_BOUND('',#44606,.T.); #24899=FACE_OUTER_BOUND('',#44607,.T.); #24900=FACE_OUTER_BOUND('',#44608,.T.); #24901=FACE_OUTER_BOUND('',#44609,.T.); #24902=FACE_OUTER_BOUND('',#44610,.T.); #24903=FACE_OUTER_BOUND('',#44611,.T.); #24904=FACE_OUTER_BOUND('',#44612,.T.); #24905=FACE_OUTER_BOUND('',#44614,.T.); #24906=FACE_OUTER_BOUND('',#44616,.T.); #24907=FACE_OUTER_BOUND('',#44617,.T.); #24908=FACE_OUTER_BOUND('',#44618,.T.); #24909=FACE_OUTER_BOUND('',#44619,.T.); #24910=FACE_OUTER_BOUND('',#44620,.T.); #24911=FACE_OUTER_BOUND('',#44621,.T.); #24912=FACE_OUTER_BOUND('',#44622,.T.); #24913=FACE_OUTER_BOUND('',#44623,.T.); #24914=FACE_OUTER_BOUND('',#44624,.T.); #24915=FACE_OUTER_BOUND('',#44625,.T.); #24916=FACE_OUTER_BOUND('',#44626,.T.); #24917=FACE_OUTER_BOUND('',#44627,.T.); #24918=FACE_OUTER_BOUND('',#44628,.T.); #24919=FACE_OUTER_BOUND('',#44630,.T.); #24920=FACE_OUTER_BOUND('',#44632,.T.); #24921=FACE_OUTER_BOUND('',#44633,.T.); #24922=FACE_OUTER_BOUND('',#44634,.T.); #24923=FACE_OUTER_BOUND('',#44635,.T.); #24924=FACE_OUTER_BOUND('',#44636,.T.); #24925=FACE_OUTER_BOUND('',#44637,.T.); #24926=FACE_OUTER_BOUND('',#44638,.T.); #24927=FACE_OUTER_BOUND('',#44639,.T.); #24928=FACE_OUTER_BOUND('',#44640,.T.); #24929=FACE_OUTER_BOUND('',#44641,.T.); #24930=FACE_OUTER_BOUND('',#44642,.T.); #24931=FACE_OUTER_BOUND('',#44643,.T.); #24932=FACE_OUTER_BOUND('',#44644,.T.); #24933=FACE_OUTER_BOUND('',#44645,.T.); #24934=FACE_OUTER_BOUND('',#44646,.T.); #24935=FACE_OUTER_BOUND('',#44647,.T.); #24936=FACE_OUTER_BOUND('',#44648,.T.); #24937=FACE_OUTER_BOUND('',#44649,.T.); #24938=FACE_OUTER_BOUND('',#44650,.T.); #24939=FACE_OUTER_BOUND('',#44651,.T.); #24940=FACE_OUTER_BOUND('',#44652,.T.); #24941=FACE_OUTER_BOUND('',#44653,.T.); #24942=FACE_OUTER_BOUND('',#44654,.T.); #24943=FACE_OUTER_BOUND('',#44655,.T.); #24944=FACE_OUTER_BOUND('',#44656,.T.); #24945=FACE_OUTER_BOUND('',#44657,.T.); #24946=FACE_OUTER_BOUND('',#44658,.T.); #24947=FACE_OUTER_BOUND('',#44659,.T.); #24948=FACE_OUTER_BOUND('',#44660,.T.); #24949=FACE_OUTER_BOUND('',#44661,.T.); #24950=FACE_OUTER_BOUND('',#44662,.T.); #24951=FACE_OUTER_BOUND('',#44663,.T.); #24952=FACE_OUTER_BOUND('',#44664,.T.); #24953=FACE_OUTER_BOUND('',#44665,.T.); #24954=FACE_OUTER_BOUND('',#44666,.T.); #24955=FACE_OUTER_BOUND('',#44667,.T.); #24956=FACE_OUTER_BOUND('',#44668,.T.); #24957=FACE_OUTER_BOUND('',#44669,.T.); #24958=FACE_OUTER_BOUND('',#44670,.T.); #24959=FACE_OUTER_BOUND('',#44671,.T.); #24960=FACE_OUTER_BOUND('',#44672,.T.); #24961=FACE_OUTER_BOUND('',#44673,.T.); #24962=FACE_OUTER_BOUND('',#44674,.T.); #24963=FACE_OUTER_BOUND('',#44675,.T.); #24964=FACE_OUTER_BOUND('',#44676,.T.); #24965=FACE_OUTER_BOUND('',#44677,.T.); #24966=FACE_OUTER_BOUND('',#44678,.T.); #24967=FACE_OUTER_BOUND('',#44679,.T.); #24968=FACE_OUTER_BOUND('',#44680,.T.); #24969=FACE_OUTER_BOUND('',#44681,.T.); #24970=FACE_OUTER_BOUND('',#44682,.T.); #24971=FACE_OUTER_BOUND('',#44683,.T.); #24972=FACE_OUTER_BOUND('',#44684,.T.); #24973=FACE_OUTER_BOUND('',#44685,.T.); #24974=FACE_OUTER_BOUND('',#44686,.T.); #24975=FACE_OUTER_BOUND('',#44687,.T.); #24976=FACE_OUTER_BOUND('',#44688,.T.); #24977=FACE_OUTER_BOUND('',#44689,.T.); #24978=FACE_OUTER_BOUND('',#44690,.T.); #24979=FACE_OUTER_BOUND('',#44691,.T.); #24980=FACE_OUTER_BOUND('',#44692,.T.); #24981=FACE_OUTER_BOUND('',#44693,.T.); #24982=FACE_OUTER_BOUND('',#44694,.T.); #24983=FACE_OUTER_BOUND('',#44695,.T.); #24984=FACE_OUTER_BOUND('',#44696,.T.); #24985=FACE_OUTER_BOUND('',#44697,.T.); #24986=FACE_OUTER_BOUND('',#44698,.T.); #24987=FACE_OUTER_BOUND('',#44699,.T.); #24988=FACE_OUTER_BOUND('',#44700,.T.); #24989=FACE_OUTER_BOUND('',#44701,.T.); #24990=FACE_OUTER_BOUND('',#44702,.T.); #24991=FACE_OUTER_BOUND('',#44703,.T.); #24992=FACE_OUTER_BOUND('',#44704,.T.); #24993=FACE_OUTER_BOUND('',#44705,.T.); #24994=FACE_OUTER_BOUND('',#44706,.T.); #24995=FACE_OUTER_BOUND('',#44707,.T.); #24996=FACE_OUTER_BOUND('',#44708,.T.); #24997=FACE_OUTER_BOUND('',#44709,.T.); #24998=FACE_OUTER_BOUND('',#44710,.T.); #24999=FACE_OUTER_BOUND('',#44711,.T.); #25000=FACE_OUTER_BOUND('',#44712,.T.); #25001=FACE_OUTER_BOUND('',#44713,.T.); #25002=FACE_OUTER_BOUND('',#44714,.T.); #25003=FACE_OUTER_BOUND('',#44715,.T.); #25004=FACE_OUTER_BOUND('',#44716,.T.); #25005=FACE_OUTER_BOUND('',#44717,.T.); #25006=FACE_OUTER_BOUND('',#44718,.T.); #25007=FACE_OUTER_BOUND('',#44719,.T.); #25008=FACE_OUTER_BOUND('',#44720,.T.); #25009=FACE_OUTER_BOUND('',#44721,.T.); #25010=FACE_OUTER_BOUND('',#44722,.T.); #25011=FACE_OUTER_BOUND('',#44723,.T.); #25012=FACE_OUTER_BOUND('',#44724,.T.); #25013=FACE_OUTER_BOUND('',#44725,.T.); #25014=FACE_OUTER_BOUND('',#44726,.T.); #25015=FACE_OUTER_BOUND('',#44727,.T.); #25016=FACE_OUTER_BOUND('',#44728,.T.); #25017=FACE_OUTER_BOUND('',#44729,.T.); #25018=FACE_OUTER_BOUND('',#44730,.T.); #25019=FACE_OUTER_BOUND('',#44731,.T.); #25020=FACE_OUTER_BOUND('',#44732,.T.); #25021=FACE_OUTER_BOUND('',#44733,.T.); #25022=FACE_OUTER_BOUND('',#44734,.T.); #25023=FACE_OUTER_BOUND('',#44735,.T.); #25024=FACE_OUTER_BOUND('',#44736,.T.); #25025=FACE_OUTER_BOUND('',#44737,.T.); #25026=FACE_OUTER_BOUND('',#44738,.T.); #25027=FACE_OUTER_BOUND('',#44739,.T.); #25028=FACE_OUTER_BOUND('',#44740,.T.); #25029=FACE_OUTER_BOUND('',#44741,.T.); #25030=FACE_OUTER_BOUND('',#44742,.T.); #25031=FACE_OUTER_BOUND('',#44743,.T.); #25032=FACE_OUTER_BOUND('',#44744,.T.); #25033=FACE_OUTER_BOUND('',#44745,.T.); #25034=FACE_OUTER_BOUND('',#44746,.T.); #25035=FACE_OUTER_BOUND('',#44747,.T.); #25036=FACE_OUTER_BOUND('',#44748,.T.); #25037=FACE_OUTER_BOUND('',#44749,.T.); #25038=FACE_OUTER_BOUND('',#44750,.T.); #25039=FACE_OUTER_BOUND('',#44751,.T.); #25040=FACE_OUTER_BOUND('',#44752,.T.); #25041=FACE_OUTER_BOUND('',#44753,.T.); #25042=FACE_OUTER_BOUND('',#44754,.T.); #25043=FACE_OUTER_BOUND('',#44755,.T.); #25044=FACE_OUTER_BOUND('',#44756,.T.); #25045=FACE_OUTER_BOUND('',#44758,.T.); #25046=FACE_OUTER_BOUND('',#44760,.T.); #25047=FACE_OUTER_BOUND('',#44761,.T.); #25048=FACE_OUTER_BOUND('',#44762,.T.); #25049=FACE_OUTER_BOUND('',#44763,.T.); #25050=FACE_OUTER_BOUND('',#44764,.T.); #25051=FACE_OUTER_BOUND('',#44765,.T.); #25052=FACE_OUTER_BOUND('',#44766,.T.); #25053=FACE_OUTER_BOUND('',#44767,.T.); #25054=FACE_OUTER_BOUND('',#44768,.T.); #25055=FACE_OUTER_BOUND('',#44769,.T.); #25056=FACE_OUTER_BOUND('',#44770,.T.); #25057=FACE_OUTER_BOUND('',#44771,.T.); #25058=FACE_OUTER_BOUND('',#44772,.T.); #25059=FACE_OUTER_BOUND('',#44773,.T.); #25060=FACE_OUTER_BOUND('',#44774,.T.); #25061=FACE_OUTER_BOUND('',#44775,.T.); #25062=FACE_OUTER_BOUND('',#44776,.T.); #25063=FACE_OUTER_BOUND('',#44777,.T.); #25064=FACE_OUTER_BOUND('',#44779,.T.); #25065=FACE_OUTER_BOUND('',#44781,.T.); #25066=FACE_OUTER_BOUND('',#44782,.T.); #25067=FACE_OUTER_BOUND('',#44783,.T.); #25068=FACE_OUTER_BOUND('',#44784,.T.); #25069=FACE_OUTER_BOUND('',#44785,.T.); #25070=FACE_OUTER_BOUND('',#44786,.T.); #25071=FACE_OUTER_BOUND('',#44787,.T.); #25072=FACE_OUTER_BOUND('',#44788,.T.); #25073=FACE_OUTER_BOUND('',#44789,.T.); #25074=FACE_OUTER_BOUND('',#44790,.T.); #25075=FACE_OUTER_BOUND('',#44791,.T.); #25076=FACE_OUTER_BOUND('',#44792,.T.); #25077=FACE_OUTER_BOUND('',#44793,.T.); #25078=FACE_OUTER_BOUND('',#44794,.T.); #25079=FACE_OUTER_BOUND('',#44795,.T.); #25080=FACE_OUTER_BOUND('',#44797,.T.); #25081=FACE_OUTER_BOUND('',#44799,.T.); #25082=FACE_OUTER_BOUND('',#44800,.T.); #25083=FACE_OUTER_BOUND('',#44801,.T.); #25084=FACE_OUTER_BOUND('',#44802,.T.); #25085=FACE_OUTER_BOUND('',#44803,.T.); #25086=FACE_OUTER_BOUND('',#44804,.T.); #25087=FACE_OUTER_BOUND('',#44805,.T.); #25088=FACE_OUTER_BOUND('',#44806,.T.); #25089=FACE_OUTER_BOUND('',#44807,.T.); #25090=FACE_OUTER_BOUND('',#44808,.T.); #25091=FACE_OUTER_BOUND('',#44809,.T.); #25092=FACE_OUTER_BOUND('',#44810,.T.); #25093=FACE_OUTER_BOUND('',#44811,.T.); #25094=FACE_OUTER_BOUND('',#44812,.T.); #25095=FACE_OUTER_BOUND('',#44813,.T.); #25096=FACE_OUTER_BOUND('',#44814,.T.); #25097=FACE_OUTER_BOUND('',#44815,.T.); #25098=FACE_OUTER_BOUND('',#44816,.T.); #25099=FACE_OUTER_BOUND('',#44817,.T.); #25100=FACE_OUTER_BOUND('',#44818,.T.); #25101=FACE_OUTER_BOUND('',#44820,.T.); #25102=FACE_OUTER_BOUND('',#44822,.T.); #25103=FACE_OUTER_BOUND('',#44823,.T.); #25104=FACE_OUTER_BOUND('',#44824,.T.); #25105=FACE_OUTER_BOUND('',#44825,.T.); #25106=FACE_OUTER_BOUND('',#44826,.T.); #25107=FACE_OUTER_BOUND('',#44827,.T.); #25108=FACE_OUTER_BOUND('',#44828,.T.); #25109=FACE_OUTER_BOUND('',#44829,.T.); #25110=FACE_OUTER_BOUND('',#44830,.T.); #25111=FACE_OUTER_BOUND('',#44831,.T.); #25112=FACE_OUTER_BOUND('',#44832,.T.); #25113=FACE_OUTER_BOUND('',#44833,.T.); #25114=FACE_OUTER_BOUND('',#44834,.T.); #25115=FACE_OUTER_BOUND('',#44835,.T.); #25116=FACE_OUTER_BOUND('',#44836,.T.); #25117=FACE_OUTER_BOUND('',#44838,.T.); #25118=FACE_OUTER_BOUND('',#44840,.T.); #25119=FACE_OUTER_BOUND('',#44841,.T.); #25120=FACE_OUTER_BOUND('',#44842,.T.); #25121=FACE_OUTER_BOUND('',#44843,.T.); #25122=FACE_OUTER_BOUND('',#44844,.T.); #25123=FACE_OUTER_BOUND('',#44845,.T.); #25124=FACE_OUTER_BOUND('',#44846,.T.); #25125=FACE_OUTER_BOUND('',#44847,.T.); #25126=FACE_OUTER_BOUND('',#44848,.T.); #25127=FACE_OUTER_BOUND('',#44849,.T.); #25128=FACE_OUTER_BOUND('',#44850,.T.); #25129=FACE_OUTER_BOUND('',#44851,.T.); #25130=FACE_OUTER_BOUND('',#44852,.T.); #25131=FACE_OUTER_BOUND('',#44853,.T.); #25132=FACE_OUTER_BOUND('',#44854,.T.); #25133=FACE_OUTER_BOUND('',#44855,.T.); #25134=FACE_OUTER_BOUND('',#44856,.T.); #25135=FACE_OUTER_BOUND('',#44857,.T.); #25136=FACE_OUTER_BOUND('',#44858,.T.); #25137=FACE_OUTER_BOUND('',#44859,.T.); #25138=FACE_OUTER_BOUND('',#44861,.T.); #25139=FACE_OUTER_BOUND('',#44863,.T.); #25140=FACE_OUTER_BOUND('',#44864,.T.); #25141=FACE_OUTER_BOUND('',#44865,.T.); #25142=FACE_OUTER_BOUND('',#44866,.T.); #25143=FACE_OUTER_BOUND('',#44867,.T.); #25144=FACE_OUTER_BOUND('',#44868,.T.); #25145=FACE_OUTER_BOUND('',#44869,.T.); #25146=FACE_OUTER_BOUND('',#44870,.T.); #25147=FACE_OUTER_BOUND('',#44871,.T.); #25148=FACE_OUTER_BOUND('',#44872,.T.); #25149=FACE_OUTER_BOUND('',#44873,.T.); #25150=FACE_OUTER_BOUND('',#44874,.T.); #25151=FACE_OUTER_BOUND('',#44875,.T.); #25152=FACE_OUTER_BOUND('',#44877,.T.); #25153=FACE_OUTER_BOUND('',#44879,.T.); #25154=FACE_OUTER_BOUND('',#44880,.T.); #25155=FACE_OUTER_BOUND('',#44881,.T.); #25156=FACE_OUTER_BOUND('',#44882,.T.); #25157=FACE_OUTER_BOUND('',#44883,.T.); #25158=FACE_OUTER_BOUND('',#44884,.T.); #25159=FACE_OUTER_BOUND('',#44885,.T.); #25160=FACE_OUTER_BOUND('',#44886,.T.); #25161=FACE_OUTER_BOUND('',#44887,.T.); #25162=FACE_OUTER_BOUND('',#44888,.T.); #25163=FACE_OUTER_BOUND('',#44889,.T.); #25164=FACE_OUTER_BOUND('',#44890,.T.); #25165=FACE_OUTER_BOUND('',#44891,.T.); #25166=FACE_OUTER_BOUND('',#44893,.T.); #25167=FACE_OUTER_BOUND('',#44895,.T.); #25168=FACE_OUTER_BOUND('',#44896,.T.); #25169=FACE_OUTER_BOUND('',#44897,.T.); #25170=FACE_OUTER_BOUND('',#44898,.T.); #25171=FACE_OUTER_BOUND('',#44899,.T.); #25172=FACE_OUTER_BOUND('',#44900,.T.); #25173=FACE_OUTER_BOUND('',#44901,.T.); #25174=FACE_OUTER_BOUND('',#44902,.T.); #25175=FACE_OUTER_BOUND('',#44903,.T.); #25176=FACE_OUTER_BOUND('',#44904,.T.); #25177=FACE_OUTER_BOUND('',#44905,.T.); #25178=FACE_OUTER_BOUND('',#44906,.T.); #25179=FACE_OUTER_BOUND('',#44907,.T.); #25180=FACE_OUTER_BOUND('',#44908,.T.); #25181=FACE_OUTER_BOUND('',#44909,.T.); #25182=FACE_OUTER_BOUND('',#44910,.T.); #25183=FACE_OUTER_BOUND('',#44911,.T.); #25184=FACE_OUTER_BOUND('',#44912,.T.); #25185=FACE_OUTER_BOUND('',#44913,.T.); #25186=FACE_OUTER_BOUND('',#44914,.T.); #25187=FACE_OUTER_BOUND('',#44915,.T.); #25188=FACE_OUTER_BOUND('',#44916,.T.); #25189=FACE_OUTER_BOUND('',#44917,.T.); #25190=FACE_OUTER_BOUND('',#44918,.T.); #25191=FACE_OUTER_BOUND('',#44919,.T.); #25192=FACE_OUTER_BOUND('',#44921,.T.); #25193=FACE_OUTER_BOUND('',#44923,.T.); #25194=FACE_OUTER_BOUND('',#44924,.T.); #25195=FACE_OUTER_BOUND('',#44925,.T.); #25196=FACE_OUTER_BOUND('',#44926,.T.); #25197=FACE_OUTER_BOUND('',#44927,.T.); #25198=FACE_OUTER_BOUND('',#44928,.T.); #25199=FACE_OUTER_BOUND('',#44929,.T.); #25200=FACE_OUTER_BOUND('',#44930,.T.); #25201=FACE_OUTER_BOUND('',#44931,.T.); #25202=FACE_OUTER_BOUND('',#44932,.T.); #25203=FACE_OUTER_BOUND('',#44933,.T.); #25204=FACE_OUTER_BOUND('',#44934,.T.); #25205=FACE_OUTER_BOUND('',#44935,.T.); #25206=FACE_OUTER_BOUND('',#44936,.T.); #25207=FACE_OUTER_BOUND('',#44937,.T.); #25208=FACE_OUTER_BOUND('',#44938,.T.); #25209=FACE_OUTER_BOUND('',#44939,.T.); #25210=FACE_OUTER_BOUND('',#44940,.T.); #25211=FACE_OUTER_BOUND('',#44941,.T.); #25212=FACE_OUTER_BOUND('',#44943,.T.); #25213=FACE_OUTER_BOUND('',#44945,.T.); #25214=FACE_OUTER_BOUND('',#44946,.T.); #25215=FACE_OUTER_BOUND('',#44947,.T.); #25216=FACE_OUTER_BOUND('',#44948,.T.); #25217=FACE_OUTER_BOUND('',#44949,.T.); #25218=FACE_OUTER_BOUND('',#44950,.T.); #25219=FACE_OUTER_BOUND('',#44951,.T.); #25220=FACE_OUTER_BOUND('',#44952,.T.); #25221=FACE_OUTER_BOUND('',#44953,.T.); #25222=FACE_OUTER_BOUND('',#44954,.T.); #25223=FACE_OUTER_BOUND('',#44955,.T.); #25224=FACE_OUTER_BOUND('',#44956,.T.); #25225=FACE_OUTER_BOUND('',#44957,.T.); #25226=FACE_OUTER_BOUND('',#44958,.T.); #25227=FACE_OUTER_BOUND('',#44959,.T.); #25228=FACE_OUTER_BOUND('',#44960,.T.); #25229=FACE_OUTER_BOUND('',#44961,.T.); #25230=FACE_OUTER_BOUND('',#44962,.T.); #25231=FACE_OUTER_BOUND('',#44963,.T.); #25232=FACE_OUTER_BOUND('',#44964,.T.); #25233=FACE_OUTER_BOUND('',#44965,.T.); #25234=FACE_OUTER_BOUND('',#44966,.T.); #25235=FACE_OUTER_BOUND('',#44967,.T.); #25236=FACE_OUTER_BOUND('',#44968,.T.); #25237=FACE_OUTER_BOUND('',#44969,.T.); #25238=FACE_OUTER_BOUND('',#44971,.T.); #25239=FACE_OUTER_BOUND('',#44973,.T.); #25240=FACE_OUTER_BOUND('',#44974,.T.); #25241=FACE_OUTER_BOUND('',#44975,.T.); #25242=FACE_OUTER_BOUND('',#44976,.T.); #25243=FACE_OUTER_BOUND('',#44977,.T.); #25244=FACE_OUTER_BOUND('',#44978,.T.); #25245=FACE_OUTER_BOUND('',#44979,.T.); #25246=FACE_OUTER_BOUND('',#44980,.T.); #25247=FACE_OUTER_BOUND('',#44981,.T.); #25248=FACE_OUTER_BOUND('',#44982,.T.); #25249=FACE_OUTER_BOUND('',#44983,.T.); #25250=FACE_OUTER_BOUND('',#44984,.T.); #25251=FACE_OUTER_BOUND('',#44985,.T.); #25252=FACE_OUTER_BOUND('',#44986,.T.); #25253=FACE_OUTER_BOUND('',#44987,.T.); #25254=FACE_OUTER_BOUND('',#44988,.T.); #25255=FACE_OUTER_BOUND('',#44989,.T.); #25256=FACE_OUTER_BOUND('',#44990,.T.); #25257=FACE_OUTER_BOUND('',#44991,.T.); #25258=FACE_OUTER_BOUND('',#44992,.T.); #25259=FACE_OUTER_BOUND('',#44993,.T.); #25260=FACE_OUTER_BOUND('',#44994,.T.); #25261=FACE_OUTER_BOUND('',#44995,.T.); #25262=FACE_OUTER_BOUND('',#44996,.T.); #25263=FACE_OUTER_BOUND('',#44997,.T.); #25264=FACE_OUTER_BOUND('',#44998,.T.); #25265=FACE_OUTER_BOUND('',#44999,.T.); #25266=FACE_OUTER_BOUND('',#45000,.T.); #25267=FACE_OUTER_BOUND('',#45001,.T.); #25268=FACE_OUTER_BOUND('',#45002,.T.); #25269=FACE_OUTER_BOUND('',#45003,.T.); #25270=FACE_OUTER_BOUND('',#45004,.T.); #25271=FACE_OUTER_BOUND('',#45006,.T.); #25272=FACE_OUTER_BOUND('',#45008,.T.); #25273=FACE_OUTER_BOUND('',#45009,.T.); #25274=FACE_OUTER_BOUND('',#45010,.T.); #25275=FACE_OUTER_BOUND('',#45011,.T.); #25276=FACE_OUTER_BOUND('',#45012,.T.); #25277=FACE_OUTER_BOUND('',#45013,.T.); #25278=FACE_OUTER_BOUND('',#45014,.T.); #25279=FACE_OUTER_BOUND('',#45015,.T.); #25280=FACE_OUTER_BOUND('',#45016,.T.); #25281=FACE_OUTER_BOUND('',#45017,.T.); #25282=FACE_OUTER_BOUND('',#45018,.T.); #25283=FACE_OUTER_BOUND('',#45019,.T.); #25284=FACE_OUTER_BOUND('',#45020,.T.); #25285=FACE_OUTER_BOUND('',#45021,.T.); #25286=FACE_OUTER_BOUND('',#45022,.T.); #25287=FACE_OUTER_BOUND('',#45023,.T.); #25288=FACE_OUTER_BOUND('',#45024,.T.); #25289=FACE_OUTER_BOUND('',#45025,.T.); #25290=FACE_OUTER_BOUND('',#45026,.T.); #25291=FACE_OUTER_BOUND('',#45027,.T.); #25292=FACE_OUTER_BOUND('',#45028,.T.); #25293=FACE_OUTER_BOUND('',#45029,.T.); #25294=FACE_OUTER_BOUND('',#45030,.T.); #25295=FACE_OUTER_BOUND('',#45031,.T.); #25296=FACE_OUTER_BOUND('',#45032,.T.); #25297=FACE_OUTER_BOUND('',#45033,.T.); #25298=FACE_OUTER_BOUND('',#45035,.T.); #25299=FACE_OUTER_BOUND('',#45037,.T.); #25300=FACE_OUTER_BOUND('',#45038,.T.); #25301=FACE_OUTER_BOUND('',#45039,.T.); #25302=FACE_OUTER_BOUND('',#45040,.T.); #25303=FACE_OUTER_BOUND('',#45041,.T.); #25304=FACE_OUTER_BOUND('',#45042,.T.); #25305=FACE_OUTER_BOUND('',#45043,.T.); #25306=FACE_OUTER_BOUND('',#45044,.T.); #25307=FACE_OUTER_BOUND('',#45045,.T.); #25308=FACE_OUTER_BOUND('',#45046,.T.); #25309=FACE_OUTER_BOUND('',#45047,.T.); #25310=FACE_OUTER_BOUND('',#45048,.T.); #25311=FACE_OUTER_BOUND('',#45049,.T.); #25312=FACE_OUTER_BOUND('',#45050,.T.); #25313=FACE_OUTER_BOUND('',#45051,.T.); #25314=FACE_OUTER_BOUND('',#45052,.T.); #25315=FACE_OUTER_BOUND('',#45053,.T.); #25316=FACE_OUTER_BOUND('',#45055,.T.); #25317=FACE_OUTER_BOUND('',#45057,.T.); #25318=FACE_OUTER_BOUND('',#45058,.T.); #25319=FACE_OUTER_BOUND('',#45059,.T.); #25320=FACE_OUTER_BOUND('',#45060,.T.); #25321=FACE_OUTER_BOUND('',#45061,.T.); #25322=FACE_OUTER_BOUND('',#45062,.T.); #25323=FACE_OUTER_BOUND('',#45063,.T.); #25324=FACE_OUTER_BOUND('',#45064,.T.); #25325=FACE_OUTER_BOUND('',#45065,.T.); #25326=FACE_OUTER_BOUND('',#45066,.T.); #25327=FACE_OUTER_BOUND('',#45067,.T.); #25328=FACE_OUTER_BOUND('',#45068,.T.); #25329=FACE_OUTER_BOUND('',#45069,.T.); #25330=FACE_OUTER_BOUND('',#45070,.T.); #25331=FACE_OUTER_BOUND('',#45071,.T.); #25332=FACE_OUTER_BOUND('',#45072,.T.); #25333=FACE_OUTER_BOUND('',#45073,.T.); #25334=FACE_OUTER_BOUND('',#45074,.T.); #25335=FACE_OUTER_BOUND('',#45075,.T.); #25336=FACE_OUTER_BOUND('',#45076,.T.); #25337=FACE_OUTER_BOUND('',#45077,.T.); #25338=FACE_OUTER_BOUND('',#45078,.T.); #25339=FACE_OUTER_BOUND('',#45079,.T.); #25340=FACE_OUTER_BOUND('',#45080,.T.); #25341=FACE_OUTER_BOUND('',#45081,.T.); #25342=FACE_OUTER_BOUND('',#45082,.T.); #25343=FACE_OUTER_BOUND('',#45083,.T.); #25344=FACE_OUTER_BOUND('',#45084,.T.); #25345=FACE_OUTER_BOUND('',#45085,.T.); #25346=FACE_OUTER_BOUND('',#45086,.T.); #25347=FACE_OUTER_BOUND('',#45087,.T.); #25348=FACE_OUTER_BOUND('',#45088,.T.); #25349=FACE_OUTER_BOUND('',#45089,.T.); #25350=FACE_OUTER_BOUND('',#45090,.T.); #25351=FACE_OUTER_BOUND('',#45091,.T.); #25352=FACE_OUTER_BOUND('',#45092,.T.); #25353=FACE_OUTER_BOUND('',#45093,.T.); #25354=FACE_OUTER_BOUND('',#45094,.T.); #25355=FACE_OUTER_BOUND('',#45095,.T.); #25356=FACE_OUTER_BOUND('',#45096,.T.); #25357=FACE_OUTER_BOUND('',#45097,.T.); #25358=FACE_OUTER_BOUND('',#45098,.T.); #25359=FACE_OUTER_BOUND('',#45099,.T.); #25360=FACE_OUTER_BOUND('',#45100,.T.); #25361=FACE_OUTER_BOUND('',#45101,.T.); #25362=FACE_OUTER_BOUND('',#45103,.T.); #25363=FACE_OUTER_BOUND('',#45105,.T.); #25364=FACE_OUTER_BOUND('',#45106,.T.); #25365=FACE_OUTER_BOUND('',#45107,.T.); #25366=FACE_OUTER_BOUND('',#45108,.T.); #25367=FACE_OUTER_BOUND('',#45109,.T.); #25368=FACE_OUTER_BOUND('',#45110,.T.); #25369=FACE_OUTER_BOUND('',#45111,.T.); #25370=FACE_OUTER_BOUND('',#45112,.T.); #25371=FACE_OUTER_BOUND('',#45113,.T.); #25372=FACE_OUTER_BOUND('',#45114,.T.); #25373=FACE_OUTER_BOUND('',#45115,.T.); #25374=FACE_OUTER_BOUND('',#45116,.T.); #25375=FACE_OUTER_BOUND('',#45117,.T.); #25376=FACE_OUTER_BOUND('',#45118,.T.); #25377=FACE_OUTER_BOUND('',#45119,.T.); #25378=FACE_OUTER_BOUND('',#45120,.T.); #25379=FACE_OUTER_BOUND('',#45121,.T.); #25380=FACE_OUTER_BOUND('',#45122,.T.); #25381=FACE_OUTER_BOUND('',#45123,.T.); #25382=FACE_OUTER_BOUND('',#45124,.T.); #25383=FACE_OUTER_BOUND('',#45125,.T.); #25384=FACE_OUTER_BOUND('',#45126,.T.); #25385=FACE_OUTER_BOUND('',#45127,.T.); #25386=FACE_OUTER_BOUND('',#45129,.T.); #25387=FACE_OUTER_BOUND('',#45131,.T.); #25388=FACE_OUTER_BOUND('',#45132,.T.); #25389=FACE_OUTER_BOUND('',#45133,.T.); #25390=FACE_OUTER_BOUND('',#45134,.T.); #25391=FACE_OUTER_BOUND('',#45135,.T.); #25392=FACE_OUTER_BOUND('',#45136,.T.); #25393=FACE_OUTER_BOUND('',#45138,.T.); #25394=FACE_OUTER_BOUND('',#45140,.T.); #25395=FACE_OUTER_BOUND('',#45141,.T.); #25396=FACE_OUTER_BOUND('',#45142,.T.); #25397=FACE_OUTER_BOUND('',#45143,.T.); #25398=FACE_OUTER_BOUND('',#45144,.T.); #25399=FACE_OUTER_BOUND('',#45145,.T.); #25400=FACE_OUTER_BOUND('',#45147,.T.); #25401=FACE_OUTER_BOUND('',#45149,.T.); #25402=FACE_OUTER_BOUND('',#45150,.T.); #25403=FACE_OUTER_BOUND('',#45151,.T.); #25404=FACE_OUTER_BOUND('',#45152,.T.); #25405=FACE_OUTER_BOUND('',#45153,.T.); #25406=FACE_OUTER_BOUND('',#45154,.T.); #25407=FACE_OUTER_BOUND('',#45155,.T.); #25408=FACE_OUTER_BOUND('',#45156,.T.); #25409=FACE_OUTER_BOUND('',#45157,.T.); #25410=FACE_OUTER_BOUND('',#45158,.T.); #25411=FACE_OUTER_BOUND('',#45159,.T.); #25412=FACE_OUTER_BOUND('',#45160,.T.); #25413=FACE_OUTER_BOUND('',#45161,.T.); #25414=FACE_OUTER_BOUND('',#45162,.T.); #25415=FACE_OUTER_BOUND('',#45163,.T.); #25416=FACE_OUTER_BOUND('',#45164,.T.); #25417=FACE_OUTER_BOUND('',#45166,.T.); #25418=FACE_OUTER_BOUND('',#45168,.T.); #25419=FACE_OUTER_BOUND('',#45169,.T.); #25420=FACE_OUTER_BOUND('',#45170,.T.); #25421=FACE_OUTER_BOUND('',#45171,.T.); #25422=FACE_OUTER_BOUND('',#45172,.T.); #25423=FACE_OUTER_BOUND('',#45174,.T.); #25424=FACE_OUTER_BOUND('',#45176,.T.); #25425=FACE_OUTER_BOUND('',#45177,.T.); #25426=FACE_OUTER_BOUND('',#45178,.T.); #25427=FACE_OUTER_BOUND('',#45179,.T.); #25428=FACE_OUTER_BOUND('',#45180,.T.); #25429=FACE_OUTER_BOUND('',#45181,.T.); #25430=FACE_OUTER_BOUND('',#45182,.T.); #25431=FACE_OUTER_BOUND('',#45183,.T.); #25432=FACE_OUTER_BOUND('',#45184,.T.); #25433=FACE_OUTER_BOUND('',#45185,.T.); #25434=FACE_OUTER_BOUND('',#45188,.T.); #25435=FACE_OUTER_BOUND('',#45191,.T.); #25436=FACE_OUTER_BOUND('',#45192,.T.); #25437=FACE_OUTER_BOUND('',#45193,.T.); #25438=FACE_OUTER_BOUND('',#45194,.T.); #25439=FACE_OUTER_BOUND('',#45195,.T.); #25440=FACE_OUTER_BOUND('',#45197,.T.); #25441=FACE_OUTER_BOUND('',#45199,.T.); #25442=FACE_OUTER_BOUND('',#45200,.T.); #25443=FACE_OUTER_BOUND('',#45201,.T.); #25444=FACE_OUTER_BOUND('',#45202,.T.); #25445=FACE_OUTER_BOUND('',#45203,.T.); #25446=FACE_OUTER_BOUND('',#45204,.T.); #25447=FACE_OUTER_BOUND('',#45206,.T.); #25448=FACE_OUTER_BOUND('',#45208,.T.); #25449=FACE_OUTER_BOUND('',#45209,.T.); #25450=FACE_OUTER_BOUND('',#45210,.T.); #25451=FACE_OUTER_BOUND('',#45211,.T.); #25452=FACE_OUTER_BOUND('',#45212,.T.); #25453=FACE_OUTER_BOUND('',#45213,.T.); #25454=FACE_OUTER_BOUND('',#45214,.T.); #25455=FACE_OUTER_BOUND('',#45215,.T.); #25456=FACE_OUTER_BOUND('',#45216,.T.); #25457=FACE_OUTER_BOUND('',#45217,.T.); #25458=FACE_OUTER_BOUND('',#45218,.T.); #25459=FACE_OUTER_BOUND('',#45219,.T.); #25460=FACE_OUTER_BOUND('',#45220,.T.); #25461=FACE_OUTER_BOUND('',#45221,.T.); #25462=FACE_OUTER_BOUND('',#45222,.T.); #25463=FACE_OUTER_BOUND('',#45223,.T.); #25464=FACE_OUTER_BOUND('',#45224,.T.); #25465=FACE_OUTER_BOUND('',#45225,.T.); #25466=FACE_OUTER_BOUND('',#45226,.T.); #25467=FACE_OUTER_BOUND('',#45227,.T.); #25468=FACE_OUTER_BOUND('',#45228,.T.); #25469=FACE_OUTER_BOUND('',#45229,.T.); #25470=FACE_OUTER_BOUND('',#45230,.T.); #25471=FACE_OUTER_BOUND('',#45231,.T.); #25472=FACE_OUTER_BOUND('',#45232,.T.); #25473=FACE_OUTER_BOUND('',#45233,.T.); #25474=FACE_OUTER_BOUND('',#45234,.T.); #25475=FACE_OUTER_BOUND('',#45235,.T.); #25476=FACE_OUTER_BOUND('',#45236,.T.); #25477=FACE_OUTER_BOUND('',#45237,.T.); #25478=FACE_OUTER_BOUND('',#45238,.T.); #25479=FACE_OUTER_BOUND('',#45239,.T.); #25480=FACE_OUTER_BOUND('',#45240,.T.); #25481=FACE_OUTER_BOUND('',#45241,.T.); #25482=FACE_OUTER_BOUND('',#45242,.T.); #25483=FACE_OUTER_BOUND('',#45243,.T.); #25484=FACE_OUTER_BOUND('',#45244,.T.); #25485=FACE_OUTER_BOUND('',#45245,.T.); #25486=FACE_OUTER_BOUND('',#45246,.T.); #25487=FACE_OUTER_BOUND('',#45247,.T.); #25488=FACE_OUTER_BOUND('',#45248,.T.); #25489=FACE_OUTER_BOUND('',#45249,.T.); #25490=FACE_OUTER_BOUND('',#45250,.T.); #25491=FACE_OUTER_BOUND('',#45251,.T.); #25492=FACE_OUTER_BOUND('',#45252,.T.); #25493=FACE_OUTER_BOUND('',#45254,.T.); #25494=FACE_OUTER_BOUND('',#45256,.T.); #25495=FACE_OUTER_BOUND('',#45257,.T.); #25496=FACE_OUTER_BOUND('',#45258,.T.); #25497=FACE_OUTER_BOUND('',#45259,.T.); #25498=FACE_OUTER_BOUND('',#45260,.T.); #25499=FACE_OUTER_BOUND('',#45261,.T.); #25500=FACE_OUTER_BOUND('',#45262,.T.); #25501=FACE_OUTER_BOUND('',#45263,.T.); #25502=FACE_OUTER_BOUND('',#45264,.T.); #25503=FACE_OUTER_BOUND('',#45265,.T.); #25504=FACE_OUTER_BOUND('',#45266,.T.); #25505=FACE_OUTER_BOUND('',#45267,.T.); #25506=FACE_OUTER_BOUND('',#45268,.T.); #25507=FACE_OUTER_BOUND('',#45269,.T.); #25508=FACE_OUTER_BOUND('',#45270,.T.); #25509=FACE_OUTER_BOUND('',#45271,.T.); #25510=FACE_OUTER_BOUND('',#45272,.T.); #25511=FACE_OUTER_BOUND('',#45273,.T.); #25512=FACE_OUTER_BOUND('',#45274,.T.); #25513=FACE_OUTER_BOUND('',#45275,.T.); #25514=FACE_OUTER_BOUND('',#45276,.T.); #25515=FACE_OUTER_BOUND('',#45277,.T.); #25516=FACE_OUTER_BOUND('',#45278,.T.); #25517=FACE_OUTER_BOUND('',#45280,.T.); #25518=FACE_OUTER_BOUND('',#45282,.T.); #25519=FACE_OUTER_BOUND('',#45283,.T.); #25520=FACE_OUTER_BOUND('',#45284,.T.); #25521=FACE_OUTER_BOUND('',#45285,.T.); #25522=FACE_OUTER_BOUND('',#45286,.T.); #25523=FACE_OUTER_BOUND('',#45287,.T.); #25524=FACE_OUTER_BOUND('',#45288,.T.); #25525=FACE_OUTER_BOUND('',#45289,.T.); #25526=FACE_OUTER_BOUND('',#45290,.T.); #25527=FACE_OUTER_BOUND('',#45291,.T.); #25528=FACE_OUTER_BOUND('',#45292,.T.); #25529=FACE_OUTER_BOUND('',#45293,.T.); #25530=FACE_OUTER_BOUND('',#45294,.T.); #25531=FACE_OUTER_BOUND('',#45295,.T.); #25532=FACE_OUTER_BOUND('',#45296,.T.); #25533=FACE_OUTER_BOUND('',#45297,.T.); #25534=FACE_OUTER_BOUND('',#45298,.T.); #25535=FACE_OUTER_BOUND('',#45299,.T.); #25536=FACE_OUTER_BOUND('',#45300,.T.); #25537=FACE_OUTER_BOUND('',#45301,.T.); #25538=FACE_OUTER_BOUND('',#45302,.T.); #25539=FACE_OUTER_BOUND('',#45303,.T.); #25540=FACE_OUTER_BOUND('',#45304,.T.); #25541=FACE_OUTER_BOUND('',#45305,.T.); #25542=FACE_OUTER_BOUND('',#45306,.T.); #25543=FACE_OUTER_BOUND('',#45307,.T.); #25544=FACE_OUTER_BOUND('',#45308,.T.); #25545=FACE_OUTER_BOUND('',#45309,.T.); #25546=FACE_OUTER_BOUND('',#45310,.T.); #25547=FACE_OUTER_BOUND('',#45311,.T.); #25548=FACE_OUTER_BOUND('',#45312,.T.); #25549=FACE_OUTER_BOUND('',#45313,.T.); #25550=FACE_OUTER_BOUND('',#45314,.T.); #25551=FACE_OUTER_BOUND('',#45315,.T.); #25552=FACE_OUTER_BOUND('',#45316,.T.); #25553=FACE_OUTER_BOUND('',#45317,.T.); #25554=FACE_OUTER_BOUND('',#45318,.T.); #25555=FACE_OUTER_BOUND('',#45319,.T.); #25556=FACE_OUTER_BOUND('',#45320,.T.); #25557=FACE_OUTER_BOUND('',#45321,.T.); #25558=FACE_OUTER_BOUND('',#45322,.T.); #25559=FACE_OUTER_BOUND('',#45323,.T.); #25560=FACE_OUTER_BOUND('',#45324,.T.); #25561=FACE_OUTER_BOUND('',#45325,.T.); #25562=FACE_OUTER_BOUND('',#45326,.T.); #25563=FACE_OUTER_BOUND('',#45327,.T.); #25564=FACE_OUTER_BOUND('',#45328,.T.); #25565=FACE_OUTER_BOUND('',#45330,.T.); #25566=FACE_OUTER_BOUND('',#45332,.T.); #25567=FACE_OUTER_BOUND('',#45333,.T.); #25568=FACE_OUTER_BOUND('',#45334,.T.); #25569=FACE_OUTER_BOUND('',#45335,.T.); #25570=FACE_OUTER_BOUND('',#45336,.T.); #25571=FACE_OUTER_BOUND('',#45337,.T.); #25572=FACE_OUTER_BOUND('',#45338,.T.); #25573=FACE_OUTER_BOUND('',#45339,.T.); #25574=FACE_OUTER_BOUND('',#45340,.T.); #25575=FACE_OUTER_BOUND('',#45341,.T.); #25576=FACE_OUTER_BOUND('',#45342,.T.); #25577=FACE_OUTER_BOUND('',#45343,.T.); #25578=FACE_OUTER_BOUND('',#45344,.T.); #25579=FACE_OUTER_BOUND('',#45345,.T.); #25580=FACE_OUTER_BOUND('',#45346,.T.); #25581=FACE_OUTER_BOUND('',#45347,.T.); #25582=FACE_OUTER_BOUND('',#45348,.T.); #25583=FACE_OUTER_BOUND('',#45349,.T.); #25584=FACE_OUTER_BOUND('',#45350,.T.); #25585=FACE_OUTER_BOUND('',#45351,.T.); #25586=FACE_OUTER_BOUND('',#45352,.T.); #25587=FACE_OUTER_BOUND('',#45353,.T.); #25588=FACE_OUTER_BOUND('',#45354,.T.); #25589=FACE_OUTER_BOUND('',#45355,.T.); #25590=FACE_OUTER_BOUND('',#45357,.T.); #25591=FACE_OUTER_BOUND('',#45359,.T.); #25592=FACE_OUTER_BOUND('',#45360,.T.); #25593=FACE_OUTER_BOUND('',#45361,.T.); #25594=FACE_OUTER_BOUND('',#45362,.T.); #25595=FACE_OUTER_BOUND('',#45363,.T.); #25596=FACE_OUTER_BOUND('',#45364,.T.); #25597=FACE_OUTER_BOUND('',#45365,.T.); #25598=FACE_OUTER_BOUND('',#45366,.T.); #25599=FACE_OUTER_BOUND('',#45367,.T.); #25600=FACE_OUTER_BOUND('',#45368,.T.); #25601=FACE_OUTER_BOUND('',#45369,.T.); #25602=FACE_OUTER_BOUND('',#45370,.T.); #25603=FACE_OUTER_BOUND('',#45371,.T.); #25604=FACE_OUTER_BOUND('',#45372,.T.); #25605=FACE_OUTER_BOUND('',#45374,.T.); #25606=FACE_OUTER_BOUND('',#45376,.T.); #25607=FACE_OUTER_BOUND('',#45377,.T.); #25608=FACE_OUTER_BOUND('',#45378,.T.); #25609=FACE_OUTER_BOUND('',#45379,.T.); #25610=FACE_OUTER_BOUND('',#45380,.T.); #25611=FACE_OUTER_BOUND('',#45381,.T.); #25612=FACE_OUTER_BOUND('',#45382,.T.); #25613=FACE_OUTER_BOUND('',#45383,.T.); #25614=FACE_OUTER_BOUND('',#45384,.T.); #25615=FACE_OUTER_BOUND('',#45385,.T.); #25616=FACE_OUTER_BOUND('',#45386,.T.); #25617=FACE_OUTER_BOUND('',#45387,.T.); #25618=FACE_OUTER_BOUND('',#45388,.T.); #25619=FACE_OUTER_BOUND('',#45389,.T.); #25620=FACE_OUTER_BOUND('',#45390,.T.); #25621=FACE_OUTER_BOUND('',#45391,.T.); #25622=FACE_OUTER_BOUND('',#45392,.T.); #25623=FACE_OUTER_BOUND('',#45393,.T.); #25624=FACE_OUTER_BOUND('',#45394,.T.); #25625=FACE_OUTER_BOUND('',#45395,.T.); #25626=FACE_OUTER_BOUND('',#45396,.T.); #25627=FACE_OUTER_BOUND('',#45397,.T.); #25628=FACE_OUTER_BOUND('',#45398,.T.); #25629=FACE_OUTER_BOUND('',#45399,.T.); #25630=FACE_OUTER_BOUND('',#45400,.T.); #25631=FACE_OUTER_BOUND('',#45401,.T.); #25632=FACE_OUTER_BOUND('',#45402,.T.); #25633=FACE_OUTER_BOUND('',#45403,.T.); #25634=FACE_OUTER_BOUND('',#45404,.T.); #25635=FACE_OUTER_BOUND('',#45405,.T.); #25636=FACE_OUTER_BOUND('',#45406,.T.); #25637=FACE_OUTER_BOUND('',#45407,.T.); #25638=FACE_OUTER_BOUND('',#45408,.T.); #25639=FACE_OUTER_BOUND('',#45409,.T.); #25640=FACE_OUTER_BOUND('',#45410,.T.); #25641=FACE_OUTER_BOUND('',#45411,.T.); #25642=FACE_OUTER_BOUND('',#45412,.T.); #25643=FACE_OUTER_BOUND('',#45413,.T.); #25644=FACE_OUTER_BOUND('',#45414,.T.); #25645=FACE_OUTER_BOUND('',#45415,.T.); #25646=FACE_OUTER_BOUND('',#45416,.T.); #25647=FACE_OUTER_BOUND('',#45417,.T.); #25648=FACE_OUTER_BOUND('',#45418,.T.); #25649=FACE_OUTER_BOUND('',#45419,.T.); #25650=FACE_OUTER_BOUND('',#45420,.T.); #25651=FACE_OUTER_BOUND('',#45421,.T.); #25652=FACE_OUTER_BOUND('',#45422,.T.); #25653=FACE_OUTER_BOUND('',#45423,.T.); #25654=FACE_OUTER_BOUND('',#45424,.T.); #25655=FACE_OUTER_BOUND('',#45425,.T.); #25656=FACE_OUTER_BOUND('',#45427,.T.); #25657=FACE_OUTER_BOUND('',#45429,.T.); #25658=FACE_OUTER_BOUND('',#45430,.T.); #25659=FACE_OUTER_BOUND('',#45431,.T.); #25660=FACE_OUTER_BOUND('',#45432,.T.); #25661=FACE_OUTER_BOUND('',#45433,.T.); #25662=FACE_OUTER_BOUND('',#45434,.T.); #25663=FACE_OUTER_BOUND('',#45435,.T.); #25664=FACE_OUTER_BOUND('',#45436,.T.); #25665=FACE_OUTER_BOUND('',#45437,.T.); #25666=FACE_OUTER_BOUND('',#45438,.T.); #25667=FACE_OUTER_BOUND('',#45439,.T.); #25668=FACE_OUTER_BOUND('',#45440,.T.); #25669=FACE_OUTER_BOUND('',#45441,.T.); #25670=FACE_OUTER_BOUND('',#45442,.T.); #25671=FACE_OUTER_BOUND('',#45443,.T.); #25672=FACE_OUTER_BOUND('',#45444,.T.); #25673=FACE_OUTER_BOUND('',#45445,.T.); #25674=FACE_OUTER_BOUND('',#45446,.T.); #25675=FACE_OUTER_BOUND('',#45447,.T.); #25676=FACE_OUTER_BOUND('',#45448,.T.); #25677=FACE_OUTER_BOUND('',#45449,.T.); #25678=FACE_OUTER_BOUND('',#45450,.T.); #25679=FACE_OUTER_BOUND('',#45451,.T.); #25680=FACE_OUTER_BOUND('',#45452,.T.); #25681=FACE_OUTER_BOUND('',#45455,.T.); #25682=FACE_OUTER_BOUND('',#45458,.T.); #25683=FACE_OUTER_BOUND('',#45459,.T.); #25684=FACE_OUTER_BOUND('',#45460,.T.); #25685=FACE_OUTER_BOUND('',#45461,.T.); #25686=FACE_OUTER_BOUND('',#45462,.T.); #25687=FACE_OUTER_BOUND('',#45463,.T.); #25688=FACE_OUTER_BOUND('',#45464,.T.); #25689=FACE_OUTER_BOUND('',#45465,.T.); #25690=FACE_OUTER_BOUND('',#45466,.T.); #25691=FACE_OUTER_BOUND('',#45467,.T.); #25692=FACE_OUTER_BOUND('',#45468,.T.); #25693=FACE_OUTER_BOUND('',#45469,.T.); #25694=FACE_OUTER_BOUND('',#45470,.T.); #25695=FACE_OUTER_BOUND('',#45471,.T.); #25696=FACE_OUTER_BOUND('',#45472,.T.); #25697=FACE_OUTER_BOUND('',#45473,.T.); #25698=FACE_OUTER_BOUND('',#45474,.T.); #25699=FACE_OUTER_BOUND('',#45475,.T.); #25700=FACE_OUTER_BOUND('',#45476,.T.); #25701=FACE_OUTER_BOUND('',#45477,.T.); #25702=FACE_OUTER_BOUND('',#45478,.T.); #25703=FACE_OUTER_BOUND('',#45479,.T.); #25704=FACE_OUTER_BOUND('',#45480,.T.); #25705=FACE_OUTER_BOUND('',#45481,.T.); #25706=FACE_OUTER_BOUND('',#45482,.T.); #25707=FACE_OUTER_BOUND('',#45483,.T.); #25708=FACE_OUTER_BOUND('',#45484,.T.); #25709=FACE_OUTER_BOUND('',#45485,.T.); #25710=FACE_OUTER_BOUND('',#45486,.T.); #25711=FACE_OUTER_BOUND('',#45487,.T.); #25712=FACE_OUTER_BOUND('',#45488,.T.); #25713=FACE_OUTER_BOUND('',#45489,.T.); #25714=FACE_OUTER_BOUND('',#45490,.T.); #25715=FACE_OUTER_BOUND('',#45491,.T.); #25716=FACE_OUTER_BOUND('',#45492,.T.); #25717=FACE_OUTER_BOUND('',#45493,.T.); #25718=FACE_OUTER_BOUND('',#45494,.T.); #25719=FACE_OUTER_BOUND('',#45495,.T.); #25720=FACE_OUTER_BOUND('',#45496,.T.); #25721=FACE_OUTER_BOUND('',#45497,.T.); #25722=FACE_OUTER_BOUND('',#45498,.T.); #25723=FACE_OUTER_BOUND('',#45499,.T.); #25724=FACE_OUTER_BOUND('',#45500,.T.); #25725=FACE_OUTER_BOUND('',#45501,.T.); #25726=FACE_OUTER_BOUND('',#45502,.T.); #25727=FACE_OUTER_BOUND('',#45503,.T.); #25728=FACE_OUTER_BOUND('',#45504,.T.); #25729=FACE_OUTER_BOUND('',#45505,.T.); #25730=FACE_OUTER_BOUND('',#45506,.T.); #25731=FACE_OUTER_BOUND('',#45507,.T.); #25732=FACE_OUTER_BOUND('',#45508,.T.); #25733=FACE_OUTER_BOUND('',#45509,.T.); #25734=FACE_OUTER_BOUND('',#45510,.T.); #25735=FACE_OUTER_BOUND('',#45511,.T.); #25736=FACE_OUTER_BOUND('',#45512,.T.); #25737=FACE_OUTER_BOUND('',#45513,.T.); #25738=FACE_OUTER_BOUND('',#45514,.T.); #25739=FACE_OUTER_BOUND('',#45515,.T.); #25740=FACE_OUTER_BOUND('',#45516,.T.); #25741=FACE_OUTER_BOUND('',#45517,.T.); #25742=FACE_OUTER_BOUND('',#45518,.T.); #25743=FACE_OUTER_BOUND('',#45519,.T.); #25744=FACE_OUTER_BOUND('',#45520,.T.); #25745=FACE_OUTER_BOUND('',#45521,.T.); #25746=FACE_OUTER_BOUND('',#45522,.T.); #25747=FACE_OUTER_BOUND('',#45523,.T.); #25748=FACE_OUTER_BOUND('',#45524,.T.); #25749=FACE_OUTER_BOUND('',#45525,.T.); #25750=FACE_OUTER_BOUND('',#45526,.T.); #25751=FACE_OUTER_BOUND('',#45527,.T.); #25752=FACE_OUTER_BOUND('',#45528,.T.); #25753=FACE_OUTER_BOUND('',#45529,.T.); #25754=FACE_OUTER_BOUND('',#45530,.T.); #25755=FACE_OUTER_BOUND('',#45531,.T.); #25756=FACE_OUTER_BOUND('',#45532,.T.); #25757=FACE_OUTER_BOUND('',#45533,.T.); #25758=FACE_OUTER_BOUND('',#45534,.T.); #25759=FACE_OUTER_BOUND('',#45535,.T.); #25760=FACE_OUTER_BOUND('',#45536,.T.); #25761=FACE_OUTER_BOUND('',#45537,.T.); #25762=FACE_OUTER_BOUND('',#45538,.T.); #25763=FACE_OUTER_BOUND('',#45539,.T.); #25764=FACE_OUTER_BOUND('',#45540,.T.); #25765=FACE_OUTER_BOUND('',#45541,.T.); #25766=FACE_OUTER_BOUND('',#45542,.T.); #25767=FACE_OUTER_BOUND('',#45543,.T.); #25768=FACE_OUTER_BOUND('',#45544,.T.); #25769=FACE_OUTER_BOUND('',#45545,.T.); #25770=FACE_OUTER_BOUND('',#45546,.T.); #25771=FACE_OUTER_BOUND('',#45547,.T.); #25772=FACE_OUTER_BOUND('',#45548,.T.); #25773=FACE_OUTER_BOUND('',#45549,.T.); #25774=FACE_OUTER_BOUND('',#45550,.T.); #25775=FACE_OUTER_BOUND('',#45551,.T.); #25776=FACE_OUTER_BOUND('',#45552,.T.); #25777=FACE_OUTER_BOUND('',#45553,.T.); #25778=FACE_OUTER_BOUND('',#45554,.T.); #25779=FACE_OUTER_BOUND('',#45555,.T.); #25780=FACE_OUTER_BOUND('',#45556,.T.); #25781=FACE_OUTER_BOUND('',#45557,.T.); #25782=FACE_OUTER_BOUND('',#45558,.T.); #25783=FACE_OUTER_BOUND('',#45559,.T.); #25784=FACE_OUTER_BOUND('',#45560,.T.); #25785=FACE_OUTER_BOUND('',#45561,.T.); #25786=FACE_OUTER_BOUND('',#45562,.T.); #25787=FACE_OUTER_BOUND('',#45563,.T.); #25788=FACE_OUTER_BOUND('',#45564,.T.); #25789=FACE_OUTER_BOUND('',#45565,.T.); #25790=FACE_OUTER_BOUND('',#45566,.T.); #25791=FACE_OUTER_BOUND('',#45567,.T.); #25792=FACE_OUTER_BOUND('',#45568,.T.); #25793=FACE_OUTER_BOUND('',#45569,.T.); #25794=FACE_OUTER_BOUND('',#45570,.T.); #25795=FACE_OUTER_BOUND('',#45571,.T.); #25796=FACE_OUTER_BOUND('',#45572,.T.); #25797=FACE_OUTER_BOUND('',#45573,.T.); #25798=FACE_OUTER_BOUND('',#45574,.T.); #25799=FACE_OUTER_BOUND('',#45575,.T.); #25800=FACE_OUTER_BOUND('',#45576,.T.); #25801=FACE_OUTER_BOUND('',#45577,.T.); #25802=FACE_OUTER_BOUND('',#45578,.T.); #25803=FACE_OUTER_BOUND('',#45579,.T.); #25804=FACE_OUTER_BOUND('',#45580,.T.); #25805=FACE_OUTER_BOUND('',#45581,.T.); #25806=FACE_OUTER_BOUND('',#45582,.T.); #25807=FACE_OUTER_BOUND('',#45583,.T.); #25808=FACE_OUTER_BOUND('',#45584,.T.); #25809=FACE_OUTER_BOUND('',#45585,.T.); #25810=FACE_OUTER_BOUND('',#45586,.T.); #25811=FACE_OUTER_BOUND('',#45587,.T.); #25812=FACE_OUTER_BOUND('',#45588,.T.); #25813=FACE_OUTER_BOUND('',#45589,.T.); #25814=FACE_OUTER_BOUND('',#45590,.T.); #25815=FACE_OUTER_BOUND('',#45591,.T.); #25816=FACE_OUTER_BOUND('',#45592,.T.); #25817=FACE_OUTER_BOUND('',#45593,.T.); #25818=FACE_OUTER_BOUND('',#45594,.T.); #25819=FACE_OUTER_BOUND('',#45595,.T.); #25820=FACE_OUTER_BOUND('',#45596,.T.); #25821=FACE_OUTER_BOUND('',#45597,.T.); #25822=FACE_OUTER_BOUND('',#45598,.T.); #25823=FACE_OUTER_BOUND('',#45599,.T.); #25824=FACE_OUTER_BOUND('',#45600,.T.); #25825=FACE_OUTER_BOUND('',#45601,.T.); #25826=FACE_OUTER_BOUND('',#45602,.T.); #25827=FACE_OUTER_BOUND('',#45603,.T.); #25828=FACE_OUTER_BOUND('',#45604,.T.); #25829=FACE_OUTER_BOUND('',#45605,.T.); #25830=FACE_OUTER_BOUND('',#45606,.T.); #25831=FACE_OUTER_BOUND('',#45607,.T.); #25832=FACE_OUTER_BOUND('',#45608,.T.); #25833=FACE_OUTER_BOUND('',#45609,.T.); #25834=FACE_OUTER_BOUND('',#45610,.T.); #25835=FACE_OUTER_BOUND('',#45611,.T.); #25836=FACE_OUTER_BOUND('',#45612,.T.); #25837=FACE_OUTER_BOUND('',#45613,.T.); #25838=FACE_OUTER_BOUND('',#45614,.T.); #25839=FACE_OUTER_BOUND('',#45615,.T.); #25840=FACE_OUTER_BOUND('',#45616,.T.); #25841=FACE_OUTER_BOUND('',#45617,.T.); #25842=FACE_OUTER_BOUND('',#45618,.T.); #25843=FACE_OUTER_BOUND('',#45630,.T.); #25844=FACE_OUTER_BOUND('',#45642,.T.); #25845=FACE_OUTER_BOUND('',#45643,.T.); #25846=FACE_OUTER_BOUND('',#45644,.T.); #25847=FACE_OUTER_BOUND('',#45645,.T.); #25848=FACE_OUTER_BOUND('',#45646,.T.); #25849=FACE_OUTER_BOUND('',#45648,.T.); #25850=FACE_OUTER_BOUND('',#45650,.T.); #25851=FACE_OUTER_BOUND('',#45651,.T.); #25852=FACE_OUTER_BOUND('',#45652,.T.); #25853=FACE_OUTER_BOUND('',#45653,.T.); #25854=FACE_OUTER_BOUND('',#45654,.T.); #25855=FACE_OUTER_BOUND('',#45655,.T.); #25856=FACE_OUTER_BOUND('',#45656,.T.); #25857=FACE_OUTER_BOUND('',#45657,.T.); #25858=FACE_OUTER_BOUND('',#45658,.T.); #25859=FACE_OUTER_BOUND('',#45659,.T.); #25860=FACE_OUTER_BOUND('',#45660,.T.); #25861=FACE_OUTER_BOUND('',#45661,.T.); #25862=FACE_OUTER_BOUND('',#45662,.T.); #25863=FACE_OUTER_BOUND('',#45663,.T.); #25864=FACE_OUTER_BOUND('',#45664,.T.); #25865=FACE_OUTER_BOUND('',#45665,.T.); #25866=FACE_OUTER_BOUND('',#45666,.T.); #25867=FACE_OUTER_BOUND('',#45667,.T.); #25868=FACE_OUTER_BOUND('',#45668,.T.); #25869=FACE_OUTER_BOUND('',#45669,.T.); #25870=FACE_OUTER_BOUND('',#45670,.T.); #25871=FACE_OUTER_BOUND('',#45671,.T.); #25872=FACE_OUTER_BOUND('',#45672,.T.); #25873=FACE_OUTER_BOUND('',#45673,.T.); #25874=FACE_OUTER_BOUND('',#45674,.T.); #25875=FACE_OUTER_BOUND('',#45675,.T.); #25876=FACE_OUTER_BOUND('',#45676,.T.); #25877=FACE_OUTER_BOUND('',#45677,.T.); #25878=FACE_OUTER_BOUND('',#45678,.T.); #25879=FACE_OUTER_BOUND('',#45679,.T.); #25880=FACE_OUTER_BOUND('',#45680,.T.); #25881=FACE_OUTER_BOUND('',#45681,.T.); #25882=FACE_OUTER_BOUND('',#45682,.T.); #25883=FACE_OUTER_BOUND('',#45683,.T.); #25884=FACE_OUTER_BOUND('',#45684,.T.); #25885=FACE_OUTER_BOUND('',#45685,.T.); #25886=FACE_OUTER_BOUND('',#45686,.T.); #25887=FACE_OUTER_BOUND('',#45687,.T.); #25888=FACE_OUTER_BOUND('',#45688,.T.); #25889=FACE_OUTER_BOUND('',#45689,.T.); #25890=FACE_OUTER_BOUND('',#45690,.T.); #25891=FACE_OUTER_BOUND('',#45691,.T.); #25892=FACE_OUTER_BOUND('',#45692,.T.); #25893=FACE_OUTER_BOUND('',#45693,.T.); #25894=FACE_OUTER_BOUND('',#45694,.T.); #25895=FACE_OUTER_BOUND('',#45695,.T.); #25896=FACE_OUTER_BOUND('',#45696,.T.); #25897=FACE_OUTER_BOUND('',#45697,.T.); #25898=FACE_OUTER_BOUND('',#45698,.T.); #25899=FACE_OUTER_BOUND('',#45699,.T.); #25900=FACE_OUTER_BOUND('',#45700,.T.); #25901=FACE_OUTER_BOUND('',#45701,.T.); #25902=FACE_OUTER_BOUND('',#45702,.T.); #25903=FACE_OUTER_BOUND('',#45703,.T.); #25904=FACE_OUTER_BOUND('',#45704,.T.); #25905=FACE_OUTER_BOUND('',#45705,.T.); #25906=FACE_OUTER_BOUND('',#45706,.T.); #25907=FACE_OUTER_BOUND('',#45707,.T.); #25908=FACE_OUTER_BOUND('',#45708,.T.); #25909=FACE_OUTER_BOUND('',#45709,.T.); #25910=FACE_OUTER_BOUND('',#45710,.T.); #25911=FACE_OUTER_BOUND('',#45711,.T.); #25912=FACE_OUTER_BOUND('',#45712,.T.); #25913=FACE_OUTER_BOUND('',#45713,.T.); #25914=FACE_OUTER_BOUND('',#45714,.T.); #25915=FACE_OUTER_BOUND('',#45715,.T.); #25916=FACE_OUTER_BOUND('',#45716,.T.); #25917=FACE_OUTER_BOUND('',#45717,.T.); #25918=FACE_OUTER_BOUND('',#45718,.T.); #25919=FACE_OUTER_BOUND('',#45719,.T.); #25920=FACE_OUTER_BOUND('',#45720,.T.); #25921=FACE_OUTER_BOUND('',#45721,.T.); #25922=FACE_OUTER_BOUND('',#45722,.T.); #25923=FACE_OUTER_BOUND('',#45723,.T.); #25924=FACE_OUTER_BOUND('',#45724,.T.); #25925=FACE_OUTER_BOUND('',#45725,.T.); #25926=FACE_OUTER_BOUND('',#45726,.T.); #25927=FACE_OUTER_BOUND('',#45727,.T.); #25928=FACE_OUTER_BOUND('',#45728,.T.); #25929=FACE_OUTER_BOUND('',#45729,.T.); #25930=FACE_OUTER_BOUND('',#45730,.T.); #25931=FACE_OUTER_BOUND('',#45731,.T.); #25932=FACE_OUTER_BOUND('',#45732,.T.); #25933=FACE_OUTER_BOUND('',#45733,.T.); #25934=FACE_OUTER_BOUND('',#45734,.T.); #25935=FACE_OUTER_BOUND('',#45735,.T.); #25936=FACE_OUTER_BOUND('',#45736,.T.); #25937=FACE_OUTER_BOUND('',#45737,.T.); #25938=FACE_OUTER_BOUND('',#45738,.T.); #25939=FACE_OUTER_BOUND('',#45739,.T.); #25940=FACE_OUTER_BOUND('',#45740,.T.); #25941=FACE_OUTER_BOUND('',#45741,.T.); #25942=FACE_OUTER_BOUND('',#45742,.T.); #25943=FACE_OUTER_BOUND('',#45743,.T.); #25944=FACE_OUTER_BOUND('',#45744,.T.); #25945=FACE_OUTER_BOUND('',#45745,.T.); #25946=FACE_OUTER_BOUND('',#45746,.T.); #25947=FACE_OUTER_BOUND('',#45747,.T.); #25948=FACE_OUTER_BOUND('',#45748,.T.); #25949=FACE_OUTER_BOUND('',#45749,.T.); #25950=FACE_OUTER_BOUND('',#45750,.T.); #25951=FACE_OUTER_BOUND('',#45751,.T.); #25952=FACE_OUTER_BOUND('',#45752,.T.); #25953=FACE_OUTER_BOUND('',#45753,.T.); #25954=FACE_OUTER_BOUND('',#45754,.T.); #25955=FACE_OUTER_BOUND('',#45755,.T.); #25956=FACE_OUTER_BOUND('',#45756,.T.); #25957=FACE_OUTER_BOUND('',#45757,.T.); #25958=FACE_OUTER_BOUND('',#45758,.T.); #25959=FACE_OUTER_BOUND('',#45759,.T.); #25960=FACE_OUTER_BOUND('',#45760,.T.); #25961=FACE_OUTER_BOUND('',#45761,.T.); #25962=FACE_OUTER_BOUND('',#45762,.T.); #25963=FACE_OUTER_BOUND('',#45763,.T.); #25964=FACE_OUTER_BOUND('',#45764,.T.); #25965=FACE_OUTER_BOUND('',#45765,.T.); #25966=FACE_OUTER_BOUND('',#45766,.T.); #25967=FACE_OUTER_BOUND('',#45767,.T.); #25968=FACE_OUTER_BOUND('',#45768,.T.); #25969=FACE_OUTER_BOUND('',#45769,.T.); #25970=FACE_OUTER_BOUND('',#45770,.T.); #25971=FACE_OUTER_BOUND('',#45771,.T.); #25972=FACE_OUTER_BOUND('',#45772,.T.); #25973=FACE_OUTER_BOUND('',#45773,.T.); #25974=FACE_OUTER_BOUND('',#45774,.T.); #25975=FACE_OUTER_BOUND('',#45775,.T.); #25976=FACE_OUTER_BOUND('',#45776,.T.); #25977=FACE_OUTER_BOUND('',#45777,.T.); #25978=FACE_OUTER_BOUND('',#45778,.T.); #25979=FACE_OUTER_BOUND('',#45779,.T.); #25980=FACE_OUTER_BOUND('',#45780,.T.); #25981=FACE_OUTER_BOUND('',#45781,.T.); #25982=FACE_OUTER_BOUND('',#45782,.T.); #25983=FACE_OUTER_BOUND('',#45783,.T.); #25984=FACE_OUTER_BOUND('',#45784,.T.); #25985=FACE_OUTER_BOUND('',#45785,.T.); #25986=FACE_OUTER_BOUND('',#45786,.T.); #25987=FACE_OUTER_BOUND('',#45787,.T.); #25988=FACE_OUTER_BOUND('',#45788,.T.); #25989=FACE_OUTER_BOUND('',#45789,.T.); #25990=FACE_OUTER_BOUND('',#45790,.T.); #25991=FACE_OUTER_BOUND('',#45791,.T.); #25992=FACE_OUTER_BOUND('',#45792,.T.); #25993=FACE_OUTER_BOUND('',#45793,.T.); #25994=FACE_OUTER_BOUND('',#45794,.T.); #25995=FACE_OUTER_BOUND('',#45795,.T.); #25996=FACE_OUTER_BOUND('',#45796,.T.); #25997=FACE_OUTER_BOUND('',#45797,.T.); #25998=FACE_OUTER_BOUND('',#45798,.T.); #25999=FACE_OUTER_BOUND('',#45799,.T.); #26000=FACE_OUTER_BOUND('',#45800,.T.); #26001=FACE_OUTER_BOUND('',#45801,.T.); #26002=FACE_OUTER_BOUND('',#45802,.T.); #26003=FACE_OUTER_BOUND('',#45803,.T.); #26004=FACE_OUTER_BOUND('',#45804,.T.); #26005=FACE_OUTER_BOUND('',#45805,.T.); #26006=FACE_OUTER_BOUND('',#45806,.T.); #26007=FACE_OUTER_BOUND('',#45807,.T.); #26008=FACE_OUTER_BOUND('',#45808,.T.); #26009=FACE_OUTER_BOUND('',#45809,.T.); #26010=FACE_OUTER_BOUND('',#45810,.T.); #26011=FACE_OUTER_BOUND('',#45811,.T.); #26012=FACE_OUTER_BOUND('',#45812,.T.); #26013=FACE_OUTER_BOUND('',#45813,.T.); #26014=FACE_OUTER_BOUND('',#45814,.T.); #26015=FACE_OUTER_BOUND('',#45815,.T.); #26016=FACE_OUTER_BOUND('',#45816,.T.); #26017=FACE_OUTER_BOUND('',#45817,.T.); #26018=FACE_OUTER_BOUND('',#45818,.T.); #26019=FACE_OUTER_BOUND('',#45819,.T.); #26020=FACE_OUTER_BOUND('',#45820,.T.); #26021=FACE_OUTER_BOUND('',#45821,.T.); #26022=FACE_OUTER_BOUND('',#45822,.T.); #26023=FACE_OUTER_BOUND('',#45823,.T.); #26024=FACE_OUTER_BOUND('',#45824,.T.); #26025=FACE_OUTER_BOUND('',#45825,.T.); #26026=FACE_OUTER_BOUND('',#45826,.T.); #26027=FACE_OUTER_BOUND('',#45827,.T.); #26028=FACE_OUTER_BOUND('',#45828,.T.); #26029=FACE_OUTER_BOUND('',#45829,.T.); #26030=FACE_OUTER_BOUND('',#45830,.T.); #26031=FACE_OUTER_BOUND('',#45831,.T.); #26032=FACE_OUTER_BOUND('',#45832,.T.); #26033=FACE_OUTER_BOUND('',#45833,.T.); #26034=FACE_OUTER_BOUND('',#45837,.T.); #26035=FACE_OUTER_BOUND('',#45841,.T.); #26036=FACE_OUTER_BOUND('',#45842,.T.); #26037=FACE_OUTER_BOUND('',#45843,.T.); #26038=FACE_OUTER_BOUND('',#45844,.T.); #26039=FACE_OUTER_BOUND('',#45845,.T.); #26040=FACE_OUTER_BOUND('',#45846,.T.); #26041=FACE_OUTER_BOUND('',#45847,.T.); #26042=FACE_OUTER_BOUND('',#45848,.T.); #26043=FACE_OUTER_BOUND('',#45849,.T.); #26044=FACE_OUTER_BOUND('',#45850,.T.); #26045=FACE_OUTER_BOUND('',#45851,.T.); #26046=FACE_OUTER_BOUND('',#45852,.T.); #26047=FACE_OUTER_BOUND('',#45853,.T.); #26048=FACE_OUTER_BOUND('',#45854,.T.); #26049=FACE_OUTER_BOUND('',#45855,.T.); #26050=FACE_OUTER_BOUND('',#45856,.T.); #26051=FACE_OUTER_BOUND('',#45857,.T.); #26052=FACE_OUTER_BOUND('',#45858,.T.); #26053=FACE_OUTER_BOUND('',#45859,.T.); #26054=FACE_OUTER_BOUND('',#45860,.T.); #26055=FACE_OUTER_BOUND('',#45861,.T.); #26056=FACE_OUTER_BOUND('',#45862,.T.); #26057=FACE_OUTER_BOUND('',#45863,.T.); #26058=FACE_OUTER_BOUND('',#45864,.T.); #26059=FACE_OUTER_BOUND('',#45865,.T.); #26060=FACE_OUTER_BOUND('',#45866,.T.); #26061=FACE_OUTER_BOUND('',#45867,.T.); #26062=FACE_OUTER_BOUND('',#45868,.T.); #26063=FACE_OUTER_BOUND('',#45869,.T.); #26064=FACE_OUTER_BOUND('',#45870,.T.); #26065=FACE_OUTER_BOUND('',#45871,.T.); #26066=FACE_OUTER_BOUND('',#45872,.T.); #26067=FACE_OUTER_BOUND('',#45873,.T.); #26068=FACE_OUTER_BOUND('',#45874,.T.); #26069=FACE_OUTER_BOUND('',#45875,.T.); #26070=FACE_OUTER_BOUND('',#45876,.T.); #26071=FACE_OUTER_BOUND('',#45877,.T.); #26072=FACE_OUTER_BOUND('',#45878,.T.); #26073=FACE_OUTER_BOUND('',#45879,.T.); #26074=FACE_OUTER_BOUND('',#45880,.T.); #26075=FACE_OUTER_BOUND('',#45881,.T.); #26076=FACE_OUTER_BOUND('',#45882,.T.); #26077=FACE_OUTER_BOUND('',#45883,.T.); #26078=FACE_OUTER_BOUND('',#45884,.T.); #26079=FACE_OUTER_BOUND('',#45885,.T.); #26080=FACE_OUTER_BOUND('',#45886,.T.); #26081=FACE_OUTER_BOUND('',#45887,.T.); #26082=FACE_OUTER_BOUND('',#45888,.T.); #26083=FACE_OUTER_BOUND('',#45889,.T.); #26084=FACE_OUTER_BOUND('',#45890,.T.); #26085=FACE_OUTER_BOUND('',#45891,.T.); #26086=FACE_OUTER_BOUND('',#45892,.T.); #26087=FACE_OUTER_BOUND('',#45893,.T.); #26088=FACE_OUTER_BOUND('',#45894,.T.); #26089=FACE_OUTER_BOUND('',#45895,.T.); #26090=FACE_OUTER_BOUND('',#45896,.T.); #26091=FACE_OUTER_BOUND('',#45897,.T.); #26092=FACE_OUTER_BOUND('',#45898,.T.); #26093=FACE_OUTER_BOUND('',#45899,.T.); #26094=FACE_OUTER_BOUND('',#45900,.T.); #26095=FACE_OUTER_BOUND('',#45901,.T.); #26096=FACE_OUTER_BOUND('',#45902,.T.); #26097=FACE_OUTER_BOUND('',#45903,.T.); #26098=FACE_OUTER_BOUND('',#45904,.T.); #26099=FACE_OUTER_BOUND('',#45905,.T.); #26100=FACE_OUTER_BOUND('',#45906,.T.); #26101=FACE_OUTER_BOUND('',#45907,.T.); #26102=FACE_OUTER_BOUND('',#45908,.T.); #26103=FACE_OUTER_BOUND('',#45909,.T.); #26104=FACE_OUTER_BOUND('',#45910,.T.); #26105=FACE_OUTER_BOUND('',#45911,.T.); #26106=FACE_OUTER_BOUND('',#45912,.T.); #26107=FACE_OUTER_BOUND('',#45913,.T.); #26108=FACE_OUTER_BOUND('',#45914,.T.); #26109=FACE_OUTER_BOUND('',#45915,.T.); #26110=FACE_OUTER_BOUND('',#45916,.T.); #26111=FACE_OUTER_BOUND('',#45917,.T.); #26112=FACE_OUTER_BOUND('',#45918,.T.); #26113=FACE_OUTER_BOUND('',#45919,.T.); #26114=FACE_OUTER_BOUND('',#45920,.T.); #26115=FACE_OUTER_BOUND('',#45921,.T.); #26116=FACE_OUTER_BOUND('',#45922,.T.); #26117=FACE_OUTER_BOUND('',#45923,.T.); #26118=FACE_OUTER_BOUND('',#45924,.T.); #26119=FACE_OUTER_BOUND('',#45925,.T.); #26120=FACE_OUTER_BOUND('',#45926,.T.); #26121=FACE_OUTER_BOUND('',#45927,.T.); #26122=FACE_OUTER_BOUND('',#45928,.T.); #26123=FACE_OUTER_BOUND('',#45929,.T.); #26124=FACE_OUTER_BOUND('',#45930,.T.); #26125=FACE_OUTER_BOUND('',#45931,.T.); #26126=FACE_OUTER_BOUND('',#45932,.T.); #26127=FACE_OUTER_BOUND('',#45933,.T.); #26128=FACE_OUTER_BOUND('',#45934,.T.); #26129=FACE_OUTER_BOUND('',#45935,.T.); #26130=FACE_OUTER_BOUND('',#45936,.T.); #26131=FACE_OUTER_BOUND('',#45937,.T.); #26132=FACE_OUTER_BOUND('',#45938,.T.); #26133=FACE_OUTER_BOUND('',#45939,.T.); #26134=FACE_OUTER_BOUND('',#45940,.T.); #26135=FACE_OUTER_BOUND('',#45941,.T.); #26136=FACE_OUTER_BOUND('',#45942,.T.); #26137=FACE_OUTER_BOUND('',#45943,.T.); #26138=FACE_OUTER_BOUND('',#45944,.T.); #26139=FACE_OUTER_BOUND('',#45945,.T.); #26140=FACE_OUTER_BOUND('',#45946,.T.); #26141=FACE_OUTER_BOUND('',#45947,.T.); #26142=FACE_OUTER_BOUND('',#45948,.T.); #26143=FACE_OUTER_BOUND('',#45949,.T.); #26144=FACE_OUTER_BOUND('',#45950,.T.); #26145=FACE_OUTER_BOUND('',#45951,.T.); #26146=FACE_OUTER_BOUND('',#45952,.T.); #26147=FACE_OUTER_BOUND('',#45953,.T.); #26148=FACE_OUTER_BOUND('',#45954,.T.); #26149=FACE_OUTER_BOUND('',#45955,.T.); #26150=FACE_OUTER_BOUND('',#45956,.T.); #26151=FACE_OUTER_BOUND('',#45957,.T.); #26152=FACE_OUTER_BOUND('',#45958,.T.); #26153=FACE_OUTER_BOUND('',#45959,.T.); #26154=FACE_OUTER_BOUND('',#45960,.T.); #26155=FACE_OUTER_BOUND('',#45961,.T.); #26156=FACE_OUTER_BOUND('',#45962,.T.); #26157=FACE_OUTER_BOUND('',#45963,.T.); #26158=FACE_OUTER_BOUND('',#45964,.T.); #26159=FACE_OUTER_BOUND('',#45965,.T.); #26160=FACE_OUTER_BOUND('',#45966,.T.); #26161=FACE_OUTER_BOUND('',#45967,.T.); #26162=FACE_OUTER_BOUND('',#45968,.T.); #26163=FACE_OUTER_BOUND('',#45969,.T.); #26164=FACE_OUTER_BOUND('',#45970,.T.); #26165=FACE_OUTER_BOUND('',#45971,.T.); #26166=FACE_OUTER_BOUND('',#45972,.T.); #26167=FACE_OUTER_BOUND('',#45973,.T.); #26168=FACE_OUTER_BOUND('',#45974,.T.); #26169=FACE_OUTER_BOUND('',#45975,.T.); #26170=FACE_OUTER_BOUND('',#45976,.T.); #26171=FACE_OUTER_BOUND('',#45977,.T.); #26172=FACE_OUTER_BOUND('',#45978,.T.); #26173=FACE_OUTER_BOUND('',#45979,.T.); #26174=FACE_OUTER_BOUND('',#45980,.T.); #26175=FACE_OUTER_BOUND('',#45981,.T.); #26176=FACE_OUTER_BOUND('',#45982,.T.); #26177=FACE_OUTER_BOUND('',#45983,.T.); #26178=FACE_OUTER_BOUND('',#45984,.T.); #26179=FACE_OUTER_BOUND('',#45985,.T.); #26180=FACE_OUTER_BOUND('',#45986,.T.); #26181=FACE_OUTER_BOUND('',#45987,.T.); #26182=FACE_OUTER_BOUND('',#45988,.T.); #26183=FACE_OUTER_BOUND('',#45989,.T.); #26184=FACE_OUTER_BOUND('',#45990,.T.); #26185=FACE_OUTER_BOUND('',#45991,.T.); #26186=FACE_OUTER_BOUND('',#45992,.T.); #26187=FACE_OUTER_BOUND('',#45993,.T.); #26188=FACE_OUTER_BOUND('',#45994,.T.); #26189=FACE_OUTER_BOUND('',#45995,.T.); #26190=FACE_OUTER_BOUND('',#45996,.T.); #26191=FACE_OUTER_BOUND('',#45997,.T.); #26192=FACE_OUTER_BOUND('',#45998,.T.); #26193=FACE_OUTER_BOUND('',#45999,.T.); #26194=FACE_OUTER_BOUND('',#46000,.T.); #26195=FACE_OUTER_BOUND('',#46001,.T.); #26196=FACE_OUTER_BOUND('',#46002,.T.); #26197=FACE_OUTER_BOUND('',#46003,.T.); #26198=FACE_OUTER_BOUND('',#46004,.T.); #26199=FACE_OUTER_BOUND('',#46005,.T.); #26200=FACE_OUTER_BOUND('',#46006,.T.); #26201=FACE_OUTER_BOUND('',#46007,.T.); #26202=FACE_OUTER_BOUND('',#46008,.T.); #26203=FACE_OUTER_BOUND('',#46009,.T.); #26204=FACE_OUTER_BOUND('',#46010,.T.); #26205=FACE_OUTER_BOUND('',#46011,.T.); #26206=FACE_OUTER_BOUND('',#46012,.T.); #26207=FACE_OUTER_BOUND('',#46013,.T.); #26208=FACE_OUTER_BOUND('',#46014,.T.); #26209=FACE_OUTER_BOUND('',#46015,.T.); #26210=FACE_OUTER_BOUND('',#46016,.T.); #26211=FACE_OUTER_BOUND('',#46017,.T.); #26212=FACE_OUTER_BOUND('',#46018,.T.); #26213=FACE_OUTER_BOUND('',#46019,.T.); #26214=FACE_OUTER_BOUND('',#46020,.T.); #26215=FACE_OUTER_BOUND('',#46021,.T.); #26216=FACE_OUTER_BOUND('',#46022,.T.); #26217=FACE_OUTER_BOUND('',#46023,.T.); #26218=FACE_OUTER_BOUND('',#46024,.T.); #26219=FACE_OUTER_BOUND('',#46025,.T.); #26220=FACE_OUTER_BOUND('',#46026,.T.); #26221=FACE_OUTER_BOUND('',#46027,.T.); #26222=FACE_OUTER_BOUND('',#46028,.T.); #26223=FACE_OUTER_BOUND('',#46029,.T.); #26224=FACE_OUTER_BOUND('',#46030,.T.); #26225=FACE_OUTER_BOUND('',#46031,.T.); #26226=FACE_OUTER_BOUND('',#46032,.T.); #26227=FACE_OUTER_BOUND('',#46033,.T.); #26228=FACE_OUTER_BOUND('',#46034,.T.); #26229=FACE_OUTER_BOUND('',#46035,.T.); #26230=FACE_OUTER_BOUND('',#46036,.T.); #26231=FACE_OUTER_BOUND('',#46037,.T.); #26232=FACE_OUTER_BOUND('',#46038,.T.); #26233=FACE_OUTER_BOUND('',#46039,.T.); #26234=FACE_OUTER_BOUND('',#46040,.T.); #26235=FACE_OUTER_BOUND('',#46041,.T.); #26236=FACE_OUTER_BOUND('',#46042,.T.); #26237=FACE_OUTER_BOUND('',#46043,.T.); #26238=FACE_OUTER_BOUND('',#46044,.T.); #26239=FACE_OUTER_BOUND('',#46045,.T.); #26240=FACE_OUTER_BOUND('',#46046,.T.); #26241=FACE_OUTER_BOUND('',#46047,.T.); #26242=FACE_OUTER_BOUND('',#46048,.T.); #26243=FACE_OUTER_BOUND('',#46049,.T.); #26244=FACE_OUTER_BOUND('',#46050,.T.); #26245=FACE_OUTER_BOUND('',#46051,.T.); #26246=FACE_OUTER_BOUND('',#46052,.T.); #26247=FACE_OUTER_BOUND('',#46053,.T.); #26248=FACE_OUTER_BOUND('',#46054,.T.); #26249=FACE_OUTER_BOUND('',#46055,.T.); #26250=FACE_OUTER_BOUND('',#46056,.T.); #26251=FACE_OUTER_BOUND('',#46057,.T.); #26252=FACE_OUTER_BOUND('',#46058,.T.); #26253=FACE_OUTER_BOUND('',#46059,.T.); #26254=FACE_OUTER_BOUND('',#46060,.T.); #26255=FACE_OUTER_BOUND('',#46061,.T.); #26256=FACE_OUTER_BOUND('',#46062,.T.); #26257=FACE_OUTER_BOUND('',#46063,.T.); #26258=FACE_OUTER_BOUND('',#46064,.T.); #26259=FACE_OUTER_BOUND('',#46065,.T.); #26260=FACE_OUTER_BOUND('',#46066,.T.); #26261=FACE_OUTER_BOUND('',#46067,.T.); #26262=FACE_OUTER_BOUND('',#46068,.T.); #26263=FACE_OUTER_BOUND('',#46069,.T.); #26264=FACE_OUTER_BOUND('',#46070,.T.); #26265=FACE_OUTER_BOUND('',#46071,.T.); #26266=FACE_OUTER_BOUND('',#46072,.T.); #26267=FACE_OUTER_BOUND('',#46073,.T.); #26268=FACE_OUTER_BOUND('',#46074,.T.); #26269=FACE_OUTER_BOUND('',#46075,.T.); #26270=FACE_OUTER_BOUND('',#46076,.T.); #26271=FACE_OUTER_BOUND('',#46077,.T.); #26272=FACE_OUTER_BOUND('',#46078,.T.); #26273=FACE_OUTER_BOUND('',#46079,.T.); #26274=FACE_OUTER_BOUND('',#46080,.T.); #26275=FACE_OUTER_BOUND('',#46081,.T.); #26276=FACE_OUTER_BOUND('',#46082,.T.); #26277=FACE_OUTER_BOUND('',#46083,.T.); #26278=FACE_OUTER_BOUND('',#46084,.T.); #26279=FACE_OUTER_BOUND('',#46085,.T.); #26280=FACE_OUTER_BOUND('',#46086,.T.); #26281=FACE_OUTER_BOUND('',#46087,.T.); #26282=FACE_OUTER_BOUND('',#46088,.T.); #26283=FACE_OUTER_BOUND('',#46089,.T.); #26284=FACE_OUTER_BOUND('',#46090,.T.); #26285=FACE_OUTER_BOUND('',#46091,.T.); #26286=FACE_OUTER_BOUND('',#46092,.T.); #26287=FACE_OUTER_BOUND('',#46093,.T.); #26288=FACE_OUTER_BOUND('',#46094,.T.); #26289=FACE_OUTER_BOUND('',#46095,.T.); #26290=FACE_OUTER_BOUND('',#46096,.T.); #26291=FACE_OUTER_BOUND('',#46097,.T.); #26292=FACE_OUTER_BOUND('',#46098,.T.); #26293=FACE_OUTER_BOUND('',#46099,.T.); #26294=FACE_OUTER_BOUND('',#46100,.T.); #26295=FACE_OUTER_BOUND('',#46101,.T.); #26296=FACE_OUTER_BOUND('',#46102,.T.); #26297=FACE_OUTER_BOUND('',#46103,.T.); #26298=FACE_OUTER_BOUND('',#46104,.T.); #26299=FACE_OUTER_BOUND('',#46105,.T.); #26300=FACE_OUTER_BOUND('',#46106,.T.); #26301=FACE_OUTER_BOUND('',#46107,.T.); #26302=FACE_OUTER_BOUND('',#46108,.T.); #26303=FACE_OUTER_BOUND('',#46109,.T.); #26304=FACE_OUTER_BOUND('',#46110,.T.); #26305=FACE_OUTER_BOUND('',#46111,.T.); #26306=FACE_OUTER_BOUND('',#46112,.T.); #26307=FACE_OUTER_BOUND('',#46113,.T.); #26308=FACE_OUTER_BOUND('',#46114,.T.); #26309=FACE_OUTER_BOUND('',#46115,.T.); #26310=FACE_OUTER_BOUND('',#46116,.T.); #26311=FACE_OUTER_BOUND('',#46117,.T.); #26312=FACE_OUTER_BOUND('',#46118,.T.); #26313=FACE_OUTER_BOUND('',#46119,.T.); #26314=FACE_OUTER_BOUND('',#46120,.T.); #26315=FACE_OUTER_BOUND('',#46121,.T.); #26316=FACE_OUTER_BOUND('',#46122,.T.); #26317=FACE_OUTER_BOUND('',#46123,.T.); #26318=FACE_OUTER_BOUND('',#46124,.T.); #26319=FACE_OUTER_BOUND('',#46125,.T.); #26320=FACE_OUTER_BOUND('',#46126,.T.); #26321=FACE_OUTER_BOUND('',#46127,.T.); #26322=FACE_OUTER_BOUND('',#46128,.T.); #26323=FACE_OUTER_BOUND('',#46129,.T.); #26324=FACE_OUTER_BOUND('',#46130,.T.); #26325=FACE_OUTER_BOUND('',#46131,.T.); #26326=FACE_OUTER_BOUND('',#46132,.T.); #26327=FACE_OUTER_BOUND('',#46133,.T.); #26328=FACE_OUTER_BOUND('',#46134,.T.); #26329=FACE_OUTER_BOUND('',#46135,.T.); #26330=FACE_OUTER_BOUND('',#46136,.T.); #26331=FACE_OUTER_BOUND('',#46137,.T.); #26332=FACE_OUTER_BOUND('',#46138,.T.); #26333=FACE_OUTER_BOUND('',#46139,.T.); #26334=FACE_OUTER_BOUND('',#46140,.T.); #26335=FACE_OUTER_BOUND('',#46141,.T.); #26336=FACE_OUTER_BOUND('',#46142,.T.); #26337=FACE_OUTER_BOUND('',#46143,.T.); #26338=FACE_OUTER_BOUND('',#46144,.T.); #26339=FACE_OUTER_BOUND('',#46145,.T.); #26340=FACE_OUTER_BOUND('',#46146,.T.); #26341=FACE_OUTER_BOUND('',#46147,.T.); #26342=FACE_OUTER_BOUND('',#46148,.T.); #26343=FACE_OUTER_BOUND('',#46149,.T.); #26344=FACE_OUTER_BOUND('',#46150,.T.); #26345=FACE_OUTER_BOUND('',#46151,.T.); #26346=FACE_OUTER_BOUND('',#46152,.T.); #26347=FACE_OUTER_BOUND('',#46153,.T.); #26348=FACE_OUTER_BOUND('',#46154,.T.); #26349=FACE_OUTER_BOUND('',#46155,.T.); #26350=FACE_OUTER_BOUND('',#46156,.T.); #26351=FACE_OUTER_BOUND('',#46157,.T.); #26352=FACE_OUTER_BOUND('',#46158,.T.); #26353=FACE_OUTER_BOUND('',#46159,.T.); #26354=FACE_OUTER_BOUND('',#46160,.T.); #26355=FACE_OUTER_BOUND('',#46161,.T.); #26356=FACE_OUTER_BOUND('',#46162,.T.); #26357=FACE_OUTER_BOUND('',#46163,.T.); #26358=FACE_OUTER_BOUND('',#46176,.T.); #26359=FACE_OUTER_BOUND('',#46189,.T.); #26360=FACE_OUTER_BOUND('',#46190,.T.); #26361=FACE_OUTER_BOUND('',#46191,.T.); #26362=FACE_OUTER_BOUND('',#46192,.T.); #26363=FACE_OUTER_BOUND('',#46193,.T.); #26364=FACE_OUTER_BOUND('',#46194,.T.); #26365=FACE_OUTER_BOUND('',#46195,.T.); #26366=FACE_OUTER_BOUND('',#46196,.T.); #26367=FACE_OUTER_BOUND('',#46197,.T.); #26368=FACE_OUTER_BOUND('',#46198,.T.); #26369=FACE_OUTER_BOUND('',#46199,.T.); #26370=FACE_OUTER_BOUND('',#46200,.T.); #26371=FACE_OUTER_BOUND('',#46201,.T.); #26372=FACE_OUTER_BOUND('',#46202,.T.); #26373=FACE_OUTER_BOUND('',#46203,.T.); #26374=FACE_OUTER_BOUND('',#46204,.T.); #26375=FACE_OUTER_BOUND('',#46205,.T.); #26376=FACE_OUTER_BOUND('',#46206,.T.); #26377=FACE_OUTER_BOUND('',#46207,.T.); #26378=FACE_OUTER_BOUND('',#46208,.T.); #26379=FACE_OUTER_BOUND('',#46209,.T.); #26380=FACE_OUTER_BOUND('',#46210,.T.); #26381=FACE_OUTER_BOUND('',#46211,.T.); #26382=FACE_OUTER_BOUND('',#46212,.T.); #26383=FACE_OUTER_BOUND('',#46213,.T.); #26384=FACE_OUTER_BOUND('',#46214,.T.); #26385=FACE_OUTER_BOUND('',#46215,.T.); #26386=FACE_OUTER_BOUND('',#46216,.T.); #26387=FACE_OUTER_BOUND('',#46217,.T.); #26388=FACE_OUTER_BOUND('',#46218,.T.); #26389=FACE_OUTER_BOUND('',#46219,.T.); #26390=FACE_OUTER_BOUND('',#46220,.T.); #26391=FACE_OUTER_BOUND('',#46221,.T.); #26392=FACE_OUTER_BOUND('',#46222,.T.); #26393=FACE_OUTER_BOUND('',#46223,.T.); #26394=FACE_OUTER_BOUND('',#46224,.T.); #26395=FACE_OUTER_BOUND('',#46225,.T.); #26396=FACE_OUTER_BOUND('',#46226,.T.); #26397=FACE_OUTER_BOUND('',#46227,.T.); #26398=FACE_OUTER_BOUND('',#46228,.T.); #26399=FACE_OUTER_BOUND('',#46229,.T.); #26400=FACE_OUTER_BOUND('',#46230,.T.); #26401=FACE_OUTER_BOUND('',#46231,.T.); #26402=FACE_OUTER_BOUND('',#46232,.T.); #26403=FACE_OUTER_BOUND('',#46233,.T.); #26404=FACE_OUTER_BOUND('',#46234,.T.); #26405=FACE_OUTER_BOUND('',#46235,.T.); #26406=FACE_OUTER_BOUND('',#46236,.T.); #26407=FACE_OUTER_BOUND('',#46237,.T.); #26408=FACE_OUTER_BOUND('',#46238,.T.); #26409=FACE_OUTER_BOUND('',#46239,.T.); #26410=FACE_OUTER_BOUND('',#46240,.T.); #26411=FACE_OUTER_BOUND('',#46241,.T.); #26412=FACE_OUTER_BOUND('',#46242,.T.); #26413=FACE_OUTER_BOUND('',#46243,.T.); #26414=FACE_OUTER_BOUND('',#46244,.T.); #26415=FACE_OUTER_BOUND('',#46245,.T.); #26416=FACE_OUTER_BOUND('',#46246,.T.); #26417=FACE_OUTER_BOUND('',#46247,.T.); #26418=FACE_OUTER_BOUND('',#46248,.T.); #26419=FACE_OUTER_BOUND('',#46249,.T.); #26420=FACE_OUTER_BOUND('',#46250,.T.); #26421=FACE_OUTER_BOUND('',#46251,.T.); #26422=FACE_OUTER_BOUND('',#46252,.T.); #26423=FACE_OUTER_BOUND('',#46253,.T.); #26424=FACE_OUTER_BOUND('',#46254,.T.); #26425=FACE_OUTER_BOUND('',#46255,.T.); #26426=FACE_OUTER_BOUND('',#46256,.T.); #26427=FACE_OUTER_BOUND('',#46257,.T.); #26428=FACE_OUTER_BOUND('',#46258,.T.); #26429=FACE_OUTER_BOUND('',#46259,.T.); #26430=FACE_OUTER_BOUND('',#46260,.T.); #26431=FACE_OUTER_BOUND('',#46261,.T.); #26432=FACE_OUTER_BOUND('',#46262,.T.); #26433=FACE_OUTER_BOUND('',#46263,.T.); #26434=FACE_OUTER_BOUND('',#46264,.T.); #26435=FACE_OUTER_BOUND('',#46265,.T.); #26436=FACE_OUTER_BOUND('',#46266,.T.); #26437=FACE_OUTER_BOUND('',#46267,.T.); #26438=FACE_OUTER_BOUND('',#46268,.T.); #26439=FACE_OUTER_BOUND('',#46269,.T.); #26440=FACE_OUTER_BOUND('',#46270,.T.); #26441=FACE_OUTER_BOUND('',#46271,.T.); #26442=FACE_OUTER_BOUND('',#46272,.T.); #26443=FACE_OUTER_BOUND('',#46273,.T.); #26444=FACE_OUTER_BOUND('',#46274,.T.); #26445=FACE_OUTER_BOUND('',#46275,.T.); #26446=FACE_OUTER_BOUND('',#46276,.T.); #26447=FACE_OUTER_BOUND('',#46277,.T.); #26448=FACE_OUTER_BOUND('',#46278,.T.); #26449=FACE_OUTER_BOUND('',#46279,.T.); #26450=FACE_OUTER_BOUND('',#46280,.T.); #26451=FACE_OUTER_BOUND('',#46281,.T.); #26452=FACE_OUTER_BOUND('',#46282,.T.); #26453=FACE_OUTER_BOUND('',#46283,.T.); #26454=FACE_OUTER_BOUND('',#46284,.T.); #26455=FACE_OUTER_BOUND('',#46285,.T.); #26456=FACE_OUTER_BOUND('',#46286,.T.); #26457=FACE_OUTER_BOUND('',#46287,.T.); #26458=FACE_OUTER_BOUND('',#46288,.T.); #26459=FACE_OUTER_BOUND('',#46289,.T.); #26460=FACE_OUTER_BOUND('',#46290,.T.); #26461=FACE_OUTER_BOUND('',#46291,.T.); #26462=FACE_OUTER_BOUND('',#46292,.T.); #26463=FACE_OUTER_BOUND('',#46293,.T.); #26464=FACE_OUTER_BOUND('',#46294,.T.); #26465=FACE_OUTER_BOUND('',#46295,.T.); #26466=FACE_OUTER_BOUND('',#46296,.T.); #26467=FACE_OUTER_BOUND('',#46297,.T.); #26468=FACE_OUTER_BOUND('',#46298,.T.); #26469=FACE_OUTER_BOUND('',#46299,.T.); #26470=FACE_OUTER_BOUND('',#46300,.T.); #26471=FACE_OUTER_BOUND('',#46301,.T.); #26472=FACE_OUTER_BOUND('',#46302,.T.); #26473=FACE_OUTER_BOUND('',#46303,.T.); #26474=FACE_OUTER_BOUND('',#46304,.T.); #26475=FACE_OUTER_BOUND('',#46305,.T.); #26476=FACE_OUTER_BOUND('',#46306,.T.); #26477=FACE_OUTER_BOUND('',#46307,.T.); #26478=FACE_OUTER_BOUND('',#46308,.T.); #26479=FACE_OUTER_BOUND('',#46309,.T.); #26480=FACE_OUTER_BOUND('',#46310,.T.); #26481=FACE_OUTER_BOUND('',#46311,.T.); #26482=FACE_OUTER_BOUND('',#46312,.T.); #26483=FACE_OUTER_BOUND('',#46313,.T.); #26484=FACE_OUTER_BOUND('',#46314,.T.); #26485=FACE_OUTER_BOUND('',#46315,.T.); #26486=FACE_OUTER_BOUND('',#46316,.T.); #26487=FACE_OUTER_BOUND('',#46317,.T.); #26488=FACE_OUTER_BOUND('',#46318,.T.); #26489=FACE_OUTER_BOUND('',#46319,.T.); #26490=FACE_OUTER_BOUND('',#46320,.T.); #26491=FACE_OUTER_BOUND('',#46321,.T.); #26492=FACE_OUTER_BOUND('',#46322,.T.); #26493=FACE_OUTER_BOUND('',#46323,.T.); #26494=FACE_OUTER_BOUND('',#46324,.T.); #26495=FACE_OUTER_BOUND('',#46325,.T.); #26496=FACE_OUTER_BOUND('',#46326,.T.); #26497=FACE_OUTER_BOUND('',#46327,.T.); #26498=FACE_OUTER_BOUND('',#46328,.T.); #26499=FACE_OUTER_BOUND('',#46329,.T.); #26500=FACE_OUTER_BOUND('',#46330,.T.); #26501=FACE_OUTER_BOUND('',#46331,.T.); #26502=FACE_OUTER_BOUND('',#46332,.T.); #26503=FACE_OUTER_BOUND('',#46333,.T.); #26504=FACE_OUTER_BOUND('',#46334,.T.); #26505=FACE_OUTER_BOUND('',#46335,.T.); #26506=FACE_OUTER_BOUND('',#46336,.T.); #26507=FACE_OUTER_BOUND('',#46337,.T.); #26508=FACE_OUTER_BOUND('',#46338,.T.); #26509=FACE_OUTER_BOUND('',#46339,.T.); #26510=FACE_OUTER_BOUND('',#46340,.T.); #26511=FACE_OUTER_BOUND('',#46341,.T.); #26512=FACE_OUTER_BOUND('',#46342,.T.); #26513=FACE_OUTER_BOUND('',#46343,.T.); #26514=FACE_OUTER_BOUND('',#46344,.T.); #26515=FACE_OUTER_BOUND('',#46345,.T.); #26516=FACE_OUTER_BOUND('',#46346,.T.); #26517=FACE_OUTER_BOUND('',#46347,.T.); #26518=FACE_OUTER_BOUND('',#46348,.T.); #26519=FACE_OUTER_BOUND('',#46349,.T.); #26520=FACE_OUTER_BOUND('',#46350,.T.); #26521=FACE_OUTER_BOUND('',#46351,.T.); #26522=FACE_OUTER_BOUND('',#46352,.T.); #26523=FACE_OUTER_BOUND('',#46353,.T.); #26524=FACE_OUTER_BOUND('',#46354,.T.); #26525=FACE_OUTER_BOUND('',#46355,.T.); #26526=FACE_OUTER_BOUND('',#46356,.T.); #26527=FACE_OUTER_BOUND('',#46357,.T.); #26528=FACE_OUTER_BOUND('',#46358,.T.); #26529=FACE_OUTER_BOUND('',#46359,.T.); #26530=FACE_OUTER_BOUND('',#46360,.T.); #26531=FACE_OUTER_BOUND('',#46361,.T.); #26532=FACE_OUTER_BOUND('',#46362,.T.); #26533=FACE_OUTER_BOUND('',#46363,.T.); #26534=FACE_OUTER_BOUND('',#46364,.T.); #26535=FACE_OUTER_BOUND('',#46365,.T.); #26536=FACE_OUTER_BOUND('',#46366,.T.); #26537=FACE_OUTER_BOUND('',#46367,.T.); #26538=FACE_OUTER_BOUND('',#46368,.T.); #26539=FACE_OUTER_BOUND('',#46369,.T.); #26540=FACE_OUTER_BOUND('',#46370,.T.); #26541=FACE_OUTER_BOUND('',#46371,.T.); #26542=FACE_OUTER_BOUND('',#46372,.T.); #26543=FACE_OUTER_BOUND('',#46373,.T.); #26544=FACE_OUTER_BOUND('',#46374,.T.); #26545=FACE_OUTER_BOUND('',#46375,.T.); #26546=FACE_OUTER_BOUND('',#46376,.T.); #26547=FACE_OUTER_BOUND('',#46377,.T.); #26548=FACE_OUTER_BOUND('',#46378,.T.); #26549=FACE_OUTER_BOUND('',#46379,.T.); #26550=FACE_OUTER_BOUND('',#46380,.T.); #26551=FACE_OUTER_BOUND('',#46381,.T.); #26552=FACE_OUTER_BOUND('',#46382,.T.); #26553=FACE_OUTER_BOUND('',#46383,.T.); #26554=FACE_OUTER_BOUND('',#46384,.T.); #26555=FACE_OUTER_BOUND('',#46385,.T.); #26556=FACE_OUTER_BOUND('',#46386,.T.); #26557=FACE_OUTER_BOUND('',#46387,.T.); #26558=FACE_OUTER_BOUND('',#46388,.T.); #26559=FACE_OUTER_BOUND('',#46389,.T.); #26560=FACE_OUTER_BOUND('',#46390,.T.); #26561=FACE_OUTER_BOUND('',#46391,.T.); #26562=FACE_OUTER_BOUND('',#46392,.T.); #26563=FACE_OUTER_BOUND('',#46393,.T.); #26564=FACE_OUTER_BOUND('',#46394,.T.); #26565=FACE_OUTER_BOUND('',#46395,.T.); #26566=FACE_OUTER_BOUND('',#46396,.T.); #26567=FACE_OUTER_BOUND('',#46397,.T.); #26568=FACE_OUTER_BOUND('',#46398,.T.); #26569=FACE_OUTER_BOUND('',#46399,.T.); #26570=FACE_OUTER_BOUND('',#46400,.T.); #26571=FACE_OUTER_BOUND('',#46401,.T.); #26572=FACE_OUTER_BOUND('',#46402,.T.); #26573=FACE_OUTER_BOUND('',#46403,.T.); #26574=FACE_OUTER_BOUND('',#46404,.T.); #26575=FACE_OUTER_BOUND('',#46405,.T.); #26576=FACE_OUTER_BOUND('',#46406,.T.); #26577=FACE_OUTER_BOUND('',#46407,.T.); #26578=FACE_OUTER_BOUND('',#46408,.T.); #26579=FACE_OUTER_BOUND('',#46409,.T.); #26580=FACE_OUTER_BOUND('',#46410,.T.); #26581=FACE_OUTER_BOUND('',#46411,.T.); #26582=FACE_OUTER_BOUND('',#46412,.T.); #26583=FACE_OUTER_BOUND('',#46413,.T.); #26584=FACE_OUTER_BOUND('',#46414,.T.); #26585=FACE_OUTER_BOUND('',#46415,.T.); #26586=FACE_OUTER_BOUND('',#46416,.T.); #26587=FACE_OUTER_BOUND('',#46417,.T.); #26588=FACE_OUTER_BOUND('',#46418,.T.); #26589=FACE_OUTER_BOUND('',#46419,.T.); #26590=FACE_OUTER_BOUND('',#46420,.T.); #26591=FACE_OUTER_BOUND('',#46421,.T.); #26592=FACE_OUTER_BOUND('',#46422,.T.); #26593=FACE_OUTER_BOUND('',#46423,.T.); #26594=FACE_OUTER_BOUND('',#46424,.T.); #26595=FACE_OUTER_BOUND('',#46425,.T.); #26596=FACE_OUTER_BOUND('',#46426,.T.); #26597=FACE_OUTER_BOUND('',#46427,.T.); #26598=FACE_OUTER_BOUND('',#46428,.T.); #26599=FACE_OUTER_BOUND('',#46429,.T.); #26600=FACE_OUTER_BOUND('',#46430,.T.); #26601=FACE_OUTER_BOUND('',#46431,.T.); #26602=FACE_OUTER_BOUND('',#46432,.T.); #26603=FACE_OUTER_BOUND('',#46433,.T.); #26604=FACE_OUTER_BOUND('',#46434,.T.); #26605=FACE_OUTER_BOUND('',#46435,.T.); #26606=FACE_OUTER_BOUND('',#46436,.T.); #26607=FACE_OUTER_BOUND('',#46437,.T.); #26608=FACE_OUTER_BOUND('',#46438,.T.); #26609=FACE_OUTER_BOUND('',#46439,.T.); #26610=FACE_OUTER_BOUND('',#46440,.T.); #26611=FACE_OUTER_BOUND('',#46441,.T.); #26612=FACE_OUTER_BOUND('',#46442,.T.); #26613=FACE_OUTER_BOUND('',#46443,.T.); #26614=FACE_OUTER_BOUND('',#46444,.T.); #26615=FACE_OUTER_BOUND('',#46445,.T.); #26616=FACE_OUTER_BOUND('',#46446,.T.); #26617=FACE_OUTER_BOUND('',#46447,.T.); #26618=FACE_OUTER_BOUND('',#46448,.T.); #26619=FACE_OUTER_BOUND('',#46449,.T.); #26620=FACE_OUTER_BOUND('',#46450,.T.); #26621=FACE_OUTER_BOUND('',#46451,.T.); #26622=FACE_OUTER_BOUND('',#46452,.T.); #26623=FACE_OUTER_BOUND('',#46453,.T.); #26624=FACE_OUTER_BOUND('',#46454,.T.); #26625=FACE_OUTER_BOUND('',#46455,.T.); #26626=FACE_OUTER_BOUND('',#46456,.T.); #26627=FACE_OUTER_BOUND('',#46457,.T.); #26628=FACE_OUTER_BOUND('',#46458,.T.); #26629=FACE_OUTER_BOUND('',#46459,.T.); #26630=FACE_OUTER_BOUND('',#46460,.T.); #26631=FACE_OUTER_BOUND('',#46461,.T.); #26632=FACE_OUTER_BOUND('',#46462,.T.); #26633=FACE_OUTER_BOUND('',#46463,.T.); #26634=FACE_OUTER_BOUND('',#46464,.T.); #26635=FACE_OUTER_BOUND('',#46465,.T.); #26636=FACE_OUTER_BOUND('',#46466,.T.); #26637=FACE_OUTER_BOUND('',#46467,.T.); #26638=FACE_OUTER_BOUND('',#46468,.T.); #26639=FACE_OUTER_BOUND('',#46469,.T.); #26640=FACE_OUTER_BOUND('',#46470,.T.); #26641=FACE_OUTER_BOUND('',#46471,.T.); #26642=FACE_OUTER_BOUND('',#46472,.T.); #26643=FACE_OUTER_BOUND('',#46473,.T.); #26644=FACE_OUTER_BOUND('',#46474,.T.); #26645=FACE_OUTER_BOUND('',#46475,.T.); #26646=FACE_OUTER_BOUND('',#46476,.T.); #26647=FACE_OUTER_BOUND('',#46477,.T.); #26648=FACE_OUTER_BOUND('',#46478,.T.); #26649=FACE_OUTER_BOUND('',#46479,.T.); #26650=FACE_OUTER_BOUND('',#46480,.T.); #26651=FACE_OUTER_BOUND('',#46481,.T.); #26652=FACE_OUTER_BOUND('',#46482,.T.); #26653=FACE_OUTER_BOUND('',#46483,.T.); #26654=FACE_OUTER_BOUND('',#46484,.T.); #26655=FACE_OUTER_BOUND('',#46485,.T.); #26656=FACE_OUTER_BOUND('',#46486,.T.); #26657=FACE_OUTER_BOUND('',#46487,.T.); #26658=FACE_OUTER_BOUND('',#46488,.T.); #26659=FACE_OUTER_BOUND('',#46489,.T.); #26660=FACE_OUTER_BOUND('',#46490,.T.); #26661=FACE_OUTER_BOUND('',#46491,.T.); #26662=FACE_OUTER_BOUND('',#46492,.T.); #26663=FACE_OUTER_BOUND('',#46493,.T.); #26664=FACE_OUTER_BOUND('',#46494,.T.); #26665=FACE_OUTER_BOUND('',#46495,.T.); #26666=FACE_OUTER_BOUND('',#46496,.T.); #26667=FACE_OUTER_BOUND('',#46497,.T.); #26668=FACE_OUTER_BOUND('',#46498,.T.); #26669=FACE_OUTER_BOUND('',#46499,.T.); #26670=FACE_OUTER_BOUND('',#46500,.T.); #26671=FACE_OUTER_BOUND('',#46501,.T.); #26672=FACE_OUTER_BOUND('',#46502,.T.); #26673=FACE_OUTER_BOUND('',#46503,.T.); #26674=FACE_OUTER_BOUND('',#46504,.T.); #26675=FACE_OUTER_BOUND('',#46505,.T.); #26676=FACE_OUTER_BOUND('',#46506,.T.); #26677=FACE_OUTER_BOUND('',#46507,.T.); #26678=FACE_OUTER_BOUND('',#46508,.T.); #26679=FACE_OUTER_BOUND('',#46509,.T.); #26680=FACE_OUTER_BOUND('',#46510,.T.); #26681=FACE_OUTER_BOUND('',#46511,.T.); #26682=FACE_OUTER_BOUND('',#46512,.T.); #26683=FACE_OUTER_BOUND('',#46513,.T.); #26684=FACE_OUTER_BOUND('',#46514,.T.); #26685=FACE_OUTER_BOUND('',#46515,.T.); #26686=FACE_OUTER_BOUND('',#46516,.T.); #26687=FACE_OUTER_BOUND('',#46517,.T.); #26688=FACE_OUTER_BOUND('',#46518,.T.); #26689=FACE_OUTER_BOUND('',#46519,.T.); #26690=FACE_OUTER_BOUND('',#46520,.T.); #26691=FACE_OUTER_BOUND('',#46521,.T.); #26692=FACE_OUTER_BOUND('',#46522,.T.); #26693=FACE_OUTER_BOUND('',#46523,.T.); #26694=FACE_OUTER_BOUND('',#46524,.T.); #26695=FACE_OUTER_BOUND('',#46525,.T.); #26696=FACE_OUTER_BOUND('',#46526,.T.); #26697=FACE_OUTER_BOUND('',#46527,.T.); #26698=FACE_OUTER_BOUND('',#46528,.T.); #26699=FACE_OUTER_BOUND('',#46529,.T.); #26700=FACE_OUTER_BOUND('',#46530,.T.); #26701=FACE_OUTER_BOUND('',#46531,.T.); #26702=FACE_OUTER_BOUND('',#46532,.T.); #26703=FACE_OUTER_BOUND('',#46533,.T.); #26704=FACE_OUTER_BOUND('',#46534,.T.); #26705=FACE_OUTER_BOUND('',#46535,.T.); #26706=FACE_OUTER_BOUND('',#46536,.T.); #26707=FACE_OUTER_BOUND('',#46537,.T.); #26708=FACE_OUTER_BOUND('',#46538,.T.); #26709=FACE_OUTER_BOUND('',#46539,.T.); #26710=FACE_OUTER_BOUND('',#46540,.T.); #26711=FACE_OUTER_BOUND('',#46541,.T.); #26712=FACE_OUTER_BOUND('',#46542,.T.); #26713=FACE_OUTER_BOUND('',#46543,.T.); #26714=FACE_OUTER_BOUND('',#46544,.T.); #26715=FACE_OUTER_BOUND('',#46545,.T.); #26716=FACE_OUTER_BOUND('',#46546,.T.); #26717=FACE_OUTER_BOUND('',#46547,.T.); #26718=FACE_OUTER_BOUND('',#46548,.T.); #26719=FACE_OUTER_BOUND('',#46549,.T.); #26720=FACE_OUTER_BOUND('',#46550,.T.); #26721=FACE_OUTER_BOUND('',#46551,.T.); #26722=FACE_OUTER_BOUND('',#46552,.T.); #26723=FACE_OUTER_BOUND('',#46553,.T.); #26724=FACE_OUTER_BOUND('',#46554,.T.); #26725=FACE_OUTER_BOUND('',#46555,.T.); #26726=FACE_OUTER_BOUND('',#46556,.T.); #26727=FACE_OUTER_BOUND('',#46557,.T.); #26728=FACE_OUTER_BOUND('',#46558,.T.); #26729=FACE_OUTER_BOUND('',#46559,.T.); #26730=FACE_OUTER_BOUND('',#46560,.T.); #26731=FACE_OUTER_BOUND('',#46561,.T.); #26732=FACE_OUTER_BOUND('',#46562,.T.); #26733=FACE_OUTER_BOUND('',#46563,.T.); #26734=FACE_OUTER_BOUND('',#46564,.T.); #26735=FACE_OUTER_BOUND('',#46565,.T.); #26736=FACE_OUTER_BOUND('',#46566,.T.); #26737=FACE_OUTER_BOUND('',#46567,.T.); #26738=FACE_OUTER_BOUND('',#46568,.T.); #26739=FACE_OUTER_BOUND('',#46569,.T.); #26740=FACE_OUTER_BOUND('',#46570,.T.); #26741=FACE_OUTER_BOUND('',#46571,.T.); #26742=FACE_OUTER_BOUND('',#46572,.T.); #26743=FACE_OUTER_BOUND('',#46573,.T.); #26744=FACE_OUTER_BOUND('',#46574,.T.); #26745=FACE_OUTER_BOUND('',#46575,.T.); #26746=FACE_OUTER_BOUND('',#46576,.T.); #26747=FACE_OUTER_BOUND('',#46577,.T.); #26748=FACE_OUTER_BOUND('',#46578,.T.); #26749=FACE_OUTER_BOUND('',#46579,.T.); #26750=FACE_OUTER_BOUND('',#46580,.T.); #26751=FACE_OUTER_BOUND('',#46581,.T.); #26752=FACE_OUTER_BOUND('',#46582,.T.); #26753=FACE_OUTER_BOUND('',#46583,.T.); #26754=FACE_OUTER_BOUND('',#46584,.T.); #26755=FACE_OUTER_BOUND('',#46585,.T.); #26756=FACE_OUTER_BOUND('',#46586,.T.); #26757=FACE_OUTER_BOUND('',#46587,.T.); #26758=FACE_OUTER_BOUND('',#46588,.T.); #26759=FACE_OUTER_BOUND('',#46589,.T.); #26760=FACE_OUTER_BOUND('',#46590,.T.); #26761=FACE_OUTER_BOUND('',#46591,.T.); #26762=FACE_OUTER_BOUND('',#46592,.T.); #26763=FACE_OUTER_BOUND('',#46593,.T.); #26764=FACE_OUTER_BOUND('',#46594,.T.); #26765=FACE_OUTER_BOUND('',#46595,.T.); #26766=FACE_OUTER_BOUND('',#46596,.T.); #26767=FACE_OUTER_BOUND('',#46597,.T.); #26768=FACE_OUTER_BOUND('',#46598,.T.); #26769=FACE_OUTER_BOUND('',#46599,.T.); #26770=FACE_OUTER_BOUND('',#46600,.T.); #26771=FACE_OUTER_BOUND('',#46601,.T.); #26772=FACE_OUTER_BOUND('',#46602,.T.); #26773=FACE_OUTER_BOUND('',#46603,.T.); #26774=FACE_OUTER_BOUND('',#46604,.T.); #26775=FACE_OUTER_BOUND('',#46605,.T.); #26776=FACE_OUTER_BOUND('',#46606,.T.); #26777=FACE_OUTER_BOUND('',#46607,.T.); #26778=FACE_OUTER_BOUND('',#46608,.T.); #26779=FACE_OUTER_BOUND('',#46609,.T.); #26780=FACE_OUTER_BOUND('',#46610,.T.); #26781=FACE_OUTER_BOUND('',#46611,.T.); #26782=FACE_OUTER_BOUND('',#46612,.T.); #26783=FACE_OUTER_BOUND('',#46613,.T.); #26784=FACE_OUTER_BOUND('',#46614,.T.); #26785=FACE_OUTER_BOUND('',#46615,.T.); #26786=FACE_OUTER_BOUND('',#46616,.T.); #26787=FACE_OUTER_BOUND('',#46617,.T.); #26788=FACE_OUTER_BOUND('',#46618,.T.); #26789=FACE_OUTER_BOUND('',#46619,.T.); #26790=FACE_OUTER_BOUND('',#46620,.T.); #26791=FACE_OUTER_BOUND('',#46621,.T.); #26792=FACE_OUTER_BOUND('',#46622,.T.); #26793=FACE_OUTER_BOUND('',#46623,.T.); #26794=FACE_OUTER_BOUND('',#46624,.T.); #26795=FACE_OUTER_BOUND('',#46625,.T.); #26796=FACE_OUTER_BOUND('',#46626,.T.); #26797=FACE_OUTER_BOUND('',#46627,.T.); #26798=FACE_OUTER_BOUND('',#46628,.T.); #26799=FACE_OUTER_BOUND('',#46629,.T.); #26800=FACE_OUTER_BOUND('',#46630,.T.); #26801=FACE_OUTER_BOUND('',#46631,.T.); #26802=FACE_OUTER_BOUND('',#46632,.T.); #26803=FACE_OUTER_BOUND('',#46633,.T.); #26804=FACE_OUTER_BOUND('',#46634,.T.); #26805=FACE_OUTER_BOUND('',#46635,.T.); #26806=FACE_OUTER_BOUND('',#46636,.T.); #26807=FACE_OUTER_BOUND('',#46637,.T.); #26808=FACE_OUTER_BOUND('',#46638,.T.); #26809=FACE_OUTER_BOUND('',#46639,.T.); #26810=FACE_OUTER_BOUND('',#46640,.T.); #26811=FACE_OUTER_BOUND('',#46641,.T.); #26812=FACE_OUTER_BOUND('',#46642,.T.); #26813=FACE_OUTER_BOUND('',#46643,.T.); #26814=FACE_OUTER_BOUND('',#46644,.T.); #26815=FACE_OUTER_BOUND('',#46645,.T.); #26816=FACE_OUTER_BOUND('',#46646,.T.); #26817=FACE_OUTER_BOUND('',#46647,.T.); #26818=FACE_OUTER_BOUND('',#46648,.T.); #26819=FACE_OUTER_BOUND('',#46649,.T.); #26820=FACE_OUTER_BOUND('',#46650,.T.); #26821=FACE_OUTER_BOUND('',#46651,.T.); #26822=FACE_OUTER_BOUND('',#46652,.T.); #26823=FACE_OUTER_BOUND('',#46653,.T.); #26824=FACE_OUTER_BOUND('',#46654,.T.); #26825=FACE_OUTER_BOUND('',#46655,.T.); #26826=FACE_OUTER_BOUND('',#46656,.T.); #26827=FACE_OUTER_BOUND('',#46657,.T.); #26828=FACE_OUTER_BOUND('',#46658,.T.); #26829=FACE_OUTER_BOUND('',#46659,.T.); #26830=FACE_OUTER_BOUND('',#46660,.T.); #26831=FACE_OUTER_BOUND('',#46661,.T.); #26832=FACE_OUTER_BOUND('',#46662,.T.); #26833=FACE_OUTER_BOUND('',#46663,.T.); #26834=FACE_OUTER_BOUND('',#46664,.T.); #26835=FACE_OUTER_BOUND('',#46665,.T.); #26836=FACE_OUTER_BOUND('',#46666,.T.); #26837=FACE_OUTER_BOUND('',#46667,.T.); #26838=FACE_OUTER_BOUND('',#46668,.T.); #26839=FACE_OUTER_BOUND('',#46669,.T.); #26840=FACE_OUTER_BOUND('',#46670,.T.); #26841=FACE_OUTER_BOUND('',#46671,.T.); #26842=FACE_OUTER_BOUND('',#46672,.T.); #26843=FACE_OUTER_BOUND('',#46673,.T.); #26844=FACE_OUTER_BOUND('',#46674,.T.); #26845=FACE_OUTER_BOUND('',#46675,.T.); #26846=FACE_OUTER_BOUND('',#46676,.T.); #26847=FACE_OUTER_BOUND('',#46677,.T.); #26848=FACE_OUTER_BOUND('',#46678,.T.); #26849=FACE_OUTER_BOUND('',#46679,.T.); #26850=FACE_OUTER_BOUND('',#46680,.T.); #26851=FACE_OUTER_BOUND('',#46681,.T.); #26852=FACE_OUTER_BOUND('',#46682,.T.); #26853=FACE_OUTER_BOUND('',#46683,.T.); #26854=FACE_OUTER_BOUND('',#46684,.T.); #26855=FACE_OUTER_BOUND('',#46685,.T.); #26856=FACE_OUTER_BOUND('',#46686,.T.); #26857=FACE_OUTER_BOUND('',#46687,.T.); #26858=FACE_OUTER_BOUND('',#46688,.T.); #26859=FACE_OUTER_BOUND('',#46689,.T.); #26860=FACE_OUTER_BOUND('',#46690,.T.); #26861=FACE_OUTER_BOUND('',#46691,.T.); #26862=FACE_OUTER_BOUND('',#46692,.T.); #26863=FACE_OUTER_BOUND('',#46693,.T.); #26864=FACE_OUTER_BOUND('',#46694,.T.); #26865=FACE_OUTER_BOUND('',#46695,.T.); #26866=FACE_OUTER_BOUND('',#46696,.T.); #26867=FACE_OUTER_BOUND('',#46697,.T.); #26868=FACE_OUTER_BOUND('',#46698,.T.); #26869=FACE_OUTER_BOUND('',#46699,.T.); #26870=FACE_OUTER_BOUND('',#46700,.T.); #26871=FACE_OUTER_BOUND('',#46701,.T.); #26872=FACE_OUTER_BOUND('',#46702,.T.); #26873=FACE_OUTER_BOUND('',#46703,.T.); #26874=FACE_OUTER_BOUND('',#46704,.T.); #26875=FACE_OUTER_BOUND('',#46705,.T.); #26876=FACE_OUTER_BOUND('',#46706,.T.); #26877=FACE_OUTER_BOUND('',#46707,.T.); #26878=FACE_OUTER_BOUND('',#46708,.T.); #26879=FACE_OUTER_BOUND('',#46709,.T.); #26880=FACE_OUTER_BOUND('',#46710,.T.); #26881=FACE_OUTER_BOUND('',#46711,.T.); #26882=FACE_OUTER_BOUND('',#46712,.T.); #26883=FACE_OUTER_BOUND('',#46713,.T.); #26884=FACE_OUTER_BOUND('',#46714,.T.); #26885=FACE_OUTER_BOUND('',#46715,.T.); #26886=FACE_OUTER_BOUND('',#46716,.T.); #26887=FACE_OUTER_BOUND('',#46717,.T.); #26888=FACE_OUTER_BOUND('',#46718,.T.); #26889=FACE_OUTER_BOUND('',#46719,.T.); #26890=FACE_OUTER_BOUND('',#46720,.T.); #26891=FACE_OUTER_BOUND('',#46721,.T.); #26892=FACE_OUTER_BOUND('',#46722,.T.); #26893=FACE_OUTER_BOUND('',#46723,.T.); #26894=FACE_OUTER_BOUND('',#46724,.T.); #26895=FACE_OUTER_BOUND('',#46725,.T.); #26896=FACE_OUTER_BOUND('',#46726,.T.); #26897=FACE_OUTER_BOUND('',#46727,.T.); #26898=FACE_OUTER_BOUND('',#46728,.T.); #26899=FACE_OUTER_BOUND('',#46729,.T.); #26900=FACE_OUTER_BOUND('',#46730,.T.); #26901=FACE_OUTER_BOUND('',#46731,.T.); #26902=FACE_OUTER_BOUND('',#46732,.T.); #26903=FACE_OUTER_BOUND('',#46733,.T.); #26904=FACE_OUTER_BOUND('',#46734,.T.); #26905=FACE_OUTER_BOUND('',#46735,.T.); #26906=FACE_OUTER_BOUND('',#46736,.T.); #26907=FACE_OUTER_BOUND('',#46737,.T.); #26908=FACE_OUTER_BOUND('',#46738,.T.); #26909=FACE_OUTER_BOUND('',#46739,.T.); #26910=FACE_OUTER_BOUND('',#46740,.T.); #26911=FACE_OUTER_BOUND('',#46741,.T.); #26912=FACE_OUTER_BOUND('',#46742,.T.); #26913=FACE_OUTER_BOUND('',#46743,.T.); #26914=FACE_OUTER_BOUND('',#46744,.T.); #26915=FACE_OUTER_BOUND('',#46745,.T.); #26916=FACE_OUTER_BOUND('',#46746,.T.); #26917=FACE_OUTER_BOUND('',#46747,.T.); #26918=FACE_OUTER_BOUND('',#46748,.T.); #26919=FACE_OUTER_BOUND('',#46749,.T.); #26920=FACE_OUTER_BOUND('',#46750,.T.); #26921=FACE_OUTER_BOUND('',#46751,.T.); #26922=FACE_OUTER_BOUND('',#46752,.T.); #26923=FACE_OUTER_BOUND('',#46753,.T.); #26924=FACE_OUTER_BOUND('',#46754,.T.); #26925=FACE_OUTER_BOUND('',#46755,.T.); #26926=FACE_OUTER_BOUND('',#46756,.T.); #26927=FACE_OUTER_BOUND('',#46757,.T.); #26928=FACE_OUTER_BOUND('',#46758,.T.); #26929=FACE_OUTER_BOUND('',#46759,.T.); #26930=FACE_OUTER_BOUND('',#46760,.T.); #26931=FACE_OUTER_BOUND('',#46761,.T.); #26932=FACE_OUTER_BOUND('',#46762,.T.); #26933=FACE_OUTER_BOUND('',#46763,.T.); #26934=FACE_OUTER_BOUND('',#46764,.T.); #26935=FACE_OUTER_BOUND('',#46765,.T.); #26936=FACE_OUTER_BOUND('',#46766,.T.); #26937=FACE_OUTER_BOUND('',#46767,.T.); #26938=FACE_OUTER_BOUND('',#46768,.T.); #26939=FACE_OUTER_BOUND('',#46769,.T.); #26940=FACE_OUTER_BOUND('',#46770,.T.); #26941=FACE_OUTER_BOUND('',#46771,.T.); #26942=FACE_OUTER_BOUND('',#46772,.T.); #26943=FACE_OUTER_BOUND('',#46773,.T.); #26944=FACE_OUTER_BOUND('',#46774,.T.); #26945=FACE_OUTER_BOUND('',#46775,.T.); #26946=FACE_OUTER_BOUND('',#46776,.T.); #26947=FACE_OUTER_BOUND('',#46777,.T.); #26948=FACE_OUTER_BOUND('',#46778,.T.); #26949=FACE_OUTER_BOUND('',#46779,.T.); #26950=FACE_OUTER_BOUND('',#46780,.T.); #26951=FACE_OUTER_BOUND('',#46781,.T.); #26952=FACE_OUTER_BOUND('',#46782,.T.); #26953=FACE_OUTER_BOUND('',#46783,.T.); #26954=FACE_OUTER_BOUND('',#46784,.T.); #26955=FACE_OUTER_BOUND('',#46785,.T.); #26956=FACE_OUTER_BOUND('',#46786,.T.); #26957=FACE_OUTER_BOUND('',#46787,.T.); #26958=FACE_OUTER_BOUND('',#46788,.T.); #26959=FACE_OUTER_BOUND('',#46789,.T.); #26960=FACE_OUTER_BOUND('',#46790,.T.); #26961=FACE_OUTER_BOUND('',#46791,.T.); #26962=FACE_OUTER_BOUND('',#46792,.T.); #26963=FACE_OUTER_BOUND('',#46793,.T.); #26964=FACE_OUTER_BOUND('',#46794,.T.); #26965=FACE_OUTER_BOUND('',#46795,.T.); #26966=FACE_OUTER_BOUND('',#46796,.T.); #26967=FACE_OUTER_BOUND('',#46797,.T.); #26968=FACE_OUTER_BOUND('',#46798,.T.); #26969=FACE_OUTER_BOUND('',#46799,.T.); #26970=FACE_OUTER_BOUND('',#46800,.T.); #26971=FACE_OUTER_BOUND('',#46801,.T.); #26972=FACE_OUTER_BOUND('',#46802,.T.); #26973=FACE_OUTER_BOUND('',#46803,.T.); #26974=FACE_OUTER_BOUND('',#46804,.T.); #26975=FACE_OUTER_BOUND('',#46805,.T.); #26976=FACE_OUTER_BOUND('',#46806,.T.); #26977=FACE_OUTER_BOUND('',#46807,.T.); #26978=FACE_OUTER_BOUND('',#46808,.T.); #26979=FACE_OUTER_BOUND('',#46809,.T.); #26980=FACE_OUTER_BOUND('',#46810,.T.); #26981=FACE_OUTER_BOUND('',#46811,.T.); #26982=FACE_OUTER_BOUND('',#46812,.T.); #26983=FACE_OUTER_BOUND('',#46813,.T.); #26984=FACE_OUTER_BOUND('',#46814,.T.); #26985=FACE_OUTER_BOUND('',#46815,.T.); #26986=FACE_OUTER_BOUND('',#46816,.T.); #26987=FACE_OUTER_BOUND('',#46817,.T.); #26988=FACE_OUTER_BOUND('',#46818,.T.); #26989=FACE_OUTER_BOUND('',#46819,.T.); #26990=FACE_OUTER_BOUND('',#46820,.T.); #26991=FACE_OUTER_BOUND('',#46821,.T.); #26992=FACE_OUTER_BOUND('',#46822,.T.); #26993=FACE_OUTER_BOUND('',#46823,.T.); #26994=FACE_OUTER_BOUND('',#46824,.T.); #26995=FACE_OUTER_BOUND('',#46825,.T.); #26996=FACE_OUTER_BOUND('',#46826,.T.); #26997=FACE_OUTER_BOUND('',#46827,.T.); #26998=FACE_OUTER_BOUND('',#46828,.T.); #26999=FACE_OUTER_BOUND('',#46829,.T.); #27000=FACE_OUTER_BOUND('',#46830,.T.); #27001=FACE_OUTER_BOUND('',#46831,.T.); #27002=FACE_OUTER_BOUND('',#46832,.T.); #27003=FACE_OUTER_BOUND('',#46833,.T.); #27004=FACE_OUTER_BOUND('',#46834,.T.); #27005=FACE_OUTER_BOUND('',#46835,.T.); #27006=FACE_OUTER_BOUND('',#46836,.T.); #27007=FACE_OUTER_BOUND('',#46837,.T.); #27008=FACE_OUTER_BOUND('',#46838,.T.); #27009=FACE_OUTER_BOUND('',#46839,.T.); #27010=FACE_OUTER_BOUND('',#46840,.T.); #27011=FACE_OUTER_BOUND('',#46841,.T.); #27012=FACE_OUTER_BOUND('',#46842,.T.); #27013=FACE_OUTER_BOUND('',#46843,.T.); #27014=FACE_OUTER_BOUND('',#46844,.T.); #27015=FACE_OUTER_BOUND('',#46845,.T.); #27016=FACE_OUTER_BOUND('',#46846,.T.); #27017=FACE_OUTER_BOUND('',#46847,.T.); #27018=FACE_OUTER_BOUND('',#46848,.T.); #27019=FACE_OUTER_BOUND('',#46849,.T.); #27020=FACE_OUTER_BOUND('',#46850,.T.); #27021=FACE_OUTER_BOUND('',#46851,.T.); #27022=FACE_OUTER_BOUND('',#46852,.T.); #27023=FACE_OUTER_BOUND('',#46853,.T.); #27024=FACE_OUTER_BOUND('',#46854,.T.); #27025=FACE_OUTER_BOUND('',#46855,.T.); #27026=FACE_OUTER_BOUND('',#46856,.T.); #27027=FACE_OUTER_BOUND('',#46857,.T.); #27028=FACE_OUTER_BOUND('',#46858,.T.); #27029=FACE_OUTER_BOUND('',#46859,.T.); #27030=FACE_OUTER_BOUND('',#46860,.T.); #27031=FACE_OUTER_BOUND('',#46861,.T.); #27032=FACE_OUTER_BOUND('',#46862,.T.); #27033=FACE_OUTER_BOUND('',#46863,.T.); #27034=FACE_OUTER_BOUND('',#46864,.T.); #27035=FACE_OUTER_BOUND('',#46865,.T.); #27036=FACE_OUTER_BOUND('',#46866,.T.); #27037=FACE_OUTER_BOUND('',#46867,.T.); #27038=FACE_OUTER_BOUND('',#46868,.T.); #27039=FACE_OUTER_BOUND('',#46869,.T.); #27040=FACE_OUTER_BOUND('',#46870,.T.); #27041=FACE_OUTER_BOUND('',#46871,.T.); #27042=FACE_OUTER_BOUND('',#46872,.T.); #27043=FACE_OUTER_BOUND('',#46873,.T.); #27044=FACE_OUTER_BOUND('',#46874,.T.); #27045=FACE_OUTER_BOUND('',#46875,.T.); #27046=FACE_OUTER_BOUND('',#46876,.T.); #27047=FACE_OUTER_BOUND('',#46877,.T.); #27048=FACE_OUTER_BOUND('',#46878,.T.); #27049=FACE_OUTER_BOUND('',#46879,.T.); #27050=FACE_OUTER_BOUND('',#46880,.T.); #27051=FACE_OUTER_BOUND('',#46881,.T.); #27052=FACE_OUTER_BOUND('',#46882,.T.); #27053=FACE_OUTER_BOUND('',#46883,.T.); #27054=FACE_OUTER_BOUND('',#46884,.T.); #27055=FACE_OUTER_BOUND('',#46885,.T.); #27056=FACE_OUTER_BOUND('',#46886,.T.); #27057=FACE_OUTER_BOUND('',#46887,.T.); #27058=FACE_OUTER_BOUND('',#46888,.T.); #27059=FACE_OUTER_BOUND('',#46889,.T.); #27060=FACE_OUTER_BOUND('',#46890,.T.); #27061=FACE_OUTER_BOUND('',#46891,.T.); #27062=FACE_OUTER_BOUND('',#46892,.T.); #27063=FACE_OUTER_BOUND('',#46893,.T.); #27064=FACE_OUTER_BOUND('',#46894,.T.); #27065=FACE_OUTER_BOUND('',#46895,.T.); #27066=FACE_OUTER_BOUND('',#46896,.T.); #27067=FACE_OUTER_BOUND('',#46897,.T.); #27068=FACE_OUTER_BOUND('',#46898,.T.); #27069=FACE_OUTER_BOUND('',#46899,.T.); #27070=FACE_OUTER_BOUND('',#46900,.T.); #27071=FACE_OUTER_BOUND('',#46901,.T.); #27072=FACE_OUTER_BOUND('',#46902,.T.); #27073=FACE_OUTER_BOUND('',#46903,.T.); #27074=FACE_OUTER_BOUND('',#46904,.T.); #27075=FACE_OUTER_BOUND('',#46905,.T.); #27076=FACE_OUTER_BOUND('',#46906,.T.); #27077=FACE_OUTER_BOUND('',#46907,.T.); #27078=FACE_OUTER_BOUND('',#46908,.T.); #27079=FACE_OUTER_BOUND('',#46909,.T.); #27080=FACE_OUTER_BOUND('',#46910,.T.); #27081=FACE_OUTER_BOUND('',#46911,.T.); #27082=FACE_OUTER_BOUND('',#46912,.T.); #27083=FACE_OUTER_BOUND('',#46913,.T.); #27084=FACE_OUTER_BOUND('',#46914,.T.); #27085=FACE_OUTER_BOUND('',#46915,.T.); #27086=FACE_OUTER_BOUND('',#46916,.T.); #27087=FACE_OUTER_BOUND('',#46917,.T.); #27088=FACE_OUTER_BOUND('',#46918,.T.); #27089=FACE_OUTER_BOUND('',#46919,.T.); #27090=FACE_OUTER_BOUND('',#46920,.T.); #27091=FACE_OUTER_BOUND('',#46921,.T.); #27092=FACE_OUTER_BOUND('',#46922,.T.); #27093=FACE_OUTER_BOUND('',#46923,.T.); #27094=FACE_OUTER_BOUND('',#46924,.T.); #27095=FACE_OUTER_BOUND('',#46925,.T.); #27096=FACE_OUTER_BOUND('',#46926,.T.); #27097=FACE_OUTER_BOUND('',#46927,.T.); #27098=FACE_OUTER_BOUND('',#46928,.T.); #27099=FACE_OUTER_BOUND('',#46929,.T.); #27100=FACE_OUTER_BOUND('',#46930,.T.); #27101=FACE_OUTER_BOUND('',#46931,.T.); #27102=FACE_OUTER_BOUND('',#46932,.T.); #27103=FACE_OUTER_BOUND('',#46933,.T.); #27104=FACE_OUTER_BOUND('',#46934,.T.); #27105=FACE_OUTER_BOUND('',#46935,.T.); #27106=FACE_OUTER_BOUND('',#46936,.T.); #27107=FACE_OUTER_BOUND('',#46937,.T.); #27108=FACE_OUTER_BOUND('',#46938,.T.); #27109=FACE_OUTER_BOUND('',#46939,.T.); #27110=FACE_OUTER_BOUND('',#46940,.T.); #27111=FACE_OUTER_BOUND('',#46941,.T.); #27112=FACE_OUTER_BOUND('',#46942,.T.); #27113=FACE_OUTER_BOUND('',#46943,.T.); #27114=FACE_OUTER_BOUND('',#46944,.T.); #27115=FACE_OUTER_BOUND('',#46945,.T.); #27116=FACE_OUTER_BOUND('',#46946,.T.); #27117=FACE_OUTER_BOUND('',#46947,.T.); #27118=FACE_OUTER_BOUND('',#46948,.T.); #27119=FACE_OUTER_BOUND('',#46949,.T.); #27120=FACE_OUTER_BOUND('',#46950,.T.); #27121=FACE_OUTER_BOUND('',#46951,.T.); #27122=FACE_OUTER_BOUND('',#46952,.T.); #27123=FACE_OUTER_BOUND('',#46953,.T.); #27124=FACE_OUTER_BOUND('',#46954,.T.); #27125=FACE_OUTER_BOUND('',#46955,.T.); #27126=FACE_OUTER_BOUND('',#46956,.T.); #27127=FACE_OUTER_BOUND('',#46957,.T.); #27128=FACE_OUTER_BOUND('',#46958,.T.); #27129=FACE_OUTER_BOUND('',#46959,.T.); #27130=FACE_OUTER_BOUND('',#46960,.T.); #27131=FACE_OUTER_BOUND('',#46961,.T.); #27132=FACE_OUTER_BOUND('',#46962,.T.); #27133=FACE_OUTER_BOUND('',#46963,.T.); #27134=FACE_OUTER_BOUND('',#46964,.T.); #27135=FACE_OUTER_BOUND('',#46965,.T.); #27136=FACE_OUTER_BOUND('',#46966,.T.); #27137=FACE_OUTER_BOUND('',#46967,.T.); #27138=FACE_OUTER_BOUND('',#46968,.T.); #27139=FACE_OUTER_BOUND('',#46969,.T.); #27140=FACE_OUTER_BOUND('',#46970,.T.); #27141=FACE_OUTER_BOUND('',#46971,.T.); #27142=FACE_OUTER_BOUND('',#46972,.T.); #27143=FACE_OUTER_BOUND('',#46973,.T.); #27144=FACE_OUTER_BOUND('',#46974,.T.); #27145=FACE_OUTER_BOUND('',#46975,.T.); #27146=FACE_OUTER_BOUND('',#46976,.T.); #27147=FACE_OUTER_BOUND('',#46977,.T.); #27148=FACE_OUTER_BOUND('',#46978,.T.); #27149=FACE_OUTER_BOUND('',#46979,.T.); #27150=FACE_OUTER_BOUND('',#46980,.T.); #27151=FACE_OUTER_BOUND('',#46981,.T.); #27152=FACE_OUTER_BOUND('',#46982,.T.); #27153=FACE_OUTER_BOUND('',#46983,.T.); #27154=FACE_OUTER_BOUND('',#46984,.T.); #27155=FACE_OUTER_BOUND('',#46985,.T.); #27156=FACE_OUTER_BOUND('',#46986,.T.); #27157=FACE_OUTER_BOUND('',#46987,.T.); #27158=FACE_OUTER_BOUND('',#46988,.T.); #27159=FACE_OUTER_BOUND('',#46989,.T.); #27160=FACE_OUTER_BOUND('',#46990,.T.); #27161=FACE_OUTER_BOUND('',#46991,.T.); #27162=FACE_OUTER_BOUND('',#46992,.T.); #27163=FACE_OUTER_BOUND('',#46993,.T.); #27164=FACE_OUTER_BOUND('',#46994,.T.); #27165=FACE_OUTER_BOUND('',#46995,.T.); #27166=FACE_OUTER_BOUND('',#46996,.T.); #27167=FACE_OUTER_BOUND('',#46997,.T.); #27168=FACE_OUTER_BOUND('',#46998,.T.); #27169=FACE_OUTER_BOUND('',#46999,.T.); #27170=FACE_OUTER_BOUND('',#47000,.T.); #27171=FACE_OUTER_BOUND('',#47001,.T.); #27172=FACE_OUTER_BOUND('',#47002,.T.); #27173=FACE_OUTER_BOUND('',#47003,.T.); #27174=FACE_OUTER_BOUND('',#47004,.T.); #27175=FACE_OUTER_BOUND('',#47005,.T.); #27176=FACE_OUTER_BOUND('',#47006,.T.); #27177=FACE_OUTER_BOUND('',#47007,.T.); #27178=FACE_OUTER_BOUND('',#47008,.T.); #27179=FACE_OUTER_BOUND('',#47009,.T.); #27180=FACE_OUTER_BOUND('',#47010,.T.); #27181=FACE_OUTER_BOUND('',#47011,.T.); #27182=FACE_OUTER_BOUND('',#47012,.T.); #27183=FACE_OUTER_BOUND('',#47013,.T.); #27184=FACE_OUTER_BOUND('',#47014,.T.); #27185=FACE_OUTER_BOUND('',#47015,.T.); #27186=FACE_OUTER_BOUND('',#47016,.T.); #27187=FACE_OUTER_BOUND('',#47017,.T.); #27188=FACE_OUTER_BOUND('',#47018,.T.); #27189=FACE_OUTER_BOUND('',#47019,.T.); #27190=FACE_OUTER_BOUND('',#47020,.T.); #27191=FACE_OUTER_BOUND('',#47021,.T.); #27192=FACE_OUTER_BOUND('',#47022,.T.); #27193=FACE_OUTER_BOUND('',#47023,.T.); #27194=FACE_OUTER_BOUND('',#47024,.T.); #27195=FACE_OUTER_BOUND('',#47025,.T.); #27196=FACE_OUTER_BOUND('',#47026,.T.); #27197=FACE_OUTER_BOUND('',#47027,.T.); #27198=FACE_OUTER_BOUND('',#47028,.T.); #27199=FACE_OUTER_BOUND('',#47029,.T.); #27200=FACE_OUTER_BOUND('',#47030,.T.); #27201=FACE_OUTER_BOUND('',#47031,.T.); #27202=FACE_OUTER_BOUND('',#47032,.T.); #27203=FACE_OUTER_BOUND('',#47033,.T.); #27204=FACE_OUTER_BOUND('',#47034,.T.); #27205=FACE_OUTER_BOUND('',#47035,.T.); #27206=FACE_OUTER_BOUND('',#47036,.T.); #27207=FACE_OUTER_BOUND('',#47037,.T.); #27208=FACE_OUTER_BOUND('',#47038,.T.); #27209=FACE_OUTER_BOUND('',#47039,.T.); #27210=FACE_OUTER_BOUND('',#47040,.T.); #27211=FACE_OUTER_BOUND('',#47041,.T.); #27212=FACE_OUTER_BOUND('',#47042,.T.); #27213=FACE_OUTER_BOUND('',#47043,.T.); #27214=FACE_OUTER_BOUND('',#47044,.T.); #27215=FACE_OUTER_BOUND('',#47045,.T.); #27216=FACE_OUTER_BOUND('',#47046,.T.); #27217=FACE_OUTER_BOUND('',#47047,.T.); #27218=FACE_OUTER_BOUND('',#47048,.T.); #27219=FACE_OUTER_BOUND('',#47049,.T.); #27220=FACE_OUTER_BOUND('',#47050,.T.); #27221=FACE_OUTER_BOUND('',#47051,.T.); #27222=FACE_OUTER_BOUND('',#47052,.T.); #27223=FACE_OUTER_BOUND('',#47053,.T.); #27224=FACE_OUTER_BOUND('',#47054,.T.); #27225=FACE_OUTER_BOUND('',#47055,.T.); #27226=FACE_OUTER_BOUND('',#47056,.T.); #27227=FACE_OUTER_BOUND('',#47057,.T.); #27228=FACE_OUTER_BOUND('',#47058,.T.); #27229=FACE_OUTER_BOUND('',#47059,.T.); #27230=FACE_OUTER_BOUND('',#47060,.T.); #27231=FACE_OUTER_BOUND('',#47061,.T.); #27232=FACE_OUTER_BOUND('',#47062,.T.); #27233=FACE_OUTER_BOUND('',#47063,.T.); #27234=FACE_OUTER_BOUND('',#47064,.T.); #27235=FACE_OUTER_BOUND('',#47065,.T.); #27236=FACE_OUTER_BOUND('',#47066,.T.); #27237=FACE_OUTER_BOUND('',#47067,.T.); #27238=FACE_OUTER_BOUND('',#47068,.T.); #27239=FACE_OUTER_BOUND('',#47069,.T.); #27240=FACE_OUTER_BOUND('',#47070,.T.); #27241=FACE_OUTER_BOUND('',#47071,.T.); #27242=FACE_OUTER_BOUND('',#47072,.T.); #27243=FACE_OUTER_BOUND('',#47073,.T.); #27244=FACE_OUTER_BOUND('',#47074,.T.); #27245=FACE_OUTER_BOUND('',#47075,.T.); #27246=FACE_OUTER_BOUND('',#47076,.T.); #27247=FACE_OUTER_BOUND('',#47077,.T.); #27248=FACE_OUTER_BOUND('',#47078,.T.); #27249=FACE_OUTER_BOUND('',#47079,.T.); #27250=FACE_OUTER_BOUND('',#47080,.T.); #27251=FACE_OUTER_BOUND('',#47081,.T.); #27252=FACE_OUTER_BOUND('',#47082,.T.); #27253=FACE_OUTER_BOUND('',#47083,.T.); #27254=FACE_OUTER_BOUND('',#47084,.T.); #27255=FACE_OUTER_BOUND('',#47085,.T.); #27256=FACE_OUTER_BOUND('',#47086,.T.); #27257=FACE_OUTER_BOUND('',#47087,.T.); #27258=FACE_OUTER_BOUND('',#47088,.T.); #27259=FACE_OUTER_BOUND('',#47089,.T.); #27260=FACE_OUTER_BOUND('',#47090,.T.); #27261=FACE_OUTER_BOUND('',#47091,.T.); #27262=FACE_OUTER_BOUND('',#47092,.T.); #27263=FACE_OUTER_BOUND('',#47093,.T.); #27264=FACE_OUTER_BOUND('',#47094,.T.); #27265=FACE_OUTER_BOUND('',#47095,.T.); #27266=FACE_OUTER_BOUND('',#47096,.T.); #27267=FACE_OUTER_BOUND('',#47097,.T.); #27268=FACE_OUTER_BOUND('',#47098,.T.); #27269=FACE_OUTER_BOUND('',#47099,.T.); #27270=FACE_OUTER_BOUND('',#47100,.T.); #27271=FACE_OUTER_BOUND('',#47101,.T.); #27272=FACE_OUTER_BOUND('',#47102,.T.); #27273=FACE_OUTER_BOUND('',#47103,.T.); #27274=FACE_OUTER_BOUND('',#47104,.T.); #27275=FACE_OUTER_BOUND('',#47105,.T.); #27276=FACE_OUTER_BOUND('',#47106,.T.); #27277=FACE_OUTER_BOUND('',#47107,.T.); #27278=FACE_OUTER_BOUND('',#47108,.T.); #27279=FACE_OUTER_BOUND('',#47109,.T.); #27280=FACE_OUTER_BOUND('',#47110,.T.); #27281=FACE_OUTER_BOUND('',#47111,.T.); #27282=FACE_OUTER_BOUND('',#47112,.T.); #27283=FACE_OUTER_BOUND('',#47113,.T.); #27284=FACE_OUTER_BOUND('',#47114,.T.); #27285=FACE_OUTER_BOUND('',#47115,.T.); #27286=FACE_OUTER_BOUND('',#47116,.T.); #27287=FACE_OUTER_BOUND('',#47117,.T.); #27288=FACE_OUTER_BOUND('',#47118,.T.); #27289=FACE_OUTER_BOUND('',#47119,.T.); #27290=FACE_OUTER_BOUND('',#47120,.T.); #27291=FACE_OUTER_BOUND('',#47121,.T.); #27292=FACE_OUTER_BOUND('',#47122,.T.); #27293=FACE_OUTER_BOUND('',#47123,.T.); #27294=FACE_OUTER_BOUND('',#47124,.T.); #27295=FACE_OUTER_BOUND('',#47125,.T.); #27296=FACE_OUTER_BOUND('',#47126,.T.); #27297=FACE_OUTER_BOUND('',#47127,.T.); #27298=FACE_OUTER_BOUND('',#47128,.T.); #27299=FACE_OUTER_BOUND('',#47129,.T.); #27300=FACE_OUTER_BOUND('',#47130,.T.); #27301=FACE_OUTER_BOUND('',#47131,.T.); #27302=FACE_OUTER_BOUND('',#47132,.T.); #27303=FACE_OUTER_BOUND('',#47133,.T.); #27304=FACE_OUTER_BOUND('',#47134,.T.); #27305=FACE_OUTER_BOUND('',#47135,.T.); #27306=FACE_OUTER_BOUND('',#47136,.T.); #27307=FACE_OUTER_BOUND('',#47137,.T.); #27308=FACE_OUTER_BOUND('',#47138,.T.); #27309=FACE_OUTER_BOUND('',#47139,.T.); #27310=FACE_OUTER_BOUND('',#47140,.T.); #27311=FACE_OUTER_BOUND('',#47141,.T.); #27312=FACE_OUTER_BOUND('',#47142,.T.); #27313=FACE_OUTER_BOUND('',#47143,.T.); #27314=FACE_OUTER_BOUND('',#47144,.T.); #27315=FACE_OUTER_BOUND('',#47145,.T.); #27316=FACE_OUTER_BOUND('',#47146,.T.); #27317=FACE_OUTER_BOUND('',#47147,.T.); #27318=FACE_OUTER_BOUND('',#47148,.T.); #27319=FACE_OUTER_BOUND('',#47149,.T.); #27320=FACE_OUTER_BOUND('',#47150,.T.); #27321=FACE_OUTER_BOUND('',#47151,.T.); #27322=FACE_OUTER_BOUND('',#47152,.T.); #27323=FACE_OUTER_BOUND('',#47153,.T.); #27324=FACE_OUTER_BOUND('',#47154,.T.); #27325=FACE_OUTER_BOUND('',#47155,.T.); #27326=FACE_OUTER_BOUND('',#47156,.T.); #27327=FACE_OUTER_BOUND('',#47157,.T.); #27328=FACE_OUTER_BOUND('',#47158,.T.); #27329=FACE_OUTER_BOUND('',#47159,.T.); #27330=FACE_OUTER_BOUND('',#47160,.T.); #27331=FACE_OUTER_BOUND('',#47161,.T.); #27332=FACE_OUTER_BOUND('',#47162,.T.); #27333=FACE_OUTER_BOUND('',#47163,.T.); #27334=FACE_OUTER_BOUND('',#47164,.T.); #27335=FACE_OUTER_BOUND('',#47165,.T.); #27336=FACE_OUTER_BOUND('',#47166,.T.); #27337=FACE_OUTER_BOUND('',#47167,.T.); #27338=FACE_OUTER_BOUND('',#47168,.T.); #27339=FACE_OUTER_BOUND('',#47169,.T.); #27340=FACE_OUTER_BOUND('',#47170,.T.); #27341=FACE_OUTER_BOUND('',#47171,.T.); #27342=FACE_OUTER_BOUND('',#47172,.T.); #27343=FACE_OUTER_BOUND('',#47173,.T.); #27344=FACE_OUTER_BOUND('',#47174,.T.); #27345=FACE_OUTER_BOUND('',#47175,.T.); #27346=FACE_OUTER_BOUND('',#47176,.T.); #27347=FACE_OUTER_BOUND('',#47177,.T.); #27348=FACE_OUTER_BOUND('',#47178,.T.); #27349=FACE_OUTER_BOUND('',#47179,.T.); #27350=FACE_OUTER_BOUND('',#47180,.T.); #27351=FACE_OUTER_BOUND('',#47181,.T.); #27352=FACE_OUTER_BOUND('',#47182,.T.); #27353=FACE_OUTER_BOUND('',#47183,.T.); #27354=FACE_OUTER_BOUND('',#47184,.T.); #27355=FACE_OUTER_BOUND('',#47185,.T.); #27356=FACE_OUTER_BOUND('',#47186,.T.); #27357=FACE_OUTER_BOUND('',#47187,.T.); #27358=FACE_OUTER_BOUND('',#47188,.T.); #27359=FACE_OUTER_BOUND('',#47189,.T.); #27360=FACE_OUTER_BOUND('',#47190,.T.); #27361=FACE_OUTER_BOUND('',#47191,.T.); #27362=FACE_OUTER_BOUND('',#47192,.T.); #27363=FACE_OUTER_BOUND('',#47193,.T.); #27364=FACE_OUTER_BOUND('',#47194,.T.); #27365=FACE_OUTER_BOUND('',#47195,.T.); #27366=FACE_OUTER_BOUND('',#47196,.T.); #27367=FACE_OUTER_BOUND('',#47197,.T.); #27368=FACE_OUTER_BOUND('',#47198,.T.); #27369=FACE_OUTER_BOUND('',#47199,.T.); #27370=FACE_OUTER_BOUND('',#47200,.T.); #27371=FACE_OUTER_BOUND('',#47201,.T.); #27372=FACE_OUTER_BOUND('',#47202,.T.); #27373=FACE_OUTER_BOUND('',#47203,.T.); #27374=FACE_OUTER_BOUND('',#47204,.T.); #27375=FACE_OUTER_BOUND('',#47205,.T.); #27376=FACE_OUTER_BOUND('',#47206,.T.); #27377=FACE_OUTER_BOUND('',#47207,.T.); #27378=FACE_OUTER_BOUND('',#47208,.T.); #27379=FACE_OUTER_BOUND('',#47209,.T.); #27380=FACE_OUTER_BOUND('',#47210,.T.); #27381=FACE_OUTER_BOUND('',#47211,.T.); #27382=FACE_OUTER_BOUND('',#47212,.T.); #27383=FACE_OUTER_BOUND('',#47213,.T.); #27384=FACE_OUTER_BOUND('',#47214,.T.); #27385=FACE_OUTER_BOUND('',#47215,.T.); #27386=FACE_OUTER_BOUND('',#47216,.T.); #27387=FACE_OUTER_BOUND('',#47217,.T.); #27388=FACE_OUTER_BOUND('',#47218,.T.); #27389=FACE_OUTER_BOUND('',#47219,.T.); #27390=FACE_OUTER_BOUND('',#47220,.T.); #27391=FACE_OUTER_BOUND('',#47221,.T.); #27392=FACE_OUTER_BOUND('',#47222,.T.); #27393=FACE_OUTER_BOUND('',#47223,.T.); #27394=FACE_OUTER_BOUND('',#47224,.T.); #27395=FACE_OUTER_BOUND('',#47225,.T.); #27396=FACE_OUTER_BOUND('',#47226,.T.); #27397=FACE_OUTER_BOUND('',#47227,.T.); #27398=FACE_OUTER_BOUND('',#47228,.T.); #27399=FACE_OUTER_BOUND('',#47229,.T.); #27400=FACE_OUTER_BOUND('',#47230,.T.); #27401=FACE_OUTER_BOUND('',#47231,.T.); #27402=FACE_OUTER_BOUND('',#47232,.T.); #27403=FACE_OUTER_BOUND('',#47233,.T.); #27404=FACE_OUTER_BOUND('',#47234,.T.); #27405=FACE_OUTER_BOUND('',#47235,.T.); #27406=FACE_OUTER_BOUND('',#47236,.T.); #27407=FACE_OUTER_BOUND('',#47237,.T.); #27408=FACE_OUTER_BOUND('',#47238,.T.); #27409=FACE_OUTER_BOUND('',#47239,.T.); #27410=FACE_OUTER_BOUND('',#47240,.T.); #27411=FACE_OUTER_BOUND('',#47241,.T.); #27412=FACE_OUTER_BOUND('',#47242,.T.); #27413=FACE_OUTER_BOUND('',#47243,.T.); #27414=FACE_OUTER_BOUND('',#47244,.T.); #27415=FACE_OUTER_BOUND('',#47245,.T.); #27416=FACE_OUTER_BOUND('',#47316,.T.); #27417=FACE_OUTER_BOUND('',#47387,.T.); #27418=FACE_OUTER_BOUND('',#47388,.T.); #27419=FACE_OUTER_BOUND('',#47389,.T.); #27420=FACE_OUTER_BOUND('',#47390,.T.); #27421=FACE_OUTER_BOUND('',#47391,.T.); #27422=FACE_OUTER_BOUND('',#47392,.T.); #27423=FACE_OUTER_BOUND('',#47393,.T.); #27424=FACE_OUTER_BOUND('',#47394,.T.); #27425=FACE_OUTER_BOUND('',#47395,.T.); #27426=FACE_OUTER_BOUND('',#47396,.T.); #27427=FACE_OUTER_BOUND('',#47397,.T.); #27428=FACE_OUTER_BOUND('',#47398,.T.); #27429=FACE_OUTER_BOUND('',#47399,.T.); #27430=FACE_OUTER_BOUND('',#47400,.T.); #27431=FACE_OUTER_BOUND('',#47401,.T.); #27432=FACE_OUTER_BOUND('',#47402,.T.); #27433=FACE_OUTER_BOUND('',#47403,.T.); #27434=FACE_OUTER_BOUND('',#47404,.T.); #27435=FACE_OUTER_BOUND('',#47405,.T.); #27436=FACE_OUTER_BOUND('',#47406,.T.); #27437=FACE_OUTER_BOUND('',#47407,.T.); #27438=FACE_OUTER_BOUND('',#47408,.T.); #27439=FACE_OUTER_BOUND('',#47409,.T.); #27440=FACE_OUTER_BOUND('',#47410,.T.); #27441=FACE_OUTER_BOUND('',#47411,.T.); #27442=FACE_OUTER_BOUND('',#47412,.T.); #27443=FACE_OUTER_BOUND('',#47413,.T.); #27444=FACE_OUTER_BOUND('',#47414,.T.); #27445=FACE_OUTER_BOUND('',#47415,.T.); #27446=FACE_OUTER_BOUND('',#47416,.T.); #27447=FACE_OUTER_BOUND('',#47417,.T.); #27448=FACE_OUTER_BOUND('',#47418,.T.); #27449=FACE_OUTER_BOUND('',#47419,.T.); #27450=FACE_OUTER_BOUND('',#47420,.T.); #27451=FACE_OUTER_BOUND('',#47421,.T.); #27452=FACE_OUTER_BOUND('',#47422,.T.); #27453=FACE_OUTER_BOUND('',#47423,.T.); #27454=FACE_OUTER_BOUND('',#47424,.T.); #27455=FACE_OUTER_BOUND('',#47425,.T.); #27456=FACE_OUTER_BOUND('',#47426,.T.); #27457=FACE_OUTER_BOUND('',#47427,.T.); #27458=FACE_OUTER_BOUND('',#47428,.T.); #27459=FACE_OUTER_BOUND('',#47429,.T.); #27460=FACE_OUTER_BOUND('',#47430,.T.); #27461=FACE_OUTER_BOUND('',#47431,.T.); #27462=FACE_OUTER_BOUND('',#47432,.T.); #27463=FACE_OUTER_BOUND('',#47433,.T.); #27464=FACE_OUTER_BOUND('',#47434,.T.); #27465=FACE_OUTER_BOUND('',#47435,.T.); #27466=FACE_OUTER_BOUND('',#47436,.T.); #27467=FACE_OUTER_BOUND('',#47437,.T.); #27468=FACE_OUTER_BOUND('',#47438,.T.); #27469=FACE_OUTER_BOUND('',#47439,.T.); #27470=FACE_OUTER_BOUND('',#47440,.T.); #27471=FACE_OUTER_BOUND('',#47441,.T.); #27472=FACE_OUTER_BOUND('',#47442,.T.); #27473=FACE_OUTER_BOUND('',#47443,.T.); #27474=FACE_OUTER_BOUND('',#47444,.T.); #27475=FACE_OUTER_BOUND('',#47445,.T.); #27476=FACE_OUTER_BOUND('',#47446,.T.); #27477=FACE_OUTER_BOUND('',#47447,.T.); #27478=FACE_OUTER_BOUND('',#47448,.T.); #27479=FACE_OUTER_BOUND('',#47449,.T.); #27480=FACE_OUTER_BOUND('',#47450,.T.); #27481=FACE_OUTER_BOUND('',#47451,.T.); #27482=FACE_OUTER_BOUND('',#47452,.T.); #27483=FACE_OUTER_BOUND('',#47453,.T.); #27484=FACE_OUTER_BOUND('',#47454,.T.); #27485=FACE_OUTER_BOUND('',#47455,.T.); #27486=FACE_OUTER_BOUND('',#47456,.T.); #27487=FACE_OUTER_BOUND('',#47457,.T.); #27488=FACE_OUTER_BOUND('',#47458,.T.); #27489=FACE_OUTER_BOUND('',#47459,.T.); #27490=FACE_OUTER_BOUND('',#47460,.T.); #27491=FACE_OUTER_BOUND('',#47461,.T.); #27492=FACE_OUTER_BOUND('',#47462,.T.); #27493=FACE_OUTER_BOUND('',#47463,.T.); #27494=FACE_OUTER_BOUND('',#47464,.T.); #27495=FACE_OUTER_BOUND('',#47465,.T.); #27496=FACE_OUTER_BOUND('',#47466,.T.); #27497=FACE_OUTER_BOUND('',#47467,.T.); #27498=FACE_OUTER_BOUND('',#47468,.T.); #27499=FACE_OUTER_BOUND('',#47469,.T.); #27500=FACE_OUTER_BOUND('',#47470,.T.); #27501=FACE_OUTER_BOUND('',#47471,.T.); #27502=FACE_OUTER_BOUND('',#47472,.T.); #27503=FACE_OUTER_BOUND('',#47473,.T.); #27504=FACE_OUTER_BOUND('',#47474,.T.); #27505=FACE_OUTER_BOUND('',#47475,.T.); #27506=FACE_OUTER_BOUND('',#47476,.T.); #27507=FACE_OUTER_BOUND('',#47477,.T.); #27508=FACE_OUTER_BOUND('',#47478,.T.); #27509=FACE_OUTER_BOUND('',#47479,.T.); #27510=FACE_OUTER_BOUND('',#47480,.T.); #27511=FACE_OUTER_BOUND('',#47481,.T.); #27512=FACE_OUTER_BOUND('',#47482,.T.); #27513=FACE_OUTER_BOUND('',#47483,.T.); #27514=FACE_OUTER_BOUND('',#47484,.T.); #27515=FACE_OUTER_BOUND('',#47485,.T.); #27516=FACE_OUTER_BOUND('',#47486,.T.); #27517=FACE_OUTER_BOUND('',#47487,.T.); #27518=FACE_OUTER_BOUND('',#47488,.T.); #27519=FACE_OUTER_BOUND('',#47489,.T.); #27520=FACE_OUTER_BOUND('',#47490,.T.); #27521=FACE_OUTER_BOUND('',#47491,.T.); #27522=FACE_OUTER_BOUND('',#47492,.T.); #27523=FACE_OUTER_BOUND('',#47493,.T.); #27524=FACE_OUTER_BOUND('',#47494,.T.); #27525=FACE_OUTER_BOUND('',#47495,.T.); #27526=FACE_OUTER_BOUND('',#47496,.T.); #27527=FACE_OUTER_BOUND('',#47497,.T.); #27528=FACE_OUTER_BOUND('',#47498,.T.); #27529=FACE_OUTER_BOUND('',#47499,.T.); #27530=FACE_OUTER_BOUND('',#47500,.T.); #27531=FACE_OUTER_BOUND('',#47501,.T.); #27532=FACE_OUTER_BOUND('',#47502,.T.); #27533=FACE_OUTER_BOUND('',#47503,.T.); #27534=FACE_OUTER_BOUND('',#47504,.T.); #27535=FACE_OUTER_BOUND('',#47505,.T.); #27536=FACE_OUTER_BOUND('',#47506,.T.); #27537=FACE_OUTER_BOUND('',#47507,.T.); #27538=FACE_OUTER_BOUND('',#47508,.T.); #27539=FACE_OUTER_BOUND('',#47509,.T.); #27540=FACE_OUTER_BOUND('',#47510,.T.); #27541=FACE_OUTER_BOUND('',#47511,.T.); #27542=FACE_OUTER_BOUND('',#47512,.T.); #27543=FACE_OUTER_BOUND('',#47513,.T.); #27544=FACE_OUTER_BOUND('',#47514,.T.); #27545=FACE_OUTER_BOUND('',#47515,.T.); #27546=FACE_OUTER_BOUND('',#47516,.T.); #27547=FACE_OUTER_BOUND('',#47517,.T.); #27548=FACE_OUTER_BOUND('',#47518,.T.); #27549=FACE_OUTER_BOUND('',#47519,.T.); #27550=FACE_OUTER_BOUND('',#47520,.T.); #27551=FACE_OUTER_BOUND('',#47521,.T.); #27552=FACE_OUTER_BOUND('',#47522,.T.); #27553=FACE_OUTER_BOUND('',#47523,.T.); #27554=FACE_OUTER_BOUND('',#47524,.T.); #27555=FACE_OUTER_BOUND('',#47525,.T.); #27556=FACE_OUTER_BOUND('',#47526,.T.); #27557=FACE_OUTER_BOUND('',#47527,.T.); #27558=FACE_OUTER_BOUND('',#47528,.T.); #27559=FACE_OUTER_BOUND('',#47529,.T.); #27560=FACE_OUTER_BOUND('',#47530,.T.); #27561=FACE_OUTER_BOUND('',#47531,.T.); #27562=FACE_OUTER_BOUND('',#47532,.T.); #27563=FACE_OUTER_BOUND('',#47533,.T.); #27564=FACE_OUTER_BOUND('',#47534,.T.); #27565=FACE_OUTER_BOUND('',#47535,.T.); #27566=FACE_OUTER_BOUND('',#47536,.T.); #27567=FACE_OUTER_BOUND('',#47537,.T.); #27568=FACE_OUTER_BOUND('',#47538,.T.); #27569=FACE_OUTER_BOUND('',#47539,.T.); #27570=FACE_OUTER_BOUND('',#47540,.T.); #27571=FACE_OUTER_BOUND('',#47541,.T.); #27572=FACE_OUTER_BOUND('',#47542,.T.); #27573=FACE_OUTER_BOUND('',#47543,.T.); #27574=FACE_OUTER_BOUND('',#47544,.T.); #27575=FACE_OUTER_BOUND('',#47545,.T.); #27576=FACE_OUTER_BOUND('',#47546,.T.); #27577=FACE_OUTER_BOUND('',#47547,.T.); #27578=FACE_OUTER_BOUND('',#47548,.T.); #27579=FACE_OUTER_BOUND('',#47549,.T.); #27580=FACE_OUTER_BOUND('',#47550,.T.); #27581=FACE_OUTER_BOUND('',#47551,.T.); #27582=FACE_OUTER_BOUND('',#47552,.T.); #27583=FACE_OUTER_BOUND('',#47553,.T.); #27584=FACE_OUTER_BOUND('',#47554,.T.); #27585=FACE_OUTER_BOUND('',#47555,.T.); #27586=FACE_OUTER_BOUND('',#47556,.T.); #27587=FACE_OUTER_BOUND('',#47557,.T.); #27588=FACE_OUTER_BOUND('',#47558,.T.); #27589=FACE_OUTER_BOUND('',#47559,.T.); #27590=FACE_OUTER_BOUND('',#47560,.T.); #27591=FACE_OUTER_BOUND('',#47561,.T.); #27592=FACE_OUTER_BOUND('',#47562,.T.); #27593=FACE_OUTER_BOUND('',#47563,.T.); #27594=FACE_OUTER_BOUND('',#47564,.T.); #27595=FACE_OUTER_BOUND('',#47565,.T.); #27596=FACE_OUTER_BOUND('',#47566,.T.); #27597=FACE_OUTER_BOUND('',#47567,.T.); #27598=FACE_OUTER_BOUND('',#47568,.T.); #27599=FACE_OUTER_BOUND('',#47569,.T.); #27600=FACE_OUTER_BOUND('',#47570,.T.); #27601=FACE_OUTER_BOUND('',#47571,.T.); #27602=FACE_OUTER_BOUND('',#47572,.T.); #27603=FACE_OUTER_BOUND('',#47573,.T.); #27604=FACE_OUTER_BOUND('',#47574,.T.); #27605=FACE_OUTER_BOUND('',#47575,.T.); #27606=FACE_OUTER_BOUND('',#47576,.T.); #27607=FACE_OUTER_BOUND('',#47577,.T.); #27608=FACE_OUTER_BOUND('',#47578,.T.); #27609=FACE_OUTER_BOUND('',#47579,.T.); #27610=FACE_OUTER_BOUND('',#47580,.T.); #27611=FACE_OUTER_BOUND('',#47581,.T.); #27612=FACE_OUTER_BOUND('',#47582,.T.); #27613=FACE_OUTER_BOUND('',#47583,.T.); #27614=FACE_OUTER_BOUND('',#47584,.T.); #27615=FACE_OUTER_BOUND('',#47585,.T.); #27616=FACE_OUTER_BOUND('',#47586,.T.); #27617=FACE_OUTER_BOUND('',#47587,.T.); #27618=FACE_OUTER_BOUND('',#47588,.T.); #27619=FACE_OUTER_BOUND('',#47589,.T.); #27620=FACE_OUTER_BOUND('',#47590,.T.); #27621=FACE_OUTER_BOUND('',#47591,.T.); #27622=FACE_OUTER_BOUND('',#47592,.T.); #27623=FACE_OUTER_BOUND('',#47593,.T.); #27624=FACE_OUTER_BOUND('',#47594,.T.); #27625=FACE_OUTER_BOUND('',#47595,.T.); #27626=FACE_OUTER_BOUND('',#47596,.T.); #27627=FACE_OUTER_BOUND('',#47597,.T.); #27628=FACE_OUTER_BOUND('',#47598,.T.); #27629=FACE_OUTER_BOUND('',#47599,.T.); #27630=FACE_OUTER_BOUND('',#47600,.T.); #27631=FACE_OUTER_BOUND('',#47601,.T.); #27632=FACE_OUTER_BOUND('',#47602,.T.); #27633=FACE_OUTER_BOUND('',#47603,.T.); #27634=FACE_OUTER_BOUND('',#47604,.T.); #27635=FACE_OUTER_BOUND('',#47605,.T.); #27636=FACE_OUTER_BOUND('',#47606,.T.); #27637=FACE_OUTER_BOUND('',#47607,.T.); #27638=FACE_OUTER_BOUND('',#47608,.T.); #27639=FACE_OUTER_BOUND('',#47609,.T.); #27640=FACE_OUTER_BOUND('',#47610,.T.); #27641=FACE_OUTER_BOUND('',#47611,.T.); #27642=FACE_OUTER_BOUND('',#47612,.T.); #27643=FACE_OUTER_BOUND('',#47613,.T.); #27644=FACE_OUTER_BOUND('',#47614,.T.); #27645=FACE_OUTER_BOUND('',#47615,.T.); #27646=FACE_OUTER_BOUND('',#47616,.T.); #27647=FACE_OUTER_BOUND('',#47617,.T.); #27648=FACE_OUTER_BOUND('',#47618,.T.); #27649=FACE_OUTER_BOUND('',#47619,.T.); #27650=FACE_OUTER_BOUND('',#47620,.T.); #27651=FACE_OUTER_BOUND('',#47621,.T.); #27652=FACE_OUTER_BOUND('',#47622,.T.); #27653=FACE_OUTER_BOUND('',#47623,.T.); #27654=FACE_OUTER_BOUND('',#47624,.T.); #27655=FACE_OUTER_BOUND('',#47625,.T.); #27656=FACE_OUTER_BOUND('',#47626,.T.); #27657=FACE_OUTER_BOUND('',#47627,.T.); #27658=FACE_OUTER_BOUND('',#47628,.T.); #27659=FACE_OUTER_BOUND('',#47629,.T.); #27660=FACE_OUTER_BOUND('',#47630,.T.); #27661=FACE_OUTER_BOUND('',#47631,.T.); #27662=FACE_OUTER_BOUND('',#47632,.T.); #27663=FACE_OUTER_BOUND('',#47633,.T.); #27664=FACE_OUTER_BOUND('',#47634,.T.); #27665=FACE_OUTER_BOUND('',#47635,.T.); #27666=FACE_OUTER_BOUND('',#47636,.T.); #27667=FACE_OUTER_BOUND('',#47637,.T.); #27668=FACE_OUTER_BOUND('',#47638,.T.); #27669=FACE_OUTER_BOUND('',#47639,.T.); #27670=FACE_OUTER_BOUND('',#47640,.T.); #27671=FACE_OUTER_BOUND('',#47641,.T.); #27672=FACE_OUTER_BOUND('',#47642,.T.); #27673=FACE_OUTER_BOUND('',#47643,.T.); #27674=FACE_OUTER_BOUND('',#47644,.T.); #27675=FACE_OUTER_BOUND('',#47645,.T.); #27676=FACE_OUTER_BOUND('',#47646,.T.); #27677=FACE_OUTER_BOUND('',#47647,.T.); #27678=FACE_OUTER_BOUND('',#47648,.T.); #27679=FACE_OUTER_BOUND('',#47649,.T.); #27680=FACE_OUTER_BOUND('',#47650,.T.); #27681=FACE_OUTER_BOUND('',#47651,.T.); #27682=FACE_OUTER_BOUND('',#47652,.T.); #27683=FACE_OUTER_BOUND('',#47653,.T.); #27684=FACE_OUTER_BOUND('',#47654,.T.); #27685=FACE_OUTER_BOUND('',#47655,.T.); #27686=FACE_OUTER_BOUND('',#47656,.T.); #27687=FACE_OUTER_BOUND('',#47657,.T.); #27688=FACE_OUTER_BOUND('',#47658,.T.); #27689=FACE_OUTER_BOUND('',#47659,.T.); #27690=FACE_OUTER_BOUND('',#47660,.T.); #27691=FACE_OUTER_BOUND('',#47661,.T.); #27692=FACE_OUTER_BOUND('',#47662,.T.); #27693=FACE_OUTER_BOUND('',#47663,.T.); #27694=FACE_OUTER_BOUND('',#47664,.T.); #27695=FACE_OUTER_BOUND('',#47665,.T.); #27696=FACE_OUTER_BOUND('',#47666,.T.); #27697=FACE_OUTER_BOUND('',#47667,.T.); #27698=FACE_OUTER_BOUND('',#47668,.T.); #27699=FACE_OUTER_BOUND('',#47669,.T.); #27700=FACE_OUTER_BOUND('',#47670,.T.); #27701=FACE_OUTER_BOUND('',#47671,.T.); #27702=FACE_OUTER_BOUND('',#47672,.T.); #27703=FACE_OUTER_BOUND('',#47673,.T.); #27704=FACE_OUTER_BOUND('',#47674,.T.); #27705=FACE_OUTER_BOUND('',#47675,.T.); #27706=FACE_OUTER_BOUND('',#47676,.T.); #27707=FACE_OUTER_BOUND('',#47677,.T.); #27708=FACE_OUTER_BOUND('',#47678,.T.); #27709=FACE_OUTER_BOUND('',#47679,.T.); #27710=FACE_OUTER_BOUND('',#47680,.T.); #27711=FACE_OUTER_BOUND('',#47681,.T.); #27712=FACE_OUTER_BOUND('',#47682,.T.); #27713=FACE_OUTER_BOUND('',#47683,.T.); #27714=FACE_OUTER_BOUND('',#47684,.T.); #27715=FACE_OUTER_BOUND('',#47685,.T.); #27716=FACE_OUTER_BOUND('',#47686,.T.); #27717=FACE_OUTER_BOUND('',#47687,.T.); #27718=FACE_OUTER_BOUND('',#47691,.T.); #27719=FACE_OUTER_BOUND('',#47695,.T.); #27720=FACE_OUTER_BOUND('',#47696,.T.); #27721=FACE_OUTER_BOUND('',#47697,.T.); #27722=FACE_OUTER_BOUND('',#47698,.T.); #27723=FACE_OUTER_BOUND('',#47699,.T.); #27724=FACE_OUTER_BOUND('',#47700,.T.); #27725=FACE_OUTER_BOUND('',#47701,.T.); #27726=FACE_OUTER_BOUND('',#47702,.T.); #27727=FACE_OUTER_BOUND('',#47703,.T.); #27728=FACE_OUTER_BOUND('',#47704,.T.); #27729=FACE_OUTER_BOUND('',#47705,.T.); #27730=FACE_OUTER_BOUND('',#47706,.T.); #27731=FACE_OUTER_BOUND('',#47707,.T.); #27732=FACE_OUTER_BOUND('',#47708,.T.); #27733=FACE_OUTER_BOUND('',#47709,.T.); #27734=FACE_OUTER_BOUND('',#47710,.T.); #27735=FACE_OUTER_BOUND('',#47711,.T.); #27736=FACE_OUTER_BOUND('',#47712,.T.); #27737=FACE_OUTER_BOUND('',#47713,.T.); #27738=FACE_OUTER_BOUND('',#47714,.T.); #27739=FACE_OUTER_BOUND('',#47715,.T.); #27740=FACE_OUTER_BOUND('',#47716,.T.); #27741=FACE_OUTER_BOUND('',#47717,.T.); #27742=FACE_OUTER_BOUND('',#47718,.T.); #27743=FACE_OUTER_BOUND('',#47719,.T.); #27744=FACE_OUTER_BOUND('',#47720,.T.); #27745=FACE_OUTER_BOUND('',#47721,.T.); #27746=FACE_OUTER_BOUND('',#47722,.T.); #27747=FACE_OUTER_BOUND('',#47723,.T.); #27748=FACE_OUTER_BOUND('',#47724,.T.); #27749=FACE_OUTER_BOUND('',#47725,.T.); #27750=FACE_OUTER_BOUND('',#47726,.T.); #27751=FACE_OUTER_BOUND('',#47727,.T.); #27752=FACE_OUTER_BOUND('',#47728,.T.); #27753=FACE_OUTER_BOUND('',#47729,.T.); #27754=FACE_OUTER_BOUND('',#47730,.T.); #27755=FACE_OUTER_BOUND('',#47731,.T.); #27756=FACE_OUTER_BOUND('',#47732,.T.); #27757=FACE_OUTER_BOUND('',#47733,.T.); #27758=FACE_OUTER_BOUND('',#47734,.T.); #27759=FACE_OUTER_BOUND('',#47735,.T.); #27760=FACE_OUTER_BOUND('',#47736,.T.); #27761=FACE_OUTER_BOUND('',#47737,.T.); #27762=FACE_OUTER_BOUND('',#47738,.T.); #27763=FACE_OUTER_BOUND('',#47739,.T.); #27764=FACE_OUTER_BOUND('',#47740,.T.); #27765=FACE_OUTER_BOUND('',#47741,.T.); #27766=FACE_OUTER_BOUND('',#47742,.T.); #27767=FACE_OUTER_BOUND('',#47743,.T.); #27768=FACE_OUTER_BOUND('',#47744,.T.); #27769=FACE_OUTER_BOUND('',#47745,.T.); #27770=FACE_OUTER_BOUND('',#47746,.T.); #27771=FACE_OUTER_BOUND('',#47747,.T.); #27772=FACE_OUTER_BOUND('',#47748,.T.); #27773=FACE_OUTER_BOUND('',#47749,.T.); #27774=FACE_OUTER_BOUND('',#47750,.T.); #27775=FACE_OUTER_BOUND('',#47751,.T.); #27776=FACE_OUTER_BOUND('',#47752,.T.); #27777=FACE_OUTER_BOUND('',#47753,.T.); #27778=FACE_OUTER_BOUND('',#47754,.T.); #27779=FACE_OUTER_BOUND('',#47755,.T.); #27780=FACE_OUTER_BOUND('',#47756,.T.); #27781=FACE_OUTER_BOUND('',#47757,.T.); #27782=FACE_OUTER_BOUND('',#47758,.T.); #27783=FACE_OUTER_BOUND('',#47759,.T.); #27784=FACE_OUTER_BOUND('',#47760,.T.); #27785=FACE_OUTER_BOUND('',#47761,.T.); #27786=FACE_OUTER_BOUND('',#47762,.T.); #27787=FACE_OUTER_BOUND('',#47763,.T.); #27788=FACE_OUTER_BOUND('',#47764,.T.); #27789=FACE_OUTER_BOUND('',#47765,.T.); #27790=FACE_OUTER_BOUND('',#47766,.T.); #27791=FACE_OUTER_BOUND('',#47767,.T.); #27792=FACE_OUTER_BOUND('',#47768,.T.); #27793=FACE_OUTER_BOUND('',#47769,.T.); #27794=FACE_OUTER_BOUND('',#47770,.T.); #27795=FACE_OUTER_BOUND('',#47771,.T.); #27796=FACE_OUTER_BOUND('',#47772,.T.); #27797=FACE_OUTER_BOUND('',#47773,.T.); #27798=FACE_OUTER_BOUND('',#47774,.T.); #27799=FACE_OUTER_BOUND('',#47775,.T.); #27800=FACE_OUTER_BOUND('',#47776,.T.); #27801=FACE_OUTER_BOUND('',#47777,.T.); #27802=FACE_OUTER_BOUND('',#47778,.T.); #27803=FACE_OUTER_BOUND('',#47779,.T.); #27804=FACE_OUTER_BOUND('',#47780,.T.); #27805=FACE_OUTER_BOUND('',#47781,.T.); #27806=FACE_OUTER_BOUND('',#47782,.T.); #27807=FACE_OUTER_BOUND('',#47783,.T.); #27808=FACE_OUTER_BOUND('',#47784,.T.); #27809=FACE_OUTER_BOUND('',#47785,.T.); #27810=FACE_OUTER_BOUND('',#47786,.T.); #27811=FACE_OUTER_BOUND('',#47787,.T.); #27812=FACE_OUTER_BOUND('',#47788,.T.); #27813=FACE_OUTER_BOUND('',#47789,.T.); #27814=FACE_OUTER_BOUND('',#47790,.T.); #27815=FACE_OUTER_BOUND('',#47791,.T.); #27816=FACE_OUTER_BOUND('',#47792,.T.); #27817=FACE_OUTER_BOUND('',#47793,.T.); #27818=FACE_OUTER_BOUND('',#47794,.T.); #27819=FACE_OUTER_BOUND('',#47795,.T.); #27820=FACE_OUTER_BOUND('',#47796,.T.); #27821=FACE_OUTER_BOUND('',#47797,.T.); #27822=FACE_OUTER_BOUND('',#47798,.T.); #27823=FACE_OUTER_BOUND('',#47799,.T.); #27824=FACE_OUTER_BOUND('',#47800,.T.); #27825=FACE_OUTER_BOUND('',#47801,.T.); #27826=FACE_OUTER_BOUND('',#47802,.T.); #27827=FACE_OUTER_BOUND('',#47803,.T.); #27828=FACE_OUTER_BOUND('',#47804,.T.); #27829=FACE_OUTER_BOUND('',#47805,.T.); #27830=FACE_OUTER_BOUND('',#47806,.T.); #27831=FACE_OUTER_BOUND('',#47807,.T.); #27832=FACE_OUTER_BOUND('',#47808,.T.); #27833=FACE_OUTER_BOUND('',#47809,.T.); #27834=FACE_OUTER_BOUND('',#47810,.T.); #27835=FACE_OUTER_BOUND('',#47811,.T.); #27836=FACE_OUTER_BOUND('',#47812,.T.); #27837=FACE_OUTER_BOUND('',#47813,.T.); #27838=FACE_OUTER_BOUND('',#47814,.T.); #27839=FACE_OUTER_BOUND('',#47815,.T.); #27840=FACE_OUTER_BOUND('',#47816,.T.); #27841=FACE_OUTER_BOUND('',#47817,.T.); #27842=FACE_OUTER_BOUND('',#47818,.T.); #27843=FACE_OUTER_BOUND('',#47819,.T.); #27844=FACE_OUTER_BOUND('',#47820,.T.); #27845=FACE_OUTER_BOUND('',#47821,.T.); #27846=FACE_OUTER_BOUND('',#47822,.T.); #27847=FACE_OUTER_BOUND('',#47823,.T.); #27848=FACE_OUTER_BOUND('',#47824,.T.); #27849=FACE_OUTER_BOUND('',#47825,.T.); #27850=FACE_OUTER_BOUND('',#47826,.T.); #27851=FACE_OUTER_BOUND('',#47827,.T.); #27852=FACE_OUTER_BOUND('',#47828,.T.); #27853=FACE_OUTER_BOUND('',#47829,.T.); #27854=FACE_OUTER_BOUND('',#47830,.T.); #27855=FACE_OUTER_BOUND('',#47831,.T.); #27856=FACE_OUTER_BOUND('',#47832,.T.); #27857=FACE_OUTER_BOUND('',#47833,.T.); #27858=FACE_OUTER_BOUND('',#47834,.T.); #27859=FACE_OUTER_BOUND('',#47835,.T.); #27860=FACE_OUTER_BOUND('',#47836,.T.); #27861=FACE_OUTER_BOUND('',#47837,.T.); #27862=FACE_OUTER_BOUND('',#47838,.T.); #27863=FACE_OUTER_BOUND('',#47839,.T.); #27864=FACE_OUTER_BOUND('',#47840,.T.); #27865=FACE_OUTER_BOUND('',#47841,.T.); #27866=FACE_OUTER_BOUND('',#47842,.T.); #27867=FACE_OUTER_BOUND('',#47843,.T.); #27868=FACE_OUTER_BOUND('',#47844,.T.); #27869=FACE_OUTER_BOUND('',#47845,.T.); #27870=FACE_OUTER_BOUND('',#47846,.T.); #27871=FACE_OUTER_BOUND('',#47847,.T.); #27872=FACE_OUTER_BOUND('',#47848,.T.); #27873=FACE_OUTER_BOUND('',#47849,.T.); #27874=FACE_OUTER_BOUND('',#47850,.T.); #27875=FACE_OUTER_BOUND('',#47851,.T.); #27876=FACE_OUTER_BOUND('',#47852,.T.); #27877=FACE_OUTER_BOUND('',#47853,.T.); #27878=FACE_OUTER_BOUND('',#47854,.T.); #27879=FACE_OUTER_BOUND('',#47855,.T.); #27880=FACE_OUTER_BOUND('',#47856,.T.); #27881=FACE_OUTER_BOUND('',#47857,.T.); #27882=FACE_OUTER_BOUND('',#47858,.T.); #27883=FACE_OUTER_BOUND('',#47859,.T.); #27884=FACE_OUTER_BOUND('',#47860,.T.); #27885=FACE_OUTER_BOUND('',#47861,.T.); #27886=FACE_OUTER_BOUND('',#47862,.T.); #27887=FACE_OUTER_BOUND('',#47863,.T.); #27888=FACE_OUTER_BOUND('',#47864,.T.); #27889=FACE_OUTER_BOUND('',#47865,.T.); #27890=FACE_OUTER_BOUND('',#47866,.T.); #27891=FACE_OUTER_BOUND('',#47867,.T.); #27892=FACE_OUTER_BOUND('',#47868,.T.); #27893=FACE_OUTER_BOUND('',#47869,.T.); #27894=FACE_OUTER_BOUND('',#47870,.T.); #27895=FACE_OUTER_BOUND('',#47871,.T.); #27896=FACE_OUTER_BOUND('',#47872,.T.); #27897=FACE_OUTER_BOUND('',#47873,.T.); #27898=FACE_OUTER_BOUND('',#47874,.T.); #27899=FACE_OUTER_BOUND('',#47875,.T.); #27900=FACE_OUTER_BOUND('',#47876,.T.); #27901=FACE_OUTER_BOUND('',#47877,.T.); #27902=FACE_OUTER_BOUND('',#47878,.T.); #27903=FACE_OUTER_BOUND('',#47879,.T.); #27904=FACE_OUTER_BOUND('',#47880,.T.); #27905=FACE_OUTER_BOUND('',#47881,.T.); #27906=FACE_OUTER_BOUND('',#47882,.T.); #27907=FACE_OUTER_BOUND('',#47883,.T.); #27908=FACE_OUTER_BOUND('',#47884,.T.); #27909=FACE_OUTER_BOUND('',#47885,.T.); #27910=FACE_OUTER_BOUND('',#47886,.T.); #27911=FACE_OUTER_BOUND('',#47887,.T.); #27912=FACE_OUTER_BOUND('',#47888,.T.); #27913=FACE_OUTER_BOUND('',#47889,.T.); #27914=FACE_OUTER_BOUND('',#47890,.T.); #27915=FACE_OUTER_BOUND('',#47891,.T.); #27916=FACE_OUTER_BOUND('',#47892,.T.); #27917=FACE_OUTER_BOUND('',#47893,.T.); #27918=FACE_OUTER_BOUND('',#47894,.T.); #27919=FACE_OUTER_BOUND('',#47895,.T.); #27920=FACE_OUTER_BOUND('',#47896,.T.); #27921=FACE_OUTER_BOUND('',#47897,.T.); #27922=FACE_OUTER_BOUND('',#47898,.T.); #27923=FACE_OUTER_BOUND('',#47899,.T.); #27924=FACE_OUTER_BOUND('',#47900,.T.); #27925=FACE_OUTER_BOUND('',#47901,.T.); #27926=FACE_OUTER_BOUND('',#47902,.T.); #27927=FACE_OUTER_BOUND('',#47903,.T.); #27928=FACE_OUTER_BOUND('',#47904,.T.); #27929=FACE_OUTER_BOUND('',#47905,.T.); #27930=FACE_OUTER_BOUND('',#47906,.T.); #27931=FACE_OUTER_BOUND('',#47907,.T.); #27932=FACE_OUTER_BOUND('',#47908,.T.); #27933=FACE_OUTER_BOUND('',#47909,.T.); #27934=FACE_OUTER_BOUND('',#47910,.T.); #27935=FACE_OUTER_BOUND('',#47911,.T.); #27936=FACE_OUTER_BOUND('',#47912,.T.); #27937=FACE_OUTER_BOUND('',#47913,.T.); #27938=FACE_OUTER_BOUND('',#47914,.T.); #27939=FACE_OUTER_BOUND('',#47915,.T.); #27940=FACE_OUTER_BOUND('',#47916,.T.); #27941=FACE_OUTER_BOUND('',#47917,.T.); #27942=FACE_OUTER_BOUND('',#47918,.T.); #27943=FACE_OUTER_BOUND('',#47919,.T.); #27944=FACE_OUTER_BOUND('',#47920,.T.); #27945=FACE_OUTER_BOUND('',#47921,.T.); #27946=FACE_OUTER_BOUND('',#47922,.T.); #27947=FACE_OUTER_BOUND('',#47923,.T.); #27948=FACE_OUTER_BOUND('',#47924,.T.); #27949=FACE_OUTER_BOUND('',#47925,.T.); #27950=FACE_OUTER_BOUND('',#47926,.T.); #27951=FACE_OUTER_BOUND('',#47927,.T.); #27952=FACE_OUTER_BOUND('',#47928,.T.); #27953=FACE_OUTER_BOUND('',#47929,.T.); #27954=FACE_OUTER_BOUND('',#47930,.T.); #27955=FACE_OUTER_BOUND('',#47931,.T.); #27956=FACE_OUTER_BOUND('',#47932,.T.); #27957=FACE_OUTER_BOUND('',#47933,.T.); #27958=FACE_OUTER_BOUND('',#47934,.T.); #27959=FACE_OUTER_BOUND('',#47935,.T.); #27960=FACE_OUTER_BOUND('',#47936,.T.); #27961=FACE_OUTER_BOUND('',#47937,.T.); #27962=FACE_OUTER_BOUND('',#47938,.T.); #27963=FACE_OUTER_BOUND('',#47939,.T.); #27964=FACE_OUTER_BOUND('',#47940,.T.); #27965=FACE_OUTER_BOUND('',#47941,.T.); #27966=FACE_OUTER_BOUND('',#47942,.T.); #27967=FACE_OUTER_BOUND('',#47943,.T.); #27968=FACE_OUTER_BOUND('',#47944,.T.); #27969=FACE_OUTER_BOUND('',#47945,.T.); #27970=FACE_OUTER_BOUND('',#47946,.T.); #27971=FACE_OUTER_BOUND('',#47947,.T.); #27972=FACE_OUTER_BOUND('',#47948,.T.); #27973=FACE_OUTER_BOUND('',#47949,.T.); #27974=FACE_OUTER_BOUND('',#47950,.T.); #27975=FACE_OUTER_BOUND('',#47951,.T.); #27976=FACE_OUTER_BOUND('',#47952,.T.); #27977=FACE_OUTER_BOUND('',#47953,.T.); #27978=FACE_OUTER_BOUND('',#47954,.T.); #27979=FACE_OUTER_BOUND('',#47955,.T.); #27980=FACE_OUTER_BOUND('',#47956,.T.); #27981=FACE_OUTER_BOUND('',#47957,.T.); #27982=FACE_OUTER_BOUND('',#47958,.T.); #27983=FACE_OUTER_BOUND('',#47959,.T.); #27984=FACE_OUTER_BOUND('',#47960,.T.); #27985=FACE_OUTER_BOUND('',#47961,.T.); #27986=FACE_OUTER_BOUND('',#47962,.T.); #27987=FACE_OUTER_BOUND('',#47963,.T.); #27988=FACE_OUTER_BOUND('',#47964,.T.); #27989=FACE_OUTER_BOUND('',#47965,.T.); #27990=FACE_OUTER_BOUND('',#47966,.T.); #27991=FACE_OUTER_BOUND('',#47967,.T.); #27992=FACE_OUTER_BOUND('',#47968,.T.); #27993=FACE_OUTER_BOUND('',#47969,.T.); #27994=FACE_OUTER_BOUND('',#47970,.T.); #27995=FACE_OUTER_BOUND('',#47971,.T.); #27996=FACE_OUTER_BOUND('',#47972,.T.); #27997=FACE_OUTER_BOUND('',#47973,.T.); #27998=FACE_OUTER_BOUND('',#47974,.T.); #27999=FACE_OUTER_BOUND('',#47975,.T.); #28000=FACE_OUTER_BOUND('',#47976,.T.); #28001=FACE_OUTER_BOUND('',#47977,.T.); #28002=FACE_OUTER_BOUND('',#47978,.T.); #28003=FACE_OUTER_BOUND('',#47979,.T.); #28004=FACE_OUTER_BOUND('',#47980,.T.); #28005=FACE_OUTER_BOUND('',#47981,.T.); #28006=FACE_OUTER_BOUND('',#47982,.T.); #28007=FACE_OUTER_BOUND('',#47983,.T.); #28008=FACE_OUTER_BOUND('',#47984,.T.); #28009=FACE_OUTER_BOUND('',#47985,.T.); #28010=FACE_OUTER_BOUND('',#47986,.T.); #28011=FACE_OUTER_BOUND('',#47987,.T.); #28012=FACE_OUTER_BOUND('',#47988,.T.); #28013=FACE_OUTER_BOUND('',#47989,.T.); #28014=FACE_OUTER_BOUND('',#47990,.T.); #28015=FACE_OUTER_BOUND('',#47991,.T.); #28016=FACE_OUTER_BOUND('',#47992,.T.); #28017=FACE_OUTER_BOUND('',#47993,.T.); #28018=FACE_OUTER_BOUND('',#47994,.T.); #28019=FACE_OUTER_BOUND('',#47995,.T.); #28020=FACE_OUTER_BOUND('',#47996,.T.); #28021=FACE_OUTER_BOUND('',#47997,.T.); #28022=FACE_OUTER_BOUND('',#47998,.T.); #28023=FACE_OUTER_BOUND('',#47999,.T.); #28024=FACE_OUTER_BOUND('',#48000,.T.); #28025=FACE_OUTER_BOUND('',#48001,.T.); #28026=FACE_OUTER_BOUND('',#48002,.T.); #28027=FACE_OUTER_BOUND('',#48003,.T.); #28028=FACE_OUTER_BOUND('',#48004,.T.); #28029=FACE_OUTER_BOUND('',#48005,.T.); #28030=FACE_OUTER_BOUND('',#48006,.T.); #28031=FACE_OUTER_BOUND('',#48007,.T.); #28032=FACE_OUTER_BOUND('',#48008,.T.); #28033=FACE_OUTER_BOUND('',#48009,.T.); #28034=FACE_OUTER_BOUND('',#48010,.T.); #28035=FACE_OUTER_BOUND('',#48011,.T.); #28036=FACE_OUTER_BOUND('',#48012,.T.); #28037=FACE_OUTER_BOUND('',#48013,.T.); #28038=FACE_OUTER_BOUND('',#48014,.T.); #28039=FACE_OUTER_BOUND('',#48015,.T.); #28040=FACE_OUTER_BOUND('',#48016,.T.); #28041=FACE_OUTER_BOUND('',#48017,.T.); #28042=FACE_OUTER_BOUND('',#48018,.T.); #28043=FACE_OUTER_BOUND('',#48019,.T.); #28044=FACE_OUTER_BOUND('',#48020,.T.); #28045=FACE_OUTER_BOUND('',#48021,.T.); #28046=FACE_OUTER_BOUND('',#48022,.T.); #28047=FACE_OUTER_BOUND('',#48023,.T.); #28048=FACE_OUTER_BOUND('',#48024,.T.); #28049=FACE_OUTER_BOUND('',#48025,.T.); #28050=FACE_OUTER_BOUND('',#48026,.T.); #28051=FACE_OUTER_BOUND('',#48027,.T.); #28052=FACE_OUTER_BOUND('',#48028,.T.); #28053=FACE_OUTER_BOUND('',#48029,.T.); #28054=FACE_OUTER_BOUND('',#48030,.T.); #28055=FACE_OUTER_BOUND('',#48031,.T.); #28056=FACE_OUTER_BOUND('',#48032,.T.); #28057=FACE_OUTER_BOUND('',#48033,.T.); #28058=FACE_OUTER_BOUND('',#48034,.T.); #28059=FACE_OUTER_BOUND('',#48035,.T.); #28060=FACE_OUTER_BOUND('',#48036,.T.); #28061=FACE_OUTER_BOUND('',#48037,.T.); #28062=FACE_OUTER_BOUND('',#48038,.T.); #28063=FACE_OUTER_BOUND('',#48039,.T.); #28064=FACE_OUTER_BOUND('',#48040,.T.); #28065=FACE_OUTER_BOUND('',#48041,.T.); #28066=FACE_OUTER_BOUND('',#48042,.T.); #28067=FACE_OUTER_BOUND('',#48043,.T.); #28068=FACE_OUTER_BOUND('',#48044,.T.); #28069=FACE_OUTER_BOUND('',#48045,.T.); #28070=FACE_OUTER_BOUND('',#48046,.T.); #28071=FACE_OUTER_BOUND('',#48047,.T.); #28072=FACE_OUTER_BOUND('',#48048,.T.); #28073=FACE_OUTER_BOUND('',#48049,.T.); #28074=FACE_OUTER_BOUND('',#48050,.T.); #28075=FACE_OUTER_BOUND('',#48051,.T.); #28076=FACE_OUTER_BOUND('',#48052,.T.); #28077=FACE_OUTER_BOUND('',#48053,.T.); #28078=FACE_OUTER_BOUND('',#48054,.T.); #28079=FACE_OUTER_BOUND('',#48055,.T.); #28080=FACE_OUTER_BOUND('',#48056,.T.); #28081=FACE_OUTER_BOUND('',#48057,.T.); #28082=FACE_OUTER_BOUND('',#48058,.T.); #28083=FACE_OUTER_BOUND('',#48059,.T.); #28084=FACE_OUTER_BOUND('',#48060,.T.); #28085=FACE_OUTER_BOUND('',#48061,.T.); #28086=FACE_OUTER_BOUND('',#48062,.T.); #28087=FACE_OUTER_BOUND('',#48063,.T.); #28088=FACE_OUTER_BOUND('',#48064,.T.); #28089=FACE_OUTER_BOUND('',#48065,.T.); #28090=FACE_OUTER_BOUND('',#48066,.T.); #28091=FACE_OUTER_BOUND('',#48067,.T.); #28092=FACE_OUTER_BOUND('',#48068,.T.); #28093=FACE_OUTER_BOUND('',#48069,.T.); #28094=FACE_OUTER_BOUND('',#48070,.T.); #28095=FACE_OUTER_BOUND('',#48071,.T.); #28096=FACE_OUTER_BOUND('',#48072,.T.); #28097=FACE_OUTER_BOUND('',#48073,.T.); #28098=FACE_OUTER_BOUND('',#48074,.T.); #28099=FACE_OUTER_BOUND('',#48075,.T.); #28100=FACE_OUTER_BOUND('',#48076,.T.); #28101=FACE_OUTER_BOUND('',#48077,.T.); #28102=FACE_OUTER_BOUND('',#48078,.T.); #28103=FACE_OUTER_BOUND('',#48079,.T.); #28104=FACE_OUTER_BOUND('',#48080,.T.); #28105=FACE_OUTER_BOUND('',#48081,.T.); #28106=FACE_OUTER_BOUND('',#48082,.T.); #28107=FACE_OUTER_BOUND('',#48083,.T.); #28108=FACE_OUTER_BOUND('',#48084,.T.); #28109=FACE_OUTER_BOUND('',#48085,.T.); #28110=FACE_OUTER_BOUND('',#48086,.T.); #28111=FACE_OUTER_BOUND('',#48087,.T.); #28112=FACE_OUTER_BOUND('',#48088,.T.); #28113=FACE_OUTER_BOUND('',#48089,.T.); #28114=FACE_OUTER_BOUND('',#48090,.T.); #28115=FACE_OUTER_BOUND('',#48091,.T.); #28116=FACE_OUTER_BOUND('',#48092,.T.); #28117=FACE_OUTER_BOUND('',#48142,.T.); #28118=FACE_OUTER_BOUND('',#48192,.T.); #28119=FACE_OUTER_BOUND('',#48193,.T.); #28120=FACE_OUTER_BOUND('',#48194,.T.); #28121=FACE_OUTER_BOUND('',#48195,.T.); #28122=FACE_OUTER_BOUND('',#48196,.T.); #28123=FACE_OUTER_BOUND('',#48197,.T.); #28124=FACE_OUTER_BOUND('',#48198,.T.); #28125=FACE_OUTER_BOUND('',#48199,.T.); #28126=FACE_OUTER_BOUND('',#48200,.T.); #28127=FACE_OUTER_BOUND('',#48201,.T.); #28128=FACE_OUTER_BOUND('',#48202,.T.); #28129=FACE_OUTER_BOUND('',#48203,.T.); #28130=FACE_OUTER_BOUND('',#48204,.T.); #28131=FACE_OUTER_BOUND('',#48205,.T.); #28132=FACE_OUTER_BOUND('',#48206,.T.); #28133=FACE_OUTER_BOUND('',#48207,.T.); #28134=FACE_OUTER_BOUND('',#48208,.T.); #28135=FACE_OUTER_BOUND('',#48209,.T.); #28136=FACE_OUTER_BOUND('',#48210,.T.); #28137=FACE_OUTER_BOUND('',#48211,.T.); #28138=FACE_OUTER_BOUND('',#48212,.T.); #28139=FACE_OUTER_BOUND('',#48213,.T.); #28140=FACE_OUTER_BOUND('',#48214,.T.); #28141=FACE_OUTER_BOUND('',#48215,.T.); #28142=FACE_OUTER_BOUND('',#48216,.T.); #28143=FACE_OUTER_BOUND('',#48217,.T.); #28144=FACE_OUTER_BOUND('',#48218,.T.); #28145=FACE_OUTER_BOUND('',#48219,.T.); #28146=FACE_OUTER_BOUND('',#48220,.T.); #28147=FACE_OUTER_BOUND('',#48221,.T.); #28148=FACE_OUTER_BOUND('',#48222,.T.); #28149=FACE_OUTER_BOUND('',#48223,.T.); #28150=FACE_OUTER_BOUND('',#48224,.T.); #28151=FACE_OUTER_BOUND('',#48225,.T.); #28152=FACE_OUTER_BOUND('',#48226,.T.); #28153=FACE_OUTER_BOUND('',#48227,.T.); #28154=FACE_OUTER_BOUND('',#48228,.T.); #28155=FACE_OUTER_BOUND('',#48229,.T.); #28156=FACE_OUTER_BOUND('',#48230,.T.); #28157=FACE_OUTER_BOUND('',#48231,.T.); #28158=FACE_OUTER_BOUND('',#48232,.T.); #28159=FACE_OUTER_BOUND('',#48233,.T.); #28160=FACE_OUTER_BOUND('',#48234,.T.); #28161=FACE_OUTER_BOUND('',#48235,.T.); #28162=FACE_OUTER_BOUND('',#48236,.T.); #28163=FACE_OUTER_BOUND('',#48237,.T.); #28164=FACE_OUTER_BOUND('',#48238,.T.); #28165=FACE_OUTER_BOUND('',#48239,.T.); #28166=FACE_OUTER_BOUND('',#48240,.T.); #28167=FACE_OUTER_BOUND('',#48241,.T.); #28168=FACE_OUTER_BOUND('',#48242,.T.); #28169=FACE_OUTER_BOUND('',#48243,.T.); #28170=FACE_OUTER_BOUND('',#48244,.T.); #28171=FACE_OUTER_BOUND('',#48245,.T.); #28172=FACE_OUTER_BOUND('',#48246,.T.); #28173=FACE_OUTER_BOUND('',#48247,.T.); #28174=FACE_OUTER_BOUND('',#48248,.T.); #28175=FACE_OUTER_BOUND('',#48249,.T.); #28176=FACE_OUTER_BOUND('',#48250,.T.); #28177=FACE_OUTER_BOUND('',#48251,.T.); #28178=FACE_OUTER_BOUND('',#48252,.T.); #28179=FACE_OUTER_BOUND('',#48253,.T.); #28180=FACE_OUTER_BOUND('',#48254,.T.); #28181=FACE_OUTER_BOUND('',#48255,.T.); #28182=FACE_OUTER_BOUND('',#48256,.T.); #28183=FACE_OUTER_BOUND('',#48257,.T.); #28184=FACE_OUTER_BOUND('',#48258,.T.); #28185=FACE_OUTER_BOUND('',#48259,.T.); #28186=FACE_OUTER_BOUND('',#48260,.T.); #28187=FACE_OUTER_BOUND('',#48261,.T.); #28188=FACE_OUTER_BOUND('',#48262,.T.); #28189=FACE_OUTER_BOUND('',#48263,.T.); #28190=FACE_OUTER_BOUND('',#48264,.T.); #28191=FACE_OUTER_BOUND('',#48265,.T.); #28192=FACE_OUTER_BOUND('',#48266,.T.); #28193=FACE_OUTER_BOUND('',#48267,.T.); #28194=FACE_OUTER_BOUND('',#48268,.T.); #28195=FACE_OUTER_BOUND('',#48269,.T.); #28196=FACE_OUTER_BOUND('',#48270,.T.); #28197=FACE_OUTER_BOUND('',#48271,.T.); #28198=FACE_OUTER_BOUND('',#48272,.T.); #28199=FACE_OUTER_BOUND('',#48273,.T.); #28200=FACE_OUTER_BOUND('',#48274,.T.); #28201=FACE_OUTER_BOUND('',#48275,.T.); #28202=FACE_OUTER_BOUND('',#48276,.T.); #28203=FACE_OUTER_BOUND('',#48277,.T.); #28204=FACE_OUTER_BOUND('',#48278,.T.); #28205=FACE_OUTER_BOUND('',#48279,.T.); #28206=FACE_OUTER_BOUND('',#48280,.T.); #28207=FACE_OUTER_BOUND('',#48281,.T.); #28208=FACE_OUTER_BOUND('',#48282,.T.); #28209=FACE_OUTER_BOUND('',#48283,.T.); #28210=FACE_OUTER_BOUND('',#48284,.T.); #28211=FACE_OUTER_BOUND('',#48285,.T.); #28212=FACE_OUTER_BOUND('',#48286,.T.); #28213=FACE_OUTER_BOUND('',#48287,.T.); #28214=FACE_OUTER_BOUND('',#48288,.T.); #28215=FACE_OUTER_BOUND('',#48289,.T.); #28216=FACE_OUTER_BOUND('',#48290,.T.); #28217=FACE_OUTER_BOUND('',#48291,.T.); #28218=FACE_OUTER_BOUND('',#48292,.T.); #28219=FACE_OUTER_BOUND('',#48293,.T.); #28220=FACE_OUTER_BOUND('',#48294,.T.); #28221=FACE_OUTER_BOUND('',#48295,.T.); #28222=FACE_OUTER_BOUND('',#48296,.T.); #28223=FACE_OUTER_BOUND('',#48297,.T.); #28224=FACE_OUTER_BOUND('',#48298,.T.); #28225=FACE_OUTER_BOUND('',#48299,.T.); #28226=FACE_OUTER_BOUND('',#48300,.T.); #28227=FACE_OUTER_BOUND('',#48301,.T.); #28228=FACE_OUTER_BOUND('',#48302,.T.); #28229=FACE_OUTER_BOUND('',#48303,.T.); #28230=FACE_OUTER_BOUND('',#48304,.T.); #28231=FACE_OUTER_BOUND('',#48305,.T.); #28232=FACE_OUTER_BOUND('',#48306,.T.); #28233=FACE_OUTER_BOUND('',#48307,.T.); #28234=FACE_OUTER_BOUND('',#48308,.T.); #28235=FACE_OUTER_BOUND('',#48309,.T.); #28236=FACE_OUTER_BOUND('',#48310,.T.); #28237=FACE_OUTER_BOUND('',#48311,.T.); #28238=FACE_OUTER_BOUND('',#48312,.T.); #28239=FACE_OUTER_BOUND('',#48313,.T.); #28240=FACE_OUTER_BOUND('',#48314,.T.); #28241=FACE_OUTER_BOUND('',#48315,.T.); #28242=FACE_OUTER_BOUND('',#48316,.T.); #28243=FACE_OUTER_BOUND('',#48317,.T.); #28244=FACE_OUTER_BOUND('',#48318,.T.); #28245=FACE_OUTER_BOUND('',#48319,.T.); #28246=FACE_OUTER_BOUND('',#48320,.T.); #28247=FACE_OUTER_BOUND('',#48321,.T.); #28248=FACE_OUTER_BOUND('',#48322,.T.); #28249=FACE_OUTER_BOUND('',#48323,.T.); #28250=FACE_OUTER_BOUND('',#48324,.T.); #28251=FACE_OUTER_BOUND('',#48325,.T.); #28252=FACE_OUTER_BOUND('',#48326,.T.); #28253=FACE_OUTER_BOUND('',#48327,.T.); #28254=FACE_OUTER_BOUND('',#48328,.T.); #28255=FACE_OUTER_BOUND('',#48329,.T.); #28256=FACE_OUTER_BOUND('',#48330,.T.); #28257=FACE_OUTER_BOUND('',#48331,.T.); #28258=FACE_OUTER_BOUND('',#48332,.T.); #28259=FACE_OUTER_BOUND('',#48333,.T.); #28260=FACE_OUTER_BOUND('',#48334,.T.); #28261=FACE_OUTER_BOUND('',#48335,.T.); #28262=FACE_OUTER_BOUND('',#48336,.T.); #28263=FACE_OUTER_BOUND('',#48337,.T.); #28264=FACE_OUTER_BOUND('',#48338,.T.); #28265=FACE_OUTER_BOUND('',#48339,.T.); #28266=FACE_OUTER_BOUND('',#48340,.T.); #28267=FACE_OUTER_BOUND('',#48341,.T.); #28268=FACE_OUTER_BOUND('',#48342,.T.); #28269=FACE_OUTER_BOUND('',#48343,.T.); #28270=FACE_OUTER_BOUND('',#48344,.T.); #28271=FACE_OUTER_BOUND('',#48345,.T.); #28272=FACE_OUTER_BOUND('',#48346,.T.); #28273=FACE_OUTER_BOUND('',#48347,.T.); #28274=FACE_OUTER_BOUND('',#48348,.T.); #28275=FACE_OUTER_BOUND('',#48349,.T.); #28276=FACE_OUTER_BOUND('',#48350,.T.); #28277=FACE_OUTER_BOUND('',#48351,.T.); #28278=FACE_OUTER_BOUND('',#48352,.T.); #28279=FACE_OUTER_BOUND('',#48353,.T.); #28280=FACE_OUTER_BOUND('',#48354,.T.); #28281=FACE_OUTER_BOUND('',#48355,.T.); #28282=FACE_OUTER_BOUND('',#48356,.T.); #28283=FACE_OUTER_BOUND('',#48357,.T.); #28284=FACE_OUTER_BOUND('',#48358,.T.); #28285=FACE_OUTER_BOUND('',#48359,.T.); #28286=FACE_OUTER_BOUND('',#48360,.T.); #28287=FACE_OUTER_BOUND('',#48361,.T.); #28288=FACE_OUTER_BOUND('',#48362,.T.); #28289=FACE_OUTER_BOUND('',#48363,.T.); #28290=FACE_OUTER_BOUND('',#48364,.T.); #28291=FACE_OUTER_BOUND('',#48365,.T.); #28292=FACE_OUTER_BOUND('',#48366,.T.); #28293=FACE_OUTER_BOUND('',#48367,.T.); #28294=FACE_OUTER_BOUND('',#48368,.T.); #28295=FACE_OUTER_BOUND('',#48369,.T.); #28296=FACE_OUTER_BOUND('',#48370,.T.); #28297=FACE_OUTER_BOUND('',#48371,.T.); #28298=FACE_OUTER_BOUND('',#48372,.T.); #28299=FACE_OUTER_BOUND('',#48373,.T.); #28300=FACE_OUTER_BOUND('',#48374,.T.); #28301=FACE_OUTER_BOUND('',#48375,.T.); #28302=FACE_OUTER_BOUND('',#48376,.T.); #28303=FACE_OUTER_BOUND('',#48377,.T.); #28304=FACE_OUTER_BOUND('',#48378,.T.); #28305=FACE_OUTER_BOUND('',#48379,.T.); #28306=FACE_OUTER_BOUND('',#48380,.T.); #28307=FACE_OUTER_BOUND('',#48381,.T.); #28308=FACE_OUTER_BOUND('',#48382,.T.); #28309=FACE_OUTER_BOUND('',#48383,.T.); #28310=FACE_OUTER_BOUND('',#48384,.T.); #28311=FACE_OUTER_BOUND('',#48385,.T.); #28312=FACE_OUTER_BOUND('',#48386,.T.); #28313=FACE_OUTER_BOUND('',#48387,.T.); #28314=FACE_OUTER_BOUND('',#48388,.T.); #28315=FACE_OUTER_BOUND('',#48389,.T.); #28316=FACE_OUTER_BOUND('',#48390,.T.); #28317=FACE_OUTER_BOUND('',#48391,.T.); #28318=FACE_OUTER_BOUND('',#48392,.T.); #28319=FACE_OUTER_BOUND('',#48393,.T.); #28320=FACE_OUTER_BOUND('',#48394,.T.); #28321=FACE_OUTER_BOUND('',#48395,.T.); #28322=FACE_OUTER_BOUND('',#48396,.T.); #28323=FACE_OUTER_BOUND('',#48397,.T.); #28324=FACE_OUTER_BOUND('',#48398,.T.); #28325=FACE_OUTER_BOUND('',#48399,.T.); #28326=FACE_OUTER_BOUND('',#48400,.T.); #28327=FACE_OUTER_BOUND('',#48401,.T.); #28328=FACE_OUTER_BOUND('',#48402,.T.); #28329=FACE_OUTER_BOUND('',#48403,.T.); #28330=FACE_OUTER_BOUND('',#48404,.T.); #28331=FACE_OUTER_BOUND('',#48405,.T.); #28332=FACE_OUTER_BOUND('',#48406,.T.); #28333=FACE_OUTER_BOUND('',#48407,.T.); #28334=FACE_OUTER_BOUND('',#48408,.T.); #28335=FACE_OUTER_BOUND('',#48409,.T.); #28336=FACE_OUTER_BOUND('',#48410,.T.); #28337=FACE_OUTER_BOUND('',#48411,.T.); #28338=FACE_OUTER_BOUND('',#48412,.T.); #28339=FACE_OUTER_BOUND('',#48413,.T.); #28340=FACE_OUTER_BOUND('',#48414,.T.); #28341=FACE_OUTER_BOUND('',#48415,.T.); #28342=FACE_OUTER_BOUND('',#48416,.T.); #28343=FACE_OUTER_BOUND('',#48417,.T.); #28344=FACE_OUTER_BOUND('',#48418,.T.); #28345=FACE_OUTER_BOUND('',#48419,.T.); #28346=FACE_OUTER_BOUND('',#48420,.T.); #28347=FACE_OUTER_BOUND('',#48421,.T.); #28348=FACE_OUTER_BOUND('',#48422,.T.); #28349=FACE_OUTER_BOUND('',#48423,.T.); #28350=FACE_OUTER_BOUND('',#48424,.T.); #28351=FACE_OUTER_BOUND('',#48425,.T.); #28352=FACE_OUTER_BOUND('',#48426,.T.); #28353=FACE_OUTER_BOUND('',#48427,.T.); #28354=FACE_OUTER_BOUND('',#48428,.T.); #28355=FACE_OUTER_BOUND('',#48429,.T.); #28356=FACE_OUTER_BOUND('',#48430,.T.); #28357=FACE_OUTER_BOUND('',#48431,.T.); #28358=FACE_OUTER_BOUND('',#48432,.T.); #28359=FACE_OUTER_BOUND('',#48433,.T.); #28360=FACE_OUTER_BOUND('',#48434,.T.); #28361=FACE_OUTER_BOUND('',#48435,.T.); #28362=FACE_OUTER_BOUND('',#48436,.T.); #28363=FACE_OUTER_BOUND('',#48437,.T.); #28364=FACE_OUTER_BOUND('',#48438,.T.); #28365=FACE_OUTER_BOUND('',#48439,.T.); #28366=FACE_OUTER_BOUND('',#48440,.T.); #28367=FACE_OUTER_BOUND('',#48441,.T.); #28368=FACE_OUTER_BOUND('',#48442,.T.); #28369=FACE_OUTER_BOUND('',#48443,.T.); #28370=FACE_OUTER_BOUND('',#48444,.T.); #28371=FACE_OUTER_BOUND('',#48445,.T.); #28372=FACE_OUTER_BOUND('',#48446,.T.); #28373=FACE_OUTER_BOUND('',#48447,.T.); #28374=FACE_OUTER_BOUND('',#48448,.T.); #28375=FACE_OUTER_BOUND('',#48449,.T.); #28376=FACE_OUTER_BOUND('',#48450,.T.); #28377=FACE_OUTER_BOUND('',#48451,.T.); #28378=FACE_OUTER_BOUND('',#48452,.T.); #28379=FACE_OUTER_BOUND('',#48453,.T.); #28380=FACE_OUTER_BOUND('',#48454,.T.); #28381=FACE_OUTER_BOUND('',#48455,.T.); #28382=FACE_OUTER_BOUND('',#48456,.T.); #28383=FACE_OUTER_BOUND('',#48457,.T.); #28384=FACE_OUTER_BOUND('',#48458,.T.); #28385=FACE_OUTER_BOUND('',#48459,.T.); #28386=FACE_OUTER_BOUND('',#48460,.T.); #28387=FACE_OUTER_BOUND('',#48461,.T.); #28388=FACE_OUTER_BOUND('',#48462,.T.); #28389=FACE_OUTER_BOUND('',#48463,.T.); #28390=FACE_OUTER_BOUND('',#48464,.T.); #28391=FACE_OUTER_BOUND('',#48465,.T.); #28392=FACE_OUTER_BOUND('',#48466,.T.); #28393=FACE_OUTER_BOUND('',#48467,.T.); #28394=FACE_OUTER_BOUND('',#48468,.T.); #28395=FACE_OUTER_BOUND('',#48469,.T.); #28396=FACE_OUTER_BOUND('',#48470,.T.); #28397=FACE_OUTER_BOUND('',#48471,.T.); #28398=FACE_OUTER_BOUND('',#48472,.T.); #28399=FACE_OUTER_BOUND('',#48473,.T.); #28400=FACE_OUTER_BOUND('',#48474,.T.); #28401=FACE_OUTER_BOUND('',#48475,.T.); #28402=FACE_OUTER_BOUND('',#48476,.T.); #28403=FACE_OUTER_BOUND('',#48477,.T.); #28404=FACE_OUTER_BOUND('',#48478,.T.); #28405=FACE_OUTER_BOUND('',#48479,.T.); #28406=FACE_OUTER_BOUND('',#48480,.T.); #28407=FACE_OUTER_BOUND('',#48481,.T.); #28408=FACE_OUTER_BOUND('',#48482,.T.); #28409=FACE_OUTER_BOUND('',#48483,.T.); #28410=FACE_OUTER_BOUND('',#48484,.T.); #28411=FACE_OUTER_BOUND('',#48485,.T.); #28412=FACE_OUTER_BOUND('',#48486,.T.); #28413=FACE_OUTER_BOUND('',#48487,.T.); #28414=FACE_OUTER_BOUND('',#48488,.T.); #28415=FACE_OUTER_BOUND('',#48489,.T.); #28416=FACE_OUTER_BOUND('',#48490,.T.); #28417=FACE_OUTER_BOUND('',#48491,.T.); #28418=FACE_OUTER_BOUND('',#48492,.T.); #28419=FACE_OUTER_BOUND('',#48493,.T.); #28420=FACE_OUTER_BOUND('',#48494,.T.); #28421=FACE_OUTER_BOUND('',#48495,.T.); #28422=FACE_OUTER_BOUND('',#48496,.T.); #28423=FACE_OUTER_BOUND('',#48497,.T.); #28424=FACE_OUTER_BOUND('',#48498,.T.); #28425=FACE_OUTER_BOUND('',#48499,.T.); #28426=FACE_OUTER_BOUND('',#48500,.T.); #28427=FACE_OUTER_BOUND('',#48501,.T.); #28428=FACE_OUTER_BOUND('',#48502,.T.); #28429=FACE_OUTER_BOUND('',#48503,.T.); #28430=FACE_OUTER_BOUND('',#48504,.T.); #28431=FACE_OUTER_BOUND('',#48505,.T.); #28432=FACE_OUTER_BOUND('',#48506,.T.); #28433=FACE_OUTER_BOUND('',#48507,.T.); #28434=FACE_OUTER_BOUND('',#48508,.T.); #28435=FACE_OUTER_BOUND('',#48509,.T.); #28436=FACE_OUTER_BOUND('',#48510,.T.); #28437=FACE_OUTER_BOUND('',#48511,.T.); #28438=FACE_OUTER_BOUND('',#48512,.T.); #28439=FACE_OUTER_BOUND('',#48513,.T.); #28440=FACE_OUTER_BOUND('',#48514,.T.); #28441=FACE_OUTER_BOUND('',#48515,.T.); #28442=FACE_OUTER_BOUND('',#48516,.T.); #28443=FACE_OUTER_BOUND('',#48517,.T.); #28444=FACE_OUTER_BOUND('',#48518,.T.); #28445=FACE_OUTER_BOUND('',#48519,.T.); #28446=FACE_OUTER_BOUND('',#48520,.T.); #28447=FACE_OUTER_BOUND('',#48521,.T.); #28448=FACE_OUTER_BOUND('',#48522,.T.); #28449=FACE_OUTER_BOUND('',#48523,.T.); #28450=FACE_OUTER_BOUND('',#48524,.T.); #28451=FACE_OUTER_BOUND('',#48525,.T.); #28452=FACE_OUTER_BOUND('',#48526,.T.); #28453=FACE_OUTER_BOUND('',#48527,.T.); #28454=FACE_OUTER_BOUND('',#48528,.T.); #28455=FACE_OUTER_BOUND('',#48529,.T.); #28456=FACE_OUTER_BOUND('',#48530,.T.); #28457=FACE_OUTER_BOUND('',#48531,.T.); #28458=FACE_OUTER_BOUND('',#48532,.T.); #28459=FACE_OUTER_BOUND('',#48533,.T.); #28460=FACE_OUTER_BOUND('',#48534,.T.); #28461=FACE_OUTER_BOUND('',#48535,.T.); #28462=FACE_OUTER_BOUND('',#48536,.T.); #28463=FACE_OUTER_BOUND('',#48537,.T.); #28464=FACE_OUTER_BOUND('',#48538,.T.); #28465=FACE_OUTER_BOUND('',#48539,.T.); #28466=FACE_OUTER_BOUND('',#48540,.T.); #28467=FACE_OUTER_BOUND('',#48541,.T.); #28468=FACE_OUTER_BOUND('',#48542,.T.); #28469=FACE_OUTER_BOUND('',#48543,.T.); #28470=FACE_OUTER_BOUND('',#48544,.T.); #28471=FACE_OUTER_BOUND('',#48545,.T.); #28472=FACE_OUTER_BOUND('',#48546,.T.); #28473=FACE_OUTER_BOUND('',#48547,.T.); #28474=FACE_OUTER_BOUND('',#48548,.T.); #28475=FACE_OUTER_BOUND('',#48549,.T.); #28476=FACE_OUTER_BOUND('',#48550,.T.); #28477=FACE_OUTER_BOUND('',#48551,.T.); #28478=FACE_OUTER_BOUND('',#48552,.T.); #28479=FACE_OUTER_BOUND('',#48553,.T.); #28480=FACE_OUTER_BOUND('',#48554,.T.); #28481=FACE_OUTER_BOUND('',#48555,.T.); #28482=FACE_OUTER_BOUND('',#48556,.T.); #28483=FACE_OUTER_BOUND('',#48557,.T.); #28484=FACE_OUTER_BOUND('',#48558,.T.); #28485=FACE_OUTER_BOUND('',#48559,.T.); #28486=FACE_OUTER_BOUND('',#48560,.T.); #28487=FACE_OUTER_BOUND('',#48561,.T.); #28488=FACE_OUTER_BOUND('',#48562,.T.); #28489=FACE_OUTER_BOUND('',#48563,.T.); #28490=FACE_OUTER_BOUND('',#48564,.T.); #28491=FACE_OUTER_BOUND('',#48565,.T.); #28492=FACE_OUTER_BOUND('',#48566,.T.); #28493=FACE_OUTER_BOUND('',#48567,.T.); #28494=FACE_OUTER_BOUND('',#48568,.T.); #28495=FACE_OUTER_BOUND('',#48569,.T.); #28496=FACE_OUTER_BOUND('',#48570,.T.); #28497=FACE_OUTER_BOUND('',#48571,.T.); #28498=FACE_OUTER_BOUND('',#48572,.T.); #28499=FACE_OUTER_BOUND('',#48573,.T.); #28500=FACE_OUTER_BOUND('',#48574,.T.); #28501=FACE_OUTER_BOUND('',#48575,.T.); #28502=FACE_OUTER_BOUND('',#48576,.T.); #28503=FACE_OUTER_BOUND('',#48577,.T.); #28504=FACE_OUTER_BOUND('',#48578,.T.); #28505=FACE_OUTER_BOUND('',#48579,.T.); #28506=FACE_OUTER_BOUND('',#48580,.T.); #28507=FACE_OUTER_BOUND('',#48581,.T.); #28508=FACE_OUTER_BOUND('',#48582,.T.); #28509=FACE_OUTER_BOUND('',#48583,.T.); #28510=FACE_OUTER_BOUND('',#48584,.T.); #28511=FACE_OUTER_BOUND('',#48585,.T.); #28512=FACE_OUTER_BOUND('',#48586,.T.); #28513=FACE_OUTER_BOUND('',#48587,.T.); #28514=FACE_OUTER_BOUND('',#48588,.T.); #28515=FACE_OUTER_BOUND('',#48589,.T.); #28516=FACE_OUTER_BOUND('',#48590,.T.); #28517=FACE_OUTER_BOUND('',#48591,.T.); #28518=FACE_OUTER_BOUND('',#48592,.T.); #28519=FACE_OUTER_BOUND('',#48593,.T.); #28520=FACE_OUTER_BOUND('',#48594,.T.); #28521=FACE_OUTER_BOUND('',#48595,.T.); #28522=FACE_OUTER_BOUND('',#48596,.T.); #28523=FACE_OUTER_BOUND('',#48597,.T.); #28524=FACE_OUTER_BOUND('',#48598,.T.); #28525=FACE_OUTER_BOUND('',#48599,.T.); #28526=FACE_OUTER_BOUND('',#48600,.T.); #28527=FACE_OUTER_BOUND('',#48601,.T.); #28528=FACE_OUTER_BOUND('',#48602,.T.); #28529=FACE_OUTER_BOUND('',#48603,.T.); #28530=FACE_OUTER_BOUND('',#48604,.T.); #28531=FACE_OUTER_BOUND('',#48605,.T.); #28532=FACE_OUTER_BOUND('',#48606,.T.); #28533=FACE_OUTER_BOUND('',#48607,.T.); #28534=FACE_OUTER_BOUND('',#48608,.T.); #28535=FACE_OUTER_BOUND('',#48609,.T.); #28536=FACE_OUTER_BOUND('',#48610,.T.); #28537=FACE_OUTER_BOUND('',#48611,.T.); #28538=FACE_OUTER_BOUND('',#48612,.T.); #28539=FACE_OUTER_BOUND('',#48613,.T.); #28540=FACE_OUTER_BOUND('',#48614,.T.); #28541=FACE_OUTER_BOUND('',#48615,.T.); #28542=FACE_OUTER_BOUND('',#48616,.T.); #28543=FACE_OUTER_BOUND('',#48617,.T.); #28544=FACE_OUTER_BOUND('',#48618,.T.); #28545=FACE_OUTER_BOUND('',#48619,.T.); #28546=FACE_OUTER_BOUND('',#48620,.T.); #28547=FACE_OUTER_BOUND('',#48621,.T.); #28548=FACE_OUTER_BOUND('',#48622,.T.); #28549=FACE_OUTER_BOUND('',#48623,.T.); #28550=FACE_OUTER_BOUND('',#48624,.T.); #28551=FACE_OUTER_BOUND('',#48625,.T.); #28552=FACE_OUTER_BOUND('',#48626,.T.); #28553=FACE_OUTER_BOUND('',#48627,.T.); #28554=FACE_OUTER_BOUND('',#48628,.T.); #28555=FACE_OUTER_BOUND('',#48629,.T.); #28556=FACE_OUTER_BOUND('',#48630,.T.); #28557=FACE_OUTER_BOUND('',#48631,.T.); #28558=FACE_OUTER_BOUND('',#48632,.T.); #28559=FACE_OUTER_BOUND('',#48633,.T.); #28560=FACE_OUTER_BOUND('',#48634,.T.); #28561=FACE_OUTER_BOUND('',#48635,.T.); #28562=FACE_OUTER_BOUND('',#48636,.T.); #28563=FACE_OUTER_BOUND('',#48637,.T.); #28564=FACE_OUTER_BOUND('',#48638,.T.); #28565=FACE_OUTER_BOUND('',#48639,.T.); #28566=FACE_OUTER_BOUND('',#48640,.T.); #28567=FACE_OUTER_BOUND('',#48641,.T.); #28568=FACE_OUTER_BOUND('',#48642,.T.); #28569=FACE_OUTER_BOUND('',#48643,.T.); #28570=FACE_OUTER_BOUND('',#48644,.T.); #28571=FACE_OUTER_BOUND('',#48645,.T.); #28572=FACE_OUTER_BOUND('',#48646,.T.); #28573=FACE_OUTER_BOUND('',#48647,.T.); #28574=FACE_OUTER_BOUND('',#48648,.T.); #28575=FACE_OUTER_BOUND('',#48649,.T.); #28576=FACE_OUTER_BOUND('',#48650,.T.); #28577=FACE_OUTER_BOUND('',#48651,.T.); #28578=FACE_OUTER_BOUND('',#48652,.T.); #28579=FACE_OUTER_BOUND('',#48653,.T.); #28580=FACE_OUTER_BOUND('',#48654,.T.); #28581=FACE_OUTER_BOUND('',#48655,.T.); #28582=FACE_OUTER_BOUND('',#48656,.T.); #28583=FACE_OUTER_BOUND('',#48657,.T.); #28584=FACE_OUTER_BOUND('',#48658,.T.); #28585=FACE_OUTER_BOUND('',#48659,.T.); #28586=FACE_OUTER_BOUND('',#48660,.T.); #28587=FACE_OUTER_BOUND('',#48661,.T.); #28588=FACE_OUTER_BOUND('',#48662,.T.); #28589=FACE_OUTER_BOUND('',#48663,.T.); #28590=FACE_OUTER_BOUND('',#48664,.T.); #28591=FACE_OUTER_BOUND('',#48665,.T.); #28592=FACE_OUTER_BOUND('',#48666,.T.); #28593=FACE_OUTER_BOUND('',#48667,.T.); #28594=FACE_OUTER_BOUND('',#48668,.T.); #28595=FACE_OUTER_BOUND('',#48669,.T.); #28596=FACE_OUTER_BOUND('',#48670,.T.); #28597=FACE_OUTER_BOUND('',#48671,.T.); #28598=FACE_OUTER_BOUND('',#48672,.T.); #28599=FACE_OUTER_BOUND('',#48673,.T.); #28600=FACE_OUTER_BOUND('',#48674,.T.); #28601=FACE_OUTER_BOUND('',#48675,.T.); #28602=FACE_OUTER_BOUND('',#48676,.T.); #28603=FACE_OUTER_BOUND('',#48677,.T.); #28604=FACE_OUTER_BOUND('',#48678,.T.); #28605=FACE_OUTER_BOUND('',#48679,.T.); #28606=FACE_OUTER_BOUND('',#48680,.T.); #28607=FACE_OUTER_BOUND('',#48681,.T.); #28608=FACE_OUTER_BOUND('',#48682,.T.); #28609=FACE_OUTER_BOUND('',#48683,.T.); #28610=FACE_OUTER_BOUND('',#48684,.T.); #28611=FACE_OUTER_BOUND('',#48685,.T.); #28612=FACE_OUTER_BOUND('',#48686,.T.); #28613=FACE_OUTER_BOUND('',#48687,.T.); #28614=FACE_OUTER_BOUND('',#48688,.T.); #28615=FACE_OUTER_BOUND('',#48689,.T.); #28616=FACE_OUTER_BOUND('',#48690,.T.); #28617=FACE_OUTER_BOUND('',#48691,.T.); #28618=FACE_OUTER_BOUND('',#48692,.T.); #28619=FACE_OUTER_BOUND('',#48693,.T.); #28620=FACE_OUTER_BOUND('',#48694,.T.); #28621=FACE_OUTER_BOUND('',#48695,.T.); #28622=FACE_OUTER_BOUND('',#48696,.T.); #28623=FACE_OUTER_BOUND('',#48697,.T.); #28624=FACE_OUTER_BOUND('',#48698,.T.); #28625=FACE_OUTER_BOUND('',#48699,.T.); #28626=FACE_OUTER_BOUND('',#48700,.T.); #28627=FACE_OUTER_BOUND('',#48701,.T.); #28628=FACE_OUTER_BOUND('',#48702,.T.); #28629=FACE_OUTER_BOUND('',#48703,.T.); #28630=FACE_OUTER_BOUND('',#48704,.T.); #28631=FACE_OUTER_BOUND('',#48705,.T.); #28632=FACE_OUTER_BOUND('',#48706,.T.); #28633=FACE_OUTER_BOUND('',#48707,.T.); #28634=FACE_OUTER_BOUND('',#48708,.T.); #28635=FACE_OUTER_BOUND('',#48709,.T.); #28636=FACE_OUTER_BOUND('',#48710,.T.); #28637=FACE_OUTER_BOUND('',#48711,.T.); #28638=FACE_OUTER_BOUND('',#48712,.T.); #28639=FACE_OUTER_BOUND('',#48713,.T.); #28640=FACE_OUTER_BOUND('',#48714,.T.); #28641=FACE_OUTER_BOUND('',#48715,.T.); #28642=FACE_OUTER_BOUND('',#48716,.T.); #28643=FACE_OUTER_BOUND('',#48717,.T.); #28644=FACE_OUTER_BOUND('',#48718,.T.); #28645=FACE_OUTER_BOUND('',#48719,.T.); #28646=FACE_OUTER_BOUND('',#48720,.T.); #28647=FACE_OUTER_BOUND('',#48721,.T.); #28648=FACE_OUTER_BOUND('',#48722,.T.); #28649=FACE_OUTER_BOUND('',#48723,.T.); #28650=FACE_OUTER_BOUND('',#48724,.T.); #28651=FACE_OUTER_BOUND('',#48725,.T.); #28652=FACE_OUTER_BOUND('',#48726,.T.); #28653=FACE_OUTER_BOUND('',#48727,.T.); #28654=FACE_OUTER_BOUND('',#48728,.T.); #28655=FACE_OUTER_BOUND('',#48729,.T.); #28656=FACE_OUTER_BOUND('',#48730,.T.); #28657=FACE_OUTER_BOUND('',#48731,.T.); #28658=FACE_OUTER_BOUND('',#48732,.T.); #28659=FACE_OUTER_BOUND('',#48733,.T.); #28660=FACE_OUTER_BOUND('',#48734,.T.); #28661=FACE_OUTER_BOUND('',#48735,.T.); #28662=FACE_OUTER_BOUND('',#48736,.T.); #28663=FACE_OUTER_BOUND('',#48737,.T.); #28664=FACE_OUTER_BOUND('',#48738,.T.); #28665=FACE_OUTER_BOUND('',#48739,.T.); #28666=FACE_OUTER_BOUND('',#48740,.T.); #28667=FACE_OUTER_BOUND('',#48741,.T.); #28668=FACE_OUTER_BOUND('',#48742,.T.); #28669=FACE_OUTER_BOUND('',#48743,.T.); #28670=FACE_OUTER_BOUND('',#48744,.T.); #28671=FACE_OUTER_BOUND('',#48745,.T.); #28672=FACE_OUTER_BOUND('',#48746,.T.); #28673=FACE_OUTER_BOUND('',#48747,.T.); #28674=FACE_OUTER_BOUND('',#48748,.T.); #28675=FACE_OUTER_BOUND('',#48749,.T.); #28676=FACE_OUTER_BOUND('',#48750,.T.); #28677=FACE_OUTER_BOUND('',#48751,.T.); #28678=FACE_OUTER_BOUND('',#48752,.T.); #28679=FACE_OUTER_BOUND('',#48753,.T.); #28680=FACE_OUTER_BOUND('',#48754,.T.); #28681=FACE_OUTER_BOUND('',#48755,.T.); #28682=FACE_OUTER_BOUND('',#48756,.T.); #28683=FACE_OUTER_BOUND('',#48757,.T.); #28684=FACE_OUTER_BOUND('',#48758,.T.); #28685=FACE_OUTER_BOUND('',#48759,.T.); #28686=FACE_OUTER_BOUND('',#48760,.T.); #28687=FACE_OUTER_BOUND('',#48761,.T.); #28688=FACE_OUTER_BOUND('',#48762,.T.); #28689=FACE_OUTER_BOUND('',#48763,.T.); #28690=FACE_OUTER_BOUND('',#48764,.T.); #28691=FACE_OUTER_BOUND('',#48765,.T.); #28692=FACE_OUTER_BOUND('',#48766,.T.); #28693=FACE_OUTER_BOUND('',#48767,.T.); #28694=FACE_OUTER_BOUND('',#48768,.T.); #28695=FACE_OUTER_BOUND('',#48769,.T.); #28696=FACE_OUTER_BOUND('',#48770,.T.); #28697=FACE_OUTER_BOUND('',#48771,.T.); #28698=FACE_OUTER_BOUND('',#48772,.T.); #28699=FACE_OUTER_BOUND('',#48773,.T.); #28700=FACE_OUTER_BOUND('',#48774,.T.); #28701=FACE_OUTER_BOUND('',#48775,.T.); #28702=FACE_OUTER_BOUND('',#48776,.T.); #28703=FACE_OUTER_BOUND('',#48777,.T.); #28704=FACE_OUTER_BOUND('',#48778,.T.); #28705=FACE_OUTER_BOUND('',#48779,.T.); #28706=FACE_OUTER_BOUND('',#48780,.T.); #28707=FACE_OUTER_BOUND('',#48781,.T.); #28708=FACE_OUTER_BOUND('',#48782,.T.); #28709=FACE_OUTER_BOUND('',#48783,.T.); #28710=FACE_OUTER_BOUND('',#48784,.T.); #28711=FACE_OUTER_BOUND('',#48785,.T.); #28712=FACE_OUTER_BOUND('',#48786,.T.); #28713=FACE_OUTER_BOUND('',#48787,.T.); #28714=FACE_OUTER_BOUND('',#48788,.T.); #28715=FACE_OUTER_BOUND('',#48789,.T.); #28716=FACE_OUTER_BOUND('',#48790,.T.); #28717=FACE_OUTER_BOUND('',#48791,.T.); #28718=FACE_OUTER_BOUND('',#48792,.T.); #28719=FACE_OUTER_BOUND('',#48793,.T.); #28720=FACE_OUTER_BOUND('',#48796,.T.); #28721=FACE_OUTER_BOUND('',#48799,.T.); #28722=FACE_OUTER_BOUND('',#48800,.T.); #28723=FACE_OUTER_BOUND('',#48801,.T.); #28724=FACE_OUTER_BOUND('',#48802,.T.); #28725=FACE_OUTER_BOUND('',#48803,.T.); #28726=FACE_OUTER_BOUND('',#48804,.T.); #28727=FACE_OUTER_BOUND('',#48805,.T.); #28728=FACE_OUTER_BOUND('',#48806,.T.); #28729=FACE_OUTER_BOUND('',#48807,.T.); #28730=FACE_OUTER_BOUND('',#48808,.T.); #28731=FACE_OUTER_BOUND('',#48809,.T.); #28732=FACE_OUTER_BOUND('',#48810,.T.); #28733=FACE_OUTER_BOUND('',#48811,.T.); #28734=FACE_OUTER_BOUND('',#48812,.T.); #28735=FACE_OUTER_BOUND('',#48813,.T.); #28736=FACE_OUTER_BOUND('',#48814,.T.); #28737=FACE_OUTER_BOUND('',#48815,.T.); #28738=FACE_OUTER_BOUND('',#48816,.T.); #28739=FACE_OUTER_BOUND('',#48817,.T.); #28740=FACE_OUTER_BOUND('',#48818,.T.); #28741=FACE_OUTER_BOUND('',#48819,.T.); #28742=FACE_OUTER_BOUND('',#48820,.T.); #28743=FACE_OUTER_BOUND('',#48821,.T.); #28744=FACE_OUTER_BOUND('',#48822,.T.); #28745=FACE_OUTER_BOUND('',#48823,.T.); #28746=FACE_OUTER_BOUND('',#48824,.T.); #28747=FACE_OUTER_BOUND('',#48825,.T.); #28748=FACE_OUTER_BOUND('',#48826,.T.); #28749=FACE_OUTER_BOUND('',#48827,.T.); #28750=FACE_OUTER_BOUND('',#48828,.T.); #28751=FACE_OUTER_BOUND('',#48829,.T.); #28752=FACE_OUTER_BOUND('',#48830,.T.); #28753=FACE_OUTER_BOUND('',#48831,.T.); #28754=FACE_OUTER_BOUND('',#48832,.T.); #28755=FACE_OUTER_BOUND('',#48833,.T.); #28756=FACE_OUTER_BOUND('',#48834,.T.); #28757=FACE_OUTER_BOUND('',#48835,.T.); #28758=FACE_OUTER_BOUND('',#48836,.T.); #28759=FACE_OUTER_BOUND('',#48837,.T.); #28760=FACE_OUTER_BOUND('',#48838,.T.); #28761=FACE_OUTER_BOUND('',#48839,.T.); #28762=FACE_OUTER_BOUND('',#48840,.T.); #28763=FACE_OUTER_BOUND('',#48841,.T.); #28764=FACE_OUTER_BOUND('',#48842,.T.); #28765=FACE_OUTER_BOUND('',#48843,.T.); #28766=FACE_OUTER_BOUND('',#48844,.T.); #28767=FACE_OUTER_BOUND('',#48845,.T.); #28768=FACE_OUTER_BOUND('',#48846,.T.); #28769=FACE_OUTER_BOUND('',#48847,.T.); #28770=FACE_OUTER_BOUND('',#48848,.T.); #28771=FACE_OUTER_BOUND('',#48849,.T.); #28772=FACE_OUTER_BOUND('',#48850,.T.); #28773=FACE_OUTER_BOUND('',#48851,.T.); #28774=FACE_OUTER_BOUND('',#48852,.T.); #28775=FACE_OUTER_BOUND('',#48853,.T.); #28776=FACE_OUTER_BOUND('',#48854,.T.); #28777=FACE_OUTER_BOUND('',#48855,.T.); #28778=FACE_OUTER_BOUND('',#48856,.T.); #28779=FACE_OUTER_BOUND('',#48857,.T.); #28780=FACE_OUTER_BOUND('',#48858,.T.); #28781=FACE_OUTER_BOUND('',#48859,.T.); #28782=FACE_OUTER_BOUND('',#48860,.T.); #28783=FACE_OUTER_BOUND('',#48861,.T.); #28784=FACE_OUTER_BOUND('',#48862,.T.); #28785=FACE_OUTER_BOUND('',#48863,.T.); #28786=FACE_OUTER_BOUND('',#48864,.T.); #28787=FACE_OUTER_BOUND('',#48865,.T.); #28788=FACE_OUTER_BOUND('',#48866,.T.); #28789=FACE_OUTER_BOUND('',#48867,.T.); #28790=FACE_OUTER_BOUND('',#48868,.T.); #28791=FACE_OUTER_BOUND('',#48869,.T.); #28792=FACE_OUTER_BOUND('',#48870,.T.); #28793=FACE_OUTER_BOUND('',#48871,.T.); #28794=FACE_OUTER_BOUND('',#48872,.T.); #28795=FACE_OUTER_BOUND('',#48873,.T.); #28796=FACE_OUTER_BOUND('',#48874,.T.); #28797=FACE_OUTER_BOUND('',#48875,.T.); #28798=FACE_OUTER_BOUND('',#48876,.T.); #28799=FACE_OUTER_BOUND('',#48877,.T.); #28800=FACE_OUTER_BOUND('',#48893,.T.); #28801=FACE_OUTER_BOUND('',#48909,.T.); #28802=FACE_OUTER_BOUND('',#48910,.T.); #28803=FACE_OUTER_BOUND('',#48911,.T.); #28804=FACE_OUTER_BOUND('',#48912,.T.); #28805=FACE_OUTER_BOUND('',#48913,.T.); #28806=FACE_OUTER_BOUND('',#48914,.T.); #28807=FACE_OUTER_BOUND('',#48915,.T.); #28808=FACE_OUTER_BOUND('',#48916,.T.); #28809=FACE_OUTER_BOUND('',#48917,.T.); #28810=FACE_OUTER_BOUND('',#48918,.T.); #28811=FACE_OUTER_BOUND('',#48919,.T.); #28812=FACE_OUTER_BOUND('',#48920,.T.); #28813=FACE_OUTER_BOUND('',#48921,.T.); #28814=FACE_OUTER_BOUND('',#48922,.T.); #28815=FACE_OUTER_BOUND('',#48923,.T.); #28816=FACE_OUTER_BOUND('',#48924,.T.); #28817=FACE_OUTER_BOUND('',#48925,.T.); #28818=FACE_OUTER_BOUND('',#48926,.T.); #28819=FACE_OUTER_BOUND('',#48927,.T.); #28820=FACE_OUTER_BOUND('',#48928,.T.); #28821=FACE_OUTER_BOUND('',#48929,.T.); #28822=FACE_OUTER_BOUND('',#48930,.T.); #28823=FACE_OUTER_BOUND('',#48931,.T.); #28824=FACE_OUTER_BOUND('',#48932,.T.); #28825=FACE_OUTER_BOUND('',#48933,.T.); #28826=FACE_OUTER_BOUND('',#48934,.T.); #28827=FACE_OUTER_BOUND('',#48935,.T.); #28828=FACE_OUTER_BOUND('',#48936,.T.); #28829=FACE_OUTER_BOUND('',#48937,.T.); #28830=FACE_OUTER_BOUND('',#48938,.T.); #28831=FACE_OUTER_BOUND('',#48939,.T.); #28832=FACE_OUTER_BOUND('',#48940,.T.); #28833=FACE_OUTER_BOUND('',#48941,.T.); #28834=FACE_OUTER_BOUND('',#48942,.T.); #28835=FACE_OUTER_BOUND('',#48943,.T.); #28836=FACE_OUTER_BOUND('',#48944,.T.); #28837=FACE_OUTER_BOUND('',#48945,.T.); #28838=FACE_OUTER_BOUND('',#48946,.T.); #28839=FACE_OUTER_BOUND('',#48947,.T.); #28840=FACE_OUTER_BOUND('',#48948,.T.); #28841=FACE_OUTER_BOUND('',#48949,.T.); #28842=FACE_OUTER_BOUND('',#48950,.T.); #28843=FACE_OUTER_BOUND('',#48951,.T.); #28844=FACE_OUTER_BOUND('',#48952,.T.); #28845=FACE_OUTER_BOUND('',#48954,.T.); #28846=FACE_OUTER_BOUND('',#48956,.T.); #28847=FACE_OUTER_BOUND('',#48957,.T.); #28848=FACE_OUTER_BOUND('',#48958,.T.); #28849=FACE_OUTER_BOUND('',#48959,.T.); #28850=FACE_OUTER_BOUND('',#48960,.T.); #28851=FACE_OUTER_BOUND('',#48961,.T.); #28852=FACE_OUTER_BOUND('',#48962,.T.); #28853=FACE_OUTER_BOUND('',#48963,.T.); #28854=FACE_OUTER_BOUND('',#48964,.T.); #28855=FACE_OUTER_BOUND('',#48965,.T.); #28856=FACE_OUTER_BOUND('',#48966,.T.); #28857=FACE_OUTER_BOUND('',#48967,.T.); #28858=FACE_OUTER_BOUND('',#48968,.T.); #28859=FACE_OUTER_BOUND('',#48969,.T.); #28860=FACE_OUTER_BOUND('',#48970,.T.); #28861=FACE_OUTER_BOUND('',#48971,.T.); #28862=FACE_OUTER_BOUND('',#48972,.T.); #28863=FACE_OUTER_BOUND('',#48973,.T.); #28864=FACE_OUTER_BOUND('',#48974,.T.); #28865=FACE_OUTER_BOUND('',#48975,.T.); #28866=FACE_OUTER_BOUND('',#48976,.T.); #28867=FACE_OUTER_BOUND('',#48977,.T.); #28868=FACE_OUTER_BOUND('',#48978,.T.); #28869=FACE_OUTER_BOUND('',#48979,.T.); #28870=FACE_OUTER_BOUND('',#48980,.T.); #28871=FACE_OUTER_BOUND('',#48981,.T.); #28872=FACE_OUTER_BOUND('',#48982,.T.); #28873=FACE_OUTER_BOUND('',#48983,.T.); #28874=FACE_OUTER_BOUND('',#48984,.T.); #28875=FACE_OUTER_BOUND('',#48985,.T.); #28876=FACE_OUTER_BOUND('',#48986,.T.); #28877=FACE_OUTER_BOUND('',#48987,.T.); #28878=FACE_OUTER_BOUND('',#48988,.T.); #28879=FACE_OUTER_BOUND('',#48989,.T.); #28880=FACE_OUTER_BOUND('',#48990,.T.); #28881=FACE_OUTER_BOUND('',#48991,.T.); #28882=FACE_OUTER_BOUND('',#48992,.T.); #28883=FACE_OUTER_BOUND('',#48993,.T.); #28884=FACE_OUTER_BOUND('',#48994,.T.); #28885=FACE_OUTER_BOUND('',#48995,.T.); #28886=FACE_OUTER_BOUND('',#48996,.T.); #28887=FACE_OUTER_BOUND('',#48997,.T.); #28888=FACE_OUTER_BOUND('',#48998,.T.); #28889=FACE_OUTER_BOUND('',#48999,.T.); #28890=FACE_OUTER_BOUND('',#49000,.T.); #28891=FACE_OUTER_BOUND('',#49001,.T.); #28892=FACE_OUTER_BOUND('',#49002,.T.); #28893=FACE_OUTER_BOUND('',#49003,.T.); #28894=FACE_OUTER_BOUND('',#49004,.T.); #28895=FACE_OUTER_BOUND('',#49005,.T.); #28896=FACE_OUTER_BOUND('',#49006,.T.); #28897=FACE_OUTER_BOUND('',#49007,.T.); #28898=FACE_OUTER_BOUND('',#49008,.T.); #28899=FACE_OUTER_BOUND('',#49009,.T.); #28900=FACE_OUTER_BOUND('',#49010,.T.); #28901=FACE_OUTER_BOUND('',#49011,.T.); #28902=FACE_OUTER_BOUND('',#49012,.T.); #28903=FACE_OUTER_BOUND('',#49013,.T.); #28904=FACE_OUTER_BOUND('',#49014,.T.); #28905=FACE_OUTER_BOUND('',#49015,.T.); #28906=FACE_OUTER_BOUND('',#49016,.T.); #28907=FACE_OUTER_BOUND('',#49017,.T.); #28908=FACE_OUTER_BOUND('',#49018,.T.); #28909=FACE_OUTER_BOUND('',#49019,.T.); #28910=FACE_OUTER_BOUND('',#49020,.T.); #28911=FACE_OUTER_BOUND('',#49036,.T.); #28912=FACE_OUTER_BOUND('',#49052,.T.); #28913=FACE_OUTER_BOUND('',#49053,.T.); #28914=FACE_OUTER_BOUND('',#49054,.T.); #28915=FACE_OUTER_BOUND('',#49055,.T.); #28916=FACE_OUTER_BOUND('',#49056,.T.); #28917=FACE_OUTER_BOUND('',#49057,.T.); #28918=FACE_OUTER_BOUND('',#49058,.T.); #28919=FACE_OUTER_BOUND('',#49059,.T.); #28920=FACE_OUTER_BOUND('',#49060,.T.); #28921=FACE_OUTER_BOUND('',#49061,.T.); #28922=FACE_OUTER_BOUND('',#49062,.T.); #28923=FACE_OUTER_BOUND('',#49063,.T.); #28924=FACE_OUTER_BOUND('',#49064,.T.); #28925=FACE_OUTER_BOUND('',#49065,.T.); #28926=FACE_OUTER_BOUND('',#49066,.T.); #28927=FACE_OUTER_BOUND('',#49067,.T.); #28928=FACE_OUTER_BOUND('',#49068,.T.); #28929=FACE_OUTER_BOUND('',#49069,.T.); #28930=FACE_OUTER_BOUND('',#49070,.T.); #28931=FACE_OUTER_BOUND('',#49071,.T.); #28932=FACE_OUTER_BOUND('',#49072,.T.); #28933=FACE_OUTER_BOUND('',#49073,.T.); #28934=FACE_OUTER_BOUND('',#49074,.T.); #28935=FACE_OUTER_BOUND('',#49075,.T.); #28936=FACE_OUTER_BOUND('',#49076,.T.); #28937=FACE_OUTER_BOUND('',#49077,.T.); #28938=FACE_OUTER_BOUND('',#49078,.T.); #28939=FACE_OUTER_BOUND('',#49079,.T.); #28940=FACE_OUTER_BOUND('',#49080,.T.); #28941=FACE_OUTER_BOUND('',#49081,.T.); #28942=FACE_OUTER_BOUND('',#49082,.T.); #28943=FACE_OUTER_BOUND('',#49083,.T.); #28944=FACE_OUTER_BOUND('',#49084,.T.); #28945=FACE_OUTER_BOUND('',#49085,.T.); #28946=FACE_OUTER_BOUND('',#49086,.T.); #28947=FACE_OUTER_BOUND('',#49087,.T.); #28948=FACE_OUTER_BOUND('',#49088,.T.); #28949=FACE_OUTER_BOUND('',#49089,.T.); #28950=FACE_OUTER_BOUND('',#49090,.T.); #28951=FACE_OUTER_BOUND('',#49091,.T.); #28952=FACE_OUTER_BOUND('',#49092,.T.); #28953=FACE_OUTER_BOUND('',#49093,.T.); #28954=FACE_OUTER_BOUND('',#49094,.T.); #28955=FACE_OUTER_BOUND('',#49095,.T.); #28956=FACE_OUTER_BOUND('',#49097,.T.); #28957=FACE_OUTER_BOUND('',#49099,.T.); #28958=FACE_OUTER_BOUND('',#49100,.T.); #28959=FACE_OUTER_BOUND('',#49101,.T.); #28960=FACE_OUTER_BOUND('',#49102,.T.); #28961=FACE_OUTER_BOUND('',#49103,.T.); #28962=FACE_OUTER_BOUND('',#49104,.T.); #28963=FACE_OUTER_BOUND('',#49105,.T.); #28964=FACE_OUTER_BOUND('',#49106,.T.); #28965=FACE_OUTER_BOUND('',#49107,.T.); #28966=FACE_OUTER_BOUND('',#49108,.T.); #28967=FACE_OUTER_BOUND('',#49109,.T.); #28968=FACE_OUTER_BOUND('',#49110,.T.); #28969=FACE_OUTER_BOUND('',#49111,.T.); #28970=FACE_OUTER_BOUND('',#49112,.T.); #28971=FACE_OUTER_BOUND('',#49113,.T.); #28972=FACE_OUTER_BOUND('',#49114,.T.); #28973=FACE_OUTER_BOUND('',#49115,.T.); #28974=FACE_OUTER_BOUND('',#49116,.T.); #28975=FACE_OUTER_BOUND('',#49117,.T.); #28976=FACE_OUTER_BOUND('',#49118,.T.); #28977=FACE_OUTER_BOUND('',#49119,.T.); #28978=FACE_OUTER_BOUND('',#49120,.T.); #28979=FACE_OUTER_BOUND('',#49121,.T.); #28980=FACE_OUTER_BOUND('',#49122,.T.); #28981=FACE_OUTER_BOUND('',#49123,.T.); #28982=FACE_OUTER_BOUND('',#49124,.T.); #28983=FACE_OUTER_BOUND('',#49125,.T.); #28984=FACE_OUTER_BOUND('',#49126,.T.); #28985=FACE_OUTER_BOUND('',#49127,.T.); #28986=FACE_OUTER_BOUND('',#49128,.T.); #28987=FACE_OUTER_BOUND('',#49129,.T.); #28988=FACE_OUTER_BOUND('',#49130,.T.); #28989=FACE_OUTER_BOUND('',#49131,.T.); #28990=FACE_OUTER_BOUND('',#49132,.T.); #28991=FACE_OUTER_BOUND('',#49133,.T.); #28992=FACE_OUTER_BOUND('',#49134,.T.); #28993=FACE_OUTER_BOUND('',#49135,.T.); #28994=FACE_OUTER_BOUND('',#49136,.T.); #28995=FACE_OUTER_BOUND('',#49137,.T.); #28996=FACE_OUTER_BOUND('',#49138,.T.); #28997=FACE_OUTER_BOUND('',#49139,.T.); #28998=FACE_OUTER_BOUND('',#49140,.T.); #28999=FACE_OUTER_BOUND('',#49141,.T.); #29000=FACE_OUTER_BOUND('',#49142,.T.); #29001=FACE_OUTER_BOUND('',#49143,.T.); #29002=FACE_OUTER_BOUND('',#49144,.T.); #29003=FACE_OUTER_BOUND('',#49145,.T.); #29004=FACE_OUTER_BOUND('',#49146,.T.); #29005=FACE_OUTER_BOUND('',#49147,.T.); #29006=FACE_OUTER_BOUND('',#49148,.T.); #29007=FACE_OUTER_BOUND('',#49149,.T.); #29008=FACE_OUTER_BOUND('',#49150,.T.); #29009=FACE_OUTER_BOUND('',#49151,.T.); #29010=FACE_OUTER_BOUND('',#49152,.T.); #29011=FACE_OUTER_BOUND('',#49153,.T.); #29012=FACE_OUTER_BOUND('',#49154,.T.); #29013=FACE_OUTER_BOUND('',#49155,.T.); #29014=FACE_OUTER_BOUND('',#49156,.T.); #29015=FACE_OUTER_BOUND('',#49157,.T.); #29016=FACE_OUTER_BOUND('',#49158,.T.); #29017=FACE_OUTER_BOUND('',#49159,.T.); #29018=FACE_OUTER_BOUND('',#49160,.T.); #29019=FACE_OUTER_BOUND('',#49161,.T.); #29020=FACE_OUTER_BOUND('',#49162,.T.); #29021=FACE_OUTER_BOUND('',#49163,.T.); #29022=FACE_OUTER_BOUND('',#49164,.T.); #29023=FACE_OUTER_BOUND('',#49165,.T.); #29024=FACE_OUTER_BOUND('',#49166,.T.); #29025=FACE_OUTER_BOUND('',#49167,.T.); #29026=FACE_OUTER_BOUND('',#49168,.T.); #29027=FACE_OUTER_BOUND('',#49169,.T.); #29028=FACE_OUTER_BOUND('',#49170,.T.); #29029=FACE_OUTER_BOUND('',#49171,.T.); #29030=FACE_OUTER_BOUND('',#49172,.T.); #29031=FACE_OUTER_BOUND('',#49173,.T.); #29032=FACE_OUTER_BOUND('',#49174,.T.); #29033=FACE_OUTER_BOUND('',#49175,.T.); #29034=FACE_OUTER_BOUND('',#49176,.T.); #29035=FACE_OUTER_BOUND('',#49177,.T.); #29036=FACE_OUTER_BOUND('',#49178,.T.); #29037=FACE_OUTER_BOUND('',#49179,.T.); #29038=FACE_OUTER_BOUND('',#49180,.T.); #29039=FACE_OUTER_BOUND('',#49181,.T.); #29040=FACE_OUTER_BOUND('',#49182,.T.); #29041=FACE_OUTER_BOUND('',#49183,.T.); #29042=FACE_OUTER_BOUND('',#49184,.T.); #29043=FACE_OUTER_BOUND('',#49185,.T.); #29044=FACE_OUTER_BOUND('',#49186,.T.); #29045=FACE_OUTER_BOUND('',#49187,.T.); #29046=FACE_OUTER_BOUND('',#49188,.T.); #29047=FACE_OUTER_BOUND('',#49189,.T.); #29048=FACE_OUTER_BOUND('',#49190,.T.); #29049=FACE_OUTER_BOUND('',#49191,.T.); #29050=FACE_OUTER_BOUND('',#49192,.T.); #29051=FACE_OUTER_BOUND('',#49193,.T.); #29052=FACE_OUTER_BOUND('',#49194,.T.); #29053=FACE_OUTER_BOUND('',#49195,.T.); #29054=FACE_OUTER_BOUND('',#49196,.T.); #29055=FACE_OUTER_BOUND('',#49197,.T.); #29056=FACE_OUTER_BOUND('',#49198,.T.); #29057=FACE_OUTER_BOUND('',#49199,.T.); #29058=FACE_OUTER_BOUND('',#49200,.T.); #29059=FACE_OUTER_BOUND('',#49201,.T.); #29060=FACE_OUTER_BOUND('',#49202,.T.); #29061=FACE_OUTER_BOUND('',#49203,.T.); #29062=FACE_OUTER_BOUND('',#49204,.T.); #29063=FACE_OUTER_BOUND('',#49205,.T.); #29064=FACE_OUTER_BOUND('',#49206,.T.); #29065=FACE_OUTER_BOUND('',#49207,.T.); #29066=FACE_OUTER_BOUND('',#49208,.T.); #29067=FACE_OUTER_BOUND('',#49209,.T.); #29068=FACE_OUTER_BOUND('',#49210,.T.); #29069=FACE_OUTER_BOUND('',#49211,.T.); #29070=FACE_OUTER_BOUND('',#49212,.T.); #29071=FACE_OUTER_BOUND('',#49213,.T.); #29072=FACE_OUTER_BOUND('',#49214,.T.); #29073=FACE_OUTER_BOUND('',#49215,.T.); #29074=FACE_OUTER_BOUND('',#49247,.T.); #29075=FACE_OUTER_BOUND('',#49279,.T.); #29076=FACE_OUTER_BOUND('',#49280,.T.); #29077=FACE_OUTER_BOUND('',#49281,.T.); #29078=FACE_OUTER_BOUND('',#49282,.T.); #29079=FACE_OUTER_BOUND('',#49283,.T.); #29080=FACE_OUTER_BOUND('',#49284,.T.); #29081=FACE_OUTER_BOUND('',#49285,.T.); #29082=FACE_OUTER_BOUND('',#49286,.T.); #29083=FACE_OUTER_BOUND('',#49287,.T.); #29084=FACE_OUTER_BOUND('',#49288,.T.); #29085=FACE_OUTER_BOUND('',#49289,.T.); #29086=FACE_OUTER_BOUND('',#49290,.T.); #29087=FACE_OUTER_BOUND('',#49291,.T.); #29088=FACE_OUTER_BOUND('',#49292,.T.); #29089=FACE_OUTER_BOUND('',#49293,.T.); #29090=FACE_OUTER_BOUND('',#49294,.T.); #29091=FACE_OUTER_BOUND('',#49295,.T.); #29092=FACE_OUTER_BOUND('',#49296,.T.); #29093=FACE_OUTER_BOUND('',#49297,.T.); #29094=FACE_OUTER_BOUND('',#49298,.T.); #29095=FACE_OUTER_BOUND('',#49299,.T.); #29096=FACE_OUTER_BOUND('',#49300,.T.); #29097=FACE_OUTER_BOUND('',#49301,.T.); #29098=FACE_OUTER_BOUND('',#49303,.T.); #29099=FACE_OUTER_BOUND('',#49305,.T.); #29100=FACE_OUTER_BOUND('',#49306,.T.); #29101=FACE_OUTER_BOUND('',#49307,.T.); #29102=FACE_OUTER_BOUND('',#49308,.T.); #29103=FACE_OUTER_BOUND('',#49309,.T.); #29104=FACE_OUTER_BOUND('',#49310,.T.); #29105=FACE_OUTER_BOUND('',#49311,.T.); #29106=FACE_OUTER_BOUND('',#49312,.T.); #29107=FACE_OUTER_BOUND('',#49313,.T.); #29108=FACE_OUTER_BOUND('',#49314,.T.); #29109=FACE_OUTER_BOUND('',#49315,.T.); #29110=FACE_OUTER_BOUND('',#49316,.T.); #29111=FACE_OUTER_BOUND('',#49317,.T.); #29112=FACE_OUTER_BOUND('',#49318,.T.); #29113=FACE_OUTER_BOUND('',#49319,.T.); #29114=FACE_OUTER_BOUND('',#49320,.T.); #29115=FACE_OUTER_BOUND('',#49321,.T.); #29116=FACE_OUTER_BOUND('',#49322,.T.); #29117=FACE_OUTER_BOUND('',#49323,.T.); #29118=FACE_OUTER_BOUND('',#49324,.T.); #29119=FACE_OUTER_BOUND('',#49325,.T.); #29120=FACE_OUTER_BOUND('',#49326,.T.); #29121=FACE_OUTER_BOUND('',#49327,.T.); #29122=FACE_OUTER_BOUND('',#49328,.T.); #29123=FACE_OUTER_BOUND('',#49329,.T.); #29124=FACE_OUTER_BOUND('',#49330,.T.); #29125=FACE_OUTER_BOUND('',#49331,.T.); #29126=FACE_OUTER_BOUND('',#49332,.T.); #29127=FACE_OUTER_BOUND('',#49333,.T.); #29128=FACE_OUTER_BOUND('',#49334,.T.); #29129=FACE_OUTER_BOUND('',#49335,.T.); #29130=FACE_OUTER_BOUND('',#49336,.T.); #29131=FACE_OUTER_BOUND('',#49337,.T.); #29132=FACE_OUTER_BOUND('',#49338,.T.); #29133=FACE_OUTER_BOUND('',#49339,.T.); #29134=FACE_OUTER_BOUND('',#49340,.T.); #29135=FACE_OUTER_BOUND('',#49341,.T.); #29136=FACE_OUTER_BOUND('',#49342,.T.); #29137=FACE_OUTER_BOUND('',#49343,.T.); #29138=FACE_OUTER_BOUND('',#49344,.T.); #29139=FACE_OUTER_BOUND('',#49345,.T.); #29140=FACE_OUTER_BOUND('',#49346,.T.); #29141=FACE_OUTER_BOUND('',#49347,.T.); #29142=FACE_OUTER_BOUND('',#49348,.T.); #29143=FACE_OUTER_BOUND('',#49349,.T.); #29144=FACE_OUTER_BOUND('',#49350,.T.); #29145=FACE_OUTER_BOUND('',#49351,.T.); #29146=FACE_OUTER_BOUND('',#49352,.T.); #29147=FACE_OUTER_BOUND('',#49353,.T.); #29148=FACE_OUTER_BOUND('',#49354,.T.); #29149=FACE_OUTER_BOUND('',#49355,.T.); #29150=FACE_OUTER_BOUND('',#49356,.T.); #29151=FACE_OUTER_BOUND('',#49357,.T.); #29152=FACE_OUTER_BOUND('',#49358,.T.); #29153=FACE_OUTER_BOUND('',#49359,.T.); #29154=FACE_OUTER_BOUND('',#49360,.T.); #29155=FACE_OUTER_BOUND('',#49361,.T.); #29156=FACE_OUTER_BOUND('',#49362,.T.); #29157=FACE_OUTER_BOUND('',#49363,.T.); #29158=FACE_OUTER_BOUND('',#49364,.T.); #29159=FACE_OUTER_BOUND('',#49365,.T.); #29160=FACE_OUTER_BOUND('',#49366,.T.); #29161=FACE_OUTER_BOUND('',#49367,.T.); #29162=FACE_OUTER_BOUND('',#49368,.T.); #29163=FACE_OUTER_BOUND('',#49369,.T.); #29164=FACE_OUTER_BOUND('',#49370,.T.); #29165=FACE_OUTER_BOUND('',#49371,.T.); #29166=FACE_OUTER_BOUND('',#49372,.T.); #29167=FACE_OUTER_BOUND('',#49373,.T.); #29168=FACE_OUTER_BOUND('',#49374,.T.); #29169=FACE_OUTER_BOUND('',#49375,.T.); #29170=FACE_OUTER_BOUND('',#49376,.T.); #29171=FACE_OUTER_BOUND('',#49377,.T.); #29172=FACE_OUTER_BOUND('',#49378,.T.); #29173=FACE_OUTER_BOUND('',#49379,.T.); #29174=FACE_OUTER_BOUND('',#49380,.T.); #29175=FACE_OUTER_BOUND('',#49381,.T.); #29176=FACE_OUTER_BOUND('',#49382,.T.); #29177=FACE_OUTER_BOUND('',#49383,.T.); #29178=FACE_OUTER_BOUND('',#49384,.T.); #29179=FACE_OUTER_BOUND('',#49385,.T.); #29180=FACE_OUTER_BOUND('',#49386,.T.); #29181=FACE_OUTER_BOUND('',#49387,.T.); #29182=FACE_OUTER_BOUND('',#49388,.T.); #29183=FACE_OUTER_BOUND('',#49389,.T.); #29184=FACE_OUTER_BOUND('',#49390,.T.); #29185=FACE_OUTER_BOUND('',#49391,.T.); #29186=FACE_OUTER_BOUND('',#49392,.T.); #29187=FACE_OUTER_BOUND('',#49393,.T.); #29188=FACE_OUTER_BOUND('',#49394,.T.); #29189=FACE_OUTER_BOUND('',#49395,.T.); #29190=FACE_OUTER_BOUND('',#49396,.T.); #29191=FACE_OUTER_BOUND('',#49397,.T.); #29192=FACE_OUTER_BOUND('',#49398,.T.); #29193=FACE_OUTER_BOUND('',#49399,.T.); #29194=FACE_OUTER_BOUND('',#49400,.T.); #29195=FACE_OUTER_BOUND('',#49401,.T.); #29196=FACE_OUTER_BOUND('',#49402,.T.); #29197=FACE_OUTER_BOUND('',#49403,.T.); #29198=FACE_OUTER_BOUND('',#49404,.T.); #29199=FACE_OUTER_BOUND('',#49405,.T.); #29200=FACE_OUTER_BOUND('',#49406,.T.); #29201=FACE_OUTER_BOUND('',#49407,.T.); #29202=FACE_OUTER_BOUND('',#49408,.T.); #29203=FACE_OUTER_BOUND('',#49409,.T.); #29204=FACE_OUTER_BOUND('',#49410,.T.); #29205=FACE_OUTER_BOUND('',#49411,.T.); #29206=FACE_OUTER_BOUND('',#49412,.T.); #29207=FACE_OUTER_BOUND('',#49413,.T.); #29208=FACE_OUTER_BOUND('',#49414,.T.); #29209=FACE_OUTER_BOUND('',#49415,.T.); #29210=FACE_OUTER_BOUND('',#49416,.T.); #29211=FACE_OUTER_BOUND('',#49417,.T.); #29212=FACE_OUTER_BOUND('',#49418,.T.); #29213=FACE_OUTER_BOUND('',#49419,.T.); #29214=FACE_OUTER_BOUND('',#49420,.T.); #29215=FACE_OUTER_BOUND('',#49421,.T.); #29216=FACE_OUTER_BOUND('',#49422,.T.); #29217=FACE_OUTER_BOUND('',#49423,.T.); #29218=FACE_OUTER_BOUND('',#49424,.T.); #29219=FACE_OUTER_BOUND('',#49425,.T.); #29220=FACE_OUTER_BOUND('',#49426,.T.); #29221=FACE_OUTER_BOUND('',#49427,.T.); #29222=FACE_OUTER_BOUND('',#49428,.T.); #29223=FACE_OUTER_BOUND('',#49429,.T.); #29224=FACE_OUTER_BOUND('',#49430,.T.); #29225=FACE_OUTER_BOUND('',#49431,.T.); #29226=FACE_OUTER_BOUND('',#49432,.T.); #29227=FACE_OUTER_BOUND('',#49433,.T.); #29228=FACE_OUTER_BOUND('',#49434,.T.); #29229=FACE_OUTER_BOUND('',#49435,.T.); #29230=FACE_OUTER_BOUND('',#49436,.T.); #29231=FACE_OUTER_BOUND('',#49437,.T.); #29232=FACE_OUTER_BOUND('',#49438,.T.); #29233=FACE_OUTER_BOUND('',#49439,.T.); #29234=FACE_OUTER_BOUND('',#49440,.T.); #29235=FACE_OUTER_BOUND('',#49452,.T.); #29236=FACE_OUTER_BOUND('',#49464,.T.); #29237=FACE_OUTER_BOUND('',#49465,.T.); #29238=FACE_OUTER_BOUND('',#49466,.T.); #29239=FACE_OUTER_BOUND('',#49467,.T.); #29240=FACE_OUTER_BOUND('',#49468,.T.); #29241=FACE_OUTER_BOUND('',#49469,.T.); #29242=FACE_OUTER_BOUND('',#49470,.T.); #29243=FACE_OUTER_BOUND('',#49471,.T.); #29244=FACE_OUTER_BOUND('',#49472,.T.); #29245=FACE_OUTER_BOUND('',#49473,.T.); #29246=FACE_OUTER_BOUND('',#49474,.T.); #29247=FACE_OUTER_BOUND('',#49475,.T.); #29248=FACE_OUTER_BOUND('',#49476,.T.); #29249=FACE_OUTER_BOUND('',#49477,.T.); #29250=FACE_OUTER_BOUND('',#49478,.T.); #29251=FACE_OUTER_BOUND('',#49479,.T.); #29252=FACE_OUTER_BOUND('',#49480,.T.); #29253=FACE_OUTER_BOUND('',#49481,.T.); #29254=FACE_OUTER_BOUND('',#49482,.T.); #29255=FACE_OUTER_BOUND('',#49483,.T.); #29256=FACE_OUTER_BOUND('',#49484,.T.); #29257=FACE_OUTER_BOUND('',#49485,.T.); #29258=FACE_OUTER_BOUND('',#49486,.T.); #29259=FACE_OUTER_BOUND('',#49487,.T.); #29260=FACE_OUTER_BOUND('',#49488,.T.); #29261=FACE_OUTER_BOUND('',#49489,.T.); #29262=FACE_OUTER_BOUND('',#49490,.T.); #29263=FACE_OUTER_BOUND('',#49491,.T.); #29264=FACE_OUTER_BOUND('',#49492,.T.); #29265=FACE_OUTER_BOUND('',#49493,.T.); #29266=FACE_OUTER_BOUND('',#49494,.T.); #29267=FACE_OUTER_BOUND('',#49495,.T.); #29268=FACE_OUTER_BOUND('',#49496,.T.); #29269=FACE_OUTER_BOUND('',#49497,.T.); #29270=FACE_OUTER_BOUND('',#49498,.T.); #29271=FACE_OUTER_BOUND('',#49499,.T.); #29272=FACE_OUTER_BOUND('',#49500,.T.); #29273=FACE_OUTER_BOUND('',#49501,.T.); #29274=FACE_OUTER_BOUND('',#49502,.T.); #29275=FACE_OUTER_BOUND('',#49503,.T.); #29276=FACE_OUTER_BOUND('',#49504,.T.); #29277=FACE_OUTER_BOUND('',#49505,.T.); #29278=FACE_OUTER_BOUND('',#49506,.T.); #29279=FACE_OUTER_BOUND('',#49507,.T.); #29280=FACE_OUTER_BOUND('',#49508,.T.); #29281=FACE_OUTER_BOUND('',#49509,.T.); #29282=FACE_OUTER_BOUND('',#49510,.T.); #29283=FACE_OUTER_BOUND('',#49511,.T.); #29284=FACE_OUTER_BOUND('',#49512,.T.); #29285=FACE_OUTER_BOUND('',#49513,.T.); #29286=FACE_OUTER_BOUND('',#49514,.T.); #29287=FACE_OUTER_BOUND('',#49515,.T.); #29288=FACE_OUTER_BOUND('',#49516,.T.); #29289=FACE_OUTER_BOUND('',#49517,.T.); #29290=FACE_OUTER_BOUND('',#49518,.T.); #29291=FACE_OUTER_BOUND('',#49519,.T.); #29292=FACE_OUTER_BOUND('',#49520,.T.); #29293=FACE_OUTER_BOUND('',#49521,.T.); #29294=FACE_OUTER_BOUND('',#49522,.T.); #29295=FACE_OUTER_BOUND('',#49523,.T.); #29296=FACE_OUTER_BOUND('',#49524,.T.); #29297=FACE_OUTER_BOUND('',#49525,.T.); #29298=FACE_OUTER_BOUND('',#49526,.T.); #29299=FACE_OUTER_BOUND('',#49527,.T.); #29300=FACE_OUTER_BOUND('',#49528,.T.); #29301=FACE_OUTER_BOUND('',#49529,.T.); #29302=FACE_OUTER_BOUND('',#49530,.T.); #29303=FACE_OUTER_BOUND('',#49531,.T.); #29304=FACE_OUTER_BOUND('',#49532,.T.); #29305=FACE_OUTER_BOUND('',#49533,.T.); #29306=FACE_OUTER_BOUND('',#49534,.T.); #29307=FACE_OUTER_BOUND('',#49535,.T.); #29308=FACE_OUTER_BOUND('',#49536,.T.); #29309=FACE_OUTER_BOUND('',#49537,.T.); #29310=FACE_OUTER_BOUND('',#49538,.T.); #29311=FACE_OUTER_BOUND('',#49539,.T.); #29312=FACE_OUTER_BOUND('',#49540,.T.); #29313=FACE_OUTER_BOUND('',#49541,.T.); #29314=FACE_OUTER_BOUND('',#49542,.T.); #29315=FACE_OUTER_BOUND('',#49543,.T.); #29316=FACE_OUTER_BOUND('',#49544,.T.); #29317=FACE_OUTER_BOUND('',#49545,.T.); #29318=FACE_OUTER_BOUND('',#49546,.T.); #29319=FACE_OUTER_BOUND('',#49547,.T.); #29320=FACE_OUTER_BOUND('',#49548,.T.); #29321=FACE_OUTER_BOUND('',#49549,.T.); #29322=FACE_OUTER_BOUND('',#49550,.T.); #29323=FACE_OUTER_BOUND('',#49551,.T.); #29324=FACE_OUTER_BOUND('',#49552,.T.); #29325=FACE_OUTER_BOUND('',#49553,.T.); #29326=FACE_OUTER_BOUND('',#49554,.T.); #29327=FACE_OUTER_BOUND('',#49555,.T.); #29328=FACE_OUTER_BOUND('',#49556,.T.); #29329=FACE_OUTER_BOUND('',#49557,.T.); #29330=FACE_OUTER_BOUND('',#49558,.T.); #29331=FACE_OUTER_BOUND('',#49559,.T.); #29332=FACE_OUTER_BOUND('',#49560,.T.); #29333=FACE_OUTER_BOUND('',#49561,.T.); #29334=FACE_OUTER_BOUND('',#49562,.T.); #29335=FACE_OUTER_BOUND('',#49563,.T.); #29336=FACE_OUTER_BOUND('',#49564,.T.); #29337=FACE_OUTER_BOUND('',#49565,.T.); #29338=FACE_OUTER_BOUND('',#49566,.T.); #29339=FACE_OUTER_BOUND('',#49567,.T.); #29340=FACE_OUTER_BOUND('',#49568,.T.); #29341=FACE_OUTER_BOUND('',#49569,.T.); #29342=FACE_OUTER_BOUND('',#49570,.T.); #29343=FACE_OUTER_BOUND('',#49571,.T.); #29344=FACE_OUTER_BOUND('',#49572,.T.); #29345=FACE_OUTER_BOUND('',#49573,.T.); #29346=FACE_OUTER_BOUND('',#49574,.T.); #29347=FACE_OUTER_BOUND('',#49575,.T.); #29348=FACE_OUTER_BOUND('',#49607,.T.); #29349=FACE_OUTER_BOUND('',#49639,.T.); #29350=FACE_OUTER_BOUND('',#49640,.T.); #29351=FACE_OUTER_BOUND('',#49641,.T.); #29352=FACE_OUTER_BOUND('',#49642,.T.); #29353=FACE_OUTER_BOUND('',#49643,.T.); #29354=FACE_OUTER_BOUND('',#49644,.T.); #29355=FACE_OUTER_BOUND('',#49645,.T.); #29356=FACE_OUTER_BOUND('',#49646,.T.); #29357=FACE_OUTER_BOUND('',#49647,.T.); #29358=FACE_OUTER_BOUND('',#49648,.T.); #29359=FACE_OUTER_BOUND('',#49649,.T.); #29360=FACE_OUTER_BOUND('',#49650,.T.); #29361=FACE_OUTER_BOUND('',#49651,.T.); #29362=FACE_OUTER_BOUND('',#49652,.T.); #29363=FACE_OUTER_BOUND('',#49653,.T.); #29364=FACE_OUTER_BOUND('',#49654,.T.); #29365=FACE_OUTER_BOUND('',#49655,.T.); #29366=FACE_OUTER_BOUND('',#49656,.T.); #29367=FACE_OUTER_BOUND('',#49657,.T.); #29368=FACE_OUTER_BOUND('',#49658,.T.); #29369=FACE_OUTER_BOUND('',#49659,.T.); #29370=FACE_OUTER_BOUND('',#49660,.T.); #29371=FACE_OUTER_BOUND('',#49661,.T.); #29372=FACE_OUTER_BOUND('',#49663,.T.); #29373=FACE_OUTER_BOUND('',#49665,.T.); #29374=FACE_OUTER_BOUND('',#49666,.T.); #29375=FACE_OUTER_BOUND('',#49667,.T.); #29376=FACE_OUTER_BOUND('',#49668,.T.); #29377=FACE_OUTER_BOUND('',#49669,.T.); #29378=FACE_OUTER_BOUND('',#49670,.T.); #29379=FACE_OUTER_BOUND('',#49671,.T.); #29380=FACE_OUTER_BOUND('',#49672,.T.); #29381=FACE_OUTER_BOUND('',#49673,.T.); #29382=FACE_OUTER_BOUND('',#49674,.T.); #29383=FACE_OUTER_BOUND('',#49675,.T.); #29384=FACE_OUTER_BOUND('',#49676,.T.); #29385=FACE_OUTER_BOUND('',#49677,.T.); #29386=FACE_OUTER_BOUND('',#49678,.T.); #29387=FACE_OUTER_BOUND('',#49679,.T.); #29388=FACE_OUTER_BOUND('',#49680,.T.); #29389=FACE_OUTER_BOUND('',#49681,.T.); #29390=FACE_OUTER_BOUND('',#49682,.T.); #29391=FACE_OUTER_BOUND('',#49683,.T.); #29392=FACE_OUTER_BOUND('',#49684,.T.); #29393=FACE_OUTER_BOUND('',#49685,.T.); #29394=FACE_OUTER_BOUND('',#49686,.T.); #29395=FACE_OUTER_BOUND('',#49687,.T.); #29396=FACE_OUTER_BOUND('',#49688,.T.); #29397=FACE_OUTER_BOUND('',#49689,.T.); #29398=FACE_OUTER_BOUND('',#49690,.T.); #29399=FACE_OUTER_BOUND('',#49691,.T.); #29400=FACE_OUTER_BOUND('',#49692,.T.); #29401=FACE_OUTER_BOUND('',#49693,.T.); #29402=FACE_OUTER_BOUND('',#49694,.T.); #29403=FACE_OUTER_BOUND('',#49695,.T.); #29404=FACE_OUTER_BOUND('',#49696,.T.); #29405=FACE_OUTER_BOUND('',#49697,.T.); #29406=FACE_OUTER_BOUND('',#49698,.T.); #29407=FACE_OUTER_BOUND('',#49699,.T.); #29408=FACE_OUTER_BOUND('',#49700,.T.); #29409=FACE_OUTER_BOUND('',#49701,.T.); #29410=FACE_OUTER_BOUND('',#49702,.T.); #29411=FACE_OUTER_BOUND('',#49703,.T.); #29412=FACE_OUTER_BOUND('',#49704,.T.); #29413=FACE_OUTER_BOUND('',#49705,.T.); #29414=FACE_OUTER_BOUND('',#49706,.T.); #29415=FACE_OUTER_BOUND('',#49707,.T.); #29416=FACE_OUTER_BOUND('',#49708,.T.); #29417=FACE_OUTER_BOUND('',#49709,.T.); #29418=FACE_OUTER_BOUND('',#49710,.T.); #29419=FACE_OUTER_BOUND('',#49711,.T.); #29420=FACE_OUTER_BOUND('',#49712,.T.); #29421=FACE_OUTER_BOUND('',#49713,.T.); #29422=FACE_OUTER_BOUND('',#49714,.T.); #29423=FACE_OUTER_BOUND('',#49715,.T.); #29424=FACE_OUTER_BOUND('',#49716,.T.); #29425=FACE_OUTER_BOUND('',#49717,.T.); #29426=FACE_OUTER_BOUND('',#49718,.T.); #29427=FACE_OUTER_BOUND('',#49719,.T.); #29428=FACE_OUTER_BOUND('',#49720,.T.); #29429=FACE_OUTER_BOUND('',#49721,.T.); #29430=FACE_OUTER_BOUND('',#49722,.T.); #29431=FACE_OUTER_BOUND('',#49723,.T.); #29432=FACE_OUTER_BOUND('',#49724,.T.); #29433=FACE_OUTER_BOUND('',#49725,.T.); #29434=FACE_OUTER_BOUND('',#49726,.T.); #29435=FACE_OUTER_BOUND('',#49727,.T.); #29436=FACE_OUTER_BOUND('',#49728,.T.); #29437=FACE_OUTER_BOUND('',#49729,.T.); #29438=FACE_OUTER_BOUND('',#49730,.T.); #29439=FACE_OUTER_BOUND('',#49731,.T.); #29440=FACE_OUTER_BOUND('',#49732,.T.); #29441=FACE_OUTER_BOUND('',#49733,.T.); #29442=FACE_OUTER_BOUND('',#49734,.T.); #29443=FACE_OUTER_BOUND('',#49735,.T.); #29444=FACE_OUTER_BOUND('',#49736,.T.); #29445=FACE_OUTER_BOUND('',#49737,.T.); #29446=FACE_OUTER_BOUND('',#49738,.T.); #29447=FACE_OUTER_BOUND('',#49739,.T.); #29448=FACE_OUTER_BOUND('',#49740,.T.); #29449=FACE_OUTER_BOUND('',#49741,.T.); #29450=FACE_OUTER_BOUND('',#49742,.T.); #29451=FACE_OUTER_BOUND('',#49743,.T.); #29452=FACE_OUTER_BOUND('',#49744,.T.); #29453=FACE_OUTER_BOUND('',#49745,.T.); #29454=FACE_OUTER_BOUND('',#49746,.T.); #29455=FACE_OUTER_BOUND('',#49747,.T.); #29456=FACE_OUTER_BOUND('',#49748,.T.); #29457=FACE_OUTER_BOUND('',#49749,.T.); #29458=FACE_OUTER_BOUND('',#49750,.T.); #29459=FACE_OUTER_BOUND('',#49751,.T.); #29460=FACE_OUTER_BOUND('',#49752,.T.); #29461=FACE_OUTER_BOUND('',#49753,.T.); #29462=FACE_OUTER_BOUND('',#49754,.T.); #29463=FACE_OUTER_BOUND('',#49755,.T.); #29464=FACE_OUTER_BOUND('',#49756,.T.); #29465=FACE_OUTER_BOUND('',#49757,.T.); #29466=FACE_OUTER_BOUND('',#49758,.T.); #29467=FACE_OUTER_BOUND('',#49759,.T.); #29468=FACE_OUTER_BOUND('',#49760,.T.); #29469=FACE_OUTER_BOUND('',#49761,.T.); #29470=FACE_OUTER_BOUND('',#49762,.T.); #29471=FACE_OUTER_BOUND('',#49763,.T.); #29472=FACE_OUTER_BOUND('',#49764,.T.); #29473=FACE_OUTER_BOUND('',#49765,.T.); #29474=FACE_OUTER_BOUND('',#49766,.T.); #29475=FACE_OUTER_BOUND('',#49767,.T.); #29476=FACE_OUTER_BOUND('',#49768,.T.); #29477=FACE_OUTER_BOUND('',#49769,.T.); #29478=FACE_OUTER_BOUND('',#49770,.T.); #29479=FACE_OUTER_BOUND('',#49771,.T.); #29480=FACE_OUTER_BOUND('',#49772,.T.); #29481=FACE_OUTER_BOUND('',#49773,.T.); #29482=FACE_OUTER_BOUND('',#49774,.T.); #29483=FACE_OUTER_BOUND('',#49775,.T.); #29484=FACE_OUTER_BOUND('',#49776,.T.); #29485=FACE_OUTER_BOUND('',#49777,.T.); #29486=FACE_OUTER_BOUND('',#49778,.T.); #29487=FACE_OUTER_BOUND('',#49779,.T.); #29488=FACE_OUTER_BOUND('',#49780,.T.); #29489=FACE_OUTER_BOUND('',#49781,.T.); #29490=FACE_OUTER_BOUND('',#49782,.T.); #29491=FACE_OUTER_BOUND('',#49783,.T.); #29492=FACE_OUTER_BOUND('',#49784,.T.); #29493=FACE_OUTER_BOUND('',#49785,.T.); #29494=FACE_OUTER_BOUND('',#49786,.T.); #29495=FACE_OUTER_BOUND('',#49787,.T.); #29496=FACE_OUTER_BOUND('',#49788,.T.); #29497=FACE_OUTER_BOUND('',#49789,.T.); #29498=FACE_OUTER_BOUND('',#49790,.T.); #29499=FACE_OUTER_BOUND('',#49791,.T.); #29500=FACE_OUTER_BOUND('',#49792,.T.); #29501=FACE_OUTER_BOUND('',#49793,.T.); #29502=FACE_OUTER_BOUND('',#49794,.T.); #29503=FACE_OUTER_BOUND('',#49795,.T.); #29504=FACE_OUTER_BOUND('',#49796,.T.); #29505=FACE_OUTER_BOUND('',#49797,.T.); #29506=FACE_OUTER_BOUND('',#49798,.T.); #29507=FACE_OUTER_BOUND('',#49799,.T.); #29508=FACE_OUTER_BOUND('',#49800,.T.); #29509=FACE_OUTER_BOUND('',#49801,.T.); #29510=FACE_OUTER_BOUND('',#49802,.T.); #29511=FACE_OUTER_BOUND('',#49803,.T.); #29512=FACE_OUTER_BOUND('',#49804,.T.); #29513=FACE_OUTER_BOUND('',#49805,.T.); #29514=FACE_OUTER_BOUND('',#49806,.T.); #29515=FACE_OUTER_BOUND('',#49807,.T.); #29516=FACE_OUTER_BOUND('',#49808,.T.); #29517=FACE_OUTER_BOUND('',#49809,.T.); #29518=FACE_OUTER_BOUND('',#49810,.T.); #29519=FACE_OUTER_BOUND('',#49811,.T.); #29520=FACE_OUTER_BOUND('',#49812,.T.); #29521=FACE_OUTER_BOUND('',#49813,.T.); #29522=FACE_OUTER_BOUND('',#49814,.T.); #29523=FACE_OUTER_BOUND('',#49815,.T.); #29524=FACE_OUTER_BOUND('',#49816,.T.); #29525=FACE_OUTER_BOUND('',#49817,.T.); #29526=FACE_OUTER_BOUND('',#49818,.T.); #29527=FACE_OUTER_BOUND('',#49819,.T.); #29528=FACE_OUTER_BOUND('',#49820,.T.); #29529=FACE_OUTER_BOUND('',#49821,.T.); #29530=FACE_OUTER_BOUND('',#49822,.T.); #29531=FACE_OUTER_BOUND('',#49823,.T.); #29532=FACE_OUTER_BOUND('',#49824,.T.); #29533=FACE_OUTER_BOUND('',#49825,.T.); #29534=FACE_OUTER_BOUND('',#49826,.T.); #29535=FACE_OUTER_BOUND('',#49827,.T.); #29536=FACE_OUTER_BOUND('',#49828,.T.); #29537=FACE_OUTER_BOUND('',#49829,.T.); #29538=FACE_OUTER_BOUND('',#49830,.T.); #29539=FACE_OUTER_BOUND('',#49831,.T.); #29540=FACE_OUTER_BOUND('',#49832,.T.); #29541=FACE_OUTER_BOUND('',#49833,.T.); #29542=FACE_OUTER_BOUND('',#49834,.T.); #29543=FACE_OUTER_BOUND('',#49835,.T.); #29544=FACE_OUTER_BOUND('',#49836,.T.); #29545=FACE_OUTER_BOUND('',#49837,.T.); #29546=FACE_OUTER_BOUND('',#49838,.T.); #29547=FACE_OUTER_BOUND('',#49839,.T.); #29548=FACE_OUTER_BOUND('',#49840,.T.); #29549=FACE_OUTER_BOUND('',#49841,.T.); #29550=FACE_OUTER_BOUND('',#49842,.T.); #29551=FACE_OUTER_BOUND('',#49843,.T.); #29552=FACE_OUTER_BOUND('',#49844,.T.); #29553=FACE_OUTER_BOUND('',#49845,.T.); #29554=FACE_OUTER_BOUND('',#49846,.T.); #29555=FACE_OUTER_BOUND('',#49847,.T.); #29556=FACE_OUTER_BOUND('',#49848,.T.); #29557=FACE_OUTER_BOUND('',#49849,.T.); #29558=FACE_OUTER_BOUND('',#49850,.T.); #29559=FACE_OUTER_BOUND('',#49851,.T.); #29560=FACE_OUTER_BOUND('',#49852,.T.); #29561=FACE_OUTER_BOUND('',#49853,.T.); #29562=FACE_OUTER_BOUND('',#49854,.T.); #29563=FACE_OUTER_BOUND('',#49855,.T.); #29564=FACE_OUTER_BOUND('',#49856,.T.); #29565=FACE_OUTER_BOUND('',#49857,.T.); #29566=FACE_OUTER_BOUND('',#49858,.T.); #29567=FACE_OUTER_BOUND('',#49859,.T.); #29568=FACE_OUTER_BOUND('',#49860,.T.); #29569=FACE_OUTER_BOUND('',#49861,.T.); #29570=FACE_OUTER_BOUND('',#49862,.T.); #29571=FACE_OUTER_BOUND('',#49863,.T.); #29572=FACE_OUTER_BOUND('',#49864,.T.); #29573=FACE_OUTER_BOUND('',#49865,.T.); #29574=FACE_OUTER_BOUND('',#49866,.T.); #29575=FACE_OUTER_BOUND('',#49867,.T.); #29576=FACE_OUTER_BOUND('',#49868,.T.); #29577=FACE_OUTER_BOUND('',#49869,.T.); #29578=FACE_OUTER_BOUND('',#49870,.T.); #29579=FACE_OUTER_BOUND('',#49871,.T.); #29580=FACE_OUTER_BOUND('',#49872,.T.); #29581=FACE_OUTER_BOUND('',#49873,.T.); #29582=FACE_OUTER_BOUND('',#49874,.T.); #29583=FACE_OUTER_BOUND('',#49875,.T.); #29584=FACE_OUTER_BOUND('',#49876,.T.); #29585=FACE_OUTER_BOUND('',#49877,.T.); #29586=FACE_OUTER_BOUND('',#49878,.T.); #29587=FACE_OUTER_BOUND('',#49879,.T.); #29588=FACE_OUTER_BOUND('',#49880,.T.); #29589=FACE_OUTER_BOUND('',#49881,.T.); #29590=FACE_OUTER_BOUND('',#49882,.T.); #29591=FACE_OUTER_BOUND('',#49883,.T.); #29592=FACE_OUTER_BOUND('',#49884,.T.); #29593=FACE_OUTER_BOUND('',#49885,.T.); #29594=FACE_OUTER_BOUND('',#49886,.T.); #29595=FACE_OUTER_BOUND('',#49887,.T.); #29596=FACE_OUTER_BOUND('',#49888,.T.); #29597=FACE_OUTER_BOUND('',#49889,.T.); #29598=FACE_OUTER_BOUND('',#49890,.T.); #29599=FACE_OUTER_BOUND('',#49891,.T.); #29600=FACE_OUTER_BOUND('',#49892,.T.); #29601=FACE_OUTER_BOUND('',#49893,.T.); #29602=FACE_OUTER_BOUND('',#49894,.T.); #29603=FACE_OUTER_BOUND('',#49895,.T.); #29604=FACE_OUTER_BOUND('',#49896,.T.); #29605=FACE_OUTER_BOUND('',#49897,.T.); #29606=FACE_OUTER_BOUND('',#49898,.T.); #29607=FACE_OUTER_BOUND('',#49899,.T.); #29608=FACE_OUTER_BOUND('',#49900,.T.); #29609=FACE_OUTER_BOUND('',#49901,.T.); #29610=FACE_OUTER_BOUND('',#49902,.T.); #29611=FACE_OUTER_BOUND('',#49903,.T.); #29612=FACE_OUTER_BOUND('',#49904,.T.); #29613=FACE_OUTER_BOUND('',#49905,.T.); #29614=FACE_OUTER_BOUND('',#49906,.T.); #29615=FACE_OUTER_BOUND('',#49907,.T.); #29616=FACE_OUTER_BOUND('',#49908,.T.); #29617=FACE_OUTER_BOUND('',#49909,.T.); #29618=FACE_OUTER_BOUND('',#49910,.T.); #29619=FACE_OUTER_BOUND('',#49911,.T.); #29620=FACE_OUTER_BOUND('',#49912,.T.); #29621=FACE_OUTER_BOUND('',#49913,.T.); #29622=FACE_OUTER_BOUND('',#49914,.T.); #29623=FACE_OUTER_BOUND('',#49915,.T.); #29624=FACE_OUTER_BOUND('',#49916,.T.); #29625=FACE_OUTER_BOUND('',#49917,.T.); #29626=FACE_OUTER_BOUND('',#49918,.T.); #29627=FACE_OUTER_BOUND('',#49919,.T.); #29628=FACE_OUTER_BOUND('',#49920,.T.); #29629=FACE_OUTER_BOUND('',#49921,.T.); #29630=FACE_OUTER_BOUND('',#49922,.T.); #29631=FACE_OUTER_BOUND('',#49923,.T.); #29632=FACE_OUTER_BOUND('',#49924,.T.); #29633=FACE_OUTER_BOUND('',#49925,.T.); #29634=FACE_OUTER_BOUND('',#49926,.T.); #29635=FACE_OUTER_BOUND('',#49927,.T.); #29636=FACE_OUTER_BOUND('',#49928,.T.); #29637=FACE_OUTER_BOUND('',#49929,.T.); #29638=FACE_OUTER_BOUND('',#49930,.T.); #29639=FACE_OUTER_BOUND('',#49931,.T.); #29640=FACE_OUTER_BOUND('',#49932,.T.); #29641=FACE_OUTER_BOUND('',#49933,.T.); #29642=FACE_OUTER_BOUND('',#49934,.T.); #29643=FACE_OUTER_BOUND('',#49935,.T.); #29644=FACE_OUTER_BOUND('',#49936,.T.); #29645=FACE_OUTER_BOUND('',#49937,.T.); #29646=FACE_OUTER_BOUND('',#49938,.T.); #29647=FACE_OUTER_BOUND('',#49939,.T.); #29648=FACE_OUTER_BOUND('',#49940,.T.); #29649=FACE_OUTER_BOUND('',#49941,.T.); #29650=FACE_OUTER_BOUND('',#49942,.T.); #29651=FACE_OUTER_BOUND('',#49943,.T.); #29652=FACE_OUTER_BOUND('',#49944,.T.); #29653=FACE_OUTER_BOUND('',#49945,.T.); #29654=FACE_OUTER_BOUND('',#49946,.T.); #29655=FACE_OUTER_BOUND('',#49947,.T.); #29656=FACE_OUTER_BOUND('',#49948,.T.); #29657=FACE_OUTER_BOUND('',#49949,.T.); #29658=FACE_OUTER_BOUND('',#49950,.T.); #29659=FACE_OUTER_BOUND('',#49951,.T.); #29660=FACE_OUTER_BOUND('',#49952,.T.); #29661=FACE_OUTER_BOUND('',#49953,.T.); #29662=FACE_OUTER_BOUND('',#49954,.T.); #29663=FACE_OUTER_BOUND('',#49955,.T.); #29664=FACE_OUTER_BOUND('',#49956,.T.); #29665=FACE_OUTER_BOUND('',#49957,.T.); #29666=FACE_OUTER_BOUND('',#49958,.T.); #29667=FACE_OUTER_BOUND('',#49959,.T.); #29668=FACE_OUTER_BOUND('',#49960,.T.); #29669=FACE_OUTER_BOUND('',#49961,.T.); #29670=FACE_OUTER_BOUND('',#49962,.T.); #29671=FACE_OUTER_BOUND('',#49963,.T.); #29672=FACE_OUTER_BOUND('',#49964,.T.); #29673=FACE_OUTER_BOUND('',#49965,.T.); #29674=FACE_OUTER_BOUND('',#49966,.T.); #29675=FACE_OUTER_BOUND('',#49967,.T.); #29676=FACE_OUTER_BOUND('',#49968,.T.); #29677=FACE_OUTER_BOUND('',#49969,.T.); #29678=FACE_OUTER_BOUND('',#49970,.T.); #29679=FACE_OUTER_BOUND('',#49971,.T.); #29680=FACE_OUTER_BOUND('',#49972,.T.); #29681=FACE_OUTER_BOUND('',#49973,.T.); #29682=FACE_OUTER_BOUND('',#49974,.T.); #29683=FACE_OUTER_BOUND('',#49975,.T.); #29684=FACE_OUTER_BOUND('',#49976,.T.); #29685=FACE_OUTER_BOUND('',#49977,.T.); #29686=FACE_OUTER_BOUND('',#49978,.T.); #29687=FACE_OUTER_BOUND('',#49979,.T.); #29688=FACE_OUTER_BOUND('',#49980,.T.); #29689=FACE_OUTER_BOUND('',#49981,.T.); #29690=FACE_OUTER_BOUND('',#49982,.T.); #29691=FACE_OUTER_BOUND('',#49983,.T.); #29692=FACE_OUTER_BOUND('',#49984,.T.); #29693=FACE_OUTER_BOUND('',#49985,.T.); #29694=FACE_OUTER_BOUND('',#49986,.T.); #29695=FACE_OUTER_BOUND('',#49987,.T.); #29696=FACE_OUTER_BOUND('',#49988,.T.); #29697=FACE_OUTER_BOUND('',#49989,.T.); #29698=FACE_OUTER_BOUND('',#49990,.T.); #29699=FACE_OUTER_BOUND('',#49991,.T.); #29700=FACE_OUTER_BOUND('',#49992,.T.); #29701=FACE_OUTER_BOUND('',#49993,.T.); #29702=FACE_OUTER_BOUND('',#49994,.T.); #29703=FACE_OUTER_BOUND('',#49995,.T.); #29704=FACE_OUTER_BOUND('',#49996,.T.); #29705=FACE_OUTER_BOUND('',#49997,.T.); #29706=FACE_OUTER_BOUND('',#49998,.T.); #29707=FACE_OUTER_BOUND('',#49999,.T.); #29708=FACE_OUTER_BOUND('',#50000,.T.); #29709=FACE_OUTER_BOUND('',#50001,.T.); #29710=FACE_OUTER_BOUND('',#50002,.T.); #29711=FACE_OUTER_BOUND('',#50003,.T.); #29712=FACE_OUTER_BOUND('',#50021,.T.); #29713=FACE_OUTER_BOUND('',#50039,.T.); #29714=FACE_OUTER_BOUND('',#50040,.T.); #29715=FACE_OUTER_BOUND('',#50041,.T.); #29716=FACE_OUTER_BOUND('',#50042,.T.); #29717=FACE_OUTER_BOUND('',#50043,.T.); #29718=FACE_OUTER_BOUND('',#50044,.T.); #29719=FACE_OUTER_BOUND('',#50045,.T.); #29720=FACE_OUTER_BOUND('',#50046,.T.); #29721=FACE_OUTER_BOUND('',#50047,.T.); #29722=FACE_OUTER_BOUND('',#50048,.T.); #29723=FACE_OUTER_BOUND('',#50049,.T.); #29724=FACE_OUTER_BOUND('',#50050,.T.); #29725=FACE_OUTER_BOUND('',#50051,.T.); #29726=FACE_OUTER_BOUND('',#50052,.T.); #29727=FACE_OUTER_BOUND('',#50053,.T.); #29728=FACE_OUTER_BOUND('',#50054,.T.); #29729=FACE_OUTER_BOUND('',#50055,.T.); #29730=FACE_OUTER_BOUND('',#50056,.T.); #29731=FACE_OUTER_BOUND('',#50057,.T.); #29732=FACE_OUTER_BOUND('',#50058,.T.); #29733=FACE_OUTER_BOUND('',#50059,.T.); #29734=FACE_OUTER_BOUND('',#50060,.T.); #29735=FACE_OUTER_BOUND('',#50061,.T.); #29736=FACE_OUTER_BOUND('',#50062,.T.); #29737=FACE_OUTER_BOUND('',#50063,.T.); #29738=FACE_OUTER_BOUND('',#50064,.T.); #29739=FACE_OUTER_BOUND('',#50065,.T.); #29740=FACE_OUTER_BOUND('',#50066,.T.); #29741=FACE_OUTER_BOUND('',#50067,.T.); #29742=FACE_OUTER_BOUND('',#50068,.T.); #29743=FACE_OUTER_BOUND('',#50069,.T.); #29744=FACE_OUTER_BOUND('',#50070,.T.); #29745=FACE_OUTER_BOUND('',#50071,.T.); #29746=FACE_OUTER_BOUND('',#50072,.T.); #29747=FACE_OUTER_BOUND('',#50073,.T.); #29748=FACE_OUTER_BOUND('',#50074,.T.); #29749=FACE_OUTER_BOUND('',#50075,.T.); #29750=FACE_OUTER_BOUND('',#50076,.T.); #29751=FACE_OUTER_BOUND('',#50077,.T.); #29752=FACE_OUTER_BOUND('',#50078,.T.); #29753=FACE_OUTER_BOUND('',#50079,.T.); #29754=FACE_OUTER_BOUND('',#50080,.T.); #29755=FACE_OUTER_BOUND('',#50081,.T.); #29756=FACE_OUTER_BOUND('',#50082,.T.); #29757=FACE_OUTER_BOUND('',#50083,.T.); #29758=FACE_OUTER_BOUND('',#50084,.T.); #29759=FACE_OUTER_BOUND('',#50085,.T.); #29760=FACE_OUTER_BOUND('',#50086,.T.); #29761=FACE_OUTER_BOUND('',#50087,.T.); #29762=FACE_OUTER_BOUND('',#50088,.T.); #29763=FACE_OUTER_BOUND('',#50089,.T.); #29764=FACE_OUTER_BOUND('',#50090,.T.); #29765=FACE_OUTER_BOUND('',#50091,.T.); #29766=FACE_OUTER_BOUND('',#50092,.T.); #29767=FACE_OUTER_BOUND('',#50093,.T.); #29768=FACE_OUTER_BOUND('',#50094,.T.); #29769=FACE_OUTER_BOUND('',#50095,.T.); #29770=FACE_OUTER_BOUND('',#50096,.T.); #29771=FACE_OUTER_BOUND('',#50097,.T.); #29772=FACE_OUTER_BOUND('',#50098,.T.); #29773=FACE_OUTER_BOUND('',#50099,.T.); #29774=FACE_OUTER_BOUND('',#50100,.T.); #29775=FACE_OUTER_BOUND('',#50101,.T.); #29776=FACE_OUTER_BOUND('',#50102,.T.); #29777=FACE_OUTER_BOUND('',#50103,.T.); #29778=FACE_OUTER_BOUND('',#50104,.T.); #29779=FACE_OUTER_BOUND('',#50105,.T.); #29780=FACE_OUTER_BOUND('',#50106,.T.); #29781=FACE_OUTER_BOUND('',#50107,.T.); #29782=FACE_OUTER_BOUND('',#50108,.T.); #29783=FACE_OUTER_BOUND('',#50109,.T.); #29784=FACE_OUTER_BOUND('',#50110,.T.); #29785=FACE_OUTER_BOUND('',#50111,.T.); #29786=FACE_OUTER_BOUND('',#50112,.T.); #29787=FACE_OUTER_BOUND('',#50113,.T.); #29788=FACE_OUTER_BOUND('',#50114,.T.); #29789=FACE_OUTER_BOUND('',#50115,.T.); #29790=FACE_OUTER_BOUND('',#50116,.T.); #29791=FACE_OUTER_BOUND('',#50117,.T.); #29792=FACE_OUTER_BOUND('',#50118,.T.); #29793=FACE_OUTER_BOUND('',#50119,.T.); #29794=FACE_OUTER_BOUND('',#50120,.T.); #29795=FACE_OUTER_BOUND('',#50121,.T.); #29796=FACE_OUTER_BOUND('',#50122,.T.); #29797=FACE_OUTER_BOUND('',#50123,.T.); #29798=FACE_OUTER_BOUND('',#50124,.T.); #29799=FACE_OUTER_BOUND('',#50125,.T.); #29800=FACE_OUTER_BOUND('',#50126,.T.); #29801=FACE_OUTER_BOUND('',#50127,.T.); #29802=FACE_OUTER_BOUND('',#50128,.T.); #29803=FACE_OUTER_BOUND('',#50129,.T.); #29804=FACE_OUTER_BOUND('',#50130,.T.); #29805=FACE_OUTER_BOUND('',#50131,.T.); #29806=FACE_OUTER_BOUND('',#50132,.T.); #29807=FACE_OUTER_BOUND('',#50133,.T.); #29808=FACE_OUTER_BOUND('',#50134,.T.); #29809=FACE_OUTER_BOUND('',#50135,.T.); #29810=FACE_OUTER_BOUND('',#50136,.T.); #29811=FACE_OUTER_BOUND('',#50137,.T.); #29812=FACE_OUTER_BOUND('',#50138,.T.); #29813=FACE_OUTER_BOUND('',#50139,.T.); #29814=FACE_OUTER_BOUND('',#50140,.T.); #29815=FACE_OUTER_BOUND('',#50141,.T.); #29816=FACE_OUTER_BOUND('',#50142,.T.); #29817=FACE_OUTER_BOUND('',#50143,.T.); #29818=FACE_OUTER_BOUND('',#50144,.T.); #29819=FACE_OUTER_BOUND('',#50145,.T.); #29820=FACE_OUTER_BOUND('',#50146,.T.); #29821=FACE_OUTER_BOUND('',#50147,.T.); #29822=FACE_OUTER_BOUND('',#50148,.T.); #29823=FACE_OUTER_BOUND('',#50149,.T.); #29824=FACE_OUTER_BOUND('',#50150,.T.); #29825=FACE_OUTER_BOUND('',#50151,.T.); #29826=FACE_OUTER_BOUND('',#50152,.T.); #29827=FACE_OUTER_BOUND('',#50153,.T.); #29828=FACE_OUTER_BOUND('',#50154,.T.); #29829=FACE_OUTER_BOUND('',#50155,.T.); #29830=FACE_OUTER_BOUND('',#50156,.T.); #29831=FACE_OUTER_BOUND('',#50157,.T.); #29832=FACE_OUTER_BOUND('',#50158,.T.); #29833=FACE_OUTER_BOUND('',#50159,.T.); #29834=FACE_OUTER_BOUND('',#50160,.T.); #29835=FACE_OUTER_BOUND('',#50161,.T.); #29836=FACE_OUTER_BOUND('',#50162,.T.); #29837=FACE_OUTER_BOUND('',#50163,.T.); #29838=FACE_OUTER_BOUND('',#50164,.T.); #29839=FACE_OUTER_BOUND('',#50165,.T.); #29840=FACE_OUTER_BOUND('',#50166,.T.); #29841=FACE_OUTER_BOUND('',#50167,.T.); #29842=FACE_OUTER_BOUND('',#50168,.T.); #29843=FACE_OUTER_BOUND('',#50169,.T.); #29844=FACE_OUTER_BOUND('',#50170,.T.); #29845=FACE_OUTER_BOUND('',#50171,.T.); #29846=FACE_OUTER_BOUND('',#50172,.T.); #29847=FACE_OUTER_BOUND('',#50173,.T.); #29848=FACE_OUTER_BOUND('',#50174,.T.); #29849=FACE_OUTER_BOUND('',#50175,.T.); #29850=FACE_OUTER_BOUND('',#50176,.T.); #29851=FACE_OUTER_BOUND('',#50177,.T.); #29852=FACE_OUTER_BOUND('',#50178,.T.); #29853=FACE_OUTER_BOUND('',#50179,.T.); #29854=FACE_OUTER_BOUND('',#50181,.T.); #29855=FACE_OUTER_BOUND('',#50183,.T.); #29856=FACE_OUTER_BOUND('',#50184,.T.); #29857=FACE_OUTER_BOUND('',#50185,.T.); #29858=FACE_OUTER_BOUND('',#50186,.T.); #29859=FACE_OUTER_BOUND('',#50187,.T.); #29860=FACE_OUTER_BOUND('',#50189,.T.); #29861=FACE_OUTER_BOUND('',#50191,.T.); #29862=FACE_OUTER_BOUND('',#50192,.T.); #29863=FACE_OUTER_BOUND('',#50193,.T.); #29864=FACE_OUTER_BOUND('',#50194,.T.); #29865=FACE_OUTER_BOUND('',#50195,.T.); #29866=FACE_OUTER_BOUND('',#50197,.T.); #29867=FACE_OUTER_BOUND('',#50199,.T.); #29868=FACE_OUTER_BOUND('',#50200,.T.); #29869=FACE_OUTER_BOUND('',#50201,.T.); #29870=FACE_OUTER_BOUND('',#50202,.T.); #29871=FACE_OUTER_BOUND('',#50203,.T.); #29872=FACE_OUTER_BOUND('',#50205,.T.); #29873=FACE_OUTER_BOUND('',#50207,.T.); #29874=FACE_OUTER_BOUND('',#50208,.T.); #29875=FACE_OUTER_BOUND('',#50209,.T.); #29876=FACE_OUTER_BOUND('',#50210,.T.); #29877=FACE_OUTER_BOUND('',#50211,.T.); #29878=FACE_OUTER_BOUND('',#50212,.T.); #29879=FACE_OUTER_BOUND('',#50214,.T.); #29880=FACE_OUTER_BOUND('',#50216,.T.); #29881=FACE_OUTER_BOUND('',#50217,.T.); #29882=FACE_OUTER_BOUND('',#50218,.T.); #29883=FACE_OUTER_BOUND('',#50219,.T.); #29884=FACE_OUTER_BOUND('',#50220,.T.); #29885=FACE_OUTER_BOUND('',#50222,.T.); #29886=FACE_OUTER_BOUND('',#50224,.T.); #29887=FACE_OUTER_BOUND('',#50225,.T.); #29888=FACE_OUTER_BOUND('',#50226,.T.); #29889=FACE_OUTER_BOUND('',#50227,.T.); #29890=FACE_OUTER_BOUND('',#50228,.T.); #29891=FACE_OUTER_BOUND('',#50230,.T.); #29892=FACE_OUTER_BOUND('',#50232,.T.); #29893=FACE_OUTER_BOUND('',#50233,.T.); #29894=FACE_OUTER_BOUND('',#50234,.T.); #29895=FACE_OUTER_BOUND('',#50235,.T.); #29896=FACE_OUTER_BOUND('',#50236,.T.); #29897=FACE_OUTER_BOUND('',#50238,.T.); #29898=FACE_OUTER_BOUND('',#50240,.T.); #29899=FACE_OUTER_BOUND('',#50241,.T.); #29900=FACE_OUTER_BOUND('',#50242,.T.); #29901=FACE_OUTER_BOUND('',#50243,.T.); #29902=FACE_OUTER_BOUND('',#50244,.T.); #29903=FACE_OUTER_BOUND('',#50246,.T.); #29904=FACE_OUTER_BOUND('',#50248,.T.); #29905=FACE_OUTER_BOUND('',#50249,.T.); #29906=FACE_OUTER_BOUND('',#50250,.T.); #29907=FACE_OUTER_BOUND('',#50251,.T.); #29908=FACE_OUTER_BOUND('',#50252,.T.); #29909=FACE_OUTER_BOUND('',#50253,.T.); #29910=FACE_OUTER_BOUND('',#50254,.T.); #29911=FACE_OUTER_BOUND('',#50255,.T.); #29912=FACE_OUTER_BOUND('',#50256,.T.); #29913=FACE_OUTER_BOUND('',#50257,.T.); #29914=FACE_OUTER_BOUND('',#50258,.T.); #29915=FACE_OUTER_BOUND('',#50259,.T.); #29916=FACE_OUTER_BOUND('',#50260,.T.); #29917=FACE_OUTER_BOUND('',#50261,.T.); #29918=FACE_OUTER_BOUND('',#50262,.T.); #29919=FACE_OUTER_BOUND('',#50263,.T.); #29920=FACE_OUTER_BOUND('',#50264,.T.); #29921=FACE_OUTER_BOUND('',#50265,.T.); #29922=FACE_OUTER_BOUND('',#50266,.T.); #29923=FACE_OUTER_BOUND('',#50267,.T.); #29924=FACE_OUTER_BOUND('',#50268,.T.); #29925=FACE_OUTER_BOUND('',#50269,.T.); #29926=FACE_OUTER_BOUND('',#50270,.T.); #29927=FACE_OUTER_BOUND('',#50271,.T.); #29928=FACE_OUTER_BOUND('',#50272,.T.); #29929=FACE_OUTER_BOUND('',#50273,.T.); #29930=FACE_OUTER_BOUND('',#50274,.T.); #29931=FACE_OUTER_BOUND('',#50275,.T.); #29932=FACE_OUTER_BOUND('',#50276,.T.); #29933=FACE_OUTER_BOUND('',#50277,.T.); #29934=FACE_OUTER_BOUND('',#50278,.T.); #29935=FACE_OUTER_BOUND('',#50279,.T.); #29936=FACE_OUTER_BOUND('',#50280,.T.); #29937=FACE_OUTER_BOUND('',#50281,.T.); #29938=FACE_OUTER_BOUND('',#50282,.T.); #29939=FACE_OUTER_BOUND('',#50283,.T.); #29940=FACE_OUTER_BOUND('',#50284,.T.); #29941=FACE_OUTER_BOUND('',#50285,.T.); #29942=FACE_OUTER_BOUND('',#50286,.T.); #29943=FACE_OUTER_BOUND('',#50287,.T.); #29944=FACE_OUTER_BOUND('',#50288,.T.); #29945=FACE_OUTER_BOUND('',#50289,.T.); #29946=FACE_OUTER_BOUND('',#50290,.T.); #29947=FACE_OUTER_BOUND('',#50291,.T.); #29948=FACE_OUTER_BOUND('',#50292,.T.); #29949=FACE_OUTER_BOUND('',#50293,.T.); #29950=FACE_OUTER_BOUND('',#50294,.T.); #29951=FACE_OUTER_BOUND('',#50295,.T.); #29952=FACE_OUTER_BOUND('',#50296,.T.); #29953=FACE_OUTER_BOUND('',#50297,.T.); #29954=FACE_OUTER_BOUND('',#50298,.T.); #29955=FACE_OUTER_BOUND('',#50299,.T.); #29956=FACE_OUTER_BOUND('',#50300,.T.); #29957=FACE_OUTER_BOUND('',#50301,.T.); #29958=FACE_OUTER_BOUND('',#50302,.T.); #29959=FACE_OUTER_BOUND('',#50303,.T.); #29960=FACE_OUTER_BOUND('',#50304,.T.); #29961=FACE_OUTER_BOUND('',#50305,.T.); #29962=FACE_OUTER_BOUND('',#50306,.T.); #29963=FACE_OUTER_BOUND('',#50307,.T.); #29964=FACE_OUTER_BOUND('',#50308,.T.); #29965=FACE_OUTER_BOUND('',#50310,.T.); #29966=FACE_OUTER_BOUND('',#50312,.T.); #29967=FACE_OUTER_BOUND('',#50313,.T.); #29968=FACE_OUTER_BOUND('',#50314,.T.); #29969=FACE_OUTER_BOUND('',#50315,.T.); #29970=FACE_OUTER_BOUND('',#50316,.T.); #29971=FACE_OUTER_BOUND('',#50317,.T.); #29972=FACE_OUTER_BOUND('',#50318,.T.); #29973=FACE_OUTER_BOUND('',#50319,.T.); #29974=FACE_OUTER_BOUND('',#50320,.T.); #29975=FACE_OUTER_BOUND('',#50321,.T.); #29976=FACE_OUTER_BOUND('',#50322,.T.); #29977=FACE_OUTER_BOUND('',#50323,.T.); #29978=FACE_OUTER_BOUND('',#50324,.T.); #29979=FACE_OUTER_BOUND('',#50325,.T.); #29980=FACE_OUTER_BOUND('',#50326,.T.); #29981=FACE_OUTER_BOUND('',#50327,.T.); #29982=FACE_OUTER_BOUND('',#50328,.T.); #29983=FACE_OUTER_BOUND('',#50329,.T.); #29984=FACE_OUTER_BOUND('',#50330,.T.); #29985=FACE_OUTER_BOUND('',#50331,.T.); #29986=FACE_OUTER_BOUND('',#50332,.T.); #29987=FACE_OUTER_BOUND('',#50333,.T.); #29988=FACE_OUTER_BOUND('',#50334,.T.); #29989=FACE_OUTER_BOUND('',#50335,.T.); #29990=FACE_OUTER_BOUND('',#50336,.T.); #29991=FACE_OUTER_BOUND('',#50337,.T.); #29992=FACE_OUTER_BOUND('',#50338,.T.); #29993=FACE_OUTER_BOUND('',#50339,.T.); #29994=FACE_OUTER_BOUND('',#50340,.T.); #29995=FACE_OUTER_BOUND('',#50341,.T.); #29996=FACE_OUTER_BOUND('',#50342,.T.); #29997=FACE_OUTER_BOUND('',#50343,.T.); #29998=FACE_OUTER_BOUND('',#50344,.T.); #29999=FACE_OUTER_BOUND('',#50345,.T.); #30000=FACE_OUTER_BOUND('',#50346,.T.); #30001=FACE_OUTER_BOUND('',#50347,.T.); #30002=FACE_OUTER_BOUND('',#50348,.T.); #30003=FACE_OUTER_BOUND('',#50349,.T.); #30004=FACE_OUTER_BOUND('',#50350,.T.); #30005=FACE_OUTER_BOUND('',#50351,.T.); #30006=FACE_OUTER_BOUND('',#50352,.T.); #30007=FACE_OUTER_BOUND('',#50353,.T.); #30008=FACE_OUTER_BOUND('',#50354,.T.); #30009=FACE_OUTER_BOUND('',#50355,.T.); #30010=FACE_OUTER_BOUND('',#50356,.T.); #30011=FACE_OUTER_BOUND('',#50357,.T.); #30012=FACE_OUTER_BOUND('',#50358,.T.); #30013=FACE_OUTER_BOUND('',#50359,.T.); #30014=FACE_OUTER_BOUND('',#50360,.T.); #30015=FACE_OUTER_BOUND('',#50361,.T.); #30016=FACE_OUTER_BOUND('',#50362,.T.); #30017=FACE_OUTER_BOUND('',#50363,.T.); #30018=FACE_OUTER_BOUND('',#50364,.T.); #30019=FACE_OUTER_BOUND('',#50365,.T.); #30020=FACE_OUTER_BOUND('',#50366,.T.); #30021=FACE_OUTER_BOUND('',#50367,.T.); #30022=FACE_OUTER_BOUND('',#50368,.T.); #30023=FACE_OUTER_BOUND('',#50369,.T.); #30024=FACE_OUTER_BOUND('',#50370,.T.); #30025=FACE_OUTER_BOUND('',#50371,.T.); #30026=FACE_OUTER_BOUND('',#50372,.T.); #30027=FACE_OUTER_BOUND('',#50373,.T.); #30028=FACE_OUTER_BOUND('',#50374,.T.); #30029=FACE_OUTER_BOUND('',#50375,.T.); #30030=FACE_OUTER_BOUND('',#50376,.T.); #30031=FACE_OUTER_BOUND('',#50377,.T.); #30032=FACE_OUTER_BOUND('',#50378,.T.); #30033=FACE_OUTER_BOUND('',#50379,.T.); #30034=FACE_OUTER_BOUND('',#50380,.T.); #30035=FACE_OUTER_BOUND('',#50381,.T.); #30036=FACE_OUTER_BOUND('',#50382,.T.); #30037=FACE_OUTER_BOUND('',#50383,.T.); #30038=FACE_OUTER_BOUND('',#50384,.T.); #30039=FACE_OUTER_BOUND('',#50385,.T.); #30040=FACE_OUTER_BOUND('',#50386,.T.); #30041=FACE_OUTER_BOUND('',#50387,.T.); #30042=FACE_OUTER_BOUND('',#50388,.T.); #30043=FACE_OUTER_BOUND('',#50390,.T.); #30044=FACE_OUTER_BOUND('',#50392,.T.); #30045=FACE_OUTER_BOUND('',#50393,.T.); #30046=FACE_OUTER_BOUND('',#50394,.T.); #30047=FACE_OUTER_BOUND('',#50395,.T.); #30048=FACE_OUTER_BOUND('',#50396,.T.); #30049=FACE_OUTER_BOUND('',#50397,.T.); #30050=FACE_OUTER_BOUND('',#50398,.T.); #30051=FACE_OUTER_BOUND('',#50399,.T.); #30052=FACE_OUTER_BOUND('',#50400,.T.); #30053=FACE_OUTER_BOUND('',#50401,.T.); #30054=FACE_OUTER_BOUND('',#50402,.T.); #30055=FACE_OUTER_BOUND('',#50403,.T.); #30056=FACE_OUTER_BOUND('',#50404,.T.); #30057=FACE_OUTER_BOUND('',#50405,.T.); #30058=FACE_OUTER_BOUND('',#50406,.T.); #30059=FACE_OUTER_BOUND('',#50407,.T.); #30060=FACE_OUTER_BOUND('',#50408,.T.); #30061=FACE_OUTER_BOUND('',#50409,.T.); #30062=FACE_OUTER_BOUND('',#50410,.T.); #30063=FACE_OUTER_BOUND('',#50411,.T.); #30064=FACE_OUTER_BOUND('',#50412,.T.); #30065=FACE_OUTER_BOUND('',#50413,.T.); #30066=FACE_OUTER_BOUND('',#50414,.T.); #30067=FACE_OUTER_BOUND('',#50415,.T.); #30068=FACE_OUTER_BOUND('',#50416,.T.); #30069=FACE_OUTER_BOUND('',#50417,.T.); #30070=FACE_OUTER_BOUND('',#50418,.T.); #30071=FACE_OUTER_BOUND('',#50419,.T.); #30072=FACE_OUTER_BOUND('',#50420,.T.); #30073=FACE_OUTER_BOUND('',#50421,.T.); #30074=FACE_OUTER_BOUND('',#50422,.T.); #30075=FACE_OUTER_BOUND('',#50423,.T.); #30076=FACE_OUTER_BOUND('',#50424,.T.); #30077=FACE_OUTER_BOUND('',#50425,.T.); #30078=FACE_OUTER_BOUND('',#50426,.T.); #30079=FACE_OUTER_BOUND('',#50427,.T.); #30080=FACE_OUTER_BOUND('',#50428,.T.); #30081=FACE_OUTER_BOUND('',#50429,.T.); #30082=FACE_OUTER_BOUND('',#50430,.T.); #30083=FACE_OUTER_BOUND('',#50431,.T.); #30084=FACE_OUTER_BOUND('',#50432,.T.); #30085=FACE_OUTER_BOUND('',#50433,.T.); #30086=FACE_OUTER_BOUND('',#50434,.T.); #30087=FACE_OUTER_BOUND('',#50435,.T.); #30088=FACE_OUTER_BOUND('',#50436,.T.); #30089=FACE_OUTER_BOUND('',#50437,.T.); #30090=FACE_OUTER_BOUND('',#50438,.T.); #30091=FACE_OUTER_BOUND('',#50439,.T.); #30092=FACE_OUTER_BOUND('',#50440,.T.); #30093=FACE_OUTER_BOUND('',#50441,.T.); #30094=FACE_OUTER_BOUND('',#50442,.T.); #30095=FACE_OUTER_BOUND('',#50443,.T.); #30096=FACE_OUTER_BOUND('',#50444,.T.); #30097=FACE_OUTER_BOUND('',#50445,.T.); #30098=FACE_OUTER_BOUND('',#50446,.T.); #30099=FACE_OUTER_BOUND('',#50447,.T.); #30100=FACE_OUTER_BOUND('',#50448,.T.); #30101=FACE_OUTER_BOUND('',#50449,.T.); #30102=FACE_OUTER_BOUND('',#50450,.T.); #30103=FACE_OUTER_BOUND('',#50451,.T.); #30104=FACE_OUTER_BOUND('',#50452,.T.); #30105=FACE_OUTER_BOUND('',#50454,.T.); #30106=FACE_OUTER_BOUND('',#50456,.T.); #30107=FACE_OUTER_BOUND('',#50457,.T.); #30108=FACE_OUTER_BOUND('',#50458,.T.); #30109=FACE_OUTER_BOUND('',#50459,.T.); #30110=FACE_OUTER_BOUND('',#50460,.T.); #30111=FACE_OUTER_BOUND('',#50461,.T.); #30112=FACE_OUTER_BOUND('',#50463,.T.); #30113=FACE_OUTER_BOUND('',#50465,.T.); #30114=FACE_OUTER_BOUND('',#50466,.T.); #30115=FACE_OUTER_BOUND('',#50467,.T.); #30116=FACE_OUTER_BOUND('',#50468,.T.); #30117=FACE_OUTER_BOUND('',#50469,.T.); #30118=FACE_OUTER_BOUND('',#50470,.T.); #30119=FACE_OUTER_BOUND('',#50471,.T.); #30120=FACE_OUTER_BOUND('',#50472,.T.); #30121=FACE_OUTER_BOUND('',#50473,.T.); #30122=FACE_OUTER_BOUND('',#50474,.T.); #30123=FACE_OUTER_BOUND('',#50475,.T.); #30124=FACE_OUTER_BOUND('',#50476,.T.); #30125=FACE_OUTER_BOUND('',#50477,.T.); #30126=FACE_OUTER_BOUND('',#50478,.T.); #30127=FACE_OUTER_BOUND('',#50479,.T.); #30128=FACE_OUTER_BOUND('',#50480,.T.); #30129=FACE_OUTER_BOUND('',#50481,.T.); #30130=FACE_OUTER_BOUND('',#50482,.T.); #30131=FACE_OUTER_BOUND('',#50483,.T.); #30132=FACE_OUTER_BOUND('',#50484,.T.); #30133=FACE_OUTER_BOUND('',#50485,.T.); #30134=FACE_OUTER_BOUND('',#50486,.T.); #30135=FACE_OUTER_BOUND('',#50487,.T.); #30136=FACE_OUTER_BOUND('',#50488,.T.); #30137=FACE_OUTER_BOUND('',#50489,.T.); #30138=FACE_OUTER_BOUND('',#50490,.T.); #30139=FACE_OUTER_BOUND('',#50491,.T.); #30140=FACE_OUTER_BOUND('',#50492,.T.); #30141=FACE_OUTER_BOUND('',#50493,.T.); #30142=FACE_OUTER_BOUND('',#50494,.T.); #30143=FACE_OUTER_BOUND('',#50495,.T.); #30144=FACE_OUTER_BOUND('',#50496,.T.); #30145=FACE_OUTER_BOUND('',#50497,.T.); #30146=FACE_OUTER_BOUND('',#50498,.T.); #30147=FACE_OUTER_BOUND('',#50499,.T.); #30148=FACE_OUTER_BOUND('',#50500,.T.); #30149=FACE_OUTER_BOUND('',#50501,.T.); #30150=FACE_OUTER_BOUND('',#50502,.T.); #30151=FACE_OUTER_BOUND('',#50503,.T.); #30152=FACE_OUTER_BOUND('',#50504,.T.); #30153=FACE_OUTER_BOUND('',#50505,.T.); #30154=FACE_OUTER_BOUND('',#50506,.T.); #30155=FACE_OUTER_BOUND('',#50507,.T.); #30156=FACE_OUTER_BOUND('',#50508,.T.); #30157=FACE_OUTER_BOUND('',#50509,.T.); #30158=FACE_OUTER_BOUND('',#50510,.T.); #30159=FACE_OUTER_BOUND('',#50511,.T.); #30160=FACE_OUTER_BOUND('',#50512,.T.); #30161=FACE_OUTER_BOUND('',#50513,.T.); #30162=FACE_OUTER_BOUND('',#50514,.T.); #30163=FACE_OUTER_BOUND('',#50515,.T.); #30164=FACE_OUTER_BOUND('',#50516,.T.); #30165=FACE_OUTER_BOUND('',#50517,.T.); #30166=FACE_OUTER_BOUND('',#50518,.T.); #30167=FACE_OUTER_BOUND('',#50519,.T.); #30168=FACE_OUTER_BOUND('',#50520,.T.); #30169=FACE_OUTER_BOUND('',#50521,.T.); #30170=FACE_OUTER_BOUND('',#50522,.T.); #30171=FACE_OUTER_BOUND('',#50523,.T.); #30172=FACE_OUTER_BOUND('',#50524,.T.); #30173=FACE_OUTER_BOUND('',#50525,.T.); #30174=FACE_OUTER_BOUND('',#50527,.T.); #30175=FACE_OUTER_BOUND('',#50529,.T.); #30176=FACE_OUTER_BOUND('',#50530,.T.); #30177=FACE_OUTER_BOUND('',#50531,.T.); #30178=FACE_OUTER_BOUND('',#50532,.T.); #30179=FACE_OUTER_BOUND('',#50533,.T.); #30180=FACE_OUTER_BOUND('',#50534,.T.); #30181=FACE_OUTER_BOUND('',#50535,.T.); #30182=FACE_OUTER_BOUND('',#50536,.T.); #30183=FACE_OUTER_BOUND('',#50537,.T.); #30184=FACE_OUTER_BOUND('',#50538,.T.); #30185=FACE_OUTER_BOUND('',#50539,.T.); #30186=FACE_OUTER_BOUND('',#50540,.T.); #30187=FACE_OUTER_BOUND('',#50541,.T.); #30188=FACE_OUTER_BOUND('',#50542,.T.); #30189=FACE_OUTER_BOUND('',#50543,.T.); #30190=FACE_OUTER_BOUND('',#50544,.T.); #30191=FACE_OUTER_BOUND('',#50545,.T.); #30192=FACE_OUTER_BOUND('',#50546,.T.); #30193=FACE_OUTER_BOUND('',#50547,.T.); #30194=FACE_OUTER_BOUND('',#50548,.T.); #30195=FACE_OUTER_BOUND('',#50549,.T.); #30196=FACE_OUTER_BOUND('',#50550,.T.); #30197=FACE_OUTER_BOUND('',#50551,.T.); #30198=FACE_OUTER_BOUND('',#50552,.T.); #30199=FACE_OUTER_BOUND('',#50553,.T.); #30200=FACE_OUTER_BOUND('',#50554,.T.); #30201=FACE_OUTER_BOUND('',#50555,.T.); #30202=FACE_OUTER_BOUND('',#50556,.T.); #30203=FACE_OUTER_BOUND('',#50557,.T.); #30204=FACE_OUTER_BOUND('',#50558,.T.); #30205=FACE_OUTER_BOUND('',#50559,.T.); #30206=FACE_OUTER_BOUND('',#50560,.T.); #30207=FACE_OUTER_BOUND('',#50561,.T.); #30208=FACE_OUTER_BOUND('',#50562,.T.); #30209=FACE_OUTER_BOUND('',#50563,.T.); #30210=FACE_OUTER_BOUND('',#50564,.T.); #30211=FACE_OUTER_BOUND('',#50565,.T.); #30212=FACE_OUTER_BOUND('',#50566,.T.); #30213=FACE_OUTER_BOUND('',#50567,.T.); #30214=FACE_OUTER_BOUND('',#50568,.T.); #30215=FACE_OUTER_BOUND('',#50569,.T.); #30216=FACE_OUTER_BOUND('',#50570,.T.); #30217=FACE_OUTER_BOUND('',#50571,.T.); #30218=FACE_OUTER_BOUND('',#50572,.T.); #30219=FACE_OUTER_BOUND('',#50573,.T.); #30220=FACE_OUTER_BOUND('',#50574,.T.); #30221=FACE_OUTER_BOUND('',#50575,.T.); #30222=FACE_OUTER_BOUND('',#50576,.T.); #30223=FACE_OUTER_BOUND('',#50577,.T.); #30224=FACE_OUTER_BOUND('',#50578,.T.); #30225=FACE_OUTER_BOUND('',#50579,.T.); #30226=FACE_OUTER_BOUND('',#50580,.T.); #30227=FACE_OUTER_BOUND('',#50581,.T.); #30228=FACE_OUTER_BOUND('',#50582,.T.); #30229=FACE_OUTER_BOUND('',#50583,.T.); #30230=FACE_OUTER_BOUND('',#50584,.T.); #30231=FACE_OUTER_BOUND('',#50585,.T.); #30232=FACE_OUTER_BOUND('',#50586,.T.); #30233=FACE_OUTER_BOUND('',#50587,.T.); #30234=FACE_OUTER_BOUND('',#50588,.T.); #30235=FACE_OUTER_BOUND('',#50589,.T.); #30236=FACE_OUTER_BOUND('',#50590,.T.); #30237=FACE_OUTER_BOUND('',#50591,.T.); #30238=FACE_OUTER_BOUND('',#50592,.T.); #30239=FACE_OUTER_BOUND('',#50593,.T.); #30240=FACE_OUTER_BOUND('',#50594,.T.); #30241=FACE_OUTER_BOUND('',#50595,.T.); #30242=FACE_OUTER_BOUND('',#50596,.T.); #30243=FACE_OUTER_BOUND('',#50597,.T.); #30244=FACE_OUTER_BOUND('',#50598,.T.); #30245=FACE_OUTER_BOUND('',#50599,.T.); #30246=FACE_OUTER_BOUND('',#50600,.T.); #30247=FACE_OUTER_BOUND('',#50601,.T.); #30248=FACE_OUTER_BOUND('',#50602,.T.); #30249=FACE_OUTER_BOUND('',#50603,.T.); #30250=FACE_OUTER_BOUND('',#50604,.T.); #30251=FACE_OUTER_BOUND('',#50605,.T.); #30252=FACE_OUTER_BOUND('',#50607,.T.); #30253=FACE_OUTER_BOUND('',#50609,.T.); #30254=FACE_OUTER_BOUND('',#50610,.T.); #30255=FACE_OUTER_BOUND('',#50611,.T.); #30256=FACE_OUTER_BOUND('',#50612,.T.); #30257=FACE_OUTER_BOUND('',#50613,.T.); #30258=FACE_OUTER_BOUND('',#50615,.T.); #30259=FACE_OUTER_BOUND('',#50617,.T.); #30260=FACE_OUTER_BOUND('',#50618,.T.); #30261=FACE_OUTER_BOUND('',#50619,.T.); #30262=FACE_OUTER_BOUND('',#50620,.T.); #30263=FACE_OUTER_BOUND('',#50621,.T.); #30264=FACE_OUTER_BOUND('',#50623,.T.); #30265=FACE_OUTER_BOUND('',#50625,.T.); #30266=FACE_OUTER_BOUND('',#50626,.T.); #30267=FACE_OUTER_BOUND('',#50627,.T.); #30268=FACE_OUTER_BOUND('',#50628,.T.); #30269=FACE_OUTER_BOUND('',#50629,.T.); #30270=FACE_OUTER_BOUND('',#50630,.T.); #30271=FACE_OUTER_BOUND('',#50631,.T.); #30272=FACE_OUTER_BOUND('',#50632,.T.); #30273=FACE_OUTER_BOUND('',#50633,.T.); #30274=FACE_OUTER_BOUND('',#50634,.T.); #30275=FACE_OUTER_BOUND('',#50635,.T.); #30276=FACE_OUTER_BOUND('',#50636,.T.); #30277=FACE_OUTER_BOUND('',#50637,.T.); #30278=FACE_OUTER_BOUND('',#50638,.T.); #30279=FACE_OUTER_BOUND('',#50639,.T.); #30280=FACE_OUTER_BOUND('',#50640,.T.); #30281=FACE_OUTER_BOUND('',#50641,.T.); #30282=FACE_OUTER_BOUND('',#50642,.T.); #30283=FACE_OUTER_BOUND('',#50643,.T.); #30284=FACE_OUTER_BOUND('',#50644,.T.); #30285=FACE_OUTER_BOUND('',#50645,.T.); #30286=FACE_OUTER_BOUND('',#50646,.T.); #30287=FACE_OUTER_BOUND('',#50647,.T.); #30288=FACE_OUTER_BOUND('',#50648,.T.); #30289=FACE_OUTER_BOUND('',#50649,.T.); #30290=FACE_OUTER_BOUND('',#50650,.T.); #30291=FACE_OUTER_BOUND('',#50651,.T.); #30292=FACE_OUTER_BOUND('',#50652,.T.); #30293=FACE_OUTER_BOUND('',#50653,.T.); #30294=FACE_OUTER_BOUND('',#50654,.T.); #30295=FACE_OUTER_BOUND('',#50655,.T.); #30296=FACE_OUTER_BOUND('',#50656,.T.); #30297=FACE_OUTER_BOUND('',#50657,.T.); #30298=FACE_OUTER_BOUND('',#50658,.T.); #30299=FACE_OUTER_BOUND('',#50659,.T.); #30300=FACE_OUTER_BOUND('',#50660,.T.); #30301=FACE_OUTER_BOUND('',#50661,.T.); #30302=FACE_OUTER_BOUND('',#50662,.T.); #30303=FACE_OUTER_BOUND('',#50663,.T.); #30304=FACE_OUTER_BOUND('',#50664,.T.); #30305=FACE_OUTER_BOUND('',#50665,.T.); #30306=FACE_OUTER_BOUND('',#50666,.T.); #30307=FACE_OUTER_BOUND('',#50667,.T.); #30308=FACE_OUTER_BOUND('',#50668,.T.); #30309=FACE_OUTER_BOUND('',#50669,.T.); #30310=FACE_OUTER_BOUND('',#50670,.T.); #30311=FACE_OUTER_BOUND('',#50671,.T.); #30312=FACE_OUTER_BOUND('',#50672,.T.); #30313=FACE_OUTER_BOUND('',#50673,.T.); #30314=FACE_OUTER_BOUND('',#50674,.T.); #30315=FACE_OUTER_BOUND('',#50675,.T.); #30316=FACE_OUTER_BOUND('',#50676,.T.); #30317=FACE_OUTER_BOUND('',#50677,.T.); #30318=FACE_OUTER_BOUND('',#50678,.T.); #30319=FACE_OUTER_BOUND('',#50679,.T.); #30320=FACE_OUTER_BOUND('',#50680,.T.); #30321=FACE_OUTER_BOUND('',#50681,.T.); #30322=FACE_OUTER_BOUND('',#50682,.T.); #30323=FACE_OUTER_BOUND('',#50683,.T.); #30324=FACE_OUTER_BOUND('',#50684,.T.); #30325=FACE_OUTER_BOUND('',#50685,.T.); #30326=FACE_OUTER_BOUND('',#50687,.T.); #30327=FACE_OUTER_BOUND('',#50689,.T.); #30328=FACE_OUTER_BOUND('',#50690,.T.); #30329=FACE_OUTER_BOUND('',#50691,.T.); #30330=FACE_OUTER_BOUND('',#50692,.T.); #30331=FACE_OUTER_BOUND('',#50693,.T.); #30332=FACE_OUTER_BOUND('',#50695,.T.); #30333=FACE_OUTER_BOUND('',#50697,.T.); #30334=FACE_OUTER_BOUND('',#50698,.T.); #30335=FACE_OUTER_BOUND('',#50699,.T.); #30336=FACE_OUTER_BOUND('',#50700,.T.); #30337=FACE_OUTER_BOUND('',#50701,.T.); #30338=FACE_OUTER_BOUND('',#50703,.T.); #30339=FACE_OUTER_BOUND('',#50705,.T.); #30340=FACE_OUTER_BOUND('',#50706,.T.); #30341=FACE_OUTER_BOUND('',#50707,.T.); #30342=FACE_OUTER_BOUND('',#50708,.T.); #30343=FACE_OUTER_BOUND('',#50709,.T.); #30344=FACE_OUTER_BOUND('',#50711,.T.); #30345=FACE_OUTER_BOUND('',#50713,.T.); #30346=FACE_OUTER_BOUND('',#50714,.T.); #30347=FACE_OUTER_BOUND('',#50715,.T.); #30348=FACE_OUTER_BOUND('',#50716,.T.); #30349=FACE_OUTER_BOUND('',#50717,.T.); #30350=FACE_OUTER_BOUND('',#50718,.T.); #30351=FACE_OUTER_BOUND('',#50720,.T.); #30352=FACE_OUTER_BOUND('',#50722,.T.); #30353=FACE_OUTER_BOUND('',#50723,.T.); #30354=FACE_OUTER_BOUND('',#50724,.T.); #30355=FACE_OUTER_BOUND('',#50725,.T.); #30356=FACE_OUTER_BOUND('',#50726,.T.); #30357=FACE_OUTER_BOUND('',#50728,.T.); #30358=FACE_OUTER_BOUND('',#50730,.T.); #30359=FACE_OUTER_BOUND('',#50731,.T.); #30360=FACE_OUTER_BOUND('',#50732,.T.); #30361=FACE_OUTER_BOUND('',#50733,.T.); #30362=FACE_OUTER_BOUND('',#50734,.T.); #30363=FACE_OUTER_BOUND('',#50735,.T.); #30364=FACE_OUTER_BOUND('',#50736,.T.); #30365=FACE_OUTER_BOUND('',#50737,.T.); #30366=FACE_OUTER_BOUND('',#50738,.T.); #30367=FACE_OUTER_BOUND('',#50739,.T.); #30368=FACE_OUTER_BOUND('',#50740,.T.); #30369=FACE_OUTER_BOUND('',#50741,.T.); #30370=FACE_OUTER_BOUND('',#50742,.T.); #30371=FACE_OUTER_BOUND('',#50743,.T.); #30372=FACE_OUTER_BOUND('',#50744,.T.); #30373=FACE_OUTER_BOUND('',#50745,.T.); #30374=FACE_OUTER_BOUND('',#50746,.T.); #30375=FACE_OUTER_BOUND('',#50747,.T.); #30376=FACE_OUTER_BOUND('',#50748,.T.); #30377=FACE_OUTER_BOUND('',#50749,.T.); #30378=FACE_OUTER_BOUND('',#50750,.T.); #30379=FACE_OUTER_BOUND('',#50751,.T.); #30380=FACE_OUTER_BOUND('',#50752,.T.); #30381=FACE_OUTER_BOUND('',#50753,.T.); #30382=FACE_OUTER_BOUND('',#50754,.T.); #30383=FACE_OUTER_BOUND('',#50755,.T.); #30384=FACE_OUTER_BOUND('',#50756,.T.); #30385=FACE_OUTER_BOUND('',#50757,.T.); #30386=FACE_OUTER_BOUND('',#50758,.T.); #30387=FACE_OUTER_BOUND('',#50759,.T.); #30388=FACE_OUTER_BOUND('',#50760,.T.); #30389=FACE_OUTER_BOUND('',#50761,.T.); #30390=FACE_OUTER_BOUND('',#50762,.T.); #30391=FACE_OUTER_BOUND('',#50763,.T.); #30392=FACE_OUTER_BOUND('',#50764,.T.); #30393=FACE_OUTER_BOUND('',#50765,.T.); #30394=FACE_OUTER_BOUND('',#50766,.T.); #30395=FACE_OUTER_BOUND('',#50767,.T.); #30396=FACE_OUTER_BOUND('',#50768,.T.); #30397=FACE_OUTER_BOUND('',#50769,.T.); #30398=FACE_OUTER_BOUND('',#50770,.T.); #30399=FACE_OUTER_BOUND('',#50771,.T.); #30400=FACE_OUTER_BOUND('',#50772,.T.); #30401=FACE_OUTER_BOUND('',#50773,.T.); #30402=FACE_OUTER_BOUND('',#50774,.T.); #30403=FACE_OUTER_BOUND('',#50775,.T.); #30404=FACE_OUTER_BOUND('',#50776,.T.); #30405=FACE_OUTER_BOUND('',#50777,.T.); #30406=FACE_OUTER_BOUND('',#50778,.T.); #30407=FACE_OUTER_BOUND('',#50779,.T.); #30408=FACE_OUTER_BOUND('',#50780,.T.); #30409=FACE_OUTER_BOUND('',#50781,.T.); #30410=FACE_OUTER_BOUND('',#50782,.T.); #30411=FACE_OUTER_BOUND('',#50783,.T.); #30412=FACE_OUTER_BOUND('',#50784,.T.); #30413=FACE_OUTER_BOUND('',#50785,.T.); #30414=FACE_OUTER_BOUND('',#50786,.T.); #30415=FACE_OUTER_BOUND('',#50787,.T.); #30416=FACE_OUTER_BOUND('',#50788,.T.); #30417=FACE_OUTER_BOUND('',#50789,.T.); #30418=FACE_OUTER_BOUND('',#50790,.T.); #30419=FACE_OUTER_BOUND('',#50791,.T.); #30420=FACE_OUTER_BOUND('',#50792,.T.); #30421=FACE_OUTER_BOUND('',#50793,.T.); #30422=FACE_OUTER_BOUND('',#50794,.T.); #30423=FACE_OUTER_BOUND('',#50795,.T.); #30424=FACE_OUTER_BOUND('',#50796,.T.); #30425=FACE_OUTER_BOUND('',#50797,.T.); #30426=FACE_OUTER_BOUND('',#50798,.T.); #30427=FACE_OUTER_BOUND('',#50799,.T.); #30428=FACE_OUTER_BOUND('',#50800,.T.); #30429=FACE_OUTER_BOUND('',#50801,.T.); #30430=FACE_OUTER_BOUND('',#50802,.T.); #30431=FACE_OUTER_BOUND('',#50803,.T.); #30432=FACE_OUTER_BOUND('',#50804,.T.); #30433=FACE_OUTER_BOUND('',#50805,.T.); #30434=FACE_OUTER_BOUND('',#50806,.T.); #30435=FACE_OUTER_BOUND('',#50807,.T.); #30436=FACE_OUTER_BOUND('',#50808,.T.); #30437=FACE_OUTER_BOUND('',#50809,.T.); #30438=FACE_OUTER_BOUND('',#50810,.T.); #30439=FACE_OUTER_BOUND('',#50811,.T.); #30440=FACE_OUTER_BOUND('',#50812,.T.); #30441=FACE_OUTER_BOUND('',#50813,.T.); #30442=FACE_OUTER_BOUND('',#50814,.T.); #30443=FACE_OUTER_BOUND('',#50815,.T.); #30444=FACE_OUTER_BOUND('',#50816,.T.); #30445=FACE_OUTER_BOUND('',#50817,.T.); #30446=FACE_OUTER_BOUND('',#50818,.T.); #30447=FACE_OUTER_BOUND('',#50825,.T.); #30448=FACE_OUTER_BOUND('',#50832,.T.); #30449=FACE_OUTER_BOUND('',#50833,.T.); #30450=FACE_OUTER_BOUND('',#50834,.T.); #30451=FACE_OUTER_BOUND('',#50835,.T.); #30452=FACE_OUTER_BOUND('',#50836,.T.); #30453=FACE_OUTER_BOUND('',#50837,.T.); #30454=FACE_OUTER_BOUND('',#50838,.T.); #30455=FACE_OUTER_BOUND('',#50839,.T.); #30456=FACE_OUTER_BOUND('',#50840,.T.); #30457=FACE_OUTER_BOUND('',#50841,.T.); #30458=FACE_OUTER_BOUND('',#50842,.T.); #30459=FACE_OUTER_BOUND('',#50843,.T.); #30460=FACE_OUTER_BOUND('',#50844,.T.); #30461=FACE_OUTER_BOUND('',#50845,.T.); #30462=FACE_OUTER_BOUND('',#50846,.T.); #30463=FACE_OUTER_BOUND('',#50847,.T.); #30464=FACE_OUTER_BOUND('',#50848,.T.); #30465=FACE_OUTER_BOUND('',#50849,.T.); #30466=FACE_OUTER_BOUND('',#50850,.T.); #30467=FACE_OUTER_BOUND('',#50851,.T.); #30468=FACE_OUTER_BOUND('',#50852,.T.); #30469=FACE_OUTER_BOUND('',#50853,.T.); #30470=FACE_OUTER_BOUND('',#50854,.T.); #30471=FACE_OUTER_BOUND('',#50855,.T.); #30472=FACE_OUTER_BOUND('',#50856,.T.); #30473=FACE_OUTER_BOUND('',#50857,.T.); #30474=FACE_OUTER_BOUND('',#50858,.T.); #30475=FACE_OUTER_BOUND('',#50859,.T.); #30476=FACE_OUTER_BOUND('',#50860,.T.); #30477=FACE_OUTER_BOUND('',#50861,.T.); #30478=FACE_OUTER_BOUND('',#50862,.T.); #30479=FACE_OUTER_BOUND('',#50863,.T.); #30480=FACE_OUTER_BOUND('',#50864,.T.); #30481=FACE_OUTER_BOUND('',#50865,.T.); #30482=FACE_OUTER_BOUND('',#50866,.T.); #30483=FACE_OUTER_BOUND('',#50867,.T.); #30484=FACE_OUTER_BOUND('',#50868,.T.); #30485=FACE_OUTER_BOUND('',#50869,.T.); #30486=FACE_OUTER_BOUND('',#50870,.T.); #30487=FACE_OUTER_BOUND('',#50871,.T.); #30488=FACE_OUTER_BOUND('',#50872,.T.); #30489=FACE_OUTER_BOUND('',#50873,.T.); #30490=FACE_OUTER_BOUND('',#50874,.T.); #30491=FACE_OUTER_BOUND('',#50875,.T.); #30492=FACE_OUTER_BOUND('',#50876,.T.); #30493=FACE_OUTER_BOUND('',#50877,.T.); #30494=FACE_OUTER_BOUND('',#50878,.T.); #30495=FACE_OUTER_BOUND('',#50879,.T.); #30496=FACE_OUTER_BOUND('',#50880,.T.); #30497=FACE_OUTER_BOUND('',#50881,.T.); #30498=FACE_OUTER_BOUND('',#50882,.T.); #30499=FACE_OUTER_BOUND('',#50883,.T.); #30500=FACE_OUTER_BOUND('',#50884,.T.); #30501=FACE_OUTER_BOUND('',#50885,.T.); #30502=FACE_OUTER_BOUND('',#50886,.T.); #30503=FACE_OUTER_BOUND('',#50887,.T.); #30504=FACE_OUTER_BOUND('',#50888,.T.); #30505=FACE_OUTER_BOUND('',#50889,.T.); #30506=FACE_OUTER_BOUND('',#50890,.T.); #30507=FACE_OUTER_BOUND('',#50891,.T.); #30508=FACE_OUTER_BOUND('',#50892,.T.); #30509=FACE_OUTER_BOUND('',#50893,.T.); #30510=FACE_OUTER_BOUND('',#50894,.T.); #30511=FACE_OUTER_BOUND('',#50895,.T.); #30512=FACE_OUTER_BOUND('',#50896,.T.); #30513=FACE_OUTER_BOUND('',#50897,.T.); #30514=FACE_OUTER_BOUND('',#50898,.T.); #30515=FACE_OUTER_BOUND('',#50899,.T.); #30516=FACE_OUTER_BOUND('',#50900,.T.); #30517=FACE_OUTER_BOUND('',#50901,.T.); #30518=FACE_OUTER_BOUND('',#50902,.T.); #30519=FACE_OUTER_BOUND('',#50903,.T.); #30520=FACE_OUTER_BOUND('',#50904,.T.); #30521=FACE_OUTER_BOUND('',#50905,.T.); #30522=FACE_OUTER_BOUND('',#50906,.T.); #30523=FACE_OUTER_BOUND('',#50907,.T.); #30524=FACE_OUTER_BOUND('',#50908,.T.); #30525=FACE_OUTER_BOUND('',#50909,.T.); #30526=FACE_OUTER_BOUND('',#50910,.T.); #30527=FACE_OUTER_BOUND('',#50911,.T.); #30528=FACE_OUTER_BOUND('',#50912,.T.); #30529=FACE_OUTER_BOUND('',#50913,.T.); #30530=FACE_OUTER_BOUND('',#50914,.T.); #30531=FACE_OUTER_BOUND('',#50915,.T.); #30532=FACE_OUTER_BOUND('',#50916,.T.); #30533=FACE_OUTER_BOUND('',#50917,.T.); #30534=FACE_OUTER_BOUND('',#50918,.T.); #30535=FACE_OUTER_BOUND('',#50919,.T.); #30536=FACE_OUTER_BOUND('',#50920,.T.); #30537=FACE_OUTER_BOUND('',#50921,.T.); #30538=FACE_OUTER_BOUND('',#50922,.T.); #30539=FACE_OUTER_BOUND('',#50923,.T.); #30540=FACE_OUTER_BOUND('',#50924,.T.); #30541=FACE_OUTER_BOUND('',#50925,.T.); #30542=FACE_OUTER_BOUND('',#50926,.T.); #30543=FACE_OUTER_BOUND('',#50927,.T.); #30544=FACE_OUTER_BOUND('',#50928,.T.); #30545=FACE_OUTER_BOUND('',#50929,.T.); #30546=FACE_OUTER_BOUND('',#50930,.T.); #30547=FACE_OUTER_BOUND('',#50931,.T.); #30548=FACE_OUTER_BOUND('',#50932,.T.); #30549=FACE_OUTER_BOUND('',#50933,.T.); #30550=FACE_OUTER_BOUND('',#50934,.T.); #30551=FACE_OUTER_BOUND('',#50935,.T.); #30552=FACE_OUTER_BOUND('',#50936,.T.); #30553=FACE_OUTER_BOUND('',#50937,.T.); #30554=FACE_OUTER_BOUND('',#50938,.T.); #30555=FACE_OUTER_BOUND('',#50939,.T.); #30556=FACE_OUTER_BOUND('',#50940,.T.); #30557=FACE_OUTER_BOUND('',#50941,.T.); #30558=FACE_OUTER_BOUND('',#50942,.T.); #30559=FACE_OUTER_BOUND('',#50943,.T.); #30560=FACE_OUTER_BOUND('',#50944,.T.); #30561=FACE_OUTER_BOUND('',#50945,.T.); #30562=FACE_OUTER_BOUND('',#50946,.T.); #30563=FACE_OUTER_BOUND('',#50947,.T.); #30564=FACE_OUTER_BOUND('',#50948,.T.); #30565=FACE_OUTER_BOUND('',#50949,.T.); #30566=FACE_OUTER_BOUND('',#50950,.T.); #30567=FACE_OUTER_BOUND('',#50951,.T.); #30568=FACE_OUTER_BOUND('',#50952,.T.); #30569=FACE_OUTER_BOUND('',#50953,.T.); #30570=FACE_OUTER_BOUND('',#50954,.T.); #30571=FACE_OUTER_BOUND('',#50955,.T.); #30572=FACE_OUTER_BOUND('',#50956,.T.); #30573=FACE_OUTER_BOUND('',#50957,.T.); #30574=FACE_OUTER_BOUND('',#50958,.T.); #30575=FACE_OUTER_BOUND('',#50959,.T.); #30576=FACE_OUTER_BOUND('',#50960,.T.); #30577=FACE_OUTER_BOUND('',#50961,.T.); #30578=FACE_OUTER_BOUND('',#50962,.T.); #30579=FACE_OUTER_BOUND('',#50963,.T.); #30580=FACE_OUTER_BOUND('',#50964,.T.); #30581=FACE_OUTER_BOUND('',#50965,.T.); #30582=FACE_OUTER_BOUND('',#50966,.T.); #30583=FACE_OUTER_BOUND('',#50967,.T.); #30584=FACE_OUTER_BOUND('',#50968,.T.); #30585=FACE_OUTER_BOUND('',#50969,.T.); #30586=FACE_OUTER_BOUND('',#50970,.T.); #30587=FACE_OUTER_BOUND('',#50971,.T.); #30588=FACE_OUTER_BOUND('',#50972,.T.); #30589=FACE_OUTER_BOUND('',#50973,.T.); #30590=FACE_OUTER_BOUND('',#50974,.T.); #30591=FACE_OUTER_BOUND('',#50975,.T.); #30592=FACE_OUTER_BOUND('',#50976,.T.); #30593=FACE_OUTER_BOUND('',#50977,.T.); #30594=FACE_OUTER_BOUND('',#50978,.T.); #30595=FACE_OUTER_BOUND('',#50979,.T.); #30596=FACE_OUTER_BOUND('',#50980,.T.); #30597=FACE_OUTER_BOUND('',#50981,.T.); #30598=FACE_OUTER_BOUND('',#50982,.T.); #30599=FACE_OUTER_BOUND('',#50983,.T.); #30600=FACE_OUTER_BOUND('',#50984,.T.); #30601=FACE_OUTER_BOUND('',#50985,.T.); #30602=FACE_OUTER_BOUND('',#50986,.T.); #30603=FACE_OUTER_BOUND('',#50987,.T.); #30604=FACE_OUTER_BOUND('',#50988,.T.); #30605=FACE_OUTER_BOUND('',#50989,.T.); #30606=FACE_OUTER_BOUND('',#50990,.T.); #30607=FACE_OUTER_BOUND('',#50991,.T.); #30608=FACE_OUTER_BOUND('',#50992,.T.); #30609=FACE_OUTER_BOUND('',#50993,.T.); #30610=FACE_OUTER_BOUND('',#50994,.T.); #30611=FACE_OUTER_BOUND('',#50995,.T.); #30612=FACE_OUTER_BOUND('',#50996,.T.); #30613=FACE_OUTER_BOUND('',#50997,.T.); #30614=FACE_OUTER_BOUND('',#50998,.T.); #30615=FACE_OUTER_BOUND('',#51005,.T.); #30616=FACE_OUTER_BOUND('',#51012,.T.); #30617=FACE_OUTER_BOUND('',#51013,.T.); #30618=FACE_OUTER_BOUND('',#51014,.T.); #30619=FACE_OUTER_BOUND('',#51015,.T.); #30620=FACE_OUTER_BOUND('',#51016,.T.); #30621=FACE_OUTER_BOUND('',#51017,.T.); #30622=FACE_OUTER_BOUND('',#51018,.T.); #30623=FACE_OUTER_BOUND('',#51019,.T.); #30624=FACE_OUTER_BOUND('',#51020,.T.); #30625=FACE_OUTER_BOUND('',#51021,.T.); #30626=FACE_OUTER_BOUND('',#51022,.T.); #30627=FACE_OUTER_BOUND('',#51023,.T.); #30628=FACE_OUTER_BOUND('',#51024,.T.); #30629=FACE_OUTER_BOUND('',#51025,.T.); #30630=FACE_OUTER_BOUND('',#51026,.T.); #30631=FACE_OUTER_BOUND('',#51027,.T.); #30632=FACE_OUTER_BOUND('',#51028,.T.); #30633=FACE_OUTER_BOUND('',#51029,.T.); #30634=FACE_OUTER_BOUND('',#51030,.T.); #30635=FACE_OUTER_BOUND('',#51031,.T.); #30636=FACE_OUTER_BOUND('',#51032,.T.); #30637=FACE_OUTER_BOUND('',#51033,.T.); #30638=FACE_OUTER_BOUND('',#51034,.T.); #30639=FACE_OUTER_BOUND('',#51035,.T.); #30640=FACE_OUTER_BOUND('',#51036,.T.); #30641=FACE_OUTER_BOUND('',#51037,.T.); #30642=FACE_OUTER_BOUND('',#51038,.T.); #30643=FACE_OUTER_BOUND('',#51039,.T.); #30644=FACE_OUTER_BOUND('',#51040,.T.); #30645=FACE_OUTER_BOUND('',#51041,.T.); #30646=FACE_OUTER_BOUND('',#51042,.T.); #30647=FACE_OUTER_BOUND('',#51043,.T.); #30648=FACE_OUTER_BOUND('',#51044,.T.); #30649=FACE_OUTER_BOUND('',#51045,.T.); #30650=FACE_OUTER_BOUND('',#51046,.T.); #30651=FACE_OUTER_BOUND('',#51047,.T.); #30652=FACE_OUTER_BOUND('',#51048,.T.); #30653=FACE_OUTER_BOUND('',#51049,.T.); #30654=FACE_OUTER_BOUND('',#51050,.T.); #30655=FACE_OUTER_BOUND('',#51051,.T.); #30656=FACE_OUTER_BOUND('',#51052,.T.); #30657=FACE_OUTER_BOUND('',#51053,.T.); #30658=FACE_OUTER_BOUND('',#51054,.T.); #30659=FACE_OUTER_BOUND('',#51055,.T.); #30660=FACE_OUTER_BOUND('',#51056,.T.); #30661=FACE_OUTER_BOUND('',#51057,.T.); #30662=FACE_OUTER_BOUND('',#51058,.T.); #30663=FACE_OUTER_BOUND('',#51065,.T.); #30664=FACE_OUTER_BOUND('',#51072,.T.); #30665=FACE_OUTER_BOUND('',#51073,.T.); #30666=FACE_OUTER_BOUND('',#51074,.T.); #30667=FACE_OUTER_BOUND('',#51075,.T.); #30668=FACE_OUTER_BOUND('',#51076,.T.); #30669=FACE_OUTER_BOUND('',#51077,.T.); #30670=FACE_OUTER_BOUND('',#51078,.T.); #30671=FACE_OUTER_BOUND('',#51079,.T.); #30672=FACE_OUTER_BOUND('',#51080,.T.); #30673=FACE_OUTER_BOUND('',#51081,.T.); #30674=FACE_OUTER_BOUND('',#51082,.T.); #30675=FACE_OUTER_BOUND('',#51083,.T.); #30676=FACE_OUTER_BOUND('',#51084,.T.); #30677=FACE_OUTER_BOUND('',#51085,.T.); #30678=FACE_OUTER_BOUND('',#51086,.T.); #30679=FACE_OUTER_BOUND('',#51087,.T.); #30680=FACE_OUTER_BOUND('',#51088,.T.); #30681=FACE_OUTER_BOUND('',#51089,.T.); #30682=FACE_OUTER_BOUND('',#51090,.T.); #30683=FACE_OUTER_BOUND('',#51091,.T.); #30684=FACE_OUTER_BOUND('',#51092,.T.); #30685=FACE_OUTER_BOUND('',#51093,.T.); #30686=FACE_OUTER_BOUND('',#51094,.T.); #30687=FACE_OUTER_BOUND('',#51095,.T.); #30688=FACE_OUTER_BOUND('',#51096,.T.); #30689=FACE_OUTER_BOUND('',#51097,.T.); #30690=FACE_OUTER_BOUND('',#51098,.T.); #30691=FACE_OUTER_BOUND('',#51099,.T.); #30692=FACE_OUTER_BOUND('',#51100,.T.); #30693=FACE_OUTER_BOUND('',#51101,.T.); #30694=FACE_OUTER_BOUND('',#51102,.T.); #30695=FACE_OUTER_BOUND('',#51103,.T.); #30696=FACE_OUTER_BOUND('',#51104,.T.); #30697=FACE_OUTER_BOUND('',#51105,.T.); #30698=FACE_OUTER_BOUND('',#51106,.T.); #30699=FACE_OUTER_BOUND('',#51107,.T.); #30700=FACE_OUTER_BOUND('',#51108,.T.); #30701=FACE_OUTER_BOUND('',#51109,.T.); #30702=FACE_OUTER_BOUND('',#51110,.T.); #30703=FACE_OUTER_BOUND('',#51111,.T.); #30704=FACE_OUTER_BOUND('',#51112,.T.); #30705=FACE_OUTER_BOUND('',#51113,.T.); #30706=FACE_OUTER_BOUND('',#51114,.T.); #30707=FACE_OUTER_BOUND('',#51115,.T.); #30708=FACE_OUTER_BOUND('',#51116,.T.); #30709=FACE_OUTER_BOUND('',#51117,.T.); #30710=FACE_OUTER_BOUND('',#51118,.T.); #30711=FACE_OUTER_BOUND('',#51119,.T.); #30712=FACE_OUTER_BOUND('',#51120,.T.); #30713=FACE_OUTER_BOUND('',#51121,.T.); #30714=FACE_OUTER_BOUND('',#51122,.T.); #30715=FACE_OUTER_BOUND('',#51123,.T.); #30716=FACE_OUTER_BOUND('',#51124,.T.); #30717=FACE_OUTER_BOUND('',#51125,.T.); #30718=FACE_OUTER_BOUND('',#51126,.T.); #30719=FACE_OUTER_BOUND('',#51127,.T.); #30720=FACE_OUTER_BOUND('',#51128,.T.); #30721=FACE_OUTER_BOUND('',#51129,.T.); #30722=FACE_OUTER_BOUND('',#51130,.T.); #30723=FACE_OUTER_BOUND('',#51131,.T.); #30724=FACE_OUTER_BOUND('',#51132,.T.); #30725=FACE_OUTER_BOUND('',#51133,.T.); #30726=FACE_OUTER_BOUND('',#51134,.T.); #30727=FACE_OUTER_BOUND('',#51135,.T.); #30728=FACE_OUTER_BOUND('',#51136,.T.); #30729=FACE_OUTER_BOUND('',#51137,.T.); #30730=FACE_OUTER_BOUND('',#51187,.T.); #30731=FACE_OUTER_BOUND('',#51237,.T.); #30732=FACE_OUTER_BOUND('',#51238,.T.); #30733=FACE_OUTER_BOUND('',#51239,.T.); #30734=FACE_OUTER_BOUND('',#51240,.T.); #30735=FACE_OUTER_BOUND('',#51241,.T.); #30736=FACE_OUTER_BOUND('',#51242,.T.); #30737=FACE_OUTER_BOUND('',#51243,.T.); #30738=FACE_OUTER_BOUND('',#51244,.T.); #30739=FACE_OUTER_BOUND('',#51245,.T.); #30740=FACE_OUTER_BOUND('',#51246,.T.); #30741=FACE_OUTER_BOUND('',#51247,.T.); #30742=FACE_OUTER_BOUND('',#51248,.T.); #30743=FACE_OUTER_BOUND('',#51249,.T.); #30744=FACE_OUTER_BOUND('',#51250,.T.); #30745=FACE_OUTER_BOUND('',#51251,.T.); #30746=FACE_OUTER_BOUND('',#51252,.T.); #30747=FACE_OUTER_BOUND('',#51253,.T.); #30748=FACE_OUTER_BOUND('',#51254,.T.); #30749=FACE_OUTER_BOUND('',#51255,.T.); #30750=FACE_OUTER_BOUND('',#51256,.T.); #30751=FACE_OUTER_BOUND('',#51257,.T.); #30752=FACE_OUTER_BOUND('',#51258,.T.); #30753=FACE_OUTER_BOUND('',#51259,.T.); #30754=FACE_OUTER_BOUND('',#51260,.T.); #30755=FACE_OUTER_BOUND('',#51261,.T.); #30756=FACE_OUTER_BOUND('',#51262,.T.); #30757=FACE_OUTER_BOUND('',#51263,.T.); #30758=FACE_OUTER_BOUND('',#51264,.T.); #30759=FACE_OUTER_BOUND('',#51265,.T.); #30760=FACE_OUTER_BOUND('',#51266,.T.); #30761=FACE_OUTER_BOUND('',#51267,.T.); #30762=FACE_OUTER_BOUND('',#51268,.T.); #30763=FACE_OUTER_BOUND('',#51269,.T.); #30764=FACE_OUTER_BOUND('',#51270,.T.); #30765=FACE_OUTER_BOUND('',#51271,.T.); #30766=FACE_OUTER_BOUND('',#51272,.T.); #30767=FACE_OUTER_BOUND('',#51273,.T.); #30768=FACE_OUTER_BOUND('',#51274,.T.); #30769=FACE_OUTER_BOUND('',#51275,.T.); #30770=FACE_OUTER_BOUND('',#51276,.T.); #30771=FACE_OUTER_BOUND('',#51277,.T.); #30772=FACE_OUTER_BOUND('',#51278,.T.); #30773=FACE_OUTER_BOUND('',#51279,.T.); #30774=FACE_OUTER_BOUND('',#51280,.T.); #30775=FACE_OUTER_BOUND('',#51281,.T.); #30776=FACE_OUTER_BOUND('',#51282,.T.); #30777=FACE_OUTER_BOUND('',#51283,.T.); #30778=FACE_OUTER_BOUND('',#51284,.T.); #30779=FACE_OUTER_BOUND('',#51285,.T.); #30780=FACE_OUTER_BOUND('',#51286,.T.); #30781=FACE_OUTER_BOUND('',#51287,.T.); #30782=FACE_OUTER_BOUND('',#51288,.T.); #30783=FACE_OUTER_BOUND('',#51289,.T.); #30784=FACE_OUTER_BOUND('',#51290,.T.); #30785=FACE_OUTER_BOUND('',#51291,.T.); #30786=FACE_OUTER_BOUND('',#51292,.T.); #30787=FACE_OUTER_BOUND('',#51293,.T.); #30788=FACE_OUTER_BOUND('',#51294,.T.); #30789=FACE_OUTER_BOUND('',#51295,.T.); #30790=FACE_OUTER_BOUND('',#51296,.T.); #30791=FACE_OUTER_BOUND('',#51297,.T.); #30792=FACE_OUTER_BOUND('',#51298,.T.); #30793=FACE_OUTER_BOUND('',#51299,.T.); #30794=FACE_OUTER_BOUND('',#51300,.T.); #30795=FACE_OUTER_BOUND('',#51301,.T.); #30796=FACE_OUTER_BOUND('',#51302,.T.); #30797=FACE_OUTER_BOUND('',#51303,.T.); #30798=FACE_OUTER_BOUND('',#51304,.T.); #30799=FACE_OUTER_BOUND('',#51305,.T.); #30800=FACE_OUTER_BOUND('',#51306,.T.); #30801=FACE_OUTER_BOUND('',#51307,.T.); #30802=FACE_OUTER_BOUND('',#51308,.T.); #30803=FACE_OUTER_BOUND('',#51309,.T.); #30804=FACE_OUTER_BOUND('',#51310,.T.); #30805=FACE_OUTER_BOUND('',#51311,.T.); #30806=FACE_OUTER_BOUND('',#51312,.T.); #30807=FACE_OUTER_BOUND('',#51313,.T.); #30808=FACE_OUTER_BOUND('',#51314,.T.); #30809=FACE_OUTER_BOUND('',#51315,.T.); #30810=FACE_OUTER_BOUND('',#51316,.T.); #30811=FACE_OUTER_BOUND('',#51317,.T.); #30812=FACE_OUTER_BOUND('',#51318,.T.); #30813=FACE_OUTER_BOUND('',#51319,.T.); #30814=FACE_OUTER_BOUND('',#51320,.T.); #30815=FACE_OUTER_BOUND('',#51321,.T.); #30816=FACE_OUTER_BOUND('',#51322,.T.); #30817=FACE_OUTER_BOUND('',#51323,.T.); #30818=FACE_OUTER_BOUND('',#51324,.T.); #30819=FACE_OUTER_BOUND('',#51325,.T.); #30820=FACE_OUTER_BOUND('',#51326,.T.); #30821=FACE_OUTER_BOUND('',#51327,.T.); #30822=FACE_OUTER_BOUND('',#51328,.T.); #30823=FACE_OUTER_BOUND('',#51329,.T.); #30824=FACE_OUTER_BOUND('',#51330,.T.); #30825=FACE_OUTER_BOUND('',#51331,.T.); #30826=FACE_OUTER_BOUND('',#51332,.T.); #30827=FACE_OUTER_BOUND('',#51333,.T.); #30828=FACE_OUTER_BOUND('',#51334,.T.); #30829=FACE_OUTER_BOUND('',#51335,.T.); #30830=FACE_OUTER_BOUND('',#51336,.T.); #30831=FACE_OUTER_BOUND('',#51337,.T.); #30832=FACE_OUTER_BOUND('',#51338,.T.); #30833=FACE_OUTER_BOUND('',#51339,.T.); #30834=FACE_OUTER_BOUND('',#51340,.T.); #30835=FACE_OUTER_BOUND('',#51341,.T.); #30836=FACE_OUTER_BOUND('',#51342,.T.); #30837=FACE_OUTER_BOUND('',#51343,.T.); #30838=FACE_OUTER_BOUND('',#51344,.T.); #30839=FACE_OUTER_BOUND('',#51345,.T.); #30840=FACE_OUTER_BOUND('',#51346,.T.); #30841=FACE_OUTER_BOUND('',#51347,.T.); #30842=FACE_OUTER_BOUND('',#51348,.T.); #30843=FACE_OUTER_BOUND('',#51349,.T.); #30844=FACE_OUTER_BOUND('',#51350,.T.); #30845=FACE_OUTER_BOUND('',#51352,.T.); #30846=FACE_OUTER_BOUND('',#51354,.T.); #30847=FACE_OUTER_BOUND('',#51355,.T.); #30848=FACE_OUTER_BOUND('',#51356,.T.); #30849=FACE_OUTER_BOUND('',#51357,.T.); #30850=FACE_OUTER_BOUND('',#51358,.T.); #30851=FACE_OUTER_BOUND('',#51359,.T.); #30852=FACE_OUTER_BOUND('',#51360,.T.); #30853=FACE_OUTER_BOUND('',#51361,.T.); #30854=FACE_OUTER_BOUND('',#51362,.T.); #30855=FACE_OUTER_BOUND('',#51363,.T.); #30856=FACE_OUTER_BOUND('',#51364,.T.); #30857=FACE_OUTER_BOUND('',#51365,.T.); #30858=FACE_OUTER_BOUND('',#51366,.T.); #30859=FACE_OUTER_BOUND('',#51367,.T.); #30860=FACE_OUTER_BOUND('',#51368,.T.); #30861=FACE_OUTER_BOUND('',#51369,.T.); #30862=FACE_OUTER_BOUND('',#51370,.T.); #30863=FACE_OUTER_BOUND('',#51371,.T.); #30864=FACE_OUTER_BOUND('',#51373,.T.); #30865=FACE_OUTER_BOUND('',#51375,.T.); #30866=FACE_OUTER_BOUND('',#51376,.T.); #30867=FACE_OUTER_BOUND('',#51377,.T.); #30868=FACE_OUTER_BOUND('',#51378,.T.); #30869=FACE_OUTER_BOUND('',#51379,.T.); #30870=FACE_OUTER_BOUND('',#51380,.T.); #30871=FACE_OUTER_BOUND('',#51381,.T.); #30872=FACE_OUTER_BOUND('',#51382,.T.); #30873=FACE_OUTER_BOUND('',#51383,.T.); #30874=FACE_OUTER_BOUND('',#51384,.T.); #30875=FACE_OUTER_BOUND('',#51385,.T.); #30876=FACE_OUTER_BOUND('',#51386,.T.); #30877=FACE_OUTER_BOUND('',#51387,.T.); #30878=FACE_OUTER_BOUND('',#51388,.T.); #30879=FACE_OUTER_BOUND('',#51389,.T.); #30880=FACE_OUTER_BOUND('',#51390,.T.); #30881=FACE_OUTER_BOUND('',#51391,.T.); #30882=FACE_OUTER_BOUND('',#51392,.T.); #30883=FACE_OUTER_BOUND('',#51393,.T.); #30884=FACE_OUTER_BOUND('',#51394,.T.); #30885=FACE_OUTER_BOUND('',#51395,.T.); #30886=FACE_OUTER_BOUND('',#51396,.T.); #30887=FACE_OUTER_BOUND('',#51397,.T.); #30888=FACE_OUTER_BOUND('',#51398,.T.); #30889=FACE_OUTER_BOUND('',#51399,.T.); #30890=FACE_OUTER_BOUND('',#51400,.T.); #30891=FACE_OUTER_BOUND('',#51401,.T.); #30892=FACE_OUTER_BOUND('',#51402,.T.); #30893=FACE_OUTER_BOUND('',#51403,.T.); #30894=FACE_OUTER_BOUND('',#51404,.T.); #30895=FACE_OUTER_BOUND('',#51405,.T.); #30896=FACE_OUTER_BOUND('',#51406,.T.); #30897=FACE_OUTER_BOUND('',#51407,.T.); #30898=FACE_OUTER_BOUND('',#51408,.T.); #30899=FACE_OUTER_BOUND('',#51409,.T.); #30900=FACE_OUTER_BOUND('',#51410,.T.); #30901=FACE_OUTER_BOUND('',#51411,.T.); #30902=FACE_OUTER_BOUND('',#51412,.T.); #30903=FACE_OUTER_BOUND('',#51413,.T.); #30904=FACE_OUTER_BOUND('',#51414,.T.); #30905=FACE_OUTER_BOUND('',#51415,.T.); #30906=FACE_OUTER_BOUND('',#51416,.T.); #30907=FACE_OUTER_BOUND('',#51417,.T.); #30908=FACE_OUTER_BOUND('',#51418,.T.); #30909=FACE_OUTER_BOUND('',#51419,.T.); #30910=FACE_OUTER_BOUND('',#51420,.T.); #30911=FACE_OUTER_BOUND('',#51421,.T.); #30912=FACE_OUTER_BOUND('',#51422,.T.); #30913=FACE_OUTER_BOUND('',#51423,.T.); #30914=FACE_OUTER_BOUND('',#51424,.T.); #30915=FACE_OUTER_BOUND('',#51425,.T.); #30916=FACE_OUTER_BOUND('',#51426,.T.); #30917=FACE_OUTER_BOUND('',#51427,.T.); #30918=FACE_OUTER_BOUND('',#51428,.T.); #30919=FACE_OUTER_BOUND('',#51429,.T.); #30920=FACE_OUTER_BOUND('',#51430,.T.); #30921=FACE_OUTER_BOUND('',#51431,.T.); #30922=FACE_OUTER_BOUND('',#51432,.T.); #30923=FACE_OUTER_BOUND('',#51433,.T.); #30924=FACE_OUTER_BOUND('',#51434,.T.); #30925=FACE_OUTER_BOUND('',#51435,.T.); #30926=FACE_OUTER_BOUND('',#51436,.T.); #30927=FACE_OUTER_BOUND('',#51437,.T.); #30928=FACE_OUTER_BOUND('',#51438,.T.); #30929=FACE_OUTER_BOUND('',#51439,.T.); #30930=FACE_OUTER_BOUND('',#51440,.T.); #30931=FACE_OUTER_BOUND('',#51441,.T.); #30932=FACE_OUTER_BOUND('',#51442,.T.); #30933=FACE_OUTER_BOUND('',#51443,.T.); #30934=FACE_OUTER_BOUND('',#51444,.T.); #30935=FACE_OUTER_BOUND('',#51445,.T.); #30936=FACE_OUTER_BOUND('',#51446,.T.); #30937=FACE_OUTER_BOUND('',#51447,.T.); #30938=FACE_OUTER_BOUND('',#51448,.T.); #30939=FACE_OUTER_BOUND('',#51449,.T.); #30940=FACE_OUTER_BOUND('',#51450,.T.); #30941=FACE_OUTER_BOUND('',#51451,.T.); #30942=FACE_OUTER_BOUND('',#51452,.T.); #30943=FACE_OUTER_BOUND('',#51453,.T.); #30944=FACE_OUTER_BOUND('',#51454,.T.); #30945=FACE_OUTER_BOUND('',#51455,.T.); #30946=FACE_OUTER_BOUND('',#51456,.T.); #30947=FACE_OUTER_BOUND('',#51457,.T.); #30948=FACE_OUTER_BOUND('',#51458,.T.); #30949=FACE_OUTER_BOUND('',#51459,.T.); #30950=FACE_OUTER_BOUND('',#51460,.T.); #30951=FACE_OUTER_BOUND('',#51462,.T.); #30952=FACE_OUTER_BOUND('',#51464,.T.); #30953=FACE_OUTER_BOUND('',#51465,.T.); #30954=FACE_OUTER_BOUND('',#51466,.T.); #30955=FACE_OUTER_BOUND('',#51467,.T.); #30956=FACE_OUTER_BOUND('',#51468,.T.); #30957=FACE_OUTER_BOUND('',#51469,.T.); #30958=FACE_OUTER_BOUND('',#51471,.T.); #30959=FACE_OUTER_BOUND('',#51473,.T.); #30960=FACE_OUTER_BOUND('',#51474,.T.); #30961=FACE_OUTER_BOUND('',#51475,.T.); #30962=FACE_OUTER_BOUND('',#51476,.T.); #30963=FACE_OUTER_BOUND('',#51477,.T.); #30964=FACE_OUTER_BOUND('',#51478,.T.); #30965=FACE_OUTER_BOUND('',#51479,.T.); #30966=FACE_OUTER_BOUND('',#51480,.T.); #30967=FACE_OUTER_BOUND('',#51481,.T.); #30968=FACE_OUTER_BOUND('',#51482,.T.); #30969=FACE_OUTER_BOUND('',#51483,.T.); #30970=FACE_OUTER_BOUND('',#51484,.T.); #30971=FACE_OUTER_BOUND('',#51485,.T.); #30972=FACE_OUTER_BOUND('',#51486,.T.); #30973=FACE_OUTER_BOUND('',#51487,.T.); #30974=FACE_OUTER_BOUND('',#51488,.T.); #30975=FACE_OUTER_BOUND('',#51489,.T.); #30976=FACE_OUTER_BOUND('',#51490,.T.); #30977=FACE_OUTER_BOUND('',#51491,.T.); #30978=FACE_OUTER_BOUND('',#51492,.T.); #30979=FACE_OUTER_BOUND('',#51493,.T.); #30980=FACE_OUTER_BOUND('',#51494,.T.); #30981=FACE_OUTER_BOUND('',#51495,.T.); #30982=FACE_OUTER_BOUND('',#51496,.T.); #30983=FACE_OUTER_BOUND('',#51497,.T.); #30984=FACE_OUTER_BOUND('',#51498,.T.); #30985=FACE_OUTER_BOUND('',#51499,.T.); #30986=FACE_OUTER_BOUND('',#51500,.T.); #30987=FACE_OUTER_BOUND('',#51501,.T.); #30988=FACE_OUTER_BOUND('',#51502,.T.); #30989=FACE_OUTER_BOUND('',#51503,.T.); #30990=FACE_OUTER_BOUND('',#51504,.T.); #30991=FACE_OUTER_BOUND('',#51505,.T.); #30992=FACE_OUTER_BOUND('',#51506,.T.); #30993=FACE_OUTER_BOUND('',#51507,.T.); #30994=FACE_OUTER_BOUND('',#51508,.T.); #30995=FACE_OUTER_BOUND('',#51510,.T.); #30996=FACE_OUTER_BOUND('',#51512,.T.); #30997=FACE_OUTER_BOUND('',#51513,.T.); #30998=FACE_OUTER_BOUND('',#51514,.T.); #30999=FACE_OUTER_BOUND('',#51515,.T.); #31000=FACE_OUTER_BOUND('',#51516,.T.); #31001=FACE_OUTER_BOUND('',#51517,.T.); #31002=FACE_OUTER_BOUND('',#51519,.T.); #31003=FACE_OUTER_BOUND('',#51521,.T.); #31004=FACE_OUTER_BOUND('',#51522,.T.); #31005=FACE_OUTER_BOUND('',#51523,.T.); #31006=FACE_OUTER_BOUND('',#51524,.T.); #31007=FACE_OUTER_BOUND('',#51525,.T.); #31008=FACE_OUTER_BOUND('',#51526,.T.); #31009=FACE_OUTER_BOUND('',#51527,.T.); #31010=FACE_OUTER_BOUND('',#51528,.T.); #31011=FACE_OUTER_BOUND('',#51529,.T.); #31012=FACE_OUTER_BOUND('',#51530,.T.); #31013=FACE_OUTER_BOUND('',#51531,.T.); #31014=FACE_OUTER_BOUND('',#51532,.T.); #31015=FACE_OUTER_BOUND('',#51533,.T.); #31016=FACE_OUTER_BOUND('',#51534,.T.); #31017=FACE_OUTER_BOUND('',#51535,.T.); #31018=FACE_OUTER_BOUND('',#51536,.T.); #31019=FACE_OUTER_BOUND('',#51537,.T.); #31020=FACE_OUTER_BOUND('',#51538,.T.); #31021=FACE_OUTER_BOUND('',#51539,.T.); #31022=FACE_OUTER_BOUND('',#51540,.T.); #31023=FACE_OUTER_BOUND('',#51541,.T.); #31024=FACE_OUTER_BOUND('',#51542,.T.); #31025=FACE_OUTER_BOUND('',#51543,.T.); #31026=FACE_OUTER_BOUND('',#51544,.T.); #31027=FACE_OUTER_BOUND('',#51545,.T.); #31028=FACE_OUTER_BOUND('',#51546,.T.); #31029=FACE_OUTER_BOUND('',#51547,.T.); #31030=FACE_OUTER_BOUND('',#51548,.T.); #31031=FACE_OUTER_BOUND('',#51549,.T.); #31032=FACE_OUTER_BOUND('',#51550,.T.); #31033=FACE_OUTER_BOUND('',#51551,.T.); #31034=FACE_OUTER_BOUND('',#51552,.T.); #31035=FACE_OUTER_BOUND('',#51553,.T.); #31036=FACE_OUTER_BOUND('',#51554,.T.); #31037=FACE_OUTER_BOUND('',#51555,.T.); #31038=FACE_OUTER_BOUND('',#51556,.T.); #31039=FACE_OUTER_BOUND('',#51557,.T.); #31040=FACE_OUTER_BOUND('',#51558,.T.); #31041=FACE_OUTER_BOUND('',#51559,.T.); #31042=FACE_OUTER_BOUND('',#51560,.T.); #31043=FACE_OUTER_BOUND('',#51561,.T.); #31044=FACE_OUTER_BOUND('',#51562,.T.); #31045=FACE_OUTER_BOUND('',#51563,.T.); #31046=FACE_OUTER_BOUND('',#51564,.T.); #31047=FACE_OUTER_BOUND('',#51565,.T.); #31048=FACE_OUTER_BOUND('',#51566,.T.); #31049=FACE_OUTER_BOUND('',#51567,.T.); #31050=FACE_OUTER_BOUND('',#51568,.T.); #31051=FACE_OUTER_BOUND('',#51569,.T.); #31052=FACE_OUTER_BOUND('',#51570,.T.); #31053=FACE_OUTER_BOUND('',#51571,.T.); #31054=FACE_OUTER_BOUND('',#51572,.T.); #31055=FACE_OUTER_BOUND('',#51573,.T.); #31056=FACE_OUTER_BOUND('',#51574,.T.); #31057=FACE_OUTER_BOUND('',#51575,.T.); #31058=FACE_OUTER_BOUND('',#51576,.T.); #31059=FACE_OUTER_BOUND('',#51577,.T.); #31060=FACE_OUTER_BOUND('',#51578,.T.); #31061=FACE_OUTER_BOUND('',#51579,.T.); #31062=FACE_OUTER_BOUND('',#51580,.T.); #31063=FACE_OUTER_BOUND('',#51581,.T.); #31064=FACE_OUTER_BOUND('',#51582,.T.); #31065=FACE_OUTER_BOUND('',#51583,.T.); #31066=FACE_OUTER_BOUND('',#51584,.T.); #31067=FACE_OUTER_BOUND('',#51585,.T.); #31068=FACE_OUTER_BOUND('',#51586,.T.); #31069=FACE_OUTER_BOUND('',#51587,.T.); #31070=FACE_OUTER_BOUND('',#51588,.T.); #31071=FACE_OUTER_BOUND('',#51589,.T.); #31072=FACE_OUTER_BOUND('',#51590,.T.); #31073=FACE_OUTER_BOUND('',#51591,.T.); #31074=FACE_OUTER_BOUND('',#51592,.T.); #31075=FACE_OUTER_BOUND('',#51593,.T.); #31076=FACE_OUTER_BOUND('',#51594,.T.); #31077=FACE_OUTER_BOUND('',#51595,.T.); #31078=FACE_OUTER_BOUND('',#51596,.T.); #31079=FACE_OUTER_BOUND('',#51597,.T.); #31080=FACE_OUTER_BOUND('',#51604,.T.); #31081=FACE_OUTER_BOUND('',#51611,.T.); #31082=FACE_OUTER_BOUND('',#51612,.T.); #31083=FACE_OUTER_BOUND('',#51613,.T.); #31084=FACE_OUTER_BOUND('',#51614,.T.); #31085=FACE_OUTER_BOUND('',#51615,.T.); #31086=FACE_OUTER_BOUND('',#51616,.T.); #31087=FACE_OUTER_BOUND('',#51617,.T.); #31088=FACE_OUTER_BOUND('',#51618,.T.); #31089=FACE_OUTER_BOUND('',#51619,.T.); #31090=FACE_OUTER_BOUND('',#51620,.T.); #31091=FACE_OUTER_BOUND('',#51621,.T.); #31092=FACE_OUTER_BOUND('',#51622,.T.); #31093=FACE_OUTER_BOUND('',#51623,.T.); #31094=FACE_OUTER_BOUND('',#51624,.T.); #31095=FACE_OUTER_BOUND('',#51625,.T.); #31096=FACE_OUTER_BOUND('',#51626,.T.); #31097=FACE_OUTER_BOUND('',#51627,.T.); #31098=FACE_OUTER_BOUND('',#51628,.T.); #31099=FACE_OUTER_BOUND('',#51629,.T.); #31100=FACE_OUTER_BOUND('',#51630,.T.); #31101=FACE_OUTER_BOUND('',#51631,.T.); #31102=FACE_OUTER_BOUND('',#51632,.T.); #31103=FACE_OUTER_BOUND('',#51633,.T.); #31104=FACE_OUTER_BOUND('',#51634,.T.); #31105=FACE_OUTER_BOUND('',#51635,.T.); #31106=FACE_OUTER_BOUND('',#51636,.T.); #31107=FACE_OUTER_BOUND('',#51637,.T.); #31108=FACE_OUTER_BOUND('',#51638,.T.); #31109=FACE_OUTER_BOUND('',#51639,.T.); #31110=FACE_OUTER_BOUND('',#51640,.T.); #31111=FACE_OUTER_BOUND('',#51641,.T.); #31112=FACE_OUTER_BOUND('',#51644,.T.); #31113=FACE_OUTER_BOUND('',#51645,.T.); #31114=FACE_OUTER_BOUND('',#51646,.T.); #31115=FACE_OUTER_BOUND('',#51649,.T.); #31116=FACE_OUTER_BOUND('',#51650,.T.); #31117=FACE_OUTER_BOUND('',#51651,.T.); #31118=FACE_OUTER_BOUND('',#51652,.T.); #31119=FACE_OUTER_BOUND('',#51653,.T.); #31120=FACE_OUTER_BOUND('',#51654,.T.); #31121=FACE_OUTER_BOUND('',#51655,.T.); #31122=FACE_OUTER_BOUND('',#51656,.T.); #31123=FACE_OUTER_BOUND('',#51657,.T.); #31124=FACE_OUTER_BOUND('',#51658,.T.); #31125=FACE_OUTER_BOUND('',#51659,.T.); #31126=FACE_OUTER_BOUND('',#51660,.T.); #31127=FACE_OUTER_BOUND('',#51661,.T.); #31128=FACE_OUTER_BOUND('',#51662,.T.); #31129=FACE_OUTER_BOUND('',#51663,.T.); #31130=FACE_OUTER_BOUND('',#51664,.T.); #31131=FACE_OUTER_BOUND('',#51665,.T.); #31132=FACE_OUTER_BOUND('',#51666,.T.); #31133=FACE_OUTER_BOUND('',#51667,.T.); #31134=FACE_OUTER_BOUND('',#51668,.T.); #31135=FACE_OUTER_BOUND('',#51669,.T.); #31136=FACE_OUTER_BOUND('',#51670,.T.); #31137=FACE_OUTER_BOUND('',#51671,.T.); #31138=FACE_OUTER_BOUND('',#51672,.T.); #31139=FACE_OUTER_BOUND('',#51673,.T.); #31140=FACE_OUTER_BOUND('',#51674,.T.); #31141=FACE_OUTER_BOUND('',#51675,.T.); #31142=FACE_OUTER_BOUND('',#51676,.T.); #31143=FACE_OUTER_BOUND('',#51677,.T.); #31144=FACE_OUTER_BOUND('',#51678,.T.); #31145=FACE_OUTER_BOUND('',#51679,.T.); #31146=FACE_OUTER_BOUND('',#51680,.T.); #31147=FACE_OUTER_BOUND('',#51681,.T.); #31148=FACE_OUTER_BOUND('',#51682,.T.); #31149=FACE_OUTER_BOUND('',#51683,.T.); #31150=FACE_OUTER_BOUND('',#51684,.T.); #31151=FACE_OUTER_BOUND('',#51685,.T.); #31152=FACE_OUTER_BOUND('',#51686,.T.); #31153=FACE_OUTER_BOUND('',#51687,.T.); #31154=FACE_OUTER_BOUND('',#51688,.T.); #31155=FACE_OUTER_BOUND('',#51689,.T.); #31156=FACE_OUTER_BOUND('',#51690,.T.); #31157=FACE_OUTER_BOUND('',#51691,.T.); #31158=FACE_OUTER_BOUND('',#51692,.T.); #31159=FACE_OUTER_BOUND('',#51693,.T.); #31160=FACE_OUTER_BOUND('',#51694,.T.); #31161=FACE_OUTER_BOUND('',#51695,.T.); #31162=FACE_OUTER_BOUND('',#51696,.T.); #31163=FACE_OUTER_BOUND('',#51697,.T.); #31164=FACE_OUTER_BOUND('',#51698,.T.); #31165=FACE_OUTER_BOUND('',#51699,.T.); #31166=FACE_OUTER_BOUND('',#51700,.T.); #31167=FACE_OUTER_BOUND('',#51701,.T.); #31168=FACE_OUTER_BOUND('',#51702,.T.); #31169=FACE_OUTER_BOUND('',#51703,.T.); #31170=FACE_OUTER_BOUND('',#51704,.T.); #31171=FACE_OUTER_BOUND('',#51705,.T.); #31172=FACE_OUTER_BOUND('',#51706,.T.); #31173=FACE_OUTER_BOUND('',#51707,.T.); #31174=FACE_OUTER_BOUND('',#51708,.T.); #31175=FACE_OUTER_BOUND('',#51709,.T.); #31176=FACE_OUTER_BOUND('',#51710,.T.); #31177=FACE_OUTER_BOUND('',#51711,.T.); #31178=FACE_OUTER_BOUND('',#51712,.T.); #31179=FACE_OUTER_BOUND('',#51713,.T.); #31180=FACE_OUTER_BOUND('',#51714,.T.); #31181=FACE_OUTER_BOUND('',#51715,.T.); #31182=FACE_OUTER_BOUND('',#51716,.T.); #31183=FACE_OUTER_BOUND('',#51717,.T.); #31184=FACE_OUTER_BOUND('',#51718,.T.); #31185=FACE_OUTER_BOUND('',#51719,.T.); #31186=FACE_OUTER_BOUND('',#51720,.T.); #31187=FACE_OUTER_BOUND('',#51721,.T.); #31188=FACE_OUTER_BOUND('',#51722,.T.); #31189=FACE_OUTER_BOUND('',#51723,.T.); #31190=FACE_OUTER_BOUND('',#51724,.T.); #31191=FACE_OUTER_BOUND('',#51725,.T.); #31192=FACE_OUTER_BOUND('',#51726,.T.); #31193=FACE_OUTER_BOUND('',#51727,.T.); #31194=FACE_OUTER_BOUND('',#51728,.T.); #31195=FACE_OUTER_BOUND('',#51729,.T.); #31196=FACE_OUTER_BOUND('',#51730,.T.); #31197=FACE_OUTER_BOUND('',#51731,.T.); #31198=FACE_OUTER_BOUND('',#51732,.T.); #31199=FACE_OUTER_BOUND('',#51733,.T.); #31200=FACE_OUTER_BOUND('',#51734,.T.); #31201=FACE_OUTER_BOUND('',#51735,.T.); #31202=FACE_OUTER_BOUND('',#51736,.T.); #31203=FACE_OUTER_BOUND('',#51737,.T.); #31204=FACE_OUTER_BOUND('',#51738,.T.); #31205=FACE_OUTER_BOUND('',#51739,.T.); #31206=FACE_OUTER_BOUND('',#51740,.T.); #31207=FACE_OUTER_BOUND('',#51741,.T.); #31208=FACE_OUTER_BOUND('',#51742,.T.); #31209=FACE_OUTER_BOUND('',#51743,.T.); #31210=FACE_OUTER_BOUND('',#51744,.T.); #31211=FACE_OUTER_BOUND('',#51745,.T.); #31212=FACE_OUTER_BOUND('',#51746,.T.); #31213=FACE_OUTER_BOUND('',#51747,.T.); #31214=FACE_OUTER_BOUND('',#51748,.T.); #31215=FACE_OUTER_BOUND('',#51749,.T.); #31216=FACE_OUTER_BOUND('',#51750,.T.); #31217=FACE_OUTER_BOUND('',#51751,.T.); #31218=FACE_OUTER_BOUND('',#51752,.T.); #31219=FACE_OUTER_BOUND('',#51753,.T.); #31220=FACE_OUTER_BOUND('',#51754,.T.); #31221=FACE_OUTER_BOUND('',#51755,.T.); #31222=FACE_OUTER_BOUND('',#51756,.T.); #31223=FACE_OUTER_BOUND('',#51757,.T.); #31224=FACE_OUTER_BOUND('',#51758,.T.); #31225=FACE_OUTER_BOUND('',#51759,.T.); #31226=FACE_OUTER_BOUND('',#51760,.T.); #31227=FACE_OUTER_BOUND('',#51761,.T.); #31228=FACE_OUTER_BOUND('',#51762,.T.); #31229=FACE_OUTER_BOUND('',#51763,.T.); #31230=FACE_OUTER_BOUND('',#51764,.T.); #31231=FACE_OUTER_BOUND('',#51765,.T.); #31232=FACE_OUTER_BOUND('',#51766,.T.); #31233=FACE_OUTER_BOUND('',#51767,.T.); #31234=FACE_OUTER_BOUND('',#51768,.T.); #31235=FACE_OUTER_BOUND('',#51769,.T.); #31236=FACE_OUTER_BOUND('',#51770,.T.); #31237=FACE_OUTER_BOUND('',#51771,.T.); #31238=FACE_OUTER_BOUND('',#51772,.T.); #31239=FACE_OUTER_BOUND('',#51773,.T.); #31240=FACE_OUTER_BOUND('',#51774,.T.); #31241=FACE_OUTER_BOUND('',#51775,.T.); #31242=FACE_OUTER_BOUND('',#51776,.T.); #31243=FACE_OUTER_BOUND('',#51777,.T.); #31244=FACE_OUTER_BOUND('',#51778,.T.); #31245=FACE_OUTER_BOUND('',#51779,.T.); #31246=FACE_OUTER_BOUND('',#51781,.T.); #31247=FACE_OUTER_BOUND('',#51783,.T.); #31248=FACE_OUTER_BOUND('',#51784,.T.); #31249=FACE_OUTER_BOUND('',#51785,.T.); #31250=FACE_OUTER_BOUND('',#51786,.T.); #31251=FACE_OUTER_BOUND('',#51787,.T.); #31252=FACE_OUTER_BOUND('',#51788,.T.); #31253=FACE_OUTER_BOUND('',#51789,.T.); #31254=FACE_OUTER_BOUND('',#51790,.T.); #31255=FACE_OUTER_BOUND('',#51791,.T.); #31256=FACE_OUTER_BOUND('',#51792,.T.); #31257=FACE_OUTER_BOUND('',#51793,.T.); #31258=FACE_OUTER_BOUND('',#51794,.T.); #31259=FACE_OUTER_BOUND('',#51795,.T.); #31260=FACE_OUTER_BOUND('',#51796,.T.); #31261=FACE_OUTER_BOUND('',#51797,.T.); #31262=FACE_OUTER_BOUND('',#51798,.T.); #31263=FACE_OUTER_BOUND('',#51799,.T.); #31264=FACE_OUTER_BOUND('',#51800,.T.); #31265=FACE_OUTER_BOUND('',#51801,.T.); #31266=FACE_OUTER_BOUND('',#51804,.T.); #31267=FACE_OUTER_BOUND('',#51807,.T.); #31268=FACE_OUTER_BOUND('',#51808,.T.); #31269=FACE_OUTER_BOUND('',#51809,.T.); #31270=FACE_OUTER_BOUND('',#51810,.T.); #31271=FACE_OUTER_BOUND('',#51811,.T.); #31272=FACE_OUTER_BOUND('',#51812,.T.); #31273=FACE_OUTER_BOUND('',#51813,.T.); #31274=FACE_OUTER_BOUND('',#51814,.T.); #31275=FACE_OUTER_BOUND('',#51815,.T.); #31276=FACE_OUTER_BOUND('',#51816,.T.); #31277=FACE_OUTER_BOUND('',#51817,.T.); #31278=FACE_OUTER_BOUND('',#51818,.T.); #31279=FACE_OUTER_BOUND('',#51819,.T.); #31280=FACE_OUTER_BOUND('',#51822,.T.); #31281=FACE_OUTER_BOUND('',#51825,.T.); #31282=FACE_OUTER_BOUND('',#51826,.T.); #31283=FACE_OUTER_BOUND('',#51827,.T.); #31284=FACE_OUTER_BOUND('',#51828,.T.); #31285=FACE_OUTER_BOUND('',#51829,.T.); #31286=FACE_OUTER_BOUND('',#51830,.T.); #31287=FACE_OUTER_BOUND('',#51831,.T.); #31288=FACE_OUTER_BOUND('',#51832,.T.); #31289=FACE_OUTER_BOUND('',#51833,.T.); #31290=FACE_OUTER_BOUND('',#51834,.T.); #31291=FACE_OUTER_BOUND('',#51835,.T.); #31292=FACE_OUTER_BOUND('',#51836,.T.); #31293=FACE_OUTER_BOUND('',#51837,.T.); #31294=FACE_OUTER_BOUND('',#51840,.T.); #31295=FACE_OUTER_BOUND('',#51843,.T.); #31296=FACE_OUTER_BOUND('',#51844,.T.); #31297=FACE_OUTER_BOUND('',#51845,.T.); #31298=FACE_OUTER_BOUND('',#51846,.T.); #31299=FACE_OUTER_BOUND('',#51847,.T.); #31300=FACE_OUTER_BOUND('',#51848,.T.); #31301=FACE_OUTER_BOUND('',#51849,.T.); #31302=FACE_OUTER_BOUND('',#51850,.T.); #31303=FACE_OUTER_BOUND('',#51851,.T.); #31304=FACE_OUTER_BOUND('',#51852,.T.); #31305=FACE_OUTER_BOUND('',#51853,.T.); #31306=FACE_OUTER_BOUND('',#51854,.T.); #31307=FACE_OUTER_BOUND('',#51855,.T.); #31308=FACE_OUTER_BOUND('',#51858,.T.); #31309=FACE_OUTER_BOUND('',#51861,.T.); #31310=FACE_OUTER_BOUND('',#51862,.T.); #31311=FACE_OUTER_BOUND('',#51863,.T.); #31312=FACE_OUTER_BOUND('',#51864,.T.); #31313=FACE_OUTER_BOUND('',#51865,.T.); #31314=FACE_OUTER_BOUND('',#51866,.T.); #31315=FACE_OUTER_BOUND('',#51867,.T.); #31316=FACE_OUTER_BOUND('',#51868,.T.); #31317=FACE_OUTER_BOUND('',#51869,.T.); #31318=FACE_OUTER_BOUND('',#51870,.T.); #31319=FACE_OUTER_BOUND('',#51871,.T.); #31320=FACE_OUTER_BOUND('',#51872,.T.); #31321=FACE_OUTER_BOUND('',#51873,.T.); #31322=FACE_OUTER_BOUND('',#51874,.T.); #31323=FACE_OUTER_BOUND('',#51875,.T.); #31324=FACE_OUTER_BOUND('',#51876,.T.); #31325=FACE_OUTER_BOUND('',#51877,.T.); #31326=FACE_OUTER_BOUND('',#51878,.T.); #31327=FACE_OUTER_BOUND('',#51879,.T.); #31328=FACE_OUTER_BOUND('',#51880,.T.); #31329=FACE_OUTER_BOUND('',#51881,.T.); #31330=FACE_OUTER_BOUND('',#51882,.T.); #31331=FACE_OUTER_BOUND('',#51883,.T.); #31332=FACE_OUTER_BOUND('',#51884,.T.); #31333=FACE_OUTER_BOUND('',#51885,.T.); #31334=FACE_OUTER_BOUND('',#51886,.T.); #31335=FACE_OUTER_BOUND('',#51887,.T.); #31336=FACE_OUTER_BOUND('',#51888,.T.); #31337=FACE_OUTER_BOUND('',#51889,.T.); #31338=FACE_OUTER_BOUND('',#51890,.T.); #31339=FACE_OUTER_BOUND('',#51891,.T.); #31340=FACE_OUTER_BOUND('',#51892,.T.); #31341=FACE_OUTER_BOUND('',#51893,.T.); #31342=FACE_OUTER_BOUND('',#51894,.T.); #31343=FACE_OUTER_BOUND('',#51895,.T.); #31344=FACE_OUTER_BOUND('',#51896,.T.); #31345=FACE_OUTER_BOUND('',#51897,.T.); #31346=FACE_OUTER_BOUND('',#51898,.T.); #31347=FACE_OUTER_BOUND('',#51899,.T.); #31348=FACE_OUTER_BOUND('',#51900,.T.); #31349=FACE_OUTER_BOUND('',#51901,.T.); #31350=FACE_OUTER_BOUND('',#51902,.T.); #31351=FACE_OUTER_BOUND('',#51903,.T.); #31352=FACE_OUTER_BOUND('',#51904,.T.); #31353=FACE_OUTER_BOUND('',#51905,.T.); #31354=FACE_OUTER_BOUND('',#51906,.T.); #31355=FACE_OUTER_BOUND('',#51907,.T.); #31356=FACE_OUTER_BOUND('',#51908,.T.); #31357=FACE_OUTER_BOUND('',#51909,.T.); #31358=FACE_OUTER_BOUND('',#51910,.T.); #31359=FACE_OUTER_BOUND('',#51911,.T.); #31360=FACE_OUTER_BOUND('',#51912,.T.); #31361=FACE_OUTER_BOUND('',#51913,.T.); #31362=FACE_OUTER_BOUND('',#51914,.T.); #31363=FACE_OUTER_BOUND('',#51915,.T.); #31364=FACE_OUTER_BOUND('',#51916,.T.); #31365=FACE_OUTER_BOUND('',#51917,.T.); #31366=FACE_OUTER_BOUND('',#51918,.T.); #31367=FACE_OUTER_BOUND('',#51919,.T.); #31368=FACE_OUTER_BOUND('',#51920,.T.); #31369=FACE_OUTER_BOUND('',#51921,.T.); #31370=FACE_OUTER_BOUND('',#51922,.T.); #31371=FACE_OUTER_BOUND('',#51923,.T.); #31372=FACE_OUTER_BOUND('',#51924,.T.); #31373=FACE_OUTER_BOUND('',#51925,.T.); #31374=FACE_OUTER_BOUND('',#51926,.T.); #31375=FACE_OUTER_BOUND('',#51927,.T.); #31376=FACE_OUTER_BOUND('',#51928,.T.); #31377=FACE_OUTER_BOUND('',#51929,.T.); #31378=FACE_OUTER_BOUND('',#51930,.T.); #31379=FACE_OUTER_BOUND('',#51931,.T.); #31380=FACE_OUTER_BOUND('',#51932,.T.); #31381=FACE_OUTER_BOUND('',#51933,.T.); #31382=FACE_OUTER_BOUND('',#51934,.T.); #31383=FACE_OUTER_BOUND('',#51935,.T.); #31384=FACE_OUTER_BOUND('',#51936,.T.); #31385=FACE_OUTER_BOUND('',#51937,.T.); #31386=FACE_OUTER_BOUND('',#51940,.T.); #31387=FACE_OUTER_BOUND('',#51943,.T.); #31388=FACE_OUTER_BOUND('',#51944,.T.); #31389=FACE_OUTER_BOUND('',#51945,.T.); #31390=FACE_OUTER_BOUND('',#51946,.T.); #31391=FACE_OUTER_BOUND('',#51947,.T.); #31392=FACE_OUTER_BOUND('',#51948,.T.); #31393=FACE_OUTER_BOUND('',#51949,.T.); #31394=FACE_OUTER_BOUND('',#51950,.T.); #31395=FACE_OUTER_BOUND('',#51951,.T.); #31396=FACE_OUTER_BOUND('',#51952,.T.); #31397=FACE_OUTER_BOUND('',#51953,.T.); #31398=FACE_OUTER_BOUND('',#51954,.T.); #31399=FACE_OUTER_BOUND('',#51956,.T.); #31400=FACE_OUTER_BOUND('',#51958,.T.); #31401=FACE_OUTER_BOUND('',#51959,.T.); #31402=FACE_OUTER_BOUND('',#51960,.T.); #31403=FACE_OUTER_BOUND('',#51961,.T.); #31404=FACE_OUTER_BOUND('',#51962,.T.); #31405=FACE_OUTER_BOUND('',#51963,.T.); #31406=FACE_OUTER_BOUND('',#51964,.T.); #31407=FACE_OUTER_BOUND('',#51965,.T.); #31408=FACE_OUTER_BOUND('',#51966,.T.); #31409=FACE_OUTER_BOUND('',#51967,.T.); #31410=FACE_OUTER_BOUND('',#51968,.T.); #31411=FACE_OUTER_BOUND('',#51969,.T.); #31412=FACE_OUTER_BOUND('',#51970,.T.); #31413=FACE_OUTER_BOUND('',#51971,.T.); #31414=FACE_OUTER_BOUND('',#51972,.T.); #31415=FACE_OUTER_BOUND('',#51974,.T.); #31416=FACE_OUTER_BOUND('',#51976,.T.); #31417=FACE_OUTER_BOUND('',#51977,.T.); #31418=FACE_OUTER_BOUND('',#51978,.T.); #31419=FACE_OUTER_BOUND('',#51979,.T.); #31420=FACE_OUTER_BOUND('',#51980,.T.); #31421=FACE_OUTER_BOUND('',#51981,.T.); #31422=FACE_OUTER_BOUND('',#51982,.T.); #31423=FACE_OUTER_BOUND('',#51983,.T.); #31424=FACE_OUTER_BOUND('',#51984,.T.); #31425=FACE_OUTER_BOUND('',#51985,.T.); #31426=FACE_OUTER_BOUND('',#51986,.T.); #31427=FACE_OUTER_BOUND('',#51987,.T.); #31428=FACE_OUTER_BOUND('',#51988,.T.); #31429=FACE_OUTER_BOUND('',#51989,.T.); #31430=FACE_OUTER_BOUND('',#51990,.T.); #31431=FACE_OUTER_BOUND('',#51991,.T.); #31432=FACE_OUTER_BOUND('',#51992,.T.); #31433=FACE_OUTER_BOUND('',#51993,.T.); #31434=FACE_OUTER_BOUND('',#51994,.T.); #31435=FACE_OUTER_BOUND('',#51995,.T.); #31436=FACE_OUTER_BOUND('',#51996,.T.); #31437=FACE_OUTER_BOUND('',#51997,.T.); #31438=FACE_OUTER_BOUND('',#51998,.T.); #31439=FACE_OUTER_BOUND('',#51999,.T.); #31440=FACE_OUTER_BOUND('',#52000,.T.); #31441=FACE_OUTER_BOUND('',#52001,.T.); #31442=FACE_OUTER_BOUND('',#52002,.T.); #31443=FACE_OUTER_BOUND('',#52003,.T.); #31444=FACE_OUTER_BOUND('',#52004,.T.); #31445=FACE_OUTER_BOUND('',#52005,.T.); #31446=FACE_OUTER_BOUND('',#52006,.T.); #31447=FACE_OUTER_BOUND('',#52007,.T.); #31448=FACE_OUTER_BOUND('',#52008,.T.); #31449=FACE_OUTER_BOUND('',#52009,.T.); #31450=FACE_OUTER_BOUND('',#52010,.T.); #31451=FACE_OUTER_BOUND('',#52011,.T.); #31452=FACE_OUTER_BOUND('',#52012,.T.); #31453=FACE_OUTER_BOUND('',#52013,.T.); #31454=FACE_OUTER_BOUND('',#52014,.T.); #31455=FACE_OUTER_BOUND('',#52015,.T.); #31456=FACE_OUTER_BOUND('',#52016,.T.); #31457=FACE_OUTER_BOUND('',#52017,.T.); #31458=FACE_OUTER_BOUND('',#52018,.T.); #31459=FACE_OUTER_BOUND('',#52019,.T.); #31460=FACE_OUTER_BOUND('',#52020,.T.); #31461=FACE_OUTER_BOUND('',#52021,.T.); #31462=FACE_OUTER_BOUND('',#52022,.T.); #31463=FACE_OUTER_BOUND('',#52025,.T.); #31464=FACE_OUTER_BOUND('',#52028,.T.); #31465=FACE_OUTER_BOUND('',#52029,.T.); #31466=FACE_OUTER_BOUND('',#52030,.T.); #31467=FACE_OUTER_BOUND('',#52031,.T.); #31468=FACE_OUTER_BOUND('',#52032,.T.); #31469=FACE_OUTER_BOUND('',#52033,.T.); #31470=FACE_OUTER_BOUND('',#52034,.T.); #31471=FACE_OUTER_BOUND('',#52035,.T.); #31472=FACE_OUTER_BOUND('',#52036,.T.); #31473=FACE_OUTER_BOUND('',#52037,.T.); #31474=FACE_OUTER_BOUND('',#52038,.T.); #31475=FACE_OUTER_BOUND('',#52039,.T.); #31476=FACE_OUTER_BOUND('',#52040,.T.); #31477=FACE_OUTER_BOUND('',#52041,.T.); #31478=FACE_OUTER_BOUND('',#52044,.T.); #31479=FACE_OUTER_BOUND('',#52047,.T.); #31480=FACE_OUTER_BOUND('',#52048,.T.); #31481=FACE_OUTER_BOUND('',#52049,.T.); #31482=FACE_OUTER_BOUND('',#52050,.T.); #31483=FACE_OUTER_BOUND('',#52051,.T.); #31484=FACE_OUTER_BOUND('',#52052,.T.); #31485=FACE_OUTER_BOUND('',#52053,.T.); #31486=FACE_OUTER_BOUND('',#52054,.T.); #31487=FACE_OUTER_BOUND('',#52055,.T.); #31488=FACE_OUTER_BOUND('',#52056,.T.); #31489=FACE_OUTER_BOUND('',#52057,.T.); #31490=FACE_OUTER_BOUND('',#52058,.T.); #31491=FACE_OUTER_BOUND('',#52059,.T.); #31492=FACE_OUTER_BOUND('',#52060,.T.); #31493=FACE_OUTER_BOUND('',#52061,.T.); #31494=FACE_OUTER_BOUND('',#52062,.T.); #31495=FACE_OUTER_BOUND('',#52063,.T.); #31496=FACE_OUTER_BOUND('',#52064,.T.); #31497=FACE_OUTER_BOUND('',#52065,.T.); #31498=FACE_OUTER_BOUND('',#52066,.T.); #31499=FACE_OUTER_BOUND('',#52067,.T.); #31500=FACE_OUTER_BOUND('',#52068,.T.); #31501=FACE_OUTER_BOUND('',#52069,.T.); #31502=FACE_OUTER_BOUND('',#52070,.T.); #31503=FACE_OUTER_BOUND('',#52071,.T.); #31504=FACE_OUTER_BOUND('',#52072,.T.); #31505=FACE_OUTER_BOUND('',#52074,.T.); #31506=FACE_OUTER_BOUND('',#52076,.T.); #31507=FACE_OUTER_BOUND('',#52077,.T.); #31508=FACE_OUTER_BOUND('',#52078,.T.); #31509=FACE_OUTER_BOUND('',#52079,.T.); #31510=FACE_OUTER_BOUND('',#52080,.T.); #31511=FACE_OUTER_BOUND('',#52081,.T.); #31512=FACE_OUTER_BOUND('',#52082,.T.); #31513=FACE_OUTER_BOUND('',#52083,.T.); #31514=FACE_OUTER_BOUND('',#52084,.T.); #31515=FACE_OUTER_BOUND('',#52085,.T.); #31516=FACE_OUTER_BOUND('',#52086,.T.); #31517=FACE_OUTER_BOUND('',#52087,.T.); #31518=FACE_OUTER_BOUND('',#52088,.T.); #31519=FACE_OUTER_BOUND('',#52089,.T.); #31520=FACE_OUTER_BOUND('',#52090,.T.); #31521=FACE_OUTER_BOUND('',#52091,.T.); #31522=FACE_OUTER_BOUND('',#52092,.T.); #31523=FACE_OUTER_BOUND('',#52093,.T.); #31524=FACE_OUTER_BOUND('',#52094,.T.); #31525=FACE_OUTER_BOUND('',#52095,.T.); #31526=FACE_OUTER_BOUND('',#52096,.T.); #31527=FACE_OUTER_BOUND('',#52097,.T.); #31528=FACE_OUTER_BOUND('',#52098,.T.); #31529=FACE_OUTER_BOUND('',#52099,.T.); #31530=FACE_OUTER_BOUND('',#52100,.T.); #31531=FACE_OUTER_BOUND('',#52101,.T.); #31532=FACE_OUTER_BOUND('',#52102,.T.); #31533=FACE_OUTER_BOUND('',#52103,.T.); #31534=FACE_OUTER_BOUND('',#52105,.T.); #31535=FACE_OUTER_BOUND('',#52107,.T.); #31536=FACE_OUTER_BOUND('',#52108,.T.); #31537=FACE_OUTER_BOUND('',#52109,.T.); #31538=FACE_OUTER_BOUND('',#52110,.T.); #31539=FACE_OUTER_BOUND('',#52111,.T.); #31540=FACE_OUTER_BOUND('',#52112,.T.); #31541=FACE_OUTER_BOUND('',#52113,.T.); #31542=FACE_OUTER_BOUND('',#52114,.T.); #31543=FACE_OUTER_BOUND('',#52115,.T.); #31544=FACE_OUTER_BOUND('',#52116,.T.); #31545=FACE_OUTER_BOUND('',#52117,.T.); #31546=FACE_OUTER_BOUND('',#52118,.T.); #31547=FACE_OUTER_BOUND('',#52119,.T.); #31548=FACE_OUTER_BOUND('',#52120,.T.); #31549=FACE_OUTER_BOUND('',#52121,.T.); #31550=FACE_OUTER_BOUND('',#52122,.T.); #31551=FACE_OUTER_BOUND('',#52123,.T.); #31552=FACE_OUTER_BOUND('',#52124,.T.); #31553=FACE_OUTER_BOUND('',#52125,.T.); #31554=FACE_OUTER_BOUND('',#52126,.T.); #31555=FACE_OUTER_BOUND('',#52127,.T.); #31556=FACE_OUTER_BOUND('',#52128,.T.); #31557=FACE_OUTER_BOUND('',#52129,.T.); #31558=FACE_OUTER_BOUND('',#52130,.T.); #31559=FACE_OUTER_BOUND('',#52131,.T.); #31560=FACE_OUTER_BOUND('',#52132,.T.); #31561=FACE_OUTER_BOUND('',#52133,.T.); #31562=FACE_OUTER_BOUND('',#52134,.T.); #31563=FACE_OUTER_BOUND('',#52135,.T.); #31564=FACE_OUTER_BOUND('',#52136,.T.); #31565=FACE_OUTER_BOUND('',#52137,.T.); #31566=FACE_OUTER_BOUND('',#52138,.T.); #31567=FACE_OUTER_BOUND('',#52139,.T.); #31568=FACE_OUTER_BOUND('',#52140,.T.); #31569=FACE_OUTER_BOUND('',#52141,.T.); #31570=FACE_OUTER_BOUND('',#52142,.T.); #31571=FACE_OUTER_BOUND('',#52143,.T.); #31572=FACE_OUTER_BOUND('',#52144,.T.); #31573=FACE_OUTER_BOUND('',#52145,.T.); #31574=FACE_OUTER_BOUND('',#52146,.T.); #31575=FACE_OUTER_BOUND('',#52147,.T.); #31576=FACE_OUTER_BOUND('',#52148,.T.); #31577=FACE_OUTER_BOUND('',#52149,.T.); #31578=FACE_OUTER_BOUND('',#52150,.T.); #31579=FACE_OUTER_BOUND('',#52151,.T.); #31580=FACE_OUTER_BOUND('',#52152,.T.); #31581=FACE_OUTER_BOUND('',#52153,.T.); #31582=FACE_OUTER_BOUND('',#52154,.T.); #31583=FACE_OUTER_BOUND('',#52155,.T.); #31584=FACE_OUTER_BOUND('',#52156,.T.); #31585=FACE_OUTER_BOUND('',#52157,.T.); #31586=FACE_OUTER_BOUND('',#52158,.T.); #31587=FACE_OUTER_BOUND('',#52159,.T.); #31588=FACE_OUTER_BOUND('',#52160,.T.); #31589=FACE_OUTER_BOUND('',#52161,.T.); #31590=FACE_OUTER_BOUND('',#52162,.T.); #31591=FACE_OUTER_BOUND('',#52163,.T.); #31592=FACE_OUTER_BOUND('',#52164,.T.); #31593=FACE_OUTER_BOUND('',#52165,.T.); #31594=FACE_OUTER_BOUND('',#52166,.T.); #31595=FACE_OUTER_BOUND('',#52167,.T.); #31596=FACE_OUTER_BOUND('',#52168,.T.); #31597=FACE_OUTER_BOUND('',#52169,.T.); #31598=FACE_OUTER_BOUND('',#52170,.T.); #31599=FACE_OUTER_BOUND('',#52173,.T.); #31600=FACE_OUTER_BOUND('',#52176,.T.); #31601=FACE_OUTER_BOUND('',#52177,.T.); #31602=FACE_OUTER_BOUND('',#52178,.T.); #31603=FACE_OUTER_BOUND('',#52179,.T.); #31604=FACE_OUTER_BOUND('',#52180,.T.); #31605=FACE_OUTER_BOUND('',#52181,.T.); #31606=FACE_OUTER_BOUND('',#52182,.T.); #31607=FACE_OUTER_BOUND('',#52183,.T.); #31608=FACE_OUTER_BOUND('',#52184,.T.); #31609=FACE_OUTER_BOUND('',#52185,.T.); #31610=FACE_OUTER_BOUND('',#52186,.T.); #31611=FACE_OUTER_BOUND('',#52189,.T.); #31612=FACE_OUTER_BOUND('',#52192,.T.); #31613=FACE_OUTER_BOUND('',#52193,.T.); #31614=FACE_OUTER_BOUND('',#52194,.T.); #31615=FACE_OUTER_BOUND('',#52195,.T.); #31616=FACE_OUTER_BOUND('',#52196,.T.); #31617=FACE_OUTER_BOUND('',#52197,.T.); #31618=FACE_OUTER_BOUND('',#52198,.T.); #31619=FACE_OUTER_BOUND('',#52199,.T.); #31620=FACE_OUTER_BOUND('',#52200,.T.); #31621=FACE_OUTER_BOUND('',#52201,.T.); #31622=FACE_OUTER_BOUND('',#52202,.T.); #31623=FACE_OUTER_BOUND('',#52205,.T.); #31624=FACE_OUTER_BOUND('',#52208,.T.); #31625=FACE_OUTER_BOUND('',#52209,.T.); #31626=FACE_OUTER_BOUND('',#52210,.T.); #31627=FACE_OUTER_BOUND('',#52211,.T.); #31628=FACE_OUTER_BOUND('',#52212,.T.); #31629=FACE_OUTER_BOUND('',#52213,.T.); #31630=FACE_OUTER_BOUND('',#52214,.T.); #31631=FACE_OUTER_BOUND('',#52215,.T.); #31632=FACE_OUTER_BOUND('',#52216,.T.); #31633=FACE_OUTER_BOUND('',#52217,.T.); #31634=FACE_OUTER_BOUND('',#52218,.T.); #31635=FACE_OUTER_BOUND('',#52221,.T.); #31636=FACE_OUTER_BOUND('',#52224,.T.); #31637=FACE_OUTER_BOUND('',#52225,.T.); #31638=FACE_OUTER_BOUND('',#52226,.T.); #31639=FACE_OUTER_BOUND('',#52227,.T.); #31640=FACE_OUTER_BOUND('',#52228,.T.); #31641=FACE_OUTER_BOUND('',#52229,.T.); #31642=FACE_OUTER_BOUND('',#52230,.T.); #31643=FACE_OUTER_BOUND('',#52231,.T.); #31644=FACE_OUTER_BOUND('',#52232,.T.); #31645=FACE_OUTER_BOUND('',#52233,.T.); #31646=FACE_OUTER_BOUND('',#52234,.T.); #31647=FACE_OUTER_BOUND('',#52235,.T.); #31648=FACE_OUTER_BOUND('',#52236,.T.); #31649=FACE_OUTER_BOUND('',#52237,.T.); #31650=FACE_OUTER_BOUND('',#52238,.T.); #31651=FACE_OUTER_BOUND('',#52239,.T.); #31652=FACE_OUTER_BOUND('',#52242,.T.); #31653=FACE_OUTER_BOUND('',#52245,.T.); #31654=FACE_OUTER_BOUND('',#52246,.T.); #31655=FACE_OUTER_BOUND('',#52247,.T.); #31656=FACE_OUTER_BOUND('',#52248,.T.); #31657=FACE_OUTER_BOUND('',#52249,.T.); #31658=FACE_OUTER_BOUND('',#52250,.T.); #31659=FACE_OUTER_BOUND('',#52252,.T.); #31660=FACE_OUTER_BOUND('',#52254,.T.); #31661=FACE_OUTER_BOUND('',#52255,.T.); #31662=FACE_OUTER_BOUND('',#52256,.T.); #31663=FACE_OUTER_BOUND('',#52257,.T.); #31664=FACE_OUTER_BOUND('',#52258,.T.); #31665=FACE_OUTER_BOUND('',#52259,.T.); #31666=FACE_OUTER_BOUND('',#52261,.T.); #31667=FACE_OUTER_BOUND('',#52263,.T.); #31668=FACE_OUTER_BOUND('',#52264,.T.); #31669=FACE_OUTER_BOUND('',#52265,.T.); #31670=FACE_OUTER_BOUND('',#52266,.T.); #31671=FACE_OUTER_BOUND('',#52267,.T.); #31672=FACE_OUTER_BOUND('',#52268,.T.); #31673=FACE_OUTER_BOUND('',#52270,.T.); #31674=FACE_OUTER_BOUND('',#52272,.T.); #31675=FACE_OUTER_BOUND('',#52273,.T.); #31676=FACE_OUTER_BOUND('',#52274,.T.); #31677=FACE_OUTER_BOUND('',#52275,.T.); #31678=FACE_OUTER_BOUND('',#52276,.T.); #31679=FACE_OUTER_BOUND('',#52277,.T.); #31680=FACE_OUTER_BOUND('',#52278,.T.); #31681=FACE_OUTER_BOUND('',#52279,.T.); #31682=FACE_OUTER_BOUND('',#52280,.T.); #31683=FACE_OUTER_BOUND('',#52281,.T.); #31684=FACE_OUTER_BOUND('',#52282,.T.); #31685=FACE_OUTER_BOUND('',#52283,.T.); #31686=FACE_OUTER_BOUND('',#52285,.T.); #31687=FACE_OUTER_BOUND('',#52287,.T.); #31688=FACE_OUTER_BOUND('',#52288,.T.); #31689=FACE_OUTER_BOUND('',#52289,.T.); #31690=FACE_OUTER_BOUND('',#52290,.T.); #31691=FACE_OUTER_BOUND('',#52291,.T.); #31692=FACE_OUTER_BOUND('',#52292,.T.); #31693=FACE_OUTER_BOUND('',#52293,.T.); #31694=FACE_OUTER_BOUND('',#52294,.T.); #31695=FACE_OUTER_BOUND('',#52295,.T.); #31696=FACE_OUTER_BOUND('',#52296,.T.); #31697=FACE_OUTER_BOUND('',#52297,.T.); #31698=FACE_OUTER_BOUND('',#52298,.T.); #31699=FACE_OUTER_BOUND('',#52299,.T.); #31700=FACE_OUTER_BOUND('',#52300,.T.); #31701=FACE_OUTER_BOUND('',#52301,.T.); #31702=FACE_OUTER_BOUND('',#52302,.T.); #31703=FACE_OUTER_BOUND('',#52303,.T.); #31704=FACE_OUTER_BOUND('',#52304,.T.); #31705=FACE_OUTER_BOUND('',#52305,.T.); #31706=FACE_OUTER_BOUND('',#52306,.T.); #31707=FACE_OUTER_BOUND('',#52307,.T.); #31708=FACE_OUTER_BOUND('',#52308,.T.); #31709=FACE_OUTER_BOUND('',#52309,.T.); #31710=FACE_OUTER_BOUND('',#52310,.T.); #31711=FACE_OUTER_BOUND('',#52311,.T.); #31712=FACE_OUTER_BOUND('',#52312,.T.); #31713=FACE_OUTER_BOUND('',#52313,.T.); #31714=FACE_OUTER_BOUND('',#52314,.T.); #31715=FACE_OUTER_BOUND('',#52315,.T.); #31716=FACE_OUTER_BOUND('',#52316,.T.); #31717=FACE_OUTER_BOUND('',#52317,.T.); #31718=FACE_OUTER_BOUND('',#52318,.T.); #31719=FACE_OUTER_BOUND('',#52319,.T.); #31720=FACE_OUTER_BOUND('',#52320,.T.); #31721=FACE_OUTER_BOUND('',#52321,.T.); #31722=FACE_OUTER_BOUND('',#52322,.T.); #31723=FACE_OUTER_BOUND('',#52323,.T.); #31724=FACE_OUTER_BOUND('',#52324,.T.); #31725=FACE_OUTER_BOUND('',#52325,.T.); #31726=FACE_OUTER_BOUND('',#52326,.T.); #31727=FACE_OUTER_BOUND('',#52327,.T.); #31728=FACE_OUTER_BOUND('',#52328,.T.); #31729=FACE_OUTER_BOUND('',#52329,.T.); #31730=FACE_OUTER_BOUND('',#52330,.T.); #31731=FACE_OUTER_BOUND('',#52331,.T.); #31732=FACE_OUTER_BOUND('',#52332,.T.); #31733=FACE_OUTER_BOUND('',#52333,.T.); #31734=FACE_OUTER_BOUND('',#52334,.T.); #31735=FACE_OUTER_BOUND('',#52335,.T.); #31736=FACE_OUTER_BOUND('',#52336,.T.); #31737=FACE_OUTER_BOUND('',#52337,.T.); #31738=FACE_OUTER_BOUND('',#52338,.T.); #31739=FACE_OUTER_BOUND('',#52339,.T.); #31740=FACE_OUTER_BOUND('',#52340,.T.); #31741=FACE_OUTER_BOUND('',#52341,.T.); #31742=FACE_OUTER_BOUND('',#52342,.T.); #31743=FACE_OUTER_BOUND('',#52343,.T.); #31744=FACE_OUTER_BOUND('',#52344,.T.); #31745=FACE_OUTER_BOUND('',#52345,.T.); #31746=FACE_OUTER_BOUND('',#52346,.T.); #31747=FACE_OUTER_BOUND('',#52347,.T.); #31748=FACE_OUTER_BOUND('',#52348,.T.); #31749=FACE_OUTER_BOUND('',#52349,.T.); #31750=FACE_OUTER_BOUND('',#52350,.T.); #31751=FACE_OUTER_BOUND('',#52353,.T.); #31752=FACE_OUTER_BOUND('',#52356,.T.); #31753=FACE_OUTER_BOUND('',#52357,.T.); #31754=FACE_OUTER_BOUND('',#52358,.T.); #31755=FACE_OUTER_BOUND('',#52359,.T.); #31756=FACE_OUTER_BOUND('',#52360,.T.); #31757=FACE_OUTER_BOUND('',#52361,.T.); #31758=FACE_OUTER_BOUND('',#52362,.T.); #31759=FACE_OUTER_BOUND('',#52363,.T.); #31760=FACE_OUTER_BOUND('',#52364,.T.); #31761=FACE_OUTER_BOUND('',#52365,.T.); #31762=FACE_OUTER_BOUND('',#52368,.T.); #31763=FACE_OUTER_BOUND('',#52371,.T.); #31764=FACE_OUTER_BOUND('',#52372,.T.); #31765=FACE_OUTER_BOUND('',#52373,.T.); #31766=FACE_OUTER_BOUND('',#52374,.T.); #31767=FACE_OUTER_BOUND('',#52375,.T.); #31768=FACE_OUTER_BOUND('',#52376,.T.); #31769=FACE_OUTER_BOUND('',#52377,.T.); #31770=FACE_OUTER_BOUND('',#52378,.T.); #31771=FACE_OUTER_BOUND('',#52379,.T.); #31772=FACE_OUTER_BOUND('',#52380,.T.); #31773=FACE_OUTER_BOUND('',#52381,.T.); #31774=FACE_OUTER_BOUND('',#52382,.T.); #31775=FACE_OUTER_BOUND('',#52384,.T.); #31776=FACE_OUTER_BOUND('',#52386,.T.); #31777=FACE_OUTER_BOUND('',#52387,.T.); #31778=FACE_OUTER_BOUND('',#52388,.T.); #31779=FACE_OUTER_BOUND('',#52389,.T.); #31780=FACE_OUTER_BOUND('',#52390,.T.); #31781=FACE_OUTER_BOUND('',#52391,.T.); #31782=FACE_OUTER_BOUND('',#52392,.T.); #31783=FACE_OUTER_BOUND('',#52393,.T.); #31784=FACE_OUTER_BOUND('',#52394,.T.); #31785=FACE_OUTER_BOUND('',#52395,.T.); #31786=FACE_OUTER_BOUND('',#52396,.T.); #31787=FACE_OUTER_BOUND('',#52397,.T.); #31788=FACE_OUTER_BOUND('',#52399,.T.); #31789=FACE_OUTER_BOUND('',#52401,.T.); #31790=FACE_OUTER_BOUND('',#52402,.T.); #31791=FACE_OUTER_BOUND('',#52403,.T.); #31792=FACE_OUTER_BOUND('',#52404,.T.); #31793=FACE_OUTER_BOUND('',#52405,.T.); #31794=FACE_OUTER_BOUND('',#52406,.T.); #31795=FACE_OUTER_BOUND('',#52407,.T.); #31796=FACE_OUTER_BOUND('',#52408,.T.); #31797=FACE_OUTER_BOUND('',#52409,.T.); #31798=FACE_OUTER_BOUND('',#52410,.T.); #31799=FACE_OUTER_BOUND('',#52411,.T.); #31800=FACE_OUTER_BOUND('',#52412,.T.); #31801=FACE_OUTER_BOUND('',#52413,.T.); #31802=FACE_OUTER_BOUND('',#52414,.T.); #31803=FACE_OUTER_BOUND('',#52415,.T.); #31804=FACE_OUTER_BOUND('',#52416,.T.); #31805=FACE_OUTER_BOUND('',#52417,.T.); #31806=FACE_OUTER_BOUND('',#52418,.T.); #31807=FACE_OUTER_BOUND('',#52419,.T.); #31808=FACE_OUTER_BOUND('',#52421,.T.); #31809=FACE_OUTER_BOUND('',#52423,.T.); #31810=FACE_OUTER_BOUND('',#52424,.T.); #31811=FACE_OUTER_BOUND('',#52425,.T.); #31812=FACE_OUTER_BOUND('',#52426,.T.); #31813=FACE_OUTER_BOUND('',#52427,.T.); #31814=FACE_OUTER_BOUND('',#52428,.T.); #31815=FACE_OUTER_BOUND('',#52429,.T.); #31816=FACE_OUTER_BOUND('',#52430,.T.); #31817=FACE_OUTER_BOUND('',#52431,.T.); #31818=FACE_OUTER_BOUND('',#52432,.T.); #31819=FACE_OUTER_BOUND('',#52433,.T.); #31820=FACE_OUTER_BOUND('',#52434,.T.); #31821=FACE_OUTER_BOUND('',#52435,.T.); #31822=FACE_OUTER_BOUND('',#52436,.T.); #31823=FACE_OUTER_BOUND('',#52437,.T.); #31824=FACE_OUTER_BOUND('',#52438,.T.); #31825=FACE_OUTER_BOUND('',#52439,.T.); #31826=FACE_OUTER_BOUND('',#52441,.T.); #31827=FACE_OUTER_BOUND('',#52443,.T.); #31828=FACE_OUTER_BOUND('',#52444,.T.); #31829=FACE_OUTER_BOUND('',#52445,.T.); #31830=FACE_OUTER_BOUND('',#52446,.T.); #31831=FACE_OUTER_BOUND('',#52447,.T.); #31832=FACE_OUTER_BOUND('',#52449,.T.); #31833=FACE_OUTER_BOUND('',#52451,.T.); #31834=FACE_OUTER_BOUND('',#52452,.T.); #31835=FACE_OUTER_BOUND('',#52453,.T.); #31836=FACE_OUTER_BOUND('',#52454,.T.); #31837=FACE_OUTER_BOUND('',#52455,.T.); #31838=FACE_OUTER_BOUND('',#52456,.T.); #31839=FACE_OUTER_BOUND('',#52457,.T.); #31840=FACE_OUTER_BOUND('',#52458,.T.); #31841=FACE_OUTER_BOUND('',#52459,.T.); #31842=FACE_OUTER_BOUND('',#52460,.T.); #31843=FACE_OUTER_BOUND('',#52461,.T.); #31844=FACE_OUTER_BOUND('',#52462,.T.); #31845=FACE_OUTER_BOUND('',#52463,.T.); #31846=FACE_OUTER_BOUND('',#52464,.T.); #31847=FACE_OUTER_BOUND('',#52465,.T.); #31848=FACE_OUTER_BOUND('',#52466,.T.); #31849=FACE_OUTER_BOUND('',#52467,.T.); #31850=FACE_OUTER_BOUND('',#52468,.T.); #31851=FACE_OUTER_BOUND('',#52469,.T.); #31852=FACE_OUTER_BOUND('',#52470,.T.); #31853=FACE_OUTER_BOUND('',#52471,.T.); #31854=FACE_OUTER_BOUND('',#52472,.T.); #31855=FACE_OUTER_BOUND('',#52473,.T.); #31856=FACE_OUTER_BOUND('',#52475,.T.); #31857=FACE_OUTER_BOUND('',#52477,.T.); #31858=FACE_OUTER_BOUND('',#52478,.T.); #31859=FACE_OUTER_BOUND('',#52479,.T.); #31860=FACE_OUTER_BOUND('',#52480,.T.); #31861=FACE_OUTER_BOUND('',#52481,.T.); #31862=FACE_OUTER_BOUND('',#52482,.T.); #31863=FACE_OUTER_BOUND('',#52483,.T.); #31864=FACE_OUTER_BOUND('',#52484,.T.); #31865=FACE_OUTER_BOUND('',#52485,.T.); #31866=FACE_OUTER_BOUND('',#52486,.T.); #31867=FACE_OUTER_BOUND('',#52487,.T.); #31868=FACE_OUTER_BOUND('',#52488,.T.); #31869=FACE_OUTER_BOUND('',#52489,.T.); #31870=FACE_OUTER_BOUND('',#52490,.T.); #31871=FACE_OUTER_BOUND('',#52491,.T.); #31872=FACE_OUTER_BOUND('',#52492,.T.); #31873=FACE_OUTER_BOUND('',#52493,.T.); #31874=FACE_OUTER_BOUND('',#52495,.T.); #31875=FACE_OUTER_BOUND('',#52497,.T.); #31876=FACE_OUTER_BOUND('',#52498,.T.); #31877=FACE_OUTER_BOUND('',#52499,.T.); #31878=FACE_OUTER_BOUND('',#52500,.T.); #31879=FACE_OUTER_BOUND('',#52501,.T.); #31880=FACE_OUTER_BOUND('',#52502,.T.); #31881=FACE_OUTER_BOUND('',#52503,.T.); #31882=FACE_OUTER_BOUND('',#52504,.T.); #31883=FACE_OUTER_BOUND('',#52505,.T.); #31884=FACE_OUTER_BOUND('',#52506,.T.); #31885=FACE_OUTER_BOUND('',#52507,.T.); #31886=FACE_OUTER_BOUND('',#52508,.T.); #31887=FACE_OUTER_BOUND('',#52509,.T.); #31888=FACE_OUTER_BOUND('',#52510,.T.); #31889=FACE_OUTER_BOUND('',#52511,.T.); #31890=FACE_OUTER_BOUND('',#52512,.T.); #31891=FACE_OUTER_BOUND('',#52513,.T.); #31892=FACE_OUTER_BOUND('',#52514,.T.); #31893=FACE_OUTER_BOUND('',#52515,.T.); #31894=FACE_OUTER_BOUND('',#52516,.T.); #31895=FACE_OUTER_BOUND('',#52517,.T.); #31896=FACE_OUTER_BOUND('',#52518,.T.); #31897=FACE_OUTER_BOUND('',#52519,.T.); #31898=FACE_OUTER_BOUND('',#52520,.T.); #31899=FACE_OUTER_BOUND('',#52521,.T.); #31900=FACE_OUTER_BOUND('',#52522,.T.); #31901=FACE_OUTER_BOUND('',#52523,.T.); #31902=FACE_OUTER_BOUND('',#52524,.T.); #31903=FACE_OUTER_BOUND('',#52525,.T.); #31904=FACE_OUTER_BOUND('',#52526,.T.); #31905=FACE_OUTER_BOUND('',#52527,.T.); #31906=FACE_OUTER_BOUND('',#52528,.T.); #31907=FACE_OUTER_BOUND('',#52529,.T.); #31908=FACE_OUTER_BOUND('',#52530,.T.); #31909=FACE_OUTER_BOUND('',#52531,.T.); #31910=FACE_OUTER_BOUND('',#52532,.T.); #31911=FACE_OUTER_BOUND('',#52533,.T.); #31912=FACE_OUTER_BOUND('',#52534,.T.); #31913=FACE_OUTER_BOUND('',#52535,.T.); #31914=FACE_OUTER_BOUND('',#52536,.T.); #31915=FACE_OUTER_BOUND('',#52537,.T.); #31916=FACE_OUTER_BOUND('',#52538,.T.); #31917=FACE_OUTER_BOUND('',#52539,.T.); #31918=FACE_OUTER_BOUND('',#52540,.T.); #31919=FACE_OUTER_BOUND('',#52541,.T.); #31920=FACE_OUTER_BOUND('',#52542,.T.); #31921=FACE_OUTER_BOUND('',#52543,.T.); #31922=FACE_OUTER_BOUND('',#52544,.T.); #31923=FACE_OUTER_BOUND('',#52545,.T.); #31924=FACE_OUTER_BOUND('',#52546,.T.); #31925=FACE_OUTER_BOUND('',#52547,.T.); #31926=FACE_OUTER_BOUND('',#52548,.T.); #31927=FACE_OUTER_BOUND('',#52549,.T.); #31928=FACE_OUTER_BOUND('',#52550,.T.); #31929=FACE_OUTER_BOUND('',#52551,.T.); #31930=FACE_OUTER_BOUND('',#52552,.T.); #31931=FACE_OUTER_BOUND('',#52553,.T.); #31932=FACE_OUTER_BOUND('',#52554,.T.); #31933=FACE_OUTER_BOUND('',#52555,.T.); #31934=FACE_OUTER_BOUND('',#52556,.T.); #31935=FACE_OUTER_BOUND('',#52557,.T.); #31936=FACE_OUTER_BOUND('',#52558,.T.); #31937=FACE_OUTER_BOUND('',#52559,.T.); #31938=FACE_OUTER_BOUND('',#52560,.T.); #31939=FACE_OUTER_BOUND('',#52561,.T.); #31940=FACE_OUTER_BOUND('',#52562,.T.); #31941=FACE_OUTER_BOUND('',#52563,.T.); #31942=FACE_OUTER_BOUND('',#52564,.T.); #31943=FACE_OUTER_BOUND('',#52565,.T.); #31944=FACE_OUTER_BOUND('',#52566,.T.); #31945=FACE_OUTER_BOUND('',#52567,.T.); #31946=FACE_OUTER_BOUND('',#52568,.T.); #31947=FACE_OUTER_BOUND('',#52569,.T.); #31948=FACE_OUTER_BOUND('',#52571,.T.); #31949=FACE_OUTER_BOUND('',#52573,.T.); #31950=FACE_OUTER_BOUND('',#52574,.T.); #31951=FACE_OUTER_BOUND('',#52575,.T.); #31952=FACE_OUTER_BOUND('',#52576,.T.); #31953=FACE_OUTER_BOUND('',#52577,.T.); #31954=FACE_OUTER_BOUND('',#52578,.T.); #31955=FACE_OUTER_BOUND('',#52579,.T.); #31956=FACE_OUTER_BOUND('',#52580,.T.); #31957=FACE_OUTER_BOUND('',#52581,.T.); #31958=FACE_OUTER_BOUND('',#52582,.T.); #31959=FACE_OUTER_BOUND('',#52585,.T.); #31960=FACE_OUTER_BOUND('',#52588,.T.); #31961=FACE_OUTER_BOUND('',#52589,.T.); #31962=FACE_OUTER_BOUND('',#52590,.T.); #31963=FACE_OUTER_BOUND('',#52591,.T.); #31964=FACE_OUTER_BOUND('',#52592,.T.); #31965=FACE_OUTER_BOUND('',#52593,.T.); #31966=FACE_OUTER_BOUND('',#52595,.T.); #31967=FACE_OUTER_BOUND('',#52597,.T.); #31968=FACE_OUTER_BOUND('',#52598,.T.); #31969=FACE_OUTER_BOUND('',#52599,.T.); #31970=FACE_OUTER_BOUND('',#52600,.T.); #31971=FACE_OUTER_BOUND('',#52601,.T.); #31972=FACE_OUTER_BOUND('',#52602,.T.); #31973=FACE_OUTER_BOUND('',#52603,.T.); #31974=FACE_OUTER_BOUND('',#52604,.T.); #31975=FACE_OUTER_BOUND('',#52605,.T.); #31976=FACE_OUTER_BOUND('',#52606,.T.); #31977=FACE_OUTER_BOUND('',#52607,.T.); #31978=FACE_OUTER_BOUND('',#52608,.T.); #31979=FACE_OUTER_BOUND('',#52609,.T.); #31980=FACE_OUTER_BOUND('',#52610,.T.); #31981=FACE_OUTER_BOUND('',#52611,.T.); #31982=FACE_OUTER_BOUND('',#52612,.T.); #31983=FACE_OUTER_BOUND('',#52613,.T.); #31984=FACE_OUTER_BOUND('',#52614,.T.); #31985=FACE_OUTER_BOUND('',#52615,.T.); #31986=FACE_OUTER_BOUND('',#52616,.T.); #31987=FACE_OUTER_BOUND('',#52617,.T.); #31988=FACE_OUTER_BOUND('',#52618,.T.); #31989=FACE_OUTER_BOUND('',#52619,.T.); #31990=FACE_OUTER_BOUND('',#52620,.T.); #31991=FACE_OUTER_BOUND('',#52621,.T.); #31992=FACE_OUTER_BOUND('',#52622,.T.); #31993=FACE_OUTER_BOUND('',#52625,.T.); #31994=FACE_OUTER_BOUND('',#52628,.T.); #31995=FACE_OUTER_BOUND('',#52629,.T.); #31996=FACE_OUTER_BOUND('',#52630,.T.); #31997=FACE_OUTER_BOUND('',#52631,.T.); #31998=FACE_OUTER_BOUND('',#52632,.T.); #31999=FACE_OUTER_BOUND('',#52633,.T.); #32000=FACE_OUTER_BOUND('',#52634,.T.); #32001=FACE_OUTER_BOUND('',#52635,.T.); #32002=FACE_OUTER_BOUND('',#52636,.T.); #32003=FACE_OUTER_BOUND('',#52637,.T.); #32004=FACE_OUTER_BOUND('',#52638,.T.); #32005=FACE_OUTER_BOUND('',#52641,.T.); #32006=FACE_OUTER_BOUND('',#52644,.T.); #32007=FACE_OUTER_BOUND('',#52645,.T.); #32008=FACE_OUTER_BOUND('',#52646,.T.); #32009=FACE_OUTER_BOUND('',#52647,.T.); #32010=FACE_OUTER_BOUND('',#52648,.T.); #32011=FACE_OUTER_BOUND('',#52649,.T.); #32012=FACE_OUTER_BOUND('',#52651,.T.); #32013=FACE_OUTER_BOUND('',#52653,.T.); #32014=FACE_OUTER_BOUND('',#52654,.T.); #32015=FACE_OUTER_BOUND('',#52655,.T.); #32016=FACE_OUTER_BOUND('',#52656,.T.); #32017=FACE_OUTER_BOUND('',#52657,.T.); #32018=FACE_OUTER_BOUND('',#52658,.T.); #32019=FACE_OUTER_BOUND('',#52659,.T.); #32020=FACE_OUTER_BOUND('',#52660,.T.); #32021=FACE_OUTER_BOUND('',#52661,.T.); #32022=FACE_OUTER_BOUND('',#52662,.T.); #32023=FACE_OUTER_BOUND('',#52663,.T.); #32024=FACE_OUTER_BOUND('',#52664,.T.); #32025=FACE_OUTER_BOUND('',#52665,.T.); #32026=FACE_OUTER_BOUND('',#52666,.T.); #32027=FACE_OUTER_BOUND('',#52667,.T.); #32028=FACE_OUTER_BOUND('',#52668,.T.); #32029=FACE_OUTER_BOUND('',#52669,.T.); #32030=FACE_OUTER_BOUND('',#52670,.T.); #32031=FACE_OUTER_BOUND('',#52671,.T.); #32032=FACE_OUTER_BOUND('',#52672,.T.); #32033=FACE_OUTER_BOUND('',#52673,.T.); #32034=FACE_OUTER_BOUND('',#52674,.T.); #32035=FACE_OUTER_BOUND('',#52675,.T.); #32036=FACE_OUTER_BOUND('',#52676,.T.); #32037=FACE_OUTER_BOUND('',#52677,.T.); #32038=FACE_OUTER_BOUND('',#52678,.T.); #32039=FACE_OUTER_BOUND('',#52679,.T.); #32040=FACE_OUTER_BOUND('',#52680,.T.); #32041=FACE_OUTER_BOUND('',#52681,.T.); #32042=FACE_OUTER_BOUND('',#52682,.T.); #32043=FACE_OUTER_BOUND('',#52683,.T.); #32044=FACE_OUTER_BOUND('',#52684,.T.); #32045=FACE_OUTER_BOUND('',#52685,.T.); #32046=FACE_OUTER_BOUND('',#52686,.T.); #32047=FACE_OUTER_BOUND('',#52687,.T.); #32048=FACE_OUTER_BOUND('',#52688,.T.); #32049=FACE_OUTER_BOUND('',#52689,.T.); #32050=FACE_OUTER_BOUND('',#52690,.T.); #32051=FACE_OUTER_BOUND('',#52691,.T.); #32052=FACE_OUTER_BOUND('',#52692,.T.); #32053=FACE_OUTER_BOUND('',#52693,.T.); #32054=FACE_OUTER_BOUND('',#52694,.T.); #32055=FACE_OUTER_BOUND('',#52695,.T.); #32056=FACE_OUTER_BOUND('',#52696,.T.); #32057=FACE_OUTER_BOUND('',#52697,.T.); #32058=FACE_OUTER_BOUND('',#52698,.T.); #32059=FACE_OUTER_BOUND('',#52699,.T.); #32060=FACE_OUTER_BOUND('',#52700,.T.); #32061=FACE_OUTER_BOUND('',#52701,.T.); #32062=FACE_OUTER_BOUND('',#52702,.T.); #32063=FACE_OUTER_BOUND('',#52703,.T.); #32064=FACE_OUTER_BOUND('',#52704,.T.); #32065=FACE_OUTER_BOUND('',#52705,.T.); #32066=FACE_OUTER_BOUND('',#52706,.T.); #32067=FACE_OUTER_BOUND('',#52707,.T.); #32068=FACE_OUTER_BOUND('',#52708,.T.); #32069=FACE_OUTER_BOUND('',#52709,.T.); #32070=FACE_OUTER_BOUND('',#52710,.T.); #32071=FACE_OUTER_BOUND('',#52711,.T.); #32072=FACE_OUTER_BOUND('',#52712,.T.); #32073=FACE_OUTER_BOUND('',#52713,.T.); #32074=FACE_OUTER_BOUND('',#52714,.T.); #32075=FACE_OUTER_BOUND('',#52715,.T.); #32076=FACE_OUTER_BOUND('',#52716,.T.); #32077=FACE_OUTER_BOUND('',#52717,.T.); #32078=FACE_OUTER_BOUND('',#52718,.T.); #32079=FACE_OUTER_BOUND('',#52719,.T.); #32080=FACE_OUTER_BOUND('',#52720,.T.); #32081=FACE_OUTER_BOUND('',#52721,.T.); #32082=FACE_OUTER_BOUND('',#52722,.T.); #32083=FACE_OUTER_BOUND('',#52723,.T.); #32084=FACE_OUTER_BOUND('',#52724,.T.); #32085=FACE_OUTER_BOUND('',#52725,.T.); #32086=FACE_OUTER_BOUND('',#52726,.T.); #32087=FACE_OUTER_BOUND('',#52727,.T.); #32088=FACE_OUTER_BOUND('',#52728,.T.); #32089=FACE_OUTER_BOUND('',#52729,.T.); #32090=FACE_OUTER_BOUND('',#52730,.T.); #32091=FACE_OUTER_BOUND('',#52731,.T.); #32092=FACE_OUTER_BOUND('',#52732,.T.); #32093=FACE_OUTER_BOUND('',#52733,.T.); #32094=FACE_OUTER_BOUND('',#52734,.T.); #32095=FACE_OUTER_BOUND('',#52735,.T.); #32096=FACE_OUTER_BOUND('',#52736,.T.); #32097=FACE_OUTER_BOUND('',#52737,.T.); #32098=FACE_OUTER_BOUND('',#52738,.T.); #32099=FACE_OUTER_BOUND('',#52739,.T.); #32100=FACE_OUTER_BOUND('',#52740,.T.); #32101=FACE_OUTER_BOUND('',#52741,.T.); #32102=FACE_OUTER_BOUND('',#52742,.T.); #32103=FACE_OUTER_BOUND('',#52743,.T.); #32104=FACE_OUTER_BOUND('',#52744,.T.); #32105=FACE_OUTER_BOUND('',#52745,.T.); #32106=FACE_OUTER_BOUND('',#52746,.T.); #32107=FACE_OUTER_BOUND('',#52747,.T.); #32108=FACE_OUTER_BOUND('',#52753,.T.); #32109=FACE_OUTER_BOUND('',#52759,.T.); #32110=FACE_OUTER_BOUND('',#52760,.T.); #32111=FACE_OUTER_BOUND('',#52761,.T.); #32112=FACE_OUTER_BOUND('',#52762,.T.); #32113=FACE_OUTER_BOUND('',#52763,.T.); #32114=FACE_OUTER_BOUND('',#52764,.T.); #32115=FACE_OUTER_BOUND('',#52765,.T.); #32116=FACE_OUTER_BOUND('',#52766,.T.); #32117=FACE_OUTER_BOUND('',#52767,.T.); #32118=FACE_OUTER_BOUND('',#52768,.T.); #32119=FACE_OUTER_BOUND('',#52769,.T.); #32120=FACE_OUTER_BOUND('',#52770,.T.); #32121=FACE_OUTER_BOUND('',#52771,.T.); #32122=FACE_OUTER_BOUND('',#52772,.T.); #32123=FACE_OUTER_BOUND('',#52773,.T.); #32124=FACE_OUTER_BOUND('',#52774,.T.); #32125=FACE_OUTER_BOUND('',#52775,.T.); #32126=FACE_OUTER_BOUND('',#52776,.T.); #32127=FACE_OUTER_BOUND('',#52777,.T.); #32128=FACE_OUTER_BOUND('',#52778,.T.); #32129=FACE_OUTER_BOUND('',#52779,.T.); #32130=FACE_OUTER_BOUND('',#52780,.T.); #32131=FACE_OUTER_BOUND('',#52781,.T.); #32132=FACE_OUTER_BOUND('',#52782,.T.); #32133=FACE_OUTER_BOUND('',#52783,.T.); #32134=FACE_OUTER_BOUND('',#52784,.T.); #32135=FACE_OUTER_BOUND('',#52785,.T.); #32136=FACE_OUTER_BOUND('',#52786,.T.); #32137=FACE_OUTER_BOUND('',#52787,.T.); #32138=FACE_OUTER_BOUND('',#52788,.T.); #32139=FACE_OUTER_BOUND('',#52789,.T.); #32140=FACE_OUTER_BOUND('',#52790,.T.); #32141=FACE_OUTER_BOUND('',#52791,.T.); #32142=FACE_OUTER_BOUND('',#52792,.T.); #32143=FACE_OUTER_BOUND('',#52793,.T.); #32144=FACE_OUTER_BOUND('',#52794,.T.); #32145=FACE_OUTER_BOUND('',#52795,.T.); #32146=FACE_OUTER_BOUND('',#52796,.T.); #32147=FACE_OUTER_BOUND('',#52797,.T.); #32148=FACE_OUTER_BOUND('',#52798,.T.); #32149=FACE_OUTER_BOUND('',#52799,.T.); #32150=FACE_OUTER_BOUND('',#52800,.T.); #32151=FACE_OUTER_BOUND('',#52801,.T.); #32152=FACE_OUTER_BOUND('',#52802,.T.); #32153=FACE_OUTER_BOUND('',#52803,.T.); #32154=FACE_OUTER_BOUND('',#52804,.T.); #32155=FACE_OUTER_BOUND('',#52805,.T.); #32156=FACE_OUTER_BOUND('',#52806,.T.); #32157=FACE_OUTER_BOUND('',#52807,.T.); #32158=FACE_OUTER_BOUND('',#52808,.T.); #32159=FACE_OUTER_BOUND('',#52810,.T.); #32160=FACE_OUTER_BOUND('',#52812,.T.); #32161=FACE_OUTER_BOUND('',#52813,.T.); #32162=FACE_OUTER_BOUND('',#52814,.T.); #32163=FACE_OUTER_BOUND('',#52815,.T.); #32164=FACE_OUTER_BOUND('',#52816,.T.); #32165=FACE_OUTER_BOUND('',#52817,.T.); #32166=FACE_OUTER_BOUND('',#52818,.T.); #32167=FACE_OUTER_BOUND('',#52819,.T.); #32168=FACE_OUTER_BOUND('',#52820,.T.); #32169=FACE_OUTER_BOUND('',#52821,.T.); #32170=FACE_OUTER_BOUND('',#52822,.T.); #32171=FACE_OUTER_BOUND('',#52823,.T.); #32172=FACE_OUTER_BOUND('',#52824,.T.); #32173=FACE_OUTER_BOUND('',#52825,.T.); #32174=FACE_OUTER_BOUND('',#52826,.T.); #32175=FACE_OUTER_BOUND('',#52827,.T.); #32176=FACE_OUTER_BOUND('',#52828,.T.); #32177=FACE_OUTER_BOUND('',#52829,.T.); #32178=FACE_OUTER_BOUND('',#52830,.T.); #32179=FACE_OUTER_BOUND('',#52831,.T.); #32180=FACE_OUTER_BOUND('',#52832,.T.); #32181=FACE_OUTER_BOUND('',#52833,.T.); #32182=FACE_OUTER_BOUND('',#52834,.T.); #32183=FACE_OUTER_BOUND('',#52835,.T.); #32184=FACE_OUTER_BOUND('',#52836,.T.); #32185=FACE_OUTER_BOUND('',#52837,.T.); #32186=FACE_OUTER_BOUND('',#52838,.T.); #32187=FACE_OUTER_BOUND('',#52839,.T.); #32188=FACE_OUTER_BOUND('',#52840,.T.); #32189=FACE_OUTER_BOUND('',#52841,.T.); #32190=FACE_OUTER_BOUND('',#52842,.T.); #32191=FACE_OUTER_BOUND('',#52843,.T.); #32192=FACE_OUTER_BOUND('',#52844,.T.); #32193=FACE_OUTER_BOUND('',#52845,.T.); #32194=FACE_OUTER_BOUND('',#52846,.T.); #32195=FACE_OUTER_BOUND('',#52847,.T.); #32196=FACE_OUTER_BOUND('',#52848,.T.); #32197=FACE_OUTER_BOUND('',#52849,.T.); #32198=FACE_OUTER_BOUND('',#52850,.T.); #32199=FACE_OUTER_BOUND('',#52851,.T.); #32200=FACE_OUTER_BOUND('',#52852,.T.); #32201=FACE_OUTER_BOUND('',#52853,.T.); #32202=FACE_OUTER_BOUND('',#52854,.T.); #32203=FACE_OUTER_BOUND('',#52855,.T.); #32204=FACE_OUTER_BOUND('',#52856,.T.); #32205=FACE_OUTER_BOUND('',#52857,.T.); #32206=FACE_OUTER_BOUND('',#52858,.T.); #32207=FACE_OUTER_BOUND('',#52859,.T.); #32208=FACE_OUTER_BOUND('',#52860,.T.); #32209=FACE_OUTER_BOUND('',#52861,.T.); #32210=FACE_OUTER_BOUND('',#52862,.T.); #32211=FACE_OUTER_BOUND('',#52863,.T.); #32212=FACE_OUTER_BOUND('',#52864,.T.); #32213=FACE_OUTER_BOUND('',#52865,.T.); #32214=FACE_OUTER_BOUND('',#52866,.T.); #32215=FACE_OUTER_BOUND('',#52867,.T.); #32216=FACE_OUTER_BOUND('',#52868,.T.); #32217=FACE_OUTER_BOUND('',#52869,.T.); #32218=FACE_OUTER_BOUND('',#52870,.T.); #32219=FACE_OUTER_BOUND('',#52871,.T.); #32220=FACE_OUTER_BOUND('',#52872,.T.); #32221=FACE_OUTER_BOUND('',#52873,.T.); #32222=FACE_OUTER_BOUND('',#52874,.T.); #32223=FACE_OUTER_BOUND('',#52875,.T.); #32224=FACE_OUTER_BOUND('',#52876,.T.); #32225=FACE_OUTER_BOUND('',#52877,.T.); #32226=FACE_OUTER_BOUND('',#52878,.T.); #32227=FACE_OUTER_BOUND('',#52879,.T.); #32228=FACE_OUTER_BOUND('',#52880,.T.); #32229=FACE_OUTER_BOUND('',#52881,.T.); #32230=FACE_OUTER_BOUND('',#52882,.T.); #32231=FACE_OUTER_BOUND('',#52883,.T.); #32232=FACE_OUTER_BOUND('',#52884,.T.); #32233=FACE_OUTER_BOUND('',#52885,.T.); #32234=FACE_OUTER_BOUND('',#52886,.T.); #32235=FACE_OUTER_BOUND('',#52887,.T.); #32236=FACE_OUTER_BOUND('',#52888,.T.); #32237=FACE_OUTER_BOUND('',#52889,.T.); #32238=FACE_OUTER_BOUND('',#52890,.T.); #32239=FACE_OUTER_BOUND('',#52891,.T.); #32240=FACE_OUTER_BOUND('',#52892,.T.); #32241=FACE_OUTER_BOUND('',#52893,.T.); #32242=FACE_OUTER_BOUND('',#52894,.T.); #32243=FACE_OUTER_BOUND('',#52895,.T.); #32244=FACE_OUTER_BOUND('',#52896,.T.); #32245=FACE_OUTER_BOUND('',#52897,.T.); #32246=FACE_OUTER_BOUND('',#52898,.T.); #32247=FACE_OUTER_BOUND('',#52899,.T.); #32248=FACE_OUTER_BOUND('',#52900,.T.); #32249=FACE_OUTER_BOUND('',#52901,.T.); #32250=FACE_OUTER_BOUND('',#52902,.T.); #32251=FACE_OUTER_BOUND('',#52903,.T.); #32252=FACE_OUTER_BOUND('',#52904,.T.); #32253=FACE_OUTER_BOUND('',#52905,.T.); #32254=FACE_OUTER_BOUND('',#52906,.T.); #32255=FACE_OUTER_BOUND('',#52907,.T.); #32256=FACE_OUTER_BOUND('',#52908,.T.); #32257=FACE_OUTER_BOUND('',#52909,.T.); #32258=FACE_OUTER_BOUND('',#52910,.T.); #32259=FACE_OUTER_BOUND('',#52911,.T.); #32260=FACE_OUTER_BOUND('',#52912,.T.); #32261=FACE_OUTER_BOUND('',#52913,.T.); #32262=FACE_OUTER_BOUND('',#52914,.T.); #32263=FACE_OUTER_BOUND('',#52915,.T.); #32264=FACE_OUTER_BOUND('',#52916,.T.); #32265=FACE_OUTER_BOUND('',#52917,.T.); #32266=FACE_OUTER_BOUND('',#52918,.T.); #32267=FACE_OUTER_BOUND('',#52919,.T.); #32268=FACE_OUTER_BOUND('',#52920,.T.); #32269=FACE_OUTER_BOUND('',#52921,.T.); #32270=FACE_OUTER_BOUND('',#52934,.T.); #32271=FACE_OUTER_BOUND('',#52947,.T.); #32272=FACE_OUTER_BOUND('',#52948,.T.); #32273=FACE_OUTER_BOUND('',#52949,.T.); #32274=FACE_OUTER_BOUND('',#52950,.T.); #32275=FACE_OUTER_BOUND('',#52951,.T.); #32276=FACE_OUTER_BOUND('',#52952,.T.); #32277=FACE_OUTER_BOUND('',#52953,.T.); #32278=FACE_OUTER_BOUND('',#52954,.T.); #32279=FACE_OUTER_BOUND('',#52955,.T.); #32280=FACE_OUTER_BOUND('',#52956,.T.); #32281=FACE_OUTER_BOUND('',#52957,.T.); #32282=FACE_OUTER_BOUND('',#52958,.T.); #32283=FACE_OUTER_BOUND('',#52959,.T.); #32284=FACE_OUTER_BOUND('',#52960,.T.); #32285=FACE_OUTER_BOUND('',#52961,.T.); #32286=FACE_OUTER_BOUND('',#52962,.T.); #32287=FACE_OUTER_BOUND('',#52963,.T.); #32288=FACE_OUTER_BOUND('',#52964,.T.); #32289=FACE_OUTER_BOUND('',#52965,.T.); #32290=FACE_OUTER_BOUND('',#52966,.T.); #32291=FACE_OUTER_BOUND('',#52967,.T.); #32292=FACE_OUTER_BOUND('',#52968,.T.); #32293=FACE_OUTER_BOUND('',#52969,.T.); #32294=FACE_OUTER_BOUND('',#52970,.T.); #32295=FACE_OUTER_BOUND('',#52971,.T.); #32296=FACE_OUTER_BOUND('',#52972,.T.); #32297=FACE_OUTER_BOUND('',#52973,.T.); #32298=FACE_OUTER_BOUND('',#52974,.T.); #32299=FACE_OUTER_BOUND('',#52975,.T.); #32300=FACE_OUTER_BOUND('',#52976,.T.); #32301=FACE_OUTER_BOUND('',#52977,.T.); #32302=FACE_OUTER_BOUND('',#52978,.T.); #32303=FACE_OUTER_BOUND('',#52979,.T.); #32304=FACE_OUTER_BOUND('',#52980,.T.); #32305=FACE_OUTER_BOUND('',#52981,.T.); #32306=FACE_OUTER_BOUND('',#52982,.T.); #32307=FACE_OUTER_BOUND('',#52983,.T.); #32308=FACE_OUTER_BOUND('',#52984,.T.); #32309=FACE_OUTER_BOUND('',#52985,.T.); #32310=FACE_OUTER_BOUND('',#52986,.T.); #32311=FACE_OUTER_BOUND('',#52987,.T.); #32312=FACE_OUTER_BOUND('',#52988,.T.); #32313=FACE_OUTER_BOUND('',#52989,.T.); #32314=FACE_OUTER_BOUND('',#52990,.T.); #32315=FACE_OUTER_BOUND('',#52991,.T.); #32316=FACE_OUTER_BOUND('',#52992,.T.); #32317=FACE_OUTER_BOUND('',#52993,.T.); #32318=FACE_OUTER_BOUND('',#52994,.T.); #32319=FACE_OUTER_BOUND('',#52995,.T.); #32320=FACE_OUTER_BOUND('',#52996,.T.); #32321=FACE_OUTER_BOUND('',#52997,.T.); #32322=FACE_OUTER_BOUND('',#52998,.T.); #32323=FACE_OUTER_BOUND('',#52999,.T.); #32324=FACE_OUTER_BOUND('',#53000,.T.); #32325=FACE_OUTER_BOUND('',#53001,.T.); #32326=FACE_OUTER_BOUND('',#53002,.T.); #32327=FACE_OUTER_BOUND('',#53003,.T.); #32328=FACE_OUTER_BOUND('',#53004,.T.); #32329=FACE_OUTER_BOUND('',#53005,.T.); #32330=FACE_OUTER_BOUND('',#53006,.T.); #32331=FACE_OUTER_BOUND('',#53007,.T.); #32332=FACE_OUTER_BOUND('',#53008,.T.); #32333=FACE_OUTER_BOUND('',#53009,.T.); #32334=FACE_OUTER_BOUND('',#53010,.T.); #32335=FACE_OUTER_BOUND('',#53011,.T.); #32336=FACE_OUTER_BOUND('',#53012,.T.); #32337=FACE_OUTER_BOUND('',#53013,.T.); #32338=FACE_OUTER_BOUND('',#53014,.T.); #32339=FACE_OUTER_BOUND('',#53015,.T.); #32340=FACE_OUTER_BOUND('',#53016,.T.); #32341=FACE_OUTER_BOUND('',#53017,.T.); #32342=FACE_OUTER_BOUND('',#53018,.T.); #32343=FACE_OUTER_BOUND('',#53019,.T.); #32344=FACE_OUTER_BOUND('',#53020,.T.); #32345=FACE_OUTER_BOUND('',#53021,.T.); #32346=FACE_OUTER_BOUND('',#53022,.T.); #32347=FACE_OUTER_BOUND('',#53023,.T.); #32348=FACE_OUTER_BOUND('',#53024,.T.); #32349=FACE_OUTER_BOUND('',#53025,.T.); #32350=FACE_OUTER_BOUND('',#53026,.T.); #32351=FACE_OUTER_BOUND('',#53027,.T.); #32352=FACE_OUTER_BOUND('',#53028,.T.); #32353=FACE_OUTER_BOUND('',#53029,.T.); #32354=FACE_OUTER_BOUND('',#53030,.T.); #32355=FACE_OUTER_BOUND('',#53031,.T.); #32356=FACE_OUTER_BOUND('',#53032,.T.); #32357=FACE_OUTER_BOUND('',#53033,.T.); #32358=FACE_OUTER_BOUND('',#53034,.T.); #32359=FACE_OUTER_BOUND('',#53035,.T.); #32360=FACE_OUTER_BOUND('',#53036,.T.); #32361=FACE_OUTER_BOUND('',#53037,.T.); #32362=FACE_OUTER_BOUND('',#53038,.T.); #32363=FACE_OUTER_BOUND('',#53039,.T.); #32364=FACE_OUTER_BOUND('',#53040,.T.); #32365=FACE_OUTER_BOUND('',#53041,.T.); #32366=FACE_OUTER_BOUND('',#53042,.T.); #32367=FACE_OUTER_BOUND('',#53043,.T.); #32368=FACE_OUTER_BOUND('',#53044,.T.); #32369=FACE_OUTER_BOUND('',#53045,.T.); #32370=FACE_OUTER_BOUND('',#53046,.T.); #32371=FACE_OUTER_BOUND('',#53047,.T.); #32372=FACE_OUTER_BOUND('',#53048,.T.); #32373=FACE_OUTER_BOUND('',#53049,.T.); #32374=FACE_OUTER_BOUND('',#53050,.T.); #32375=FACE_OUTER_BOUND('',#53051,.T.); #32376=FACE_OUTER_BOUND('',#53052,.T.); #32377=FACE_OUTER_BOUND('',#53053,.T.); #32378=FACE_OUTER_BOUND('',#53054,.T.); #32379=FACE_OUTER_BOUND('',#53055,.T.); #32380=FACE_OUTER_BOUND('',#53056,.T.); #32381=FACE_OUTER_BOUND('',#53057,.T.); #32382=FACE_OUTER_BOUND('',#53058,.T.); #32383=FACE_OUTER_BOUND('',#53059,.T.); #32384=FACE_OUTER_BOUND('',#53060,.T.); #32385=FACE_OUTER_BOUND('',#53061,.T.); #32386=FACE_OUTER_BOUND('',#53062,.T.); #32387=FACE_OUTER_BOUND('',#53063,.T.); #32388=FACE_OUTER_BOUND('',#53064,.T.); #32389=FACE_OUTER_BOUND('',#53065,.T.); #32390=FACE_OUTER_BOUND('',#53066,.T.); #32391=FACE_OUTER_BOUND('',#53067,.T.); #32392=FACE_OUTER_BOUND('',#53068,.T.); #32393=FACE_OUTER_BOUND('',#53069,.T.); #32394=FACE_OUTER_BOUND('',#53070,.T.); #32395=FACE_OUTER_BOUND('',#53071,.T.); #32396=FACE_OUTER_BOUND('',#53072,.T.); #32397=FACE_OUTER_BOUND('',#53073,.T.); #32398=FACE_OUTER_BOUND('',#53074,.T.); #32399=FACE_OUTER_BOUND('',#53075,.T.); #32400=FACE_OUTER_BOUND('',#53076,.T.); #32401=FACE_OUTER_BOUND('',#53077,.T.); #32402=FACE_OUTER_BOUND('',#53078,.T.); #32403=FACE_OUTER_BOUND('',#53079,.T.); #32404=FACE_OUTER_BOUND('',#53080,.T.); #32405=FACE_OUTER_BOUND('',#53081,.T.); #32406=FACE_OUTER_BOUND('',#53082,.T.); #32407=FACE_OUTER_BOUND('',#53083,.T.); #32408=FACE_OUTER_BOUND('',#53084,.T.); #32409=FACE_OUTER_BOUND('',#53085,.T.); #32410=FACE_OUTER_BOUND('',#53086,.T.); #32411=FACE_OUTER_BOUND('',#53087,.T.); #32412=FACE_OUTER_BOUND('',#53088,.T.); #32413=FACE_OUTER_BOUND('',#53089,.T.); #32414=FACE_OUTER_BOUND('',#53090,.T.); #32415=FACE_OUTER_BOUND('',#53091,.T.); #32416=FACE_OUTER_BOUND('',#53092,.T.); #32417=FACE_OUTER_BOUND('',#53093,.T.); #32418=FACE_OUTER_BOUND('',#53094,.T.); #32419=FACE_OUTER_BOUND('',#53095,.T.); #32420=FACE_OUTER_BOUND('',#53096,.T.); #32421=FACE_OUTER_BOUND('',#53097,.T.); #32422=FACE_OUTER_BOUND('',#53098,.T.); #32423=FACE_OUTER_BOUND('',#53099,.T.); #32424=FACE_OUTER_BOUND('',#53100,.T.); #32425=FACE_OUTER_BOUND('',#53101,.T.); #32426=FACE_OUTER_BOUND('',#53102,.T.); #32427=FACE_OUTER_BOUND('',#53103,.T.); #32428=FACE_OUTER_BOUND('',#53104,.T.); #32429=FACE_OUTER_BOUND('',#53105,.T.); #32430=FACE_OUTER_BOUND('',#53106,.T.); #32431=FACE_OUTER_BOUND('',#53107,.T.); #32432=FACE_OUTER_BOUND('',#53108,.T.); #32433=FACE_OUTER_BOUND('',#53109,.T.); #32434=FACE_OUTER_BOUND('',#53110,.T.); #32435=FACE_OUTER_BOUND('',#53111,.T.); #32436=FACE_OUTER_BOUND('',#53112,.T.); #32437=FACE_OUTER_BOUND('',#53113,.T.); #32438=FACE_OUTER_BOUND('',#53114,.T.); #32439=FACE_OUTER_BOUND('',#53115,.T.); #32440=FACE_OUTER_BOUND('',#53116,.T.); #32441=FACE_OUTER_BOUND('',#53117,.T.); #32442=FACE_OUTER_BOUND('',#53118,.T.); #32443=FACE_OUTER_BOUND('',#53119,.T.); #32444=FACE_OUTER_BOUND('',#53120,.T.); #32445=FACE_OUTER_BOUND('',#53121,.T.); #32446=FACE_OUTER_BOUND('',#53122,.T.); #32447=FACE_OUTER_BOUND('',#53123,.T.); #32448=FACE_OUTER_BOUND('',#53124,.T.); #32449=FACE_OUTER_BOUND('',#53125,.T.); #32450=FACE_OUTER_BOUND('',#53126,.T.); #32451=FACE_OUTER_BOUND('',#53127,.T.); #32452=FACE_OUTER_BOUND('',#53128,.T.); #32453=FACE_OUTER_BOUND('',#53129,.T.); #32454=FACE_OUTER_BOUND('',#53130,.T.); #32455=FACE_OUTER_BOUND('',#53131,.T.); #32456=FACE_OUTER_BOUND('',#53132,.T.); #32457=FACE_OUTER_BOUND('',#53133,.T.); #32458=FACE_OUTER_BOUND('',#53134,.T.); #32459=FACE_OUTER_BOUND('',#53135,.T.); #32460=FACE_OUTER_BOUND('',#53136,.T.); #32461=FACE_OUTER_BOUND('',#53137,.T.); #32462=FACE_OUTER_BOUND('',#53138,.T.); #32463=FACE_OUTER_BOUND('',#53139,.T.); #32464=FACE_OUTER_BOUND('',#53140,.T.); #32465=FACE_OUTER_BOUND('',#53141,.T.); #32466=FACE_OUTER_BOUND('',#53142,.T.); #32467=FACE_OUTER_BOUND('',#53143,.T.); #32468=FACE_OUTER_BOUND('',#53144,.T.); #32469=FACE_OUTER_BOUND('',#53145,.T.); #32470=FACE_OUTER_BOUND('',#53146,.T.); #32471=FACE_OUTER_BOUND('',#53147,.T.); #32472=FACE_OUTER_BOUND('',#53148,.T.); #32473=FACE_OUTER_BOUND('',#53149,.T.); #32474=FACE_OUTER_BOUND('',#53150,.T.); #32475=FACE_OUTER_BOUND('',#53151,.T.); #32476=FACE_OUTER_BOUND('',#53152,.T.); #32477=FACE_OUTER_BOUND('',#53153,.T.); #32478=FACE_OUTER_BOUND('',#53154,.T.); #32479=FACE_OUTER_BOUND('',#53155,.T.); #32480=FACE_OUTER_BOUND('',#53156,.T.); #32481=FACE_OUTER_BOUND('',#53157,.T.); #32482=FACE_OUTER_BOUND('',#53158,.T.); #32483=FACE_OUTER_BOUND('',#53159,.T.); #32484=FACE_OUTER_BOUND('',#53160,.T.); #32485=FACE_OUTER_BOUND('',#53161,.T.); #32486=FACE_OUTER_BOUND('',#53162,.T.); #32487=FACE_OUTER_BOUND('',#53163,.T.); #32488=FACE_OUTER_BOUND('',#53164,.T.); #32489=FACE_OUTER_BOUND('',#53165,.T.); #32490=FACE_OUTER_BOUND('',#53166,.T.); #32491=FACE_OUTER_BOUND('',#53167,.T.); #32492=FACE_OUTER_BOUND('',#53168,.T.); #32493=FACE_OUTER_BOUND('',#53169,.T.); #32494=FACE_OUTER_BOUND('',#53170,.T.); #32495=FACE_OUTER_BOUND('',#53171,.T.); #32496=FACE_OUTER_BOUND('',#53172,.T.); #32497=FACE_OUTER_BOUND('',#53173,.T.); #32498=FACE_OUTER_BOUND('',#53174,.T.); #32499=FACE_OUTER_BOUND('',#53175,.T.); #32500=FACE_OUTER_BOUND('',#53176,.T.); #32501=FACE_OUTER_BOUND('',#53177,.T.); #32502=FACE_OUTER_BOUND('',#53178,.T.); #32503=FACE_OUTER_BOUND('',#53179,.T.); #32504=FACE_OUTER_BOUND('',#53180,.T.); #32505=FACE_OUTER_BOUND('',#53181,.T.); #32506=FACE_OUTER_BOUND('',#53182,.T.); #32507=FACE_OUTER_BOUND('',#53183,.T.); #32508=FACE_OUTER_BOUND('',#53184,.T.); #32509=FACE_OUTER_BOUND('',#53185,.T.); #32510=FACE_OUTER_BOUND('',#53186,.T.); #32511=FACE_OUTER_BOUND('',#53187,.T.); #32512=FACE_OUTER_BOUND('',#53188,.T.); #32513=FACE_OUTER_BOUND('',#53189,.T.); #32514=FACE_OUTER_BOUND('',#53190,.T.); #32515=FACE_OUTER_BOUND('',#53191,.T.); #32516=FACE_OUTER_BOUND('',#53192,.T.); #32517=FACE_OUTER_BOUND('',#53193,.T.); #32518=FACE_OUTER_BOUND('',#53194,.T.); #32519=FACE_OUTER_BOUND('',#53195,.T.); #32520=FACE_OUTER_BOUND('',#53196,.T.); #32521=FACE_OUTER_BOUND('',#53197,.T.); #32522=FACE_OUTER_BOUND('',#53198,.T.); #32523=FACE_OUTER_BOUND('',#53199,.T.); #32524=FACE_OUTER_BOUND('',#53200,.T.); #32525=FACE_OUTER_BOUND('',#53201,.T.); #32526=FACE_OUTER_BOUND('',#53202,.T.); #32527=FACE_OUTER_BOUND('',#53203,.T.); #32528=FACE_OUTER_BOUND('',#53204,.T.); #32529=FACE_OUTER_BOUND('',#53205,.T.); #32530=FACE_OUTER_BOUND('',#53206,.T.); #32531=FACE_OUTER_BOUND('',#53207,.T.); #32532=FACE_OUTER_BOUND('',#53208,.T.); #32533=FACE_OUTER_BOUND('',#53209,.T.); #32534=FACE_OUTER_BOUND('',#53210,.T.); #32535=FACE_OUTER_BOUND('',#53211,.T.); #32536=FACE_OUTER_BOUND('',#53212,.T.); #32537=FACE_OUTER_BOUND('',#53213,.T.); #32538=FACE_OUTER_BOUND('',#53214,.T.); #32539=FACE_OUTER_BOUND('',#53215,.T.); #32540=FACE_OUTER_BOUND('',#53216,.T.); #32541=FACE_OUTER_BOUND('',#53217,.T.); #32542=FACE_OUTER_BOUND('',#53218,.T.); #32543=FACE_OUTER_BOUND('',#53219,.T.); #32544=FACE_OUTER_BOUND('',#53220,.T.); #32545=FACE_OUTER_BOUND('',#53221,.T.); #32546=FACE_OUTER_BOUND('',#53222,.T.); #32547=FACE_OUTER_BOUND('',#53223,.T.); #32548=FACE_OUTER_BOUND('',#53224,.T.); #32549=FACE_OUTER_BOUND('',#53225,.T.); #32550=FACE_OUTER_BOUND('',#53226,.T.); #32551=FACE_OUTER_BOUND('',#53227,.T.); #32552=FACE_OUTER_BOUND('',#53228,.T.); #32553=FACE_OUTER_BOUND('',#53229,.T.); #32554=FACE_OUTER_BOUND('',#53230,.T.); #32555=FACE_OUTER_BOUND('',#53231,.T.); #32556=FACE_OUTER_BOUND('',#53232,.T.); #32557=FACE_OUTER_BOUND('',#53233,.T.); #32558=FACE_OUTER_BOUND('',#53234,.T.); #32559=FACE_OUTER_BOUND('',#53235,.T.); #32560=FACE_OUTER_BOUND('',#53236,.T.); #32561=FACE_OUTER_BOUND('',#53237,.T.); #32562=FACE_OUTER_BOUND('',#53238,.T.); #32563=FACE_OUTER_BOUND('',#53239,.T.); #32564=FACE_OUTER_BOUND('',#53240,.T.); #32565=FACE_OUTER_BOUND('',#53241,.T.); #32566=FACE_OUTER_BOUND('',#53242,.T.); #32567=FACE_OUTER_BOUND('',#53243,.T.); #32568=FACE_OUTER_BOUND('',#53244,.T.); #32569=FACE_OUTER_BOUND('',#53245,.T.); #32570=FACE_OUTER_BOUND('',#53246,.T.); #32571=FACE_OUTER_BOUND('',#53247,.T.); #32572=FACE_OUTER_BOUND('',#53248,.T.); #32573=FACE_OUTER_BOUND('',#53249,.T.); #32574=FACE_OUTER_BOUND('',#53250,.T.); #32575=FACE_OUTER_BOUND('',#53251,.T.); #32576=FACE_OUTER_BOUND('',#53252,.T.); #32577=FACE_OUTER_BOUND('',#53253,.T.); #32578=FACE_OUTER_BOUND('',#53254,.T.); #32579=FACE_OUTER_BOUND('',#53255,.T.); #32580=FACE_OUTER_BOUND('',#53256,.T.); #32581=FACE_OUTER_BOUND('',#53257,.T.); #32582=FACE_OUTER_BOUND('',#53258,.T.); #32583=FACE_OUTER_BOUND('',#53259,.T.); #32584=FACE_OUTER_BOUND('',#53260,.T.); #32585=FACE_OUTER_BOUND('',#53261,.T.); #32586=FACE_OUTER_BOUND('',#53262,.T.); #32587=FACE_OUTER_BOUND('',#53263,.T.); #32588=FACE_OUTER_BOUND('',#53264,.T.); #32589=FACE_OUTER_BOUND('',#53265,.T.); #32590=FACE_OUTER_BOUND('',#53266,.T.); #32591=FACE_OUTER_BOUND('',#53267,.T.); #32592=FACE_OUTER_BOUND('',#53268,.T.); #32593=FACE_OUTER_BOUND('',#53269,.T.); #32594=FACE_OUTER_BOUND('',#53270,.T.); #32595=FACE_OUTER_BOUND('',#53271,.T.); #32596=FACE_OUTER_BOUND('',#53272,.T.); #32597=FACE_OUTER_BOUND('',#53273,.T.); #32598=FACE_OUTER_BOUND('',#53274,.T.); #32599=FACE_OUTER_BOUND('',#53275,.T.); #32600=FACE_OUTER_BOUND('',#53276,.T.); #32601=FACE_OUTER_BOUND('',#53277,.T.); #32602=FACE_OUTER_BOUND('',#53278,.T.); #32603=FACE_OUTER_BOUND('',#53279,.T.); #32604=FACE_OUTER_BOUND('',#53280,.T.); #32605=FACE_OUTER_BOUND('',#53281,.T.); #32606=FACE_OUTER_BOUND('',#53282,.T.); #32607=FACE_OUTER_BOUND('',#53283,.T.); #32608=FACE_OUTER_BOUND('',#53284,.T.); #32609=FACE_OUTER_BOUND('',#53285,.T.); #32610=FACE_OUTER_BOUND('',#53286,.T.); #32611=FACE_OUTER_BOUND('',#53287,.T.); #32612=FACE_OUTER_BOUND('',#53288,.T.); #32613=FACE_OUTER_BOUND('',#53289,.T.); #32614=FACE_OUTER_BOUND('',#53290,.T.); #32615=FACE_OUTER_BOUND('',#53291,.T.); #32616=FACE_OUTER_BOUND('',#53292,.T.); #32617=FACE_OUTER_BOUND('',#53293,.T.); #32618=FACE_OUTER_BOUND('',#53294,.T.); #32619=FACE_OUTER_BOUND('',#53295,.T.); #32620=FACE_OUTER_BOUND('',#53296,.T.); #32621=FACE_OUTER_BOUND('',#53297,.T.); #32622=FACE_OUTER_BOUND('',#53298,.T.); #32623=FACE_OUTER_BOUND('',#53299,.T.); #32624=FACE_OUTER_BOUND('',#53300,.T.); #32625=FACE_OUTER_BOUND('',#53301,.T.); #32626=FACE_OUTER_BOUND('',#53302,.T.); #32627=FACE_OUTER_BOUND('',#53303,.T.); #32628=FACE_OUTER_BOUND('',#53304,.T.); #32629=FACE_OUTER_BOUND('',#53305,.T.); #32630=FACE_OUTER_BOUND('',#53306,.T.); #32631=FACE_OUTER_BOUND('',#53307,.T.); #32632=FACE_OUTER_BOUND('',#53308,.T.); #32633=FACE_OUTER_BOUND('',#53309,.T.); #32634=FACE_OUTER_BOUND('',#53310,.T.); #32635=FACE_OUTER_BOUND('',#53311,.T.); #32636=FACE_OUTER_BOUND('',#53312,.T.); #32637=FACE_OUTER_BOUND('',#53313,.T.); #32638=FACE_OUTER_BOUND('',#53314,.T.); #32639=FACE_OUTER_BOUND('',#53315,.T.); #32640=FACE_OUTER_BOUND('',#53316,.T.); #32641=FACE_OUTER_BOUND('',#53317,.T.); #32642=FACE_OUTER_BOUND('',#53318,.T.); #32643=FACE_OUTER_BOUND('',#53319,.T.); #32644=FACE_OUTER_BOUND('',#53320,.T.); #32645=FACE_OUTER_BOUND('',#53321,.T.); #32646=FACE_OUTER_BOUND('',#53322,.T.); #32647=FACE_OUTER_BOUND('',#53323,.T.); #32648=FACE_OUTER_BOUND('',#53324,.T.); #32649=FACE_OUTER_BOUND('',#53325,.T.); #32650=FACE_OUTER_BOUND('',#53326,.T.); #32651=FACE_OUTER_BOUND('',#53327,.T.); #32652=FACE_OUTER_BOUND('',#53328,.T.); #32653=FACE_OUTER_BOUND('',#53329,.T.); #32654=FACE_OUTER_BOUND('',#53330,.T.); #32655=FACE_OUTER_BOUND('',#53331,.T.); #32656=FACE_OUTER_BOUND('',#53332,.T.); #32657=FACE_OUTER_BOUND('',#53333,.T.); #32658=FACE_OUTER_BOUND('',#53334,.T.); #32659=FACE_OUTER_BOUND('',#53335,.T.); #32660=FACE_OUTER_BOUND('',#53336,.T.); #32661=FACE_OUTER_BOUND('',#53337,.T.); #32662=FACE_OUTER_BOUND('',#53338,.T.); #32663=FACE_OUTER_BOUND('',#53339,.T.); #32664=FACE_OUTER_BOUND('',#53340,.T.); #32665=FACE_OUTER_BOUND('',#53341,.T.); #32666=FACE_OUTER_BOUND('',#53342,.T.); #32667=FACE_OUTER_BOUND('',#53343,.T.); #32668=FACE_OUTER_BOUND('',#53344,.T.); #32669=FACE_OUTER_BOUND('',#53345,.T.); #32670=FACE_OUTER_BOUND('',#53346,.T.); #32671=FACE_OUTER_BOUND('',#53347,.T.); #32672=FACE_OUTER_BOUND('',#53348,.T.); #32673=FACE_OUTER_BOUND('',#53349,.T.); #32674=FACE_OUTER_BOUND('',#53350,.T.); #32675=FACE_OUTER_BOUND('',#53351,.T.); #32676=FACE_OUTER_BOUND('',#53352,.T.); #32677=FACE_OUTER_BOUND('',#53353,.T.); #32678=FACE_OUTER_BOUND('',#53354,.T.); #32679=FACE_OUTER_BOUND('',#53355,.T.); #32680=FACE_OUTER_BOUND('',#53356,.T.); #32681=FACE_OUTER_BOUND('',#53357,.T.); #32682=FACE_OUTER_BOUND('',#53358,.T.); #32683=FACE_OUTER_BOUND('',#53359,.T.); #32684=FACE_OUTER_BOUND('',#53360,.T.); #32685=FACE_OUTER_BOUND('',#53361,.T.); #32686=FACE_OUTER_BOUND('',#53362,.T.); #32687=FACE_OUTER_BOUND('',#53363,.T.); #32688=FACE_OUTER_BOUND('',#53364,.T.); #32689=FACE_OUTER_BOUND('',#53365,.T.); #32690=FACE_OUTER_BOUND('',#53366,.T.); #32691=FACE_OUTER_BOUND('',#53367,.T.); #32692=FACE_OUTER_BOUND('',#53368,.T.); #32693=FACE_OUTER_BOUND('',#53369,.T.); #32694=FACE_OUTER_BOUND('',#53370,.T.); #32695=FACE_OUTER_BOUND('',#53371,.T.); #32696=FACE_OUTER_BOUND('',#53372,.T.); #32697=FACE_OUTER_BOUND('',#53373,.T.); #32698=FACE_OUTER_BOUND('',#53374,.T.); #32699=FACE_OUTER_BOUND('',#53375,.T.); #32700=FACE_OUTER_BOUND('',#53376,.T.); #32701=FACE_OUTER_BOUND('',#53377,.T.); #32702=FACE_OUTER_BOUND('',#53378,.T.); #32703=FACE_OUTER_BOUND('',#53379,.T.); #32704=FACE_OUTER_BOUND('',#53380,.T.); #32705=FACE_OUTER_BOUND('',#53381,.T.); #32706=FACE_OUTER_BOUND('',#53382,.T.); #32707=FACE_OUTER_BOUND('',#53383,.T.); #32708=FACE_OUTER_BOUND('',#53384,.T.); #32709=FACE_OUTER_BOUND('',#53385,.T.); #32710=FACE_OUTER_BOUND('',#53386,.T.); #32711=FACE_OUTER_BOUND('',#53387,.T.); #32712=FACE_OUTER_BOUND('',#53388,.T.); #32713=FACE_OUTER_BOUND('',#53389,.T.); #32714=FACE_OUTER_BOUND('',#53390,.T.); #32715=FACE_OUTER_BOUND('',#53391,.T.); #32716=FACE_OUTER_BOUND('',#53392,.T.); #32717=FACE_OUTER_BOUND('',#53393,.T.); #32718=FACE_OUTER_BOUND('',#53394,.T.); #32719=FACE_OUTER_BOUND('',#53395,.T.); #32720=FACE_OUTER_BOUND('',#53396,.T.); #32721=FACE_OUTER_BOUND('',#53397,.T.); #32722=FACE_OUTER_BOUND('',#53398,.T.); #32723=FACE_OUTER_BOUND('',#53399,.T.); #32724=FACE_OUTER_BOUND('',#53400,.T.); #32725=FACE_OUTER_BOUND('',#53401,.T.); #32726=FACE_OUTER_BOUND('',#53402,.T.); #32727=FACE_OUTER_BOUND('',#53403,.T.); #32728=FACE_OUTER_BOUND('',#53404,.T.); #32729=FACE_OUTER_BOUND('',#53405,.T.); #32730=FACE_OUTER_BOUND('',#53406,.T.); #32731=FACE_OUTER_BOUND('',#53407,.T.); #32732=FACE_OUTER_BOUND('',#53408,.T.); #32733=FACE_OUTER_BOUND('',#53409,.T.); #32734=FACE_OUTER_BOUND('',#53410,.T.); #32735=FACE_OUTER_BOUND('',#53411,.T.); #32736=FACE_OUTER_BOUND('',#53412,.T.); #32737=FACE_OUTER_BOUND('',#53413,.T.); #32738=FACE_OUTER_BOUND('',#53414,.T.); #32739=FACE_OUTER_BOUND('',#53415,.T.); #32740=FACE_OUTER_BOUND('',#53416,.T.); #32741=FACE_OUTER_BOUND('',#53417,.T.); #32742=FACE_OUTER_BOUND('',#53418,.T.); #32743=FACE_OUTER_BOUND('',#53419,.T.); #32744=FACE_OUTER_BOUND('',#53420,.T.); #32745=FACE_OUTER_BOUND('',#53421,.T.); #32746=FACE_OUTER_BOUND('',#53422,.T.); #32747=FACE_OUTER_BOUND('',#53423,.T.); #32748=FACE_OUTER_BOUND('',#53424,.T.); #32749=FACE_OUTER_BOUND('',#53425,.T.); #32750=FACE_OUTER_BOUND('',#53426,.T.); #32751=FACE_OUTER_BOUND('',#53427,.T.); #32752=FACE_OUTER_BOUND('',#53428,.T.); #32753=FACE_OUTER_BOUND('',#53429,.T.); #32754=FACE_OUTER_BOUND('',#53430,.T.); #32755=FACE_OUTER_BOUND('',#53431,.T.); #32756=FACE_OUTER_BOUND('',#53432,.T.); #32757=FACE_OUTER_BOUND('',#53433,.T.); #32758=FACE_OUTER_BOUND('',#53434,.T.); #32759=FACE_OUTER_BOUND('',#53435,.T.); #32760=FACE_OUTER_BOUND('',#53436,.T.); #32761=FACE_OUTER_BOUND('',#53437,.T.); #32762=FACE_OUTER_BOUND('',#53438,.T.); #32763=FACE_OUTER_BOUND('',#53439,.T.); #32764=FACE_OUTER_BOUND('',#53440,.T.); #32765=FACE_OUTER_BOUND('',#53441,.T.); #32766=FACE_OUTER_BOUND('',#53442,.T.); #32767=FACE_OUTER_BOUND('',#53443,.T.); #32768=FACE_OUTER_BOUND('',#53444,.T.); #32769=FACE_OUTER_BOUND('',#53445,.T.); #32770=FACE_OUTER_BOUND('',#53446,.T.); #32771=FACE_OUTER_BOUND('',#53447,.T.); #32772=FACE_OUTER_BOUND('',#53448,.T.); #32773=FACE_OUTER_BOUND('',#53449,.T.); #32774=FACE_OUTER_BOUND('',#53450,.T.); #32775=FACE_OUTER_BOUND('',#53451,.T.); #32776=FACE_OUTER_BOUND('',#53452,.T.); #32777=FACE_OUTER_BOUND('',#53453,.T.); #32778=FACE_OUTER_BOUND('',#53454,.T.); #32779=FACE_OUTER_BOUND('',#53455,.T.); #32780=FACE_OUTER_BOUND('',#53456,.T.); #32781=FACE_OUTER_BOUND('',#53457,.T.); #32782=FACE_OUTER_BOUND('',#53458,.T.); #32783=FACE_OUTER_BOUND('',#53459,.T.); #32784=FACE_OUTER_BOUND('',#53460,.T.); #32785=FACE_OUTER_BOUND('',#53461,.T.); #32786=FACE_OUTER_BOUND('',#53462,.T.); #32787=FACE_OUTER_BOUND('',#53463,.T.); #32788=FACE_OUTER_BOUND('',#53464,.T.); #32789=FACE_OUTER_BOUND('',#53465,.T.); #32790=FACE_OUTER_BOUND('',#53466,.T.); #32791=FACE_OUTER_BOUND('',#53467,.T.); #32792=FACE_OUTER_BOUND('',#53468,.T.); #32793=FACE_OUTER_BOUND('',#53469,.T.); #32794=FACE_OUTER_BOUND('',#53470,.T.); #32795=FACE_OUTER_BOUND('',#53471,.T.); #32796=FACE_OUTER_BOUND('',#53472,.T.); #32797=FACE_OUTER_BOUND('',#53473,.T.); #32798=FACE_OUTER_BOUND('',#53474,.T.); #32799=FACE_OUTER_BOUND('',#53475,.T.); #32800=FACE_OUTER_BOUND('',#53476,.T.); #32801=FACE_OUTER_BOUND('',#53477,.T.); #32802=FACE_OUTER_BOUND('',#53478,.T.); #32803=FACE_OUTER_BOUND('',#53479,.T.); #32804=FACE_OUTER_BOUND('',#53480,.T.); #32805=FACE_OUTER_BOUND('',#53481,.T.); #32806=FACE_OUTER_BOUND('',#53482,.T.); #32807=FACE_OUTER_BOUND('',#53483,.T.); #32808=FACE_OUTER_BOUND('',#53484,.T.); #32809=FACE_OUTER_BOUND('',#53485,.T.); #32810=FACE_OUTER_BOUND('',#53486,.T.); #32811=FACE_OUTER_BOUND('',#53487,.T.); #32812=FACE_OUTER_BOUND('',#53488,.T.); #32813=FACE_OUTER_BOUND('',#53489,.T.); #32814=FACE_OUTER_BOUND('',#53490,.T.); #32815=FACE_OUTER_BOUND('',#53491,.T.); #32816=FACE_OUTER_BOUND('',#53492,.T.); #32817=FACE_OUTER_BOUND('',#53493,.T.); #32818=FACE_OUTER_BOUND('',#53494,.T.); #32819=FACE_OUTER_BOUND('',#53495,.T.); #32820=FACE_OUTER_BOUND('',#53496,.T.); #32821=FACE_OUTER_BOUND('',#53497,.T.); #32822=FACE_OUTER_BOUND('',#53498,.T.); #32823=FACE_OUTER_BOUND('',#53499,.T.); #32824=FACE_OUTER_BOUND('',#53500,.T.); #32825=FACE_OUTER_BOUND('',#53501,.T.); #32826=FACE_OUTER_BOUND('',#53502,.T.); #32827=FACE_OUTER_BOUND('',#53503,.T.); #32828=FACE_OUTER_BOUND('',#53504,.T.); #32829=FACE_OUTER_BOUND('',#53505,.T.); #32830=FACE_OUTER_BOUND('',#53506,.T.); #32831=FACE_OUTER_BOUND('',#53507,.T.); #32832=FACE_OUTER_BOUND('',#53508,.T.); #32833=FACE_OUTER_BOUND('',#53509,.T.); #32834=FACE_OUTER_BOUND('',#53510,.T.); #32835=FACE_OUTER_BOUND('',#53511,.T.); #32836=FACE_OUTER_BOUND('',#53512,.T.); #32837=FACE_OUTER_BOUND('',#53513,.T.); #32838=FACE_OUTER_BOUND('',#53514,.T.); #32839=FACE_OUTER_BOUND('',#53515,.T.); #32840=FACE_OUTER_BOUND('',#53516,.T.); #32841=FACE_OUTER_BOUND('',#53517,.T.); #32842=FACE_OUTER_BOUND('',#53518,.T.); #32843=FACE_OUTER_BOUND('',#53519,.T.); #32844=FACE_OUTER_BOUND('',#53520,.T.); #32845=FACE_OUTER_BOUND('',#53521,.T.); #32846=FACE_OUTER_BOUND('',#53522,.T.); #32847=FACE_OUTER_BOUND('',#53523,.T.); #32848=FACE_OUTER_BOUND('',#53524,.T.); #32849=FACE_OUTER_BOUND('',#53525,.T.); #32850=FACE_OUTER_BOUND('',#53526,.T.); #32851=FACE_OUTER_BOUND('',#53527,.T.); #32852=FACE_OUTER_BOUND('',#53528,.T.); #32853=FACE_OUTER_BOUND('',#53529,.T.); #32854=FACE_OUTER_BOUND('',#53530,.T.); #32855=FACE_OUTER_BOUND('',#53531,.T.); #32856=FACE_OUTER_BOUND('',#53532,.T.); #32857=FACE_OUTER_BOUND('',#53533,.T.); #32858=FACE_OUTER_BOUND('',#53534,.T.); #32859=FACE_OUTER_BOUND('',#53535,.T.); #32860=FACE_OUTER_BOUND('',#53536,.T.); #32861=FACE_OUTER_BOUND('',#53537,.T.); #32862=FACE_OUTER_BOUND('',#53538,.T.); #32863=FACE_OUTER_BOUND('',#53539,.T.); #32864=FACE_OUTER_BOUND('',#53540,.T.); #32865=FACE_OUTER_BOUND('',#53541,.T.); #32866=FACE_OUTER_BOUND('',#53542,.T.); #32867=FACE_OUTER_BOUND('',#53543,.T.); #32868=FACE_OUTER_BOUND('',#53544,.T.); #32869=FACE_OUTER_BOUND('',#53545,.T.); #32870=FACE_OUTER_BOUND('',#53546,.T.); #32871=FACE_OUTER_BOUND('',#53547,.T.); #32872=FACE_OUTER_BOUND('',#53548,.T.); #32873=FACE_OUTER_BOUND('',#53549,.T.); #32874=FACE_OUTER_BOUND('',#53550,.T.); #32875=FACE_OUTER_BOUND('',#53551,.T.); #32876=FACE_OUTER_BOUND('',#53552,.T.); #32877=FACE_OUTER_BOUND('',#53553,.T.); #32878=FACE_OUTER_BOUND('',#53554,.T.); #32879=FACE_OUTER_BOUND('',#53555,.T.); #32880=FACE_OUTER_BOUND('',#53556,.T.); #32881=FACE_OUTER_BOUND('',#53557,.T.); #32882=FACE_OUTER_BOUND('',#53558,.T.); #32883=FACE_OUTER_BOUND('',#53559,.T.); #32884=FACE_OUTER_BOUND('',#53560,.T.); #32885=FACE_OUTER_BOUND('',#53561,.T.); #32886=FACE_OUTER_BOUND('',#53562,.T.); #32887=FACE_OUTER_BOUND('',#53563,.T.); #32888=FACE_OUTER_BOUND('',#53564,.T.); #32889=FACE_OUTER_BOUND('',#53565,.T.); #32890=FACE_OUTER_BOUND('',#53566,.T.); #32891=FACE_OUTER_BOUND('',#53567,.T.); #32892=FACE_OUTER_BOUND('',#53568,.T.); #32893=FACE_OUTER_BOUND('',#53569,.T.); #32894=FACE_OUTER_BOUND('',#53570,.T.); #32895=FACE_OUTER_BOUND('',#53571,.T.); #32896=FACE_OUTER_BOUND('',#53572,.T.); #32897=FACE_OUTER_BOUND('',#53573,.T.); #32898=FACE_OUTER_BOUND('',#53574,.T.); #32899=FACE_OUTER_BOUND('',#53575,.T.); #32900=FACE_OUTER_BOUND('',#53576,.T.); #32901=FACE_OUTER_BOUND('',#53577,.T.); #32902=FACE_OUTER_BOUND('',#53578,.T.); #32903=FACE_OUTER_BOUND('',#53579,.T.); #32904=FACE_OUTER_BOUND('',#53580,.T.); #32905=FACE_OUTER_BOUND('',#53581,.T.); #32906=FACE_OUTER_BOUND('',#53582,.T.); #32907=FACE_OUTER_BOUND('',#53583,.T.); #32908=FACE_OUTER_BOUND('',#53584,.T.); #32909=FACE_OUTER_BOUND('',#53585,.T.); #32910=FACE_OUTER_BOUND('',#53586,.T.); #32911=FACE_OUTER_BOUND('',#53587,.T.); #32912=FACE_OUTER_BOUND('',#53588,.T.); #32913=FACE_OUTER_BOUND('',#53589,.T.); #32914=FACE_OUTER_BOUND('',#53590,.T.); #32915=FACE_OUTER_BOUND('',#53591,.T.); #32916=FACE_OUTER_BOUND('',#53592,.T.); #32917=FACE_OUTER_BOUND('',#53593,.T.); #32918=FACE_OUTER_BOUND('',#53594,.T.); #32919=FACE_OUTER_BOUND('',#53595,.T.); #32920=FACE_OUTER_BOUND('',#53596,.T.); #32921=FACE_OUTER_BOUND('',#53597,.T.); #32922=FACE_OUTER_BOUND('',#53598,.T.); #32923=FACE_OUTER_BOUND('',#53599,.T.); #32924=FACE_OUTER_BOUND('',#53600,.T.); #32925=FACE_OUTER_BOUND('',#53601,.T.); #32926=FACE_OUTER_BOUND('',#53602,.T.); #32927=FACE_OUTER_BOUND('',#53603,.T.); #32928=FACE_OUTER_BOUND('',#53604,.T.); #32929=FACE_OUTER_BOUND('',#53605,.T.); #32930=FACE_OUTER_BOUND('',#53606,.T.); #32931=FACE_OUTER_BOUND('',#53607,.T.); #32932=FACE_OUTER_BOUND('',#53608,.T.); #32933=FACE_OUTER_BOUND('',#53609,.T.); #32934=FACE_OUTER_BOUND('',#53610,.T.); #32935=FACE_OUTER_BOUND('',#53611,.T.); #32936=FACE_OUTER_BOUND('',#53612,.T.); #32937=FACE_OUTER_BOUND('',#53613,.T.); #32938=FACE_OUTER_BOUND('',#53614,.T.); #32939=FACE_OUTER_BOUND('',#53615,.T.); #32940=FACE_OUTER_BOUND('',#53616,.T.); #32941=FACE_OUTER_BOUND('',#53617,.T.); #32942=FACE_OUTER_BOUND('',#53618,.T.); #32943=FACE_OUTER_BOUND('',#53619,.T.); #32944=FACE_OUTER_BOUND('',#53620,.T.); #32945=FACE_OUTER_BOUND('',#53621,.T.); #32946=FACE_OUTER_BOUND('',#53622,.T.); #32947=FACE_OUTER_BOUND('',#53623,.T.); #32948=FACE_OUTER_BOUND('',#53624,.T.); #32949=FACE_OUTER_BOUND('',#53625,.T.); #32950=FACE_OUTER_BOUND('',#53626,.T.); #32951=FACE_OUTER_BOUND('',#53627,.T.); #32952=FACE_OUTER_BOUND('',#53628,.T.); #32953=FACE_OUTER_BOUND('',#53629,.T.); #32954=FACE_OUTER_BOUND('',#53630,.T.); #32955=FACE_OUTER_BOUND('',#53631,.T.); #32956=FACE_OUTER_BOUND('',#53632,.T.); #32957=FACE_OUTER_BOUND('',#53633,.T.); #32958=FACE_OUTER_BOUND('',#53634,.T.); #32959=FACE_OUTER_BOUND('',#53635,.T.); #32960=FACE_OUTER_BOUND('',#53636,.T.); #32961=FACE_OUTER_BOUND('',#53637,.T.); #32962=FACE_OUTER_BOUND('',#53638,.T.); #32963=FACE_OUTER_BOUND('',#53639,.T.); #32964=FACE_OUTER_BOUND('',#53640,.T.); #32965=FACE_OUTER_BOUND('',#53641,.T.); #32966=FACE_OUTER_BOUND('',#53642,.T.); #32967=FACE_OUTER_BOUND('',#53643,.T.); #32968=FACE_OUTER_BOUND('',#53644,.T.); #32969=FACE_OUTER_BOUND('',#53645,.T.); #32970=FACE_OUTER_BOUND('',#53646,.T.); #32971=FACE_OUTER_BOUND('',#53647,.T.); #32972=FACE_OUTER_BOUND('',#53648,.T.); #32973=FACE_OUTER_BOUND('',#53649,.T.); #32974=FACE_OUTER_BOUND('',#53650,.T.); #32975=FACE_OUTER_BOUND('',#53651,.T.); #32976=FACE_OUTER_BOUND('',#53652,.T.); #32977=FACE_OUTER_BOUND('',#53653,.T.); #32978=FACE_OUTER_BOUND('',#53654,.T.); #32979=FACE_OUTER_BOUND('',#53655,.T.); #32980=FACE_OUTER_BOUND('',#53656,.T.); #32981=FACE_OUTER_BOUND('',#53657,.T.); #32982=FACE_OUTER_BOUND('',#53658,.T.); #32983=FACE_OUTER_BOUND('',#53659,.T.); #32984=FACE_OUTER_BOUND('',#53660,.T.); #32985=FACE_OUTER_BOUND('',#53661,.T.); #32986=FACE_OUTER_BOUND('',#53662,.T.); #32987=FACE_OUTER_BOUND('',#53663,.T.); #32988=FACE_OUTER_BOUND('',#53664,.T.); #32989=FACE_OUTER_BOUND('',#53665,.T.); #32990=FACE_OUTER_BOUND('',#53666,.T.); #32991=FACE_OUTER_BOUND('',#53667,.T.); #32992=FACE_OUTER_BOUND('',#53668,.T.); #32993=FACE_OUTER_BOUND('',#53669,.T.); #32994=FACE_OUTER_BOUND('',#53670,.T.); #32995=FACE_OUTER_BOUND('',#53671,.T.); #32996=FACE_OUTER_BOUND('',#53672,.T.); #32997=FACE_OUTER_BOUND('',#53673,.T.); #32998=FACE_OUTER_BOUND('',#53674,.T.); #32999=FACE_OUTER_BOUND('',#53675,.T.); #33000=FACE_OUTER_BOUND('',#53676,.T.); #33001=FACE_OUTER_BOUND('',#53677,.T.); #33002=FACE_OUTER_BOUND('',#53678,.T.); #33003=FACE_OUTER_BOUND('',#53679,.T.); #33004=FACE_OUTER_BOUND('',#53680,.T.); #33005=FACE_OUTER_BOUND('',#53681,.T.); #33006=FACE_OUTER_BOUND('',#53682,.T.); #33007=FACE_OUTER_BOUND('',#53683,.T.); #33008=FACE_OUTER_BOUND('',#53684,.T.); #33009=FACE_OUTER_BOUND('',#53685,.T.); #33010=FACE_OUTER_BOUND('',#53686,.T.); #33011=FACE_OUTER_BOUND('',#53687,.T.); #33012=FACE_OUTER_BOUND('',#53688,.T.); #33013=FACE_OUTER_BOUND('',#53689,.T.); #33014=FACE_OUTER_BOUND('',#53690,.T.); #33015=FACE_OUTER_BOUND('',#53691,.T.); #33016=FACE_OUTER_BOUND('',#53692,.T.); #33017=FACE_OUTER_BOUND('',#53693,.T.); #33018=FACE_OUTER_BOUND('',#53694,.T.); #33019=FACE_OUTER_BOUND('',#53695,.T.); #33020=FACE_OUTER_BOUND('',#53696,.T.); #33021=FACE_OUTER_BOUND('',#53697,.T.); #33022=FACE_OUTER_BOUND('',#53698,.T.); #33023=FACE_OUTER_BOUND('',#53699,.T.); #33024=FACE_OUTER_BOUND('',#53700,.T.); #33025=FACE_OUTER_BOUND('',#53701,.T.); #33026=FACE_OUTER_BOUND('',#53702,.T.); #33027=FACE_OUTER_BOUND('',#53703,.T.); #33028=FACE_OUTER_BOUND('',#53704,.T.); #33029=FACE_OUTER_BOUND('',#53705,.T.); #33030=FACE_OUTER_BOUND('',#53706,.T.); #33031=FACE_OUTER_BOUND('',#53707,.T.); #33032=FACE_OUTER_BOUND('',#53708,.T.); #33033=FACE_OUTER_BOUND('',#53709,.T.); #33034=FACE_OUTER_BOUND('',#53710,.T.); #33035=FACE_OUTER_BOUND('',#53711,.T.); #33036=FACE_OUTER_BOUND('',#53712,.T.); #33037=FACE_OUTER_BOUND('',#53713,.T.); #33038=FACE_OUTER_BOUND('',#53714,.T.); #33039=FACE_OUTER_BOUND('',#53715,.T.); #33040=FACE_OUTER_BOUND('',#53716,.T.); #33041=FACE_OUTER_BOUND('',#53717,.T.); #33042=FACE_OUTER_BOUND('',#53718,.T.); #33043=FACE_OUTER_BOUND('',#53719,.T.); #33044=FACE_OUTER_BOUND('',#53720,.T.); #33045=FACE_OUTER_BOUND('',#53721,.T.); #33046=FACE_OUTER_BOUND('',#53722,.T.); #33047=FACE_OUTER_BOUND('',#53723,.T.); #33048=FACE_OUTER_BOUND('',#53724,.T.); #33049=FACE_OUTER_BOUND('',#53725,.T.); #33050=FACE_OUTER_BOUND('',#53726,.T.); #33051=FACE_OUTER_BOUND('',#53727,.T.); #33052=FACE_OUTER_BOUND('',#53728,.T.); #33053=FACE_OUTER_BOUND('',#53729,.T.); #33054=FACE_OUTER_BOUND('',#53730,.T.); #33055=FACE_OUTER_BOUND('',#53731,.T.); #33056=FACE_OUTER_BOUND('',#53732,.T.); #33057=FACE_OUTER_BOUND('',#53733,.T.); #33058=FACE_OUTER_BOUND('',#53734,.T.); #33059=FACE_OUTER_BOUND('',#53735,.T.); #33060=FACE_OUTER_BOUND('',#53736,.T.); #33061=FACE_OUTER_BOUND('',#53737,.T.); #33062=FACE_OUTER_BOUND('',#53738,.T.); #33063=FACE_OUTER_BOUND('',#53739,.T.); #33064=FACE_OUTER_BOUND('',#53740,.T.); #33065=FACE_OUTER_BOUND('',#53741,.T.); #33066=FACE_OUTER_BOUND('',#53742,.T.); #33067=FACE_OUTER_BOUND('',#53743,.T.); #33068=FACE_OUTER_BOUND('',#53744,.T.); #33069=FACE_OUTER_BOUND('',#53745,.T.); #33070=FACE_OUTER_BOUND('',#53746,.T.); #33071=FACE_OUTER_BOUND('',#53747,.T.); #33072=FACE_OUTER_BOUND('',#53748,.T.); #33073=FACE_OUTER_BOUND('',#53749,.T.); #33074=FACE_OUTER_BOUND('',#53750,.T.); #33075=FACE_OUTER_BOUND('',#53751,.T.); #33076=FACE_OUTER_BOUND('',#53752,.T.); #33077=FACE_OUTER_BOUND('',#53753,.T.); #33078=FACE_OUTER_BOUND('',#53754,.T.); #33079=FACE_OUTER_BOUND('',#53755,.T.); #33080=FACE_OUTER_BOUND('',#53756,.T.); #33081=FACE_OUTER_BOUND('',#53757,.T.); #33082=FACE_OUTER_BOUND('',#53758,.T.); #33083=FACE_OUTER_BOUND('',#53759,.T.); #33084=FACE_OUTER_BOUND('',#53760,.T.); #33085=FACE_OUTER_BOUND('',#53761,.T.); #33086=FACE_OUTER_BOUND('',#53762,.T.); #33087=FACE_OUTER_BOUND('',#53763,.T.); #33088=FACE_OUTER_BOUND('',#53764,.T.); #33089=FACE_OUTER_BOUND('',#53765,.T.); #33090=FACE_OUTER_BOUND('',#53766,.T.); #33091=FACE_OUTER_BOUND('',#53767,.T.); #33092=FACE_OUTER_BOUND('',#53768,.T.); #33093=FACE_OUTER_BOUND('',#53769,.T.); #33094=FACE_OUTER_BOUND('',#53770,.T.); #33095=FACE_OUTER_BOUND('',#53771,.T.); #33096=FACE_OUTER_BOUND('',#53772,.T.); #33097=FACE_OUTER_BOUND('',#53773,.T.); #33098=FACE_OUTER_BOUND('',#53774,.T.); #33099=FACE_OUTER_BOUND('',#53775,.T.); #33100=FACE_OUTER_BOUND('',#53776,.T.); #33101=FACE_OUTER_BOUND('',#53777,.T.); #33102=FACE_OUTER_BOUND('',#53778,.T.); #33103=FACE_OUTER_BOUND('',#53779,.T.); #33104=FACE_OUTER_BOUND('',#53780,.T.); #33105=FACE_OUTER_BOUND('',#53781,.T.); #33106=FACE_OUTER_BOUND('',#53782,.T.); #33107=FACE_OUTER_BOUND('',#53783,.T.); #33108=FACE_OUTER_BOUND('',#53784,.T.); #33109=FACE_OUTER_BOUND('',#53785,.T.); #33110=FACE_OUTER_BOUND('',#53786,.T.); #33111=FACE_OUTER_BOUND('',#53787,.T.); #33112=FACE_OUTER_BOUND('',#53788,.T.); #33113=FACE_OUTER_BOUND('',#53789,.T.); #33114=FACE_OUTER_BOUND('',#53790,.T.); #33115=FACE_OUTER_BOUND('',#53791,.T.); #33116=FACE_OUTER_BOUND('',#53792,.T.); #33117=FACE_OUTER_BOUND('',#53793,.T.); #33118=FACE_OUTER_BOUND('',#53794,.T.); #33119=FACE_OUTER_BOUND('',#53795,.T.); #33120=FACE_OUTER_BOUND('',#53796,.T.); #33121=FACE_OUTER_BOUND('',#53797,.T.); #33122=FACE_OUTER_BOUND('',#53798,.T.); #33123=FACE_OUTER_BOUND('',#53799,.T.); #33124=FACE_OUTER_BOUND('',#53800,.T.); #33125=FACE_OUTER_BOUND('',#53801,.T.); #33126=FACE_OUTER_BOUND('',#53802,.T.); #33127=FACE_OUTER_BOUND('',#53803,.T.); #33128=FACE_OUTER_BOUND('',#53804,.T.); #33129=FACE_OUTER_BOUND('',#53805,.T.); #33130=FACE_OUTER_BOUND('',#53806,.T.); #33131=FACE_OUTER_BOUND('',#53807,.T.); #33132=FACE_OUTER_BOUND('',#53808,.T.); #33133=FACE_OUTER_BOUND('',#53809,.T.); #33134=FACE_OUTER_BOUND('',#53810,.T.); #33135=FACE_OUTER_BOUND('',#53811,.T.); #33136=FACE_OUTER_BOUND('',#53812,.T.); #33137=FACE_OUTER_BOUND('',#53813,.T.); #33138=FACE_OUTER_BOUND('',#53814,.T.); #33139=FACE_OUTER_BOUND('',#53815,.T.); #33140=FACE_OUTER_BOUND('',#53816,.T.); #33141=FACE_OUTER_BOUND('',#53817,.T.); #33142=FACE_OUTER_BOUND('',#53818,.T.); #33143=FACE_OUTER_BOUND('',#53819,.T.); #33144=FACE_OUTER_BOUND('',#53820,.T.); #33145=FACE_OUTER_BOUND('',#53821,.T.); #33146=FACE_OUTER_BOUND('',#53822,.T.); #33147=FACE_OUTER_BOUND('',#53823,.T.); #33148=FACE_OUTER_BOUND('',#53824,.T.); #33149=FACE_OUTER_BOUND('',#53825,.T.); #33150=FACE_OUTER_BOUND('',#53826,.T.); #33151=FACE_OUTER_BOUND('',#53827,.T.); #33152=FACE_OUTER_BOUND('',#53828,.T.); #33153=FACE_OUTER_BOUND('',#53829,.T.); #33154=FACE_OUTER_BOUND('',#53830,.T.); #33155=FACE_OUTER_BOUND('',#53831,.T.); #33156=FACE_OUTER_BOUND('',#53832,.T.); #33157=FACE_OUTER_BOUND('',#53833,.T.); #33158=FACE_OUTER_BOUND('',#53834,.T.); #33159=FACE_OUTER_BOUND('',#53835,.T.); #33160=FACE_OUTER_BOUND('',#53836,.T.); #33161=FACE_OUTER_BOUND('',#53837,.T.); #33162=FACE_OUTER_BOUND('',#53838,.T.); #33163=FACE_OUTER_BOUND('',#53839,.T.); #33164=FACE_OUTER_BOUND('',#53840,.T.); #33165=FACE_OUTER_BOUND('',#53841,.T.); #33166=FACE_OUTER_BOUND('',#53842,.T.); #33167=FACE_OUTER_BOUND('',#53843,.T.); #33168=FACE_OUTER_BOUND('',#53844,.T.); #33169=FACE_OUTER_BOUND('',#53845,.T.); #33170=FACE_OUTER_BOUND('',#53846,.T.); #33171=FACE_OUTER_BOUND('',#53847,.T.); #33172=FACE_OUTER_BOUND('',#53848,.T.); #33173=FACE_OUTER_BOUND('',#53849,.T.); #33174=FACE_OUTER_BOUND('',#53850,.T.); #33175=FACE_OUTER_BOUND('',#53851,.T.); #33176=FACE_OUTER_BOUND('',#53852,.T.); #33177=FACE_OUTER_BOUND('',#53853,.T.); #33178=FACE_OUTER_BOUND('',#53854,.T.); #33179=FACE_OUTER_BOUND('',#53855,.T.); #33180=FACE_OUTER_BOUND('',#53856,.T.); #33181=FACE_OUTER_BOUND('',#53857,.T.); #33182=FACE_OUTER_BOUND('',#53858,.T.); #33183=FACE_OUTER_BOUND('',#53859,.T.); #33184=FACE_OUTER_BOUND('',#53860,.T.); #33185=FACE_OUTER_BOUND('',#53861,.T.); #33186=FACE_OUTER_BOUND('',#53862,.T.); #33187=FACE_OUTER_BOUND('',#53863,.T.); #33188=FACE_OUTER_BOUND('',#53864,.T.); #33189=FACE_OUTER_BOUND('',#53865,.T.); #33190=FACE_OUTER_BOUND('',#53866,.T.); #33191=FACE_OUTER_BOUND('',#53867,.T.); #33192=FACE_OUTER_BOUND('',#53868,.T.); #33193=FACE_OUTER_BOUND('',#53869,.T.); #33194=FACE_OUTER_BOUND('',#53870,.T.); #33195=FACE_OUTER_BOUND('',#53871,.T.); #33196=FACE_OUTER_BOUND('',#53872,.T.); #33197=FACE_OUTER_BOUND('',#53873,.T.); #33198=FACE_OUTER_BOUND('',#53874,.T.); #33199=FACE_OUTER_BOUND('',#53875,.T.); #33200=FACE_OUTER_BOUND('',#53876,.T.); #33201=FACE_OUTER_BOUND('',#53877,.T.); #33202=FACE_OUTER_BOUND('',#53878,.T.); #33203=FACE_OUTER_BOUND('',#53879,.T.); #33204=FACE_OUTER_BOUND('',#53880,.T.); #33205=FACE_OUTER_BOUND('',#53881,.T.); #33206=FACE_OUTER_BOUND('',#53882,.T.); #33207=FACE_OUTER_BOUND('',#53883,.T.); #33208=FACE_OUTER_BOUND('',#53884,.T.); #33209=FACE_OUTER_BOUND('',#53885,.T.); #33210=FACE_OUTER_BOUND('',#53886,.T.); #33211=FACE_OUTER_BOUND('',#53887,.T.); #33212=FACE_OUTER_BOUND('',#53888,.T.); #33213=FACE_OUTER_BOUND('',#53889,.T.); #33214=FACE_OUTER_BOUND('',#53890,.T.); #33215=FACE_OUTER_BOUND('',#53891,.T.); #33216=FACE_OUTER_BOUND('',#53892,.T.); #33217=FACE_OUTER_BOUND('',#53893,.T.); #33218=FACE_OUTER_BOUND('',#53894,.T.); #33219=FACE_OUTER_BOUND('',#53895,.T.); #33220=FACE_OUTER_BOUND('',#53896,.T.); #33221=FACE_OUTER_BOUND('',#53897,.T.); #33222=FACE_OUTER_BOUND('',#53898,.T.); #33223=FACE_OUTER_BOUND('',#53899,.T.); #33224=FACE_OUTER_BOUND('',#53900,.T.); #33225=FACE_OUTER_BOUND('',#53901,.T.); #33226=FACE_OUTER_BOUND('',#53902,.T.); #33227=FACE_OUTER_BOUND('',#53903,.T.); #33228=FACE_OUTER_BOUND('',#53904,.T.); #33229=FACE_OUTER_BOUND('',#53905,.T.); #33230=FACE_OUTER_BOUND('',#53906,.T.); #33231=FACE_OUTER_BOUND('',#53907,.T.); #33232=FACE_OUTER_BOUND('',#53908,.T.); #33233=FACE_OUTER_BOUND('',#53909,.T.); #33234=FACE_OUTER_BOUND('',#53910,.T.); #33235=FACE_OUTER_BOUND('',#53911,.T.); #33236=FACE_OUTER_BOUND('',#53912,.T.); #33237=FACE_OUTER_BOUND('',#53913,.T.); #33238=FACE_OUTER_BOUND('',#53914,.T.); #33239=FACE_OUTER_BOUND('',#53915,.T.); #33240=FACE_OUTER_BOUND('',#53916,.T.); #33241=FACE_OUTER_BOUND('',#53917,.T.); #33242=FACE_OUTER_BOUND('',#53918,.T.); #33243=FACE_OUTER_BOUND('',#53919,.T.); #33244=FACE_OUTER_BOUND('',#53920,.T.); #33245=FACE_OUTER_BOUND('',#53921,.T.); #33246=FACE_OUTER_BOUND('',#53922,.T.); #33247=FACE_OUTER_BOUND('',#53923,.T.); #33248=FACE_OUTER_BOUND('',#53924,.T.); #33249=FACE_OUTER_BOUND('',#53925,.T.); #33250=FACE_OUTER_BOUND('',#53926,.T.); #33251=FACE_OUTER_BOUND('',#53927,.T.); #33252=FACE_OUTER_BOUND('',#53928,.T.); #33253=FACE_OUTER_BOUND('',#53929,.T.); #33254=FACE_OUTER_BOUND('',#53930,.T.); #33255=FACE_OUTER_BOUND('',#53931,.T.); #33256=FACE_OUTER_BOUND('',#53932,.T.); #33257=FACE_OUTER_BOUND('',#53933,.T.); #33258=FACE_OUTER_BOUND('',#53934,.T.); #33259=FACE_OUTER_BOUND('',#53935,.T.); #33260=FACE_OUTER_BOUND('',#53936,.T.); #33261=FACE_OUTER_BOUND('',#53937,.T.); #33262=FACE_OUTER_BOUND('',#53938,.T.); #33263=FACE_OUTER_BOUND('',#53939,.T.); #33264=FACE_OUTER_BOUND('',#53940,.T.); #33265=FACE_OUTER_BOUND('',#53941,.T.); #33266=FACE_OUTER_BOUND('',#53942,.T.); #33267=FACE_OUTER_BOUND('',#53943,.T.); #33268=FACE_OUTER_BOUND('',#53944,.T.); #33269=FACE_OUTER_BOUND('',#53945,.T.); #33270=FACE_OUTER_BOUND('',#53946,.T.); #33271=FACE_OUTER_BOUND('',#53947,.T.); #33272=FACE_OUTER_BOUND('',#53948,.T.); #33273=FACE_OUTER_BOUND('',#53949,.T.); #33274=FACE_OUTER_BOUND('',#53950,.T.); #33275=FACE_OUTER_BOUND('',#53951,.T.); #33276=FACE_OUTER_BOUND('',#53952,.T.); #33277=FACE_OUTER_BOUND('',#53953,.T.); #33278=FACE_OUTER_BOUND('',#53954,.T.); #33279=FACE_OUTER_BOUND('',#53955,.T.); #33280=FACE_OUTER_BOUND('',#53956,.T.); #33281=FACE_OUTER_BOUND('',#53957,.T.); #33282=FACE_OUTER_BOUND('',#53958,.T.); #33283=FACE_OUTER_BOUND('',#53959,.T.); #33284=FACE_OUTER_BOUND('',#53960,.T.); #33285=FACE_OUTER_BOUND('',#53961,.T.); #33286=FACE_OUTER_BOUND('',#53962,.T.); #33287=FACE_OUTER_BOUND('',#53963,.T.); #33288=FACE_OUTER_BOUND('',#53964,.T.); #33289=FACE_OUTER_BOUND('',#53965,.T.); #33290=FACE_OUTER_BOUND('',#53966,.T.); #33291=FACE_OUTER_BOUND('',#53967,.T.); #33292=FACE_OUTER_BOUND('',#53968,.T.); #33293=FACE_OUTER_BOUND('',#53969,.T.); #33294=FACE_OUTER_BOUND('',#53970,.T.); #33295=FACE_OUTER_BOUND('',#53971,.T.); #33296=FACE_OUTER_BOUND('',#53972,.T.); #33297=FACE_OUTER_BOUND('',#53973,.T.); #33298=FACE_OUTER_BOUND('',#53974,.T.); #33299=FACE_OUTER_BOUND('',#53975,.T.); #33300=FACE_OUTER_BOUND('',#53976,.T.); #33301=FACE_OUTER_BOUND('',#53977,.T.); #33302=FACE_OUTER_BOUND('',#53978,.T.); #33303=FACE_OUTER_BOUND('',#53979,.T.); #33304=FACE_OUTER_BOUND('',#53980,.T.); #33305=FACE_OUTER_BOUND('',#53981,.T.); #33306=FACE_OUTER_BOUND('',#53982,.T.); #33307=FACE_OUTER_BOUND('',#53983,.T.); #33308=FACE_OUTER_BOUND('',#53984,.T.); #33309=FACE_OUTER_BOUND('',#53985,.T.); #33310=FACE_OUTER_BOUND('',#53986,.T.); #33311=FACE_OUTER_BOUND('',#53987,.T.); #33312=FACE_OUTER_BOUND('',#53988,.T.); #33313=FACE_OUTER_BOUND('',#53989,.T.); #33314=FACE_OUTER_BOUND('',#53990,.T.); #33315=FACE_OUTER_BOUND('',#53991,.T.); #33316=FACE_OUTER_BOUND('',#53992,.T.); #33317=FACE_OUTER_BOUND('',#53993,.T.); #33318=FACE_OUTER_BOUND('',#53994,.T.); #33319=FACE_OUTER_BOUND('',#53995,.T.); #33320=FACE_OUTER_BOUND('',#53996,.T.); #33321=FACE_OUTER_BOUND('',#53997,.T.); #33322=FACE_OUTER_BOUND('',#53998,.T.); #33323=FACE_OUTER_BOUND('',#53999,.T.); #33324=FACE_OUTER_BOUND('',#54000,.T.); #33325=FACE_OUTER_BOUND('',#54001,.T.); #33326=FACE_OUTER_BOUND('',#54002,.T.); #33327=FACE_OUTER_BOUND('',#54003,.T.); #33328=FACE_OUTER_BOUND('',#54004,.T.); #33329=FACE_OUTER_BOUND('',#54005,.T.); #33330=FACE_OUTER_BOUND('',#54006,.T.); #33331=FACE_OUTER_BOUND('',#54007,.T.); #33332=FACE_OUTER_BOUND('',#54008,.T.); #33333=FACE_OUTER_BOUND('',#54009,.T.); #33334=FACE_OUTER_BOUND('',#54010,.T.); #33335=FACE_OUTER_BOUND('',#54011,.T.); #33336=FACE_OUTER_BOUND('',#54012,.T.); #33337=FACE_OUTER_BOUND('',#54013,.T.); #33338=FACE_OUTER_BOUND('',#54014,.T.); #33339=FACE_OUTER_BOUND('',#54015,.T.); #33340=FACE_OUTER_BOUND('',#54016,.T.); #33341=FACE_OUTER_BOUND('',#54017,.T.); #33342=FACE_OUTER_BOUND('',#54018,.T.); #33343=FACE_OUTER_BOUND('',#54019,.T.); #33344=FACE_OUTER_BOUND('',#54020,.T.); #33345=FACE_OUTER_BOUND('',#54021,.T.); #33346=FACE_OUTER_BOUND('',#54022,.T.); #33347=FACE_OUTER_BOUND('',#54023,.T.); #33348=FACE_OUTER_BOUND('',#54024,.T.); #33349=FACE_OUTER_BOUND('',#54025,.T.); #33350=FACE_OUTER_BOUND('',#54026,.T.); #33351=FACE_OUTER_BOUND('',#54027,.T.); #33352=FACE_OUTER_BOUND('',#54028,.T.); #33353=FACE_OUTER_BOUND('',#54029,.T.); #33354=FACE_OUTER_BOUND('',#54030,.T.); #33355=FACE_OUTER_BOUND('',#54031,.T.); #33356=FACE_OUTER_BOUND('',#54032,.T.); #33357=FACE_OUTER_BOUND('',#54033,.T.); #33358=FACE_OUTER_BOUND('',#54034,.T.); #33359=FACE_OUTER_BOUND('',#54035,.T.); #33360=FACE_OUTER_BOUND('',#54036,.T.); #33361=FACE_OUTER_BOUND('',#54037,.T.); #33362=FACE_OUTER_BOUND('',#54038,.T.); #33363=FACE_OUTER_BOUND('',#54039,.T.); #33364=FACE_OUTER_BOUND('',#54040,.T.); #33365=FACE_OUTER_BOUND('',#54041,.T.); #33366=FACE_OUTER_BOUND('',#54042,.T.); #33367=FACE_OUTER_BOUND('',#54043,.T.); #33368=FACE_OUTER_BOUND('',#54044,.T.); #33369=FACE_OUTER_BOUND('',#54045,.T.); #33370=FACE_OUTER_BOUND('',#54046,.T.); #33371=FACE_OUTER_BOUND('',#54047,.T.); #33372=FACE_OUTER_BOUND('',#54048,.T.); #33373=FACE_OUTER_BOUND('',#54049,.T.); #33374=FACE_OUTER_BOUND('',#54050,.T.); #33375=FACE_OUTER_BOUND('',#54051,.T.); #33376=FACE_OUTER_BOUND('',#54052,.T.); #33377=FACE_OUTER_BOUND('',#54053,.T.); #33378=FACE_OUTER_BOUND('',#54054,.T.); #33379=FACE_OUTER_BOUND('',#54055,.T.); #33380=FACE_OUTER_BOUND('',#54056,.T.); #33381=FACE_OUTER_BOUND('',#54057,.T.); #33382=FACE_OUTER_BOUND('',#54058,.T.); #33383=FACE_OUTER_BOUND('',#54059,.T.); #33384=FACE_OUTER_BOUND('',#54060,.T.); #33385=FACE_OUTER_BOUND('',#54061,.T.); #33386=FACE_OUTER_BOUND('',#54062,.T.); #33387=FACE_OUTER_BOUND('',#54063,.T.); #33388=FACE_OUTER_BOUND('',#54064,.T.); #33389=FACE_OUTER_BOUND('',#54065,.T.); #33390=FACE_OUTER_BOUND('',#54066,.T.); #33391=FACE_OUTER_BOUND('',#54067,.T.); #33392=FACE_OUTER_BOUND('',#54068,.T.); #33393=FACE_OUTER_BOUND('',#54069,.T.); #33394=FACE_OUTER_BOUND('',#54070,.T.); #33395=FACE_OUTER_BOUND('',#54071,.T.); #33396=FACE_OUTER_BOUND('',#54072,.T.); #33397=FACE_OUTER_BOUND('',#54073,.T.); #33398=FACE_OUTER_BOUND('',#54074,.T.); #33399=FACE_OUTER_BOUND('',#54075,.T.); #33400=FACE_OUTER_BOUND('',#54076,.T.); #33401=FACE_OUTER_BOUND('',#54077,.T.); #33402=FACE_OUTER_BOUND('',#54078,.T.); #33403=FACE_OUTER_BOUND('',#54079,.T.); #33404=FACE_OUTER_BOUND('',#54080,.T.); #33405=FACE_OUTER_BOUND('',#54081,.T.); #33406=FACE_OUTER_BOUND('',#54082,.T.); #33407=FACE_OUTER_BOUND('',#54083,.T.); #33408=FACE_OUTER_BOUND('',#54084,.T.); #33409=FACE_OUTER_BOUND('',#54085,.T.); #33410=FACE_OUTER_BOUND('',#54086,.T.); #33411=FACE_OUTER_BOUND('',#54087,.T.); #33412=FACE_OUTER_BOUND('',#54088,.T.); #33413=FACE_OUTER_BOUND('',#54089,.T.); #33414=FACE_OUTER_BOUND('',#54090,.T.); #33415=FACE_OUTER_BOUND('',#54091,.T.); #33416=FACE_OUTER_BOUND('',#54092,.T.); #33417=FACE_OUTER_BOUND('',#54093,.T.); #33418=FACE_OUTER_BOUND('',#54094,.T.); #33419=FACE_OUTER_BOUND('',#54095,.T.); #33420=FACE_OUTER_BOUND('',#54096,.T.); #33421=FACE_OUTER_BOUND('',#54097,.T.); #33422=FACE_OUTER_BOUND('',#54098,.T.); #33423=FACE_OUTER_BOUND('',#54099,.T.); #33424=FACE_OUTER_BOUND('',#54100,.T.); #33425=FACE_OUTER_BOUND('',#54101,.T.); #33426=FACE_OUTER_BOUND('',#54102,.T.); #33427=FACE_OUTER_BOUND('',#54103,.T.); #33428=FACE_OUTER_BOUND('',#54104,.T.); #33429=FACE_OUTER_BOUND('',#54105,.T.); #33430=FACE_OUTER_BOUND('',#54106,.T.); #33431=FACE_OUTER_BOUND('',#54107,.T.); #33432=FACE_OUTER_BOUND('',#54108,.T.); #33433=FACE_OUTER_BOUND('',#54109,.T.); #33434=FACE_OUTER_BOUND('',#54110,.T.); #33435=FACE_OUTER_BOUND('',#54111,.T.); #33436=FACE_OUTER_BOUND('',#54112,.T.); #33437=FACE_OUTER_BOUND('',#54113,.T.); #33438=FACE_OUTER_BOUND('',#54114,.T.); #33439=FACE_OUTER_BOUND('',#54115,.T.); #33440=FACE_OUTER_BOUND('',#54116,.T.); #33441=FACE_OUTER_BOUND('',#54117,.T.); #33442=FACE_OUTER_BOUND('',#54118,.T.); #33443=FACE_OUTER_BOUND('',#54119,.T.); #33444=FACE_OUTER_BOUND('',#54120,.T.); #33445=FACE_OUTER_BOUND('',#54121,.T.); #33446=FACE_OUTER_BOUND('',#54122,.T.); #33447=FACE_OUTER_BOUND('',#54123,.T.); #33448=FACE_OUTER_BOUND('',#54124,.T.); #33449=FACE_OUTER_BOUND('',#54125,.T.); #33450=FACE_OUTER_BOUND('',#54126,.T.); #33451=FACE_OUTER_BOUND('',#54127,.T.); #33452=FACE_OUTER_BOUND('',#54128,.T.); #33453=FACE_OUTER_BOUND('',#54129,.T.); #33454=FACE_OUTER_BOUND('',#54130,.T.); #33455=FACE_OUTER_BOUND('',#54131,.T.); #33456=FACE_OUTER_BOUND('',#54132,.T.); #33457=FACE_OUTER_BOUND('',#54133,.T.); #33458=FACE_OUTER_BOUND('',#54134,.T.); #33459=FACE_OUTER_BOUND('',#54135,.T.); #33460=FACE_OUTER_BOUND('',#54136,.T.); #33461=FACE_OUTER_BOUND('',#54137,.T.); #33462=FACE_OUTER_BOUND('',#54138,.T.); #33463=FACE_OUTER_BOUND('',#54139,.T.); #33464=FACE_OUTER_BOUND('',#54140,.T.); #33465=FACE_OUTER_BOUND('',#54141,.T.); #33466=FACE_OUTER_BOUND('',#54142,.T.); #33467=FACE_OUTER_BOUND('',#54143,.T.); #33468=FACE_OUTER_BOUND('',#54144,.T.); #33469=FACE_OUTER_BOUND('',#54145,.T.); #33470=FACE_OUTER_BOUND('',#54146,.T.); #33471=FACE_OUTER_BOUND('',#54147,.T.); #33472=FACE_OUTER_BOUND('',#54148,.T.); #33473=FACE_OUTER_BOUND('',#54149,.T.); #33474=FACE_OUTER_BOUND('',#54150,.T.); #33475=FACE_OUTER_BOUND('',#54151,.T.); #33476=FACE_OUTER_BOUND('',#54152,.T.); #33477=FACE_OUTER_BOUND('',#54153,.T.); #33478=FACE_OUTER_BOUND('',#54154,.T.); #33479=FACE_OUTER_BOUND('',#54155,.T.); #33480=FACE_OUTER_BOUND('',#54156,.T.); #33481=FACE_OUTER_BOUND('',#54157,.T.); #33482=FACE_OUTER_BOUND('',#54158,.T.); #33483=FACE_OUTER_BOUND('',#54159,.T.); #33484=FACE_OUTER_BOUND('',#54160,.T.); #33485=FACE_OUTER_BOUND('',#54161,.T.); #33486=FACE_OUTER_BOUND('',#54162,.T.); #33487=FACE_OUTER_BOUND('',#54163,.T.); #33488=FACE_OUTER_BOUND('',#54164,.T.); #33489=FACE_OUTER_BOUND('',#54165,.T.); #33490=FACE_OUTER_BOUND('',#54166,.T.); #33491=FACE_OUTER_BOUND('',#54167,.T.); #33492=FACE_OUTER_BOUND('',#54168,.T.); #33493=FACE_OUTER_BOUND('',#54169,.T.); #33494=FACE_OUTER_BOUND('',#54170,.T.); #33495=FACE_OUTER_BOUND('',#54171,.T.); #33496=FACE_OUTER_BOUND('',#54172,.T.); #33497=FACE_OUTER_BOUND('',#54173,.T.); #33498=FACE_OUTER_BOUND('',#54174,.T.); #33499=FACE_OUTER_BOUND('',#54175,.T.); #33500=FACE_OUTER_BOUND('',#54176,.T.); #33501=FACE_OUTER_BOUND('',#54177,.T.); #33502=FACE_OUTER_BOUND('',#54178,.T.); #33503=FACE_OUTER_BOUND('',#54179,.T.); #33504=FACE_OUTER_BOUND('',#54180,.T.); #33505=FACE_OUTER_BOUND('',#54181,.T.); #33506=FACE_OUTER_BOUND('',#54182,.T.); #33507=FACE_OUTER_BOUND('',#54183,.T.); #33508=FACE_OUTER_BOUND('',#54184,.T.); #33509=FACE_OUTER_BOUND('',#54185,.T.); #33510=FACE_OUTER_BOUND('',#54186,.T.); #33511=FACE_OUTER_BOUND('',#54187,.T.); #33512=FACE_OUTER_BOUND('',#54188,.T.); #33513=FACE_OUTER_BOUND('',#54189,.T.); #33514=FACE_OUTER_BOUND('',#54190,.T.); #33515=FACE_OUTER_BOUND('',#54191,.T.); #33516=FACE_OUTER_BOUND('',#54192,.T.); #33517=FACE_OUTER_BOUND('',#54193,.T.); #33518=FACE_OUTER_BOUND('',#54194,.T.); #33519=FACE_OUTER_BOUND('',#54195,.T.); #33520=FACE_OUTER_BOUND('',#54196,.T.); #33521=FACE_OUTER_BOUND('',#54197,.T.); #33522=FACE_OUTER_BOUND('',#54198,.T.); #33523=FACE_OUTER_BOUND('',#54199,.T.); #33524=FACE_OUTER_BOUND('',#54200,.T.); #33525=FACE_OUTER_BOUND('',#54201,.T.); #33526=FACE_OUTER_BOUND('',#54202,.T.); #33527=FACE_OUTER_BOUND('',#54203,.T.); #33528=FACE_OUTER_BOUND('',#54204,.T.); #33529=FACE_OUTER_BOUND('',#54205,.T.); #33530=FACE_OUTER_BOUND('',#54206,.T.); #33531=FACE_OUTER_BOUND('',#54207,.T.); #33532=FACE_OUTER_BOUND('',#54208,.T.); #33533=FACE_OUTER_BOUND('',#54209,.T.); #33534=FACE_OUTER_BOUND('',#54210,.T.); #33535=FACE_OUTER_BOUND('',#54211,.T.); #33536=FACE_OUTER_BOUND('',#54212,.T.); #33537=FACE_OUTER_BOUND('',#54213,.T.); #33538=FACE_OUTER_BOUND('',#54214,.T.); #33539=FACE_OUTER_BOUND('',#54215,.T.); #33540=FACE_OUTER_BOUND('',#54216,.T.); #33541=FACE_OUTER_BOUND('',#54217,.T.); #33542=FACE_OUTER_BOUND('',#54218,.T.); #33543=FACE_OUTER_BOUND('',#54219,.T.); #33544=FACE_OUTER_BOUND('',#54220,.T.); #33545=FACE_OUTER_BOUND('',#54221,.T.); #33546=FACE_OUTER_BOUND('',#54222,.T.); #33547=FACE_OUTER_BOUND('',#54223,.T.); #33548=FACE_OUTER_BOUND('',#54224,.T.); #33549=FACE_OUTER_BOUND('',#54225,.T.); #33550=FACE_OUTER_BOUND('',#54226,.T.); #33551=FACE_OUTER_BOUND('',#54227,.T.); #33552=FACE_OUTER_BOUND('',#54228,.T.); #33553=FACE_OUTER_BOUND('',#54229,.T.); #33554=FACE_OUTER_BOUND('',#54230,.T.); #33555=FACE_OUTER_BOUND('',#54231,.T.); #33556=FACE_OUTER_BOUND('',#54232,.T.); #33557=FACE_OUTER_BOUND('',#54233,.T.); #33558=FACE_OUTER_BOUND('',#54234,.T.); #33559=FACE_OUTER_BOUND('',#54235,.T.); #33560=FACE_OUTER_BOUND('',#54236,.T.); #33561=FACE_OUTER_BOUND('',#54237,.T.); #33562=FACE_OUTER_BOUND('',#54238,.T.); #33563=FACE_OUTER_BOUND('',#54239,.T.); #33564=FACE_OUTER_BOUND('',#54240,.T.); #33565=FACE_OUTER_BOUND('',#54241,.T.); #33566=FACE_OUTER_BOUND('',#54242,.T.); #33567=FACE_OUTER_BOUND('',#54243,.T.); #33568=FACE_OUTER_BOUND('',#54244,.T.); #33569=FACE_OUTER_BOUND('',#54245,.T.); #33570=FACE_OUTER_BOUND('',#54246,.T.); #33571=FACE_OUTER_BOUND('',#54247,.T.); #33572=FACE_OUTER_BOUND('',#54248,.T.); #33573=FACE_OUTER_BOUND('',#54249,.T.); #33574=FACE_OUTER_BOUND('',#54250,.T.); #33575=FACE_OUTER_BOUND('',#54251,.T.); #33576=FACE_OUTER_BOUND('',#54252,.T.); #33577=FACE_OUTER_BOUND('',#54253,.T.); #33578=FACE_OUTER_BOUND('',#54254,.T.); #33579=FACE_OUTER_BOUND('',#54255,.T.); #33580=FACE_OUTER_BOUND('',#54256,.T.); #33581=FACE_OUTER_BOUND('',#54257,.T.); #33582=FACE_OUTER_BOUND('',#54258,.T.); #33583=FACE_OUTER_BOUND('',#54259,.T.); #33584=FACE_OUTER_BOUND('',#54260,.T.); #33585=FACE_OUTER_BOUND('',#54261,.T.); #33586=FACE_OUTER_BOUND('',#54262,.T.); #33587=FACE_OUTER_BOUND('',#54263,.T.); #33588=FACE_OUTER_BOUND('',#54264,.T.); #33589=FACE_OUTER_BOUND('',#54265,.T.); #33590=FACE_OUTER_BOUND('',#54266,.T.); #33591=FACE_OUTER_BOUND('',#54267,.T.); #33592=FACE_OUTER_BOUND('',#54268,.T.); #33593=FACE_OUTER_BOUND('',#54269,.T.); #33594=FACE_OUTER_BOUND('',#54270,.T.); #33595=FACE_OUTER_BOUND('',#54271,.T.); #33596=FACE_OUTER_BOUND('',#54272,.T.); #33597=FACE_OUTER_BOUND('',#54273,.T.); #33598=FACE_OUTER_BOUND('',#54274,.T.); #33599=FACE_OUTER_BOUND('',#54275,.T.); #33600=FACE_OUTER_BOUND('',#54276,.T.); #33601=FACE_OUTER_BOUND('',#54277,.T.); #33602=FACE_OUTER_BOUND('',#54278,.T.); #33603=FACE_OUTER_BOUND('',#54279,.T.); #33604=FACE_OUTER_BOUND('',#54280,.T.); #33605=FACE_OUTER_BOUND('',#54281,.T.); #33606=FACE_OUTER_BOUND('',#54282,.T.); #33607=FACE_OUTER_BOUND('',#54283,.T.); #33608=FACE_OUTER_BOUND('',#54284,.T.); #33609=FACE_OUTER_BOUND('',#54285,.T.); #33610=FACE_OUTER_BOUND('',#54286,.T.); #33611=FACE_OUTER_BOUND('',#54287,.T.); #33612=FACE_OUTER_BOUND('',#54288,.T.); #33613=FACE_OUTER_BOUND('',#54289,.T.); #33614=FACE_OUTER_BOUND('',#54290,.T.); #33615=FACE_OUTER_BOUND('',#54291,.T.); #33616=FACE_OUTER_BOUND('',#54292,.T.); #33617=FACE_OUTER_BOUND('',#54293,.T.); #33618=FACE_OUTER_BOUND('',#54294,.T.); #33619=FACE_OUTER_BOUND('',#54295,.T.); #33620=FACE_OUTER_BOUND('',#54296,.T.); #33621=FACE_OUTER_BOUND('',#54297,.T.); #33622=FACE_OUTER_BOUND('',#54298,.T.); #33623=FACE_OUTER_BOUND('',#54299,.T.); #33624=FACE_OUTER_BOUND('',#54300,.T.); #33625=FACE_OUTER_BOUND('',#54301,.T.); #33626=FACE_OUTER_BOUND('',#54302,.T.); #33627=FACE_OUTER_BOUND('',#54303,.T.); #33628=FACE_OUTER_BOUND('',#54304,.T.); #33629=FACE_OUTER_BOUND('',#54305,.T.); #33630=FACE_OUTER_BOUND('',#54306,.T.); #33631=FACE_OUTER_BOUND('',#54307,.T.); #33632=FACE_OUTER_BOUND('',#54308,.T.); #33633=FACE_OUTER_BOUND('',#54309,.T.); #33634=FACE_OUTER_BOUND('',#54310,.T.); #33635=FACE_OUTER_BOUND('',#54311,.T.); #33636=FACE_OUTER_BOUND('',#54312,.T.); #33637=FACE_OUTER_BOUND('',#54313,.T.); #33638=FACE_OUTER_BOUND('',#54314,.T.); #33639=FACE_OUTER_BOUND('',#54315,.T.); #33640=FACE_OUTER_BOUND('',#54316,.T.); #33641=FACE_OUTER_BOUND('',#54317,.T.); #33642=FACE_OUTER_BOUND('',#54318,.T.); #33643=FACE_OUTER_BOUND('',#54319,.T.); #33644=FACE_OUTER_BOUND('',#54320,.T.); #33645=FACE_OUTER_BOUND('',#54321,.T.); #33646=FACE_OUTER_BOUND('',#54322,.T.); #33647=FACE_OUTER_BOUND('',#54323,.T.); #33648=FACE_OUTER_BOUND('',#54324,.T.); #33649=FACE_OUTER_BOUND('',#54325,.T.); #33650=FACE_OUTER_BOUND('',#54326,.T.); #33651=FACE_OUTER_BOUND('',#54327,.T.); #33652=FACE_OUTER_BOUND('',#54328,.T.); #33653=FACE_OUTER_BOUND('',#54329,.T.); #33654=FACE_OUTER_BOUND('',#54330,.T.); #33655=FACE_OUTER_BOUND('',#54331,.T.); #33656=FACE_OUTER_BOUND('',#54332,.T.); #33657=FACE_OUTER_BOUND('',#54333,.T.); #33658=FACE_OUTER_BOUND('',#54334,.T.); #33659=FACE_OUTER_BOUND('',#54335,.T.); #33660=FACE_OUTER_BOUND('',#54336,.T.); #33661=FACE_OUTER_BOUND('',#54337,.T.); #33662=FACE_OUTER_BOUND('',#54338,.T.); #33663=FACE_OUTER_BOUND('',#54339,.T.); #33664=FACE_OUTER_BOUND('',#54340,.T.); #33665=FACE_OUTER_BOUND('',#54341,.T.); #33666=FACE_OUTER_BOUND('',#54342,.T.); #33667=FACE_OUTER_BOUND('',#54343,.T.); #33668=FACE_OUTER_BOUND('',#54344,.T.); #33669=FACE_OUTER_BOUND('',#54345,.T.); #33670=FACE_OUTER_BOUND('',#54346,.T.); #33671=FACE_OUTER_BOUND('',#54347,.T.); #33672=FACE_OUTER_BOUND('',#54348,.T.); #33673=FACE_OUTER_BOUND('',#54349,.T.); #33674=FACE_OUTER_BOUND('',#54350,.T.); #33675=FACE_OUTER_BOUND('',#54351,.T.); #33676=FACE_OUTER_BOUND('',#54352,.T.); #33677=FACE_OUTER_BOUND('',#54353,.T.); #33678=FACE_OUTER_BOUND('',#54354,.T.); #33679=FACE_OUTER_BOUND('',#54355,.T.); #33680=FACE_OUTER_BOUND('',#54356,.T.); #33681=FACE_OUTER_BOUND('',#54357,.T.); #33682=FACE_OUTER_BOUND('',#54358,.T.); #33683=FACE_OUTER_BOUND('',#54359,.T.); #33684=FACE_OUTER_BOUND('',#54360,.T.); #33685=FACE_OUTER_BOUND('',#54361,.T.); #33686=FACE_OUTER_BOUND('',#54362,.T.); #33687=FACE_OUTER_BOUND('',#54363,.T.); #33688=FACE_OUTER_BOUND('',#54364,.T.); #33689=FACE_OUTER_BOUND('',#54365,.T.); #33690=FACE_OUTER_BOUND('',#54366,.T.); #33691=FACE_OUTER_BOUND('',#54367,.T.); #33692=FACE_OUTER_BOUND('',#54368,.T.); #33693=FACE_OUTER_BOUND('',#54369,.T.); #33694=FACE_OUTER_BOUND('',#54370,.T.); #33695=FACE_OUTER_BOUND('',#54371,.T.); #33696=FACE_OUTER_BOUND('',#54372,.T.); #33697=FACE_OUTER_BOUND('',#54373,.T.); #33698=FACE_OUTER_BOUND('',#54374,.T.); #33699=FACE_OUTER_BOUND('',#54375,.T.); #33700=FACE_OUTER_BOUND('',#54376,.T.); #33701=FACE_OUTER_BOUND('',#54377,.T.); #33702=FACE_OUTER_BOUND('',#54378,.T.); #33703=FACE_OUTER_BOUND('',#54379,.T.); #33704=FACE_OUTER_BOUND('',#54380,.T.); #33705=FACE_OUTER_BOUND('',#54381,.T.); #33706=FACE_OUTER_BOUND('',#54382,.T.); #33707=FACE_OUTER_BOUND('',#54383,.T.); #33708=FACE_OUTER_BOUND('',#54384,.T.); #33709=FACE_OUTER_BOUND('',#54385,.T.); #33710=FACE_OUTER_BOUND('',#54386,.T.); #33711=FACE_OUTER_BOUND('',#54387,.T.); #33712=FACE_OUTER_BOUND('',#54388,.T.); #33713=FACE_OUTER_BOUND('',#54389,.T.); #33714=FACE_OUTER_BOUND('',#54390,.T.); #33715=FACE_OUTER_BOUND('',#54391,.T.); #33716=FACE_OUTER_BOUND('',#54392,.T.); #33717=FACE_OUTER_BOUND('',#54393,.T.); #33718=FACE_OUTER_BOUND('',#54394,.T.); #33719=FACE_OUTER_BOUND('',#54395,.T.); #33720=FACE_OUTER_BOUND('',#54396,.T.); #33721=FACE_OUTER_BOUND('',#54397,.T.); #33722=FACE_OUTER_BOUND('',#54398,.T.); #33723=FACE_OUTER_BOUND('',#54399,.T.); #33724=FACE_OUTER_BOUND('',#54400,.T.); #33725=FACE_OUTER_BOUND('',#54401,.T.); #33726=FACE_OUTER_BOUND('',#54402,.T.); #33727=FACE_OUTER_BOUND('',#54403,.T.); #33728=FACE_OUTER_BOUND('',#54404,.T.); #33729=FACE_OUTER_BOUND('',#54405,.T.); #33730=FACE_OUTER_BOUND('',#54406,.T.); #33731=FACE_OUTER_BOUND('',#54407,.T.); #33732=FACE_OUTER_BOUND('',#54408,.T.); #33733=FACE_OUTER_BOUND('',#54409,.T.); #33734=FACE_OUTER_BOUND('',#54410,.T.); #33735=FACE_OUTER_BOUND('',#54411,.T.); #33736=FACE_OUTER_BOUND('',#54412,.T.); #33737=FACE_OUTER_BOUND('',#54413,.T.); #33738=FACE_OUTER_BOUND('',#54414,.T.); #33739=FACE_OUTER_BOUND('',#54415,.T.); #33740=FACE_OUTER_BOUND('',#54416,.T.); #33741=FACE_OUTER_BOUND('',#54417,.T.); #33742=FACE_OUTER_BOUND('',#54418,.T.); #33743=FACE_OUTER_BOUND('',#54419,.T.); #33744=FACE_OUTER_BOUND('',#54420,.T.); #33745=FACE_OUTER_BOUND('',#54421,.T.); #33746=FACE_OUTER_BOUND('',#54422,.T.); #33747=FACE_OUTER_BOUND('',#54423,.T.); #33748=FACE_OUTER_BOUND('',#54424,.T.); #33749=FACE_OUTER_BOUND('',#54425,.T.); #33750=FACE_OUTER_BOUND('',#54426,.T.); #33751=FACE_OUTER_BOUND('',#54427,.T.); #33752=FACE_OUTER_BOUND('',#54428,.T.); #33753=FACE_OUTER_BOUND('',#54429,.T.); #33754=FACE_OUTER_BOUND('',#54430,.T.); #33755=FACE_OUTER_BOUND('',#54431,.T.); #33756=FACE_OUTER_BOUND('',#54432,.T.); #33757=FACE_OUTER_BOUND('',#54433,.T.); #33758=FACE_OUTER_BOUND('',#54434,.T.); #33759=FACE_OUTER_BOUND('',#54435,.T.); #33760=FACE_OUTER_BOUND('',#54436,.T.); #33761=FACE_OUTER_BOUND('',#54437,.T.); #33762=FACE_OUTER_BOUND('',#54438,.T.); #33763=FACE_OUTER_BOUND('',#54439,.T.); #33764=FACE_OUTER_BOUND('',#54440,.T.); #33765=FACE_OUTER_BOUND('',#54441,.T.); #33766=FACE_OUTER_BOUND('',#54442,.T.); #33767=FACE_OUTER_BOUND('',#54443,.T.); #33768=FACE_OUTER_BOUND('',#54444,.T.); #33769=FACE_OUTER_BOUND('',#54445,.T.); #33770=FACE_OUTER_BOUND('',#54446,.T.); #33771=FACE_OUTER_BOUND('',#54447,.T.); #33772=FACE_OUTER_BOUND('',#54448,.T.); #33773=FACE_OUTER_BOUND('',#54449,.T.); #33774=FACE_OUTER_BOUND('',#54450,.T.); #33775=FACE_OUTER_BOUND('',#54451,.T.); #33776=FACE_OUTER_BOUND('',#54452,.T.); #33777=FACE_OUTER_BOUND('',#54453,.T.); #33778=FACE_OUTER_BOUND('',#54454,.T.); #33779=FACE_OUTER_BOUND('',#54455,.T.); #33780=FACE_OUTER_BOUND('',#54456,.T.); #33781=FACE_OUTER_BOUND('',#54457,.T.); #33782=FACE_OUTER_BOUND('',#54458,.T.); #33783=FACE_OUTER_BOUND('',#54459,.T.); #33784=FACE_OUTER_BOUND('',#54460,.T.); #33785=FACE_OUTER_BOUND('',#54461,.T.); #33786=FACE_OUTER_BOUND('',#54462,.T.); #33787=FACE_OUTER_BOUND('',#54463,.T.); #33788=FACE_OUTER_BOUND('',#54464,.T.); #33789=FACE_OUTER_BOUND('',#54465,.T.); #33790=FACE_OUTER_BOUND('',#54466,.T.); #33791=FACE_OUTER_BOUND('',#54467,.T.); #33792=FACE_OUTER_BOUND('',#54468,.T.); #33793=FACE_OUTER_BOUND('',#54469,.T.); #33794=FACE_OUTER_BOUND('',#54470,.T.); #33795=FACE_OUTER_BOUND('',#54471,.T.); #33796=FACE_OUTER_BOUND('',#54472,.T.); #33797=FACE_OUTER_BOUND('',#54473,.T.); #33798=FACE_OUTER_BOUND('',#54474,.T.); #33799=FACE_OUTER_BOUND('',#54475,.T.); #33800=FACE_OUTER_BOUND('',#54476,.T.); #33801=FACE_OUTER_BOUND('',#54477,.T.); #33802=FACE_OUTER_BOUND('',#54478,.T.); #33803=FACE_OUTER_BOUND('',#54479,.T.); #33804=FACE_OUTER_BOUND('',#54480,.T.); #33805=FACE_OUTER_BOUND('',#54481,.T.); #33806=FACE_OUTER_BOUND('',#54482,.T.); #33807=FACE_OUTER_BOUND('',#54483,.T.); #33808=FACE_OUTER_BOUND('',#54484,.T.); #33809=FACE_OUTER_BOUND('',#54485,.T.); #33810=FACE_OUTER_BOUND('',#54486,.T.); #33811=FACE_OUTER_BOUND('',#54487,.T.); #33812=FACE_OUTER_BOUND('',#54488,.T.); #33813=FACE_OUTER_BOUND('',#54489,.T.); #33814=FACE_OUTER_BOUND('',#54490,.T.); #33815=FACE_OUTER_BOUND('',#54491,.T.); #33816=FACE_OUTER_BOUND('',#54492,.T.); #33817=FACE_OUTER_BOUND('',#54493,.T.); #33818=FACE_OUTER_BOUND('',#54494,.T.); #33819=FACE_OUTER_BOUND('',#54495,.T.); #33820=FACE_OUTER_BOUND('',#54496,.T.); #33821=FACE_OUTER_BOUND('',#54497,.T.); #33822=FACE_OUTER_BOUND('',#54498,.T.); #33823=FACE_OUTER_BOUND('',#54499,.T.); #33824=FACE_OUTER_BOUND('',#54500,.T.); #33825=FACE_OUTER_BOUND('',#54501,.T.); #33826=FACE_OUTER_BOUND('',#54502,.T.); #33827=FACE_OUTER_BOUND('',#54503,.T.); #33828=FACE_OUTER_BOUND('',#54504,.T.); #33829=FACE_OUTER_BOUND('',#54505,.T.); #33830=FACE_OUTER_BOUND('',#54506,.T.); #33831=FACE_OUTER_BOUND('',#54507,.T.); #33832=FACE_OUTER_BOUND('',#54508,.T.); #33833=FACE_OUTER_BOUND('',#54509,.T.); #33834=FACE_OUTER_BOUND('',#54510,.T.); #33835=FACE_OUTER_BOUND('',#54511,.T.); #33836=FACE_OUTER_BOUND('',#54512,.T.); #33837=FACE_OUTER_BOUND('',#54513,.T.); #33838=FACE_OUTER_BOUND('',#54514,.T.); #33839=FACE_OUTER_BOUND('',#54515,.T.); #33840=FACE_OUTER_BOUND('',#54516,.T.); #33841=FACE_OUTER_BOUND('',#54517,.T.); #33842=FACE_OUTER_BOUND('',#54518,.T.); #33843=FACE_OUTER_BOUND('',#54519,.T.); #33844=FACE_OUTER_BOUND('',#54520,.T.); #33845=FACE_OUTER_BOUND('',#54521,.T.); #33846=FACE_OUTER_BOUND('',#54522,.T.); #33847=FACE_OUTER_BOUND('',#54523,.T.); #33848=FACE_OUTER_BOUND('',#54524,.T.); #33849=FACE_OUTER_BOUND('',#54525,.T.); #33850=FACE_OUTER_BOUND('',#54526,.T.); #33851=FACE_OUTER_BOUND('',#54527,.T.); #33852=FACE_OUTER_BOUND('',#54528,.T.); #33853=FACE_OUTER_BOUND('',#54529,.T.); #33854=FACE_OUTER_BOUND('',#54530,.T.); #33855=FACE_OUTER_BOUND('',#54531,.T.); #33856=FACE_OUTER_BOUND('',#54532,.T.); #33857=FACE_OUTER_BOUND('',#54533,.T.); #33858=FACE_OUTER_BOUND('',#54534,.T.); #33859=FACE_OUTER_BOUND('',#54535,.T.); #33860=FACE_OUTER_BOUND('',#54536,.T.); #33861=FACE_OUTER_BOUND('',#54537,.T.); #33862=FACE_OUTER_BOUND('',#54538,.T.); #33863=FACE_OUTER_BOUND('',#54539,.T.); #33864=FACE_OUTER_BOUND('',#54540,.T.); #33865=FACE_OUTER_BOUND('',#54541,.T.); #33866=FACE_OUTER_BOUND('',#54542,.T.); #33867=FACE_OUTER_BOUND('',#54543,.T.); #33868=FACE_OUTER_BOUND('',#54544,.T.); #33869=FACE_OUTER_BOUND('',#54545,.T.); #33870=FACE_OUTER_BOUND('',#54546,.T.); #33871=FACE_OUTER_BOUND('',#54547,.T.); #33872=FACE_OUTER_BOUND('',#54548,.T.); #33873=FACE_OUTER_BOUND('',#54549,.T.); #33874=FACE_OUTER_BOUND('',#54550,.T.); #33875=FACE_OUTER_BOUND('',#54551,.T.); #33876=FACE_OUTER_BOUND('',#54552,.T.); #33877=FACE_OUTER_BOUND('',#54553,.T.); #33878=FACE_OUTER_BOUND('',#54554,.T.); #33879=FACE_OUTER_BOUND('',#54555,.T.); #33880=FACE_OUTER_BOUND('',#54556,.T.); #33881=FACE_OUTER_BOUND('',#54557,.T.); #33882=FACE_OUTER_BOUND('',#54558,.T.); #33883=FACE_OUTER_BOUND('',#54559,.T.); #33884=FACE_OUTER_BOUND('',#54560,.T.); #33885=FACE_OUTER_BOUND('',#54561,.T.); #33886=FACE_OUTER_BOUND('',#54562,.T.); #33887=FACE_OUTER_BOUND('',#54563,.T.); #33888=FACE_OUTER_BOUND('',#54564,.T.); #33889=FACE_OUTER_BOUND('',#54565,.T.); #33890=FACE_OUTER_BOUND('',#54566,.T.); #33891=FACE_OUTER_BOUND('',#54567,.T.); #33892=FACE_OUTER_BOUND('',#54568,.T.); #33893=FACE_OUTER_BOUND('',#54569,.T.); #33894=FACE_OUTER_BOUND('',#54570,.T.); #33895=FACE_OUTER_BOUND('',#54571,.T.); #33896=FACE_OUTER_BOUND('',#54572,.T.); #33897=FACE_OUTER_BOUND('',#54573,.T.); #33898=FACE_OUTER_BOUND('',#54574,.T.); #33899=FACE_OUTER_BOUND('',#54575,.T.); #33900=FACE_OUTER_BOUND('',#54576,.T.); #33901=FACE_OUTER_BOUND('',#54577,.T.); #33902=FACE_OUTER_BOUND('',#54578,.T.); #33903=FACE_OUTER_BOUND('',#54579,.T.); #33904=FACE_OUTER_BOUND('',#54580,.T.); #33905=FACE_OUTER_BOUND('',#54581,.T.); #33906=FACE_OUTER_BOUND('',#54582,.T.); #33907=FACE_OUTER_BOUND('',#54583,.T.); #33908=FACE_OUTER_BOUND('',#54584,.T.); #33909=FACE_OUTER_BOUND('',#54585,.T.); #33910=FACE_OUTER_BOUND('',#54586,.T.); #33911=FACE_OUTER_BOUND('',#54587,.T.); #33912=FACE_OUTER_BOUND('',#54588,.T.); #33913=FACE_OUTER_BOUND('',#54589,.T.); #33914=FACE_OUTER_BOUND('',#54590,.T.); #33915=FACE_OUTER_BOUND('',#54591,.T.); #33916=FACE_OUTER_BOUND('',#54592,.T.); #33917=FACE_OUTER_BOUND('',#54593,.T.); #33918=FACE_OUTER_BOUND('',#54594,.T.); #33919=FACE_OUTER_BOUND('',#54595,.T.); #33920=FACE_OUTER_BOUND('',#54596,.T.); #33921=FACE_OUTER_BOUND('',#54597,.T.); #33922=FACE_OUTER_BOUND('',#54598,.T.); #33923=FACE_OUTER_BOUND('',#54599,.T.); #33924=FACE_OUTER_BOUND('',#54600,.T.); #33925=FACE_OUTER_BOUND('',#54601,.T.); #33926=FACE_OUTER_BOUND('',#54602,.T.); #33927=FACE_OUTER_BOUND('',#54603,.T.); #33928=FACE_OUTER_BOUND('',#54604,.T.); #33929=FACE_OUTER_BOUND('',#54605,.T.); #33930=FACE_OUTER_BOUND('',#54606,.T.); #33931=FACE_OUTER_BOUND('',#54607,.T.); #33932=FACE_OUTER_BOUND('',#54608,.T.); #33933=FACE_OUTER_BOUND('',#54609,.T.); #33934=FACE_OUTER_BOUND('',#54610,.T.); #33935=FACE_OUTER_BOUND('',#54611,.T.); #33936=FACE_OUTER_BOUND('',#54612,.T.); #33937=FACE_OUTER_BOUND('',#54613,.T.); #33938=FACE_OUTER_BOUND('',#54614,.T.); #33939=FACE_OUTER_BOUND('',#54615,.T.); #33940=FACE_OUTER_BOUND('',#54616,.T.); #33941=FACE_OUTER_BOUND('',#54617,.T.); #33942=FACE_OUTER_BOUND('',#54618,.T.); #33943=FACE_OUTER_BOUND('',#54619,.T.); #33944=FACE_OUTER_BOUND('',#54620,.T.); #33945=FACE_OUTER_BOUND('',#54621,.T.); #33946=FACE_OUTER_BOUND('',#54622,.T.); #33947=FACE_OUTER_BOUND('',#54623,.T.); #33948=FACE_OUTER_BOUND('',#54624,.T.); #33949=FACE_OUTER_BOUND('',#54625,.T.); #33950=FACE_OUTER_BOUND('',#54626,.T.); #33951=FACE_OUTER_BOUND('',#54627,.T.); #33952=FACE_OUTER_BOUND('',#54628,.T.); #33953=FACE_OUTER_BOUND('',#54629,.T.); #33954=FACE_OUTER_BOUND('',#54630,.T.); #33955=FACE_OUTER_BOUND('',#54631,.T.); #33956=FACE_OUTER_BOUND('',#54632,.T.); #33957=FACE_OUTER_BOUND('',#54633,.T.); #33958=FACE_OUTER_BOUND('',#54634,.T.); #33959=FACE_OUTER_BOUND('',#54635,.T.); #33960=FACE_OUTER_BOUND('',#54636,.T.); #33961=FACE_OUTER_BOUND('',#54637,.T.); #33962=FACE_OUTER_BOUND('',#54638,.T.); #33963=FACE_OUTER_BOUND('',#54639,.T.); #33964=FACE_OUTER_BOUND('',#54640,.T.); #33965=FACE_OUTER_BOUND('',#54641,.T.); #33966=FACE_OUTER_BOUND('',#54642,.T.); #33967=FACE_OUTER_BOUND('',#54643,.T.); #33968=FACE_OUTER_BOUND('',#54644,.T.); #33969=FACE_OUTER_BOUND('',#54645,.T.); #33970=FACE_OUTER_BOUND('',#54646,.T.); #33971=FACE_OUTER_BOUND('',#54647,.T.); #33972=FACE_OUTER_BOUND('',#54648,.T.); #33973=FACE_OUTER_BOUND('',#54649,.T.); #33974=FACE_OUTER_BOUND('',#54650,.T.); #33975=FACE_OUTER_BOUND('',#54651,.T.); #33976=FACE_OUTER_BOUND('',#54652,.T.); #33977=FACE_OUTER_BOUND('',#54653,.T.); #33978=FACE_OUTER_BOUND('',#54654,.T.); #33979=FACE_OUTER_BOUND('',#54655,.T.); #33980=FACE_OUTER_BOUND('',#54656,.T.); #33981=FACE_OUTER_BOUND('',#54657,.T.); #33982=FACE_OUTER_BOUND('',#54658,.T.); #33983=FACE_OUTER_BOUND('',#54659,.T.); #33984=FACE_OUTER_BOUND('',#54660,.T.); #33985=FACE_OUTER_BOUND('',#54661,.T.); #33986=FACE_OUTER_BOUND('',#54662,.T.); #33987=FACE_OUTER_BOUND('',#54663,.T.); #33988=FACE_OUTER_BOUND('',#54664,.T.); #33989=FACE_OUTER_BOUND('',#54665,.T.); #33990=FACE_OUTER_BOUND('',#54666,.T.); #33991=FACE_OUTER_BOUND('',#54667,.T.); #33992=FACE_OUTER_BOUND('',#54668,.T.); #33993=FACE_OUTER_BOUND('',#54669,.T.); #33994=FACE_OUTER_BOUND('',#54670,.T.); #33995=FACE_OUTER_BOUND('',#54671,.T.); #33996=FACE_OUTER_BOUND('',#54672,.T.); #33997=FACE_OUTER_BOUND('',#54673,.T.); #33998=FACE_OUTER_BOUND('',#54674,.T.); #33999=FACE_OUTER_BOUND('',#54675,.T.); #34000=FACE_OUTER_BOUND('',#54676,.T.); #34001=FACE_OUTER_BOUND('',#54677,.T.); #34002=FACE_OUTER_BOUND('',#54678,.T.); #34003=FACE_OUTER_BOUND('',#54679,.T.); #34004=FACE_OUTER_BOUND('',#54680,.T.); #34005=FACE_OUTER_BOUND('',#54681,.T.); #34006=FACE_OUTER_BOUND('',#54682,.T.); #34007=FACE_OUTER_BOUND('',#54683,.T.); #34008=FACE_OUTER_BOUND('',#54684,.T.); #34009=FACE_OUTER_BOUND('',#54685,.T.); #34010=FACE_OUTER_BOUND('',#54686,.T.); #34011=FACE_OUTER_BOUND('',#54687,.T.); #34012=FACE_OUTER_BOUND('',#54688,.T.); #34013=FACE_OUTER_BOUND('',#54689,.T.); #34014=FACE_OUTER_BOUND('',#54690,.T.); #34015=FACE_OUTER_BOUND('',#54691,.T.); #34016=FACE_OUTER_BOUND('',#54692,.T.); #34017=FACE_OUTER_BOUND('',#54693,.T.); #34018=FACE_OUTER_BOUND('',#54694,.T.); #34019=FACE_OUTER_BOUND('',#54695,.T.); #34020=FACE_OUTER_BOUND('',#54696,.T.); #34021=FACE_OUTER_BOUND('',#54697,.T.); #34022=FACE_OUTER_BOUND('',#54698,.T.); #34023=FACE_OUTER_BOUND('',#54699,.T.); #34024=FACE_OUTER_BOUND('',#54700,.T.); #34025=FACE_OUTER_BOUND('',#54701,.T.); #34026=FACE_OUTER_BOUND('',#54702,.T.); #34027=FACE_OUTER_BOUND('',#54703,.T.); #34028=FACE_OUTER_BOUND('',#54704,.T.); #34029=FACE_OUTER_BOUND('',#54705,.T.); #34030=FACE_OUTER_BOUND('',#54706,.T.); #34031=FACE_OUTER_BOUND('',#54707,.T.); #34032=FACE_OUTER_BOUND('',#54708,.T.); #34033=FACE_OUTER_BOUND('',#54709,.T.); #34034=FACE_OUTER_BOUND('',#54710,.T.); #34035=FACE_OUTER_BOUND('',#54711,.T.); #34036=FACE_OUTER_BOUND('',#54712,.T.); #34037=FACE_OUTER_BOUND('',#54713,.T.); #34038=FACE_OUTER_BOUND('',#54714,.T.); #34039=FACE_OUTER_BOUND('',#54715,.T.); #34040=FACE_OUTER_BOUND('',#54716,.T.); #34041=FACE_OUTER_BOUND('',#54717,.T.); #34042=FACE_OUTER_BOUND('',#54718,.T.); #34043=FACE_OUTER_BOUND('',#54719,.T.); #34044=FACE_OUTER_BOUND('',#54720,.T.); #34045=FACE_OUTER_BOUND('',#54721,.T.); #34046=FACE_OUTER_BOUND('',#54722,.T.); #34047=FACE_OUTER_BOUND('',#54723,.T.); #34048=FACE_OUTER_BOUND('',#54724,.T.); #34049=FACE_OUTER_BOUND('',#54725,.T.); #34050=FACE_OUTER_BOUND('',#54726,.T.); #34051=FACE_OUTER_BOUND('',#54727,.T.); #34052=FACE_OUTER_BOUND('',#54728,.T.); #34053=FACE_OUTER_BOUND('',#54729,.T.); #34054=FACE_OUTER_BOUND('',#54730,.T.); #34055=FACE_OUTER_BOUND('',#54731,.T.); #34056=FACE_OUTER_BOUND('',#54732,.T.); #34057=FACE_OUTER_BOUND('',#54733,.T.); #34058=FACE_OUTER_BOUND('',#54734,.T.); #34059=FACE_OUTER_BOUND('',#54735,.T.); #34060=FACE_OUTER_BOUND('',#54736,.T.); #34061=FACE_OUTER_BOUND('',#54737,.T.); #34062=FACE_OUTER_BOUND('',#54738,.T.); #34063=FACE_OUTER_BOUND('',#54739,.T.); #34064=FACE_OUTER_BOUND('',#54740,.T.); #34065=FACE_OUTER_BOUND('',#54741,.T.); #34066=FACE_OUTER_BOUND('',#54742,.T.); #34067=FACE_OUTER_BOUND('',#54743,.T.); #34068=FACE_OUTER_BOUND('',#54744,.T.); #34069=FACE_OUTER_BOUND('',#54745,.T.); #34070=FACE_OUTER_BOUND('',#54746,.T.); #34071=FACE_OUTER_BOUND('',#54747,.T.); #34072=FACE_OUTER_BOUND('',#54748,.T.); #34073=FACE_OUTER_BOUND('',#54749,.T.); #34074=FACE_OUTER_BOUND('',#54750,.T.); #34075=FACE_OUTER_BOUND('',#54751,.T.); #34076=FACE_OUTER_BOUND('',#54752,.T.); #34077=FACE_OUTER_BOUND('',#54753,.T.); #34078=FACE_OUTER_BOUND('',#54754,.T.); #34079=FACE_OUTER_BOUND('',#54755,.T.); #34080=FACE_OUTER_BOUND('',#54756,.T.); #34081=FACE_OUTER_BOUND('',#54757,.T.); #34082=FACE_OUTER_BOUND('',#54758,.T.); #34083=FACE_OUTER_BOUND('',#54759,.T.); #34084=FACE_OUTER_BOUND('',#54760,.T.); #34085=FACE_OUTER_BOUND('',#54761,.T.); #34086=FACE_OUTER_BOUND('',#54762,.T.); #34087=FACE_OUTER_BOUND('',#54763,.T.); #34088=FACE_OUTER_BOUND('',#54764,.T.); #34089=FACE_OUTER_BOUND('',#54765,.T.); #34090=FACE_OUTER_BOUND('',#54766,.T.); #34091=FACE_OUTER_BOUND('',#54767,.T.); #34092=FACE_OUTER_BOUND('',#54768,.T.); #34093=FACE_OUTER_BOUND('',#54769,.T.); #34094=FACE_OUTER_BOUND('',#54770,.T.); #34095=FACE_OUTER_BOUND('',#54771,.T.); #34096=FACE_OUTER_BOUND('',#54772,.T.); #34097=FACE_OUTER_BOUND('',#54773,.T.); #34098=FACE_OUTER_BOUND('',#54774,.T.); #34099=FACE_OUTER_BOUND('',#54775,.T.); #34100=FACE_OUTER_BOUND('',#54776,.T.); #34101=FACE_OUTER_BOUND('',#54777,.T.); #34102=FACE_OUTER_BOUND('',#54778,.T.); #34103=FACE_OUTER_BOUND('',#54779,.T.); #34104=FACE_OUTER_BOUND('',#54780,.T.); #34105=FACE_OUTER_BOUND('',#54781,.T.); #34106=FACE_OUTER_BOUND('',#54782,.T.); #34107=FACE_OUTER_BOUND('',#54783,.T.); #34108=FACE_OUTER_BOUND('',#54784,.T.); #34109=FACE_OUTER_BOUND('',#54785,.T.); #34110=FACE_OUTER_BOUND('',#54786,.T.); #34111=FACE_OUTER_BOUND('',#54787,.T.); #34112=FACE_OUTER_BOUND('',#54788,.T.); #34113=FACE_OUTER_BOUND('',#54789,.T.); #34114=FACE_OUTER_BOUND('',#54790,.T.); #34115=FACE_OUTER_BOUND('',#54791,.T.); #34116=FACE_OUTER_BOUND('',#54792,.T.); #34117=FACE_OUTER_BOUND('',#54793,.T.); #34118=FACE_OUTER_BOUND('',#54794,.T.); #34119=FACE_OUTER_BOUND('',#54795,.T.); #34120=FACE_OUTER_BOUND('',#54796,.T.); #34121=FACE_OUTER_BOUND('',#54797,.T.); #34122=FACE_OUTER_BOUND('',#54798,.T.); #34123=FACE_OUTER_BOUND('',#54799,.T.); #34124=FACE_OUTER_BOUND('',#54800,.T.); #34125=FACE_OUTER_BOUND('',#54801,.T.); #34126=FACE_OUTER_BOUND('',#54802,.T.); #34127=FACE_OUTER_BOUND('',#54803,.T.); #34128=FACE_OUTER_BOUND('',#54804,.T.); #34129=FACE_OUTER_BOUND('',#54805,.T.); #34130=FACE_OUTER_BOUND('',#54806,.T.); #34131=FACE_OUTER_BOUND('',#54807,.T.); #34132=FACE_OUTER_BOUND('',#54808,.T.); #34133=FACE_OUTER_BOUND('',#54809,.T.); #34134=FACE_OUTER_BOUND('',#54810,.T.); #34135=FACE_OUTER_BOUND('',#54811,.T.); #34136=FACE_OUTER_BOUND('',#54812,.T.); #34137=FACE_OUTER_BOUND('',#54813,.T.); #34138=FACE_OUTER_BOUND('',#54814,.T.); #34139=FACE_OUTER_BOUND('',#54815,.T.); #34140=FACE_OUTER_BOUND('',#54816,.T.); #34141=FACE_OUTER_BOUND('',#54817,.T.); #34142=FACE_OUTER_BOUND('',#54818,.T.); #34143=FACE_OUTER_BOUND('',#54819,.T.); #34144=FACE_OUTER_BOUND('',#54820,.T.); #34145=FACE_OUTER_BOUND('',#54821,.T.); #34146=FACE_OUTER_BOUND('',#54822,.T.); #34147=FACE_OUTER_BOUND('',#54823,.T.); #34148=FACE_OUTER_BOUND('',#54824,.T.); #34149=FACE_OUTER_BOUND('',#54825,.T.); #34150=FACE_OUTER_BOUND('',#54826,.T.); #34151=FACE_OUTER_BOUND('',#54827,.T.); #34152=FACE_OUTER_BOUND('',#54828,.T.); #34153=FACE_OUTER_BOUND('',#54829,.T.); #34154=FACE_OUTER_BOUND('',#54830,.T.); #34155=FACE_OUTER_BOUND('',#54831,.T.); #34156=FACE_OUTER_BOUND('',#54832,.T.); #34157=FACE_OUTER_BOUND('',#54833,.T.); #34158=FACE_OUTER_BOUND('',#54834,.T.); #34159=FACE_OUTER_BOUND('',#54835,.T.); #34160=FACE_OUTER_BOUND('',#54836,.T.); #34161=FACE_OUTER_BOUND('',#54837,.T.); #34162=FACE_OUTER_BOUND('',#54838,.T.); #34163=FACE_OUTER_BOUND('',#54839,.T.); #34164=FACE_OUTER_BOUND('',#54840,.T.); #34165=FACE_OUTER_BOUND('',#54841,.T.); #34166=FACE_OUTER_BOUND('',#54842,.T.); #34167=FACE_OUTER_BOUND('',#54843,.T.); #34168=FACE_OUTER_BOUND('',#54844,.T.); #34169=FACE_OUTER_BOUND('',#54845,.T.); #34170=FACE_OUTER_BOUND('',#54846,.T.); #34171=FACE_OUTER_BOUND('',#54847,.T.); #34172=FACE_OUTER_BOUND('',#54848,.T.); #34173=FACE_OUTER_BOUND('',#54849,.T.); #34174=FACE_OUTER_BOUND('',#54850,.T.); #34175=FACE_OUTER_BOUND('',#54851,.T.); #34176=FACE_OUTER_BOUND('',#54852,.T.); #34177=FACE_OUTER_BOUND('',#54853,.T.); #34178=FACE_OUTER_BOUND('',#54854,.T.); #34179=FACE_OUTER_BOUND('',#54855,.T.); #34180=FACE_OUTER_BOUND('',#54856,.T.); #34181=FACE_OUTER_BOUND('',#54857,.T.); #34182=FACE_OUTER_BOUND('',#54858,.T.); #34183=FACE_OUTER_BOUND('',#54859,.T.); #34184=FACE_OUTER_BOUND('',#54860,.T.); #34185=FACE_OUTER_BOUND('',#54861,.T.); #34186=FACE_OUTER_BOUND('',#55007,.T.); #34187=FACE_OUTER_BOUND('',#55153,.T.); #34188=FACE_OUTER_BOUND('',#55154,.T.); #34189=FACE_OUTER_BOUND('',#55155,.T.); #34190=FACE_OUTER_BOUND('',#55156,.T.); #34191=FACE_OUTER_BOUND('',#55157,.T.); #34192=FACE_OUTER_BOUND('',#55158,.T.); #34193=FACE_OUTER_BOUND('',#55159,.T.); #34194=FACE_OUTER_BOUND('',#55160,.T.); #34195=FACE_OUTER_BOUND('',#55161,.T.); #34196=FACE_OUTER_BOUND('',#55162,.T.); #34197=FACE_OUTER_BOUND('',#55163,.T.); #34198=FACE_OUTER_BOUND('',#55164,.T.); #34199=FACE_OUTER_BOUND('',#55165,.T.); #34200=FACE_OUTER_BOUND('',#55166,.T.); #34201=FACE_OUTER_BOUND('',#55167,.T.); #34202=FACE_OUTER_BOUND('',#55168,.T.); #34203=FACE_OUTER_BOUND('',#55169,.T.); #34204=FACE_OUTER_BOUND('',#55170,.T.); #34205=FACE_OUTER_BOUND('',#55171,.T.); #34206=FACE_OUTER_BOUND('',#55172,.T.); #34207=FACE_OUTER_BOUND('',#55173,.T.); #34208=FACE_OUTER_BOUND('',#55174,.T.); #34209=FACE_OUTER_BOUND('',#55175,.T.); #34210=FACE_OUTER_BOUND('',#55176,.T.); #34211=FACE_OUTER_BOUND('',#55177,.T.); #34212=FACE_OUTER_BOUND('',#55178,.T.); #34213=FACE_OUTER_BOUND('',#55179,.T.); #34214=FACE_OUTER_BOUND('',#55180,.T.); #34215=FACE_OUTER_BOUND('',#55181,.T.); #34216=FACE_OUTER_BOUND('',#55182,.T.); #34217=FACE_OUTER_BOUND('',#55183,.T.); #34218=FACE_OUTER_BOUND('',#55184,.T.); #34219=FACE_OUTER_BOUND('',#55185,.T.); #34220=FACE_OUTER_BOUND('',#55186,.T.); #34221=FACE_OUTER_BOUND('',#55187,.T.); #34222=FACE_OUTER_BOUND('',#55188,.T.); #34223=FACE_OUTER_BOUND('',#55189,.T.); #34224=FACE_OUTER_BOUND('',#55190,.T.); #34225=FACE_OUTER_BOUND('',#55191,.T.); #34226=FACE_OUTER_BOUND('',#55192,.T.); #34227=FACE_OUTER_BOUND('',#55193,.T.); #34228=FACE_OUTER_BOUND('',#55194,.T.); #34229=FACE_OUTER_BOUND('',#55195,.T.); #34230=FACE_OUTER_BOUND('',#55196,.T.); #34231=FACE_OUTER_BOUND('',#55197,.T.); #34232=FACE_OUTER_BOUND('',#55198,.T.); #34233=FACE_OUTER_BOUND('',#55199,.T.); #34234=FACE_OUTER_BOUND('',#55200,.T.); #34235=FACE_OUTER_BOUND('',#55201,.T.); #34236=FACE_OUTER_BOUND('',#55202,.T.); #34237=FACE_OUTER_BOUND('',#55203,.T.); #34238=FACE_OUTER_BOUND('',#55204,.T.); #34239=FACE_OUTER_BOUND('',#55205,.T.); #34240=FACE_OUTER_BOUND('',#55206,.T.); #34241=FACE_OUTER_BOUND('',#55207,.T.); #34242=FACE_OUTER_BOUND('',#55208,.T.); #34243=FACE_OUTER_BOUND('',#55209,.T.); #34244=FACE_OUTER_BOUND('',#55210,.T.); #34245=FACE_OUTER_BOUND('',#55211,.T.); #34246=FACE_OUTER_BOUND('',#55212,.T.); #34247=FACE_OUTER_BOUND('',#55213,.T.); #34248=FACE_OUTER_BOUND('',#55214,.T.); #34249=FACE_OUTER_BOUND('',#55215,.T.); #34250=FACE_OUTER_BOUND('',#55216,.T.); #34251=FACE_OUTER_BOUND('',#55217,.T.); #34252=FACE_OUTER_BOUND('',#55218,.T.); #34253=FACE_OUTER_BOUND('',#55219,.T.); #34254=FACE_OUTER_BOUND('',#55220,.T.); #34255=FACE_OUTER_BOUND('',#55221,.T.); #34256=FACE_OUTER_BOUND('',#55222,.T.); #34257=FACE_OUTER_BOUND('',#55223,.T.); #34258=FACE_OUTER_BOUND('',#55224,.T.); #34259=FACE_OUTER_BOUND('',#55225,.T.); #34260=FACE_OUTER_BOUND('',#55226,.T.); #34261=FACE_OUTER_BOUND('',#55227,.T.); #34262=FACE_OUTER_BOUND('',#55228,.T.); #34263=FACE_OUTER_BOUND('',#55229,.T.); #34264=FACE_OUTER_BOUND('',#55230,.T.); #34265=FACE_OUTER_BOUND('',#55231,.T.); #34266=FACE_OUTER_BOUND('',#55232,.T.); #34267=FACE_OUTER_BOUND('',#55233,.T.); #34268=FACE_OUTER_BOUND('',#55234,.T.); #34269=FACE_OUTER_BOUND('',#55235,.T.); #34270=FACE_OUTER_BOUND('',#55236,.T.); #34271=FACE_OUTER_BOUND('',#55237,.T.); #34272=FACE_OUTER_BOUND('',#55238,.T.); #34273=FACE_OUTER_BOUND('',#55239,.T.); #34274=FACE_OUTER_BOUND('',#55240,.T.); #34275=FACE_OUTER_BOUND('',#55241,.T.); #34276=FACE_OUTER_BOUND('',#55242,.T.); #34277=FACE_OUTER_BOUND('',#55243,.T.); #34278=FACE_OUTER_BOUND('',#55244,.T.); #34279=FACE_OUTER_BOUND('',#55245,.T.); #34280=FACE_OUTER_BOUND('',#55246,.T.); #34281=FACE_OUTER_BOUND('',#55247,.T.); #34282=FACE_OUTER_BOUND('',#55248,.T.); #34283=FACE_OUTER_BOUND('',#55249,.T.); #34284=FACE_OUTER_BOUND('',#55250,.T.); #34285=FACE_OUTER_BOUND('',#55251,.T.); #34286=FACE_OUTER_BOUND('',#55252,.T.); #34287=FACE_OUTER_BOUND('',#55253,.T.); #34288=FACE_OUTER_BOUND('',#55254,.T.); #34289=FACE_OUTER_BOUND('',#55255,.T.); #34290=FACE_OUTER_BOUND('',#55256,.T.); #34291=FACE_OUTER_BOUND('',#55257,.T.); #34292=FACE_OUTER_BOUND('',#55258,.T.); #34293=FACE_OUTER_BOUND('',#55259,.T.); #34294=FACE_OUTER_BOUND('',#55260,.T.); #34295=FACE_OUTER_BOUND('',#55261,.T.); #34296=FACE_OUTER_BOUND('',#55262,.T.); #34297=FACE_OUTER_BOUND('',#55263,.T.); #34298=FACE_OUTER_BOUND('',#55264,.T.); #34299=FACE_OUTER_BOUND('',#55265,.T.); #34300=FACE_OUTER_BOUND('',#55266,.T.); #34301=FACE_OUTER_BOUND('',#55267,.T.); #34302=FACE_OUTER_BOUND('',#55268,.T.); #34303=FACE_OUTER_BOUND('',#55269,.T.); #34304=FACE_OUTER_BOUND('',#55270,.T.); #34305=FACE_OUTER_BOUND('',#55271,.T.); #34306=FACE_OUTER_BOUND('',#55272,.T.); #34307=FACE_OUTER_BOUND('',#55273,.T.); #34308=FACE_OUTER_BOUND('',#55274,.T.); #34309=FACE_OUTER_BOUND('',#55275,.T.); #34310=FACE_OUTER_BOUND('',#55276,.T.); #34311=FACE_OUTER_BOUND('',#55277,.T.); #34312=FACE_OUTER_BOUND('',#55278,.T.); #34313=FACE_OUTER_BOUND('',#55279,.T.); #34314=FACE_OUTER_BOUND('',#55280,.T.); #34315=FACE_OUTER_BOUND('',#55281,.T.); #34316=FACE_OUTER_BOUND('',#55282,.T.); #34317=FACE_OUTER_BOUND('',#55283,.T.); #34318=FACE_OUTER_BOUND('',#55284,.T.); #34319=FACE_OUTER_BOUND('',#55285,.T.); #34320=FACE_OUTER_BOUND('',#55286,.T.); #34321=FACE_OUTER_BOUND('',#55287,.T.); #34322=FACE_OUTER_BOUND('',#55288,.T.); #34323=FACE_OUTER_BOUND('',#55289,.T.); #34324=FACE_OUTER_BOUND('',#55290,.T.); #34325=FACE_OUTER_BOUND('',#55291,.T.); #34326=FACE_OUTER_BOUND('',#55292,.T.); #34327=FACE_OUTER_BOUND('',#55293,.T.); #34328=FACE_OUTER_BOUND('',#55294,.T.); #34329=FACE_OUTER_BOUND('',#55295,.T.); #34330=FACE_OUTER_BOUND('',#55296,.T.); #34331=FACE_OUTER_BOUND('',#55297,.T.); #34332=FACE_OUTER_BOUND('',#55298,.T.); #34333=FACE_OUTER_BOUND('',#55299,.T.); #34334=FACE_OUTER_BOUND('',#55300,.T.); #34335=FACE_OUTER_BOUND('',#55301,.T.); #34336=FACE_OUTER_BOUND('',#55302,.T.); #34337=FACE_OUTER_BOUND('',#55303,.T.); #34338=FACE_OUTER_BOUND('',#55304,.T.); #34339=FACE_OUTER_BOUND('',#55305,.T.); #34340=FACE_OUTER_BOUND('',#55306,.T.); #34341=FACE_OUTER_BOUND('',#55307,.T.); #34342=FACE_OUTER_BOUND('',#55308,.T.); #34343=FACE_OUTER_BOUND('',#55309,.T.); #34344=FACE_OUTER_BOUND('',#55310,.T.); #34345=FACE_OUTER_BOUND('',#55311,.T.); #34346=FACE_OUTER_BOUND('',#55312,.T.); #34347=FACE_OUTER_BOUND('',#55313,.T.); #34348=FACE_OUTER_BOUND('',#55314,.T.); #34349=FACE_OUTER_BOUND('',#55315,.T.); #34350=FACE_OUTER_BOUND('',#55316,.T.); #34351=FACE_OUTER_BOUND('',#55317,.T.); #34352=FACE_OUTER_BOUND('',#55318,.T.); #34353=FACE_OUTER_BOUND('',#55319,.T.); #34354=FACE_OUTER_BOUND('',#55320,.T.); #34355=FACE_OUTER_BOUND('',#55321,.T.); #34356=FACE_OUTER_BOUND('',#55322,.T.); #34357=FACE_OUTER_BOUND('',#55323,.T.); #34358=FACE_OUTER_BOUND('',#55324,.T.); #34359=FACE_OUTER_BOUND('',#55325,.T.); #34360=FACE_OUTER_BOUND('',#55326,.T.); #34361=FACE_OUTER_BOUND('',#55327,.T.); #34362=FACE_OUTER_BOUND('',#55328,.T.); #34363=FACE_OUTER_BOUND('',#55329,.T.); #34364=FACE_OUTER_BOUND('',#55330,.T.); #34365=FACE_OUTER_BOUND('',#55331,.T.); #34366=FACE_OUTER_BOUND('',#55332,.T.); #34367=FACE_OUTER_BOUND('',#55333,.T.); #34368=FACE_OUTER_BOUND('',#55334,.T.); #34369=FACE_OUTER_BOUND('',#55335,.T.); #34370=FACE_OUTER_BOUND('',#55336,.T.); #34371=FACE_OUTER_BOUND('',#55337,.T.); #34372=FACE_OUTER_BOUND('',#55338,.T.); #34373=FACE_OUTER_BOUND('',#55339,.T.); #34374=FACE_OUTER_BOUND('',#55340,.T.); #34375=FACE_OUTER_BOUND('',#55341,.T.); #34376=FACE_OUTER_BOUND('',#55342,.T.); #34377=FACE_OUTER_BOUND('',#55343,.T.); #34378=FACE_OUTER_BOUND('',#55344,.T.); #34379=FACE_OUTER_BOUND('',#55345,.T.); #34380=FACE_OUTER_BOUND('',#55346,.T.); #34381=FACE_OUTER_BOUND('',#55347,.T.); #34382=FACE_OUTER_BOUND('',#55348,.T.); #34383=FACE_OUTER_BOUND('',#55349,.T.); #34384=FACE_OUTER_BOUND('',#55350,.T.); #34385=FACE_OUTER_BOUND('',#55351,.T.); #34386=FACE_OUTER_BOUND('',#55352,.T.); #34387=FACE_OUTER_BOUND('',#55353,.T.); #34388=FACE_OUTER_BOUND('',#55354,.T.); #34389=FACE_OUTER_BOUND('',#55355,.T.); #34390=FACE_OUTER_BOUND('',#55356,.T.); #34391=FACE_OUTER_BOUND('',#55357,.T.); #34392=FACE_OUTER_BOUND('',#55358,.T.); #34393=FACE_OUTER_BOUND('',#55359,.T.); #34394=FACE_OUTER_BOUND('',#55360,.T.); #34395=FACE_OUTER_BOUND('',#55361,.T.); #34396=FACE_OUTER_BOUND('',#55362,.T.); #34397=FACE_OUTER_BOUND('',#55363,.T.); #34398=FACE_OUTER_BOUND('',#55364,.T.); #34399=FACE_OUTER_BOUND('',#55365,.T.); #34400=FACE_OUTER_BOUND('',#55366,.T.); #34401=FACE_OUTER_BOUND('',#55367,.T.); #34402=FACE_OUTER_BOUND('',#55368,.T.); #34403=FACE_OUTER_BOUND('',#55369,.T.); #34404=FACE_OUTER_BOUND('',#55370,.T.); #34405=FACE_OUTER_BOUND('',#55371,.T.); #34406=FACE_OUTER_BOUND('',#55372,.T.); #34407=FACE_OUTER_BOUND('',#55373,.T.); #34408=FACE_OUTER_BOUND('',#55374,.T.); #34409=FACE_OUTER_BOUND('',#55375,.T.); #34410=FACE_OUTER_BOUND('',#55376,.T.); #34411=FACE_OUTER_BOUND('',#55377,.T.); #34412=FACE_OUTER_BOUND('',#55378,.T.); #34413=FACE_OUTER_BOUND('',#55379,.T.); #34414=FACE_OUTER_BOUND('',#55380,.T.); #34415=FACE_OUTER_BOUND('',#55381,.T.); #34416=FACE_OUTER_BOUND('',#55382,.T.); #34417=FACE_OUTER_BOUND('',#55383,.T.); #34418=FACE_OUTER_BOUND('',#55384,.T.); #34419=FACE_OUTER_BOUND('',#55385,.T.); #34420=FACE_OUTER_BOUND('',#55386,.T.); #34421=FACE_OUTER_BOUND('',#55387,.T.); #34422=FACE_OUTER_BOUND('',#55388,.T.); #34423=FACE_OUTER_BOUND('',#55389,.T.); #34424=FACE_OUTER_BOUND('',#55390,.T.); #34425=FACE_OUTER_BOUND('',#55391,.T.); #34426=FACE_OUTER_BOUND('',#55392,.T.); #34427=FACE_OUTER_BOUND('',#55393,.T.); #34428=FACE_OUTER_BOUND('',#55394,.T.); #34429=FACE_OUTER_BOUND('',#55395,.T.); #34430=FACE_OUTER_BOUND('',#55396,.T.); #34431=FACE_OUTER_BOUND('',#55397,.T.); #34432=FACE_OUTER_BOUND('',#55398,.T.); #34433=FACE_OUTER_BOUND('',#55399,.T.); #34434=FACE_OUTER_BOUND('',#55400,.T.); #34435=FACE_OUTER_BOUND('',#55401,.T.); #34436=FACE_OUTER_BOUND('',#55402,.T.); #34437=FACE_OUTER_BOUND('',#55403,.T.); #34438=FACE_OUTER_BOUND('',#55404,.T.); #34439=FACE_OUTER_BOUND('',#55405,.T.); #34440=FACE_OUTER_BOUND('',#55406,.T.); #34441=FACE_OUTER_BOUND('',#55407,.T.); #34442=FACE_OUTER_BOUND('',#55408,.T.); #34443=FACE_OUTER_BOUND('',#55409,.T.); #34444=FACE_OUTER_BOUND('',#55410,.T.); #34445=FACE_OUTER_BOUND('',#55411,.T.); #34446=FACE_OUTER_BOUND('',#55412,.T.); #34447=FACE_OUTER_BOUND('',#55413,.T.); #34448=FACE_OUTER_BOUND('',#55414,.T.); #34449=FACE_OUTER_BOUND('',#55415,.T.); #34450=FACE_OUTER_BOUND('',#55416,.T.); #34451=FACE_OUTER_BOUND('',#55417,.T.); #34452=FACE_OUTER_BOUND('',#55418,.T.); #34453=FACE_OUTER_BOUND('',#55419,.T.); #34454=FACE_OUTER_BOUND('',#55420,.T.); #34455=FACE_OUTER_BOUND('',#55421,.T.); #34456=FACE_OUTER_BOUND('',#55422,.T.); #34457=FACE_OUTER_BOUND('',#55423,.T.); #34458=FACE_OUTER_BOUND('',#55424,.T.); #34459=FACE_OUTER_BOUND('',#55425,.T.); #34460=FACE_OUTER_BOUND('',#55426,.T.); #34461=FACE_OUTER_BOUND('',#55427,.T.); #34462=FACE_OUTER_BOUND('',#55428,.T.); #34463=FACE_OUTER_BOUND('',#55429,.T.); #34464=FACE_OUTER_BOUND('',#55430,.T.); #34465=FACE_OUTER_BOUND('',#55431,.T.); #34466=FACE_OUTER_BOUND('',#55432,.T.); #34467=FACE_OUTER_BOUND('',#55433,.T.); #34468=FACE_OUTER_BOUND('',#55434,.T.); #34469=FACE_OUTER_BOUND('',#55435,.T.); #34470=FACE_OUTER_BOUND('',#55436,.T.); #34471=FACE_OUTER_BOUND('',#55437,.T.); #34472=FACE_OUTER_BOUND('',#55438,.T.); #34473=FACE_OUTER_BOUND('',#55439,.T.); #34474=FACE_OUTER_BOUND('',#55440,.T.); #34475=FACE_OUTER_BOUND('',#55441,.T.); #34476=FACE_OUTER_BOUND('',#55442,.T.); #34477=FACE_OUTER_BOUND('',#55443,.T.); #34478=FACE_OUTER_BOUND('',#55444,.T.); #34479=FACE_OUTER_BOUND('',#55445,.T.); #34480=FACE_OUTER_BOUND('',#55446,.T.); #34481=FACE_OUTER_BOUND('',#55447,.T.); #34482=FACE_OUTER_BOUND('',#55448,.T.); #34483=FACE_OUTER_BOUND('',#55449,.T.); #34484=FACE_OUTER_BOUND('',#55450,.T.); #34485=FACE_OUTER_BOUND('',#55451,.T.); #34486=FACE_OUTER_BOUND('',#55452,.T.); #34487=FACE_OUTER_BOUND('',#55453,.T.); #34488=FACE_OUTER_BOUND('',#55454,.T.); #34489=FACE_OUTER_BOUND('',#55455,.T.); #34490=FACE_OUTER_BOUND('',#55456,.T.); #34491=FACE_OUTER_BOUND('',#55457,.T.); #34492=FACE_OUTER_BOUND('',#55458,.T.); #34493=FACE_OUTER_BOUND('',#55459,.T.); #34494=FACE_OUTER_BOUND('',#55460,.T.); #34495=FACE_OUTER_BOUND('',#55461,.T.); #34496=FACE_OUTER_BOUND('',#55462,.T.); #34497=FACE_OUTER_BOUND('',#55463,.T.); #34498=FACE_OUTER_BOUND('',#55464,.T.); #34499=FACE_OUTER_BOUND('',#55465,.T.); #34500=FACE_OUTER_BOUND('',#55466,.T.); #34501=FACE_OUTER_BOUND('',#55467,.T.); #34502=FACE_OUTER_BOUND('',#55468,.T.); #34503=FACE_OUTER_BOUND('',#55469,.T.); #34504=FACE_OUTER_BOUND('',#55470,.T.); #34505=FACE_OUTER_BOUND('',#55471,.T.); #34506=FACE_OUTER_BOUND('',#55472,.T.); #34507=FACE_OUTER_BOUND('',#55473,.T.); #34508=FACE_OUTER_BOUND('',#55474,.T.); #34509=FACE_OUTER_BOUND('',#55475,.T.); #34510=FACE_OUTER_BOUND('',#55476,.T.); #34511=FACE_OUTER_BOUND('',#55477,.T.); #34512=FACE_OUTER_BOUND('',#55478,.T.); #34513=FACE_OUTER_BOUND('',#55479,.T.); #34514=FACE_OUTER_BOUND('',#55480,.T.); #34515=FACE_OUTER_BOUND('',#55481,.T.); #34516=FACE_OUTER_BOUND('',#55482,.T.); #34517=FACE_OUTER_BOUND('',#55483,.T.); #34518=FACE_OUTER_BOUND('',#55484,.T.); #34519=FACE_OUTER_BOUND('',#55485,.T.); #34520=FACE_OUTER_BOUND('',#55486,.T.); #34521=FACE_OUTER_BOUND('',#55487,.T.); #34522=FACE_OUTER_BOUND('',#55488,.T.); #34523=FACE_OUTER_BOUND('',#55489,.T.); #34524=FACE_OUTER_BOUND('',#55490,.T.); #34525=FACE_OUTER_BOUND('',#55491,.T.); #34526=FACE_OUTER_BOUND('',#55492,.T.); #34527=FACE_OUTER_BOUND('',#55493,.T.); #34528=FACE_OUTER_BOUND('',#55494,.T.); #34529=FACE_OUTER_BOUND('',#55495,.T.); #34530=FACE_OUTER_BOUND('',#55496,.T.); #34531=FACE_OUTER_BOUND('',#55497,.T.); #34532=FACE_OUTER_BOUND('',#55498,.T.); #34533=FACE_OUTER_BOUND('',#55499,.T.); #34534=FACE_OUTER_BOUND('',#55500,.T.); #34535=FACE_OUTER_BOUND('',#55501,.T.); #34536=FACE_OUTER_BOUND('',#55502,.T.); #34537=FACE_OUTER_BOUND('',#55503,.T.); #34538=FACE_OUTER_BOUND('',#55504,.T.); #34539=FACE_OUTER_BOUND('',#55505,.T.); #34540=FACE_OUTER_BOUND('',#55506,.T.); #34541=FACE_OUTER_BOUND('',#55507,.T.); #34542=FACE_OUTER_BOUND('',#55508,.T.); #34543=FACE_OUTER_BOUND('',#55509,.T.); #34544=FACE_OUTER_BOUND('',#55510,.T.); #34545=FACE_OUTER_BOUND('',#55511,.T.); #34546=FACE_OUTER_BOUND('',#55512,.T.); #34547=FACE_OUTER_BOUND('',#55513,.T.); #34548=FACE_OUTER_BOUND('',#55514,.T.); #34549=FACE_OUTER_BOUND('',#55515,.T.); #34550=FACE_OUTER_BOUND('',#55516,.T.); #34551=FACE_OUTER_BOUND('',#55517,.T.); #34552=FACE_OUTER_BOUND('',#55518,.T.); #34553=FACE_OUTER_BOUND('',#55519,.T.); #34554=FACE_OUTER_BOUND('',#55520,.T.); #34555=FACE_OUTER_BOUND('',#55521,.T.); #34556=FACE_OUTER_BOUND('',#55522,.T.); #34557=FACE_OUTER_BOUND('',#55523,.T.); #34558=FACE_OUTER_BOUND('',#55524,.T.); #34559=FACE_OUTER_BOUND('',#55525,.T.); #34560=FACE_OUTER_BOUND('',#55526,.T.); #34561=FACE_OUTER_BOUND('',#55527,.T.); #34562=FACE_OUTER_BOUND('',#55528,.T.); #34563=FACE_OUTER_BOUND('',#55529,.T.); #34564=FACE_OUTER_BOUND('',#55530,.T.); #34565=FACE_OUTER_BOUND('',#55531,.T.); #34566=FACE_OUTER_BOUND('',#55532,.T.); #34567=FACE_OUTER_BOUND('',#55533,.T.); #34568=FACE_OUTER_BOUND('',#55534,.T.); #34569=FACE_OUTER_BOUND('',#55535,.T.); #34570=FACE_OUTER_BOUND('',#55536,.T.); #34571=FACE_OUTER_BOUND('',#55537,.T.); #34572=FACE_OUTER_BOUND('',#55538,.T.); #34573=FACE_OUTER_BOUND('',#55539,.T.); #34574=FACE_OUTER_BOUND('',#55540,.T.); #34575=FACE_OUTER_BOUND('',#55541,.T.); #34576=FACE_OUTER_BOUND('',#55542,.T.); #34577=FACE_OUTER_BOUND('',#55543,.T.); #34578=FACE_OUTER_BOUND('',#55544,.T.); #34579=FACE_OUTER_BOUND('',#55545,.T.); #34580=FACE_OUTER_BOUND('',#55546,.T.); #34581=FACE_OUTER_BOUND('',#55547,.T.); #34582=FACE_OUTER_BOUND('',#55548,.T.); #34583=FACE_OUTER_BOUND('',#55549,.T.); #34584=FACE_OUTER_BOUND('',#55550,.T.); #34585=FACE_OUTER_BOUND('',#55551,.T.); #34586=FACE_OUTER_BOUND('',#55552,.T.); #34587=FACE_OUTER_BOUND('',#55569,.T.); #34588=FACE_OUTER_BOUND('',#55586,.T.); #34589=FACE_OUTER_BOUND('',#55587,.T.); #34590=FACE_OUTER_BOUND('',#55588,.T.); #34591=FACE_OUTER_BOUND('',#55589,.T.); #34592=FACE_OUTER_BOUND('',#55590,.T.); #34593=FACE_OUTER_BOUND('',#55591,.T.); #34594=FACE_OUTER_BOUND('',#55592,.T.); #34595=FACE_OUTER_BOUND('',#55593,.T.); #34596=FACE_OUTER_BOUND('',#55594,.T.); #34597=FACE_OUTER_BOUND('',#55595,.T.); #34598=FACE_OUTER_BOUND('',#55596,.T.); #34599=FACE_OUTER_BOUND('',#55597,.T.); #34600=FACE_OUTER_BOUND('',#55598,.T.); #34601=FACE_OUTER_BOUND('',#55599,.T.); #34602=FACE_OUTER_BOUND('',#55600,.T.); #34603=FACE_OUTER_BOUND('',#55601,.T.); #34604=FACE_OUTER_BOUND('',#55602,.T.); #34605=FACE_OUTER_BOUND('',#55603,.T.); #34606=FACE_OUTER_BOUND('',#55604,.T.); #34607=FACE_OUTER_BOUND('',#55605,.T.); #34608=FACE_OUTER_BOUND('',#55606,.T.); #34609=FACE_OUTER_BOUND('',#55607,.T.); #34610=FACE_OUTER_BOUND('',#55608,.T.); #34611=FACE_OUTER_BOUND('',#55609,.T.); #34612=FACE_OUTER_BOUND('',#55610,.T.); #34613=FACE_OUTER_BOUND('',#55611,.T.); #34614=FACE_OUTER_BOUND('',#55612,.T.); #34615=FACE_OUTER_BOUND('',#55613,.T.); #34616=FACE_OUTER_BOUND('',#55614,.T.); #34617=FACE_OUTER_BOUND('',#55615,.T.); #34618=FACE_OUTER_BOUND('',#55616,.T.); #34619=FACE_OUTER_BOUND('',#55617,.T.); #34620=FACE_OUTER_BOUND('',#55618,.T.); #34621=FACE_OUTER_BOUND('',#55619,.T.); #34622=FACE_OUTER_BOUND('',#55620,.T.); #34623=FACE_OUTER_BOUND('',#55621,.T.); #34624=FACE_OUTER_BOUND('',#55622,.T.); #34625=FACE_OUTER_BOUND('',#55623,.T.); #34626=FACE_OUTER_BOUND('',#55624,.T.); #34627=FACE_OUTER_BOUND('',#55625,.T.); #34628=FACE_OUTER_BOUND('',#55626,.T.); #34629=FACE_OUTER_BOUND('',#55627,.T.); #34630=FACE_OUTER_BOUND('',#55628,.T.); #34631=FACE_OUTER_BOUND('',#55629,.T.); #34632=FACE_OUTER_BOUND('',#55630,.T.); #34633=FACE_OUTER_BOUND('',#55631,.T.); #34634=FACE_OUTER_BOUND('',#55632,.T.); #34635=FACE_OUTER_BOUND('',#55633,.T.); #34636=FACE_OUTER_BOUND('',#55634,.T.); #34637=FACE_OUTER_BOUND('',#55635,.T.); #34638=FACE_OUTER_BOUND('',#55636,.T.); #34639=FACE_OUTER_BOUND('',#55637,.T.); #34640=FACE_OUTER_BOUND('',#55638,.T.); #34641=FACE_OUTER_BOUND('',#55639,.T.); #34642=FACE_OUTER_BOUND('',#55640,.T.); #34643=FACE_OUTER_BOUND('',#55641,.T.); #34644=FACE_OUTER_BOUND('',#55642,.T.); #34645=FACE_OUTER_BOUND('',#55643,.T.); #34646=FACE_OUTER_BOUND('',#55644,.T.); #34647=FACE_OUTER_BOUND('',#55645,.T.); #34648=FACE_OUTER_BOUND('',#55646,.T.); #34649=FACE_OUTER_BOUND('',#55647,.T.); #34650=FACE_OUTER_BOUND('',#55648,.T.); #34651=FACE_OUTER_BOUND('',#55649,.T.); #34652=FACE_OUTER_BOUND('',#55650,.T.); #34653=FACE_OUTER_BOUND('',#55651,.T.); #34654=FACE_OUTER_BOUND('',#55652,.T.); #34655=FACE_OUTER_BOUND('',#55653,.T.); #34656=FACE_OUTER_BOUND('',#55654,.T.); #34657=FACE_OUTER_BOUND('',#55655,.T.); #34658=FACE_OUTER_BOUND('',#55656,.T.); #34659=FACE_OUTER_BOUND('',#55657,.T.); #34660=FACE_OUTER_BOUND('',#55658,.T.); #34661=FACE_OUTER_BOUND('',#55659,.T.); #34662=FACE_OUTER_BOUND('',#55660,.T.); #34663=FACE_OUTER_BOUND('',#55661,.T.); #34664=FACE_OUTER_BOUND('',#55662,.T.); #34665=FACE_OUTER_BOUND('',#55663,.T.); #34666=FACE_OUTER_BOUND('',#55664,.T.); #34667=FACE_OUTER_BOUND('',#55665,.T.); #34668=FACE_OUTER_BOUND('',#55666,.T.); #34669=FACE_OUTER_BOUND('',#55667,.T.); #34670=FACE_OUTER_BOUND('',#55668,.T.); #34671=FACE_OUTER_BOUND('',#55669,.T.); #34672=FACE_OUTER_BOUND('',#55670,.T.); #34673=FACE_OUTER_BOUND('',#55671,.T.); #34674=FACE_OUTER_BOUND('',#55672,.T.); #34675=FACE_OUTER_BOUND('',#55689,.T.); #34676=FACE_OUTER_BOUND('',#55706,.T.); #34677=FACE_OUTER_BOUND('',#55707,.T.); #34678=FACE_OUTER_BOUND('',#55708,.T.); #34679=FACE_OUTER_BOUND('',#55709,.T.); #34680=FACE_OUTER_BOUND('',#55710,.T.); #34681=FACE_OUTER_BOUND('',#55711,.T.); #34682=FACE_OUTER_BOUND('',#55712,.T.); #34683=FACE_OUTER_BOUND('',#55713,.T.); #34684=FACE_OUTER_BOUND('',#55714,.T.); #34685=FACE_OUTER_BOUND('',#55715,.T.); #34686=FACE_OUTER_BOUND('',#55716,.T.); #34687=FACE_OUTER_BOUND('',#55717,.T.); #34688=FACE_OUTER_BOUND('',#55718,.T.); #34689=FACE_OUTER_BOUND('',#55719,.T.); #34690=FACE_OUTER_BOUND('',#55720,.T.); #34691=FACE_OUTER_BOUND('',#55721,.T.); #34692=FACE_OUTER_BOUND('',#55722,.T.); #34693=FACE_OUTER_BOUND('',#55723,.T.); #34694=FACE_OUTER_BOUND('',#55724,.T.); #34695=FACE_OUTER_BOUND('',#55725,.T.); #34696=FACE_OUTER_BOUND('',#55726,.T.); #34697=FACE_OUTER_BOUND('',#55727,.T.); #34698=FACE_OUTER_BOUND('',#55728,.T.); #34699=FACE_OUTER_BOUND('',#55729,.T.); #34700=FACE_OUTER_BOUND('',#55730,.T.); #34701=FACE_OUTER_BOUND('',#55731,.T.); #34702=FACE_OUTER_BOUND('',#55732,.T.); #34703=FACE_OUTER_BOUND('',#55733,.T.); #34704=FACE_OUTER_BOUND('',#55734,.T.); #34705=FACE_OUTER_BOUND('',#55735,.T.); #34706=FACE_OUTER_BOUND('',#55736,.T.); #34707=FACE_OUTER_BOUND('',#55737,.T.); #34708=FACE_OUTER_BOUND('',#55738,.T.); #34709=FACE_OUTER_BOUND('',#55739,.T.); #34710=FACE_OUTER_BOUND('',#55740,.T.); #34711=FACE_OUTER_BOUND('',#55741,.T.); #34712=FACE_OUTER_BOUND('',#55742,.T.); #34713=FACE_OUTER_BOUND('',#55743,.T.); #34714=FACE_OUTER_BOUND('',#55744,.T.); #34715=FACE_OUTER_BOUND('',#55745,.T.); #34716=FACE_OUTER_BOUND('',#55746,.T.); #34717=FACE_OUTER_BOUND('',#55747,.T.); #34718=FACE_OUTER_BOUND('',#55748,.T.); #34719=FACE_OUTER_BOUND('',#55749,.T.); #34720=FACE_OUTER_BOUND('',#55750,.T.); #34721=FACE_OUTER_BOUND('',#55751,.T.); #34722=FACE_OUTER_BOUND('',#55752,.T.); #34723=FACE_OUTER_BOUND('',#55753,.T.); #34724=FACE_OUTER_BOUND('',#55754,.T.); #34725=FACE_OUTER_BOUND('',#55755,.T.); #34726=FACE_OUTER_BOUND('',#55756,.T.); #34727=FACE_OUTER_BOUND('',#55757,.T.); #34728=FACE_OUTER_BOUND('',#55758,.T.); #34729=FACE_OUTER_BOUND('',#55759,.T.); #34730=FACE_OUTER_BOUND('',#55760,.T.); #34731=FACE_OUTER_BOUND('',#55761,.T.); #34732=FACE_OUTER_BOUND('',#55762,.T.); #34733=FACE_OUTER_BOUND('',#55763,.T.); #34734=FACE_OUTER_BOUND('',#55764,.T.); #34735=FACE_OUTER_BOUND('',#55765,.T.); #34736=FACE_OUTER_BOUND('',#55766,.T.); #34737=FACE_OUTER_BOUND('',#55767,.T.); #34738=FACE_OUTER_BOUND('',#55768,.T.); #34739=FACE_OUTER_BOUND('',#55769,.T.); #34740=FACE_OUTER_BOUND('',#55770,.T.); #34741=FACE_OUTER_BOUND('',#55771,.T.); #34742=FACE_OUTER_BOUND('',#55772,.T.); #34743=FACE_OUTER_BOUND('',#55773,.T.); #34744=FACE_OUTER_BOUND('',#55774,.T.); #34745=FACE_OUTER_BOUND('',#55775,.T.); #34746=FACE_OUTER_BOUND('',#55776,.T.); #34747=FACE_OUTER_BOUND('',#55777,.T.); #34748=FACE_OUTER_BOUND('',#55778,.T.); #34749=FACE_OUTER_BOUND('',#55779,.T.); #34750=FACE_OUTER_BOUND('',#55780,.T.); #34751=FACE_OUTER_BOUND('',#55781,.T.); #34752=FACE_OUTER_BOUND('',#55812,.T.); #34753=FACE_OUTER_BOUND('',#55843,.T.); #34754=FACE_OUTER_BOUND('',#55844,.T.); #34755=FACE_OUTER_BOUND('',#55845,.T.); #34756=FACE_OUTER_BOUND('',#55846,.T.); #34757=FACE_OUTER_BOUND('',#55847,.T.); #34758=FACE_OUTER_BOUND('',#55848,.T.); #34759=FACE_OUTER_BOUND('',#55849,.T.); #34760=FACE_OUTER_BOUND('',#55850,.T.); #34761=FACE_OUTER_BOUND('',#55851,.T.); #34762=FACE_OUTER_BOUND('',#55852,.T.); #34763=FACE_OUTER_BOUND('',#55855,.T.); #34764=FACE_OUTER_BOUND('',#55858,.T.); #34765=FACE_OUTER_BOUND('',#55859,.T.); #34766=FACE_OUTER_BOUND('',#55860,.T.); #34767=FACE_OUTER_BOUND('',#55861,.T.); #34768=FACE_OUTER_BOUND('',#55862,.T.); #34769=FACE_OUTER_BOUND('',#55863,.T.); #34770=FACE_OUTER_BOUND('',#55864,.T.); #34771=FACE_OUTER_BOUND('',#55865,.T.); #34772=FACE_OUTER_BOUND('',#55866,.T.); #34773=FACE_OUTER_BOUND('',#55867,.T.); #34774=FACE_OUTER_BOUND('',#55868,.T.); #34775=FACE_OUTER_BOUND('',#55869,.T.); #34776=FACE_OUTER_BOUND('',#55870,.T.); #34777=FACE_OUTER_BOUND('',#55871,.T.); #34778=FACE_OUTER_BOUND('',#55872,.T.); #34779=FACE_OUTER_BOUND('',#55873,.T.); #34780=FACE_OUTER_BOUND('',#55874,.T.); #34781=FACE_OUTER_BOUND('',#55875,.T.); #34782=FACE_OUTER_BOUND('',#55876,.T.); #34783=FACE_OUTER_BOUND('',#55877,.T.); #34784=FACE_OUTER_BOUND('',#55878,.T.); #34785=FACE_OUTER_BOUND('',#55879,.T.); #34786=FACE_OUTER_BOUND('',#55880,.T.); #34787=FACE_OUTER_BOUND('',#55881,.T.); #34788=FACE_OUTER_BOUND('',#55882,.T.); #34789=FACE_OUTER_BOUND('',#55883,.T.); #34790=FACE_OUTER_BOUND('',#55884,.T.); #34791=FACE_OUTER_BOUND('',#55885,.T.); #34792=FACE_OUTER_BOUND('',#55886,.T.); #34793=FACE_OUTER_BOUND('',#55887,.T.); #34794=FACE_OUTER_BOUND('',#55888,.T.); #34795=FACE_OUTER_BOUND('',#55889,.T.); #34796=FACE_OUTER_BOUND('',#55890,.T.); #34797=FACE_OUTER_BOUND('',#55891,.T.); #34798=FACE_OUTER_BOUND('',#55892,.T.); #34799=FACE_OUTER_BOUND('',#55903,.T.); #34800=FACE_OUTER_BOUND('',#55914,.T.); #34801=FACE_OUTER_BOUND('',#55915,.T.); #34802=FACE_OUTER_BOUND('',#55916,.T.); #34803=FACE_OUTER_BOUND('',#55917,.T.); #34804=FACE_OUTER_BOUND('',#55918,.T.); #34805=FACE_OUTER_BOUND('',#55920,.T.); #34806=FACE_OUTER_BOUND('',#55922,.T.); #34807=FACE_OUTER_BOUND('',#55923,.T.); #34808=FACE_OUTER_BOUND('',#55924,.T.); #34809=FACE_OUTER_BOUND('',#55925,.T.); #34810=FACE_OUTER_BOUND('',#55926,.T.); #34811=FACE_OUTER_BOUND('',#55927,.T.); #34812=FACE_OUTER_BOUND('',#55928,.T.); #34813=FACE_OUTER_BOUND('',#55929,.T.); #34814=FACE_OUTER_BOUND('',#55930,.T.); #34815=FACE_OUTER_BOUND('',#55931,.T.); #34816=FACE_OUTER_BOUND('',#55932,.T.); #34817=FACE_OUTER_BOUND('',#55933,.T.); #34818=FACE_OUTER_BOUND('',#55934,.T.); #34819=FACE_OUTER_BOUND('',#55935,.T.); #34820=FACE_OUTER_BOUND('',#55936,.T.); #34821=FACE_OUTER_BOUND('',#55937,.T.); #34822=FACE_OUTER_BOUND('',#55938,.T.); #34823=FACE_OUTER_BOUND('',#55939,.T.); #34824=FACE_OUTER_BOUND('',#55940,.T.); #34825=FACE_OUTER_BOUND('',#55941,.T.); #34826=FACE_OUTER_BOUND('',#55942,.T.); #34827=FACE_OUTER_BOUND('',#55943,.T.); #34828=FACE_OUTER_BOUND('',#55944,.T.); #34829=FACE_OUTER_BOUND('',#55945,.T.); #34830=FACE_OUTER_BOUND('',#55946,.T.); #34831=FACE_OUTER_BOUND('',#55947,.T.); #34832=FACE_OUTER_BOUND('',#55948,.T.); #34833=FACE_OUTER_BOUND('',#55949,.T.); #34834=FACE_OUTER_BOUND('',#55950,.T.); #34835=FACE_OUTER_BOUND('',#55951,.T.); #34836=FACE_OUTER_BOUND('',#55952,.T.); #34837=FACE_OUTER_BOUND('',#55953,.T.); #34838=FACE_OUTER_BOUND('',#55954,.T.); #34839=FACE_OUTER_BOUND('',#55955,.T.); #34840=FACE_OUTER_BOUND('',#55956,.T.); #34841=FACE_OUTER_BOUND('',#55957,.T.); #34842=FACE_OUTER_BOUND('',#55958,.T.); #34843=FACE_OUTER_BOUND('',#55959,.T.); #34844=FACE_OUTER_BOUND('',#55960,.T.); #34845=FACE_OUTER_BOUND('',#55961,.T.); #34846=FACE_OUTER_BOUND('',#55962,.T.); #34847=FACE_OUTER_BOUND('',#55963,.T.); #34848=FACE_OUTER_BOUND('',#55964,.T.); #34849=FACE_OUTER_BOUND('',#55965,.T.); #34850=FACE_OUTER_BOUND('',#55966,.T.); #34851=FACE_OUTER_BOUND('',#55967,.T.); #34852=FACE_OUTER_BOUND('',#55968,.T.); #34853=FACE_OUTER_BOUND('',#55969,.T.); #34854=FACE_OUTER_BOUND('',#55970,.T.); #34855=FACE_OUTER_BOUND('',#55971,.T.); #34856=FACE_OUTER_BOUND('',#55972,.T.); #34857=FACE_OUTER_BOUND('',#55973,.T.); #34858=FACE_OUTER_BOUND('',#55974,.T.); #34859=FACE_OUTER_BOUND('',#55975,.T.); #34860=FACE_OUTER_BOUND('',#55976,.T.); #34861=FACE_OUTER_BOUND('',#55977,.T.); #34862=FACE_OUTER_BOUND('',#55978,.T.); #34863=FACE_OUTER_BOUND('',#55979,.T.); #34864=FACE_OUTER_BOUND('',#55980,.T.); #34865=FACE_OUTER_BOUND('',#55981,.T.); #34866=FACE_OUTER_BOUND('',#55982,.T.); #34867=FACE_OUTER_BOUND('',#55983,.T.); #34868=FACE_OUTER_BOUND('',#55984,.T.); #34869=FACE_OUTER_BOUND('',#55985,.T.); #34870=FACE_OUTER_BOUND('',#55986,.T.); #34871=FACE_OUTER_BOUND('',#55987,.T.); #34872=FACE_OUTER_BOUND('',#55988,.T.); #34873=FACE_OUTER_BOUND('',#55989,.T.); #34874=FACE_OUTER_BOUND('',#55990,.T.); #34875=FACE_OUTER_BOUND('',#55991,.T.); #34876=FACE_OUTER_BOUND('',#55992,.T.); #34877=FACE_OUTER_BOUND('',#55993,.T.); #34878=FACE_OUTER_BOUND('',#55994,.T.); #34879=FACE_OUTER_BOUND('',#55995,.T.); #34880=FACE_OUTER_BOUND('',#55996,.T.); #34881=FACE_OUTER_BOUND('',#55997,.T.); #34882=FACE_OUTER_BOUND('',#56028,.T.); #34883=FACE_OUTER_BOUND('',#56059,.T.); #34884=FACE_OUTER_BOUND('',#56060,.T.); #34885=FACE_OUTER_BOUND('',#56061,.T.); #34886=FACE_OUTER_BOUND('',#56062,.T.); #34887=FACE_OUTER_BOUND('',#56063,.T.); #34888=FACE_OUTER_BOUND('',#56064,.T.); #34889=FACE_OUTER_BOUND('',#56065,.T.); #34890=FACE_OUTER_BOUND('',#56066,.T.); #34891=FACE_OUTER_BOUND('',#56067,.T.); #34892=FACE_OUTER_BOUND('',#56068,.T.); #34893=FACE_OUTER_BOUND('',#56071,.T.); #34894=FACE_OUTER_BOUND('',#56074,.T.); #34895=FACE_OUTER_BOUND('',#56075,.T.); #34896=FACE_OUTER_BOUND('',#56076,.T.); #34897=FACE_OUTER_BOUND('',#56077,.T.); #34898=FACE_OUTER_BOUND('',#56078,.T.); #34899=FACE_OUTER_BOUND('',#56080,.T.); #34900=FACE_OUTER_BOUND('',#56082,.T.); #34901=FACE_OUTER_BOUND('',#56083,.T.); #34902=FACE_OUTER_BOUND('',#56084,.T.); #34903=FACE_OUTER_BOUND('',#56085,.T.); #34904=FACE_OUTER_BOUND('',#56086,.T.); #34905=FACE_OUTER_BOUND('',#56087,.T.); #34906=FACE_OUTER_BOUND('',#56088,.T.); #34907=FACE_OUTER_BOUND('',#56089,.T.); #34908=FACE_OUTER_BOUND('',#56090,.T.); #34909=FACE_OUTER_BOUND('',#56091,.T.); #34910=FACE_OUTER_BOUND('',#56092,.T.); #34911=FACE_OUTER_BOUND('',#56093,.T.); #34912=FACE_OUTER_BOUND('',#56094,.T.); #34913=FACE_OUTER_BOUND('',#56095,.T.); #34914=FACE_OUTER_BOUND('',#56096,.T.); #34915=FACE_OUTER_BOUND('',#56097,.T.); #34916=FACE_OUTER_BOUND('',#56098,.T.); #34917=FACE_OUTER_BOUND('',#56099,.T.); #34918=FACE_OUTER_BOUND('',#56100,.T.); #34919=FACE_OUTER_BOUND('',#56101,.T.); #34920=FACE_OUTER_BOUND('',#56102,.T.); #34921=FACE_OUTER_BOUND('',#56103,.T.); #34922=FACE_OUTER_BOUND('',#56104,.T.); #34923=FACE_OUTER_BOUND('',#56105,.T.); #34924=FACE_OUTER_BOUND('',#56106,.T.); #34925=FACE_OUTER_BOUND('',#56107,.T.); #34926=FACE_OUTER_BOUND('',#56108,.T.); #34927=FACE_OUTER_BOUND('',#56109,.T.); #34928=FACE_OUTER_BOUND('',#56110,.T.); #34929=FACE_OUTER_BOUND('',#56111,.T.); #34930=FACE_OUTER_BOUND('',#56112,.T.); #34931=FACE_OUTER_BOUND('',#56113,.T.); #34932=FACE_OUTER_BOUND('',#56114,.T.); #34933=FACE_OUTER_BOUND('',#56115,.T.); #34934=FACE_OUTER_BOUND('',#56116,.T.); #34935=FACE_OUTER_BOUND('',#56117,.T.); #34936=FACE_OUTER_BOUND('',#56118,.T.); #34937=FACE_OUTER_BOUND('',#56119,.T.); #34938=FACE_OUTER_BOUND('',#56120,.T.); #34939=FACE_OUTER_BOUND('',#56121,.T.); #34940=FACE_OUTER_BOUND('',#56122,.T.); #34941=FACE_OUTER_BOUND('',#56123,.T.); #34942=FACE_OUTER_BOUND('',#56124,.T.); #34943=FACE_OUTER_BOUND('',#56125,.T.); #34944=FACE_OUTER_BOUND('',#56126,.T.); #34945=FACE_OUTER_BOUND('',#56127,.T.); #34946=FACE_OUTER_BOUND('',#56128,.T.); #34947=FACE_OUTER_BOUND('',#56129,.T.); #34948=FACE_OUTER_BOUND('',#56130,.T.); #34949=FACE_OUTER_BOUND('',#56131,.T.); #34950=FACE_OUTER_BOUND('',#56132,.T.); #34951=FACE_OUTER_BOUND('',#56133,.T.); #34952=FACE_OUTER_BOUND('',#56134,.T.); #34953=FACE_OUTER_BOUND('',#56135,.T.); #34954=FACE_OUTER_BOUND('',#56136,.T.); #34955=FACE_OUTER_BOUND('',#56137,.T.); #34956=FACE_OUTER_BOUND('',#56138,.T.); #34957=FACE_OUTER_BOUND('',#56139,.T.); #34958=FACE_OUTER_BOUND('',#56140,.T.); #34959=FACE_OUTER_BOUND('',#56141,.T.); #34960=FACE_OUTER_BOUND('',#56142,.T.); #34961=FACE_OUTER_BOUND('',#56143,.T.); #34962=FACE_OUTER_BOUND('',#56144,.T.); #34963=FACE_OUTER_BOUND('',#56145,.T.); #34964=FACE_OUTER_BOUND('',#56146,.T.); #34965=FACE_OUTER_BOUND('',#56147,.T.); #34966=FACE_OUTER_BOUND('',#56148,.T.); #34967=FACE_OUTER_BOUND('',#56149,.T.); #34968=FACE_OUTER_BOUND('',#56150,.T.); #34969=FACE_OUTER_BOUND('',#56151,.T.); #34970=FACE_OUTER_BOUND('',#56152,.T.); #34971=FACE_OUTER_BOUND('',#56153,.T.); #34972=FACE_OUTER_BOUND('',#56154,.T.); #34973=FACE_OUTER_BOUND('',#56155,.T.); #34974=FACE_OUTER_BOUND('',#56156,.T.); #34975=FACE_OUTER_BOUND('',#56157,.T.); #34976=FACE_OUTER_BOUND('',#56158,.T.); #34977=FACE_OUTER_BOUND('',#56159,.T.); #34978=FACE_OUTER_BOUND('',#56160,.T.); #34979=FACE_OUTER_BOUND('',#56161,.T.); #34980=FACE_OUTER_BOUND('',#56162,.T.); #34981=FACE_OUTER_BOUND('',#56163,.T.); #34982=FACE_OUTER_BOUND('',#56164,.T.); #34983=FACE_OUTER_BOUND('',#56165,.T.); #34984=FACE_OUTER_BOUND('',#56166,.T.); #34985=FACE_OUTER_BOUND('',#56167,.T.); #34986=FACE_OUTER_BOUND('',#56168,.T.); #34987=FACE_OUTER_BOUND('',#56169,.T.); #34988=FACE_OUTER_BOUND('',#56170,.T.); #34989=FACE_OUTER_BOUND('',#56171,.T.); #34990=FACE_OUTER_BOUND('',#56172,.T.); #34991=FACE_OUTER_BOUND('',#56173,.T.); #34992=FACE_OUTER_BOUND('',#56174,.T.); #34993=FACE_OUTER_BOUND('',#56175,.T.); #34994=FACE_OUTER_BOUND('',#56176,.T.); #34995=FACE_OUTER_BOUND('',#56177,.T.); #34996=FACE_OUTER_BOUND('',#56178,.T.); #34997=FACE_OUTER_BOUND('',#56179,.T.); #34998=FACE_OUTER_BOUND('',#56180,.T.); #34999=FACE_OUTER_BOUND('',#56181,.T.); #35000=FACE_OUTER_BOUND('',#56182,.T.); #35001=FACE_OUTER_BOUND('',#56183,.T.); #35002=FACE_OUTER_BOUND('',#56184,.T.); #35003=FACE_OUTER_BOUND('',#56185,.T.); #35004=FACE_OUTER_BOUND('',#56186,.T.); #35005=FACE_OUTER_BOUND('',#56187,.T.); #35006=FACE_OUTER_BOUND('',#56188,.T.); #35007=FACE_OUTER_BOUND('',#56189,.T.); #35008=FACE_OUTER_BOUND('',#56190,.T.); #35009=FACE_OUTER_BOUND('',#56191,.T.); #35010=FACE_OUTER_BOUND('',#56192,.T.); #35011=FACE_OUTER_BOUND('',#56193,.T.); #35012=FACE_OUTER_BOUND('',#56194,.T.); #35013=FACE_OUTER_BOUND('',#56195,.T.); #35014=FACE_OUTER_BOUND('',#56196,.T.); #35015=FACE_OUTER_BOUND('',#56197,.T.); #35016=FACE_OUTER_BOUND('',#56198,.T.); #35017=FACE_OUTER_BOUND('',#56199,.T.); #35018=FACE_OUTER_BOUND('',#56200,.T.); #35019=FACE_OUTER_BOUND('',#56201,.T.); #35020=FACE_OUTER_BOUND('',#56202,.T.); #35021=FACE_OUTER_BOUND('',#56203,.T.); #35022=FACE_OUTER_BOUND('',#56204,.T.); #35023=FACE_OUTER_BOUND('',#56205,.T.); #35024=FACE_OUTER_BOUND('',#56206,.T.); #35025=FACE_OUTER_BOUND('',#56207,.T.); #35026=FACE_OUTER_BOUND('',#56208,.T.); #35027=FACE_OUTER_BOUND('',#56209,.T.); #35028=FACE_OUTER_BOUND('',#56210,.T.); #35029=FACE_OUTER_BOUND('',#56211,.T.); #35030=FACE_OUTER_BOUND('',#56212,.T.); #35031=FACE_OUTER_BOUND('',#56213,.T.); #35032=FACE_OUTER_BOUND('',#56214,.T.); #35033=FACE_OUTER_BOUND('',#56215,.T.); #35034=FACE_OUTER_BOUND('',#56216,.T.); #35035=FACE_OUTER_BOUND('',#56217,.T.); #35036=FACE_OUTER_BOUND('',#56218,.T.); #35037=FACE_OUTER_BOUND('',#56219,.T.); #35038=FACE_OUTER_BOUND('',#56220,.T.); #35039=FACE_OUTER_BOUND('',#56221,.T.); #35040=FACE_OUTER_BOUND('',#56222,.T.); #35041=FACE_OUTER_BOUND('',#56223,.T.); #35042=FACE_OUTER_BOUND('',#56224,.T.); #35043=FACE_OUTER_BOUND('',#56225,.T.); #35044=FACE_OUTER_BOUND('',#56226,.T.); #35045=FACE_OUTER_BOUND('',#56227,.T.); #35046=FACE_OUTER_BOUND('',#56228,.T.); #35047=FACE_OUTER_BOUND('',#56229,.T.); #35048=FACE_OUTER_BOUND('',#56230,.T.); #35049=FACE_OUTER_BOUND('',#56231,.T.); #35050=FACE_OUTER_BOUND('',#56232,.T.); #35051=FACE_OUTER_BOUND('',#56233,.T.); #35052=FACE_OUTER_BOUND('',#56234,.T.); #35053=FACE_OUTER_BOUND('',#56235,.T.); #35054=FACE_OUTER_BOUND('',#56236,.T.); #35055=FACE_OUTER_BOUND('',#56237,.T.); #35056=FACE_OUTER_BOUND('',#56238,.T.); #35057=FACE_OUTER_BOUND('',#56239,.T.); #35058=FACE_OUTER_BOUND('',#56240,.T.); #35059=FACE_OUTER_BOUND('',#56241,.T.); #35060=FACE_OUTER_BOUND('',#56242,.T.); #35061=FACE_OUTER_BOUND('',#56243,.T.); #35062=FACE_OUTER_BOUND('',#56244,.T.); #35063=FACE_OUTER_BOUND('',#56245,.T.); #35064=FACE_OUTER_BOUND('',#56246,.T.); #35065=FACE_OUTER_BOUND('',#56247,.T.); #35066=FACE_OUTER_BOUND('',#56248,.T.); #35067=FACE_OUTER_BOUND('',#56249,.T.); #35068=FACE_OUTER_BOUND('',#56250,.T.); #35069=FACE_OUTER_BOUND('',#56251,.T.); #35070=FACE_OUTER_BOUND('',#56252,.T.); #35071=FACE_OUTER_BOUND('',#56253,.T.); #35072=FACE_OUTER_BOUND('',#56254,.T.); #35073=FACE_OUTER_BOUND('',#56255,.T.); #35074=FACE_OUTER_BOUND('',#56256,.T.); #35075=FACE_OUTER_BOUND('',#56257,.T.); #35076=FACE_OUTER_BOUND('',#56258,.T.); #35077=FACE_OUTER_BOUND('',#56259,.T.); #35078=FACE_OUTER_BOUND('',#56260,.T.); #35079=FACE_OUTER_BOUND('',#56261,.T.); #35080=FACE_OUTER_BOUND('',#56262,.T.); #35081=FACE_OUTER_BOUND('',#56263,.T.); #35082=FACE_OUTER_BOUND('',#56264,.T.); #35083=FACE_OUTER_BOUND('',#56281,.T.); #35084=FACE_OUTER_BOUND('',#56298,.T.); #35085=FACE_OUTER_BOUND('',#56299,.T.); #35086=FACE_OUTER_BOUND('',#56300,.T.); #35087=FACE_OUTER_BOUND('',#56301,.T.); #35088=FACE_OUTER_BOUND('',#56302,.T.); #35089=FACE_OUTER_BOUND('',#56304,.T.); #35090=FACE_OUTER_BOUND('',#56306,.T.); #35091=FACE_OUTER_BOUND('',#56307,.T.); #35092=FACE_OUTER_BOUND('',#56308,.T.); #35093=FACE_OUTER_BOUND('',#56309,.T.); #35094=FACE_OUTER_BOUND('',#56310,.T.); #35095=FACE_OUTER_BOUND('',#56312,.T.); #35096=FACE_OUTER_BOUND('',#56314,.T.); #35097=FACE_OUTER_BOUND('',#56315,.T.); #35098=FACE_OUTER_BOUND('',#56316,.T.); #35099=FACE_OUTER_BOUND('',#56317,.T.); #35100=FACE_OUTER_BOUND('',#56318,.T.); #35101=FACE_OUTER_BOUND('',#56320,.T.); #35102=FACE_OUTER_BOUND('',#56322,.T.); #35103=FACE_OUTER_BOUND('',#56323,.T.); #35104=FACE_OUTER_BOUND('',#56324,.T.); #35105=FACE_OUTER_BOUND('',#56325,.T.); #35106=FACE_OUTER_BOUND('',#56326,.T.); #35107=FACE_OUTER_BOUND('',#56328,.T.); #35108=FACE_OUTER_BOUND('',#56330,.T.); #35109=FACE_OUTER_BOUND('',#56331,.T.); #35110=FACE_OUTER_BOUND('',#56332,.T.); #35111=FACE_OUTER_BOUND('',#56333,.T.); #35112=FACE_OUTER_BOUND('',#56334,.T.); #35113=FACE_OUTER_BOUND('',#56335,.T.); #35114=FACE_OUTER_BOUND('',#56337,.T.); #35115=FACE_OUTER_BOUND('',#56339,.T.); #35116=FACE_OUTER_BOUND('',#56340,.T.); #35117=FACE_OUTER_BOUND('',#56341,.T.); #35118=FACE_OUTER_BOUND('',#56342,.T.); #35119=FACE_OUTER_BOUND('',#56343,.T.); #35120=FACE_OUTER_BOUND('',#56345,.T.); #35121=FACE_OUTER_BOUND('',#56347,.T.); #35122=FACE_OUTER_BOUND('',#56348,.T.); #35123=FACE_OUTER_BOUND('',#56349,.T.); #35124=FACE_OUTER_BOUND('',#56350,.T.); #35125=FACE_OUTER_BOUND('',#56351,.T.); #35126=FACE_OUTER_BOUND('',#56353,.T.); #35127=FACE_OUTER_BOUND('',#56355,.T.); #35128=FACE_OUTER_BOUND('',#56356,.T.); #35129=FACE_OUTER_BOUND('',#56357,.T.); #35130=FACE_OUTER_BOUND('',#56358,.T.); #35131=FACE_OUTER_BOUND('',#56359,.T.); #35132=FACE_OUTER_BOUND('',#56361,.T.); #35133=FACE_OUTER_BOUND('',#56363,.T.); #35134=FACE_OUTER_BOUND('',#56364,.T.); #35135=FACE_OUTER_BOUND('',#56365,.T.); #35136=FACE_OUTER_BOUND('',#56366,.T.); #35137=FACE_OUTER_BOUND('',#56367,.T.); #35138=FACE_OUTER_BOUND('',#56369,.T.); #35139=FACE_OUTER_BOUND('',#56371,.T.); #35140=FACE_OUTER_BOUND('',#56372,.T.); #35141=FACE_OUTER_BOUND('',#56373,.T.); #35142=FACE_OUTER_BOUND('',#56374,.T.); #35143=FACE_OUTER_BOUND('',#56375,.T.); #35144=FACE_OUTER_BOUND('',#56376,.T.); #35145=FACE_OUTER_BOUND('',#56377,.T.); #35146=FACE_OUTER_BOUND('',#56378,.T.); #35147=FACE_OUTER_BOUND('',#56379,.T.); #35148=FACE_OUTER_BOUND('',#56380,.T.); #35149=FACE_OUTER_BOUND('',#56381,.T.); #35150=FACE_OUTER_BOUND('',#56382,.T.); #35151=FACE_OUTER_BOUND('',#56383,.T.); #35152=FACE_OUTER_BOUND('',#56384,.T.); #35153=FACE_OUTER_BOUND('',#56385,.T.); #35154=FACE_OUTER_BOUND('',#56386,.T.); #35155=FACE_OUTER_BOUND('',#56387,.T.); #35156=FACE_OUTER_BOUND('',#56388,.T.); #35157=FACE_OUTER_BOUND('',#56389,.T.); #35158=FACE_OUTER_BOUND('',#56390,.T.); #35159=FACE_OUTER_BOUND('',#56391,.T.); #35160=FACE_OUTER_BOUND('',#56392,.T.); #35161=FACE_OUTER_BOUND('',#56393,.T.); #35162=FACE_OUTER_BOUND('',#56394,.T.); #35163=FACE_OUTER_BOUND('',#56395,.T.); #35164=FACE_OUTER_BOUND('',#56396,.T.); #35165=FACE_OUTER_BOUND('',#56397,.T.); #35166=FACE_OUTER_BOUND('',#56398,.T.); #35167=FACE_OUTER_BOUND('',#56399,.T.); #35168=FACE_OUTER_BOUND('',#56400,.T.); #35169=FACE_OUTER_BOUND('',#56401,.T.); #35170=FACE_OUTER_BOUND('',#56402,.T.); #35171=FACE_OUTER_BOUND('',#56403,.T.); #35172=FACE_OUTER_BOUND('',#56404,.T.); #35173=FACE_OUTER_BOUND('',#56405,.T.); #35174=FACE_OUTER_BOUND('',#56406,.T.); #35175=FACE_OUTER_BOUND('',#56407,.T.); #35176=FACE_OUTER_BOUND('',#56408,.T.); #35177=FACE_OUTER_BOUND('',#56409,.T.); #35178=FACE_OUTER_BOUND('',#56410,.T.); #35179=FACE_OUTER_BOUND('',#56411,.T.); #35180=FACE_OUTER_BOUND('',#56412,.T.); #35181=FACE_OUTER_BOUND('',#56413,.T.); #35182=FACE_OUTER_BOUND('',#56414,.T.); #35183=FACE_OUTER_BOUND('',#56415,.T.); #35184=FACE_OUTER_BOUND('',#56416,.T.); #35185=FACE_OUTER_BOUND('',#56417,.T.); #35186=FACE_OUTER_BOUND('',#56418,.T.); #35187=FACE_OUTER_BOUND('',#56419,.T.); #35188=FACE_OUTER_BOUND('',#56420,.T.); #35189=FACE_OUTER_BOUND('',#56421,.T.); #35190=FACE_OUTER_BOUND('',#56422,.T.); #35191=FACE_OUTER_BOUND('',#56423,.T.); #35192=FACE_OUTER_BOUND('',#56424,.T.); #35193=FACE_OUTER_BOUND('',#56425,.T.); #35194=FACE_OUTER_BOUND('',#56426,.T.); #35195=FACE_OUTER_BOUND('',#56427,.T.); #35196=FACE_OUTER_BOUND('',#56428,.T.); #35197=FACE_OUTER_BOUND('',#56429,.T.); #35198=FACE_OUTER_BOUND('',#56430,.T.); #35199=FACE_OUTER_BOUND('',#56431,.T.); #35200=FACE_OUTER_BOUND('',#56433,.T.); #35201=FACE_OUTER_BOUND('',#56435,.T.); #35202=FACE_OUTER_BOUND('',#56436,.T.); #35203=FACE_OUTER_BOUND('',#56437,.T.); #35204=FACE_OUTER_BOUND('',#56438,.T.); #35205=FACE_OUTER_BOUND('',#56439,.T.); #35206=FACE_OUTER_BOUND('',#56440,.T.); #35207=FACE_OUTER_BOUND('',#56441,.T.); #35208=FACE_OUTER_BOUND('',#56442,.T.); #35209=FACE_OUTER_BOUND('',#56443,.T.); #35210=FACE_OUTER_BOUND('',#56444,.T.); #35211=FACE_OUTER_BOUND('',#56445,.T.); #35212=FACE_OUTER_BOUND('',#56446,.T.); #35213=FACE_OUTER_BOUND('',#56447,.T.); #35214=FACE_OUTER_BOUND('',#56448,.T.); #35215=FACE_OUTER_BOUND('',#56449,.T.); #35216=FACE_OUTER_BOUND('',#56450,.T.); #35217=FACE_OUTER_BOUND('',#56451,.T.); #35218=FACE_OUTER_BOUND('',#56452,.T.); #35219=FACE_OUTER_BOUND('',#56453,.T.); #35220=FACE_OUTER_BOUND('',#56454,.T.); #35221=FACE_OUTER_BOUND('',#56455,.T.); #35222=FACE_OUTER_BOUND('',#56456,.T.); #35223=FACE_OUTER_BOUND('',#56457,.T.); #35224=FACE_OUTER_BOUND('',#56458,.T.); #35225=FACE_OUTER_BOUND('',#56459,.T.); #35226=FACE_OUTER_BOUND('',#56460,.T.); #35227=FACE_OUTER_BOUND('',#56461,.T.); #35228=FACE_OUTER_BOUND('',#56462,.T.); #35229=FACE_OUTER_BOUND('',#56463,.T.); #35230=FACE_OUTER_BOUND('',#56464,.T.); #35231=FACE_OUTER_BOUND('',#56465,.T.); #35232=FACE_OUTER_BOUND('',#56466,.T.); #35233=FACE_OUTER_BOUND('',#56467,.T.); #35234=FACE_OUTER_BOUND('',#56468,.T.); #35235=FACE_OUTER_BOUND('',#56469,.T.); #35236=FACE_OUTER_BOUND('',#56470,.T.); #35237=FACE_OUTER_BOUND('',#56471,.T.); #35238=FACE_OUTER_BOUND('',#56472,.T.); #35239=FACE_OUTER_BOUND('',#56473,.T.); #35240=FACE_OUTER_BOUND('',#56474,.T.); #35241=FACE_OUTER_BOUND('',#56475,.T.); #35242=FACE_OUTER_BOUND('',#56476,.T.); #35243=FACE_OUTER_BOUND('',#56477,.T.); #35244=FACE_OUTER_BOUND('',#56478,.T.); #35245=FACE_OUTER_BOUND('',#56479,.T.); #35246=FACE_OUTER_BOUND('',#56480,.T.); #35247=FACE_OUTER_BOUND('',#56481,.T.); #35248=FACE_OUTER_BOUND('',#56482,.T.); #35249=FACE_OUTER_BOUND('',#56483,.T.); #35250=FACE_OUTER_BOUND('',#56484,.T.); #35251=FACE_OUTER_BOUND('',#56485,.T.); #35252=FACE_OUTER_BOUND('',#56486,.T.); #35253=FACE_OUTER_BOUND('',#56487,.T.); #35254=FACE_OUTER_BOUND('',#56488,.T.); #35255=FACE_OUTER_BOUND('',#56489,.T.); #35256=FACE_OUTER_BOUND('',#56490,.T.); #35257=FACE_OUTER_BOUND('',#56491,.T.); #35258=FACE_OUTER_BOUND('',#56492,.T.); #35259=FACE_OUTER_BOUND('',#56493,.T.); #35260=FACE_OUTER_BOUND('',#56494,.T.); #35261=FACE_OUTER_BOUND('',#56495,.T.); #35262=FACE_OUTER_BOUND('',#56496,.T.); #35263=FACE_OUTER_BOUND('',#56497,.T.); #35264=FACE_OUTER_BOUND('',#56498,.T.); #35265=FACE_OUTER_BOUND('',#56499,.T.); #35266=FACE_OUTER_BOUND('',#56500,.T.); #35267=FACE_OUTER_BOUND('',#56501,.T.); #35268=FACE_OUTER_BOUND('',#56502,.T.); #35269=FACE_OUTER_BOUND('',#56503,.T.); #35270=FACE_OUTER_BOUND('',#56504,.T.); #35271=FACE_OUTER_BOUND('',#56505,.T.); #35272=FACE_OUTER_BOUND('',#56506,.T.); #35273=FACE_OUTER_BOUND('',#56507,.T.); #35274=FACE_OUTER_BOUND('',#56508,.T.); #35275=FACE_OUTER_BOUND('',#56509,.T.); #35276=FACE_OUTER_BOUND('',#56510,.T.); #35277=FACE_OUTER_BOUND('',#56511,.T.); #35278=FACE_OUTER_BOUND('',#56513,.T.); #35279=FACE_OUTER_BOUND('',#56515,.T.); #35280=FACE_OUTER_BOUND('',#56516,.T.); #35281=FACE_OUTER_BOUND('',#56517,.T.); #35282=FACE_OUTER_BOUND('',#56518,.T.); #35283=FACE_OUTER_BOUND('',#56519,.T.); #35284=FACE_OUTER_BOUND('',#56520,.T.); #35285=FACE_OUTER_BOUND('',#56521,.T.); #35286=FACE_OUTER_BOUND('',#56522,.T.); #35287=FACE_OUTER_BOUND('',#56523,.T.); #35288=FACE_OUTER_BOUND('',#56524,.T.); #35289=FACE_OUTER_BOUND('',#56525,.T.); #35290=FACE_OUTER_BOUND('',#56526,.T.); #35291=FACE_OUTER_BOUND('',#56527,.T.); #35292=FACE_OUTER_BOUND('',#56528,.T.); #35293=FACE_OUTER_BOUND('',#56529,.T.); #35294=FACE_OUTER_BOUND('',#56530,.T.); #35295=FACE_OUTER_BOUND('',#56531,.T.); #35296=FACE_OUTER_BOUND('',#56532,.T.); #35297=FACE_OUTER_BOUND('',#56533,.T.); #35298=FACE_OUTER_BOUND('',#56534,.T.); #35299=FACE_OUTER_BOUND('',#56535,.T.); #35300=FACE_OUTER_BOUND('',#56536,.T.); #35301=FACE_OUTER_BOUND('',#56537,.T.); #35302=FACE_OUTER_BOUND('',#56538,.T.); #35303=FACE_OUTER_BOUND('',#56539,.T.); #35304=FACE_OUTER_BOUND('',#56540,.T.); #35305=FACE_OUTER_BOUND('',#56541,.T.); #35306=FACE_OUTER_BOUND('',#56542,.T.); #35307=FACE_OUTER_BOUND('',#56543,.T.); #35308=FACE_OUTER_BOUND('',#56544,.T.); #35309=FACE_OUTER_BOUND('',#56545,.T.); #35310=FACE_OUTER_BOUND('',#56546,.T.); #35311=FACE_OUTER_BOUND('',#56547,.T.); #35312=FACE_OUTER_BOUND('',#56548,.T.); #35313=FACE_OUTER_BOUND('',#56549,.T.); #35314=FACE_OUTER_BOUND('',#56550,.T.); #35315=FACE_OUTER_BOUND('',#56551,.T.); #35316=FACE_OUTER_BOUND('',#56552,.T.); #35317=FACE_OUTER_BOUND('',#56553,.T.); #35318=FACE_OUTER_BOUND('',#56554,.T.); #35319=FACE_OUTER_BOUND('',#56555,.T.); #35320=FACE_OUTER_BOUND('',#56556,.T.); #35321=FACE_OUTER_BOUND('',#56557,.T.); #35322=FACE_OUTER_BOUND('',#56558,.T.); #35323=FACE_OUTER_BOUND('',#56559,.T.); #35324=FACE_OUTER_BOUND('',#56560,.T.); #35325=FACE_OUTER_BOUND('',#56561,.T.); #35326=FACE_OUTER_BOUND('',#56562,.T.); #35327=FACE_OUTER_BOUND('',#56563,.T.); #35328=FACE_OUTER_BOUND('',#56564,.T.); #35329=FACE_OUTER_BOUND('',#56565,.T.); #35330=FACE_OUTER_BOUND('',#56566,.T.); #35331=FACE_OUTER_BOUND('',#56567,.T.); #35332=FACE_OUTER_BOUND('',#56568,.T.); #35333=FACE_OUTER_BOUND('',#56569,.T.); #35334=FACE_OUTER_BOUND('',#56570,.T.); #35335=FACE_OUTER_BOUND('',#56571,.T.); #35336=FACE_OUTER_BOUND('',#56572,.T.); #35337=FACE_OUTER_BOUND('',#56573,.T.); #35338=FACE_OUTER_BOUND('',#56574,.T.); #35339=FACE_OUTER_BOUND('',#56575,.T.); #35340=FACE_OUTER_BOUND('',#56577,.T.); #35341=FACE_OUTER_BOUND('',#56579,.T.); #35342=FACE_OUTER_BOUND('',#56580,.T.); #35343=FACE_OUTER_BOUND('',#56581,.T.); #35344=FACE_OUTER_BOUND('',#56582,.T.); #35345=FACE_OUTER_BOUND('',#56583,.T.); #35346=FACE_OUTER_BOUND('',#56584,.T.); #35347=FACE_OUTER_BOUND('',#56586,.T.); #35348=FACE_OUTER_BOUND('',#56588,.T.); #35349=FACE_OUTER_BOUND('',#56589,.T.); #35350=FACE_OUTER_BOUND('',#56590,.T.); #35351=FACE_OUTER_BOUND('',#56591,.T.); #35352=FACE_OUTER_BOUND('',#56592,.T.); #35353=FACE_OUTER_BOUND('',#56593,.T.); #35354=FACE_OUTER_BOUND('',#56594,.T.); #35355=FACE_OUTER_BOUND('',#56595,.T.); #35356=FACE_OUTER_BOUND('',#56596,.T.); #35357=FACE_OUTER_BOUND('',#56597,.T.); #35358=FACE_OUTER_BOUND('',#56598,.T.); #35359=FACE_OUTER_BOUND('',#56599,.T.); #35360=FACE_OUTER_BOUND('',#56600,.T.); #35361=FACE_OUTER_BOUND('',#56601,.T.); #35362=FACE_OUTER_BOUND('',#56602,.T.); #35363=FACE_OUTER_BOUND('',#56603,.T.); #35364=FACE_OUTER_BOUND('',#56604,.T.); #35365=FACE_OUTER_BOUND('',#56605,.T.); #35366=FACE_OUTER_BOUND('',#56606,.T.); #35367=FACE_OUTER_BOUND('',#56607,.T.); #35368=FACE_OUTER_BOUND('',#56608,.T.); #35369=FACE_OUTER_BOUND('',#56609,.T.); #35370=FACE_OUTER_BOUND('',#56610,.T.); #35371=FACE_OUTER_BOUND('',#56611,.T.); #35372=FACE_OUTER_BOUND('',#56612,.T.); #35373=FACE_OUTER_BOUND('',#56613,.T.); #35374=FACE_OUTER_BOUND('',#56614,.T.); #35375=FACE_OUTER_BOUND('',#56615,.T.); #35376=FACE_OUTER_BOUND('',#56616,.T.); #35377=FACE_OUTER_BOUND('',#56617,.T.); #35378=FACE_OUTER_BOUND('',#56618,.T.); #35379=FACE_OUTER_BOUND('',#56619,.T.); #35380=FACE_OUTER_BOUND('',#56620,.T.); #35381=FACE_OUTER_BOUND('',#56621,.T.); #35382=FACE_OUTER_BOUND('',#56622,.T.); #35383=FACE_OUTER_BOUND('',#56623,.T.); #35384=FACE_OUTER_BOUND('',#56624,.T.); #35385=FACE_OUTER_BOUND('',#56625,.T.); #35386=FACE_OUTER_BOUND('',#56626,.T.); #35387=FACE_OUTER_BOUND('',#56627,.T.); #35388=FACE_OUTER_BOUND('',#56628,.T.); #35389=FACE_OUTER_BOUND('',#56629,.T.); #35390=FACE_OUTER_BOUND('',#56630,.T.); #35391=FACE_OUTER_BOUND('',#56631,.T.); #35392=FACE_OUTER_BOUND('',#56632,.T.); #35393=FACE_OUTER_BOUND('',#56633,.T.); #35394=FACE_OUTER_BOUND('',#56634,.T.); #35395=FACE_OUTER_BOUND('',#56635,.T.); #35396=FACE_OUTER_BOUND('',#56636,.T.); #35397=FACE_OUTER_BOUND('',#56637,.T.); #35398=FACE_OUTER_BOUND('',#56638,.T.); #35399=FACE_OUTER_BOUND('',#56639,.T.); #35400=FACE_OUTER_BOUND('',#56640,.T.); #35401=FACE_OUTER_BOUND('',#56641,.T.); #35402=FACE_OUTER_BOUND('',#56642,.T.); #35403=FACE_OUTER_BOUND('',#56643,.T.); #35404=FACE_OUTER_BOUND('',#56644,.T.); #35405=FACE_OUTER_BOUND('',#56645,.T.); #35406=FACE_OUTER_BOUND('',#56646,.T.); #35407=FACE_OUTER_BOUND('',#56647,.T.); #35408=FACE_OUTER_BOUND('',#56648,.T.); #35409=FACE_OUTER_BOUND('',#56650,.T.); #35410=FACE_OUTER_BOUND('',#56652,.T.); #35411=FACE_OUTER_BOUND('',#56653,.T.); #35412=FACE_OUTER_BOUND('',#56654,.T.); #35413=FACE_OUTER_BOUND('',#56655,.T.); #35414=FACE_OUTER_BOUND('',#56656,.T.); #35415=FACE_OUTER_BOUND('',#56657,.T.); #35416=FACE_OUTER_BOUND('',#56658,.T.); #35417=FACE_OUTER_BOUND('',#56659,.T.); #35418=FACE_OUTER_BOUND('',#56660,.T.); #35419=FACE_OUTER_BOUND('',#56661,.T.); #35420=FACE_OUTER_BOUND('',#56662,.T.); #35421=FACE_OUTER_BOUND('',#56663,.T.); #35422=FACE_OUTER_BOUND('',#56664,.T.); #35423=FACE_OUTER_BOUND('',#56665,.T.); #35424=FACE_OUTER_BOUND('',#56666,.T.); #35425=FACE_OUTER_BOUND('',#56667,.T.); #35426=FACE_OUTER_BOUND('',#56668,.T.); #35427=FACE_OUTER_BOUND('',#56669,.T.); #35428=FACE_OUTER_BOUND('',#56670,.T.); #35429=FACE_OUTER_BOUND('',#56671,.T.); #35430=FACE_OUTER_BOUND('',#56672,.T.); #35431=FACE_OUTER_BOUND('',#56673,.T.); #35432=FACE_OUTER_BOUND('',#56674,.T.); #35433=FACE_OUTER_BOUND('',#56675,.T.); #35434=FACE_OUTER_BOUND('',#56676,.T.); #35435=FACE_OUTER_BOUND('',#56677,.T.); #35436=FACE_OUTER_BOUND('',#56678,.T.); #35437=FACE_OUTER_BOUND('',#56679,.T.); #35438=FACE_OUTER_BOUND('',#56680,.T.); #35439=FACE_OUTER_BOUND('',#56681,.T.); #35440=FACE_OUTER_BOUND('',#56682,.T.); #35441=FACE_OUTER_BOUND('',#56683,.T.); #35442=FACE_OUTER_BOUND('',#56684,.T.); #35443=FACE_OUTER_BOUND('',#56685,.T.); #35444=FACE_OUTER_BOUND('',#56686,.T.); #35445=FACE_OUTER_BOUND('',#56687,.T.); #35446=FACE_OUTER_BOUND('',#56688,.T.); #35447=FACE_OUTER_BOUND('',#56689,.T.); #35448=FACE_OUTER_BOUND('',#56690,.T.); #35449=FACE_OUTER_BOUND('',#56691,.T.); #35450=FACE_OUTER_BOUND('',#56692,.T.); #35451=FACE_OUTER_BOUND('',#56693,.T.); #35452=FACE_OUTER_BOUND('',#56694,.T.); #35453=FACE_OUTER_BOUND('',#56695,.T.); #35454=FACE_OUTER_BOUND('',#56696,.T.); #35455=FACE_OUTER_BOUND('',#56697,.T.); #35456=FACE_OUTER_BOUND('',#56698,.T.); #35457=FACE_OUTER_BOUND('',#56699,.T.); #35458=FACE_OUTER_BOUND('',#56700,.T.); #35459=FACE_OUTER_BOUND('',#56701,.T.); #35460=FACE_OUTER_BOUND('',#56702,.T.); #35461=FACE_OUTER_BOUND('',#56703,.T.); #35462=FACE_OUTER_BOUND('',#56704,.T.); #35463=FACE_OUTER_BOUND('',#56705,.T.); #35464=FACE_OUTER_BOUND('',#56706,.T.); #35465=FACE_OUTER_BOUND('',#56707,.T.); #35466=FACE_OUTER_BOUND('',#56708,.T.); #35467=FACE_OUTER_BOUND('',#56709,.T.); #35468=FACE_OUTER_BOUND('',#56710,.T.); #35469=FACE_OUTER_BOUND('',#56711,.T.); #35470=FACE_OUTER_BOUND('',#56712,.T.); #35471=FACE_OUTER_BOUND('',#56713,.T.); #35472=FACE_OUTER_BOUND('',#56714,.T.); #35473=FACE_OUTER_BOUND('',#56715,.T.); #35474=FACE_OUTER_BOUND('',#56716,.T.); #35475=FACE_OUTER_BOUND('',#56717,.T.); #35476=FACE_OUTER_BOUND('',#56718,.T.); #35477=FACE_OUTER_BOUND('',#56719,.T.); #35478=FACE_OUTER_BOUND('',#56720,.T.); #35479=FACE_OUTER_BOUND('',#56721,.T.); #35480=FACE_OUTER_BOUND('',#56722,.T.); #35481=FACE_OUTER_BOUND('',#56723,.T.); #35482=FACE_OUTER_BOUND('',#56724,.T.); #35483=FACE_OUTER_BOUND('',#56725,.T.); #35484=FACE_OUTER_BOUND('',#56726,.T.); #35485=FACE_OUTER_BOUND('',#56727,.T.); #35486=FACE_OUTER_BOUND('',#56728,.T.); #35487=FACE_OUTER_BOUND('',#56730,.T.); #35488=FACE_OUTER_BOUND('',#56732,.T.); #35489=FACE_OUTER_BOUND('',#56733,.T.); #35490=FACE_OUTER_BOUND('',#56734,.T.); #35491=FACE_OUTER_BOUND('',#56735,.T.); #35492=FACE_OUTER_BOUND('',#56736,.T.); #35493=FACE_OUTER_BOUND('',#56738,.T.); #35494=FACE_OUTER_BOUND('',#56740,.T.); #35495=FACE_OUTER_BOUND('',#56741,.T.); #35496=FACE_OUTER_BOUND('',#56742,.T.); #35497=FACE_OUTER_BOUND('',#56743,.T.); #35498=FACE_OUTER_BOUND('',#56744,.T.); #35499=FACE_OUTER_BOUND('',#56746,.T.); #35500=FACE_OUTER_BOUND('',#56748,.T.); #35501=FACE_OUTER_BOUND('',#56749,.T.); #35502=FACE_OUTER_BOUND('',#56750,.T.); #35503=FACE_OUTER_BOUND('',#56751,.T.); #35504=FACE_OUTER_BOUND('',#56752,.T.); #35505=FACE_OUTER_BOUND('',#56753,.T.); #35506=FACE_OUTER_BOUND('',#56754,.T.); #35507=FACE_OUTER_BOUND('',#56755,.T.); #35508=FACE_OUTER_BOUND('',#56756,.T.); #35509=FACE_OUTER_BOUND('',#56757,.T.); #35510=FACE_OUTER_BOUND('',#56758,.T.); #35511=FACE_OUTER_BOUND('',#56759,.T.); #35512=FACE_OUTER_BOUND('',#56760,.T.); #35513=FACE_OUTER_BOUND('',#56761,.T.); #35514=FACE_OUTER_BOUND('',#56762,.T.); #35515=FACE_OUTER_BOUND('',#56763,.T.); #35516=FACE_OUTER_BOUND('',#56764,.T.); #35517=FACE_OUTER_BOUND('',#56765,.T.); #35518=FACE_OUTER_BOUND('',#56766,.T.); #35519=FACE_OUTER_BOUND('',#56767,.T.); #35520=FACE_OUTER_BOUND('',#56768,.T.); #35521=FACE_OUTER_BOUND('',#56769,.T.); #35522=FACE_OUTER_BOUND('',#56770,.T.); #35523=FACE_OUTER_BOUND('',#56771,.T.); #35524=FACE_OUTER_BOUND('',#56772,.T.); #35525=FACE_OUTER_BOUND('',#56773,.T.); #35526=FACE_OUTER_BOUND('',#56774,.T.); #35527=FACE_OUTER_BOUND('',#56775,.T.); #35528=FACE_OUTER_BOUND('',#56776,.T.); #35529=FACE_OUTER_BOUND('',#56777,.T.); #35530=FACE_OUTER_BOUND('',#56778,.T.); #35531=FACE_OUTER_BOUND('',#56779,.T.); #35532=FACE_OUTER_BOUND('',#56780,.T.); #35533=FACE_OUTER_BOUND('',#56781,.T.); #35534=FACE_OUTER_BOUND('',#56782,.T.); #35535=FACE_OUTER_BOUND('',#56783,.T.); #35536=FACE_OUTER_BOUND('',#56784,.T.); #35537=FACE_OUTER_BOUND('',#56785,.T.); #35538=FACE_OUTER_BOUND('',#56786,.T.); #35539=FACE_OUTER_BOUND('',#56787,.T.); #35540=FACE_OUTER_BOUND('',#56788,.T.); #35541=FACE_OUTER_BOUND('',#56789,.T.); #35542=FACE_OUTER_BOUND('',#56790,.T.); #35543=FACE_OUTER_BOUND('',#56791,.T.); #35544=FACE_OUTER_BOUND('',#56792,.T.); #35545=FACE_OUTER_BOUND('',#56793,.T.); #35546=FACE_OUTER_BOUND('',#56794,.T.); #35547=FACE_OUTER_BOUND('',#56795,.T.); #35548=FACE_OUTER_BOUND('',#56796,.T.); #35549=FACE_OUTER_BOUND('',#56797,.T.); #35550=FACE_OUTER_BOUND('',#56798,.T.); #35551=FACE_OUTER_BOUND('',#56799,.T.); #35552=FACE_OUTER_BOUND('',#56800,.T.); #35553=FACE_OUTER_BOUND('',#56801,.T.); #35554=FACE_OUTER_BOUND('',#56802,.T.); #35555=FACE_OUTER_BOUND('',#56803,.T.); #35556=FACE_OUTER_BOUND('',#56804,.T.); #35557=FACE_OUTER_BOUND('',#56805,.T.); #35558=FACE_OUTER_BOUND('',#56806,.T.); #35559=FACE_OUTER_BOUND('',#56807,.T.); #35560=FACE_OUTER_BOUND('',#56808,.T.); #35561=FACE_OUTER_BOUND('',#56810,.T.); #35562=FACE_OUTER_BOUND('',#56812,.T.); #35563=FACE_OUTER_BOUND('',#56813,.T.); #35564=FACE_OUTER_BOUND('',#56814,.T.); #35565=FACE_OUTER_BOUND('',#56815,.T.); #35566=FACE_OUTER_BOUND('',#56816,.T.); #35567=FACE_OUTER_BOUND('',#56818,.T.); #35568=FACE_OUTER_BOUND('',#56820,.T.); #35569=FACE_OUTER_BOUND('',#56821,.T.); #35570=FACE_OUTER_BOUND('',#56822,.T.); #35571=FACE_OUTER_BOUND('',#56823,.T.); #35572=FACE_OUTER_BOUND('',#56824,.T.); #35573=FACE_OUTER_BOUND('',#56826,.T.); #35574=FACE_OUTER_BOUND('',#56828,.T.); #35575=FACE_OUTER_BOUND('',#56829,.T.); #35576=FACE_OUTER_BOUND('',#56830,.T.); #35577=FACE_OUTER_BOUND('',#56831,.T.); #35578=FACE_OUTER_BOUND('',#56832,.T.); #35579=FACE_OUTER_BOUND('',#56834,.T.); #35580=FACE_OUTER_BOUND('',#56836,.T.); #35581=FACE_OUTER_BOUND('',#56837,.T.); #35582=FACE_OUTER_BOUND('',#56838,.T.); #35583=FACE_OUTER_BOUND('',#56839,.T.); #35584=FACE_OUTER_BOUND('',#56840,.T.); #35585=FACE_OUTER_BOUND('',#56841,.T.); #35586=FACE_OUTER_BOUND('',#56843,.T.); #35587=FACE_OUTER_BOUND('',#56845,.T.); #35588=FACE_OUTER_BOUND('',#56846,.T.); #35589=FACE_OUTER_BOUND('',#56847,.T.); #35590=FACE_OUTER_BOUND('',#56848,.T.); #35591=FACE_OUTER_BOUND('',#56849,.T.); #35592=FACE_OUTER_BOUND('',#56851,.T.); #35593=FACE_OUTER_BOUND('',#56853,.T.); #35594=FACE_OUTER_BOUND('',#56854,.T.); #35595=FACE_OUTER_BOUND('',#56855,.T.); #35596=FACE_OUTER_BOUND('',#56856,.T.); #35597=FACE_OUTER_BOUND('',#56857,.T.); #35598=FACE_OUTER_BOUND('',#56858,.T.); #35599=FACE_OUTER_BOUND('',#56859,.T.); #35600=FACE_OUTER_BOUND('',#56860,.T.); #35601=FACE_OUTER_BOUND('',#56861,.T.); #35602=FACE_OUTER_BOUND('',#56862,.T.); #35603=FACE_OUTER_BOUND('',#56863,.T.); #35604=FACE_OUTER_BOUND('',#56864,.T.); #35605=FACE_OUTER_BOUND('',#56865,.T.); #35606=FACE_OUTER_BOUND('',#56866,.T.); #35607=FACE_OUTER_BOUND('',#56867,.T.); #35608=FACE_OUTER_BOUND('',#56868,.T.); #35609=FACE_OUTER_BOUND('',#56869,.T.); #35610=FACE_OUTER_BOUND('',#56870,.T.); #35611=FACE_OUTER_BOUND('',#56871,.T.); #35612=FACE_OUTER_BOUND('',#56872,.T.); #35613=FACE_OUTER_BOUND('',#56873,.T.); #35614=FACE_OUTER_BOUND('',#56874,.T.); #35615=FACE_OUTER_BOUND('',#56875,.T.); #35616=FACE_OUTER_BOUND('',#56876,.T.); #35617=FACE_OUTER_BOUND('',#56877,.T.); #35618=FACE_OUTER_BOUND('',#56878,.T.); #35619=FACE_OUTER_BOUND('',#56879,.T.); #35620=FACE_OUTER_BOUND('',#56880,.T.); #35621=FACE_OUTER_BOUND('',#56881,.T.); #35622=FACE_OUTER_BOUND('',#56882,.T.); #35623=FACE_OUTER_BOUND('',#56883,.T.); #35624=FACE_OUTER_BOUND('',#56884,.T.); #35625=FACE_OUTER_BOUND('',#56885,.T.); #35626=FACE_OUTER_BOUND('',#56886,.T.); #35627=FACE_OUTER_BOUND('',#56887,.T.); #35628=FACE_OUTER_BOUND('',#56888,.T.); #35629=FACE_OUTER_BOUND('',#56889,.T.); #35630=FACE_OUTER_BOUND('',#56890,.T.); #35631=FACE_OUTER_BOUND('',#56891,.T.); #35632=FACE_OUTER_BOUND('',#56892,.T.); #35633=FACE_OUTER_BOUND('',#56893,.T.); #35634=FACE_OUTER_BOUND('',#56894,.T.); #35635=FACE_OUTER_BOUND('',#56895,.T.); #35636=FACE_OUTER_BOUND('',#56896,.T.); #35637=FACE_OUTER_BOUND('',#56897,.T.); #35638=FACE_OUTER_BOUND('',#56898,.T.); #35639=FACE_OUTER_BOUND('',#56899,.T.); #35640=FACE_OUTER_BOUND('',#56900,.T.); #35641=FACE_OUTER_BOUND('',#56901,.T.); #35642=FACE_OUTER_BOUND('',#56902,.T.); #35643=FACE_OUTER_BOUND('',#56903,.T.); #35644=FACE_OUTER_BOUND('',#56904,.T.); #35645=FACE_OUTER_BOUND('',#56905,.T.); #35646=FACE_OUTER_BOUND('',#56906,.T.); #35647=FACE_OUTER_BOUND('',#56907,.T.); #35648=FACE_OUTER_BOUND('',#56908,.T.); #35649=FACE_OUTER_BOUND('',#56909,.T.); #35650=FACE_OUTER_BOUND('',#56910,.T.); #35651=FACE_OUTER_BOUND('',#56911,.T.); #35652=FACE_OUTER_BOUND('',#56912,.T.); #35653=FACE_OUTER_BOUND('',#56913,.T.); #35654=FACE_OUTER_BOUND('',#56914,.T.); #35655=FACE_OUTER_BOUND('',#56915,.T.); #35656=FACE_OUTER_BOUND('',#56916,.T.); #35657=FACE_OUTER_BOUND('',#56917,.T.); #35658=FACE_OUTER_BOUND('',#56918,.T.); #35659=FACE_OUTER_BOUND('',#56919,.T.); #35660=FACE_OUTER_BOUND('',#56920,.T.); #35661=FACE_OUTER_BOUND('',#56921,.T.); #35662=FACE_OUTER_BOUND('',#56922,.T.); #35663=FACE_OUTER_BOUND('',#56923,.T.); #35664=FACE_OUTER_BOUND('',#56924,.T.); #35665=FACE_OUTER_BOUND('',#56925,.T.); #35666=FACE_OUTER_BOUND('',#56926,.T.); #35667=FACE_OUTER_BOUND('',#56927,.T.); #35668=FACE_OUTER_BOUND('',#56928,.T.); #35669=FACE_OUTER_BOUND('',#56929,.T.); #35670=FACE_OUTER_BOUND('',#56930,.T.); #35671=FACE_OUTER_BOUND('',#56931,.T.); #35672=FACE_OUTER_BOUND('',#56932,.T.); #35673=FACE_OUTER_BOUND('',#56933,.T.); #35674=FACE_OUTER_BOUND('',#56934,.T.); #35675=FACE_OUTER_BOUND('',#56935,.T.); #35676=FACE_OUTER_BOUND('',#56936,.T.); #35677=FACE_OUTER_BOUND('',#56937,.T.); #35678=FACE_OUTER_BOUND('',#56938,.T.); #35679=FACE_OUTER_BOUND('',#56939,.T.); #35680=FACE_OUTER_BOUND('',#56940,.T.); #35681=FACE_OUTER_BOUND('',#56941,.T.); #35682=FACE_OUTER_BOUND('',#56942,.T.); #35683=FACE_OUTER_BOUND('',#56943,.T.); #35684=FACE_OUTER_BOUND('',#56944,.T.); #35685=FACE_OUTER_BOUND('',#56945,.T.); #35686=FACE_OUTER_BOUND('',#56946,.T.); #35687=FACE_OUTER_BOUND('',#56947,.T.); #35688=FACE_OUTER_BOUND('',#56948,.T.); #35689=FACE_OUTER_BOUND('',#56949,.T.); #35690=FACE_OUTER_BOUND('',#56950,.T.); #35691=FACE_OUTER_BOUND('',#56951,.T.); #35692=FACE_OUTER_BOUND('',#56952,.T.); #35693=FACE_OUTER_BOUND('',#56953,.T.); #35694=FACE_OUTER_BOUND('',#56954,.T.); #35695=FACE_OUTER_BOUND('',#56955,.T.); #35696=FACE_OUTER_BOUND('',#56956,.T.); #35697=FACE_OUTER_BOUND('',#56957,.T.); #35698=FACE_OUTER_BOUND('',#56958,.T.); #35699=FACE_OUTER_BOUND('',#56959,.T.); #35700=FACE_OUTER_BOUND('',#56960,.T.); #35701=FACE_OUTER_BOUND('',#56961,.T.); #35702=FACE_OUTER_BOUND('',#56962,.T.); #35703=FACE_OUTER_BOUND('',#56963,.T.); #35704=FACE_OUTER_BOUND('',#56964,.T.); #35705=FACE_OUTER_BOUND('',#56965,.T.); #35706=FACE_OUTER_BOUND('',#56966,.T.); #35707=FACE_OUTER_BOUND('',#56967,.T.); #35708=FACE_OUTER_BOUND('',#56968,.T.); #35709=FACE_OUTER_BOUND('',#56969,.T.); #35710=FACE_OUTER_BOUND('',#56970,.T.); #35711=FACE_OUTER_BOUND('',#56971,.T.); #35712=FACE_OUTER_BOUND('',#56972,.T.); #35713=FACE_OUTER_BOUND('',#56973,.T.); #35714=FACE_OUTER_BOUND('',#56974,.T.); #35715=FACE_OUTER_BOUND('',#56975,.T.); #35716=FACE_OUTER_BOUND('',#56976,.T.); #35717=FACE_OUTER_BOUND('',#56977,.T.); #35718=FACE_OUTER_BOUND('',#56978,.T.); #35719=FACE_OUTER_BOUND('',#56979,.T.); #35720=FACE_OUTER_BOUND('',#56980,.T.); #35721=FACE_OUTER_BOUND('',#56981,.T.); #35722=FACE_OUTER_BOUND('',#56982,.T.); #35723=FACE_OUTER_BOUND('',#56983,.T.); #35724=FACE_OUTER_BOUND('',#56984,.T.); #35725=FACE_OUTER_BOUND('',#56985,.T.); #35726=FACE_OUTER_BOUND('',#56986,.T.); #35727=FACE_OUTER_BOUND('',#56987,.T.); #35728=FACE_OUTER_BOUND('',#56988,.T.); #35729=FACE_OUTER_BOUND('',#56989,.T.); #35730=FACE_OUTER_BOUND('',#56990,.T.); #35731=FACE_OUTER_BOUND('',#56991,.T.); #35732=FACE_OUTER_BOUND('',#56992,.T.); #35733=FACE_OUTER_BOUND('',#56993,.T.); #35734=FACE_OUTER_BOUND('',#56994,.T.); #35735=FACE_OUTER_BOUND('',#56995,.T.); #35736=FACE_OUTER_BOUND('',#56996,.T.); #35737=FACE_OUTER_BOUND('',#56997,.T.); #35738=FACE_OUTER_BOUND('',#57000,.T.); #35739=FACE_OUTER_BOUND('',#57003,.T.); #35740=FACE_OUTER_BOUND('',#57004,.T.); #35741=FACE_OUTER_BOUND('',#57005,.T.); #35742=FACE_OUTER_BOUND('',#57006,.T.); #35743=FACE_OUTER_BOUND('',#57007,.T.); #35744=FACE_OUTER_BOUND('',#57008,.T.); #35745=FACE_OUTER_BOUND('',#57009,.T.); #35746=FACE_OUTER_BOUND('',#57010,.T.); #35747=FACE_OUTER_BOUND('',#57011,.T.); #35748=FACE_OUTER_BOUND('',#57012,.T.); #35749=FACE_OUTER_BOUND('',#57013,.T.); #35750=FACE_OUTER_BOUND('',#57014,.T.); #35751=FACE_OUTER_BOUND('',#57015,.T.); #35752=FACE_OUTER_BOUND('',#57018,.T.); #35753=FACE_OUTER_BOUND('',#57021,.T.); #35754=FACE_OUTER_BOUND('',#57022,.T.); #35755=FACE_OUTER_BOUND('',#57023,.T.); #35756=FACE_OUTER_BOUND('',#57024,.T.); #35757=FACE_OUTER_BOUND('',#57025,.T.); #35758=FACE_OUTER_BOUND('',#57026,.T.); #35759=FACE_OUTER_BOUND('',#57027,.T.); #35760=FACE_OUTER_BOUND('',#57028,.T.); #35761=FACE_OUTER_BOUND('',#57029,.T.); #35762=FACE_OUTER_BOUND('',#57030,.T.); #35763=FACE_OUTER_BOUND('',#57031,.T.); #35764=FACE_OUTER_BOUND('',#57032,.T.); #35765=FACE_OUTER_BOUND('',#57033,.T.); #35766=FACE_OUTER_BOUND('',#57034,.T.); #35767=FACE_OUTER_BOUND('',#57035,.T.); #35768=FACE_OUTER_BOUND('',#57036,.T.); #35769=FACE_OUTER_BOUND('',#57037,.T.); #35770=FACE_OUTER_BOUND('',#57038,.T.); #35771=FACE_OUTER_BOUND('',#57039,.T.); #35772=FACE_OUTER_BOUND('',#57040,.T.); #35773=FACE_OUTER_BOUND('',#57041,.T.); #35774=FACE_OUTER_BOUND('',#57042,.T.); #35775=FACE_OUTER_BOUND('',#57043,.T.); #35776=FACE_OUTER_BOUND('',#57044,.T.); #35777=FACE_OUTER_BOUND('',#57045,.T.); #35778=FACE_OUTER_BOUND('',#57046,.T.); #35779=FACE_OUTER_BOUND('',#57047,.T.); #35780=FACE_OUTER_BOUND('',#57048,.T.); #35781=FACE_OUTER_BOUND('',#57049,.T.); #35782=FACE_OUTER_BOUND('',#57050,.T.); #35783=FACE_OUTER_BOUND('',#57051,.T.); #35784=FACE_OUTER_BOUND('',#57052,.T.); #35785=FACE_OUTER_BOUND('',#57053,.T.); #35786=FACE_OUTER_BOUND('',#57054,.T.); #35787=FACE_OUTER_BOUND('',#57055,.T.); #35788=FACE_OUTER_BOUND('',#57056,.T.); #35789=FACE_OUTER_BOUND('',#57057,.T.); #35790=FACE_OUTER_BOUND('',#57058,.T.); #35791=FACE_OUTER_BOUND('',#57059,.T.); #35792=FACE_OUTER_BOUND('',#57060,.T.); #35793=FACE_OUTER_BOUND('',#57061,.T.); #35794=FACE_OUTER_BOUND('',#57062,.T.); #35795=FACE_OUTER_BOUND('',#57063,.T.); #35796=FACE_OUTER_BOUND('',#57064,.T.); #35797=FACE_OUTER_BOUND('',#57065,.T.); #35798=FACE_OUTER_BOUND('',#57066,.T.); #35799=FACE_OUTER_BOUND('',#57067,.T.); #35800=FACE_OUTER_BOUND('',#57068,.T.); #35801=FACE_OUTER_BOUND('',#57069,.T.); #35802=FACE_OUTER_BOUND('',#57070,.T.); #35803=FACE_OUTER_BOUND('',#57071,.T.); #35804=FACE_OUTER_BOUND('',#57072,.T.); #35805=FACE_OUTER_BOUND('',#57073,.T.); #35806=FACE_OUTER_BOUND('',#57074,.T.); #35807=FACE_OUTER_BOUND('',#57075,.T.); #35808=FACE_OUTER_BOUND('',#57076,.T.); #35809=FACE_OUTER_BOUND('',#57077,.T.); #35810=FACE_OUTER_BOUND('',#57078,.T.); #35811=FACE_OUTER_BOUND('',#57079,.T.); #35812=FACE_OUTER_BOUND('',#57080,.T.); #35813=FACE_OUTER_BOUND('',#57081,.T.); #35814=FACE_OUTER_BOUND('',#57082,.T.); #35815=FACE_OUTER_BOUND('',#57083,.T.); #35816=FACE_OUTER_BOUND('',#57084,.T.); #35817=FACE_OUTER_BOUND('',#57085,.T.); #35818=FACE_OUTER_BOUND('',#57086,.T.); #35819=FACE_OUTER_BOUND('',#57087,.T.); #35820=FACE_OUTER_BOUND('',#57088,.T.); #35821=FACE_OUTER_BOUND('',#57089,.T.); #35822=FACE_OUTER_BOUND('',#57090,.T.); #35823=FACE_OUTER_BOUND('',#57091,.T.); #35824=FACE_OUTER_BOUND('',#57092,.T.); #35825=FACE_OUTER_BOUND('',#57093,.T.); #35826=FACE_OUTER_BOUND('',#57094,.T.); #35827=FACE_OUTER_BOUND('',#57095,.T.); #35828=FACE_OUTER_BOUND('',#57096,.T.); #35829=FACE_OUTER_BOUND('',#57097,.T.); #35830=FACE_OUTER_BOUND('',#57098,.T.); #35831=FACE_OUTER_BOUND('',#57099,.T.); #35832=FACE_OUTER_BOUND('',#57100,.T.); #35833=FACE_OUTER_BOUND('',#57101,.T.); #35834=FACE_OUTER_BOUND('',#57102,.T.); #35835=FACE_OUTER_BOUND('',#57103,.T.); #35836=FACE_OUTER_BOUND('',#57104,.T.); #35837=FACE_OUTER_BOUND('',#57105,.T.); #35838=FACE_OUTER_BOUND('',#57106,.T.); #35839=FACE_OUTER_BOUND('',#57107,.T.); #35840=FACE_OUTER_BOUND('',#57108,.T.); #35841=FACE_OUTER_BOUND('',#57109,.T.); #35842=FACE_OUTER_BOUND('',#57110,.T.); #35843=FACE_OUTER_BOUND('',#57111,.T.); #35844=FACE_OUTER_BOUND('',#57112,.T.); #35845=FACE_OUTER_BOUND('',#57113,.T.); #35846=FACE_OUTER_BOUND('',#57114,.T.); #35847=FACE_OUTER_BOUND('',#57115,.T.); #35848=FACE_OUTER_BOUND('',#57116,.T.); #35849=FACE_OUTER_BOUND('',#57117,.T.); #35850=FACE_OUTER_BOUND('',#57118,.T.); #35851=FACE_OUTER_BOUND('',#57119,.T.); #35852=FACE_OUTER_BOUND('',#57120,.T.); #35853=FACE_OUTER_BOUND('',#57121,.T.); #35854=FACE_OUTER_BOUND('',#57122,.T.); #35855=FACE_OUTER_BOUND('',#57124,.T.); #35856=FACE_OUTER_BOUND('',#57126,.T.); #35857=FACE_OUTER_BOUND('',#57127,.T.); #35858=FACE_OUTER_BOUND('',#57128,.T.); #35859=FACE_OUTER_BOUND('',#57129,.T.); #35860=FACE_OUTER_BOUND('',#57130,.T.); #35861=FACE_OUTER_BOUND('',#57131,.T.); #35862=FACE_OUTER_BOUND('',#57132,.T.); #35863=FACE_OUTER_BOUND('',#57133,.T.); #35864=FACE_OUTER_BOUND('',#57134,.T.); #35865=FACE_OUTER_BOUND('',#57135,.T.); #35866=FACE_OUTER_BOUND('',#57136,.T.); #35867=FACE_OUTER_BOUND('',#57137,.T.); #35868=FACE_OUTER_BOUND('',#57138,.T.); #35869=FACE_OUTER_BOUND('',#57139,.T.); #35870=FACE_OUTER_BOUND('',#57140,.T.); #35871=FACE_OUTER_BOUND('',#57141,.T.); #35872=FACE_OUTER_BOUND('',#57142,.T.); #35873=FACE_OUTER_BOUND('',#57143,.T.); #35874=FACE_OUTER_BOUND('',#57144,.T.); #35875=FACE_OUTER_BOUND('',#57145,.T.); #35876=FACE_OUTER_BOUND('',#57146,.T.); #35877=FACE_OUTER_BOUND('',#57147,.T.); #35878=FACE_OUTER_BOUND('',#57148,.T.); #35879=FACE_OUTER_BOUND('',#57149,.T.); #35880=FACE_OUTER_BOUND('',#57150,.T.); #35881=FACE_OUTER_BOUND('',#57151,.T.); #35882=FACE_OUTER_BOUND('',#57152,.T.); #35883=FACE_OUTER_BOUND('',#57153,.T.); #35884=FACE_OUTER_BOUND('',#57154,.T.); #35885=FACE_OUTER_BOUND('',#57155,.T.); #35886=FACE_OUTER_BOUND('',#57156,.T.); #35887=FACE_OUTER_BOUND('',#57157,.T.); #35888=FACE_OUTER_BOUND('',#57158,.T.); #35889=FACE_OUTER_BOUND('',#57159,.T.); #35890=FACE_OUTER_BOUND('',#57160,.T.); #35891=FACE_OUTER_BOUND('',#57161,.T.); #35892=FACE_OUTER_BOUND('',#57162,.T.); #35893=FACE_OUTER_BOUND('',#57163,.T.); #35894=FACE_OUTER_BOUND('',#57164,.T.); #35895=FACE_OUTER_BOUND('',#57165,.T.); #35896=FACE_OUTER_BOUND('',#57166,.T.); #35897=FACE_OUTER_BOUND('',#57167,.T.); #35898=FACE_OUTER_BOUND('',#57168,.T.); #35899=FACE_OUTER_BOUND('',#57169,.T.); #35900=FACE_OUTER_BOUND('',#57185,.T.); #35901=FACE_OUTER_BOUND('',#57201,.T.); #35902=FACE_OUTER_BOUND('',#57202,.T.); #35903=FACE_OUTER_BOUND('',#57203,.T.); #35904=FACE_OUTER_BOUND('',#57204,.T.); #35905=FACE_OUTER_BOUND('',#57205,.T.); #35906=FACE_OUTER_BOUND('',#57206,.T.); #35907=FACE_OUTER_BOUND('',#57207,.T.); #35908=FACE_OUTER_BOUND('',#57208,.T.); #35909=FACE_OUTER_BOUND('',#57209,.T.); #35910=FACE_OUTER_BOUND('',#57210,.T.); #35911=FACE_OUTER_BOUND('',#57211,.T.); #35912=FACE_OUTER_BOUND('',#57212,.T.); #35913=FACE_OUTER_BOUND('',#57213,.T.); #35914=FACE_OUTER_BOUND('',#57214,.T.); #35915=FACE_OUTER_BOUND('',#57215,.T.); #35916=FACE_OUTER_BOUND('',#57216,.T.); #35917=FACE_OUTER_BOUND('',#57217,.T.); #35918=FACE_OUTER_BOUND('',#57218,.T.); #35919=FACE_OUTER_BOUND('',#57219,.T.); #35920=FACE_OUTER_BOUND('',#57220,.T.); #35921=FACE_OUTER_BOUND('',#57221,.T.); #35922=FACE_OUTER_BOUND('',#57222,.T.); #35923=FACE_OUTER_BOUND('',#57223,.T.); #35924=FACE_OUTER_BOUND('',#57224,.T.); #35925=FACE_OUTER_BOUND('',#57226,.T.); #35926=FACE_OUTER_BOUND('',#57228,.T.); #35927=FACE_OUTER_BOUND('',#57229,.T.); #35928=FACE_OUTER_BOUND('',#57230,.T.); #35929=FACE_OUTER_BOUND('',#57231,.T.); #35930=FACE_OUTER_BOUND('',#57232,.T.); #35931=FACE_OUTER_BOUND('',#57233,.T.); #35932=FACE_OUTER_BOUND('',#57234,.T.); #35933=FACE_OUTER_BOUND('',#57235,.T.); #35934=FACE_OUTER_BOUND('',#57236,.T.); #35935=FACE_OUTER_BOUND('',#57237,.T.); #35936=FACE_OUTER_BOUND('',#57238,.T.); #35937=FACE_OUTER_BOUND('',#57239,.T.); #35938=FACE_OUTER_BOUND('',#57240,.T.); #35939=FACE_OUTER_BOUND('',#57241,.T.); #35940=FACE_OUTER_BOUND('',#57242,.T.); #35941=FACE_OUTER_BOUND('',#57243,.T.); #35942=FACE_OUTER_BOUND('',#57244,.T.); #35943=FACE_OUTER_BOUND('',#57245,.T.); #35944=FACE_OUTER_BOUND('',#57246,.T.); #35945=FACE_OUTER_BOUND('',#57247,.T.); #35946=FACE_OUTER_BOUND('',#57248,.T.); #35947=FACE_OUTER_BOUND('',#57249,.T.); #35948=FACE_OUTER_BOUND('',#57250,.T.); #35949=FACE_OUTER_BOUND('',#57251,.T.); #35950=FACE_OUTER_BOUND('',#57252,.T.); #35951=FACE_OUTER_BOUND('',#57253,.T.); #35952=FACE_OUTER_BOUND('',#57254,.T.); #35953=FACE_OUTER_BOUND('',#57255,.T.); #35954=FACE_OUTER_BOUND('',#57256,.T.); #35955=FACE_OUTER_BOUND('',#57257,.T.); #35956=FACE_OUTER_BOUND('',#57258,.T.); #35957=FACE_OUTER_BOUND('',#57259,.T.); #35958=FACE_OUTER_BOUND('',#57260,.T.); #35959=FACE_OUTER_BOUND('',#57261,.T.); #35960=FACE_OUTER_BOUND('',#57262,.T.); #35961=FACE_OUTER_BOUND('',#57263,.T.); #35962=FACE_OUTER_BOUND('',#57264,.T.); #35963=FACE_OUTER_BOUND('',#57265,.T.); #35964=FACE_OUTER_BOUND('',#57266,.T.); #35965=FACE_OUTER_BOUND('',#57267,.T.); #35966=FACE_OUTER_BOUND('',#57268,.T.); #35967=FACE_OUTER_BOUND('',#57269,.T.); #35968=FACE_OUTER_BOUND('',#57270,.T.); #35969=FACE_OUTER_BOUND('',#57271,.T.); #35970=FACE_OUTER_BOUND('',#57287,.T.); #35971=FACE_OUTER_BOUND('',#57303,.T.); #35972=FACE_OUTER_BOUND('',#57304,.T.); #35973=FACE_OUTER_BOUND('',#57305,.T.); #35974=FACE_OUTER_BOUND('',#57306,.T.); #35975=FACE_OUTER_BOUND('',#57307,.T.); #35976=FACE_OUTER_BOUND('',#57308,.T.); #35977=FACE_OUTER_BOUND('',#57309,.T.); #35978=FACE_OUTER_BOUND('',#57310,.T.); #35979=FACE_OUTER_BOUND('',#57311,.T.); #35980=FACE_OUTER_BOUND('',#57312,.T.); #35981=FACE_OUTER_BOUND('',#57313,.T.); #35982=FACE_OUTER_BOUND('',#57314,.T.); #35983=FACE_OUTER_BOUND('',#57315,.T.); #35984=FACE_OUTER_BOUND('',#57316,.T.); #35985=FACE_OUTER_BOUND('',#57317,.T.); #35986=FACE_OUTER_BOUND('',#57318,.T.); #35987=FACE_OUTER_BOUND('',#57319,.T.); #35988=FACE_OUTER_BOUND('',#57320,.T.); #35989=FACE_OUTER_BOUND('',#57321,.T.); #35990=FACE_OUTER_BOUND('',#57322,.T.); #35991=FACE_OUTER_BOUND('',#57323,.T.); #35992=FACE_OUTER_BOUND('',#57324,.T.); #35993=FACE_OUTER_BOUND('',#57325,.T.); #35994=FACE_OUTER_BOUND('',#57326,.T.); #35995=FACE_OUTER_BOUND('',#57328,.T.); #35996=FACE_OUTER_BOUND('',#57330,.T.); #35997=FACE_OUTER_BOUND('',#57331,.T.); #35998=FACE_OUTER_BOUND('',#57332,.T.); #35999=FACE_OUTER_BOUND('',#57333,.T.); #36000=FACE_OUTER_BOUND('',#57334,.T.); #36001=FACE_OUTER_BOUND('',#57335,.T.); #36002=FACE_OUTER_BOUND('',#57337,.T.); #36003=FACE_OUTER_BOUND('',#57339,.T.); #36004=FACE_OUTER_BOUND('',#57340,.T.); #36005=FACE_OUTER_BOUND('',#57341,.T.); #36006=FACE_OUTER_BOUND('',#57342,.T.); #36007=FACE_OUTER_BOUND('',#57343,.T.); #36008=FACE_OUTER_BOUND('',#57344,.T.); #36009=FACE_OUTER_BOUND('',#57346,.T.); #36010=FACE_OUTER_BOUND('',#57348,.T.); #36011=FACE_OUTER_BOUND('',#57349,.T.); #36012=FACE_OUTER_BOUND('',#57350,.T.); #36013=FACE_OUTER_BOUND('',#57351,.T.); #36014=FACE_OUTER_BOUND('',#57352,.T.); #36015=FACE_OUTER_BOUND('',#57353,.T.); #36016=FACE_OUTER_BOUND('',#57354,.T.); #36017=FACE_OUTER_BOUND('',#57355,.T.); #36018=FACE_OUTER_BOUND('',#57356,.T.); #36019=FACE_OUTER_BOUND('',#57357,.T.); #36020=FACE_OUTER_BOUND('',#57358,.T.); #36021=FACE_OUTER_BOUND('',#57359,.T.); #36022=FACE_OUTER_BOUND('',#57360,.T.); #36023=FACE_OUTER_BOUND('',#57361,.T.); #36024=FACE_OUTER_BOUND('',#57362,.T.); #36025=FACE_OUTER_BOUND('',#57363,.T.); #36026=FACE_OUTER_BOUND('',#57364,.T.); #36027=FACE_OUTER_BOUND('',#57365,.T.); #36028=FACE_OUTER_BOUND('',#57366,.T.); #36029=FACE_OUTER_BOUND('',#57367,.T.); #36030=FACE_OUTER_BOUND('',#57383,.T.); #36031=FACE_OUTER_BOUND('',#57399,.T.); #36032=FACE_OUTER_BOUND('',#57400,.T.); #36033=FACE_OUTER_BOUND('',#57401,.T.); #36034=FACE_OUTER_BOUND('',#57402,.T.); #36035=FACE_OUTER_BOUND('',#57403,.T.); #36036=FACE_OUTER_BOUND('',#57404,.T.); #36037=FACE_OUTER_BOUND('',#57405,.T.); #36038=FACE_OUTER_BOUND('',#57406,.T.); #36039=FACE_OUTER_BOUND('',#57407,.T.); #36040=FACE_OUTER_BOUND('',#57408,.T.); #36041=FACE_OUTER_BOUND('',#57409,.T.); #36042=FACE_OUTER_BOUND('',#57410,.T.); #36043=FACE_OUTER_BOUND('',#57411,.T.); #36044=FACE_OUTER_BOUND('',#57412,.T.); #36045=FACE_OUTER_BOUND('',#57413,.T.); #36046=FACE_OUTER_BOUND('',#57414,.T.); #36047=FACE_OUTER_BOUND('',#57415,.T.); #36048=FACE_OUTER_BOUND('',#57416,.T.); #36049=FACE_OUTER_BOUND('',#57417,.T.); #36050=FACE_OUTER_BOUND('',#57418,.T.); #36051=FACE_OUTER_BOUND('',#57419,.T.); #36052=FACE_OUTER_BOUND('',#57420,.T.); #36053=FACE_OUTER_BOUND('',#57421,.T.); #36054=FACE_OUTER_BOUND('',#57422,.T.); #36055=FACE_OUTER_BOUND('',#57423,.T.); #36056=FACE_OUTER_BOUND('',#57424,.T.); #36057=FACE_OUTER_BOUND('',#57425,.T.); #36058=FACE_OUTER_BOUND('',#57426,.T.); #36059=FACE_OUTER_BOUND('',#57427,.T.); #36060=FACE_OUTER_BOUND('',#57428,.T.); #36061=FACE_OUTER_BOUND('',#57429,.T.); #36062=FACE_OUTER_BOUND('',#57430,.T.); #36063=FACE_OUTER_BOUND('',#57431,.T.); #36064=FACE_OUTER_BOUND('',#57432,.T.); #36065=FACE_OUTER_BOUND('',#57433,.T.); #36066=FACE_OUTER_BOUND('',#57434,.T.); #36067=FACE_OUTER_BOUND('',#57435,.T.); #36068=FACE_OUTER_BOUND('',#57436,.T.); #36069=FACE_OUTER_BOUND('',#57437,.T.); #36070=FACE_OUTER_BOUND('',#57438,.T.); #36071=FACE_OUTER_BOUND('',#57439,.T.); #36072=FACE_OUTER_BOUND('',#57440,.T.); #36073=FACE_OUTER_BOUND('',#57441,.T.); #36074=FACE_OUTER_BOUND('',#57442,.T.); #36075=FACE_OUTER_BOUND('',#57443,.T.); #36076=FACE_OUTER_BOUND('',#57444,.T.); #36077=FACE_OUTER_BOUND('',#57445,.T.); #36078=FACE_OUTER_BOUND('',#57446,.T.); #36079=FACE_OUTER_BOUND('',#57447,.T.); #36080=FACE_OUTER_BOUND('',#57448,.T.); #36081=FACE_OUTER_BOUND('',#57449,.T.); #36082=FACE_OUTER_BOUND('',#57450,.T.); #36083=FACE_OUTER_BOUND('',#57451,.T.); #36084=FACE_OUTER_BOUND('',#57452,.T.); #36085=FACE_OUTER_BOUND('',#57453,.T.); #36086=FACE_OUTER_BOUND('',#57454,.T.); #36087=FACE_OUTER_BOUND('',#57455,.T.); #36088=FACE_OUTER_BOUND('',#57456,.T.); #36089=FACE_OUTER_BOUND('',#57457,.T.); #36090=FACE_OUTER_BOUND('',#57458,.T.); #36091=FACE_OUTER_BOUND('',#57459,.T.); #36092=FACE_OUTER_BOUND('',#57460,.T.); #36093=FACE_OUTER_BOUND('',#57461,.T.); #36094=FACE_OUTER_BOUND('',#57462,.T.); #36095=FACE_OUTER_BOUND('',#57463,.T.); #36096=FACE_OUTER_BOUND('',#57464,.T.); #36097=FACE_OUTER_BOUND('',#57465,.T.); #36098=FACE_OUTER_BOUND('',#57466,.T.); #36099=FACE_OUTER_BOUND('',#57467,.T.); #36100=FACE_OUTER_BOUND('',#57468,.T.); #36101=FACE_OUTER_BOUND('',#57469,.T.); #36102=FACE_OUTER_BOUND('',#57470,.T.); #36103=FACE_OUTER_BOUND('',#57471,.T.); #36104=FACE_OUTER_BOUND('',#57472,.T.); #36105=FACE_OUTER_BOUND('',#57473,.T.); #36106=FACE_OUTER_BOUND('',#57474,.T.); #36107=FACE_OUTER_BOUND('',#57475,.T.); #36108=FACE_OUTER_BOUND('',#57476,.T.); #36109=FACE_OUTER_BOUND('',#57477,.T.); #36110=FACE_OUTER_BOUND('',#57478,.T.); #36111=FACE_OUTER_BOUND('',#57479,.T.); #36112=FACE_OUTER_BOUND('',#57480,.T.); #36113=FACE_OUTER_BOUND('',#57481,.T.); #36114=FACE_OUTER_BOUND('',#57482,.T.); #36115=FACE_OUTER_BOUND('',#57483,.T.); #36116=FACE_OUTER_BOUND('',#57484,.T.); #36117=FACE_OUTER_BOUND('',#57485,.T.); #36118=FACE_OUTER_BOUND('',#57486,.T.); #36119=FACE_OUTER_BOUND('',#57487,.T.); #36120=FACE_OUTER_BOUND('',#57488,.T.); #36121=FACE_OUTER_BOUND('',#57489,.T.); #36122=FACE_OUTER_BOUND('',#57490,.T.); #36123=FACE_OUTER_BOUND('',#57491,.T.); #36124=FACE_OUTER_BOUND('',#57492,.T.); #36125=FACE_OUTER_BOUND('',#57493,.T.); #36126=FACE_OUTER_BOUND('',#57494,.T.); #36127=FACE_OUTER_BOUND('',#57495,.T.); #36128=FACE_OUTER_BOUND('',#57496,.T.); #36129=FACE_OUTER_BOUND('',#57497,.T.); #36130=FACE_OUTER_BOUND('',#57498,.T.); #36131=FACE_OUTER_BOUND('',#57499,.T.); #36132=FACE_OUTER_BOUND('',#57500,.T.); #36133=FACE_OUTER_BOUND('',#57501,.T.); #36134=FACE_OUTER_BOUND('',#57502,.T.); #36135=FACE_OUTER_BOUND('',#57503,.T.); #36136=FACE_OUTER_BOUND('',#57504,.T.); #36137=FACE_OUTER_BOUND('',#57505,.T.); #36138=FACE_OUTER_BOUND('',#57506,.T.); #36139=FACE_OUTER_BOUND('',#57507,.T.); #36140=FACE_OUTER_BOUND('',#57508,.T.); #36141=FACE_OUTER_BOUND('',#57509,.T.); #36142=FACE_OUTER_BOUND('',#57510,.T.); #36143=FACE_OUTER_BOUND('',#57511,.T.); #36144=FACE_OUTER_BOUND('',#57512,.T.); #36145=FACE_OUTER_BOUND('',#57513,.T.); #36146=FACE_OUTER_BOUND('',#57514,.T.); #36147=FACE_OUTER_BOUND('',#57515,.T.); #36148=FACE_OUTER_BOUND('',#57516,.T.); #36149=FACE_OUTER_BOUND('',#57517,.T.); #36150=FACE_OUTER_BOUND('',#57518,.T.); #36151=FACE_OUTER_BOUND('',#57519,.T.); #36152=FACE_OUTER_BOUND('',#57520,.T.); #36153=FACE_OUTER_BOUND('',#57521,.T.); #36154=FACE_OUTER_BOUND('',#57522,.T.); #36155=FACE_OUTER_BOUND('',#57523,.T.); #36156=FACE_OUTER_BOUND('',#57524,.T.); #36157=FACE_OUTER_BOUND('',#57525,.T.); #36158=FACE_OUTER_BOUND('',#57526,.T.); #36159=FACE_OUTER_BOUND('',#57527,.T.); #36160=FACE_OUTER_BOUND('',#57528,.T.); #36161=FACE_OUTER_BOUND('',#57529,.T.); #36162=FACE_OUTER_BOUND('',#57530,.T.); #36163=FACE_OUTER_BOUND('',#57531,.T.); #36164=FACE_OUTER_BOUND('',#57532,.T.); #36165=FACE_OUTER_BOUND('',#57533,.T.); #36166=FACE_OUTER_BOUND('',#57534,.T.); #36167=FACE_OUTER_BOUND('',#57535,.T.); #36168=FACE_OUTER_BOUND('',#57536,.T.); #36169=FACE_OUTER_BOUND('',#57537,.T.); #36170=FACE_OUTER_BOUND('',#57538,.T.); #36171=FACE_OUTER_BOUND('',#57554,.T.); #36172=FACE_OUTER_BOUND('',#57570,.T.); #36173=FACE_OUTER_BOUND('',#57571,.T.); #36174=FACE_OUTER_BOUND('',#57572,.T.); #36175=FACE_OUTER_BOUND('',#57573,.T.); #36176=FACE_OUTER_BOUND('',#57574,.T.); #36177=FACE_OUTER_BOUND('',#57575,.T.); #36178=FACE_OUTER_BOUND('',#57576,.T.); #36179=FACE_OUTER_BOUND('',#57577,.T.); #36180=FACE_OUTER_BOUND('',#57578,.T.); #36181=FACE_OUTER_BOUND('',#57579,.T.); #36182=FACE_OUTER_BOUND('',#57580,.T.); #36183=FACE_OUTER_BOUND('',#57581,.T.); #36184=FACE_OUTER_BOUND('',#57582,.T.); #36185=FACE_OUTER_BOUND('',#57583,.T.); #36186=FACE_OUTER_BOUND('',#57584,.T.); #36187=FACE_OUTER_BOUND('',#57585,.T.); #36188=FACE_OUTER_BOUND('',#57586,.T.); #36189=FACE_OUTER_BOUND('',#57587,.T.); #36190=FACE_OUTER_BOUND('',#57588,.T.); #36191=FACE_OUTER_BOUND('',#57589,.T.); #36192=FACE_OUTER_BOUND('',#57590,.T.); #36193=FACE_OUTER_BOUND('',#57591,.T.); #36194=FACE_OUTER_BOUND('',#57592,.T.); #36195=FACE_OUTER_BOUND('',#57593,.T.); #36196=FACE_OUTER_BOUND('',#57594,.T.); #36197=FACE_OUTER_BOUND('',#57595,.T.); #36198=FACE_OUTER_BOUND('',#57596,.T.); #36199=FACE_OUTER_BOUND('',#57597,.T.); #36200=FACE_OUTER_BOUND('',#57598,.T.); #36201=FACE_OUTER_BOUND('',#57599,.T.); #36202=FACE_OUTER_BOUND('',#57600,.T.); #36203=FACE_OUTER_BOUND('',#57601,.T.); #36204=FACE_OUTER_BOUND('',#57602,.T.); #36205=FACE_OUTER_BOUND('',#57603,.T.); #36206=FACE_OUTER_BOUND('',#57604,.T.); #36207=FACE_OUTER_BOUND('',#57605,.T.); #36208=FACE_OUTER_BOUND('',#57606,.T.); #36209=FACE_OUTER_BOUND('',#57607,.T.); #36210=FACE_OUTER_BOUND('',#57608,.T.); #36211=FACE_OUTER_BOUND('',#57609,.T.); #36212=FACE_OUTER_BOUND('',#57610,.T.); #36213=FACE_OUTER_BOUND('',#57611,.T.); #36214=FACE_OUTER_BOUND('',#57613,.T.); #36215=FACE_OUTER_BOUND('',#57615,.T.); #36216=FACE_OUTER_BOUND('',#57616,.T.); #36217=FACE_OUTER_BOUND('',#57617,.T.); #36218=FACE_OUTER_BOUND('',#57618,.T.); #36219=FACE_OUTER_BOUND('',#57619,.T.); #36220=FACE_OUTER_BOUND('',#57620,.T.); #36221=FACE_OUTER_BOUND('',#57621,.T.); #36222=FACE_OUTER_BOUND('',#57624,.T.); #36223=FACE_OUTER_BOUND('',#57627,.T.); #36224=FACE_OUTER_BOUND('',#57628,.T.); #36225=FACE_OUTER_BOUND('',#57629,.T.); #36226=FACE_OUTER_BOUND('',#57630,.T.); #36227=FACE_OUTER_BOUND('',#57631,.T.); #36228=FACE_OUTER_BOUND('',#57632,.T.); #36229=FACE_OUTER_BOUND('',#57633,.T.); #36230=FACE_OUTER_BOUND('',#57636,.T.); #36231=FACE_OUTER_BOUND('',#57639,.T.); #36232=FACE_OUTER_BOUND('',#57640,.T.); #36233=FACE_OUTER_BOUND('',#57641,.T.); #36234=FACE_OUTER_BOUND('',#57642,.T.); #36235=FACE_OUTER_BOUND('',#57643,.T.); #36236=FACE_OUTER_BOUND('',#57644,.T.); #36237=FACE_OUTER_BOUND('',#57646,.T.); #36238=FACE_OUTER_BOUND('',#57648,.T.); #36239=FACE_OUTER_BOUND('',#57649,.T.); #36240=FACE_OUTER_BOUND('',#57650,.T.); #36241=FACE_OUTER_BOUND('',#57651,.T.); #36242=FACE_OUTER_BOUND('',#57652,.T.); #36243=FACE_OUTER_BOUND('',#57653,.T.); #36244=FACE_OUTER_BOUND('',#57654,.T.); #36245=FACE_OUTER_BOUND('',#57655,.T.); #36246=FACE_OUTER_BOUND('',#57656,.T.); #36247=FACE_OUTER_BOUND('',#57657,.T.); #36248=FACE_OUTER_BOUND('',#57658,.T.); #36249=FACE_OUTER_BOUND('',#57659,.T.); #36250=FACE_OUTER_BOUND('',#57660,.T.); #36251=FACE_OUTER_BOUND('',#57661,.T.); #36252=FACE_OUTER_BOUND('',#57662,.T.); #36253=FACE_OUTER_BOUND('',#57663,.T.); #36254=FACE_OUTER_BOUND('',#57664,.T.); #36255=FACE_OUTER_BOUND('',#57665,.T.); #36256=FACE_OUTER_BOUND('',#57666,.T.); #36257=FACE_OUTER_BOUND('',#57667,.T.); #36258=FACE_OUTER_BOUND('',#57668,.T.); #36259=FACE_OUTER_BOUND('',#57669,.T.); #36260=FACE_OUTER_BOUND('',#57670,.T.); #36261=FACE_OUTER_BOUND('',#57671,.T.); #36262=FACE_OUTER_BOUND('',#57672,.T.); #36263=FACE_OUTER_BOUND('',#57673,.T.); #36264=FACE_OUTER_BOUND('',#57674,.T.); #36265=FACE_OUTER_BOUND('',#57675,.T.); #36266=FACE_OUTER_BOUND('',#57676,.T.); #36267=FACE_OUTER_BOUND('',#57677,.T.); #36268=FACE_OUTER_BOUND('',#57678,.T.); #36269=FACE_OUTER_BOUND('',#57679,.T.); #36270=FACE_OUTER_BOUND('',#57680,.T.); #36271=FACE_OUTER_BOUND('',#57681,.T.); #36272=FACE_OUTER_BOUND('',#57682,.T.); #36273=FACE_OUTER_BOUND('',#57683,.T.); #36274=FACE_OUTER_BOUND('',#57684,.T.); #36275=FACE_OUTER_BOUND('',#57685,.T.); #36276=FACE_OUTER_BOUND('',#57686,.T.); #36277=FACE_OUTER_BOUND('',#57687,.T.); #36278=FACE_OUTER_BOUND('',#57688,.T.); #36279=FACE_OUTER_BOUND('',#57689,.T.); #36280=FACE_OUTER_BOUND('',#57690,.T.); #36281=FACE_OUTER_BOUND('',#57691,.T.); #36282=FACE_OUTER_BOUND('',#57692,.T.); #36283=FACE_OUTER_BOUND('',#57693,.T.); #36284=FACE_OUTER_BOUND('',#57694,.T.); #36285=FACE_OUTER_BOUND('',#57695,.T.); #36286=FACE_OUTER_BOUND('',#57696,.T.); #36287=FACE_OUTER_BOUND('',#57697,.T.); #36288=FACE_OUTER_BOUND('',#57698,.T.); #36289=FACE_OUTER_BOUND('',#57699,.T.); #36290=FACE_OUTER_BOUND('',#57700,.T.); #36291=FACE_OUTER_BOUND('',#57701,.T.); #36292=FACE_OUTER_BOUND('',#57702,.T.); #36293=FACE_OUTER_BOUND('',#57703,.T.); #36294=FACE_OUTER_BOUND('',#57704,.T.); #36295=FACE_OUTER_BOUND('',#57705,.T.); #36296=FACE_OUTER_BOUND('',#57706,.T.); #36297=FACE_OUTER_BOUND('',#57707,.T.); #36298=FACE_OUTER_BOUND('',#57708,.T.); #36299=FACE_OUTER_BOUND('',#57709,.T.); #36300=FACE_OUTER_BOUND('',#57710,.T.); #36301=FACE_OUTER_BOUND('',#57711,.T.); #36302=FACE_OUTER_BOUND('',#57712,.T.); #36303=FACE_OUTER_BOUND('',#57713,.T.); #36304=FACE_OUTER_BOUND('',#57714,.T.); #36305=FACE_OUTER_BOUND('',#57715,.T.); #36306=FACE_OUTER_BOUND('',#57716,.T.); #36307=FACE_OUTER_BOUND('',#57717,.T.); #36308=FACE_OUTER_BOUND('',#57718,.T.); #36309=FACE_OUTER_BOUND('',#57719,.T.); #36310=FACE_OUTER_BOUND('',#57720,.T.); #36311=FACE_OUTER_BOUND('',#57721,.T.); #36312=FACE_OUTER_BOUND('',#57722,.T.); #36313=FACE_OUTER_BOUND('',#57723,.T.); #36314=FACE_OUTER_BOUND('',#57724,.T.); #36315=FACE_OUTER_BOUND('',#57725,.T.); #36316=FACE_OUTER_BOUND('',#57726,.T.); #36317=FACE_OUTER_BOUND('',#57727,.T.); #36318=FACE_OUTER_BOUND('',#57728,.T.); #36319=FACE_OUTER_BOUND('',#57729,.T.); #36320=FACE_OUTER_BOUND('',#57730,.T.); #36321=FACE_OUTER_BOUND('',#57731,.T.); #36322=FACE_OUTER_BOUND('',#57732,.T.); #36323=FACE_OUTER_BOUND('',#57733,.T.); #36324=FACE_OUTER_BOUND('',#57734,.T.); #36325=FACE_OUTER_BOUND('',#57735,.T.); #36326=FACE_OUTER_BOUND('',#57736,.T.); #36327=FACE_OUTER_BOUND('',#57737,.T.); #36328=FACE_OUTER_BOUND('',#57738,.T.); #36329=FACE_OUTER_BOUND('',#57739,.T.); #36330=FACE_OUTER_BOUND('',#57740,.T.); #36331=FACE_OUTER_BOUND('',#57741,.T.); #36332=FACE_OUTER_BOUND('',#57742,.T.); #36333=FACE_OUTER_BOUND('',#57743,.T.); #36334=FACE_OUTER_BOUND('',#57744,.T.); #36335=FACE_OUTER_BOUND('',#57745,.T.); #36336=FACE_OUTER_BOUND('',#57746,.T.); #36337=FACE_OUTER_BOUND('',#57747,.T.); #36338=FACE_OUTER_BOUND('',#57748,.T.); #36339=FACE_OUTER_BOUND('',#57749,.T.); #36340=FACE_OUTER_BOUND('',#57750,.T.); #36341=FACE_OUTER_BOUND('',#57751,.T.); #36342=FACE_OUTER_BOUND('',#57752,.T.); #36343=FACE_OUTER_BOUND('',#57753,.T.); #36344=FACE_OUTER_BOUND('',#57754,.T.); #36345=FACE_OUTER_BOUND('',#57755,.T.); #36346=FACE_OUTER_BOUND('',#57756,.T.); #36347=FACE_OUTER_BOUND('',#57757,.T.); #36348=FACE_OUTER_BOUND('',#57758,.T.); #36349=FACE_OUTER_BOUND('',#57759,.T.); #36350=FACE_OUTER_BOUND('',#57760,.T.); #36351=FACE_OUTER_BOUND('',#57761,.T.); #36352=FACE_OUTER_BOUND('',#57762,.T.); #36353=FACE_OUTER_BOUND('',#57763,.T.); #36354=FACE_OUTER_BOUND('',#57764,.T.); #36355=FACE_OUTER_BOUND('',#57765,.T.); #36356=FACE_OUTER_BOUND('',#57766,.T.); #36357=FACE_OUTER_BOUND('',#57767,.T.); #36358=FACE_OUTER_BOUND('',#57768,.T.); #36359=FACE_OUTER_BOUND('',#57769,.T.); #36360=FACE_OUTER_BOUND('',#57770,.T.); #36361=FACE_OUTER_BOUND('',#57771,.T.); #36362=FACE_OUTER_BOUND('',#57772,.T.); #36363=FACE_OUTER_BOUND('',#57773,.T.); #36364=FACE_OUTER_BOUND('',#57774,.T.); #36365=FACE_OUTER_BOUND('',#57775,.T.); #36366=FACE_OUTER_BOUND('',#57776,.T.); #36367=FACE_OUTER_BOUND('',#57777,.T.); #36368=FACE_OUTER_BOUND('',#57778,.T.); #36369=FACE_OUTER_BOUND('',#57779,.T.); #36370=FACE_OUTER_BOUND('',#57780,.T.); #36371=FACE_OUTER_BOUND('',#57781,.T.); #36372=FACE_OUTER_BOUND('',#57782,.T.); #36373=FACE_OUTER_BOUND('',#57783,.T.); #36374=FACE_OUTER_BOUND('',#57784,.T.); #36375=FACE_OUTER_BOUND('',#57785,.T.); #36376=FACE_OUTER_BOUND('',#57786,.T.); #36377=FACE_OUTER_BOUND('',#57787,.T.); #36378=FACE_OUTER_BOUND('',#57788,.T.); #36379=FACE_OUTER_BOUND('',#57789,.T.); #36380=FACE_OUTER_BOUND('',#57790,.T.); #36381=FACE_OUTER_BOUND('',#57791,.T.); #36382=FACE_OUTER_BOUND('',#57792,.T.); #36383=FACE_OUTER_BOUND('',#57793,.T.); #36384=FACE_OUTER_BOUND('',#57794,.T.); #36385=FACE_OUTER_BOUND('',#57795,.T.); #36386=FACE_OUTER_BOUND('',#57796,.T.); #36387=FACE_OUTER_BOUND('',#57797,.T.); #36388=FACE_OUTER_BOUND('',#57798,.T.); #36389=FACE_OUTER_BOUND('',#57799,.T.); #36390=FACE_OUTER_BOUND('',#57800,.T.); #36391=FACE_OUTER_BOUND('',#57801,.T.); #36392=FACE_OUTER_BOUND('',#57802,.T.); #36393=FACE_OUTER_BOUND('',#57803,.T.); #36394=FACE_OUTER_BOUND('',#57804,.T.); #36395=FACE_OUTER_BOUND('',#57805,.T.); #36396=FACE_OUTER_BOUND('',#57806,.T.); #36397=FACE_OUTER_BOUND('',#57807,.T.); #36398=FACE_OUTER_BOUND('',#57808,.T.); #36399=FACE_OUTER_BOUND('',#57809,.T.); #36400=FACE_OUTER_BOUND('',#57810,.T.); #36401=FACE_OUTER_BOUND('',#57811,.T.); #36402=FACE_OUTER_BOUND('',#57812,.T.); #36403=FACE_OUTER_BOUND('',#57813,.T.); #36404=FACE_OUTER_BOUND('',#57814,.T.); #36405=FACE_OUTER_BOUND('',#57815,.T.); #36406=FACE_OUTER_BOUND('',#57816,.T.); #36407=FACE_OUTER_BOUND('',#57817,.T.); #36408=FACE_OUTER_BOUND('',#57818,.T.); #36409=FACE_OUTER_BOUND('',#57819,.T.); #36410=FACE_OUTER_BOUND('',#57820,.T.); #36411=FACE_OUTER_BOUND('',#57821,.T.); #36412=FACE_OUTER_BOUND('',#57822,.T.); #36413=FACE_OUTER_BOUND('',#57823,.T.); #36414=FACE_OUTER_BOUND('',#57824,.T.); #36415=FACE_OUTER_BOUND('',#57825,.T.); #36416=FACE_OUTER_BOUND('',#57826,.T.); #36417=FACE_OUTER_BOUND('',#57827,.T.); #36418=FACE_OUTER_BOUND('',#57828,.T.); #36419=FACE_OUTER_BOUND('',#57829,.T.); #36420=FACE_OUTER_BOUND('',#57830,.T.); #36421=FACE_OUTER_BOUND('',#57831,.T.); #36422=FACE_OUTER_BOUND('',#57832,.T.); #36423=FACE_OUTER_BOUND('',#57833,.T.); #36424=FACE_OUTER_BOUND('',#57834,.T.); #36425=FACE_OUTER_BOUND('',#57835,.T.); #36426=FACE_OUTER_BOUND('',#57836,.T.); #36427=FACE_OUTER_BOUND('',#57837,.T.); #36428=FACE_OUTER_BOUND('',#57838,.T.); #36429=FACE_OUTER_BOUND('',#57839,.T.); #36430=FACE_OUTER_BOUND('',#57840,.T.); #36431=FACE_OUTER_BOUND('',#57841,.T.); #36432=FACE_OUTER_BOUND('',#57842,.T.); #36433=FACE_OUTER_BOUND('',#57843,.T.); #36434=FACE_OUTER_BOUND('',#57844,.T.); #36435=FACE_OUTER_BOUND('',#57845,.T.); #36436=FACE_OUTER_BOUND('',#57846,.T.); #36437=FACE_OUTER_BOUND('',#57847,.T.); #36438=FACE_OUTER_BOUND('',#57848,.T.); #36439=FACE_OUTER_BOUND('',#57849,.T.); #36440=FACE_OUTER_BOUND('',#57850,.T.); #36441=FACE_OUTER_BOUND('',#57851,.T.); #36442=FACE_OUTER_BOUND('',#57852,.T.); #36443=FACE_OUTER_BOUND('',#57853,.T.); #36444=FACE_OUTER_BOUND('',#57854,.T.); #36445=FACE_OUTER_BOUND('',#57855,.T.); #36446=FACE_OUTER_BOUND('',#57856,.T.); #36447=FACE_OUTER_BOUND('',#57857,.T.); #36448=FACE_OUTER_BOUND('',#57858,.T.); #36449=FACE_OUTER_BOUND('',#57859,.T.); #36450=FACE_OUTER_BOUND('',#57860,.T.); #36451=FACE_OUTER_BOUND('',#57861,.T.); #36452=FACE_OUTER_BOUND('',#57862,.T.); #36453=FACE_OUTER_BOUND('',#57863,.T.); #36454=FACE_OUTER_BOUND('',#57864,.T.); #36455=FACE_OUTER_BOUND('',#57865,.T.); #36456=FACE_OUTER_BOUND('',#57866,.T.); #36457=FACE_OUTER_BOUND('',#57867,.T.); #36458=FACE_OUTER_BOUND('',#57868,.T.); #36459=FACE_OUTER_BOUND('',#57869,.T.); #36460=FACE_OUTER_BOUND('',#57870,.T.); #36461=FACE_OUTER_BOUND('',#57871,.T.); #36462=FACE_OUTER_BOUND('',#57872,.T.); #36463=FACE_OUTER_BOUND('',#57873,.T.); #36464=FACE_OUTER_BOUND('',#57874,.T.); #36465=FACE_OUTER_BOUND('',#57875,.T.); #36466=FACE_OUTER_BOUND('',#57876,.T.); #36467=FACE_OUTER_BOUND('',#57877,.T.); #36468=FACE_OUTER_BOUND('',#57878,.T.); #36469=FACE_OUTER_BOUND('',#57879,.T.); #36470=FACE_OUTER_BOUND('',#57880,.T.); #36471=FACE_OUTER_BOUND('',#57881,.T.); #36472=FACE_OUTER_BOUND('',#57882,.T.); #36473=FACE_OUTER_BOUND('',#57883,.T.); #36474=FACE_OUTER_BOUND('',#57884,.T.); #36475=FACE_OUTER_BOUND('',#57885,.T.); #36476=FACE_OUTER_BOUND('',#57886,.T.); #36477=FACE_OUTER_BOUND('',#57887,.T.); #36478=FACE_OUTER_BOUND('',#57888,.T.); #36479=FACE_OUTER_BOUND('',#57889,.T.); #36480=FACE_OUTER_BOUND('',#57890,.T.); #36481=FACE_OUTER_BOUND('',#57891,.T.); #36482=FACE_OUTER_BOUND('',#57892,.T.); #36483=FACE_OUTER_BOUND('',#57893,.T.); #36484=FACE_OUTER_BOUND('',#57894,.T.); #36485=FACE_OUTER_BOUND('',#57895,.T.); #36486=FACE_OUTER_BOUND('',#57896,.T.); #36487=FACE_OUTER_BOUND('',#57897,.T.); #36488=FACE_OUTER_BOUND('',#57898,.T.); #36489=FACE_OUTER_BOUND('',#57899,.T.); #36490=FACE_OUTER_BOUND('',#57900,.T.); #36491=FACE_OUTER_BOUND('',#57901,.T.); #36492=FACE_OUTER_BOUND('',#57902,.T.); #36493=FACE_OUTER_BOUND('',#57903,.T.); #36494=FACE_OUTER_BOUND('',#57904,.T.); #36495=FACE_OUTER_BOUND('',#57905,.T.); #36496=FACE_OUTER_BOUND('',#57906,.T.); #36497=FACE_OUTER_BOUND('',#57907,.T.); #36498=FACE_OUTER_BOUND('',#57908,.T.); #36499=FACE_OUTER_BOUND('',#57909,.T.); #36500=FACE_OUTER_BOUND('',#57910,.T.); #36501=FACE_OUTER_BOUND('',#57911,.T.); #36502=FACE_OUTER_BOUND('',#57912,.T.); #36503=FACE_OUTER_BOUND('',#57913,.T.); #36504=FACE_OUTER_BOUND('',#57914,.T.); #36505=FACE_OUTER_BOUND('',#57915,.T.); #36506=FACE_OUTER_BOUND('',#57916,.T.); #36507=FACE_OUTER_BOUND('',#57917,.T.); #36508=FACE_OUTER_BOUND('',#57918,.T.); #36509=FACE_OUTER_BOUND('',#57919,.T.); #36510=FACE_OUTER_BOUND('',#57920,.T.); #36511=FACE_OUTER_BOUND('',#57921,.T.); #36512=FACE_OUTER_BOUND('',#57922,.T.); #36513=FACE_OUTER_BOUND('',#57923,.T.); #36514=FACE_OUTER_BOUND('',#57924,.T.); #36515=FACE_OUTER_BOUND('',#57925,.T.); #36516=FACE_OUTER_BOUND('',#57926,.T.); #36517=FACE_OUTER_BOUND('',#57927,.T.); #36518=FACE_OUTER_BOUND('',#57928,.T.); #36519=FACE_OUTER_BOUND('',#57929,.T.); #36520=FACE_OUTER_BOUND('',#57930,.T.); #36521=FACE_OUTER_BOUND('',#57931,.T.); #36522=FACE_OUTER_BOUND('',#57932,.T.); #36523=FACE_OUTER_BOUND('',#57933,.T.); #36524=FACE_OUTER_BOUND('',#57934,.T.); #36525=FACE_OUTER_BOUND('',#57935,.T.); #36526=FACE_OUTER_BOUND('',#57936,.T.); #36527=FACE_OUTER_BOUND('',#57937,.T.); #36528=FACE_OUTER_BOUND('',#57938,.T.); #36529=FACE_OUTER_BOUND('',#57939,.T.); #36530=FACE_OUTER_BOUND('',#57940,.T.); #36531=FACE_OUTER_BOUND('',#57941,.T.); #36532=FACE_OUTER_BOUND('',#57942,.T.); #36533=FACE_OUTER_BOUND('',#57943,.T.); #36534=FACE_OUTER_BOUND('',#57944,.T.); #36535=FACE_OUTER_BOUND('',#57945,.T.); #36536=FACE_OUTER_BOUND('',#57946,.T.); #36537=FACE_OUTER_BOUND('',#57947,.T.); #36538=FACE_OUTER_BOUND('',#57948,.T.); #36539=FACE_OUTER_BOUND('',#57949,.T.); #36540=FACE_OUTER_BOUND('',#57950,.T.); #36541=FACE_OUTER_BOUND('',#57951,.T.); #36542=FACE_OUTER_BOUND('',#57952,.T.); #36543=FACE_OUTER_BOUND('',#57953,.T.); #36544=FACE_OUTER_BOUND('',#57954,.T.); #36545=FACE_OUTER_BOUND('',#57955,.T.); #36546=FACE_OUTER_BOUND('',#57956,.T.); #36547=FACE_OUTER_BOUND('',#57957,.T.); #36548=FACE_OUTER_BOUND('',#57958,.T.); #36549=FACE_OUTER_BOUND('',#57959,.T.); #36550=FACE_OUTER_BOUND('',#57960,.T.); #36551=FACE_OUTER_BOUND('',#57961,.T.); #36552=FACE_OUTER_BOUND('',#57962,.T.); #36553=FACE_OUTER_BOUND('',#57963,.T.); #36554=FACE_OUTER_BOUND('',#57964,.T.); #36555=FACE_OUTER_BOUND('',#57965,.T.); #36556=FACE_OUTER_BOUND('',#57966,.T.); #36557=FACE_OUTER_BOUND('',#57967,.T.); #36558=FACE_OUTER_BOUND('',#57968,.T.); #36559=FACE_OUTER_BOUND('',#57969,.T.); #36560=FACE_OUTER_BOUND('',#57970,.T.); #36561=FACE_OUTER_BOUND('',#57971,.T.); #36562=FACE_OUTER_BOUND('',#57972,.T.); #36563=FACE_OUTER_BOUND('',#57973,.T.); #36564=FACE_OUTER_BOUND('',#57974,.T.); #36565=FACE_OUTER_BOUND('',#57975,.T.); #36566=FACE_OUTER_BOUND('',#57976,.T.); #36567=FACE_OUTER_BOUND('',#57977,.T.); #36568=FACE_OUTER_BOUND('',#57978,.T.); #36569=FACE_OUTER_BOUND('',#57979,.T.); #36570=FACE_OUTER_BOUND('',#57980,.T.); #36571=FACE_OUTER_BOUND('',#57981,.T.); #36572=FACE_OUTER_BOUND('',#57982,.T.); #36573=FACE_OUTER_BOUND('',#57983,.T.); #36574=FACE_OUTER_BOUND('',#57984,.T.); #36575=FACE_OUTER_BOUND('',#58302,.T.); #36576=FACE_OUTER_BOUND('',#58620,.T.); #36577=FACE_OUTER_BOUND('',#58621,.T.); #36578=FACE_OUTER_BOUND('',#58622,.T.); #36579=FACE_OUTER_BOUND('',#58623,.T.); #36580=FACE_OUTER_BOUND('',#58624,.T.); #36581=FACE_OUTER_BOUND('',#58625,.T.); #36582=FACE_OUTER_BOUND('',#58626,.T.); #36583=FACE_OUTER_BOUND('',#58627,.T.); #36584=FACE_OUTER_BOUND('',#58628,.T.); #36585=FACE_OUTER_BOUND('',#58629,.T.); #36586=FACE_OUTER_BOUND('',#58630,.T.); #36587=FACE_OUTER_BOUND('',#58631,.T.); #36588=FACE_OUTER_BOUND('',#58632,.T.); #36589=FACE_OUTER_BOUND('',#58633,.T.); #36590=FACE_OUTER_BOUND('',#58634,.T.); #36591=FACE_OUTER_BOUND('',#58635,.T.); #36592=FACE_OUTER_BOUND('',#58636,.T.); #36593=FACE_OUTER_BOUND('',#58637,.T.); #36594=FACE_OUTER_BOUND('',#58638,.T.); #36595=FACE_OUTER_BOUND('',#58639,.T.); #36596=FACE_OUTER_BOUND('',#58640,.T.); #36597=FACE_OUTER_BOUND('',#58641,.T.); #36598=FACE_OUTER_BOUND('',#58642,.T.); #36599=FACE_OUTER_BOUND('',#58643,.T.); #36600=FACE_OUTER_BOUND('',#58644,.T.); #36601=FACE_OUTER_BOUND('',#58645,.T.); #36602=FACE_OUTER_BOUND('',#58646,.T.); #36603=FACE_OUTER_BOUND('',#58647,.T.); #36604=FACE_OUTER_BOUND('',#58648,.T.); #36605=FACE_OUTER_BOUND('',#58649,.T.); #36606=FACE_OUTER_BOUND('',#58650,.T.); #36607=FACE_OUTER_BOUND('',#58651,.T.); #36608=FACE_OUTER_BOUND('',#58652,.T.); #36609=FACE_OUTER_BOUND('',#58653,.T.); #36610=FACE_OUTER_BOUND('',#58654,.T.); #36611=FACE_OUTER_BOUND('',#58655,.T.); #36612=FACE_OUTER_BOUND('',#58656,.T.); #36613=FACE_OUTER_BOUND('',#58657,.T.); #36614=FACE_OUTER_BOUND('',#58658,.T.); #36615=FACE_OUTER_BOUND('',#58659,.T.); #36616=FACE_OUTER_BOUND('',#58660,.T.); #36617=FACE_OUTER_BOUND('',#58661,.T.); #36618=FACE_OUTER_BOUND('',#58662,.T.); #36619=FACE_OUTER_BOUND('',#58663,.T.); #36620=FACE_OUTER_BOUND('',#58664,.T.); #36621=FACE_OUTER_BOUND('',#58665,.T.); #36622=FACE_OUTER_BOUND('',#58666,.T.); #36623=FACE_OUTER_BOUND('',#58667,.T.); #36624=FACE_OUTER_BOUND('',#58668,.T.); #36625=FACE_OUTER_BOUND('',#58669,.T.); #36626=FACE_OUTER_BOUND('',#58670,.T.); #36627=FACE_OUTER_BOUND('',#58671,.T.); #36628=FACE_OUTER_BOUND('',#58672,.T.); #36629=FACE_OUTER_BOUND('',#58673,.T.); #36630=FACE_OUTER_BOUND('',#58674,.T.); #36631=FACE_OUTER_BOUND('',#58675,.T.); #36632=FACE_OUTER_BOUND('',#58676,.T.); #36633=FACE_OUTER_BOUND('',#58677,.T.); #36634=FACE_OUTER_BOUND('',#58678,.T.); #36635=FACE_OUTER_BOUND('',#58679,.T.); #36636=FACE_OUTER_BOUND('',#58680,.T.); #36637=FACE_OUTER_BOUND('',#58681,.T.); #36638=FACE_OUTER_BOUND('',#58682,.T.); #36639=FACE_OUTER_BOUND('',#58683,.T.); #36640=FACE_OUTER_BOUND('',#58684,.T.); #36641=FACE_OUTER_BOUND('',#58685,.T.); #36642=FACE_OUTER_BOUND('',#58686,.T.); #36643=FACE_OUTER_BOUND('',#58687,.T.); #36644=FACE_OUTER_BOUND('',#58688,.T.); #36645=FACE_OUTER_BOUND('',#58689,.T.); #36646=FACE_OUTER_BOUND('',#58690,.T.); #36647=FACE_OUTER_BOUND('',#58691,.T.); #36648=FACE_OUTER_BOUND('',#58692,.T.); #36649=FACE_OUTER_BOUND('',#58693,.T.); #36650=FACE_OUTER_BOUND('',#58694,.T.); #36651=FACE_OUTER_BOUND('',#58695,.T.); #36652=FACE_OUTER_BOUND('',#58696,.T.); #36653=FACE_OUTER_BOUND('',#58697,.T.); #36654=FACE_OUTER_BOUND('',#58698,.T.); #36655=FACE_OUTER_BOUND('',#58699,.T.); #36656=FACE_OUTER_BOUND('',#58700,.T.); #36657=FACE_OUTER_BOUND('',#58701,.T.); #36658=FACE_OUTER_BOUND('',#58702,.T.); #36659=FACE_OUTER_BOUND('',#58703,.T.); #36660=FACE_OUTER_BOUND('',#58704,.T.); #36661=FACE_OUTER_BOUND('',#58705,.T.); #36662=FACE_OUTER_BOUND('',#58706,.T.); #36663=FACE_OUTER_BOUND('',#58707,.T.); #36664=FACE_OUTER_BOUND('',#58708,.T.); #36665=FACE_OUTER_BOUND('',#58709,.T.); #36666=FACE_OUTER_BOUND('',#58710,.T.); #36667=FACE_OUTER_BOUND('',#58711,.T.); #36668=FACE_OUTER_BOUND('',#58712,.T.); #36669=FACE_OUTER_BOUND('',#58713,.T.); #36670=FACE_OUTER_BOUND('',#58714,.T.); #36671=FACE_OUTER_BOUND('',#58715,.T.); #36672=FACE_OUTER_BOUND('',#58716,.T.); #36673=FACE_OUTER_BOUND('',#58717,.T.); #36674=FACE_OUTER_BOUND('',#58718,.T.); #36675=FACE_OUTER_BOUND('',#58719,.T.); #36676=FACE_OUTER_BOUND('',#58720,.T.); #36677=FACE_OUTER_BOUND('',#58721,.T.); #36678=FACE_OUTER_BOUND('',#58722,.T.); #36679=FACE_OUTER_BOUND('',#58723,.T.); #36680=FACE_OUTER_BOUND('',#58724,.T.); #36681=FACE_OUTER_BOUND('',#58725,.T.); #36682=FACE_OUTER_BOUND('',#58726,.T.); #36683=FACE_OUTER_BOUND('',#58727,.T.); #36684=FACE_OUTER_BOUND('',#58728,.T.); #36685=FACE_OUTER_BOUND('',#58729,.T.); #36686=FACE_OUTER_BOUND('',#58730,.T.); #36687=FACE_OUTER_BOUND('',#58731,.T.); #36688=FACE_OUTER_BOUND('',#58732,.T.); #36689=FACE_OUTER_BOUND('',#58733,.T.); #36690=FACE_OUTER_BOUND('',#58734,.T.); #36691=FACE_OUTER_BOUND('',#58735,.T.); #36692=FACE_OUTER_BOUND('',#58736,.T.); #36693=FACE_OUTER_BOUND('',#58737,.T.); #36694=FACE_OUTER_BOUND('',#58738,.T.); #36695=FACE_OUTER_BOUND('',#58739,.T.); #36696=FACE_OUTER_BOUND('',#58740,.T.); #36697=FACE_OUTER_BOUND('',#58741,.T.); #36698=FACE_OUTER_BOUND('',#58742,.T.); #36699=FACE_OUTER_BOUND('',#58743,.T.); #36700=FACE_OUTER_BOUND('',#58744,.T.); #36701=FACE_OUTER_BOUND('',#58745,.T.); #36702=FACE_OUTER_BOUND('',#58746,.T.); #36703=FACE_OUTER_BOUND('',#58747,.T.); #36704=FACE_OUTER_BOUND('',#58748,.T.); #36705=FACE_OUTER_BOUND('',#58749,.T.); #36706=FACE_OUTER_BOUND('',#58750,.T.); #36707=FACE_OUTER_BOUND('',#58751,.T.); #36708=FACE_OUTER_BOUND('',#58752,.T.); #36709=FACE_OUTER_BOUND('',#58753,.T.); #36710=FACE_OUTER_BOUND('',#58754,.T.); #36711=FACE_OUTER_BOUND('',#58755,.T.); #36712=FACE_OUTER_BOUND('',#58756,.T.); #36713=FACE_OUTER_BOUND('',#58757,.T.); #36714=FACE_OUTER_BOUND('',#58758,.T.); #36715=FACE_OUTER_BOUND('',#58759,.T.); #36716=FACE_OUTER_BOUND('',#58760,.T.); #36717=FACE_OUTER_BOUND('',#58761,.T.); #36718=FACE_OUTER_BOUND('',#58762,.T.); #36719=FACE_OUTER_BOUND('',#58763,.T.); #36720=FACE_OUTER_BOUND('',#58764,.T.); #36721=FACE_OUTER_BOUND('',#58765,.T.); #36722=FACE_OUTER_BOUND('',#58766,.T.); #36723=FACE_OUTER_BOUND('',#58767,.T.); #36724=FACE_OUTER_BOUND('',#58768,.T.); #36725=FACE_OUTER_BOUND('',#58769,.T.); #36726=FACE_OUTER_BOUND('',#58770,.T.); #36727=FACE_OUTER_BOUND('',#58771,.T.); #36728=FACE_OUTER_BOUND('',#58772,.T.); #36729=FACE_OUTER_BOUND('',#58773,.T.); #36730=FACE_OUTER_BOUND('',#58774,.T.); #36731=FACE_OUTER_BOUND('',#58775,.T.); #36732=FACE_OUTER_BOUND('',#58776,.T.); #36733=FACE_OUTER_BOUND('',#58777,.T.); #36734=FACE_OUTER_BOUND('',#58778,.T.); #36735=FACE_OUTER_BOUND('',#58779,.T.); #36736=FACE_OUTER_BOUND('',#58780,.T.); #36737=FACE_OUTER_BOUND('',#58781,.T.); #36738=FACE_OUTER_BOUND('',#58782,.T.); #36739=FACE_OUTER_BOUND('',#58783,.T.); #36740=FACE_OUTER_BOUND('',#58784,.T.); #36741=FACE_OUTER_BOUND('',#58785,.T.); #36742=FACE_OUTER_BOUND('',#58786,.T.); #36743=FACE_OUTER_BOUND('',#58787,.T.); #36744=FACE_OUTER_BOUND('',#58788,.T.); #36745=FACE_OUTER_BOUND('',#58789,.T.); #36746=FACE_OUTER_BOUND('',#58790,.T.); #36747=FACE_OUTER_BOUND('',#58791,.T.); #36748=FACE_OUTER_BOUND('',#58792,.T.); #36749=FACE_OUTER_BOUND('',#58793,.T.); #36750=FACE_OUTER_BOUND('',#58794,.T.); #36751=FACE_OUTER_BOUND('',#58795,.T.); #36752=FACE_OUTER_BOUND('',#58796,.T.); #36753=FACE_OUTER_BOUND('',#58797,.T.); #36754=FACE_OUTER_BOUND('',#58798,.T.); #36755=FACE_OUTER_BOUND('',#58799,.T.); #36756=FACE_OUTER_BOUND('',#58800,.T.); #36757=FACE_OUTER_BOUND('',#58801,.T.); #36758=FACE_OUTER_BOUND('',#58802,.T.); #36759=FACE_OUTER_BOUND('',#58803,.T.); #36760=FACE_OUTER_BOUND('',#58804,.T.); #36761=FACE_OUTER_BOUND('',#58805,.T.); #36762=FACE_OUTER_BOUND('',#58806,.T.); #36763=FACE_OUTER_BOUND('',#58807,.T.); #36764=FACE_OUTER_BOUND('',#58808,.T.); #36765=FACE_OUTER_BOUND('',#58809,.T.); #36766=FACE_OUTER_BOUND('',#58810,.T.); #36767=FACE_OUTER_BOUND('',#58811,.T.); #36768=FACE_OUTER_BOUND('',#58812,.T.); #36769=FACE_OUTER_BOUND('',#58813,.T.); #36770=FACE_OUTER_BOUND('',#58814,.T.); #36771=FACE_OUTER_BOUND('',#58815,.T.); #36772=FACE_OUTER_BOUND('',#58816,.T.); #36773=FACE_OUTER_BOUND('',#58817,.T.); #36774=FACE_OUTER_BOUND('',#58818,.T.); #36775=FACE_OUTER_BOUND('',#58819,.T.); #36776=FACE_OUTER_BOUND('',#58820,.T.); #36777=FACE_OUTER_BOUND('',#58821,.T.); #36778=FACE_OUTER_BOUND('',#58822,.T.); #36779=FACE_OUTER_BOUND('',#58823,.T.); #36780=FACE_OUTER_BOUND('',#58824,.T.); #36781=FACE_OUTER_BOUND('',#58825,.T.); #36782=FACE_OUTER_BOUND('',#58826,.T.); #36783=FACE_OUTER_BOUND('',#58827,.T.); #36784=FACE_OUTER_BOUND('',#58828,.T.); #36785=FACE_OUTER_BOUND('',#58829,.T.); #36786=FACE_OUTER_BOUND('',#58830,.T.); #36787=FACE_OUTER_BOUND('',#58831,.T.); #36788=FACE_OUTER_BOUND('',#58832,.T.); #36789=FACE_OUTER_BOUND('',#58833,.T.); #36790=FACE_OUTER_BOUND('',#58834,.T.); #36791=FACE_OUTER_BOUND('',#58835,.T.); #36792=FACE_OUTER_BOUND('',#58836,.T.); #36793=FACE_OUTER_BOUND('',#58837,.T.); #36794=FACE_OUTER_BOUND('',#58838,.T.); #36795=FACE_OUTER_BOUND('',#58839,.T.); #36796=FACE_OUTER_BOUND('',#58840,.T.); #36797=FACE_OUTER_BOUND('',#58841,.T.); #36798=FACE_OUTER_BOUND('',#58842,.T.); #36799=FACE_OUTER_BOUND('',#58843,.T.); #36800=FACE_OUTER_BOUND('',#58844,.T.); #36801=FACE_OUTER_BOUND('',#58845,.T.); #36802=FACE_OUTER_BOUND('',#58846,.T.); #36803=FACE_OUTER_BOUND('',#58847,.T.); #36804=FACE_OUTER_BOUND('',#58848,.T.); #36805=FACE_OUTER_BOUND('',#58849,.T.); #36806=FACE_OUTER_BOUND('',#58850,.T.); #36807=FACE_OUTER_BOUND('',#58851,.T.); #36808=FACE_OUTER_BOUND('',#58852,.T.); #36809=FACE_OUTER_BOUND('',#58853,.T.); #36810=FACE_OUTER_BOUND('',#58854,.T.); #36811=FACE_OUTER_BOUND('',#58855,.T.); #36812=FACE_OUTER_BOUND('',#58856,.T.); #36813=FACE_OUTER_BOUND('',#58857,.T.); #36814=FACE_OUTER_BOUND('',#58858,.T.); #36815=FACE_OUTER_BOUND('',#58859,.T.); #36816=FACE_OUTER_BOUND('',#58860,.T.); #36817=FACE_OUTER_BOUND('',#58861,.T.); #36818=FACE_OUTER_BOUND('',#58862,.T.); #36819=FACE_OUTER_BOUND('',#58863,.T.); #36820=FACE_OUTER_BOUND('',#58864,.T.); #36821=FACE_OUTER_BOUND('',#58865,.T.); #36822=FACE_OUTER_BOUND('',#58866,.T.); #36823=FACE_OUTER_BOUND('',#58867,.T.); #36824=FACE_OUTER_BOUND('',#58868,.T.); #36825=FACE_OUTER_BOUND('',#58869,.T.); #36826=FACE_OUTER_BOUND('',#58870,.T.); #36827=FACE_OUTER_BOUND('',#58871,.T.); #36828=FACE_OUTER_BOUND('',#58872,.T.); #36829=FACE_OUTER_BOUND('',#58873,.T.); #36830=FACE_OUTER_BOUND('',#58874,.T.); #36831=FACE_OUTER_BOUND('',#58875,.T.); #36832=FACE_OUTER_BOUND('',#58876,.T.); #36833=FACE_OUTER_BOUND('',#58877,.T.); #36834=FACE_OUTER_BOUND('',#58878,.T.); #36835=FACE_OUTER_BOUND('',#58879,.T.); #36836=FACE_OUTER_BOUND('',#58880,.T.); #36837=FACE_OUTER_BOUND('',#58881,.T.); #36838=FACE_OUTER_BOUND('',#58882,.T.); #36839=FACE_OUTER_BOUND('',#58883,.T.); #36840=FACE_OUTER_BOUND('',#58884,.T.); #36841=FACE_OUTER_BOUND('',#58885,.T.); #36842=FACE_OUTER_BOUND('',#58886,.T.); #36843=FACE_OUTER_BOUND('',#58887,.T.); #36844=FACE_OUTER_BOUND('',#58888,.T.); #36845=FACE_OUTER_BOUND('',#58889,.T.); #36846=FACE_OUTER_BOUND('',#58890,.T.); #36847=FACE_OUTER_BOUND('',#58891,.T.); #36848=FACE_OUTER_BOUND('',#58892,.T.); #36849=FACE_OUTER_BOUND('',#58893,.T.); #36850=FACE_OUTER_BOUND('',#58894,.T.); #36851=FACE_OUTER_BOUND('',#58895,.T.); #36852=FACE_OUTER_BOUND('',#58896,.T.); #36853=FACE_OUTER_BOUND('',#58897,.T.); #36854=FACE_OUTER_BOUND('',#58898,.T.); #36855=FACE_OUTER_BOUND('',#58899,.T.); #36856=FACE_OUTER_BOUND('',#58900,.T.); #36857=FACE_OUTER_BOUND('',#58901,.T.); #36858=FACE_OUTER_BOUND('',#58902,.T.); #36859=FACE_OUTER_BOUND('',#58903,.T.); #36860=FACE_OUTER_BOUND('',#58904,.T.); #36861=FACE_OUTER_BOUND('',#58905,.T.); #36862=FACE_OUTER_BOUND('',#58906,.T.); #36863=FACE_OUTER_BOUND('',#58907,.T.); #36864=FACE_OUTER_BOUND('',#58908,.T.); #36865=FACE_OUTER_BOUND('',#58909,.T.); #36866=FACE_OUTER_BOUND('',#58910,.T.); #36867=FACE_OUTER_BOUND('',#58911,.T.); #36868=FACE_OUTER_BOUND('',#58912,.T.); #36869=FACE_OUTER_BOUND('',#58913,.T.); #36870=FACE_OUTER_BOUND('',#58914,.T.); #36871=FACE_OUTER_BOUND('',#58915,.T.); #36872=FACE_OUTER_BOUND('',#58916,.T.); #36873=FACE_OUTER_BOUND('',#58917,.T.); #36874=FACE_OUTER_BOUND('',#58918,.T.); #36875=FACE_OUTER_BOUND('',#58919,.T.); #36876=FACE_OUTER_BOUND('',#58920,.T.); #36877=FACE_OUTER_BOUND('',#58921,.T.); #36878=FACE_OUTER_BOUND('',#58922,.T.); #36879=FACE_OUTER_BOUND('',#58923,.T.); #36880=FACE_OUTER_BOUND('',#58924,.T.); #36881=FACE_OUTER_BOUND('',#58925,.T.); #36882=FACE_OUTER_BOUND('',#58926,.T.); #36883=FACE_OUTER_BOUND('',#58927,.T.); #36884=FACE_OUTER_BOUND('',#58928,.T.); #36885=FACE_OUTER_BOUND('',#58929,.T.); #36886=FACE_OUTER_BOUND('',#58930,.T.); #36887=FACE_OUTER_BOUND('',#58931,.T.); #36888=FACE_OUTER_BOUND('',#58932,.T.); #36889=FACE_OUTER_BOUND('',#58933,.T.); #36890=FACE_OUTER_BOUND('',#58934,.T.); #36891=FACE_OUTER_BOUND('',#58935,.T.); #36892=FACE_OUTER_BOUND('',#58936,.T.); #36893=FACE_OUTER_BOUND('',#58937,.T.); #36894=FACE_OUTER_BOUND('',#58938,.T.); #36895=FACE_OUTER_BOUND('',#58939,.T.); #36896=FACE_OUTER_BOUND('',#58940,.T.); #36897=FACE_OUTER_BOUND('',#58941,.T.); #36898=FACE_OUTER_BOUND('',#58942,.T.); #36899=FACE_OUTER_BOUND('',#58943,.T.); #36900=FACE_OUTER_BOUND('',#58944,.T.); #36901=FACE_OUTER_BOUND('',#58945,.T.); #36902=FACE_OUTER_BOUND('',#58946,.T.); #36903=FACE_OUTER_BOUND('',#58947,.T.); #36904=FACE_OUTER_BOUND('',#58948,.T.); #36905=FACE_OUTER_BOUND('',#58949,.T.); #36906=FACE_OUTER_BOUND('',#58950,.T.); #36907=FACE_OUTER_BOUND('',#58951,.T.); #36908=FACE_OUTER_BOUND('',#58952,.T.); #36909=FACE_OUTER_BOUND('',#58953,.T.); #36910=FACE_OUTER_BOUND('',#58954,.T.); #36911=FACE_OUTER_BOUND('',#58955,.T.); #36912=FACE_OUTER_BOUND('',#58956,.T.); #36913=FACE_OUTER_BOUND('',#59274,.T.); #36914=FACE_OUTER_BOUND('',#59592,.T.); #36915=FACE_OUTER_BOUND('',#59593,.T.); #36916=FACE_OUTER_BOUND('',#59594,.T.); #36917=FACE_OUTER_BOUND('',#59595,.T.); #36918=FACE_OUTER_BOUND('',#59596,.T.); #36919=FACE_OUTER_BOUND('',#59597,.T.); #36920=FACE_OUTER_BOUND('',#59598,.T.); #36921=FACE_OUTER_BOUND('',#59603,.T.); #36922=FACE_OUTER_BOUND('',#59605,.T.); #36923=FACE_OUTER_BOUND('',#59607,.T.); #36924=FACE_OUTER_BOUND('',#59609,.T.); #36925=FACE_OUTER_BOUND('',#59610,.T.); #36926=FACE_OUTER_BOUND('',#59611,.T.); #36927=FACE_OUTER_BOUND('',#59613,.T.); #36928=FACE_OUTER_BOUND('',#59614,.T.); #36929=FACE_OUTER_BOUND('',#59615,.T.); #36930=FACE_OUTER_BOUND('',#59616,.T.); #36931=FACE_OUTER_BOUND('',#59617,.T.); #36932=FACE_OUTER_BOUND('',#59618,.T.); #36933=FACE_OUTER_BOUND('',#59619,.T.); #36934=FACE_OUTER_BOUND('',#59620,.T.); #36935=FACE_OUTER_BOUND('',#59621,.T.); #36936=FACE_OUTER_BOUND('',#59622,.T.); #36937=FACE_OUTER_BOUND('',#59623,.T.); #36938=FACE_OUTER_BOUND('',#59624,.T.); #36939=FACE_OUTER_BOUND('',#59625,.T.); #36940=FACE_OUTER_BOUND('',#59626,.T.); #36941=FACE_OUTER_BOUND('',#59627,.T.); #36942=FACE_OUTER_BOUND('',#59628,.T.); #36943=FACE_OUTER_BOUND('',#59629,.T.); #36944=FACE_OUTER_BOUND('',#59630,.T.); #36945=FACE_OUTER_BOUND('',#59631,.T.); #36946=FACE_OUTER_BOUND('',#59632,.T.); #36947=FACE_OUTER_BOUND('',#59633,.T.); #36948=FACE_OUTER_BOUND('',#59634,.T.); #36949=FACE_OUTER_BOUND('',#59635,.T.); #36950=FACE_OUTER_BOUND('',#59636,.T.); #36951=FACE_OUTER_BOUND('',#59637,.T.); #36952=FACE_OUTER_BOUND('',#59638,.T.); #36953=FACE_OUTER_BOUND('',#59639,.T.); #36954=FACE_OUTER_BOUND('',#59640,.T.); #36955=FACE_OUTER_BOUND('',#59641,.T.); #36956=FACE_OUTER_BOUND('',#59642,.T.); #36957=FACE_OUTER_BOUND('',#59643,.T.); #36958=FACE_OUTER_BOUND('',#59644,.T.); #36959=FACE_OUTER_BOUND('',#59645,.T.); #36960=FACE_OUTER_BOUND('',#59646,.T.); #36961=FACE_OUTER_BOUND('',#59647,.T.); #36962=FACE_OUTER_BOUND('',#59648,.T.); #36963=FACE_OUTER_BOUND('',#59649,.T.); #36964=FACE_OUTER_BOUND('',#59650,.T.); #36965=FACE_OUTER_BOUND('',#59651,.T.); #36966=FACE_OUTER_BOUND('',#59652,.T.); #36967=FACE_OUTER_BOUND('',#59653,.T.); #36968=FACE_OUTER_BOUND('',#59654,.T.); #36969=FACE_OUTER_BOUND('',#59655,.T.); #36970=FACE_OUTER_BOUND('',#59656,.T.); #36971=FACE_OUTER_BOUND('',#59657,.T.); #36972=FACE_OUTER_BOUND('',#59658,.T.); #36973=FACE_OUTER_BOUND('',#59659,.T.); #36974=FACE_OUTER_BOUND('',#59660,.T.); #36975=FACE_OUTER_BOUND('',#59661,.T.); #36976=FACE_OUTER_BOUND('',#59662,.T.); #36977=FACE_OUTER_BOUND('',#59663,.T.); #36978=FACE_OUTER_BOUND('',#59664,.T.); #36979=FACE_OUTER_BOUND('',#59665,.T.); #36980=FACE_OUTER_BOUND('',#59666,.T.); #36981=FACE_OUTER_BOUND('',#59667,.T.); #36982=FACE_OUTER_BOUND('',#59668,.T.); #36983=FACE_OUTER_BOUND('',#59669,.T.); #36984=FACE_OUTER_BOUND('',#59670,.T.); #36985=FACE_OUTER_BOUND('',#59671,.T.); #36986=FACE_OUTER_BOUND('',#59672,.T.); #36987=FACE_OUTER_BOUND('',#59673,.T.); #36988=FACE_OUTER_BOUND('',#59674,.T.); #36989=FACE_OUTER_BOUND('',#59675,.T.); #36990=FACE_OUTER_BOUND('',#59676,.T.); #36991=FACE_OUTER_BOUND('',#59677,.T.); #36992=FACE_OUTER_BOUND('',#59678,.T.); #36993=FACE_OUTER_BOUND('',#59679,.T.); #36994=FACE_OUTER_BOUND('',#59680,.T.); #36995=FACE_OUTER_BOUND('',#59681,.T.); #36996=FACE_OUTER_BOUND('',#59682,.T.); #36997=FACE_OUTER_BOUND('',#59683,.T.); #36998=FACE_OUTER_BOUND('',#59684,.T.); #36999=FACE_OUTER_BOUND('',#59685,.T.); #37000=FACE_OUTER_BOUND('',#59686,.T.); #37001=FACE_OUTER_BOUND('',#59687,.T.); #37002=FACE_OUTER_BOUND('',#59688,.T.); #37003=FACE_OUTER_BOUND('',#59689,.T.); #37004=FACE_OUTER_BOUND('',#59690,.T.); #37005=FACE_OUTER_BOUND('',#59691,.T.); #37006=FACE_OUTER_BOUND('',#59692,.T.); #37007=FACE_OUTER_BOUND('',#59693,.T.); #37008=FACE_OUTER_BOUND('',#59694,.T.); #37009=FACE_OUTER_BOUND('',#59695,.T.); #37010=FACE_OUTER_BOUND('',#59696,.T.); #37011=FACE_OUTER_BOUND('',#59697,.T.); #37012=FACE_OUTER_BOUND('',#59698,.T.); #37013=FACE_OUTER_BOUND('',#59699,.T.); #37014=FACE_OUTER_BOUND('',#59700,.T.); #37015=FACE_OUTER_BOUND('',#59701,.T.); #37016=FACE_OUTER_BOUND('',#59702,.T.); #37017=FACE_OUTER_BOUND('',#59703,.T.); #37018=FACE_OUTER_BOUND('',#59704,.T.); #37019=FACE_OUTER_BOUND('',#59705,.T.); #37020=FACE_OUTER_BOUND('',#59706,.T.); #37021=FACE_OUTER_BOUND('',#59707,.T.); #37022=FACE_OUTER_BOUND('',#59708,.T.); #37023=FACE_OUTER_BOUND('',#59709,.T.); #37024=FACE_OUTER_BOUND('',#59710,.T.); #37025=FACE_OUTER_BOUND('',#59711,.T.); #37026=FACE_OUTER_BOUND('',#59712,.T.); #37027=FACE_OUTER_BOUND('',#59713,.T.); #37028=FACE_OUTER_BOUND('',#59714,.T.); #37029=FACE_OUTER_BOUND('',#59715,.T.); #37030=FACE_OUTER_BOUND('',#59716,.T.); #37031=FACE_OUTER_BOUND('',#59717,.T.); #37032=FACE_OUTER_BOUND('',#59718,.T.); #37033=FACE_OUTER_BOUND('',#59719,.T.); #37034=FACE_OUTER_BOUND('',#59720,.T.); #37035=FACE_OUTER_BOUND('',#59721,.T.); #37036=FACE_OUTER_BOUND('',#59722,.T.); #37037=FACE_OUTER_BOUND('',#59723,.T.); #37038=FACE_OUTER_BOUND('',#59724,.T.); #37039=FACE_OUTER_BOUND('',#59725,.T.); #37040=FACE_OUTER_BOUND('',#59726,.T.); #37041=FACE_OUTER_BOUND('',#59727,.T.); #37042=FACE_OUTER_BOUND('',#59728,.T.); #37043=FACE_OUTER_BOUND('',#59729,.T.); #37044=FACE_OUTER_BOUND('',#59730,.T.); #37045=FACE_OUTER_BOUND('',#59731,.T.); #37046=FACE_OUTER_BOUND('',#59732,.T.); #37047=FACE_OUTER_BOUND('',#59733,.T.); #37048=FACE_OUTER_BOUND('',#59734,.T.); #37049=FACE_OUTER_BOUND('',#59735,.T.); #37050=FACE_OUTER_BOUND('',#59736,.T.); #37051=FACE_OUTER_BOUND('',#59737,.T.); #37052=FACE_OUTER_BOUND('',#59738,.T.); #37053=FACE_OUTER_BOUND('',#59739,.T.); #37054=FACE_OUTER_BOUND('',#59740,.T.); #37055=FACE_OUTER_BOUND('',#59741,.T.); #37056=FACE_OUTER_BOUND('',#59742,.T.); #37057=FACE_OUTER_BOUND('',#59743,.T.); #37058=FACE_OUTER_BOUND('',#59744,.T.); #37059=FACE_OUTER_BOUND('',#59745,.T.); #37060=FACE_OUTER_BOUND('',#59746,.T.); #37061=FACE_OUTER_BOUND('',#59747,.T.); #37062=FACE_OUTER_BOUND('',#59748,.T.); #37063=FACE_OUTER_BOUND('',#59749,.T.); #37064=FACE_OUTER_BOUND('',#59750,.T.); #37065=FACE_OUTER_BOUND('',#59751,.T.); #37066=FACE_OUTER_BOUND('',#59752,.T.); #37067=FACE_OUTER_BOUND('',#59753,.T.); #37068=FACE_OUTER_BOUND('',#59754,.T.); #37069=FACE_OUTER_BOUND('',#59755,.T.); #37070=FACE_OUTER_BOUND('',#59756,.T.); #37071=FACE_OUTER_BOUND('',#59757,.T.); #37072=FACE_OUTER_BOUND('',#59758,.T.); #37073=FACE_OUTER_BOUND('',#59759,.T.); #37074=FACE_OUTER_BOUND('',#59760,.T.); #37075=FACE_OUTER_BOUND('',#59761,.T.); #37076=FACE_OUTER_BOUND('',#59762,.T.); #37077=FACE_OUTER_BOUND('',#59763,.T.); #37078=FACE_OUTER_BOUND('',#59764,.T.); #37079=FACE_OUTER_BOUND('',#59765,.T.); #37080=FACE_OUTER_BOUND('',#59766,.T.); #37081=FACE_OUTER_BOUND('',#59767,.T.); #37082=FACE_OUTER_BOUND('',#59768,.T.); #37083=FACE_OUTER_BOUND('',#59769,.T.); #37084=FACE_OUTER_BOUND('',#59770,.T.); #37085=FACE_OUTER_BOUND('',#59771,.T.); #37086=FACE_OUTER_BOUND('',#59772,.T.); #37087=FACE_OUTER_BOUND('',#59773,.T.); #37088=FACE_OUTER_BOUND('',#59774,.T.); #37089=FACE_OUTER_BOUND('',#59775,.T.); #37090=FACE_OUTER_BOUND('',#59776,.T.); #37091=FACE_OUTER_BOUND('',#59777,.T.); #37092=FACE_OUTER_BOUND('',#59778,.T.); #37093=FACE_OUTER_BOUND('',#59779,.T.); #37094=FACE_OUTER_BOUND('',#59780,.T.); #37095=FACE_OUTER_BOUND('',#59781,.T.); #37096=FACE_OUTER_BOUND('',#59782,.T.); #37097=FACE_OUTER_BOUND('',#59783,.T.); #37098=FACE_OUTER_BOUND('',#59784,.T.); #37099=FACE_OUTER_BOUND('',#59785,.T.); #37100=FACE_OUTER_BOUND('',#59786,.T.); #37101=FACE_OUTER_BOUND('',#59787,.T.); #37102=FACE_OUTER_BOUND('',#59788,.T.); #37103=FACE_OUTER_BOUND('',#59789,.T.); #37104=FACE_OUTER_BOUND('',#59790,.T.); #37105=FACE_OUTER_BOUND('',#59791,.T.); #37106=FACE_OUTER_BOUND('',#59792,.T.); #37107=FACE_OUTER_BOUND('',#59793,.T.); #37108=FACE_OUTER_BOUND('',#59794,.T.); #37109=FACE_OUTER_BOUND('',#59795,.T.); #37110=FACE_OUTER_BOUND('',#59796,.T.); #37111=FACE_OUTER_BOUND('',#59797,.T.); #37112=FACE_OUTER_BOUND('',#59798,.T.); #37113=FACE_OUTER_BOUND('',#59799,.T.); #37114=FACE_OUTER_BOUND('',#59800,.T.); #37115=FACE_OUTER_BOUND('',#59801,.T.); #37116=FACE_OUTER_BOUND('',#59802,.T.); #37117=FACE_OUTER_BOUND('',#59803,.T.); #37118=FACE_OUTER_BOUND('',#59804,.T.); #37119=FACE_OUTER_BOUND('',#59805,.T.); #37120=FACE_OUTER_BOUND('',#59806,.T.); #37121=FACE_OUTER_BOUND('',#59807,.T.); #37122=FACE_OUTER_BOUND('',#59808,.T.); #37123=FACE_OUTER_BOUND('',#59809,.T.); #37124=FACE_OUTER_BOUND('',#59810,.T.); #37125=FACE_OUTER_BOUND('',#59811,.T.); #37126=FACE_OUTER_BOUND('',#59812,.T.); #37127=FACE_OUTER_BOUND('',#59813,.T.); #37128=FACE_OUTER_BOUND('',#59814,.T.); #37129=FACE_OUTER_BOUND('',#59815,.T.); #37130=FACE_OUTER_BOUND('',#59816,.T.); #37131=FACE_OUTER_BOUND('',#59817,.T.); #37132=FACE_OUTER_BOUND('',#59818,.T.); #37133=FACE_OUTER_BOUND('',#59819,.T.); #37134=FACE_OUTER_BOUND('',#59820,.T.); #37135=FACE_OUTER_BOUND('',#59821,.T.); #37136=FACE_OUTER_BOUND('',#59822,.T.); #37137=FACE_OUTER_BOUND('',#59823,.T.); #37138=FACE_OUTER_BOUND('',#59824,.T.); #37139=FACE_OUTER_BOUND('',#59825,.T.); #37140=FACE_OUTER_BOUND('',#59826,.T.); #37141=FACE_OUTER_BOUND('',#59827,.T.); #37142=FACE_OUTER_BOUND('',#59828,.T.); #37143=FACE_OUTER_BOUND('',#59829,.T.); #37144=FACE_OUTER_BOUND('',#59830,.T.); #37145=FACE_OUTER_BOUND('',#59831,.T.); #37146=FACE_OUTER_BOUND('',#59832,.T.); #37147=FACE_OUTER_BOUND('',#59833,.T.); #37148=FACE_OUTER_BOUND('',#59834,.T.); #37149=FACE_OUTER_BOUND('',#59835,.T.); #37150=FACE_OUTER_BOUND('',#59836,.T.); #37151=FACE_OUTER_BOUND('',#59837,.T.); #37152=FACE_OUTER_BOUND('',#59838,.T.); #37153=FACE_OUTER_BOUND('',#59839,.T.); #37154=FACE_OUTER_BOUND('',#59840,.T.); #37155=FACE_OUTER_BOUND('',#59841,.T.); #37156=FACE_OUTER_BOUND('',#59842,.T.); #37157=FACE_OUTER_BOUND('',#59843,.T.); #37158=FACE_OUTER_BOUND('',#59844,.T.); #37159=FACE_OUTER_BOUND('',#59845,.T.); #37160=FACE_OUTER_BOUND('',#59846,.T.); #37161=FACE_OUTER_BOUND('',#59847,.T.); #37162=FACE_OUTER_BOUND('',#59848,.T.); #37163=FACE_OUTER_BOUND('',#59849,.T.); #37164=FACE_OUTER_BOUND('',#59850,.T.); #37165=FACE_OUTER_BOUND('',#59851,.T.); #37166=FACE_OUTER_BOUND('',#59852,.T.); #37167=FACE_OUTER_BOUND('',#59853,.T.); #37168=FACE_OUTER_BOUND('',#59854,.T.); #37169=FACE_OUTER_BOUND('',#59855,.T.); #37170=FACE_OUTER_BOUND('',#59856,.T.); #37171=FACE_OUTER_BOUND('',#59857,.T.); #37172=FACE_OUTER_BOUND('',#59858,.T.); #37173=FACE_OUTER_BOUND('',#59859,.T.); #37174=FACE_OUTER_BOUND('',#59860,.T.); #37175=FACE_OUTER_BOUND('',#59861,.T.); #37176=FACE_OUTER_BOUND('',#59862,.T.); #37177=FACE_OUTER_BOUND('',#59863,.T.); #37178=FACE_OUTER_BOUND('',#59864,.T.); #37179=FACE_OUTER_BOUND('',#59865,.T.); #37180=FACE_OUTER_BOUND('',#59866,.T.); #37181=FACE_OUTER_BOUND('',#59867,.T.); #37182=FACE_OUTER_BOUND('',#59868,.T.); #37183=FACE_OUTER_BOUND('',#59869,.T.); #37184=FACE_OUTER_BOUND('',#59870,.T.); #37185=FACE_OUTER_BOUND('',#59871,.T.); #37186=FACE_OUTER_BOUND('',#59872,.T.); #37187=FACE_OUTER_BOUND('',#59873,.T.); #37188=FACE_OUTER_BOUND('',#59874,.T.); #37189=FACE_OUTER_BOUND('',#59875,.T.); #37190=FACE_OUTER_BOUND('',#59876,.T.); #37191=FACE_OUTER_BOUND('',#59878,.T.); #37192=FACE_OUTER_BOUND('',#59879,.T.); #37193=FACE_OUTER_BOUND('',#59880,.T.); #37194=FACE_OUTER_BOUND('',#59881,.T.); #37195=FACE_OUTER_BOUND('',#59882,.T.); #37196=FACE_OUTER_BOUND('',#59883,.T.); #37197=FACE_OUTER_BOUND('',#59884,.T.); #37198=FACE_OUTER_BOUND('',#59885,.T.); #37199=FACE_OUTER_BOUND('',#59886,.T.); #37200=FACE_OUTER_BOUND('',#59887,.T.); #37201=FACE_OUTER_BOUND('',#59888,.T.); #37202=FACE_OUTER_BOUND('',#59889,.T.); #37203=FACE_OUTER_BOUND('',#59890,.T.); #37204=FACE_OUTER_BOUND('',#59891,.T.); #37205=FACE_OUTER_BOUND('',#59892,.T.); #37206=FACE_OUTER_BOUND('',#59893,.T.); #37207=FACE_OUTER_BOUND('',#59894,.T.); #37208=FACE_OUTER_BOUND('',#59895,.T.); #37209=FACE_OUTER_BOUND('',#59896,.T.); #37210=FACE_OUTER_BOUND('',#59897,.T.); #37211=FACE_OUTER_BOUND('',#59898,.T.); #37212=FACE_OUTER_BOUND('',#59899,.T.); #37213=FACE_OUTER_BOUND('',#59900,.T.); #37214=FACE_OUTER_BOUND('',#59901,.T.); #37215=FACE_OUTER_BOUND('',#59902,.T.); #37216=FACE_OUTER_BOUND('',#59903,.T.); #37217=FACE_OUTER_BOUND('',#59904,.T.); #37218=FACE_OUTER_BOUND('',#59905,.T.); #37219=FACE_OUTER_BOUND('',#59906,.T.); #37220=FACE_OUTER_BOUND('',#59907,.T.); #37221=FACE_OUTER_BOUND('',#59908,.T.); #37222=FACE_OUTER_BOUND('',#59909,.T.); #37223=FACE_OUTER_BOUND('',#59910,.T.); #37224=FACE_OUTER_BOUND('',#59911,.T.); #37225=FACE_OUTER_BOUND('',#59912,.T.); #37226=FACE_OUTER_BOUND('',#59913,.T.); #37227=FACE_OUTER_BOUND('',#59914,.T.); #37228=FACE_OUTER_BOUND('',#59915,.T.); #37229=FACE_OUTER_BOUND('',#59916,.T.); #37230=FACE_OUTER_BOUND('',#59917,.T.); #37231=FACE_OUTER_BOUND('',#59918,.T.); #37232=FACE_OUTER_BOUND('',#59919,.T.); #37233=FACE_OUTER_BOUND('',#59920,.T.); #37234=FACE_OUTER_BOUND('',#59921,.T.); #37235=FACE_OUTER_BOUND('',#59922,.T.); #37236=FACE_OUTER_BOUND('',#59923,.T.); #37237=FACE_OUTER_BOUND('',#59924,.T.); #37238=FACE_OUTER_BOUND('',#59925,.T.); #37239=FACE_OUTER_BOUND('',#59926,.T.); #37240=FACE_OUTER_BOUND('',#59927,.T.); #37241=FACE_OUTER_BOUND('',#59928,.T.); #37242=FACE_OUTER_BOUND('',#59929,.T.); #37243=FACE_OUTER_BOUND('',#59930,.T.); #37244=FACE_OUTER_BOUND('',#59931,.T.); #37245=FACE_OUTER_BOUND('',#59932,.T.); #37246=FACE_OUTER_BOUND('',#59935,.T.); #37247=FACE_OUTER_BOUND('',#59936,.T.); #37248=FACE_OUTER_BOUND('',#59937,.T.); #37249=FACE_OUTER_BOUND('',#59938,.T.); #37250=FACE_OUTER_BOUND('',#59939,.T.); #37251=FACE_OUTER_BOUND('',#59940,.T.); #37252=FACE_OUTER_BOUND('',#59941,.T.); #37253=FACE_OUTER_BOUND('',#59942,.T.); #37254=FACE_OUTER_BOUND('',#59943,.T.); #37255=FACE_OUTER_BOUND('',#59944,.T.); #37256=FACE_OUTER_BOUND('',#59945,.T.); #37257=FACE_OUTER_BOUND('',#59946,.T.); #37258=FACE_OUTER_BOUND('',#59947,.T.); #37259=FACE_OUTER_BOUND('',#59948,.T.); #37260=FACE_OUTER_BOUND('',#59949,.T.); #37261=FACE_OUTER_BOUND('',#59950,.T.); #37262=FACE_OUTER_BOUND('',#59951,.T.); #37263=FACE_OUTER_BOUND('',#59952,.T.); #37264=FACE_OUTER_BOUND('',#59953,.T.); #37265=FACE_OUTER_BOUND('',#59954,.T.); #37266=FACE_OUTER_BOUND('',#59955,.T.); #37267=FACE_OUTER_BOUND('',#59956,.T.); #37268=FACE_OUTER_BOUND('',#59957,.T.); #37269=FACE_OUTER_BOUND('',#59958,.T.); #37270=FACE_OUTER_BOUND('',#59959,.T.); #37271=FACE_OUTER_BOUND('',#59960,.T.); #37272=FACE_OUTER_BOUND('',#59961,.T.); #37273=FACE_OUTER_BOUND('',#59962,.T.); #37274=FACE_OUTER_BOUND('',#59963,.T.); #37275=FACE_OUTER_BOUND('',#59964,.T.); #37276=FACE_OUTER_BOUND('',#59965,.T.); #37277=FACE_OUTER_BOUND('',#59966,.T.); #37278=FACE_OUTER_BOUND('',#59967,.T.); #37279=FACE_OUTER_BOUND('',#59968,.T.); #37280=FACE_OUTER_BOUND('',#59969,.T.); #37281=FACE_OUTER_BOUND('',#59970,.T.); #37282=FACE_OUTER_BOUND('',#59971,.T.); #37283=FACE_OUTER_BOUND('',#59972,.T.); #37284=FACE_OUTER_BOUND('',#59973,.T.); #37285=FACE_OUTER_BOUND('',#59974,.T.); #37286=FACE_OUTER_BOUND('',#59975,.T.); #37287=FACE_OUTER_BOUND('',#59976,.T.); #37288=FACE_OUTER_BOUND('',#59977,.T.); #37289=FACE_OUTER_BOUND('',#59978,.T.); #37290=FACE_OUTER_BOUND('',#59979,.T.); #37291=FACE_OUTER_BOUND('',#59980,.T.); #37292=FACE_OUTER_BOUND('',#59981,.T.); #37293=FACE_OUTER_BOUND('',#59982,.T.); #37294=FACE_OUTER_BOUND('',#59983,.T.); #37295=FACE_OUTER_BOUND('',#59984,.T.); #37296=FACE_OUTER_BOUND('',#59985,.T.); #37297=FACE_OUTER_BOUND('',#59986,.T.); #37298=FACE_OUTER_BOUND('',#59987,.T.); #37299=FACE_OUTER_BOUND('',#59988,.T.); #37300=FACE_OUTER_BOUND('',#59989,.T.); #37301=FACE_OUTER_BOUND('',#59990,.T.); #37302=FACE_OUTER_BOUND('',#59991,.T.); #37303=FACE_OUTER_BOUND('',#59992,.T.); #37304=FACE_OUTER_BOUND('',#59993,.T.); #37305=FACE_OUTER_BOUND('',#59994,.T.); #37306=FACE_OUTER_BOUND('',#59995,.T.); #37307=FACE_OUTER_BOUND('',#59996,.T.); #37308=FACE_OUTER_BOUND('',#59997,.T.); #37309=FACE_OUTER_BOUND('',#59998,.T.); #37310=FACE_OUTER_BOUND('',#59999,.T.); #37311=FACE_OUTER_BOUND('',#60000,.T.); #37312=FACE_OUTER_BOUND('',#60001,.T.); #37313=FACE_OUTER_BOUND('',#60002,.T.); #37314=FACE_OUTER_BOUND('',#60003,.T.); #37315=FACE_OUTER_BOUND('',#60004,.T.); #37316=FACE_OUTER_BOUND('',#60005,.T.); #37317=FACE_OUTER_BOUND('',#60006,.T.); #37318=FACE_OUTER_BOUND('',#60007,.T.); #37319=FACE_OUTER_BOUND('',#60008,.T.); #37320=FACE_OUTER_BOUND('',#60009,.T.); #37321=FACE_OUTER_BOUND('',#60010,.T.); #37322=FACE_OUTER_BOUND('',#60011,.T.); #37323=FACE_OUTER_BOUND('',#60012,.T.); #37324=FACE_OUTER_BOUND('',#60013,.T.); #37325=FACE_OUTER_BOUND('',#60014,.T.); #37326=FACE_OUTER_BOUND('',#60015,.T.); #37327=FACE_OUTER_BOUND('',#60016,.T.); #37328=FACE_OUTER_BOUND('',#60017,.T.); #37329=FACE_OUTER_BOUND('',#60018,.T.); #37330=FACE_OUTER_BOUND('',#60019,.T.); #37331=FACE_OUTER_BOUND('',#60020,.T.); #37332=FACE_OUTER_BOUND('',#60021,.T.); #37333=FACE_OUTER_BOUND('',#60022,.T.); #37334=FACE_OUTER_BOUND('',#60023,.T.); #37335=FACE_OUTER_BOUND('',#60024,.T.); #37336=FACE_OUTER_BOUND('',#60025,.T.); #37337=FACE_OUTER_BOUND('',#60026,.T.); #37338=FACE_OUTER_BOUND('',#60027,.T.); #37339=FACE_OUTER_BOUND('',#60028,.T.); #37340=FACE_OUTER_BOUND('',#60029,.T.); #37341=FACE_OUTER_BOUND('',#60030,.T.); #37342=FACE_OUTER_BOUND('',#60031,.T.); #37343=FACE_OUTER_BOUND('',#60032,.T.); #37344=FACE_OUTER_BOUND('',#60033,.T.); #37345=FACE_OUTER_BOUND('',#60034,.T.); #37346=FACE_OUTER_BOUND('',#60035,.T.); #37347=FACE_OUTER_BOUND('',#60036,.T.); #37348=FACE_OUTER_BOUND('',#60037,.T.); #37349=FACE_OUTER_BOUND('',#60038,.T.); #37350=FACE_OUTER_BOUND('',#60039,.T.); #37351=FACE_OUTER_BOUND('',#60040,.T.); #37352=FACE_OUTER_BOUND('',#60041,.T.); #37353=FACE_OUTER_BOUND('',#60042,.T.); #37354=FACE_OUTER_BOUND('',#60043,.T.); #37355=FACE_OUTER_BOUND('',#60044,.T.); #37356=FACE_OUTER_BOUND('',#60045,.T.); #37357=FACE_OUTER_BOUND('',#60046,.T.); #37358=FACE_OUTER_BOUND('',#60047,.T.); #37359=FACE_OUTER_BOUND('',#60048,.T.); #37360=FACE_OUTER_BOUND('',#60049,.T.); #37361=FACE_OUTER_BOUND('',#60050,.T.); #37362=FACE_OUTER_BOUND('',#60051,.T.); #37363=FACE_OUTER_BOUND('',#60052,.T.); #37364=FACE_OUTER_BOUND('',#60053,.T.); #37365=FACE_OUTER_BOUND('',#60054,.T.); #37366=FACE_OUTER_BOUND('',#60055,.T.); #37367=FACE_OUTER_BOUND('',#60056,.T.); #37368=FACE_OUTER_BOUND('',#60057,.T.); #37369=FACE_OUTER_BOUND('',#60058,.T.); #37370=FACE_OUTER_BOUND('',#60059,.T.); #37371=FACE_OUTER_BOUND('',#60060,.T.); #37372=FACE_OUTER_BOUND('',#60061,.T.); #37373=FACE_OUTER_BOUND('',#60062,.T.); #37374=FACE_OUTER_BOUND('',#60063,.T.); #37375=FACE_OUTER_BOUND('',#60064,.T.); #37376=FACE_OUTER_BOUND('',#60065,.T.); #37377=FACE_OUTER_BOUND('',#60066,.T.); #37378=FACE_OUTER_BOUND('',#60067,.T.); #37379=FACE_OUTER_BOUND('',#60068,.T.); #37380=FACE_OUTER_BOUND('',#60069,.T.); #37381=FACE_OUTER_BOUND('',#60070,.T.); #37382=FACE_OUTER_BOUND('',#60071,.T.); #37383=FACE_OUTER_BOUND('',#60072,.T.); #37384=FACE_OUTER_BOUND('',#60073,.T.); #37385=FACE_OUTER_BOUND('',#60074,.T.); #37386=FACE_OUTER_BOUND('',#60075,.T.); #37387=FACE_OUTER_BOUND('',#60076,.T.); #37388=FACE_OUTER_BOUND('',#60077,.T.); #37389=FACE_OUTER_BOUND('',#60078,.T.); #37390=FACE_OUTER_BOUND('',#60079,.T.); #37391=FACE_OUTER_BOUND('',#60080,.T.); #37392=FACE_OUTER_BOUND('',#60081,.T.); #37393=FACE_OUTER_BOUND('',#60082,.T.); #37394=FACE_OUTER_BOUND('',#60083,.T.); #37395=FACE_OUTER_BOUND('',#60084,.T.); #37396=FACE_OUTER_BOUND('',#60085,.T.); #37397=FACE_OUTER_BOUND('',#60086,.T.); #37398=FACE_OUTER_BOUND('',#60087,.T.); #37399=FACE_OUTER_BOUND('',#60088,.T.); #37400=FACE_OUTER_BOUND('',#60089,.T.); #37401=FACE_OUTER_BOUND('',#60090,.T.); #37402=FACE_OUTER_BOUND('',#60091,.T.); #37403=FACE_OUTER_BOUND('',#60092,.T.); #37404=FACE_OUTER_BOUND('',#60093,.T.); #37405=FACE_OUTER_BOUND('',#60094,.T.); #37406=FACE_OUTER_BOUND('',#60095,.T.); #37407=FACE_OUTER_BOUND('',#60096,.T.); #37408=FACE_OUTER_BOUND('',#60097,.T.); #37409=FACE_OUTER_BOUND('',#60098,.T.); #37410=FACE_OUTER_BOUND('',#60099,.T.); #37411=FACE_OUTER_BOUND('',#60100,.T.); #37412=FACE_OUTER_BOUND('',#60101,.T.); #37413=FACE_OUTER_BOUND('',#60102,.T.); #37414=FACE_OUTER_BOUND('',#60103,.T.); #37415=FACE_OUTER_BOUND('',#60104,.T.); #37416=FACE_OUTER_BOUND('',#60105,.T.); #37417=FACE_OUTER_BOUND('',#60106,.T.); #37418=FACE_OUTER_BOUND('',#60107,.T.); #37419=FACE_OUTER_BOUND('',#60108,.T.); #37420=FACE_OUTER_BOUND('',#60109,.T.); #37421=FACE_OUTER_BOUND('',#60110,.T.); #37422=FACE_OUTER_BOUND('',#60111,.T.); #37423=FACE_OUTER_BOUND('',#60112,.T.); #37424=FACE_OUTER_BOUND('',#60113,.T.); #37425=FACE_OUTER_BOUND('',#60114,.T.); #37426=FACE_OUTER_BOUND('',#60115,.T.); #37427=FACE_OUTER_BOUND('',#60116,.T.); #37428=FACE_OUTER_BOUND('',#60117,.T.); #37429=FACE_OUTER_BOUND('',#60118,.T.); #37430=FACE_OUTER_BOUND('',#60119,.T.); #37431=FACE_OUTER_BOUND('',#60120,.T.); #37432=FACE_OUTER_BOUND('',#60121,.T.); #37433=FACE_OUTER_BOUND('',#60122,.T.); #37434=FACE_OUTER_BOUND('',#60123,.T.); #37435=FACE_OUTER_BOUND('',#60124,.T.); #37436=FACE_OUTER_BOUND('',#60125,.T.); #37437=FACE_OUTER_BOUND('',#60126,.T.); #37438=FACE_OUTER_BOUND('',#60127,.T.); #37439=FACE_OUTER_BOUND('',#60128,.T.); #37440=FACE_OUTER_BOUND('',#60129,.T.); #37441=FACE_OUTER_BOUND('',#60130,.T.); #37442=FACE_OUTER_BOUND('',#60131,.T.); #37443=FACE_OUTER_BOUND('',#60132,.T.); #37444=FACE_OUTER_BOUND('',#60133,.T.); #37445=FACE_OUTER_BOUND('',#60134,.T.); #37446=FACE_OUTER_BOUND('',#60135,.T.); #37447=FACE_OUTER_BOUND('',#60136,.T.); #37448=FACE_OUTER_BOUND('',#60137,.T.); #37449=FACE_OUTER_BOUND('',#60138,.T.); #37450=FACE_OUTER_BOUND('',#60139,.T.); #37451=FACE_OUTER_BOUND('',#60140,.T.); #37452=FACE_OUTER_BOUND('',#60141,.T.); #37453=FACE_OUTER_BOUND('',#60142,.T.); #37454=FACE_OUTER_BOUND('',#60143,.T.); #37455=FACE_OUTER_BOUND('',#60144,.T.); #37456=FACE_OUTER_BOUND('',#60145,.T.); #37457=FACE_OUTER_BOUND('',#60146,.T.); #37458=FACE_OUTER_BOUND('',#60147,.T.); #37459=FACE_OUTER_BOUND('',#60148,.T.); #37460=FACE_OUTER_BOUND('',#60149,.T.); #37461=FACE_OUTER_BOUND('',#60150,.T.); #37462=FACE_OUTER_BOUND('',#60151,.T.); #37463=FACE_OUTER_BOUND('',#60152,.T.); #37464=FACE_OUTER_BOUND('',#60153,.T.); #37465=FACE_OUTER_BOUND('',#60154,.T.); #37466=FACE_OUTER_BOUND('',#60155,.T.); #37467=FACE_OUTER_BOUND('',#60156,.T.); #37468=FACE_OUTER_BOUND('',#60157,.T.); #37469=FACE_OUTER_BOUND('',#60158,.T.); #37470=FACE_OUTER_BOUND('',#60159,.T.); #37471=FACE_OUTER_BOUND('',#60160,.T.); #37472=FACE_OUTER_BOUND('',#60161,.T.); #37473=FACE_OUTER_BOUND('',#60162,.T.); #37474=FACE_OUTER_BOUND('',#60163,.T.); #37475=FACE_OUTER_BOUND('',#60164,.T.); #37476=FACE_OUTER_BOUND('',#60165,.T.); #37477=FACE_OUTER_BOUND('',#60166,.T.); #37478=FACE_OUTER_BOUND('',#60167,.T.); #37479=FACE_OUTER_BOUND('',#60168,.T.); #37480=FACE_OUTER_BOUND('',#60169,.T.); #37481=FACE_OUTER_BOUND('',#60170,.T.); #37482=FACE_OUTER_BOUND('',#60171,.T.); #37483=FACE_OUTER_BOUND('',#60172,.T.); #37484=FACE_OUTER_BOUND('',#60173,.T.); #37485=FACE_OUTER_BOUND('',#60174,.T.); #37486=FACE_OUTER_BOUND('',#60175,.T.); #37487=FACE_OUTER_BOUND('',#60176,.T.); #37488=FACE_OUTER_BOUND('',#60177,.T.); #37489=FACE_OUTER_BOUND('',#60178,.T.); #37490=FACE_OUTER_BOUND('',#60179,.T.); #37491=FACE_OUTER_BOUND('',#60180,.T.); #37492=FACE_OUTER_BOUND('',#60181,.T.); #37493=FACE_OUTER_BOUND('',#60182,.T.); #37494=FACE_OUTER_BOUND('',#60183,.T.); #37495=FACE_OUTER_BOUND('',#60184,.T.); #37496=FACE_OUTER_BOUND('',#60185,.T.); #37497=FACE_OUTER_BOUND('',#60186,.T.); #37498=FACE_OUTER_BOUND('',#60187,.T.); #37499=FACE_OUTER_BOUND('',#60188,.T.); #37500=FACE_OUTER_BOUND('',#60189,.T.); #37501=FACE_OUTER_BOUND('',#60190,.T.); #37502=FACE_OUTER_BOUND('',#60191,.T.); #37503=FACE_OUTER_BOUND('',#60192,.T.); #37504=FACE_OUTER_BOUND('',#60193,.T.); #37505=FACE_OUTER_BOUND('',#60194,.T.); #37506=FACE_OUTER_BOUND('',#60195,.T.); #37507=FACE_OUTER_BOUND('',#60196,.T.); #37508=FACE_OUTER_BOUND('',#60197,.T.); #37509=FACE_OUTER_BOUND('',#60198,.T.); #37510=FACE_OUTER_BOUND('',#60199,.T.); #37511=FACE_OUTER_BOUND('',#60200,.T.); #37512=FACE_OUTER_BOUND('',#60201,.T.); #37513=FACE_OUTER_BOUND('',#60202,.T.); #37514=FACE_OUTER_BOUND('',#60203,.T.); #37515=FACE_OUTER_BOUND('',#60204,.T.); #37516=FACE_OUTER_BOUND('',#60205,.T.); #37517=FACE_OUTER_BOUND('',#60206,.T.); #37518=FACE_OUTER_BOUND('',#60207,.T.); #37519=FACE_OUTER_BOUND('',#60208,.T.); #37520=FACE_OUTER_BOUND('',#60209,.T.); #37521=FACE_OUTER_BOUND('',#60210,.T.); #37522=FACE_OUTER_BOUND('',#60211,.T.); #37523=FACE_OUTER_BOUND('',#60212,.T.); #37524=FACE_OUTER_BOUND('',#60213,.T.); #37525=FACE_OUTER_BOUND('',#60214,.T.); #37526=FACE_OUTER_BOUND('',#60215,.T.); #37527=FACE_OUTER_BOUND('',#60216,.T.); #37528=FACE_OUTER_BOUND('',#60217,.T.); #37529=FACE_OUTER_BOUND('',#60218,.T.); #37530=FACE_OUTER_BOUND('',#60219,.T.); #37531=FACE_OUTER_BOUND('',#60220,.T.); #37532=FACE_OUTER_BOUND('',#60221,.T.); #37533=FACE_OUTER_BOUND('',#60222,.T.); #37534=FACE_OUTER_BOUND('',#60223,.T.); #37535=FACE_OUTER_BOUND('',#60224,.T.); #37536=FACE_OUTER_BOUND('',#60225,.T.); #37537=FACE_OUTER_BOUND('',#60226,.T.); #37538=FACE_OUTER_BOUND('',#60227,.T.); #37539=FACE_OUTER_BOUND('',#60228,.T.); #37540=FACE_OUTER_BOUND('',#60229,.T.); #37541=FACE_OUTER_BOUND('',#60230,.T.); #37542=FACE_OUTER_BOUND('',#60231,.T.); #37543=FACE_OUTER_BOUND('',#60232,.T.); #37544=FACE_OUTER_BOUND('',#60233,.T.); #37545=FACE_OUTER_BOUND('',#60234,.T.); #37546=FACE_OUTER_BOUND('',#60235,.T.); #37547=FACE_OUTER_BOUND('',#60236,.T.); #37548=FACE_OUTER_BOUND('',#60237,.T.); #37549=FACE_OUTER_BOUND('',#60238,.T.); #37550=FACE_OUTER_BOUND('',#60239,.T.); #37551=FACE_OUTER_BOUND('',#60240,.T.); #37552=FACE_OUTER_BOUND('',#60241,.T.); #37553=FACE_OUTER_BOUND('',#60242,.T.); #37554=FACE_OUTER_BOUND('',#60243,.T.); #37555=FACE_OUTER_BOUND('',#60244,.T.); #37556=FACE_OUTER_BOUND('',#60245,.T.); #37557=FACE_OUTER_BOUND('',#60246,.T.); #37558=FACE_OUTER_BOUND('',#60247,.T.); #37559=FACE_OUTER_BOUND('',#60248,.T.); #37560=FACE_OUTER_BOUND('',#60249,.T.); #37561=FACE_OUTER_BOUND('',#60250,.T.); #37562=FACE_OUTER_BOUND('',#60251,.T.); #37563=FACE_OUTER_BOUND('',#60252,.T.); #37564=FACE_OUTER_BOUND('',#60253,.T.); #37565=FACE_OUTER_BOUND('',#60254,.T.); #37566=FACE_OUTER_BOUND('',#60255,.T.); #37567=FACE_OUTER_BOUND('',#60256,.T.); #37568=FACE_OUTER_BOUND('',#60257,.T.); #37569=FACE_OUTER_BOUND('',#60258,.T.); #37570=FACE_OUTER_BOUND('',#60259,.T.); #37571=FACE_OUTER_BOUND('',#60260,.T.); #37572=FACE_OUTER_BOUND('',#60261,.T.); #37573=FACE_OUTER_BOUND('',#60262,.T.); #37574=FACE_OUTER_BOUND('',#60263,.T.); #37575=FACE_OUTER_BOUND('',#60264,.T.); #37576=FACE_OUTER_BOUND('',#60265,.T.); #37577=FACE_OUTER_BOUND('',#60266,.T.); #37578=FACE_OUTER_BOUND('',#60267,.T.); #37579=FACE_OUTER_BOUND('',#60268,.T.); #37580=FACE_OUTER_BOUND('',#60269,.T.); #37581=FACE_OUTER_BOUND('',#60270,.T.); #37582=FACE_OUTER_BOUND('',#60271,.T.); #37583=FACE_OUTER_BOUND('',#60272,.T.); #37584=FACE_OUTER_BOUND('',#60273,.T.); #37585=FACE_OUTER_BOUND('',#60274,.T.); #37586=FACE_OUTER_BOUND('',#60275,.T.); #37587=FACE_OUTER_BOUND('',#60276,.T.); #37588=FACE_OUTER_BOUND('',#60277,.T.); #37589=FACE_OUTER_BOUND('',#60278,.T.); #37590=FACE_OUTER_BOUND('',#60279,.T.); #37591=FACE_OUTER_BOUND('',#60280,.T.); #37592=FACE_OUTER_BOUND('',#60281,.T.); #37593=FACE_OUTER_BOUND('',#60282,.T.); #37594=FACE_OUTER_BOUND('',#60283,.T.); #37595=FACE_OUTER_BOUND('',#60284,.T.); #37596=FACE_OUTER_BOUND('',#60285,.T.); #37597=FACE_OUTER_BOUND('',#60286,.T.); #37598=FACE_OUTER_BOUND('',#60287,.T.); #37599=FACE_OUTER_BOUND('',#60288,.T.); #37600=FACE_OUTER_BOUND('',#60289,.T.); #37601=FACE_OUTER_BOUND('',#60290,.T.); #37602=FACE_OUTER_BOUND('',#60291,.T.); #37603=FACE_OUTER_BOUND('',#60292,.T.); #37604=FACE_OUTER_BOUND('',#60293,.T.); #37605=FACE_OUTER_BOUND('',#60294,.T.); #37606=FACE_OUTER_BOUND('',#60295,.T.); #37607=FACE_OUTER_BOUND('',#60296,.T.); #37608=FACE_OUTER_BOUND('',#60297,.T.); #37609=FACE_OUTER_BOUND('',#60298,.T.); #37610=FACE_OUTER_BOUND('',#60299,.T.); #37611=FACE_OUTER_BOUND('',#60300,.T.); #37612=FACE_OUTER_BOUND('',#60301,.T.); #37613=FACE_OUTER_BOUND('',#60302,.T.); #37614=FACE_OUTER_BOUND('',#60303,.T.); #37615=FACE_OUTER_BOUND('',#60304,.T.); #37616=FACE_OUTER_BOUND('',#60305,.T.); #37617=FACE_OUTER_BOUND('',#60306,.T.); #37618=FACE_OUTER_BOUND('',#60307,.T.); #37619=FACE_OUTER_BOUND('',#60308,.T.); #37620=FACE_OUTER_BOUND('',#60309,.T.); #37621=FACE_OUTER_BOUND('',#60310,.T.); #37622=FACE_OUTER_BOUND('',#60311,.T.); #37623=FACE_OUTER_BOUND('',#60312,.T.); #37624=FACE_OUTER_BOUND('',#60313,.T.); #37625=FACE_OUTER_BOUND('',#60314,.T.); #37626=FACE_OUTER_BOUND('',#60315,.T.); #37627=FACE_OUTER_BOUND('',#60316,.T.); #37628=FACE_OUTER_BOUND('',#60317,.T.); #37629=FACE_OUTER_BOUND('',#60318,.T.); #37630=FACE_OUTER_BOUND('',#60319,.T.); #37631=FACE_OUTER_BOUND('',#60320,.T.); #37632=FACE_OUTER_BOUND('',#60321,.T.); #37633=FACE_OUTER_BOUND('',#60322,.T.); #37634=FACE_OUTER_BOUND('',#60323,.T.); #37635=FACE_OUTER_BOUND('',#60324,.T.); #37636=FACE_OUTER_BOUND('',#60325,.T.); #37637=FACE_OUTER_BOUND('',#60326,.T.); #37638=FACE_OUTER_BOUND('',#60327,.T.); #37639=FACE_OUTER_BOUND('',#60328,.T.); #37640=FACE_OUTER_BOUND('',#60329,.T.); #37641=FACE_OUTER_BOUND('',#60330,.T.); #37642=FACE_OUTER_BOUND('',#60331,.T.); #37643=FACE_OUTER_BOUND('',#60332,.T.); #37644=FACE_OUTER_BOUND('',#60333,.T.); #37645=FACE_OUTER_BOUND('',#60334,.T.); #37646=FACE_OUTER_BOUND('',#60335,.T.); #37647=FACE_OUTER_BOUND('',#60336,.T.); #37648=FACE_OUTER_BOUND('',#60337,.T.); #37649=FACE_OUTER_BOUND('',#60338,.T.); #37650=FACE_OUTER_BOUND('',#60339,.T.); #37651=FACE_OUTER_BOUND('',#60340,.T.); #37652=FACE_OUTER_BOUND('',#60341,.T.); #37653=FACE_OUTER_BOUND('',#60342,.T.); #37654=FACE_OUTER_BOUND('',#60343,.T.); #37655=FACE_OUTER_BOUND('',#60344,.T.); #37656=FACE_OUTER_BOUND('',#60345,.T.); #37657=FACE_OUTER_BOUND('',#60346,.T.); #37658=FACE_OUTER_BOUND('',#60347,.T.); #37659=FACE_OUTER_BOUND('',#60348,.T.); #37660=FACE_OUTER_BOUND('',#60349,.T.); #37661=FACE_OUTER_BOUND('',#60350,.T.); #37662=FACE_OUTER_BOUND('',#60352,.T.); #37663=FACE_OUTER_BOUND('',#60354,.T.); #37664=FACE_OUTER_BOUND('',#60355,.T.); #37665=FACE_OUTER_BOUND('',#60356,.T.); #37666=FACE_OUTER_BOUND('',#60357,.T.); #37667=FACE_OUTER_BOUND('',#60358,.T.); #37668=FACE_OUTER_BOUND('',#60361,.T.); #37669=FACE_OUTER_BOUND('',#60363,.T.); #37670=FACE_OUTER_BOUND('',#60364,.T.); #37671=FACE_OUTER_BOUND('',#60366,.T.); #37672=FACE_OUTER_BOUND('',#60367,.T.); #37673=FACE_OUTER_BOUND('',#60368,.T.); #37674=FACE_OUTER_BOUND('',#60369,.T.); #37675=FACE_OUTER_BOUND('',#60370,.T.); #37676=FACE_OUTER_BOUND('',#60371,.T.); #37677=FACE_OUTER_BOUND('',#60372,.T.); #37678=FACE_OUTER_BOUND('',#60374,.T.); #37679=FACE_OUTER_BOUND('',#60375,.T.); #37680=FACE_OUTER_BOUND('',#60376,.T.); #37681=FACE_OUTER_BOUND('',#60377,.T.); #37682=FACE_OUTER_BOUND('',#60378,.T.); #37683=FACE_OUTER_BOUND('',#60379,.T.); #37684=FACE_OUTER_BOUND('',#60381,.T.); #37685=FACE_OUTER_BOUND('',#60382,.T.); #37686=FACE_OUTER_BOUND('',#60384,.T.); #37687=FACE_OUTER_BOUND('',#60385,.T.); #37688=FACE_OUTER_BOUND('',#60386,.T.); #37689=FACE_OUTER_BOUND('',#60387,.T.); #37690=FACE_OUTER_BOUND('',#60388,.T.); #37691=FACE_OUTER_BOUND('',#60389,.T.); #37692=FACE_OUTER_BOUND('',#60390,.T.); #37693=FACE_OUTER_BOUND('',#60391,.T.); #37694=FACE_OUTER_BOUND('',#60392,.T.); #37695=FACE_OUTER_BOUND('',#60393,.T.); #37696=FACE_OUTER_BOUND('',#60394,.T.); #37697=FACE_OUTER_BOUND('',#60395,.T.); #37698=FACE_OUTER_BOUND('',#60396,.T.); #37699=FACE_OUTER_BOUND('',#60397,.T.); #37700=FACE_OUTER_BOUND('',#60398,.T.); #37701=FACE_OUTER_BOUND('',#60400,.T.); #37702=FACE_OUTER_BOUND('',#60401,.T.); #37703=FACE_OUTER_BOUND('',#60404,.T.); #37704=FACE_OUTER_BOUND('',#60405,.T.); #37705=FACE_OUTER_BOUND('',#60406,.T.); #37706=FACE_OUTER_BOUND('',#60407,.T.); #37707=FACE_OUTER_BOUND('',#60408,.T.); #37708=FACE_OUTER_BOUND('',#60409,.T.); #37709=FACE_OUTER_BOUND('',#60410,.T.); #37710=FACE_OUTER_BOUND('',#60411,.T.); #37711=FACE_OUTER_BOUND('',#60412,.T.); #37712=FACE_OUTER_BOUND('',#60413,.T.); #37713=FACE_OUTER_BOUND('',#60414,.T.); #37714=FACE_OUTER_BOUND('',#60415,.T.); #37715=FACE_OUTER_BOUND('',#60416,.T.); #37716=FACE_OUTER_BOUND('',#60417,.T.); #37717=FACE_OUTER_BOUND('',#60418,.T.); #37718=FACE_OUTER_BOUND('',#60419,.T.); #37719=FACE_OUTER_BOUND('',#60420,.T.); #37720=FACE_OUTER_BOUND('',#60421,.T.); #37721=FACE_OUTER_BOUND('',#60422,.T.); #37722=FACE_OUTER_BOUND('',#60423,.T.); #37723=FACE_OUTER_BOUND('',#60424,.T.); #37724=FACE_OUTER_BOUND('',#60425,.T.); #37725=FACE_OUTER_BOUND('',#60426,.T.); #37726=FACE_OUTER_BOUND('',#60427,.T.); #37727=FACE_OUTER_BOUND('',#60428,.T.); #37728=FACE_OUTER_BOUND('',#60429,.T.); #37729=FACE_OUTER_BOUND('',#60430,.T.); #37730=FACE_OUTER_BOUND('',#60431,.T.); #37731=FACE_OUTER_BOUND('',#60432,.T.); #37732=FACE_OUTER_BOUND('',#60433,.T.); #37733=FACE_OUTER_BOUND('',#60434,.T.); #37734=FACE_OUTER_BOUND('',#60435,.T.); #37735=FACE_OUTER_BOUND('',#60436,.T.); #37736=FACE_OUTER_BOUND('',#60437,.T.); #37737=FACE_OUTER_BOUND('',#60438,.T.); #37738=FACE_OUTER_BOUND('',#60439,.T.); #37739=FACE_OUTER_BOUND('',#60440,.T.); #37740=FACE_OUTER_BOUND('',#60441,.T.); #37741=FACE_OUTER_BOUND('',#60442,.T.); #37742=FACE_OUTER_BOUND('',#60443,.T.); #37743=FACE_OUTER_BOUND('',#60444,.T.); #37744=FACE_OUTER_BOUND('',#60445,.T.); #37745=FACE_OUTER_BOUND('',#60446,.T.); #37746=FACE_OUTER_BOUND('',#60447,.T.); #37747=FACE_OUTER_BOUND('',#60448,.T.); #37748=FACE_OUTER_BOUND('',#60449,.T.); #37749=FACE_OUTER_BOUND('',#60450,.T.); #37750=FACE_OUTER_BOUND('',#60451,.T.); #37751=FACE_OUTER_BOUND('',#60452,.T.); #37752=FACE_OUTER_BOUND('',#60453,.T.); #37753=FACE_OUTER_BOUND('',#60454,.T.); #37754=FACE_OUTER_BOUND('',#60455,.T.); #37755=FACE_OUTER_BOUND('',#60456,.T.); #37756=FACE_OUTER_BOUND('',#60457,.T.); #37757=FACE_OUTER_BOUND('',#60458,.T.); #37758=FACE_OUTER_BOUND('',#60459,.T.); #37759=FACE_OUTER_BOUND('',#60460,.T.); #37760=FACE_OUTER_BOUND('',#60461,.T.); #37761=FACE_OUTER_BOUND('',#60462,.T.); #37762=FACE_OUTER_BOUND('',#60463,.T.); #37763=FACE_OUTER_BOUND('',#60464,.T.); #37764=FACE_OUTER_BOUND('',#60465,.T.); #37765=FACE_OUTER_BOUND('',#60466,.T.); #37766=FACE_OUTER_BOUND('',#60467,.T.); #37767=FACE_OUTER_BOUND('',#60468,.T.); #37768=FACE_OUTER_BOUND('',#60469,.T.); #37769=FACE_OUTER_BOUND('',#60470,.T.); #37770=FACE_OUTER_BOUND('',#60471,.T.); #37771=FACE_OUTER_BOUND('',#60472,.T.); #37772=FACE_OUTER_BOUND('',#60473,.T.); #37773=FACE_OUTER_BOUND('',#60474,.T.); #37774=FACE_OUTER_BOUND('',#60475,.T.); #37775=FACE_OUTER_BOUND('',#60476,.T.); #37776=FACE_OUTER_BOUND('',#60477,.T.); #37777=FACE_OUTER_BOUND('',#60478,.T.); #37778=FACE_OUTER_BOUND('',#60479,.T.); #37779=FACE_OUTER_BOUND('',#60480,.T.); #37780=FACE_OUTER_BOUND('',#60481,.T.); #37781=FACE_OUTER_BOUND('',#60482,.T.); #37782=FACE_OUTER_BOUND('',#60483,.T.); #37783=FACE_OUTER_BOUND('',#60484,.T.); #37784=FACE_OUTER_BOUND('',#60485,.T.); #37785=FACE_OUTER_BOUND('',#60486,.T.); #37786=FACE_OUTER_BOUND('',#60487,.T.); #37787=FACE_OUTER_BOUND('',#60488,.T.); #37788=FACE_OUTER_BOUND('',#60489,.T.); #37789=FACE_OUTER_BOUND('',#60490,.T.); #37790=FACE_OUTER_BOUND('',#60491,.T.); #37791=FACE_OUTER_BOUND('',#60492,.T.); #37792=FACE_OUTER_BOUND('',#60493,.T.); #37793=FACE_OUTER_BOUND('',#60494,.T.); #37794=FACE_OUTER_BOUND('',#60495,.T.); #37795=FACE_OUTER_BOUND('',#60496,.T.); #37796=FACE_OUTER_BOUND('',#60497,.T.); #37797=FACE_OUTER_BOUND('',#60498,.T.); #37798=FACE_OUTER_BOUND('',#60499,.T.); #37799=FACE_OUTER_BOUND('',#60500,.T.); #37800=FACE_OUTER_BOUND('',#60501,.T.); #37801=FACE_OUTER_BOUND('',#60502,.T.); #37802=FACE_OUTER_BOUND('',#60503,.T.); #37803=FACE_OUTER_BOUND('',#60504,.T.); #37804=FACE_OUTER_BOUND('',#60505,.T.); #37805=FACE_OUTER_BOUND('',#60506,.T.); #37806=FACE_OUTER_BOUND('',#60507,.T.); #37807=FACE_OUTER_BOUND('',#60508,.T.); #37808=FACE_OUTER_BOUND('',#60509,.T.); #37809=FACE_OUTER_BOUND('',#60510,.T.); #37810=FACE_OUTER_BOUND('',#60511,.T.); #37811=FACE_OUTER_BOUND('',#60512,.T.); #37812=FACE_OUTER_BOUND('',#60513,.T.); #37813=FACE_OUTER_BOUND('',#60514,.T.); #37814=FACE_OUTER_BOUND('',#60515,.T.); #37815=FACE_OUTER_BOUND('',#60516,.T.); #37816=FACE_OUTER_BOUND('',#60517,.T.); #37817=FACE_OUTER_BOUND('',#60518,.T.); #37818=FACE_OUTER_BOUND('',#60519,.T.); #37819=FACE_OUTER_BOUND('',#60520,.T.); #37820=FACE_OUTER_BOUND('',#60521,.T.); #37821=FACE_OUTER_BOUND('',#60522,.T.); #37822=FACE_OUTER_BOUND('',#60523,.T.); #37823=FACE_OUTER_BOUND('',#60524,.T.); #37824=FACE_OUTER_BOUND('',#60525,.T.); #37825=FACE_OUTER_BOUND('',#60526,.T.); #37826=FACE_OUTER_BOUND('',#60527,.T.); #37827=FACE_OUTER_BOUND('',#60528,.T.); #37828=FACE_OUTER_BOUND('',#60529,.T.); #37829=FACE_OUTER_BOUND('',#60530,.T.); #37830=FACE_OUTER_BOUND('',#60531,.T.); #37831=FACE_OUTER_BOUND('',#60532,.T.); #37832=FACE_OUTER_BOUND('',#60533,.T.); #37833=FACE_OUTER_BOUND('',#60534,.T.); #37834=FACE_OUTER_BOUND('',#60535,.T.); #37835=FACE_OUTER_BOUND('',#60536,.T.); #37836=FACE_OUTER_BOUND('',#60537,.T.); #37837=FACE_OUTER_BOUND('',#60538,.T.); #37838=FACE_OUTER_BOUND('',#60539,.T.); #37839=FACE_OUTER_BOUND('',#60540,.T.); #37840=FACE_OUTER_BOUND('',#60541,.T.); #37841=FACE_OUTER_BOUND('',#60542,.T.); #37842=FACE_OUTER_BOUND('',#60543,.T.); #37843=FACE_OUTER_BOUND('',#60544,.T.); #37844=FACE_OUTER_BOUND('',#60545,.T.); #37845=FACE_OUTER_BOUND('',#60546,.T.); #37846=FACE_OUTER_BOUND('',#60547,.T.); #37847=FACE_OUTER_BOUND('',#60548,.T.); #37848=FACE_OUTER_BOUND('',#60549,.T.); #37849=FACE_OUTER_BOUND('',#60550,.T.); #37850=FACE_OUTER_BOUND('',#60551,.T.); #37851=FACE_OUTER_BOUND('',#60552,.T.); #37852=FACE_OUTER_BOUND('',#60553,.T.); #37853=FACE_OUTER_BOUND('',#60554,.T.); #37854=FACE_OUTER_BOUND('',#60555,.T.); #37855=FACE_OUTER_BOUND('',#60556,.T.); #37856=FACE_OUTER_BOUND('',#60557,.T.); #37857=FACE_OUTER_BOUND('',#60558,.T.); #37858=FACE_OUTER_BOUND('',#60559,.T.); #37859=FACE_OUTER_BOUND('',#60560,.T.); #37860=FACE_OUTER_BOUND('',#60561,.T.); #37861=FACE_OUTER_BOUND('',#60562,.T.); #37862=FACE_OUTER_BOUND('',#60563,.T.); #37863=FACE_OUTER_BOUND('',#60564,.T.); #37864=FACE_OUTER_BOUND('',#60565,.T.); #37865=FACE_OUTER_BOUND('',#60566,.T.); #37866=FACE_OUTER_BOUND('',#60567,.T.); #37867=FACE_OUTER_BOUND('',#60568,.T.); #37868=FACE_OUTER_BOUND('',#60569,.T.); #37869=FACE_OUTER_BOUND('',#60570,.T.); #37870=FACE_OUTER_BOUND('',#60571,.T.); #37871=FACE_OUTER_BOUND('',#60572,.T.); #37872=FACE_OUTER_BOUND('',#60573,.T.); #37873=FACE_OUTER_BOUND('',#60574,.T.); #37874=FACE_OUTER_BOUND('',#60575,.T.); #37875=FACE_OUTER_BOUND('',#60576,.T.); #37876=FACE_OUTER_BOUND('',#60577,.T.); #37877=FACE_OUTER_BOUND('',#60578,.T.); #37878=FACE_OUTER_BOUND('',#60579,.T.); #37879=FACE_OUTER_BOUND('',#60580,.T.); #37880=FACE_OUTER_BOUND('',#60581,.T.); #37881=FACE_OUTER_BOUND('',#60582,.T.); #37882=FACE_OUTER_BOUND('',#60583,.T.); #37883=FACE_OUTER_BOUND('',#60584,.T.); #37884=FACE_OUTER_BOUND('',#60585,.T.); #37885=FACE_OUTER_BOUND('',#60586,.T.); #37886=FACE_OUTER_BOUND('',#60587,.T.); #37887=FACE_OUTER_BOUND('',#60588,.T.); #37888=FACE_OUTER_BOUND('',#60589,.T.); #37889=FACE_OUTER_BOUND('',#60590,.T.); #37890=FACE_OUTER_BOUND('',#60591,.T.); #37891=FACE_OUTER_BOUND('',#60592,.T.); #37892=FACE_OUTER_BOUND('',#60593,.T.); #37893=FACE_OUTER_BOUND('',#60594,.T.); #37894=FACE_OUTER_BOUND('',#60595,.T.); #37895=FACE_OUTER_BOUND('',#60596,.T.); #37896=FACE_OUTER_BOUND('',#60597,.T.); #37897=FACE_OUTER_BOUND('',#60598,.T.); #37898=FACE_OUTER_BOUND('',#60599,.T.); #37899=FACE_OUTER_BOUND('',#60600,.T.); #37900=FACE_OUTER_BOUND('',#60601,.T.); #37901=FACE_OUTER_BOUND('',#60602,.T.); #37902=FACE_OUTER_BOUND('',#60603,.T.); #37903=FACE_OUTER_BOUND('',#60604,.T.); #37904=FACE_OUTER_BOUND('',#60605,.T.); #37905=FACE_OUTER_BOUND('',#60606,.T.); #37906=FACE_OUTER_BOUND('',#60607,.T.); #37907=FACE_OUTER_BOUND('',#60608,.T.); #37908=FACE_OUTER_BOUND('',#60609,.T.); #37909=FACE_OUTER_BOUND('',#60610,.T.); #37910=FACE_OUTER_BOUND('',#60611,.T.); #37911=FACE_OUTER_BOUND('',#60612,.T.); #37912=FACE_OUTER_BOUND('',#60613,.T.); #37913=FACE_OUTER_BOUND('',#60614,.T.); #37914=FACE_OUTER_BOUND('',#60615,.T.); #37915=FACE_OUTER_BOUND('',#60616,.T.); #37916=FACE_OUTER_BOUND('',#60617,.T.); #37917=FACE_OUTER_BOUND('',#60618,.T.); #37918=FACE_OUTER_BOUND('',#60619,.T.); #37919=FACE_OUTER_BOUND('',#60620,.T.); #37920=FACE_OUTER_BOUND('',#60621,.T.); #37921=FACE_OUTER_BOUND('',#60622,.T.); #37922=FACE_OUTER_BOUND('',#60623,.T.); #37923=FACE_OUTER_BOUND('',#60624,.T.); #37924=FACE_OUTER_BOUND('',#60625,.T.); #37925=FACE_OUTER_BOUND('',#60626,.T.); #37926=FACE_OUTER_BOUND('',#60627,.T.); #37927=FACE_OUTER_BOUND('',#60628,.T.); #37928=FACE_OUTER_BOUND('',#60629,.T.); #37929=FACE_OUTER_BOUND('',#60630,.T.); #37930=FACE_OUTER_BOUND('',#60631,.T.); #37931=FACE_OUTER_BOUND('',#60632,.T.); #37932=FACE_OUTER_BOUND('',#60633,.T.); #37933=FACE_OUTER_BOUND('',#60634,.T.); #37934=FACE_OUTER_BOUND('',#60635,.T.); #37935=FACE_OUTER_BOUND('',#60636,.T.); #37936=FACE_OUTER_BOUND('',#60637,.T.); #37937=FACE_OUTER_BOUND('',#60638,.T.); #37938=FACE_OUTER_BOUND('',#60639,.T.); #37939=FACE_OUTER_BOUND('',#60640,.T.); #37940=FACE_OUTER_BOUND('',#60641,.T.); #37941=FACE_OUTER_BOUND('',#60642,.T.); #37942=FACE_OUTER_BOUND('',#60643,.T.); #37943=FACE_OUTER_BOUND('',#60644,.T.); #37944=FACE_OUTER_BOUND('',#60645,.T.); #37945=FACE_OUTER_BOUND('',#60646,.T.); #37946=FACE_OUTER_BOUND('',#60647,.T.); #37947=FACE_OUTER_BOUND('',#60648,.T.); #37948=FACE_OUTER_BOUND('',#60649,.T.); #37949=FACE_OUTER_BOUND('',#60650,.T.); #37950=FACE_OUTER_BOUND('',#60651,.T.); #37951=FACE_OUTER_BOUND('',#60652,.T.); #37952=FACE_OUTER_BOUND('',#60653,.T.); #37953=FACE_OUTER_BOUND('',#60654,.T.); #37954=FACE_OUTER_BOUND('',#60655,.T.); #37955=FACE_OUTER_BOUND('',#60656,.T.); #37956=FACE_OUTER_BOUND('',#60657,.T.); #37957=FACE_OUTER_BOUND('',#60658,.T.); #37958=FACE_OUTER_BOUND('',#60659,.T.); #37959=FACE_OUTER_BOUND('',#60660,.T.); #37960=FACE_OUTER_BOUND('',#60661,.T.); #37961=FACE_OUTER_BOUND('',#60662,.T.); #37962=FACE_OUTER_BOUND('',#60663,.T.); #37963=FACE_OUTER_BOUND('',#60664,.T.); #37964=FACE_OUTER_BOUND('',#60665,.T.); #37965=FACE_OUTER_BOUND('',#60666,.T.); #37966=FACE_OUTER_BOUND('',#60667,.T.); #37967=FACE_OUTER_BOUND('',#60668,.T.); #37968=FACE_OUTER_BOUND('',#60669,.T.); #37969=FACE_OUTER_BOUND('',#60670,.T.); #37970=FACE_OUTER_BOUND('',#60671,.T.); #37971=FACE_OUTER_BOUND('',#60672,.T.); #37972=FACE_OUTER_BOUND('',#60673,.T.); #37973=FACE_OUTER_BOUND('',#60674,.T.); #37974=FACE_OUTER_BOUND('',#60675,.T.); #37975=FACE_OUTER_BOUND('',#60676,.T.); #37976=FACE_OUTER_BOUND('',#60677,.T.); #37977=FACE_OUTER_BOUND('',#60678,.T.); #37978=FACE_OUTER_BOUND('',#60679,.T.); #37979=FACE_OUTER_BOUND('',#60680,.T.); #37980=FACE_OUTER_BOUND('',#60681,.T.); #37981=FACE_OUTER_BOUND('',#60682,.T.); #37982=FACE_OUTER_BOUND('',#60684,.T.); #37983=FACE_OUTER_BOUND('',#60685,.T.); #37984=FACE_OUTER_BOUND('',#60686,.T.); #37985=FACE_OUTER_BOUND('',#60688,.T.); #37986=FACE_OUTER_BOUND('',#60690,.T.); #37987=FACE_OUTER_BOUND('',#60691,.T.); #37988=FACE_OUTER_BOUND('',#60692,.T.); #37989=FACE_OUTER_BOUND('',#60693,.T.); #37990=FACE_OUTER_BOUND('',#60695,.T.); #37991=FACE_OUTER_BOUND('',#60696,.T.); #37992=FACE_OUTER_BOUND('',#60697,.T.); #37993=FACE_OUTER_BOUND('',#60698,.T.); #37994=FACE_OUTER_BOUND('',#60699,.T.); #37995=FACE_OUTER_BOUND('',#60700,.T.); #37996=FACE_OUTER_BOUND('',#60701,.T.); #37997=FACE_OUTER_BOUND('',#60702,.T.); #37998=FACE_OUTER_BOUND('',#60703,.T.); #37999=FACE_OUTER_BOUND('',#60704,.T.); #38000=FACE_OUTER_BOUND('',#60705,.T.); #38001=FACE_OUTER_BOUND('',#60706,.T.); #38002=FACE_OUTER_BOUND('',#60707,.T.); #38003=FACE_OUTER_BOUND('',#60708,.T.); #38004=FACE_OUTER_BOUND('',#60709,.T.); #38005=FACE_OUTER_BOUND('',#60710,.T.); #38006=FACE_OUTER_BOUND('',#60711,.T.); #38007=FACE_OUTER_BOUND('',#60712,.T.); #38008=FACE_OUTER_BOUND('',#60713,.T.); #38009=FACE_OUTER_BOUND('',#60714,.T.); #38010=FACE_OUTER_BOUND('',#60715,.T.); #38011=FACE_OUTER_BOUND('',#60716,.T.); #38012=FACE_OUTER_BOUND('',#60717,.T.); #38013=FACE_OUTER_BOUND('',#60718,.T.); #38014=FACE_OUTER_BOUND('',#60719,.T.); #38015=FACE_OUTER_BOUND('',#60720,.T.); #38016=FACE_OUTER_BOUND('',#60721,.T.); #38017=FACE_OUTER_BOUND('',#60722,.T.); #38018=FACE_OUTER_BOUND('',#60723,.T.); #38019=FACE_OUTER_BOUND('',#60724,.T.); #38020=FACE_OUTER_BOUND('',#60725,.T.); #38021=FACE_OUTER_BOUND('',#60726,.T.); #38022=FACE_OUTER_BOUND('',#60727,.T.); #38023=FACE_OUTER_BOUND('',#60728,.T.); #38024=FACE_OUTER_BOUND('',#60729,.T.); #38025=FACE_OUTER_BOUND('',#60730,.T.); #38026=FACE_OUTER_BOUND('',#60731,.T.); #38027=FACE_OUTER_BOUND('',#60732,.T.); #38028=FACE_OUTER_BOUND('',#60733,.T.); #38029=FACE_OUTER_BOUND('',#60734,.T.); #38030=FACE_OUTER_BOUND('',#60735,.T.); #38031=FACE_OUTER_BOUND('',#60736,.T.); #38032=FACE_OUTER_BOUND('',#60737,.T.); #38033=FACE_OUTER_BOUND('',#60738,.T.); #38034=FACE_OUTER_BOUND('',#60739,.T.); #38035=FACE_OUTER_BOUND('',#60740,.T.); #38036=FACE_OUTER_BOUND('',#60741,.T.); #38037=FACE_OUTER_BOUND('',#60742,.T.); #38038=FACE_OUTER_BOUND('',#60743,.T.); #38039=FACE_OUTER_BOUND('',#60744,.T.); #38040=FACE_OUTER_BOUND('',#60745,.T.); #38041=FACE_OUTER_BOUND('',#60746,.T.); #38042=FACE_OUTER_BOUND('',#60747,.T.); #38043=FACE_OUTER_BOUND('',#60748,.T.); #38044=FACE_OUTER_BOUND('',#60749,.T.); #38045=FACE_OUTER_BOUND('',#60750,.T.); #38046=FACE_OUTER_BOUND('',#60751,.T.); #38047=FACE_OUTER_BOUND('',#60752,.T.); #38048=FACE_OUTER_BOUND('',#60753,.T.); #38049=FACE_OUTER_BOUND('',#60754,.T.); #38050=FACE_OUTER_BOUND('',#60755,.T.); #38051=FACE_OUTER_BOUND('',#60756,.T.); #38052=FACE_OUTER_BOUND('',#60757,.T.); #38053=FACE_OUTER_BOUND('',#60758,.T.); #38054=FACE_OUTER_BOUND('',#60759,.T.); #38055=FACE_OUTER_BOUND('',#60760,.T.); #38056=FACE_OUTER_BOUND('',#60761,.T.); #38057=FACE_OUTER_BOUND('',#60762,.T.); #38058=FACE_OUTER_BOUND('',#60763,.T.); #38059=FACE_OUTER_BOUND('',#60764,.T.); #38060=FACE_OUTER_BOUND('',#60765,.T.); #38061=FACE_OUTER_BOUND('',#60766,.T.); #38062=FACE_OUTER_BOUND('',#60767,.T.); #38063=FACE_OUTER_BOUND('',#60768,.T.); #38064=FACE_OUTER_BOUND('',#60769,.T.); #38065=FACE_OUTER_BOUND('',#60770,.T.); #38066=FACE_OUTER_BOUND('',#60771,.T.); #38067=FACE_OUTER_BOUND('',#60772,.T.); #38068=FACE_OUTER_BOUND('',#60773,.T.); #38069=FACE_OUTER_BOUND('',#60774,.T.); #38070=FACE_OUTER_BOUND('',#60775,.T.); #38071=FACE_OUTER_BOUND('',#60776,.T.); #38072=FACE_OUTER_BOUND('',#60777,.T.); #38073=FACE_OUTER_BOUND('',#60778,.T.); #38074=FACE_OUTER_BOUND('',#60779,.T.); #38075=FACE_OUTER_BOUND('',#60780,.T.); #38076=FACE_OUTER_BOUND('',#60781,.T.); #38077=FACE_OUTER_BOUND('',#60782,.T.); #38078=FACE_OUTER_BOUND('',#60783,.T.); #38079=FACE_OUTER_BOUND('',#60784,.T.); #38080=FACE_OUTER_BOUND('',#60785,.T.); #38081=FACE_OUTER_BOUND('',#60786,.T.); #38082=FACE_OUTER_BOUND('',#60787,.T.); #38083=FACE_OUTER_BOUND('',#60788,.T.); #38084=FACE_OUTER_BOUND('',#60789,.T.); #38085=FACE_OUTER_BOUND('',#60790,.T.); #38086=FACE_OUTER_BOUND('',#60791,.T.); #38087=FACE_OUTER_BOUND('',#60792,.T.); #38088=FACE_OUTER_BOUND('',#60793,.T.); #38089=FACE_OUTER_BOUND('',#60794,.T.); #38090=FACE_OUTER_BOUND('',#60795,.T.); #38091=FACE_OUTER_BOUND('',#60796,.T.); #38092=FACE_OUTER_BOUND('',#60797,.T.); #38093=FACE_OUTER_BOUND('',#60798,.T.); #38094=FACE_OUTER_BOUND('',#60799,.T.); #38095=FACE_OUTER_BOUND('',#60800,.T.); #38096=FACE_OUTER_BOUND('',#60801,.T.); #38097=FACE_OUTER_BOUND('',#60802,.T.); #38098=FACE_OUTER_BOUND('',#60803,.T.); #38099=FACE_OUTER_BOUND('',#60804,.T.); #38100=FACE_OUTER_BOUND('',#60806,.T.); #38101=FACE_OUTER_BOUND('',#60808,.T.); #38102=FACE_OUTER_BOUND('',#60811,.T.); #38103=FACE_OUTER_BOUND('',#60812,.T.); #38104=FACE_OUTER_BOUND('',#60813,.T.); #38105=FACE_OUTER_BOUND('',#60814,.T.); #38106=FACE_OUTER_BOUND('',#60815,.T.); #38107=FACE_OUTER_BOUND('',#60816,.T.); #38108=FACE_OUTER_BOUND('',#60817,.T.); #38109=FACE_OUTER_BOUND('',#60818,.T.); #38110=FACE_OUTER_BOUND('',#60819,.T.); #38111=FACE_OUTER_BOUND('',#60820,.T.); #38112=FACE_OUTER_BOUND('',#60821,.T.); #38113=FACE_OUTER_BOUND('',#60822,.T.); #38114=FACE_OUTER_BOUND('',#60823,.T.); #38115=FACE_OUTER_BOUND('',#60824,.T.); #38116=FACE_OUTER_BOUND('',#60825,.T.); #38117=FACE_OUTER_BOUND('',#60826,.T.); #38118=FACE_OUTER_BOUND('',#60827,.T.); #38119=FACE_OUTER_BOUND('',#60828,.T.); #38120=FACE_OUTER_BOUND('',#60829,.T.); #38121=FACE_OUTER_BOUND('',#60830,.T.); #38122=FACE_OUTER_BOUND('',#60831,.T.); #38123=FACE_OUTER_BOUND('',#60832,.T.); #38124=FACE_OUTER_BOUND('',#60833,.T.); #38125=FACE_OUTER_BOUND('',#60834,.T.); #38126=FACE_OUTER_BOUND('',#60835,.T.); #38127=FACE_OUTER_BOUND('',#60836,.T.); #38128=FACE_OUTER_BOUND('',#60837,.T.); #38129=FACE_OUTER_BOUND('',#60838,.T.); #38130=FACE_OUTER_BOUND('',#60839,.T.); #38131=FACE_OUTER_BOUND('',#60840,.T.); #38132=FACE_OUTER_BOUND('',#60841,.T.); #38133=FACE_OUTER_BOUND('',#60842,.T.); #38134=FACE_OUTER_BOUND('',#60843,.T.); #38135=FACE_OUTER_BOUND('',#60844,.T.); #38136=FACE_OUTER_BOUND('',#60845,.T.); #38137=FACE_OUTER_BOUND('',#60846,.T.); #38138=FACE_OUTER_BOUND('',#60847,.T.); #38139=FACE_OUTER_BOUND('',#60848,.T.); #38140=FACE_OUTER_BOUND('',#60849,.T.); #38141=FACE_OUTER_BOUND('',#60850,.T.); #38142=FACE_OUTER_BOUND('',#60851,.T.); #38143=FACE_OUTER_BOUND('',#60852,.T.); #38144=FACE_OUTER_BOUND('',#60853,.T.); #38145=FACE_OUTER_BOUND('',#60854,.T.); #38146=FACE_OUTER_BOUND('',#60855,.T.); #38147=FACE_OUTER_BOUND('',#60856,.T.); #38148=FACE_OUTER_BOUND('',#60857,.T.); #38149=FACE_OUTER_BOUND('',#60858,.T.); #38150=FACE_OUTER_BOUND('',#60859,.T.); #38151=FACE_OUTER_BOUND('',#60860,.T.); #38152=FACE_OUTER_BOUND('',#60861,.T.); #38153=FACE_OUTER_BOUND('',#60862,.T.); #38154=FACE_OUTER_BOUND('',#60863,.T.); #38155=FACE_OUTER_BOUND('',#60864,.T.); #38156=FACE_OUTER_BOUND('',#60865,.T.); #38157=FACE_OUTER_BOUND('',#60866,.T.); #38158=FACE_OUTER_BOUND('',#60867,.T.); #38159=FACE_OUTER_BOUND('',#60868,.T.); #38160=FACE_OUTER_BOUND('',#60869,.T.); #38161=FACE_OUTER_BOUND('',#60870,.T.); #38162=FACE_OUTER_BOUND('',#60871,.T.); #38163=FACE_OUTER_BOUND('',#60872,.T.); #38164=FACE_OUTER_BOUND('',#60873,.T.); #38165=FACE_OUTER_BOUND('',#60874,.T.); #38166=FACE_OUTER_BOUND('',#60875,.T.); #38167=FACE_OUTER_BOUND('',#60876,.T.); #38168=FACE_OUTER_BOUND('',#60877,.T.); #38169=FACE_OUTER_BOUND('',#60878,.T.); #38170=FACE_OUTER_BOUND('',#60879,.T.); #38171=FACE_OUTER_BOUND('',#60880,.T.); #38172=FACE_OUTER_BOUND('',#60881,.T.); #38173=FACE_OUTER_BOUND('',#60882,.T.); #38174=FACE_OUTER_BOUND('',#60883,.T.); #38175=FACE_OUTER_BOUND('',#60884,.T.); #38176=FACE_OUTER_BOUND('',#60885,.T.); #38177=FACE_OUTER_BOUND('',#60886,.T.); #38178=FACE_OUTER_BOUND('',#60887,.T.); #38179=FACE_OUTER_BOUND('',#60888,.T.); #38180=FACE_OUTER_BOUND('',#60889,.T.); #38181=FACE_OUTER_BOUND('',#60890,.T.); #38182=FACE_OUTER_BOUND('',#60891,.T.); #38183=FACE_OUTER_BOUND('',#60892,.T.); #38184=FACE_OUTER_BOUND('',#60893,.T.); #38185=FACE_OUTER_BOUND('',#60894,.T.); #38186=FACE_OUTER_BOUND('',#60895,.T.); #38187=FACE_OUTER_BOUND('',#60896,.T.); #38188=FACE_OUTER_BOUND('',#60897,.T.); #38189=FACE_OUTER_BOUND('',#60898,.T.); #38190=FACE_OUTER_BOUND('',#60899,.T.); #38191=FACE_OUTER_BOUND('',#60900,.T.); #38192=FACE_OUTER_BOUND('',#60901,.T.); #38193=FACE_OUTER_BOUND('',#60902,.T.); #38194=FACE_OUTER_BOUND('',#60903,.T.); #38195=FACE_OUTER_BOUND('',#60904,.T.); #38196=FACE_OUTER_BOUND('',#60905,.T.); #38197=FACE_OUTER_BOUND('',#60906,.T.); #38198=FACE_OUTER_BOUND('',#60907,.T.); #38199=FACE_OUTER_BOUND('',#60908,.T.); #38200=FACE_OUTER_BOUND('',#60909,.T.); #38201=FACE_OUTER_BOUND('',#60910,.T.); #38202=FACE_OUTER_BOUND('',#60911,.T.); #38203=FACE_OUTER_BOUND('',#60912,.T.); #38204=FACE_OUTER_BOUND('',#60913,.T.); #38205=FACE_OUTER_BOUND('',#60914,.T.); #38206=FACE_OUTER_BOUND('',#60915,.T.); #38207=FACE_OUTER_BOUND('',#60916,.T.); #38208=FACE_OUTER_BOUND('',#60917,.T.); #38209=FACE_OUTER_BOUND('',#60918,.T.); #38210=FACE_OUTER_BOUND('',#60919,.T.); #38211=FACE_OUTER_BOUND('',#60920,.T.); #38212=FACE_OUTER_BOUND('',#60921,.T.); #38213=FACE_OUTER_BOUND('',#60922,.T.); #38214=FACE_OUTER_BOUND('',#60923,.T.); #38215=FACE_OUTER_BOUND('',#60924,.T.); #38216=FACE_OUTER_BOUND('',#60925,.T.); #38217=FACE_OUTER_BOUND('',#60926,.T.); #38218=FACE_OUTER_BOUND('',#60927,.T.); #38219=FACE_OUTER_BOUND('',#60928,.T.); #38220=FACE_OUTER_BOUND('',#60929,.T.); #38221=FACE_OUTER_BOUND('',#60930,.T.); #38222=FACE_OUTER_BOUND('',#60931,.T.); #38223=FACE_OUTER_BOUND('',#60932,.T.); #38224=FACE_OUTER_BOUND('',#60933,.T.); #38225=FACE_OUTER_BOUND('',#60934,.T.); #38226=FACE_OUTER_BOUND('',#60935,.T.); #38227=FACE_OUTER_BOUND('',#60936,.T.); #38228=FACE_OUTER_BOUND('',#60937,.T.); #38229=FACE_OUTER_BOUND('',#60938,.T.); #38230=FACE_OUTER_BOUND('',#60939,.T.); #38231=FACE_OUTER_BOUND('',#60940,.T.); #38232=FACE_OUTER_BOUND('',#60941,.T.); #38233=FACE_OUTER_BOUND('',#60942,.T.); #38234=FACE_OUTER_BOUND('',#60943,.T.); #38235=FACE_OUTER_BOUND('',#60944,.T.); #38236=FACE_OUTER_BOUND('',#60945,.T.); #38237=FACE_OUTER_BOUND('',#60946,.T.); #38238=FACE_OUTER_BOUND('',#60947,.T.); #38239=FACE_OUTER_BOUND('',#60948,.T.); #38240=FACE_OUTER_BOUND('',#60949,.T.); #38241=FACE_OUTER_BOUND('',#60950,.T.); #38242=FACE_OUTER_BOUND('',#60951,.T.); #38243=FACE_OUTER_BOUND('',#60952,.T.); #38244=FACE_OUTER_BOUND('',#60953,.T.); #38245=FACE_OUTER_BOUND('',#60954,.T.); #38246=FACE_OUTER_BOUND('',#60955,.T.); #38247=FACE_OUTER_BOUND('',#60956,.T.); #38248=FACE_OUTER_BOUND('',#60957,.T.); #38249=FACE_OUTER_BOUND('',#60958,.T.); #38250=FACE_OUTER_BOUND('',#60959,.T.); #38251=FACE_OUTER_BOUND('',#60960,.T.); #38252=FACE_OUTER_BOUND('',#60961,.T.); #38253=FACE_OUTER_BOUND('',#60962,.T.); #38254=FACE_OUTER_BOUND('',#60963,.T.); #38255=FACE_OUTER_BOUND('',#60964,.T.); #38256=FACE_OUTER_BOUND('',#60965,.T.); #38257=FACE_OUTER_BOUND('',#60966,.T.); #38258=FACE_OUTER_BOUND('',#60967,.T.); #38259=FACE_OUTER_BOUND('',#60968,.T.); #38260=FACE_OUTER_BOUND('',#60969,.T.); #38261=FACE_OUTER_BOUND('',#60970,.T.); #38262=FACE_OUTER_BOUND('',#60971,.T.); #38263=FACE_OUTER_BOUND('',#60972,.T.); #38264=FACE_OUTER_BOUND('',#60973,.T.); #38265=FACE_OUTER_BOUND('',#60974,.T.); #38266=FACE_OUTER_BOUND('',#60975,.T.); #38267=FACE_OUTER_BOUND('',#60976,.T.); #38268=FACE_OUTER_BOUND('',#60977,.T.); #38269=FACE_OUTER_BOUND('',#60978,.T.); #38270=FACE_OUTER_BOUND('',#60979,.T.); #38271=FACE_OUTER_BOUND('',#60980,.T.); #38272=FACE_OUTER_BOUND('',#60981,.T.); #38273=FACE_OUTER_BOUND('',#60982,.T.); #38274=FACE_OUTER_BOUND('',#60983,.T.); #38275=FACE_OUTER_BOUND('',#60984,.T.); #38276=FACE_OUTER_BOUND('',#60985,.T.); #38277=FACE_OUTER_BOUND('',#60986,.T.); #38278=FACE_OUTER_BOUND('',#60987,.T.); #38279=FACE_OUTER_BOUND('',#60988,.T.); #38280=FACE_OUTER_BOUND('',#60989,.T.); #38281=FACE_OUTER_BOUND('',#60990,.T.); #38282=FACE_OUTER_BOUND('',#60991,.T.); #38283=FACE_OUTER_BOUND('',#60992,.T.); #38284=FACE_OUTER_BOUND('',#60993,.T.); #38285=FACE_OUTER_BOUND('',#60994,.T.); #38286=FACE_OUTER_BOUND('',#60995,.T.); #38287=FACE_OUTER_BOUND('',#60996,.T.); #38288=FACE_OUTER_BOUND('',#60997,.T.); #38289=FACE_OUTER_BOUND('',#60998,.T.); #38290=FACE_OUTER_BOUND('',#60999,.T.); #38291=FACE_OUTER_BOUND('',#61000,.T.); #38292=FACE_OUTER_BOUND('',#61001,.T.); #38293=FACE_OUTER_BOUND('',#61002,.T.); #38294=FACE_OUTER_BOUND('',#61003,.T.); #38295=FACE_OUTER_BOUND('',#61004,.T.); #38296=FACE_OUTER_BOUND('',#61005,.T.); #38297=FACE_OUTER_BOUND('',#61006,.T.); #38298=FACE_OUTER_BOUND('',#61007,.T.); #38299=FACE_OUTER_BOUND('',#61008,.T.); #38300=FACE_OUTER_BOUND('',#61009,.T.); #38301=FACE_OUTER_BOUND('',#61010,.T.); #38302=FACE_OUTER_BOUND('',#61011,.T.); #38303=FACE_OUTER_BOUND('',#61012,.T.); #38304=FACE_OUTER_BOUND('',#61013,.T.); #38305=FACE_OUTER_BOUND('',#61014,.T.); #38306=FACE_OUTER_BOUND('',#61015,.T.); #38307=FACE_OUTER_BOUND('',#61016,.T.); #38308=FACE_OUTER_BOUND('',#61017,.T.); #38309=FACE_OUTER_BOUND('',#61018,.T.); #38310=FACE_OUTER_BOUND('',#61019,.T.); #38311=FACE_OUTER_BOUND('',#61020,.T.); #38312=FACE_OUTER_BOUND('',#61021,.T.); #38313=FACE_OUTER_BOUND('',#61022,.T.); #38314=FACE_OUTER_BOUND('',#61023,.T.); #38315=FACE_OUTER_BOUND('',#61024,.T.); #38316=FACE_OUTER_BOUND('',#61025,.T.); #38317=FACE_OUTER_BOUND('',#61026,.T.); #38318=FACE_OUTER_BOUND('',#61027,.T.); #38319=FACE_OUTER_BOUND('',#61028,.T.); #38320=FACE_OUTER_BOUND('',#61029,.T.); #38321=FACE_OUTER_BOUND('',#61030,.T.); #38322=FACE_OUTER_BOUND('',#61031,.T.); #38323=FACE_OUTER_BOUND('',#61032,.T.); #38324=FACE_OUTER_BOUND('',#61033,.T.); #38325=FACE_OUTER_BOUND('',#61034,.T.); #38326=FACE_OUTER_BOUND('',#61035,.T.); #38327=FACE_OUTER_BOUND('',#61036,.T.); #38328=FACE_OUTER_BOUND('',#61037,.T.); #38329=FACE_OUTER_BOUND('',#61038,.T.); #38330=FACE_OUTER_BOUND('',#61039,.T.); #38331=FACE_OUTER_BOUND('',#61040,.T.); #38332=FACE_OUTER_BOUND('',#61041,.T.); #38333=FACE_OUTER_BOUND('',#61042,.T.); #38334=FACE_OUTER_BOUND('',#61043,.T.); #38335=FACE_OUTER_BOUND('',#61044,.T.); #38336=FACE_OUTER_BOUND('',#61045,.T.); #38337=FACE_OUTER_BOUND('',#61046,.T.); #38338=FACE_OUTER_BOUND('',#61047,.T.); #38339=FACE_OUTER_BOUND('',#61048,.T.); #38340=FACE_OUTER_BOUND('',#61049,.T.); #38341=FACE_OUTER_BOUND('',#61050,.T.); #38342=FACE_OUTER_BOUND('',#61051,.T.); #38343=FACE_OUTER_BOUND('',#61052,.T.); #38344=FACE_OUTER_BOUND('',#61053,.T.); #38345=FACE_OUTER_BOUND('',#61054,.T.); #38346=FACE_OUTER_BOUND('',#61055,.T.); #38347=FACE_OUTER_BOUND('',#61056,.T.); #38348=FACE_OUTER_BOUND('',#61057,.T.); #38349=FACE_OUTER_BOUND('',#61058,.T.); #38350=FACE_OUTER_BOUND('',#61059,.T.); #38351=FACE_OUTER_BOUND('',#61060,.T.); #38352=FACE_OUTER_BOUND('',#61061,.T.); #38353=FACE_OUTER_BOUND('',#61062,.T.); #38354=FACE_OUTER_BOUND('',#61063,.T.); #38355=FACE_OUTER_BOUND('',#61064,.T.); #38356=FACE_OUTER_BOUND('',#61065,.T.); #38357=FACE_OUTER_BOUND('',#61066,.T.); #38358=FACE_OUTER_BOUND('',#61067,.T.); #38359=FACE_OUTER_BOUND('',#61068,.T.); #38360=FACE_OUTER_BOUND('',#61069,.T.); #38361=FACE_OUTER_BOUND('',#61070,.T.); #38362=FACE_OUTER_BOUND('',#61072,.T.); #38363=FACE_OUTER_BOUND('',#61073,.T.); #38364=FACE_OUTER_BOUND('',#61074,.T.); #38365=FACE_OUTER_BOUND('',#61075,.T.); #38366=FACE_OUTER_BOUND('',#61076,.T.); #38367=FACE_OUTER_BOUND('',#61077,.T.); #38368=FACE_OUTER_BOUND('',#61078,.T.); #38369=FACE_OUTER_BOUND('',#61079,.T.); #38370=FACE_OUTER_BOUND('',#61080,.T.); #38371=FACE_OUTER_BOUND('',#61081,.T.); #38372=FACE_OUTER_BOUND('',#61082,.T.); #38373=FACE_OUTER_BOUND('',#61083,.T.); #38374=FACE_OUTER_BOUND('',#61084,.T.); #38375=FACE_OUTER_BOUND('',#61085,.T.); #38376=FACE_OUTER_BOUND('',#61086,.T.); #38377=FACE_OUTER_BOUND('',#61087,.T.); #38378=FACE_OUTER_BOUND('',#61088,.T.); #38379=FACE_OUTER_BOUND('',#61089,.T.); #38380=FACE_OUTER_BOUND('',#61090,.T.); #38381=FACE_OUTER_BOUND('',#61091,.T.); #38382=FACE_OUTER_BOUND('',#61092,.T.); #38383=FACE_OUTER_BOUND('',#61093,.T.); #38384=FACE_OUTER_BOUND('',#61094,.T.); #38385=FACE_OUTER_BOUND('',#61095,.T.); #38386=FACE_OUTER_BOUND('',#61096,.T.); #38387=FACE_OUTER_BOUND('',#61097,.T.); #38388=FACE_OUTER_BOUND('',#61098,.T.); #38389=FACE_OUTER_BOUND('',#61099,.T.); #38390=FACE_OUTER_BOUND('',#61100,.T.); #38391=FACE_OUTER_BOUND('',#61101,.T.); #38392=FACE_OUTER_BOUND('',#61102,.T.); #38393=FACE_OUTER_BOUND('',#61103,.T.); #38394=FACE_OUTER_BOUND('',#61104,.T.); #38395=FACE_OUTER_BOUND('',#61105,.T.); #38396=FACE_OUTER_BOUND('',#61106,.T.); #38397=FACE_OUTER_BOUND('',#61107,.T.); #38398=FACE_OUTER_BOUND('',#61108,.T.); #38399=FACE_OUTER_BOUND('',#61109,.T.); #38400=FACE_OUTER_BOUND('',#61110,.T.); #38401=FACE_OUTER_BOUND('',#61111,.T.); #38402=FACE_OUTER_BOUND('',#61112,.T.); #38403=FACE_OUTER_BOUND('',#61113,.T.); #38404=FACE_OUTER_BOUND('',#61114,.T.); #38405=FACE_OUTER_BOUND('',#61115,.T.); #38406=FACE_OUTER_BOUND('',#61116,.T.); #38407=FACE_OUTER_BOUND('',#61117,.T.); #38408=FACE_OUTER_BOUND('',#61118,.T.); #38409=FACE_OUTER_BOUND('',#61119,.T.); #38410=FACE_OUTER_BOUND('',#61120,.T.); #38411=FACE_OUTER_BOUND('',#61121,.T.); #38412=FACE_OUTER_BOUND('',#61122,.T.); #38413=FACE_OUTER_BOUND('',#61123,.T.); #38414=FACE_OUTER_BOUND('',#61124,.T.); #38415=FACE_OUTER_BOUND('',#61125,.T.); #38416=FACE_OUTER_BOUND('',#61126,.T.); #38417=FACE_OUTER_BOUND('',#61127,.T.); #38418=FACE_OUTER_BOUND('',#61128,.T.); #38419=FACE_OUTER_BOUND('',#61129,.T.); #38420=FACE_OUTER_BOUND('',#61130,.T.); #38421=FACE_OUTER_BOUND('',#61131,.T.); #38422=FACE_OUTER_BOUND('',#61132,.T.); #38423=FACE_OUTER_BOUND('',#61133,.T.); #38424=FACE_OUTER_BOUND('',#61134,.T.); #38425=FACE_OUTER_BOUND('',#61135,.T.); #38426=FACE_OUTER_BOUND('',#61136,.T.); #38427=FACE_OUTER_BOUND('',#61137,.T.); #38428=FACE_OUTER_BOUND('',#61138,.T.); #38429=FACE_OUTER_BOUND('',#61139,.T.); #38430=FACE_OUTER_BOUND('',#61140,.T.); #38431=FACE_OUTER_BOUND('',#61141,.T.); #38432=FACE_OUTER_BOUND('',#61142,.T.); #38433=FACE_OUTER_BOUND('',#61143,.T.); #38434=FACE_OUTER_BOUND('',#61144,.T.); #38435=FACE_OUTER_BOUND('',#61145,.T.); #38436=FACE_OUTER_BOUND('',#61146,.T.); #38437=FACE_OUTER_BOUND('',#61147,.T.); #38438=FACE_OUTER_BOUND('',#61148,.T.); #38439=FACE_OUTER_BOUND('',#61149,.T.); #38440=FACE_OUTER_BOUND('',#61150,.T.); #38441=FACE_OUTER_BOUND('',#61151,.T.); #38442=FACE_OUTER_BOUND('',#61152,.T.); #38443=FACE_OUTER_BOUND('',#61153,.T.); #38444=FACE_OUTER_BOUND('',#61154,.T.); #38445=FACE_OUTER_BOUND('',#61155,.T.); #38446=FACE_OUTER_BOUND('',#61156,.T.); #38447=FACE_OUTER_BOUND('',#61157,.T.); #38448=FACE_OUTER_BOUND('',#61158,.T.); #38449=FACE_OUTER_BOUND('',#61160,.T.); #38450=FACE_OUTER_BOUND('',#61161,.T.); #38451=FACE_OUTER_BOUND('',#61162,.T.); #38452=FACE_OUTER_BOUND('',#61163,.T.); #38453=FACE_OUTER_BOUND('',#61164,.T.); #38454=FACE_OUTER_BOUND('',#61165,.T.); #38455=FACE_OUTER_BOUND('',#61166,.T.); #38456=FACE_OUTER_BOUND('',#61167,.T.); #38457=FACE_OUTER_BOUND('',#61168,.T.); #38458=FACE_OUTER_BOUND('',#61169,.T.); #38459=FACE_OUTER_BOUND('',#61170,.T.); #38460=FACE_OUTER_BOUND('',#61171,.T.); #38461=FACE_OUTER_BOUND('',#61172,.T.); #38462=FACE_OUTER_BOUND('',#61173,.T.); #38463=FACE_OUTER_BOUND('',#61174,.T.); #38464=FACE_OUTER_BOUND('',#61175,.T.); #38465=FACE_OUTER_BOUND('',#61176,.T.); #38466=FACE_OUTER_BOUND('',#61177,.T.); #38467=FACE_OUTER_BOUND('',#61178,.T.); #38468=FACE_OUTER_BOUND('',#61179,.T.); #38469=FACE_OUTER_BOUND('',#61180,.T.); #38470=FACE_OUTER_BOUND('',#61181,.T.); #38471=FACE_OUTER_BOUND('',#61182,.T.); #38472=FACE_OUTER_BOUND('',#61183,.T.); #38473=FACE_OUTER_BOUND('',#61184,.T.); #38474=FACE_OUTER_BOUND('',#61185,.T.); #38475=FACE_OUTER_BOUND('',#61186,.T.); #38476=FACE_OUTER_BOUND('',#61187,.T.); #38477=FACE_OUTER_BOUND('',#61188,.T.); #38478=FACE_OUTER_BOUND('',#61189,.T.); #38479=FACE_OUTER_BOUND('',#61190,.T.); #38480=FACE_OUTER_BOUND('',#61191,.T.); #38481=FACE_OUTER_BOUND('',#61192,.T.); #38482=FACE_OUTER_BOUND('',#61193,.T.); #38483=FACE_OUTER_BOUND('',#61194,.T.); #38484=FACE_OUTER_BOUND('',#61195,.T.); #38485=FACE_OUTER_BOUND('',#61196,.T.); #38486=FACE_OUTER_BOUND('',#61197,.T.); #38487=FACE_OUTER_BOUND('',#61198,.T.); #38488=FACE_OUTER_BOUND('',#61199,.T.); #38489=FACE_OUTER_BOUND('',#61200,.T.); #38490=FACE_OUTER_BOUND('',#61201,.T.); #38491=FACE_OUTER_BOUND('',#61202,.T.); #38492=FACE_OUTER_BOUND('',#61203,.T.); #38493=FACE_OUTER_BOUND('',#61204,.T.); #38494=FACE_OUTER_BOUND('',#61205,.T.); #38495=FACE_OUTER_BOUND('',#61206,.T.); #38496=FACE_OUTER_BOUND('',#61207,.T.); #38497=FACE_OUTER_BOUND('',#61208,.T.); #38498=FACE_OUTER_BOUND('',#61209,.T.); #38499=FACE_OUTER_BOUND('',#61210,.T.); #38500=FACE_OUTER_BOUND('',#61211,.T.); #38501=FACE_OUTER_BOUND('',#61212,.T.); #38502=FACE_OUTER_BOUND('',#61213,.T.); #38503=FACE_OUTER_BOUND('',#61214,.T.); #38504=FACE_OUTER_BOUND('',#61215,.T.); #38505=FACE_OUTER_BOUND('',#61216,.T.); #38506=FACE_OUTER_BOUND('',#61217,.T.); #38507=FACE_OUTER_BOUND('',#61218,.T.); #38508=FACE_OUTER_BOUND('',#61219,.T.); #38509=FACE_OUTER_BOUND('',#61220,.T.); #38510=FACE_OUTER_BOUND('',#61221,.T.); #38511=FACE_OUTER_BOUND('',#61222,.T.); #38512=FACE_OUTER_BOUND('',#61223,.T.); #38513=FACE_OUTER_BOUND('',#61224,.T.); #38514=FACE_OUTER_BOUND('',#61225,.T.); #38515=FACE_OUTER_BOUND('',#61226,.T.); #38516=FACE_OUTER_BOUND('',#61227,.T.); #38517=FACE_OUTER_BOUND('',#61228,.T.); #38518=FACE_OUTER_BOUND('',#61229,.T.); #38519=FACE_OUTER_BOUND('',#61230,.T.); #38520=FACE_OUTER_BOUND('',#61231,.T.); #38521=FACE_OUTER_BOUND('',#61232,.T.); #38522=FACE_OUTER_BOUND('',#61233,.T.); #38523=FACE_OUTER_BOUND('',#61234,.T.); #38524=FACE_OUTER_BOUND('',#61235,.T.); #38525=FACE_OUTER_BOUND('',#61236,.T.); #38526=FACE_OUTER_BOUND('',#61237,.T.); #38527=FACE_OUTER_BOUND('',#61238,.T.); #38528=FACE_OUTER_BOUND('',#61239,.T.); #38529=FACE_OUTER_BOUND('',#61240,.T.); #38530=FACE_OUTER_BOUND('',#61241,.T.); #38531=FACE_OUTER_BOUND('',#61242,.T.); #38532=FACE_OUTER_BOUND('',#61243,.T.); #38533=FACE_OUTER_BOUND('',#61244,.T.); #38534=FACE_OUTER_BOUND('',#61245,.T.); #38535=FACE_OUTER_BOUND('',#61246,.T.); #38536=FACE_OUTER_BOUND('',#61247,.T.); #38537=FACE_OUTER_BOUND('',#61248,.T.); #38538=FACE_OUTER_BOUND('',#61249,.T.); #38539=FACE_OUTER_BOUND('',#61250,.T.); #38540=FACE_OUTER_BOUND('',#61251,.T.); #38541=FACE_OUTER_BOUND('',#61252,.T.); #38542=FACE_OUTER_BOUND('',#61253,.T.); #38543=FACE_OUTER_BOUND('',#61254,.T.); #38544=FACE_OUTER_BOUND('',#61255,.T.); #38545=FACE_OUTER_BOUND('',#61256,.T.); #38546=FACE_OUTER_BOUND('',#61257,.T.); #38547=FACE_OUTER_BOUND('',#61258,.T.); #38548=FACE_OUTER_BOUND('',#61259,.T.); #38549=FACE_OUTER_BOUND('',#61260,.T.); #38550=FACE_OUTER_BOUND('',#61261,.T.); #38551=FACE_OUTER_BOUND('',#61262,.T.); #38552=FACE_OUTER_BOUND('',#61263,.T.); #38553=FACE_OUTER_BOUND('',#61264,.T.); #38554=FACE_OUTER_BOUND('',#61265,.T.); #38555=FACE_OUTER_BOUND('',#61266,.T.); #38556=FACE_OUTER_BOUND('',#61267,.T.); #38557=FACE_OUTER_BOUND('',#61268,.T.); #38558=FACE_OUTER_BOUND('',#61269,.T.); #38559=FACE_OUTER_BOUND('',#61270,.T.); #38560=FACE_OUTER_BOUND('',#61271,.T.); #38561=FACE_OUTER_BOUND('',#61272,.T.); #38562=FACE_OUTER_BOUND('',#61273,.T.); #38563=FACE_OUTER_BOUND('',#61274,.T.); #38564=FACE_OUTER_BOUND('',#61275,.T.); #38565=FACE_OUTER_BOUND('',#61276,.T.); #38566=FACE_OUTER_BOUND('',#61277,.T.); #38567=FACE_OUTER_BOUND('',#61278,.T.); #38568=FACE_OUTER_BOUND('',#61279,.T.); #38569=FACE_OUTER_BOUND('',#61280,.T.); #38570=FACE_OUTER_BOUND('',#61281,.T.); #38571=FACE_OUTER_BOUND('',#61282,.T.); #38572=FACE_OUTER_BOUND('',#61283,.T.); #38573=FACE_OUTER_BOUND('',#61284,.T.); #38574=FACE_OUTER_BOUND('',#61285,.T.); #38575=FACE_OUTER_BOUND('',#61286,.T.); #38576=FACE_OUTER_BOUND('',#61287,.T.); #38577=FACE_OUTER_BOUND('',#61288,.T.); #38578=FACE_OUTER_BOUND('',#61289,.T.); #38579=FACE_OUTER_BOUND('',#61291,.T.); #38580=FACE_OUTER_BOUND('',#61292,.T.); #38581=FACE_OUTER_BOUND('',#61293,.T.); #38582=FACE_OUTER_BOUND('',#61294,.T.); #38583=FACE_OUTER_BOUND('',#61295,.T.); #38584=FACE_OUTER_BOUND('',#61296,.T.); #38585=FACE_OUTER_BOUND('',#61297,.T.); #38586=FACE_OUTER_BOUND('',#61298,.T.); #38587=FACE_OUTER_BOUND('',#61299,.T.); #38588=FACE_OUTER_BOUND('',#61300,.T.); #38589=FACE_OUTER_BOUND('',#61301,.T.); #38590=FACE_OUTER_BOUND('',#61302,.T.); #38591=FACE_OUTER_BOUND('',#61303,.T.); #38592=FACE_OUTER_BOUND('',#61304,.T.); #38593=FACE_OUTER_BOUND('',#61305,.T.); #38594=FACE_OUTER_BOUND('',#61306,.T.); #38595=FACE_OUTER_BOUND('',#61307,.T.); #38596=FACE_OUTER_BOUND('',#61308,.T.); #38597=FACE_OUTER_BOUND('',#61309,.T.); #38598=FACE_OUTER_BOUND('',#61310,.T.); #38599=FACE_OUTER_BOUND('',#61311,.T.); #38600=FACE_OUTER_BOUND('',#61312,.T.); #38601=FACE_OUTER_BOUND('',#61313,.T.); #38602=FACE_OUTER_BOUND('',#61314,.T.); #38603=FACE_OUTER_BOUND('',#61315,.T.); #38604=FACE_OUTER_BOUND('',#61316,.T.); #38605=FACE_OUTER_BOUND('',#61317,.T.); #38606=FACE_OUTER_BOUND('',#61318,.T.); #38607=FACE_OUTER_BOUND('',#61319,.T.); #38608=FACE_OUTER_BOUND('',#61320,.T.); #38609=FACE_OUTER_BOUND('',#61321,.T.); #38610=FACE_OUTER_BOUND('',#61322,.T.); #38611=FACE_OUTER_BOUND('',#61323,.T.); #38612=FACE_OUTER_BOUND('',#61324,.T.); #38613=FACE_OUTER_BOUND('',#61325,.T.); #38614=FACE_OUTER_BOUND('',#61326,.T.); #38615=FACE_OUTER_BOUND('',#61327,.T.); #38616=FACE_OUTER_BOUND('',#61328,.T.); #38617=FACE_OUTER_BOUND('',#61329,.T.); #38618=FACE_OUTER_BOUND('',#61330,.T.); #38619=FACE_OUTER_BOUND('',#61331,.T.); #38620=FACE_OUTER_BOUND('',#61332,.T.); #38621=FACE_OUTER_BOUND('',#61333,.T.); #38622=FACE_OUTER_BOUND('',#61334,.T.); #38623=FACE_OUTER_BOUND('',#61335,.T.); #38624=FACE_OUTER_BOUND('',#61336,.T.); #38625=FACE_OUTER_BOUND('',#61337,.T.); #38626=FACE_OUTER_BOUND('',#61338,.T.); #38627=FACE_OUTER_BOUND('',#61339,.T.); #38628=FACE_OUTER_BOUND('',#61340,.T.); #38629=FACE_OUTER_BOUND('',#61341,.T.); #38630=FACE_OUTER_BOUND('',#61342,.T.); #38631=FACE_OUTER_BOUND('',#61343,.T.); #38632=FACE_OUTER_BOUND('',#61344,.T.); #38633=FACE_OUTER_BOUND('',#61345,.T.); #38634=FACE_OUTER_BOUND('',#61346,.T.); #38635=FACE_OUTER_BOUND('',#61347,.T.); #38636=FACE_OUTER_BOUND('',#61348,.T.); #38637=FACE_OUTER_BOUND('',#61349,.T.); #38638=FACE_OUTER_BOUND('',#61350,.T.); #38639=FACE_OUTER_BOUND('',#61351,.T.); #38640=FACE_OUTER_BOUND('',#61352,.T.); #38641=FACE_OUTER_BOUND('',#61353,.T.); #38642=FACE_OUTER_BOUND('',#61354,.T.); #38643=FACE_OUTER_BOUND('',#61355,.T.); #38644=FACE_OUTER_BOUND('',#61356,.T.); #38645=FACE_OUTER_BOUND('',#61357,.T.); #38646=FACE_OUTER_BOUND('',#61358,.T.); #38647=FACE_OUTER_BOUND('',#61359,.T.); #38648=FACE_OUTER_BOUND('',#61360,.T.); #38649=FACE_OUTER_BOUND('',#61361,.T.); #38650=FACE_OUTER_BOUND('',#61362,.T.); #38651=FACE_OUTER_BOUND('',#61363,.T.); #38652=FACE_OUTER_BOUND('',#61364,.T.); #38653=FACE_OUTER_BOUND('',#61365,.T.); #38654=FACE_OUTER_BOUND('',#61366,.T.); #38655=FACE_OUTER_BOUND('',#61367,.T.); #38656=FACE_OUTER_BOUND('',#61368,.T.); #38657=FACE_OUTER_BOUND('',#61369,.T.); #38658=FACE_OUTER_BOUND('',#61370,.T.); #38659=FACE_OUTER_BOUND('',#61371,.T.); #38660=FACE_OUTER_BOUND('',#61372,.T.); #38661=FACE_OUTER_BOUND('',#61373,.T.); #38662=FACE_OUTER_BOUND('',#61374,.T.); #38663=FACE_OUTER_BOUND('',#61375,.T.); #38664=FACE_OUTER_BOUND('',#61376,.T.); #38665=FACE_OUTER_BOUND('',#61377,.T.); #38666=FACE_OUTER_BOUND('',#61378,.T.); #38667=FACE_OUTER_BOUND('',#61379,.T.); #38668=FACE_OUTER_BOUND('',#61380,.T.); #38669=FACE_OUTER_BOUND('',#61381,.T.); #38670=FACE_OUTER_BOUND('',#61382,.T.); #38671=FACE_OUTER_BOUND('',#61383,.T.); #38672=FACE_OUTER_BOUND('',#61384,.T.); #38673=FACE_OUTER_BOUND('',#61385,.T.); #38674=FACE_OUTER_BOUND('',#61386,.T.); #38675=FACE_OUTER_BOUND('',#61387,.T.); #38676=FACE_OUTER_BOUND('',#61388,.T.); #38677=FACE_OUTER_BOUND('',#61389,.T.); #38678=FACE_OUTER_BOUND('',#61390,.T.); #38679=FACE_OUTER_BOUND('',#61391,.T.); #38680=FACE_OUTER_BOUND('',#61392,.T.); #38681=FACE_OUTER_BOUND('',#61393,.T.); #38682=FACE_OUTER_BOUND('',#61394,.T.); #38683=FACE_OUTER_BOUND('',#61395,.T.); #38684=FACE_OUTER_BOUND('',#61396,.T.); #38685=FACE_OUTER_BOUND('',#61397,.T.); #38686=FACE_OUTER_BOUND('',#61398,.T.); #38687=FACE_OUTER_BOUND('',#61399,.T.); #38688=FACE_OUTER_BOUND('',#61400,.T.); #38689=FACE_OUTER_BOUND('',#61401,.T.); #38690=FACE_OUTER_BOUND('',#61402,.T.); #38691=FACE_OUTER_BOUND('',#61403,.T.); #38692=FACE_OUTER_BOUND('',#61404,.T.); #38693=FACE_OUTER_BOUND('',#61405,.T.); #38694=FACE_OUTER_BOUND('',#61406,.T.); #38695=FACE_OUTER_BOUND('',#61407,.T.); #38696=FACE_OUTER_BOUND('',#61408,.T.); #38697=FACE_OUTER_BOUND('',#61409,.T.); #38698=FACE_OUTER_BOUND('',#61410,.T.); #38699=FACE_OUTER_BOUND('',#61411,.T.); #38700=FACE_OUTER_BOUND('',#61412,.T.); #38701=FACE_OUTER_BOUND('',#61413,.T.); #38702=FACE_OUTER_BOUND('',#61414,.T.); #38703=FACE_OUTER_BOUND('',#61415,.T.); #38704=FACE_OUTER_BOUND('',#61416,.T.); #38705=FACE_OUTER_BOUND('',#61417,.T.); #38706=FACE_OUTER_BOUND('',#61418,.T.); #38707=FACE_OUTER_BOUND('',#61419,.T.); #38708=FACE_OUTER_BOUND('',#61420,.T.); #38709=FACE_OUTER_BOUND('',#61421,.T.); #38710=FACE_OUTER_BOUND('',#61422,.T.); #38711=FACE_OUTER_BOUND('',#61423,.T.); #38712=FACE_OUTER_BOUND('',#61424,.T.); #38713=FACE_OUTER_BOUND('',#61425,.T.); #38714=FACE_OUTER_BOUND('',#61426,.T.); #38715=FACE_OUTER_BOUND('',#61427,.T.); #38716=FACE_OUTER_BOUND('',#61428,.T.); #38717=FACE_OUTER_BOUND('',#61429,.T.); #38718=FACE_OUTER_BOUND('',#61430,.T.); #38719=FACE_OUTER_BOUND('',#61431,.T.); #38720=FACE_OUTER_BOUND('',#61432,.T.); #38721=FACE_OUTER_BOUND('',#61433,.T.); #38722=FACE_OUTER_BOUND('',#61434,.T.); #38723=FACE_OUTER_BOUND('',#61435,.T.); #38724=FACE_OUTER_BOUND('',#61436,.T.); #38725=FACE_OUTER_BOUND('',#61437,.T.); #38726=FACE_OUTER_BOUND('',#61438,.T.); #38727=FACE_OUTER_BOUND('',#61439,.T.); #38728=FACE_OUTER_BOUND('',#61440,.T.); #38729=FACE_OUTER_BOUND('',#61441,.T.); #38730=FACE_OUTER_BOUND('',#61442,.T.); #38731=FACE_OUTER_BOUND('',#61443,.T.); #38732=FACE_OUTER_BOUND('',#61444,.T.); #38733=FACE_OUTER_BOUND('',#61445,.T.); #38734=FACE_OUTER_BOUND('',#61446,.T.); #38735=FACE_OUTER_BOUND('',#61447,.T.); #38736=FACE_OUTER_BOUND('',#61448,.T.); #38737=FACE_OUTER_BOUND('',#61450,.T.); #38738=FACE_OUTER_BOUND('',#61452,.T.); #38739=FACE_OUTER_BOUND('',#61453,.T.); #38740=FACE_OUTER_BOUND('',#61454,.T.); #38741=FACE_OUTER_BOUND('',#61455,.T.); #38742=FACE_OUTER_BOUND('',#61456,.T.); #38743=FACE_OUTER_BOUND('',#61457,.T.); #38744=FACE_OUTER_BOUND('',#61458,.T.); #38745=FACE_OUTER_BOUND('',#61459,.T.); #38746=FACE_OUTER_BOUND('',#61460,.T.); #38747=FACE_OUTER_BOUND('',#61461,.T.); #38748=FACE_OUTER_BOUND('',#61462,.T.); #38749=FACE_OUTER_BOUND('',#61463,.T.); #38750=FACE_OUTER_BOUND('',#61464,.T.); #38751=FACE_OUTER_BOUND('',#61466,.T.); #38752=FACE_OUTER_BOUND('',#61467,.T.); #38753=FACE_OUTER_BOUND('',#61468,.T.); #38754=FACE_OUTER_BOUND('',#61469,.T.); #38755=FACE_OUTER_BOUND('',#61470,.T.); #38756=FACE_OUTER_BOUND('',#61471,.T.); #38757=FACE_OUTER_BOUND('',#61472,.T.); #38758=FACE_OUTER_BOUND('',#61473,.T.); #38759=FACE_OUTER_BOUND('',#61474,.T.); #38760=FACE_OUTER_BOUND('',#61475,.T.); #38761=FACE_OUTER_BOUND('',#61476,.T.); #38762=FACE_OUTER_BOUND('',#61477,.T.); #38763=FACE_OUTER_BOUND('',#61478,.T.); #38764=FACE_OUTER_BOUND('',#61479,.T.); #38765=FACE_OUTER_BOUND('',#61480,.T.); #38766=FACE_OUTER_BOUND('',#61481,.T.); #38767=FACE_OUTER_BOUND('',#61482,.T.); #38768=FACE_OUTER_BOUND('',#61483,.T.); #38769=FACE_OUTER_BOUND('',#61484,.T.); #38770=FACE_OUTER_BOUND('',#61485,.T.); #38771=FACE_OUTER_BOUND('',#61486,.T.); #38772=FACE_OUTER_BOUND('',#61487,.T.); #38773=FACE_OUTER_BOUND('',#61488,.T.); #38774=FACE_OUTER_BOUND('',#61489,.T.); #38775=FACE_OUTER_BOUND('',#61490,.T.); #38776=FACE_OUTER_BOUND('',#61491,.T.); #38777=FACE_OUTER_BOUND('',#61492,.T.); #38778=FACE_OUTER_BOUND('',#61493,.T.); #38779=FACE_OUTER_BOUND('',#61494,.T.); #38780=FACE_OUTER_BOUND('',#61495,.T.); #38781=FACE_OUTER_BOUND('',#61496,.T.); #38782=FACE_OUTER_BOUND('',#61497,.T.); #38783=FACE_OUTER_BOUND('',#61498,.T.); #38784=FACE_OUTER_BOUND('',#61499,.T.); #38785=FACE_OUTER_BOUND('',#61500,.T.); #38786=FACE_OUTER_BOUND('',#61501,.T.); #38787=FACE_OUTER_BOUND('',#61502,.T.); #38788=FACE_OUTER_BOUND('',#61503,.T.); #38789=FACE_OUTER_BOUND('',#61504,.T.); #38790=FACE_OUTER_BOUND('',#61505,.T.); #38791=FACE_OUTER_BOUND('',#61506,.T.); #38792=FACE_OUTER_BOUND('',#61507,.T.); #38793=FACE_OUTER_BOUND('',#61508,.T.); #38794=FACE_OUTER_BOUND('',#61509,.T.); #38795=FACE_OUTER_BOUND('',#61510,.T.); #38796=FACE_OUTER_BOUND('',#61511,.T.); #38797=FACE_OUTER_BOUND('',#61512,.T.); #38798=FACE_OUTER_BOUND('',#61513,.T.); #38799=FACE_OUTER_BOUND('',#61514,.T.); #38800=FACE_OUTER_BOUND('',#61515,.T.); #38801=FACE_OUTER_BOUND('',#61516,.T.); #38802=FACE_OUTER_BOUND('',#61517,.T.); #38803=FACE_OUTER_BOUND('',#61518,.T.); #38804=FACE_OUTER_BOUND('',#61519,.T.); #38805=FACE_OUTER_BOUND('',#61520,.T.); #38806=FACE_OUTER_BOUND('',#61521,.T.); #38807=FACE_OUTER_BOUND('',#61522,.T.); #38808=FACE_OUTER_BOUND('',#61523,.T.); #38809=FACE_OUTER_BOUND('',#61524,.T.); #38810=FACE_OUTER_BOUND('',#61525,.T.); #38811=FACE_OUTER_BOUND('',#61526,.T.); #38812=FACE_OUTER_BOUND('',#61527,.T.); #38813=FACE_OUTER_BOUND('',#61528,.T.); #38814=FACE_OUTER_BOUND('',#61529,.T.); #38815=FACE_OUTER_BOUND('',#61530,.T.); #38816=FACE_OUTER_BOUND('',#61531,.T.); #38817=FACE_OUTER_BOUND('',#61532,.T.); #38818=FACE_OUTER_BOUND('',#61533,.T.); #38819=FACE_OUTER_BOUND('',#61534,.T.); #38820=FACE_OUTER_BOUND('',#61535,.T.); #38821=FACE_OUTER_BOUND('',#61536,.T.); #38822=FACE_OUTER_BOUND('',#61537,.T.); #38823=FACE_OUTER_BOUND('',#61538,.T.); #38824=FACE_OUTER_BOUND('',#61539,.T.); #38825=FACE_OUTER_BOUND('',#61540,.T.); #38826=FACE_OUTER_BOUND('',#61541,.T.); #38827=FACE_OUTER_BOUND('',#61542,.T.); #38828=FACE_OUTER_BOUND('',#61543,.T.); #38829=FACE_OUTER_BOUND('',#61544,.T.); #38830=FACE_OUTER_BOUND('',#61545,.T.); #38831=FACE_OUTER_BOUND('',#61546,.T.); #38832=FACE_OUTER_BOUND('',#61547,.T.); #38833=FACE_OUTER_BOUND('',#61548,.T.); #38834=FACE_OUTER_BOUND('',#61549,.T.); #38835=FACE_OUTER_BOUND('',#61550,.T.); #38836=FACE_OUTER_BOUND('',#61551,.T.); #38837=FACE_OUTER_BOUND('',#61552,.T.); #38838=FACE_OUTER_BOUND('',#61553,.T.); #38839=FACE_OUTER_BOUND('',#61554,.T.); #38840=FACE_OUTER_BOUND('',#61555,.T.); #38841=FACE_OUTER_BOUND('',#61556,.T.); #38842=FACE_OUTER_BOUND('',#61557,.T.); #38843=FACE_OUTER_BOUND('',#61558,.T.); #38844=FACE_OUTER_BOUND('',#61559,.T.); #38845=FACE_OUTER_BOUND('',#61560,.T.); #38846=FACE_OUTER_BOUND('',#61561,.T.); #38847=FACE_OUTER_BOUND('',#61562,.T.); #38848=FACE_OUTER_BOUND('',#61563,.T.); #38849=FACE_OUTER_BOUND('',#61564,.T.); #38850=FACE_OUTER_BOUND('',#61565,.T.); #38851=FACE_OUTER_BOUND('',#61566,.T.); #38852=FACE_OUTER_BOUND('',#61567,.T.); #38853=FACE_OUTER_BOUND('',#61568,.T.); #38854=FACE_OUTER_BOUND('',#61569,.T.); #38855=FACE_OUTER_BOUND('',#61570,.T.); #38856=FACE_OUTER_BOUND('',#61571,.T.); #38857=FACE_OUTER_BOUND('',#61572,.T.); #38858=FACE_OUTER_BOUND('',#61573,.T.); #38859=FACE_OUTER_BOUND('',#61574,.T.); #38860=FACE_OUTER_BOUND('',#61575,.T.); #38861=FACE_OUTER_BOUND('',#61576,.T.); #38862=FACE_OUTER_BOUND('',#61577,.T.); #38863=FACE_OUTER_BOUND('',#61578,.T.); #38864=FACE_OUTER_BOUND('',#61579,.T.); #38865=FACE_OUTER_BOUND('',#61580,.T.); #38866=FACE_OUTER_BOUND('',#61581,.T.); #38867=FACE_OUTER_BOUND('',#61582,.T.); #38868=FACE_OUTER_BOUND('',#61583,.T.); #38869=FACE_OUTER_BOUND('',#61584,.T.); #38870=FACE_OUTER_BOUND('',#61585,.T.); #38871=FACE_OUTER_BOUND('',#61586,.T.); #38872=FACE_OUTER_BOUND('',#61587,.T.); #38873=FACE_OUTER_BOUND('',#61588,.T.); #38874=FACE_OUTER_BOUND('',#61589,.T.); #38875=FACE_OUTER_BOUND('',#61590,.T.); #38876=FACE_OUTER_BOUND('',#61591,.T.); #38877=FACE_OUTER_BOUND('',#61592,.T.); #38878=FACE_OUTER_BOUND('',#61593,.T.); #38879=FACE_OUTER_BOUND('',#61594,.T.); #38880=FACE_OUTER_BOUND('',#61595,.T.); #38881=FACE_OUTER_BOUND('',#61596,.T.); #38882=FACE_OUTER_BOUND('',#61597,.T.); #38883=FACE_OUTER_BOUND('',#61598,.T.); #38884=FACE_OUTER_BOUND('',#61599,.T.); #38885=FACE_OUTER_BOUND('',#61600,.T.); #38886=FACE_OUTER_BOUND('',#61601,.T.); #38887=FACE_OUTER_BOUND('',#61602,.T.); #38888=FACE_OUTER_BOUND('',#61603,.T.); #38889=FACE_OUTER_BOUND('',#61604,.T.); #38890=FACE_OUTER_BOUND('',#61605,.T.); #38891=FACE_OUTER_BOUND('',#61606,.T.); #38892=FACE_OUTER_BOUND('',#61607,.T.); #38893=FACE_OUTER_BOUND('',#61608,.T.); #38894=FACE_OUTER_BOUND('',#61609,.T.); #38895=FACE_OUTER_BOUND('',#61610,.T.); #38896=FACE_OUTER_BOUND('',#61611,.T.); #38897=FACE_OUTER_BOUND('',#61612,.T.); #38898=FACE_OUTER_BOUND('',#61613,.T.); #38899=FACE_OUTER_BOUND('',#61614,.T.); #38900=FACE_OUTER_BOUND('',#61615,.T.); #38901=FACE_OUTER_BOUND('',#61616,.T.); #38902=FACE_OUTER_BOUND('',#61617,.T.); #38903=FACE_OUTER_BOUND('',#61618,.T.); #38904=FACE_OUTER_BOUND('',#61619,.T.); #38905=FACE_OUTER_BOUND('',#61620,.T.); #38906=FACE_OUTER_BOUND('',#61621,.T.); #38907=FACE_OUTER_BOUND('',#61622,.T.); #38908=FACE_OUTER_BOUND('',#61623,.T.); #38909=FACE_OUTER_BOUND('',#61624,.T.); #38910=FACE_OUTER_BOUND('',#61625,.T.); #38911=FACE_OUTER_BOUND('',#61626,.T.); #38912=FACE_OUTER_BOUND('',#61627,.T.); #38913=FACE_OUTER_BOUND('',#61628,.T.); #38914=FACE_OUTER_BOUND('',#61629,.T.); #38915=FACE_OUTER_BOUND('',#61630,.T.); #38916=FACE_OUTER_BOUND('',#61631,.T.); #38917=FACE_OUTER_BOUND('',#61632,.T.); #38918=FACE_OUTER_BOUND('',#61633,.T.); #38919=FACE_OUTER_BOUND('',#61634,.T.); #38920=FACE_OUTER_BOUND('',#61635,.T.); #38921=FACE_OUTER_BOUND('',#61636,.T.); #38922=FACE_OUTER_BOUND('',#61637,.T.); #38923=FACE_OUTER_BOUND('',#61638,.T.); #38924=FACE_OUTER_BOUND('',#61639,.T.); #38925=FACE_OUTER_BOUND('',#61640,.T.); #38926=FACE_OUTER_BOUND('',#61641,.T.); #38927=FACE_OUTER_BOUND('',#61642,.T.); #38928=FACE_OUTER_BOUND('',#61643,.T.); #38929=FACE_OUTER_BOUND('',#61644,.T.); #38930=FACE_OUTER_BOUND('',#61645,.T.); #38931=FACE_OUTER_BOUND('',#61646,.T.); #38932=FACE_OUTER_BOUND('',#61647,.T.); #38933=FACE_OUTER_BOUND('',#61648,.T.); #38934=FACE_OUTER_BOUND('',#61649,.T.); #38935=FACE_OUTER_BOUND('',#61652,.T.); #38936=FACE_OUTER_BOUND('',#61653,.T.); #38937=FACE_OUTER_BOUND('',#61654,.T.); #38938=FACE_OUTER_BOUND('',#61655,.T.); #38939=FACE_OUTER_BOUND('',#61656,.T.); #38940=FACE_OUTER_BOUND('',#61657,.T.); #38941=FACE_OUTER_BOUND('',#61658,.T.); #38942=FACE_OUTER_BOUND('',#61659,.T.); #38943=FACE_OUTER_BOUND('',#61660,.T.); #38944=FACE_OUTER_BOUND('',#61661,.T.); #38945=FACE_OUTER_BOUND('',#61662,.T.); #38946=FACE_OUTER_BOUND('',#61663,.T.); #38947=FACE_OUTER_BOUND('',#61665,.T.); #38948=FACE_OUTER_BOUND('',#61666,.T.); #38949=FACE_OUTER_BOUND('',#61667,.T.); #38950=FACE_OUTER_BOUND('',#61668,.T.); #38951=FACE_OUTER_BOUND('',#61669,.T.); #38952=FACE_OUTER_BOUND('',#61670,.T.); #38953=FACE_OUTER_BOUND('',#61671,.T.); #38954=FACE_OUTER_BOUND('',#61672,.T.); #38955=FACE_OUTER_BOUND('',#61673,.T.); #38956=FACE_OUTER_BOUND('',#61674,.T.); #38957=FACE_OUTER_BOUND('',#61675,.T.); #38958=FACE_OUTER_BOUND('',#61676,.T.); #38959=FACE_OUTER_BOUND('',#61677,.T.); #38960=FACE_OUTER_BOUND('',#61678,.T.); #38961=FACE_OUTER_BOUND('',#61679,.T.); #38962=FACE_OUTER_BOUND('',#61680,.T.); #38963=FACE_OUTER_BOUND('',#61681,.T.); #38964=FACE_OUTER_BOUND('',#61682,.T.); #38965=FACE_OUTER_BOUND('',#61683,.T.); #38966=FACE_OUTER_BOUND('',#61684,.T.); #38967=FACE_OUTER_BOUND('',#61685,.T.); #38968=FACE_OUTER_BOUND('',#61687,.T.); #38969=FACE_OUTER_BOUND('',#61688,.T.); #38970=FACE_OUTER_BOUND('',#61689,.T.); #38971=FACE_OUTER_BOUND('',#61690,.T.); #38972=FACE_OUTER_BOUND('',#61691,.T.); #38973=FACE_OUTER_BOUND('',#61692,.T.); #38974=FACE_OUTER_BOUND('',#61693,.T.); #38975=FACE_OUTER_BOUND('',#61694,.T.); #38976=FACE_OUTER_BOUND('',#61695,.T.); #38977=FACE_OUTER_BOUND('',#61696,.T.); #38978=FACE_OUTER_BOUND('',#61697,.T.); #38979=FACE_OUTER_BOUND('',#61698,.T.); #38980=FACE_OUTER_BOUND('',#61699,.T.); #38981=FACE_OUTER_BOUND('',#61700,.T.); #38982=FACE_OUTER_BOUND('',#61701,.T.); #38983=FACE_OUTER_BOUND('',#61702,.T.); #38984=FACE_OUTER_BOUND('',#61703,.T.); #38985=FACE_OUTER_BOUND('',#61704,.T.); #38986=FACE_OUTER_BOUND('',#61705,.T.); #38987=FACE_OUTER_BOUND('',#61706,.T.); #38988=FACE_OUTER_BOUND('',#61707,.T.); #38989=FACE_OUTER_BOUND('',#61708,.T.); #38990=FACE_OUTER_BOUND('',#61709,.T.); #38991=FACE_OUTER_BOUND('',#61710,.T.); #38992=FACE_OUTER_BOUND('',#61711,.T.); #38993=FACE_OUTER_BOUND('',#61712,.T.); #38994=FACE_OUTER_BOUND('',#61713,.T.); #38995=FACE_OUTER_BOUND('',#61714,.T.); #38996=FACE_OUTER_BOUND('',#61715,.T.); #38997=FACE_OUTER_BOUND('',#61716,.T.); #38998=FACE_OUTER_BOUND('',#61717,.T.); #38999=FACE_OUTER_BOUND('',#61718,.T.); #39000=FACE_OUTER_BOUND('',#61722,.T.); #39001=FACE_OUTER_BOUND('',#61723,.T.); #39002=FACE_OUTER_BOUND('',#61724,.T.); #39003=FACE_OUTER_BOUND('',#61725,.T.); #39004=FACE_OUTER_BOUND('',#61726,.T.); #39005=FACE_OUTER_BOUND('',#61727,.T.); #39006=FACE_OUTER_BOUND('',#61728,.T.); #39007=FACE_OUTER_BOUND('',#61729,.T.); #39008=FACE_OUTER_BOUND('',#61730,.T.); #39009=FACE_OUTER_BOUND('',#61731,.T.); #39010=FACE_OUTER_BOUND('',#61732,.T.); #39011=FACE_OUTER_BOUND('',#61733,.T.); #39012=FACE_OUTER_BOUND('',#61734,.T.); #39013=FACE_OUTER_BOUND('',#61735,.T.); #39014=FACE_OUTER_BOUND('',#61736,.T.); #39015=FACE_OUTER_BOUND('',#61737,.T.); #39016=FACE_OUTER_BOUND('',#61738,.T.); #39017=FACE_OUTER_BOUND('',#61739,.T.); #39018=FACE_OUTER_BOUND('',#61740,.T.); #39019=FACE_OUTER_BOUND('',#61741,.T.); #39020=FACE_OUTER_BOUND('',#61742,.T.); #39021=FACE_OUTER_BOUND('',#61743,.T.); #39022=FACE_OUTER_BOUND('',#61744,.T.); #39023=FACE_OUTER_BOUND('',#61745,.T.); #39024=FACE_OUTER_BOUND('',#61746,.T.); #39025=FACE_OUTER_BOUND('',#61747,.T.); #39026=FACE_OUTER_BOUND('',#61748,.T.); #39027=FACE_OUTER_BOUND('',#61749,.T.); #39028=FACE_OUTER_BOUND('',#61750,.T.); #39029=FACE_OUTER_BOUND('',#61751,.T.); #39030=FACE_OUTER_BOUND('',#61752,.T.); #39031=FACE_OUTER_BOUND('',#61753,.T.); #39032=FACE_OUTER_BOUND('',#61754,.T.); #39033=FACE_OUTER_BOUND('',#61755,.T.); #39034=FACE_OUTER_BOUND('',#61756,.T.); #39035=FACE_OUTER_BOUND('',#61757,.T.); #39036=FACE_OUTER_BOUND('',#61758,.T.); #39037=FACE_OUTER_BOUND('',#61759,.T.); #39038=FACE_OUTER_BOUND('',#61760,.T.); #39039=FACE_OUTER_BOUND('',#61761,.T.); #39040=FACE_OUTER_BOUND('',#61762,.T.); #39041=FACE_OUTER_BOUND('',#61763,.T.); #39042=FACE_OUTER_BOUND('',#61764,.T.); #39043=FACE_OUTER_BOUND('',#61765,.T.); #39044=FACE_OUTER_BOUND('',#61766,.T.); #39045=FACE_OUTER_BOUND('',#61767,.T.); #39046=FACE_OUTER_BOUND('',#61768,.T.); #39047=FACE_OUTER_BOUND('',#61769,.T.); #39048=FACE_OUTER_BOUND('',#61770,.T.); #39049=FACE_OUTER_BOUND('',#61771,.T.); #39050=FACE_OUTER_BOUND('',#61772,.T.); #39051=FACE_OUTER_BOUND('',#61773,.T.); #39052=FACE_OUTER_BOUND('',#61774,.T.); #39053=FACE_OUTER_BOUND('',#61775,.T.); #39054=FACE_OUTER_BOUND('',#61776,.T.); #39055=FACE_OUTER_BOUND('',#61777,.T.); #39056=FACE_OUTER_BOUND('',#61778,.T.); #39057=FACE_OUTER_BOUND('',#61779,.T.); #39058=FACE_OUTER_BOUND('',#61780,.T.); #39059=FACE_OUTER_BOUND('',#61781,.T.); #39060=FACE_OUTER_BOUND('',#61782,.T.); #39061=FACE_OUTER_BOUND('',#61783,.T.); #39062=FACE_OUTER_BOUND('',#61784,.T.); #39063=FACE_OUTER_BOUND('',#61785,.T.); #39064=FACE_OUTER_BOUND('',#61786,.T.); #39065=FACE_OUTER_BOUND('',#61787,.T.); #39066=FACE_OUTER_BOUND('',#61788,.T.); #39067=FACE_OUTER_BOUND('',#61789,.T.); #39068=FACE_OUTER_BOUND('',#61790,.T.); #39069=FACE_OUTER_BOUND('',#61791,.T.); #39070=FACE_OUTER_BOUND('',#61792,.T.); #39071=FACE_OUTER_BOUND('',#61793,.T.); #39072=FACE_OUTER_BOUND('',#61794,.T.); #39073=FACE_OUTER_BOUND('',#61795,.T.); #39074=FACE_OUTER_BOUND('',#61796,.T.); #39075=FACE_OUTER_BOUND('',#61797,.T.); #39076=FACE_OUTER_BOUND('',#61798,.T.); #39077=FACE_OUTER_BOUND('',#61799,.T.); #39078=FACE_OUTER_BOUND('',#61800,.T.); #39079=FACE_OUTER_BOUND('',#61801,.T.); #39080=FACE_OUTER_BOUND('',#61802,.T.); #39081=FACE_OUTER_BOUND('',#61803,.T.); #39082=FACE_OUTER_BOUND('',#61804,.T.); #39083=FACE_OUTER_BOUND('',#61805,.T.); #39084=FACE_OUTER_BOUND('',#61806,.T.); #39085=FACE_OUTER_BOUND('',#61807,.T.); #39086=FACE_OUTER_BOUND('',#61808,.T.); #39087=FACE_OUTER_BOUND('',#61809,.T.); #39088=FACE_OUTER_BOUND('',#61810,.T.); #39089=FACE_OUTER_BOUND('',#61811,.T.); #39090=FACE_OUTER_BOUND('',#61812,.T.); #39091=FACE_OUTER_BOUND('',#61813,.T.); #39092=FACE_OUTER_BOUND('',#61814,.T.); #39093=FACE_OUTER_BOUND('',#61815,.T.); #39094=FACE_OUTER_BOUND('',#61816,.T.); #39095=FACE_OUTER_BOUND('',#61817,.T.); #39096=FACE_OUTER_BOUND('',#61818,.T.); #39097=FACE_OUTER_BOUND('',#61819,.T.); #39098=FACE_OUTER_BOUND('',#61820,.T.); #39099=FACE_OUTER_BOUND('',#61821,.T.); #39100=FACE_OUTER_BOUND('',#61822,.T.); #39101=FACE_OUTER_BOUND('',#61823,.T.); #39102=FACE_OUTER_BOUND('',#61824,.T.); #39103=FACE_OUTER_BOUND('',#61825,.T.); #39104=FACE_OUTER_BOUND('',#61826,.T.); #39105=FACE_OUTER_BOUND('',#61827,.T.); #39106=FACE_OUTER_BOUND('',#61828,.T.); #39107=FACE_OUTER_BOUND('',#61829,.T.); #39108=FACE_OUTER_BOUND('',#61830,.T.); #39109=FACE_OUTER_BOUND('',#61831,.T.); #39110=FACE_OUTER_BOUND('',#61832,.T.); #39111=FACE_OUTER_BOUND('',#61833,.T.); #39112=FACE_OUTER_BOUND('',#61834,.T.); #39113=FACE_OUTER_BOUND('',#61835,.T.); #39114=FACE_OUTER_BOUND('',#61836,.T.); #39115=FACE_OUTER_BOUND('',#61837,.T.); #39116=FACE_OUTER_BOUND('',#61838,.T.); #39117=FACE_OUTER_BOUND('',#61839,.T.); #39118=FACE_OUTER_BOUND('',#61840,.T.); #39119=FACE_OUTER_BOUND('',#61841,.T.); #39120=FACE_OUTER_BOUND('',#61842,.T.); #39121=FACE_OUTER_BOUND('',#61843,.T.); #39122=FACE_OUTER_BOUND('',#61844,.T.); #39123=FACE_OUTER_BOUND('',#61845,.T.); #39124=FACE_OUTER_BOUND('',#61846,.T.); #39125=FACE_OUTER_BOUND('',#61847,.T.); #39126=FACE_OUTER_BOUND('',#61848,.T.); #39127=FACE_OUTER_BOUND('',#61849,.T.); #39128=FACE_OUTER_BOUND('',#61850,.T.); #39129=FACE_OUTER_BOUND('',#61851,.T.); #39130=FACE_OUTER_BOUND('',#61852,.T.); #39131=FACE_OUTER_BOUND('',#61853,.T.); #39132=FACE_OUTER_BOUND('',#61854,.T.); #39133=FACE_OUTER_BOUND('',#61855,.T.); #39134=FACE_OUTER_BOUND('',#61856,.T.); #39135=FACE_OUTER_BOUND('',#61857,.T.); #39136=FACE_OUTER_BOUND('',#61858,.T.); #39137=FACE_OUTER_BOUND('',#61859,.T.); #39138=FACE_OUTER_BOUND('',#61860,.T.); #39139=FACE_OUTER_BOUND('',#61861,.T.); #39140=FACE_OUTER_BOUND('',#61862,.T.); #39141=FACE_OUTER_BOUND('',#61863,.T.); #39142=FACE_OUTER_BOUND('',#61864,.T.); #39143=FACE_OUTER_BOUND('',#61865,.T.); #39144=FACE_OUTER_BOUND('',#61866,.T.); #39145=FACE_OUTER_BOUND('',#61867,.T.); #39146=FACE_OUTER_BOUND('',#61868,.T.); #39147=FACE_OUTER_BOUND('',#61869,.T.); #39148=FACE_OUTER_BOUND('',#61870,.T.); #39149=FACE_OUTER_BOUND('',#61871,.T.); #39150=FACE_OUTER_BOUND('',#61872,.T.); #39151=FACE_OUTER_BOUND('',#61873,.T.); #39152=FACE_OUTER_BOUND('',#61874,.T.); #39153=FACE_OUTER_BOUND('',#61875,.T.); #39154=FACE_OUTER_BOUND('',#61876,.T.); #39155=FACE_OUTER_BOUND('',#61877,.T.); #39156=FACE_OUTER_BOUND('',#61879,.T.); #39157=FACE_OUTER_BOUND('',#61880,.T.); #39158=FACE_OUTER_BOUND('',#61881,.T.); #39159=FACE_OUTER_BOUND('',#61882,.T.); #39160=FACE_OUTER_BOUND('',#61883,.T.); #39161=FACE_OUTER_BOUND('',#61884,.T.); #39162=FACE_OUTER_BOUND('',#61885,.T.); #39163=FACE_OUTER_BOUND('',#61886,.T.); #39164=FACE_OUTER_BOUND('',#61887,.T.); #39165=FACE_OUTER_BOUND('',#61888,.T.); #39166=FACE_OUTER_BOUND('',#61889,.T.); #39167=FACE_OUTER_BOUND('',#61890,.T.); #39168=FACE_OUTER_BOUND('',#61891,.T.); #39169=FACE_OUTER_BOUND('',#61892,.T.); #39170=FACE_OUTER_BOUND('',#61893,.T.); #39171=FACE_OUTER_BOUND('',#61894,.T.); #39172=FACE_OUTER_BOUND('',#61895,.T.); #39173=FACE_OUTER_BOUND('',#61896,.T.); #39174=FACE_OUTER_BOUND('',#61897,.T.); #39175=FACE_OUTER_BOUND('',#61898,.T.); #39176=FACE_OUTER_BOUND('',#61899,.T.); #39177=FACE_OUTER_BOUND('',#61900,.T.); #39178=FACE_OUTER_BOUND('',#61901,.T.); #39179=FACE_OUTER_BOUND('',#61902,.T.); #39180=FACE_OUTER_BOUND('',#61903,.T.); #39181=FACE_OUTER_BOUND('',#61904,.T.); #39182=FACE_OUTER_BOUND('',#61905,.T.); #39183=FACE_OUTER_BOUND('',#61906,.T.); #39184=FACE_OUTER_BOUND('',#61907,.T.); #39185=FACE_OUTER_BOUND('',#61908,.T.); #39186=FACE_OUTER_BOUND('',#61909,.T.); #39187=FACE_OUTER_BOUND('',#61910,.T.); #39188=FACE_OUTER_BOUND('',#61911,.T.); #39189=FACE_OUTER_BOUND('',#61912,.T.); #39190=FACE_OUTER_BOUND('',#61913,.T.); #39191=FACE_OUTER_BOUND('',#61914,.T.); #39192=FACE_OUTER_BOUND('',#61915,.T.); #39193=FACE_OUTER_BOUND('',#61916,.T.); #39194=FACE_OUTER_BOUND('',#61917,.T.); #39195=FACE_OUTER_BOUND('',#61918,.T.); #39196=FACE_OUTER_BOUND('',#61919,.T.); #39197=FACE_OUTER_BOUND('',#61920,.T.); #39198=FACE_OUTER_BOUND('',#61921,.T.); #39199=FACE_OUTER_BOUND('',#61922,.T.); #39200=FACE_OUTER_BOUND('',#61923,.T.); #39201=FACE_OUTER_BOUND('',#61924,.T.); #39202=FACE_OUTER_BOUND('',#61925,.T.); #39203=FACE_OUTER_BOUND('',#61926,.T.); #39204=FACE_OUTER_BOUND('',#61927,.T.); #39205=FACE_OUTER_BOUND('',#61928,.T.); #39206=FACE_OUTER_BOUND('',#61929,.T.); #39207=FACE_OUTER_BOUND('',#61930,.T.); #39208=FACE_OUTER_BOUND('',#61931,.T.); #39209=FACE_OUTER_BOUND('',#61932,.T.); #39210=FACE_OUTER_BOUND('',#61933,.T.); #39211=FACE_OUTER_BOUND('',#61934,.T.); #39212=FACE_OUTER_BOUND('',#61935,.T.); #39213=FACE_OUTER_BOUND('',#61936,.T.); #39214=FACE_OUTER_BOUND('',#61937,.T.); #39215=FACE_OUTER_BOUND('',#61938,.T.); #39216=FACE_OUTER_BOUND('',#61939,.T.); #39217=FACE_OUTER_BOUND('',#61940,.T.); #39218=FACE_OUTER_BOUND('',#61941,.T.); #39219=FACE_OUTER_BOUND('',#61942,.T.); #39220=FACE_OUTER_BOUND('',#61943,.T.); #39221=FACE_OUTER_BOUND('',#61944,.T.); #39222=FACE_OUTER_BOUND('',#61945,.T.); #39223=FACE_OUTER_BOUND('',#61946,.T.); #39224=FACE_OUTER_BOUND('',#61947,.T.); #39225=FACE_OUTER_BOUND('',#61948,.T.); #39226=FACE_OUTER_BOUND('',#61949,.T.); #39227=FACE_OUTER_BOUND('',#61950,.T.); #39228=FACE_OUTER_BOUND('',#61951,.T.); #39229=FACE_OUTER_BOUND('',#61952,.T.); #39230=FACE_OUTER_BOUND('',#61953,.T.); #39231=FACE_OUTER_BOUND('',#61954,.T.); #39232=FACE_OUTER_BOUND('',#61955,.T.); #39233=FACE_OUTER_BOUND('',#61956,.T.); #39234=FACE_OUTER_BOUND('',#61957,.T.); #39235=FACE_OUTER_BOUND('',#61958,.T.); #39236=FACE_OUTER_BOUND('',#61959,.T.); #39237=FACE_OUTER_BOUND('',#61960,.T.); #39238=FACE_OUTER_BOUND('',#61961,.T.); #39239=FACE_OUTER_BOUND('',#61962,.T.); #39240=FACE_OUTER_BOUND('',#61963,.T.); #39241=FACE_OUTER_BOUND('',#61964,.T.); #39242=FACE_OUTER_BOUND('',#61965,.T.); #39243=FACE_OUTER_BOUND('',#61966,.T.); #39244=FACE_OUTER_BOUND('',#61967,.T.); #39245=FACE_OUTER_BOUND('',#61968,.T.); #39246=FACE_OUTER_BOUND('',#61969,.T.); #39247=FACE_OUTER_BOUND('',#61970,.T.); #39248=FACE_OUTER_BOUND('',#61971,.T.); #39249=FACE_OUTER_BOUND('',#61972,.T.); #39250=FACE_OUTER_BOUND('',#61973,.T.); #39251=FACE_OUTER_BOUND('',#61974,.T.); #39252=FACE_OUTER_BOUND('',#61975,.T.); #39253=FACE_OUTER_BOUND('',#61976,.T.); #39254=FACE_OUTER_BOUND('',#61977,.T.); #39255=FACE_OUTER_BOUND('',#61978,.T.); #39256=FACE_OUTER_BOUND('',#61979,.T.); #39257=FACE_OUTER_BOUND('',#61980,.T.); #39258=FACE_OUTER_BOUND('',#61981,.T.); #39259=FACE_OUTER_BOUND('',#61982,.T.); #39260=FACE_OUTER_BOUND('',#61983,.T.); #39261=FACE_OUTER_BOUND('',#61984,.T.); #39262=FACE_OUTER_BOUND('',#61985,.T.); #39263=FACE_OUTER_BOUND('',#61986,.T.); #39264=FACE_OUTER_BOUND('',#61987,.T.); #39265=FACE_OUTER_BOUND('',#61988,.T.); #39266=FACE_OUTER_BOUND('',#61989,.T.); #39267=FACE_OUTER_BOUND('',#61990,.T.); #39268=FACE_OUTER_BOUND('',#61991,.T.); #39269=FACE_OUTER_BOUND('',#61992,.T.); #39270=FACE_OUTER_BOUND('',#61993,.T.); #39271=FACE_OUTER_BOUND('',#61994,.T.); #39272=FACE_OUTER_BOUND('',#61995,.T.); #39273=FACE_OUTER_BOUND('',#61996,.T.); #39274=FACE_OUTER_BOUND('',#61997,.T.); #39275=FACE_OUTER_BOUND('',#61998,.T.); #39276=FACE_OUTER_BOUND('',#61999,.T.); #39277=FACE_OUTER_BOUND('',#62000,.T.); #39278=FACE_OUTER_BOUND('',#62001,.T.); #39279=FACE_OUTER_BOUND('',#62002,.T.); #39280=FACE_OUTER_BOUND('',#62003,.T.); #39281=FACE_OUTER_BOUND('',#62004,.T.); #39282=FACE_OUTER_BOUND('',#62005,.T.); #39283=FACE_OUTER_BOUND('',#62006,.T.); #39284=FACE_OUTER_BOUND('',#62007,.T.); #39285=FACE_OUTER_BOUND('',#62008,.T.); #39286=FACE_OUTER_BOUND('',#62009,.T.); #39287=FACE_OUTER_BOUND('',#62010,.T.); #39288=FACE_OUTER_BOUND('',#62011,.T.); #39289=FACE_OUTER_BOUND('',#62012,.T.); #39290=FACE_OUTER_BOUND('',#62013,.T.); #39291=FACE_OUTER_BOUND('',#62014,.T.); #39292=FACE_OUTER_BOUND('',#62015,.T.); #39293=FACE_OUTER_BOUND('',#62016,.T.); #39294=FACE_OUTER_BOUND('',#62017,.T.); #39295=FACE_OUTER_BOUND('',#62018,.T.); #39296=FACE_OUTER_BOUND('',#62019,.T.); #39297=FACE_OUTER_BOUND('',#62020,.T.); #39298=FACE_OUTER_BOUND('',#62021,.T.); #39299=FACE_OUTER_BOUND('',#62022,.T.); #39300=FACE_OUTER_BOUND('',#62023,.T.); #39301=FACE_OUTER_BOUND('',#62024,.T.); #39302=FACE_OUTER_BOUND('',#62025,.T.); #39303=FACE_OUTER_BOUND('',#62026,.T.); #39304=FACE_OUTER_BOUND('',#62027,.T.); #39305=FACE_OUTER_BOUND('',#62028,.T.); #39306=FACE_OUTER_BOUND('',#62029,.T.); #39307=FACE_OUTER_BOUND('',#62030,.T.); #39308=FACE_OUTER_BOUND('',#62031,.T.); #39309=FACE_OUTER_BOUND('',#62032,.T.); #39310=FACE_OUTER_BOUND('',#62033,.T.); #39311=FACE_OUTER_BOUND('',#62034,.T.); #39312=FACE_OUTER_BOUND('',#62035,.T.); #39313=FACE_OUTER_BOUND('',#62036,.T.); #39314=FACE_OUTER_BOUND('',#62037,.T.); #39315=FACE_OUTER_BOUND('',#62038,.T.); #39316=FACE_OUTER_BOUND('',#62039,.T.); #39317=FACE_OUTER_BOUND('',#62040,.T.); #39318=FACE_OUTER_BOUND('',#62041,.T.); #39319=FACE_OUTER_BOUND('',#62042,.T.); #39320=FACE_OUTER_BOUND('',#62043,.T.); #39321=FACE_OUTER_BOUND('',#62044,.T.); #39322=FACE_OUTER_BOUND('',#62045,.T.); #39323=FACE_OUTER_BOUND('',#62046,.T.); #39324=FACE_OUTER_BOUND('',#62047,.T.); #39325=FACE_OUTER_BOUND('',#62048,.T.); #39326=FACE_OUTER_BOUND('',#62049,.T.); #39327=FACE_OUTER_BOUND('',#62050,.T.); #39328=FACE_OUTER_BOUND('',#62051,.T.); #39329=FACE_OUTER_BOUND('',#62052,.T.); #39330=FACE_OUTER_BOUND('',#62053,.T.); #39331=FACE_OUTER_BOUND('',#62054,.T.); #39332=FACE_OUTER_BOUND('',#62055,.T.); #39333=FACE_OUTER_BOUND('',#62056,.T.); #39334=FACE_OUTER_BOUND('',#62057,.T.); #39335=FACE_OUTER_BOUND('',#62058,.T.); #39336=FACE_OUTER_BOUND('',#62059,.T.); #39337=FACE_OUTER_BOUND('',#62060,.T.); #39338=FACE_OUTER_BOUND('',#62061,.T.); #39339=FACE_OUTER_BOUND('',#62062,.T.); #39340=FACE_OUTER_BOUND('',#62063,.T.); #39341=FACE_OUTER_BOUND('',#62064,.T.); #39342=FACE_OUTER_BOUND('',#62065,.T.); #39343=FACE_OUTER_BOUND('',#62066,.T.); #39344=FACE_OUTER_BOUND('',#62067,.T.); #39345=FACE_OUTER_BOUND('',#62068,.T.); #39346=FACE_OUTER_BOUND('',#62069,.T.); #39347=FACE_OUTER_BOUND('',#62070,.T.); #39348=FACE_OUTER_BOUND('',#62071,.T.); #39349=FACE_OUTER_BOUND('',#62072,.T.); #39350=FACE_OUTER_BOUND('',#62073,.T.); #39351=FACE_OUTER_BOUND('',#62074,.T.); #39352=FACE_OUTER_BOUND('',#62075,.T.); #39353=FACE_OUTER_BOUND('',#62076,.T.); #39354=FACE_OUTER_BOUND('',#62077,.T.); #39355=FACE_OUTER_BOUND('',#62078,.T.); #39356=FACE_OUTER_BOUND('',#62079,.T.); #39357=FACE_OUTER_BOUND('',#62080,.T.); #39358=FACE_OUTER_BOUND('',#62081,.T.); #39359=FACE_OUTER_BOUND('',#62082,.T.); #39360=FACE_OUTER_BOUND('',#62083,.T.); #39361=FACE_OUTER_BOUND('',#62084,.T.); #39362=FACE_OUTER_BOUND('',#62085,.T.); #39363=FACE_OUTER_BOUND('',#62086,.T.); #39364=FACE_OUTER_BOUND('',#62087,.T.); #39365=FACE_OUTER_BOUND('',#62088,.T.); #39366=FACE_OUTER_BOUND('',#62089,.T.); #39367=FACE_OUTER_BOUND('',#62090,.T.); #39368=FACE_OUTER_BOUND('',#62091,.T.); #39369=FACE_OUTER_BOUND('',#62092,.T.); #39370=FACE_OUTER_BOUND('',#62093,.T.); #39371=FACE_OUTER_BOUND('',#62094,.T.); #39372=FACE_OUTER_BOUND('',#62095,.T.); #39373=FACE_OUTER_BOUND('',#62096,.T.); #39374=FACE_OUTER_BOUND('',#62097,.T.); #39375=FACE_OUTER_BOUND('',#62098,.T.); #39376=FACE_OUTER_BOUND('',#62099,.T.); #39377=FACE_OUTER_BOUND('',#62100,.T.); #39378=FACE_OUTER_BOUND('',#62101,.T.); #39379=FACE_OUTER_BOUND('',#62102,.T.); #39380=FACE_OUTER_BOUND('',#62103,.T.); #39381=FACE_OUTER_BOUND('',#62104,.T.); #39382=FACE_OUTER_BOUND('',#62105,.T.); #39383=FACE_OUTER_BOUND('',#62106,.T.); #39384=FACE_OUTER_BOUND('',#62107,.T.); #39385=FACE_OUTER_BOUND('',#62108,.T.); #39386=FACE_OUTER_BOUND('',#62109,.T.); #39387=FACE_OUTER_BOUND('',#62110,.T.); #39388=FACE_OUTER_BOUND('',#62111,.T.); #39389=FACE_OUTER_BOUND('',#62112,.T.); #39390=FACE_OUTER_BOUND('',#62113,.T.); #39391=FACE_OUTER_BOUND('',#62114,.T.); #39392=FACE_OUTER_BOUND('',#62115,.T.); #39393=FACE_OUTER_BOUND('',#62116,.T.); #39394=FACE_OUTER_BOUND('',#62117,.T.); #39395=FACE_OUTER_BOUND('',#62118,.T.); #39396=FACE_OUTER_BOUND('',#62119,.T.); #39397=FACE_OUTER_BOUND('',#62120,.T.); #39398=FACE_OUTER_BOUND('',#62121,.T.); #39399=FACE_OUTER_BOUND('',#62122,.T.); #39400=FACE_OUTER_BOUND('',#62123,.T.); #39401=FACE_OUTER_BOUND('',#62124,.T.); #39402=FACE_OUTER_BOUND('',#62125,.T.); #39403=FACE_OUTER_BOUND('',#62126,.T.); #39404=FACE_OUTER_BOUND('',#62127,.T.); #39405=FACE_OUTER_BOUND('',#62128,.T.); #39406=FACE_OUTER_BOUND('',#62129,.T.); #39407=FACE_OUTER_BOUND('',#62130,.T.); #39408=FACE_OUTER_BOUND('',#62131,.T.); #39409=FACE_OUTER_BOUND('',#62132,.T.); #39410=FACE_OUTER_BOUND('',#62133,.T.); #39411=FACE_OUTER_BOUND('',#62134,.T.); #39412=FACE_OUTER_BOUND('',#62135,.T.); #39413=FACE_OUTER_BOUND('',#62136,.T.); #39414=FACE_OUTER_BOUND('',#62137,.T.); #39415=FACE_OUTER_BOUND('',#62138,.T.); #39416=FACE_OUTER_BOUND('',#62139,.T.); #39417=FACE_OUTER_BOUND('',#62140,.T.); #39418=FACE_OUTER_BOUND('',#62141,.T.); #39419=FACE_OUTER_BOUND('',#62142,.T.); #39420=FACE_OUTER_BOUND('',#62143,.T.); #39421=FACE_OUTER_BOUND('',#62144,.T.); #39422=FACE_OUTER_BOUND('',#62145,.T.); #39423=FACE_OUTER_BOUND('',#62146,.T.); #39424=FACE_OUTER_BOUND('',#62147,.T.); #39425=FACE_OUTER_BOUND('',#62148,.T.); #39426=FACE_OUTER_BOUND('',#62149,.T.); #39427=FACE_OUTER_BOUND('',#62150,.T.); #39428=FACE_OUTER_BOUND('',#62151,.T.); #39429=FACE_OUTER_BOUND('',#62152,.T.); #39430=FACE_OUTER_BOUND('',#62153,.T.); #39431=FACE_OUTER_BOUND('',#62154,.T.); #39432=FACE_OUTER_BOUND('',#62155,.T.); #39433=FACE_OUTER_BOUND('',#62156,.T.); #39434=FACE_OUTER_BOUND('',#62157,.T.); #39435=FACE_OUTER_BOUND('',#62158,.T.); #39436=FACE_OUTER_BOUND('',#62159,.T.); #39437=FACE_OUTER_BOUND('',#62160,.T.); #39438=FACE_OUTER_BOUND('',#62161,.T.); #39439=FACE_OUTER_BOUND('',#62162,.T.); #39440=FACE_OUTER_BOUND('',#62163,.T.); #39441=FACE_OUTER_BOUND('',#62164,.T.); #39442=FACE_OUTER_BOUND('',#62165,.T.); #39443=FACE_OUTER_BOUND('',#62166,.T.); #39444=FACE_OUTER_BOUND('',#62167,.T.); #39445=FACE_OUTER_BOUND('',#62168,.T.); #39446=FACE_OUTER_BOUND('',#62169,.T.); #39447=FACE_OUTER_BOUND('',#62170,.T.); #39448=FACE_OUTER_BOUND('',#62171,.T.); #39449=FACE_OUTER_BOUND('',#62172,.T.); #39450=FACE_OUTER_BOUND('',#62173,.T.); #39451=FACE_OUTER_BOUND('',#62174,.T.); #39452=FACE_OUTER_BOUND('',#62175,.T.); #39453=FACE_OUTER_BOUND('',#62176,.T.); #39454=FACE_OUTER_BOUND('',#62177,.T.); #39455=FACE_OUTER_BOUND('',#62178,.T.); #39456=FACE_OUTER_BOUND('',#62179,.T.); #39457=FACE_OUTER_BOUND('',#62180,.T.); #39458=FACE_OUTER_BOUND('',#62181,.T.); #39459=FACE_OUTER_BOUND('',#62182,.T.); #39460=FACE_OUTER_BOUND('',#62183,.T.); #39461=FACE_OUTER_BOUND('',#62184,.T.); #39462=FACE_OUTER_BOUND('',#62185,.T.); #39463=FACE_OUTER_BOUND('',#62186,.T.); #39464=FACE_OUTER_BOUND('',#62187,.T.); #39465=FACE_OUTER_BOUND('',#62188,.T.); #39466=FACE_OUTER_BOUND('',#62189,.T.); #39467=FACE_OUTER_BOUND('',#62190,.T.); #39468=FACE_OUTER_BOUND('',#62191,.T.); #39469=FACE_OUTER_BOUND('',#62192,.T.); #39470=FACE_OUTER_BOUND('',#62193,.T.); #39471=FACE_OUTER_BOUND('',#62194,.T.); #39472=FACE_OUTER_BOUND('',#62195,.T.); #39473=FACE_OUTER_BOUND('',#62196,.T.); #39474=FACE_OUTER_BOUND('',#62197,.T.); #39475=FACE_OUTER_BOUND('',#62198,.T.); #39476=FACE_OUTER_BOUND('',#62199,.T.); #39477=FACE_OUTER_BOUND('',#62200,.T.); #39478=FACE_OUTER_BOUND('',#62201,.T.); #39479=FACE_OUTER_BOUND('',#62202,.T.); #39480=FACE_OUTER_BOUND('',#62203,.T.); #39481=FACE_OUTER_BOUND('',#62204,.T.); #39482=FACE_OUTER_BOUND('',#62205,.T.); #39483=FACE_OUTER_BOUND('',#62206,.T.); #39484=FACE_OUTER_BOUND('',#62207,.T.); #39485=FACE_OUTER_BOUND('',#62208,.T.); #39486=FACE_OUTER_BOUND('',#62209,.T.); #39487=FACE_OUTER_BOUND('',#62210,.T.); #39488=FACE_OUTER_BOUND('',#62211,.T.); #39489=FACE_OUTER_BOUND('',#62212,.T.); #39490=FACE_OUTER_BOUND('',#62213,.T.); #39491=FACE_OUTER_BOUND('',#62214,.T.); #39492=FACE_OUTER_BOUND('',#62215,.T.); #39493=FACE_OUTER_BOUND('',#62216,.T.); #39494=FACE_OUTER_BOUND('',#62217,.T.); #39495=FACE_OUTER_BOUND('',#62218,.T.); #39496=FACE_OUTER_BOUND('',#62219,.T.); #39497=FACE_OUTER_BOUND('',#62220,.T.); #39498=FACE_OUTER_BOUND('',#62221,.T.); #39499=FACE_OUTER_BOUND('',#62222,.T.); #39500=FACE_OUTER_BOUND('',#62223,.T.); #39501=FACE_OUTER_BOUND('',#62224,.T.); #39502=FACE_OUTER_BOUND('',#62225,.T.); #39503=FACE_OUTER_BOUND('',#62226,.T.); #39504=FACE_OUTER_BOUND('',#62227,.T.); #39505=FACE_OUTER_BOUND('',#62228,.T.); #39506=FACE_OUTER_BOUND('',#62229,.T.); #39507=FACE_OUTER_BOUND('',#62230,.T.); #39508=FACE_OUTER_BOUND('',#62231,.T.); #39509=FACE_OUTER_BOUND('',#62232,.T.); #39510=FACE_OUTER_BOUND('',#62233,.T.); #39511=FACE_OUTER_BOUND('',#62234,.T.); #39512=FACE_OUTER_BOUND('',#62235,.T.); #39513=FACE_OUTER_BOUND('',#62236,.T.); #39514=FACE_OUTER_BOUND('',#62237,.T.); #39515=FACE_OUTER_BOUND('',#62238,.T.); #39516=FACE_OUTER_BOUND('',#62239,.T.); #39517=FACE_OUTER_BOUND('',#62240,.T.); #39518=FACE_OUTER_BOUND('',#62241,.T.); #39519=FACE_OUTER_BOUND('',#62242,.T.); #39520=FACE_OUTER_BOUND('',#62243,.T.); #39521=FACE_OUTER_BOUND('',#62244,.T.); #39522=FACE_OUTER_BOUND('',#62245,.T.); #39523=FACE_OUTER_BOUND('',#62246,.T.); #39524=FACE_OUTER_BOUND('',#62247,.T.); #39525=FACE_OUTER_BOUND('',#62248,.T.); #39526=FACE_OUTER_BOUND('',#62249,.T.); #39527=FACE_OUTER_BOUND('',#62250,.T.); #39528=FACE_OUTER_BOUND('',#62251,.T.); #39529=FACE_OUTER_BOUND('',#62252,.T.); #39530=FACE_OUTER_BOUND('',#62253,.T.); #39531=FACE_OUTER_BOUND('',#62254,.T.); #39532=FACE_OUTER_BOUND('',#62255,.T.); #39533=FACE_OUTER_BOUND('',#62256,.T.); #39534=FACE_OUTER_BOUND('',#62257,.T.); #39535=FACE_OUTER_BOUND('',#62258,.T.); #39536=FACE_OUTER_BOUND('',#62259,.T.); #39537=FACE_OUTER_BOUND('',#62260,.T.); #39538=FACE_OUTER_BOUND('',#62261,.T.); #39539=FACE_OUTER_BOUND('',#62262,.T.); #39540=FACE_OUTER_BOUND('',#62263,.T.); #39541=FACE_OUTER_BOUND('',#62264,.T.); #39542=FACE_OUTER_BOUND('',#62265,.T.); #39543=FACE_OUTER_BOUND('',#62266,.T.); #39544=FACE_OUTER_BOUND('',#62267,.T.); #39545=FACE_OUTER_BOUND('',#62268,.T.); #39546=FACE_OUTER_BOUND('',#62269,.T.); #39547=FACE_OUTER_BOUND('',#62270,.T.); #39548=FACE_OUTER_BOUND('',#62271,.T.); #39549=FACE_OUTER_BOUND('',#62272,.T.); #39550=FACE_OUTER_BOUND('',#62273,.T.); #39551=FACE_OUTER_BOUND('',#62274,.T.); #39552=FACE_OUTER_BOUND('',#62275,.T.); #39553=FACE_OUTER_BOUND('',#62276,.T.); #39554=FACE_OUTER_BOUND('',#62277,.T.); #39555=FACE_OUTER_BOUND('',#62278,.T.); #39556=FACE_OUTER_BOUND('',#62279,.T.); #39557=FACE_OUTER_BOUND('',#62280,.T.); #39558=FACE_OUTER_BOUND('',#62281,.T.); #39559=FACE_OUTER_BOUND('',#62282,.T.); #39560=FACE_OUTER_BOUND('',#62283,.T.); #39561=FACE_OUTER_BOUND('',#62284,.T.); #39562=FACE_OUTER_BOUND('',#62285,.T.); #39563=FACE_OUTER_BOUND('',#62286,.T.); #39564=FACE_OUTER_BOUND('',#62287,.T.); #39565=FACE_OUTER_BOUND('',#62288,.T.); #39566=FACE_OUTER_BOUND('',#62289,.T.); #39567=FACE_OUTER_BOUND('',#62290,.T.); #39568=FACE_OUTER_BOUND('',#62291,.T.); #39569=FACE_OUTER_BOUND('',#62292,.T.); #39570=FACE_OUTER_BOUND('',#62293,.T.); #39571=FACE_OUTER_BOUND('',#62294,.T.); #39572=FACE_OUTER_BOUND('',#62295,.T.); #39573=FACE_OUTER_BOUND('',#62296,.T.); #39574=FACE_OUTER_BOUND('',#62297,.T.); #39575=FACE_OUTER_BOUND('',#62298,.T.); #39576=FACE_OUTER_BOUND('',#62299,.T.); #39577=FACE_OUTER_BOUND('',#62300,.T.); #39578=FACE_OUTER_BOUND('',#62301,.T.); #39579=FACE_OUTER_BOUND('',#62302,.T.); #39580=FACE_OUTER_BOUND('',#62303,.T.); #39581=FACE_OUTER_BOUND('',#62304,.T.); #39582=FACE_OUTER_BOUND('',#62305,.T.); #39583=FACE_OUTER_BOUND('',#62306,.T.); #39584=FACE_OUTER_BOUND('',#62307,.T.); #39585=FACE_OUTER_BOUND('',#62308,.T.); #39586=FACE_OUTER_BOUND('',#62309,.T.); #39587=FACE_OUTER_BOUND('',#62310,.T.); #39588=FACE_OUTER_BOUND('',#62311,.T.); #39589=FACE_OUTER_BOUND('',#62312,.T.); #39590=FACE_OUTER_BOUND('',#62313,.T.); #39591=FACE_OUTER_BOUND('',#62314,.T.); #39592=FACE_OUTER_BOUND('',#62315,.T.); #39593=FACE_OUTER_BOUND('',#62316,.T.); #39594=FACE_OUTER_BOUND('',#62317,.T.); #39595=FACE_OUTER_BOUND('',#62318,.T.); #39596=FACE_OUTER_BOUND('',#62319,.T.); #39597=FACE_OUTER_BOUND('',#62320,.T.); #39598=FACE_OUTER_BOUND('',#62321,.T.); #39599=FACE_OUTER_BOUND('',#62322,.T.); #39600=FACE_OUTER_BOUND('',#62323,.T.); #39601=FACE_OUTER_BOUND('',#62324,.T.); #39602=FACE_OUTER_BOUND('',#62325,.T.); #39603=FACE_OUTER_BOUND('',#62326,.T.); #39604=FACE_OUTER_BOUND('',#62327,.T.); #39605=FACE_OUTER_BOUND('',#62328,.T.); #39606=FACE_OUTER_BOUND('',#62329,.T.); #39607=FACE_OUTER_BOUND('',#62330,.T.); #39608=FACE_OUTER_BOUND('',#62331,.T.); #39609=FACE_OUTER_BOUND('',#62332,.T.); #39610=FACE_OUTER_BOUND('',#62333,.T.); #39611=FACE_OUTER_BOUND('',#62334,.T.); #39612=FACE_OUTER_BOUND('',#62335,.T.); #39613=FACE_OUTER_BOUND('',#62336,.T.); #39614=FACE_OUTER_BOUND('',#62337,.T.); #39615=FACE_OUTER_BOUND('',#62338,.T.); #39616=FACE_OUTER_BOUND('',#62339,.T.); #39617=FACE_OUTER_BOUND('',#62340,.T.); #39618=FACE_OUTER_BOUND('',#62341,.T.); #39619=FACE_OUTER_BOUND('',#62342,.T.); #39620=FACE_OUTER_BOUND('',#62343,.T.); #39621=FACE_OUTER_BOUND('',#62344,.T.); #39622=FACE_OUTER_BOUND('',#62345,.T.); #39623=FACE_OUTER_BOUND('',#62346,.T.); #39624=FACE_OUTER_BOUND('',#62347,.T.); #39625=FACE_OUTER_BOUND('',#62348,.T.); #39626=FACE_OUTER_BOUND('',#62349,.T.); #39627=FACE_OUTER_BOUND('',#62350,.T.); #39628=FACE_OUTER_BOUND('',#62351,.T.); #39629=FACE_OUTER_BOUND('',#62352,.T.); #39630=FACE_OUTER_BOUND('',#62353,.T.); #39631=FACE_OUTER_BOUND('',#62354,.T.); #39632=FACE_OUTER_BOUND('',#62355,.T.); #39633=FACE_OUTER_BOUND('',#62356,.T.); #39634=FACE_OUTER_BOUND('',#62357,.T.); #39635=FACE_OUTER_BOUND('',#62358,.T.); #39636=FACE_OUTER_BOUND('',#62359,.T.); #39637=FACE_OUTER_BOUND('',#62360,.T.); #39638=FACE_OUTER_BOUND('',#62361,.T.); #39639=FACE_OUTER_BOUND('',#62362,.T.); #39640=FACE_OUTER_BOUND('',#62363,.T.); #39641=FACE_OUTER_BOUND('',#62364,.T.); #39642=FACE_OUTER_BOUND('',#62365,.T.); #39643=FACE_OUTER_BOUND('',#62366,.T.); #39644=FACE_OUTER_BOUND('',#62367,.T.); #39645=FACE_OUTER_BOUND('',#62368,.T.); #39646=FACE_OUTER_BOUND('',#62369,.T.); #39647=FACE_OUTER_BOUND('',#62370,.T.); #39648=FACE_OUTER_BOUND('',#62371,.T.); #39649=FACE_OUTER_BOUND('',#62372,.T.); #39650=FACE_OUTER_BOUND('',#62373,.T.); #39651=FACE_OUTER_BOUND('',#62374,.T.); #39652=FACE_OUTER_BOUND('',#62375,.T.); #39653=FACE_OUTER_BOUND('',#62376,.T.); #39654=FACE_OUTER_BOUND('',#62377,.T.); #39655=FACE_OUTER_BOUND('',#62378,.T.); #39656=FACE_OUTER_BOUND('',#62380,.T.); #39657=FACE_OUTER_BOUND('',#62381,.T.); #39658=FACE_OUTER_BOUND('',#62382,.T.); #39659=FACE_OUTER_BOUND('',#62383,.T.); #39660=FACE_OUTER_BOUND('',#62384,.T.); #39661=FACE_OUTER_BOUND('',#62385,.T.); #39662=FACE_OUTER_BOUND('',#62386,.T.); #39663=FACE_OUTER_BOUND('',#62387,.T.); #39664=FACE_OUTER_BOUND('',#62388,.T.); #39665=FACE_OUTER_BOUND('',#62389,.T.); #39666=FACE_OUTER_BOUND('',#62390,.T.); #39667=FACE_OUTER_BOUND('',#62391,.T.); #39668=FACE_OUTER_BOUND('',#62392,.T.); #39669=FACE_OUTER_BOUND('',#62393,.T.); #39670=FACE_OUTER_BOUND('',#62394,.T.); #39671=FACE_OUTER_BOUND('',#62395,.T.); #39672=FACE_OUTER_BOUND('',#62396,.T.); #39673=FACE_OUTER_BOUND('',#62397,.T.); #39674=FACE_OUTER_BOUND('',#62398,.T.); #39675=FACE_OUTER_BOUND('',#62399,.T.); #39676=FACE_OUTER_BOUND('',#62400,.T.); #39677=FACE_OUTER_BOUND('',#62401,.T.); #39678=FACE_OUTER_BOUND('',#62402,.T.); #39679=FACE_OUTER_BOUND('',#62403,.T.); #39680=FACE_OUTER_BOUND('',#62404,.T.); #39681=FACE_OUTER_BOUND('',#62405,.T.); #39682=FACE_OUTER_BOUND('',#62406,.T.); #39683=FACE_OUTER_BOUND('',#62407,.T.); #39684=FACE_OUTER_BOUND('',#62408,.T.); #39685=FACE_OUTER_BOUND('',#62409,.T.); #39686=FACE_OUTER_BOUND('',#62410,.T.); #39687=FACE_OUTER_BOUND('',#62411,.T.); #39688=FACE_OUTER_BOUND('',#62412,.T.); #39689=FACE_OUTER_BOUND('',#62413,.T.); #39690=FACE_OUTER_BOUND('',#62414,.T.); #39691=FACE_OUTER_BOUND('',#62415,.T.); #39692=FACE_OUTER_BOUND('',#62416,.T.); #39693=FACE_OUTER_BOUND('',#62417,.T.); #39694=FACE_OUTER_BOUND('',#62418,.T.); #39695=FACE_OUTER_BOUND('',#62419,.T.); #39696=FACE_OUTER_BOUND('',#62420,.T.); #39697=FACE_OUTER_BOUND('',#62421,.T.); #39698=FACE_OUTER_BOUND('',#62422,.T.); #39699=FACE_OUTER_BOUND('',#62423,.T.); #39700=FACE_OUTER_BOUND('',#62424,.T.); #39701=FACE_OUTER_BOUND('',#62425,.T.); #39702=FACE_OUTER_BOUND('',#62426,.T.); #39703=FACE_OUTER_BOUND('',#62427,.T.); #39704=FACE_OUTER_BOUND('',#62428,.T.); #39705=FACE_OUTER_BOUND('',#62429,.T.); #39706=FACE_OUTER_BOUND('',#62430,.T.); #39707=FACE_OUTER_BOUND('',#62431,.T.); #39708=FACE_OUTER_BOUND('',#62432,.T.); #39709=FACE_OUTER_BOUND('',#62433,.T.); #39710=FACE_OUTER_BOUND('',#62434,.T.); #39711=FACE_OUTER_BOUND('',#62435,.T.); #39712=FACE_OUTER_BOUND('',#62436,.T.); #39713=FACE_OUTER_BOUND('',#62437,.T.); #39714=FACE_OUTER_BOUND('',#62438,.T.); #39715=FACE_OUTER_BOUND('',#62439,.T.); #39716=FACE_OUTER_BOUND('',#62440,.T.); #39717=FACE_OUTER_BOUND('',#62441,.T.); #39718=FACE_OUTER_BOUND('',#62442,.T.); #39719=FACE_OUTER_BOUND('',#62443,.T.); #39720=FACE_OUTER_BOUND('',#62444,.T.); #39721=FACE_OUTER_BOUND('',#62445,.T.); #39722=FACE_OUTER_BOUND('',#62446,.T.); #39723=FACE_OUTER_BOUND('',#62447,.T.); #39724=FACE_OUTER_BOUND('',#62448,.T.); #39725=FACE_OUTER_BOUND('',#62449,.T.); #39726=FACE_OUTER_BOUND('',#62450,.T.); #39727=FACE_OUTER_BOUND('',#62451,.T.); #39728=FACE_OUTER_BOUND('',#62452,.T.); #39729=FACE_OUTER_BOUND('',#62453,.T.); #39730=FACE_OUTER_BOUND('',#62454,.T.); #39731=FACE_OUTER_BOUND('',#62455,.T.); #39732=FACE_OUTER_BOUND('',#62456,.T.); #39733=FACE_OUTER_BOUND('',#62457,.T.); #39734=FACE_OUTER_BOUND('',#62458,.T.); #39735=FACE_OUTER_BOUND('',#62459,.T.); #39736=FACE_OUTER_BOUND('',#62460,.T.); #39737=FACE_OUTER_BOUND('',#62461,.T.); #39738=FACE_OUTER_BOUND('',#62462,.T.); #39739=FACE_OUTER_BOUND('',#62463,.T.); #39740=FACE_OUTER_BOUND('',#62464,.T.); #39741=FACE_OUTER_BOUND('',#62465,.T.); #39742=FACE_OUTER_BOUND('',#62466,.T.); #39743=FACE_OUTER_BOUND('',#62467,.T.); #39744=FACE_OUTER_BOUND('',#62468,.T.); #39745=FACE_OUTER_BOUND('',#62469,.T.); #39746=FACE_OUTER_BOUND('',#62470,.T.); #39747=FACE_OUTER_BOUND('',#62471,.T.); #39748=FACE_OUTER_BOUND('',#62472,.T.); #39749=FACE_OUTER_BOUND('',#62473,.T.); #39750=FACE_OUTER_BOUND('',#62474,.T.); #39751=FACE_OUTER_BOUND('',#62475,.T.); #39752=FACE_OUTER_BOUND('',#62476,.T.); #39753=FACE_OUTER_BOUND('',#62477,.T.); #39754=FACE_OUTER_BOUND('',#62478,.T.); #39755=FACE_OUTER_BOUND('',#62479,.T.); #39756=FACE_OUTER_BOUND('',#62480,.T.); #39757=FACE_OUTER_BOUND('',#62481,.T.); #39758=FACE_OUTER_BOUND('',#62482,.T.); #39759=FACE_OUTER_BOUND('',#62483,.T.); #39760=FACE_OUTER_BOUND('',#62484,.T.); #39761=FACE_OUTER_BOUND('',#62485,.T.); #39762=FACE_OUTER_BOUND('',#62486,.T.); #39763=FACE_OUTER_BOUND('',#62487,.T.); #39764=FACE_OUTER_BOUND('',#62488,.T.); #39765=FACE_OUTER_BOUND('',#62489,.T.); #39766=FACE_OUTER_BOUND('',#62490,.T.); #39767=FACE_OUTER_BOUND('',#62491,.T.); #39768=FACE_OUTER_BOUND('',#62492,.T.); #39769=FACE_OUTER_BOUND('',#62493,.T.); #39770=FACE_OUTER_BOUND('',#62494,.T.); #39771=FACE_OUTER_BOUND('',#62495,.T.); #39772=FACE_OUTER_BOUND('',#62496,.T.); #39773=FACE_OUTER_BOUND('',#62497,.T.); #39774=FACE_OUTER_BOUND('',#62498,.T.); #39775=FACE_OUTER_BOUND('',#62499,.T.); #39776=FACE_OUTER_BOUND('',#62500,.T.); #39777=FACE_OUTER_BOUND('',#62501,.T.); #39778=FACE_OUTER_BOUND('',#62502,.T.); #39779=FACE_OUTER_BOUND('',#62503,.T.); #39780=FACE_OUTER_BOUND('',#62504,.T.); #39781=FACE_OUTER_BOUND('',#62505,.T.); #39782=FACE_OUTER_BOUND('',#62506,.T.); #39783=FACE_OUTER_BOUND('',#62507,.T.); #39784=FACE_OUTER_BOUND('',#62509,.T.); #39785=FACE_OUTER_BOUND('',#62510,.T.); #39786=FACE_OUTER_BOUND('',#62511,.T.); #39787=FACE_OUTER_BOUND('',#62512,.T.); #39788=FACE_OUTER_BOUND('',#62513,.T.); #39789=FACE_OUTER_BOUND('',#62514,.T.); #39790=FACE_OUTER_BOUND('',#62515,.T.); #39791=FACE_OUTER_BOUND('',#62516,.T.); #39792=FACE_OUTER_BOUND('',#62517,.T.); #39793=FACE_OUTER_BOUND('',#62518,.T.); #39794=FACE_OUTER_BOUND('',#62519,.T.); #39795=FACE_OUTER_BOUND('',#62520,.T.); #39796=FACE_OUTER_BOUND('',#62521,.T.); #39797=FACE_OUTER_BOUND('',#62522,.T.); #39798=FACE_OUTER_BOUND('',#62523,.T.); #39799=FACE_OUTER_BOUND('',#62524,.T.); #39800=FACE_OUTER_BOUND('',#62525,.T.); #39801=FACE_OUTER_BOUND('',#62526,.T.); #39802=FACE_OUTER_BOUND('',#62527,.T.); #39803=FACE_OUTER_BOUND('',#62528,.T.); #39804=FACE_OUTER_BOUND('',#62529,.T.); #39805=FACE_OUTER_BOUND('',#62530,.T.); #39806=FACE_OUTER_BOUND('',#62531,.T.); #39807=FACE_OUTER_BOUND('',#62532,.T.); #39808=FACE_OUTER_BOUND('',#62533,.T.); #39809=FACE_OUTER_BOUND('',#62534,.T.); #39810=FACE_OUTER_BOUND('',#62535,.T.); #39811=FACE_OUTER_BOUND('',#62536,.T.); #39812=FACE_OUTER_BOUND('',#62537,.T.); #39813=FACE_OUTER_BOUND('',#62538,.T.); #39814=FACE_OUTER_BOUND('',#62539,.T.); #39815=FACE_OUTER_BOUND('',#62540,.T.); #39816=FACE_OUTER_BOUND('',#62541,.T.); #39817=FACE_OUTER_BOUND('',#62542,.T.); #39818=FACE_OUTER_BOUND('',#62543,.T.); #39819=FACE_OUTER_BOUND('',#62544,.T.); #39820=FACE_OUTER_BOUND('',#62545,.T.); #39821=FACE_OUTER_BOUND('',#62546,.T.); #39822=FACE_OUTER_BOUND('',#62547,.T.); #39823=FACE_OUTER_BOUND('',#62548,.T.); #39824=FACE_OUTER_BOUND('',#62549,.T.); #39825=FACE_OUTER_BOUND('',#62550,.T.); #39826=FACE_OUTER_BOUND('',#62551,.T.); #39827=FACE_OUTER_BOUND('',#62552,.T.); #39828=FACE_OUTER_BOUND('',#62553,.T.); #39829=FACE_OUTER_BOUND('',#62554,.T.); #39830=FACE_OUTER_BOUND('',#62555,.T.); #39831=FACE_OUTER_BOUND('',#62556,.T.); #39832=FACE_OUTER_BOUND('',#62557,.T.); #39833=FACE_OUTER_BOUND('',#62558,.T.); #39834=FACE_OUTER_BOUND('',#62559,.T.); #39835=FACE_OUTER_BOUND('',#62560,.T.); #39836=FACE_OUTER_BOUND('',#62561,.T.); #39837=FACE_OUTER_BOUND('',#62562,.T.); #39838=FACE_OUTER_BOUND('',#62563,.T.); #39839=FACE_OUTER_BOUND('',#62564,.T.); #39840=FACE_OUTER_BOUND('',#62565,.T.); #39841=FACE_OUTER_BOUND('',#62566,.T.); #39842=FACE_OUTER_BOUND('',#62567,.T.); #39843=FACE_OUTER_BOUND('',#62568,.T.); #39844=FACE_OUTER_BOUND('',#62569,.T.); #39845=FACE_OUTER_BOUND('',#62570,.T.); #39846=FACE_OUTER_BOUND('',#62571,.T.); #39847=FACE_OUTER_BOUND('',#62572,.T.); #39848=FACE_OUTER_BOUND('',#62573,.T.); #39849=FACE_OUTER_BOUND('',#62574,.T.); #39850=FACE_OUTER_BOUND('',#62575,.T.); #39851=FACE_OUTER_BOUND('',#62576,.T.); #39852=FACE_OUTER_BOUND('',#62577,.T.); #39853=FACE_OUTER_BOUND('',#62578,.T.); #39854=FACE_OUTER_BOUND('',#62579,.T.); #39855=FACE_OUTER_BOUND('',#62580,.T.); #39856=FACE_OUTER_BOUND('',#62581,.T.); #39857=FACE_OUTER_BOUND('',#62582,.T.); #39858=FACE_OUTER_BOUND('',#62583,.T.); #39859=FACE_OUTER_BOUND('',#62584,.T.); #39860=FACE_OUTER_BOUND('',#62585,.T.); #39861=FACE_OUTER_BOUND('',#62586,.T.); #39862=FACE_OUTER_BOUND('',#62587,.T.); #39863=FACE_OUTER_BOUND('',#62588,.T.); #39864=FACE_OUTER_BOUND('',#62589,.T.); #39865=FACE_OUTER_BOUND('',#62590,.T.); #39866=FACE_OUTER_BOUND('',#62591,.T.); #39867=FACE_OUTER_BOUND('',#62592,.T.); #39868=FACE_OUTER_BOUND('',#62593,.T.); #39869=FACE_OUTER_BOUND('',#62594,.T.); #39870=FACE_OUTER_BOUND('',#62595,.T.); #39871=FACE_OUTER_BOUND('',#62596,.T.); #39872=FACE_OUTER_BOUND('',#62597,.T.); #39873=FACE_OUTER_BOUND('',#62598,.T.); #39874=FACE_OUTER_BOUND('',#62599,.T.); #39875=FACE_OUTER_BOUND('',#62600,.T.); #39876=FACE_OUTER_BOUND('',#62601,.T.); #39877=FACE_OUTER_BOUND('',#62602,.T.); #39878=FACE_OUTER_BOUND('',#62603,.T.); #39879=FACE_OUTER_BOUND('',#62604,.T.); #39880=FACE_OUTER_BOUND('',#62605,.T.); #39881=FACE_OUTER_BOUND('',#62606,.T.); #39882=FACE_OUTER_BOUND('',#62607,.T.); #39883=FACE_OUTER_BOUND('',#62608,.T.); #39884=FACE_OUTER_BOUND('',#62609,.T.); #39885=FACE_OUTER_BOUND('',#62610,.T.); #39886=FACE_OUTER_BOUND('',#62611,.T.); #39887=FACE_OUTER_BOUND('',#62612,.T.); #39888=FACE_OUTER_BOUND('',#62613,.T.); #39889=FACE_OUTER_BOUND('',#62614,.T.); #39890=FACE_OUTER_BOUND('',#62615,.T.); #39891=FACE_OUTER_BOUND('',#62616,.T.); #39892=FACE_OUTER_BOUND('',#62617,.T.); #39893=FACE_OUTER_BOUND('',#62618,.T.); #39894=FACE_OUTER_BOUND('',#62619,.T.); #39895=FACE_OUTER_BOUND('',#62620,.T.); #39896=FACE_OUTER_BOUND('',#62621,.T.); #39897=FACE_OUTER_BOUND('',#62622,.T.); #39898=FACE_OUTER_BOUND('',#62623,.T.); #39899=FACE_OUTER_BOUND('',#62624,.T.); #39900=FACE_OUTER_BOUND('',#62625,.T.); #39901=FACE_OUTER_BOUND('',#62626,.T.); #39902=FACE_OUTER_BOUND('',#62627,.T.); #39903=FACE_OUTER_BOUND('',#62628,.T.); #39904=FACE_OUTER_BOUND('',#62629,.T.); #39905=FACE_OUTER_BOUND('',#62630,.T.); #39906=FACE_OUTER_BOUND('',#62631,.T.); #39907=FACE_OUTER_BOUND('',#62632,.T.); #39908=FACE_OUTER_BOUND('',#62633,.T.); #39909=FACE_OUTER_BOUND('',#62634,.T.); #39910=FACE_OUTER_BOUND('',#62635,.T.); #39911=FACE_OUTER_BOUND('',#62636,.T.); #39912=FACE_OUTER_BOUND('',#62637,.T.); #39913=FACE_OUTER_BOUND('',#62638,.T.); #39914=FACE_OUTER_BOUND('',#62639,.T.); #39915=FACE_OUTER_BOUND('',#62640,.T.); #39916=FACE_OUTER_BOUND('',#62641,.T.); #39917=FACE_OUTER_BOUND('',#62642,.T.); #39918=FACE_OUTER_BOUND('',#62643,.T.); #39919=FACE_OUTER_BOUND('',#62644,.T.); #39920=FACE_OUTER_BOUND('',#62645,.T.); #39921=FACE_OUTER_BOUND('',#62646,.T.); #39922=FACE_OUTER_BOUND('',#62647,.T.); #39923=FACE_OUTER_BOUND('',#62648,.T.); #39924=FACE_OUTER_BOUND('',#62649,.T.); #39925=FACE_OUTER_BOUND('',#62650,.T.); #39926=FACE_OUTER_BOUND('',#62651,.T.); #39927=FACE_OUTER_BOUND('',#62652,.T.); #39928=FACE_OUTER_BOUND('',#62653,.T.); #39929=FACE_OUTER_BOUND('',#62654,.T.); #39930=FACE_OUTER_BOUND('',#62655,.T.); #39931=FACE_OUTER_BOUND('',#62656,.T.); #39932=FACE_OUTER_BOUND('',#62657,.T.); #39933=FACE_OUTER_BOUND('',#62658,.T.); #39934=FACE_OUTER_BOUND('',#62661,.T.); #39935=FACE_OUTER_BOUND('',#62664,.T.); #39936=FACE_OUTER_BOUND('',#62665,.T.); #39937=FACE_OUTER_BOUND('',#62666,.T.); #39938=FACE_OUTER_BOUND('',#62667,.T.); #39939=FACE_OUTER_BOUND('',#62668,.T.); #39940=FACE_OUTER_BOUND('',#62669,.T.); #39941=FACE_OUTER_BOUND('',#62670,.T.); #39942=FACE_OUTER_BOUND('',#62671,.T.); #39943=FACE_OUTER_BOUND('',#62672,.T.); #39944=FACE_OUTER_BOUND('',#62673,.T.); #39945=FACE_OUTER_BOUND('',#62674,.T.); #39946=FACE_OUTER_BOUND('',#62675,.T.); #39947=FACE_OUTER_BOUND('',#62676,.T.); #39948=FACE_OUTER_BOUND('',#62677,.T.); #39949=FACE_OUTER_BOUND('',#62678,.T.); #39950=FACE_OUTER_BOUND('',#62681,.T.); #39951=FACE_OUTER_BOUND('',#62684,.T.); #39952=FACE_OUTER_BOUND('',#62685,.T.); #39953=FACE_OUTER_BOUND('',#62686,.T.); #39954=FACE_OUTER_BOUND('',#62687,.T.); #39955=FACE_OUTER_BOUND('',#62688,.T.); #39956=FACE_OUTER_BOUND('',#62689,.T.); #39957=FACE_OUTER_BOUND('',#62690,.T.); #39958=FACE_OUTER_BOUND('',#62691,.T.); #39959=FACE_OUTER_BOUND('',#62692,.T.); #39960=FACE_OUTER_BOUND('',#62693,.T.); #39961=FACE_OUTER_BOUND('',#62694,.T.); #39962=FACE_OUTER_BOUND('',#62695,.T.); #39963=FACE_OUTER_BOUND('',#62696,.T.); #39964=FACE_OUTER_BOUND('',#62697,.T.); #39965=FACE_OUTER_BOUND('',#62698,.T.); #39966=FACE_OUTER_BOUND('',#62701,.T.); #39967=FACE_OUTER_BOUND('',#62704,.T.); #39968=FACE_OUTER_BOUND('',#62705,.T.); #39969=FACE_OUTER_BOUND('',#62706,.T.); #39970=FACE_OUTER_BOUND('',#62707,.T.); #39971=FACE_OUTER_BOUND('',#62708,.T.); #39972=FACE_OUTER_BOUND('',#62709,.T.); #39973=FACE_OUTER_BOUND('',#62710,.T.); #39974=FACE_OUTER_BOUND('',#62711,.T.); #39975=FACE_OUTER_BOUND('',#62713,.T.); #39976=FACE_OUTER_BOUND('',#62714,.T.); #39977=FACE_OUTER_BOUND('',#62715,.T.); #39978=FACE_OUTER_BOUND('',#62716,.T.); #39979=FACE_OUTER_BOUND('',#62717,.T.); #39980=FACE_OUTER_BOUND('',#62718,.T.); #39981=FACE_OUTER_BOUND('',#62719,.T.); #39982=FACE_OUTER_BOUND('',#62721,.T.); #39983=FACE_OUTER_BOUND('',#62725,.T.); #39984=FACE_OUTER_BOUND('',#62726,.T.); #39985=FACE_OUTER_BOUND('',#62727,.T.); #39986=FACE_OUTER_BOUND('',#62728,.T.); #39987=FACE_OUTER_BOUND('',#62729,.T.); #39988=FACE_OUTER_BOUND('',#62730,.T.); #39989=FACE_OUTER_BOUND('',#62731,.T.); #39990=FACE_OUTER_BOUND('',#62732,.T.); #39991=FACE_OUTER_BOUND('',#62733,.T.); #39992=FACE_OUTER_BOUND('',#62734,.T.); #39993=FACE_OUTER_BOUND('',#62735,.T.); #39994=FACE_OUTER_BOUND('',#62736,.T.); #39995=FACE_OUTER_BOUND('',#62737,.T.); #39996=FACE_OUTER_BOUND('',#62738,.T.); #39997=FACE_OUTER_BOUND('',#62739,.T.); #39998=FACE_OUTER_BOUND('',#62740,.T.); #39999=FACE_OUTER_BOUND('',#62741,.T.); #40000=FACE_OUTER_BOUND('',#62742,.T.); #40001=FACE_OUTER_BOUND('',#62743,.T.); #40002=FACE_OUTER_BOUND('',#62744,.T.); #40003=FACE_OUTER_BOUND('',#62746,.T.); #40004=FACE_OUTER_BOUND('',#62747,.T.); #40005=FACE_OUTER_BOUND('',#62748,.T.); #40006=FACE_OUTER_BOUND('',#62749,.T.); #40007=FACE_OUTER_BOUND('',#62750,.T.); #40008=FACE_OUTER_BOUND('',#62751,.T.); #40009=FACE_OUTER_BOUND('',#62752,.T.); #40010=FACE_OUTER_BOUND('',#62753,.T.); #40011=FACE_OUTER_BOUND('',#62754,.T.); #40012=FACE_OUTER_BOUND('',#62755,.T.); #40013=FACE_OUTER_BOUND('',#62756,.T.); #40014=FACE_OUTER_BOUND('',#62757,.T.); #40015=FACE_OUTER_BOUND('',#62758,.T.); #40016=FACE_OUTER_BOUND('',#62759,.T.); #40017=FACE_OUTER_BOUND('',#62760,.T.); #40018=FACE_OUTER_BOUND('',#62761,.T.); #40019=FACE_OUTER_BOUND('',#62762,.T.); #40020=FACE_OUTER_BOUND('',#62763,.T.); #40021=FACE_OUTER_BOUND('',#62764,.T.); #40022=FACE_OUTER_BOUND('',#62765,.T.); #40023=FACE_OUTER_BOUND('',#62766,.T.); #40024=FACE_OUTER_BOUND('',#62768,.T.); #40025=FACE_OUTER_BOUND('',#62769,.T.); #40026=FACE_OUTER_BOUND('',#62770,.T.); #40027=FACE_OUTER_BOUND('',#62771,.T.); #40028=FACE_OUTER_BOUND('',#62772,.T.); #40029=FACE_OUTER_BOUND('',#62773,.T.); #40030=FACE_OUTER_BOUND('',#62774,.T.); #40031=FACE_OUTER_BOUND('',#62775,.T.); #40032=FACE_OUTER_BOUND('',#62776,.T.); #40033=FACE_OUTER_BOUND('',#62777,.T.); #40034=FACE_OUTER_BOUND('',#62778,.T.); #40035=FACE_OUTER_BOUND('',#62779,.T.); #40036=FACE_OUTER_BOUND('',#62780,.T.); #40037=FACE_OUTER_BOUND('',#62781,.T.); #40038=FACE_OUTER_BOUND('',#62782,.T.); #40039=FACE_OUTER_BOUND('',#62783,.T.); #40040=FACE_OUTER_BOUND('',#62785,.T.); #40041=FACE_OUTER_BOUND('',#62786,.T.); #40042=FACE_OUTER_BOUND('',#62788,.T.); #40043=FACE_OUTER_BOUND('',#62789,.T.); #40044=FACE_OUTER_BOUND('',#62790,.T.); #40045=FACE_OUTER_BOUND('',#62791,.T.); #40046=FACE_OUTER_BOUND('',#62792,.T.); #40047=FACE_OUTER_BOUND('',#62793,.T.); #40048=FACE_OUTER_BOUND('',#62794,.T.); #40049=FACE_OUTER_BOUND('',#62795,.T.); #40050=FACE_OUTER_BOUND('',#62796,.T.); #40051=FACE_OUTER_BOUND('',#62797,.T.); #40052=FACE_OUTER_BOUND('',#62798,.T.); #40053=FACE_OUTER_BOUND('',#62799,.T.); #40054=FACE_OUTER_BOUND('',#62800,.T.); #40055=FACE_OUTER_BOUND('',#62801,.T.); #40056=FACE_OUTER_BOUND('',#62804,.T.); #40057=FACE_OUTER_BOUND('',#62805,.T.); #40058=FACE_OUTER_BOUND('',#62806,.T.); #40059=FACE_OUTER_BOUND('',#62807,.T.); #40060=FACE_OUTER_BOUND('',#62808,.T.); #40061=FACE_OUTER_BOUND('',#62809,.T.); #40062=FACE_OUTER_BOUND('',#62810,.T.); #40063=FACE_OUTER_BOUND('',#62811,.T.); #40064=FACE_OUTER_BOUND('',#62812,.T.); #40065=FACE_OUTER_BOUND('',#62813,.T.); #40066=FACE_OUTER_BOUND('',#62820,.T.); #40067=FACE_OUTER_BOUND('',#62821,.T.); #40068=FACE_OUTER_BOUND('',#62822,.T.); #40069=FACE_OUTER_BOUND('',#62823,.T.); #40070=FACE_OUTER_BOUND('',#62824,.T.); #40071=FACE_OUTER_BOUND('',#62831,.T.); #40072=FACE_OUTER_BOUND('',#62832,.T.); #40073=FACE_OUTER_BOUND('',#62833,.T.); #40074=FACE_OUTER_BOUND('',#62834,.T.); #40075=FACE_OUTER_BOUND('',#62835,.T.); #40076=FACE_OUTER_BOUND('',#62836,.T.); #40077=FACE_OUTER_BOUND('',#62837,.T.); #40078=FACE_OUTER_BOUND('',#62838,.T.); #40079=FACE_OUTER_BOUND('',#62839,.T.); #40080=FACE_OUTER_BOUND('',#62840,.T.); #40081=FACE_OUTER_BOUND('',#62841,.T.); #40082=FACE_OUTER_BOUND('',#62842,.T.); #40083=FACE_OUTER_BOUND('',#62843,.T.); #40084=FACE_OUTER_BOUND('',#62844,.T.); #40085=FACE_OUTER_BOUND('',#62845,.T.); #40086=FACE_OUTER_BOUND('',#62846,.T.); #40087=FACE_OUTER_BOUND('',#62848,.T.); #40088=FACE_OUTER_BOUND('',#62850,.T.); #40089=FACE_OUTER_BOUND('',#62851,.T.); #40090=FACE_OUTER_BOUND('',#62852,.T.); #40091=FACE_OUTER_BOUND('',#62853,.T.); #40092=FACE_OUTER_BOUND('',#62854,.T.); #40093=FACE_OUTER_BOUND('',#62855,.T.); #40094=FACE_OUTER_BOUND('',#62856,.T.); #40095=FACE_OUTER_BOUND('',#62857,.T.); #40096=FACE_OUTER_BOUND('',#62858,.T.); #40097=FACE_OUTER_BOUND('',#62859,.T.); #40098=FACE_OUTER_BOUND('',#62860,.T.); #40099=FACE_OUTER_BOUND('',#62862,.T.); #40100=FACE_OUTER_BOUND('',#62863,.T.); #40101=FACE_OUTER_BOUND('',#62864,.T.); #40102=FACE_OUTER_BOUND('',#62865,.T.); #40103=FACE_OUTER_BOUND('',#62866,.T.); #40104=FACE_OUTER_BOUND('',#62867,.T.); #40105=FACE_OUTER_BOUND('',#62868,.T.); #40106=FACE_OUTER_BOUND('',#62869,.T.); #40107=FACE_OUTER_BOUND('',#62870,.T.); #40108=FACE_OUTER_BOUND('',#62871,.T.); #40109=FACE_OUTER_BOUND('',#62872,.T.); #40110=FACE_OUTER_BOUND('',#62873,.T.); #40111=FACE_OUTER_BOUND('',#62874,.T.); #40112=FACE_OUTER_BOUND('',#62875,.T.); #40113=FACE_OUTER_BOUND('',#62876,.T.); #40114=FACE_OUTER_BOUND('',#62877,.T.); #40115=FACE_OUTER_BOUND('',#62878,.T.); #40116=FACE_OUTER_BOUND('',#62879,.T.); #40117=FACE_OUTER_BOUND('',#62880,.T.); #40118=FACE_OUTER_BOUND('',#62881,.T.); #40119=FACE_OUTER_BOUND('',#62882,.T.); #40120=FACE_OUTER_BOUND('',#62883,.T.); #40121=FACE_OUTER_BOUND('',#62884,.T.); #40122=FACE_OUTER_BOUND('',#62885,.T.); #40123=FACE_OUTER_BOUND('',#62886,.T.); #40124=FACE_OUTER_BOUND('',#62887,.T.); #40125=FACE_OUTER_BOUND('',#62888,.T.); #40126=FACE_OUTER_BOUND('',#62889,.T.); #40127=FACE_OUTER_BOUND('',#62890,.T.); #40128=FACE_OUTER_BOUND('',#62891,.T.); #40129=FACE_OUTER_BOUND('',#62892,.T.); #40130=FACE_OUTER_BOUND('',#62893,.T.); #40131=FACE_OUTER_BOUND('',#62894,.T.); #40132=FACE_OUTER_BOUND('',#62895,.T.); #40133=FACE_OUTER_BOUND('',#62896,.T.); #40134=FACE_OUTER_BOUND('',#62897,.T.); #40135=FACE_OUTER_BOUND('',#62898,.T.); #40136=FACE_OUTER_BOUND('',#62899,.T.); #40137=FACE_OUTER_BOUND('',#62900,.T.); #40138=FACE_OUTER_BOUND('',#62901,.T.); #40139=FACE_OUTER_BOUND('',#62902,.T.); #40140=FACE_OUTER_BOUND('',#62903,.T.); #40141=FACE_OUTER_BOUND('',#62904,.T.); #40142=FACE_OUTER_BOUND('',#62905,.T.); #40143=FACE_OUTER_BOUND('',#62906,.T.); #40144=FACE_OUTER_BOUND('',#62907,.T.); #40145=FACE_OUTER_BOUND('',#62908,.T.); #40146=FACE_OUTER_BOUND('',#62909,.T.); #40147=FACE_OUTER_BOUND('',#62910,.T.); #40148=FACE_OUTER_BOUND('',#62912,.T.); #40149=FACE_OUTER_BOUND('',#62913,.T.); #40150=FACE_OUTER_BOUND('',#62915,.T.); #40151=FACE_OUTER_BOUND('',#62917,.T.); #40152=FACE_OUTER_BOUND('',#62919,.T.); #40153=FACE_OUTER_BOUND('',#62920,.T.); #40154=FACE_OUTER_BOUND('',#62922,.T.); #40155=FACE_OUTER_BOUND('',#62923,.T.); #40156=FACE_OUTER_BOUND('',#62924,.T.); #40157=FACE_OUTER_BOUND('',#62925,.T.); #40158=FACE_OUTER_BOUND('',#62926,.T.); #40159=FACE_OUTER_BOUND('',#62927,.T.); #40160=FACE_OUTER_BOUND('',#62928,.T.); #40161=FACE_OUTER_BOUND('',#62929,.T.); #40162=FACE_OUTER_BOUND('',#62930,.T.); #40163=FACE_OUTER_BOUND('',#62931,.T.); #40164=FACE_OUTER_BOUND('',#62932,.T.); #40165=FACE_OUTER_BOUND('',#62933,.T.); #40166=FACE_OUTER_BOUND('',#62934,.T.); #40167=FACE_OUTER_BOUND('',#62936,.T.); #40168=FACE_OUTER_BOUND('',#62937,.T.); #40169=FACE_OUTER_BOUND('',#62938,.T.); #40170=FACE_OUTER_BOUND('',#62939,.T.); #40171=FACE_OUTER_BOUND('',#62940,.T.); #40172=FACE_OUTER_BOUND('',#62941,.T.); #40173=FACE_OUTER_BOUND('',#62942,.T.); #40174=FACE_OUTER_BOUND('',#62943,.T.); #40175=FACE_OUTER_BOUND('',#62944,.T.); #40176=FACE_OUTER_BOUND('',#62945,.T.); #40177=FACE_OUTER_BOUND('',#62946,.T.); #40178=FACE_OUTER_BOUND('',#62947,.T.); #40179=FACE_OUTER_BOUND('',#62948,.T.); #40180=FACE_OUTER_BOUND('',#62949,.T.); #40181=FACE_OUTER_BOUND('',#62950,.T.); #40182=FACE_OUTER_BOUND('',#62951,.T.); #40183=FACE_OUTER_BOUND('',#62952,.T.); #40184=FACE_OUTER_BOUND('',#62953,.T.); #40185=FACE_OUTER_BOUND('',#62958,.T.); #40186=FACE_OUTER_BOUND('',#62963,.T.); #40187=FACE_OUTER_BOUND('',#62964,.T.); #40188=FACE_OUTER_BOUND('',#62965,.T.); #40189=FACE_OUTER_BOUND('',#62966,.T.); #40190=FACE_OUTER_BOUND('',#62967,.T.); #40191=FACE_OUTER_BOUND('',#62968,.T.); #40192=FACE_OUTER_BOUND('',#62969,.T.); #40193=FACE_OUTER_BOUND('',#62970,.T.); #40194=FACE_OUTER_BOUND('',#62971,.T.); #40195=FACE_OUTER_BOUND('',#62973,.T.); #40196=FACE_OUTER_BOUND('',#62974,.T.); #40197=FACE_OUTER_BOUND('',#62975,.T.); #40198=FACE_OUTER_BOUND('',#62976,.T.); #40199=FACE_OUTER_BOUND('',#62977,.T.); #40200=FACE_OUTER_BOUND('',#62978,.T.); #40201=FACE_OUTER_BOUND('',#62979,.T.); #40202=FACE_OUTER_BOUND('',#62980,.T.); #40203=FACE_OUTER_BOUND('',#62981,.T.); #40204=FACE_OUTER_BOUND('',#62982,.T.); #40205=FACE_OUTER_BOUND('',#62983,.T.); #40206=FACE_OUTER_BOUND('',#62985,.T.); #40207=FACE_OUTER_BOUND('',#62986,.T.); #40208=FACE_OUTER_BOUND('',#62988,.T.); #40209=FACE_OUTER_BOUND('',#62989,.T.); #40210=FACE_OUTER_BOUND('',#62990,.T.); #40211=FACE_OUTER_BOUND('',#62991,.T.); #40212=FACE_OUTER_BOUND('',#62992,.T.); #40213=FACE_OUTER_BOUND('',#62993,.T.); #40214=FACE_OUTER_BOUND('',#62994,.T.); #40215=FACE_OUTER_BOUND('',#62995,.T.); #40216=FACE_OUTER_BOUND('',#62996,.T.); #40217=FACE_OUTER_BOUND('',#62997,.T.); #40218=FACE_OUTER_BOUND('',#62998,.T.); #40219=FACE_OUTER_BOUND('',#62999,.T.); #40220=FACE_OUTER_BOUND('',#63000,.T.); #40221=EDGE_LOOP('',(#229915,#229916,#229917,#229918)); #40222=EDGE_LOOP('',(#229919,#229920,#229921,#229922)); #40223=EDGE_LOOP('',(#229923,#229924,#229925,#229926,#229927,#229928,#229929, #229930,#229931,#229932,#229933,#229934,#229935)); #40224=EDGE_LOOP('',(#229936,#229937,#229938,#229939)); #40225=EDGE_LOOP('',(#229940,#229941,#229942,#229943,#229944,#229945,#229946, #229947,#229948,#229949,#229950,#229951,#229952)); #40226=EDGE_LOOP('',(#229953,#229954,#229955,#229956)); #40227=EDGE_LOOP('',(#229957,#229958,#229959,#229960,#229961,#229962,#229963, #229964,#229965,#229966,#229967,#229968,#229969)); #40228=EDGE_LOOP('',(#229970,#229971,#229972,#229973,#229974,#229975,#229976, #229977,#229978,#229979,#229980,#229981,#229982,#229983,#229984,#229985, #229986,#229987,#229988,#229989,#229990,#229991,#229992,#229993,#229994, #229995,#229996,#229997,#229998,#229999,#230000,#230001,#230002,#230003, #230004,#230005,#230006,#230007,#230008,#230009,#230010,#230011,#230012, #230013,#230014,#230015,#230016,#230017,#230018,#230019,#230020,#230021, #230022,#230023,#230024,#230025,#230026,#230027,#230028,#230029,#230030, #230031,#230032,#230033,#230034,#230035,#230036,#230037,#230038,#230039, #230040,#230041,#230042,#230043,#230044,#230045)); #40229=EDGE_LOOP('',(#230046)); #40230=EDGE_LOOP('',(#230047)); #40231=EDGE_LOOP('',(#230048)); #40232=EDGE_LOOP('',(#230049)); #40233=EDGE_LOOP('',(#230050,#230051,#230052,#230053)); #40234=EDGE_LOOP('',(#230054,#230055,#230056,#230057,#230058,#230059,#230060, #230061,#230062,#230063,#230064,#230065,#230066,#230067,#230068,#230069, #230070,#230071,#230072)); #40235=EDGE_LOOP('',(#230073,#230074,#230075,#230076,#230077,#230078,#230079, #230080,#230081,#230082,#230083,#230084,#230085,#230086,#230087,#230088)); #40236=EDGE_LOOP('',(#230089)); #40237=EDGE_LOOP('',(#230090)); #40238=EDGE_LOOP('',(#230091)); #40239=EDGE_LOOP('',(#230092)); #40240=EDGE_LOOP('',(#230093,#230094,#230095,#230096)); #40241=EDGE_LOOP('',(#230097,#230098,#230099,#230100)); #40242=EDGE_LOOP('',(#230101,#230102,#230103,#230104)); #40243=EDGE_LOOP('',(#230105,#230106,#230107,#230108)); #40244=EDGE_LOOP('',(#230109,#230110,#230111,#230112)); #40245=EDGE_LOOP('',(#230113,#230114,#230115,#230116)); #40246=EDGE_LOOP('',(#230117,#230118,#230119,#230120)); #40247=EDGE_LOOP('',(#230121,#230122,#230123,#230124)); #40248=EDGE_LOOP('',(#230125,#230126,#230127,#230128)); #40249=EDGE_LOOP('',(#230129,#230130,#230131,#230132)); #40250=EDGE_LOOP('',(#230133,#230134,#230135,#230136)); #40251=EDGE_LOOP('',(#230137,#230138,#230139,#230140)); #40252=EDGE_LOOP('',(#230141,#230142,#230143,#230144)); #40253=EDGE_LOOP('',(#230145,#230146,#230147,#230148)); #40254=EDGE_LOOP('',(#230149,#230150,#230151,#230152,#230153,#230154,#230155, #230156,#230157,#230158,#230159,#230160,#230161,#230162,#230163,#230164, #230165,#230166,#230167)); #40255=EDGE_LOOP('',(#230168,#230169,#230170,#230171)); #40256=EDGE_LOOP('',(#230172,#230173,#230174,#230175,#230176,#230177,#230178, #230179,#230180,#230181,#230182,#230183,#230184)); #40257=EDGE_LOOP('',(#230185,#230186,#230187,#230188)); #40258=EDGE_LOOP('',(#230189,#230190,#230191,#230192)); #40259=EDGE_LOOP('',(#230193,#230194,#230195,#230196)); #40260=EDGE_LOOP('',(#230197,#230198,#230199,#230200)); #40261=EDGE_LOOP('',(#230201,#230202,#230203,#230204)); #40262=EDGE_LOOP('',(#230205,#230206,#230207,#230208)); #40263=EDGE_LOOP('',(#230209,#230210,#230211,#230212)); #40264=EDGE_LOOP('',(#230213,#230214,#230215,#230216)); #40265=EDGE_LOOP('',(#230217,#230218,#230219,#230220,#230221,#230222,#230223, #230224,#230225,#230226,#230227,#230228,#230229,#230230,#230231,#230232, #230233,#230234,#230235,#230236,#230237,#230238,#230239,#230240,#230241, #230242,#230243,#230244,#230245,#230246,#230247,#230248,#230249,#230250, #230251,#230252,#230253,#230254,#230255,#230256,#230257,#230258,#230259, #230260,#230261,#230262,#230263,#230264,#230265,#230266,#230267,#230268, #230269,#230270,#230271,#230272,#230273,#230274,#230275,#230276,#230277, #230278,#230279,#230280,#230281,#230282)); #40266=EDGE_LOOP('',(#230283)); #40267=EDGE_LOOP('',(#230284)); #40268=EDGE_LOOP('',(#230285)); #40269=EDGE_LOOP('',(#230286)); #40270=EDGE_LOOP('',(#230287,#230288,#230289,#230290)); #40271=EDGE_LOOP('',(#230291,#230292,#230293,#230294,#230295,#230296,#230297, #230298,#230299,#230300,#230301,#230302,#230303,#230304,#230305,#230306, #230307,#230308,#230309)); #40272=EDGE_LOOP('',(#230310,#230311,#230312,#230313,#230314,#230315,#230316, #230317,#230318,#230319,#230320,#230321,#230322,#230323,#230324,#230325)); #40273=EDGE_LOOP('',(#230326)); #40274=EDGE_LOOP('',(#230327)); #40275=EDGE_LOOP('',(#230328)); #40276=EDGE_LOOP('',(#230329)); #40277=EDGE_LOOP('',(#230330,#230331,#230332,#230333)); #40278=EDGE_LOOP('',(#230334,#230335,#230336,#230337)); #40279=EDGE_LOOP('',(#230338,#230339,#230340,#230341)); #40280=EDGE_LOOP('',(#230342,#230343,#230344,#230345)); #40281=EDGE_LOOP('',(#230346,#230347,#230348,#230349)); #40282=EDGE_LOOP('',(#230350,#230351,#230352,#230353)); #40283=EDGE_LOOP('',(#230354,#230355,#230356,#230357)); #40284=EDGE_LOOP('',(#230358,#230359,#230360,#230361)); #40285=EDGE_LOOP('',(#230362,#230363,#230364,#230365)); #40286=EDGE_LOOP('',(#230366,#230367,#230368,#230369)); #40287=EDGE_LOOP('',(#230370,#230371,#230372,#230373)); #40288=EDGE_LOOP('',(#230374,#230375,#230376,#230377)); #40289=EDGE_LOOP('',(#230378,#230379,#230380,#230381)); #40290=EDGE_LOOP('',(#230382,#230383,#230384,#230385)); #40291=EDGE_LOOP('',(#230386,#230387,#230388,#230389)); #40292=EDGE_LOOP('',(#230390,#230391,#230392,#230393)); #40293=EDGE_LOOP('',(#230394,#230395,#230396,#230397)); #40294=EDGE_LOOP('',(#230398,#230399,#230400,#230401,#230402,#230403)); #40295=EDGE_LOOP('',(#230404,#230405)); #40296=EDGE_LOOP('',(#230406,#230407,#230408)); #40297=EDGE_LOOP('',(#230409,#230410,#230411,#230412,#230413,#230414)); #40298=EDGE_LOOP('',(#230415,#230416)); #40299=EDGE_LOOP('',(#230417,#230418,#230419)); #40300=EDGE_LOOP('',(#230420,#230421,#230422,#230423,#230424,#230425)); #40301=EDGE_LOOP('',(#230426,#230427)); #40302=EDGE_LOOP('',(#230428,#230429,#230430)); #40303=EDGE_LOOP('',(#230431,#230432,#230433,#230434,#230435,#230436)); #40304=EDGE_LOOP('',(#230437,#230438)); #40305=EDGE_LOOP('',(#230439,#230440,#230441)); #40306=EDGE_LOOP('',(#230442,#230443,#230444,#230445,#230446,#230447,#230448, #230449,#230450,#230451,#230452,#230453,#230454,#230455,#230456,#230457, #230458,#230459,#230460,#230461,#230462,#230463,#230464,#230465,#230466, #230467,#230468,#230469,#230470)); #40307=EDGE_LOOP('',(#230471,#230472,#230473,#230474,#230475,#230476,#230477, #230478,#230479,#230480,#230481,#230482)); #40308=EDGE_LOOP('',(#230483,#230484,#230485,#230486,#230487,#230488,#230489, #230490,#230491,#230492,#230493,#230494,#230495)); #40309=EDGE_LOOP('',(#230496,#230497,#230498,#230499,#230500,#230501,#230502, #230503)); #40310=EDGE_LOOP('',(#230504,#230505,#230506,#230507,#230508,#230509,#230510, #230511,#230512,#230513,#230514,#230515,#230516,#230517,#230518,#230519, #230520,#230521,#230522,#230523,#230524,#230525,#230526,#230527,#230528, #230529,#230530,#230531,#230532,#230533,#230534,#230535,#230536,#230537, #230538,#230539,#230540,#230541,#230542,#230543,#230544,#230545,#230546, #230547,#230548,#230549,#230550,#230551,#230552,#230553,#230554,#230555, #230556,#230557,#230558,#230559,#230560,#230561,#230562,#230563,#230564, #230565,#230566,#230567,#230568,#230569,#230570,#230571,#230572,#230573, #230574,#230575,#230576,#230577,#230578,#230579,#230580,#230581,#230582, #230583,#230584,#230585,#230586,#230587,#230588,#230589,#230590,#230591, #230592,#230593,#230594,#230595,#230596,#230597)); #40311=EDGE_LOOP('',(#230598,#230599,#230600,#230601,#230602,#230603,#230604, #230605,#230606,#230607,#230608)); #40312=EDGE_LOOP('',(#230609,#230610,#230611)); #40313=EDGE_LOOP('',(#230612,#230613,#230614,#230615,#230616,#230617,#230618)); #40314=EDGE_LOOP('',(#230619,#230620,#230621,#230622)); #40315=EDGE_LOOP('',(#230623,#230624,#230625,#230626)); #40316=EDGE_LOOP('',(#230627,#230628,#230629,#230630)); #40317=EDGE_LOOP('',(#230631,#230632,#230633,#230634)); #40318=EDGE_LOOP('',(#230635,#230636,#230637,#230638)); #40319=EDGE_LOOP('',(#230639,#230640,#230641,#230642)); #40320=EDGE_LOOP('',(#230643,#230644,#230645,#230646)); #40321=EDGE_LOOP('',(#230647,#230648,#230649,#230650,#230651,#230652)); #40322=EDGE_LOOP('',(#230653,#230654,#230655,#230656)); #40323=EDGE_LOOP('',(#230657,#230658,#230659,#230660)); #40324=EDGE_LOOP('',(#230661,#230662,#230663,#230664)); #40325=EDGE_LOOP('',(#230665,#230666,#230667,#230668)); #40326=EDGE_LOOP('',(#230669,#230670,#230671,#230672,#230673)); #40327=EDGE_LOOP('',(#230674,#230675,#230676,#230677,#230678)); #40328=EDGE_LOOP('',(#230679,#230680,#230681,#230682)); #40329=EDGE_LOOP('',(#230683,#230684,#230685,#230686,#230687)); #40330=EDGE_LOOP('',(#230688,#230689,#230690,#230691,#230692,#230693,#230694, #230695,#230696,#230697,#230698,#230699,#230700)); #40331=EDGE_LOOP('',(#230701,#230702,#230703)); #40332=EDGE_LOOP('',(#230704,#230705,#230706,#230707,#230708,#230709,#230710, #230711,#230712,#230713,#230714,#230715)); #40333=EDGE_LOOP('',(#230716,#230717,#230718,#230719,#230720,#230721,#230722, #230723,#230724,#230725)); #40334=EDGE_LOOP('',(#230726,#230727,#230728)); #40335=EDGE_LOOP('',(#230729,#230730,#230731,#230732,#230733,#230734,#230735, #230736)); #40336=EDGE_LOOP('',(#230737,#230738,#230739,#230740,#230741,#230742)); #40337=EDGE_LOOP('',(#230743,#230744,#230745,#230746)); #40338=EDGE_LOOP('',(#230747,#230748,#230749,#230750,#230751)); #40339=EDGE_LOOP('',(#230752,#230753,#230754)); #40340=EDGE_LOOP('',(#230755,#230756,#230757,#230758,#230759,#230760,#230761)); #40341=EDGE_LOOP('',(#230762,#230763,#230764,#230765)); #40342=EDGE_LOOP('',(#230766,#230767,#230768,#230769)); #40343=EDGE_LOOP('',(#230770,#230771,#230772,#230773)); #40344=EDGE_LOOP('',(#230774,#230775,#230776,#230777)); #40345=EDGE_LOOP('',(#230778,#230779,#230780,#230781)); #40346=EDGE_LOOP('',(#230782,#230783,#230784,#230785)); #40347=EDGE_LOOP('',(#230786,#230787,#230788,#230789)); #40348=EDGE_LOOP('',(#230790,#230791,#230792,#230793,#230794,#230795,#230796, #230797,#230798,#230799,#230800,#230801)); #40349=EDGE_LOOP('',(#230802,#230803,#230804,#230805,#230806,#230807,#230808, #230809,#230810,#230811,#230812,#230813,#230814)); #40350=EDGE_LOOP('',(#230815,#230816,#230817,#230818)); #40351=EDGE_LOOP('',(#230819,#230820,#230821,#230822)); #40352=EDGE_LOOP('',(#230823,#230824,#230825,#230826)); #40353=EDGE_LOOP('',(#230827,#230828,#230829,#230830)); #40354=EDGE_LOOP('',(#230831,#230832,#230833,#230834)); #40355=EDGE_LOOP('',(#230835,#230836,#230837,#230838)); #40356=EDGE_LOOP('',(#230839,#230840,#230841)); #40357=EDGE_LOOP('',(#230842,#230843,#230844,#230845)); #40358=EDGE_LOOP('',(#230846,#230847,#230848,#230849,#230850,#230851)); #40359=EDGE_LOOP('',(#230852,#230853,#230854,#230855,#230856,#230857)); #40360=EDGE_LOOP('',(#230858,#230859,#230860,#230861)); #40361=EDGE_LOOP('',(#230862,#230863,#230864,#230865)); #40362=EDGE_LOOP('',(#230866,#230867,#230868,#230869)); #40363=EDGE_LOOP('',(#230870,#230871,#230872,#230873)); #40364=EDGE_LOOP('',(#230874,#230875,#230876,#230877)); #40365=EDGE_LOOP('',(#230878,#230879,#230880,#230881)); #40366=EDGE_LOOP('',(#230882,#230883,#230884,#230885,#230886)); #40367=EDGE_LOOP('',(#230887,#230888,#230889)); #40368=EDGE_LOOP('',(#230890,#230891,#230892,#230893,#230894,#230895,#230896)); #40369=EDGE_LOOP('',(#230897,#230898,#230899,#230900,#230901,#230902)); #40370=EDGE_LOOP('',(#230903,#230904,#230905,#230906)); #40371=EDGE_LOOP('',(#230907,#230908,#230909,#230910)); #40372=EDGE_LOOP('',(#230911,#230912,#230913,#230914)); #40373=EDGE_LOOP('',(#230915,#230916,#230917,#230918)); #40374=EDGE_LOOP('',(#230919,#230920,#230921,#230922)); #40375=EDGE_LOOP('',(#230923,#230924,#230925,#230926,#230927,#230928,#230929, #230930,#230931,#230932,#230933,#230934)); #40376=EDGE_LOOP('',(#230935,#230936,#230937,#230938)); #40377=EDGE_LOOP('',(#230939,#230940,#230941,#230942)); #40378=EDGE_LOOP('',(#230943,#230944,#230945,#230946)); #40379=EDGE_LOOP('',(#230947,#230948,#230949,#230950,#230951,#230952,#230953, #230954,#230955,#230956)); #40380=EDGE_LOOP('',(#230957,#230958,#230959)); #40381=EDGE_LOOP('',(#230960,#230961,#230962,#230963,#230964,#230965,#230966, #230967,#230968)); #40382=EDGE_LOOP('',(#230969,#230970,#230971,#230972,#230973,#230974,#230975, #230976)); #40383=EDGE_LOOP('',(#230977,#230978,#230979,#230980)); #40384=EDGE_LOOP('',(#230981,#230982,#230983,#230984)); #40385=EDGE_LOOP('',(#230985,#230986,#230987,#230988)); #40386=EDGE_LOOP('',(#230989,#230990,#230991,#230992)); #40387=EDGE_LOOP('',(#230993,#230994,#230995,#230996)); #40388=EDGE_LOOP('',(#230997,#230998,#230999,#231000,#231001)); #40389=EDGE_LOOP('',(#231002,#231003,#231004)); #40390=EDGE_LOOP('',(#231005,#231006,#231007,#231008,#231009,#231010,#231011)); #40391=EDGE_LOOP('',(#231012,#231013,#231014,#231015)); #40392=EDGE_LOOP('',(#231016,#231017,#231018,#231019)); #40393=EDGE_LOOP('',(#231020,#231021,#231022,#231023)); #40394=EDGE_LOOP('',(#231024,#231025,#231026,#231027)); #40395=EDGE_LOOP('',(#231028,#231029,#231030,#231031)); #40396=EDGE_LOOP('',(#231032,#231033,#231034,#231035)); #40397=EDGE_LOOP('',(#231036,#231037,#231038,#231039)); #40398=EDGE_LOOP('',(#231040,#231041,#231042,#231043,#231044,#231045,#231046, #231047,#231048,#231049,#231050,#231051,#231052)); #40399=EDGE_LOOP('',(#231053,#231054,#231055,#231056,#231057,#231058,#231059, #231060,#231061,#231062,#231063,#231064)); #40400=EDGE_LOOP('',(#231065,#231066,#231067)); #40401=EDGE_LOOP('',(#231068,#231069,#231070)); #40402=EDGE_LOOP('',(#231071,#231072,#231073,#231074)); #40403=EDGE_LOOP('',(#231075,#231076,#231077,#231078,#231079,#231080,#231081)); #40404=EDGE_LOOP('',(#231082,#231083,#231084)); #40405=EDGE_LOOP('',(#231085,#231086,#231087)); #40406=EDGE_LOOP('',(#231088,#231089)); #40407=EDGE_LOOP('',(#231090,#231091)); #40408=EDGE_LOOP('',(#231092,#231093,#231094)); #40409=EDGE_LOOP('',(#231095,#231096,#231097,#231098,#231099,#231100,#231101, #231102,#231103,#231104,#231105,#231106,#231107)); #40410=EDGE_LOOP('',(#231108,#231109,#231110)); #40411=EDGE_LOOP('',(#231111,#231112)); #40412=EDGE_LOOP('',(#231113,#231114)); #40413=EDGE_LOOP('',(#231115,#231116,#231117)); #40414=EDGE_LOOP('',(#231118,#231119,#231120,#231121,#231122,#231123,#231124)); #40415=EDGE_LOOP('',(#231125,#231126,#231127,#231128)); #40416=EDGE_LOOP('',(#231129,#231130,#231131,#231132)); #40417=EDGE_LOOP('',(#231133,#231134,#231135,#231136)); #40418=EDGE_LOOP('',(#231137,#231138,#231139,#231140)); #40419=EDGE_LOOP('',(#231141,#231142,#231143,#231144)); #40420=EDGE_LOOP('',(#231145,#231146,#231147,#231148)); #40421=EDGE_LOOP('',(#231149,#231150,#231151,#231152)); #40422=EDGE_LOOP('',(#231153,#231154,#231155)); #40423=EDGE_LOOP('',(#231156,#231157,#231158,#231159,#231160,#231161)); #40424=EDGE_LOOP('',(#231162,#231163,#231164,#231165,#231166)); #40425=EDGE_LOOP('',(#231167,#231168,#231169,#231170)); #40426=EDGE_LOOP('',(#231171,#231172,#231173,#231174)); #40427=EDGE_LOOP('',(#231175,#231176,#231177,#231178)); #40428=EDGE_LOOP('',(#231179,#231180,#231181,#231182)); #40429=EDGE_LOOP('',(#231183,#231184,#231185,#231186)); #40430=EDGE_LOOP('',(#231187,#231188,#231189,#231190)); #40431=EDGE_LOOP('',(#231191)); #40432=EDGE_LOOP('',(#231192,#231193,#231194,#231195)); #40433=EDGE_LOOP('',(#231196,#231197,#231198,#231199)); #40434=EDGE_LOOP('',(#231200,#231201,#231202,#231203)); #40435=EDGE_LOOP('',(#231204,#231205,#231206,#231207)); #40436=EDGE_LOOP('',(#231208,#231209,#231210,#231211)); #40437=EDGE_LOOP('',(#231212,#231213,#231214,#231215,#231216,#231217,#231218, #231219,#231220,#231221,#231222,#231223,#231224)); #40438=EDGE_LOOP('',(#231225,#231226,#231227,#231228)); #40439=EDGE_LOOP('',(#231229,#231230,#231231,#231232,#231233,#231234,#231235, #231236,#231237,#231238,#231239,#231240,#231241)); #40440=EDGE_LOOP('',(#231242,#231243,#231244,#231245)); #40441=EDGE_LOOP('',(#231246,#231247,#231248,#231249)); #40442=EDGE_LOOP('',(#231250,#231251,#231252,#231253)); #40443=EDGE_LOOP('',(#231254,#231255,#231256,#231257)); #40444=EDGE_LOOP('',(#231258,#231259,#231260,#231261)); #40445=EDGE_LOOP('',(#231262,#231263,#231264,#231265)); #40446=EDGE_LOOP('',(#231266,#231267,#231268,#231269,#231270,#231271)); #40447=EDGE_LOOP('',(#231272,#231273)); #40448=EDGE_LOOP('',(#231274,#231275,#231276,#231277)); #40449=EDGE_LOOP('',(#231278,#231279,#231280,#231281,#231282,#231283,#231284, #231285,#231286,#231287,#231288,#231289,#231290)); #40450=EDGE_LOOP('',(#231291,#231292,#231293,#231294)); #40451=EDGE_LOOP('',(#231295,#231296,#231297,#231298)); #40452=EDGE_LOOP('',(#231299,#231300,#231301,#231302)); #40453=EDGE_LOOP('',(#231303,#231304,#231305,#231306)); #40454=EDGE_LOOP('',(#231307,#231308,#231309,#231310)); #40455=EDGE_LOOP('',(#231311,#231312,#231313,#231314)); #40456=EDGE_LOOP('',(#231315,#231316,#231317,#231318)); #40457=EDGE_LOOP('',(#231319,#231320,#231321,#231322)); #40458=EDGE_LOOP('',(#231323,#231324,#231325,#231326,#231327,#231328,#231329, #231330,#231331,#231332,#231333,#231334,#231335)); #40459=EDGE_LOOP('',(#231336,#231337,#231338,#231339)); #40460=EDGE_LOOP('',(#231340,#231341,#231342,#231343,#231344,#231345,#231346, #231347,#231348,#231349,#231350,#231351,#231352)); #40461=EDGE_LOOP('',(#231353,#231354,#231355,#231356)); #40462=EDGE_LOOP('',(#231357,#231358,#231359,#231360,#231361,#231362,#231363, #231364,#231365,#231366,#231367,#231368,#231369)); #40463=EDGE_LOOP('',(#231370,#231371,#231372,#231373)); #40464=EDGE_LOOP('',(#231374,#231375,#231376,#231377)); #40465=EDGE_LOOP('',(#231378,#231379,#231380,#231381)); #40466=EDGE_LOOP('',(#231382,#231383,#231384,#231385)); #40467=EDGE_LOOP('',(#231386,#231387,#231388,#231389)); #40468=EDGE_LOOP('',(#231390,#231391,#231392,#231393)); #40469=EDGE_LOOP('',(#231394,#231395,#231396,#231397)); #40470=EDGE_LOOP('',(#231398,#231399,#231400,#231401)); #40471=EDGE_LOOP('',(#231402,#231403,#231404,#231405)); #40472=EDGE_LOOP('',(#231406,#231407,#231408,#231409)); #40473=EDGE_LOOP('',(#231410,#231411,#231412,#231413,#231414,#231415,#231416, #231417,#231418,#231419,#231420,#231421,#231422)); #40474=EDGE_LOOP('',(#231423,#231424,#231425,#231426)); #40475=EDGE_LOOP('',(#231427,#231428,#231429,#231430,#231431,#231432,#231433, #231434,#231435,#231436,#231437,#231438,#231439)); #40476=EDGE_LOOP('',(#231440,#231441,#231442,#231443)); #40477=EDGE_LOOP('',(#231444,#231445,#231446,#231447)); #40478=EDGE_LOOP('',(#231448,#231449,#231450,#231451)); #40479=EDGE_LOOP('',(#231452,#231453,#231454,#231455)); #40480=EDGE_LOOP('',(#231456,#231457,#231458,#231459)); #40481=EDGE_LOOP('',(#231460,#231461,#231462,#231463)); #40482=EDGE_LOOP('',(#231464,#231465,#231466,#231467,#231468,#231469)); #40483=EDGE_LOOP('',(#231470,#231471)); #40484=EDGE_LOOP('',(#231472,#231473,#231474,#231475)); #40485=EDGE_LOOP('',(#231476,#231477,#231478,#231479)); #40486=EDGE_LOOP('',(#231480,#231481,#231482,#231483)); #40487=EDGE_LOOP('',(#231484,#231485,#231486,#231487)); #40488=EDGE_LOOP('',(#231488,#231489,#231490,#231491)); #40489=EDGE_LOOP('',(#231492,#231493,#231494,#231495)); #40490=EDGE_LOOP('',(#231496,#231497,#231498,#231499)); #40491=EDGE_LOOP('',(#231500,#231501,#231502,#231503)); #40492=EDGE_LOOP('',(#231504,#231505,#231506,#231507)); #40493=EDGE_LOOP('',(#231508,#231509,#231510,#231511,#231512,#231513,#231514, #231515,#231516,#231517,#231518,#231519,#231520)); #40494=EDGE_LOOP('',(#231521,#231522,#231523,#231524)); #40495=EDGE_LOOP('',(#231525,#231526,#231527,#231528)); #40496=EDGE_LOOP('',(#231529,#231530,#231531,#231532)); #40497=EDGE_LOOP('',(#231533,#231534,#231535,#231536)); #40498=EDGE_LOOP('',(#231537,#231538,#231539,#231540)); #40499=EDGE_LOOP('',(#231541,#231542,#231543,#231544)); #40500=EDGE_LOOP('',(#231545,#231546,#231547,#231548)); #40501=EDGE_LOOP('',(#231549,#231550,#231551,#231552)); #40502=EDGE_LOOP('',(#231553,#231554,#231555,#231556)); #40503=EDGE_LOOP('',(#231557,#231558,#231559,#231560)); #40504=EDGE_LOOP('',(#231561,#231562,#231563,#231564,#231565,#231566,#231567, #231568,#231569,#231570,#231571,#231572,#231573)); #40505=EDGE_LOOP('',(#231574,#231575,#231576,#231577)); #40506=EDGE_LOOP('',(#231578,#231579,#231580,#231581,#231582,#231583,#231584, #231585,#231586,#231587,#231588,#231589,#231590)); #40507=EDGE_LOOP('',(#231591,#231592,#231593,#231594)); #40508=EDGE_LOOP('',(#231595,#231596,#231597,#231598)); #40509=EDGE_LOOP('',(#231599,#231600,#231601,#231602)); #40510=EDGE_LOOP('',(#231603,#231604,#231605,#231606)); #40511=EDGE_LOOP('',(#231607,#231608,#231609,#231610)); #40512=EDGE_LOOP('',(#231611,#231612,#231613,#231614)); #40513=EDGE_LOOP('',(#231615,#231616,#231617,#231618,#231619,#231620)); #40514=EDGE_LOOP('',(#231621,#231622)); #40515=EDGE_LOOP('',(#231623,#231624,#231625,#231626)); #40516=EDGE_LOOP('',(#231627,#231628,#231629,#231630,#231631,#231632,#231633, #231634,#231635,#231636,#231637,#231638,#231639)); #40517=EDGE_LOOP('',(#231640,#231641,#231642,#231643)); #40518=EDGE_LOOP('',(#231644,#231645,#231646,#231647)); #40519=EDGE_LOOP('',(#231648,#231649,#231650,#231651)); #40520=EDGE_LOOP('',(#231652,#231653,#231654,#231655)); #40521=EDGE_LOOP('',(#231656,#231657,#231658,#231659)); #40522=EDGE_LOOP('',(#231660,#231661,#231662,#231663)); #40523=EDGE_LOOP('',(#231664,#231665,#231666,#231667)); #40524=EDGE_LOOP('',(#231668,#231669,#231670,#231671)); #40525=EDGE_LOOP('',(#231672,#231673,#231674,#231675,#231676,#231677,#231678, #231679,#231680,#231681,#231682,#231683,#231684)); #40526=EDGE_LOOP('',(#231685,#231686,#231687,#231688)); #40527=EDGE_LOOP('',(#231689,#231690,#231691,#231692,#231693,#231694,#231695, #231696,#231697,#231698,#231699,#231700,#231701)); #40528=EDGE_LOOP('',(#231702,#231703,#231704,#231705)); #40529=EDGE_LOOP('',(#231706,#231707,#231708,#231709,#231710,#231711,#231712, #231713,#231714,#231715,#231716,#231717,#231718)); #40530=EDGE_LOOP('',(#231719,#231720,#231721,#231722)); #40531=EDGE_LOOP('',(#231723,#231724,#231725,#231726)); #40532=EDGE_LOOP('',(#231727,#231728,#231729,#231730)); #40533=EDGE_LOOP('',(#231731,#231732,#231733,#231734)); #40534=EDGE_LOOP('',(#231735,#231736,#231737,#231738)); #40535=EDGE_LOOP('',(#231739,#231740,#231741,#231742)); #40536=EDGE_LOOP('',(#231743,#231744,#231745,#231746)); #40537=EDGE_LOOP('',(#231747,#231748,#231749,#231750)); #40538=EDGE_LOOP('',(#231751,#231752,#231753,#231754)); #40539=EDGE_LOOP('',(#231755,#231756,#231757,#231758)); #40540=EDGE_LOOP('',(#231759,#231760,#231761,#231762,#231763,#231764,#231765, #231766,#231767,#231768,#231769,#231770,#231771)); #40541=EDGE_LOOP('',(#231772,#231773,#231774,#231775)); #40542=EDGE_LOOP('',(#231776,#231777,#231778,#231779,#231780,#231781,#231782, #231783,#231784,#231785,#231786,#231787,#231788)); #40543=EDGE_LOOP('',(#231789,#231790,#231791,#231792)); #40544=EDGE_LOOP('',(#231793,#231794,#231795,#231796)); #40545=EDGE_LOOP('',(#231797,#231798,#231799,#231800)); #40546=EDGE_LOOP('',(#231801,#231802,#231803,#231804)); #40547=EDGE_LOOP('',(#231805,#231806,#231807,#231808)); #40548=EDGE_LOOP('',(#231809,#231810,#231811,#231812)); #40549=EDGE_LOOP('',(#231813,#231814,#231815,#231816,#231817,#231818)); #40550=EDGE_LOOP('',(#231819,#231820)); #40551=EDGE_LOOP('',(#231821,#231822,#231823,#231824)); #40552=EDGE_LOOP('',(#231825,#231826,#231827,#231828,#231829,#231830,#231831, #231832,#231833,#231834,#231835,#231836,#231837)); #40553=EDGE_LOOP('',(#231838,#231839,#231840,#231841)); #40554=EDGE_LOOP('',(#231842,#231843,#231844,#231845)); #40555=EDGE_LOOP('',(#231846,#231847,#231848,#231849)); #40556=EDGE_LOOP('',(#231850,#231851,#231852,#231853)); #40557=EDGE_LOOP('',(#231854,#231855,#231856,#231857)); #40558=EDGE_LOOP('',(#231858,#231859,#231860,#231861)); #40559=EDGE_LOOP('',(#231862,#231863,#231864,#231865)); #40560=EDGE_LOOP('',(#231866,#231867,#231868,#231869)); #40561=EDGE_LOOP('',(#231870,#231871,#231872,#231873,#231874,#231875,#231876, #231877,#231878,#231879,#231880,#231881,#231882)); #40562=EDGE_LOOP('',(#231883,#231884,#231885,#231886)); #40563=EDGE_LOOP('',(#231887,#231888,#231889,#231890)); #40564=EDGE_LOOP('',(#231891,#231892,#231893,#231894)); #40565=EDGE_LOOP('',(#231895,#231896,#231897,#231898)); #40566=EDGE_LOOP('',(#231899,#231900,#231901,#231902)); #40567=EDGE_LOOP('',(#231903,#231904,#231905,#231906)); #40568=EDGE_LOOP('',(#231907,#231908,#231909,#231910)); #40569=EDGE_LOOP('',(#231911,#231912,#231913,#231914)); #40570=EDGE_LOOP('',(#231915,#231916,#231917,#231918)); #40571=EDGE_LOOP('',(#231919,#231920,#231921,#231922)); #40572=EDGE_LOOP('',(#231923,#231924,#231925,#231926)); #40573=EDGE_LOOP('',(#231927,#231928,#231929,#231930)); #40574=EDGE_LOOP('',(#231931,#231932,#231933,#231934)); #40575=EDGE_LOOP('',(#231935,#231936,#231937,#231938)); #40576=EDGE_LOOP('',(#231939,#231940,#231941,#231942)); #40577=EDGE_LOOP('',(#231943,#231944,#231945,#231946)); #40578=EDGE_LOOP('',(#231947,#231948,#231949,#231950)); #40579=EDGE_LOOP('',(#231951,#231952,#231953,#231954)); #40580=EDGE_LOOP('',(#231955,#231956,#231957,#231958)); #40581=EDGE_LOOP('',(#231959,#231960,#231961,#231962)); #40582=EDGE_LOOP('',(#231963,#231964,#231965,#231966)); #40583=EDGE_LOOP('',(#231967,#231968,#231969,#231970)); #40584=EDGE_LOOP('',(#231971,#231972,#231973,#231974)); #40585=EDGE_LOOP('',(#231975,#231976,#231977,#231978,#231979)); #40586=EDGE_LOOP('',(#231980,#231981,#231982,#231983)); #40587=EDGE_LOOP('',(#231984,#231985,#231986,#231987,#231988)); #40588=EDGE_LOOP('',(#231989,#231990,#231991,#231992,#231993,#231994,#231995)); #40589=EDGE_LOOP('',(#231996,#231997,#231998,#231999,#232000,#232001,#232002, #232003,#232004,#232005,#232006,#232007,#232008,#232009,#232010,#232011, #232012,#232013,#232014)); #40590=EDGE_LOOP('',(#232015,#232016,#232017,#232018,#232019,#232020,#232021)); #40591=EDGE_LOOP('',(#232022,#232023,#232024,#232025)); #40592=EDGE_LOOP('',(#232026,#232027,#232028,#232029)); #40593=EDGE_LOOP('',(#232030,#232031,#232032,#232033,#232034,#232035,#232036, #232037,#232038)); #40594=EDGE_LOOP('',(#232039,#232040,#232041,#232042,#232043)); #40595=EDGE_LOOP('',(#232044,#232045,#232046,#232047,#232048)); #40596=EDGE_LOOP('',(#232049,#232050,#232051,#232052,#232053)); #40597=EDGE_LOOP('',(#232054,#232055,#232056,#232057,#232058)); #40598=EDGE_LOOP('',(#232059,#232060,#232061,#232062)); #40599=EDGE_LOOP('',(#232063,#232064,#232065,#232066,#232067,#232068,#232069, #232070,#232071)); #40600=EDGE_LOOP('',(#232072,#232073,#232074,#232075)); #40601=EDGE_LOOP('',(#232076,#232077,#232078,#232079)); #40602=EDGE_LOOP('',(#232080,#232081,#232082,#232083,#232084,#232085,#232086)); #40603=EDGE_LOOP('',(#232087,#232088,#232089,#232090,#232091,#232092,#232093, #232094,#232095)); #40604=EDGE_LOOP('',(#232096,#232097,#232098,#232099)); #40605=EDGE_LOOP('',(#232100,#232101,#232102,#232103)); #40606=EDGE_LOOP('',(#232104,#232105,#232106,#232107,#232108,#232109,#232110)); #40607=EDGE_LOOP('',(#232111,#232112,#232113,#232114,#232115,#232116,#232117, #232118,#232119)); #40608=EDGE_LOOP('',(#232120,#232121,#232122,#232123,#232124)); #40609=EDGE_LOOP('',(#232125,#232126,#232127,#232128,#232129)); #40610=EDGE_LOOP('',(#232130,#232131,#232132,#232133)); #40611=EDGE_LOOP('',(#232134,#232135,#232136,#232137,#232138,#232139,#232140, #232141,#232142)); #40612=EDGE_LOOP('',(#232143,#232144,#232145,#232146)); #40613=EDGE_LOOP('',(#232147,#232148,#232149,#232150)); #40614=EDGE_LOOP('',(#232151,#232152,#232153,#232154,#232155,#232156,#232157)); #40615=EDGE_LOOP('',(#232158,#232159,#232160,#232161)); #40616=EDGE_LOOP('',(#232162,#232163,#232164,#232165,#232166)); #40617=EDGE_LOOP('',(#232167,#232168,#232169,#232170,#232171)); #40618=EDGE_LOOP('',(#232172,#232173,#232174,#232175,#232176)); #40619=EDGE_LOOP('',(#232177,#232178,#232179,#232180,#232181)); #40620=EDGE_LOOP('',(#232182,#232183,#232184,#232185,#232186,#232187,#232188, #232189,#232190,#232191)); #40621=EDGE_LOOP('',(#232192,#232193,#232194,#232195,#232196)); #40622=EDGE_LOOP('',(#232197,#232198,#232199,#232200,#232201)); #40623=EDGE_LOOP('',(#232202,#232203,#232204,#232205,#232206)); #40624=EDGE_LOOP('',(#232207,#232208,#232209,#232210,#232211)); #40625=EDGE_LOOP('',(#232212,#232213,#232214,#232215)); #40626=EDGE_LOOP('',(#232216,#232217,#232218)); #40627=EDGE_LOOP('',(#232219,#232220,#232221)); #40628=EDGE_LOOP('',(#232222,#232223,#232224,#232225,#232226)); #40629=EDGE_LOOP('',(#232227,#232228,#232229)); #40630=EDGE_LOOP('',(#232230,#232231,#232232)); #40631=EDGE_LOOP('',(#232233,#232234,#232235,#232236,#232237)); #40632=EDGE_LOOP('',(#232238,#232239,#232240,#232241,#232242)); #40633=EDGE_LOOP('',(#232243,#232244,#232245,#232246,#232247)); #40634=EDGE_LOOP('',(#232248,#232249,#232250,#232251)); #40635=EDGE_LOOP('',(#232252,#232253,#232254,#232255,#232256)); #40636=EDGE_LOOP('',(#232257,#232258,#232259)); #40637=EDGE_LOOP('',(#232260,#232261,#232262,#232263)); #40638=EDGE_LOOP('',(#232264)); #40639=EDGE_LOOP('',(#232265,#232266,#232267,#232268)); #40640=EDGE_LOOP('',(#232269,#232270,#232271)); #40641=EDGE_LOOP('',(#232272,#232273,#232274,#232275)); #40642=EDGE_LOOP('',(#232276,#232277,#232278)); #40643=EDGE_LOOP('',(#232279,#232280)); #40644=EDGE_LOOP('',(#232281,#232282,#232283)); #40645=EDGE_LOOP('',(#232284,#232285,#232286,#232287)); #40646=EDGE_LOOP('',(#232288,#232289)); #40647=EDGE_LOOP('',(#232290,#232291,#232292,#232293,#232294,#232295,#232296, #232297)); #40648=EDGE_LOOP('',(#232298,#232299,#232300,#232301)); #40649=EDGE_LOOP('',(#232302,#232303,#232304,#232305)); #40650=EDGE_LOOP('',(#232306,#232307,#232308,#232309)); #40651=EDGE_LOOP('',(#232310,#232311,#232312,#232313,#232314,#232315)); #40652=EDGE_LOOP('',(#232316,#232317,#232318,#232319,#232320,#232321)); #40653=EDGE_LOOP('',(#232322,#232323,#232324,#232325,#232326,#232327,#232328, #232329)); #40654=EDGE_LOOP('',(#232330,#232331,#232332,#232333)); #40655=EDGE_LOOP('',(#232334,#232335,#232336,#232337,#232338,#232339,#232340)); #40656=EDGE_LOOP('',(#232341,#232342,#232343,#232344,#232345,#232346)); #40657=EDGE_LOOP('',(#232347,#232348,#232349,#232350)); #40658=EDGE_LOOP('',(#232351,#232352,#232353,#232354,#232355,#232356,#232357, #232358,#232359)); #40659=EDGE_LOOP('',(#232360,#232361,#232362,#232363)); #40660=EDGE_LOOP('',(#232364,#232365,#232366,#232367,#232368,#232369,#232370, #232371)); #40661=EDGE_LOOP('',(#232372,#232373,#232374,#232375)); #40662=EDGE_LOOP('',(#232376,#232377,#232378,#232379,#232380,#232381)); #40663=EDGE_LOOP('',(#232382,#232383,#232384,#232385)); #40664=EDGE_LOOP('',(#232386,#232387,#232388,#232389,#232390,#232391,#232392, #232393)); #40665=EDGE_LOOP('',(#232394,#232395,#232396,#232397)); #40666=EDGE_LOOP('',(#232398,#232399,#232400,#232401,#232402,#232403)); #40667=EDGE_LOOP('',(#232404,#232405,#232406,#232407)); #40668=EDGE_LOOP('',(#232408,#232409,#232410,#232411,#232412,#232413,#232414)); #40669=EDGE_LOOP('',(#232415,#232416,#232417,#232418)); #40670=EDGE_LOOP('',(#232419,#232420,#232421,#232422,#232423,#232424,#232425, #232426)); #40671=EDGE_LOOP('',(#232427,#232428,#232429,#232430)); #40672=EDGE_LOOP('',(#232431,#232432,#232433,#232434)); #40673=EDGE_LOOP('',(#232435,#232436,#232437,#232438,#232439,#232440,#232441, #232442,#232443,#232444)); #40674=EDGE_LOOP('',(#232445,#232446,#232447,#232448)); #40675=EDGE_LOOP('',(#232449,#232450,#232451,#232452)); #40676=EDGE_LOOP('',(#232453,#232454,#232455,#232456,#232457,#232458,#232459)); #40677=EDGE_LOOP('',(#232460,#232461,#232462,#232463,#232464,#232465,#232466)); #40678=EDGE_LOOP('',(#232467,#232468,#232469,#232470,#232471,#232472,#232473, #232474)); #40679=EDGE_LOOP('',(#232475,#232476,#232477,#232478,#232479,#232480,#232481, #232482,#232483,#232484)); #40680=EDGE_LOOP('',(#232485,#232486,#232487,#232488)); #40681=EDGE_LOOP('',(#232489,#232490,#232491,#232492)); #40682=EDGE_LOOP('',(#232493,#232494,#232495,#232496,#232497,#232498,#232499)); #40683=EDGE_LOOP('',(#232500,#232501,#232502,#232503)); #40684=EDGE_LOOP('',(#232504,#232505,#232506,#232507)); #40685=EDGE_LOOP('',(#232508,#232509,#232510,#232511)); #40686=EDGE_LOOP('',(#232512,#232513,#232514,#232515)); #40687=EDGE_LOOP('',(#232516,#232517,#232518,#232519)); #40688=EDGE_LOOP('',(#232520,#232521,#232522,#232523,#232524,#232525,#232526, #232527,#232528,#232529)); #40689=EDGE_LOOP('',(#232530,#232531,#232532,#232533,#232534,#232535,#232536)); #40690=EDGE_LOOP('',(#232537,#232538,#232539,#232540,#232541,#232542,#232543, #232544)); #40691=EDGE_LOOP('',(#232545,#232546,#232547,#232548,#232549,#232550,#232551)); #40692=EDGE_LOOP('',(#232552,#232553,#232554,#232555,#232556,#232557)); #40693=EDGE_LOOP('',(#232558,#232559,#232560,#232561)); #40694=EDGE_LOOP('',(#232562,#232563,#232564,#232565)); #40695=EDGE_LOOP('',(#232566,#232567,#232568,#232569,#232570,#232571,#232572)); #40696=EDGE_LOOP('',(#232573,#232574,#232575,#232576,#232577,#232578,#232579)); #40697=EDGE_LOOP('',(#232580,#232581,#232582,#232583)); #40698=EDGE_LOOP('',(#232584,#232585,#232586,#232587)); #40699=EDGE_LOOP('',(#232588,#232589,#232590,#232591)); #40700=EDGE_LOOP('',(#232592,#232593,#232594,#232595,#232596,#232597)); #40701=EDGE_LOOP('',(#232598,#232599,#232600,#232601,#232602,#232603,#232604)); #40702=EDGE_LOOP('',(#232605,#232606,#232607,#232608,#232609,#232610)); #40703=EDGE_LOOP('',(#232611,#232612,#232613,#232614)); #40704=EDGE_LOOP('',(#232615,#232616,#232617,#232618)); #40705=EDGE_LOOP('',(#232619,#232620,#232621,#232622,#232623,#232624,#232625, #232626)); #40706=EDGE_LOOP('',(#232627,#232628,#232629,#232630)); #40707=EDGE_LOOP('',(#232631,#232632,#232633,#232634)); #40708=EDGE_LOOP('',(#232635,#232636,#232637,#232638,#232639,#232640)); #40709=EDGE_LOOP('',(#232641,#232642,#232643,#232644,#232645,#232646,#232647)); #40710=EDGE_LOOP('',(#232648,#232649,#232650,#232651,#232652,#232653)); #40711=EDGE_LOOP('',(#232654,#232655,#232656,#232657)); #40712=EDGE_LOOP('',(#232658,#232659,#232660,#232661)); #40713=EDGE_LOOP('',(#232662,#232663,#232664,#232665,#232666,#232667,#232668, #232669,#232670,#232671,#232672,#232673)); #40714=EDGE_LOOP('',(#232674,#232675,#232676,#232677,#232678,#232679,#232680, #232681,#232682,#232683,#232684,#232685)); #40715=EDGE_LOOP('',(#232686,#232687,#232688,#232689)); #40716=EDGE_LOOP('',(#232690,#232691,#232692,#232693)); #40717=EDGE_LOOP('',(#232694,#232695,#232696,#232697,#232698)); #40718=EDGE_LOOP('',(#232699,#232700,#232701,#232702,#232703,#232704,#232705, #232706,#232707)); #40719=EDGE_LOOP('',(#232708,#232709,#232710,#232711,#232712,#232713,#232714, #232715)); #40720=EDGE_LOOP('',(#232716,#232717,#232718,#232719)); #40721=EDGE_LOOP('',(#232720,#232721,#232722,#232723,#232724,#232725,#232726)); #40722=EDGE_LOOP('',(#232727,#232728,#232729,#232730,#232731,#232732,#232733, #232734,#232735)); #40723=EDGE_LOOP('',(#232736,#232737)); #40724=EDGE_LOOP('',(#232738,#232739,#232740)); #40725=EDGE_LOOP('',(#232741,#232742,#232743,#232744,#232745)); #40726=EDGE_LOOP('',(#232746,#232747,#232748,#232749)); #40727=EDGE_LOOP('',(#232750,#232751,#232752,#232753,#232754)); #40728=EDGE_LOOP('',(#232755,#232756,#232757,#232758,#232759,#232760,#232761, #232762)); #40729=EDGE_LOOP('',(#232763,#232764,#232765,#232766,#232767,#232768,#232769)); #40730=EDGE_LOOP('',(#232770,#232771,#232772,#232773,#232774,#232775)); #40731=EDGE_LOOP('',(#232776,#232777,#232778,#232779)); #40732=EDGE_LOOP('',(#232780,#232781,#232782,#232783)); #40733=EDGE_LOOP('',(#232784,#232785,#232786,#232787,#232788,#232789,#232790)); #40734=EDGE_LOOP('',(#232791,#232792,#232793,#232794,#232795,#232796,#232797, #232798,#232799,#232800,#232801,#232802,#232803,#232804,#232805,#232806, #232807,#232808,#232809,#232810,#232811)); #40735=EDGE_LOOP('',(#232812,#232813,#232814,#232815,#232816,#232817,#232818, #232819,#232820,#232821,#232822,#232823,#232824,#232825,#232826,#232827, #232828)); #40736=EDGE_LOOP('',(#232829,#232830,#232831)); #40737=EDGE_LOOP('',(#232832,#232833,#232834,#232835,#232836,#232837,#232838, #232839,#232840,#232841,#232842,#232843,#232844,#232845,#232846)); #40738=EDGE_LOOP('',(#232847,#232848,#232849,#232850,#232851)); #40739=EDGE_LOOP('',(#232852,#232853,#232854)); #40740=EDGE_LOOP('',(#232855,#232856,#232857)); #40741=EDGE_LOOP('',(#232858,#232859,#232860,#232861,#232862,#232863,#232864, #232865,#232866,#232867,#232868,#232869,#232870,#232871,#232872)); #40742=EDGE_LOOP('',(#232873,#232874,#232875,#232876,#232877,#232878,#232879, #232880,#232881,#232882,#232883,#232884)); #40743=EDGE_LOOP('',(#232885,#232886,#232887,#232888,#232889,#232890)); #40744=EDGE_LOOP('',(#232891,#232892,#232893,#232894)); #40745=EDGE_LOOP('',(#232895,#232896,#232897,#232898)); #40746=EDGE_LOOP('',(#232899,#232900,#232901,#232902)); #40747=EDGE_LOOP('',(#232903,#232904,#232905,#232906,#232907,#232908,#232909, #232910)); #40748=EDGE_LOOP('',(#232911,#232912,#232913,#232914,#232915,#232916,#232917, #232918,#232919,#232920)); #40749=EDGE_LOOP('',(#232921,#232922,#232923,#232924,#232925,#232926,#232927, #232928,#232929,#232930)); #40750=EDGE_LOOP('',(#232931,#232932,#232933,#232934)); #40751=EDGE_LOOP('',(#232935,#232936,#232937,#232938)); #40752=EDGE_LOOP('',(#232939,#232940,#232941,#232942)); #40753=EDGE_LOOP('',(#232943,#232944,#232945,#232946)); #40754=EDGE_LOOP('',(#232947,#232948,#232949,#232950)); #40755=EDGE_LOOP('',(#232951,#232952,#232953,#232954,#232955,#232956)); #40756=EDGE_LOOP('',(#232957,#232958,#232959,#232960,#232961,#232962,#232963, #232964,#232965,#232966)); #40757=EDGE_LOOP('',(#232967,#232968,#232969,#232970)); #40758=EDGE_LOOP('',(#232971,#232972,#232973,#232974)); #40759=EDGE_LOOP('',(#232975,#232976,#232977,#232978)); #40760=EDGE_LOOP('',(#232979,#232980,#232981,#232982)); #40761=EDGE_LOOP('',(#232983,#232984,#232985,#232986)); #40762=EDGE_LOOP('',(#232987,#232988,#232989,#232990)); #40763=EDGE_LOOP('',(#232991,#232992,#232993,#232994)); #40764=EDGE_LOOP('',(#232995,#232996,#232997,#232998)); #40765=EDGE_LOOP('',(#232999,#233000,#233001,#233002)); #40766=EDGE_LOOP('',(#233003,#233004,#233005,#233006)); #40767=EDGE_LOOP('',(#233007,#233008,#233009,#233010)); #40768=EDGE_LOOP('',(#233011,#233012,#233013,#233014)); #40769=EDGE_LOOP('',(#233015,#233016,#233017,#233018)); #40770=EDGE_LOOP('',(#233019,#233020,#233021,#233022,#233023,#233024,#233025, #233026,#233027,#233028,#233029,#233030,#233031,#233032)); #40771=EDGE_LOOP('',(#233033,#233034,#233035,#233036)); #40772=EDGE_LOOP('',(#233037,#233038,#233039,#233040)); #40773=EDGE_LOOP('',(#233041,#233042,#233043,#233044)); #40774=EDGE_LOOP('',(#233045,#233046,#233047,#233048)); #40775=EDGE_LOOP('',(#233049,#233050,#233051,#233052)); #40776=EDGE_LOOP('',(#233053,#233054,#233055,#233056)); #40777=EDGE_LOOP('',(#233057,#233058,#233059,#233060)); #40778=EDGE_LOOP('',(#233061,#233062,#233063,#233064)); #40779=EDGE_LOOP('',(#233065,#233066,#233067,#233068)); #40780=EDGE_LOOP('',(#233069,#233070,#233071,#233072)); #40781=EDGE_LOOP('',(#233073,#233074,#233075,#233076)); #40782=EDGE_LOOP('',(#233077,#233078,#233079,#233080)); #40783=EDGE_LOOP('',(#233081,#233082,#233083,#233084,#233085,#233086,#233087, #233088,#233089,#233090,#233091,#233092,#233093,#233094)); #40784=EDGE_LOOP('',(#233095,#233096,#233097,#233098,#233099)); #40785=EDGE_LOOP('',(#233100,#233101,#233102,#233103)); #40786=EDGE_LOOP('',(#233104,#233105,#233106,#233107)); #40787=EDGE_LOOP('',(#233108,#233109,#233110,#233111)); #40788=EDGE_LOOP('',(#233112,#233113,#233114,#233115)); #40789=EDGE_LOOP('',(#233116,#233117,#233118,#233119)); #40790=EDGE_LOOP('',(#233120,#233121,#233122,#233123)); #40791=EDGE_LOOP('',(#233124,#233125,#233126,#233127)); #40792=EDGE_LOOP('',(#233128,#233129,#233130,#233131)); #40793=EDGE_LOOP('',(#233132,#233133,#233134,#233135)); #40794=EDGE_LOOP('',(#233136,#233137,#233138,#233139,#233140,#233141,#233142, #233143,#233144,#233145,#233146,#233147,#233148,#233149,#233150,#233151)); #40795=EDGE_LOOP('',(#233152,#233153,#233154,#233155)); #40796=EDGE_LOOP('',(#233156,#233157,#233158,#233159)); #40797=EDGE_LOOP('',(#233160,#233161,#233162,#233163)); #40798=EDGE_LOOP('',(#233164,#233165,#233166,#233167)); #40799=EDGE_LOOP('',(#233168,#233169,#233170,#233171)); #40800=EDGE_LOOP('',(#233172,#233173,#233174,#233175)); #40801=EDGE_LOOP('',(#233176,#233177,#233178,#233179)); #40802=EDGE_LOOP('',(#233180,#233181,#233182,#233183)); #40803=EDGE_LOOP('',(#233184,#233185,#233186,#233187)); #40804=EDGE_LOOP('',(#233188,#233189,#233190,#233191)); #40805=EDGE_LOOP('',(#233192,#233193,#233194,#233195)); #40806=EDGE_LOOP('',(#233196,#233197,#233198,#233199)); #40807=EDGE_LOOP('',(#233200,#233201,#233202,#233203)); #40808=EDGE_LOOP('',(#233204,#233205,#233206,#233207)); #40809=EDGE_LOOP('',(#233208,#233209,#233210,#233211,#233212,#233213,#233214, #233215,#233216,#233217,#233218,#233219,#233220,#233221,#233222,#233223)); #40810=EDGE_LOOP('',(#233224,#233225,#233226,#233227)); #40811=EDGE_LOOP('',(#233228,#233229,#233230,#233231)); #40812=EDGE_LOOP('',(#233232,#233233,#233234,#233235)); #40813=EDGE_LOOP('',(#233236,#233237,#233238,#233239)); #40814=EDGE_LOOP('',(#233240,#233241,#233242,#233243)); #40815=EDGE_LOOP('',(#233244,#233245,#233246,#233247)); #40816=EDGE_LOOP('',(#233248,#233249,#233250,#233251)); #40817=EDGE_LOOP('',(#233252,#233253,#233254,#233255)); #40818=EDGE_LOOP('',(#233256,#233257,#233258,#233259)); #40819=EDGE_LOOP('',(#233260,#233261,#233262,#233263)); #40820=EDGE_LOOP('',(#233264,#233265,#233266,#233267)); #40821=EDGE_LOOP('',(#233268,#233269,#233270,#233271)); #40822=EDGE_LOOP('',(#233272,#233273,#233274,#233275)); #40823=EDGE_LOOP('',(#233276,#233277,#233278,#233279)); #40824=EDGE_LOOP('',(#233280,#233281,#233282,#233283,#233284,#233285,#233286, #233287,#233288,#233289,#233290,#233291,#233292,#233293,#233294,#233295)); #40825=EDGE_LOOP('',(#233296,#233297,#233298,#233299)); #40826=EDGE_LOOP('',(#233300,#233301,#233302,#233303)); #40827=EDGE_LOOP('',(#233304,#233305,#233306,#233307)); #40828=EDGE_LOOP('',(#233308,#233309,#233310,#233311)); #40829=EDGE_LOOP('',(#233312,#233313,#233314,#233315)); #40830=EDGE_LOOP('',(#233316,#233317,#233318,#233319)); #40831=EDGE_LOOP('',(#233320,#233321,#233322,#233323)); #40832=EDGE_LOOP('',(#233324,#233325,#233326,#233327)); #40833=EDGE_LOOP('',(#233328,#233329,#233330,#233331)); #40834=EDGE_LOOP('',(#233332,#233333,#233334,#233335)); #40835=EDGE_LOOP('',(#233336,#233337,#233338,#233339)); #40836=EDGE_LOOP('',(#233340,#233341,#233342,#233343)); #40837=EDGE_LOOP('',(#233344,#233345,#233346,#233347)); #40838=EDGE_LOOP('',(#233348,#233349,#233350,#233351)); #40839=EDGE_LOOP('',(#233352,#233353,#233354,#233355,#233356,#233357,#233358, #233359,#233360,#233361,#233362,#233363,#233364,#233365,#233366,#233367)); #40840=EDGE_LOOP('',(#233368,#233369,#233370,#233371)); #40841=EDGE_LOOP('',(#233372,#233373,#233374,#233375)); #40842=EDGE_LOOP('',(#233376,#233377,#233378,#233379)); #40843=EDGE_LOOP('',(#233380,#233381,#233382,#233383)); #40844=EDGE_LOOP('',(#233384,#233385,#233386,#233387)); #40845=EDGE_LOOP('',(#233388,#233389,#233390,#233391)); #40846=EDGE_LOOP('',(#233392,#233393,#233394,#233395)); #40847=EDGE_LOOP('',(#233396,#233397,#233398,#233399)); #40848=EDGE_LOOP('',(#233400,#233401,#233402,#233403)); #40849=EDGE_LOOP('',(#233404,#233405,#233406,#233407)); #40850=EDGE_LOOP('',(#233408,#233409,#233410,#233411)); #40851=EDGE_LOOP('',(#233412,#233413,#233414,#233415)); #40852=EDGE_LOOP('',(#233416,#233417,#233418,#233419)); #40853=EDGE_LOOP('',(#233420,#233421,#233422,#233423)); #40854=EDGE_LOOP('',(#233424,#233425,#233426,#233427)); #40855=EDGE_LOOP('',(#233428,#233429,#233430,#233431)); #40856=EDGE_LOOP('',(#233432,#233433,#233434,#233435)); #40857=EDGE_LOOP('',(#233436,#233437,#233438,#233439)); #40858=EDGE_LOOP('',(#233440,#233441,#233442,#233443)); #40859=EDGE_LOOP('',(#233444,#233445,#233446,#233447)); #40860=EDGE_LOOP('',(#233448,#233449,#233450,#233451)); #40861=EDGE_LOOP('',(#233452,#233453,#233454,#233455)); #40862=EDGE_LOOP('',(#233456,#233457,#233458,#233459)); #40863=EDGE_LOOP('',(#233460,#233461,#233462,#233463)); #40864=EDGE_LOOP('',(#233464,#233465,#233466,#233467)); #40865=EDGE_LOOP('',(#233468,#233469,#233470,#233471)); #40866=EDGE_LOOP('',(#233472,#233473,#233474,#233475)); #40867=EDGE_LOOP('',(#233476,#233477,#233478,#233479)); #40868=EDGE_LOOP('',(#233480,#233481,#233482,#233483)); #40869=EDGE_LOOP('',(#233484,#233485,#233486,#233487)); #40870=EDGE_LOOP('',(#233488,#233489,#233490,#233491)); #40871=EDGE_LOOP('',(#233492,#233493,#233494,#233495)); #40872=EDGE_LOOP('',(#233496,#233497,#233498,#233499)); #40873=EDGE_LOOP('',(#233500,#233501,#233502,#233503)); #40874=EDGE_LOOP('',(#233504,#233505,#233506,#233507)); #40875=EDGE_LOOP('',(#233508,#233509,#233510,#233511,#233512,#233513)); #40876=EDGE_LOOP('',(#233514,#233515,#233516,#233517)); #40877=EDGE_LOOP('',(#233518,#233519,#233520,#233521,#233522,#233523,#233524, #233525,#233526,#233527,#233528,#233529,#233530,#233531,#233532,#233533, #233534,#233535,#233536,#233537,#233538,#233539,#233540,#233541,#233542, #233543,#233544,#233545,#233546,#233547,#233548,#233549,#233550,#233551, #233552,#233553,#233554,#233555,#233556,#233557,#233558,#233559,#233560, #233561,#233562,#233563,#233564,#233565,#233566,#233567,#233568,#233569, #233570,#233571,#233572,#233573,#233574,#233575,#233576,#233577,#233578, #233579,#233580,#233581,#233582,#233583,#233584,#233585,#233586,#233587, #233588,#233589,#233590,#233591,#233592,#233593,#233594,#233595,#233596, #233597,#233598,#233599,#233600,#233601,#233602,#233603,#233604,#233605, #233606,#233607,#233608,#233609,#233610,#233611,#233612,#233613,#233614, #233615,#233616,#233617,#233618,#233619,#233620,#233621,#233622,#233623)); #40878=EDGE_LOOP('',(#233624,#233625,#233626,#233627)); #40879=EDGE_LOOP('',(#233628,#233629,#233630)); #40880=EDGE_LOOP('',(#233631,#233632,#233633,#233634)); #40881=EDGE_LOOP('',(#233635,#233636,#233637,#233638,#233639,#233640)); #40882=EDGE_LOOP('',(#233641,#233642,#233643,#233644,#233645,#233646,#233647)); #40883=EDGE_LOOP('',(#233648,#233649,#233650,#233651)); #40884=EDGE_LOOP('',(#233652,#233653,#233654)); #40885=EDGE_LOOP('',(#233655,#233656,#233657,#233658)); #40886=EDGE_LOOP('',(#233659,#233660,#233661)); #40887=EDGE_LOOP('',(#233662,#233663,#233664,#233665,#233666,#233667,#233668, #233669,#233670,#233671,#233672,#233673,#233674,#233675,#233676,#233677, #233678)); #40888=EDGE_LOOP('',(#233679,#233680,#233681)); #40889=EDGE_LOOP('',(#233682,#233683,#233684,#233685,#233686)); #40890=EDGE_LOOP('',(#233687,#233688,#233689,#233690)); #40891=EDGE_LOOP('',(#233691,#233692,#233693,#233694)); #40892=EDGE_LOOP('',(#233695,#233696,#233697,#233698)); #40893=EDGE_LOOP('',(#233699,#233700,#233701,#233702,#233703,#233704)); #40894=EDGE_LOOP('',(#233705,#233706,#233707,#233708)); #40895=EDGE_LOOP('',(#233709)); #40896=EDGE_LOOP('',(#233710)); #40897=EDGE_LOOP('',(#233711,#233712,#233713,#233714)); #40898=EDGE_LOOP('',(#233715)); #40899=EDGE_LOOP('',(#233716)); #40900=EDGE_LOOP('',(#233717,#233718,#233719,#233720)); #40901=EDGE_LOOP('',(#233721)); #40902=EDGE_LOOP('',(#233722)); #40903=EDGE_LOOP('',(#233723,#233724,#233725,#233726)); #40904=EDGE_LOOP('',(#233727)); #40905=EDGE_LOOP('',(#233728)); #40906=EDGE_LOOP('',(#233729,#233730,#233731,#233732)); #40907=EDGE_LOOP('',(#233733)); #40908=EDGE_LOOP('',(#233734,#233735,#233736,#233737)); #40909=EDGE_LOOP('',(#233738)); #40910=EDGE_LOOP('',(#233739,#233740,#233741,#233742)); #40911=EDGE_LOOP('',(#233743)); #40912=EDGE_LOOP('',(#233744,#233745,#233746,#233747)); #40913=EDGE_LOOP('',(#233748)); #40914=EDGE_LOOP('',(#233749)); #40915=EDGE_LOOP('',(#233750)); #40916=EDGE_LOOP('',(#233751,#233752,#233753,#233754,#233755,#233756,#233757, #233758,#233759,#233760,#233761,#233762,#233763,#233764,#233765,#233766, #233767,#233768,#233769)); #40917=EDGE_LOOP('',(#233770,#233771,#233772,#233773)); #40918=EDGE_LOOP('',(#233774,#233775,#233776,#233777)); #40919=EDGE_LOOP('',(#233778,#233779,#233780,#233781)); #40920=EDGE_LOOP('',(#233782,#233783,#233784,#233785,#233786)); #40921=EDGE_LOOP('',(#233787,#233788,#233789,#233790)); #40922=EDGE_LOOP('',(#233791,#233792,#233793,#233794)); #40923=EDGE_LOOP('',(#233795,#233796,#233797,#233798)); #40924=EDGE_LOOP('',(#233799,#233800,#233801,#233802)); #40925=EDGE_LOOP('',(#233803,#233804,#233805,#233806)); #40926=EDGE_LOOP('',(#233807,#233808,#233809,#233810)); #40927=EDGE_LOOP('',(#233811,#233812,#233813,#233814)); #40928=EDGE_LOOP('',(#233815,#233816,#233817,#233818,#233819,#233820,#233821, #233822,#233823,#233824,#233825,#233826)); #40929=EDGE_LOOP('',(#233827,#233828,#233829,#233830,#233831,#233832,#233833, #233834)); #40930=EDGE_LOOP('',(#233835,#233836,#233837,#233838,#233839,#233840,#233841, #233842)); #40931=EDGE_LOOP('',(#233843,#233844,#233845,#233846,#233847,#233848,#233849, #233850)); #40932=EDGE_LOOP('',(#233851,#233852,#233853,#233854,#233855,#233856,#233857, #233858)); #40933=EDGE_LOOP('',(#233859,#233860,#233861,#233862)); #40934=EDGE_LOOP('',(#233863,#233864,#233865,#233866)); #40935=EDGE_LOOP('',(#233867,#233868,#233869,#233870)); #40936=EDGE_LOOP('',(#233871,#233872,#233873,#233874)); #40937=EDGE_LOOP('',(#233875,#233876,#233877,#233878)); #40938=EDGE_LOOP('',(#233879,#233880,#233881,#233882)); #40939=EDGE_LOOP('',(#233883,#233884,#233885,#233886)); #40940=EDGE_LOOP('',(#233887,#233888,#233889,#233890)); #40941=EDGE_LOOP('',(#233891,#233892,#233893,#233894)); #40942=EDGE_LOOP('',(#233895,#233896,#233897,#233898)); #40943=EDGE_LOOP('',(#233899,#233900,#233901,#233902)); #40944=EDGE_LOOP('',(#233903,#233904,#233905,#233906)); #40945=EDGE_LOOP('',(#233907,#233908,#233909,#233910)); #40946=EDGE_LOOP('',(#233911,#233912,#233913,#233914)); #40947=EDGE_LOOP('',(#233915,#233916,#233917,#233918)); #40948=EDGE_LOOP('',(#233919,#233920,#233921,#233922)); #40949=EDGE_LOOP('',(#233923,#233924,#233925,#233926)); #40950=EDGE_LOOP('',(#233927,#233928,#233929,#233930)); #40951=EDGE_LOOP('',(#233931,#233932,#233933,#233934)); #40952=EDGE_LOOP('',(#233935,#233936,#233937,#233938,#233939)); #40953=EDGE_LOOP('',(#233940,#233941,#233942,#233943)); #40954=EDGE_LOOP('',(#233944,#233945,#233946,#233947)); #40955=EDGE_LOOP('',(#233948,#233949,#233950,#233951)); #40956=EDGE_LOOP('',(#233952,#233953,#233954,#233955)); #40957=EDGE_LOOP('',(#233956,#233957,#233958,#233959)); #40958=EDGE_LOOP('',(#233960,#233961,#233962,#233963)); #40959=EDGE_LOOP('',(#233964,#233965,#233966,#233967)); #40960=EDGE_LOOP('',(#233968,#233969,#233970,#233971)); #40961=EDGE_LOOP('',(#233972,#233973,#233974,#233975)); #40962=EDGE_LOOP('',(#233976,#233977,#233978,#233979,#233980,#233981)); #40963=EDGE_LOOP('',(#233982,#233983,#233984,#233985)); #40964=EDGE_LOOP('',(#233986,#233987,#233988,#233989,#233990)); #40965=EDGE_LOOP('',(#233991,#233992,#233993,#233994)); #40966=EDGE_LOOP('',(#233995,#233996,#233997,#233998)); #40967=EDGE_LOOP('',(#233999,#234000,#234001,#234002)); #40968=EDGE_LOOP('',(#234003,#234004,#234005,#234006)); #40969=EDGE_LOOP('',(#234007,#234008,#234009,#234010)); #40970=EDGE_LOOP('',(#234011,#234012,#234013,#234014)); #40971=EDGE_LOOP('',(#234015,#234016,#234017,#234018)); #40972=EDGE_LOOP('',(#234019,#234020,#234021,#234022)); #40973=EDGE_LOOP('',(#234023,#234024,#234025,#234026)); #40974=EDGE_LOOP('',(#234027,#234028,#234029,#234030)); #40975=EDGE_LOOP('',(#234031,#234032,#234033,#234034)); #40976=EDGE_LOOP('',(#234035,#234036,#234037,#234038,#234039)); #40977=EDGE_LOOP('',(#234040,#234041,#234042,#234043)); #40978=EDGE_LOOP('',(#234044,#234045,#234046,#234047)); #40979=EDGE_LOOP('',(#234048,#234049,#234050,#234051)); #40980=EDGE_LOOP('',(#234052,#234053,#234054,#234055)); #40981=EDGE_LOOP('',(#234056,#234057,#234058,#234059)); #40982=EDGE_LOOP('',(#234060,#234061,#234062,#234063)); #40983=EDGE_LOOP('',(#234064,#234065,#234066,#234067)); #40984=EDGE_LOOP('',(#234068,#234069,#234070,#234071)); #40985=EDGE_LOOP('',(#234072,#234073,#234074,#234075)); #40986=EDGE_LOOP('',(#234076,#234077,#234078,#234079)); #40987=EDGE_LOOP('',(#234080,#234081,#234082,#234083)); #40988=EDGE_LOOP('',(#234084,#234085,#234086,#234087,#234088)); #40989=EDGE_LOOP('',(#234089,#234090,#234091,#234092)); #40990=EDGE_LOOP('',(#234093,#234094,#234095,#234096)); #40991=EDGE_LOOP('',(#234097,#234098,#234099,#234100)); #40992=EDGE_LOOP('',(#234101,#234102,#234103,#234104)); #40993=EDGE_LOOP('',(#234105,#234106,#234107,#234108)); #40994=EDGE_LOOP('',(#234109,#234110,#234111,#234112)); #40995=EDGE_LOOP('',(#234113,#234114,#234115,#234116)); #40996=EDGE_LOOP('',(#234117,#234118,#234119,#234120)); #40997=EDGE_LOOP('',(#234121,#234122,#234123,#234124)); #40998=EDGE_LOOP('',(#234125,#234126,#234127,#234128)); #40999=EDGE_LOOP('',(#234129,#234130,#234131,#234132)); #41000=EDGE_LOOP('',(#234133,#234134,#234135,#234136,#234137)); #41001=EDGE_LOOP('',(#234138,#234139,#234140,#234141)); #41002=EDGE_LOOP('',(#234142,#234143,#234144,#234145)); #41003=EDGE_LOOP('',(#234146,#234147,#234148,#234149)); #41004=EDGE_LOOP('',(#234150,#234151,#234152,#234153)); #41005=EDGE_LOOP('',(#234154,#234155,#234156,#234157)); #41006=EDGE_LOOP('',(#234158,#234159,#234160,#234161)); #41007=EDGE_LOOP('',(#234162,#234163,#234164,#234165)); #41008=EDGE_LOOP('',(#234166,#234167,#234168,#234169)); #41009=EDGE_LOOP('',(#234170,#234171,#234172,#234173)); #41010=EDGE_LOOP('',(#234174,#234175,#234176,#234177)); #41011=EDGE_LOOP('',(#234178,#234179,#234180,#234181)); #41012=EDGE_LOOP('',(#234182,#234183,#234184,#234185,#234186)); #41013=EDGE_LOOP('',(#234187,#234188,#234189,#234190)); #41014=EDGE_LOOP('',(#234191,#234192,#234193,#234194)); #41015=EDGE_LOOP('',(#234195,#234196,#234197,#234198)); #41016=EDGE_LOOP('',(#234199,#234200,#234201,#234202)); #41017=EDGE_LOOP('',(#234203,#234204,#234205,#234206)); #41018=EDGE_LOOP('',(#234207,#234208,#234209,#234210)); #41019=EDGE_LOOP('',(#234211,#234212,#234213,#234214)); #41020=EDGE_LOOP('',(#234215,#234216,#234217,#234218)); #41021=EDGE_LOOP('',(#234219,#234220,#234221,#234222)); #41022=EDGE_LOOP('',(#234223,#234224,#234225,#234226)); #41023=EDGE_LOOP('',(#234227,#234228,#234229,#234230)); #41024=EDGE_LOOP('',(#234231,#234232,#234233,#234234,#234235)); #41025=EDGE_LOOP('',(#234236,#234237,#234238,#234239)); #41026=EDGE_LOOP('',(#234240,#234241,#234242,#234243)); #41027=EDGE_LOOP('',(#234244,#234245,#234246,#234247)); #41028=EDGE_LOOP('',(#234248,#234249,#234250,#234251)); #41029=EDGE_LOOP('',(#234252,#234253,#234254,#234255)); #41030=EDGE_LOOP('',(#234256,#234257,#234258,#234259)); #41031=EDGE_LOOP('',(#234260,#234261,#234262,#234263)); #41032=EDGE_LOOP('',(#234264,#234265,#234266,#234267)); #41033=EDGE_LOOP('',(#234268,#234269,#234270,#234271)); #41034=EDGE_LOOP('',(#234272,#234273,#234274,#234275)); #41035=EDGE_LOOP('',(#234276,#234277,#234278,#234279)); #41036=EDGE_LOOP('',(#234280,#234281,#234282,#234283,#234284)); #41037=EDGE_LOOP('',(#234285,#234286,#234287,#234288)); #41038=EDGE_LOOP('',(#234289,#234290,#234291,#234292)); #41039=EDGE_LOOP('',(#234293,#234294,#234295,#234296)); #41040=EDGE_LOOP('',(#234297,#234298,#234299,#234300)); #41041=EDGE_LOOP('',(#234301,#234302,#234303,#234304)); #41042=EDGE_LOOP('',(#234305,#234306,#234307,#234308)); #41043=EDGE_LOOP('',(#234309,#234310,#234311,#234312)); #41044=EDGE_LOOP('',(#234313,#234314,#234315,#234316)); #41045=EDGE_LOOP('',(#234317,#234318,#234319,#234320)); #41046=EDGE_LOOP('',(#234321,#234322,#234323,#234324)); #41047=EDGE_LOOP('',(#234325,#234326,#234327,#234328)); #41048=EDGE_LOOP('',(#234329,#234330,#234331,#234332,#234333)); #41049=EDGE_LOOP('',(#234334,#234335,#234336,#234337)); #41050=EDGE_LOOP('',(#234338,#234339,#234340,#234341)); #41051=EDGE_LOOP('',(#234342,#234343,#234344,#234345)); #41052=EDGE_LOOP('',(#234346,#234347,#234348,#234349)); #41053=EDGE_LOOP('',(#234350,#234351,#234352,#234353)); #41054=EDGE_LOOP('',(#234354,#234355,#234356,#234357)); #41055=EDGE_LOOP('',(#234358,#234359,#234360,#234361)); #41056=EDGE_LOOP('',(#234362,#234363,#234364,#234365)); #41057=EDGE_LOOP('',(#234366,#234367,#234368,#234369)); #41058=EDGE_LOOP('',(#234370,#234371,#234372,#234373)); #41059=EDGE_LOOP('',(#234374,#234375,#234376,#234377)); #41060=EDGE_LOOP('',(#234378,#234379,#234380,#234381,#234382)); #41061=EDGE_LOOP('',(#234383,#234384,#234385,#234386)); #41062=EDGE_LOOP('',(#234387,#234388,#234389,#234390)); #41063=EDGE_LOOP('',(#234391,#234392,#234393,#234394)); #41064=EDGE_LOOP('',(#234395,#234396,#234397,#234398)); #41065=EDGE_LOOP('',(#234399,#234400,#234401,#234402)); #41066=EDGE_LOOP('',(#234403,#234404,#234405,#234406)); #41067=EDGE_LOOP('',(#234407,#234408,#234409,#234410)); #41068=EDGE_LOOP('',(#234411,#234412,#234413,#234414)); #41069=EDGE_LOOP('',(#234415,#234416,#234417,#234418)); #41070=EDGE_LOOP('',(#234419,#234420,#234421,#234422,#234423)); #41071=EDGE_LOOP('',(#234424,#234425,#234426,#234427)); #41072=EDGE_LOOP('',(#234428,#234429,#234430,#234431,#234432)); #41073=EDGE_LOOP('',(#234433,#234434,#234435,#234436)); #41074=EDGE_LOOP('',(#234437,#234438,#234439,#234440)); #41075=EDGE_LOOP('',(#234441,#234442,#234443,#234444)); #41076=EDGE_LOOP('',(#234445,#234446,#234447,#234448,#234449)); #41077=EDGE_LOOP('',(#234450,#234451,#234452,#234453)); #41078=EDGE_LOOP('',(#234454,#234455,#234456,#234457,#234458)); #41079=EDGE_LOOP('',(#234459,#234460,#234461,#234462)); #41080=EDGE_LOOP('',(#234463,#234464,#234465,#234466)); #41081=EDGE_LOOP('',(#234467,#234468,#234469,#234470)); #41082=EDGE_LOOP('',(#234471,#234472,#234473,#234474)); #41083=EDGE_LOOP('',(#234475,#234476,#234477,#234478)); #41084=EDGE_LOOP('',(#234479,#234480,#234481,#234482,#234483)); #41085=EDGE_LOOP('',(#234484,#234485,#234486,#234487)); #41086=EDGE_LOOP('',(#234488,#234489,#234490,#234491)); #41087=EDGE_LOOP('',(#234492,#234493,#234494,#234495)); #41088=EDGE_LOOP('',(#234496,#234497,#234498,#234499)); #41089=EDGE_LOOP('',(#234500,#234501,#234502,#234503)); #41090=EDGE_LOOP('',(#234504,#234505,#234506,#234507)); #41091=EDGE_LOOP('',(#234508,#234509,#234510,#234511)); #41092=EDGE_LOOP('',(#234512,#234513,#234514,#234515)); #41093=EDGE_LOOP('',(#234516,#234517,#234518,#234519)); #41094=EDGE_LOOP('',(#234520,#234521,#234522,#234523)); #41095=EDGE_LOOP('',(#234524,#234525,#234526,#234527)); #41096=EDGE_LOOP('',(#234528,#234529,#234530,#234531,#234532)); #41097=EDGE_LOOP('',(#234533,#234534,#234535,#234536)); #41098=EDGE_LOOP('',(#234537,#234538,#234539,#234540)); #41099=EDGE_LOOP('',(#234541,#234542,#234543,#234544)); #41100=EDGE_LOOP('',(#234545,#234546,#234547,#234548)); #41101=EDGE_LOOP('',(#234549,#234550,#234551,#234552)); #41102=EDGE_LOOP('',(#234553,#234554,#234555,#234556)); #41103=EDGE_LOOP('',(#234557,#234558,#234559,#234560)); #41104=EDGE_LOOP('',(#234561,#234562,#234563,#234564)); #41105=EDGE_LOOP('',(#234565,#234566,#234567,#234568)); #41106=EDGE_LOOP('',(#234569,#234570,#234571,#234572)); #41107=EDGE_LOOP('',(#234573,#234574,#234575,#234576)); #41108=EDGE_LOOP('',(#234577,#234578,#234579,#234580,#234581)); #41109=EDGE_LOOP('',(#234582,#234583,#234584,#234585)); #41110=EDGE_LOOP('',(#234586,#234587,#234588,#234589)); #41111=EDGE_LOOP('',(#234590,#234591,#234592,#234593)); #41112=EDGE_LOOP('',(#234594,#234595,#234596,#234597)); #41113=EDGE_LOOP('',(#234598,#234599,#234600,#234601)); #41114=EDGE_LOOP('',(#234602,#234603,#234604,#234605)); #41115=EDGE_LOOP('',(#234606,#234607,#234608,#234609)); #41116=EDGE_LOOP('',(#234610,#234611,#234612,#234613)); #41117=EDGE_LOOP('',(#234614,#234615,#234616,#234617)); #41118=EDGE_LOOP('',(#234618,#234619,#234620,#234621)); #41119=EDGE_LOOP('',(#234622,#234623,#234624,#234625)); #41120=EDGE_LOOP('',(#234626,#234627,#234628,#234629,#234630)); #41121=EDGE_LOOP('',(#234631,#234632,#234633,#234634)); #41122=EDGE_LOOP('',(#234635,#234636,#234637,#234638)); #41123=EDGE_LOOP('',(#234639,#234640,#234641,#234642)); #41124=EDGE_LOOP('',(#234643,#234644,#234645,#234646)); #41125=EDGE_LOOP('',(#234647,#234648,#234649,#234650)); #41126=EDGE_LOOP('',(#234651,#234652,#234653,#234654)); #41127=EDGE_LOOP('',(#234655,#234656,#234657,#234658)); #41128=EDGE_LOOP('',(#234659,#234660,#234661,#234662)); #41129=EDGE_LOOP('',(#234663,#234664)); #41130=EDGE_LOOP('',(#234665,#234666)); #41131=EDGE_LOOP('',(#234667,#234668,#234669,#234670)); #41132=EDGE_LOOP('',(#234671,#234672,#234673,#234674)); #41133=EDGE_LOOP('',(#234675,#234676,#234677,#234678)); #41134=EDGE_LOOP('',(#234679,#234680)); #41135=EDGE_LOOP('',(#234681,#234682)); #41136=EDGE_LOOP('',(#234683,#234684,#234685,#234686)); #41137=EDGE_LOOP('',(#234687,#234688,#234689,#234690)); #41138=EDGE_LOOP('',(#234691,#234692,#234693,#234694,#234695,#234696)); #41139=EDGE_LOOP('',(#234697,#234698,#234699,#234700)); #41140=EDGE_LOOP('',(#234701,#234702,#234703,#234704,#234705,#234706)); #41141=EDGE_LOOP('',(#234707,#234708,#234709,#234710)); #41142=EDGE_LOOP('',(#234711,#234712,#234713,#234714,#234715,#234716)); #41143=EDGE_LOOP('',(#234717)); #41144=EDGE_LOOP('',(#234718,#234719,#234720,#234721,#234722,#234723,#234724, #234725,#234726,#234727,#234728,#234729,#234730)); #41145=EDGE_LOOP('',(#234731)); #41146=EDGE_LOOP('',(#234732)); #41147=EDGE_LOOP('',(#234733)); #41148=EDGE_LOOP('',(#234734)); #41149=EDGE_LOOP('',(#234735,#234736,#234737,#234738)); #41150=EDGE_LOOP('',(#234739,#234740,#234741,#234742)); #41151=EDGE_LOOP('',(#234743)); #41152=EDGE_LOOP('',(#234744)); #41153=EDGE_LOOP('',(#234745)); #41154=EDGE_LOOP('',(#234746)); #41155=EDGE_LOOP('',(#234747)); #41156=EDGE_LOOP('',(#234748)); #41157=EDGE_LOOP('',(#234749)); #41158=EDGE_LOOP('',(#234750)); #41159=EDGE_LOOP('',(#234751,#234752,#234753,#234754)); #41160=EDGE_LOOP('',(#234755,#234756,#234757,#234758)); #41161=EDGE_LOOP('',(#234759,#234760,#234761,#234762)); #41162=EDGE_LOOP('',(#234763,#234764,#234765,#234766)); #41163=EDGE_LOOP('',(#234767,#234768,#234769,#234770)); #41164=EDGE_LOOP('',(#234771)); #41165=EDGE_LOOP('',(#234772)); #41166=EDGE_LOOP('',(#234773,#234774,#234775,#234776)); #41167=EDGE_LOOP('',(#234777)); #41168=EDGE_LOOP('',(#234778)); #41169=EDGE_LOOP('',(#234779,#234780,#234781,#234782)); #41170=EDGE_LOOP('',(#234783)); #41171=EDGE_LOOP('',(#234784)); #41172=EDGE_LOOP('',(#234785,#234786,#234787,#234788)); #41173=EDGE_LOOP('',(#234789)); #41174=EDGE_LOOP('',(#234790)); #41175=EDGE_LOOP('',(#234791,#234792,#234793,#234794)); #41176=EDGE_LOOP('',(#234795,#234796,#234797,#234798)); #41177=EDGE_LOOP('',(#234799)); #41178=EDGE_LOOP('',(#234800)); #41179=EDGE_LOOP('',(#234801)); #41180=EDGE_LOOP('',(#234802)); #41181=EDGE_LOOP('',(#234803)); #41182=EDGE_LOOP('',(#234804)); #41183=EDGE_LOOP('',(#234805,#234806,#234807,#234808)); #41184=EDGE_LOOP('',(#234809,#234810,#234811,#234812)); #41185=EDGE_LOOP('',(#234813,#234814,#234815,#234816)); #41186=EDGE_LOOP('',(#234817)); #41187=EDGE_LOOP('',(#234818,#234819,#234820,#234821)); #41188=EDGE_LOOP('',(#234822)); #41189=EDGE_LOOP('',(#234823)); #41190=EDGE_LOOP('',(#234824,#234825,#234826,#234827)); #41191=EDGE_LOOP('',(#234828,#234829,#234830,#234831)); #41192=EDGE_LOOP('',(#234832,#234833,#234834,#234835,#234836,#234837,#234838, #234839)); #41193=EDGE_LOOP('',(#234840,#234841,#234842,#234843)); #41194=EDGE_LOOP('',(#234844,#234845,#234846,#234847)); #41195=EDGE_LOOP('',(#234848,#234849,#234850,#234851,#234852,#234853,#234854, #234855)); #41196=EDGE_LOOP('',(#234856,#234857,#234858,#234859,#234860)); #41197=EDGE_LOOP('',(#234861,#234862,#234863,#234864,#234865)); #41198=EDGE_LOOP('',(#234866,#234867,#234868,#234869,#234870)); #41199=EDGE_LOOP('',(#234871,#234872,#234873,#234874,#234875,#234876,#234877, #234878,#234879,#234880)); #41200=EDGE_LOOP('',(#234881,#234882,#234883,#234884,#234885,#234886)); #41201=EDGE_LOOP('',(#234887,#234888,#234889,#234890)); #41202=EDGE_LOOP('',(#234891,#234892,#234893,#234894,#234895,#234896)); #41203=EDGE_LOOP('',(#234897,#234898,#234899,#234900,#234901)); #41204=EDGE_LOOP('',(#234902,#234903,#234904,#234905)); #41205=EDGE_LOOP('',(#234906,#234907,#234908,#234909)); #41206=EDGE_LOOP('',(#234910,#234911,#234912,#234913)); #41207=EDGE_LOOP('',(#234914,#234915,#234916,#234917)); #41208=EDGE_LOOP('',(#234918)); #41209=EDGE_LOOP('',(#234919,#234920,#234921,#234922,#234923,#234924,#234925, #234926,#234927,#234928,#234929,#234930,#234931,#234932)); #41210=EDGE_LOOP('',(#234933,#234934,#234935,#234936,#234937,#234938,#234939, #234940,#234941,#234942)); #41211=EDGE_LOOP('',(#234943,#234944,#234945,#234946,#234947,#234948)); #41212=EDGE_LOOP('',(#234949,#234950,#234951,#234952,#234953,#234954)); #41213=EDGE_LOOP('',(#234955,#234956,#234957,#234958,#234959,#234960)); #41214=EDGE_LOOP('',(#234961,#234962,#234963,#234964)); #41215=EDGE_LOOP('',(#234965,#234966,#234967,#234968)); #41216=EDGE_LOOP('',(#234969,#234970,#234971,#234972,#234973,#234974)); #41217=EDGE_LOOP('',(#234975,#234976,#234977,#234978)); #41218=EDGE_LOOP('',(#234979,#234980,#234981,#234982,#234983,#234984)); #41219=EDGE_LOOP('',(#234985,#234986,#234987,#234988)); #41220=EDGE_LOOP('',(#234989,#234990,#234991,#234992,#234993,#234994)); #41221=EDGE_LOOP('',(#234995,#234996,#234997,#234998)); #41222=EDGE_LOOP('',(#234999,#235000,#235001,#235002,#235003,#235004)); #41223=EDGE_LOOP('',(#235005,#235006,#235007,#235008,#235009,#235010)); #41224=EDGE_LOOP('',(#235011,#235012,#235013,#235014)); #41225=EDGE_LOOP('',(#235015,#235016,#235017,#235018)); #41226=EDGE_LOOP('',(#235019,#235020,#235021,#235022,#235023,#235024)); #41227=EDGE_LOOP('',(#235025,#235026,#235027,#235028)); #41228=EDGE_LOOP('',(#235029,#235030,#235031,#235032,#235033,#235034,#235035, #235036)); #41229=EDGE_LOOP('',(#235037,#235038,#235039,#235040)); #41230=EDGE_LOOP('',(#235041,#235042,#235043,#235044,#235045,#235046,#235047, #235048)); #41231=EDGE_LOOP('',(#235049,#235050,#235051,#235052)); #41232=EDGE_LOOP('',(#235053,#235054,#235055,#235056)); #41233=EDGE_LOOP('',(#235057,#235058,#235059,#235060,#235061,#235062,#235063, #235064)); #41234=EDGE_LOOP('',(#235065,#235066,#235067,#235068)); #41235=EDGE_LOOP('',(#235069,#235070,#235071,#235072)); #41236=EDGE_LOOP('',(#235073,#235074,#235075,#235076,#235077,#235078,#235079, #235080,#235081)); #41237=EDGE_LOOP('',(#235082,#235083,#235084,#235085)); #41238=EDGE_LOOP('',(#235086,#235087,#235088,#235089,#235090,#235091,#235092, #235093,#235094)); #41239=EDGE_LOOP('',(#235095,#235096,#235097,#235098)); #41240=EDGE_LOOP('',(#235099,#235100,#235101,#235102)); #41241=EDGE_LOOP('',(#235103,#235104,#235105,#235106)); #41242=EDGE_LOOP('',(#235107,#235108,#235109,#235110)); #41243=EDGE_LOOP('',(#235111,#235112,#235113,#235114)); #41244=EDGE_LOOP('',(#235115,#235116,#235117,#235118)); #41245=EDGE_LOOP('',(#235119,#235120,#235121,#235122)); #41246=EDGE_LOOP('',(#235123,#235124,#235125,#235126)); #41247=EDGE_LOOP('',(#235127,#235128,#235129,#235130)); #41248=EDGE_LOOP('',(#235131,#235132,#235133,#235134)); #41249=EDGE_LOOP('',(#235135,#235136,#235137,#235138,#235139,#235140,#235141, #235142,#235143,#235144,#235145,#235146,#235147,#235148,#235149,#235150, #235151,#235152,#235153,#235154)); #41250=EDGE_LOOP('',(#235155,#235156,#235157,#235158,#235159,#235160,#235161)); #41251=EDGE_LOOP('',(#235162,#235163,#235164,#235165)); #41252=EDGE_LOOP('',(#235166,#235167,#235168,#235169,#235170,#235171)); #41253=EDGE_LOOP('',(#235172,#235173,#235174,#235175)); #41254=EDGE_LOOP('',(#235176,#235177,#235178,#235179,#235180,#235181)); #41255=EDGE_LOOP('',(#235182,#235183,#235184,#235185)); #41256=EDGE_LOOP('',(#235186,#235187,#235188,#235189,#235190,#235191,#235192, #235193,#235194)); #41257=EDGE_LOOP('',(#235195,#235196,#235197,#235198,#235199,#235200,#235201)); #41258=EDGE_LOOP('',(#235202,#235203,#235204,#235205)); #41259=EDGE_LOOP('',(#235206,#235207,#235208,#235209,#235210,#235211,#235212, #235213,#235214,#235215,#235216,#235217,#235218,#235219,#235220,#235221, #235222)); #41260=EDGE_LOOP('',(#235223,#235224,#235225,#235226,#235227,#235228,#235229)); #41261=EDGE_LOOP('',(#235230,#235231,#235232,#235233)); #41262=EDGE_LOOP('',(#235234,#235235,#235236,#235237,#235238,#235239,#235240)); #41263=EDGE_LOOP('',(#235241,#235242,#235243,#235244)); #41264=EDGE_LOOP('',(#235245,#235246,#235247,#235248)); #41265=EDGE_LOOP('',(#235249,#235250,#235251,#235252)); #41266=EDGE_LOOP('',(#235253,#235254,#235255,#235256)); #41267=EDGE_LOOP('',(#235257,#235258,#235259,#235260)); #41268=EDGE_LOOP('',(#235261,#235262,#235263,#235264)); #41269=EDGE_LOOP('',(#235265,#235266,#235267,#235268)); #41270=EDGE_LOOP('',(#235269,#235270,#235271,#235272)); #41271=EDGE_LOOP('',(#235273,#235274,#235275,#235276)); #41272=EDGE_LOOP('',(#235277,#235278,#235279,#235280)); #41273=EDGE_LOOP('',(#235281,#235282,#235283,#235284,#235285,#235286,#235287, #235288,#235289,#235290,#235291,#235292,#235293,#235294)); #41274=EDGE_LOOP('',(#235295,#235296,#235297,#235298)); #41275=EDGE_LOOP('',(#235299,#235300,#235301,#235302)); #41276=EDGE_LOOP('',(#235303,#235304,#235305,#235306)); #41277=EDGE_LOOP('',(#235307,#235308,#235309,#235310)); #41278=EDGE_LOOP('',(#235311,#235312,#235313,#235314)); #41279=EDGE_LOOP('',(#235315,#235316,#235317,#235318)); #41280=EDGE_LOOP('',(#235319,#235320,#235321,#235322)); #41281=EDGE_LOOP('',(#235323,#235324,#235325,#235326)); #41282=EDGE_LOOP('',(#235327,#235328,#235329,#235330)); #41283=EDGE_LOOP('',(#235331,#235332,#235333,#235334)); #41284=EDGE_LOOP('',(#235335,#235336,#235337,#235338)); #41285=EDGE_LOOP('',(#235339,#235340,#235341,#235342)); #41286=EDGE_LOOP('',(#235343,#235344,#235345,#235346)); #41287=EDGE_LOOP('',(#235347,#235348,#235349,#235350)); #41288=EDGE_LOOP('',(#235351,#235352,#235353,#235354)); #41289=EDGE_LOOP('',(#235355,#235356,#235357,#235358)); #41290=EDGE_LOOP('',(#235359,#235360,#235361,#235362)); #41291=EDGE_LOOP('',(#235363,#235364,#235365,#235366)); #41292=EDGE_LOOP('',(#235367,#235368,#235369,#235370)); #41293=EDGE_LOOP('',(#235371,#235372,#235373,#235374)); #41294=EDGE_LOOP('',(#235375,#235376,#235377,#235378)); #41295=EDGE_LOOP('',(#235379,#235380,#235381,#235382)); #41296=EDGE_LOOP('',(#235383,#235384,#235385,#235386)); #41297=EDGE_LOOP('',(#235387,#235388,#235389,#235390)); #41298=EDGE_LOOP('',(#235391,#235392,#235393,#235394)); #41299=EDGE_LOOP('',(#235395,#235396,#235397,#235398)); #41300=EDGE_LOOP('',(#235399,#235400,#235401,#235402)); #41301=EDGE_LOOP('',(#235403,#235404,#235405,#235406)); #41302=EDGE_LOOP('',(#235407,#235408,#235409,#235410)); #41303=EDGE_LOOP('',(#235411,#235412,#235413,#235414)); #41304=EDGE_LOOP('',(#235415,#235416,#235417,#235418)); #41305=EDGE_LOOP('',(#235419,#235420,#235421,#235422)); #41306=EDGE_LOOP('',(#235423,#235424,#235425,#235426)); #41307=EDGE_LOOP('',(#235427,#235428,#235429,#235430)); #41308=EDGE_LOOP('',(#235431,#235432,#235433,#235434)); #41309=EDGE_LOOP('',(#235435,#235436,#235437,#235438)); #41310=EDGE_LOOP('',(#235439,#235440,#235441,#235442)); #41311=EDGE_LOOP('',(#235443,#235444,#235445,#235446)); #41312=EDGE_LOOP('',(#235447,#235448,#235449,#235450)); #41313=EDGE_LOOP('',(#235451,#235452,#235453,#235454)); #41314=EDGE_LOOP('',(#235455,#235456,#235457,#235458)); #41315=EDGE_LOOP('',(#235459,#235460,#235461,#235462)); #41316=EDGE_LOOP('',(#235463,#235464,#235465,#235466)); #41317=EDGE_LOOP('',(#235467,#235468,#235469,#235470)); #41318=EDGE_LOOP('',(#235471,#235472,#235473,#235474)); #41319=EDGE_LOOP('',(#235475,#235476,#235477,#235478)); #41320=EDGE_LOOP('',(#235479,#235480,#235481,#235482)); #41321=EDGE_LOOP('',(#235483,#235484,#235485,#235486)); #41322=EDGE_LOOP('',(#235487,#235488,#235489,#235490)); #41323=EDGE_LOOP('',(#235491,#235492,#235493,#235494)); #41324=EDGE_LOOP('',(#235495,#235496,#235497,#235498)); #41325=EDGE_LOOP('',(#235499,#235500,#235501,#235502)); #41326=EDGE_LOOP('',(#235503,#235504,#235505,#235506)); #41327=EDGE_LOOP('',(#235507,#235508,#235509,#235510)); #41328=EDGE_LOOP('',(#235511,#235512,#235513,#235514)); #41329=EDGE_LOOP('',(#235515,#235516,#235517,#235518)); #41330=EDGE_LOOP('',(#235519,#235520,#235521,#235522)); #41331=EDGE_LOOP('',(#235523,#235524,#235525,#235526)); #41332=EDGE_LOOP('',(#235527,#235528,#235529,#235530)); #41333=EDGE_LOOP('',(#235531,#235532,#235533,#235534)); #41334=EDGE_LOOP('',(#235535,#235536,#235537,#235538)); #41335=EDGE_LOOP('',(#235539,#235540,#235541,#235542)); #41336=EDGE_LOOP('',(#235543,#235544,#235545,#235546)); #41337=EDGE_LOOP('',(#235547,#235548,#235549,#235550)); #41338=EDGE_LOOP('',(#235551,#235552,#235553,#235554)); #41339=EDGE_LOOP('',(#235555,#235556,#235557,#235558)); #41340=EDGE_LOOP('',(#235559,#235560,#235561,#235562)); #41341=EDGE_LOOP('',(#235563,#235564,#235565,#235566)); #41342=EDGE_LOOP('',(#235567,#235568,#235569,#235570)); #41343=EDGE_LOOP('',(#235571,#235572,#235573,#235574)); #41344=EDGE_LOOP('',(#235575,#235576,#235577,#235578)); #41345=EDGE_LOOP('',(#235579,#235580,#235581,#235582)); #41346=EDGE_LOOP('',(#235583,#235584,#235585,#235586)); #41347=EDGE_LOOP('',(#235587,#235588,#235589,#235590)); #41348=EDGE_LOOP('',(#235591,#235592,#235593,#235594)); #41349=EDGE_LOOP('',(#235595,#235596,#235597,#235598)); #41350=EDGE_LOOP('',(#235599,#235600,#235601,#235602)); #41351=EDGE_LOOP('',(#235603,#235604,#235605,#235606)); #41352=EDGE_LOOP('',(#235607,#235608,#235609,#235610)); #41353=EDGE_LOOP('',(#235611,#235612,#235613,#235614)); #41354=EDGE_LOOP('',(#235615,#235616,#235617,#235618)); #41355=EDGE_LOOP('',(#235619,#235620,#235621,#235622)); #41356=EDGE_LOOP('',(#235623,#235624,#235625,#235626)); #41357=EDGE_LOOP('',(#235627,#235628,#235629,#235630)); #41358=EDGE_LOOP('',(#235631,#235632,#235633,#235634)); #41359=EDGE_LOOP('',(#235635,#235636,#235637,#235638)); #41360=EDGE_LOOP('',(#235639,#235640,#235641,#235642)); #41361=EDGE_LOOP('',(#235643,#235644,#235645,#235646)); #41362=EDGE_LOOP('',(#235647,#235648,#235649,#235650)); #41363=EDGE_LOOP('',(#235651,#235652,#235653,#235654)); #41364=EDGE_LOOP('',(#235655,#235656,#235657,#235658)); #41365=EDGE_LOOP('',(#235659,#235660,#235661,#235662)); #41366=EDGE_LOOP('',(#235663,#235664,#235665,#235666)); #41367=EDGE_LOOP('',(#235667,#235668,#235669,#235670)); #41368=EDGE_LOOP('',(#235671,#235672,#235673,#235674)); #41369=EDGE_LOOP('',(#235675,#235676,#235677,#235678)); #41370=EDGE_LOOP('',(#235679,#235680,#235681,#235682)); #41371=EDGE_LOOP('',(#235683,#235684,#235685,#235686)); #41372=EDGE_LOOP('',(#235687,#235688,#235689,#235690)); #41373=EDGE_LOOP('',(#235691,#235692,#235693,#235694)); #41374=EDGE_LOOP('',(#235695,#235696,#235697,#235698)); #41375=EDGE_LOOP('',(#235699,#235700,#235701,#235702)); #41376=EDGE_LOOP('',(#235703,#235704,#235705,#235706)); #41377=EDGE_LOOP('',(#235707,#235708,#235709,#235710)); #41378=EDGE_LOOP('',(#235711,#235712,#235713,#235714)); #41379=EDGE_LOOP('',(#235715,#235716,#235717,#235718)); #41380=EDGE_LOOP('',(#235719,#235720,#235721,#235722)); #41381=EDGE_LOOP('',(#235723,#235724,#235725,#235726)); #41382=EDGE_LOOP('',(#235727,#235728,#235729,#235730)); #41383=EDGE_LOOP('',(#235731,#235732,#235733,#235734)); #41384=EDGE_LOOP('',(#235735,#235736,#235737,#235738)); #41385=EDGE_LOOP('',(#235739,#235740,#235741,#235742)); #41386=EDGE_LOOP('',(#235743,#235744,#235745,#235746)); #41387=EDGE_LOOP('',(#235747,#235748,#235749,#235750)); #41388=EDGE_LOOP('',(#235751,#235752,#235753,#235754)); #41389=EDGE_LOOP('',(#235755,#235756,#235757,#235758)); #41390=EDGE_LOOP('',(#235759,#235760,#235761,#235762)); #41391=EDGE_LOOP('',(#235763,#235764,#235765,#235766)); #41392=EDGE_LOOP('',(#235767,#235768,#235769,#235770)); #41393=EDGE_LOOP('',(#235771,#235772,#235773,#235774)); #41394=EDGE_LOOP('',(#235775,#235776,#235777,#235778)); #41395=EDGE_LOOP('',(#235779,#235780,#235781,#235782)); #41396=EDGE_LOOP('',(#235783,#235784,#235785,#235786)); #41397=EDGE_LOOP('',(#235787,#235788,#235789,#235790)); #41398=EDGE_LOOP('',(#235791,#235792,#235793,#235794)); #41399=EDGE_LOOP('',(#235795,#235796,#235797,#235798)); #41400=EDGE_LOOP('',(#235799,#235800,#235801,#235802)); #41401=EDGE_LOOP('',(#235803,#235804,#235805,#235806)); #41402=EDGE_LOOP('',(#235807,#235808,#235809,#235810)); #41403=EDGE_LOOP('',(#235811,#235812,#235813,#235814)); #41404=EDGE_LOOP('',(#235815,#235816,#235817,#235818)); #41405=EDGE_LOOP('',(#235819,#235820,#235821,#235822)); #41406=EDGE_LOOP('',(#235823,#235824,#235825,#235826)); #41407=EDGE_LOOP('',(#235827,#235828,#235829,#235830)); #41408=EDGE_LOOP('',(#235831,#235832,#235833,#235834)); #41409=EDGE_LOOP('',(#235835,#235836,#235837,#235838)); #41410=EDGE_LOOP('',(#235839,#235840,#235841,#235842)); #41411=EDGE_LOOP('',(#235843,#235844,#235845,#235846)); #41412=EDGE_LOOP('',(#235847,#235848,#235849,#235850)); #41413=EDGE_LOOP('',(#235851,#235852,#235853,#235854)); #41414=EDGE_LOOP('',(#235855,#235856,#235857,#235858)); #41415=EDGE_LOOP('',(#235859,#235860,#235861,#235862)); #41416=EDGE_LOOP('',(#235863,#235864,#235865,#235866)); #41417=EDGE_LOOP('',(#235867,#235868,#235869,#235870)); #41418=EDGE_LOOP('',(#235871,#235872,#235873,#235874)); #41419=EDGE_LOOP('',(#235875,#235876,#235877,#235878)); #41420=EDGE_LOOP('',(#235879,#235880,#235881,#235882)); #41421=EDGE_LOOP('',(#235883,#235884,#235885,#235886)); #41422=EDGE_LOOP('',(#235887,#235888,#235889,#235890)); #41423=EDGE_LOOP('',(#235891,#235892,#235893,#235894)); #41424=EDGE_LOOP('',(#235895,#235896,#235897,#235898)); #41425=EDGE_LOOP('',(#235899,#235900,#235901,#235902)); #41426=EDGE_LOOP('',(#235903,#235904,#235905,#235906)); #41427=EDGE_LOOP('',(#235907,#235908,#235909,#235910)); #41428=EDGE_LOOP('',(#235911,#235912,#235913,#235914)); #41429=EDGE_LOOP('',(#235915,#235916,#235917,#235918)); #41430=EDGE_LOOP('',(#235919,#235920,#235921,#235922)); #41431=EDGE_LOOP('',(#235923,#235924,#235925,#235926)); #41432=EDGE_LOOP('',(#235927,#235928,#235929,#235930)); #41433=EDGE_LOOP('',(#235931,#235932,#235933,#235934)); #41434=EDGE_LOOP('',(#235935,#235936,#235937,#235938)); #41435=EDGE_LOOP('',(#235939,#235940,#235941,#235942)); #41436=EDGE_LOOP('',(#235943,#235944,#235945,#235946)); #41437=EDGE_LOOP('',(#235947,#235948,#235949,#235950)); #41438=EDGE_LOOP('',(#235951,#235952,#235953,#235954)); #41439=EDGE_LOOP('',(#235955,#235956,#235957,#235958)); #41440=EDGE_LOOP('',(#235959,#235960,#235961,#235962)); #41441=EDGE_LOOP('',(#235963,#235964,#235965,#235966)); #41442=EDGE_LOOP('',(#235967,#235968,#235969,#235970)); #41443=EDGE_LOOP('',(#235971,#235972,#235973,#235974)); #41444=EDGE_LOOP('',(#235975,#235976,#235977,#235978)); #41445=EDGE_LOOP('',(#235979,#235980,#235981,#235982)); #41446=EDGE_LOOP('',(#235983,#235984,#235985,#235986)); #41447=EDGE_LOOP('',(#235987,#235988,#235989,#235990)); #41448=EDGE_LOOP('',(#235991,#235992,#235993,#235994)); #41449=EDGE_LOOP('',(#235995,#235996,#235997,#235998)); #41450=EDGE_LOOP('',(#235999,#236000,#236001,#236002)); #41451=EDGE_LOOP('',(#236003,#236004,#236005,#236006)); #41452=EDGE_LOOP('',(#236007,#236008,#236009,#236010)); #41453=EDGE_LOOP('',(#236011,#236012,#236013,#236014)); #41454=EDGE_LOOP('',(#236015,#236016,#236017,#236018)); #41455=EDGE_LOOP('',(#236019,#236020,#236021,#236022)); #41456=EDGE_LOOP('',(#236023,#236024,#236025,#236026)); #41457=EDGE_LOOP('',(#236027,#236028,#236029,#236030)); #41458=EDGE_LOOP('',(#236031,#236032,#236033,#236034)); #41459=EDGE_LOOP('',(#236035,#236036,#236037,#236038)); #41460=EDGE_LOOP('',(#236039,#236040,#236041,#236042)); #41461=EDGE_LOOP('',(#236043,#236044,#236045,#236046)); #41462=EDGE_LOOP('',(#236047,#236048,#236049,#236050)); #41463=EDGE_LOOP('',(#236051,#236052,#236053,#236054)); #41464=EDGE_LOOP('',(#236055,#236056,#236057,#236058)); #41465=EDGE_LOOP('',(#236059,#236060,#236061,#236062)); #41466=EDGE_LOOP('',(#236063,#236064,#236065,#236066)); #41467=EDGE_LOOP('',(#236067,#236068,#236069,#236070)); #41468=EDGE_LOOP('',(#236071,#236072,#236073,#236074)); #41469=EDGE_LOOP('',(#236075,#236076,#236077,#236078)); #41470=EDGE_LOOP('',(#236079,#236080,#236081,#236082)); #41471=EDGE_LOOP('',(#236083,#236084,#236085,#236086)); #41472=EDGE_LOOP('',(#236087,#236088,#236089,#236090)); #41473=EDGE_LOOP('',(#236091,#236092,#236093,#236094)); #41474=EDGE_LOOP('',(#236095,#236096,#236097,#236098)); #41475=EDGE_LOOP('',(#236099,#236100,#236101,#236102)); #41476=EDGE_LOOP('',(#236103,#236104,#236105,#236106)); #41477=EDGE_LOOP('',(#236107,#236108,#236109,#236110)); #41478=EDGE_LOOP('',(#236111,#236112,#236113,#236114)); #41479=EDGE_LOOP('',(#236115,#236116,#236117,#236118)); #41480=EDGE_LOOP('',(#236119,#236120,#236121,#236122)); #41481=EDGE_LOOP('',(#236123,#236124,#236125,#236126)); #41482=EDGE_LOOP('',(#236127,#236128,#236129,#236130)); #41483=EDGE_LOOP('',(#236131,#236132,#236133,#236134)); #41484=EDGE_LOOP('',(#236135,#236136,#236137,#236138)); #41485=EDGE_LOOP('',(#236139,#236140,#236141,#236142)); #41486=EDGE_LOOP('',(#236143,#236144,#236145,#236146)); #41487=EDGE_LOOP('',(#236147,#236148,#236149,#236150)); #41488=EDGE_LOOP('',(#236151,#236152,#236153,#236154)); #41489=EDGE_LOOP('',(#236155,#236156,#236157,#236158)); #41490=EDGE_LOOP('',(#236159,#236160,#236161,#236162)); #41491=EDGE_LOOP('',(#236163,#236164,#236165,#236166)); #41492=EDGE_LOOP('',(#236167,#236168,#236169,#236170)); #41493=EDGE_LOOP('',(#236171,#236172,#236173,#236174)); #41494=EDGE_LOOP('',(#236175,#236176,#236177,#236178)); #41495=EDGE_LOOP('',(#236179,#236180,#236181,#236182)); #41496=EDGE_LOOP('',(#236183,#236184,#236185,#236186)); #41497=EDGE_LOOP('',(#236187,#236188,#236189,#236190)); #41498=EDGE_LOOP('',(#236191,#236192,#236193,#236194)); #41499=EDGE_LOOP('',(#236195,#236196,#236197,#236198)); #41500=EDGE_LOOP('',(#236199,#236200,#236201,#236202)); #41501=EDGE_LOOP('',(#236203,#236204,#236205,#236206)); #41502=EDGE_LOOP('',(#236207,#236208,#236209,#236210)); #41503=EDGE_LOOP('',(#236211,#236212,#236213,#236214)); #41504=EDGE_LOOP('',(#236215,#236216,#236217,#236218)); #41505=EDGE_LOOP('',(#236219,#236220,#236221,#236222)); #41506=EDGE_LOOP('',(#236223,#236224,#236225,#236226)); #41507=EDGE_LOOP('',(#236227,#236228,#236229,#236230)); #41508=EDGE_LOOP('',(#236231,#236232,#236233,#236234)); #41509=EDGE_LOOP('',(#236235,#236236,#236237,#236238)); #41510=EDGE_LOOP('',(#236239,#236240,#236241,#236242)); #41511=EDGE_LOOP('',(#236243,#236244,#236245,#236246)); #41512=EDGE_LOOP('',(#236247,#236248,#236249,#236250)); #41513=EDGE_LOOP('',(#236251,#236252,#236253,#236254)); #41514=EDGE_LOOP('',(#236255,#236256,#236257,#236258)); #41515=EDGE_LOOP('',(#236259,#236260,#236261,#236262)); #41516=EDGE_LOOP('',(#236263,#236264,#236265,#236266)); #41517=EDGE_LOOP('',(#236267,#236268,#236269,#236270)); #41518=EDGE_LOOP('',(#236271,#236272,#236273,#236274)); #41519=EDGE_LOOP('',(#236275,#236276,#236277,#236278)); #41520=EDGE_LOOP('',(#236279,#236280,#236281,#236282)); #41521=EDGE_LOOP('',(#236283,#236284,#236285,#236286)); #41522=EDGE_LOOP('',(#236287,#236288,#236289,#236290)); #41523=EDGE_LOOP('',(#236291,#236292,#236293,#236294)); #41524=EDGE_LOOP('',(#236295,#236296,#236297,#236298)); #41525=EDGE_LOOP('',(#236299,#236300,#236301,#236302)); #41526=EDGE_LOOP('',(#236303,#236304,#236305,#236306)); #41527=EDGE_LOOP('',(#236307,#236308,#236309,#236310)); #41528=EDGE_LOOP('',(#236311,#236312,#236313,#236314)); #41529=EDGE_LOOP('',(#236315,#236316,#236317,#236318)); #41530=EDGE_LOOP('',(#236319,#236320,#236321,#236322)); #41531=EDGE_LOOP('',(#236323,#236324,#236325,#236326)); #41532=EDGE_LOOP('',(#236327,#236328,#236329,#236330)); #41533=EDGE_LOOP('',(#236331,#236332,#236333,#236334)); #41534=EDGE_LOOP('',(#236335,#236336,#236337,#236338)); #41535=EDGE_LOOP('',(#236339,#236340,#236341,#236342)); #41536=EDGE_LOOP('',(#236343,#236344,#236345,#236346)); #41537=EDGE_LOOP('',(#236347,#236348,#236349,#236350)); #41538=EDGE_LOOP('',(#236351,#236352,#236353,#236354)); #41539=EDGE_LOOP('',(#236355,#236356,#236357,#236358)); #41540=EDGE_LOOP('',(#236359,#236360,#236361,#236362)); #41541=EDGE_LOOP('',(#236363,#236364,#236365,#236366)); #41542=EDGE_LOOP('',(#236367,#236368,#236369,#236370)); #41543=EDGE_LOOP('',(#236371,#236372,#236373,#236374)); #41544=EDGE_LOOP('',(#236375,#236376,#236377,#236378)); #41545=EDGE_LOOP('',(#236379,#236380,#236381,#236382)); #41546=EDGE_LOOP('',(#236383,#236384,#236385,#236386)); #41547=EDGE_LOOP('',(#236387,#236388,#236389,#236390)); #41548=EDGE_LOOP('',(#236391,#236392,#236393,#236394)); #41549=EDGE_LOOP('',(#236395,#236396,#236397,#236398)); #41550=EDGE_LOOP('',(#236399,#236400,#236401,#236402)); #41551=EDGE_LOOP('',(#236403,#236404,#236405,#236406)); #41552=EDGE_LOOP('',(#236407,#236408,#236409,#236410)); #41553=EDGE_LOOP('',(#236411,#236412,#236413,#236414)); #41554=EDGE_LOOP('',(#236415,#236416,#236417,#236418)); #41555=EDGE_LOOP('',(#236419,#236420,#236421,#236422)); #41556=EDGE_LOOP('',(#236423,#236424,#236425,#236426)); #41557=EDGE_LOOP('',(#236427,#236428,#236429,#236430)); #41558=EDGE_LOOP('',(#236431,#236432,#236433,#236434)); #41559=EDGE_LOOP('',(#236435,#236436,#236437,#236438)); #41560=EDGE_LOOP('',(#236439,#236440,#236441,#236442)); #41561=EDGE_LOOP('',(#236443,#236444,#236445,#236446)); #41562=EDGE_LOOP('',(#236447,#236448,#236449,#236450)); #41563=EDGE_LOOP('',(#236451,#236452,#236453,#236454)); #41564=EDGE_LOOP('',(#236455,#236456,#236457,#236458)); #41565=EDGE_LOOP('',(#236459,#236460,#236461,#236462)); #41566=EDGE_LOOP('',(#236463,#236464,#236465,#236466)); #41567=EDGE_LOOP('',(#236467,#236468,#236469,#236470)); #41568=EDGE_LOOP('',(#236471,#236472,#236473,#236474)); #41569=EDGE_LOOP('',(#236475,#236476,#236477,#236478)); #41570=EDGE_LOOP('',(#236479,#236480,#236481,#236482)); #41571=EDGE_LOOP('',(#236483,#236484,#236485,#236486)); #41572=EDGE_LOOP('',(#236487,#236488,#236489,#236490)); #41573=EDGE_LOOP('',(#236491,#236492,#236493,#236494)); #41574=EDGE_LOOP('',(#236495,#236496,#236497,#236498)); #41575=EDGE_LOOP('',(#236499,#236500,#236501,#236502)); #41576=EDGE_LOOP('',(#236503,#236504,#236505,#236506)); #41577=EDGE_LOOP('',(#236507,#236508,#236509,#236510)); #41578=EDGE_LOOP('',(#236511,#236512,#236513,#236514)); #41579=EDGE_LOOP('',(#236515,#236516,#236517,#236518)); #41580=EDGE_LOOP('',(#236519,#236520,#236521,#236522)); #41581=EDGE_LOOP('',(#236523,#236524,#236525,#236526)); #41582=EDGE_LOOP('',(#236527,#236528,#236529,#236530)); #41583=EDGE_LOOP('',(#236531,#236532,#236533,#236534)); #41584=EDGE_LOOP('',(#236535,#236536,#236537,#236538)); #41585=EDGE_LOOP('',(#236539,#236540,#236541,#236542)); #41586=EDGE_LOOP('',(#236543,#236544,#236545,#236546)); #41587=EDGE_LOOP('',(#236547,#236548,#236549,#236550)); #41588=EDGE_LOOP('',(#236551,#236552,#236553,#236554)); #41589=EDGE_LOOP('',(#236555,#236556,#236557,#236558)); #41590=EDGE_LOOP('',(#236559,#236560,#236561,#236562)); #41591=EDGE_LOOP('',(#236563,#236564,#236565,#236566)); #41592=EDGE_LOOP('',(#236567,#236568,#236569,#236570)); #41593=EDGE_LOOP('',(#236571,#236572,#236573,#236574)); #41594=EDGE_LOOP('',(#236575,#236576,#236577,#236578)); #41595=EDGE_LOOP('',(#236579,#236580,#236581,#236582)); #41596=EDGE_LOOP('',(#236583,#236584,#236585,#236586)); #41597=EDGE_LOOP('',(#236587,#236588,#236589,#236590)); #41598=EDGE_LOOP('',(#236591,#236592,#236593,#236594)); #41599=EDGE_LOOP('',(#236595,#236596,#236597,#236598)); #41600=EDGE_LOOP('',(#236599,#236600,#236601,#236602)); #41601=EDGE_LOOP('',(#236603,#236604,#236605,#236606)); #41602=EDGE_LOOP('',(#236607,#236608,#236609,#236610)); #41603=EDGE_LOOP('',(#236611,#236612,#236613,#236614)); #41604=EDGE_LOOP('',(#236615,#236616,#236617,#236618)); #41605=EDGE_LOOP('',(#236619,#236620,#236621,#236622)); #41606=EDGE_LOOP('',(#236623,#236624,#236625,#236626)); #41607=EDGE_LOOP('',(#236627,#236628,#236629,#236630)); #41608=EDGE_LOOP('',(#236631,#236632,#236633,#236634)); #41609=EDGE_LOOP('',(#236635,#236636,#236637,#236638)); #41610=EDGE_LOOP('',(#236639)); #41611=EDGE_LOOP('',(#236640)); #41612=EDGE_LOOP('',(#236641)); #41613=EDGE_LOOP('',(#236642)); #41614=EDGE_LOOP('',(#236643)); #41615=EDGE_LOOP('',(#236644)); #41616=EDGE_LOOP('',(#236645)); #41617=EDGE_LOOP('',(#236646)); #41618=EDGE_LOOP('',(#236647)); #41619=EDGE_LOOP('',(#236648)); #41620=EDGE_LOOP('',(#236649)); #41621=EDGE_LOOP('',(#236650)); #41622=EDGE_LOOP('',(#236651)); #41623=EDGE_LOOP('',(#236652)); #41624=EDGE_LOOP('',(#236653)); #41625=EDGE_LOOP('',(#236654)); #41626=EDGE_LOOP('',(#236655)); #41627=EDGE_LOOP('',(#236656)); #41628=EDGE_LOOP('',(#236657)); #41629=EDGE_LOOP('',(#236658)); #41630=EDGE_LOOP('',(#236659)); #41631=EDGE_LOOP('',(#236660)); #41632=EDGE_LOOP('',(#236661)); #41633=EDGE_LOOP('',(#236662)); #41634=EDGE_LOOP('',(#236663)); #41635=EDGE_LOOP('',(#236664)); #41636=EDGE_LOOP('',(#236665)); #41637=EDGE_LOOP('',(#236666)); #41638=EDGE_LOOP('',(#236667)); #41639=EDGE_LOOP('',(#236668)); #41640=EDGE_LOOP('',(#236669)); #41641=EDGE_LOOP('',(#236670)); #41642=EDGE_LOOP('',(#236671)); #41643=EDGE_LOOP('',(#236672)); #41644=EDGE_LOOP('',(#236673)); #41645=EDGE_LOOP('',(#236674)); #41646=EDGE_LOOP('',(#236675)); #41647=EDGE_LOOP('',(#236676)); #41648=EDGE_LOOP('',(#236677)); #41649=EDGE_LOOP('',(#236678)); #41650=EDGE_LOOP('',(#236679)); #41651=EDGE_LOOP('',(#236680)); #41652=EDGE_LOOP('',(#236681)); #41653=EDGE_LOOP('',(#236682)); #41654=EDGE_LOOP('',(#236683)); #41655=EDGE_LOOP('',(#236684)); #41656=EDGE_LOOP('',(#236685)); #41657=EDGE_LOOP('',(#236686)); #41658=EDGE_LOOP('',(#236687)); #41659=EDGE_LOOP('',(#236688)); #41660=EDGE_LOOP('',(#236689)); #41661=EDGE_LOOP('',(#236690)); #41662=EDGE_LOOP('',(#236691)); #41663=EDGE_LOOP('',(#236692)); #41664=EDGE_LOOP('',(#236693)); #41665=EDGE_LOOP('',(#236694)); #41666=EDGE_LOOP('',(#236695)); #41667=EDGE_LOOP('',(#236696)); #41668=EDGE_LOOP('',(#236697)); #41669=EDGE_LOOP('',(#236698)); #41670=EDGE_LOOP('',(#236699)); #41671=EDGE_LOOP('',(#236700)); #41672=EDGE_LOOP('',(#236701)); #41673=EDGE_LOOP('',(#236702)); #41674=EDGE_LOOP('',(#236703)); #41675=EDGE_LOOP('',(#236704)); #41676=EDGE_LOOP('',(#236705)); #41677=EDGE_LOOP('',(#236706)); #41678=EDGE_LOOP('',(#236707)); #41679=EDGE_LOOP('',(#236708)); #41680=EDGE_LOOP('',(#236709)); #41681=EDGE_LOOP('',(#236710)); #41682=EDGE_LOOP('',(#236711)); #41683=EDGE_LOOP('',(#236712)); #41684=EDGE_LOOP('',(#236713)); #41685=EDGE_LOOP('',(#236714)); #41686=EDGE_LOOP('',(#236715)); #41687=EDGE_LOOP('',(#236716)); #41688=EDGE_LOOP('',(#236717)); #41689=EDGE_LOOP('',(#236718)); #41690=EDGE_LOOP('',(#236719)); #41691=EDGE_LOOP('',(#236720)); #41692=EDGE_LOOP('',(#236721)); #41693=EDGE_LOOP('',(#236722)); #41694=EDGE_LOOP('',(#236723)); #41695=EDGE_LOOP('',(#236724)); #41696=EDGE_LOOP('',(#236725)); #41697=EDGE_LOOP('',(#236726)); #41698=EDGE_LOOP('',(#236727)); #41699=EDGE_LOOP('',(#236728)); #41700=EDGE_LOOP('',(#236729)); #41701=EDGE_LOOP('',(#236730)); #41702=EDGE_LOOP('',(#236731)); #41703=EDGE_LOOP('',(#236732)); #41704=EDGE_LOOP('',(#236733)); #41705=EDGE_LOOP('',(#236734)); #41706=EDGE_LOOP('',(#236735)); #41707=EDGE_LOOP('',(#236736)); #41708=EDGE_LOOP('',(#236737)); #41709=EDGE_LOOP('',(#236738)); #41710=EDGE_LOOP('',(#236739)); #41711=EDGE_LOOP('',(#236740)); #41712=EDGE_LOOP('',(#236741)); #41713=EDGE_LOOP('',(#236742)); #41714=EDGE_LOOP('',(#236743)); #41715=EDGE_LOOP('',(#236744)); #41716=EDGE_LOOP('',(#236745)); #41717=EDGE_LOOP('',(#236746)); #41718=EDGE_LOOP('',(#236747)); #41719=EDGE_LOOP('',(#236748)); #41720=EDGE_LOOP('',(#236749)); #41721=EDGE_LOOP('',(#236750)); #41722=EDGE_LOOP('',(#236751)); #41723=EDGE_LOOP('',(#236752)); #41724=EDGE_LOOP('',(#236753)); #41725=EDGE_LOOP('',(#236754)); #41726=EDGE_LOOP('',(#236755)); #41727=EDGE_LOOP('',(#236756)); #41728=EDGE_LOOP('',(#236757)); #41729=EDGE_LOOP('',(#236758)); #41730=EDGE_LOOP('',(#236759)); #41731=EDGE_LOOP('',(#236760)); #41732=EDGE_LOOP('',(#236761)); #41733=EDGE_LOOP('',(#236762)); #41734=EDGE_LOOP('',(#236763)); #41735=EDGE_LOOP('',(#236764)); #41736=EDGE_LOOP('',(#236765)); #41737=EDGE_LOOP('',(#236766)); #41738=EDGE_LOOP('',(#236767)); #41739=EDGE_LOOP('',(#236768)); #41740=EDGE_LOOP('',(#236769)); #41741=EDGE_LOOP('',(#236770)); #41742=EDGE_LOOP('',(#236771)); #41743=EDGE_LOOP('',(#236772)); #41744=EDGE_LOOP('',(#236773)); #41745=EDGE_LOOP('',(#236774)); #41746=EDGE_LOOP('',(#236775)); #41747=EDGE_LOOP('',(#236776)); #41748=EDGE_LOOP('',(#236777)); #41749=EDGE_LOOP('',(#236778)); #41750=EDGE_LOOP('',(#236779)); #41751=EDGE_LOOP('',(#236780)); #41752=EDGE_LOOP('',(#236781)); #41753=EDGE_LOOP('',(#236782)); #41754=EDGE_LOOP('',(#236783)); #41755=EDGE_LOOP('',(#236784)); #41756=EDGE_LOOP('',(#236785)); #41757=EDGE_LOOP('',(#236786)); #41758=EDGE_LOOP('',(#236787)); #41759=EDGE_LOOP('',(#236788)); #41760=EDGE_LOOP('',(#236789)); #41761=EDGE_LOOP('',(#236790)); #41762=EDGE_LOOP('',(#236791)); #41763=EDGE_LOOP('',(#236792)); #41764=EDGE_LOOP('',(#236793)); #41765=EDGE_LOOP('',(#236794)); #41766=EDGE_LOOP('',(#236795)); #41767=EDGE_LOOP('',(#236796)); #41768=EDGE_LOOP('',(#236797)); #41769=EDGE_LOOP('',(#236798)); #41770=EDGE_LOOP('',(#236799)); #41771=EDGE_LOOP('',(#236800)); #41772=EDGE_LOOP('',(#236801)); #41773=EDGE_LOOP('',(#236802)); #41774=EDGE_LOOP('',(#236803,#236804,#236805,#236806)); #41775=EDGE_LOOP('',(#236807)); #41776=EDGE_LOOP('',(#236808,#236809,#236810,#236811)); #41777=EDGE_LOOP('',(#236812)); #41778=EDGE_LOOP('',(#236813)); #41779=EDGE_LOOP('',(#236814)); #41780=EDGE_LOOP('',(#236815,#236816,#236817,#236818)); #41781=EDGE_LOOP('',(#236819)); #41782=EDGE_LOOP('',(#236820)); #41783=EDGE_LOOP('',(#236821)); #41784=EDGE_LOOP('',(#236822)); #41785=EDGE_LOOP('',(#236823)); #41786=EDGE_LOOP('',(#236824)); #41787=EDGE_LOOP('',(#236825)); #41788=EDGE_LOOP('',(#236826)); #41789=EDGE_LOOP('',(#236827)); #41790=EDGE_LOOP('',(#236828)); #41791=EDGE_LOOP('',(#236829,#236830,#236831,#236832)); #41792=EDGE_LOOP('',(#236833)); #41793=EDGE_LOOP('',(#236834,#236835,#236836,#236837)); #41794=EDGE_LOOP('',(#236838)); #41795=EDGE_LOOP('',(#236839,#236840,#236841,#236842)); #41796=EDGE_LOOP('',(#236843)); #41797=EDGE_LOOP('',(#236844)); #41798=EDGE_LOOP('',(#236845)); #41799=EDGE_LOOP('',(#236846)); #41800=EDGE_LOOP('',(#236847)); #41801=EDGE_LOOP('',(#236848)); #41802=EDGE_LOOP('',(#236849)); #41803=EDGE_LOOP('',(#236850)); #41804=EDGE_LOOP('',(#236851)); #41805=EDGE_LOOP('',(#236852)); #41806=EDGE_LOOP('',(#236853)); #41807=EDGE_LOOP('',(#236854)); #41808=EDGE_LOOP('',(#236855)); #41809=EDGE_LOOP('',(#236856)); #41810=EDGE_LOOP('',(#236857)); #41811=EDGE_LOOP('',(#236858)); #41812=EDGE_LOOP('',(#236859)); #41813=EDGE_LOOP('',(#236860)); #41814=EDGE_LOOP('',(#236861)); #41815=EDGE_LOOP('',(#236862)); #41816=EDGE_LOOP('',(#236863)); #41817=EDGE_LOOP('',(#236864)); #41818=EDGE_LOOP('',(#236865)); #41819=EDGE_LOOP('',(#236866)); #41820=EDGE_LOOP('',(#236867)); #41821=EDGE_LOOP('',(#236868)); #41822=EDGE_LOOP('',(#236869)); #41823=EDGE_LOOP('',(#236870)); #41824=EDGE_LOOP('',(#236871)); #41825=EDGE_LOOP('',(#236872)); #41826=EDGE_LOOP('',(#236873)); #41827=EDGE_LOOP('',(#236874)); #41828=EDGE_LOOP('',(#236875)); #41829=EDGE_LOOP('',(#236876)); #41830=EDGE_LOOP('',(#236877)); #41831=EDGE_LOOP('',(#236878)); #41832=EDGE_LOOP('',(#236879)); #41833=EDGE_LOOP('',(#236880)); #41834=EDGE_LOOP('',(#236881)); #41835=EDGE_LOOP('',(#236882)); #41836=EDGE_LOOP('',(#236883)); #41837=EDGE_LOOP('',(#236884)); #41838=EDGE_LOOP('',(#236885)); #41839=EDGE_LOOP('',(#236886)); #41840=EDGE_LOOP('',(#236887)); #41841=EDGE_LOOP('',(#236888)); #41842=EDGE_LOOP('',(#236889)); #41843=EDGE_LOOP('',(#236890)); #41844=EDGE_LOOP('',(#236891)); #41845=EDGE_LOOP('',(#236892)); #41846=EDGE_LOOP('',(#236893)); #41847=EDGE_LOOP('',(#236894)); #41848=EDGE_LOOP('',(#236895)); #41849=EDGE_LOOP('',(#236896)); #41850=EDGE_LOOP('',(#236897)); #41851=EDGE_LOOP('',(#236898)); #41852=EDGE_LOOP('',(#236899)); #41853=EDGE_LOOP('',(#236900)); #41854=EDGE_LOOP('',(#236901)); #41855=EDGE_LOOP('',(#236902)); #41856=EDGE_LOOP('',(#236903)); #41857=EDGE_LOOP('',(#236904)); #41858=EDGE_LOOP('',(#236905)); #41859=EDGE_LOOP('',(#236906)); #41860=EDGE_LOOP('',(#236907)); #41861=EDGE_LOOP('',(#236908)); #41862=EDGE_LOOP('',(#236909)); #41863=EDGE_LOOP('',(#236910)); #41864=EDGE_LOOP('',(#236911)); #41865=EDGE_LOOP('',(#236912)); #41866=EDGE_LOOP('',(#236913)); #41867=EDGE_LOOP('',(#236914)); #41868=EDGE_LOOP('',(#236915)); #41869=EDGE_LOOP('',(#236916)); #41870=EDGE_LOOP('',(#236917)); #41871=EDGE_LOOP('',(#236918)); #41872=EDGE_LOOP('',(#236919)); #41873=EDGE_LOOP('',(#236920)); #41874=EDGE_LOOP('',(#236921)); #41875=EDGE_LOOP('',(#236922)); #41876=EDGE_LOOP('',(#236923)); #41877=EDGE_LOOP('',(#236924)); #41878=EDGE_LOOP('',(#236925)); #41879=EDGE_LOOP('',(#236926)); #41880=EDGE_LOOP('',(#236927)); #41881=EDGE_LOOP('',(#236928)); #41882=EDGE_LOOP('',(#236929)); #41883=EDGE_LOOP('',(#236930)); #41884=EDGE_LOOP('',(#236931)); #41885=EDGE_LOOP('',(#236932)); #41886=EDGE_LOOP('',(#236933)); #41887=EDGE_LOOP('',(#236934)); #41888=EDGE_LOOP('',(#236935)); #41889=EDGE_LOOP('',(#236936)); #41890=EDGE_LOOP('',(#236937)); #41891=EDGE_LOOP('',(#236938)); #41892=EDGE_LOOP('',(#236939)); #41893=EDGE_LOOP('',(#236940)); #41894=EDGE_LOOP('',(#236941)); #41895=EDGE_LOOP('',(#236942)); #41896=EDGE_LOOP('',(#236943)); #41897=EDGE_LOOP('',(#236944)); #41898=EDGE_LOOP('',(#236945)); #41899=EDGE_LOOP('',(#236946)); #41900=EDGE_LOOP('',(#236947)); #41901=EDGE_LOOP('',(#236948)); #41902=EDGE_LOOP('',(#236949)); #41903=EDGE_LOOP('',(#236950)); #41904=EDGE_LOOP('',(#236951)); #41905=EDGE_LOOP('',(#236952)); #41906=EDGE_LOOP('',(#236953)); #41907=EDGE_LOOP('',(#236954)); #41908=EDGE_LOOP('',(#236955)); #41909=EDGE_LOOP('',(#236956)); #41910=EDGE_LOOP('',(#236957)); #41911=EDGE_LOOP('',(#236958)); #41912=EDGE_LOOP('',(#236959)); #41913=EDGE_LOOP('',(#236960)); #41914=EDGE_LOOP('',(#236961)); #41915=EDGE_LOOP('',(#236962)); #41916=EDGE_LOOP('',(#236963)); #41917=EDGE_LOOP('',(#236964)); #41918=EDGE_LOOP('',(#236965)); #41919=EDGE_LOOP('',(#236966)); #41920=EDGE_LOOP('',(#236967)); #41921=EDGE_LOOP('',(#236968)); #41922=EDGE_LOOP('',(#236969)); #41923=EDGE_LOOP('',(#236970)); #41924=EDGE_LOOP('',(#236971)); #41925=EDGE_LOOP('',(#236972)); #41926=EDGE_LOOP('',(#236973)); #41927=EDGE_LOOP('',(#236974)); #41928=EDGE_LOOP('',(#236975)); #41929=EDGE_LOOP('',(#236976)); #41930=EDGE_LOOP('',(#236977)); #41931=EDGE_LOOP('',(#236978)); #41932=EDGE_LOOP('',(#236979)); #41933=EDGE_LOOP('',(#236980)); #41934=EDGE_LOOP('',(#236981)); #41935=EDGE_LOOP('',(#236982)); #41936=EDGE_LOOP('',(#236983)); #41937=EDGE_LOOP('',(#236984)); #41938=EDGE_LOOP('',(#236985)); #41939=EDGE_LOOP('',(#236986)); #41940=EDGE_LOOP('',(#236987)); #41941=EDGE_LOOP('',(#236988)); #41942=EDGE_LOOP('',(#236989)); #41943=EDGE_LOOP('',(#236990)); #41944=EDGE_LOOP('',(#236991)); #41945=EDGE_LOOP('',(#236992)); #41946=EDGE_LOOP('',(#236993)); #41947=EDGE_LOOP('',(#236994)); #41948=EDGE_LOOP('',(#236995)); #41949=EDGE_LOOP('',(#236996)); #41950=EDGE_LOOP('',(#236997)); #41951=EDGE_LOOP('',(#236998)); #41952=EDGE_LOOP('',(#236999)); #41953=EDGE_LOOP('',(#237000)); #41954=EDGE_LOOP('',(#237001)); #41955=EDGE_LOOP('',(#237002)); #41956=EDGE_LOOP('',(#237003)); #41957=EDGE_LOOP('',(#237004)); #41958=EDGE_LOOP('',(#237005)); #41959=EDGE_LOOP('',(#237006)); #41960=EDGE_LOOP('',(#237007)); #41961=EDGE_LOOP('',(#237008)); #41962=EDGE_LOOP('',(#237009)); #41963=EDGE_LOOP('',(#237010)); #41964=EDGE_LOOP('',(#237011)); #41965=EDGE_LOOP('',(#237012)); #41966=EDGE_LOOP('',(#237013)); #41967=EDGE_LOOP('',(#237014)); #41968=EDGE_LOOP('',(#237015)); #41969=EDGE_LOOP('',(#237016)); #41970=EDGE_LOOP('',(#237017)); #41971=EDGE_LOOP('',(#237018)); #41972=EDGE_LOOP('',(#237019)); #41973=EDGE_LOOP('',(#237020)); #41974=EDGE_LOOP('',(#237021)); #41975=EDGE_LOOP('',(#237022)); #41976=EDGE_LOOP('',(#237023)); #41977=EDGE_LOOP('',(#237024)); #41978=EDGE_LOOP('',(#237025)); #41979=EDGE_LOOP('',(#237026)); #41980=EDGE_LOOP('',(#237027)); #41981=EDGE_LOOP('',(#237028)); #41982=EDGE_LOOP('',(#237029)); #41983=EDGE_LOOP('',(#237030)); #41984=EDGE_LOOP('',(#237031)); #41985=EDGE_LOOP('',(#237032)); #41986=EDGE_LOOP('',(#237033)); #41987=EDGE_LOOP('',(#237034)); #41988=EDGE_LOOP('',(#237035)); #41989=EDGE_LOOP('',(#237036)); #41990=EDGE_LOOP('',(#237037)); #41991=EDGE_LOOP('',(#237038)); #41992=EDGE_LOOP('',(#237039)); #41993=EDGE_LOOP('',(#237040)); #41994=EDGE_LOOP('',(#237041)); #41995=EDGE_LOOP('',(#237042)); #41996=EDGE_LOOP('',(#237043)); #41997=EDGE_LOOP('',(#237044)); #41998=EDGE_LOOP('',(#237045)); #41999=EDGE_LOOP('',(#237046)); #42000=EDGE_LOOP('',(#237047)); #42001=EDGE_LOOP('',(#237048)); #42002=EDGE_LOOP('',(#237049)); #42003=EDGE_LOOP('',(#237050)); #42004=EDGE_LOOP('',(#237051)); #42005=EDGE_LOOP('',(#237052)); #42006=EDGE_LOOP('',(#237053)); #42007=EDGE_LOOP('',(#237054)); #42008=EDGE_LOOP('',(#237055)); #42009=EDGE_LOOP('',(#237056)); #42010=EDGE_LOOP('',(#237057)); #42011=EDGE_LOOP('',(#237058)); #42012=EDGE_LOOP('',(#237059)); #42013=EDGE_LOOP('',(#237060)); #42014=EDGE_LOOP('',(#237061)); #42015=EDGE_LOOP('',(#237062)); #42016=EDGE_LOOP('',(#237063)); #42017=EDGE_LOOP('',(#237064)); #42018=EDGE_LOOP('',(#237065)); #42019=EDGE_LOOP('',(#237066)); #42020=EDGE_LOOP('',(#237067)); #42021=EDGE_LOOP('',(#237068)); #42022=EDGE_LOOP('',(#237069)); #42023=EDGE_LOOP('',(#237070)); #42024=EDGE_LOOP('',(#237071)); #42025=EDGE_LOOP('',(#237072)); #42026=EDGE_LOOP('',(#237073)); #42027=EDGE_LOOP('',(#237074)); #42028=EDGE_LOOP('',(#237075)); #42029=EDGE_LOOP('',(#237076)); #42030=EDGE_LOOP('',(#237077)); #42031=EDGE_LOOP('',(#237078)); #42032=EDGE_LOOP('',(#237079)); #42033=EDGE_LOOP('',(#237080)); #42034=EDGE_LOOP('',(#237081)); #42035=EDGE_LOOP('',(#237082)); #42036=EDGE_LOOP('',(#237083)); #42037=EDGE_LOOP('',(#237084)); #42038=EDGE_LOOP('',(#237085)); #42039=EDGE_LOOP('',(#237086)); #42040=EDGE_LOOP('',(#237087)); #42041=EDGE_LOOP('',(#237088)); #42042=EDGE_LOOP('',(#237089)); #42043=EDGE_LOOP('',(#237090)); #42044=EDGE_LOOP('',(#237091)); #42045=EDGE_LOOP('',(#237092)); #42046=EDGE_LOOP('',(#237093)); #42047=EDGE_LOOP('',(#237094)); #42048=EDGE_LOOP('',(#237095)); #42049=EDGE_LOOP('',(#237096)); #42050=EDGE_LOOP('',(#237097)); #42051=EDGE_LOOP('',(#237098)); #42052=EDGE_LOOP('',(#237099)); #42053=EDGE_LOOP('',(#237100)); #42054=EDGE_LOOP('',(#237101)); #42055=EDGE_LOOP('',(#237102)); #42056=EDGE_LOOP('',(#237103)); #42057=EDGE_LOOP('',(#237104)); #42058=EDGE_LOOP('',(#237105)); #42059=EDGE_LOOP('',(#237106)); #42060=EDGE_LOOP('',(#237107)); #42061=EDGE_LOOP('',(#237108)); #42062=EDGE_LOOP('',(#237109)); #42063=EDGE_LOOP('',(#237110)); #42064=EDGE_LOOP('',(#237111)); #42065=EDGE_LOOP('',(#237112)); #42066=EDGE_LOOP('',(#237113)); #42067=EDGE_LOOP('',(#237114)); #42068=EDGE_LOOP('',(#237115)); #42069=EDGE_LOOP('',(#237116)); #42070=EDGE_LOOP('',(#237117)); #42071=EDGE_LOOP('',(#237118)); #42072=EDGE_LOOP('',(#237119)); #42073=EDGE_LOOP('',(#237120)); #42074=EDGE_LOOP('',(#237121)); #42075=EDGE_LOOP('',(#237122)); #42076=EDGE_LOOP('',(#237123)); #42077=EDGE_LOOP('',(#237124)); #42078=EDGE_LOOP('',(#237125)); #42079=EDGE_LOOP('',(#237126)); #42080=EDGE_LOOP('',(#237127)); #42081=EDGE_LOOP('',(#237128)); #42082=EDGE_LOOP('',(#237129)); #42083=EDGE_LOOP('',(#237130)); #42084=EDGE_LOOP('',(#237131)); #42085=EDGE_LOOP('',(#237132)); #42086=EDGE_LOOP('',(#237133)); #42087=EDGE_LOOP('',(#237134)); #42088=EDGE_LOOP('',(#237135)); #42089=EDGE_LOOP('',(#237136)); #42090=EDGE_LOOP('',(#237137)); #42091=EDGE_LOOP('',(#237138)); #42092=EDGE_LOOP('',(#237139,#237140,#237141,#237142)); #42093=EDGE_LOOP('',(#237143)); #42094=EDGE_LOOP('',(#237144,#237145,#237146,#237147)); #42095=EDGE_LOOP('',(#237148)); #42096=EDGE_LOOP('',(#237149)); #42097=EDGE_LOOP('',(#237150)); #42098=EDGE_LOOP('',(#237151,#237152,#237153,#237154)); #42099=EDGE_LOOP('',(#237155)); #42100=EDGE_LOOP('',(#237156)); #42101=EDGE_LOOP('',(#237157)); #42102=EDGE_LOOP('',(#237158)); #42103=EDGE_LOOP('',(#237159)); #42104=EDGE_LOOP('',(#237160)); #42105=EDGE_LOOP('',(#237161)); #42106=EDGE_LOOP('',(#237162)); #42107=EDGE_LOOP('',(#237163)); #42108=EDGE_LOOP('',(#237164)); #42109=EDGE_LOOP('',(#237165,#237166,#237167,#237168)); #42110=EDGE_LOOP('',(#237169)); #42111=EDGE_LOOP('',(#237170,#237171,#237172,#237173)); #42112=EDGE_LOOP('',(#237174)); #42113=EDGE_LOOP('',(#237175,#237176,#237177,#237178)); #42114=EDGE_LOOP('',(#237179)); #42115=EDGE_LOOP('',(#237180)); #42116=EDGE_LOOP('',(#237181)); #42117=EDGE_LOOP('',(#237182)); #42118=EDGE_LOOP('',(#237183)); #42119=EDGE_LOOP('',(#237184)); #42120=EDGE_LOOP('',(#237185)); #42121=EDGE_LOOP('',(#237186)); #42122=EDGE_LOOP('',(#237187)); #42123=EDGE_LOOP('',(#237188)); #42124=EDGE_LOOP('',(#237189)); #42125=EDGE_LOOP('',(#237190)); #42126=EDGE_LOOP('',(#237191)); #42127=EDGE_LOOP('',(#237192)); #42128=EDGE_LOOP('',(#237193)); #42129=EDGE_LOOP('',(#237194)); #42130=EDGE_LOOP('',(#237195)); #42131=EDGE_LOOP('',(#237196)); #42132=EDGE_LOOP('',(#237197)); #42133=EDGE_LOOP('',(#237198)); #42134=EDGE_LOOP('',(#237199)); #42135=EDGE_LOOP('',(#237200)); #42136=EDGE_LOOP('',(#237201)); #42137=EDGE_LOOP('',(#237202)); #42138=EDGE_LOOP('',(#237203)); #42139=EDGE_LOOP('',(#237204)); #42140=EDGE_LOOP('',(#237205)); #42141=EDGE_LOOP('',(#237206)); #42142=EDGE_LOOP('',(#237207)); #42143=EDGE_LOOP('',(#237208)); #42144=EDGE_LOOP('',(#237209)); #42145=EDGE_LOOP('',(#237210)); #42146=EDGE_LOOP('',(#237211)); #42147=EDGE_LOOP('',(#237212)); #42148=EDGE_LOOP('',(#237213)); #42149=EDGE_LOOP('',(#237214)); #42150=EDGE_LOOP('',(#237215)); #42151=EDGE_LOOP('',(#237216)); #42152=EDGE_LOOP('',(#237217)); #42153=EDGE_LOOP('',(#237218)); #42154=EDGE_LOOP('',(#237219)); #42155=EDGE_LOOP('',(#237220)); #42156=EDGE_LOOP('',(#237221)); #42157=EDGE_LOOP('',(#237222)); #42158=EDGE_LOOP('',(#237223)); #42159=EDGE_LOOP('',(#237224)); #42160=EDGE_LOOP('',(#237225)); #42161=EDGE_LOOP('',(#237226)); #42162=EDGE_LOOP('',(#237227)); #42163=EDGE_LOOP('',(#237228)); #42164=EDGE_LOOP('',(#237229)); #42165=EDGE_LOOP('',(#237230)); #42166=EDGE_LOOP('',(#237231)); #42167=EDGE_LOOP('',(#237232)); #42168=EDGE_LOOP('',(#237233)); #42169=EDGE_LOOP('',(#237234)); #42170=EDGE_LOOP('',(#237235)); #42171=EDGE_LOOP('',(#237236)); #42172=EDGE_LOOP('',(#237237)); #42173=EDGE_LOOP('',(#237238)); #42174=EDGE_LOOP('',(#237239)); #42175=EDGE_LOOP('',(#237240)); #42176=EDGE_LOOP('',(#237241)); #42177=EDGE_LOOP('',(#237242)); #42178=EDGE_LOOP('',(#237243)); #42179=EDGE_LOOP('',(#237244)); #42180=EDGE_LOOP('',(#237245)); #42181=EDGE_LOOP('',(#237246)); #42182=EDGE_LOOP('',(#237247)); #42183=EDGE_LOOP('',(#237248)); #42184=EDGE_LOOP('',(#237249)); #42185=EDGE_LOOP('',(#237250)); #42186=EDGE_LOOP('',(#237251)); #42187=EDGE_LOOP('',(#237252)); #42188=EDGE_LOOP('',(#237253)); #42189=EDGE_LOOP('',(#237254)); #42190=EDGE_LOOP('',(#237255)); #42191=EDGE_LOOP('',(#237256)); #42192=EDGE_LOOP('',(#237257)); #42193=EDGE_LOOP('',(#237258)); #42194=EDGE_LOOP('',(#237259)); #42195=EDGE_LOOP('',(#237260)); #42196=EDGE_LOOP('',(#237261)); #42197=EDGE_LOOP('',(#237262)); #42198=EDGE_LOOP('',(#237263)); #42199=EDGE_LOOP('',(#237264)); #42200=EDGE_LOOP('',(#237265)); #42201=EDGE_LOOP('',(#237266)); #42202=EDGE_LOOP('',(#237267)); #42203=EDGE_LOOP('',(#237268)); #42204=EDGE_LOOP('',(#237269)); #42205=EDGE_LOOP('',(#237270)); #42206=EDGE_LOOP('',(#237271)); #42207=EDGE_LOOP('',(#237272)); #42208=EDGE_LOOP('',(#237273)); #42209=EDGE_LOOP('',(#237274)); #42210=EDGE_LOOP('',(#237275)); #42211=EDGE_LOOP('',(#237276)); #42212=EDGE_LOOP('',(#237277)); #42213=EDGE_LOOP('',(#237278)); #42214=EDGE_LOOP('',(#237279)); #42215=EDGE_LOOP('',(#237280)); #42216=EDGE_LOOP('',(#237281)); #42217=EDGE_LOOP('',(#237282)); #42218=EDGE_LOOP('',(#237283)); #42219=EDGE_LOOP('',(#237284)); #42220=EDGE_LOOP('',(#237285)); #42221=EDGE_LOOP('',(#237286)); #42222=EDGE_LOOP('',(#237287)); #42223=EDGE_LOOP('',(#237288)); #42224=EDGE_LOOP('',(#237289)); #42225=EDGE_LOOP('',(#237290)); #42226=EDGE_LOOP('',(#237291)); #42227=EDGE_LOOP('',(#237292)); #42228=EDGE_LOOP('',(#237293)); #42229=EDGE_LOOP('',(#237294)); #42230=EDGE_LOOP('',(#237295)); #42231=EDGE_LOOP('',(#237296)); #42232=EDGE_LOOP('',(#237297)); #42233=EDGE_LOOP('',(#237298)); #42234=EDGE_LOOP('',(#237299)); #42235=EDGE_LOOP('',(#237300)); #42236=EDGE_LOOP('',(#237301)); #42237=EDGE_LOOP('',(#237302)); #42238=EDGE_LOOP('',(#237303)); #42239=EDGE_LOOP('',(#237304)); #42240=EDGE_LOOP('',(#237305)); #42241=EDGE_LOOP('',(#237306)); #42242=EDGE_LOOP('',(#237307)); #42243=EDGE_LOOP('',(#237308)); #42244=EDGE_LOOP('',(#237309)); #42245=EDGE_LOOP('',(#237310)); #42246=EDGE_LOOP('',(#237311,#237312,#237313,#237314)); #42247=EDGE_LOOP('',(#237315,#237316,#237317,#237318)); #42248=EDGE_LOOP('',(#237319)); #42249=EDGE_LOOP('',(#237320)); #42250=EDGE_LOOP('',(#237321)); #42251=EDGE_LOOP('',(#237322)); #42252=EDGE_LOOP('',(#237323,#237324,#237325,#237326)); #42253=EDGE_LOOP('',(#237327,#237328,#237329,#237330)); #42254=EDGE_LOOP('',(#237331)); #42255=EDGE_LOOP('',(#237332)); #42256=EDGE_LOOP('',(#237333)); #42257=EDGE_LOOP('',(#237334)); #42258=EDGE_LOOP('',(#237335,#237336,#237337,#237338)); #42259=EDGE_LOOP('',(#237339,#237340,#237341,#237342)); #42260=EDGE_LOOP('',(#237343)); #42261=EDGE_LOOP('',(#237344)); #42262=EDGE_LOOP('',(#237345)); #42263=EDGE_LOOP('',(#237346)); #42264=EDGE_LOOP('',(#237347,#237348,#237349,#237350)); #42265=EDGE_LOOP('',(#237351,#237352,#237353,#237354)); #42266=EDGE_LOOP('',(#237355)); #42267=EDGE_LOOP('',(#237356)); #42268=EDGE_LOOP('',(#237357)); #42269=EDGE_LOOP('',(#237358)); #42270=EDGE_LOOP('',(#237359,#237360,#237361,#237362)); #42271=EDGE_LOOP('',(#237363,#237364,#237365,#237366)); #42272=EDGE_LOOP('',(#237367)); #42273=EDGE_LOOP('',(#237368)); #42274=EDGE_LOOP('',(#237369)); #42275=EDGE_LOOP('',(#237370)); #42276=EDGE_LOOP('',(#237371,#237372,#237373,#237374)); #42277=EDGE_LOOP('',(#237375,#237376,#237377,#237378)); #42278=EDGE_LOOP('',(#237379)); #42279=EDGE_LOOP('',(#237380)); #42280=EDGE_LOOP('',(#237381)); #42281=EDGE_LOOP('',(#237382)); #42282=EDGE_LOOP('',(#237383,#237384,#237385,#237386)); #42283=EDGE_LOOP('',(#237387,#237388,#237389,#237390)); #42284=EDGE_LOOP('',(#237391)); #42285=EDGE_LOOP('',(#237392)); #42286=EDGE_LOOP('',(#237393)); #42287=EDGE_LOOP('',(#237394)); #42288=EDGE_LOOP('',(#237395,#237396,#237397,#237398)); #42289=EDGE_LOOP('',(#237399,#237400,#237401,#237402)); #42290=EDGE_LOOP('',(#237403)); #42291=EDGE_LOOP('',(#237404)); #42292=EDGE_LOOP('',(#237405)); #42293=EDGE_LOOP('',(#237406)); #42294=EDGE_LOOP('',(#237407,#237408,#237409,#237410)); #42295=EDGE_LOOP('',(#237411,#237412,#237413,#237414)); #42296=EDGE_LOOP('',(#237415)); #42297=EDGE_LOOP('',(#237416)); #42298=EDGE_LOOP('',(#237417)); #42299=EDGE_LOOP('',(#237418)); #42300=EDGE_LOOP('',(#237419,#237420,#237421,#237422)); #42301=EDGE_LOOP('',(#237423,#237424,#237425,#237426)); #42302=EDGE_LOOP('',(#237427)); #42303=EDGE_LOOP('',(#237428)); #42304=EDGE_LOOP('',(#237429)); #42305=EDGE_LOOP('',(#237430)); #42306=EDGE_LOOP('',(#237431,#237432,#237433,#237434)); #42307=EDGE_LOOP('',(#237435,#237436,#237437,#237438)); #42308=EDGE_LOOP('',(#237439)); #42309=EDGE_LOOP('',(#237440)); #42310=EDGE_LOOP('',(#237441)); #42311=EDGE_LOOP('',(#237442)); #42312=EDGE_LOOP('',(#237443,#237444,#237445,#237446)); #42313=EDGE_LOOP('',(#237447,#237448,#237449,#237450)); #42314=EDGE_LOOP('',(#237451)); #42315=EDGE_LOOP('',(#237452)); #42316=EDGE_LOOP('',(#237453)); #42317=EDGE_LOOP('',(#237454)); #42318=EDGE_LOOP('',(#237455,#237456,#237457,#237458)); #42319=EDGE_LOOP('',(#237459,#237460,#237461,#237462)); #42320=EDGE_LOOP('',(#237463)); #42321=EDGE_LOOP('',(#237464)); #42322=EDGE_LOOP('',(#237465)); #42323=EDGE_LOOP('',(#237466)); #42324=EDGE_LOOP('',(#237467,#237468,#237469,#237470)); #42325=EDGE_LOOP('',(#237471,#237472,#237473,#237474)); #42326=EDGE_LOOP('',(#237475)); #42327=EDGE_LOOP('',(#237476)); #42328=EDGE_LOOP('',(#237477)); #42329=EDGE_LOOP('',(#237478)); #42330=EDGE_LOOP('',(#237479,#237480,#237481,#237482)); #42331=EDGE_LOOP('',(#237483,#237484,#237485,#237486)); #42332=EDGE_LOOP('',(#237487)); #42333=EDGE_LOOP('',(#237488)); #42334=EDGE_LOOP('',(#237489)); #42335=EDGE_LOOP('',(#237490)); #42336=EDGE_LOOP('',(#237491,#237492,#237493,#237494)); #42337=EDGE_LOOP('',(#237495,#237496,#237497,#237498)); #42338=EDGE_LOOP('',(#237499)); #42339=EDGE_LOOP('',(#237500)); #42340=EDGE_LOOP('',(#237501)); #42341=EDGE_LOOP('',(#237502)); #42342=EDGE_LOOP('',(#237503,#237504,#237505,#237506)); #42343=EDGE_LOOP('',(#237507,#237508,#237509,#237510)); #42344=EDGE_LOOP('',(#237511)); #42345=EDGE_LOOP('',(#237512)); #42346=EDGE_LOOP('',(#237513)); #42347=EDGE_LOOP('',(#237514)); #42348=EDGE_LOOP('',(#237515,#237516,#237517,#237518)); #42349=EDGE_LOOP('',(#237519,#237520,#237521,#237522)); #42350=EDGE_LOOP('',(#237523)); #42351=EDGE_LOOP('',(#237524)); #42352=EDGE_LOOP('',(#237525)); #42353=EDGE_LOOP('',(#237526)); #42354=EDGE_LOOP('',(#237527,#237528,#237529,#237530)); #42355=EDGE_LOOP('',(#237531,#237532,#237533,#237534)); #42356=EDGE_LOOP('',(#237535)); #42357=EDGE_LOOP('',(#237536)); #42358=EDGE_LOOP('',(#237537)); #42359=EDGE_LOOP('',(#237538)); #42360=EDGE_LOOP('',(#237539,#237540,#237541,#237542)); #42361=EDGE_LOOP('',(#237543,#237544,#237545,#237546)); #42362=EDGE_LOOP('',(#237547)); #42363=EDGE_LOOP('',(#237548)); #42364=EDGE_LOOP('',(#237549)); #42365=EDGE_LOOP('',(#237550)); #42366=EDGE_LOOP('',(#237551,#237552,#237553,#237554)); #42367=EDGE_LOOP('',(#237555,#237556,#237557,#237558)); #42368=EDGE_LOOP('',(#237559)); #42369=EDGE_LOOP('',(#237560)); #42370=EDGE_LOOP('',(#237561)); #42371=EDGE_LOOP('',(#237562)); #42372=EDGE_LOOP('',(#237563,#237564,#237565,#237566)); #42373=EDGE_LOOP('',(#237567,#237568,#237569,#237570)); #42374=EDGE_LOOP('',(#237571)); #42375=EDGE_LOOP('',(#237572)); #42376=EDGE_LOOP('',(#237573)); #42377=EDGE_LOOP('',(#237574)); #42378=EDGE_LOOP('',(#237575,#237576,#237577,#237578)); #42379=EDGE_LOOP('',(#237579,#237580,#237581,#237582)); #42380=EDGE_LOOP('',(#237583)); #42381=EDGE_LOOP('',(#237584)); #42382=EDGE_LOOP('',(#237585)); #42383=EDGE_LOOP('',(#237586)); #42384=EDGE_LOOP('',(#237587,#237588,#237589,#237590)); #42385=EDGE_LOOP('',(#237591,#237592,#237593,#237594)); #42386=EDGE_LOOP('',(#237595)); #42387=EDGE_LOOP('',(#237596)); #42388=EDGE_LOOP('',(#237597)); #42389=EDGE_LOOP('',(#237598)); #42390=EDGE_LOOP('',(#237599,#237600,#237601,#237602)); #42391=EDGE_LOOP('',(#237603,#237604,#237605,#237606)); #42392=EDGE_LOOP('',(#237607)); #42393=EDGE_LOOP('',(#237608)); #42394=EDGE_LOOP('',(#237609)); #42395=EDGE_LOOP('',(#237610)); #42396=EDGE_LOOP('',(#237611,#237612,#237613,#237614)); #42397=EDGE_LOOP('',(#237615,#237616,#237617,#237618)); #42398=EDGE_LOOP('',(#237619)); #42399=EDGE_LOOP('',(#237620)); #42400=EDGE_LOOP('',(#237621)); #42401=EDGE_LOOP('',(#237622)); #42402=EDGE_LOOP('',(#237623,#237624,#237625,#237626)); #42403=EDGE_LOOP('',(#237627,#237628,#237629,#237630)); #42404=EDGE_LOOP('',(#237631)); #42405=EDGE_LOOP('',(#237632)); #42406=EDGE_LOOP('',(#237633)); #42407=EDGE_LOOP('',(#237634)); #42408=EDGE_LOOP('',(#237635,#237636,#237637,#237638)); #42409=EDGE_LOOP('',(#237639,#237640,#237641,#237642)); #42410=EDGE_LOOP('',(#237643)); #42411=EDGE_LOOP('',(#237644)); #42412=EDGE_LOOP('',(#237645)); #42413=EDGE_LOOP('',(#237646)); #42414=EDGE_LOOP('',(#237647,#237648,#237649,#237650)); #42415=EDGE_LOOP('',(#237651,#237652,#237653,#237654)); #42416=EDGE_LOOP('',(#237655)); #42417=EDGE_LOOP('',(#237656)); #42418=EDGE_LOOP('',(#237657)); #42419=EDGE_LOOP('',(#237658)); #42420=EDGE_LOOP('',(#237659,#237660,#237661,#237662)); #42421=EDGE_LOOP('',(#237663,#237664,#237665,#237666)); #42422=EDGE_LOOP('',(#237667)); #42423=EDGE_LOOP('',(#237668)); #42424=EDGE_LOOP('',(#237669)); #42425=EDGE_LOOP('',(#237670)); #42426=EDGE_LOOP('',(#237671,#237672,#237673,#237674)); #42427=EDGE_LOOP('',(#237675,#237676,#237677,#237678)); #42428=EDGE_LOOP('',(#237679)); #42429=EDGE_LOOP('',(#237680)); #42430=EDGE_LOOP('',(#237681)); #42431=EDGE_LOOP('',(#237682)); #42432=EDGE_LOOP('',(#237683,#237684,#237685,#237686)); #42433=EDGE_LOOP('',(#237687,#237688,#237689,#237690)); #42434=EDGE_LOOP('',(#237691)); #42435=EDGE_LOOP('',(#237692)); #42436=EDGE_LOOP('',(#237693)); #42437=EDGE_LOOP('',(#237694)); #42438=EDGE_LOOP('',(#237695,#237696,#237697,#237698)); #42439=EDGE_LOOP('',(#237699,#237700,#237701,#237702)); #42440=EDGE_LOOP('',(#237703)); #42441=EDGE_LOOP('',(#237704)); #42442=EDGE_LOOP('',(#237705)); #42443=EDGE_LOOP('',(#237706)); #42444=EDGE_LOOP('',(#237707,#237708,#237709,#237710)); #42445=EDGE_LOOP('',(#237711,#237712,#237713,#237714)); #42446=EDGE_LOOP('',(#237715)); #42447=EDGE_LOOP('',(#237716)); #42448=EDGE_LOOP('',(#237717)); #42449=EDGE_LOOP('',(#237718)); #42450=EDGE_LOOP('',(#237719,#237720,#237721,#237722)); #42451=EDGE_LOOP('',(#237723,#237724,#237725,#237726)); #42452=EDGE_LOOP('',(#237727)); #42453=EDGE_LOOP('',(#237728)); #42454=EDGE_LOOP('',(#237729)); #42455=EDGE_LOOP('',(#237730)); #42456=EDGE_LOOP('',(#237731,#237732,#237733,#237734)); #42457=EDGE_LOOP('',(#237735,#237736,#237737,#237738)); #42458=EDGE_LOOP('',(#237739)); #42459=EDGE_LOOP('',(#237740)); #42460=EDGE_LOOP('',(#237741)); #42461=EDGE_LOOP('',(#237742)); #42462=EDGE_LOOP('',(#237743,#237744,#237745,#237746)); #42463=EDGE_LOOP('',(#237747,#237748,#237749,#237750)); #42464=EDGE_LOOP('',(#237751)); #42465=EDGE_LOOP('',(#237752)); #42466=EDGE_LOOP('',(#237753)); #42467=EDGE_LOOP('',(#237754)); #42468=EDGE_LOOP('',(#237755,#237756,#237757,#237758)); #42469=EDGE_LOOP('',(#237759,#237760,#237761,#237762)); #42470=EDGE_LOOP('',(#237763)); #42471=EDGE_LOOP('',(#237764)); #42472=EDGE_LOOP('',(#237765)); #42473=EDGE_LOOP('',(#237766)); #42474=EDGE_LOOP('',(#237767,#237768,#237769,#237770)); #42475=EDGE_LOOP('',(#237771,#237772,#237773,#237774)); #42476=EDGE_LOOP('',(#237775)); #42477=EDGE_LOOP('',(#237776)); #42478=EDGE_LOOP('',(#237777)); #42479=EDGE_LOOP('',(#237778)); #42480=EDGE_LOOP('',(#237779,#237780,#237781,#237782)); #42481=EDGE_LOOP('',(#237783,#237784,#237785,#237786)); #42482=EDGE_LOOP('',(#237787)); #42483=EDGE_LOOP('',(#237788)); #42484=EDGE_LOOP('',(#237789)); #42485=EDGE_LOOP('',(#237790)); #42486=EDGE_LOOP('',(#237791,#237792,#237793,#237794)); #42487=EDGE_LOOP('',(#237795,#237796,#237797,#237798)); #42488=EDGE_LOOP('',(#237799)); #42489=EDGE_LOOP('',(#237800)); #42490=EDGE_LOOP('',(#237801)); #42491=EDGE_LOOP('',(#237802)); #42492=EDGE_LOOP('',(#237803,#237804,#237805,#237806)); #42493=EDGE_LOOP('',(#237807,#237808,#237809,#237810)); #42494=EDGE_LOOP('',(#237811)); #42495=EDGE_LOOP('',(#237812)); #42496=EDGE_LOOP('',(#237813)); #42497=EDGE_LOOP('',(#237814)); #42498=EDGE_LOOP('',(#237815,#237816,#237817,#237818)); #42499=EDGE_LOOP('',(#237819,#237820,#237821,#237822)); #42500=EDGE_LOOP('',(#237823)); #42501=EDGE_LOOP('',(#237824)); #42502=EDGE_LOOP('',(#237825)); #42503=EDGE_LOOP('',(#237826)); #42504=EDGE_LOOP('',(#237827,#237828,#237829,#237830)); #42505=EDGE_LOOP('',(#237831,#237832,#237833,#237834)); #42506=EDGE_LOOP('',(#237835)); #42507=EDGE_LOOP('',(#237836)); #42508=EDGE_LOOP('',(#237837)); #42509=EDGE_LOOP('',(#237838)); #42510=EDGE_LOOP('',(#237839,#237840,#237841,#237842)); #42511=EDGE_LOOP('',(#237843,#237844,#237845,#237846)); #42512=EDGE_LOOP('',(#237847)); #42513=EDGE_LOOP('',(#237848)); #42514=EDGE_LOOP('',(#237849)); #42515=EDGE_LOOP('',(#237850)); #42516=EDGE_LOOP('',(#237851,#237852,#237853,#237854)); #42517=EDGE_LOOP('',(#237855,#237856,#237857,#237858)); #42518=EDGE_LOOP('',(#237859)); #42519=EDGE_LOOP('',(#237860)); #42520=EDGE_LOOP('',(#237861)); #42521=EDGE_LOOP('',(#237862)); #42522=EDGE_LOOP('',(#237863,#237864,#237865,#237866)); #42523=EDGE_LOOP('',(#237867,#237868,#237869,#237870)); #42524=EDGE_LOOP('',(#237871)); #42525=EDGE_LOOP('',(#237872)); #42526=EDGE_LOOP('',(#237873)); #42527=EDGE_LOOP('',(#237874)); #42528=EDGE_LOOP('',(#237875,#237876,#237877,#237878)); #42529=EDGE_LOOP('',(#237879,#237880,#237881,#237882)); #42530=EDGE_LOOP('',(#237883)); #42531=EDGE_LOOP('',(#237884)); #42532=EDGE_LOOP('',(#237885)); #42533=EDGE_LOOP('',(#237886)); #42534=EDGE_LOOP('',(#237887,#237888,#237889,#237890)); #42535=EDGE_LOOP('',(#237891,#237892,#237893,#237894)); #42536=EDGE_LOOP('',(#237895)); #42537=EDGE_LOOP('',(#237896)); #42538=EDGE_LOOP('',(#237897)); #42539=EDGE_LOOP('',(#237898)); #42540=EDGE_LOOP('',(#237899,#237900,#237901,#237902)); #42541=EDGE_LOOP('',(#237903,#237904,#237905,#237906)); #42542=EDGE_LOOP('',(#237907)); #42543=EDGE_LOOP('',(#237908)); #42544=EDGE_LOOP('',(#237909)); #42545=EDGE_LOOP('',(#237910)); #42546=EDGE_LOOP('',(#237911,#237912,#237913,#237914)); #42547=EDGE_LOOP('',(#237915,#237916,#237917,#237918)); #42548=EDGE_LOOP('',(#237919)); #42549=EDGE_LOOP('',(#237920)); #42550=EDGE_LOOP('',(#237921)); #42551=EDGE_LOOP('',(#237922)); #42552=EDGE_LOOP('',(#237923,#237924,#237925,#237926)); #42553=EDGE_LOOP('',(#237927,#237928,#237929,#237930)); #42554=EDGE_LOOP('',(#237931)); #42555=EDGE_LOOP('',(#237932)); #42556=EDGE_LOOP('',(#237933)); #42557=EDGE_LOOP('',(#237934)); #42558=EDGE_LOOP('',(#237935,#237936,#237937,#237938)); #42559=EDGE_LOOP('',(#237939,#237940,#237941,#237942)); #42560=EDGE_LOOP('',(#237943)); #42561=EDGE_LOOP('',(#237944)); #42562=EDGE_LOOP('',(#237945)); #42563=EDGE_LOOP('',(#237946)); #42564=EDGE_LOOP('',(#237947,#237948,#237949,#237950)); #42565=EDGE_LOOP('',(#237951,#237952,#237953,#237954)); #42566=EDGE_LOOP('',(#237955)); #42567=EDGE_LOOP('',(#237956)); #42568=EDGE_LOOP('',(#237957)); #42569=EDGE_LOOP('',(#237958)); #42570=EDGE_LOOP('',(#237959,#237960,#237961,#237962)); #42571=EDGE_LOOP('',(#237963,#237964,#237965,#237966)); #42572=EDGE_LOOP('',(#237967)); #42573=EDGE_LOOP('',(#237968)); #42574=EDGE_LOOP('',(#237969)); #42575=EDGE_LOOP('',(#237970)); #42576=EDGE_LOOP('',(#237971,#237972,#237973,#237974)); #42577=EDGE_LOOP('',(#237975,#237976,#237977,#237978)); #42578=EDGE_LOOP('',(#237979)); #42579=EDGE_LOOP('',(#237980)); #42580=EDGE_LOOP('',(#237981)); #42581=EDGE_LOOP('',(#237982)); #42582=EDGE_LOOP('',(#237983,#237984,#237985,#237986)); #42583=EDGE_LOOP('',(#237987,#237988,#237989,#237990)); #42584=EDGE_LOOP('',(#237991)); #42585=EDGE_LOOP('',(#237992)); #42586=EDGE_LOOP('',(#237993)); #42587=EDGE_LOOP('',(#237994)); #42588=EDGE_LOOP('',(#237995,#237996,#237997,#237998)); #42589=EDGE_LOOP('',(#237999,#238000,#238001,#238002)); #42590=EDGE_LOOP('',(#238003)); #42591=EDGE_LOOP('',(#238004)); #42592=EDGE_LOOP('',(#238005)); #42593=EDGE_LOOP('',(#238006)); #42594=EDGE_LOOP('',(#238007,#238008,#238009,#238010)); #42595=EDGE_LOOP('',(#238011,#238012,#238013,#238014)); #42596=EDGE_LOOP('',(#238015)); #42597=EDGE_LOOP('',(#238016)); #42598=EDGE_LOOP('',(#238017)); #42599=EDGE_LOOP('',(#238018)); #42600=EDGE_LOOP('',(#238019,#238020,#238021,#238022)); #42601=EDGE_LOOP('',(#238023,#238024,#238025,#238026)); #42602=EDGE_LOOP('',(#238027)); #42603=EDGE_LOOP('',(#238028)); #42604=EDGE_LOOP('',(#238029)); #42605=EDGE_LOOP('',(#238030)); #42606=EDGE_LOOP('',(#238031,#238032,#238033,#238034)); #42607=EDGE_LOOP('',(#238035,#238036,#238037,#238038)); #42608=EDGE_LOOP('',(#238039)); #42609=EDGE_LOOP('',(#238040)); #42610=EDGE_LOOP('',(#238041)); #42611=EDGE_LOOP('',(#238042)); #42612=EDGE_LOOP('',(#238043,#238044,#238045,#238046)); #42613=EDGE_LOOP('',(#238047,#238048,#238049,#238050)); #42614=EDGE_LOOP('',(#238051)); #42615=EDGE_LOOP('',(#238052)); #42616=EDGE_LOOP('',(#238053)); #42617=EDGE_LOOP('',(#238054)); #42618=EDGE_LOOP('',(#238055,#238056,#238057,#238058)); #42619=EDGE_LOOP('',(#238059,#238060,#238061,#238062)); #42620=EDGE_LOOP('',(#238063)); #42621=EDGE_LOOP('',(#238064)); #42622=EDGE_LOOP('',(#238065)); #42623=EDGE_LOOP('',(#238066)); #42624=EDGE_LOOP('',(#238067,#238068,#238069,#238070)); #42625=EDGE_LOOP('',(#238071,#238072,#238073,#238074)); #42626=EDGE_LOOP('',(#238075)); #42627=EDGE_LOOP('',(#238076)); #42628=EDGE_LOOP('',(#238077)); #42629=EDGE_LOOP('',(#238078)); #42630=EDGE_LOOP('',(#238079,#238080,#238081,#238082)); #42631=EDGE_LOOP('',(#238083,#238084,#238085,#238086)); #42632=EDGE_LOOP('',(#238087)); #42633=EDGE_LOOP('',(#238088)); #42634=EDGE_LOOP('',(#238089)); #42635=EDGE_LOOP('',(#238090)); #42636=EDGE_LOOP('',(#238091,#238092,#238093,#238094)); #42637=EDGE_LOOP('',(#238095,#238096,#238097,#238098)); #42638=EDGE_LOOP('',(#238099)); #42639=EDGE_LOOP('',(#238100)); #42640=EDGE_LOOP('',(#238101)); #42641=EDGE_LOOP('',(#238102)); #42642=EDGE_LOOP('',(#238103,#238104,#238105,#238106)); #42643=EDGE_LOOP('',(#238107,#238108,#238109,#238110)); #42644=EDGE_LOOP('',(#238111)); #42645=EDGE_LOOP('',(#238112)); #42646=EDGE_LOOP('',(#238113)); #42647=EDGE_LOOP('',(#238114)); #42648=EDGE_LOOP('',(#238115,#238116,#238117,#238118)); #42649=EDGE_LOOP('',(#238119,#238120,#238121,#238122)); #42650=EDGE_LOOP('',(#238123)); #42651=EDGE_LOOP('',(#238124)); #42652=EDGE_LOOP('',(#238125)); #42653=EDGE_LOOP('',(#238126)); #42654=EDGE_LOOP('',(#238127,#238128,#238129,#238130)); #42655=EDGE_LOOP('',(#238131,#238132,#238133,#238134)); #42656=EDGE_LOOP('',(#238135)); #42657=EDGE_LOOP('',(#238136)); #42658=EDGE_LOOP('',(#238137)); #42659=EDGE_LOOP('',(#238138)); #42660=EDGE_LOOP('',(#238139,#238140,#238141,#238142)); #42661=EDGE_LOOP('',(#238143,#238144,#238145,#238146)); #42662=EDGE_LOOP('',(#238147)); #42663=EDGE_LOOP('',(#238148)); #42664=EDGE_LOOP('',(#238149)); #42665=EDGE_LOOP('',(#238150)); #42666=EDGE_LOOP('',(#238151,#238152,#238153,#238154)); #42667=EDGE_LOOP('',(#238155,#238156,#238157,#238158)); #42668=EDGE_LOOP('',(#238159)); #42669=EDGE_LOOP('',(#238160)); #42670=EDGE_LOOP('',(#238161)); #42671=EDGE_LOOP('',(#238162)); #42672=EDGE_LOOP('',(#238163,#238164,#238165,#238166)); #42673=EDGE_LOOP('',(#238167,#238168,#238169,#238170)); #42674=EDGE_LOOP('',(#238171)); #42675=EDGE_LOOP('',(#238172)); #42676=EDGE_LOOP('',(#238173)); #42677=EDGE_LOOP('',(#238174)); #42678=EDGE_LOOP('',(#238175,#238176,#238177,#238178)); #42679=EDGE_LOOP('',(#238179,#238180,#238181,#238182)); #42680=EDGE_LOOP('',(#238183)); #42681=EDGE_LOOP('',(#238184)); #42682=EDGE_LOOP('',(#238185)); #42683=EDGE_LOOP('',(#238186)); #42684=EDGE_LOOP('',(#238187,#238188,#238189,#238190)); #42685=EDGE_LOOP('',(#238191,#238192,#238193,#238194)); #42686=EDGE_LOOP('',(#238195)); #42687=EDGE_LOOP('',(#238196)); #42688=EDGE_LOOP('',(#238197)); #42689=EDGE_LOOP('',(#238198)); #42690=EDGE_LOOP('',(#238199,#238200,#238201,#238202)); #42691=EDGE_LOOP('',(#238203,#238204,#238205,#238206)); #42692=EDGE_LOOP('',(#238207)); #42693=EDGE_LOOP('',(#238208)); #42694=EDGE_LOOP('',(#238209)); #42695=EDGE_LOOP('',(#238210)); #42696=EDGE_LOOP('',(#238211,#238212,#238213,#238214)); #42697=EDGE_LOOP('',(#238215,#238216,#238217,#238218)); #42698=EDGE_LOOP('',(#238219)); #42699=EDGE_LOOP('',(#238220)); #42700=EDGE_LOOP('',(#238221)); #42701=EDGE_LOOP('',(#238222)); #42702=EDGE_LOOP('',(#238223,#238224,#238225,#238226)); #42703=EDGE_LOOP('',(#238227,#238228,#238229,#238230)); #42704=EDGE_LOOP('',(#238231)); #42705=EDGE_LOOP('',(#238232)); #42706=EDGE_LOOP('',(#238233)); #42707=EDGE_LOOP('',(#238234)); #42708=EDGE_LOOP('',(#238235,#238236,#238237,#238238)); #42709=EDGE_LOOP('',(#238239,#238240,#238241,#238242)); #42710=EDGE_LOOP('',(#238243)); #42711=EDGE_LOOP('',(#238244)); #42712=EDGE_LOOP('',(#238245)); #42713=EDGE_LOOP('',(#238246)); #42714=EDGE_LOOP('',(#238247,#238248,#238249,#238250)); #42715=EDGE_LOOP('',(#238251,#238252,#238253,#238254)); #42716=EDGE_LOOP('',(#238255)); #42717=EDGE_LOOP('',(#238256)); #42718=EDGE_LOOP('',(#238257)); #42719=EDGE_LOOP('',(#238258)); #42720=EDGE_LOOP('',(#238259,#238260,#238261,#238262)); #42721=EDGE_LOOP('',(#238263,#238264,#238265,#238266)); #42722=EDGE_LOOP('',(#238267)); #42723=EDGE_LOOP('',(#238268)); #42724=EDGE_LOOP('',(#238269)); #42725=EDGE_LOOP('',(#238270)); #42726=EDGE_LOOP('',(#238271,#238272,#238273,#238274)); #42727=EDGE_LOOP('',(#238275,#238276,#238277,#238278)); #42728=EDGE_LOOP('',(#238279)); #42729=EDGE_LOOP('',(#238280)); #42730=EDGE_LOOP('',(#238281)); #42731=EDGE_LOOP('',(#238282)); #42732=EDGE_LOOP('',(#238283,#238284,#238285,#238286)); #42733=EDGE_LOOP('',(#238287,#238288,#238289,#238290)); #42734=EDGE_LOOP('',(#238291)); #42735=EDGE_LOOP('',(#238292)); #42736=EDGE_LOOP('',(#238293)); #42737=EDGE_LOOP('',(#238294)); #42738=EDGE_LOOP('',(#238295,#238296,#238297,#238298)); #42739=EDGE_LOOP('',(#238299,#238300,#238301,#238302)); #42740=EDGE_LOOP('',(#238303)); #42741=EDGE_LOOP('',(#238304)); #42742=EDGE_LOOP('',(#238305)); #42743=EDGE_LOOP('',(#238306)); #42744=EDGE_LOOP('',(#238307,#238308,#238309,#238310)); #42745=EDGE_LOOP('',(#238311,#238312,#238313,#238314)); #42746=EDGE_LOOP('',(#238315)); #42747=EDGE_LOOP('',(#238316)); #42748=EDGE_LOOP('',(#238317)); #42749=EDGE_LOOP('',(#238318)); #42750=EDGE_LOOP('',(#238319,#238320,#238321,#238322)); #42751=EDGE_LOOP('',(#238323,#238324,#238325,#238326)); #42752=EDGE_LOOP('',(#238327)); #42753=EDGE_LOOP('',(#238328)); #42754=EDGE_LOOP('',(#238329)); #42755=EDGE_LOOP('',(#238330)); #42756=EDGE_LOOP('',(#238331,#238332,#238333,#238334)); #42757=EDGE_LOOP('',(#238335,#238336,#238337,#238338)); #42758=EDGE_LOOP('',(#238339)); #42759=EDGE_LOOP('',(#238340)); #42760=EDGE_LOOP('',(#238341)); #42761=EDGE_LOOP('',(#238342)); #42762=EDGE_LOOP('',(#238343,#238344,#238345,#238346)); #42763=EDGE_LOOP('',(#238347,#238348,#238349,#238350)); #42764=EDGE_LOOP('',(#238351)); #42765=EDGE_LOOP('',(#238352)); #42766=EDGE_LOOP('',(#238353)); #42767=EDGE_LOOP('',(#238354)); #42768=EDGE_LOOP('',(#238355,#238356,#238357,#238358)); #42769=EDGE_LOOP('',(#238359,#238360,#238361,#238362)); #42770=EDGE_LOOP('',(#238363)); #42771=EDGE_LOOP('',(#238364)); #42772=EDGE_LOOP('',(#238365)); #42773=EDGE_LOOP('',(#238366)); #42774=EDGE_LOOP('',(#238367,#238368,#238369,#238370)); #42775=EDGE_LOOP('',(#238371,#238372,#238373,#238374)); #42776=EDGE_LOOP('',(#238375)); #42777=EDGE_LOOP('',(#238376)); #42778=EDGE_LOOP('',(#238377)); #42779=EDGE_LOOP('',(#238378)); #42780=EDGE_LOOP('',(#238379,#238380,#238381,#238382)); #42781=EDGE_LOOP('',(#238383,#238384,#238385,#238386)); #42782=EDGE_LOOP('',(#238387)); #42783=EDGE_LOOP('',(#238388)); #42784=EDGE_LOOP('',(#238389)); #42785=EDGE_LOOP('',(#238390)); #42786=EDGE_LOOP('',(#238391,#238392,#238393,#238394)); #42787=EDGE_LOOP('',(#238395,#238396,#238397,#238398)); #42788=EDGE_LOOP('',(#238399)); #42789=EDGE_LOOP('',(#238400)); #42790=EDGE_LOOP('',(#238401)); #42791=EDGE_LOOP('',(#238402)); #42792=EDGE_LOOP('',(#238403,#238404,#238405,#238406)); #42793=EDGE_LOOP('',(#238407,#238408,#238409,#238410)); #42794=EDGE_LOOP('',(#238411)); #42795=EDGE_LOOP('',(#238412)); #42796=EDGE_LOOP('',(#238413)); #42797=EDGE_LOOP('',(#238414)); #42798=EDGE_LOOP('',(#238415,#238416,#238417,#238418)); #42799=EDGE_LOOP('',(#238419,#238420,#238421,#238422)); #42800=EDGE_LOOP('',(#238423)); #42801=EDGE_LOOP('',(#238424)); #42802=EDGE_LOOP('',(#238425)); #42803=EDGE_LOOP('',(#238426)); #42804=EDGE_LOOP('',(#238427,#238428,#238429,#238430)); #42805=EDGE_LOOP('',(#238431,#238432,#238433,#238434)); #42806=EDGE_LOOP('',(#238435)); #42807=EDGE_LOOP('',(#238436)); #42808=EDGE_LOOP('',(#238437)); #42809=EDGE_LOOP('',(#238438)); #42810=EDGE_LOOP('',(#238439,#238440,#238441,#238442)); #42811=EDGE_LOOP('',(#238443,#238444,#238445,#238446)); #42812=EDGE_LOOP('',(#238447)); #42813=EDGE_LOOP('',(#238448)); #42814=EDGE_LOOP('',(#238449)); #42815=EDGE_LOOP('',(#238450)); #42816=EDGE_LOOP('',(#238451,#238452,#238453,#238454)); #42817=EDGE_LOOP('',(#238455,#238456,#238457,#238458)); #42818=EDGE_LOOP('',(#238459)); #42819=EDGE_LOOP('',(#238460)); #42820=EDGE_LOOP('',(#238461)); #42821=EDGE_LOOP('',(#238462)); #42822=EDGE_LOOP('',(#238463,#238464,#238465,#238466)); #42823=EDGE_LOOP('',(#238467,#238468,#238469,#238470)); #42824=EDGE_LOOP('',(#238471)); #42825=EDGE_LOOP('',(#238472)); #42826=EDGE_LOOP('',(#238473)); #42827=EDGE_LOOP('',(#238474)); #42828=EDGE_LOOP('',(#238475,#238476,#238477,#238478)); #42829=EDGE_LOOP('',(#238479,#238480,#238481,#238482)); #42830=EDGE_LOOP('',(#238483)); #42831=EDGE_LOOP('',(#238484)); #42832=EDGE_LOOP('',(#238485)); #42833=EDGE_LOOP('',(#238486)); #42834=EDGE_LOOP('',(#238487,#238488,#238489,#238490)); #42835=EDGE_LOOP('',(#238491,#238492,#238493,#238494)); #42836=EDGE_LOOP('',(#238495)); #42837=EDGE_LOOP('',(#238496)); #42838=EDGE_LOOP('',(#238497)); #42839=EDGE_LOOP('',(#238498)); #42840=EDGE_LOOP('',(#238499,#238500,#238501,#238502)); #42841=EDGE_LOOP('',(#238503,#238504,#238505,#238506)); #42842=EDGE_LOOP('',(#238507)); #42843=EDGE_LOOP('',(#238508)); #42844=EDGE_LOOP('',(#238509)); #42845=EDGE_LOOP('',(#238510)); #42846=EDGE_LOOP('',(#238511,#238512,#238513,#238514)); #42847=EDGE_LOOP('',(#238515,#238516,#238517,#238518)); #42848=EDGE_LOOP('',(#238519)); #42849=EDGE_LOOP('',(#238520)); #42850=EDGE_LOOP('',(#238521)); #42851=EDGE_LOOP('',(#238522)); #42852=EDGE_LOOP('',(#238523,#238524,#238525,#238526)); #42853=EDGE_LOOP('',(#238527,#238528,#238529,#238530)); #42854=EDGE_LOOP('',(#238531)); #42855=EDGE_LOOP('',(#238532)); #42856=EDGE_LOOP('',(#238533)); #42857=EDGE_LOOP('',(#238534)); #42858=EDGE_LOOP('',(#238535,#238536,#238537,#238538)); #42859=EDGE_LOOP('',(#238539,#238540,#238541,#238542)); #42860=EDGE_LOOP('',(#238543)); #42861=EDGE_LOOP('',(#238544)); #42862=EDGE_LOOP('',(#238545)); #42863=EDGE_LOOP('',(#238546)); #42864=EDGE_LOOP('',(#238547,#238548,#238549,#238550)); #42865=EDGE_LOOP('',(#238551,#238552,#238553,#238554)); #42866=EDGE_LOOP('',(#238555)); #42867=EDGE_LOOP('',(#238556)); #42868=EDGE_LOOP('',(#238557)); #42869=EDGE_LOOP('',(#238558)); #42870=EDGE_LOOP('',(#238559,#238560,#238561,#238562)); #42871=EDGE_LOOP('',(#238563,#238564,#238565,#238566)); #42872=EDGE_LOOP('',(#238567)); #42873=EDGE_LOOP('',(#238568)); #42874=EDGE_LOOP('',(#238569)); #42875=EDGE_LOOP('',(#238570)); #42876=EDGE_LOOP('',(#238571,#238572,#238573,#238574)); #42877=EDGE_LOOP('',(#238575,#238576,#238577,#238578)); #42878=EDGE_LOOP('',(#238579)); #42879=EDGE_LOOP('',(#238580)); #42880=EDGE_LOOP('',(#238581)); #42881=EDGE_LOOP('',(#238582)); #42882=EDGE_LOOP('',(#238583,#238584,#238585,#238586)); #42883=EDGE_LOOP('',(#238587,#238588,#238589,#238590)); #42884=EDGE_LOOP('',(#238591)); #42885=EDGE_LOOP('',(#238592)); #42886=EDGE_LOOP('',(#238593)); #42887=EDGE_LOOP('',(#238594)); #42888=EDGE_LOOP('',(#238595,#238596,#238597,#238598)); #42889=EDGE_LOOP('',(#238599,#238600,#238601,#238602)); #42890=EDGE_LOOP('',(#238603)); #42891=EDGE_LOOP('',(#238604)); #42892=EDGE_LOOP('',(#238605)); #42893=EDGE_LOOP('',(#238606)); #42894=EDGE_LOOP('',(#238607,#238608,#238609,#238610)); #42895=EDGE_LOOP('',(#238611,#238612,#238613,#238614)); #42896=EDGE_LOOP('',(#238615)); #42897=EDGE_LOOP('',(#238616)); #42898=EDGE_LOOP('',(#238617)); #42899=EDGE_LOOP('',(#238618)); #42900=EDGE_LOOP('',(#238619,#238620,#238621,#238622)); #42901=EDGE_LOOP('',(#238623,#238624,#238625,#238626)); #42902=EDGE_LOOP('',(#238627)); #42903=EDGE_LOOP('',(#238628)); #42904=EDGE_LOOP('',(#238629)); #42905=EDGE_LOOP('',(#238630)); #42906=EDGE_LOOP('',(#238631,#238632,#238633,#238634)); #42907=EDGE_LOOP('',(#238635,#238636,#238637,#238638)); #42908=EDGE_LOOP('',(#238639)); #42909=EDGE_LOOP('',(#238640)); #42910=EDGE_LOOP('',(#238641)); #42911=EDGE_LOOP('',(#238642)); #42912=EDGE_LOOP('',(#238643,#238644,#238645,#238646)); #42913=EDGE_LOOP('',(#238647,#238648,#238649,#238650)); #42914=EDGE_LOOP('',(#238651)); #42915=EDGE_LOOP('',(#238652)); #42916=EDGE_LOOP('',(#238653)); #42917=EDGE_LOOP('',(#238654)); #42918=EDGE_LOOP('',(#238655,#238656,#238657,#238658)); #42919=EDGE_LOOP('',(#238659,#238660,#238661,#238662)); #42920=EDGE_LOOP('',(#238663)); #42921=EDGE_LOOP('',(#238664)); #42922=EDGE_LOOP('',(#238665)); #42923=EDGE_LOOP('',(#238666)); #42924=EDGE_LOOP('',(#238667,#238668,#238669,#238670)); #42925=EDGE_LOOP('',(#238671,#238672,#238673,#238674)); #42926=EDGE_LOOP('',(#238675)); #42927=EDGE_LOOP('',(#238676)); #42928=EDGE_LOOP('',(#238677)); #42929=EDGE_LOOP('',(#238678)); #42930=EDGE_LOOP('',(#238679,#238680,#238681,#238682)); #42931=EDGE_LOOP('',(#238683,#238684,#238685,#238686)); #42932=EDGE_LOOP('',(#238687)); #42933=EDGE_LOOP('',(#238688)); #42934=EDGE_LOOP('',(#238689)); #42935=EDGE_LOOP('',(#238690)); #42936=EDGE_LOOP('',(#238691,#238692,#238693,#238694)); #42937=EDGE_LOOP('',(#238695,#238696,#238697,#238698)); #42938=EDGE_LOOP('',(#238699)); #42939=EDGE_LOOP('',(#238700)); #42940=EDGE_LOOP('',(#238701)); #42941=EDGE_LOOP('',(#238702)); #42942=EDGE_LOOP('',(#238703,#238704,#238705,#238706)); #42943=EDGE_LOOP('',(#238707,#238708,#238709,#238710)); #42944=EDGE_LOOP('',(#238711)); #42945=EDGE_LOOP('',(#238712)); #42946=EDGE_LOOP('',(#238713)); #42947=EDGE_LOOP('',(#238714)); #42948=EDGE_LOOP('',(#238715,#238716,#238717,#238718)); #42949=EDGE_LOOP('',(#238719,#238720,#238721,#238722)); #42950=EDGE_LOOP('',(#238723)); #42951=EDGE_LOOP('',(#238724)); #42952=EDGE_LOOP('',(#238725)); #42953=EDGE_LOOP('',(#238726)); #42954=EDGE_LOOP('',(#238727,#238728,#238729,#238730)); #42955=EDGE_LOOP('',(#238731,#238732,#238733,#238734)); #42956=EDGE_LOOP('',(#238735)); #42957=EDGE_LOOP('',(#238736)); #42958=EDGE_LOOP('',(#238737)); #42959=EDGE_LOOP('',(#238738)); #42960=EDGE_LOOP('',(#238739,#238740,#238741,#238742)); #42961=EDGE_LOOP('',(#238743,#238744,#238745,#238746)); #42962=EDGE_LOOP('',(#238747)); #42963=EDGE_LOOP('',(#238748)); #42964=EDGE_LOOP('',(#238749)); #42965=EDGE_LOOP('',(#238750)); #42966=EDGE_LOOP('',(#238751,#238752,#238753,#238754)); #42967=EDGE_LOOP('',(#238755,#238756,#238757,#238758)); #42968=EDGE_LOOP('',(#238759)); #42969=EDGE_LOOP('',(#238760)); #42970=EDGE_LOOP('',(#238761)); #42971=EDGE_LOOP('',(#238762)); #42972=EDGE_LOOP('',(#238763,#238764,#238765,#238766)); #42973=EDGE_LOOP('',(#238767,#238768,#238769,#238770)); #42974=EDGE_LOOP('',(#238771)); #42975=EDGE_LOOP('',(#238772)); #42976=EDGE_LOOP('',(#238773)); #42977=EDGE_LOOP('',(#238774)); #42978=EDGE_LOOP('',(#238775,#238776,#238777,#238778)); #42979=EDGE_LOOP('',(#238779,#238780,#238781,#238782)); #42980=EDGE_LOOP('',(#238783)); #42981=EDGE_LOOP('',(#238784)); #42982=EDGE_LOOP('',(#238785)); #42983=EDGE_LOOP('',(#238786)); #42984=EDGE_LOOP('',(#238787,#238788,#238789,#238790)); #42985=EDGE_LOOP('',(#238791,#238792,#238793,#238794)); #42986=EDGE_LOOP('',(#238795)); #42987=EDGE_LOOP('',(#238796)); #42988=EDGE_LOOP('',(#238797)); #42989=EDGE_LOOP('',(#238798)); #42990=EDGE_LOOP('',(#238799,#238800,#238801,#238802)); #42991=EDGE_LOOP('',(#238803,#238804,#238805,#238806)); #42992=EDGE_LOOP('',(#238807)); #42993=EDGE_LOOP('',(#238808)); #42994=EDGE_LOOP('',(#238809)); #42995=EDGE_LOOP('',(#238810)); #42996=EDGE_LOOP('',(#238811,#238812,#238813,#238814)); #42997=EDGE_LOOP('',(#238815,#238816,#238817,#238818)); #42998=EDGE_LOOP('',(#238819)); #42999=EDGE_LOOP('',(#238820)); #43000=EDGE_LOOP('',(#238821)); #43001=EDGE_LOOP('',(#238822)); #43002=EDGE_LOOP('',(#238823,#238824,#238825,#238826)); #43003=EDGE_LOOP('',(#238827,#238828,#238829,#238830)); #43004=EDGE_LOOP('',(#238831)); #43005=EDGE_LOOP('',(#238832)); #43006=EDGE_LOOP('',(#238833)); #43007=EDGE_LOOP('',(#238834)); #43008=EDGE_LOOP('',(#238835,#238836,#238837,#238838)); #43009=EDGE_LOOP('',(#238839,#238840,#238841,#238842)); #43010=EDGE_LOOP('',(#238843)); #43011=EDGE_LOOP('',(#238844)); #43012=EDGE_LOOP('',(#238845)); #43013=EDGE_LOOP('',(#238846)); #43014=EDGE_LOOP('',(#238847,#238848,#238849,#238850)); #43015=EDGE_LOOP('',(#238851,#238852,#238853,#238854)); #43016=EDGE_LOOP('',(#238855)); #43017=EDGE_LOOP('',(#238856)); #43018=EDGE_LOOP('',(#238857)); #43019=EDGE_LOOP('',(#238858)); #43020=EDGE_LOOP('',(#238859,#238860,#238861,#238862)); #43021=EDGE_LOOP('',(#238863,#238864,#238865,#238866)); #43022=EDGE_LOOP('',(#238867)); #43023=EDGE_LOOP('',(#238868)); #43024=EDGE_LOOP('',(#238869)); #43025=EDGE_LOOP('',(#238870)); #43026=EDGE_LOOP('',(#238871,#238872,#238873,#238874)); #43027=EDGE_LOOP('',(#238875,#238876,#238877,#238878)); #43028=EDGE_LOOP('',(#238879)); #43029=EDGE_LOOP('',(#238880)); #43030=EDGE_LOOP('',(#238881)); #43031=EDGE_LOOP('',(#238882)); #43032=EDGE_LOOP('',(#238883,#238884,#238885,#238886)); #43033=EDGE_LOOP('',(#238887,#238888,#238889,#238890)); #43034=EDGE_LOOP('',(#238891)); #43035=EDGE_LOOP('',(#238892)); #43036=EDGE_LOOP('',(#238893)); #43037=EDGE_LOOP('',(#238894)); #43038=EDGE_LOOP('',(#238895,#238896,#238897,#238898)); #43039=EDGE_LOOP('',(#238899,#238900,#238901,#238902)); #43040=EDGE_LOOP('',(#238903,#238904,#238905,#238906)); #43041=EDGE_LOOP('',(#238907,#238908,#238909,#238910)); #43042=EDGE_LOOP('',(#238911,#238912,#238913,#238914)); #43043=EDGE_LOOP('',(#238915,#238916,#238917,#238918)); #43044=EDGE_LOOP('',(#238919,#238920,#238921,#238922)); #43045=EDGE_LOOP('',(#238923,#238924,#238925,#238926)); #43046=EDGE_LOOP('',(#238927,#238928,#238929,#238930)); #43047=EDGE_LOOP('',(#238931,#238932,#238933,#238934)); #43048=EDGE_LOOP('',(#238935,#238936,#238937,#238938)); #43049=EDGE_LOOP('',(#238939,#238940,#238941,#238942)); #43050=EDGE_LOOP('',(#238943,#238944,#238945,#238946)); #43051=EDGE_LOOP('',(#238947,#238948,#238949,#238950)); #43052=EDGE_LOOP('',(#238951)); #43053=EDGE_LOOP('',(#238952)); #43054=EDGE_LOOP('',(#238953)); #43055=EDGE_LOOP('',(#238954)); #43056=EDGE_LOOP('',(#238955,#238956,#238957,#238958)); #43057=EDGE_LOOP('',(#238959,#238960,#238961,#238962)); #43058=EDGE_LOOP('',(#238963,#238964,#238965,#238966)); #43059=EDGE_LOOP('',(#238967,#238968,#238969,#238970)); #43060=EDGE_LOOP('',(#238971,#238972,#238973,#238974)); #43061=EDGE_LOOP('',(#238975,#238976,#238977,#238978)); #43062=EDGE_LOOP('',(#238979,#238980,#238981,#238982)); #43063=EDGE_LOOP('',(#238983,#238984,#238985,#238986)); #43064=EDGE_LOOP('',(#238987,#238988,#238989,#238990)); #43065=EDGE_LOOP('',(#238991,#238992,#238993,#238994)); #43066=EDGE_LOOP('',(#238995,#238996,#238997,#238998)); #43067=EDGE_LOOP('',(#238999,#239000,#239001,#239002)); #43068=EDGE_LOOP('',(#239003,#239004,#239005,#239006)); #43069=EDGE_LOOP('',(#239007,#239008,#239009,#239010)); #43070=EDGE_LOOP('',(#239011)); #43071=EDGE_LOOP('',(#239012)); #43072=EDGE_LOOP('',(#239013)); #43073=EDGE_LOOP('',(#239014)); #43074=EDGE_LOOP('',(#239015,#239016,#239017,#239018)); #43075=EDGE_LOOP('',(#239019,#239020,#239021,#239022)); #43076=EDGE_LOOP('',(#239023,#239024,#239025,#239026)); #43077=EDGE_LOOP('',(#239027,#239028,#239029,#239030)); #43078=EDGE_LOOP('',(#239031,#239032,#239033,#239034)); #43079=EDGE_LOOP('',(#239035,#239036,#239037,#239038)); #43080=EDGE_LOOP('',(#239039,#239040,#239041,#239042)); #43081=EDGE_LOOP('',(#239043,#239044,#239045,#239046)); #43082=EDGE_LOOP('',(#239047,#239048,#239049,#239050)); #43083=EDGE_LOOP('',(#239051,#239052,#239053,#239054)); #43084=EDGE_LOOP('',(#239055,#239056,#239057,#239058)); #43085=EDGE_LOOP('',(#239059,#239060,#239061,#239062)); #43086=EDGE_LOOP('',(#239063,#239064,#239065,#239066)); #43087=EDGE_LOOP('',(#239067,#239068,#239069,#239070)); #43088=EDGE_LOOP('',(#239071)); #43089=EDGE_LOOP('',(#239072)); #43090=EDGE_LOOP('',(#239073)); #43091=EDGE_LOOP('',(#239074)); #43092=EDGE_LOOP('',(#239075,#239076,#239077,#239078)); #43093=EDGE_LOOP('',(#239079,#239080,#239081,#239082)); #43094=EDGE_LOOP('',(#239083)); #43095=EDGE_LOOP('',(#239084)); #43096=EDGE_LOOP('',(#239085)); #43097=EDGE_LOOP('',(#239086)); #43098=EDGE_LOOP('',(#239087,#239088,#239089,#239090)); #43099=EDGE_LOOP('',(#239091,#239092,#239093,#239094)); #43100=EDGE_LOOP('',(#239095)); #43101=EDGE_LOOP('',(#239096)); #43102=EDGE_LOOP('',(#239097)); #43103=EDGE_LOOP('',(#239098)); #43104=EDGE_LOOP('',(#239099,#239100,#239101,#239102)); #43105=EDGE_LOOP('',(#239103,#239104,#239105,#239106)); #43106=EDGE_LOOP('',(#239107)); #43107=EDGE_LOOP('',(#239108)); #43108=EDGE_LOOP('',(#239109)); #43109=EDGE_LOOP('',(#239110)); #43110=EDGE_LOOP('',(#239111,#239112,#239113,#239114)); #43111=EDGE_LOOP('',(#239115,#239116,#239117,#239118)); #43112=EDGE_LOOP('',(#239119)); #43113=EDGE_LOOP('',(#239120)); #43114=EDGE_LOOP('',(#239121)); #43115=EDGE_LOOP('',(#239122)); #43116=EDGE_LOOP('',(#239123,#239124,#239125,#239126)); #43117=EDGE_LOOP('',(#239127,#239128,#239129,#239130)); #43118=EDGE_LOOP('',(#239131)); #43119=EDGE_LOOP('',(#239132)); #43120=EDGE_LOOP('',(#239133)); #43121=EDGE_LOOP('',(#239134)); #43122=EDGE_LOOP('',(#239135,#239136,#239137,#239138)); #43123=EDGE_LOOP('',(#239139,#239140,#239141,#239142)); #43124=EDGE_LOOP('',(#239143)); #43125=EDGE_LOOP('',(#239144)); #43126=EDGE_LOOP('',(#239145)); #43127=EDGE_LOOP('',(#239146)); #43128=EDGE_LOOP('',(#239147,#239148,#239149,#239150)); #43129=EDGE_LOOP('',(#239151,#239152,#239153,#239154)); #43130=EDGE_LOOP('',(#239155)); #43131=EDGE_LOOP('',(#239156)); #43132=EDGE_LOOP('',(#239157)); #43133=EDGE_LOOP('',(#239158)); #43134=EDGE_LOOP('',(#239159,#239160,#239161,#239162)); #43135=EDGE_LOOP('',(#239163,#239164,#239165,#239166)); #43136=EDGE_LOOP('',(#239167)); #43137=EDGE_LOOP('',(#239168)); #43138=EDGE_LOOP('',(#239169)); #43139=EDGE_LOOP('',(#239170)); #43140=EDGE_LOOP('',(#239171,#239172,#239173,#239174)); #43141=EDGE_LOOP('',(#239175,#239176,#239177,#239178)); #43142=EDGE_LOOP('',(#239179)); #43143=EDGE_LOOP('',(#239180)); #43144=EDGE_LOOP('',(#239181)); #43145=EDGE_LOOP('',(#239182)); #43146=EDGE_LOOP('',(#239183,#239184,#239185,#239186)); #43147=EDGE_LOOP('',(#239187,#239188,#239189,#239190)); #43148=EDGE_LOOP('',(#239191,#239192,#239193,#239194)); #43149=EDGE_LOOP('',(#239195,#239196,#239197,#239198)); #43150=EDGE_LOOP('',(#239199,#239200,#239201,#239202)); #43151=EDGE_LOOP('',(#239203,#239204,#239205,#239206)); #43152=EDGE_LOOP('',(#239207,#239208,#239209,#239210)); #43153=EDGE_LOOP('',(#239211,#239212,#239213,#239214)); #43154=EDGE_LOOP('',(#239215,#239216,#239217,#239218)); #43155=EDGE_LOOP('',(#239219,#239220,#239221,#239222)); #43156=EDGE_LOOP('',(#239223,#239224,#239225,#239226)); #43157=EDGE_LOOP('',(#239227,#239228,#239229,#239230)); #43158=EDGE_LOOP('',(#239231,#239232,#239233,#239234)); #43159=EDGE_LOOP('',(#239235,#239236,#239237,#239238)); #43160=EDGE_LOOP('',(#239239)); #43161=EDGE_LOOP('',(#239240)); #43162=EDGE_LOOP('',(#239241)); #43163=EDGE_LOOP('',(#239242)); #43164=EDGE_LOOP('',(#239243,#239244,#239245,#239246)); #43165=EDGE_LOOP('',(#239247,#239248,#239249,#239250)); #43166=EDGE_LOOP('',(#239251)); #43167=EDGE_LOOP('',(#239252)); #43168=EDGE_LOOP('',(#239253)); #43169=EDGE_LOOP('',(#239254)); #43170=EDGE_LOOP('',(#239255,#239256,#239257,#239258)); #43171=EDGE_LOOP('',(#239259,#239260,#239261,#239262)); #43172=EDGE_LOOP('',(#239263)); #43173=EDGE_LOOP('',(#239264)); #43174=EDGE_LOOP('',(#239265)); #43175=EDGE_LOOP('',(#239266)); #43176=EDGE_LOOP('',(#239267,#239268,#239269,#239270)); #43177=EDGE_LOOP('',(#239271,#239272,#239273,#239274)); #43178=EDGE_LOOP('',(#239275,#239276,#239277,#239278)); #43179=EDGE_LOOP('',(#239279,#239280,#239281,#239282)); #43180=EDGE_LOOP('',(#239283,#239284,#239285,#239286)); #43181=EDGE_LOOP('',(#239287,#239288,#239289,#239290)); #43182=EDGE_LOOP('',(#239291,#239292,#239293,#239294)); #43183=EDGE_LOOP('',(#239295,#239296,#239297,#239298)); #43184=EDGE_LOOP('',(#239299,#239300,#239301,#239302)); #43185=EDGE_LOOP('',(#239303,#239304,#239305,#239306)); #43186=EDGE_LOOP('',(#239307,#239308,#239309,#239310)); #43187=EDGE_LOOP('',(#239311,#239312,#239313,#239314)); #43188=EDGE_LOOP('',(#239315,#239316,#239317,#239318)); #43189=EDGE_LOOP('',(#239319,#239320,#239321,#239322)); #43190=EDGE_LOOP('',(#239323)); #43191=EDGE_LOOP('',(#239324)); #43192=EDGE_LOOP('',(#239325)); #43193=EDGE_LOOP('',(#239326)); #43194=EDGE_LOOP('',(#239327,#239328,#239329,#239330)); #43195=EDGE_LOOP('',(#239331,#239332,#239333,#239334)); #43196=EDGE_LOOP('',(#239335,#239336,#239337,#239338)); #43197=EDGE_LOOP('',(#239339,#239340,#239341,#239342)); #43198=EDGE_LOOP('',(#239343,#239344,#239345,#239346)); #43199=EDGE_LOOP('',(#239347,#239348,#239349,#239350)); #43200=EDGE_LOOP('',(#239351,#239352,#239353,#239354)); #43201=EDGE_LOOP('',(#239355,#239356,#239357,#239358)); #43202=EDGE_LOOP('',(#239359,#239360,#239361,#239362)); #43203=EDGE_LOOP('',(#239363,#239364,#239365,#239366)); #43204=EDGE_LOOP('',(#239367,#239368,#239369,#239370)); #43205=EDGE_LOOP('',(#239371,#239372,#239373,#239374)); #43206=EDGE_LOOP('',(#239375,#239376,#239377,#239378)); #43207=EDGE_LOOP('',(#239379,#239380,#239381,#239382)); #43208=EDGE_LOOP('',(#239383)); #43209=EDGE_LOOP('',(#239384)); #43210=EDGE_LOOP('',(#239385)); #43211=EDGE_LOOP('',(#239386)); #43212=EDGE_LOOP('',(#239387,#239388,#239389,#239390)); #43213=EDGE_LOOP('',(#239391,#239392,#239393,#239394)); #43214=EDGE_LOOP('',(#239395)); #43215=EDGE_LOOP('',(#239396)); #43216=EDGE_LOOP('',(#239397)); #43217=EDGE_LOOP('',(#239398)); #43218=EDGE_LOOP('',(#239399,#239400,#239401,#239402)); #43219=EDGE_LOOP('',(#239403,#239404,#239405,#239406)); #43220=EDGE_LOOP('',(#239407)); #43221=EDGE_LOOP('',(#239408)); #43222=EDGE_LOOP('',(#239409)); #43223=EDGE_LOOP('',(#239410)); #43224=EDGE_LOOP('',(#239411,#239412,#239413,#239414)); #43225=EDGE_LOOP('',(#239415,#239416,#239417,#239418)); #43226=EDGE_LOOP('',(#239419)); #43227=EDGE_LOOP('',(#239420)); #43228=EDGE_LOOP('',(#239421)); #43229=EDGE_LOOP('',(#239422)); #43230=EDGE_LOOP('',(#239423,#239424,#239425,#239426)); #43231=EDGE_LOOP('',(#239427,#239428,#239429,#239430)); #43232=EDGE_LOOP('',(#239431)); #43233=EDGE_LOOP('',(#239432)); #43234=EDGE_LOOP('',(#239433)); #43235=EDGE_LOOP('',(#239434)); #43236=EDGE_LOOP('',(#239435,#239436,#239437,#239438)); #43237=EDGE_LOOP('',(#239439,#239440,#239441,#239442)); #43238=EDGE_LOOP('',(#239443)); #43239=EDGE_LOOP('',(#239444)); #43240=EDGE_LOOP('',(#239445)); #43241=EDGE_LOOP('',(#239446)); #43242=EDGE_LOOP('',(#239447,#239448,#239449,#239450)); #43243=EDGE_LOOP('',(#239451,#239452,#239453,#239454)); #43244=EDGE_LOOP('',(#239455)); #43245=EDGE_LOOP('',(#239456)); #43246=EDGE_LOOP('',(#239457)); #43247=EDGE_LOOP('',(#239458)); #43248=EDGE_LOOP('',(#239459,#239460,#239461,#239462)); #43249=EDGE_LOOP('',(#239463,#239464,#239465,#239466)); #43250=EDGE_LOOP('',(#239467)); #43251=EDGE_LOOP('',(#239468)); #43252=EDGE_LOOP('',(#239469)); #43253=EDGE_LOOP('',(#239470)); #43254=EDGE_LOOP('',(#239471,#239472,#239473,#239474)); #43255=EDGE_LOOP('',(#239475,#239476,#239477,#239478)); #43256=EDGE_LOOP('',(#239479)); #43257=EDGE_LOOP('',(#239480)); #43258=EDGE_LOOP('',(#239481)); #43259=EDGE_LOOP('',(#239482)); #43260=EDGE_LOOP('',(#239483,#239484,#239485,#239486)); #43261=EDGE_LOOP('',(#239487,#239488,#239489,#239490)); #43262=EDGE_LOOP('',(#239491)); #43263=EDGE_LOOP('',(#239492)); #43264=EDGE_LOOP('',(#239493)); #43265=EDGE_LOOP('',(#239494)); #43266=EDGE_LOOP('',(#239495,#239496,#239497,#239498)); #43267=EDGE_LOOP('',(#239499,#239500,#239501,#239502)); #43268=EDGE_LOOP('',(#239503)); #43269=EDGE_LOOP('',(#239504)); #43270=EDGE_LOOP('',(#239505)); #43271=EDGE_LOOP('',(#239506)); #43272=EDGE_LOOP('',(#239507,#239508,#239509,#239510)); #43273=EDGE_LOOP('',(#239511,#239512,#239513,#239514)); #43274=EDGE_LOOP('',(#239515)); #43275=EDGE_LOOP('',(#239516)); #43276=EDGE_LOOP('',(#239517)); #43277=EDGE_LOOP('',(#239518)); #43278=EDGE_LOOP('',(#239519,#239520,#239521,#239522)); #43279=EDGE_LOOP('',(#239523,#239524,#239525,#239526)); #43280=EDGE_LOOP('',(#239527)); #43281=EDGE_LOOP('',(#239528)); #43282=EDGE_LOOP('',(#239529)); #43283=EDGE_LOOP('',(#239530)); #43284=EDGE_LOOP('',(#239531,#239532,#239533,#239534)); #43285=EDGE_LOOP('',(#239535,#239536,#239537,#239538)); #43286=EDGE_LOOP('',(#239539)); #43287=EDGE_LOOP('',(#239540)); #43288=EDGE_LOOP('',(#239541)); #43289=EDGE_LOOP('',(#239542)); #43290=EDGE_LOOP('',(#239543,#239544,#239545,#239546)); #43291=EDGE_LOOP('',(#239547,#239548,#239549,#239550)); #43292=EDGE_LOOP('',(#239551)); #43293=EDGE_LOOP('',(#239552)); #43294=EDGE_LOOP('',(#239553)); #43295=EDGE_LOOP('',(#239554)); #43296=EDGE_LOOP('',(#239555,#239556,#239557,#239558)); #43297=EDGE_LOOP('',(#239559,#239560,#239561,#239562)); #43298=EDGE_LOOP('',(#239563)); #43299=EDGE_LOOP('',(#239564)); #43300=EDGE_LOOP('',(#239565)); #43301=EDGE_LOOP('',(#239566)); #43302=EDGE_LOOP('',(#239567,#239568,#239569,#239570)); #43303=EDGE_LOOP('',(#239571,#239572,#239573,#239574)); #43304=EDGE_LOOP('',(#239575)); #43305=EDGE_LOOP('',(#239576)); #43306=EDGE_LOOP('',(#239577)); #43307=EDGE_LOOP('',(#239578)); #43308=EDGE_LOOP('',(#239579,#239580,#239581,#239582)); #43309=EDGE_LOOP('',(#239583,#239584,#239585,#239586)); #43310=EDGE_LOOP('',(#239587)); #43311=EDGE_LOOP('',(#239588)); #43312=EDGE_LOOP('',(#239589)); #43313=EDGE_LOOP('',(#239590)); #43314=EDGE_LOOP('',(#239591,#239592,#239593,#239594)); #43315=EDGE_LOOP('',(#239595,#239596,#239597,#239598)); #43316=EDGE_LOOP('',(#239599)); #43317=EDGE_LOOP('',(#239600)); #43318=EDGE_LOOP('',(#239601)); #43319=EDGE_LOOP('',(#239602)); #43320=EDGE_LOOP('',(#239603,#239604,#239605,#239606)); #43321=EDGE_LOOP('',(#239607,#239608,#239609,#239610)); #43322=EDGE_LOOP('',(#239611)); #43323=EDGE_LOOP('',(#239612)); #43324=EDGE_LOOP('',(#239613)); #43325=EDGE_LOOP('',(#239614)); #43326=EDGE_LOOP('',(#239615,#239616,#239617,#239618)); #43327=EDGE_LOOP('',(#239619,#239620,#239621,#239622)); #43328=EDGE_LOOP('',(#239623)); #43329=EDGE_LOOP('',(#239624)); #43330=EDGE_LOOP('',(#239625)); #43331=EDGE_LOOP('',(#239626)); #43332=EDGE_LOOP('',(#239627,#239628,#239629,#239630)); #43333=EDGE_LOOP('',(#239631,#239632,#239633,#239634)); #43334=EDGE_LOOP('',(#239635)); #43335=EDGE_LOOP('',(#239636)); #43336=EDGE_LOOP('',(#239637)); #43337=EDGE_LOOP('',(#239638)); #43338=EDGE_LOOP('',(#239639,#239640,#239641,#239642)); #43339=EDGE_LOOP('',(#239643,#239644,#239645,#239646)); #43340=EDGE_LOOP('',(#239647)); #43341=EDGE_LOOP('',(#239648)); #43342=EDGE_LOOP('',(#239649)); #43343=EDGE_LOOP('',(#239650)); #43344=EDGE_LOOP('',(#239651,#239652,#239653,#239654)); #43345=EDGE_LOOP('',(#239655,#239656,#239657,#239658)); #43346=EDGE_LOOP('',(#239659)); #43347=EDGE_LOOP('',(#239660)); #43348=EDGE_LOOP('',(#239661)); #43349=EDGE_LOOP('',(#239662)); #43350=EDGE_LOOP('',(#239663,#239664,#239665,#239666)); #43351=EDGE_LOOP('',(#239667,#239668,#239669,#239670)); #43352=EDGE_LOOP('',(#239671)); #43353=EDGE_LOOP('',(#239672)); #43354=EDGE_LOOP('',(#239673)); #43355=EDGE_LOOP('',(#239674)); #43356=EDGE_LOOP('',(#239675,#239676,#239677,#239678)); #43357=EDGE_LOOP('',(#239679,#239680,#239681,#239682)); #43358=EDGE_LOOP('',(#239683)); #43359=EDGE_LOOP('',(#239684)); #43360=EDGE_LOOP('',(#239685)); #43361=EDGE_LOOP('',(#239686)); #43362=EDGE_LOOP('',(#239687,#239688,#239689,#239690)); #43363=EDGE_LOOP('',(#239691,#239692,#239693,#239694)); #43364=EDGE_LOOP('',(#239695)); #43365=EDGE_LOOP('',(#239696)); #43366=EDGE_LOOP('',(#239697)); #43367=EDGE_LOOP('',(#239698)); #43368=EDGE_LOOP('',(#239699,#239700,#239701,#239702)); #43369=EDGE_LOOP('',(#239703,#239704,#239705,#239706)); #43370=EDGE_LOOP('',(#239707)); #43371=EDGE_LOOP('',(#239708)); #43372=EDGE_LOOP('',(#239709)); #43373=EDGE_LOOP('',(#239710)); #43374=EDGE_LOOP('',(#239711,#239712,#239713,#239714)); #43375=EDGE_LOOP('',(#239715,#239716,#239717,#239718)); #43376=EDGE_LOOP('',(#239719)); #43377=EDGE_LOOP('',(#239720)); #43378=EDGE_LOOP('',(#239721)); #43379=EDGE_LOOP('',(#239722)); #43380=EDGE_LOOP('',(#239723,#239724,#239725,#239726)); #43381=EDGE_LOOP('',(#239727,#239728,#239729,#239730)); #43382=EDGE_LOOP('',(#239731)); #43383=EDGE_LOOP('',(#239732)); #43384=EDGE_LOOP('',(#239733)); #43385=EDGE_LOOP('',(#239734)); #43386=EDGE_LOOP('',(#239735,#239736,#239737,#239738)); #43387=EDGE_LOOP('',(#239739,#239740,#239741,#239742)); #43388=EDGE_LOOP('',(#239743)); #43389=EDGE_LOOP('',(#239744)); #43390=EDGE_LOOP('',(#239745)); #43391=EDGE_LOOP('',(#239746)); #43392=EDGE_LOOP('',(#239747,#239748,#239749,#239750)); #43393=EDGE_LOOP('',(#239751,#239752,#239753,#239754)); #43394=EDGE_LOOP('',(#239755)); #43395=EDGE_LOOP('',(#239756)); #43396=EDGE_LOOP('',(#239757)); #43397=EDGE_LOOP('',(#239758)); #43398=EDGE_LOOP('',(#239759,#239760,#239761,#239762)); #43399=EDGE_LOOP('',(#239763,#239764,#239765,#239766)); #43400=EDGE_LOOP('',(#239767)); #43401=EDGE_LOOP('',(#239768)); #43402=EDGE_LOOP('',(#239769)); #43403=EDGE_LOOP('',(#239770)); #43404=EDGE_LOOP('',(#239771,#239772,#239773,#239774)); #43405=EDGE_LOOP('',(#239775,#239776,#239777,#239778)); #43406=EDGE_LOOP('',(#239779)); #43407=EDGE_LOOP('',(#239780)); #43408=EDGE_LOOP('',(#239781)); #43409=EDGE_LOOP('',(#239782)); #43410=EDGE_LOOP('',(#239783,#239784,#239785,#239786)); #43411=EDGE_LOOP('',(#239787,#239788,#239789,#239790)); #43412=EDGE_LOOP('',(#239791)); #43413=EDGE_LOOP('',(#239792)); #43414=EDGE_LOOP('',(#239793)); #43415=EDGE_LOOP('',(#239794)); #43416=EDGE_LOOP('',(#239795,#239796,#239797,#239798)); #43417=EDGE_LOOP('',(#239799,#239800,#239801,#239802)); #43418=EDGE_LOOP('',(#239803)); #43419=EDGE_LOOP('',(#239804)); #43420=EDGE_LOOP('',(#239805)); #43421=EDGE_LOOP('',(#239806)); #43422=EDGE_LOOP('',(#239807,#239808,#239809,#239810)); #43423=EDGE_LOOP('',(#239811,#239812,#239813,#239814)); #43424=EDGE_LOOP('',(#239815)); #43425=EDGE_LOOP('',(#239816)); #43426=EDGE_LOOP('',(#239817)); #43427=EDGE_LOOP('',(#239818)); #43428=EDGE_LOOP('',(#239819,#239820,#239821,#239822)); #43429=EDGE_LOOP('',(#239823,#239824,#239825,#239826)); #43430=EDGE_LOOP('',(#239827)); #43431=EDGE_LOOP('',(#239828)); #43432=EDGE_LOOP('',(#239829)); #43433=EDGE_LOOP('',(#239830)); #43434=EDGE_LOOP('',(#239831,#239832,#239833,#239834)); #43435=EDGE_LOOP('',(#239835,#239836,#239837,#239838)); #43436=EDGE_LOOP('',(#239839)); #43437=EDGE_LOOP('',(#239840)); #43438=EDGE_LOOP('',(#239841)); #43439=EDGE_LOOP('',(#239842)); #43440=EDGE_LOOP('',(#239843,#239844,#239845,#239846)); #43441=EDGE_LOOP('',(#239847,#239848,#239849,#239850)); #43442=EDGE_LOOP('',(#239851)); #43443=EDGE_LOOP('',(#239852)); #43444=EDGE_LOOP('',(#239853)); #43445=EDGE_LOOP('',(#239854)); #43446=EDGE_LOOP('',(#239855,#239856,#239857,#239858)); #43447=EDGE_LOOP('',(#239859,#239860,#239861,#239862)); #43448=EDGE_LOOP('',(#239863)); #43449=EDGE_LOOP('',(#239864)); #43450=EDGE_LOOP('',(#239865)); #43451=EDGE_LOOP('',(#239866)); #43452=EDGE_LOOP('',(#239867,#239868,#239869,#239870)); #43453=EDGE_LOOP('',(#239871,#239872,#239873,#239874)); #43454=EDGE_LOOP('',(#239875)); #43455=EDGE_LOOP('',(#239876)); #43456=EDGE_LOOP('',(#239877)); #43457=EDGE_LOOP('',(#239878)); #43458=EDGE_LOOP('',(#239879,#239880,#239881,#239882)); #43459=EDGE_LOOP('',(#239883,#239884,#239885,#239886)); #43460=EDGE_LOOP('',(#239887)); #43461=EDGE_LOOP('',(#239888)); #43462=EDGE_LOOP('',(#239889)); #43463=EDGE_LOOP('',(#239890)); #43464=EDGE_LOOP('',(#239891,#239892,#239893,#239894)); #43465=EDGE_LOOP('',(#239895,#239896,#239897,#239898)); #43466=EDGE_LOOP('',(#239899)); #43467=EDGE_LOOP('',(#239900)); #43468=EDGE_LOOP('',(#239901)); #43469=EDGE_LOOP('',(#239902)); #43470=EDGE_LOOP('',(#239903,#239904,#239905,#239906)); #43471=EDGE_LOOP('',(#239907,#239908,#239909,#239910)); #43472=EDGE_LOOP('',(#239911)); #43473=EDGE_LOOP('',(#239912)); #43474=EDGE_LOOP('',(#239913)); #43475=EDGE_LOOP('',(#239914)); #43476=EDGE_LOOP('',(#239915,#239916,#239917,#239918)); #43477=EDGE_LOOP('',(#239919,#239920,#239921,#239922)); #43478=EDGE_LOOP('',(#239923)); #43479=EDGE_LOOP('',(#239924)); #43480=EDGE_LOOP('',(#239925)); #43481=EDGE_LOOP('',(#239926)); #43482=EDGE_LOOP('',(#239927,#239928,#239929,#239930)); #43483=EDGE_LOOP('',(#239931,#239932,#239933,#239934)); #43484=EDGE_LOOP('',(#239935)); #43485=EDGE_LOOP('',(#239936)); #43486=EDGE_LOOP('',(#239937)); #43487=EDGE_LOOP('',(#239938)); #43488=EDGE_LOOP('',(#239939,#239940,#239941,#239942)); #43489=EDGE_LOOP('',(#239943,#239944,#239945,#239946)); #43490=EDGE_LOOP('',(#239947)); #43491=EDGE_LOOP('',(#239948)); #43492=EDGE_LOOP('',(#239949)); #43493=EDGE_LOOP('',(#239950)); #43494=EDGE_LOOP('',(#239951,#239952,#239953,#239954)); #43495=EDGE_LOOP('',(#239955,#239956,#239957,#239958)); #43496=EDGE_LOOP('',(#239959)); #43497=EDGE_LOOP('',(#239960)); #43498=EDGE_LOOP('',(#239961)); #43499=EDGE_LOOP('',(#239962)); #43500=EDGE_LOOP('',(#239963,#239964,#239965,#239966)); #43501=EDGE_LOOP('',(#239967,#239968,#239969,#239970)); #43502=EDGE_LOOP('',(#239971)); #43503=EDGE_LOOP('',(#239972)); #43504=EDGE_LOOP('',(#239973)); #43505=EDGE_LOOP('',(#239974)); #43506=EDGE_LOOP('',(#239975,#239976,#239977,#239978)); #43507=EDGE_LOOP('',(#239979,#239980,#239981,#239982)); #43508=EDGE_LOOP('',(#239983)); #43509=EDGE_LOOP('',(#239984)); #43510=EDGE_LOOP('',(#239985)); #43511=EDGE_LOOP('',(#239986)); #43512=EDGE_LOOP('',(#239987,#239988,#239989,#239990)); #43513=EDGE_LOOP('',(#239991,#239992,#239993,#239994)); #43514=EDGE_LOOP('',(#239995)); #43515=EDGE_LOOP('',(#239996)); #43516=EDGE_LOOP('',(#239997)); #43517=EDGE_LOOP('',(#239998)); #43518=EDGE_LOOP('',(#239999,#240000,#240001,#240002)); #43519=EDGE_LOOP('',(#240003,#240004,#240005,#240006)); #43520=EDGE_LOOP('',(#240007)); #43521=EDGE_LOOP('',(#240008)); #43522=EDGE_LOOP('',(#240009)); #43523=EDGE_LOOP('',(#240010)); #43524=EDGE_LOOP('',(#240011,#240012,#240013,#240014)); #43525=EDGE_LOOP('',(#240015,#240016,#240017,#240018)); #43526=EDGE_LOOP('',(#240019)); #43527=EDGE_LOOP('',(#240020)); #43528=EDGE_LOOP('',(#240021)); #43529=EDGE_LOOP('',(#240022)); #43530=EDGE_LOOP('',(#240023,#240024,#240025,#240026)); #43531=EDGE_LOOP('',(#240027,#240028,#240029,#240030)); #43532=EDGE_LOOP('',(#240031)); #43533=EDGE_LOOP('',(#240032)); #43534=EDGE_LOOP('',(#240033)); #43535=EDGE_LOOP('',(#240034)); #43536=EDGE_LOOP('',(#240035,#240036,#240037,#240038)); #43537=EDGE_LOOP('',(#240039,#240040,#240041,#240042)); #43538=EDGE_LOOP('',(#240043)); #43539=EDGE_LOOP('',(#240044)); #43540=EDGE_LOOP('',(#240045)); #43541=EDGE_LOOP('',(#240046)); #43542=EDGE_LOOP('',(#240047,#240048,#240049,#240050)); #43543=EDGE_LOOP('',(#240051,#240052,#240053,#240054)); #43544=EDGE_LOOP('',(#240055)); #43545=EDGE_LOOP('',(#240056)); #43546=EDGE_LOOP('',(#240057)); #43547=EDGE_LOOP('',(#240058)); #43548=EDGE_LOOP('',(#240059,#240060,#240061,#240062)); #43549=EDGE_LOOP('',(#240063,#240064,#240065,#240066)); #43550=EDGE_LOOP('',(#240067)); #43551=EDGE_LOOP('',(#240068)); #43552=EDGE_LOOP('',(#240069)); #43553=EDGE_LOOP('',(#240070)); #43554=EDGE_LOOP('',(#240071,#240072,#240073,#240074)); #43555=EDGE_LOOP('',(#240075,#240076,#240077,#240078)); #43556=EDGE_LOOP('',(#240079)); #43557=EDGE_LOOP('',(#240080)); #43558=EDGE_LOOP('',(#240081)); #43559=EDGE_LOOP('',(#240082)); #43560=EDGE_LOOP('',(#240083,#240084,#240085,#240086)); #43561=EDGE_LOOP('',(#240087,#240088,#240089,#240090)); #43562=EDGE_LOOP('',(#240091)); #43563=EDGE_LOOP('',(#240092)); #43564=EDGE_LOOP('',(#240093)); #43565=EDGE_LOOP('',(#240094)); #43566=EDGE_LOOP('',(#240095,#240096,#240097,#240098)); #43567=EDGE_LOOP('',(#240099,#240100,#240101,#240102)); #43568=EDGE_LOOP('',(#240103)); #43569=EDGE_LOOP('',(#240104)); #43570=EDGE_LOOP('',(#240105)); #43571=EDGE_LOOP('',(#240106)); #43572=EDGE_LOOP('',(#240107,#240108,#240109,#240110)); #43573=EDGE_LOOP('',(#240111,#240112,#240113,#240114)); #43574=EDGE_LOOP('',(#240115)); #43575=EDGE_LOOP('',(#240116)); #43576=EDGE_LOOP('',(#240117)); #43577=EDGE_LOOP('',(#240118)); #43578=EDGE_LOOP('',(#240119,#240120,#240121,#240122)); #43579=EDGE_LOOP('',(#240123,#240124,#240125,#240126)); #43580=EDGE_LOOP('',(#240127)); #43581=EDGE_LOOP('',(#240128)); #43582=EDGE_LOOP('',(#240129)); #43583=EDGE_LOOP('',(#240130)); #43584=EDGE_LOOP('',(#240131,#240132,#240133,#240134)); #43585=EDGE_LOOP('',(#240135,#240136,#240137,#240138)); #43586=EDGE_LOOP('',(#240139)); #43587=EDGE_LOOP('',(#240140)); #43588=EDGE_LOOP('',(#240141)); #43589=EDGE_LOOP('',(#240142)); #43590=EDGE_LOOP('',(#240143,#240144,#240145,#240146)); #43591=EDGE_LOOP('',(#240147,#240148,#240149,#240150)); #43592=EDGE_LOOP('',(#240151)); #43593=EDGE_LOOP('',(#240152)); #43594=EDGE_LOOP('',(#240153)); #43595=EDGE_LOOP('',(#240154)); #43596=EDGE_LOOP('',(#240155,#240156,#240157,#240158)); #43597=EDGE_LOOP('',(#240159,#240160,#240161,#240162)); #43598=EDGE_LOOP('',(#240163)); #43599=EDGE_LOOP('',(#240164)); #43600=EDGE_LOOP('',(#240165)); #43601=EDGE_LOOP('',(#240166)); #43602=EDGE_LOOP('',(#240167,#240168,#240169,#240170)); #43603=EDGE_LOOP('',(#240171,#240172,#240173,#240174)); #43604=EDGE_LOOP('',(#240175)); #43605=EDGE_LOOP('',(#240176)); #43606=EDGE_LOOP('',(#240177)); #43607=EDGE_LOOP('',(#240178)); #43608=EDGE_LOOP('',(#240179,#240180,#240181,#240182)); #43609=EDGE_LOOP('',(#240183,#240184,#240185,#240186)); #43610=EDGE_LOOP('',(#240187)); #43611=EDGE_LOOP('',(#240188)); #43612=EDGE_LOOP('',(#240189)); #43613=EDGE_LOOP('',(#240190)); #43614=EDGE_LOOP('',(#240191,#240192,#240193,#240194)); #43615=EDGE_LOOP('',(#240195,#240196,#240197,#240198)); #43616=EDGE_LOOP('',(#240199)); #43617=EDGE_LOOP('',(#240200)); #43618=EDGE_LOOP('',(#240201)); #43619=EDGE_LOOP('',(#240202)); #43620=EDGE_LOOP('',(#240203,#240204,#240205,#240206)); #43621=EDGE_LOOP('',(#240207,#240208,#240209,#240210)); #43622=EDGE_LOOP('',(#240211)); #43623=EDGE_LOOP('',(#240212)); #43624=EDGE_LOOP('',(#240213)); #43625=EDGE_LOOP('',(#240214)); #43626=EDGE_LOOP('',(#240215,#240216,#240217,#240218)); #43627=EDGE_LOOP('',(#240219,#240220,#240221,#240222)); #43628=EDGE_LOOP('',(#240223)); #43629=EDGE_LOOP('',(#240224)); #43630=EDGE_LOOP('',(#240225)); #43631=EDGE_LOOP('',(#240226)); #43632=EDGE_LOOP('',(#240227,#240228,#240229,#240230)); #43633=EDGE_LOOP('',(#240231,#240232,#240233,#240234)); #43634=EDGE_LOOP('',(#240235)); #43635=EDGE_LOOP('',(#240236)); #43636=EDGE_LOOP('',(#240237)); #43637=EDGE_LOOP('',(#240238)); #43638=EDGE_LOOP('',(#240239,#240240,#240241,#240242)); #43639=EDGE_LOOP('',(#240243,#240244,#240245,#240246)); #43640=EDGE_LOOP('',(#240247)); #43641=EDGE_LOOP('',(#240248)); #43642=EDGE_LOOP('',(#240249)); #43643=EDGE_LOOP('',(#240250)); #43644=EDGE_LOOP('',(#240251,#240252,#240253,#240254)); #43645=EDGE_LOOP('',(#240255,#240256,#240257,#240258)); #43646=EDGE_LOOP('',(#240259)); #43647=EDGE_LOOP('',(#240260)); #43648=EDGE_LOOP('',(#240261)); #43649=EDGE_LOOP('',(#240262)); #43650=EDGE_LOOP('',(#240263,#240264,#240265,#240266)); #43651=EDGE_LOOP('',(#240267,#240268,#240269,#240270)); #43652=EDGE_LOOP('',(#240271)); #43653=EDGE_LOOP('',(#240272)); #43654=EDGE_LOOP('',(#240273)); #43655=EDGE_LOOP('',(#240274)); #43656=EDGE_LOOP('',(#240275,#240276,#240277,#240278)); #43657=EDGE_LOOP('',(#240279,#240280,#240281,#240282)); #43658=EDGE_LOOP('',(#240283)); #43659=EDGE_LOOP('',(#240284)); #43660=EDGE_LOOP('',(#240285)); #43661=EDGE_LOOP('',(#240286)); #43662=EDGE_LOOP('',(#240287,#240288,#240289,#240290)); #43663=EDGE_LOOP('',(#240291,#240292,#240293,#240294)); #43664=EDGE_LOOP('',(#240295)); #43665=EDGE_LOOP('',(#240296)); #43666=EDGE_LOOP('',(#240297)); #43667=EDGE_LOOP('',(#240298)); #43668=EDGE_LOOP('',(#240299,#240300,#240301,#240302)); #43669=EDGE_LOOP('',(#240303,#240304,#240305,#240306)); #43670=EDGE_LOOP('',(#240307)); #43671=EDGE_LOOP('',(#240308)); #43672=EDGE_LOOP('',(#240309)); #43673=EDGE_LOOP('',(#240310)); #43674=EDGE_LOOP('',(#240311,#240312,#240313,#240314)); #43675=EDGE_LOOP('',(#240315,#240316,#240317,#240318)); #43676=EDGE_LOOP('',(#240319)); #43677=EDGE_LOOP('',(#240320)); #43678=EDGE_LOOP('',(#240321)); #43679=EDGE_LOOP('',(#240322)); #43680=EDGE_LOOP('',(#240323,#240324,#240325,#240326)); #43681=EDGE_LOOP('',(#240327,#240328,#240329,#240330)); #43682=EDGE_LOOP('',(#240331)); #43683=EDGE_LOOP('',(#240332)); #43684=EDGE_LOOP('',(#240333)); #43685=EDGE_LOOP('',(#240334)); #43686=EDGE_LOOP('',(#240335,#240336,#240337,#240338)); #43687=EDGE_LOOP('',(#240339,#240340,#240341,#240342)); #43688=EDGE_LOOP('',(#240343)); #43689=EDGE_LOOP('',(#240344)); #43690=EDGE_LOOP('',(#240345)); #43691=EDGE_LOOP('',(#240346)); #43692=EDGE_LOOP('',(#240347,#240348,#240349,#240350)); #43693=EDGE_LOOP('',(#240351,#240352,#240353,#240354)); #43694=EDGE_LOOP('',(#240355)); #43695=EDGE_LOOP('',(#240356)); #43696=EDGE_LOOP('',(#240357)); #43697=EDGE_LOOP('',(#240358)); #43698=EDGE_LOOP('',(#240359,#240360,#240361,#240362)); #43699=EDGE_LOOP('',(#240363,#240364,#240365,#240366)); #43700=EDGE_LOOP('',(#240367)); #43701=EDGE_LOOP('',(#240368)); #43702=EDGE_LOOP('',(#240369)); #43703=EDGE_LOOP('',(#240370)); #43704=EDGE_LOOP('',(#240371,#240372,#240373,#240374)); #43705=EDGE_LOOP('',(#240375,#240376,#240377,#240378)); #43706=EDGE_LOOP('',(#240379)); #43707=EDGE_LOOP('',(#240380)); #43708=EDGE_LOOP('',(#240381)); #43709=EDGE_LOOP('',(#240382)); #43710=EDGE_LOOP('',(#240383,#240384,#240385,#240386)); #43711=EDGE_LOOP('',(#240387,#240388,#240389,#240390)); #43712=EDGE_LOOP('',(#240391)); #43713=EDGE_LOOP('',(#240392)); #43714=EDGE_LOOP('',(#240393)); #43715=EDGE_LOOP('',(#240394)); #43716=EDGE_LOOP('',(#240395,#240396,#240397,#240398)); #43717=EDGE_LOOP('',(#240399,#240400,#240401,#240402)); #43718=EDGE_LOOP('',(#240403)); #43719=EDGE_LOOP('',(#240404)); #43720=EDGE_LOOP('',(#240405)); #43721=EDGE_LOOP('',(#240406)); #43722=EDGE_LOOP('',(#240407,#240408,#240409,#240410)); #43723=EDGE_LOOP('',(#240411,#240412,#240413,#240414)); #43724=EDGE_LOOP('',(#240415)); #43725=EDGE_LOOP('',(#240416)); #43726=EDGE_LOOP('',(#240417)); #43727=EDGE_LOOP('',(#240418)); #43728=EDGE_LOOP('',(#240419,#240420,#240421,#240422)); #43729=EDGE_LOOP('',(#240423,#240424,#240425,#240426)); #43730=EDGE_LOOP('',(#240427)); #43731=EDGE_LOOP('',(#240428)); #43732=EDGE_LOOP('',(#240429)); #43733=EDGE_LOOP('',(#240430)); #43734=EDGE_LOOP('',(#240431,#240432,#240433,#240434)); #43735=EDGE_LOOP('',(#240435,#240436,#240437,#240438)); #43736=EDGE_LOOP('',(#240439)); #43737=EDGE_LOOP('',(#240440)); #43738=EDGE_LOOP('',(#240441)); #43739=EDGE_LOOP('',(#240442)); #43740=EDGE_LOOP('',(#240443,#240444,#240445,#240446)); #43741=EDGE_LOOP('',(#240447,#240448,#240449,#240450)); #43742=EDGE_LOOP('',(#240451)); #43743=EDGE_LOOP('',(#240452)); #43744=EDGE_LOOP('',(#240453)); #43745=EDGE_LOOP('',(#240454)); #43746=EDGE_LOOP('',(#240455,#240456,#240457,#240458)); #43747=EDGE_LOOP('',(#240459,#240460,#240461,#240462)); #43748=EDGE_LOOP('',(#240463)); #43749=EDGE_LOOP('',(#240464)); #43750=EDGE_LOOP('',(#240465)); #43751=EDGE_LOOP('',(#240466)); #43752=EDGE_LOOP('',(#240467,#240468,#240469,#240470)); #43753=EDGE_LOOP('',(#240471,#240472,#240473,#240474)); #43754=EDGE_LOOP('',(#240475)); #43755=EDGE_LOOP('',(#240476)); #43756=EDGE_LOOP('',(#240477)); #43757=EDGE_LOOP('',(#240478)); #43758=EDGE_LOOP('',(#240479,#240480,#240481,#240482)); #43759=EDGE_LOOP('',(#240483,#240484,#240485,#240486)); #43760=EDGE_LOOP('',(#240487)); #43761=EDGE_LOOP('',(#240488)); #43762=EDGE_LOOP('',(#240489)); #43763=EDGE_LOOP('',(#240490)); #43764=EDGE_LOOP('',(#240491,#240492,#240493,#240494)); #43765=EDGE_LOOP('',(#240495,#240496,#240497,#240498)); #43766=EDGE_LOOP('',(#240499)); #43767=EDGE_LOOP('',(#240500)); #43768=EDGE_LOOP('',(#240501)); #43769=EDGE_LOOP('',(#240502)); #43770=EDGE_LOOP('',(#240503,#240504,#240505,#240506)); #43771=EDGE_LOOP('',(#240507,#240508,#240509,#240510)); #43772=EDGE_LOOP('',(#240511)); #43773=EDGE_LOOP('',(#240512)); #43774=EDGE_LOOP('',(#240513)); #43775=EDGE_LOOP('',(#240514)); #43776=EDGE_LOOP('',(#240515,#240516,#240517,#240518)); #43777=EDGE_LOOP('',(#240519,#240520,#240521,#240522)); #43778=EDGE_LOOP('',(#240523)); #43779=EDGE_LOOP('',(#240524)); #43780=EDGE_LOOP('',(#240525)); #43781=EDGE_LOOP('',(#240526)); #43782=EDGE_LOOP('',(#240527,#240528,#240529,#240530)); #43783=EDGE_LOOP('',(#240531,#240532,#240533,#240534)); #43784=EDGE_LOOP('',(#240535)); #43785=EDGE_LOOP('',(#240536)); #43786=EDGE_LOOP('',(#240537)); #43787=EDGE_LOOP('',(#240538)); #43788=EDGE_LOOP('',(#240539,#240540,#240541,#240542)); #43789=EDGE_LOOP('',(#240543,#240544,#240545,#240546)); #43790=EDGE_LOOP('',(#240547)); #43791=EDGE_LOOP('',(#240548)); #43792=EDGE_LOOP('',(#240549)); #43793=EDGE_LOOP('',(#240550)); #43794=EDGE_LOOP('',(#240551,#240552,#240553,#240554)); #43795=EDGE_LOOP('',(#240555,#240556,#240557,#240558)); #43796=EDGE_LOOP('',(#240559)); #43797=EDGE_LOOP('',(#240560)); #43798=EDGE_LOOP('',(#240561)); #43799=EDGE_LOOP('',(#240562)); #43800=EDGE_LOOP('',(#240563,#240564,#240565,#240566)); #43801=EDGE_LOOP('',(#240567,#240568,#240569,#240570)); #43802=EDGE_LOOP('',(#240571)); #43803=EDGE_LOOP('',(#240572)); #43804=EDGE_LOOP('',(#240573)); #43805=EDGE_LOOP('',(#240574)); #43806=EDGE_LOOP('',(#240575,#240576,#240577,#240578)); #43807=EDGE_LOOP('',(#240579,#240580,#240581,#240582)); #43808=EDGE_LOOP('',(#240583)); #43809=EDGE_LOOP('',(#240584)); #43810=EDGE_LOOP('',(#240585)); #43811=EDGE_LOOP('',(#240586)); #43812=EDGE_LOOP('',(#240587,#240588,#240589,#240590)); #43813=EDGE_LOOP('',(#240591,#240592,#240593,#240594)); #43814=EDGE_LOOP('',(#240595)); #43815=EDGE_LOOP('',(#240596)); #43816=EDGE_LOOP('',(#240597)); #43817=EDGE_LOOP('',(#240598)); #43818=EDGE_LOOP('',(#240599,#240600,#240601,#240602)); #43819=EDGE_LOOP('',(#240603,#240604,#240605,#240606)); #43820=EDGE_LOOP('',(#240607)); #43821=EDGE_LOOP('',(#240608)); #43822=EDGE_LOOP('',(#240609)); #43823=EDGE_LOOP('',(#240610)); #43824=EDGE_LOOP('',(#240611,#240612,#240613,#240614)); #43825=EDGE_LOOP('',(#240615,#240616,#240617,#240618)); #43826=EDGE_LOOP('',(#240619)); #43827=EDGE_LOOP('',(#240620)); #43828=EDGE_LOOP('',(#240621)); #43829=EDGE_LOOP('',(#240622)); #43830=EDGE_LOOP('',(#240623,#240624,#240625,#240626)); #43831=EDGE_LOOP('',(#240627,#240628,#240629,#240630)); #43832=EDGE_LOOP('',(#240631)); #43833=EDGE_LOOP('',(#240632)); #43834=EDGE_LOOP('',(#240633)); #43835=EDGE_LOOP('',(#240634)); #43836=EDGE_LOOP('',(#240635,#240636,#240637,#240638)); #43837=EDGE_LOOP('',(#240639,#240640,#240641,#240642)); #43838=EDGE_LOOP('',(#240643)); #43839=EDGE_LOOP('',(#240644)); #43840=EDGE_LOOP('',(#240645)); #43841=EDGE_LOOP('',(#240646)); #43842=EDGE_LOOP('',(#240647,#240648,#240649,#240650)); #43843=EDGE_LOOP('',(#240651,#240652,#240653,#240654)); #43844=EDGE_LOOP('',(#240655)); #43845=EDGE_LOOP('',(#240656)); #43846=EDGE_LOOP('',(#240657)); #43847=EDGE_LOOP('',(#240658)); #43848=EDGE_LOOP('',(#240659,#240660,#240661,#240662)); #43849=EDGE_LOOP('',(#240663,#240664,#240665,#240666)); #43850=EDGE_LOOP('',(#240667)); #43851=EDGE_LOOP('',(#240668)); #43852=EDGE_LOOP('',(#240669)); #43853=EDGE_LOOP('',(#240670)); #43854=EDGE_LOOP('',(#240671,#240672,#240673,#240674)); #43855=EDGE_LOOP('',(#240675,#240676,#240677,#240678)); #43856=EDGE_LOOP('',(#240679)); #43857=EDGE_LOOP('',(#240680)); #43858=EDGE_LOOP('',(#240681)); #43859=EDGE_LOOP('',(#240682)); #43860=EDGE_LOOP('',(#240683,#240684,#240685,#240686)); #43861=EDGE_LOOP('',(#240687,#240688,#240689,#240690)); #43862=EDGE_LOOP('',(#240691)); #43863=EDGE_LOOP('',(#240692)); #43864=EDGE_LOOP('',(#240693)); #43865=EDGE_LOOP('',(#240694)); #43866=EDGE_LOOP('',(#240695,#240696,#240697,#240698)); #43867=EDGE_LOOP('',(#240699,#240700,#240701,#240702)); #43868=EDGE_LOOP('',(#240703)); #43869=EDGE_LOOP('',(#240704)); #43870=EDGE_LOOP('',(#240705)); #43871=EDGE_LOOP('',(#240706)); #43872=EDGE_LOOP('',(#240707,#240708,#240709,#240710)); #43873=EDGE_LOOP('',(#240711,#240712,#240713,#240714)); #43874=EDGE_LOOP('',(#240715)); #43875=EDGE_LOOP('',(#240716)); #43876=EDGE_LOOP('',(#240717)); #43877=EDGE_LOOP('',(#240718)); #43878=EDGE_LOOP('',(#240719,#240720,#240721,#240722)); #43879=EDGE_LOOP('',(#240723,#240724,#240725,#240726)); #43880=EDGE_LOOP('',(#240727)); #43881=EDGE_LOOP('',(#240728)); #43882=EDGE_LOOP('',(#240729)); #43883=EDGE_LOOP('',(#240730)); #43884=EDGE_LOOP('',(#240731,#240732,#240733,#240734)); #43885=EDGE_LOOP('',(#240735,#240736,#240737,#240738)); #43886=EDGE_LOOP('',(#240739)); #43887=EDGE_LOOP('',(#240740)); #43888=EDGE_LOOP('',(#240741)); #43889=EDGE_LOOP('',(#240742)); #43890=EDGE_LOOP('',(#240743,#240744,#240745,#240746)); #43891=EDGE_LOOP('',(#240747,#240748,#240749,#240750)); #43892=EDGE_LOOP('',(#240751)); #43893=EDGE_LOOP('',(#240752)); #43894=EDGE_LOOP('',(#240753)); #43895=EDGE_LOOP('',(#240754)); #43896=EDGE_LOOP('',(#240755,#240756,#240757,#240758)); #43897=EDGE_LOOP('',(#240759,#240760,#240761,#240762)); #43898=EDGE_LOOP('',(#240763)); #43899=EDGE_LOOP('',(#240764)); #43900=EDGE_LOOP('',(#240765)); #43901=EDGE_LOOP('',(#240766)); #43902=EDGE_LOOP('',(#240767,#240768,#240769,#240770)); #43903=EDGE_LOOP('',(#240771,#240772,#240773,#240774)); #43904=EDGE_LOOP('',(#240775)); #43905=EDGE_LOOP('',(#240776)); #43906=EDGE_LOOP('',(#240777)); #43907=EDGE_LOOP('',(#240778)); #43908=EDGE_LOOP('',(#240779,#240780,#240781,#240782)); #43909=EDGE_LOOP('',(#240783,#240784,#240785,#240786)); #43910=EDGE_LOOP('',(#240787)); #43911=EDGE_LOOP('',(#240788)); #43912=EDGE_LOOP('',(#240789)); #43913=EDGE_LOOP('',(#240790)); #43914=EDGE_LOOP('',(#240791,#240792,#240793,#240794)); #43915=EDGE_LOOP('',(#240795,#240796,#240797,#240798)); #43916=EDGE_LOOP('',(#240799)); #43917=EDGE_LOOP('',(#240800)); #43918=EDGE_LOOP('',(#240801)); #43919=EDGE_LOOP('',(#240802)); #43920=EDGE_LOOP('',(#240803,#240804,#240805,#240806)); #43921=EDGE_LOOP('',(#240807,#240808,#240809,#240810)); #43922=EDGE_LOOP('',(#240811)); #43923=EDGE_LOOP('',(#240812)); #43924=EDGE_LOOP('',(#240813)); #43925=EDGE_LOOP('',(#240814)); #43926=EDGE_LOOP('',(#240815,#240816,#240817,#240818)); #43927=EDGE_LOOP('',(#240819,#240820,#240821,#240822)); #43928=EDGE_LOOP('',(#240823)); #43929=EDGE_LOOP('',(#240824)); #43930=EDGE_LOOP('',(#240825)); #43931=EDGE_LOOP('',(#240826)); #43932=EDGE_LOOP('',(#240827,#240828,#240829,#240830)); #43933=EDGE_LOOP('',(#240831,#240832,#240833,#240834)); #43934=EDGE_LOOP('',(#240835)); #43935=EDGE_LOOP('',(#240836)); #43936=EDGE_LOOP('',(#240837)); #43937=EDGE_LOOP('',(#240838)); #43938=EDGE_LOOP('',(#240839,#240840,#240841,#240842)); #43939=EDGE_LOOP('',(#240843,#240844,#240845,#240846)); #43940=EDGE_LOOP('',(#240847)); #43941=EDGE_LOOP('',(#240848)); #43942=EDGE_LOOP('',(#240849)); #43943=EDGE_LOOP('',(#240850)); #43944=EDGE_LOOP('',(#240851,#240852,#240853,#240854)); #43945=EDGE_LOOP('',(#240855,#240856,#240857,#240858)); #43946=EDGE_LOOP('',(#240859)); #43947=EDGE_LOOP('',(#240860)); #43948=EDGE_LOOP('',(#240861)); #43949=EDGE_LOOP('',(#240862)); #43950=EDGE_LOOP('',(#240863,#240864,#240865,#240866)); #43951=EDGE_LOOP('',(#240867,#240868,#240869,#240870)); #43952=EDGE_LOOP('',(#240871)); #43953=EDGE_LOOP('',(#240872)); #43954=EDGE_LOOP('',(#240873)); #43955=EDGE_LOOP('',(#240874)); #43956=EDGE_LOOP('',(#240875,#240876,#240877,#240878)); #43957=EDGE_LOOP('',(#240879,#240880,#240881,#240882)); #43958=EDGE_LOOP('',(#240883)); #43959=EDGE_LOOP('',(#240884)); #43960=EDGE_LOOP('',(#240885)); #43961=EDGE_LOOP('',(#240886)); #43962=EDGE_LOOP('',(#240887,#240888,#240889,#240890)); #43963=EDGE_LOOP('',(#240891,#240892,#240893,#240894)); #43964=EDGE_LOOP('',(#240895)); #43965=EDGE_LOOP('',(#240896)); #43966=EDGE_LOOP('',(#240897)); #43967=EDGE_LOOP('',(#240898)); #43968=EDGE_LOOP('',(#240899,#240900,#240901,#240902)); #43969=EDGE_LOOP('',(#240903,#240904,#240905,#240906)); #43970=EDGE_LOOP('',(#240907)); #43971=EDGE_LOOP('',(#240908)); #43972=EDGE_LOOP('',(#240909)); #43973=EDGE_LOOP('',(#240910)); #43974=EDGE_LOOP('',(#240911,#240912,#240913,#240914)); #43975=EDGE_LOOP('',(#240915,#240916,#240917,#240918)); #43976=EDGE_LOOP('',(#240919)); #43977=EDGE_LOOP('',(#240920)); #43978=EDGE_LOOP('',(#240921)); #43979=EDGE_LOOP('',(#240922)); #43980=EDGE_LOOP('',(#240923,#240924,#240925,#240926)); #43981=EDGE_LOOP('',(#240927,#240928,#240929,#240930)); #43982=EDGE_LOOP('',(#240931)); #43983=EDGE_LOOP('',(#240932)); #43984=EDGE_LOOP('',(#240933)); #43985=EDGE_LOOP('',(#240934)); #43986=EDGE_LOOP('',(#240935,#240936,#240937,#240938)); #43987=EDGE_LOOP('',(#240939,#240940,#240941,#240942)); #43988=EDGE_LOOP('',(#240943)); #43989=EDGE_LOOP('',(#240944)); #43990=EDGE_LOOP('',(#240945)); #43991=EDGE_LOOP('',(#240946)); #43992=EDGE_LOOP('',(#240947,#240948,#240949,#240950)); #43993=EDGE_LOOP('',(#240951,#240952,#240953,#240954)); #43994=EDGE_LOOP('',(#240955)); #43995=EDGE_LOOP('',(#240956)); #43996=EDGE_LOOP('',(#240957)); #43997=EDGE_LOOP('',(#240958)); #43998=EDGE_LOOP('',(#240959,#240960,#240961,#240962)); #43999=EDGE_LOOP('',(#240963,#240964,#240965,#240966)); #44000=EDGE_LOOP('',(#240967)); #44001=EDGE_LOOP('',(#240968)); #44002=EDGE_LOOP('',(#240969)); #44003=EDGE_LOOP('',(#240970)); #44004=EDGE_LOOP('',(#240971,#240972,#240973,#240974)); #44005=EDGE_LOOP('',(#240975,#240976,#240977,#240978)); #44006=EDGE_LOOP('',(#240979)); #44007=EDGE_LOOP('',(#240980)); #44008=EDGE_LOOP('',(#240981)); #44009=EDGE_LOOP('',(#240982)); #44010=EDGE_LOOP('',(#240983,#240984,#240985,#240986)); #44011=EDGE_LOOP('',(#240987,#240988,#240989,#240990)); #44012=EDGE_LOOP('',(#240991)); #44013=EDGE_LOOP('',(#240992)); #44014=EDGE_LOOP('',(#240993)); #44015=EDGE_LOOP('',(#240994)); #44016=EDGE_LOOP('',(#240995,#240996,#240997,#240998)); #44017=EDGE_LOOP('',(#240999,#241000,#241001,#241002)); #44018=EDGE_LOOP('',(#241003)); #44019=EDGE_LOOP('',(#241004)); #44020=EDGE_LOOP('',(#241005)); #44021=EDGE_LOOP('',(#241006)); #44022=EDGE_LOOP('',(#241007,#241008,#241009,#241010)); #44023=EDGE_LOOP('',(#241011,#241012,#241013,#241014)); #44024=EDGE_LOOP('',(#241015)); #44025=EDGE_LOOP('',(#241016)); #44026=EDGE_LOOP('',(#241017)); #44027=EDGE_LOOP('',(#241018)); #44028=EDGE_LOOP('',(#241019,#241020,#241021,#241022)); #44029=EDGE_LOOP('',(#241023,#241024,#241025,#241026)); #44030=EDGE_LOOP('',(#241027)); #44031=EDGE_LOOP('',(#241028)); #44032=EDGE_LOOP('',(#241029)); #44033=EDGE_LOOP('',(#241030)); #44034=EDGE_LOOP('',(#241031,#241032,#241033,#241034)); #44035=EDGE_LOOP('',(#241035,#241036,#241037,#241038)); #44036=EDGE_LOOP('',(#241039)); #44037=EDGE_LOOP('',(#241040)); #44038=EDGE_LOOP('',(#241041)); #44039=EDGE_LOOP('',(#241042)); #44040=EDGE_LOOP('',(#241043,#241044,#241045,#241046)); #44041=EDGE_LOOP('',(#241047,#241048,#241049,#241050)); #44042=EDGE_LOOP('',(#241051)); #44043=EDGE_LOOP('',(#241052)); #44044=EDGE_LOOP('',(#241053)); #44045=EDGE_LOOP('',(#241054)); #44046=EDGE_LOOP('',(#241055,#241056,#241057,#241058)); #44047=EDGE_LOOP('',(#241059,#241060,#241061,#241062)); #44048=EDGE_LOOP('',(#241063)); #44049=EDGE_LOOP('',(#241064)); #44050=EDGE_LOOP('',(#241065)); #44051=EDGE_LOOP('',(#241066)); #44052=EDGE_LOOP('',(#241067,#241068,#241069,#241070)); #44053=EDGE_LOOP('',(#241071,#241072,#241073,#241074)); #44054=EDGE_LOOP('',(#241075)); #44055=EDGE_LOOP('',(#241076)); #44056=EDGE_LOOP('',(#241077)); #44057=EDGE_LOOP('',(#241078)); #44058=EDGE_LOOP('',(#241079,#241080,#241081,#241082)); #44059=EDGE_LOOP('',(#241083,#241084,#241085,#241086)); #44060=EDGE_LOOP('',(#241087)); #44061=EDGE_LOOP('',(#241088)); #44062=EDGE_LOOP('',(#241089)); #44063=EDGE_LOOP('',(#241090)); #44064=EDGE_LOOP('',(#241091,#241092,#241093,#241094)); #44065=EDGE_LOOP('',(#241095,#241096,#241097,#241098)); #44066=EDGE_LOOP('',(#241099)); #44067=EDGE_LOOP('',(#241100)); #44068=EDGE_LOOP('',(#241101)); #44069=EDGE_LOOP('',(#241102)); #44070=EDGE_LOOP('',(#241103,#241104,#241105,#241106)); #44071=EDGE_LOOP('',(#241107,#241108,#241109,#241110)); #44072=EDGE_LOOP('',(#241111)); #44073=EDGE_LOOP('',(#241112)); #44074=EDGE_LOOP('',(#241113)); #44075=EDGE_LOOP('',(#241114)); #44076=EDGE_LOOP('',(#241115,#241116,#241117,#241118)); #44077=EDGE_LOOP('',(#241119,#241120,#241121,#241122)); #44078=EDGE_LOOP('',(#241123)); #44079=EDGE_LOOP('',(#241124)); #44080=EDGE_LOOP('',(#241125)); #44081=EDGE_LOOP('',(#241126)); #44082=EDGE_LOOP('',(#241127,#241128,#241129,#241130)); #44083=EDGE_LOOP('',(#241131,#241132,#241133,#241134)); #44084=EDGE_LOOP('',(#241135)); #44085=EDGE_LOOP('',(#241136)); #44086=EDGE_LOOP('',(#241137)); #44087=EDGE_LOOP('',(#241138)); #44088=EDGE_LOOP('',(#241139,#241140,#241141,#241142)); #44089=EDGE_LOOP('',(#241143,#241144,#241145,#241146)); #44090=EDGE_LOOP('',(#241147)); #44091=EDGE_LOOP('',(#241148)); #44092=EDGE_LOOP('',(#241149)); #44093=EDGE_LOOP('',(#241150)); #44094=EDGE_LOOP('',(#241151,#241152,#241153,#241154)); #44095=EDGE_LOOP('',(#241155,#241156,#241157,#241158)); #44096=EDGE_LOOP('',(#241159)); #44097=EDGE_LOOP('',(#241160)); #44098=EDGE_LOOP('',(#241161)); #44099=EDGE_LOOP('',(#241162)); #44100=EDGE_LOOP('',(#241163,#241164,#241165,#241166)); #44101=EDGE_LOOP('',(#241167,#241168,#241169,#241170)); #44102=EDGE_LOOP('',(#241171)); #44103=EDGE_LOOP('',(#241172)); #44104=EDGE_LOOP('',(#241173)); #44105=EDGE_LOOP('',(#241174)); #44106=EDGE_LOOP('',(#241175,#241176,#241177,#241178)); #44107=EDGE_LOOP('',(#241179,#241180,#241181,#241182)); #44108=EDGE_LOOP('',(#241183)); #44109=EDGE_LOOP('',(#241184)); #44110=EDGE_LOOP('',(#241185)); #44111=EDGE_LOOP('',(#241186)); #44112=EDGE_LOOP('',(#241187,#241188,#241189,#241190)); #44113=EDGE_LOOP('',(#241191,#241192,#241193,#241194)); #44114=EDGE_LOOP('',(#241195)); #44115=EDGE_LOOP('',(#241196)); #44116=EDGE_LOOP('',(#241197)); #44117=EDGE_LOOP('',(#241198)); #44118=EDGE_LOOP('',(#241199,#241200,#241201,#241202)); #44119=EDGE_LOOP('',(#241203,#241204,#241205,#241206)); #44120=EDGE_LOOP('',(#241207)); #44121=EDGE_LOOP('',(#241208)); #44122=EDGE_LOOP('',(#241209)); #44123=EDGE_LOOP('',(#241210)); #44124=EDGE_LOOP('',(#241211,#241212,#241213,#241214)); #44125=EDGE_LOOP('',(#241215,#241216,#241217,#241218)); #44126=EDGE_LOOP('',(#241219)); #44127=EDGE_LOOP('',(#241220)); #44128=EDGE_LOOP('',(#241221)); #44129=EDGE_LOOP('',(#241222)); #44130=EDGE_LOOP('',(#241223,#241224,#241225,#241226)); #44131=EDGE_LOOP('',(#241227,#241228,#241229,#241230)); #44132=EDGE_LOOP('',(#241231)); #44133=EDGE_LOOP('',(#241232)); #44134=EDGE_LOOP('',(#241233)); #44135=EDGE_LOOP('',(#241234)); #44136=EDGE_LOOP('',(#241235,#241236,#241237,#241238)); #44137=EDGE_LOOP('',(#241239,#241240,#241241,#241242)); #44138=EDGE_LOOP('',(#241243)); #44139=EDGE_LOOP('',(#241244)); #44140=EDGE_LOOP('',(#241245)); #44141=EDGE_LOOP('',(#241246)); #44142=EDGE_LOOP('',(#241247,#241248,#241249,#241250)); #44143=EDGE_LOOP('',(#241251,#241252,#241253,#241254)); #44144=EDGE_LOOP('',(#241255)); #44145=EDGE_LOOP('',(#241256)); #44146=EDGE_LOOP('',(#241257)); #44147=EDGE_LOOP('',(#241258)); #44148=EDGE_LOOP('',(#241259,#241260,#241261,#241262)); #44149=EDGE_LOOP('',(#241263,#241264,#241265,#241266)); #44150=EDGE_LOOP('',(#241267)); #44151=EDGE_LOOP('',(#241268)); #44152=EDGE_LOOP('',(#241269)); #44153=EDGE_LOOP('',(#241270)); #44154=EDGE_LOOP('',(#241271,#241272,#241273,#241274)); #44155=EDGE_LOOP('',(#241275,#241276,#241277,#241278)); #44156=EDGE_LOOP('',(#241279)); #44157=EDGE_LOOP('',(#241280)); #44158=EDGE_LOOP('',(#241281)); #44159=EDGE_LOOP('',(#241282)); #44160=EDGE_LOOP('',(#241283,#241284,#241285,#241286)); #44161=EDGE_LOOP('',(#241287,#241288,#241289,#241290)); #44162=EDGE_LOOP('',(#241291)); #44163=EDGE_LOOP('',(#241292)); #44164=EDGE_LOOP('',(#241293)); #44165=EDGE_LOOP('',(#241294)); #44166=EDGE_LOOP('',(#241295,#241296,#241297,#241298)); #44167=EDGE_LOOP('',(#241299,#241300,#241301,#241302)); #44168=EDGE_LOOP('',(#241303)); #44169=EDGE_LOOP('',(#241304)); #44170=EDGE_LOOP('',(#241305)); #44171=EDGE_LOOP('',(#241306)); #44172=EDGE_LOOP('',(#241307,#241308,#241309,#241310)); #44173=EDGE_LOOP('',(#241311,#241312,#241313,#241314)); #44174=EDGE_LOOP('',(#241315,#241316,#241317,#241318)); #44175=EDGE_LOOP('',(#241319,#241320,#241321,#241322)); #44176=EDGE_LOOP('',(#241323,#241324,#241325)); #44177=EDGE_LOOP('',(#241326)); #44178=EDGE_LOOP('',(#241327,#241328,#241329)); #44179=EDGE_LOOP('',(#241330)); #44180=EDGE_LOOP('',(#241331,#241332,#241333,#241334)); #44181=EDGE_LOOP('',(#241335,#241336,#241337,#241338)); #44182=EDGE_LOOP('',(#241339,#241340,#241341,#241342)); #44183=EDGE_LOOP('',(#241343,#241344,#241345,#241346)); #44184=EDGE_LOOP('',(#241347,#241348,#241349,#241350)); #44185=EDGE_LOOP('',(#241351,#241352,#241353,#241354)); #44186=EDGE_LOOP('',(#241355,#241356,#241357,#241358)); #44187=EDGE_LOOP('',(#241359,#241360,#241361,#241362)); #44188=EDGE_LOOP('',(#241363,#241364,#241365,#241366)); #44189=EDGE_LOOP('',(#241367,#241368,#241369,#241370)); #44190=EDGE_LOOP('',(#241371,#241372,#241373,#241374)); #44191=EDGE_LOOP('',(#241375,#241376,#241377,#241378)); #44192=EDGE_LOOP('',(#241379,#241380,#241381,#241382)); #44193=EDGE_LOOP('',(#241383,#241384,#241385,#241386)); #44194=EDGE_LOOP('',(#241387,#241388,#241389,#241390)); #44195=EDGE_LOOP('',(#241391,#241392,#241393,#241394)); #44196=EDGE_LOOP('',(#241395,#241396,#241397,#241398)); #44197=EDGE_LOOP('',(#241399,#241400,#241401,#241402)); #44198=EDGE_LOOP('',(#241403,#241404,#241405,#241406)); #44199=EDGE_LOOP('',(#241407,#241408,#241409,#241410)); #44200=EDGE_LOOP('',(#241411,#241412,#241413,#241414)); #44201=EDGE_LOOP('',(#241415,#241416,#241417,#241418)); #44202=EDGE_LOOP('',(#241419,#241420,#241421,#241422)); #44203=EDGE_LOOP('',(#241423,#241424,#241425,#241426)); #44204=EDGE_LOOP('',(#241427,#241428,#241429,#241430)); #44205=EDGE_LOOP('',(#241431,#241432,#241433,#241434)); #44206=EDGE_LOOP('',(#241435,#241436,#241437,#241438)); #44207=EDGE_LOOP('',(#241439,#241440,#241441,#241442)); #44208=EDGE_LOOP('',(#241443,#241444,#241445,#241446)); #44209=EDGE_LOOP('',(#241447,#241448,#241449,#241450)); #44210=EDGE_LOOP('',(#241451,#241452,#241453,#241454)); #44211=EDGE_LOOP('',(#241455,#241456,#241457,#241458)); #44212=EDGE_LOOP('',(#241459,#241460,#241461,#241462)); #44213=EDGE_LOOP('',(#241463,#241464,#241465,#241466)); #44214=EDGE_LOOP('',(#241467,#241468,#241469,#241470)); #44215=EDGE_LOOP('',(#241471,#241472,#241473,#241474)); #44216=EDGE_LOOP('',(#241475,#241476,#241477,#241478)); #44217=EDGE_LOOP('',(#241479,#241480,#241481,#241482)); #44218=EDGE_LOOP('',(#241483,#241484,#241485,#241486)); #44219=EDGE_LOOP('',(#241487,#241488,#241489,#241490)); #44220=EDGE_LOOP('',(#241491,#241492,#241493,#241494)); #44221=EDGE_LOOP('',(#241495,#241496,#241497,#241498)); #44222=EDGE_LOOP('',(#241499,#241500,#241501,#241502)); #44223=EDGE_LOOP('',(#241503,#241504,#241505,#241506)); #44224=EDGE_LOOP('',(#241507,#241508,#241509,#241510)); #44225=EDGE_LOOP('',(#241511,#241512,#241513,#241514)); #44226=EDGE_LOOP('',(#241515,#241516,#241517,#241518)); #44227=EDGE_LOOP('',(#241519,#241520,#241521,#241522)); #44228=EDGE_LOOP('',(#241523,#241524,#241525,#241526)); #44229=EDGE_LOOP('',(#241527,#241528,#241529,#241530)); #44230=EDGE_LOOP('',(#241531,#241532,#241533,#241534)); #44231=EDGE_LOOP('',(#241535,#241536,#241537,#241538)); #44232=EDGE_LOOP('',(#241539,#241540,#241541,#241542)); #44233=EDGE_LOOP('',(#241543,#241544,#241545,#241546)); #44234=EDGE_LOOP('',(#241547,#241548,#241549,#241550)); #44235=EDGE_LOOP('',(#241551,#241552,#241553,#241554)); #44236=EDGE_LOOP('',(#241555,#241556,#241557,#241558)); #44237=EDGE_LOOP('',(#241559,#241560,#241561,#241562)); #44238=EDGE_LOOP('',(#241563,#241564,#241565,#241566)); #44239=EDGE_LOOP('',(#241567,#241568,#241569,#241570)); #44240=EDGE_LOOP('',(#241571,#241572,#241573,#241574)); #44241=EDGE_LOOP('',(#241575,#241576,#241577,#241578)); #44242=EDGE_LOOP('',(#241579,#241580,#241581,#241582)); #44243=EDGE_LOOP('',(#241583,#241584,#241585,#241586)); #44244=EDGE_LOOP('',(#241587,#241588,#241589)); #44245=EDGE_LOOP('',(#241590)); #44246=EDGE_LOOP('',(#241591,#241592,#241593)); #44247=EDGE_LOOP('',(#241594)); #44248=EDGE_LOOP('',(#241595,#241596,#241597,#241598)); #44249=EDGE_LOOP('',(#241599,#241600,#241601,#241602)); #44250=EDGE_LOOP('',(#241603,#241604,#241605,#241606)); #44251=EDGE_LOOP('',(#241607,#241608,#241609,#241610)); #44252=EDGE_LOOP('',(#241611,#241612,#241613,#241614)); #44253=EDGE_LOOP('',(#241615,#241616,#241617,#241618)); #44254=EDGE_LOOP('',(#241619,#241620,#241621,#241622)); #44255=EDGE_LOOP('',(#241623,#241624,#241625,#241626)); #44256=EDGE_LOOP('',(#241627,#241628,#241629,#241630)); #44257=EDGE_LOOP('',(#241631,#241632,#241633,#241634)); #44258=EDGE_LOOP('',(#241635,#241636,#241637,#241638,#241639,#241640,#241641, #241642)); #44259=EDGE_LOOP('',(#241643)); #44260=EDGE_LOOP('',(#241644)); #44261=EDGE_LOOP('',(#241645,#241646,#241647,#241648,#241649,#241650,#241651, #241652)); #44262=EDGE_LOOP('',(#241653)); #44263=EDGE_LOOP('',(#241654)); #44264=EDGE_LOOP('',(#241655,#241656,#241657,#241658)); #44265=EDGE_LOOP('',(#241659,#241660,#241661,#241662)); #44266=EDGE_LOOP('',(#241663,#241664,#241665,#241666)); #44267=EDGE_LOOP('',(#241667,#241668,#241669,#241670)); #44268=EDGE_LOOP('',(#241671,#241672,#241673)); #44269=EDGE_LOOP('',(#241674)); #44270=EDGE_LOOP('',(#241675,#241676,#241677)); #44271=EDGE_LOOP('',(#241678)); #44272=EDGE_LOOP('',(#241679,#241680,#241681,#241682)); #44273=EDGE_LOOP('',(#241683,#241684,#241685,#241686)); #44274=EDGE_LOOP('',(#241687,#241688,#241689,#241690)); #44275=EDGE_LOOP('',(#241691,#241692,#241693,#241694)); #44276=EDGE_LOOP('',(#241695,#241696,#241697)); #44277=EDGE_LOOP('',(#241698)); #44278=EDGE_LOOP('',(#241699,#241700,#241701)); #44279=EDGE_LOOP('',(#241702)); #44280=EDGE_LOOP('',(#241703,#241704,#241705,#241706)); #44281=EDGE_LOOP('',(#241707,#241708,#241709,#241710)); #44282=EDGE_LOOP('',(#241711,#241712,#241713,#241714)); #44283=EDGE_LOOP('',(#241715,#241716,#241717,#241718)); #44284=EDGE_LOOP('',(#241719,#241720,#241721,#241722)); #44285=EDGE_LOOP('',(#241723,#241724,#241725,#241726)); #44286=EDGE_LOOP('',(#241727,#241728,#241729,#241730)); #44287=EDGE_LOOP('',(#241731,#241732,#241733,#241734)); #44288=EDGE_LOOP('',(#241735,#241736,#241737,#241738)); #44289=EDGE_LOOP('',(#241739,#241740,#241741,#241742)); #44290=EDGE_LOOP('',(#241743,#241744,#241745,#241746)); #44291=EDGE_LOOP('',(#241747,#241748,#241749,#241750)); #44292=EDGE_LOOP('',(#241751,#241752,#241753,#241754)); #44293=EDGE_LOOP('',(#241755,#241756,#241757,#241758)); #44294=EDGE_LOOP('',(#241759,#241760,#241761,#241762,#241763,#241764,#241765, #241766,#241767,#241768,#241769,#241770,#241771)); #44295=EDGE_LOOP('',(#241772)); #44296=EDGE_LOOP('',(#241773,#241774,#241775,#241776,#241777,#241778,#241779, #241780,#241781,#241782,#241783,#241784,#241785)); #44297=EDGE_LOOP('',(#241786)); #44298=EDGE_LOOP('',(#241787,#241788,#241789,#241790)); #44299=EDGE_LOOP('',(#241791,#241792,#241793,#241794)); #44300=EDGE_LOOP('',(#241795,#241796,#241797,#241798)); #44301=EDGE_LOOP('',(#241799,#241800,#241801,#241802)); #44302=EDGE_LOOP('',(#241803,#241804,#241805,#241806)); #44303=EDGE_LOOP('',(#241807,#241808,#241809,#241810)); #44304=EDGE_LOOP('',(#241811,#241812,#241813,#241814)); #44305=EDGE_LOOP('',(#241815,#241816,#241817,#241818)); #44306=EDGE_LOOP('',(#241819,#241820,#241821,#241822)); #44307=EDGE_LOOP('',(#241823,#241824,#241825,#241826)); #44308=EDGE_LOOP('',(#241827,#241828,#241829,#241830)); #44309=EDGE_LOOP('',(#241831,#241832,#241833,#241834,#241835,#241836,#241837, #241838,#241839,#241840)); #44310=EDGE_LOOP('',(#241841)); #44311=EDGE_LOOP('',(#241842,#241843,#241844,#241845,#241846,#241847,#241848, #241849,#241850,#241851)); #44312=EDGE_LOOP('',(#241852)); #44313=EDGE_LOOP('',(#241853,#241854,#241855,#241856)); #44314=EDGE_LOOP('',(#241857,#241858,#241859,#241860)); #44315=EDGE_LOOP('',(#241861,#241862,#241863,#241864)); #44316=EDGE_LOOP('',(#241865,#241866,#241867,#241868)); #44317=EDGE_LOOP('',(#241869,#241870,#241871,#241872)); #44318=EDGE_LOOP('',(#241873,#241874,#241875,#241876)); #44319=EDGE_LOOP('',(#241877,#241878,#241879,#241880)); #44320=EDGE_LOOP('',(#241881,#241882,#241883,#241884)); #44321=EDGE_LOOP('',(#241885,#241886,#241887,#241888)); #44322=EDGE_LOOP('',(#241889,#241890,#241891,#241892)); #44323=EDGE_LOOP('',(#241893,#241894,#241895,#241896)); #44324=EDGE_LOOP('',(#241897,#241898,#241899,#241900)); #44325=EDGE_LOOP('',(#241901,#241902,#241903,#241904)); #44326=EDGE_LOOP('',(#241905,#241906,#241907,#241908)); #44327=EDGE_LOOP('',(#241909,#241910,#241911,#241912)); #44328=EDGE_LOOP('',(#241913,#241914,#241915,#241916)); #44329=EDGE_LOOP('',(#241917,#241918,#241919,#241920)); #44330=EDGE_LOOP('',(#241921,#241922,#241923,#241924)); #44331=EDGE_LOOP('',(#241925,#241926,#241927,#241928)); #44332=EDGE_LOOP('',(#241929,#241930,#241931,#241932)); #44333=EDGE_LOOP('',(#241933,#241934,#241935,#241936,#241937,#241938,#241939, #241940,#241941,#241942,#241943,#241944,#241945,#241946,#241947,#241948, #241949,#241950,#241951,#241952)); #44334=EDGE_LOOP('',(#241953,#241954,#241955,#241956,#241957,#241958,#241959, #241960,#241961,#241962,#241963,#241964,#241965,#241966,#241967,#241968, #241969,#241970,#241971,#241972)); #44335=EDGE_LOOP('',(#241973,#241974,#241975,#241976)); #44336=EDGE_LOOP('',(#241977,#241978,#241979,#241980)); #44337=EDGE_LOOP('',(#241981,#241982,#241983,#241984)); #44338=EDGE_LOOP('',(#241985,#241986,#241987,#241988)); #44339=EDGE_LOOP('',(#241989,#241990,#241991,#241992)); #44340=EDGE_LOOP('',(#241993,#241994,#241995,#241996)); #44341=EDGE_LOOP('',(#241997,#241998,#241999,#242000)); #44342=EDGE_LOOP('',(#242001,#242002,#242003,#242004)); #44343=EDGE_LOOP('',(#242005,#242006,#242007,#242008)); #44344=EDGE_LOOP('',(#242009,#242010,#242011,#242012)); #44345=EDGE_LOOP('',(#242013,#242014,#242015,#242016)); #44346=EDGE_LOOP('',(#242017,#242018,#242019,#242020)); #44347=EDGE_LOOP('',(#242021,#242022,#242023,#242024)); #44348=EDGE_LOOP('',(#242025,#242026,#242027,#242028)); #44349=EDGE_LOOP('',(#242029,#242030,#242031,#242032)); #44350=EDGE_LOOP('',(#242033,#242034,#242035,#242036)); #44351=EDGE_LOOP('',(#242037,#242038,#242039,#242040)); #44352=EDGE_LOOP('',(#242041,#242042,#242043,#242044)); #44353=EDGE_LOOP('',(#242045,#242046,#242047,#242048)); #44354=EDGE_LOOP('',(#242049,#242050,#242051,#242052)); #44355=EDGE_LOOP('',(#242053,#242054,#242055,#242056)); #44356=EDGE_LOOP('',(#242057,#242058,#242059,#242060)); #44357=EDGE_LOOP('',(#242061,#242062,#242063,#242064)); #44358=EDGE_LOOP('',(#242065,#242066,#242067,#242068)); #44359=EDGE_LOOP('',(#242069,#242070,#242071,#242072)); #44360=EDGE_LOOP('',(#242073,#242074,#242075,#242076)); #44361=EDGE_LOOP('',(#242077,#242078,#242079,#242080)); #44362=EDGE_LOOP('',(#242081,#242082,#242083,#242084)); #44363=EDGE_LOOP('',(#242085,#242086,#242087,#242088)); #44364=EDGE_LOOP('',(#242089,#242090,#242091,#242092)); #44365=EDGE_LOOP('',(#242093,#242094,#242095,#242096)); #44366=EDGE_LOOP('',(#242097,#242098,#242099,#242100)); #44367=EDGE_LOOP('',(#242101,#242102,#242103,#242104)); #44368=EDGE_LOOP('',(#242105,#242106,#242107,#242108)); #44369=EDGE_LOOP('',(#242109,#242110,#242111,#242112)); #44370=EDGE_LOOP('',(#242113,#242114,#242115,#242116)); #44371=EDGE_LOOP('',(#242117,#242118,#242119,#242120,#242121,#242122,#242123, #242124,#242125,#242126,#242127,#242128,#242129,#242130,#242131,#242132, #242133,#242134,#242135,#242136,#242137,#242138,#242139,#242140,#242141, #242142,#242143,#242144,#242145,#242146,#242147,#242148,#242149,#242150, #242151,#242152)); #44372=EDGE_LOOP('',(#242153,#242154,#242155,#242156,#242157,#242158,#242159, #242160,#242161,#242162,#242163,#242164,#242165,#242166,#242167,#242168, #242169,#242170,#242171,#242172,#242173,#242174,#242175,#242176,#242177, #242178,#242179,#242180,#242181,#242182,#242183,#242184,#242185,#242186, #242187,#242188)); #44373=EDGE_LOOP('',(#242189,#242190,#242191,#242192)); #44374=EDGE_LOOP('',(#242193,#242194,#242195,#242196)); #44375=EDGE_LOOP('',(#242197,#242198,#242199,#242200)); #44376=EDGE_LOOP('',(#242201,#242202,#242203,#242204)); #44377=EDGE_LOOP('',(#242205,#242206,#242207,#242208)); #44378=EDGE_LOOP('',(#242209,#242210,#242211,#242212)); #44379=EDGE_LOOP('',(#242213,#242214,#242215,#242216)); #44380=EDGE_LOOP('',(#242217,#242218,#242219,#242220)); #44381=EDGE_LOOP('',(#242221,#242222,#242223,#242224)); #44382=EDGE_LOOP('',(#242225,#242226,#242227,#242228)); #44383=EDGE_LOOP('',(#242229,#242230,#242231,#242232)); #44384=EDGE_LOOP('',(#242233,#242234,#242235,#242236)); #44385=EDGE_LOOP('',(#242237,#242238,#242239,#242240)); #44386=EDGE_LOOP('',(#242241,#242242,#242243,#242244)); #44387=EDGE_LOOP('',(#242245,#242246,#242247,#242248)); #44388=EDGE_LOOP('',(#242249,#242250,#242251,#242252)); #44389=EDGE_LOOP('',(#242253,#242254,#242255,#242256)); #44390=EDGE_LOOP('',(#242257,#242258,#242259,#242260)); #44391=EDGE_LOOP('',(#242261,#242262,#242263,#242264)); #44392=EDGE_LOOP('',(#242265,#242266,#242267,#242268)); #44393=EDGE_LOOP('',(#242269,#242270,#242271,#242272)); #44394=EDGE_LOOP('',(#242273,#242274,#242275,#242276)); #44395=EDGE_LOOP('',(#242277,#242278,#242279,#242280)); #44396=EDGE_LOOP('',(#242281,#242282,#242283,#242284)); #44397=EDGE_LOOP('',(#242285,#242286,#242287,#242288)); #44398=EDGE_LOOP('',(#242289,#242290,#242291,#242292)); #44399=EDGE_LOOP('',(#242293,#242294,#242295,#242296)); #44400=EDGE_LOOP('',(#242297,#242298,#242299,#242300)); #44401=EDGE_LOOP('',(#242301,#242302,#242303,#242304)); #44402=EDGE_LOOP('',(#242305,#242306,#242307,#242308)); #44403=EDGE_LOOP('',(#242309,#242310,#242311,#242312)); #44404=EDGE_LOOP('',(#242313,#242314,#242315,#242316)); #44405=EDGE_LOOP('',(#242317,#242318,#242319,#242320)); #44406=EDGE_LOOP('',(#242321,#242322,#242323,#242324)); #44407=EDGE_LOOP('',(#242325,#242326,#242327,#242328)); #44408=EDGE_LOOP('',(#242329,#242330,#242331,#242332)); #44409=EDGE_LOOP('',(#242333,#242334,#242335,#242336,#242337,#242338,#242339, #242340,#242341,#242342,#242343,#242344,#242345,#242346,#242347,#242348, #242349,#242350,#242351,#242352,#242353,#242354,#242355,#242356,#242357, #242358,#242359,#242360,#242361,#242362,#242363,#242364,#242365,#242366, #242367,#242368)); #44410=EDGE_LOOP('',(#242369,#242370,#242371,#242372,#242373,#242374,#242375, #242376,#242377,#242378,#242379,#242380,#242381,#242382,#242383,#242384, #242385,#242386,#242387,#242388,#242389,#242390,#242391,#242392,#242393, #242394,#242395,#242396,#242397,#242398,#242399,#242400,#242401,#242402, #242403,#242404)); #44411=EDGE_LOOP('',(#242405,#242406,#242407,#242408)); #44412=EDGE_LOOP('',(#242409,#242410,#242411,#242412)); #44413=EDGE_LOOP('',(#242413,#242414,#242415,#242416)); #44414=EDGE_LOOP('',(#242417,#242418,#242419,#242420)); #44415=EDGE_LOOP('',(#242421,#242422,#242423,#242424)); #44416=EDGE_LOOP('',(#242425,#242426,#242427,#242428)); #44417=EDGE_LOOP('',(#242429,#242430,#242431,#242432)); #44418=EDGE_LOOP('',(#242433,#242434,#242435,#242436)); #44419=EDGE_LOOP('',(#242437,#242438,#242439,#242440)); #44420=EDGE_LOOP('',(#242441,#242442,#242443,#242444)); #44421=EDGE_LOOP('',(#242445,#242446,#242447,#242448)); #44422=EDGE_LOOP('',(#242449,#242450,#242451,#242452)); #44423=EDGE_LOOP('',(#242453,#242454,#242455,#242456)); #44424=EDGE_LOOP('',(#242457,#242458,#242459,#242460)); #44425=EDGE_LOOP('',(#242461,#242462,#242463,#242464)); #44426=EDGE_LOOP('',(#242465,#242466,#242467,#242468)); #44427=EDGE_LOOP('',(#242469,#242470,#242471,#242472)); #44428=EDGE_LOOP('',(#242473,#242474,#242475,#242476)); #44429=EDGE_LOOP('',(#242477,#242478,#242479,#242480)); #44430=EDGE_LOOP('',(#242481,#242482,#242483,#242484)); #44431=EDGE_LOOP('',(#242485,#242486,#242487,#242488)); #44432=EDGE_LOOP('',(#242489,#242490,#242491,#242492)); #44433=EDGE_LOOP('',(#242493,#242494,#242495,#242496)); #44434=EDGE_LOOP('',(#242497,#242498,#242499,#242500,#242501,#242502,#242503, #242504,#242505,#242506,#242507,#242508,#242509,#242510,#242511,#242512, #242513,#242514,#242515,#242516,#242517,#242518)); #44435=EDGE_LOOP('',(#242519)); #44436=EDGE_LOOP('',(#242520,#242521,#242522,#242523,#242524,#242525,#242526, #242527,#242528,#242529,#242530,#242531,#242532,#242533,#242534,#242535, #242536,#242537,#242538,#242539,#242540,#242541)); #44437=EDGE_LOOP('',(#242542)); #44438=EDGE_LOOP('',(#242543,#242544,#242545,#242546)); #44439=EDGE_LOOP('',(#242547,#242548,#242549,#242550)); #44440=EDGE_LOOP('',(#242551,#242552,#242553,#242554)); #44441=EDGE_LOOP('',(#242555,#242556,#242557,#242558)); #44442=EDGE_LOOP('',(#242559,#242560,#242561,#242562)); #44443=EDGE_LOOP('',(#242563,#242564,#242565,#242566)); #44444=EDGE_LOOP('',(#242567,#242568,#242569,#242570)); #44445=EDGE_LOOP('',(#242571,#242572,#242573,#242574)); #44446=EDGE_LOOP('',(#242575,#242576,#242577,#242578)); #44447=EDGE_LOOP('',(#242579,#242580,#242581,#242582)); #44448=EDGE_LOOP('',(#242583,#242584,#242585,#242586)); #44449=EDGE_LOOP('',(#242587,#242588,#242589,#242590)); #44450=EDGE_LOOP('',(#242591,#242592,#242593,#242594)); #44451=EDGE_LOOP('',(#242595,#242596,#242597,#242598)); #44452=EDGE_LOOP('',(#242599,#242600,#242601,#242602)); #44453=EDGE_LOOP('',(#242603,#242604,#242605,#242606)); #44454=EDGE_LOOP('',(#242607,#242608,#242609,#242610)); #44455=EDGE_LOOP('',(#242611,#242612,#242613,#242614,#242615,#242616,#242617, #242618,#242619,#242620,#242621,#242622,#242623,#242624,#242625,#242626)); #44456=EDGE_LOOP('',(#242627)); #44457=EDGE_LOOP('',(#242628,#242629,#242630,#242631,#242632,#242633,#242634, #242635,#242636,#242637,#242638,#242639,#242640,#242641,#242642,#242643)); #44458=EDGE_LOOP('',(#242644)); #44459=EDGE_LOOP('',(#242645,#242646,#242647,#242648)); #44460=EDGE_LOOP('',(#242649,#242650,#242651,#242652)); #44461=EDGE_LOOP('',(#242653,#242654,#242655,#242656)); #44462=EDGE_LOOP('',(#242657,#242658,#242659,#242660)); #44463=EDGE_LOOP('',(#242661,#242662,#242663,#242664)); #44464=EDGE_LOOP('',(#242665,#242666,#242667,#242668)); #44465=EDGE_LOOP('',(#242669,#242670,#242671,#242672)); #44466=EDGE_LOOP('',(#242673,#242674,#242675,#242676)); #44467=EDGE_LOOP('',(#242677,#242678,#242679,#242680)); #44468=EDGE_LOOP('',(#242681,#242682,#242683,#242684)); #44469=EDGE_LOOP('',(#242685,#242686,#242687,#242688)); #44470=EDGE_LOOP('',(#242689,#242690,#242691,#242692)); #44471=EDGE_LOOP('',(#242693,#242694,#242695,#242696)); #44472=EDGE_LOOP('',(#242697,#242698,#242699,#242700,#242701,#242702,#242703, #242704,#242705,#242706,#242707,#242708)); #44473=EDGE_LOOP('',(#242709)); #44474=EDGE_LOOP('',(#242710,#242711,#242712,#242713,#242714,#242715,#242716, #242717,#242718,#242719,#242720,#242721)); #44475=EDGE_LOOP('',(#242722)); #44476=EDGE_LOOP('',(#242723,#242724,#242725,#242726)); #44477=EDGE_LOOP('',(#242727,#242728,#242729,#242730)); #44478=EDGE_LOOP('',(#242731,#242732,#242733,#242734)); #44479=EDGE_LOOP('',(#242735,#242736,#242737,#242738)); #44480=EDGE_LOOP('',(#242739,#242740,#242741,#242742)); #44481=EDGE_LOOP('',(#242743,#242744,#242745,#242746)); #44482=EDGE_LOOP('',(#242747,#242748,#242749,#242750)); #44483=EDGE_LOOP('',(#242751,#242752,#242753,#242754)); #44484=EDGE_LOOP('',(#242755,#242756,#242757,#242758)); #44485=EDGE_LOOP('',(#242759,#242760,#242761,#242762)); #44486=EDGE_LOOP('',(#242763,#242764,#242765,#242766)); #44487=EDGE_LOOP('',(#242767,#242768,#242769,#242770)); #44488=EDGE_LOOP('',(#242771,#242772,#242773,#242774)); #44489=EDGE_LOOP('',(#242775,#242776,#242777,#242778)); #44490=EDGE_LOOP('',(#242779,#242780,#242781,#242782)); #44491=EDGE_LOOP('',(#242783,#242784,#242785,#242786,#242787,#242788,#242789, #242790,#242791,#242792,#242793,#242794,#242795,#242796)); #44492=EDGE_LOOP('',(#242797)); #44493=EDGE_LOOP('',(#242798,#242799,#242800,#242801,#242802,#242803,#242804, #242805,#242806,#242807,#242808,#242809,#242810,#242811)); #44494=EDGE_LOOP('',(#242812)); #44495=EDGE_LOOP('',(#242813,#242814,#242815,#242816)); #44496=EDGE_LOOP('',(#242817,#242818,#242819,#242820)); #44497=EDGE_LOOP('',(#242821,#242822,#242823,#242824)); #44498=EDGE_LOOP('',(#242825,#242826,#242827,#242828)); #44499=EDGE_LOOP('',(#242829,#242830,#242831,#242832)); #44500=EDGE_LOOP('',(#242833,#242834,#242835,#242836)); #44501=EDGE_LOOP('',(#242837,#242838,#242839,#242840)); #44502=EDGE_LOOP('',(#242841,#242842,#242843,#242844)); #44503=EDGE_LOOP('',(#242845,#242846,#242847,#242848)); #44504=EDGE_LOOP('',(#242849,#242850,#242851,#242852)); #44505=EDGE_LOOP('',(#242853,#242854,#242855,#242856,#242857,#242858,#242859, #242860)); #44506=EDGE_LOOP('',(#242861)); #44507=EDGE_LOOP('',(#242862)); #44508=EDGE_LOOP('',(#242863,#242864,#242865,#242866,#242867,#242868,#242869, #242870)); #44509=EDGE_LOOP('',(#242871)); #44510=EDGE_LOOP('',(#242872)); #44511=EDGE_LOOP('',(#242873,#242874,#242875,#242876)); #44512=EDGE_LOOP('',(#242877,#242878,#242879,#242880)); #44513=EDGE_LOOP('',(#242881,#242882,#242883,#242884)); #44514=EDGE_LOOP('',(#242885,#242886,#242887,#242888)); #44515=EDGE_LOOP('',(#242889,#242890,#242891,#242892)); #44516=EDGE_LOOP('',(#242893,#242894,#242895,#242896)); #44517=EDGE_LOOP('',(#242897,#242898,#242899,#242900)); #44518=EDGE_LOOP('',(#242901,#242902,#242903,#242904)); #44519=EDGE_LOOP('',(#242905,#242906,#242907,#242908)); #44520=EDGE_LOOP('',(#242909,#242910,#242911,#242912)); #44521=EDGE_LOOP('',(#242913,#242914,#242915,#242916)); #44522=EDGE_LOOP('',(#242917,#242918,#242919,#242920)); #44523=EDGE_LOOP('',(#242921,#242922,#242923,#242924)); #44524=EDGE_LOOP('',(#242925,#242926,#242927,#242928)); #44525=EDGE_LOOP('',(#242929,#242930,#242931,#242932)); #44526=EDGE_LOOP('',(#242933,#242934,#242935,#242936)); #44527=EDGE_LOOP('',(#242937,#242938,#242939,#242940)); #44528=EDGE_LOOP('',(#242941,#242942,#242943,#242944,#242945,#242946,#242947, #242948,#242949,#242950,#242951,#242952,#242953,#242954,#242955,#242956)); #44529=EDGE_LOOP('',(#242957)); #44530=EDGE_LOOP('',(#242958,#242959,#242960,#242961,#242962,#242963,#242964, #242965,#242966,#242967,#242968,#242969,#242970,#242971,#242972,#242973)); #44531=EDGE_LOOP('',(#242974)); #44532=EDGE_LOOP('',(#242975,#242976,#242977,#242978)); #44533=EDGE_LOOP('',(#242979,#242980,#242981,#242982)); #44534=EDGE_LOOP('',(#242983,#242984,#242985,#242986)); #44535=EDGE_LOOP('',(#242987,#242988,#242989,#242990)); #44536=EDGE_LOOP('',(#242991,#242992,#242993,#242994)); #44537=EDGE_LOOP('',(#242995,#242996,#242997,#242998)); #44538=EDGE_LOOP('',(#242999,#243000,#243001,#243002)); #44539=EDGE_LOOP('',(#243003,#243004,#243005,#243006)); #44540=EDGE_LOOP('',(#243007,#243008,#243009,#243010)); #44541=EDGE_LOOP('',(#243011,#243012,#243013,#243014)); #44542=EDGE_LOOP('',(#243015,#243016,#243017,#243018)); #44543=EDGE_LOOP('',(#243019,#243020,#243021,#243022)); #44544=EDGE_LOOP('',(#243023,#243024,#243025,#243026)); #44545=EDGE_LOOP('',(#243027,#243028,#243029,#243030)); #44546=EDGE_LOOP('',(#243031,#243032,#243033,#243034)); #44547=EDGE_LOOP('',(#243035,#243036,#243037,#243038)); #44548=EDGE_LOOP('',(#243039,#243040,#243041,#243042)); #44549=EDGE_LOOP('',(#243043,#243044,#243045,#243046)); #44550=EDGE_LOOP('',(#243047,#243048,#243049,#243050)); #44551=EDGE_LOOP('',(#243051,#243052,#243053,#243054)); #44552=EDGE_LOOP('',(#243055,#243056,#243057,#243058)); #44553=EDGE_LOOP('',(#243059,#243060,#243061,#243062)); #44554=EDGE_LOOP('',(#243063,#243064,#243065,#243066)); #44555=EDGE_LOOP('',(#243067,#243068,#243069,#243070,#243071,#243072,#243073, #243074,#243075,#243076,#243077,#243078,#243079,#243080,#243081,#243082, #243083,#243084,#243085,#243086,#243087,#243088)); #44556=EDGE_LOOP('',(#243089)); #44557=EDGE_LOOP('',(#243090,#243091,#243092,#243093,#243094,#243095,#243096, #243097,#243098,#243099,#243100,#243101,#243102,#243103,#243104,#243105, #243106,#243107,#243108,#243109,#243110,#243111)); #44558=EDGE_LOOP('',(#243112)); #44559=EDGE_LOOP('',(#243113,#243114,#243115,#243116)); #44560=EDGE_LOOP('',(#243117,#243118,#243119,#243120)); #44561=EDGE_LOOP('',(#243121,#243122,#243123,#243124)); #44562=EDGE_LOOP('',(#243125,#243126,#243127,#243128)); #44563=EDGE_LOOP('',(#243129,#243130,#243131,#243132)); #44564=EDGE_LOOP('',(#243133,#243134,#243135,#243136)); #44565=EDGE_LOOP('',(#243137,#243138,#243139,#243140)); #44566=EDGE_LOOP('',(#243141,#243142,#243143,#243144)); #44567=EDGE_LOOP('',(#243145,#243146,#243147,#243148)); #44568=EDGE_LOOP('',(#243149,#243150,#243151,#243152)); #44569=EDGE_LOOP('',(#243153,#243154,#243155,#243156)); #44570=EDGE_LOOP('',(#243157,#243158,#243159,#243160)); #44571=EDGE_LOOP('',(#243161,#243162,#243163,#243164)); #44572=EDGE_LOOP('',(#243165,#243166,#243167,#243168)); #44573=EDGE_LOOP('',(#243169,#243170,#243171,#243172)); #44574=EDGE_LOOP('',(#243173,#243174,#243175,#243176)); #44575=EDGE_LOOP('',(#243177,#243178,#243179,#243180)); #44576=EDGE_LOOP('',(#243181,#243182,#243183,#243184)); #44577=EDGE_LOOP('',(#243185,#243186,#243187,#243188)); #44578=EDGE_LOOP('',(#243189,#243190,#243191,#243192)); #44579=EDGE_LOOP('',(#243193,#243194,#243195,#243196)); #44580=EDGE_LOOP('',(#243197,#243198,#243199,#243200)); #44581=EDGE_LOOP('',(#243201,#243202,#243203,#243204)); #44582=EDGE_LOOP('',(#243205,#243206,#243207,#243208)); #44583=EDGE_LOOP('',(#243209,#243210,#243211,#243212)); #44584=EDGE_LOOP('',(#243213,#243214,#243215,#243216)); #44585=EDGE_LOOP('',(#243217,#243218,#243219,#243220,#243221,#243222,#243223, #243224,#243225,#243226,#243227,#243228,#243229,#243230,#243231,#243232, #243233,#243234,#243235,#243236,#243237,#243238,#243239,#243240,#243241, #243242)); #44586=EDGE_LOOP('',(#243243,#243244,#243245,#243246,#243247,#243248,#243249, #243250,#243251,#243252,#243253,#243254,#243255,#243256,#243257,#243258, #243259,#243260,#243261,#243262,#243263,#243264,#243265,#243266,#243267, #243268)); #44587=EDGE_LOOP('',(#243269,#243270,#243271,#243272)); #44588=EDGE_LOOP('',(#243273,#243274,#243275,#243276)); #44589=EDGE_LOOP('',(#243277,#243278,#243279,#243280)); #44590=EDGE_LOOP('',(#243281,#243282,#243283,#243284)); #44591=EDGE_LOOP('',(#243285,#243286,#243287,#243288)); #44592=EDGE_LOOP('',(#243289,#243290,#243291,#243292)); #44593=EDGE_LOOP('',(#243293,#243294,#243295,#243296)); #44594=EDGE_LOOP('',(#243297,#243298,#243299,#243300)); #44595=EDGE_LOOP('',(#243301,#243302,#243303,#243304)); #44596=EDGE_LOOP('',(#243305,#243306,#243307,#243308)); #44597=EDGE_LOOP('',(#243309,#243310,#243311,#243312)); #44598=EDGE_LOOP('',(#243313,#243314,#243315,#243316)); #44599=EDGE_LOOP('',(#243317,#243318,#243319,#243320)); #44600=EDGE_LOOP('',(#243321,#243322,#243323,#243324)); #44601=EDGE_LOOP('',(#243325,#243326,#243327,#243328)); #44602=EDGE_LOOP('',(#243329,#243330,#243331,#243332)); #44603=EDGE_LOOP('',(#243333,#243334,#243335,#243336)); #44604=EDGE_LOOP('',(#243337,#243338,#243339,#243340)); #44605=EDGE_LOOP('',(#243341,#243342,#243343,#243344)); #44606=EDGE_LOOP('',(#243345,#243346,#243347,#243348)); #44607=EDGE_LOOP('',(#243349,#243350,#243351,#243352)); #44608=EDGE_LOOP('',(#243353,#243354,#243355,#243356)); #44609=EDGE_LOOP('',(#243357,#243358,#243359,#243360)); #44610=EDGE_LOOP('',(#243361,#243362,#243363,#243364)); #44611=EDGE_LOOP('',(#243365,#243366,#243367,#243368)); #44612=EDGE_LOOP('',(#243369,#243370,#243371,#243372,#243373,#243374,#243375, #243376,#243377,#243378,#243379,#243380,#243381,#243382,#243383,#243384, #243385,#243386,#243387,#243388,#243389,#243390,#243391,#243392)); #44613=EDGE_LOOP('',(#243393)); #44614=EDGE_LOOP('',(#243394,#243395,#243396,#243397,#243398,#243399,#243400, #243401,#243402,#243403,#243404,#243405,#243406,#243407,#243408,#243409, #243410,#243411,#243412,#243413,#243414,#243415,#243416,#243417)); #44615=EDGE_LOOP('',(#243418)); #44616=EDGE_LOOP('',(#243419,#243420,#243421,#243422)); #44617=EDGE_LOOP('',(#243423,#243424,#243425,#243426)); #44618=EDGE_LOOP('',(#243427,#243428,#243429,#243430)); #44619=EDGE_LOOP('',(#243431,#243432,#243433,#243434)); #44620=EDGE_LOOP('',(#243435,#243436,#243437,#243438)); #44621=EDGE_LOOP('',(#243439,#243440,#243441,#243442)); #44622=EDGE_LOOP('',(#243443,#243444,#243445,#243446)); #44623=EDGE_LOOP('',(#243447,#243448,#243449,#243450)); #44624=EDGE_LOOP('',(#243451,#243452,#243453,#243454)); #44625=EDGE_LOOP('',(#243455,#243456,#243457,#243458)); #44626=EDGE_LOOP('',(#243459,#243460,#243461,#243462)); #44627=EDGE_LOOP('',(#243463,#243464,#243465,#243466)); #44628=EDGE_LOOP('',(#243467,#243468,#243469,#243470,#243471,#243472,#243473, #243474,#243475,#243476,#243477)); #44629=EDGE_LOOP('',(#243478)); #44630=EDGE_LOOP('',(#243479,#243480,#243481,#243482,#243483,#243484,#243485, #243486,#243487,#243488,#243489)); #44631=EDGE_LOOP('',(#243490)); #44632=EDGE_LOOP('',(#243491,#243492,#243493,#243494)); #44633=EDGE_LOOP('',(#243495,#243496,#243497,#243498)); #44634=EDGE_LOOP('',(#243499,#243500,#243501,#243502)); #44635=EDGE_LOOP('',(#243503,#243504,#243505,#243506)); #44636=EDGE_LOOP('',(#243507,#243508,#243509,#243510)); #44637=EDGE_LOOP('',(#243511,#243512,#243513,#243514)); #44638=EDGE_LOOP('',(#243515,#243516,#243517,#243518)); #44639=EDGE_LOOP('',(#243519,#243520,#243521,#243522)); #44640=EDGE_LOOP('',(#243523,#243524,#243525,#243526)); #44641=EDGE_LOOP('',(#243527,#243528,#243529,#243530)); #44642=EDGE_LOOP('',(#243531,#243532,#243533,#243534)); #44643=EDGE_LOOP('',(#243535,#243536,#243537,#243538)); #44644=EDGE_LOOP('',(#243539,#243540,#243541,#243542)); #44645=EDGE_LOOP('',(#243543,#243544,#243545,#243546)); #44646=EDGE_LOOP('',(#243547,#243548,#243549,#243550)); #44647=EDGE_LOOP('',(#243551,#243552,#243553,#243554)); #44648=EDGE_LOOP('',(#243555,#243556,#243557,#243558)); #44649=EDGE_LOOP('',(#243559,#243560,#243561,#243562)); #44650=EDGE_LOOP('',(#243563,#243564,#243565,#243566)); #44651=EDGE_LOOP('',(#243567,#243568,#243569,#243570)); #44652=EDGE_LOOP('',(#243571,#243572,#243573,#243574)); #44653=EDGE_LOOP('',(#243575,#243576,#243577,#243578)); #44654=EDGE_LOOP('',(#243579,#243580,#243581,#243582)); #44655=EDGE_LOOP('',(#243583,#243584,#243585,#243586)); #44656=EDGE_LOOP('',(#243587,#243588,#243589,#243590)); #44657=EDGE_LOOP('',(#243591,#243592,#243593,#243594)); #44658=EDGE_LOOP('',(#243595,#243596,#243597,#243598)); #44659=EDGE_LOOP('',(#243599,#243600,#243601,#243602)); #44660=EDGE_LOOP('',(#243603,#243604,#243605,#243606)); #44661=EDGE_LOOP('',(#243607,#243608,#243609,#243610)); #44662=EDGE_LOOP('',(#243611,#243612,#243613,#243614)); #44663=EDGE_LOOP('',(#243615,#243616,#243617,#243618)); #44664=EDGE_LOOP('',(#243619,#243620,#243621,#243622)); #44665=EDGE_LOOP('',(#243623,#243624,#243625,#243626)); #44666=EDGE_LOOP('',(#243627,#243628,#243629,#243630)); #44667=EDGE_LOOP('',(#243631,#243632,#243633,#243634)); #44668=EDGE_LOOP('',(#243635,#243636,#243637,#243638)); #44669=EDGE_LOOP('',(#243639,#243640,#243641,#243642)); #44670=EDGE_LOOP('',(#243643,#243644,#243645,#243646,#243647,#243648,#243649, #243650,#243651,#243652,#243653,#243654,#243655,#243656,#243657,#243658, #243659,#243660,#243661,#243662,#243663,#243664,#243665,#243666,#243667, #243668,#243669,#243670,#243671,#243672,#243673,#243674,#243675,#243676, #243677,#243678,#243679,#243680)); #44671=EDGE_LOOP('',(#243681,#243682,#243683,#243684,#243685,#243686,#243687, #243688,#243689,#243690,#243691,#243692,#243693,#243694,#243695,#243696, #243697,#243698,#243699,#243700,#243701,#243702,#243703,#243704,#243705, #243706,#243707,#243708,#243709,#243710,#243711,#243712,#243713,#243714, #243715,#243716,#243717,#243718)); #44672=EDGE_LOOP('',(#243719,#243720,#243721,#243722)); #44673=EDGE_LOOP('',(#243723,#243724,#243725,#243726)); #44674=EDGE_LOOP('',(#243727,#243728,#243729,#243730)); #44675=EDGE_LOOP('',(#243731,#243732,#243733,#243734)); #44676=EDGE_LOOP('',(#243735,#243736,#243737,#243738)); #44677=EDGE_LOOP('',(#243739,#243740,#243741,#243742)); #44678=EDGE_LOOP('',(#243743,#243744,#243745,#243746)); #44679=EDGE_LOOP('',(#243747,#243748,#243749,#243750)); #44680=EDGE_LOOP('',(#243751,#243752,#243753,#243754)); #44681=EDGE_LOOP('',(#243755,#243756,#243757,#243758)); #44682=EDGE_LOOP('',(#243759,#243760,#243761,#243762)); #44683=EDGE_LOOP('',(#243763,#243764,#243765,#243766)); #44684=EDGE_LOOP('',(#243767,#243768,#243769,#243770)); #44685=EDGE_LOOP('',(#243771,#243772,#243773,#243774)); #44686=EDGE_LOOP('',(#243775,#243776,#243777,#243778)); #44687=EDGE_LOOP('',(#243779,#243780,#243781,#243782)); #44688=EDGE_LOOP('',(#243783,#243784,#243785,#243786)); #44689=EDGE_LOOP('',(#243787,#243788,#243789,#243790)); #44690=EDGE_LOOP('',(#243791,#243792,#243793,#243794)); #44691=EDGE_LOOP('',(#243795,#243796,#243797,#243798)); #44692=EDGE_LOOP('',(#243799,#243800,#243801,#243802)); #44693=EDGE_LOOP('',(#243803,#243804,#243805,#243806)); #44694=EDGE_LOOP('',(#243807,#243808,#243809,#243810)); #44695=EDGE_LOOP('',(#243811,#243812,#243813,#243814)); #44696=EDGE_LOOP('',(#243815,#243816,#243817,#243818)); #44697=EDGE_LOOP('',(#243819,#243820,#243821,#243822)); #44698=EDGE_LOOP('',(#243823,#243824,#243825,#243826)); #44699=EDGE_LOOP('',(#243827,#243828,#243829,#243830)); #44700=EDGE_LOOP('',(#243831,#243832,#243833,#243834)); #44701=EDGE_LOOP('',(#243835,#243836,#243837,#243838)); #44702=EDGE_LOOP('',(#243839,#243840,#243841,#243842)); #44703=EDGE_LOOP('',(#243843,#243844,#243845,#243846)); #44704=EDGE_LOOP('',(#243847,#243848,#243849,#243850)); #44705=EDGE_LOOP('',(#243851,#243852,#243853,#243854)); #44706=EDGE_LOOP('',(#243855,#243856,#243857,#243858)); #44707=EDGE_LOOP('',(#243859,#243860,#243861,#243862)); #44708=EDGE_LOOP('',(#243863,#243864,#243865,#243866)); #44709=EDGE_LOOP('',(#243867,#243868,#243869,#243870)); #44710=EDGE_LOOP('',(#243871,#243872,#243873,#243874,#243875,#243876,#243877, #243878,#243879,#243880,#243881,#243882,#243883,#243884,#243885,#243886, #243887,#243888,#243889,#243890,#243891,#243892,#243893,#243894,#243895, #243896,#243897,#243898,#243899,#243900,#243901,#243902,#243903,#243904, #243905,#243906,#243907,#243908)); #44711=EDGE_LOOP('',(#243909,#243910,#243911,#243912,#243913,#243914,#243915, #243916,#243917,#243918,#243919,#243920,#243921,#243922,#243923,#243924, #243925,#243926,#243927,#243928,#243929,#243930,#243931,#243932,#243933, #243934,#243935,#243936,#243937,#243938,#243939,#243940,#243941,#243942, #243943,#243944,#243945,#243946)); #44712=EDGE_LOOP('',(#243947,#243948,#243949,#243950)); #44713=EDGE_LOOP('',(#243951,#243952,#243953,#243954)); #44714=EDGE_LOOP('',(#243955,#243956,#243957,#243958)); #44715=EDGE_LOOP('',(#243959,#243960,#243961,#243962)); #44716=EDGE_LOOP('',(#243963,#243964,#243965,#243966)); #44717=EDGE_LOOP('',(#243967,#243968,#243969,#243970)); #44718=EDGE_LOOP('',(#243971,#243972,#243973,#243974)); #44719=EDGE_LOOP('',(#243975,#243976,#243977,#243978)); #44720=EDGE_LOOP('',(#243979,#243980,#243981,#243982)); #44721=EDGE_LOOP('',(#243983,#243984,#243985,#243986)); #44722=EDGE_LOOP('',(#243987,#243988,#243989,#243990)); #44723=EDGE_LOOP('',(#243991,#243992,#243993,#243994)); #44724=EDGE_LOOP('',(#243995,#243996,#243997,#243998)); #44725=EDGE_LOOP('',(#243999,#244000,#244001,#244002)); #44726=EDGE_LOOP('',(#244003,#244004,#244005,#244006)); #44727=EDGE_LOOP('',(#244007,#244008,#244009,#244010)); #44728=EDGE_LOOP('',(#244011,#244012,#244013,#244014)); #44729=EDGE_LOOP('',(#244015,#244016,#244017,#244018)); #44730=EDGE_LOOP('',(#244019,#244020,#244021,#244022)); #44731=EDGE_LOOP('',(#244023,#244024,#244025,#244026)); #44732=EDGE_LOOP('',(#244027,#244028,#244029,#244030,#244031,#244032,#244033, #244034,#244035,#244036,#244037,#244038,#244039,#244040,#244041,#244042, #244043,#244044,#244045,#244046)); #44733=EDGE_LOOP('',(#244047,#244048,#244049,#244050,#244051,#244052,#244053, #244054,#244055,#244056,#244057,#244058,#244059,#244060,#244061,#244062, #244063,#244064,#244065,#244066)); #44734=EDGE_LOOP('',(#244067,#244068,#244069,#244070)); #44735=EDGE_LOOP('',(#244071,#244072,#244073,#244074)); #44736=EDGE_LOOP('',(#244075,#244076,#244077,#244078)); #44737=EDGE_LOOP('',(#244079,#244080,#244081,#244082)); #44738=EDGE_LOOP('',(#244083,#244084,#244085,#244086)); #44739=EDGE_LOOP('',(#244087,#244088,#244089,#244090)); #44740=EDGE_LOOP('',(#244091,#244092,#244093,#244094)); #44741=EDGE_LOOP('',(#244095,#244096,#244097,#244098)); #44742=EDGE_LOOP('',(#244099,#244100,#244101,#244102)); #44743=EDGE_LOOP('',(#244103,#244104,#244105,#244106)); #44744=EDGE_LOOP('',(#244107,#244108,#244109,#244110)); #44745=EDGE_LOOP('',(#244111,#244112,#244113,#244114)); #44746=EDGE_LOOP('',(#244115,#244116,#244117,#244118)); #44747=EDGE_LOOP('',(#244119,#244120,#244121,#244122)); #44748=EDGE_LOOP('',(#244123,#244124,#244125,#244126)); #44749=EDGE_LOOP('',(#244127,#244128,#244129,#244130)); #44750=EDGE_LOOP('',(#244131,#244132,#244133,#244134)); #44751=EDGE_LOOP('',(#244135,#244136,#244137,#244138)); #44752=EDGE_LOOP('',(#244139,#244140,#244141,#244142)); #44753=EDGE_LOOP('',(#244143,#244144,#244145,#244146)); #44754=EDGE_LOOP('',(#244147,#244148,#244149,#244150)); #44755=EDGE_LOOP('',(#244151,#244152,#244153,#244154)); #44756=EDGE_LOOP('',(#244155,#244156,#244157,#244158,#244159,#244160,#244161, #244162,#244163,#244164,#244165,#244166,#244167,#244168,#244169,#244170, #244171,#244172,#244173,#244174,#244175)); #44757=EDGE_LOOP('',(#244176)); #44758=EDGE_LOOP('',(#244177,#244178,#244179,#244180,#244181,#244182,#244183, #244184,#244185,#244186,#244187,#244188,#244189,#244190,#244191,#244192, #244193,#244194,#244195,#244196,#244197)); #44759=EDGE_LOOP('',(#244198)); #44760=EDGE_LOOP('',(#244199,#244200,#244201,#244202)); #44761=EDGE_LOOP('',(#244203,#244204,#244205,#244206)); #44762=EDGE_LOOP('',(#244207,#244208,#244209,#244210)); #44763=EDGE_LOOP('',(#244211,#244212,#244213,#244214)); #44764=EDGE_LOOP('',(#244215,#244216,#244217,#244218)); #44765=EDGE_LOOP('',(#244219,#244220,#244221,#244222)); #44766=EDGE_LOOP('',(#244223,#244224,#244225,#244226)); #44767=EDGE_LOOP('',(#244227,#244228,#244229,#244230)); #44768=EDGE_LOOP('',(#244231,#244232,#244233,#244234)); #44769=EDGE_LOOP('',(#244235,#244236,#244237,#244238)); #44770=EDGE_LOOP('',(#244239,#244240,#244241,#244242)); #44771=EDGE_LOOP('',(#244243,#244244,#244245,#244246)); #44772=EDGE_LOOP('',(#244247,#244248,#244249,#244250)); #44773=EDGE_LOOP('',(#244251,#244252,#244253,#244254)); #44774=EDGE_LOOP('',(#244255,#244256,#244257,#244258)); #44775=EDGE_LOOP('',(#244259,#244260,#244261,#244262)); #44776=EDGE_LOOP('',(#244263,#244264,#244265,#244266)); #44777=EDGE_LOOP('',(#244267,#244268,#244269,#244270,#244271,#244272,#244273, #244274,#244275,#244276,#244277,#244278,#244279,#244280,#244281,#244282)); #44778=EDGE_LOOP('',(#244283)); #44779=EDGE_LOOP('',(#244284,#244285,#244286,#244287,#244288,#244289,#244290, #244291,#244292,#244293,#244294,#244295,#244296,#244297,#244298,#244299)); #44780=EDGE_LOOP('',(#244300)); #44781=EDGE_LOOP('',(#244301,#244302,#244303,#244304)); #44782=EDGE_LOOP('',(#244305,#244306,#244307,#244308)); #44783=EDGE_LOOP('',(#244309,#244310,#244311,#244312)); #44784=EDGE_LOOP('',(#244313,#244314,#244315,#244316)); #44785=EDGE_LOOP('',(#244317,#244318,#244319,#244320)); #44786=EDGE_LOOP('',(#244321,#244322,#244323,#244324)); #44787=EDGE_LOOP('',(#244325,#244326,#244327,#244328)); #44788=EDGE_LOOP('',(#244329,#244330,#244331,#244332)); #44789=EDGE_LOOP('',(#244333,#244334,#244335,#244336)); #44790=EDGE_LOOP('',(#244337,#244338,#244339,#244340)); #44791=EDGE_LOOP('',(#244341,#244342,#244343,#244344)); #44792=EDGE_LOOP('',(#244345,#244346,#244347,#244348)); #44793=EDGE_LOOP('',(#244349,#244350,#244351,#244352)); #44794=EDGE_LOOP('',(#244353,#244354,#244355,#244356)); #44795=EDGE_LOOP('',(#244357,#244358,#244359,#244360,#244361,#244362,#244363, #244364,#244365,#244366,#244367,#244368,#244369)); #44796=EDGE_LOOP('',(#244370)); #44797=EDGE_LOOP('',(#244371,#244372,#244373,#244374,#244375,#244376,#244377, #244378,#244379,#244380,#244381,#244382,#244383)); #44798=EDGE_LOOP('',(#244384)); #44799=EDGE_LOOP('',(#244385,#244386,#244387,#244388)); #44800=EDGE_LOOP('',(#244389,#244390,#244391,#244392)); #44801=EDGE_LOOP('',(#244393,#244394,#244395,#244396)); #44802=EDGE_LOOP('',(#244397,#244398,#244399,#244400)); #44803=EDGE_LOOP('',(#244401,#244402,#244403,#244404)); #44804=EDGE_LOOP('',(#244405,#244406,#244407,#244408)); #44805=EDGE_LOOP('',(#244409,#244410,#244411,#244412)); #44806=EDGE_LOOP('',(#244413,#244414,#244415,#244416)); #44807=EDGE_LOOP('',(#244417,#244418,#244419,#244420)); #44808=EDGE_LOOP('',(#244421,#244422,#244423,#244424)); #44809=EDGE_LOOP('',(#244425,#244426,#244427,#244428)); #44810=EDGE_LOOP('',(#244429,#244430,#244431,#244432)); #44811=EDGE_LOOP('',(#244433,#244434,#244435,#244436)); #44812=EDGE_LOOP('',(#244437,#244438,#244439,#244440)); #44813=EDGE_LOOP('',(#244441,#244442,#244443,#244444)); #44814=EDGE_LOOP('',(#244445,#244446,#244447,#244448)); #44815=EDGE_LOOP('',(#244449,#244450,#244451,#244452)); #44816=EDGE_LOOP('',(#244453,#244454,#244455,#244456)); #44817=EDGE_LOOP('',(#244457,#244458,#244459,#244460)); #44818=EDGE_LOOP('',(#244461,#244462,#244463,#244464,#244465,#244466,#244467, #244468,#244469,#244470,#244471,#244472,#244473,#244474,#244475,#244476, #244477,#244478)); #44819=EDGE_LOOP('',(#244479)); #44820=EDGE_LOOP('',(#244480,#244481,#244482,#244483,#244484,#244485,#244486, #244487,#244488,#244489,#244490,#244491,#244492,#244493,#244494,#244495, #244496,#244497)); #44821=EDGE_LOOP('',(#244498)); #44822=EDGE_LOOP('',(#244499,#244500,#244501,#244502)); #44823=EDGE_LOOP('',(#244503,#244504,#244505,#244506)); #44824=EDGE_LOOP('',(#244507,#244508,#244509,#244510)); #44825=EDGE_LOOP('',(#244511,#244512,#244513,#244514)); #44826=EDGE_LOOP('',(#244515,#244516,#244517,#244518)); #44827=EDGE_LOOP('',(#244519,#244520,#244521,#244522)); #44828=EDGE_LOOP('',(#244523,#244524,#244525,#244526)); #44829=EDGE_LOOP('',(#244527,#244528,#244529,#244530)); #44830=EDGE_LOOP('',(#244531,#244532,#244533,#244534)); #44831=EDGE_LOOP('',(#244535,#244536,#244537,#244538)); #44832=EDGE_LOOP('',(#244539,#244540,#244541,#244542)); #44833=EDGE_LOOP('',(#244543,#244544,#244545,#244546)); #44834=EDGE_LOOP('',(#244547,#244548,#244549,#244550)); #44835=EDGE_LOOP('',(#244551,#244552,#244553,#244554)); #44836=EDGE_LOOP('',(#244555,#244556,#244557,#244558,#244559,#244560,#244561, #244562,#244563,#244564,#244565,#244566,#244567)); #44837=EDGE_LOOP('',(#244568)); #44838=EDGE_LOOP('',(#244569,#244570,#244571,#244572,#244573,#244574,#244575, #244576,#244577,#244578,#244579,#244580,#244581)); #44839=EDGE_LOOP('',(#244582)); #44840=EDGE_LOOP('',(#244583,#244584,#244585,#244586)); #44841=EDGE_LOOP('',(#244587,#244588,#244589,#244590)); #44842=EDGE_LOOP('',(#244591,#244592,#244593,#244594)); #44843=EDGE_LOOP('',(#244595,#244596,#244597,#244598)); #44844=EDGE_LOOP('',(#244599,#244600,#244601,#244602)); #44845=EDGE_LOOP('',(#244603,#244604,#244605,#244606)); #44846=EDGE_LOOP('',(#244607,#244608,#244609,#244610)); #44847=EDGE_LOOP('',(#244611,#244612,#244613,#244614)); #44848=EDGE_LOOP('',(#244615,#244616,#244617,#244618)); #44849=EDGE_LOOP('',(#244619,#244620,#244621,#244622)); #44850=EDGE_LOOP('',(#244623,#244624,#244625,#244626)); #44851=EDGE_LOOP('',(#244627,#244628,#244629,#244630)); #44852=EDGE_LOOP('',(#244631,#244632,#244633,#244634)); #44853=EDGE_LOOP('',(#244635,#244636,#244637,#244638)); #44854=EDGE_LOOP('',(#244639,#244640,#244641,#244642)); #44855=EDGE_LOOP('',(#244643,#244644,#244645,#244646)); #44856=EDGE_LOOP('',(#244647,#244648,#244649,#244650)); #44857=EDGE_LOOP('',(#244651,#244652,#244653,#244654)); #44858=EDGE_LOOP('',(#244655,#244656,#244657,#244658)); #44859=EDGE_LOOP('',(#244659,#244660,#244661,#244662,#244663,#244664,#244665, #244666,#244667,#244668,#244669,#244670,#244671,#244672,#244673,#244674, #244675,#244676)); #44860=EDGE_LOOP('',(#244677)); #44861=EDGE_LOOP('',(#244678,#244679,#244680,#244681,#244682,#244683,#244684, #244685,#244686,#244687,#244688,#244689,#244690,#244691,#244692,#244693, #244694,#244695)); #44862=EDGE_LOOP('',(#244696)); #44863=EDGE_LOOP('',(#244697,#244698,#244699,#244700)); #44864=EDGE_LOOP('',(#244701,#244702,#244703,#244704)); #44865=EDGE_LOOP('',(#244705,#244706,#244707,#244708)); #44866=EDGE_LOOP('',(#244709,#244710,#244711,#244712)); #44867=EDGE_LOOP('',(#244713,#244714,#244715,#244716)); #44868=EDGE_LOOP('',(#244717,#244718,#244719,#244720)); #44869=EDGE_LOOP('',(#244721,#244722,#244723,#244724)); #44870=EDGE_LOOP('',(#244725,#244726,#244727,#244728)); #44871=EDGE_LOOP('',(#244729,#244730,#244731,#244732)); #44872=EDGE_LOOP('',(#244733,#244734,#244735,#244736)); #44873=EDGE_LOOP('',(#244737,#244738,#244739,#244740)); #44874=EDGE_LOOP('',(#244741,#244742,#244743,#244744)); #44875=EDGE_LOOP('',(#244745,#244746,#244747,#244748,#244749,#244750,#244751, #244752,#244753,#244754,#244755)); #44876=EDGE_LOOP('',(#244756)); #44877=EDGE_LOOP('',(#244757,#244758,#244759,#244760,#244761,#244762,#244763, #244764,#244765,#244766,#244767)); #44878=EDGE_LOOP('',(#244768)); #44879=EDGE_LOOP('',(#244769,#244770,#244771,#244772)); #44880=EDGE_LOOP('',(#244773,#244774,#244775,#244776)); #44881=EDGE_LOOP('',(#244777,#244778,#244779,#244780)); #44882=EDGE_LOOP('',(#244781,#244782,#244783,#244784)); #44883=EDGE_LOOP('',(#244785,#244786,#244787,#244788)); #44884=EDGE_LOOP('',(#244789,#244790,#244791,#244792)); #44885=EDGE_LOOP('',(#244793,#244794,#244795,#244796)); #44886=EDGE_LOOP('',(#244797,#244798,#244799,#244800)); #44887=EDGE_LOOP('',(#244801,#244802,#244803,#244804)); #44888=EDGE_LOOP('',(#244805,#244806,#244807,#244808)); #44889=EDGE_LOOP('',(#244809,#244810,#244811,#244812)); #44890=EDGE_LOOP('',(#244813,#244814,#244815,#244816)); #44891=EDGE_LOOP('',(#244817,#244818,#244819,#244820,#244821,#244822,#244823, #244824,#244825,#244826,#244827)); #44892=EDGE_LOOP('',(#244828)); #44893=EDGE_LOOP('',(#244829,#244830,#244831,#244832,#244833,#244834,#244835, #244836,#244837,#244838,#244839)); #44894=EDGE_LOOP('',(#244840)); #44895=EDGE_LOOP('',(#244841,#244842,#244843,#244844)); #44896=EDGE_LOOP('',(#244845,#244846,#244847,#244848)); #44897=EDGE_LOOP('',(#244849,#244850,#244851,#244852)); #44898=EDGE_LOOP('',(#244853,#244854,#244855,#244856)); #44899=EDGE_LOOP('',(#244857,#244858,#244859,#244860)); #44900=EDGE_LOOP('',(#244861,#244862,#244863,#244864)); #44901=EDGE_LOOP('',(#244865,#244866,#244867,#244868)); #44902=EDGE_LOOP('',(#244869,#244870,#244871,#244872)); #44903=EDGE_LOOP('',(#244873,#244874,#244875,#244876)); #44904=EDGE_LOOP('',(#244877,#244878,#244879,#244880)); #44905=EDGE_LOOP('',(#244881,#244882,#244883,#244884)); #44906=EDGE_LOOP('',(#244885,#244886,#244887,#244888)); #44907=EDGE_LOOP('',(#244889,#244890,#244891,#244892)); #44908=EDGE_LOOP('',(#244893,#244894,#244895,#244896)); #44909=EDGE_LOOP('',(#244897,#244898,#244899,#244900)); #44910=EDGE_LOOP('',(#244901,#244902,#244903,#244904)); #44911=EDGE_LOOP('',(#244905,#244906,#244907,#244908)); #44912=EDGE_LOOP('',(#244909,#244910,#244911,#244912)); #44913=EDGE_LOOP('',(#244913,#244914,#244915,#244916)); #44914=EDGE_LOOP('',(#244917,#244918,#244919,#244920)); #44915=EDGE_LOOP('',(#244921,#244922,#244923,#244924)); #44916=EDGE_LOOP('',(#244925,#244926,#244927,#244928)); #44917=EDGE_LOOP('',(#244929,#244930,#244931,#244932)); #44918=EDGE_LOOP('',(#244933,#244934,#244935,#244936)); #44919=EDGE_LOOP('',(#244937,#244938,#244939,#244940,#244941,#244942,#244943, #244944,#244945,#244946,#244947,#244948,#244949,#244950,#244951,#244952, #244953,#244954,#244955,#244956,#244957,#244958,#244959)); #44920=EDGE_LOOP('',(#244960)); #44921=EDGE_LOOP('',(#244961,#244962,#244963,#244964,#244965,#244966,#244967, #244968,#244969,#244970,#244971,#244972,#244973,#244974,#244975,#244976, #244977,#244978,#244979,#244980,#244981,#244982,#244983)); #44922=EDGE_LOOP('',(#244984)); #44923=EDGE_LOOP('',(#244985,#244986,#244987,#244988)); #44924=EDGE_LOOP('',(#244989,#244990,#244991,#244992)); #44925=EDGE_LOOP('',(#244993,#244994,#244995,#244996)); #44926=EDGE_LOOP('',(#244997,#244998,#244999,#245000)); #44927=EDGE_LOOP('',(#245001,#245002,#245003,#245004)); #44928=EDGE_LOOP('',(#245005,#245006,#245007,#245008)); #44929=EDGE_LOOP('',(#245009,#245010,#245011,#245012)); #44930=EDGE_LOOP('',(#245013,#245014,#245015,#245016)); #44931=EDGE_LOOP('',(#245017,#245018,#245019,#245020)); #44932=EDGE_LOOP('',(#245021,#245022,#245023,#245024)); #44933=EDGE_LOOP('',(#245025,#245026,#245027,#245028)); #44934=EDGE_LOOP('',(#245029,#245030,#245031,#245032)); #44935=EDGE_LOOP('',(#245033,#245034,#245035,#245036)); #44936=EDGE_LOOP('',(#245037,#245038,#245039,#245040)); #44937=EDGE_LOOP('',(#245041,#245042,#245043,#245044)); #44938=EDGE_LOOP('',(#245045,#245046,#245047,#245048)); #44939=EDGE_LOOP('',(#245049,#245050,#245051,#245052)); #44940=EDGE_LOOP('',(#245053,#245054,#245055,#245056)); #44941=EDGE_LOOP('',(#245057,#245058,#245059,#245060,#245061,#245062,#245063, #245064,#245065,#245066,#245067,#245068,#245069,#245070,#245071,#245072, #245073)); #44942=EDGE_LOOP('',(#245074)); #44943=EDGE_LOOP('',(#245075,#245076,#245077,#245078,#245079,#245080,#245081, #245082,#245083,#245084,#245085,#245086,#245087,#245088,#245089,#245090, #245091)); #44944=EDGE_LOOP('',(#245092)); #44945=EDGE_LOOP('',(#245093,#245094,#245095,#245096)); #44946=EDGE_LOOP('',(#245097,#245098,#245099,#245100)); #44947=EDGE_LOOP('',(#245101,#245102,#245103,#245104)); #44948=EDGE_LOOP('',(#245105,#245106,#245107,#245108)); #44949=EDGE_LOOP('',(#245109,#245110,#245111,#245112)); #44950=EDGE_LOOP('',(#245113,#245114,#245115,#245116)); #44951=EDGE_LOOP('',(#245117,#245118,#245119,#245120)); #44952=EDGE_LOOP('',(#245121,#245122,#245123,#245124)); #44953=EDGE_LOOP('',(#245125,#245126,#245127,#245128)); #44954=EDGE_LOOP('',(#245129,#245130,#245131,#245132)); #44955=EDGE_LOOP('',(#245133,#245134,#245135,#245136)); #44956=EDGE_LOOP('',(#245137,#245138,#245139,#245140)); #44957=EDGE_LOOP('',(#245141,#245142,#245143,#245144)); #44958=EDGE_LOOP('',(#245145,#245146,#245147,#245148)); #44959=EDGE_LOOP('',(#245149,#245150,#245151,#245152)); #44960=EDGE_LOOP('',(#245153,#245154,#245155,#245156)); #44961=EDGE_LOOP('',(#245157,#245158,#245159,#245160)); #44962=EDGE_LOOP('',(#245161,#245162,#245163,#245164)); #44963=EDGE_LOOP('',(#245165,#245166,#245167,#245168)); #44964=EDGE_LOOP('',(#245169,#245170,#245171,#245172)); #44965=EDGE_LOOP('',(#245173,#245174,#245175,#245176)); #44966=EDGE_LOOP('',(#245177,#245178,#245179,#245180)); #44967=EDGE_LOOP('',(#245181,#245182,#245183,#245184)); #44968=EDGE_LOOP('',(#245185,#245186,#245187,#245188)); #44969=EDGE_LOOP('',(#245189,#245190,#245191,#245192,#245193,#245194,#245195, #245196,#245197,#245198,#245199,#245200,#245201,#245202,#245203,#245204, #245205,#245206,#245207,#245208,#245209,#245210,#245211)); #44970=EDGE_LOOP('',(#245212)); #44971=EDGE_LOOP('',(#245213,#245214,#245215,#245216,#245217,#245218,#245219, #245220,#245221,#245222,#245223,#245224,#245225,#245226,#245227,#245228, #245229,#245230,#245231,#245232,#245233,#245234,#245235)); #44972=EDGE_LOOP('',(#245236)); #44973=EDGE_LOOP('',(#245237,#245238,#245239,#245240)); #44974=EDGE_LOOP('',(#245241,#245242,#245243,#245244)); #44975=EDGE_LOOP('',(#245245,#245246,#245247,#245248)); #44976=EDGE_LOOP('',(#245249,#245250,#245251,#245252)); #44977=EDGE_LOOP('',(#245253,#245254,#245255,#245256)); #44978=EDGE_LOOP('',(#245257,#245258,#245259,#245260)); #44979=EDGE_LOOP('',(#245261,#245262,#245263,#245264)); #44980=EDGE_LOOP('',(#245265,#245266,#245267,#245268)); #44981=EDGE_LOOP('',(#245269,#245270,#245271,#245272)); #44982=EDGE_LOOP('',(#245273,#245274,#245275,#245276)); #44983=EDGE_LOOP('',(#245277,#245278,#245279,#245280)); #44984=EDGE_LOOP('',(#245281,#245282,#245283,#245284)); #44985=EDGE_LOOP('',(#245285,#245286,#245287,#245288)); #44986=EDGE_LOOP('',(#245289,#245290,#245291,#245292)); #44987=EDGE_LOOP('',(#245293,#245294,#245295,#245296)); #44988=EDGE_LOOP('',(#245297,#245298,#245299,#245300)); #44989=EDGE_LOOP('',(#245301,#245302,#245303,#245304)); #44990=EDGE_LOOP('',(#245305,#245306,#245307,#245308)); #44991=EDGE_LOOP('',(#245309,#245310,#245311,#245312)); #44992=EDGE_LOOP('',(#245313,#245314,#245315,#245316)); #44993=EDGE_LOOP('',(#245317,#245318,#245319,#245320)); #44994=EDGE_LOOP('',(#245321,#245322,#245323,#245324)); #44995=EDGE_LOOP('',(#245325,#245326,#245327,#245328)); #44996=EDGE_LOOP('',(#245329,#245330,#245331,#245332)); #44997=EDGE_LOOP('',(#245333,#245334,#245335,#245336,#245337,#245338,#245339, #245340,#245341,#245342,#245343,#245344,#245345,#245346,#245347,#245348, #245349,#245350,#245351,#245352,#245353,#245354,#245355,#245356)); #44998=EDGE_LOOP('',(#245357,#245358,#245359,#245360,#245361,#245362,#245363, #245364,#245365,#245366,#245367,#245368,#245369,#245370,#245371,#245372, #245373,#245374,#245375,#245376,#245377,#245378,#245379,#245380)); #44999=EDGE_LOOP('',(#245381,#245382,#245383,#245384)); #45000=EDGE_LOOP('',(#245385,#245386,#245387,#245388)); #45001=EDGE_LOOP('',(#245389,#245390,#245391,#245392)); #45002=EDGE_LOOP('',(#245393,#245394,#245395,#245396)); #45003=EDGE_LOOP('',(#245397,#245398,#245399,#245400)); #45004=EDGE_LOOP('',(#245401,#245402,#245403,#245404)); #45005=EDGE_LOOP('',(#245405)); #45006=EDGE_LOOP('',(#245406,#245407,#245408,#245409)); #45007=EDGE_LOOP('',(#245410)); #45008=EDGE_LOOP('',(#245411,#245412,#245413,#245414)); #45009=EDGE_LOOP('',(#245415,#245416,#245417,#245418)); #45010=EDGE_LOOP('',(#245419,#245420,#245421,#245422)); #45011=EDGE_LOOP('',(#245423,#245424,#245425,#245426)); #45012=EDGE_LOOP('',(#245427,#245428,#245429,#245430)); #45013=EDGE_LOOP('',(#245431,#245432,#245433,#245434)); #45014=EDGE_LOOP('',(#245435,#245436,#245437,#245438)); #45015=EDGE_LOOP('',(#245439,#245440,#245441,#245442)); #45016=EDGE_LOOP('',(#245443,#245444,#245445,#245446)); #45017=EDGE_LOOP('',(#245447,#245448,#245449,#245450)); #45018=EDGE_LOOP('',(#245451,#245452,#245453,#245454)); #45019=EDGE_LOOP('',(#245455,#245456,#245457,#245458)); #45020=EDGE_LOOP('',(#245459,#245460,#245461,#245462)); #45021=EDGE_LOOP('',(#245463,#245464,#245465,#245466)); #45022=EDGE_LOOP('',(#245467,#245468,#245469,#245470)); #45023=EDGE_LOOP('',(#245471,#245472,#245473,#245474)); #45024=EDGE_LOOP('',(#245475,#245476,#245477,#245478)); #45025=EDGE_LOOP('',(#245479,#245480,#245481,#245482)); #45026=EDGE_LOOP('',(#245483,#245484,#245485,#245486)); #45027=EDGE_LOOP('',(#245487,#245488,#245489,#245490)); #45028=EDGE_LOOP('',(#245491,#245492,#245493,#245494)); #45029=EDGE_LOOP('',(#245495,#245496,#245497,#245498)); #45030=EDGE_LOOP('',(#245499,#245500,#245501,#245502)); #45031=EDGE_LOOP('',(#245503,#245504,#245505,#245506)); #45032=EDGE_LOOP('',(#245507,#245508,#245509,#245510)); #45033=EDGE_LOOP('',(#245511,#245512,#245513,#245514,#245515,#245516,#245517, #245518,#245519,#245520,#245521,#245522,#245523,#245524,#245525,#245526, #245527,#245528,#245529,#245530,#245531,#245532,#245533,#245534)); #45034=EDGE_LOOP('',(#245535)); #45035=EDGE_LOOP('',(#245536,#245537,#245538,#245539,#245540,#245541,#245542, #245543,#245544,#245545,#245546,#245547,#245548,#245549,#245550,#245551, #245552,#245553,#245554,#245555,#245556,#245557,#245558,#245559)); #45036=EDGE_LOOP('',(#245560)); #45037=EDGE_LOOP('',(#245561,#245562,#245563,#245564)); #45038=EDGE_LOOP('',(#245565,#245566,#245567,#245568)); #45039=EDGE_LOOP('',(#245569,#245570,#245571,#245572)); #45040=EDGE_LOOP('',(#245573,#245574,#245575,#245576)); #45041=EDGE_LOOP('',(#245577,#245578,#245579,#245580)); #45042=EDGE_LOOP('',(#245581,#245582,#245583,#245584)); #45043=EDGE_LOOP('',(#245585,#245586,#245587,#245588)); #45044=EDGE_LOOP('',(#245589,#245590,#245591,#245592)); #45045=EDGE_LOOP('',(#245593,#245594,#245595,#245596)); #45046=EDGE_LOOP('',(#245597,#245598,#245599,#245600)); #45047=EDGE_LOOP('',(#245601,#245602,#245603,#245604)); #45048=EDGE_LOOP('',(#245605,#245606,#245607,#245608)); #45049=EDGE_LOOP('',(#245609,#245610,#245611,#245612)); #45050=EDGE_LOOP('',(#245613,#245614,#245615,#245616)); #45051=EDGE_LOOP('',(#245617,#245618,#245619,#245620)); #45052=EDGE_LOOP('',(#245621,#245622,#245623,#245624)); #45053=EDGE_LOOP('',(#245625,#245626,#245627,#245628,#245629,#245630,#245631, #245632,#245633,#245634,#245635,#245636,#245637,#245638,#245639)); #45054=EDGE_LOOP('',(#245640)); #45055=EDGE_LOOP('',(#245641,#245642,#245643,#245644,#245645,#245646,#245647, #245648,#245649,#245650,#245651,#245652,#245653,#245654,#245655)); #45056=EDGE_LOOP('',(#245656)); #45057=EDGE_LOOP('',(#245657,#245658,#245659,#245660)); #45058=EDGE_LOOP('',(#245661,#245662,#245663,#245664)); #45059=EDGE_LOOP('',(#245665,#245666,#245667,#245668)); #45060=EDGE_LOOP('',(#245669,#245670,#245671,#245672)); #45061=EDGE_LOOP('',(#245673,#245674,#245675,#245676)); #45062=EDGE_LOOP('',(#245677,#245678,#245679,#245680)); #45063=EDGE_LOOP('',(#245681,#245682,#245683,#245684)); #45064=EDGE_LOOP('',(#245685,#245686,#245687,#245688)); #45065=EDGE_LOOP('',(#245689,#245690,#245691,#245692)); #45066=EDGE_LOOP('',(#245693,#245694,#245695,#245696)); #45067=EDGE_LOOP('',(#245697,#245698,#245699,#245700)); #45068=EDGE_LOOP('',(#245701,#245702,#245703,#245704)); #45069=EDGE_LOOP('',(#245705,#245706,#245707,#245708)); #45070=EDGE_LOOP('',(#245709,#245710,#245711,#245712)); #45071=EDGE_LOOP('',(#245713,#245714,#245715,#245716)); #45072=EDGE_LOOP('',(#245717,#245718,#245719,#245720)); #45073=EDGE_LOOP('',(#245721,#245722,#245723,#245724)); #45074=EDGE_LOOP('',(#245725,#245726,#245727,#245728)); #45075=EDGE_LOOP('',(#245729,#245730,#245731,#245732)); #45076=EDGE_LOOP('',(#245733,#245734,#245735,#245736)); #45077=EDGE_LOOP('',(#245737,#245738,#245739,#245740)); #45078=EDGE_LOOP('',(#245741,#245742,#245743,#245744)); #45079=EDGE_LOOP('',(#245745,#245746,#245747,#245748)); #45080=EDGE_LOOP('',(#245749,#245750,#245751,#245752)); #45081=EDGE_LOOP('',(#245753,#245754,#245755,#245756)); #45082=EDGE_LOOP('',(#245757,#245758,#245759,#245760)); #45083=EDGE_LOOP('',(#245761,#245762,#245763,#245764)); #45084=EDGE_LOOP('',(#245765,#245766,#245767,#245768)); #45085=EDGE_LOOP('',(#245769,#245770,#245771,#245772)); #45086=EDGE_LOOP('',(#245773,#245774,#245775,#245776)); #45087=EDGE_LOOP('',(#245777,#245778,#245779,#245780)); #45088=EDGE_LOOP('',(#245781,#245782,#245783,#245784)); #45089=EDGE_LOOP('',(#245785,#245786,#245787,#245788)); #45090=EDGE_LOOP('',(#245789,#245790,#245791,#245792)); #45091=EDGE_LOOP('',(#245793,#245794,#245795,#245796)); #45092=EDGE_LOOP('',(#245797,#245798,#245799,#245800)); #45093=EDGE_LOOP('',(#245801,#245802,#245803,#245804)); #45094=EDGE_LOOP('',(#245805,#245806,#245807,#245808)); #45095=EDGE_LOOP('',(#245809,#245810,#245811,#245812)); #45096=EDGE_LOOP('',(#245813,#245814,#245815,#245816)); #45097=EDGE_LOOP('',(#245817,#245818,#245819,#245820)); #45098=EDGE_LOOP('',(#245821,#245822,#245823,#245824)); #45099=EDGE_LOOP('',(#245825,#245826,#245827,#245828)); #45100=EDGE_LOOP('',(#245829,#245830,#245831,#245832)); #45101=EDGE_LOOP('',(#245833,#245834,#245835,#245836,#245837,#245838,#245839, #245840,#245841,#245842,#245843,#245844,#245845,#245846,#245847,#245848, #245849,#245850,#245851,#245852,#245853,#245854,#245855,#245856,#245857, #245858,#245859,#245860,#245861,#245862,#245863,#245864,#245865,#245866, #245867,#245868,#245869,#245870,#245871,#245872,#245873,#245874,#245875)); #45102=EDGE_LOOP('',(#245876)); #45103=EDGE_LOOP('',(#245877,#245878,#245879,#245880,#245881,#245882,#245883, #245884,#245885,#245886,#245887,#245888,#245889,#245890,#245891,#245892, #245893,#245894,#245895,#245896,#245897,#245898,#245899,#245900,#245901, #245902,#245903,#245904,#245905,#245906,#245907,#245908,#245909,#245910, #245911,#245912,#245913,#245914,#245915,#245916,#245917,#245918,#245919)); #45104=EDGE_LOOP('',(#245920)); #45105=EDGE_LOOP('',(#245921,#245922,#245923,#245924)); #45106=EDGE_LOOP('',(#245925,#245926,#245927,#245928)); #45107=EDGE_LOOP('',(#245929,#245930,#245931,#245932)); #45108=EDGE_LOOP('',(#245933,#245934,#245935,#245936)); #45109=EDGE_LOOP('',(#245937,#245938,#245939,#245940)); #45110=EDGE_LOOP('',(#245941,#245942,#245943,#245944)); #45111=EDGE_LOOP('',(#245945,#245946,#245947,#245948)); #45112=EDGE_LOOP('',(#245949,#245950,#245951,#245952)); #45113=EDGE_LOOP('',(#245953,#245954,#245955,#245956)); #45114=EDGE_LOOP('',(#245957,#245958,#245959,#245960)); #45115=EDGE_LOOP('',(#245961,#245962,#245963,#245964)); #45116=EDGE_LOOP('',(#245965,#245966,#245967,#245968)); #45117=EDGE_LOOP('',(#245969,#245970,#245971,#245972)); #45118=EDGE_LOOP('',(#245973,#245974,#245975,#245976)); #45119=EDGE_LOOP('',(#245977,#245978,#245979,#245980)); #45120=EDGE_LOOP('',(#245981,#245982,#245983,#245984)); #45121=EDGE_LOOP('',(#245985,#245986,#245987,#245988)); #45122=EDGE_LOOP('',(#245989,#245990,#245991,#245992)); #45123=EDGE_LOOP('',(#245993,#245994,#245995,#245996)); #45124=EDGE_LOOP('',(#245997,#245998,#245999,#246000)); #45125=EDGE_LOOP('',(#246001,#246002,#246003,#246004)); #45126=EDGE_LOOP('',(#246005,#246006,#246007,#246008)); #45127=EDGE_LOOP('',(#246009,#246010,#246011,#246012,#246013,#246014,#246015, #246016,#246017,#246018,#246019,#246020,#246021,#246022,#246023,#246024, #246025,#246026,#246027,#246028,#246029)); #45128=EDGE_LOOP('',(#246030)); #45129=EDGE_LOOP('',(#246031,#246032,#246033,#246034,#246035,#246036,#246037, #246038,#246039,#246040,#246041,#246042,#246043,#246044,#246045,#246046, #246047,#246048,#246049,#246050,#246051)); #45130=EDGE_LOOP('',(#246052)); #45131=EDGE_LOOP('',(#246053,#246054,#246055,#246056)); #45132=EDGE_LOOP('',(#246057,#246058,#246059,#246060)); #45133=EDGE_LOOP('',(#246061,#246062,#246063,#246064)); #45134=EDGE_LOOP('',(#246065,#246066,#246067,#246068)); #45135=EDGE_LOOP('',(#246069,#246070,#246071,#246072)); #45136=EDGE_LOOP('',(#246073,#246074,#246075,#246076)); #45137=EDGE_LOOP('',(#246077)); #45138=EDGE_LOOP('',(#246078,#246079,#246080,#246081)); #45139=EDGE_LOOP('',(#246082)); #45140=EDGE_LOOP('',(#246083,#246084,#246085,#246086)); #45141=EDGE_LOOP('',(#246087,#246088,#246089,#246090)); #45142=EDGE_LOOP('',(#246091,#246092,#246093,#246094)); #45143=EDGE_LOOP('',(#246095,#246096,#246097,#246098)); #45144=EDGE_LOOP('',(#246099,#246100,#246101,#246102)); #45145=EDGE_LOOP('',(#246103,#246104,#246105,#246106)); #45146=EDGE_LOOP('',(#246107)); #45147=EDGE_LOOP('',(#246108,#246109,#246110,#246111)); #45148=EDGE_LOOP('',(#246112)); #45149=EDGE_LOOP('',(#246113,#246114,#246115,#246116)); #45150=EDGE_LOOP('',(#246117,#246118,#246119,#246120)); #45151=EDGE_LOOP('',(#246121,#246122,#246123,#246124)); #45152=EDGE_LOOP('',(#246125,#246126,#246127,#246128)); #45153=EDGE_LOOP('',(#246129,#246130,#246131,#246132)); #45154=EDGE_LOOP('',(#246133,#246134,#246135,#246136)); #45155=EDGE_LOOP('',(#246137,#246138,#246139,#246140)); #45156=EDGE_LOOP('',(#246141,#246142,#246143,#246144)); #45157=EDGE_LOOP('',(#246145,#246146,#246147,#246148)); #45158=EDGE_LOOP('',(#246149,#246150,#246151,#246152)); #45159=EDGE_LOOP('',(#246153,#246154,#246155,#246156)); #45160=EDGE_LOOP('',(#246157,#246158,#246159,#246160)); #45161=EDGE_LOOP('',(#246161,#246162,#246163,#246164)); #45162=EDGE_LOOP('',(#246165,#246166,#246167,#246168)); #45163=EDGE_LOOP('',(#246169,#246170,#246171,#246172)); #45164=EDGE_LOOP('',(#246173,#246174,#246175,#246176,#246177,#246178,#246179, #246180,#246181,#246182,#246183,#246184,#246185,#246186)); #45165=EDGE_LOOP('',(#246187)); #45166=EDGE_LOOP('',(#246188,#246189,#246190,#246191,#246192,#246193,#246194, #246195,#246196,#246197,#246198,#246199,#246200,#246201)); #45167=EDGE_LOOP('',(#246202)); #45168=EDGE_LOOP('',(#246203,#246204,#246205,#246206)); #45169=EDGE_LOOP('',(#246207,#246208,#246209,#246210)); #45170=EDGE_LOOP('',(#246211,#246212,#246213,#246214)); #45171=EDGE_LOOP('',(#246215,#246216,#246217,#246218)); #45172=EDGE_LOOP('',(#246219,#246220,#246221)); #45173=EDGE_LOOP('',(#246222)); #45174=EDGE_LOOP('',(#246223,#246224,#246225)); #45175=EDGE_LOOP('',(#246226)); #45176=EDGE_LOOP('',(#246227,#246228,#246229,#246230)); #45177=EDGE_LOOP('',(#246231,#246232,#246233,#246234)); #45178=EDGE_LOOP('',(#246235,#246236,#246237,#246238)); #45179=EDGE_LOOP('',(#246239,#246240,#246241,#246242)); #45180=EDGE_LOOP('',(#246243,#246244,#246245,#246246)); #45181=EDGE_LOOP('',(#246247,#246248,#246249,#246250)); #45182=EDGE_LOOP('',(#246251,#246252,#246253,#246254)); #45183=EDGE_LOOP('',(#246255,#246256,#246257,#246258)); #45184=EDGE_LOOP('',(#246259,#246260,#246261,#246262)); #45185=EDGE_LOOP('',(#246263,#246264,#246265,#246266,#246267,#246268,#246269)); #45186=EDGE_LOOP('',(#246270)); #45187=EDGE_LOOP('',(#246271)); #45188=EDGE_LOOP('',(#246272,#246273,#246274,#246275,#246276,#246277,#246278)); #45189=EDGE_LOOP('',(#246279)); #45190=EDGE_LOOP('',(#246280)); #45191=EDGE_LOOP('',(#246281,#246282,#246283,#246284)); #45192=EDGE_LOOP('',(#246285,#246286,#246287,#246288)); #45193=EDGE_LOOP('',(#246289,#246290,#246291,#246292)); #45194=EDGE_LOOP('',(#246293,#246294,#246295,#246296)); #45195=EDGE_LOOP('',(#246297,#246298,#246299)); #45196=EDGE_LOOP('',(#246300)); #45197=EDGE_LOOP('',(#246301,#246302,#246303)); #45198=EDGE_LOOP('',(#246304)); #45199=EDGE_LOOP('',(#246305,#246306,#246307,#246308)); #45200=EDGE_LOOP('',(#246309,#246310,#246311,#246312)); #45201=EDGE_LOOP('',(#246313,#246314,#246315,#246316)); #45202=EDGE_LOOP('',(#246317,#246318,#246319,#246320)); #45203=EDGE_LOOP('',(#246321,#246322,#246323,#246324)); #45204=EDGE_LOOP('',(#246325,#246326,#246327,#246328)); #45205=EDGE_LOOP('',(#246329)); #45206=EDGE_LOOP('',(#246330,#246331,#246332,#246333)); #45207=EDGE_LOOP('',(#246334)); #45208=EDGE_LOOP('',(#246335,#246336,#246337,#246338)); #45209=EDGE_LOOP('',(#246339,#246340,#246341,#246342)); #45210=EDGE_LOOP('',(#246343,#246344,#246345,#246346)); #45211=EDGE_LOOP('',(#246347,#246348,#246349,#246350)); #45212=EDGE_LOOP('',(#246351,#246352,#246353,#246354)); #45213=EDGE_LOOP('',(#246355,#246356,#246357,#246358)); #45214=EDGE_LOOP('',(#246359,#246360,#246361,#246362)); #45215=EDGE_LOOP('',(#246363,#246364,#246365,#246366)); #45216=EDGE_LOOP('',(#246367,#246368,#246369,#246370)); #45217=EDGE_LOOP('',(#246371,#246372,#246373,#246374)); #45218=EDGE_LOOP('',(#246375,#246376,#246377,#246378)); #45219=EDGE_LOOP('',(#246379,#246380,#246381,#246382)); #45220=EDGE_LOOP('',(#246383,#246384,#246385,#246386)); #45221=EDGE_LOOP('',(#246387,#246388,#246389,#246390)); #45222=EDGE_LOOP('',(#246391,#246392,#246393,#246394)); #45223=EDGE_LOOP('',(#246395,#246396,#246397,#246398)); #45224=EDGE_LOOP('',(#246399,#246400,#246401,#246402)); #45225=EDGE_LOOP('',(#246403,#246404,#246405,#246406)); #45226=EDGE_LOOP('',(#246407,#246408,#246409,#246410)); #45227=EDGE_LOOP('',(#246411,#246412,#246413,#246414)); #45228=EDGE_LOOP('',(#246415,#246416,#246417,#246418)); #45229=EDGE_LOOP('',(#246419,#246420,#246421,#246422)); #45230=EDGE_LOOP('',(#246423,#246424,#246425,#246426)); #45231=EDGE_LOOP('',(#246427,#246428,#246429,#246430)); #45232=EDGE_LOOP('',(#246431,#246432,#246433,#246434)); #45233=EDGE_LOOP('',(#246435,#246436,#246437,#246438)); #45234=EDGE_LOOP('',(#246439,#246440,#246441,#246442)); #45235=EDGE_LOOP('',(#246443,#246444,#246445,#246446)); #45236=EDGE_LOOP('',(#246447,#246448,#246449,#246450)); #45237=EDGE_LOOP('',(#246451,#246452,#246453,#246454)); #45238=EDGE_LOOP('',(#246455,#246456,#246457,#246458)); #45239=EDGE_LOOP('',(#246459,#246460,#246461,#246462)); #45240=EDGE_LOOP('',(#246463,#246464,#246465,#246466)); #45241=EDGE_LOOP('',(#246467,#246468,#246469,#246470)); #45242=EDGE_LOOP('',(#246471,#246472,#246473,#246474)); #45243=EDGE_LOOP('',(#246475,#246476,#246477,#246478)); #45244=EDGE_LOOP('',(#246479,#246480,#246481,#246482)); #45245=EDGE_LOOP('',(#246483,#246484,#246485,#246486)); #45246=EDGE_LOOP('',(#246487,#246488,#246489,#246490)); #45247=EDGE_LOOP('',(#246491,#246492,#246493,#246494)); #45248=EDGE_LOOP('',(#246495,#246496,#246497,#246498)); #45249=EDGE_LOOP('',(#246499,#246500,#246501,#246502)); #45250=EDGE_LOOP('',(#246503,#246504,#246505,#246506)); #45251=EDGE_LOOP('',(#246507,#246508,#246509,#246510)); #45252=EDGE_LOOP('',(#246511,#246512,#246513,#246514,#246515,#246516,#246517, #246518,#246519,#246520,#246521,#246522,#246523,#246524,#246525,#246526, #246527,#246528,#246529,#246530,#246531,#246532,#246533,#246534,#246535, #246536,#246537,#246538,#246539,#246540,#246541,#246542,#246543,#246544, #246545,#246546,#246547,#246548,#246549,#246550,#246551,#246552,#246553)); #45253=EDGE_LOOP('',(#246554)); #45254=EDGE_LOOP('',(#246555,#246556,#246557,#246558,#246559,#246560,#246561, #246562,#246563,#246564,#246565,#246566,#246567,#246568,#246569,#246570, #246571,#246572,#246573,#246574,#246575,#246576,#246577,#246578,#246579, #246580,#246581,#246582,#246583,#246584,#246585,#246586,#246587,#246588, #246589,#246590,#246591,#246592,#246593,#246594,#246595,#246596,#246597)); #45255=EDGE_LOOP('',(#246598)); #45256=EDGE_LOOP('',(#246599,#246600,#246601,#246602)); #45257=EDGE_LOOP('',(#246603,#246604,#246605,#246606)); #45258=EDGE_LOOP('',(#246607,#246608,#246609,#246610)); #45259=EDGE_LOOP('',(#246611,#246612,#246613,#246614)); #45260=EDGE_LOOP('',(#246615,#246616,#246617,#246618)); #45261=EDGE_LOOP('',(#246619,#246620,#246621,#246622)); #45262=EDGE_LOOP('',(#246623,#246624,#246625,#246626)); #45263=EDGE_LOOP('',(#246627,#246628,#246629,#246630)); #45264=EDGE_LOOP('',(#246631,#246632,#246633,#246634)); #45265=EDGE_LOOP('',(#246635,#246636,#246637,#246638)); #45266=EDGE_LOOP('',(#246639,#246640,#246641,#246642)); #45267=EDGE_LOOP('',(#246643,#246644,#246645,#246646)); #45268=EDGE_LOOP('',(#246647,#246648,#246649,#246650)); #45269=EDGE_LOOP('',(#246651,#246652,#246653,#246654)); #45270=EDGE_LOOP('',(#246655,#246656,#246657,#246658)); #45271=EDGE_LOOP('',(#246659,#246660,#246661,#246662)); #45272=EDGE_LOOP('',(#246663,#246664,#246665,#246666)); #45273=EDGE_LOOP('',(#246667,#246668,#246669,#246670)); #45274=EDGE_LOOP('',(#246671,#246672,#246673,#246674)); #45275=EDGE_LOOP('',(#246675,#246676,#246677,#246678)); #45276=EDGE_LOOP('',(#246679,#246680,#246681,#246682)); #45277=EDGE_LOOP('',(#246683,#246684,#246685,#246686)); #45278=EDGE_LOOP('',(#246687,#246688,#246689,#246690,#246691,#246692,#246693, #246694,#246695,#246696,#246697,#246698,#246699,#246700,#246701,#246702, #246703,#246704,#246705,#246706,#246707)); #45279=EDGE_LOOP('',(#246708)); #45280=EDGE_LOOP('',(#246709,#246710,#246711,#246712,#246713,#246714,#246715, #246716,#246717,#246718,#246719,#246720,#246721,#246722,#246723,#246724, #246725,#246726,#246727,#246728,#246729)); #45281=EDGE_LOOP('',(#246730)); #45282=EDGE_LOOP('',(#246731,#246732,#246733,#246734)); #45283=EDGE_LOOP('',(#246735,#246736,#246737,#246738)); #45284=EDGE_LOOP('',(#246739,#246740,#246741,#246742)); #45285=EDGE_LOOP('',(#246743,#246744,#246745,#246746)); #45286=EDGE_LOOP('',(#246747,#246748,#246749,#246750)); #45287=EDGE_LOOP('',(#246751,#246752,#246753,#246754)); #45288=EDGE_LOOP('',(#246755,#246756,#246757,#246758)); #45289=EDGE_LOOP('',(#246759,#246760,#246761,#246762)); #45290=EDGE_LOOP('',(#246763,#246764,#246765,#246766)); #45291=EDGE_LOOP('',(#246767,#246768,#246769,#246770)); #45292=EDGE_LOOP('',(#246771,#246772,#246773,#246774)); #45293=EDGE_LOOP('',(#246775,#246776,#246777,#246778)); #45294=EDGE_LOOP('',(#246779,#246780,#246781,#246782,#246783,#246784,#246785, #246786,#246787,#246788,#246789,#246790)); #45295=EDGE_LOOP('',(#246791,#246792,#246793,#246794,#246795,#246796,#246797, #246798,#246799,#246800,#246801,#246802)); #45296=EDGE_LOOP('',(#246803,#246804,#246805,#246806)); #45297=EDGE_LOOP('',(#246807,#246808,#246809,#246810)); #45298=EDGE_LOOP('',(#246811,#246812,#246813,#246814)); #45299=EDGE_LOOP('',(#246815,#246816,#246817,#246818)); #45300=EDGE_LOOP('',(#246819,#246820,#246821,#246822)); #45301=EDGE_LOOP('',(#246823,#246824,#246825,#246826)); #45302=EDGE_LOOP('',(#246827,#246828,#246829,#246830)); #45303=EDGE_LOOP('',(#246831,#246832,#246833,#246834)); #45304=EDGE_LOOP('',(#246835,#246836,#246837,#246838)); #45305=EDGE_LOOP('',(#246839,#246840,#246841,#246842)); #45306=EDGE_LOOP('',(#246843,#246844,#246845,#246846)); #45307=EDGE_LOOP('',(#246847,#246848,#246849,#246850)); #45308=EDGE_LOOP('',(#246851,#246852,#246853,#246854,#246855,#246856,#246857, #246858,#246859,#246860,#246861,#246862)); #45309=EDGE_LOOP('',(#246863,#246864,#246865,#246866,#246867,#246868,#246869, #246870,#246871,#246872,#246873,#246874)); #45310=EDGE_LOOP('',(#246875,#246876,#246877,#246878)); #45311=EDGE_LOOP('',(#246879,#246880,#246881,#246882)); #45312=EDGE_LOOP('',(#246883,#246884,#246885,#246886)); #45313=EDGE_LOOP('',(#246887,#246888,#246889,#246890)); #45314=EDGE_LOOP('',(#246891,#246892,#246893,#246894)); #45315=EDGE_LOOP('',(#246895,#246896,#246897,#246898)); #45316=EDGE_LOOP('',(#246899,#246900,#246901,#246902)); #45317=EDGE_LOOP('',(#246903,#246904,#246905,#246906)); #45318=EDGE_LOOP('',(#246907,#246908,#246909,#246910)); #45319=EDGE_LOOP('',(#246911,#246912,#246913,#246914)); #45320=EDGE_LOOP('',(#246915,#246916,#246917,#246918)); #45321=EDGE_LOOP('',(#246919,#246920,#246921,#246922)); #45322=EDGE_LOOP('',(#246923,#246924,#246925,#246926)); #45323=EDGE_LOOP('',(#246927,#246928,#246929,#246930)); #45324=EDGE_LOOP('',(#246931,#246932,#246933,#246934)); #45325=EDGE_LOOP('',(#246935,#246936,#246937,#246938)); #45326=EDGE_LOOP('',(#246939,#246940,#246941,#246942)); #45327=EDGE_LOOP('',(#246943,#246944,#246945,#246946)); #45328=EDGE_LOOP('',(#246947,#246948,#246949,#246950,#246951,#246952,#246953, #246954,#246955,#246956,#246957,#246958,#246959,#246960,#246961,#246962, #246963)); #45329=EDGE_LOOP('',(#246964)); #45330=EDGE_LOOP('',(#246965,#246966,#246967,#246968,#246969,#246970,#246971, #246972,#246973,#246974,#246975,#246976,#246977,#246978,#246979,#246980, #246981)); #45331=EDGE_LOOP('',(#246982)); #45332=EDGE_LOOP('',(#246983,#246984,#246985,#246986)); #45333=EDGE_LOOP('',(#246987,#246988,#246989,#246990)); #45334=EDGE_LOOP('',(#246991,#246992,#246993,#246994)); #45335=EDGE_LOOP('',(#246995,#246996,#246997,#246998)); #45336=EDGE_LOOP('',(#246999,#247000,#247001,#247002)); #45337=EDGE_LOOP('',(#247003,#247004,#247005,#247006)); #45338=EDGE_LOOP('',(#247007,#247008,#247009,#247010)); #45339=EDGE_LOOP('',(#247011,#247012,#247013,#247014)); #45340=EDGE_LOOP('',(#247015,#247016,#247017,#247018)); #45341=EDGE_LOOP('',(#247019,#247020,#247021,#247022)); #45342=EDGE_LOOP('',(#247023,#247024,#247025,#247026)); #45343=EDGE_LOOP('',(#247027,#247028,#247029,#247030)); #45344=EDGE_LOOP('',(#247031,#247032,#247033,#247034)); #45345=EDGE_LOOP('',(#247035,#247036,#247037,#247038)); #45346=EDGE_LOOP('',(#247039,#247040,#247041,#247042)); #45347=EDGE_LOOP('',(#247043,#247044,#247045,#247046)); #45348=EDGE_LOOP('',(#247047,#247048,#247049,#247050)); #45349=EDGE_LOOP('',(#247051,#247052,#247053,#247054)); #45350=EDGE_LOOP('',(#247055,#247056,#247057,#247058)); #45351=EDGE_LOOP('',(#247059,#247060,#247061,#247062)); #45352=EDGE_LOOP('',(#247063,#247064,#247065,#247066)); #45353=EDGE_LOOP('',(#247067,#247068,#247069,#247070)); #45354=EDGE_LOOP('',(#247071,#247072,#247073,#247074)); #45355=EDGE_LOOP('',(#247075,#247076,#247077,#247078,#247079,#247080,#247081, #247082,#247083,#247084,#247085,#247086,#247087,#247088,#247089,#247090, #247091,#247092,#247093,#247094,#247095,#247096)); #45356=EDGE_LOOP('',(#247097)); #45357=EDGE_LOOP('',(#247098,#247099,#247100,#247101,#247102,#247103,#247104, #247105,#247106,#247107,#247108,#247109,#247110,#247111,#247112,#247113, #247114,#247115,#247116,#247117,#247118,#247119)); #45358=EDGE_LOOP('',(#247120)); #45359=EDGE_LOOP('',(#247121,#247122,#247123,#247124)); #45360=EDGE_LOOP('',(#247125,#247126,#247127,#247128)); #45361=EDGE_LOOP('',(#247129,#247130,#247131,#247132)); #45362=EDGE_LOOP('',(#247133,#247134,#247135,#247136)); #45363=EDGE_LOOP('',(#247137,#247138,#247139,#247140)); #45364=EDGE_LOOP('',(#247141,#247142,#247143,#247144)); #45365=EDGE_LOOP('',(#247145,#247146,#247147,#247148)); #45366=EDGE_LOOP('',(#247149,#247150,#247151,#247152)); #45367=EDGE_LOOP('',(#247153,#247154,#247155,#247156)); #45368=EDGE_LOOP('',(#247157,#247158,#247159,#247160)); #45369=EDGE_LOOP('',(#247161,#247162,#247163,#247164)); #45370=EDGE_LOOP('',(#247165,#247166,#247167,#247168)); #45371=EDGE_LOOP('',(#247169,#247170,#247171,#247172)); #45372=EDGE_LOOP('',(#247173,#247174,#247175,#247176,#247177,#247178,#247179, #247180,#247181,#247182,#247183,#247184)); #45373=EDGE_LOOP('',(#247185)); #45374=EDGE_LOOP('',(#247186,#247187,#247188,#247189,#247190,#247191,#247192, #247193,#247194,#247195,#247196,#247197)); #45375=EDGE_LOOP('',(#247198)); #45376=EDGE_LOOP('',(#247199,#247200,#247201,#247202)); #45377=EDGE_LOOP('',(#247203,#247204,#247205,#247206)); #45378=EDGE_LOOP('',(#247207,#247208,#247209,#247210)); #45379=EDGE_LOOP('',(#247211,#247212,#247213,#247214)); #45380=EDGE_LOOP('',(#247215,#247216,#247217,#247218)); #45381=EDGE_LOOP('',(#247219,#247220,#247221,#247222)); #45382=EDGE_LOOP('',(#247223,#247224,#247225,#247226)); #45383=EDGE_LOOP('',(#247227,#247228,#247229,#247230)); #45384=EDGE_LOOP('',(#247231,#247232,#247233,#247234)); #45385=EDGE_LOOP('',(#247235,#247236,#247237,#247238)); #45386=EDGE_LOOP('',(#247239,#247240,#247241,#247242)); #45387=EDGE_LOOP('',(#247243,#247244,#247245,#247246)); #45388=EDGE_LOOP('',(#247247,#247248,#247249,#247250)); #45389=EDGE_LOOP('',(#247251,#247252,#247253,#247254)); #45390=EDGE_LOOP('',(#247255,#247256,#247257,#247258)); #45391=EDGE_LOOP('',(#247259,#247260,#247261,#247262)); #45392=EDGE_LOOP('',(#247263,#247264,#247265,#247266)); #45393=EDGE_LOOP('',(#247267,#247268,#247269,#247270)); #45394=EDGE_LOOP('',(#247271,#247272,#247273,#247274)); #45395=EDGE_LOOP('',(#247275,#247276,#247277,#247278)); #45396=EDGE_LOOP('',(#247279,#247280,#247281,#247282)); #45397=EDGE_LOOP('',(#247283,#247284,#247285,#247286)); #45398=EDGE_LOOP('',(#247287,#247288,#247289,#247290)); #45399=EDGE_LOOP('',(#247291,#247292,#247293,#247294)); #45400=EDGE_LOOP('',(#247295,#247296,#247297,#247298)); #45401=EDGE_LOOP('',(#247299,#247300,#247301,#247302)); #45402=EDGE_LOOP('',(#247303,#247304,#247305,#247306)); #45403=EDGE_LOOP('',(#247307,#247308,#247309,#247310)); #45404=EDGE_LOOP('',(#247311,#247312,#247313,#247314)); #45405=EDGE_LOOP('',(#247315,#247316,#247317,#247318)); #45406=EDGE_LOOP('',(#247319,#247320,#247321,#247322)); #45407=EDGE_LOOP('',(#247323,#247324,#247325,#247326)); #45408=EDGE_LOOP('',(#247327,#247328,#247329,#247330)); #45409=EDGE_LOOP('',(#247331,#247332,#247333,#247334)); #45410=EDGE_LOOP('',(#247335,#247336,#247337,#247338)); #45411=EDGE_LOOP('',(#247339,#247340,#247341,#247342)); #45412=EDGE_LOOP('',(#247343,#247344,#247345,#247346,#247347,#247348,#247349, #247350,#247351,#247352,#247353,#247354,#247355,#247356,#247357,#247358, #247359,#247360,#247361,#247362,#247363,#247364,#247365,#247366,#247367, #247368,#247369,#247370,#247371,#247372,#247373,#247374,#247375,#247376, #247377,#247378)); #45413=EDGE_LOOP('',(#247379,#247380,#247381,#247382,#247383,#247384,#247385, #247386,#247387,#247388,#247389,#247390,#247391,#247392,#247393,#247394, #247395,#247396,#247397,#247398,#247399,#247400,#247401,#247402,#247403, #247404,#247405,#247406,#247407,#247408,#247409,#247410,#247411,#247412, #247413,#247414)); #45414=EDGE_LOOP('',(#247415,#247416,#247417,#247418)); #45415=EDGE_LOOP('',(#247419,#247420,#247421,#247422)); #45416=EDGE_LOOP('',(#247423,#247424,#247425,#247426)); #45417=EDGE_LOOP('',(#247427,#247428,#247429,#247430)); #45418=EDGE_LOOP('',(#247431,#247432,#247433,#247434)); #45419=EDGE_LOOP('',(#247435,#247436,#247437,#247438)); #45420=EDGE_LOOP('',(#247439,#247440,#247441,#247442)); #45421=EDGE_LOOP('',(#247443,#247444,#247445,#247446)); #45422=EDGE_LOOP('',(#247447,#247448,#247449,#247450)); #45423=EDGE_LOOP('',(#247451,#247452,#247453,#247454)); #45424=EDGE_LOOP('',(#247455,#247456,#247457,#247458)); #45425=EDGE_LOOP('',(#247459,#247460,#247461,#247462,#247463,#247464,#247465, #247466,#247467,#247468)); #45426=EDGE_LOOP('',(#247469)); #45427=EDGE_LOOP('',(#247470,#247471,#247472,#247473,#247474,#247475,#247476, #247477,#247478,#247479)); #45428=EDGE_LOOP('',(#247480)); #45429=EDGE_LOOP('',(#247481,#247482,#247483,#247484)); #45430=EDGE_LOOP('',(#247485,#247486,#247487,#247488)); #45431=EDGE_LOOP('',(#247489,#247490,#247491,#247492)); #45432=EDGE_LOOP('',(#247493,#247494,#247495,#247496)); #45433=EDGE_LOOP('',(#247497,#247498,#247499,#247500)); #45434=EDGE_LOOP('',(#247501,#247502,#247503,#247504)); #45435=EDGE_LOOP('',(#247505,#247506,#247507,#247508)); #45436=EDGE_LOOP('',(#247509,#247510,#247511,#247512)); #45437=EDGE_LOOP('',(#247513,#247514,#247515,#247516)); #45438=EDGE_LOOP('',(#247517,#247518,#247519,#247520)); #45439=EDGE_LOOP('',(#247521,#247522,#247523,#247524)); #45440=EDGE_LOOP('',(#247525,#247526,#247527,#247528)); #45441=EDGE_LOOP('',(#247529,#247530,#247531,#247532)); #45442=EDGE_LOOP('',(#247533,#247534,#247535,#247536)); #45443=EDGE_LOOP('',(#247537,#247538,#247539,#247540)); #45444=EDGE_LOOP('',(#247541,#247542,#247543,#247544)); #45445=EDGE_LOOP('',(#247545,#247546,#247547,#247548)); #45446=EDGE_LOOP('',(#247549,#247550,#247551,#247552)); #45447=EDGE_LOOP('',(#247553,#247554,#247555,#247556)); #45448=EDGE_LOOP('',(#247557,#247558,#247559,#247560)); #45449=EDGE_LOOP('',(#247561,#247562,#247563,#247564)); #45450=EDGE_LOOP('',(#247565,#247566,#247567,#247568)); #45451=EDGE_LOOP('',(#247569,#247570,#247571,#247572)); #45452=EDGE_LOOP('',(#247573,#247574,#247575,#247576,#247577,#247578,#247579, #247580,#247581,#247582,#247583,#247584,#247585,#247586,#247587,#247588, #247589,#247590,#247591,#247592,#247593)); #45453=EDGE_LOOP('',(#247594)); #45454=EDGE_LOOP('',(#247595)); #45455=EDGE_LOOP('',(#247596,#247597,#247598,#247599,#247600,#247601,#247602, #247603,#247604,#247605,#247606,#247607,#247608,#247609,#247610,#247611, #247612,#247613,#247614,#247615,#247616)); #45456=EDGE_LOOP('',(#247617)); #45457=EDGE_LOOP('',(#247618)); #45458=EDGE_LOOP('',(#247619,#247620,#247621,#247622)); #45459=EDGE_LOOP('',(#247623,#247624,#247625,#247626)); #45460=EDGE_LOOP('',(#247627,#247628,#247629,#247630)); #45461=EDGE_LOOP('',(#247631,#247632,#247633,#247634)); #45462=EDGE_LOOP('',(#247635,#247636,#247637,#247638)); #45463=EDGE_LOOP('',(#247639,#247640,#247641,#247642)); #45464=EDGE_LOOP('',(#247643,#247644,#247645,#247646)); #45465=EDGE_LOOP('',(#247647,#247648,#247649,#247650)); #45466=EDGE_LOOP('',(#247651,#247652,#247653,#247654)); #45467=EDGE_LOOP('',(#247655,#247656,#247657,#247658)); #45468=EDGE_LOOP('',(#247659,#247660,#247661,#247662)); #45469=EDGE_LOOP('',(#247663,#247664,#247665,#247666)); #45470=EDGE_LOOP('',(#247667,#247668,#247669,#247670)); #45471=EDGE_LOOP('',(#247671,#247672,#247673,#247674)); #45472=EDGE_LOOP('',(#247675,#247676,#247677,#247678,#247679,#247680,#247681, #247682,#247683,#247684,#247685,#247686,#247687,#247688)); #45473=EDGE_LOOP('',(#247689,#247690,#247691,#247692,#247693,#247694,#247695, #247696,#247697,#247698,#247699,#247700,#247701,#247702)); #45474=EDGE_LOOP('',(#247703,#247704,#247705,#247706)); #45475=EDGE_LOOP('',(#247707,#247708,#247709,#247710)); #45476=EDGE_LOOP('',(#247711,#247712,#247713,#247714)); #45477=EDGE_LOOP('',(#247715,#247716,#247717,#247718)); #45478=EDGE_LOOP('',(#247719,#247720,#247721,#247722)); #45479=EDGE_LOOP('',(#247723,#247724,#247725,#247726)); #45480=EDGE_LOOP('',(#247727,#247728,#247729,#247730)); #45481=EDGE_LOOP('',(#247731,#247732,#247733,#247734)); #45482=EDGE_LOOP('',(#247735,#247736,#247737,#247738)); #45483=EDGE_LOOP('',(#247739,#247740,#247741,#247742)); #45484=EDGE_LOOP('',(#247743,#247744,#247745,#247746)); #45485=EDGE_LOOP('',(#247747,#247748,#247749,#247750)); #45486=EDGE_LOOP('',(#247751,#247752,#247753,#247754)); #45487=EDGE_LOOP('',(#247755,#247756,#247757,#247758)); #45488=EDGE_LOOP('',(#247759,#247760,#247761,#247762)); #45489=EDGE_LOOP('',(#247763,#247764,#247765,#247766)); #45490=EDGE_LOOP('',(#247767,#247768,#247769,#247770)); #45491=EDGE_LOOP('',(#247771,#247772,#247773,#247774)); #45492=EDGE_LOOP('',(#247775,#247776,#247777,#247778)); #45493=EDGE_LOOP('',(#247779,#247780,#247781,#247782)); #45494=EDGE_LOOP('',(#247783,#247784,#247785,#247786)); #45495=EDGE_LOOP('',(#247787,#247788,#247789,#247790)); #45496=EDGE_LOOP('',(#247791,#247792,#247793,#247794)); #45497=EDGE_LOOP('',(#247795,#247796,#247797,#247798)); #45498=EDGE_LOOP('',(#247799,#247800,#247801,#247802)); #45499=EDGE_LOOP('',(#247803,#247804,#247805,#247806)); #45500=EDGE_LOOP('',(#247807,#247808,#247809,#247810)); #45501=EDGE_LOOP('',(#247811,#247812,#247813,#247814)); #45502=EDGE_LOOP('',(#247815,#247816,#247817,#247818)); #45503=EDGE_LOOP('',(#247819,#247820,#247821,#247822)); #45504=EDGE_LOOP('',(#247823,#247824,#247825,#247826)); #45505=EDGE_LOOP('',(#247827,#247828,#247829,#247830)); #45506=EDGE_LOOP('',(#247831,#247832,#247833,#247834)); #45507=EDGE_LOOP('',(#247835,#247836,#247837,#247838)); #45508=EDGE_LOOP('',(#247839,#247840,#247841,#247842)); #45509=EDGE_LOOP('',(#247843,#247844,#247845,#247846)); #45510=EDGE_LOOP('',(#247847,#247848,#247849,#247850)); #45511=EDGE_LOOP('',(#247851,#247852,#247853,#247854)); #45512=EDGE_LOOP('',(#247855,#247856,#247857,#247858)); #45513=EDGE_LOOP('',(#247859,#247860,#247861,#247862)); #45514=EDGE_LOOP('',(#247863,#247864,#247865,#247866)); #45515=EDGE_LOOP('',(#247867,#247868,#247869,#247870)); #45516=EDGE_LOOP('',(#247871,#247872,#247873,#247874)); #45517=EDGE_LOOP('',(#247875,#247876,#247877,#247878)); #45518=EDGE_LOOP('',(#247879,#247880,#247881,#247882)); #45519=EDGE_LOOP('',(#247883,#247884,#247885,#247886)); #45520=EDGE_LOOP('',(#247887,#247888,#247889,#247890)); #45521=EDGE_LOOP('',(#247891,#247892,#247893,#247894)); #45522=EDGE_LOOP('',(#247895,#247896,#247897,#247898)); #45523=EDGE_LOOP('',(#247899,#247900,#247901,#247902)); #45524=EDGE_LOOP('',(#247903,#247904,#247905,#247906)); #45525=EDGE_LOOP('',(#247907,#247908,#247909,#247910)); #45526=EDGE_LOOP('',(#247911,#247912,#247913,#247914)); #45527=EDGE_LOOP('',(#247915,#247916,#247917,#247918)); #45528=EDGE_LOOP('',(#247919,#247920,#247921,#247922)); #45529=EDGE_LOOP('',(#247923,#247924,#247925,#247926)); #45530=EDGE_LOOP('',(#247927,#247928,#247929,#247930)); #45531=EDGE_LOOP('',(#247931,#247932,#247933,#247934)); #45532=EDGE_LOOP('',(#247935,#247936,#247937,#247938)); #45533=EDGE_LOOP('',(#247939,#247940,#247941,#247942)); #45534=EDGE_LOOP('',(#247943,#247944,#247945,#247946)); #45535=EDGE_LOOP('',(#247947,#247948,#247949,#247950)); #45536=EDGE_LOOP('',(#247951,#247952,#247953,#247954)); #45537=EDGE_LOOP('',(#247955,#247956,#247957,#247958)); #45538=EDGE_LOOP('',(#247959,#247960,#247961,#247962)); #45539=EDGE_LOOP('',(#247963,#247964,#247965,#247966)); #45540=EDGE_LOOP('',(#247967,#247968,#247969,#247970)); #45541=EDGE_LOOP('',(#247971,#247972,#247973,#247974)); #45542=EDGE_LOOP('',(#247975,#247976,#247977,#247978)); #45543=EDGE_LOOP('',(#247979,#247980,#247981,#247982)); #45544=EDGE_LOOP('',(#247983,#247984,#247985,#247986)); #45545=EDGE_LOOP('',(#247987,#247988,#247989,#247990)); #45546=EDGE_LOOP('',(#247991,#247992,#247993,#247994)); #45547=EDGE_LOOP('',(#247995,#247996,#247997,#247998)); #45548=EDGE_LOOP('',(#247999,#248000,#248001,#248002)); #45549=EDGE_LOOP('',(#248003,#248004,#248005,#248006)); #45550=EDGE_LOOP('',(#248007,#248008,#248009,#248010)); #45551=EDGE_LOOP('',(#248011,#248012,#248013,#248014)); #45552=EDGE_LOOP('',(#248015,#248016,#248017,#248018)); #45553=EDGE_LOOP('',(#248019,#248020,#248021,#248022)); #45554=EDGE_LOOP('',(#248023,#248024,#248025,#248026)); #45555=EDGE_LOOP('',(#248027,#248028,#248029,#248030)); #45556=EDGE_LOOP('',(#248031,#248032,#248033,#248034)); #45557=EDGE_LOOP('',(#248035,#248036,#248037,#248038)); #45558=EDGE_LOOP('',(#248039,#248040,#248041,#248042)); #45559=EDGE_LOOP('',(#248043,#248044,#248045,#248046)); #45560=EDGE_LOOP('',(#248047,#248048,#248049,#248050)); #45561=EDGE_LOOP('',(#248051,#248052,#248053,#248054)); #45562=EDGE_LOOP('',(#248055,#248056,#248057,#248058)); #45563=EDGE_LOOP('',(#248059,#248060,#248061,#248062)); #45564=EDGE_LOOP('',(#248063,#248064,#248065,#248066)); #45565=EDGE_LOOP('',(#248067,#248068,#248069,#248070)); #45566=EDGE_LOOP('',(#248071,#248072,#248073,#248074)); #45567=EDGE_LOOP('',(#248075,#248076,#248077,#248078)); #45568=EDGE_LOOP('',(#248079,#248080,#248081,#248082)); #45569=EDGE_LOOP('',(#248083,#248084,#248085,#248086)); #45570=EDGE_LOOP('',(#248087,#248088,#248089,#248090)); #45571=EDGE_LOOP('',(#248091,#248092,#248093,#248094)); #45572=EDGE_LOOP('',(#248095,#248096,#248097,#248098)); #45573=EDGE_LOOP('',(#248099,#248100,#248101,#248102)); #45574=EDGE_LOOP('',(#248103,#248104,#248105,#248106)); #45575=EDGE_LOOP('',(#248107,#248108,#248109,#248110)); #45576=EDGE_LOOP('',(#248111,#248112,#248113,#248114)); #45577=EDGE_LOOP('',(#248115,#248116,#248117,#248118)); #45578=EDGE_LOOP('',(#248119,#248120,#248121,#248122)); #45579=EDGE_LOOP('',(#248123,#248124,#248125,#248126)); #45580=EDGE_LOOP('',(#248127,#248128,#248129,#248130)); #45581=EDGE_LOOP('',(#248131,#248132,#248133,#248134)); #45582=EDGE_LOOP('',(#248135,#248136,#248137,#248138)); #45583=EDGE_LOOP('',(#248139,#248140,#248141,#248142)); #45584=EDGE_LOOP('',(#248143,#248144,#248145,#248146)); #45585=EDGE_LOOP('',(#248147,#248148,#248149,#248150)); #45586=EDGE_LOOP('',(#248151,#248152,#248153,#248154)); #45587=EDGE_LOOP('',(#248155,#248156,#248157,#248158)); #45588=EDGE_LOOP('',(#248159,#248160,#248161,#248162)); #45589=EDGE_LOOP('',(#248163,#248164,#248165,#248166)); #45590=EDGE_LOOP('',(#248167,#248168,#248169,#248170)); #45591=EDGE_LOOP('',(#248171,#248172,#248173,#248174)); #45592=EDGE_LOOP('',(#248175,#248176,#248177,#248178)); #45593=EDGE_LOOP('',(#248179,#248180,#248181,#248182)); #45594=EDGE_LOOP('',(#248183,#248184,#248185,#248186)); #45595=EDGE_LOOP('',(#248187,#248188,#248189,#248190)); #45596=EDGE_LOOP('',(#248191,#248192,#248193,#248194)); #45597=EDGE_LOOP('',(#248195,#248196,#248197,#248198)); #45598=EDGE_LOOP('',(#248199,#248200,#248201,#248202)); #45599=EDGE_LOOP('',(#248203,#248204,#248205,#248206)); #45600=EDGE_LOOP('',(#248207,#248208,#248209,#248210)); #45601=EDGE_LOOP('',(#248211,#248212,#248213,#248214)); #45602=EDGE_LOOP('',(#248215,#248216,#248217,#248218)); #45603=EDGE_LOOP('',(#248219,#248220,#248221,#248222)); #45604=EDGE_LOOP('',(#248223,#248224,#248225,#248226)); #45605=EDGE_LOOP('',(#248227,#248228,#248229,#248230)); #45606=EDGE_LOOP('',(#248231,#248232,#248233,#248234)); #45607=EDGE_LOOP('',(#248235,#248236,#248237,#248238)); #45608=EDGE_LOOP('',(#248239,#248240,#248241,#248242)); #45609=EDGE_LOOP('',(#248243,#248244,#248245,#248246)); #45610=EDGE_LOOP('',(#248247,#248248,#248249,#248250)); #45611=EDGE_LOOP('',(#248251,#248252,#248253,#248254)); #45612=EDGE_LOOP('',(#248255,#248256,#248257,#248258)); #45613=EDGE_LOOP('',(#248259,#248260,#248261,#248262)); #45614=EDGE_LOOP('',(#248263,#248264,#248265,#248266)); #45615=EDGE_LOOP('',(#248267,#248268,#248269,#248270)); #45616=EDGE_LOOP('',(#248271,#248272,#248273,#248274)); #45617=EDGE_LOOP('',(#248275,#248276,#248277,#248278)); #45618=EDGE_LOOP('',(#248279,#248280,#248281,#248282,#248283,#248284,#248285, #248286,#248287,#248288,#248289,#248290,#248291,#248292,#248293,#248294, #248295,#248296,#248297,#248298,#248299,#248300,#248301,#248302,#248303, #248304,#248305,#248306,#248307,#248308,#248309,#248310,#248311,#248312, #248313,#248314,#248315,#248316,#248317,#248318,#248319,#248320,#248321, #248322,#248323,#248324,#248325,#248326,#248327,#248328,#248329,#248330, #248331,#248332,#248333,#248334,#248335,#248336,#248337,#248338,#248339, #248340,#248341,#248342,#248343,#248344,#248345,#248346,#248347,#248348, #248349,#248350,#248351,#248352,#248353,#248354,#248355,#248356,#248357, #248358,#248359,#248360,#248361,#248362,#248363,#248364,#248365,#248366, #248367,#248368,#248369,#248370,#248371,#248372,#248373,#248374,#248375, #248376,#248377,#248378,#248379,#248380,#248381,#248382,#248383,#248384, #248385,#248386,#248387,#248388,#248389,#248390,#248391,#248392,#248393, #248394,#248395,#248396,#248397,#248398,#248399,#248400,#248401,#248402, #248403,#248404,#248405,#248406,#248407,#248408,#248409,#248410,#248411)); #45619=EDGE_LOOP('',(#248412)); #45620=EDGE_LOOP('',(#248413)); #45621=EDGE_LOOP('',(#248414)); #45622=EDGE_LOOP('',(#248415)); #45623=EDGE_LOOP('',(#248416)); #45624=EDGE_LOOP('',(#248417)); #45625=EDGE_LOOP('',(#248418)); #45626=EDGE_LOOP('',(#248419)); #45627=EDGE_LOOP('',(#248420)); #45628=EDGE_LOOP('',(#248421)); #45629=EDGE_LOOP('',(#248422)); #45630=EDGE_LOOP('',(#248423,#248424,#248425,#248426,#248427,#248428,#248429, #248430,#248431,#248432,#248433,#248434,#248435,#248436,#248437,#248438, #248439,#248440,#248441,#248442,#248443,#248444,#248445,#248446,#248447, #248448,#248449,#248450,#248451,#248452,#248453,#248454,#248455,#248456, #248457,#248458,#248459,#248460,#248461,#248462,#248463,#248464,#248465, #248466,#248467,#248468,#248469,#248470,#248471,#248472,#248473,#248474, #248475,#248476,#248477,#248478,#248479,#248480,#248481,#248482,#248483, #248484,#248485,#248486,#248487,#248488,#248489,#248490,#248491,#248492, #248493,#248494,#248495,#248496,#248497,#248498,#248499,#248500,#248501, #248502,#248503,#248504,#248505,#248506,#248507,#248508,#248509,#248510, #248511,#248512,#248513,#248514,#248515,#248516,#248517,#248518,#248519, #248520,#248521,#248522,#248523,#248524,#248525,#248526,#248527,#248528, #248529,#248530,#248531,#248532,#248533,#248534,#248535,#248536,#248537, #248538,#248539,#248540,#248541,#248542,#248543,#248544,#248545,#248546, #248547,#248548,#248549,#248550,#248551,#248552,#248553,#248554,#248555)); #45631=EDGE_LOOP('',(#248556)); #45632=EDGE_LOOP('',(#248557)); #45633=EDGE_LOOP('',(#248558)); #45634=EDGE_LOOP('',(#248559)); #45635=EDGE_LOOP('',(#248560)); #45636=EDGE_LOOP('',(#248561)); #45637=EDGE_LOOP('',(#248562)); #45638=EDGE_LOOP('',(#248563)); #45639=EDGE_LOOP('',(#248564)); #45640=EDGE_LOOP('',(#248565)); #45641=EDGE_LOOP('',(#248566)); #45642=EDGE_LOOP('',(#248567,#248568,#248569,#248570)); #45643=EDGE_LOOP('',(#248571,#248572,#248573,#248574)); #45644=EDGE_LOOP('',(#248575,#248576,#248577,#248578)); #45645=EDGE_LOOP('',(#248579,#248580,#248581,#248582)); #45646=EDGE_LOOP('',(#248583,#248584,#248585)); #45647=EDGE_LOOP('',(#248586)); #45648=EDGE_LOOP('',(#248587,#248588,#248589)); #45649=EDGE_LOOP('',(#248590)); #45650=EDGE_LOOP('',(#248591,#248592,#248593,#248594)); #45651=EDGE_LOOP('',(#248595,#248596,#248597,#248598)); #45652=EDGE_LOOP('',(#248599,#248600,#248601,#248602)); #45653=EDGE_LOOP('',(#248603,#248604,#248605,#248606)); #45654=EDGE_LOOP('',(#248607,#248608,#248609,#248610)); #45655=EDGE_LOOP('',(#248611,#248612,#248613,#248614)); #45656=EDGE_LOOP('',(#248615,#248616,#248617,#248618)); #45657=EDGE_LOOP('',(#248619,#248620,#248621,#248622)); #45658=EDGE_LOOP('',(#248623,#248624,#248625,#248626)); #45659=EDGE_LOOP('',(#248627,#248628,#248629,#248630)); #45660=EDGE_LOOP('',(#248631,#248632,#248633,#248634)); #45661=EDGE_LOOP('',(#248635,#248636,#248637,#248638)); #45662=EDGE_LOOP('',(#248639,#248640,#248641,#248642)); #45663=EDGE_LOOP('',(#248643,#248644,#248645,#248646)); #45664=EDGE_LOOP('',(#248647,#248648,#248649,#248650)); #45665=EDGE_LOOP('',(#248651,#248652,#248653,#248654)); #45666=EDGE_LOOP('',(#248655,#248656,#248657,#248658)); #45667=EDGE_LOOP('',(#248659,#248660,#248661,#248662)); #45668=EDGE_LOOP('',(#248663,#248664,#248665,#248666)); #45669=EDGE_LOOP('',(#248667,#248668,#248669,#248670)); #45670=EDGE_LOOP('',(#248671,#248672,#248673,#248674,#248675,#248676,#248677, #248678,#248679,#248680,#248681,#248682,#248683,#248684,#248685,#248686, #248687,#248688,#248689,#248690)); #45671=EDGE_LOOP('',(#248691,#248692,#248693,#248694,#248695,#248696,#248697, #248698,#248699,#248700,#248701,#248702,#248703,#248704,#248705,#248706, #248707,#248708,#248709,#248710)); #45672=EDGE_LOOP('',(#248711,#248712,#248713,#248714)); #45673=EDGE_LOOP('',(#248715,#248716,#248717,#248718)); #45674=EDGE_LOOP('',(#248719,#248720,#248721,#248722)); #45675=EDGE_LOOP('',(#248723,#248724,#248725,#248726)); #45676=EDGE_LOOP('',(#248727,#248728,#248729,#248730)); #45677=EDGE_LOOP('',(#248731,#248732,#248733,#248734)); #45678=EDGE_LOOP('',(#248735,#248736,#248737,#248738)); #45679=EDGE_LOOP('',(#248739,#248740,#248741,#248742)); #45680=EDGE_LOOP('',(#248743,#248744,#248745,#248746)); #45681=EDGE_LOOP('',(#248747,#248748,#248749,#248750)); #45682=EDGE_LOOP('',(#248751,#248752,#248753,#248754)); #45683=EDGE_LOOP('',(#248755,#248756,#248757,#248758)); #45684=EDGE_LOOP('',(#248759,#248760,#248761,#248762)); #45685=EDGE_LOOP('',(#248763,#248764,#248765,#248766)); #45686=EDGE_LOOP('',(#248767,#248768,#248769,#248770)); #45687=EDGE_LOOP('',(#248771,#248772,#248773,#248774)); #45688=EDGE_LOOP('',(#248775,#248776,#248777,#248778)); #45689=EDGE_LOOP('',(#248779,#248780,#248781,#248782)); #45690=EDGE_LOOP('',(#248783,#248784,#248785,#248786)); #45691=EDGE_LOOP('',(#248787,#248788,#248789,#248790)); #45692=EDGE_LOOP('',(#248791,#248792,#248793,#248794)); #45693=EDGE_LOOP('',(#248795,#248796,#248797,#248798,#248799,#248800,#248801, #248802,#248803,#248804,#248805,#248806,#248807,#248808,#248809,#248810, #248811,#248812,#248813,#248814,#248815)); #45694=EDGE_LOOP('',(#248816,#248817,#248818,#248819,#248820,#248821,#248822, #248823,#248824,#248825,#248826,#248827,#248828,#248829,#248830,#248831, #248832,#248833,#248834,#248835,#248836)); #45695=EDGE_LOOP('',(#248837,#248838,#248839,#248840)); #45696=EDGE_LOOP('',(#248841,#248842,#248843,#248844)); #45697=EDGE_LOOP('',(#248845,#248846,#248847,#248848)); #45698=EDGE_LOOP('',(#248849,#248850,#248851,#248852)); #45699=EDGE_LOOP('',(#248853,#248854,#248855,#248856)); #45700=EDGE_LOOP('',(#248857,#248858,#248859,#248860)); #45701=EDGE_LOOP('',(#248861,#248862,#248863,#248864)); #45702=EDGE_LOOP('',(#248865,#248866,#248867,#248868)); #45703=EDGE_LOOP('',(#248869,#248870,#248871,#248872)); #45704=EDGE_LOOP('',(#248873,#248874,#248875,#248876)); #45705=EDGE_LOOP('',(#248877,#248878,#248879,#248880)); #45706=EDGE_LOOP('',(#248881,#248882,#248883,#248884)); #45707=EDGE_LOOP('',(#248885,#248886,#248887,#248888)); #45708=EDGE_LOOP('',(#248889,#248890,#248891,#248892)); #45709=EDGE_LOOP('',(#248893,#248894,#248895,#248896)); #45710=EDGE_LOOP('',(#248897,#248898,#248899,#248900)); #45711=EDGE_LOOP('',(#248901,#248902,#248903,#248904)); #45712=EDGE_LOOP('',(#248905,#248906,#248907,#248908)); #45713=EDGE_LOOP('',(#248909,#248910,#248911,#248912)); #45714=EDGE_LOOP('',(#248913,#248914,#248915,#248916)); #45715=EDGE_LOOP('',(#248917,#248918,#248919,#248920,#248921,#248922,#248923, #248924,#248925,#248926,#248927,#248928,#248929,#248930,#248931,#248932, #248933,#248934,#248935,#248936)); #45716=EDGE_LOOP('',(#248937,#248938,#248939,#248940,#248941,#248942,#248943, #248944,#248945,#248946,#248947,#248948,#248949,#248950,#248951,#248952, #248953,#248954,#248955,#248956)); #45717=EDGE_LOOP('',(#248957,#248958,#248959,#248960)); #45718=EDGE_LOOP('',(#248961,#248962,#248963,#248964)); #45719=EDGE_LOOP('',(#248965,#248966,#248967,#248968)); #45720=EDGE_LOOP('',(#248969,#248970,#248971,#248972)); #45721=EDGE_LOOP('',(#248973,#248974,#248975,#248976)); #45722=EDGE_LOOP('',(#248977,#248978,#248979,#248980)); #45723=EDGE_LOOP('',(#248981,#248982,#248983,#248984)); #45724=EDGE_LOOP('',(#248985,#248986,#248987,#248988)); #45725=EDGE_LOOP('',(#248989,#248990,#248991,#248992)); #45726=EDGE_LOOP('',(#248993,#248994,#248995,#248996)); #45727=EDGE_LOOP('',(#248997,#248998,#248999,#249000)); #45728=EDGE_LOOP('',(#249001,#249002,#249003,#249004)); #45729=EDGE_LOOP('',(#249005,#249006,#249007,#249008)); #45730=EDGE_LOOP('',(#249009,#249010,#249011,#249012)); #45731=EDGE_LOOP('',(#249013,#249014,#249015,#249016)); #45732=EDGE_LOOP('',(#249017,#249018,#249019,#249020)); #45733=EDGE_LOOP('',(#249021,#249022,#249023,#249024)); #45734=EDGE_LOOP('',(#249025,#249026,#249027,#249028)); #45735=EDGE_LOOP('',(#249029,#249030,#249031,#249032)); #45736=EDGE_LOOP('',(#249033,#249034,#249035,#249036)); #45737=EDGE_LOOP('',(#249037,#249038,#249039,#249040)); #45738=EDGE_LOOP('',(#249041,#249042,#249043,#249044,#249045,#249046,#249047, #249048,#249049,#249050,#249051,#249052,#249053,#249054,#249055,#249056, #249057,#249058,#249059,#249060,#249061)); #45739=EDGE_LOOP('',(#249062,#249063,#249064,#249065,#249066,#249067,#249068, #249069,#249070,#249071,#249072,#249073,#249074,#249075,#249076,#249077, #249078,#249079,#249080,#249081,#249082)); #45740=EDGE_LOOP('',(#249083,#249084,#249085,#249086)); #45741=EDGE_LOOP('',(#249087,#249088,#249089,#249090)); #45742=EDGE_LOOP('',(#249091,#249092,#249093,#249094)); #45743=EDGE_LOOP('',(#249095,#249096,#249097,#249098)); #45744=EDGE_LOOP('',(#249099,#249100,#249101,#249102)); #45745=EDGE_LOOP('',(#249103,#249104,#249105,#249106)); #45746=EDGE_LOOP('',(#249107,#249108,#249109,#249110)); #45747=EDGE_LOOP('',(#249111,#249112,#249113,#249114)); #45748=EDGE_LOOP('',(#249115,#249116,#249117,#249118)); #45749=EDGE_LOOP('',(#249119,#249120,#249121,#249122)); #45750=EDGE_LOOP('',(#249123,#249124,#249125,#249126)); #45751=EDGE_LOOP('',(#249127,#249128,#249129,#249130)); #45752=EDGE_LOOP('',(#249131,#249132,#249133,#249134)); #45753=EDGE_LOOP('',(#249135,#249136,#249137,#249138)); #45754=EDGE_LOOP('',(#249139,#249140,#249141,#249142)); #45755=EDGE_LOOP('',(#249143,#249144,#249145,#249146)); #45756=EDGE_LOOP('',(#249147,#249148,#249149,#249150)); #45757=EDGE_LOOP('',(#249151,#249152,#249153,#249154)); #45758=EDGE_LOOP('',(#249155,#249156,#249157,#249158)); #45759=EDGE_LOOP('',(#249159,#249160,#249161,#249162)); #45760=EDGE_LOOP('',(#249163,#249164,#249165,#249166)); #45761=EDGE_LOOP('',(#249167,#249168,#249169,#249170)); #45762=EDGE_LOOP('',(#249171,#249172,#249173,#249174)); #45763=EDGE_LOOP('',(#249175,#249176,#249177,#249178)); #45764=EDGE_LOOP('',(#249179,#249180,#249181,#249182)); #45765=EDGE_LOOP('',(#249183,#249184,#249185,#249186)); #45766=EDGE_LOOP('',(#249187,#249188,#249189,#249190)); #45767=EDGE_LOOP('',(#249191,#249192,#249193,#249194)); #45768=EDGE_LOOP('',(#249195,#249196,#249197,#249198)); #45769=EDGE_LOOP('',(#249199,#249200,#249201,#249202)); #45770=EDGE_LOOP('',(#249203,#249204,#249205,#249206)); #45771=EDGE_LOOP('',(#249207,#249208,#249209,#249210)); #45772=EDGE_LOOP('',(#249211,#249212,#249213,#249214)); #45773=EDGE_LOOP('',(#249215,#249216,#249217,#249218)); #45774=EDGE_LOOP('',(#249219,#249220,#249221,#249222)); #45775=EDGE_LOOP('',(#249223,#249224,#249225,#249226)); #45776=EDGE_LOOP('',(#249227,#249228,#249229,#249230)); #45777=EDGE_LOOP('',(#249231,#249232,#249233,#249234)); #45778=EDGE_LOOP('',(#249235,#249236,#249237,#249238)); #45779=EDGE_LOOP('',(#249239,#249240,#249241,#249242)); #45780=EDGE_LOOP('',(#249243,#249244,#249245,#249246)); #45781=EDGE_LOOP('',(#249247,#249248,#249249,#249250)); #45782=EDGE_LOOP('',(#249251,#249252,#249253,#249254)); #45783=EDGE_LOOP('',(#249255,#249256,#249257,#249258)); #45784=EDGE_LOOP('',(#249259,#249260,#249261,#249262)); #45785=EDGE_LOOP('',(#249263,#249264,#249265,#249266)); #45786=EDGE_LOOP('',(#249267,#249268,#249269,#249270)); #45787=EDGE_LOOP('',(#249271,#249272,#249273,#249274)); #45788=EDGE_LOOP('',(#249275,#249276,#249277,#249278)); #45789=EDGE_LOOP('',(#249279,#249280,#249281,#249282)); #45790=EDGE_LOOP('',(#249283,#249284,#249285,#249286)); #45791=EDGE_LOOP('',(#249287,#249288,#249289,#249290)); #45792=EDGE_LOOP('',(#249291,#249292,#249293,#249294)); #45793=EDGE_LOOP('',(#249295,#249296,#249297,#249298)); #45794=EDGE_LOOP('',(#249299,#249300,#249301,#249302)); #45795=EDGE_LOOP('',(#249303,#249304,#249305,#249306)); #45796=EDGE_LOOP('',(#249307,#249308,#249309,#249310)); #45797=EDGE_LOOP('',(#249311,#249312,#249313,#249314)); #45798=EDGE_LOOP('',(#249315,#249316,#249317,#249318)); #45799=EDGE_LOOP('',(#249319,#249320,#249321,#249322)); #45800=EDGE_LOOP('',(#249323,#249324,#249325,#249326)); #45801=EDGE_LOOP('',(#249327,#249328,#249329,#249330)); #45802=EDGE_LOOP('',(#249331,#249332,#249333,#249334)); #45803=EDGE_LOOP('',(#249335,#249336,#249337,#249338)); #45804=EDGE_LOOP('',(#249339,#249340,#249341,#249342)); #45805=EDGE_LOOP('',(#249343,#249344,#249345,#249346)); #45806=EDGE_LOOP('',(#249347,#249348,#249349,#249350)); #45807=EDGE_LOOP('',(#249351,#249352,#249353,#249354)); #45808=EDGE_LOOP('',(#249355,#249356,#249357,#249358)); #45809=EDGE_LOOP('',(#249359,#249360,#249361,#249362)); #45810=EDGE_LOOP('',(#249363,#249364,#249365,#249366)); #45811=EDGE_LOOP('',(#249367,#249368,#249369,#249370)); #45812=EDGE_LOOP('',(#249371,#249372,#249373,#249374)); #45813=EDGE_LOOP('',(#249375,#249376,#249377,#249378)); #45814=EDGE_LOOP('',(#249379,#249380,#249381,#249382)); #45815=EDGE_LOOP('',(#249383,#249384,#249385,#249386)); #45816=EDGE_LOOP('',(#249387,#249388,#249389,#249390)); #45817=EDGE_LOOP('',(#249391,#249392,#249393,#249394)); #45818=EDGE_LOOP('',(#249395,#249396,#249397,#249398)); #45819=EDGE_LOOP('',(#249399,#249400,#249401,#249402)); #45820=EDGE_LOOP('',(#249403,#249404,#249405,#249406)); #45821=EDGE_LOOP('',(#249407,#249408,#249409,#249410)); #45822=EDGE_LOOP('',(#249411,#249412,#249413,#249414)); #45823=EDGE_LOOP('',(#249415,#249416,#249417,#249418)); #45824=EDGE_LOOP('',(#249419,#249420,#249421,#249422)); #45825=EDGE_LOOP('',(#249423,#249424,#249425,#249426)); #45826=EDGE_LOOP('',(#249427,#249428,#249429,#249430)); #45827=EDGE_LOOP('',(#249431,#249432,#249433,#249434)); #45828=EDGE_LOOP('',(#249435,#249436,#249437,#249438)); #45829=EDGE_LOOP('',(#249439,#249440,#249441,#249442)); #45830=EDGE_LOOP('',(#249443,#249444,#249445,#249446)); #45831=EDGE_LOOP('',(#249447,#249448,#249449,#249450)); #45832=EDGE_LOOP('',(#249451,#249452,#249453,#249454)); #45833=EDGE_LOOP('',(#249455,#249456,#249457,#249458,#249459,#249460,#249461, #249462,#249463,#249464,#249465,#249466,#249467,#249468,#249469,#249470, #249471,#249472,#249473,#249474,#249475,#249476,#249477,#249478,#249479, #249480,#249481,#249482,#249483,#249484,#249485,#249486,#249487,#249488, #249489,#249490,#249491,#249492,#249493,#249494,#249495,#249496,#249497, #249498,#249499,#249500,#249501,#249502,#249503,#249504,#249505,#249506, #249507,#249508,#249509,#249510,#249511,#249512,#249513,#249514,#249515, #249516,#249517,#249518,#249519,#249520,#249521,#249522,#249523,#249524, #249525,#249526,#249527,#249528,#249529,#249530,#249531,#249532,#249533, #249534,#249535,#249536,#249537,#249538,#249539,#249540,#249541,#249542, #249543,#249544)); #45834=EDGE_LOOP('',(#249545)); #45835=EDGE_LOOP('',(#249546)); #45836=EDGE_LOOP('',(#249547)); #45837=EDGE_LOOP('',(#249548,#249549,#249550,#249551,#249552,#249553,#249554, #249555,#249556,#249557,#249558,#249559,#249560,#249561,#249562,#249563, #249564,#249565,#249566,#249567,#249568,#249569,#249570,#249571,#249572, #249573,#249574,#249575,#249576,#249577,#249578,#249579,#249580,#249581, #249582,#249583,#249584,#249585,#249586,#249587,#249588,#249589,#249590, #249591,#249592,#249593,#249594,#249595,#249596,#249597,#249598,#249599, #249600,#249601,#249602,#249603,#249604,#249605,#249606,#249607,#249608, #249609,#249610,#249611,#249612,#249613,#249614,#249615,#249616,#249617, #249618,#249619,#249620,#249621,#249622,#249623,#249624,#249625,#249626, #249627,#249628,#249629,#249630,#249631,#249632,#249633,#249634,#249635, #249636,#249637)); #45838=EDGE_LOOP('',(#249638)); #45839=EDGE_LOOP('',(#249639)); #45840=EDGE_LOOP('',(#249640)); #45841=EDGE_LOOP('',(#249641,#249642,#249643,#249644)); #45842=EDGE_LOOP('',(#249645,#249646,#249647,#249648)); #45843=EDGE_LOOP('',(#249649,#249650,#249651,#249652)); #45844=EDGE_LOOP('',(#249653,#249654,#249655,#249656)); #45845=EDGE_LOOP('',(#249657,#249658,#249659,#249660)); #45846=EDGE_LOOP('',(#249661,#249662,#249663,#249664)); #45847=EDGE_LOOP('',(#249665,#249666,#249667,#249668)); #45848=EDGE_LOOP('',(#249669,#249670,#249671,#249672)); #45849=EDGE_LOOP('',(#249673,#249674,#249675,#249676)); #45850=EDGE_LOOP('',(#249677,#249678,#249679,#249680)); #45851=EDGE_LOOP('',(#249681,#249682,#249683,#249684)); #45852=EDGE_LOOP('',(#249685,#249686,#249687,#249688)); #45853=EDGE_LOOP('',(#249689,#249690,#249691,#249692)); #45854=EDGE_LOOP('',(#249693,#249694,#249695,#249696)); #45855=EDGE_LOOP('',(#249697,#249698,#249699,#249700)); #45856=EDGE_LOOP('',(#249701,#249702,#249703,#249704)); #45857=EDGE_LOOP('',(#249705,#249706,#249707,#249708)); #45858=EDGE_LOOP('',(#249709,#249710,#249711,#249712)); #45859=EDGE_LOOP('',(#249713,#249714,#249715,#249716)); #45860=EDGE_LOOP('',(#249717,#249718,#249719,#249720)); #45861=EDGE_LOOP('',(#249721,#249722,#249723,#249724)); #45862=EDGE_LOOP('',(#249725,#249726,#249727,#249728)); #45863=EDGE_LOOP('',(#249729,#249730,#249731,#249732)); #45864=EDGE_LOOP('',(#249733,#249734,#249735,#249736)); #45865=EDGE_LOOP('',(#249737,#249738,#249739,#249740)); #45866=EDGE_LOOP('',(#249741,#249742,#249743,#249744)); #45867=EDGE_LOOP('',(#249745,#249746,#249747,#249748)); #45868=EDGE_LOOP('',(#249749,#249750,#249751,#249752)); #45869=EDGE_LOOP('',(#249753,#249754,#249755,#249756)); #45870=EDGE_LOOP('',(#249757,#249758,#249759,#249760)); #45871=EDGE_LOOP('',(#249761,#249762,#249763,#249764)); #45872=EDGE_LOOP('',(#249765,#249766,#249767,#249768)); #45873=EDGE_LOOP('',(#249769,#249770,#249771,#249772)); #45874=EDGE_LOOP('',(#249773,#249774,#249775,#249776)); #45875=EDGE_LOOP('',(#249777,#249778,#249779,#249780,#249781,#249782,#249783, #249784,#249785,#249786,#249787,#249788,#249789,#249790,#249791,#249792, #249793,#249794,#249795,#249796,#249797,#249798,#249799,#249800,#249801, #249802,#249803,#249804,#249805,#249806,#249807,#249808,#249809,#249810)); #45876=EDGE_LOOP('',(#249811,#249812,#249813,#249814,#249815,#249816,#249817, #249818,#249819,#249820,#249821,#249822,#249823,#249824,#249825,#249826, #249827,#249828,#249829,#249830,#249831,#249832,#249833,#249834,#249835, #249836,#249837,#249838,#249839,#249840,#249841,#249842,#249843,#249844)); #45877=EDGE_LOOP('',(#249845,#249846,#249847,#249848)); #45878=EDGE_LOOP('',(#249849,#249850,#249851,#249852)); #45879=EDGE_LOOP('',(#249853,#249854,#249855,#249856)); #45880=EDGE_LOOP('',(#249857,#249858,#249859,#249860)); #45881=EDGE_LOOP('',(#249861,#249862,#249863,#249864)); #45882=EDGE_LOOP('',(#249865,#249866,#249867,#249868)); #45883=EDGE_LOOP('',(#249869,#249870,#249871,#249872)); #45884=EDGE_LOOP('',(#249873,#249874,#249875,#249876)); #45885=EDGE_LOOP('',(#249877,#249878,#249879,#249880)); #45886=EDGE_LOOP('',(#249881,#249882,#249883,#249884)); #45887=EDGE_LOOP('',(#249885,#249886,#249887,#249888)); #45888=EDGE_LOOP('',(#249889,#249890,#249891,#249892)); #45889=EDGE_LOOP('',(#249893,#249894,#249895,#249896)); #45890=EDGE_LOOP('',(#249897,#249898,#249899,#249900)); #45891=EDGE_LOOP('',(#249901,#249902,#249903,#249904)); #45892=EDGE_LOOP('',(#249905,#249906,#249907,#249908)); #45893=EDGE_LOOP('',(#249909,#249910,#249911,#249912)); #45894=EDGE_LOOP('',(#249913,#249914,#249915,#249916)); #45895=EDGE_LOOP('',(#249917,#249918,#249919,#249920)); #45896=EDGE_LOOP('',(#249921,#249922,#249923,#249924)); #45897=EDGE_LOOP('',(#249925,#249926,#249927,#249928,#249929,#249930,#249931, #249932,#249933,#249934,#249935,#249936,#249937,#249938,#249939,#249940, #249941,#249942,#249943,#249944)); #45898=EDGE_LOOP('',(#249945,#249946,#249947,#249948,#249949,#249950,#249951, #249952,#249953,#249954,#249955,#249956,#249957,#249958,#249959,#249960, #249961,#249962,#249963,#249964)); #45899=EDGE_LOOP('',(#249965,#249966,#249967,#249968)); #45900=EDGE_LOOP('',(#249969,#249970,#249971,#249972)); #45901=EDGE_LOOP('',(#249973,#249974,#249975,#249976)); #45902=EDGE_LOOP('',(#249977,#249978,#249979,#249980)); #45903=EDGE_LOOP('',(#249981,#249982,#249983,#249984)); #45904=EDGE_LOOP('',(#249985,#249986,#249987,#249988)); #45905=EDGE_LOOP('',(#249989,#249990,#249991,#249992)); #45906=EDGE_LOOP('',(#249993,#249994,#249995,#249996)); #45907=EDGE_LOOP('',(#249997,#249998,#249999,#250000)); #45908=EDGE_LOOP('',(#250001,#250002,#250003,#250004)); #45909=EDGE_LOOP('',(#250005,#250006,#250007,#250008)); #45910=EDGE_LOOP('',(#250009,#250010,#250011,#250012)); #45911=EDGE_LOOP('',(#250013,#250014,#250015,#250016)); #45912=EDGE_LOOP('',(#250017,#250018,#250019,#250020)); #45913=EDGE_LOOP('',(#250021,#250022,#250023,#250024)); #45914=EDGE_LOOP('',(#250025,#250026,#250027,#250028)); #45915=EDGE_LOOP('',(#250029,#250030,#250031,#250032)); #45916=EDGE_LOOP('',(#250033,#250034,#250035,#250036)); #45917=EDGE_LOOP('',(#250037,#250038,#250039,#250040)); #45918=EDGE_LOOP('',(#250041,#250042,#250043,#250044)); #45919=EDGE_LOOP('',(#250045,#250046,#250047,#250048)); #45920=EDGE_LOOP('',(#250049,#250050,#250051,#250052,#250053,#250054,#250055, #250056,#250057,#250058,#250059,#250060,#250061,#250062,#250063,#250064, #250065,#250066,#250067,#250068,#250069)); #45921=EDGE_LOOP('',(#250070,#250071,#250072,#250073,#250074,#250075,#250076, #250077,#250078,#250079,#250080,#250081,#250082,#250083,#250084,#250085, #250086,#250087,#250088,#250089,#250090)); #45922=EDGE_LOOP('',(#250091,#250092,#250093,#250094)); #45923=EDGE_LOOP('',(#250095,#250096,#250097,#250098)); #45924=EDGE_LOOP('',(#250099,#250100,#250101,#250102)); #45925=EDGE_LOOP('',(#250103,#250104,#250105,#250106)); #45926=EDGE_LOOP('',(#250107,#250108,#250109,#250110)); #45927=EDGE_LOOP('',(#250111,#250112,#250113,#250114)); #45928=EDGE_LOOP('',(#250115,#250116,#250117,#250118)); #45929=EDGE_LOOP('',(#250119,#250120,#250121,#250122)); #45930=EDGE_LOOP('',(#250123,#250124,#250125,#250126)); #45931=EDGE_LOOP('',(#250127,#250128,#250129,#250130)); #45932=EDGE_LOOP('',(#250131,#250132,#250133,#250134)); #45933=EDGE_LOOP('',(#250135,#250136,#250137,#250138)); #45934=EDGE_LOOP('',(#250139,#250140,#250141,#250142)); #45935=EDGE_LOOP('',(#250143,#250144,#250145,#250146)); #45936=EDGE_LOOP('',(#250147,#250148,#250149,#250150)); #45937=EDGE_LOOP('',(#250151,#250152,#250153,#250154)); #45938=EDGE_LOOP('',(#250155,#250156,#250157,#250158)); #45939=EDGE_LOOP('',(#250159,#250160,#250161,#250162)); #45940=EDGE_LOOP('',(#250163,#250164,#250165,#250166)); #45941=EDGE_LOOP('',(#250167,#250168,#250169,#250170)); #45942=EDGE_LOOP('',(#250171,#250172,#250173,#250174)); #45943=EDGE_LOOP('',(#250175,#250176,#250177,#250178)); #45944=EDGE_LOOP('',(#250179,#250180,#250181,#250182)); #45945=EDGE_LOOP('',(#250183,#250184,#250185,#250186)); #45946=EDGE_LOOP('',(#250187,#250188,#250189,#250190)); #45947=EDGE_LOOP('',(#250191,#250192,#250193,#250194)); #45948=EDGE_LOOP('',(#250195,#250196,#250197,#250198)); #45949=EDGE_LOOP('',(#250199,#250200,#250201,#250202)); #45950=EDGE_LOOP('',(#250203,#250204,#250205,#250206)); #45951=EDGE_LOOP('',(#250207,#250208,#250209,#250210)); #45952=EDGE_LOOP('',(#250211,#250212,#250213,#250214)); #45953=EDGE_LOOP('',(#250215,#250216,#250217,#250218)); #45954=EDGE_LOOP('',(#250219,#250220,#250221,#250222)); #45955=EDGE_LOOP('',(#250223,#250224,#250225,#250226)); #45956=EDGE_LOOP('',(#250227,#250228,#250229,#250230)); #45957=EDGE_LOOP('',(#250231,#250232,#250233,#250234)); #45958=EDGE_LOOP('',(#250235,#250236,#250237,#250238)); #45959=EDGE_LOOP('',(#250239,#250240,#250241,#250242)); #45960=EDGE_LOOP('',(#250243,#250244,#250245,#250246)); #45961=EDGE_LOOP('',(#250247,#250248,#250249,#250250)); #45962=EDGE_LOOP('',(#250251,#250252,#250253,#250254)); #45963=EDGE_LOOP('',(#250255,#250256,#250257,#250258)); #45964=EDGE_LOOP('',(#250259,#250260,#250261,#250262)); #45965=EDGE_LOOP('',(#250263,#250264,#250265,#250266)); #45966=EDGE_LOOP('',(#250267,#250268,#250269,#250270)); #45967=EDGE_LOOP('',(#250271,#250272,#250273,#250274)); #45968=EDGE_LOOP('',(#250275,#250276,#250277,#250278)); #45969=EDGE_LOOP('',(#250279,#250280,#250281,#250282)); #45970=EDGE_LOOP('',(#250283,#250284,#250285,#250286)); #45971=EDGE_LOOP('',(#250287,#250288,#250289,#250290)); #45972=EDGE_LOOP('',(#250291,#250292,#250293,#250294)); #45973=EDGE_LOOP('',(#250295,#250296,#250297,#250298)); #45974=EDGE_LOOP('',(#250299,#250300,#250301,#250302)); #45975=EDGE_LOOP('',(#250303,#250304,#250305,#250306)); #45976=EDGE_LOOP('',(#250307,#250308,#250309,#250310)); #45977=EDGE_LOOP('',(#250311,#250312,#250313,#250314)); #45978=EDGE_LOOP('',(#250315,#250316,#250317,#250318)); #45979=EDGE_LOOP('',(#250319,#250320,#250321,#250322)); #45980=EDGE_LOOP('',(#250323,#250324,#250325,#250326)); #45981=EDGE_LOOP('',(#250327,#250328,#250329,#250330)); #45982=EDGE_LOOP('',(#250331,#250332,#250333,#250334)); #45983=EDGE_LOOP('',(#250335,#250336,#250337,#250338)); #45984=EDGE_LOOP('',(#250339,#250340,#250341,#250342)); #45985=EDGE_LOOP('',(#250343,#250344,#250345,#250346)); #45986=EDGE_LOOP('',(#250347,#250348,#250349,#250350)); #45987=EDGE_LOOP('',(#250351,#250352,#250353,#250354)); #45988=EDGE_LOOP('',(#250355,#250356,#250357,#250358)); #45989=EDGE_LOOP('',(#250359,#250360,#250361,#250362)); #45990=EDGE_LOOP('',(#250363,#250364,#250365,#250366)); #45991=EDGE_LOOP('',(#250367,#250368,#250369,#250370)); #45992=EDGE_LOOP('',(#250371,#250372,#250373,#250374)); #45993=EDGE_LOOP('',(#250375,#250376,#250377,#250378)); #45994=EDGE_LOOP('',(#250379,#250380,#250381,#250382)); #45995=EDGE_LOOP('',(#250383,#250384,#250385,#250386)); #45996=EDGE_LOOP('',(#250387,#250388,#250389,#250390)); #45997=EDGE_LOOP('',(#250391,#250392,#250393,#250394)); #45998=EDGE_LOOP('',(#250395,#250396,#250397,#250398)); #45999=EDGE_LOOP('',(#250399,#250400,#250401,#250402)); #46000=EDGE_LOOP('',(#250403,#250404,#250405,#250406)); #46001=EDGE_LOOP('',(#250407,#250408,#250409,#250410)); #46002=EDGE_LOOP('',(#250411,#250412,#250413,#250414)); #46003=EDGE_LOOP('',(#250415,#250416,#250417,#250418)); #46004=EDGE_LOOP('',(#250419,#250420,#250421,#250422)); #46005=EDGE_LOOP('',(#250423,#250424,#250425,#250426)); #46006=EDGE_LOOP('',(#250427,#250428,#250429,#250430)); #46007=EDGE_LOOP('',(#250431,#250432,#250433,#250434)); #46008=EDGE_LOOP('',(#250435,#250436,#250437,#250438)); #46009=EDGE_LOOP('',(#250439,#250440,#250441,#250442)); #46010=EDGE_LOOP('',(#250443,#250444,#250445,#250446)); #46011=EDGE_LOOP('',(#250447,#250448,#250449,#250450)); #46012=EDGE_LOOP('',(#250451,#250452,#250453,#250454)); #46013=EDGE_LOOP('',(#250455,#250456,#250457,#250458)); #46014=EDGE_LOOP('',(#250459,#250460,#250461,#250462)); #46015=EDGE_LOOP('',(#250463,#250464,#250465,#250466)); #46016=EDGE_LOOP('',(#250467,#250468,#250469,#250470)); #46017=EDGE_LOOP('',(#250471,#250472,#250473,#250474)); #46018=EDGE_LOOP('',(#250475,#250476,#250477,#250478)); #46019=EDGE_LOOP('',(#250479,#250480,#250481,#250482)); #46020=EDGE_LOOP('',(#250483,#250484,#250485,#250486)); #46021=EDGE_LOOP('',(#250487,#250488,#250489,#250490)); #46022=EDGE_LOOP('',(#250491,#250492,#250493,#250494)); #46023=EDGE_LOOP('',(#250495,#250496,#250497,#250498)); #46024=EDGE_LOOP('',(#250499,#250500,#250501,#250502)); #46025=EDGE_LOOP('',(#250503,#250504,#250505,#250506)); #46026=EDGE_LOOP('',(#250507,#250508,#250509,#250510)); #46027=EDGE_LOOP('',(#250511,#250512,#250513,#250514)); #46028=EDGE_LOOP('',(#250515,#250516,#250517,#250518)); #46029=EDGE_LOOP('',(#250519,#250520,#250521,#250522)); #46030=EDGE_LOOP('',(#250523,#250524,#250525,#250526)); #46031=EDGE_LOOP('',(#250527,#250528,#250529,#250530)); #46032=EDGE_LOOP('',(#250531,#250532,#250533,#250534)); #46033=EDGE_LOOP('',(#250535,#250536,#250537,#250538)); #46034=EDGE_LOOP('',(#250539,#250540,#250541,#250542)); #46035=EDGE_LOOP('',(#250543,#250544,#250545,#250546)); #46036=EDGE_LOOP('',(#250547,#250548,#250549,#250550)); #46037=EDGE_LOOP('',(#250551,#250552,#250553,#250554)); #46038=EDGE_LOOP('',(#250555,#250556,#250557,#250558)); #46039=EDGE_LOOP('',(#250559,#250560,#250561,#250562)); #46040=EDGE_LOOP('',(#250563,#250564,#250565,#250566)); #46041=EDGE_LOOP('',(#250567,#250568,#250569,#250570)); #46042=EDGE_LOOP('',(#250571,#250572,#250573,#250574)); #46043=EDGE_LOOP('',(#250575,#250576,#250577,#250578)); #46044=EDGE_LOOP('',(#250579,#250580,#250581,#250582)); #46045=EDGE_LOOP('',(#250583,#250584,#250585,#250586)); #46046=EDGE_LOOP('',(#250587,#250588,#250589,#250590)); #46047=EDGE_LOOP('',(#250591,#250592,#250593,#250594)); #46048=EDGE_LOOP('',(#250595,#250596,#250597,#250598)); #46049=EDGE_LOOP('',(#250599,#250600,#250601,#250602)); #46050=EDGE_LOOP('',(#250603,#250604,#250605,#250606)); #46051=EDGE_LOOP('',(#250607,#250608,#250609,#250610)); #46052=EDGE_LOOP('',(#250611,#250612,#250613,#250614)); #46053=EDGE_LOOP('',(#250615,#250616,#250617,#250618)); #46054=EDGE_LOOP('',(#250619,#250620,#250621,#250622)); #46055=EDGE_LOOP('',(#250623,#250624,#250625,#250626)); #46056=EDGE_LOOP('',(#250627,#250628,#250629,#250630)); #46057=EDGE_LOOP('',(#250631,#250632,#250633,#250634)); #46058=EDGE_LOOP('',(#250635,#250636,#250637,#250638)); #46059=EDGE_LOOP('',(#250639,#250640,#250641,#250642)); #46060=EDGE_LOOP('',(#250643,#250644,#250645,#250646)); #46061=EDGE_LOOP('',(#250647,#250648,#250649,#250650)); #46062=EDGE_LOOP('',(#250651,#250652,#250653,#250654)); #46063=EDGE_LOOP('',(#250655,#250656,#250657,#250658)); #46064=EDGE_LOOP('',(#250659,#250660,#250661,#250662)); #46065=EDGE_LOOP('',(#250663,#250664,#250665,#250666)); #46066=EDGE_LOOP('',(#250667,#250668,#250669,#250670)); #46067=EDGE_LOOP('',(#250671,#250672,#250673,#250674)); #46068=EDGE_LOOP('',(#250675,#250676,#250677,#250678)); #46069=EDGE_LOOP('',(#250679,#250680,#250681,#250682)); #46070=EDGE_LOOP('',(#250683,#250684,#250685,#250686)); #46071=EDGE_LOOP('',(#250687,#250688,#250689,#250690)); #46072=EDGE_LOOP('',(#250691,#250692,#250693,#250694)); #46073=EDGE_LOOP('',(#250695,#250696,#250697,#250698)); #46074=EDGE_LOOP('',(#250699,#250700,#250701,#250702)); #46075=EDGE_LOOP('',(#250703,#250704,#250705,#250706)); #46076=EDGE_LOOP('',(#250707,#250708,#250709,#250710)); #46077=EDGE_LOOP('',(#250711,#250712,#250713,#250714)); #46078=EDGE_LOOP('',(#250715,#250716,#250717,#250718)); #46079=EDGE_LOOP('',(#250719,#250720,#250721,#250722)); #46080=EDGE_LOOP('',(#250723,#250724,#250725,#250726)); #46081=EDGE_LOOP('',(#250727,#250728,#250729,#250730)); #46082=EDGE_LOOP('',(#250731,#250732,#250733,#250734)); #46083=EDGE_LOOP('',(#250735,#250736,#250737,#250738)); #46084=EDGE_LOOP('',(#250739,#250740,#250741,#250742)); #46085=EDGE_LOOP('',(#250743,#250744,#250745,#250746)); #46086=EDGE_LOOP('',(#250747,#250748,#250749,#250750)); #46087=EDGE_LOOP('',(#250751,#250752,#250753,#250754)); #46088=EDGE_LOOP('',(#250755,#250756,#250757,#250758)); #46089=EDGE_LOOP('',(#250759,#250760,#250761,#250762)); #46090=EDGE_LOOP('',(#250763,#250764,#250765,#250766)); #46091=EDGE_LOOP('',(#250767,#250768,#250769,#250770)); #46092=EDGE_LOOP('',(#250771,#250772,#250773,#250774)); #46093=EDGE_LOOP('',(#250775,#250776,#250777,#250778)); #46094=EDGE_LOOP('',(#250779,#250780,#250781,#250782)); #46095=EDGE_LOOP('',(#250783,#250784,#250785,#250786)); #46096=EDGE_LOOP('',(#250787,#250788,#250789,#250790)); #46097=EDGE_LOOP('',(#250791,#250792,#250793,#250794)); #46098=EDGE_LOOP('',(#250795,#250796,#250797,#250798)); #46099=EDGE_LOOP('',(#250799,#250800,#250801,#250802)); #46100=EDGE_LOOP('',(#250803,#250804,#250805,#250806)); #46101=EDGE_LOOP('',(#250807,#250808,#250809,#250810)); #46102=EDGE_LOOP('',(#250811,#250812,#250813,#250814)); #46103=EDGE_LOOP('',(#250815,#250816,#250817,#250818)); #46104=EDGE_LOOP('',(#250819,#250820,#250821,#250822)); #46105=EDGE_LOOP('',(#250823,#250824,#250825,#250826)); #46106=EDGE_LOOP('',(#250827,#250828,#250829,#250830)); #46107=EDGE_LOOP('',(#250831,#250832,#250833,#250834)); #46108=EDGE_LOOP('',(#250835,#250836,#250837,#250838)); #46109=EDGE_LOOP('',(#250839,#250840,#250841,#250842)); #46110=EDGE_LOOP('',(#250843,#250844,#250845,#250846)); #46111=EDGE_LOOP('',(#250847,#250848,#250849,#250850)); #46112=EDGE_LOOP('',(#250851,#250852,#250853,#250854)); #46113=EDGE_LOOP('',(#250855,#250856,#250857,#250858)); #46114=EDGE_LOOP('',(#250859,#250860,#250861,#250862)); #46115=EDGE_LOOP('',(#250863,#250864,#250865,#250866)); #46116=EDGE_LOOP('',(#250867,#250868,#250869,#250870)); #46117=EDGE_LOOP('',(#250871,#250872,#250873,#250874)); #46118=EDGE_LOOP('',(#250875,#250876,#250877,#250878)); #46119=EDGE_LOOP('',(#250879,#250880,#250881,#250882)); #46120=EDGE_LOOP('',(#250883,#250884,#250885,#250886)); #46121=EDGE_LOOP('',(#250887,#250888,#250889,#250890)); #46122=EDGE_LOOP('',(#250891,#250892,#250893,#250894)); #46123=EDGE_LOOP('',(#250895,#250896,#250897,#250898)); #46124=EDGE_LOOP('',(#250899,#250900,#250901,#250902)); #46125=EDGE_LOOP('',(#250903,#250904,#250905,#250906)); #46126=EDGE_LOOP('',(#250907,#250908,#250909,#250910)); #46127=EDGE_LOOP('',(#250911,#250912,#250913,#250914)); #46128=EDGE_LOOP('',(#250915,#250916,#250917,#250918)); #46129=EDGE_LOOP('',(#250919,#250920,#250921,#250922)); #46130=EDGE_LOOP('',(#250923,#250924,#250925,#250926)); #46131=EDGE_LOOP('',(#250927,#250928,#250929,#250930)); #46132=EDGE_LOOP('',(#250931,#250932,#250933,#250934)); #46133=EDGE_LOOP('',(#250935,#250936,#250937,#250938)); #46134=EDGE_LOOP('',(#250939,#250940,#250941,#250942)); #46135=EDGE_LOOP('',(#250943,#250944,#250945,#250946)); #46136=EDGE_LOOP('',(#250947,#250948,#250949,#250950)); #46137=EDGE_LOOP('',(#250951,#250952,#250953,#250954)); #46138=EDGE_LOOP('',(#250955,#250956,#250957,#250958)); #46139=EDGE_LOOP('',(#250959,#250960,#250961,#250962)); #46140=EDGE_LOOP('',(#250963,#250964,#250965,#250966)); #46141=EDGE_LOOP('',(#250967,#250968,#250969,#250970)); #46142=EDGE_LOOP('',(#250971,#250972,#250973,#250974)); #46143=EDGE_LOOP('',(#250975,#250976,#250977,#250978)); #46144=EDGE_LOOP('',(#250979,#250980,#250981,#250982)); #46145=EDGE_LOOP('',(#250983,#250984,#250985,#250986)); #46146=EDGE_LOOP('',(#250987,#250988,#250989,#250990)); #46147=EDGE_LOOP('',(#250991,#250992,#250993,#250994)); #46148=EDGE_LOOP('',(#250995,#250996,#250997,#250998)); #46149=EDGE_LOOP('',(#250999,#251000,#251001,#251002)); #46150=EDGE_LOOP('',(#251003,#251004,#251005,#251006)); #46151=EDGE_LOOP('',(#251007,#251008,#251009,#251010)); #46152=EDGE_LOOP('',(#251011,#251012,#251013,#251014)); #46153=EDGE_LOOP('',(#251015,#251016,#251017,#251018)); #46154=EDGE_LOOP('',(#251019,#251020,#251021,#251022)); #46155=EDGE_LOOP('',(#251023,#251024,#251025,#251026)); #46156=EDGE_LOOP('',(#251027,#251028,#251029,#251030)); #46157=EDGE_LOOP('',(#251031,#251032,#251033,#251034)); #46158=EDGE_LOOP('',(#251035,#251036,#251037,#251038)); #46159=EDGE_LOOP('',(#251039,#251040,#251041,#251042)); #46160=EDGE_LOOP('',(#251043,#251044,#251045,#251046)); #46161=EDGE_LOOP('',(#251047,#251048,#251049,#251050)); #46162=EDGE_LOOP('',(#251051,#251052,#251053,#251054)); #46163=EDGE_LOOP('',(#251055,#251056,#251057,#251058,#251059,#251060,#251061, #251062,#251063,#251064,#251065,#251066,#251067,#251068,#251069,#251070, #251071,#251072,#251073,#251074,#251075,#251076,#251077,#251078,#251079, #251080,#251081,#251082,#251083,#251084,#251085,#251086,#251087,#251088, #251089,#251090,#251091,#251092,#251093,#251094,#251095,#251096,#251097, #251098,#251099,#251100,#251101,#251102,#251103,#251104,#251105,#251106, #251107,#251108,#251109,#251110,#251111,#251112,#251113,#251114,#251115, #251116,#251117,#251118,#251119,#251120,#251121,#251122,#251123,#251124, #251125,#251126,#251127,#251128,#251129,#251130,#251131,#251132,#251133, #251134,#251135,#251136,#251137,#251138,#251139,#251140,#251141,#251142, #251143,#251144,#251145,#251146,#251147,#251148,#251149,#251150,#251151, #251152,#251153,#251154,#251155,#251156,#251157,#251158,#251159,#251160, #251161,#251162,#251163,#251164,#251165,#251166,#251167,#251168,#251169, #251170,#251171,#251172,#251173,#251174,#251175,#251176,#251177,#251178, #251179,#251180,#251181,#251182,#251183,#251184,#251185,#251186,#251187, #251188,#251189,#251190,#251191,#251192,#251193,#251194,#251195,#251196, #251197,#251198,#251199,#251200,#251201,#251202,#251203,#251204,#251205, #251206,#251207,#251208,#251209,#251210,#251211,#251212,#251213,#251214, #251215,#251216,#251217,#251218,#251219,#251220,#251221,#251222,#251223, #251224,#251225,#251226,#251227,#251228,#251229,#251230,#251231,#251232, #251233,#251234,#251235,#251236,#251237,#251238,#251239,#251240,#251241, #251242,#251243,#251244,#251245,#251246,#251247,#251248,#251249,#251250, #251251,#251252,#251253,#251254,#251255,#251256,#251257,#251258,#251259, #251260,#251261,#251262,#251263,#251264,#251265)); #46164=EDGE_LOOP('',(#251266)); #46165=EDGE_LOOP('',(#251267,#251268,#251269,#251270)); #46166=EDGE_LOOP('',(#251271)); #46167=EDGE_LOOP('',(#251272)); #46168=EDGE_LOOP('',(#251273)); #46169=EDGE_LOOP('',(#251274)); #46170=EDGE_LOOP('',(#251275)); #46171=EDGE_LOOP('',(#251276)); #46172=EDGE_LOOP('',(#251277)); #46173=EDGE_LOOP('',(#251278)); #46174=EDGE_LOOP('',(#251279)); #46175=EDGE_LOOP('',(#251280,#251281,#251282,#251283,#251284,#251285,#251286, #251287,#251288,#251289,#251290,#251291,#251292,#251293,#251294,#251295)); #46176=EDGE_LOOP('',(#251296,#251297,#251298,#251299,#251300,#251301,#251302, #251303,#251304,#251305,#251306,#251307,#251308,#251309,#251310,#251311, #251312,#251313,#251314,#251315,#251316,#251317,#251318,#251319,#251320, #251321,#251322,#251323,#251324,#251325,#251326,#251327,#251328,#251329, #251330,#251331,#251332,#251333,#251334,#251335,#251336,#251337,#251338, #251339,#251340,#251341,#251342,#251343,#251344,#251345,#251346,#251347, #251348,#251349,#251350,#251351,#251352,#251353,#251354,#251355,#251356, #251357,#251358,#251359,#251360,#251361,#251362,#251363,#251364,#251365, #251366,#251367,#251368,#251369,#251370,#251371,#251372,#251373,#251374, #251375,#251376,#251377,#251378,#251379,#251380,#251381,#251382,#251383, #251384,#251385,#251386,#251387,#251388,#251389,#251390,#251391,#251392, #251393,#251394,#251395,#251396,#251397,#251398,#251399,#251400,#251401, #251402,#251403,#251404,#251405,#251406,#251407,#251408,#251409,#251410, #251411,#251412,#251413,#251414,#251415,#251416,#251417,#251418,#251419, #251420,#251421,#251422,#251423,#251424,#251425,#251426,#251427,#251428, #251429,#251430,#251431,#251432,#251433,#251434,#251435,#251436,#251437, #251438,#251439,#251440,#251441,#251442,#251443,#251444,#251445,#251446, #251447,#251448,#251449,#251450,#251451,#251452,#251453,#251454,#251455, #251456,#251457,#251458,#251459,#251460,#251461,#251462,#251463,#251464, #251465,#251466,#251467,#251468,#251469,#251470,#251471,#251472,#251473, #251474,#251475,#251476,#251477,#251478,#251479,#251480,#251481,#251482, #251483,#251484,#251485,#251486,#251487,#251488,#251489,#251490,#251491, #251492,#251493,#251494,#251495,#251496,#251497,#251498,#251499,#251500, #251501,#251502,#251503,#251504,#251505,#251506)); #46177=EDGE_LOOP('',(#251507)); #46178=EDGE_LOOP('',(#251508,#251509,#251510,#251511)); #46179=EDGE_LOOP('',(#251512)); #46180=EDGE_LOOP('',(#251513)); #46181=EDGE_LOOP('',(#251514)); #46182=EDGE_LOOP('',(#251515)); #46183=EDGE_LOOP('',(#251516)); #46184=EDGE_LOOP('',(#251517)); #46185=EDGE_LOOP('',(#251518)); #46186=EDGE_LOOP('',(#251519)); #46187=EDGE_LOOP('',(#251520)); #46188=EDGE_LOOP('',(#251521,#251522,#251523,#251524,#251525,#251526,#251527, #251528,#251529,#251530,#251531,#251532,#251533,#251534,#251535,#251536)); #46189=EDGE_LOOP('',(#251537,#251538,#251539,#251540)); #46190=EDGE_LOOP('',(#251541,#251542,#251543,#251544)); #46191=EDGE_LOOP('',(#251545,#251546,#251547,#251548)); #46192=EDGE_LOOP('',(#251549,#251550,#251551,#251552)); #46193=EDGE_LOOP('',(#251553,#251554,#251555,#251556)); #46194=EDGE_LOOP('',(#251557,#251558,#251559,#251560)); #46195=EDGE_LOOP('',(#251561,#251562,#251563,#251564)); #46196=EDGE_LOOP('',(#251565,#251566,#251567,#251568)); #46197=EDGE_LOOP('',(#251569,#251570,#251571,#251572)); #46198=EDGE_LOOP('',(#251573,#251574,#251575,#251576)); #46199=EDGE_LOOP('',(#251577,#251578,#251579,#251580)); #46200=EDGE_LOOP('',(#251581,#251582,#251583,#251584)); #46201=EDGE_LOOP('',(#251585,#251586,#251587,#251588)); #46202=EDGE_LOOP('',(#251589,#251590,#251591,#251592)); #46203=EDGE_LOOP('',(#251593,#251594,#251595,#251596)); #46204=EDGE_LOOP('',(#251597,#251598,#251599,#251600)); #46205=EDGE_LOOP('',(#251601,#251602,#251603,#251604)); #46206=EDGE_LOOP('',(#251605,#251606,#251607,#251608)); #46207=EDGE_LOOP('',(#251609,#251610,#251611,#251612)); #46208=EDGE_LOOP('',(#251613,#251614,#251615,#251616)); #46209=EDGE_LOOP('',(#251617,#251618,#251619,#251620)); #46210=EDGE_LOOP('',(#251621,#251622,#251623,#251624,#251625,#251626,#251627, #251628,#251629,#251630,#251631,#251632,#251633,#251634,#251635,#251636, #251637,#251638,#251639,#251640,#251641)); #46211=EDGE_LOOP('',(#251642,#251643,#251644,#251645,#251646,#251647,#251648, #251649,#251650,#251651,#251652,#251653,#251654,#251655,#251656,#251657, #251658,#251659,#251660,#251661,#251662)); #46212=EDGE_LOOP('',(#251663,#251664,#251665,#251666)); #46213=EDGE_LOOP('',(#251667,#251668,#251669,#251670)); #46214=EDGE_LOOP('',(#251671,#251672,#251673,#251674)); #46215=EDGE_LOOP('',(#251675,#251676,#251677,#251678)); #46216=EDGE_LOOP('',(#251679,#251680,#251681,#251682)); #46217=EDGE_LOOP('',(#251683,#251684,#251685,#251686)); #46218=EDGE_LOOP('',(#251687,#251688,#251689,#251690)); #46219=EDGE_LOOP('',(#251691,#251692,#251693,#251694)); #46220=EDGE_LOOP('',(#251695,#251696,#251697,#251698)); #46221=EDGE_LOOP('',(#251699,#251700,#251701,#251702)); #46222=EDGE_LOOP('',(#251703,#251704,#251705,#251706)); #46223=EDGE_LOOP('',(#251707,#251708,#251709,#251710)); #46224=EDGE_LOOP('',(#251711,#251712,#251713,#251714)); #46225=EDGE_LOOP('',(#251715,#251716,#251717,#251718)); #46226=EDGE_LOOP('',(#251719,#251720,#251721,#251722)); #46227=EDGE_LOOP('',(#251723,#251724,#251725,#251726)); #46228=EDGE_LOOP('',(#251727,#251728,#251729,#251730)); #46229=EDGE_LOOP('',(#251731,#251732,#251733,#251734)); #46230=EDGE_LOOP('',(#251735,#251736,#251737,#251738)); #46231=EDGE_LOOP('',(#251739,#251740,#251741,#251742)); #46232=EDGE_LOOP('',(#251743,#251744,#251745,#251746,#251747,#251748,#251749, #251750,#251751,#251752,#251753,#251754,#251755,#251756,#251757,#251758, #251759,#251760,#251761,#251762)); #46233=EDGE_LOOP('',(#251763,#251764,#251765,#251766,#251767,#251768,#251769, #251770,#251771,#251772,#251773,#251774,#251775,#251776,#251777,#251778, #251779,#251780,#251781,#251782)); #46234=EDGE_LOOP('',(#251783,#251784,#251785,#251786)); #46235=EDGE_LOOP('',(#251787,#251788,#251789,#251790)); #46236=EDGE_LOOP('',(#251791,#251792,#251793,#251794)); #46237=EDGE_LOOP('',(#251795,#251796,#251797,#251798)); #46238=EDGE_LOOP('',(#251799,#251800,#251801,#251802)); #46239=EDGE_LOOP('',(#251803,#251804,#251805,#251806)); #46240=EDGE_LOOP('',(#251807,#251808,#251809,#251810)); #46241=EDGE_LOOP('',(#251811,#251812,#251813,#251814)); #46242=EDGE_LOOP('',(#251815,#251816,#251817,#251818)); #46243=EDGE_LOOP('',(#251819,#251820,#251821,#251822)); #46244=EDGE_LOOP('',(#251823,#251824,#251825,#251826)); #46245=EDGE_LOOP('',(#251827,#251828,#251829,#251830)); #46246=EDGE_LOOP('',(#251831,#251832,#251833,#251834)); #46247=EDGE_LOOP('',(#251835,#251836,#251837,#251838)); #46248=EDGE_LOOP('',(#251839,#251840,#251841,#251842)); #46249=EDGE_LOOP('',(#251843,#251844,#251845,#251846)); #46250=EDGE_LOOP('',(#251847,#251848,#251849,#251850)); #46251=EDGE_LOOP('',(#251851,#251852,#251853,#251854)); #46252=EDGE_LOOP('',(#251855,#251856,#251857,#251858)); #46253=EDGE_LOOP('',(#251859,#251860,#251861,#251862)); #46254=EDGE_LOOP('',(#251863,#251864,#251865,#251866)); #46255=EDGE_LOOP('',(#251867,#251868,#251869,#251870)); #46256=EDGE_LOOP('',(#251871,#251872,#251873,#251874)); #46257=EDGE_LOOP('',(#251875,#251876,#251877,#251878)); #46258=EDGE_LOOP('',(#251879,#251880,#251881,#251882)); #46259=EDGE_LOOP('',(#251883,#251884,#251885,#251886)); #46260=EDGE_LOOP('',(#251887,#251888,#251889,#251890)); #46261=EDGE_LOOP('',(#251891,#251892,#251893,#251894)); #46262=EDGE_LOOP('',(#251895,#251896,#251897,#251898)); #46263=EDGE_LOOP('',(#251899,#251900,#251901,#251902)); #46264=EDGE_LOOP('',(#251903,#251904,#251905,#251906)); #46265=EDGE_LOOP('',(#251907,#251908,#251909,#251910)); #46266=EDGE_LOOP('',(#251911,#251912,#251913,#251914)); #46267=EDGE_LOOP('',(#251915,#251916,#251917,#251918)); #46268=EDGE_LOOP('',(#251919,#251920,#251921,#251922)); #46269=EDGE_LOOP('',(#251923,#251924,#251925,#251926)); #46270=EDGE_LOOP('',(#251927,#251928,#251929,#251930)); #46271=EDGE_LOOP('',(#251931,#251932,#251933,#251934)); #46272=EDGE_LOOP('',(#251935,#251936,#251937,#251938)); #46273=EDGE_LOOP('',(#251939,#251940,#251941,#251942)); #46274=EDGE_LOOP('',(#251943,#251944,#251945,#251946)); #46275=EDGE_LOOP('',(#251947,#251948,#251949,#251950)); #46276=EDGE_LOOP('',(#251951,#251952,#251953,#251954)); #46277=EDGE_LOOP('',(#251955,#251956,#251957,#251958)); #46278=EDGE_LOOP('',(#251959,#251960,#251961,#251962)); #46279=EDGE_LOOP('',(#251963,#251964,#251965,#251966)); #46280=EDGE_LOOP('',(#251967,#251968,#251969,#251970)); #46281=EDGE_LOOP('',(#251971,#251972,#251973,#251974)); #46282=EDGE_LOOP('',(#251975,#251976,#251977,#251978)); #46283=EDGE_LOOP('',(#251979,#251980,#251981,#251982)); #46284=EDGE_LOOP('',(#251983,#251984,#251985,#251986)); #46285=EDGE_LOOP('',(#251987,#251988,#251989,#251990)); #46286=EDGE_LOOP('',(#251991,#251992,#251993,#251994)); #46287=EDGE_LOOP('',(#251995,#251996,#251997,#251998)); #46288=EDGE_LOOP('',(#251999,#252000,#252001,#252002)); #46289=EDGE_LOOP('',(#252003,#252004,#252005,#252006)); #46290=EDGE_LOOP('',(#252007,#252008,#252009,#252010)); #46291=EDGE_LOOP('',(#252011,#252012,#252013,#252014)); #46292=EDGE_LOOP('',(#252015,#252016,#252017,#252018)); #46293=EDGE_LOOP('',(#252019,#252020,#252021,#252022)); #46294=EDGE_LOOP('',(#252023,#252024,#252025,#252026)); #46295=EDGE_LOOP('',(#252027,#252028,#252029,#252030)); #46296=EDGE_LOOP('',(#252031,#252032,#252033,#252034)); #46297=EDGE_LOOP('',(#252035,#252036,#252037,#252038)); #46298=EDGE_LOOP('',(#252039,#252040,#252041,#252042)); #46299=EDGE_LOOP('',(#252043,#252044,#252045,#252046)); #46300=EDGE_LOOP('',(#252047,#252048,#252049,#252050)); #46301=EDGE_LOOP('',(#252051,#252052,#252053,#252054)); #46302=EDGE_LOOP('',(#252055,#252056,#252057,#252058)); #46303=EDGE_LOOP('',(#252059,#252060,#252061,#252062)); #46304=EDGE_LOOP('',(#252063,#252064,#252065,#252066)); #46305=EDGE_LOOP('',(#252067,#252068,#252069,#252070)); #46306=EDGE_LOOP('',(#252071,#252072,#252073,#252074)); #46307=EDGE_LOOP('',(#252075,#252076,#252077,#252078)); #46308=EDGE_LOOP('',(#252079,#252080,#252081,#252082)); #46309=EDGE_LOOP('',(#252083,#252084,#252085,#252086)); #46310=EDGE_LOOP('',(#252087,#252088,#252089,#252090)); #46311=EDGE_LOOP('',(#252091,#252092,#252093,#252094)); #46312=EDGE_LOOP('',(#252095,#252096,#252097,#252098)); #46313=EDGE_LOOP('',(#252099,#252100,#252101,#252102)); #46314=EDGE_LOOP('',(#252103,#252104,#252105,#252106)); #46315=EDGE_LOOP('',(#252107,#252108,#252109,#252110)); #46316=EDGE_LOOP('',(#252111,#252112,#252113,#252114)); #46317=EDGE_LOOP('',(#252115,#252116,#252117,#252118)); #46318=EDGE_LOOP('',(#252119,#252120,#252121,#252122)); #46319=EDGE_LOOP('',(#252123,#252124,#252125,#252126)); #46320=EDGE_LOOP('',(#252127,#252128,#252129,#252130)); #46321=EDGE_LOOP('',(#252131,#252132,#252133,#252134)); #46322=EDGE_LOOP('',(#252135,#252136,#252137,#252138)); #46323=EDGE_LOOP('',(#252139,#252140,#252141,#252142)); #46324=EDGE_LOOP('',(#252143,#252144,#252145,#252146)); #46325=EDGE_LOOP('',(#252147,#252148,#252149,#252150)); #46326=EDGE_LOOP('',(#252151,#252152,#252153,#252154)); #46327=EDGE_LOOP('',(#252155,#252156,#252157,#252158)); #46328=EDGE_LOOP('',(#252159,#252160,#252161,#252162)); #46329=EDGE_LOOP('',(#252163,#252164,#252165,#252166)); #46330=EDGE_LOOP('',(#252167,#252168,#252169,#252170)); #46331=EDGE_LOOP('',(#252171,#252172,#252173,#252174)); #46332=EDGE_LOOP('',(#252175,#252176,#252177,#252178)); #46333=EDGE_LOOP('',(#252179,#252180,#252181,#252182)); #46334=EDGE_LOOP('',(#252183,#252184,#252185,#252186)); #46335=EDGE_LOOP('',(#252187,#252188,#252189,#252190)); #46336=EDGE_LOOP('',(#252191,#252192,#252193,#252194)); #46337=EDGE_LOOP('',(#252195,#252196,#252197,#252198)); #46338=EDGE_LOOP('',(#252199,#252200,#252201,#252202)); #46339=EDGE_LOOP('',(#252203,#252204,#252205,#252206)); #46340=EDGE_LOOP('',(#252207,#252208,#252209,#252210)); #46341=EDGE_LOOP('',(#252211,#252212,#252213,#252214)); #46342=EDGE_LOOP('',(#252215,#252216,#252217,#252218)); #46343=EDGE_LOOP('',(#252219,#252220,#252221,#252222)); #46344=EDGE_LOOP('',(#252223,#252224,#252225,#252226)); #46345=EDGE_LOOP('',(#252227,#252228,#252229,#252230)); #46346=EDGE_LOOP('',(#252231,#252232,#252233,#252234)); #46347=EDGE_LOOP('',(#252235,#252236,#252237,#252238)); #46348=EDGE_LOOP('',(#252239,#252240,#252241,#252242)); #46349=EDGE_LOOP('',(#252243,#252244,#252245,#252246)); #46350=EDGE_LOOP('',(#252247,#252248,#252249,#252250)); #46351=EDGE_LOOP('',(#252251,#252252,#252253,#252254)); #46352=EDGE_LOOP('',(#252255,#252256,#252257,#252258)); #46353=EDGE_LOOP('',(#252259,#252260,#252261,#252262)); #46354=EDGE_LOOP('',(#252263,#252264,#252265,#252266)); #46355=EDGE_LOOP('',(#252267,#252268,#252269,#252270)); #46356=EDGE_LOOP('',(#252271,#252272,#252273,#252274)); #46357=EDGE_LOOP('',(#252275,#252276,#252277,#252278)); #46358=EDGE_LOOP('',(#252279,#252280,#252281,#252282)); #46359=EDGE_LOOP('',(#252283,#252284,#252285,#252286)); #46360=EDGE_LOOP('',(#252287,#252288,#252289,#252290)); #46361=EDGE_LOOP('',(#252291,#252292,#252293,#252294)); #46362=EDGE_LOOP('',(#252295,#252296,#252297,#252298)); #46363=EDGE_LOOP('',(#252299,#252300,#252301,#252302)); #46364=EDGE_LOOP('',(#252303,#252304,#252305,#252306)); #46365=EDGE_LOOP('',(#252307,#252308,#252309,#252310)); #46366=EDGE_LOOP('',(#252311,#252312,#252313,#252314)); #46367=EDGE_LOOP('',(#252315,#252316,#252317,#252318)); #46368=EDGE_LOOP('',(#252319,#252320,#252321,#252322)); #46369=EDGE_LOOP('',(#252323,#252324,#252325,#252326)); #46370=EDGE_LOOP('',(#252327,#252328,#252329,#252330)); #46371=EDGE_LOOP('',(#252331,#252332,#252333,#252334)); #46372=EDGE_LOOP('',(#252335,#252336,#252337,#252338)); #46373=EDGE_LOOP('',(#252339,#252340,#252341,#252342)); #46374=EDGE_LOOP('',(#252343,#252344,#252345,#252346)); #46375=EDGE_LOOP('',(#252347,#252348,#252349,#252350)); #46376=EDGE_LOOP('',(#252351,#252352,#252353,#252354)); #46377=EDGE_LOOP('',(#252355,#252356,#252357,#252358)); #46378=EDGE_LOOP('',(#252359,#252360,#252361,#252362)); #46379=EDGE_LOOP('',(#252363,#252364,#252365,#252366)); #46380=EDGE_LOOP('',(#252367,#252368,#252369,#252370)); #46381=EDGE_LOOP('',(#252371,#252372,#252373,#252374)); #46382=EDGE_LOOP('',(#252375,#252376,#252377,#252378)); #46383=EDGE_LOOP('',(#252379,#252380,#252381,#252382)); #46384=EDGE_LOOP('',(#252383,#252384,#252385,#252386)); #46385=EDGE_LOOP('',(#252387,#252388,#252389,#252390)); #46386=EDGE_LOOP('',(#252391,#252392,#252393,#252394)); #46387=EDGE_LOOP('',(#252395,#252396,#252397,#252398)); #46388=EDGE_LOOP('',(#252399,#252400,#252401,#252402)); #46389=EDGE_LOOP('',(#252403,#252404,#252405,#252406)); #46390=EDGE_LOOP('',(#252407,#252408,#252409,#252410)); #46391=EDGE_LOOP('',(#252411,#252412,#252413,#252414)); #46392=EDGE_LOOP('',(#252415,#252416,#252417,#252418)); #46393=EDGE_LOOP('',(#252419,#252420,#252421,#252422)); #46394=EDGE_LOOP('',(#252423,#252424,#252425,#252426)); #46395=EDGE_LOOP('',(#252427,#252428,#252429,#252430)); #46396=EDGE_LOOP('',(#252431,#252432,#252433,#252434)); #46397=EDGE_LOOP('',(#252435,#252436,#252437,#252438)); #46398=EDGE_LOOP('',(#252439,#252440,#252441,#252442)); #46399=EDGE_LOOP('',(#252443,#252444,#252445,#252446)); #46400=EDGE_LOOP('',(#252447,#252448,#252449,#252450)); #46401=EDGE_LOOP('',(#252451,#252452,#252453,#252454)); #46402=EDGE_LOOP('',(#252455,#252456,#252457,#252458)); #46403=EDGE_LOOP('',(#252459,#252460,#252461,#252462)); #46404=EDGE_LOOP('',(#252463,#252464,#252465,#252466)); #46405=EDGE_LOOP('',(#252467,#252468,#252469,#252470)); #46406=EDGE_LOOP('',(#252471,#252472,#252473,#252474)); #46407=EDGE_LOOP('',(#252475,#252476,#252477,#252478)); #46408=EDGE_LOOP('',(#252479,#252480,#252481,#252482)); #46409=EDGE_LOOP('',(#252483,#252484,#252485,#252486)); #46410=EDGE_LOOP('',(#252487,#252488,#252489,#252490)); #46411=EDGE_LOOP('',(#252491,#252492,#252493,#252494)); #46412=EDGE_LOOP('',(#252495,#252496,#252497,#252498)); #46413=EDGE_LOOP('',(#252499,#252500,#252501,#252502)); #46414=EDGE_LOOP('',(#252503,#252504,#252505,#252506)); #46415=EDGE_LOOP('',(#252507,#252508,#252509,#252510)); #46416=EDGE_LOOP('',(#252511,#252512,#252513,#252514)); #46417=EDGE_LOOP('',(#252515,#252516,#252517,#252518)); #46418=EDGE_LOOP('',(#252519,#252520,#252521,#252522)); #46419=EDGE_LOOP('',(#252523,#252524,#252525,#252526)); #46420=EDGE_LOOP('',(#252527,#252528,#252529,#252530)); #46421=EDGE_LOOP('',(#252531,#252532,#252533,#252534)); #46422=EDGE_LOOP('',(#252535,#252536,#252537,#252538)); #46423=EDGE_LOOP('',(#252539,#252540,#252541,#252542)); #46424=EDGE_LOOP('',(#252543,#252544,#252545,#252546)); #46425=EDGE_LOOP('',(#252547,#252548,#252549,#252550)); #46426=EDGE_LOOP('',(#252551,#252552,#252553,#252554)); #46427=EDGE_LOOP('',(#252555,#252556,#252557,#252558)); #46428=EDGE_LOOP('',(#252559,#252560,#252561,#252562)); #46429=EDGE_LOOP('',(#252563,#252564,#252565,#252566)); #46430=EDGE_LOOP('',(#252567,#252568,#252569,#252570)); #46431=EDGE_LOOP('',(#252571,#252572,#252573,#252574)); #46432=EDGE_LOOP('',(#252575,#252576,#252577,#252578)); #46433=EDGE_LOOP('',(#252579,#252580,#252581,#252582)); #46434=EDGE_LOOP('',(#252583,#252584,#252585,#252586)); #46435=EDGE_LOOP('',(#252587,#252588,#252589,#252590)); #46436=EDGE_LOOP('',(#252591,#252592,#252593,#252594)); #46437=EDGE_LOOP('',(#252595,#252596,#252597,#252598)); #46438=EDGE_LOOP('',(#252599,#252600,#252601,#252602)); #46439=EDGE_LOOP('',(#252603,#252604,#252605,#252606)); #46440=EDGE_LOOP('',(#252607,#252608,#252609,#252610)); #46441=EDGE_LOOP('',(#252611,#252612,#252613,#252614)); #46442=EDGE_LOOP('',(#252615,#252616,#252617,#252618)); #46443=EDGE_LOOP('',(#252619,#252620,#252621,#252622)); #46444=EDGE_LOOP('',(#252623,#252624,#252625,#252626)); #46445=EDGE_LOOP('',(#252627,#252628,#252629,#252630)); #46446=EDGE_LOOP('',(#252631,#252632,#252633,#252634)); #46447=EDGE_LOOP('',(#252635,#252636,#252637,#252638)); #46448=EDGE_LOOP('',(#252639,#252640,#252641,#252642)); #46449=EDGE_LOOP('',(#252643,#252644,#252645,#252646)); #46450=EDGE_LOOP('',(#252647,#252648,#252649,#252650)); #46451=EDGE_LOOP('',(#252651,#252652,#252653,#252654)); #46452=EDGE_LOOP('',(#252655,#252656,#252657,#252658)); #46453=EDGE_LOOP('',(#252659,#252660,#252661,#252662)); #46454=EDGE_LOOP('',(#252663,#252664,#252665,#252666)); #46455=EDGE_LOOP('',(#252667,#252668,#252669,#252670)); #46456=EDGE_LOOP('',(#252671,#252672,#252673,#252674)); #46457=EDGE_LOOP('',(#252675,#252676,#252677,#252678)); #46458=EDGE_LOOP('',(#252679,#252680,#252681,#252682)); #46459=EDGE_LOOP('',(#252683,#252684,#252685,#252686)); #46460=EDGE_LOOP('',(#252687,#252688,#252689,#252690)); #46461=EDGE_LOOP('',(#252691,#252692,#252693,#252694)); #46462=EDGE_LOOP('',(#252695,#252696,#252697,#252698)); #46463=EDGE_LOOP('',(#252699,#252700,#252701,#252702)); #46464=EDGE_LOOP('',(#252703,#252704,#252705,#252706)); #46465=EDGE_LOOP('',(#252707,#252708,#252709,#252710)); #46466=EDGE_LOOP('',(#252711,#252712,#252713,#252714)); #46467=EDGE_LOOP('',(#252715,#252716,#252717,#252718)); #46468=EDGE_LOOP('',(#252719,#252720,#252721,#252722)); #46469=EDGE_LOOP('',(#252723,#252724,#252725,#252726)); #46470=EDGE_LOOP('',(#252727,#252728,#252729,#252730)); #46471=EDGE_LOOP('',(#252731,#252732,#252733,#252734)); #46472=EDGE_LOOP('',(#252735,#252736,#252737,#252738)); #46473=EDGE_LOOP('',(#252739,#252740,#252741,#252742)); #46474=EDGE_LOOP('',(#252743,#252744,#252745,#252746)); #46475=EDGE_LOOP('',(#252747,#252748,#252749,#252750)); #46476=EDGE_LOOP('',(#252751,#252752,#252753,#252754)); #46477=EDGE_LOOP('',(#252755,#252756,#252757,#252758)); #46478=EDGE_LOOP('',(#252759,#252760,#252761,#252762)); #46479=EDGE_LOOP('',(#252763,#252764,#252765,#252766)); #46480=EDGE_LOOP('',(#252767,#252768,#252769,#252770)); #46481=EDGE_LOOP('',(#252771,#252772,#252773,#252774)); #46482=EDGE_LOOP('',(#252775,#252776,#252777,#252778)); #46483=EDGE_LOOP('',(#252779,#252780,#252781,#252782)); #46484=EDGE_LOOP('',(#252783,#252784,#252785,#252786)); #46485=EDGE_LOOP('',(#252787,#252788,#252789,#252790)); #46486=EDGE_LOOP('',(#252791,#252792,#252793,#252794)); #46487=EDGE_LOOP('',(#252795,#252796,#252797,#252798)); #46488=EDGE_LOOP('',(#252799,#252800,#252801,#252802)); #46489=EDGE_LOOP('',(#252803,#252804,#252805,#252806)); #46490=EDGE_LOOP('',(#252807,#252808,#252809,#252810)); #46491=EDGE_LOOP('',(#252811,#252812,#252813,#252814)); #46492=EDGE_LOOP('',(#252815,#252816,#252817,#252818)); #46493=EDGE_LOOP('',(#252819,#252820,#252821,#252822)); #46494=EDGE_LOOP('',(#252823,#252824,#252825,#252826)); #46495=EDGE_LOOP('',(#252827,#252828,#252829,#252830)); #46496=EDGE_LOOP('',(#252831,#252832,#252833,#252834)); #46497=EDGE_LOOP('',(#252835,#252836,#252837,#252838)); #46498=EDGE_LOOP('',(#252839,#252840,#252841,#252842)); #46499=EDGE_LOOP('',(#252843,#252844,#252845,#252846)); #46500=EDGE_LOOP('',(#252847,#252848,#252849,#252850)); #46501=EDGE_LOOP('',(#252851,#252852,#252853,#252854)); #46502=EDGE_LOOP('',(#252855,#252856,#252857,#252858)); #46503=EDGE_LOOP('',(#252859,#252860,#252861,#252862)); #46504=EDGE_LOOP('',(#252863,#252864,#252865,#252866)); #46505=EDGE_LOOP('',(#252867,#252868,#252869,#252870)); #46506=EDGE_LOOP('',(#252871,#252872,#252873,#252874)); #46507=EDGE_LOOP('',(#252875,#252876,#252877,#252878)); #46508=EDGE_LOOP('',(#252879,#252880,#252881,#252882)); #46509=EDGE_LOOP('',(#252883,#252884,#252885,#252886)); #46510=EDGE_LOOP('',(#252887,#252888,#252889,#252890)); #46511=EDGE_LOOP('',(#252891,#252892,#252893,#252894)); #46512=EDGE_LOOP('',(#252895,#252896,#252897,#252898)); #46513=EDGE_LOOP('',(#252899,#252900,#252901,#252902)); #46514=EDGE_LOOP('',(#252903,#252904,#252905,#252906)); #46515=EDGE_LOOP('',(#252907,#252908,#252909,#252910)); #46516=EDGE_LOOP('',(#252911,#252912,#252913,#252914)); #46517=EDGE_LOOP('',(#252915,#252916,#252917,#252918)); #46518=EDGE_LOOP('',(#252919,#252920,#252921,#252922)); #46519=EDGE_LOOP('',(#252923,#252924,#252925,#252926)); #46520=EDGE_LOOP('',(#252927,#252928,#252929,#252930)); #46521=EDGE_LOOP('',(#252931,#252932,#252933,#252934)); #46522=EDGE_LOOP('',(#252935,#252936,#252937,#252938)); #46523=EDGE_LOOP('',(#252939,#252940,#252941,#252942)); #46524=EDGE_LOOP('',(#252943,#252944,#252945,#252946)); #46525=EDGE_LOOP('',(#252947,#252948,#252949,#252950)); #46526=EDGE_LOOP('',(#252951,#252952,#252953,#252954)); #46527=EDGE_LOOP('',(#252955,#252956,#252957,#252958)); #46528=EDGE_LOOP('',(#252959,#252960,#252961,#252962)); #46529=EDGE_LOOP('',(#252963,#252964,#252965,#252966)); #46530=EDGE_LOOP('',(#252967,#252968,#252969,#252970)); #46531=EDGE_LOOP('',(#252971,#252972,#252973,#252974)); #46532=EDGE_LOOP('',(#252975,#252976,#252977,#252978)); #46533=EDGE_LOOP('',(#252979,#252980,#252981,#252982)); #46534=EDGE_LOOP('',(#252983,#252984,#252985,#252986)); #46535=EDGE_LOOP('',(#252987,#252988,#252989,#252990)); #46536=EDGE_LOOP('',(#252991,#252992,#252993,#252994)); #46537=EDGE_LOOP('',(#252995,#252996,#252997,#252998)); #46538=EDGE_LOOP('',(#252999,#253000,#253001,#253002)); #46539=EDGE_LOOP('',(#253003,#253004,#253005,#253006)); #46540=EDGE_LOOP('',(#253007,#253008,#253009,#253010)); #46541=EDGE_LOOP('',(#253011,#253012,#253013,#253014)); #46542=EDGE_LOOP('',(#253015,#253016,#253017,#253018)); #46543=EDGE_LOOP('',(#253019,#253020,#253021,#253022)); #46544=EDGE_LOOP('',(#253023,#253024,#253025,#253026)); #46545=EDGE_LOOP('',(#253027,#253028,#253029,#253030)); #46546=EDGE_LOOP('',(#253031,#253032,#253033,#253034)); #46547=EDGE_LOOP('',(#253035,#253036,#253037,#253038)); #46548=EDGE_LOOP('',(#253039,#253040,#253041,#253042)); #46549=EDGE_LOOP('',(#253043,#253044,#253045,#253046)); #46550=EDGE_LOOP('',(#253047,#253048,#253049,#253050)); #46551=EDGE_LOOP('',(#253051,#253052,#253053,#253054)); #46552=EDGE_LOOP('',(#253055,#253056,#253057,#253058)); #46553=EDGE_LOOP('',(#253059,#253060,#253061,#253062)); #46554=EDGE_LOOP('',(#253063,#253064,#253065,#253066)); #46555=EDGE_LOOP('',(#253067,#253068,#253069,#253070)); #46556=EDGE_LOOP('',(#253071,#253072,#253073,#253074)); #46557=EDGE_LOOP('',(#253075,#253076,#253077,#253078)); #46558=EDGE_LOOP('',(#253079,#253080,#253081,#253082)); #46559=EDGE_LOOP('',(#253083,#253084,#253085,#253086)); #46560=EDGE_LOOP('',(#253087,#253088,#253089,#253090)); #46561=EDGE_LOOP('',(#253091,#253092,#253093,#253094)); #46562=EDGE_LOOP('',(#253095,#253096,#253097,#253098)); #46563=EDGE_LOOP('',(#253099,#253100,#253101,#253102)); #46564=EDGE_LOOP('',(#253103,#253104,#253105,#253106)); #46565=EDGE_LOOP('',(#253107,#253108,#253109,#253110)); #46566=EDGE_LOOP('',(#253111,#253112,#253113,#253114)); #46567=EDGE_LOOP('',(#253115,#253116,#253117,#253118)); #46568=EDGE_LOOP('',(#253119,#253120,#253121,#253122)); #46569=EDGE_LOOP('',(#253123,#253124,#253125,#253126)); #46570=EDGE_LOOP('',(#253127,#253128,#253129,#253130)); #46571=EDGE_LOOP('',(#253131,#253132,#253133,#253134)); #46572=EDGE_LOOP('',(#253135,#253136,#253137,#253138)); #46573=EDGE_LOOP('',(#253139,#253140,#253141,#253142)); #46574=EDGE_LOOP('',(#253143,#253144,#253145,#253146)); #46575=EDGE_LOOP('',(#253147,#253148,#253149,#253150)); #46576=EDGE_LOOP('',(#253151,#253152,#253153,#253154)); #46577=EDGE_LOOP('',(#253155,#253156,#253157,#253158)); #46578=EDGE_LOOP('',(#253159,#253160,#253161,#253162)); #46579=EDGE_LOOP('',(#253163,#253164,#253165,#253166)); #46580=EDGE_LOOP('',(#253167,#253168,#253169,#253170)); #46581=EDGE_LOOP('',(#253171,#253172,#253173,#253174)); #46582=EDGE_LOOP('',(#253175,#253176,#253177,#253178)); #46583=EDGE_LOOP('',(#253179,#253180,#253181,#253182)); #46584=EDGE_LOOP('',(#253183,#253184,#253185,#253186)); #46585=EDGE_LOOP('',(#253187,#253188,#253189,#253190)); #46586=EDGE_LOOP('',(#253191,#253192,#253193,#253194)); #46587=EDGE_LOOP('',(#253195,#253196,#253197,#253198)); #46588=EDGE_LOOP('',(#253199,#253200,#253201,#253202)); #46589=EDGE_LOOP('',(#253203,#253204,#253205,#253206)); #46590=EDGE_LOOP('',(#253207,#253208,#253209,#253210)); #46591=EDGE_LOOP('',(#253211,#253212,#253213,#253214)); #46592=EDGE_LOOP('',(#253215,#253216,#253217,#253218)); #46593=EDGE_LOOP('',(#253219,#253220,#253221,#253222)); #46594=EDGE_LOOP('',(#253223,#253224,#253225,#253226)); #46595=EDGE_LOOP('',(#253227,#253228,#253229,#253230)); #46596=EDGE_LOOP('',(#253231,#253232,#253233,#253234)); #46597=EDGE_LOOP('',(#253235,#253236,#253237,#253238)); #46598=EDGE_LOOP('',(#253239,#253240,#253241,#253242)); #46599=EDGE_LOOP('',(#253243,#253244,#253245,#253246)); #46600=EDGE_LOOP('',(#253247,#253248,#253249,#253250)); #46601=EDGE_LOOP('',(#253251,#253252,#253253,#253254)); #46602=EDGE_LOOP('',(#253255,#253256,#253257,#253258)); #46603=EDGE_LOOP('',(#253259,#253260,#253261,#253262)); #46604=EDGE_LOOP('',(#253263,#253264,#253265,#253266)); #46605=EDGE_LOOP('',(#253267,#253268,#253269,#253270)); #46606=EDGE_LOOP('',(#253271,#253272,#253273,#253274)); #46607=EDGE_LOOP('',(#253275,#253276,#253277,#253278)); #46608=EDGE_LOOP('',(#253279,#253280,#253281,#253282)); #46609=EDGE_LOOP('',(#253283,#253284,#253285,#253286)); #46610=EDGE_LOOP('',(#253287,#253288,#253289,#253290)); #46611=EDGE_LOOP('',(#253291,#253292,#253293,#253294)); #46612=EDGE_LOOP('',(#253295,#253296,#253297,#253298)); #46613=EDGE_LOOP('',(#253299,#253300,#253301,#253302)); #46614=EDGE_LOOP('',(#253303,#253304,#253305,#253306)); #46615=EDGE_LOOP('',(#253307,#253308,#253309,#253310)); #46616=EDGE_LOOP('',(#253311,#253312,#253313,#253314)); #46617=EDGE_LOOP('',(#253315,#253316,#253317,#253318)); #46618=EDGE_LOOP('',(#253319,#253320,#253321,#253322)); #46619=EDGE_LOOP('',(#253323,#253324,#253325,#253326)); #46620=EDGE_LOOP('',(#253327,#253328,#253329,#253330)); #46621=EDGE_LOOP('',(#253331,#253332,#253333,#253334)); #46622=EDGE_LOOP('',(#253335,#253336,#253337,#253338)); #46623=EDGE_LOOP('',(#253339,#253340,#253341,#253342)); #46624=EDGE_LOOP('',(#253343,#253344,#253345,#253346)); #46625=EDGE_LOOP('',(#253347,#253348,#253349,#253350)); #46626=EDGE_LOOP('',(#253351,#253352,#253353,#253354)); #46627=EDGE_LOOP('',(#253355,#253356,#253357,#253358)); #46628=EDGE_LOOP('',(#253359,#253360,#253361,#253362)); #46629=EDGE_LOOP('',(#253363,#253364,#253365,#253366)); #46630=EDGE_LOOP('',(#253367,#253368,#253369,#253370)); #46631=EDGE_LOOP('',(#253371,#253372,#253373,#253374)); #46632=EDGE_LOOP('',(#253375,#253376,#253377,#253378)); #46633=EDGE_LOOP('',(#253379,#253380,#253381,#253382)); #46634=EDGE_LOOP('',(#253383,#253384,#253385,#253386)); #46635=EDGE_LOOP('',(#253387,#253388,#253389,#253390)); #46636=EDGE_LOOP('',(#253391,#253392,#253393,#253394)); #46637=EDGE_LOOP('',(#253395,#253396,#253397,#253398)); #46638=EDGE_LOOP('',(#253399,#253400,#253401,#253402)); #46639=EDGE_LOOP('',(#253403,#253404,#253405,#253406)); #46640=EDGE_LOOP('',(#253407,#253408,#253409,#253410)); #46641=EDGE_LOOP('',(#253411,#253412,#253413,#253414)); #46642=EDGE_LOOP('',(#253415,#253416,#253417,#253418)); #46643=EDGE_LOOP('',(#253419,#253420,#253421,#253422)); #46644=EDGE_LOOP('',(#253423,#253424,#253425,#253426)); #46645=EDGE_LOOP('',(#253427,#253428,#253429,#253430)); #46646=EDGE_LOOP('',(#253431,#253432,#253433,#253434)); #46647=EDGE_LOOP('',(#253435,#253436,#253437,#253438)); #46648=EDGE_LOOP('',(#253439,#253440,#253441,#253442)); #46649=EDGE_LOOP('',(#253443,#253444,#253445,#253446)); #46650=EDGE_LOOP('',(#253447,#253448,#253449,#253450)); #46651=EDGE_LOOP('',(#253451,#253452,#253453,#253454)); #46652=EDGE_LOOP('',(#253455,#253456,#253457,#253458)); #46653=EDGE_LOOP('',(#253459,#253460,#253461,#253462)); #46654=EDGE_LOOP('',(#253463,#253464,#253465,#253466)); #46655=EDGE_LOOP('',(#253467,#253468,#253469,#253470)); #46656=EDGE_LOOP('',(#253471,#253472,#253473,#253474)); #46657=EDGE_LOOP('',(#253475,#253476,#253477,#253478)); #46658=EDGE_LOOP('',(#253479,#253480,#253481,#253482)); #46659=EDGE_LOOP('',(#253483,#253484,#253485,#253486)); #46660=EDGE_LOOP('',(#253487,#253488,#253489,#253490)); #46661=EDGE_LOOP('',(#253491,#253492,#253493,#253494)); #46662=EDGE_LOOP('',(#253495,#253496,#253497,#253498)); #46663=EDGE_LOOP('',(#253499,#253500,#253501,#253502)); #46664=EDGE_LOOP('',(#253503,#253504,#253505,#253506)); #46665=EDGE_LOOP('',(#253507,#253508,#253509,#253510)); #46666=EDGE_LOOP('',(#253511,#253512,#253513,#253514)); #46667=EDGE_LOOP('',(#253515,#253516,#253517,#253518)); #46668=EDGE_LOOP('',(#253519,#253520,#253521,#253522)); #46669=EDGE_LOOP('',(#253523,#253524,#253525,#253526)); #46670=EDGE_LOOP('',(#253527,#253528,#253529,#253530)); #46671=EDGE_LOOP('',(#253531,#253532,#253533,#253534)); #46672=EDGE_LOOP('',(#253535,#253536,#253537,#253538)); #46673=EDGE_LOOP('',(#253539,#253540,#253541,#253542)); #46674=EDGE_LOOP('',(#253543,#253544,#253545,#253546)); #46675=EDGE_LOOP('',(#253547,#253548,#253549,#253550)); #46676=EDGE_LOOP('',(#253551,#253552,#253553,#253554)); #46677=EDGE_LOOP('',(#253555,#253556,#253557,#253558)); #46678=EDGE_LOOP('',(#253559,#253560,#253561,#253562)); #46679=EDGE_LOOP('',(#253563,#253564,#253565,#253566)); #46680=EDGE_LOOP('',(#253567,#253568,#253569,#253570)); #46681=EDGE_LOOP('',(#253571,#253572,#253573,#253574)); #46682=EDGE_LOOP('',(#253575,#253576,#253577,#253578)); #46683=EDGE_LOOP('',(#253579,#253580,#253581,#253582)); #46684=EDGE_LOOP('',(#253583,#253584,#253585,#253586)); #46685=EDGE_LOOP('',(#253587,#253588,#253589,#253590)); #46686=EDGE_LOOP('',(#253591,#253592,#253593,#253594)); #46687=EDGE_LOOP('',(#253595,#253596,#253597,#253598)); #46688=EDGE_LOOP('',(#253599,#253600,#253601,#253602)); #46689=EDGE_LOOP('',(#253603,#253604,#253605,#253606)); #46690=EDGE_LOOP('',(#253607,#253608,#253609,#253610)); #46691=EDGE_LOOP('',(#253611,#253612,#253613,#253614)); #46692=EDGE_LOOP('',(#253615,#253616,#253617,#253618)); #46693=EDGE_LOOP('',(#253619,#253620,#253621,#253622)); #46694=EDGE_LOOP('',(#253623,#253624,#253625,#253626)); #46695=EDGE_LOOP('',(#253627,#253628,#253629,#253630)); #46696=EDGE_LOOP('',(#253631,#253632,#253633,#253634)); #46697=EDGE_LOOP('',(#253635,#253636,#253637,#253638)); #46698=EDGE_LOOP('',(#253639,#253640,#253641,#253642)); #46699=EDGE_LOOP('',(#253643,#253644,#253645,#253646)); #46700=EDGE_LOOP('',(#253647,#253648,#253649,#253650)); #46701=EDGE_LOOP('',(#253651,#253652,#253653,#253654)); #46702=EDGE_LOOP('',(#253655,#253656,#253657,#253658)); #46703=EDGE_LOOP('',(#253659,#253660,#253661,#253662)); #46704=EDGE_LOOP('',(#253663,#253664,#253665,#253666)); #46705=EDGE_LOOP('',(#253667,#253668,#253669,#253670)); #46706=EDGE_LOOP('',(#253671,#253672,#253673,#253674)); #46707=EDGE_LOOP('',(#253675,#253676,#253677,#253678)); #46708=EDGE_LOOP('',(#253679,#253680,#253681,#253682)); #46709=EDGE_LOOP('',(#253683,#253684,#253685,#253686)); #46710=EDGE_LOOP('',(#253687,#253688,#253689,#253690)); #46711=EDGE_LOOP('',(#253691,#253692,#253693,#253694)); #46712=EDGE_LOOP('',(#253695,#253696,#253697,#253698)); #46713=EDGE_LOOP('',(#253699,#253700,#253701,#253702)); #46714=EDGE_LOOP('',(#253703,#253704,#253705,#253706)); #46715=EDGE_LOOP('',(#253707,#253708,#253709,#253710)); #46716=EDGE_LOOP('',(#253711,#253712,#253713,#253714)); #46717=EDGE_LOOP('',(#253715,#253716,#253717,#253718)); #46718=EDGE_LOOP('',(#253719,#253720,#253721,#253722)); #46719=EDGE_LOOP('',(#253723,#253724,#253725,#253726)); #46720=EDGE_LOOP('',(#253727,#253728,#253729,#253730)); #46721=EDGE_LOOP('',(#253731,#253732,#253733,#253734)); #46722=EDGE_LOOP('',(#253735,#253736,#253737,#253738)); #46723=EDGE_LOOP('',(#253739,#253740,#253741,#253742)); #46724=EDGE_LOOP('',(#253743,#253744,#253745,#253746)); #46725=EDGE_LOOP('',(#253747,#253748,#253749,#253750)); #46726=EDGE_LOOP('',(#253751,#253752,#253753,#253754)); #46727=EDGE_LOOP('',(#253755,#253756,#253757,#253758)); #46728=EDGE_LOOP('',(#253759,#253760,#253761,#253762)); #46729=EDGE_LOOP('',(#253763,#253764,#253765,#253766)); #46730=EDGE_LOOP('',(#253767,#253768,#253769,#253770)); #46731=EDGE_LOOP('',(#253771,#253772,#253773,#253774)); #46732=EDGE_LOOP('',(#253775,#253776,#253777,#253778)); #46733=EDGE_LOOP('',(#253779,#253780,#253781,#253782)); #46734=EDGE_LOOP('',(#253783,#253784,#253785,#253786)); #46735=EDGE_LOOP('',(#253787,#253788,#253789,#253790)); #46736=EDGE_LOOP('',(#253791,#253792,#253793,#253794)); #46737=EDGE_LOOP('',(#253795,#253796,#253797,#253798)); #46738=EDGE_LOOP('',(#253799,#253800,#253801,#253802)); #46739=EDGE_LOOP('',(#253803,#253804,#253805,#253806)); #46740=EDGE_LOOP('',(#253807,#253808,#253809,#253810)); #46741=EDGE_LOOP('',(#253811,#253812,#253813,#253814)); #46742=EDGE_LOOP('',(#253815,#253816,#253817,#253818)); #46743=EDGE_LOOP('',(#253819,#253820,#253821,#253822)); #46744=EDGE_LOOP('',(#253823,#253824,#253825,#253826)); #46745=EDGE_LOOP('',(#253827,#253828,#253829,#253830)); #46746=EDGE_LOOP('',(#253831,#253832,#253833,#253834)); #46747=EDGE_LOOP('',(#253835,#253836,#253837,#253838)); #46748=EDGE_LOOP('',(#253839,#253840,#253841,#253842)); #46749=EDGE_LOOP('',(#253843,#253844,#253845,#253846)); #46750=EDGE_LOOP('',(#253847,#253848,#253849,#253850)); #46751=EDGE_LOOP('',(#253851,#253852,#253853,#253854)); #46752=EDGE_LOOP('',(#253855,#253856,#253857,#253858)); #46753=EDGE_LOOP('',(#253859,#253860,#253861,#253862)); #46754=EDGE_LOOP('',(#253863,#253864,#253865,#253866)); #46755=EDGE_LOOP('',(#253867,#253868,#253869,#253870)); #46756=EDGE_LOOP('',(#253871,#253872,#253873,#253874)); #46757=EDGE_LOOP('',(#253875,#253876,#253877,#253878)); #46758=EDGE_LOOP('',(#253879,#253880,#253881,#253882)); #46759=EDGE_LOOP('',(#253883,#253884,#253885,#253886)); #46760=EDGE_LOOP('',(#253887,#253888,#253889,#253890)); #46761=EDGE_LOOP('',(#253891,#253892,#253893,#253894)); #46762=EDGE_LOOP('',(#253895,#253896,#253897,#253898)); #46763=EDGE_LOOP('',(#253899,#253900,#253901,#253902)); #46764=EDGE_LOOP('',(#253903,#253904,#253905,#253906)); #46765=EDGE_LOOP('',(#253907,#253908,#253909,#253910)); #46766=EDGE_LOOP('',(#253911,#253912,#253913,#253914)); #46767=EDGE_LOOP('',(#253915,#253916,#253917,#253918)); #46768=EDGE_LOOP('',(#253919,#253920,#253921,#253922)); #46769=EDGE_LOOP('',(#253923,#253924,#253925,#253926)); #46770=EDGE_LOOP('',(#253927,#253928,#253929,#253930)); #46771=EDGE_LOOP('',(#253931,#253932,#253933,#253934)); #46772=EDGE_LOOP('',(#253935,#253936,#253937,#253938)); #46773=EDGE_LOOP('',(#253939,#253940,#253941,#253942)); #46774=EDGE_LOOP('',(#253943,#253944,#253945,#253946)); #46775=EDGE_LOOP('',(#253947,#253948,#253949,#253950)); #46776=EDGE_LOOP('',(#253951,#253952,#253953,#253954)); #46777=EDGE_LOOP('',(#253955,#253956,#253957,#253958)); #46778=EDGE_LOOP('',(#253959,#253960,#253961,#253962)); #46779=EDGE_LOOP('',(#253963,#253964,#253965,#253966)); #46780=EDGE_LOOP('',(#253967,#253968,#253969,#253970)); #46781=EDGE_LOOP('',(#253971,#253972,#253973,#253974)); #46782=EDGE_LOOP('',(#253975,#253976,#253977,#253978)); #46783=EDGE_LOOP('',(#253979,#253980,#253981,#253982)); #46784=EDGE_LOOP('',(#253983,#253984,#253985,#253986)); #46785=EDGE_LOOP('',(#253987,#253988,#253989,#253990)); #46786=EDGE_LOOP('',(#253991,#253992,#253993,#253994)); #46787=EDGE_LOOP('',(#253995,#253996,#253997,#253998)); #46788=EDGE_LOOP('',(#253999,#254000,#254001,#254002)); #46789=EDGE_LOOP('',(#254003,#254004,#254005,#254006)); #46790=EDGE_LOOP('',(#254007,#254008,#254009,#254010)); #46791=EDGE_LOOP('',(#254011,#254012,#254013,#254014)); #46792=EDGE_LOOP('',(#254015,#254016,#254017,#254018)); #46793=EDGE_LOOP('',(#254019,#254020,#254021,#254022)); #46794=EDGE_LOOP('',(#254023,#254024,#254025,#254026)); #46795=EDGE_LOOP('',(#254027,#254028,#254029,#254030)); #46796=EDGE_LOOP('',(#254031,#254032,#254033,#254034)); #46797=EDGE_LOOP('',(#254035,#254036,#254037,#254038)); #46798=EDGE_LOOP('',(#254039,#254040,#254041,#254042)); #46799=EDGE_LOOP('',(#254043,#254044,#254045,#254046)); #46800=EDGE_LOOP('',(#254047,#254048,#254049,#254050)); #46801=EDGE_LOOP('',(#254051,#254052,#254053,#254054)); #46802=EDGE_LOOP('',(#254055,#254056,#254057,#254058)); #46803=EDGE_LOOP('',(#254059,#254060,#254061,#254062)); #46804=EDGE_LOOP('',(#254063,#254064,#254065,#254066)); #46805=EDGE_LOOP('',(#254067,#254068,#254069,#254070)); #46806=EDGE_LOOP('',(#254071,#254072,#254073,#254074)); #46807=EDGE_LOOP('',(#254075,#254076,#254077,#254078)); #46808=EDGE_LOOP('',(#254079,#254080,#254081,#254082)); #46809=EDGE_LOOP('',(#254083,#254084,#254085,#254086)); #46810=EDGE_LOOP('',(#254087,#254088,#254089,#254090)); #46811=EDGE_LOOP('',(#254091,#254092,#254093,#254094)); #46812=EDGE_LOOP('',(#254095,#254096,#254097,#254098)); #46813=EDGE_LOOP('',(#254099,#254100,#254101,#254102)); #46814=EDGE_LOOP('',(#254103,#254104,#254105,#254106)); #46815=EDGE_LOOP('',(#254107,#254108,#254109,#254110)); #46816=EDGE_LOOP('',(#254111,#254112,#254113,#254114)); #46817=EDGE_LOOP('',(#254115,#254116,#254117,#254118)); #46818=EDGE_LOOP('',(#254119,#254120,#254121,#254122)); #46819=EDGE_LOOP('',(#254123,#254124,#254125,#254126)); #46820=EDGE_LOOP('',(#254127,#254128,#254129,#254130)); #46821=EDGE_LOOP('',(#254131,#254132,#254133,#254134)); #46822=EDGE_LOOP('',(#254135,#254136,#254137,#254138)); #46823=EDGE_LOOP('',(#254139,#254140,#254141,#254142)); #46824=EDGE_LOOP('',(#254143,#254144,#254145,#254146)); #46825=EDGE_LOOP('',(#254147,#254148,#254149,#254150)); #46826=EDGE_LOOP('',(#254151,#254152,#254153,#254154)); #46827=EDGE_LOOP('',(#254155,#254156,#254157,#254158)); #46828=EDGE_LOOP('',(#254159,#254160,#254161,#254162)); #46829=EDGE_LOOP('',(#254163,#254164,#254165,#254166)); #46830=EDGE_LOOP('',(#254167,#254168,#254169,#254170)); #46831=EDGE_LOOP('',(#254171,#254172,#254173,#254174)); #46832=EDGE_LOOP('',(#254175,#254176,#254177,#254178)); #46833=EDGE_LOOP('',(#254179,#254180,#254181,#254182)); #46834=EDGE_LOOP('',(#254183,#254184,#254185,#254186)); #46835=EDGE_LOOP('',(#254187,#254188,#254189,#254190)); #46836=EDGE_LOOP('',(#254191,#254192,#254193,#254194)); #46837=EDGE_LOOP('',(#254195,#254196,#254197,#254198)); #46838=EDGE_LOOP('',(#254199,#254200,#254201,#254202)); #46839=EDGE_LOOP('',(#254203,#254204,#254205,#254206)); #46840=EDGE_LOOP('',(#254207,#254208,#254209,#254210)); #46841=EDGE_LOOP('',(#254211,#254212,#254213,#254214)); #46842=EDGE_LOOP('',(#254215,#254216,#254217,#254218)); #46843=EDGE_LOOP('',(#254219,#254220,#254221,#254222)); #46844=EDGE_LOOP('',(#254223,#254224,#254225,#254226)); #46845=EDGE_LOOP('',(#254227,#254228,#254229,#254230)); #46846=EDGE_LOOP('',(#254231,#254232,#254233,#254234)); #46847=EDGE_LOOP('',(#254235,#254236,#254237,#254238)); #46848=EDGE_LOOP('',(#254239,#254240,#254241,#254242)); #46849=EDGE_LOOP('',(#254243,#254244,#254245,#254246)); #46850=EDGE_LOOP('',(#254247,#254248,#254249,#254250)); #46851=EDGE_LOOP('',(#254251,#254252,#254253,#254254)); #46852=EDGE_LOOP('',(#254255,#254256,#254257,#254258)); #46853=EDGE_LOOP('',(#254259,#254260,#254261,#254262)); #46854=EDGE_LOOP('',(#254263,#254264,#254265,#254266)); #46855=EDGE_LOOP('',(#254267,#254268,#254269,#254270)); #46856=EDGE_LOOP('',(#254271,#254272,#254273,#254274)); #46857=EDGE_LOOP('',(#254275,#254276,#254277,#254278)); #46858=EDGE_LOOP('',(#254279,#254280,#254281,#254282)); #46859=EDGE_LOOP('',(#254283,#254284,#254285,#254286)); #46860=EDGE_LOOP('',(#254287,#254288,#254289,#254290)); #46861=EDGE_LOOP('',(#254291,#254292,#254293,#254294)); #46862=EDGE_LOOP('',(#254295,#254296,#254297,#254298)); #46863=EDGE_LOOP('',(#254299,#254300,#254301,#254302)); #46864=EDGE_LOOP('',(#254303,#254304,#254305,#254306)); #46865=EDGE_LOOP('',(#254307,#254308,#254309,#254310)); #46866=EDGE_LOOP('',(#254311,#254312,#254313,#254314)); #46867=EDGE_LOOP('',(#254315,#254316,#254317,#254318)); #46868=EDGE_LOOP('',(#254319,#254320,#254321,#254322)); #46869=EDGE_LOOP('',(#254323,#254324,#254325,#254326)); #46870=EDGE_LOOP('',(#254327,#254328,#254329,#254330)); #46871=EDGE_LOOP('',(#254331,#254332,#254333,#254334)); #46872=EDGE_LOOP('',(#254335,#254336,#254337,#254338)); #46873=EDGE_LOOP('',(#254339,#254340,#254341,#254342)); #46874=EDGE_LOOP('',(#254343,#254344,#254345,#254346)); #46875=EDGE_LOOP('',(#254347,#254348,#254349,#254350)); #46876=EDGE_LOOP('',(#254351,#254352,#254353,#254354)); #46877=EDGE_LOOP('',(#254355,#254356,#254357,#254358)); #46878=EDGE_LOOP('',(#254359,#254360,#254361,#254362)); #46879=EDGE_LOOP('',(#254363,#254364,#254365,#254366)); #46880=EDGE_LOOP('',(#254367,#254368,#254369,#254370)); #46881=EDGE_LOOP('',(#254371,#254372,#254373,#254374)); #46882=EDGE_LOOP('',(#254375,#254376,#254377,#254378)); #46883=EDGE_LOOP('',(#254379,#254380,#254381,#254382)); #46884=EDGE_LOOP('',(#254383,#254384,#254385,#254386)); #46885=EDGE_LOOP('',(#254387,#254388,#254389,#254390)); #46886=EDGE_LOOP('',(#254391,#254392,#254393,#254394)); #46887=EDGE_LOOP('',(#254395,#254396,#254397,#254398)); #46888=EDGE_LOOP('',(#254399,#254400,#254401,#254402)); #46889=EDGE_LOOP('',(#254403,#254404,#254405,#254406)); #46890=EDGE_LOOP('',(#254407,#254408,#254409,#254410)); #46891=EDGE_LOOP('',(#254411,#254412,#254413,#254414)); #46892=EDGE_LOOP('',(#254415,#254416,#254417,#254418)); #46893=EDGE_LOOP('',(#254419,#254420,#254421,#254422)); #46894=EDGE_LOOP('',(#254423,#254424,#254425,#254426)); #46895=EDGE_LOOP('',(#254427,#254428,#254429,#254430)); #46896=EDGE_LOOP('',(#254431,#254432,#254433,#254434)); #46897=EDGE_LOOP('',(#254435,#254436,#254437,#254438)); #46898=EDGE_LOOP('',(#254439,#254440,#254441,#254442)); #46899=EDGE_LOOP('',(#254443,#254444,#254445,#254446)); #46900=EDGE_LOOP('',(#254447,#254448,#254449,#254450)); #46901=EDGE_LOOP('',(#254451,#254452,#254453,#254454)); #46902=EDGE_LOOP('',(#254455,#254456,#254457,#254458)); #46903=EDGE_LOOP('',(#254459,#254460,#254461,#254462)); #46904=EDGE_LOOP('',(#254463,#254464,#254465,#254466)); #46905=EDGE_LOOP('',(#254467,#254468,#254469,#254470)); #46906=EDGE_LOOP('',(#254471,#254472,#254473,#254474)); #46907=EDGE_LOOP('',(#254475,#254476,#254477,#254478)); #46908=EDGE_LOOP('',(#254479,#254480,#254481,#254482)); #46909=EDGE_LOOP('',(#254483,#254484,#254485,#254486)); #46910=EDGE_LOOP('',(#254487,#254488,#254489,#254490)); #46911=EDGE_LOOP('',(#254491,#254492,#254493,#254494)); #46912=EDGE_LOOP('',(#254495,#254496,#254497,#254498)); #46913=EDGE_LOOP('',(#254499,#254500,#254501,#254502)); #46914=EDGE_LOOP('',(#254503,#254504,#254505,#254506)); #46915=EDGE_LOOP('',(#254507,#254508,#254509,#254510)); #46916=EDGE_LOOP('',(#254511,#254512,#254513,#254514)); #46917=EDGE_LOOP('',(#254515,#254516,#254517,#254518)); #46918=EDGE_LOOP('',(#254519,#254520,#254521,#254522)); #46919=EDGE_LOOP('',(#254523,#254524,#254525,#254526)); #46920=EDGE_LOOP('',(#254527,#254528,#254529,#254530)); #46921=EDGE_LOOP('',(#254531,#254532,#254533,#254534)); #46922=EDGE_LOOP('',(#254535,#254536,#254537,#254538)); #46923=EDGE_LOOP('',(#254539,#254540,#254541,#254542)); #46924=EDGE_LOOP('',(#254543,#254544,#254545,#254546)); #46925=EDGE_LOOP('',(#254547,#254548,#254549,#254550)); #46926=EDGE_LOOP('',(#254551,#254552,#254553,#254554)); #46927=EDGE_LOOP('',(#254555,#254556,#254557,#254558)); #46928=EDGE_LOOP('',(#254559,#254560,#254561,#254562)); #46929=EDGE_LOOP('',(#254563,#254564,#254565,#254566)); #46930=EDGE_LOOP('',(#254567,#254568,#254569,#254570)); #46931=EDGE_LOOP('',(#254571,#254572,#254573,#254574)); #46932=EDGE_LOOP('',(#254575,#254576,#254577,#254578)); #46933=EDGE_LOOP('',(#254579,#254580,#254581,#254582)); #46934=EDGE_LOOP('',(#254583,#254584,#254585,#254586)); #46935=EDGE_LOOP('',(#254587,#254588,#254589,#254590)); #46936=EDGE_LOOP('',(#254591,#254592,#254593,#254594)); #46937=EDGE_LOOP('',(#254595,#254596,#254597,#254598)); #46938=EDGE_LOOP('',(#254599,#254600,#254601,#254602)); #46939=EDGE_LOOP('',(#254603,#254604,#254605,#254606)); #46940=EDGE_LOOP('',(#254607,#254608,#254609,#254610)); #46941=EDGE_LOOP('',(#254611,#254612,#254613,#254614)); #46942=EDGE_LOOP('',(#254615,#254616,#254617,#254618)); #46943=EDGE_LOOP('',(#254619,#254620,#254621,#254622)); #46944=EDGE_LOOP('',(#254623,#254624,#254625,#254626)); #46945=EDGE_LOOP('',(#254627,#254628,#254629,#254630)); #46946=EDGE_LOOP('',(#254631,#254632,#254633,#254634)); #46947=EDGE_LOOP('',(#254635,#254636,#254637,#254638)); #46948=EDGE_LOOP('',(#254639,#254640,#254641,#254642)); #46949=EDGE_LOOP('',(#254643,#254644,#254645,#254646)); #46950=EDGE_LOOP('',(#254647,#254648,#254649,#254650)); #46951=EDGE_LOOP('',(#254651,#254652,#254653,#254654)); #46952=EDGE_LOOP('',(#254655,#254656,#254657,#254658)); #46953=EDGE_LOOP('',(#254659,#254660,#254661,#254662)); #46954=EDGE_LOOP('',(#254663,#254664,#254665,#254666)); #46955=EDGE_LOOP('',(#254667,#254668,#254669,#254670)); #46956=EDGE_LOOP('',(#254671,#254672,#254673,#254674)); #46957=EDGE_LOOP('',(#254675,#254676,#254677,#254678)); #46958=EDGE_LOOP('',(#254679,#254680,#254681,#254682)); #46959=EDGE_LOOP('',(#254683,#254684,#254685,#254686)); #46960=EDGE_LOOP('',(#254687,#254688,#254689,#254690)); #46961=EDGE_LOOP('',(#254691,#254692,#254693,#254694)); #46962=EDGE_LOOP('',(#254695,#254696,#254697,#254698)); #46963=EDGE_LOOP('',(#254699,#254700,#254701,#254702)); #46964=EDGE_LOOP('',(#254703,#254704,#254705,#254706)); #46965=EDGE_LOOP('',(#254707,#254708,#254709,#254710)); #46966=EDGE_LOOP('',(#254711,#254712,#254713,#254714)); #46967=EDGE_LOOP('',(#254715,#254716,#254717,#254718)); #46968=EDGE_LOOP('',(#254719,#254720,#254721,#254722)); #46969=EDGE_LOOP('',(#254723,#254724,#254725,#254726)); #46970=EDGE_LOOP('',(#254727,#254728,#254729,#254730)); #46971=EDGE_LOOP('',(#254731,#254732,#254733,#254734)); #46972=EDGE_LOOP('',(#254735,#254736,#254737,#254738)); #46973=EDGE_LOOP('',(#254739,#254740,#254741,#254742)); #46974=EDGE_LOOP('',(#254743,#254744,#254745,#254746)); #46975=EDGE_LOOP('',(#254747,#254748,#254749,#254750)); #46976=EDGE_LOOP('',(#254751,#254752,#254753,#254754)); #46977=EDGE_LOOP('',(#254755,#254756,#254757,#254758)); #46978=EDGE_LOOP('',(#254759,#254760,#254761,#254762)); #46979=EDGE_LOOP('',(#254763,#254764,#254765,#254766)); #46980=EDGE_LOOP('',(#254767,#254768,#254769,#254770)); #46981=EDGE_LOOP('',(#254771,#254772,#254773,#254774)); #46982=EDGE_LOOP('',(#254775,#254776,#254777,#254778)); #46983=EDGE_LOOP('',(#254779,#254780,#254781,#254782)); #46984=EDGE_LOOP('',(#254783,#254784,#254785,#254786)); #46985=EDGE_LOOP('',(#254787,#254788,#254789,#254790)); #46986=EDGE_LOOP('',(#254791,#254792,#254793,#254794)); #46987=EDGE_LOOP('',(#254795,#254796,#254797,#254798)); #46988=EDGE_LOOP('',(#254799,#254800,#254801,#254802)); #46989=EDGE_LOOP('',(#254803,#254804,#254805,#254806)); #46990=EDGE_LOOP('',(#254807,#254808,#254809,#254810)); #46991=EDGE_LOOP('',(#254811,#254812,#254813,#254814)); #46992=EDGE_LOOP('',(#254815,#254816,#254817,#254818)); #46993=EDGE_LOOP('',(#254819,#254820,#254821,#254822)); #46994=EDGE_LOOP('',(#254823,#254824,#254825,#254826)); #46995=EDGE_LOOP('',(#254827,#254828,#254829,#254830)); #46996=EDGE_LOOP('',(#254831,#254832,#254833,#254834)); #46997=EDGE_LOOP('',(#254835,#254836,#254837,#254838)); #46998=EDGE_LOOP('',(#254839,#254840,#254841,#254842)); #46999=EDGE_LOOP('',(#254843,#254844,#254845,#254846)); #47000=EDGE_LOOP('',(#254847,#254848,#254849,#254850)); #47001=EDGE_LOOP('',(#254851,#254852,#254853,#254854)); #47002=EDGE_LOOP('',(#254855,#254856,#254857,#254858)); #47003=EDGE_LOOP('',(#254859,#254860,#254861,#254862)); #47004=EDGE_LOOP('',(#254863,#254864,#254865,#254866)); #47005=EDGE_LOOP('',(#254867,#254868,#254869,#254870)); #47006=EDGE_LOOP('',(#254871,#254872,#254873,#254874)); #47007=EDGE_LOOP('',(#254875,#254876,#254877,#254878)); #47008=EDGE_LOOP('',(#254879,#254880,#254881,#254882)); #47009=EDGE_LOOP('',(#254883,#254884,#254885,#254886)); #47010=EDGE_LOOP('',(#254887,#254888,#254889,#254890)); #47011=EDGE_LOOP('',(#254891,#254892,#254893,#254894)); #47012=EDGE_LOOP('',(#254895,#254896,#254897,#254898)); #47013=EDGE_LOOP('',(#254899,#254900,#254901,#254902)); #47014=EDGE_LOOP('',(#254903,#254904,#254905,#254906)); #47015=EDGE_LOOP('',(#254907,#254908,#254909,#254910)); #47016=EDGE_LOOP('',(#254911,#254912,#254913,#254914)); #47017=EDGE_LOOP('',(#254915,#254916,#254917,#254918)); #47018=EDGE_LOOP('',(#254919,#254920,#254921,#254922)); #47019=EDGE_LOOP('',(#254923,#254924,#254925,#254926)); #47020=EDGE_LOOP('',(#254927,#254928,#254929,#254930)); #47021=EDGE_LOOP('',(#254931,#254932,#254933,#254934)); #47022=EDGE_LOOP('',(#254935,#254936,#254937,#254938)); #47023=EDGE_LOOP('',(#254939,#254940,#254941,#254942)); #47024=EDGE_LOOP('',(#254943,#254944,#254945,#254946)); #47025=EDGE_LOOP('',(#254947,#254948,#254949,#254950)); #47026=EDGE_LOOP('',(#254951,#254952,#254953,#254954)); #47027=EDGE_LOOP('',(#254955,#254956,#254957,#254958)); #47028=EDGE_LOOP('',(#254959,#254960,#254961,#254962)); #47029=EDGE_LOOP('',(#254963,#254964,#254965,#254966)); #47030=EDGE_LOOP('',(#254967,#254968,#254969,#254970)); #47031=EDGE_LOOP('',(#254971,#254972,#254973,#254974)); #47032=EDGE_LOOP('',(#254975,#254976,#254977,#254978)); #47033=EDGE_LOOP('',(#254979,#254980,#254981,#254982)); #47034=EDGE_LOOP('',(#254983,#254984,#254985,#254986)); #47035=EDGE_LOOP('',(#254987,#254988,#254989,#254990)); #47036=EDGE_LOOP('',(#254991,#254992,#254993,#254994)); #47037=EDGE_LOOP('',(#254995,#254996,#254997,#254998)); #47038=EDGE_LOOP('',(#254999,#255000,#255001,#255002)); #47039=EDGE_LOOP('',(#255003,#255004,#255005,#255006)); #47040=EDGE_LOOP('',(#255007,#255008,#255009,#255010)); #47041=EDGE_LOOP('',(#255011,#255012,#255013,#255014)); #47042=EDGE_LOOP('',(#255015,#255016,#255017,#255018)); #47043=EDGE_LOOP('',(#255019,#255020,#255021,#255022)); #47044=EDGE_LOOP('',(#255023,#255024,#255025,#255026)); #47045=EDGE_LOOP('',(#255027,#255028,#255029,#255030)); #47046=EDGE_LOOP('',(#255031,#255032,#255033,#255034)); #47047=EDGE_LOOP('',(#255035,#255036,#255037,#255038)); #47048=EDGE_LOOP('',(#255039,#255040,#255041,#255042)); #47049=EDGE_LOOP('',(#255043,#255044,#255045,#255046)); #47050=EDGE_LOOP('',(#255047,#255048,#255049,#255050)); #47051=EDGE_LOOP('',(#255051,#255052,#255053,#255054)); #47052=EDGE_LOOP('',(#255055,#255056,#255057,#255058)); #47053=EDGE_LOOP('',(#255059,#255060,#255061,#255062)); #47054=EDGE_LOOP('',(#255063,#255064,#255065,#255066)); #47055=EDGE_LOOP('',(#255067,#255068,#255069,#255070)); #47056=EDGE_LOOP('',(#255071,#255072,#255073,#255074)); #47057=EDGE_LOOP('',(#255075,#255076,#255077,#255078)); #47058=EDGE_LOOP('',(#255079,#255080,#255081,#255082)); #47059=EDGE_LOOP('',(#255083,#255084,#255085,#255086)); #47060=EDGE_LOOP('',(#255087,#255088,#255089,#255090)); #47061=EDGE_LOOP('',(#255091,#255092,#255093,#255094)); #47062=EDGE_LOOP('',(#255095,#255096,#255097,#255098)); #47063=EDGE_LOOP('',(#255099,#255100,#255101,#255102)); #47064=EDGE_LOOP('',(#255103,#255104,#255105,#255106)); #47065=EDGE_LOOP('',(#255107,#255108,#255109,#255110)); #47066=EDGE_LOOP('',(#255111,#255112,#255113,#255114)); #47067=EDGE_LOOP('',(#255115,#255116,#255117,#255118)); #47068=EDGE_LOOP('',(#255119,#255120,#255121,#255122)); #47069=EDGE_LOOP('',(#255123,#255124,#255125,#255126)); #47070=EDGE_LOOP('',(#255127,#255128,#255129,#255130)); #47071=EDGE_LOOP('',(#255131,#255132,#255133,#255134)); #47072=EDGE_LOOP('',(#255135,#255136,#255137,#255138)); #47073=EDGE_LOOP('',(#255139,#255140,#255141,#255142)); #47074=EDGE_LOOP('',(#255143,#255144,#255145,#255146)); #47075=EDGE_LOOP('',(#255147,#255148,#255149,#255150)); #47076=EDGE_LOOP('',(#255151,#255152,#255153,#255154)); #47077=EDGE_LOOP('',(#255155,#255156,#255157,#255158)); #47078=EDGE_LOOP('',(#255159,#255160,#255161,#255162)); #47079=EDGE_LOOP('',(#255163,#255164,#255165,#255166)); #47080=EDGE_LOOP('',(#255167,#255168,#255169,#255170)); #47081=EDGE_LOOP('',(#255171,#255172,#255173,#255174)); #47082=EDGE_LOOP('',(#255175,#255176,#255177,#255178)); #47083=EDGE_LOOP('',(#255179,#255180,#255181,#255182)); #47084=EDGE_LOOP('',(#255183,#255184,#255185,#255186)); #47085=EDGE_LOOP('',(#255187,#255188,#255189,#255190)); #47086=EDGE_LOOP('',(#255191,#255192,#255193,#255194)); #47087=EDGE_LOOP('',(#255195,#255196,#255197,#255198)); #47088=EDGE_LOOP('',(#255199,#255200,#255201,#255202)); #47089=EDGE_LOOP('',(#255203,#255204,#255205,#255206)); #47090=EDGE_LOOP('',(#255207,#255208,#255209,#255210)); #47091=EDGE_LOOP('',(#255211,#255212,#255213,#255214)); #47092=EDGE_LOOP('',(#255215,#255216,#255217,#255218)); #47093=EDGE_LOOP('',(#255219,#255220,#255221,#255222)); #47094=EDGE_LOOP('',(#255223,#255224,#255225,#255226)); #47095=EDGE_LOOP('',(#255227,#255228,#255229,#255230)); #47096=EDGE_LOOP('',(#255231,#255232,#255233,#255234)); #47097=EDGE_LOOP('',(#255235,#255236,#255237,#255238)); #47098=EDGE_LOOP('',(#255239,#255240,#255241,#255242)); #47099=EDGE_LOOP('',(#255243,#255244,#255245,#255246)); #47100=EDGE_LOOP('',(#255247,#255248,#255249,#255250)); #47101=EDGE_LOOP('',(#255251,#255252,#255253,#255254)); #47102=EDGE_LOOP('',(#255255,#255256,#255257,#255258)); #47103=EDGE_LOOP('',(#255259,#255260,#255261,#255262)); #47104=EDGE_LOOP('',(#255263,#255264,#255265,#255266)); #47105=EDGE_LOOP('',(#255267,#255268,#255269,#255270)); #47106=EDGE_LOOP('',(#255271,#255272,#255273,#255274)); #47107=EDGE_LOOP('',(#255275,#255276,#255277,#255278)); #47108=EDGE_LOOP('',(#255279,#255280,#255281,#255282)); #47109=EDGE_LOOP('',(#255283,#255284,#255285,#255286)); #47110=EDGE_LOOP('',(#255287,#255288,#255289,#255290)); #47111=EDGE_LOOP('',(#255291,#255292,#255293,#255294)); #47112=EDGE_LOOP('',(#255295,#255296,#255297,#255298)); #47113=EDGE_LOOP('',(#255299,#255300,#255301,#255302)); #47114=EDGE_LOOP('',(#255303,#255304,#255305,#255306)); #47115=EDGE_LOOP('',(#255307,#255308,#255309,#255310)); #47116=EDGE_LOOP('',(#255311,#255312,#255313,#255314)); #47117=EDGE_LOOP('',(#255315,#255316,#255317,#255318)); #47118=EDGE_LOOP('',(#255319,#255320,#255321,#255322)); #47119=EDGE_LOOP('',(#255323,#255324,#255325,#255326)); #47120=EDGE_LOOP('',(#255327,#255328,#255329,#255330)); #47121=EDGE_LOOP('',(#255331,#255332,#255333,#255334)); #47122=EDGE_LOOP('',(#255335,#255336,#255337,#255338)); #47123=EDGE_LOOP('',(#255339,#255340,#255341,#255342)); #47124=EDGE_LOOP('',(#255343,#255344,#255345,#255346)); #47125=EDGE_LOOP('',(#255347,#255348,#255349,#255350)); #47126=EDGE_LOOP('',(#255351,#255352,#255353,#255354)); #47127=EDGE_LOOP('',(#255355,#255356,#255357,#255358)); #47128=EDGE_LOOP('',(#255359,#255360,#255361,#255362)); #47129=EDGE_LOOP('',(#255363,#255364,#255365,#255366)); #47130=EDGE_LOOP('',(#255367,#255368,#255369,#255370)); #47131=EDGE_LOOP('',(#255371,#255372,#255373,#255374)); #47132=EDGE_LOOP('',(#255375,#255376,#255377,#255378)); #47133=EDGE_LOOP('',(#255379,#255380,#255381,#255382)); #47134=EDGE_LOOP('',(#255383,#255384,#255385,#255386)); #47135=EDGE_LOOP('',(#255387,#255388,#255389,#255390)); #47136=EDGE_LOOP('',(#255391,#255392,#255393,#255394)); #47137=EDGE_LOOP('',(#255395,#255396,#255397,#255398)); #47138=EDGE_LOOP('',(#255399,#255400,#255401,#255402)); #47139=EDGE_LOOP('',(#255403,#255404,#255405,#255406)); #47140=EDGE_LOOP('',(#255407,#255408,#255409,#255410)); #47141=EDGE_LOOP('',(#255411,#255412,#255413,#255414)); #47142=EDGE_LOOP('',(#255415,#255416,#255417,#255418)); #47143=EDGE_LOOP('',(#255419,#255420,#255421,#255422)); #47144=EDGE_LOOP('',(#255423,#255424,#255425,#255426)); #47145=EDGE_LOOP('',(#255427,#255428,#255429,#255430)); #47146=EDGE_LOOP('',(#255431,#255432,#255433,#255434)); #47147=EDGE_LOOP('',(#255435,#255436,#255437,#255438)); #47148=EDGE_LOOP('',(#255439,#255440,#255441,#255442)); #47149=EDGE_LOOP('',(#255443,#255444,#255445,#255446)); #47150=EDGE_LOOP('',(#255447,#255448,#255449,#255450)); #47151=EDGE_LOOP('',(#255451,#255452,#255453,#255454)); #47152=EDGE_LOOP('',(#255455,#255456,#255457,#255458)); #47153=EDGE_LOOP('',(#255459,#255460,#255461,#255462)); #47154=EDGE_LOOP('',(#255463,#255464,#255465,#255466)); #47155=EDGE_LOOP('',(#255467,#255468,#255469,#255470)); #47156=EDGE_LOOP('',(#255471,#255472,#255473,#255474)); #47157=EDGE_LOOP('',(#255475,#255476,#255477,#255478)); #47158=EDGE_LOOP('',(#255479,#255480,#255481,#255482)); #47159=EDGE_LOOP('',(#255483,#255484,#255485,#255486)); #47160=EDGE_LOOP('',(#255487,#255488,#255489,#255490)); #47161=EDGE_LOOP('',(#255491,#255492,#255493,#255494)); #47162=EDGE_LOOP('',(#255495,#255496,#255497,#255498)); #47163=EDGE_LOOP('',(#255499,#255500,#255501,#255502)); #47164=EDGE_LOOP('',(#255503,#255504,#255505,#255506)); #47165=EDGE_LOOP('',(#255507,#255508,#255509,#255510)); #47166=EDGE_LOOP('',(#255511,#255512,#255513,#255514)); #47167=EDGE_LOOP('',(#255515,#255516,#255517,#255518)); #47168=EDGE_LOOP('',(#255519,#255520,#255521,#255522)); #47169=EDGE_LOOP('',(#255523,#255524,#255525,#255526)); #47170=EDGE_LOOP('',(#255527,#255528,#255529,#255530)); #47171=EDGE_LOOP('',(#255531,#255532,#255533,#255534)); #47172=EDGE_LOOP('',(#255535,#255536,#255537,#255538)); #47173=EDGE_LOOP('',(#255539,#255540,#255541,#255542)); #47174=EDGE_LOOP('',(#255543,#255544,#255545,#255546)); #47175=EDGE_LOOP('',(#255547,#255548,#255549,#255550)); #47176=EDGE_LOOP('',(#255551,#255552,#255553,#255554)); #47177=EDGE_LOOP('',(#255555,#255556,#255557,#255558)); #47178=EDGE_LOOP('',(#255559,#255560,#255561,#255562)); #47179=EDGE_LOOP('',(#255563,#255564,#255565,#255566)); #47180=EDGE_LOOP('',(#255567,#255568,#255569,#255570)); #47181=EDGE_LOOP('',(#255571,#255572,#255573,#255574)); #47182=EDGE_LOOP('',(#255575,#255576,#255577,#255578)); #47183=EDGE_LOOP('',(#255579,#255580,#255581,#255582)); #47184=EDGE_LOOP('',(#255583,#255584,#255585,#255586)); #47185=EDGE_LOOP('',(#255587,#255588,#255589,#255590)); #47186=EDGE_LOOP('',(#255591,#255592,#255593,#255594)); #47187=EDGE_LOOP('',(#255595,#255596,#255597,#255598)); #47188=EDGE_LOOP('',(#255599,#255600,#255601,#255602)); #47189=EDGE_LOOP('',(#255603,#255604,#255605,#255606)); #47190=EDGE_LOOP('',(#255607,#255608,#255609,#255610)); #47191=EDGE_LOOP('',(#255611,#255612,#255613,#255614)); #47192=EDGE_LOOP('',(#255615,#255616,#255617,#255618)); #47193=EDGE_LOOP('',(#255619,#255620,#255621,#255622)); #47194=EDGE_LOOP('',(#255623,#255624,#255625,#255626)); #47195=EDGE_LOOP('',(#255627,#255628,#255629,#255630)); #47196=EDGE_LOOP('',(#255631,#255632,#255633,#255634)); #47197=EDGE_LOOP('',(#255635,#255636,#255637,#255638)); #47198=EDGE_LOOP('',(#255639,#255640,#255641,#255642)); #47199=EDGE_LOOP('',(#255643,#255644,#255645,#255646)); #47200=EDGE_LOOP('',(#255647,#255648,#255649,#255650)); #47201=EDGE_LOOP('',(#255651,#255652,#255653,#255654)); #47202=EDGE_LOOP('',(#255655,#255656,#255657,#255658)); #47203=EDGE_LOOP('',(#255659,#255660,#255661,#255662)); #47204=EDGE_LOOP('',(#255663,#255664,#255665,#255666)); #47205=EDGE_LOOP('',(#255667,#255668,#255669,#255670)); #47206=EDGE_LOOP('',(#255671,#255672,#255673,#255674)); #47207=EDGE_LOOP('',(#255675,#255676,#255677,#255678)); #47208=EDGE_LOOP('',(#255679,#255680,#255681,#255682)); #47209=EDGE_LOOP('',(#255683,#255684,#255685,#255686)); #47210=EDGE_LOOP('',(#255687,#255688,#255689,#255690)); #47211=EDGE_LOOP('',(#255691,#255692,#255693,#255694)); #47212=EDGE_LOOP('',(#255695,#255696,#255697,#255698)); #47213=EDGE_LOOP('',(#255699,#255700,#255701,#255702)); #47214=EDGE_LOOP('',(#255703,#255704,#255705,#255706)); #47215=EDGE_LOOP('',(#255707,#255708,#255709,#255710)); #47216=EDGE_LOOP('',(#255711,#255712,#255713,#255714)); #47217=EDGE_LOOP('',(#255715,#255716,#255717,#255718)); #47218=EDGE_LOOP('',(#255719,#255720,#255721,#255722)); #47219=EDGE_LOOP('',(#255723,#255724,#255725,#255726)); #47220=EDGE_LOOP('',(#255727,#255728,#255729,#255730)); #47221=EDGE_LOOP('',(#255731,#255732,#255733,#255734)); #47222=EDGE_LOOP('',(#255735,#255736,#255737,#255738)); #47223=EDGE_LOOP('',(#255739,#255740,#255741,#255742)); #47224=EDGE_LOOP('',(#255743,#255744,#255745,#255746)); #47225=EDGE_LOOP('',(#255747,#255748,#255749,#255750)); #47226=EDGE_LOOP('',(#255751,#255752,#255753,#255754)); #47227=EDGE_LOOP('',(#255755,#255756,#255757,#255758)); #47228=EDGE_LOOP('',(#255759,#255760,#255761,#255762)); #47229=EDGE_LOOP('',(#255763,#255764,#255765,#255766)); #47230=EDGE_LOOP('',(#255767,#255768,#255769,#255770)); #47231=EDGE_LOOP('',(#255771,#255772,#255773,#255774)); #47232=EDGE_LOOP('',(#255775,#255776,#255777,#255778)); #47233=EDGE_LOOP('',(#255779,#255780,#255781,#255782)); #47234=EDGE_LOOP('',(#255783,#255784,#255785,#255786)); #47235=EDGE_LOOP('',(#255787,#255788,#255789,#255790)); #47236=EDGE_LOOP('',(#255791,#255792,#255793,#255794)); #47237=EDGE_LOOP('',(#255795,#255796,#255797,#255798)); #47238=EDGE_LOOP('',(#255799,#255800,#255801,#255802)); #47239=EDGE_LOOP('',(#255803,#255804,#255805,#255806)); #47240=EDGE_LOOP('',(#255807,#255808,#255809,#255810)); #47241=EDGE_LOOP('',(#255811,#255812,#255813,#255814)); #47242=EDGE_LOOP('',(#255815,#255816,#255817,#255818)); #47243=EDGE_LOOP('',(#255819,#255820,#255821,#255822)); #47244=EDGE_LOOP('',(#255823,#255824,#255825,#255826)); #47245=EDGE_LOOP('',(#255827,#255828,#255829,#255830,#255831,#255832,#255833, #255834,#255835,#255836,#255837,#255838,#255839,#255840,#255841,#255842, #255843,#255844,#255845,#255846,#255847,#255848,#255849,#255850,#255851, #255852,#255853,#255854,#255855,#255856,#255857,#255858,#255859,#255860, #255861,#255862,#255863,#255864,#255865,#255866,#255867,#255868,#255869, #255870,#255871,#255872,#255873,#255874,#255875,#255876,#255877,#255878, #255879,#255880,#255881,#255882,#255883,#255884,#255885,#255886,#255887, #255888,#255889,#255890,#255891,#255892,#255893,#255894,#255895,#255896, #255897,#255898,#255899,#255900,#255901,#255902,#255903,#255904,#255905, #255906,#255907,#255908,#255909,#255910,#255911,#255912,#255913,#255914, #255915,#255916,#255917,#255918,#255919,#255920,#255921,#255922,#255923, #255924,#255925,#255926,#255927,#255928,#255929,#255930,#255931,#255932, #255933,#255934,#255935,#255936,#255937,#255938,#255939,#255940,#255941, #255942,#255943,#255944,#255945,#255946)); #47246=EDGE_LOOP('',(#255947)); #47247=EDGE_LOOP('',(#255948)); #47248=EDGE_LOOP('',(#255949)); #47249=EDGE_LOOP('',(#255950)); #47250=EDGE_LOOP('',(#255951)); #47251=EDGE_LOOP('',(#255952)); #47252=EDGE_LOOP('',(#255953)); #47253=EDGE_LOOP('',(#255954)); #47254=EDGE_LOOP('',(#255955)); #47255=EDGE_LOOP('',(#255956)); #47256=EDGE_LOOP('',(#255957)); #47257=EDGE_LOOP('',(#255958)); #47258=EDGE_LOOP('',(#255959)); #47259=EDGE_LOOP('',(#255960)); #47260=EDGE_LOOP('',(#255961)); #47261=EDGE_LOOP('',(#255962)); #47262=EDGE_LOOP('',(#255963)); #47263=EDGE_LOOP('',(#255964)); #47264=EDGE_LOOP('',(#255965)); #47265=EDGE_LOOP('',(#255966)); #47266=EDGE_LOOP('',(#255967)); #47267=EDGE_LOOP('',(#255968)); #47268=EDGE_LOOP('',(#255969)); #47269=EDGE_LOOP('',(#255970)); #47270=EDGE_LOOP('',(#255971)); #47271=EDGE_LOOP('',(#255972)); #47272=EDGE_LOOP('',(#255973)); #47273=EDGE_LOOP('',(#255974)); #47274=EDGE_LOOP('',(#255975)); #47275=EDGE_LOOP('',(#255976)); #47276=EDGE_LOOP('',(#255977)); #47277=EDGE_LOOP('',(#255978)); #47278=EDGE_LOOP('',(#255979)); #47279=EDGE_LOOP('',(#255980)); #47280=EDGE_LOOP('',(#255981)); #47281=EDGE_LOOP('',(#255982,#255983,#255984,#255985)); #47282=EDGE_LOOP('',(#255986)); #47283=EDGE_LOOP('',(#255987)); #47284=EDGE_LOOP('',(#255988)); #47285=EDGE_LOOP('',(#255989)); #47286=EDGE_LOOP('',(#255990,#255991,#255992,#255993)); #47287=EDGE_LOOP('',(#255994,#255995,#255996,#255997)); #47288=EDGE_LOOP('',(#255998,#255999,#256000,#256001)); #47289=EDGE_LOOP('',(#256002)); #47290=EDGE_LOOP('',(#256003)); #47291=EDGE_LOOP('',(#256004)); #47292=EDGE_LOOP('',(#256005)); #47293=EDGE_LOOP('',(#256006)); #47294=EDGE_LOOP('',(#256007)); #47295=EDGE_LOOP('',(#256008)); #47296=EDGE_LOOP('',(#256009)); #47297=EDGE_LOOP('',(#256010)); #47298=EDGE_LOOP('',(#256011)); #47299=EDGE_LOOP('',(#256012)); #47300=EDGE_LOOP('',(#256013)); #47301=EDGE_LOOP('',(#256014)); #47302=EDGE_LOOP('',(#256015)); #47303=EDGE_LOOP('',(#256016)); #47304=EDGE_LOOP('',(#256017)); #47305=EDGE_LOOP('',(#256018)); #47306=EDGE_LOOP('',(#256019)); #47307=EDGE_LOOP('',(#256020)); #47308=EDGE_LOOP('',(#256021,#256022,#256023,#256024,#256025,#256026,#256027, #256028)); #47309=EDGE_LOOP('',(#256029,#256030,#256031,#256032,#256033,#256034,#256035, #256036,#256037,#256038,#256039,#256040,#256041,#256042,#256043,#256044, #256045,#256046,#256047,#256048,#256049,#256050,#256051,#256052,#256053, #256054,#256055,#256056,#256057,#256058,#256059,#256060,#256061,#256062, #256063,#256064,#256065,#256066,#256067,#256068,#256069,#256070,#256071, #256072,#256073,#256074,#256075,#256076,#256077,#256078,#256079,#256080, #256081,#256082,#256083,#256084,#256085,#256086,#256087,#256088,#256089, #256090,#256091,#256092,#256093,#256094,#256095,#256096,#256097,#256098, #256099,#256100,#256101,#256102,#256103,#256104,#256105,#256106,#256107, #256108,#256109,#256110,#256111,#256112,#256113,#256114,#256115,#256116, #256117,#256118,#256119,#256120,#256121,#256122,#256123,#256124,#256125, #256126,#256127,#256128,#256129,#256130,#256131,#256132,#256133,#256134, #256135,#256136,#256137,#256138,#256139,#256140,#256141,#256142,#256143, #256144,#256145,#256146,#256147)); #47310=EDGE_LOOP('',(#256148,#256149,#256150,#256151,#256152,#256153,#256154, #256155,#256156,#256157,#256158,#256159,#256160,#256161,#256162,#256163, #256164,#256165,#256166,#256167,#256168,#256169,#256170,#256171,#256172, #256173,#256174,#256175,#256176,#256177,#256178,#256179,#256180,#256181, #256182,#256183,#256184,#256185,#256186,#256187,#256188,#256189,#256190, #256191,#256192,#256193,#256194,#256195,#256196,#256197,#256198,#256199, #256200,#256201,#256202,#256203,#256204,#256205,#256206,#256207,#256208, #256209,#256210,#256211,#256212,#256213,#256214,#256215,#256216,#256217, #256218,#256219,#256220,#256221,#256222,#256223,#256224,#256225,#256226, #256227,#256228,#256229,#256230,#256231,#256232,#256233,#256234,#256235, #256236,#256237,#256238,#256239,#256240,#256241,#256242,#256243,#256244, #256245,#256246,#256247,#256248,#256249,#256250,#256251,#256252,#256253, #256254,#256255,#256256,#256257,#256258,#256259,#256260,#256261,#256262, #256263,#256264,#256265,#256266,#256267,#256268,#256269,#256270,#256271, #256272,#256273,#256274,#256275,#256276,#256277,#256278,#256279,#256280, #256281,#256282,#256283,#256284,#256285,#256286,#256287,#256288,#256289, #256290,#256291,#256292,#256293,#256294,#256295,#256296,#256297,#256298, #256299,#256300,#256301,#256302,#256303,#256304,#256305,#256306,#256307, #256308,#256309,#256310,#256311,#256312,#256313,#256314,#256315,#256316, #256317,#256318,#256319,#256320,#256321,#256322,#256323,#256324,#256325, #256326,#256327,#256328,#256329,#256330,#256331,#256332,#256333,#256334, #256335,#256336,#256337,#256338,#256339,#256340,#256341,#256342,#256343, #256344,#256345,#256346,#256347,#256348,#256349,#256350,#256351,#256352, #256353,#256354,#256355,#256356,#256357,#256358,#256359,#256360,#256361, #256362,#256363,#256364,#256365,#256366,#256367,#256368,#256369,#256370, #256371,#256372,#256373,#256374,#256375,#256376,#256377,#256378,#256379, #256380,#256381,#256382,#256383,#256384,#256385,#256386,#256387,#256388, #256389,#256390,#256391,#256392,#256393,#256394,#256395,#256396,#256397, #256398,#256399,#256400,#256401,#256402,#256403,#256404,#256405,#256406, #256407,#256408,#256409,#256410,#256411,#256412,#256413,#256414,#256415, #256416,#256417,#256418,#256419,#256420,#256421,#256422,#256423,#256424, #256425,#256426,#256427,#256428,#256429,#256430,#256431,#256432,#256433, #256434,#256435,#256436,#256437,#256438,#256439,#256440,#256441,#256442, #256443,#256444,#256445,#256446,#256447,#256448,#256449,#256450,#256451, #256452,#256453,#256454,#256455,#256456,#256457,#256458,#256459,#256460, #256461,#256462,#256463,#256464,#256465,#256466,#256467,#256468,#256469, #256470,#256471,#256472,#256473,#256474,#256475,#256476,#256477,#256478, #256479,#256480,#256481,#256482,#256483,#256484,#256485,#256486,#256487, #256488,#256489,#256490,#256491,#256492,#256493,#256494,#256495,#256496, #256497,#256498,#256499,#256500,#256501,#256502,#256503,#256504,#256505, #256506,#256507,#256508,#256509,#256510,#256511,#256512,#256513,#256514, #256515,#256516,#256517,#256518,#256519,#256520,#256521,#256522,#256523, #256524,#256525,#256526,#256527,#256528,#256529,#256530,#256531,#256532, #256533,#256534,#256535,#256536,#256537,#256538,#256539,#256540,#256541, #256542,#256543,#256544,#256545,#256546,#256547,#256548,#256549,#256550, #256551,#256552,#256553,#256554,#256555,#256556,#256557,#256558,#256559, #256560,#256561,#256562,#256563,#256564,#256565,#256566,#256567,#256568, #256569,#256570,#256571,#256572,#256573,#256574,#256575,#256576,#256577, #256578,#256579,#256580,#256581,#256582,#256583,#256584,#256585,#256586, #256587,#256588,#256589,#256590,#256591,#256592,#256593,#256594,#256595, #256596,#256597,#256598,#256599,#256600,#256601,#256602,#256603,#256604, #256605,#256606,#256607,#256608,#256609,#256610,#256611,#256612,#256613, #256614,#256615,#256616,#256617,#256618,#256619,#256620,#256621,#256622, #256623,#256624,#256625,#256626,#256627,#256628,#256629,#256630,#256631, #256632,#256633,#256634,#256635,#256636,#256637,#256638,#256639,#256640, #256641,#256642,#256643,#256644,#256645,#256646,#256647,#256648,#256649, #256650,#256651,#256652,#256653,#256654,#256655,#256656,#256657,#256658, #256659,#256660,#256661,#256662,#256663,#256664,#256665,#256666,#256667, #256668,#256669,#256670,#256671,#256672,#256673,#256674,#256675,#256676, #256677,#256678,#256679,#256680,#256681,#256682,#256683,#256684,#256685, #256686,#256687,#256688,#256689,#256690,#256691,#256692,#256693,#256694, #256695,#256696,#256697,#256698,#256699,#256700,#256701,#256702,#256703, #256704,#256705,#256706,#256707,#256708,#256709,#256710,#256711,#256712, #256713,#256714,#256715,#256716,#256717,#256718,#256719,#256720,#256721, #256722,#256723,#256724,#256725)); #47311=EDGE_LOOP('',(#256726,#256727,#256728,#256729,#256730,#256731,#256732, #256733,#256734,#256735,#256736,#256737,#256738,#256739,#256740,#256741, #256742,#256743,#256744,#256745,#256746,#256747,#256748,#256749,#256750, #256751,#256752,#256753,#256754,#256755,#256756,#256757,#256758,#256759, #256760,#256761)); #47312=EDGE_LOOP('',(#256762,#256763,#256764,#256765,#256766,#256767,#256768, #256769,#256770,#256771,#256772,#256773,#256774,#256775,#256776,#256777, #256778,#256779,#256780,#256781,#256782,#256783,#256784,#256785,#256786, #256787,#256788,#256789,#256790,#256791,#256792,#256793,#256794,#256795, #256796,#256797)); #47313=EDGE_LOOP('',(#256798,#256799,#256800,#256801,#256802,#256803,#256804, #256805,#256806,#256807,#256808,#256809,#256810,#256811,#256812,#256813)); #47314=EDGE_LOOP('',(#256814,#256815,#256816,#256817,#256818,#256819,#256820, #256821,#256822,#256823,#256824,#256825,#256826,#256827,#256828,#256829)); #47315=EDGE_LOOP('',(#256830,#256831,#256832,#256833,#256834,#256835,#256836, #256837)); #47316=EDGE_LOOP('',(#256838,#256839,#256840,#256841,#256842,#256843,#256844, #256845,#256846,#256847,#256848,#256849,#256850,#256851,#256852,#256853, #256854,#256855,#256856,#256857,#256858,#256859,#256860,#256861,#256862, #256863,#256864,#256865,#256866,#256867,#256868,#256869,#256870,#256871, #256872,#256873,#256874,#256875,#256876,#256877,#256878,#256879,#256880, #256881,#256882,#256883,#256884,#256885,#256886,#256887,#256888,#256889, #256890,#256891,#256892,#256893,#256894,#256895,#256896,#256897,#256898, #256899,#256900,#256901,#256902,#256903,#256904,#256905,#256906,#256907, #256908,#256909,#256910,#256911,#256912,#256913,#256914,#256915,#256916, #256917,#256918,#256919,#256920,#256921,#256922,#256923,#256924,#256925, #256926,#256927,#256928,#256929,#256930,#256931,#256932,#256933,#256934, #256935,#256936,#256937,#256938,#256939,#256940,#256941,#256942,#256943, #256944,#256945,#256946,#256947,#256948,#256949,#256950,#256951,#256952, #256953,#256954,#256955,#256956,#256957)); #47317=EDGE_LOOP('',(#256958)); #47318=EDGE_LOOP('',(#256959)); #47319=EDGE_LOOP('',(#256960)); #47320=EDGE_LOOP('',(#256961)); #47321=EDGE_LOOP('',(#256962)); #47322=EDGE_LOOP('',(#256963)); #47323=EDGE_LOOP('',(#256964)); #47324=EDGE_LOOP('',(#256965)); #47325=EDGE_LOOP('',(#256966)); #47326=EDGE_LOOP('',(#256967)); #47327=EDGE_LOOP('',(#256968)); #47328=EDGE_LOOP('',(#256969)); #47329=EDGE_LOOP('',(#256970)); #47330=EDGE_LOOP('',(#256971)); #47331=EDGE_LOOP('',(#256972)); #47332=EDGE_LOOP('',(#256973)); #47333=EDGE_LOOP('',(#256974)); #47334=EDGE_LOOP('',(#256975)); #47335=EDGE_LOOP('',(#256976)); #47336=EDGE_LOOP('',(#256977)); #47337=EDGE_LOOP('',(#256978)); #47338=EDGE_LOOP('',(#256979)); #47339=EDGE_LOOP('',(#256980)); #47340=EDGE_LOOP('',(#256981)); #47341=EDGE_LOOP('',(#256982)); #47342=EDGE_LOOP('',(#256983)); #47343=EDGE_LOOP('',(#256984)); #47344=EDGE_LOOP('',(#256985)); #47345=EDGE_LOOP('',(#256986)); #47346=EDGE_LOOP('',(#256987)); #47347=EDGE_LOOP('',(#256988)); #47348=EDGE_LOOP('',(#256989)); #47349=EDGE_LOOP('',(#256990)); #47350=EDGE_LOOP('',(#256991)); #47351=EDGE_LOOP('',(#256992)); #47352=EDGE_LOOP('',(#256993,#256994,#256995,#256996)); #47353=EDGE_LOOP('',(#256997)); #47354=EDGE_LOOP('',(#256998)); #47355=EDGE_LOOP('',(#256999)); #47356=EDGE_LOOP('',(#257000)); #47357=EDGE_LOOP('',(#257001,#257002,#257003,#257004)); #47358=EDGE_LOOP('',(#257005,#257006,#257007,#257008)); #47359=EDGE_LOOP('',(#257009,#257010,#257011,#257012)); #47360=EDGE_LOOP('',(#257013)); #47361=EDGE_LOOP('',(#257014)); #47362=EDGE_LOOP('',(#257015)); #47363=EDGE_LOOP('',(#257016)); #47364=EDGE_LOOP('',(#257017)); #47365=EDGE_LOOP('',(#257018)); #47366=EDGE_LOOP('',(#257019)); #47367=EDGE_LOOP('',(#257020)); #47368=EDGE_LOOP('',(#257021)); #47369=EDGE_LOOP('',(#257022)); #47370=EDGE_LOOP('',(#257023)); #47371=EDGE_LOOP('',(#257024)); #47372=EDGE_LOOP('',(#257025)); #47373=EDGE_LOOP('',(#257026)); #47374=EDGE_LOOP('',(#257027)); #47375=EDGE_LOOP('',(#257028)); #47376=EDGE_LOOP('',(#257029)); #47377=EDGE_LOOP('',(#257030)); #47378=EDGE_LOOP('',(#257031)); #47379=EDGE_LOOP('',(#257032,#257033,#257034,#257035,#257036,#257037,#257038, #257039)); #47380=EDGE_LOOP('',(#257040,#257041,#257042,#257043,#257044,#257045,#257046, #257047,#257048,#257049,#257050,#257051,#257052,#257053,#257054,#257055, #257056,#257057,#257058,#257059,#257060,#257061,#257062,#257063,#257064, #257065,#257066,#257067,#257068,#257069,#257070,#257071,#257072,#257073, #257074,#257075,#257076,#257077,#257078,#257079,#257080,#257081,#257082, #257083,#257084,#257085,#257086,#257087,#257088,#257089,#257090,#257091, #257092,#257093,#257094,#257095,#257096,#257097,#257098,#257099,#257100, #257101,#257102,#257103,#257104,#257105,#257106,#257107,#257108,#257109, #257110,#257111,#257112,#257113,#257114,#257115,#257116,#257117,#257118, #257119,#257120,#257121,#257122,#257123,#257124,#257125,#257126,#257127, #257128,#257129,#257130,#257131,#257132,#257133,#257134,#257135,#257136, #257137,#257138,#257139,#257140,#257141,#257142,#257143,#257144,#257145, #257146,#257147,#257148,#257149,#257150,#257151,#257152,#257153,#257154, #257155,#257156,#257157,#257158)); #47381=EDGE_LOOP('',(#257159,#257160,#257161,#257162,#257163,#257164,#257165, #257166,#257167,#257168,#257169,#257170,#257171,#257172,#257173,#257174, #257175,#257176,#257177,#257178,#257179,#257180,#257181,#257182,#257183, #257184,#257185,#257186,#257187,#257188,#257189,#257190,#257191,#257192, #257193,#257194,#257195,#257196,#257197,#257198,#257199,#257200,#257201, #257202,#257203,#257204,#257205,#257206,#257207,#257208,#257209,#257210, #257211,#257212,#257213,#257214,#257215,#257216,#257217,#257218,#257219, #257220,#257221,#257222,#257223,#257224,#257225,#257226,#257227,#257228, #257229,#257230,#257231,#257232,#257233,#257234,#257235,#257236,#257237, #257238,#257239,#257240,#257241,#257242,#257243,#257244,#257245,#257246, #257247,#257248,#257249,#257250,#257251,#257252,#257253,#257254,#257255, #257256,#257257,#257258,#257259,#257260,#257261,#257262,#257263,#257264, #257265,#257266,#257267,#257268,#257269,#257270,#257271,#257272,#257273, #257274,#257275,#257276,#257277,#257278,#257279,#257280,#257281,#257282, #257283,#257284,#257285,#257286,#257287,#257288,#257289,#257290,#257291, #257292,#257293,#257294,#257295,#257296,#257297,#257298,#257299,#257300, #257301,#257302,#257303,#257304,#257305,#257306,#257307,#257308,#257309, #257310,#257311,#257312,#257313,#257314,#257315,#257316,#257317,#257318, #257319,#257320,#257321,#257322,#257323,#257324,#257325,#257326,#257327, #257328,#257329,#257330,#257331,#257332,#257333,#257334,#257335,#257336, #257337,#257338,#257339,#257340,#257341,#257342,#257343,#257344,#257345, #257346,#257347,#257348,#257349,#257350,#257351,#257352,#257353,#257354, #257355,#257356,#257357,#257358,#257359,#257360,#257361,#257362,#257363, #257364,#257365,#257366,#257367,#257368,#257369,#257370,#257371,#257372, #257373,#257374,#257375,#257376,#257377,#257378,#257379,#257380,#257381, #257382,#257383,#257384,#257385,#257386,#257387,#257388,#257389,#257390, #257391,#257392,#257393,#257394,#257395,#257396,#257397,#257398,#257399, #257400,#257401,#257402,#257403,#257404,#257405,#257406,#257407,#257408, #257409,#257410,#257411,#257412,#257413,#257414,#257415,#257416,#257417, #257418,#257419,#257420,#257421,#257422,#257423,#257424,#257425,#257426, #257427,#257428,#257429,#257430,#257431,#257432,#257433,#257434,#257435, #257436,#257437,#257438,#257439,#257440,#257441,#257442,#257443,#257444, #257445,#257446,#257447,#257448,#257449,#257450,#257451,#257452,#257453, #257454,#257455,#257456,#257457,#257458,#257459,#257460,#257461,#257462, #257463,#257464,#257465,#257466,#257467,#257468,#257469,#257470,#257471, #257472,#257473,#257474,#257475,#257476,#257477,#257478,#257479,#257480, #257481,#257482,#257483,#257484,#257485,#257486,#257487,#257488,#257489, #257490,#257491,#257492,#257493,#257494,#257495,#257496,#257497,#257498, #257499,#257500,#257501,#257502,#257503,#257504,#257505,#257506,#257507, #257508,#257509,#257510,#257511,#257512,#257513,#257514,#257515,#257516, #257517,#257518,#257519,#257520,#257521,#257522,#257523,#257524,#257525, #257526,#257527,#257528,#257529,#257530,#257531,#257532,#257533,#257534, #257535,#257536,#257537,#257538,#257539,#257540,#257541,#257542,#257543, #257544,#257545,#257546,#257547,#257548,#257549,#257550,#257551,#257552, #257553,#257554,#257555,#257556,#257557,#257558,#257559,#257560,#257561, #257562,#257563,#257564,#257565,#257566,#257567,#257568,#257569,#257570, #257571,#257572,#257573,#257574,#257575,#257576,#257577,#257578,#257579, #257580,#257581,#257582,#257583,#257584,#257585,#257586,#257587,#257588, #257589,#257590,#257591,#257592,#257593,#257594,#257595,#257596,#257597, #257598,#257599,#257600,#257601,#257602,#257603,#257604,#257605,#257606, #257607,#257608,#257609,#257610,#257611,#257612,#257613,#257614,#257615, #257616,#257617,#257618,#257619,#257620,#257621,#257622,#257623,#257624, #257625,#257626,#257627,#257628,#257629,#257630,#257631,#257632,#257633, #257634,#257635,#257636,#257637,#257638,#257639,#257640,#257641,#257642, #257643,#257644,#257645,#257646,#257647,#257648,#257649,#257650,#257651, #257652,#257653,#257654,#257655,#257656,#257657,#257658,#257659,#257660, #257661,#257662,#257663,#257664,#257665,#257666,#257667,#257668,#257669, #257670,#257671,#257672,#257673,#257674,#257675,#257676,#257677,#257678, #257679,#257680,#257681,#257682,#257683,#257684,#257685,#257686,#257687, #257688,#257689,#257690,#257691,#257692,#257693,#257694,#257695,#257696, #257697,#257698,#257699,#257700,#257701,#257702,#257703,#257704,#257705, #257706,#257707,#257708,#257709,#257710,#257711,#257712,#257713,#257714, #257715,#257716,#257717,#257718,#257719,#257720,#257721,#257722,#257723, #257724,#257725,#257726,#257727,#257728,#257729,#257730,#257731,#257732, #257733,#257734,#257735,#257736)); #47382=EDGE_LOOP('',(#257737,#257738,#257739,#257740,#257741,#257742,#257743, #257744,#257745,#257746,#257747,#257748,#257749,#257750,#257751,#257752, #257753,#257754,#257755,#257756,#257757,#257758,#257759,#257760,#257761, #257762,#257763,#257764,#257765,#257766,#257767,#257768,#257769,#257770, #257771,#257772)); #47383=EDGE_LOOP('',(#257773,#257774,#257775,#257776,#257777,#257778,#257779, #257780,#257781,#257782,#257783,#257784,#257785,#257786,#257787,#257788, #257789,#257790,#257791,#257792,#257793,#257794,#257795,#257796,#257797, #257798,#257799,#257800,#257801,#257802,#257803,#257804,#257805,#257806, #257807,#257808)); #47384=EDGE_LOOP('',(#257809,#257810,#257811,#257812,#257813,#257814,#257815, #257816,#257817,#257818,#257819,#257820,#257821,#257822,#257823,#257824)); #47385=EDGE_LOOP('',(#257825,#257826,#257827,#257828,#257829,#257830,#257831, #257832,#257833,#257834,#257835,#257836,#257837,#257838,#257839,#257840)); #47386=EDGE_LOOP('',(#257841,#257842,#257843,#257844,#257845,#257846,#257847, #257848)); #47387=EDGE_LOOP('',(#257849,#257850,#257851,#257852)); #47388=EDGE_LOOP('',(#257853,#257854,#257855,#257856)); #47389=EDGE_LOOP('',(#257857,#257858,#257859,#257860)); #47390=EDGE_LOOP('',(#257861,#257862,#257863,#257864)); #47391=EDGE_LOOP('',(#257865,#257866,#257867,#257868)); #47392=EDGE_LOOP('',(#257869,#257870,#257871,#257872)); #47393=EDGE_LOOP('',(#257873,#257874,#257875,#257876)); #47394=EDGE_LOOP('',(#257877,#257878,#257879,#257880)); #47395=EDGE_LOOP('',(#257881,#257882,#257883,#257884)); #47396=EDGE_LOOP('',(#257885,#257886,#257887,#257888)); #47397=EDGE_LOOP('',(#257889,#257890,#257891,#257892)); #47398=EDGE_LOOP('',(#257893,#257894,#257895,#257896)); #47399=EDGE_LOOP('',(#257897,#257898,#257899,#257900)); #47400=EDGE_LOOP('',(#257901,#257902,#257903,#257904)); #47401=EDGE_LOOP('',(#257905,#257906,#257907,#257908)); #47402=EDGE_LOOP('',(#257909,#257910,#257911,#257912)); #47403=EDGE_LOOP('',(#257913,#257914,#257915,#257916)); #47404=EDGE_LOOP('',(#257917,#257918,#257919,#257920)); #47405=EDGE_LOOP('',(#257921,#257922,#257923,#257924)); #47406=EDGE_LOOP('',(#257925,#257926,#257927,#257928)); #47407=EDGE_LOOP('',(#257929,#257930,#257931,#257932)); #47408=EDGE_LOOP('',(#257933,#257934,#257935,#257936,#257937,#257938,#257939, #257940,#257941,#257942,#257943,#257944,#257945,#257946,#257947,#257948, #257949,#257950,#257951,#257952,#257953)); #47409=EDGE_LOOP('',(#257954,#257955,#257956,#257957,#257958,#257959,#257960, #257961,#257962,#257963,#257964,#257965,#257966,#257967,#257968,#257969, #257970,#257971,#257972,#257973,#257974)); #47410=EDGE_LOOP('',(#257975,#257976,#257977,#257978)); #47411=EDGE_LOOP('',(#257979,#257980,#257981,#257982)); #47412=EDGE_LOOP('',(#257983,#257984,#257985,#257986)); #47413=EDGE_LOOP('',(#257987,#257988,#257989,#257990)); #47414=EDGE_LOOP('',(#257991,#257992,#257993,#257994)); #47415=EDGE_LOOP('',(#257995,#257996,#257997,#257998)); #47416=EDGE_LOOP('',(#257999,#258000,#258001,#258002)); #47417=EDGE_LOOP('',(#258003,#258004,#258005,#258006)); #47418=EDGE_LOOP('',(#258007,#258008,#258009,#258010)); #47419=EDGE_LOOP('',(#258011,#258012,#258013,#258014)); #47420=EDGE_LOOP('',(#258015,#258016,#258017,#258018)); #47421=EDGE_LOOP('',(#258019,#258020,#258021,#258022)); #47422=EDGE_LOOP('',(#258023,#258024,#258025,#258026)); #47423=EDGE_LOOP('',(#258027,#258028,#258029,#258030)); #47424=EDGE_LOOP('',(#258031,#258032,#258033,#258034)); #47425=EDGE_LOOP('',(#258035,#258036,#258037,#258038)); #47426=EDGE_LOOP('',(#258039,#258040,#258041,#258042)); #47427=EDGE_LOOP('',(#258043,#258044,#258045,#258046)); #47428=EDGE_LOOP('',(#258047,#258048,#258049,#258050)); #47429=EDGE_LOOP('',(#258051,#258052,#258053,#258054)); #47430=EDGE_LOOP('',(#258055,#258056,#258057,#258058)); #47431=EDGE_LOOP('',(#258059,#258060,#258061,#258062)); #47432=EDGE_LOOP('',(#258063,#258064,#258065,#258066)); #47433=EDGE_LOOP('',(#258067,#258068,#258069,#258070)); #47434=EDGE_LOOP('',(#258071,#258072,#258073,#258074)); #47435=EDGE_LOOP('',(#258075,#258076,#258077,#258078)); #47436=EDGE_LOOP('',(#258079,#258080,#258081,#258082,#258083,#258084,#258085, #258086,#258087,#258088,#258089,#258090,#258091,#258092,#258093,#258094, #258095,#258096,#258097,#258098,#258099,#258100,#258101,#258102,#258103, #258104)); #47437=EDGE_LOOP('',(#258105,#258106,#258107,#258108,#258109,#258110,#258111, #258112,#258113,#258114,#258115,#258116,#258117,#258118,#258119,#258120, #258121,#258122,#258123,#258124,#258125,#258126,#258127,#258128,#258129, #258130)); #47438=EDGE_LOOP('',(#258131,#258132,#258133,#258134)); #47439=EDGE_LOOP('',(#258135,#258136,#258137,#258138)); #47440=EDGE_LOOP('',(#258139,#258140,#258141,#258142)); #47441=EDGE_LOOP('',(#258143,#258144,#258145,#258146)); #47442=EDGE_LOOP('',(#258147,#258148,#258149,#258150)); #47443=EDGE_LOOP('',(#258151,#258152,#258153,#258154)); #47444=EDGE_LOOP('',(#258155,#258156,#258157,#258158)); #47445=EDGE_LOOP('',(#258159,#258160,#258161,#258162)); #47446=EDGE_LOOP('',(#258163,#258164,#258165,#258166)); #47447=EDGE_LOOP('',(#258167,#258168,#258169,#258170)); #47448=EDGE_LOOP('',(#258171,#258172,#258173,#258174)); #47449=EDGE_LOOP('',(#258175,#258176,#258177,#258178)); #47450=EDGE_LOOP('',(#258179,#258180,#258181,#258182)); #47451=EDGE_LOOP('',(#258183,#258184,#258185,#258186)); #47452=EDGE_LOOP('',(#258187,#258188,#258189,#258190)); #47453=EDGE_LOOP('',(#258191,#258192,#258193,#258194)); #47454=EDGE_LOOP('',(#258195,#258196,#258197,#258198)); #47455=EDGE_LOOP('',(#258199,#258200,#258201,#258202)); #47456=EDGE_LOOP('',(#258203,#258204,#258205,#258206)); #47457=EDGE_LOOP('',(#258207,#258208,#258209,#258210)); #47458=EDGE_LOOP('',(#258211,#258212,#258213,#258214,#258215,#258216,#258217, #258218,#258219,#258220,#258221,#258222,#258223,#258224,#258225,#258226, #258227,#258228,#258229,#258230)); #47459=EDGE_LOOP('',(#258231,#258232,#258233,#258234,#258235,#258236,#258237, #258238,#258239,#258240,#258241,#258242,#258243,#258244,#258245,#258246, #258247,#258248,#258249,#258250)); #47460=EDGE_LOOP('',(#258251,#258252,#258253,#258254)); #47461=EDGE_LOOP('',(#258255,#258256,#258257,#258258)); #47462=EDGE_LOOP('',(#258259,#258260,#258261,#258262)); #47463=EDGE_LOOP('',(#258263,#258264,#258265,#258266)); #47464=EDGE_LOOP('',(#258267,#258268,#258269,#258270)); #47465=EDGE_LOOP('',(#258271,#258272,#258273,#258274)); #47466=EDGE_LOOP('',(#258275,#258276,#258277,#258278)); #47467=EDGE_LOOP('',(#258279,#258280,#258281,#258282)); #47468=EDGE_LOOP('',(#258283,#258284,#258285,#258286)); #47469=EDGE_LOOP('',(#258287,#258288,#258289,#258290)); #47470=EDGE_LOOP('',(#258291,#258292,#258293,#258294)); #47471=EDGE_LOOP('',(#258295,#258296,#258297,#258298)); #47472=EDGE_LOOP('',(#258299,#258300,#258301,#258302)); #47473=EDGE_LOOP('',(#258303,#258304,#258305,#258306)); #47474=EDGE_LOOP('',(#258307,#258308,#258309,#258310)); #47475=EDGE_LOOP('',(#258311,#258312,#258313,#258314)); #47476=EDGE_LOOP('',(#258315,#258316,#258317,#258318)); #47477=EDGE_LOOP('',(#258319,#258320,#258321,#258322)); #47478=EDGE_LOOP('',(#258323,#258324,#258325,#258326)); #47479=EDGE_LOOP('',(#258327,#258328,#258329,#258330)); #47480=EDGE_LOOP('',(#258331,#258332,#258333,#258334)); #47481=EDGE_LOOP('',(#258335,#258336,#258337,#258338)); #47482=EDGE_LOOP('',(#258339,#258340,#258341,#258342)); #47483=EDGE_LOOP('',(#258343,#258344,#258345,#258346,#258347,#258348,#258349, #258350,#258351,#258352,#258353,#258354,#258355,#258356,#258357,#258358, #258359,#258360,#258361,#258362,#258363,#258364,#258365)); #47484=EDGE_LOOP('',(#258366,#258367,#258368,#258369,#258370,#258371,#258372, #258373,#258374,#258375,#258376,#258377,#258378,#258379,#258380,#258381, #258382,#258383,#258384,#258385,#258386,#258387,#258388)); #47485=EDGE_LOOP('',(#258389,#258390,#258391,#258392)); #47486=EDGE_LOOP('',(#258393,#258394,#258395,#258396)); #47487=EDGE_LOOP('',(#258397,#258398,#258399,#258400)); #47488=EDGE_LOOP('',(#258401,#258402,#258403,#258404)); #47489=EDGE_LOOP('',(#258405,#258406,#258407,#258408)); #47490=EDGE_LOOP('',(#258409,#258410,#258411,#258412)); #47491=EDGE_LOOP('',(#258413,#258414,#258415,#258416)); #47492=EDGE_LOOP('',(#258417,#258418,#258419,#258420)); #47493=EDGE_LOOP('',(#258421,#258422,#258423,#258424)); #47494=EDGE_LOOP('',(#258425,#258426,#258427,#258428)); #47495=EDGE_LOOP('',(#258429,#258430,#258431,#258432)); #47496=EDGE_LOOP('',(#258433,#258434,#258435,#258436)); #47497=EDGE_LOOP('',(#258437,#258438,#258439,#258440)); #47498=EDGE_LOOP('',(#258441,#258442,#258443,#258444)); #47499=EDGE_LOOP('',(#258445,#258446,#258447,#258448)); #47500=EDGE_LOOP('',(#258449,#258450,#258451,#258452)); #47501=EDGE_LOOP('',(#258453,#258454,#258455,#258456)); #47502=EDGE_LOOP('',(#258457,#258458,#258459,#258460)); #47503=EDGE_LOOP('',(#258461,#258462,#258463,#258464)); #47504=EDGE_LOOP('',(#258465,#258466,#258467,#258468)); #47505=EDGE_LOOP('',(#258469,#258470,#258471,#258472)); #47506=EDGE_LOOP('',(#258473,#258474,#258475,#258476,#258477,#258478,#258479, #258480,#258481,#258482,#258483,#258484,#258485,#258486,#258487,#258488, #258489,#258490,#258491,#258492,#258493)); #47507=EDGE_LOOP('',(#258494,#258495,#258496,#258497,#258498,#258499,#258500, #258501,#258502,#258503,#258504,#258505,#258506,#258507,#258508,#258509, #258510,#258511,#258512,#258513,#258514)); #47508=EDGE_LOOP('',(#258515,#258516,#258517,#258518)); #47509=EDGE_LOOP('',(#258519,#258520,#258521,#258522)); #47510=EDGE_LOOP('',(#258523,#258524,#258525,#258526)); #47511=EDGE_LOOP('',(#258527,#258528,#258529,#258530)); #47512=EDGE_LOOP('',(#258531,#258532,#258533,#258534)); #47513=EDGE_LOOP('',(#258535,#258536,#258537,#258538)); #47514=EDGE_LOOP('',(#258539,#258540,#258541,#258542)); #47515=EDGE_LOOP('',(#258543,#258544,#258545,#258546)); #47516=EDGE_LOOP('',(#258547,#258548,#258549,#258550)); #47517=EDGE_LOOP('',(#258551,#258552,#258553,#258554)); #47518=EDGE_LOOP('',(#258555,#258556,#258557,#258558)); #47519=EDGE_LOOP('',(#258559,#258560,#258561,#258562)); #47520=EDGE_LOOP('',(#258563,#258564,#258565,#258566)); #47521=EDGE_LOOP('',(#258567,#258568,#258569,#258570)); #47522=EDGE_LOOP('',(#258571,#258572,#258573,#258574)); #47523=EDGE_LOOP('',(#258575,#258576,#258577,#258578)); #47524=EDGE_LOOP('',(#258579,#258580,#258581,#258582)); #47525=EDGE_LOOP('',(#258583,#258584,#258585,#258586)); #47526=EDGE_LOOP('',(#258587,#258588,#258589,#258590)); #47527=EDGE_LOOP('',(#258591,#258592,#258593,#258594)); #47528=EDGE_LOOP('',(#258595,#258596,#258597,#258598)); #47529=EDGE_LOOP('',(#258599,#258600,#258601,#258602)); #47530=EDGE_LOOP('',(#258603,#258604,#258605,#258606)); #47531=EDGE_LOOP('',(#258607,#258608,#258609,#258610)); #47532=EDGE_LOOP('',(#258611,#258612,#258613,#258614)); #47533=EDGE_LOOP('',(#258615,#258616,#258617,#258618)); #47534=EDGE_LOOP('',(#258619,#258620,#258621,#258622)); #47535=EDGE_LOOP('',(#258623,#258624,#258625,#258626)); #47536=EDGE_LOOP('',(#258627,#258628,#258629,#258630)); #47537=EDGE_LOOP('',(#258631,#258632,#258633,#258634)); #47538=EDGE_LOOP('',(#258635,#258636,#258637,#258638,#258639,#258640,#258641, #258642,#258643,#258644,#258645,#258646,#258647,#258648,#258649,#258650, #258651,#258652,#258653,#258654,#258655,#258656,#258657,#258658,#258659, #258660,#258661,#258662,#258663,#258664)); #47539=EDGE_LOOP('',(#258665,#258666,#258667,#258668,#258669,#258670,#258671, #258672,#258673,#258674,#258675,#258676,#258677,#258678,#258679,#258680, #258681,#258682,#258683,#258684,#258685,#258686,#258687,#258688,#258689, #258690,#258691,#258692,#258693,#258694)); #47540=EDGE_LOOP('',(#258695,#258696,#258697,#258698)); #47541=EDGE_LOOP('',(#258699,#258700,#258701,#258702)); #47542=EDGE_LOOP('',(#258703,#258704,#258705,#258706)); #47543=EDGE_LOOP('',(#258707,#258708,#258709,#258710)); #47544=EDGE_LOOP('',(#258711,#258712,#258713,#258714)); #47545=EDGE_LOOP('',(#258715,#258716,#258717,#258718)); #47546=EDGE_LOOP('',(#258719,#258720,#258721,#258722)); #47547=EDGE_LOOP('',(#258723,#258724,#258725,#258726)); #47548=EDGE_LOOP('',(#258727,#258728,#258729,#258730)); #47549=EDGE_LOOP('',(#258731,#258732,#258733,#258734)); #47550=EDGE_LOOP('',(#258735,#258736,#258737,#258738)); #47551=EDGE_LOOP('',(#258739,#258740,#258741,#258742)); #47552=EDGE_LOOP('',(#258743,#258744,#258745,#258746)); #47553=EDGE_LOOP('',(#258747,#258748,#258749,#258750)); #47554=EDGE_LOOP('',(#258751,#258752,#258753,#258754)); #47555=EDGE_LOOP('',(#258755,#258756,#258757,#258758)); #47556=EDGE_LOOP('',(#258759,#258760,#258761,#258762)); #47557=EDGE_LOOP('',(#258763,#258764,#258765,#258766)); #47558=EDGE_LOOP('',(#258767,#258768,#258769,#258770)); #47559=EDGE_LOOP('',(#258771,#258772,#258773,#258774)); #47560=EDGE_LOOP('',(#258775,#258776,#258777,#258778,#258779,#258780,#258781, #258782,#258783,#258784,#258785,#258786,#258787,#258788,#258789,#258790, #258791,#258792,#258793,#258794)); #47561=EDGE_LOOP('',(#258795,#258796,#258797,#258798,#258799,#258800,#258801, #258802,#258803,#258804,#258805,#258806,#258807,#258808,#258809,#258810, #258811,#258812,#258813,#258814)); #47562=EDGE_LOOP('',(#258815,#258816,#258817,#258818)); #47563=EDGE_LOOP('',(#258819,#258820,#258821,#258822)); #47564=EDGE_LOOP('',(#258823,#258824,#258825,#258826)); #47565=EDGE_LOOP('',(#258827,#258828,#258829,#258830)); #47566=EDGE_LOOP('',(#258831,#258832,#258833,#258834)); #47567=EDGE_LOOP('',(#258835,#258836,#258837,#258838)); #47568=EDGE_LOOP('',(#258839,#258840,#258841,#258842)); #47569=EDGE_LOOP('',(#258843,#258844,#258845,#258846)); #47570=EDGE_LOOP('',(#258847,#258848,#258849,#258850)); #47571=EDGE_LOOP('',(#258851,#258852,#258853,#258854)); #47572=EDGE_LOOP('',(#258855,#258856,#258857,#258858)); #47573=EDGE_LOOP('',(#258859,#258860,#258861,#258862)); #47574=EDGE_LOOP('',(#258863,#258864,#258865,#258866)); #47575=EDGE_LOOP('',(#258867,#258868,#258869,#258870)); #47576=EDGE_LOOP('',(#258871,#258872,#258873,#258874)); #47577=EDGE_LOOP('',(#258875,#258876,#258877,#258878)); #47578=EDGE_LOOP('',(#258879,#258880,#258881,#258882)); #47579=EDGE_LOOP('',(#258883,#258884,#258885,#258886)); #47580=EDGE_LOOP('',(#258887,#258888,#258889,#258890)); #47581=EDGE_LOOP('',(#258891,#258892,#258893,#258894)); #47582=EDGE_LOOP('',(#258895,#258896,#258897,#258898)); #47583=EDGE_LOOP('',(#258899,#258900,#258901,#258902)); #47584=EDGE_LOOP('',(#258903,#258904,#258905,#258906)); #47585=EDGE_LOOP('',(#258907,#258908,#258909,#258910)); #47586=EDGE_LOOP('',(#258911,#258912,#258913,#258914)); #47587=EDGE_LOOP('',(#258915,#258916,#258917,#258918)); #47588=EDGE_LOOP('',(#258919,#258920,#258921,#258922)); #47589=EDGE_LOOP('',(#258923,#258924,#258925,#258926)); #47590=EDGE_LOOP('',(#258927,#258928,#258929,#258930)); #47591=EDGE_LOOP('',(#258931,#258932,#258933,#258934)); #47592=EDGE_LOOP('',(#258935,#258936,#258937,#258938)); #47593=EDGE_LOOP('',(#258939,#258940,#258941,#258942)); #47594=EDGE_LOOP('',(#258943,#258944,#258945,#258946)); #47595=EDGE_LOOP('',(#258947,#258948,#258949,#258950,#258951,#258952,#258953, #258954,#258955,#258956,#258957,#258958,#258959,#258960,#258961,#258962, #258963,#258964,#258965,#258966,#258967,#258968,#258969,#258970,#258971, #258972,#258973,#258974,#258975,#258976,#258977,#258978,#258979)); #47596=EDGE_LOOP('',(#258980,#258981,#258982,#258983,#258984,#258985,#258986, #258987,#258988,#258989,#258990,#258991,#258992,#258993,#258994,#258995, #258996,#258997,#258998,#258999,#259000,#259001,#259002,#259003,#259004, #259005,#259006,#259007,#259008,#259009,#259010,#259011,#259012)); #47597=EDGE_LOOP('',(#259013,#259014,#259015,#259016)); #47598=EDGE_LOOP('',(#259017,#259018,#259019,#259020)); #47599=EDGE_LOOP('',(#259021,#259022,#259023,#259024)); #47600=EDGE_LOOP('',(#259025,#259026,#259027,#259028)); #47601=EDGE_LOOP('',(#259029,#259030,#259031,#259032)); #47602=EDGE_LOOP('',(#259033,#259034,#259035,#259036)); #47603=EDGE_LOOP('',(#259037,#259038,#259039,#259040)); #47604=EDGE_LOOP('',(#259041,#259042,#259043,#259044)); #47605=EDGE_LOOP('',(#259045,#259046,#259047,#259048)); #47606=EDGE_LOOP('',(#259049,#259050,#259051,#259052)); #47607=EDGE_LOOP('',(#259053,#259054,#259055,#259056)); #47608=EDGE_LOOP('',(#259057,#259058,#259059,#259060)); #47609=EDGE_LOOP('',(#259061,#259062,#259063,#259064)); #47610=EDGE_LOOP('',(#259065,#259066,#259067,#259068)); #47611=EDGE_LOOP('',(#259069,#259070,#259071,#259072)); #47612=EDGE_LOOP('',(#259073,#259074,#259075,#259076)); #47613=EDGE_LOOP('',(#259077,#259078,#259079,#259080)); #47614=EDGE_LOOP('',(#259081,#259082,#259083,#259084)); #47615=EDGE_LOOP('',(#259085,#259086,#259087,#259088)); #47616=EDGE_LOOP('',(#259089,#259090,#259091,#259092)); #47617=EDGE_LOOP('',(#259093,#259094,#259095,#259096)); #47618=EDGE_LOOP('',(#259097,#259098,#259099,#259100)); #47619=EDGE_LOOP('',(#259101,#259102,#259103,#259104)); #47620=EDGE_LOOP('',(#259105,#259106,#259107,#259108)); #47621=EDGE_LOOP('',(#259109,#259110,#259111,#259112)); #47622=EDGE_LOOP('',(#259113,#259114,#259115,#259116)); #47623=EDGE_LOOP('',(#259117,#259118,#259119,#259120)); #47624=EDGE_LOOP('',(#259121,#259122,#259123,#259124)); #47625=EDGE_LOOP('',(#259125,#259126,#259127,#259128)); #47626=EDGE_LOOP('',(#259129,#259130,#259131,#259132)); #47627=EDGE_LOOP('',(#259133,#259134,#259135,#259136)); #47628=EDGE_LOOP('',(#259137,#259138,#259139,#259140)); #47629=EDGE_LOOP('',(#259141,#259142,#259143,#259144)); #47630=EDGE_LOOP('',(#259145,#259146,#259147,#259148)); #47631=EDGE_LOOP('',(#259149,#259150,#259151,#259152)); #47632=EDGE_LOOP('',(#259153,#259154,#259155,#259156)); #47633=EDGE_LOOP('',(#259157,#259158,#259159,#259160)); #47634=EDGE_LOOP('',(#259161,#259162,#259163,#259164)); #47635=EDGE_LOOP('',(#259165,#259166,#259167,#259168)); #47636=EDGE_LOOP('',(#259169,#259170,#259171,#259172)); #47637=EDGE_LOOP('',(#259173,#259174,#259175,#259176)); #47638=EDGE_LOOP('',(#259177,#259178,#259179,#259180)); #47639=EDGE_LOOP('',(#259181,#259182,#259183,#259184)); #47640=EDGE_LOOP('',(#259185,#259186,#259187,#259188)); #47641=EDGE_LOOP('',(#259189,#259190,#259191,#259192)); #47642=EDGE_LOOP('',(#259193,#259194,#259195,#259196)); #47643=EDGE_LOOP('',(#259197,#259198,#259199,#259200)); #47644=EDGE_LOOP('',(#259201,#259202,#259203,#259204)); #47645=EDGE_LOOP('',(#259205,#259206,#259207,#259208)); #47646=EDGE_LOOP('',(#259209,#259210,#259211,#259212)); #47647=EDGE_LOOP('',(#259213,#259214,#259215,#259216)); #47648=EDGE_LOOP('',(#259217,#259218,#259219,#259220)); #47649=EDGE_LOOP('',(#259221,#259222,#259223,#259224)); #47650=EDGE_LOOP('',(#259225,#259226,#259227,#259228)); #47651=EDGE_LOOP('',(#259229,#259230,#259231,#259232)); #47652=EDGE_LOOP('',(#259233,#259234,#259235,#259236)); #47653=EDGE_LOOP('',(#259237,#259238,#259239,#259240)); #47654=EDGE_LOOP('',(#259241,#259242,#259243,#259244)); #47655=EDGE_LOOP('',(#259245,#259246,#259247,#259248)); #47656=EDGE_LOOP('',(#259249,#259250,#259251,#259252)); #47657=EDGE_LOOP('',(#259253,#259254,#259255,#259256)); #47658=EDGE_LOOP('',(#259257,#259258,#259259,#259260)); #47659=EDGE_LOOP('',(#259261,#259262,#259263,#259264)); #47660=EDGE_LOOP('',(#259265,#259266,#259267,#259268)); #47661=EDGE_LOOP('',(#259269,#259270,#259271,#259272)); #47662=EDGE_LOOP('',(#259273,#259274,#259275,#259276)); #47663=EDGE_LOOP('',(#259277,#259278,#259279,#259280)); #47664=EDGE_LOOP('',(#259281,#259282,#259283,#259284)); #47665=EDGE_LOOP('',(#259285,#259286,#259287,#259288)); #47666=EDGE_LOOP('',(#259289,#259290,#259291,#259292)); #47667=EDGE_LOOP('',(#259293,#259294,#259295,#259296)); #47668=EDGE_LOOP('',(#259297,#259298,#259299,#259300)); #47669=EDGE_LOOP('',(#259301,#259302,#259303,#259304)); #47670=EDGE_LOOP('',(#259305,#259306,#259307,#259308)); #47671=EDGE_LOOP('',(#259309,#259310,#259311,#259312)); #47672=EDGE_LOOP('',(#259313,#259314,#259315,#259316)); #47673=EDGE_LOOP('',(#259317,#259318,#259319,#259320)); #47674=EDGE_LOOP('',(#259321,#259322,#259323,#259324)); #47675=EDGE_LOOP('',(#259325,#259326,#259327,#259328)); #47676=EDGE_LOOP('',(#259329,#259330,#259331,#259332)); #47677=EDGE_LOOP('',(#259333,#259334,#259335,#259336)); #47678=EDGE_LOOP('',(#259337,#259338,#259339,#259340)); #47679=EDGE_LOOP('',(#259341,#259342,#259343,#259344)); #47680=EDGE_LOOP('',(#259345,#259346,#259347,#259348)); #47681=EDGE_LOOP('',(#259349,#259350,#259351,#259352)); #47682=EDGE_LOOP('',(#259353,#259354,#259355,#259356)); #47683=EDGE_LOOP('',(#259357,#259358,#259359,#259360)); #47684=EDGE_LOOP('',(#259361,#259362,#259363,#259364)); #47685=EDGE_LOOP('',(#259365,#259366,#259367,#259368)); #47686=EDGE_LOOP('',(#259369,#259370,#259371,#259372)); #47687=EDGE_LOOP('',(#259373,#259374,#259375,#259376,#259377,#259378,#259379, #259380,#259381,#259382,#259383,#259384,#259385,#259386,#259387,#259388, #259389,#259390,#259391,#259392,#259393,#259394,#259395,#259396,#259397, #259398,#259399,#259400,#259401,#259402,#259403,#259404,#259405,#259406, #259407,#259408,#259409,#259410,#259411,#259412,#259413,#259414,#259415, #259416,#259417,#259418,#259419,#259420,#259421,#259422,#259423,#259424, #259425,#259426,#259427,#259428,#259429,#259430,#259431,#259432,#259433, #259434,#259435,#259436,#259437,#259438,#259439,#259440,#259441,#259442, #259443,#259444,#259445,#259446,#259447,#259448,#259449,#259450,#259451, #259452,#259453,#259454,#259455,#259456,#259457,#259458,#259459)); #47688=EDGE_LOOP('',(#259460)); #47689=EDGE_LOOP('',(#259461)); #47690=EDGE_LOOP('',(#259462)); #47691=EDGE_LOOP('',(#259463,#259464,#259465,#259466,#259467,#259468,#259469, #259470,#259471,#259472,#259473,#259474,#259475,#259476,#259477,#259478, #259479,#259480,#259481,#259482,#259483,#259484,#259485,#259486,#259487, #259488,#259489,#259490,#259491,#259492,#259493,#259494,#259495,#259496, #259497,#259498,#259499,#259500,#259501,#259502,#259503,#259504,#259505, #259506,#259507,#259508,#259509,#259510,#259511,#259512,#259513,#259514, #259515,#259516,#259517,#259518,#259519,#259520,#259521,#259522,#259523, #259524,#259525,#259526,#259527,#259528,#259529,#259530,#259531,#259532, #259533,#259534,#259535,#259536,#259537,#259538,#259539,#259540,#259541, #259542,#259543,#259544,#259545,#259546,#259547,#259548,#259549)); #47692=EDGE_LOOP('',(#259550)); #47693=EDGE_LOOP('',(#259551)); #47694=EDGE_LOOP('',(#259552)); #47695=EDGE_LOOP('',(#259553,#259554,#259555,#259556)); #47696=EDGE_LOOP('',(#259557,#259558,#259559,#259560)); #47697=EDGE_LOOP('',(#259561,#259562,#259563,#259564)); #47698=EDGE_LOOP('',(#259565,#259566,#259567,#259568)); #47699=EDGE_LOOP('',(#259569,#259570,#259571,#259572)); #47700=EDGE_LOOP('',(#259573,#259574,#259575,#259576)); #47701=EDGE_LOOP('',(#259577,#259578,#259579,#259580)); #47702=EDGE_LOOP('',(#259581,#259582,#259583,#259584)); #47703=EDGE_LOOP('',(#259585,#259586,#259587,#259588)); #47704=EDGE_LOOP('',(#259589,#259590,#259591,#259592)); #47705=EDGE_LOOP('',(#259593,#259594,#259595,#259596)); #47706=EDGE_LOOP('',(#259597,#259598,#259599,#259600)); #47707=EDGE_LOOP('',(#259601,#259602,#259603,#259604)); #47708=EDGE_LOOP('',(#259605,#259606,#259607,#259608)); #47709=EDGE_LOOP('',(#259609,#259610,#259611,#259612)); #47710=EDGE_LOOP('',(#259613,#259614,#259615,#259616)); #47711=EDGE_LOOP('',(#259617,#259618,#259619,#259620)); #47712=EDGE_LOOP('',(#259621,#259622,#259623,#259624)); #47713=EDGE_LOOP('',(#259625,#259626,#259627,#259628)); #47714=EDGE_LOOP('',(#259629,#259630,#259631,#259632)); #47715=EDGE_LOOP('',(#259633,#259634,#259635,#259636)); #47716=EDGE_LOOP('',(#259637,#259638,#259639,#259640)); #47717=EDGE_LOOP('',(#259641,#259642,#259643,#259644)); #47718=EDGE_LOOP('',(#259645,#259646,#259647,#259648)); #47719=EDGE_LOOP('',(#259649,#259650,#259651,#259652)); #47720=EDGE_LOOP('',(#259653,#259654,#259655,#259656)); #47721=EDGE_LOOP('',(#259657,#259658,#259659,#259660)); #47722=EDGE_LOOP('',(#259661,#259662,#259663,#259664)); #47723=EDGE_LOOP('',(#259665,#259666,#259667,#259668)); #47724=EDGE_LOOP('',(#259669,#259670,#259671,#259672,#259673,#259674,#259675, #259676,#259677,#259678,#259679,#259680,#259681,#259682,#259683,#259684, #259685,#259686,#259687,#259688,#259689,#259690,#259691,#259692,#259693, #259694,#259695,#259696,#259697)); #47725=EDGE_LOOP('',(#259698,#259699,#259700,#259701,#259702,#259703,#259704, #259705,#259706,#259707,#259708,#259709,#259710,#259711,#259712,#259713, #259714,#259715,#259716,#259717,#259718,#259719,#259720,#259721,#259722, #259723,#259724,#259725,#259726)); #47726=EDGE_LOOP('',(#259727,#259728,#259729,#259730)); #47727=EDGE_LOOP('',(#259731,#259732,#259733,#259734)); #47728=EDGE_LOOP('',(#259735,#259736,#259737,#259738)); #47729=EDGE_LOOP('',(#259739,#259740,#259741,#259742)); #47730=EDGE_LOOP('',(#259743,#259744,#259745,#259746)); #47731=EDGE_LOOP('',(#259747,#259748,#259749,#259750)); #47732=EDGE_LOOP('',(#259751,#259752,#259753,#259754)); #47733=EDGE_LOOP('',(#259755,#259756,#259757,#259758)); #47734=EDGE_LOOP('',(#259759,#259760,#259761,#259762)); #47735=EDGE_LOOP('',(#259763,#259764,#259765,#259766)); #47736=EDGE_LOOP('',(#259767,#259768,#259769,#259770)); #47737=EDGE_LOOP('',(#259771,#259772,#259773,#259774)); #47738=EDGE_LOOP('',(#259775,#259776,#259777,#259778)); #47739=EDGE_LOOP('',(#259779,#259780,#259781,#259782)); #47740=EDGE_LOOP('',(#259783,#259784,#259785,#259786)); #47741=EDGE_LOOP('',(#259787,#259788,#259789,#259790)); #47742=EDGE_LOOP('',(#259791,#259792,#259793,#259794)); #47743=EDGE_LOOP('',(#259795,#259796,#259797,#259798)); #47744=EDGE_LOOP('',(#259799,#259800,#259801,#259802)); #47745=EDGE_LOOP('',(#259803,#259804,#259805,#259806,#259807,#259808,#259809, #259810,#259811,#259812,#259813,#259814,#259815,#259816,#259817,#259818, #259819,#259820,#259821)); #47746=EDGE_LOOP('',(#259822,#259823,#259824,#259825,#259826,#259827,#259828, #259829,#259830,#259831,#259832,#259833,#259834,#259835,#259836,#259837, #259838,#259839,#259840)); #47747=EDGE_LOOP('',(#259841,#259842,#259843,#259844)); #47748=EDGE_LOOP('',(#259845,#259846,#259847,#259848)); #47749=EDGE_LOOP('',(#259849,#259850,#259851,#259852)); #47750=EDGE_LOOP('',(#259853,#259854,#259855,#259856)); #47751=EDGE_LOOP('',(#259857,#259858,#259859,#259860)); #47752=EDGE_LOOP('',(#259861,#259862,#259863,#259864)); #47753=EDGE_LOOP('',(#259865,#259866,#259867,#259868)); #47754=EDGE_LOOP('',(#259869,#259870,#259871,#259872)); #47755=EDGE_LOOP('',(#259873,#259874,#259875,#259876)); #47756=EDGE_LOOP('',(#259877,#259878,#259879,#259880)); #47757=EDGE_LOOP('',(#259881,#259882,#259883,#259884)); #47758=EDGE_LOOP('',(#259885,#259886,#259887,#259888)); #47759=EDGE_LOOP('',(#259889,#259890,#259891,#259892)); #47760=EDGE_LOOP('',(#259893,#259894,#259895,#259896)); #47761=EDGE_LOOP('',(#259897,#259898,#259899,#259900)); #47762=EDGE_LOOP('',(#259901,#259902,#259903,#259904)); #47763=EDGE_LOOP('',(#259905,#259906,#259907,#259908)); #47764=EDGE_LOOP('',(#259909,#259910,#259911,#259912)); #47765=EDGE_LOOP('',(#259913,#259914,#259915,#259916)); #47766=EDGE_LOOP('',(#259917,#259918,#259919,#259920)); #47767=EDGE_LOOP('',(#259921,#259922,#259923,#259924)); #47768=EDGE_LOOP('',(#259925,#259926,#259927,#259928)); #47769=EDGE_LOOP('',(#259929,#259930,#259931,#259932)); #47770=EDGE_LOOP('',(#259933,#259934,#259935,#259936)); #47771=EDGE_LOOP('',(#259937,#259938,#259939,#259940)); #47772=EDGE_LOOP('',(#259941,#259942,#259943,#259944)); #47773=EDGE_LOOP('',(#259945,#259946,#259947,#259948)); #47774=EDGE_LOOP('',(#259949,#259950,#259951,#259952)); #47775=EDGE_LOOP('',(#259953,#259954,#259955,#259956)); #47776=EDGE_LOOP('',(#259957,#259958,#259959,#259960)); #47777=EDGE_LOOP('',(#259961,#259962,#259963,#259964)); #47778=EDGE_LOOP('',(#259965,#259966,#259967,#259968)); #47779=EDGE_LOOP('',(#259969,#259970,#259971,#259972)); #47780=EDGE_LOOP('',(#259973,#259974,#259975,#259976)); #47781=EDGE_LOOP('',(#259977,#259978,#259979,#259980)); #47782=EDGE_LOOP('',(#259981,#259982,#259983,#259984)); #47783=EDGE_LOOP('',(#259985,#259986,#259987,#259988)); #47784=EDGE_LOOP('',(#259989,#259990,#259991,#259992)); #47785=EDGE_LOOP('',(#259993,#259994,#259995,#259996)); #47786=EDGE_LOOP('',(#259997,#259998,#259999,#260000)); #47787=EDGE_LOOP('',(#260001,#260002,#260003,#260004)); #47788=EDGE_LOOP('',(#260005,#260006,#260007,#260008)); #47789=EDGE_LOOP('',(#260009,#260010,#260011,#260012)); #47790=EDGE_LOOP('',(#260013,#260014,#260015,#260016)); #47791=EDGE_LOOP('',(#260017,#260018,#260019,#260020)); #47792=EDGE_LOOP('',(#260021,#260022,#260023,#260024)); #47793=EDGE_LOOP('',(#260025,#260026,#260027,#260028)); #47794=EDGE_LOOP('',(#260029,#260030,#260031,#260032)); #47795=EDGE_LOOP('',(#260033,#260034,#260035,#260036)); #47796=EDGE_LOOP('',(#260037,#260038,#260039,#260040)); #47797=EDGE_LOOP('',(#260041,#260042,#260043,#260044)); #47798=EDGE_LOOP('',(#260045,#260046,#260047,#260048)); #47799=EDGE_LOOP('',(#260049,#260050,#260051,#260052)); #47800=EDGE_LOOP('',(#260053,#260054,#260055,#260056)); #47801=EDGE_LOOP('',(#260057,#260058,#260059,#260060)); #47802=EDGE_LOOP('',(#260061,#260062,#260063,#260064)); #47803=EDGE_LOOP('',(#260065,#260066,#260067,#260068)); #47804=EDGE_LOOP('',(#260069,#260070,#260071,#260072)); #47805=EDGE_LOOP('',(#260073,#260074,#260075,#260076)); #47806=EDGE_LOOP('',(#260077,#260078,#260079,#260080)); #47807=EDGE_LOOP('',(#260081,#260082,#260083,#260084)); #47808=EDGE_LOOP('',(#260085,#260086,#260087,#260088)); #47809=EDGE_LOOP('',(#260089,#260090,#260091,#260092)); #47810=EDGE_LOOP('',(#260093,#260094,#260095,#260096)); #47811=EDGE_LOOP('',(#260097,#260098,#260099,#260100)); #47812=EDGE_LOOP('',(#260101,#260102,#260103,#260104)); #47813=EDGE_LOOP('',(#260105,#260106,#260107,#260108)); #47814=EDGE_LOOP('',(#260109,#260110,#260111,#260112)); #47815=EDGE_LOOP('',(#260113,#260114,#260115,#260116)); #47816=EDGE_LOOP('',(#260117,#260118,#260119,#260120)); #47817=EDGE_LOOP('',(#260121,#260122,#260123,#260124)); #47818=EDGE_LOOP('',(#260125,#260126,#260127,#260128)); #47819=EDGE_LOOP('',(#260129,#260130,#260131,#260132)); #47820=EDGE_LOOP('',(#260133,#260134,#260135,#260136)); #47821=EDGE_LOOP('',(#260137,#260138,#260139,#260140)); #47822=EDGE_LOOP('',(#260141,#260142,#260143,#260144)); #47823=EDGE_LOOP('',(#260145,#260146,#260147,#260148)); #47824=EDGE_LOOP('',(#260149,#260150,#260151,#260152)); #47825=EDGE_LOOP('',(#260153,#260154,#260155,#260156)); #47826=EDGE_LOOP('',(#260157,#260158,#260159,#260160)); #47827=EDGE_LOOP('',(#260161,#260162,#260163,#260164)); #47828=EDGE_LOOP('',(#260165,#260166,#260167,#260168)); #47829=EDGE_LOOP('',(#260169,#260170,#260171,#260172)); #47830=EDGE_LOOP('',(#260173,#260174,#260175,#260176)); #47831=EDGE_LOOP('',(#260177,#260178,#260179,#260180)); #47832=EDGE_LOOP('',(#260181,#260182,#260183,#260184)); #47833=EDGE_LOOP('',(#260185,#260186,#260187,#260188)); #47834=EDGE_LOOP('',(#260189,#260190,#260191,#260192)); #47835=EDGE_LOOP('',(#260193,#260194,#260195,#260196)); #47836=EDGE_LOOP('',(#260197,#260198,#260199,#260200)); #47837=EDGE_LOOP('',(#260201,#260202,#260203,#260204)); #47838=EDGE_LOOP('',(#260205,#260206,#260207,#260208)); #47839=EDGE_LOOP('',(#260209,#260210,#260211,#260212)); #47840=EDGE_LOOP('',(#260213,#260214,#260215,#260216)); #47841=EDGE_LOOP('',(#260217,#260218,#260219,#260220)); #47842=EDGE_LOOP('',(#260221,#260222,#260223,#260224)); #47843=EDGE_LOOP('',(#260225,#260226,#260227,#260228)); #47844=EDGE_LOOP('',(#260229,#260230,#260231,#260232)); #47845=EDGE_LOOP('',(#260233,#260234,#260235,#260236)); #47846=EDGE_LOOP('',(#260237,#260238,#260239,#260240)); #47847=EDGE_LOOP('',(#260241,#260242,#260243,#260244)); #47848=EDGE_LOOP('',(#260245,#260246,#260247,#260248)); #47849=EDGE_LOOP('',(#260249,#260250,#260251,#260252)); #47850=EDGE_LOOP('',(#260253,#260254,#260255,#260256)); #47851=EDGE_LOOP('',(#260257,#260258,#260259,#260260)); #47852=EDGE_LOOP('',(#260261,#260262,#260263,#260264)); #47853=EDGE_LOOP('',(#260265,#260266,#260267,#260268)); #47854=EDGE_LOOP('',(#260269,#260270,#260271,#260272)); #47855=EDGE_LOOP('',(#260273,#260274,#260275,#260276)); #47856=EDGE_LOOP('',(#260277,#260278,#260279,#260280)); #47857=EDGE_LOOP('',(#260281,#260282,#260283,#260284)); #47858=EDGE_LOOP('',(#260285,#260286,#260287,#260288)); #47859=EDGE_LOOP('',(#260289,#260290,#260291,#260292)); #47860=EDGE_LOOP('',(#260293,#260294,#260295,#260296)); #47861=EDGE_LOOP('',(#260297,#260298,#260299,#260300)); #47862=EDGE_LOOP('',(#260301,#260302,#260303,#260304)); #47863=EDGE_LOOP('',(#260305,#260306,#260307,#260308)); #47864=EDGE_LOOP('',(#260309,#260310,#260311,#260312)); #47865=EDGE_LOOP('',(#260313,#260314,#260315,#260316)); #47866=EDGE_LOOP('',(#260317,#260318,#260319,#260320)); #47867=EDGE_LOOP('',(#260321,#260322,#260323,#260324)); #47868=EDGE_LOOP('',(#260325,#260326,#260327,#260328)); #47869=EDGE_LOOP('',(#260329,#260330,#260331,#260332)); #47870=EDGE_LOOP('',(#260333,#260334,#260335,#260336)); #47871=EDGE_LOOP('',(#260337,#260338,#260339,#260340)); #47872=EDGE_LOOP('',(#260341,#260342,#260343,#260344)); #47873=EDGE_LOOP('',(#260345,#260346,#260347,#260348)); #47874=EDGE_LOOP('',(#260349,#260350,#260351,#260352)); #47875=EDGE_LOOP('',(#260353,#260354,#260355,#260356)); #47876=EDGE_LOOP('',(#260357,#260358,#260359,#260360)); #47877=EDGE_LOOP('',(#260361,#260362,#260363,#260364)); #47878=EDGE_LOOP('',(#260365,#260366,#260367,#260368)); #47879=EDGE_LOOP('',(#260369,#260370,#260371,#260372)); #47880=EDGE_LOOP('',(#260373,#260374,#260375,#260376)); #47881=EDGE_LOOP('',(#260377,#260378,#260379,#260380)); #47882=EDGE_LOOP('',(#260381,#260382,#260383,#260384)); #47883=EDGE_LOOP('',(#260385,#260386,#260387,#260388)); #47884=EDGE_LOOP('',(#260389,#260390,#260391,#260392)); #47885=EDGE_LOOP('',(#260393,#260394,#260395,#260396)); #47886=EDGE_LOOP('',(#260397,#260398,#260399,#260400)); #47887=EDGE_LOOP('',(#260401,#260402,#260403,#260404)); #47888=EDGE_LOOP('',(#260405,#260406,#260407,#260408)); #47889=EDGE_LOOP('',(#260409,#260410,#260411,#260412)); #47890=EDGE_LOOP('',(#260413,#260414,#260415,#260416)); #47891=EDGE_LOOP('',(#260417,#260418,#260419,#260420)); #47892=EDGE_LOOP('',(#260421,#260422,#260423,#260424)); #47893=EDGE_LOOP('',(#260425,#260426,#260427,#260428)); #47894=EDGE_LOOP('',(#260429,#260430,#260431,#260432)); #47895=EDGE_LOOP('',(#260433,#260434,#260435,#260436)); #47896=EDGE_LOOP('',(#260437,#260438,#260439,#260440)); #47897=EDGE_LOOP('',(#260441,#260442,#260443,#260444)); #47898=EDGE_LOOP('',(#260445,#260446,#260447,#260448)); #47899=EDGE_LOOP('',(#260449,#260450,#260451,#260452)); #47900=EDGE_LOOP('',(#260453,#260454,#260455,#260456)); #47901=EDGE_LOOP('',(#260457,#260458,#260459,#260460)); #47902=EDGE_LOOP('',(#260461,#260462,#260463,#260464)); #47903=EDGE_LOOP('',(#260465,#260466,#260467,#260468)); #47904=EDGE_LOOP('',(#260469,#260470,#260471,#260472)); #47905=EDGE_LOOP('',(#260473,#260474,#260475,#260476)); #47906=EDGE_LOOP('',(#260477,#260478,#260479,#260480)); #47907=EDGE_LOOP('',(#260481,#260482,#260483,#260484)); #47908=EDGE_LOOP('',(#260485,#260486,#260487,#260488)); #47909=EDGE_LOOP('',(#260489,#260490,#260491,#260492)); #47910=EDGE_LOOP('',(#260493,#260494,#260495,#260496)); #47911=EDGE_LOOP('',(#260497,#260498,#260499,#260500)); #47912=EDGE_LOOP('',(#260501,#260502,#260503,#260504)); #47913=EDGE_LOOP('',(#260505,#260506,#260507,#260508)); #47914=EDGE_LOOP('',(#260509,#260510,#260511,#260512)); #47915=EDGE_LOOP('',(#260513,#260514,#260515,#260516)); #47916=EDGE_LOOP('',(#260517,#260518,#260519,#260520)); #47917=EDGE_LOOP('',(#260521,#260522,#260523,#260524)); #47918=EDGE_LOOP('',(#260525,#260526,#260527,#260528)); #47919=EDGE_LOOP('',(#260529,#260530,#260531,#260532)); #47920=EDGE_LOOP('',(#260533,#260534,#260535,#260536)); #47921=EDGE_LOOP('',(#260537,#260538,#260539,#260540)); #47922=EDGE_LOOP('',(#260541,#260542,#260543,#260544)); #47923=EDGE_LOOP('',(#260545,#260546,#260547,#260548)); #47924=EDGE_LOOP('',(#260549,#260550,#260551,#260552)); #47925=EDGE_LOOP('',(#260553,#260554,#260555,#260556)); #47926=EDGE_LOOP('',(#260557,#260558,#260559,#260560)); #47927=EDGE_LOOP('',(#260561,#260562,#260563,#260564)); #47928=EDGE_LOOP('',(#260565,#260566,#260567,#260568)); #47929=EDGE_LOOP('',(#260569,#260570,#260571,#260572)); #47930=EDGE_LOOP('',(#260573,#260574,#260575,#260576)); #47931=EDGE_LOOP('',(#260577,#260578,#260579,#260580)); #47932=EDGE_LOOP('',(#260581,#260582,#260583,#260584)); #47933=EDGE_LOOP('',(#260585,#260586,#260587,#260588)); #47934=EDGE_LOOP('',(#260589,#260590,#260591,#260592)); #47935=EDGE_LOOP('',(#260593,#260594,#260595,#260596)); #47936=EDGE_LOOP('',(#260597,#260598,#260599,#260600)); #47937=EDGE_LOOP('',(#260601,#260602,#260603,#260604)); #47938=EDGE_LOOP('',(#260605,#260606,#260607,#260608)); #47939=EDGE_LOOP('',(#260609,#260610,#260611,#260612)); #47940=EDGE_LOOP('',(#260613,#260614,#260615,#260616)); #47941=EDGE_LOOP('',(#260617,#260618,#260619,#260620)); #47942=EDGE_LOOP('',(#260621,#260622,#260623,#260624)); #47943=EDGE_LOOP('',(#260625,#260626,#260627,#260628)); #47944=EDGE_LOOP('',(#260629,#260630,#260631,#260632)); #47945=EDGE_LOOP('',(#260633,#260634,#260635,#260636)); #47946=EDGE_LOOP('',(#260637,#260638,#260639,#260640)); #47947=EDGE_LOOP('',(#260641,#260642,#260643,#260644)); #47948=EDGE_LOOP('',(#260645,#260646,#260647,#260648)); #47949=EDGE_LOOP('',(#260649,#260650,#260651,#260652)); #47950=EDGE_LOOP('',(#260653,#260654,#260655,#260656)); #47951=EDGE_LOOP('',(#260657,#260658,#260659,#260660)); #47952=EDGE_LOOP('',(#260661,#260662,#260663,#260664)); #47953=EDGE_LOOP('',(#260665,#260666,#260667,#260668)); #47954=EDGE_LOOP('',(#260669,#260670,#260671,#260672)); #47955=EDGE_LOOP('',(#260673,#260674,#260675,#260676)); #47956=EDGE_LOOP('',(#260677,#260678,#260679,#260680)); #47957=EDGE_LOOP('',(#260681,#260682,#260683,#260684)); #47958=EDGE_LOOP('',(#260685,#260686,#260687,#260688)); #47959=EDGE_LOOP('',(#260689,#260690,#260691,#260692)); #47960=EDGE_LOOP('',(#260693,#260694,#260695,#260696)); #47961=EDGE_LOOP('',(#260697,#260698,#260699,#260700)); #47962=EDGE_LOOP('',(#260701,#260702,#260703,#260704)); #47963=EDGE_LOOP('',(#260705,#260706,#260707,#260708)); #47964=EDGE_LOOP('',(#260709,#260710,#260711,#260712)); #47965=EDGE_LOOP('',(#260713,#260714,#260715,#260716)); #47966=EDGE_LOOP('',(#260717,#260718,#260719,#260720)); #47967=EDGE_LOOP('',(#260721,#260722,#260723,#260724)); #47968=EDGE_LOOP('',(#260725,#260726,#260727,#260728)); #47969=EDGE_LOOP('',(#260729,#260730,#260731,#260732)); #47970=EDGE_LOOP('',(#260733,#260734,#260735,#260736)); #47971=EDGE_LOOP('',(#260737,#260738,#260739,#260740)); #47972=EDGE_LOOP('',(#260741,#260742,#260743,#260744)); #47973=EDGE_LOOP('',(#260745,#260746,#260747,#260748)); #47974=EDGE_LOOP('',(#260749,#260750,#260751,#260752)); #47975=EDGE_LOOP('',(#260753,#260754,#260755,#260756)); #47976=EDGE_LOOP('',(#260757,#260758,#260759,#260760)); #47977=EDGE_LOOP('',(#260761,#260762,#260763,#260764)); #47978=EDGE_LOOP('',(#260765,#260766,#260767,#260768)); #47979=EDGE_LOOP('',(#260769,#260770,#260771,#260772)); #47980=EDGE_LOOP('',(#260773,#260774,#260775,#260776)); #47981=EDGE_LOOP('',(#260777,#260778,#260779,#260780)); #47982=EDGE_LOOP('',(#260781,#260782,#260783,#260784)); #47983=EDGE_LOOP('',(#260785,#260786,#260787,#260788)); #47984=EDGE_LOOP('',(#260789,#260790,#260791,#260792)); #47985=EDGE_LOOP('',(#260793,#260794,#260795,#260796)); #47986=EDGE_LOOP('',(#260797,#260798,#260799,#260800)); #47987=EDGE_LOOP('',(#260801,#260802,#260803,#260804)); #47988=EDGE_LOOP('',(#260805,#260806,#260807,#260808)); #47989=EDGE_LOOP('',(#260809,#260810,#260811,#260812)); #47990=EDGE_LOOP('',(#260813,#260814,#260815,#260816)); #47991=EDGE_LOOP('',(#260817,#260818,#260819,#260820)); #47992=EDGE_LOOP('',(#260821,#260822,#260823,#260824)); #47993=EDGE_LOOP('',(#260825,#260826,#260827,#260828)); #47994=EDGE_LOOP('',(#260829,#260830,#260831,#260832)); #47995=EDGE_LOOP('',(#260833,#260834,#260835,#260836)); #47996=EDGE_LOOP('',(#260837,#260838,#260839,#260840)); #47997=EDGE_LOOP('',(#260841,#260842,#260843,#260844)); #47998=EDGE_LOOP('',(#260845,#260846,#260847,#260848)); #47999=EDGE_LOOP('',(#260849,#260850,#260851,#260852)); #48000=EDGE_LOOP('',(#260853,#260854,#260855,#260856)); #48001=EDGE_LOOP('',(#260857,#260858,#260859,#260860)); #48002=EDGE_LOOP('',(#260861,#260862,#260863,#260864)); #48003=EDGE_LOOP('',(#260865,#260866,#260867,#260868)); #48004=EDGE_LOOP('',(#260869,#260870,#260871,#260872)); #48005=EDGE_LOOP('',(#260873,#260874,#260875,#260876)); #48006=EDGE_LOOP('',(#260877,#260878,#260879,#260880)); #48007=EDGE_LOOP('',(#260881,#260882,#260883,#260884)); #48008=EDGE_LOOP('',(#260885,#260886,#260887,#260888)); #48009=EDGE_LOOP('',(#260889,#260890,#260891,#260892)); #48010=EDGE_LOOP('',(#260893,#260894,#260895,#260896)); #48011=EDGE_LOOP('',(#260897,#260898,#260899,#260900)); #48012=EDGE_LOOP('',(#260901,#260902,#260903,#260904)); #48013=EDGE_LOOP('',(#260905,#260906,#260907,#260908)); #48014=EDGE_LOOP('',(#260909,#260910,#260911,#260912)); #48015=EDGE_LOOP('',(#260913,#260914,#260915,#260916)); #48016=EDGE_LOOP('',(#260917,#260918,#260919,#260920)); #48017=EDGE_LOOP('',(#260921,#260922,#260923,#260924)); #48018=EDGE_LOOP('',(#260925,#260926,#260927,#260928)); #48019=EDGE_LOOP('',(#260929,#260930,#260931,#260932)); #48020=EDGE_LOOP('',(#260933,#260934,#260935,#260936)); #48021=EDGE_LOOP('',(#260937,#260938,#260939,#260940)); #48022=EDGE_LOOP('',(#260941,#260942,#260943,#260944)); #48023=EDGE_LOOP('',(#260945,#260946,#260947,#260948)); #48024=EDGE_LOOP('',(#260949,#260950,#260951,#260952)); #48025=EDGE_LOOP('',(#260953,#260954,#260955,#260956)); #48026=EDGE_LOOP('',(#260957,#260958,#260959,#260960)); #48027=EDGE_LOOP('',(#260961,#260962,#260963,#260964)); #48028=EDGE_LOOP('',(#260965,#260966,#260967,#260968)); #48029=EDGE_LOOP('',(#260969,#260970,#260971,#260972)); #48030=EDGE_LOOP('',(#260973,#260974,#260975,#260976)); #48031=EDGE_LOOP('',(#260977,#260978,#260979,#260980)); #48032=EDGE_LOOP('',(#260981,#260982,#260983,#260984)); #48033=EDGE_LOOP('',(#260985,#260986,#260987,#260988)); #48034=EDGE_LOOP('',(#260989,#260990,#260991,#260992)); #48035=EDGE_LOOP('',(#260993,#260994,#260995,#260996)); #48036=EDGE_LOOP('',(#260997,#260998,#260999,#261000)); #48037=EDGE_LOOP('',(#261001,#261002,#261003,#261004)); #48038=EDGE_LOOP('',(#261005,#261006,#261007,#261008)); #48039=EDGE_LOOP('',(#261009,#261010,#261011,#261012)); #48040=EDGE_LOOP('',(#261013,#261014,#261015,#261016)); #48041=EDGE_LOOP('',(#261017,#261018,#261019,#261020)); #48042=EDGE_LOOP('',(#261021,#261022,#261023,#261024)); #48043=EDGE_LOOP('',(#261025,#261026,#261027,#261028)); #48044=EDGE_LOOP('',(#261029,#261030,#261031,#261032)); #48045=EDGE_LOOP('',(#261033,#261034,#261035,#261036)); #48046=EDGE_LOOP('',(#261037,#261038,#261039,#261040)); #48047=EDGE_LOOP('',(#261041,#261042,#261043,#261044)); #48048=EDGE_LOOP('',(#261045,#261046,#261047,#261048)); #48049=EDGE_LOOP('',(#261049,#261050,#261051,#261052)); #48050=EDGE_LOOP('',(#261053,#261054,#261055,#261056)); #48051=EDGE_LOOP('',(#261057,#261058,#261059,#261060)); #48052=EDGE_LOOP('',(#261061,#261062,#261063,#261064)); #48053=EDGE_LOOP('',(#261065,#261066,#261067,#261068)); #48054=EDGE_LOOP('',(#261069,#261070,#261071,#261072)); #48055=EDGE_LOOP('',(#261073,#261074,#261075,#261076)); #48056=EDGE_LOOP('',(#261077,#261078,#261079,#261080)); #48057=EDGE_LOOP('',(#261081,#261082,#261083,#261084)); #48058=EDGE_LOOP('',(#261085,#261086,#261087,#261088)); #48059=EDGE_LOOP('',(#261089,#261090,#261091,#261092)); #48060=EDGE_LOOP('',(#261093,#261094,#261095,#261096)); #48061=EDGE_LOOP('',(#261097,#261098,#261099,#261100)); #48062=EDGE_LOOP('',(#261101,#261102,#261103,#261104)); #48063=EDGE_LOOP('',(#261105,#261106,#261107,#261108)); #48064=EDGE_LOOP('',(#261109,#261110,#261111,#261112)); #48065=EDGE_LOOP('',(#261113,#261114,#261115,#261116)); #48066=EDGE_LOOP('',(#261117,#261118,#261119,#261120)); #48067=EDGE_LOOP('',(#261121,#261122,#261123,#261124)); #48068=EDGE_LOOP('',(#261125,#261126,#261127,#261128)); #48069=EDGE_LOOP('',(#261129,#261130,#261131,#261132)); #48070=EDGE_LOOP('',(#261133,#261134,#261135,#261136)); #48071=EDGE_LOOP('',(#261137,#261138,#261139,#261140)); #48072=EDGE_LOOP('',(#261141,#261142,#261143,#261144)); #48073=EDGE_LOOP('',(#261145,#261146,#261147,#261148)); #48074=EDGE_LOOP('',(#261149,#261150,#261151,#261152)); #48075=EDGE_LOOP('',(#261153,#261154,#261155,#261156)); #48076=EDGE_LOOP('',(#261157,#261158,#261159,#261160)); #48077=EDGE_LOOP('',(#261161,#261162,#261163,#261164)); #48078=EDGE_LOOP('',(#261165,#261166,#261167,#261168)); #48079=EDGE_LOOP('',(#261169,#261170,#261171,#261172)); #48080=EDGE_LOOP('',(#261173,#261174,#261175,#261176)); #48081=EDGE_LOOP('',(#261177,#261178,#261179,#261180)); #48082=EDGE_LOOP('',(#261181,#261182,#261183,#261184)); #48083=EDGE_LOOP('',(#261185,#261186,#261187,#261188)); #48084=EDGE_LOOP('',(#261189,#261190,#261191,#261192)); #48085=EDGE_LOOP('',(#261193,#261194,#261195,#261196)); #48086=EDGE_LOOP('',(#261197,#261198,#261199,#261200)); #48087=EDGE_LOOP('',(#261201,#261202,#261203,#261204)); #48088=EDGE_LOOP('',(#261205,#261206,#261207,#261208)); #48089=EDGE_LOOP('',(#261209,#261210,#261211,#261212)); #48090=EDGE_LOOP('',(#261213,#261214,#261215,#261216)); #48091=EDGE_LOOP('',(#261217,#261218,#261219,#261220)); #48092=EDGE_LOOP('',(#261221,#261222,#261223,#261224,#261225,#261226,#261227, #261228,#261229,#261230,#261231,#261232,#261233,#261234,#261235,#261236, #261237,#261238,#261239,#261240,#261241,#261242,#261243,#261244,#261245, #261246,#261247,#261248,#261249,#261250,#261251,#261252,#261253,#261254, #261255,#261256,#261257,#261258,#261259,#261260,#261261,#261262,#261263, #261264,#261265,#261266,#261267,#261268,#261269,#261270,#261271,#261272, #261273,#261274,#261275,#261276,#261277,#261278,#261279,#261280,#261281, #261282,#261283,#261284,#261285,#261286,#261287,#261288,#261289,#261290, #261291,#261292,#261293,#261294,#261295,#261296,#261297,#261298,#261299, #261300,#261301,#261302,#261303,#261304,#261305,#261306,#261307,#261308, #261309,#261310,#261311,#261312,#261313,#261314,#261315,#261316,#261317, #261318,#261319,#261320,#261321,#261322,#261323,#261324,#261325,#261326, #261327,#261328,#261329,#261330,#261331,#261332,#261333,#261334,#261335, #261336,#261337,#261338,#261339,#261340,#261341,#261342,#261343,#261344, #261345,#261346,#261347,#261348,#261349,#261350,#261351,#261352,#261353, #261354,#261355,#261356,#261357,#261358,#261359,#261360,#261361,#261362, #261363,#261364,#261365,#261366,#261367,#261368,#261369,#261370,#261371, #261372,#261373,#261374,#261375,#261376,#261377,#261378,#261379,#261380, #261381,#261382,#261383,#261384,#261385,#261386,#261387,#261388,#261389, #261390,#261391,#261392,#261393,#261394,#261395,#261396,#261397,#261398, #261399,#261400,#261401,#261402,#261403,#261404,#261405,#261406,#261407, #261408,#261409,#261410,#261411,#261412,#261413,#261414,#261415,#261416, #261417,#261418,#261419,#261420,#261421,#261422,#261423,#261424,#261425, #261426,#261427,#261428,#261429,#261430,#261431,#261432,#261433,#261434, #261435,#261436,#261437,#261438,#261439,#261440,#261441,#261442,#261443, #261444,#261445,#261446,#261447,#261448,#261449,#261450,#261451,#261452, #261453,#261454,#261455,#261456,#261457,#261458,#261459,#261460,#261461, #261462,#261463,#261464,#261465,#261466,#261467,#261468,#261469,#261470, #261471,#261472,#261473,#261474,#261475,#261476,#261477,#261478,#261479, #261480,#261481,#261482,#261483,#261484,#261485,#261486,#261487,#261488, #261489,#261490,#261491,#261492,#261493,#261494,#261495,#261496,#261497, #261498,#261499,#261500,#261501,#261502,#261503,#261504,#261505,#261506, #261507,#261508,#261509,#261510,#261511,#261512,#261513,#261514,#261515, #261516)); #48093=EDGE_LOOP('',(#261517)); #48094=EDGE_LOOP('',(#261518)); #48095=EDGE_LOOP('',(#261519)); #48096=EDGE_LOOP('',(#261520)); #48097=EDGE_LOOP('',(#261521)); #48098=EDGE_LOOP('',(#261522)); #48099=EDGE_LOOP('',(#261523)); #48100=EDGE_LOOP('',(#261524)); #48101=EDGE_LOOP('',(#261525)); #48102=EDGE_LOOP('',(#261526)); #48103=EDGE_LOOP('',(#261527)); #48104=EDGE_LOOP('',(#261528)); #48105=EDGE_LOOP('',(#261529)); #48106=EDGE_LOOP('',(#261530)); #48107=EDGE_LOOP('',(#261531)); #48108=EDGE_LOOP('',(#261532)); #48109=EDGE_LOOP('',(#261533)); #48110=EDGE_LOOP('',(#261534)); #48111=EDGE_LOOP('',(#261535)); #48112=EDGE_LOOP('',(#261536)); #48113=EDGE_LOOP('',(#261537)); #48114=EDGE_LOOP('',(#261538)); #48115=EDGE_LOOP('',(#261539)); #48116=EDGE_LOOP('',(#261540)); #48117=EDGE_LOOP('',(#261541)); #48118=EDGE_LOOP('',(#261542)); #48119=EDGE_LOOP('',(#261543)); #48120=EDGE_LOOP('',(#261544)); #48121=EDGE_LOOP('',(#261545)); #48122=EDGE_LOOP('',(#261546)); #48123=EDGE_LOOP('',(#261547)); #48124=EDGE_LOOP('',(#261548)); #48125=EDGE_LOOP('',(#261549)); #48126=EDGE_LOOP('',(#261550)); #48127=EDGE_LOOP('',(#261551)); #48128=EDGE_LOOP('',(#261552)); #48129=EDGE_LOOP('',(#261553)); #48130=EDGE_LOOP('',(#261554)); #48131=EDGE_LOOP('',(#261555)); #48132=EDGE_LOOP('',(#261556)); #48133=EDGE_LOOP('',(#261557)); #48134=EDGE_LOOP('',(#261558)); #48135=EDGE_LOOP('',(#261559)); #48136=EDGE_LOOP('',(#261560)); #48137=EDGE_LOOP('',(#261561)); #48138=EDGE_LOOP('',(#261562)); #48139=EDGE_LOOP('',(#261563)); #48140=EDGE_LOOP('',(#261564)); #48141=EDGE_LOOP('',(#261565)); #48142=EDGE_LOOP('',(#261566,#261567,#261568,#261569,#261570,#261571,#261572, #261573,#261574,#261575,#261576,#261577,#261578,#261579,#261580,#261581, #261582,#261583,#261584,#261585,#261586,#261587,#261588,#261589,#261590, #261591,#261592,#261593,#261594,#261595,#261596,#261597,#261598,#261599, #261600,#261601,#261602,#261603,#261604,#261605,#261606,#261607,#261608, #261609,#261610,#261611,#261612,#261613,#261614,#261615,#261616,#261617, #261618,#261619,#261620,#261621,#261622,#261623,#261624,#261625,#261626, #261627,#261628,#261629,#261630,#261631,#261632,#261633,#261634,#261635, #261636,#261637,#261638,#261639,#261640,#261641,#261642,#261643,#261644, #261645,#261646,#261647,#261648,#261649,#261650,#261651,#261652,#261653, #261654,#261655,#261656,#261657,#261658,#261659,#261660,#261661,#261662, #261663,#261664,#261665,#261666,#261667,#261668,#261669,#261670,#261671, #261672,#261673,#261674,#261675,#261676,#261677,#261678,#261679,#261680, #261681,#261682,#261683,#261684,#261685,#261686,#261687,#261688,#261689, #261690,#261691,#261692,#261693,#261694,#261695,#261696,#261697,#261698, #261699,#261700,#261701,#261702,#261703,#261704,#261705,#261706,#261707, #261708,#261709,#261710,#261711,#261712,#261713,#261714,#261715,#261716, #261717,#261718,#261719,#261720,#261721,#261722,#261723,#261724,#261725, #261726,#261727,#261728,#261729,#261730,#261731,#261732,#261733,#261734, #261735,#261736,#261737,#261738,#261739,#261740,#261741,#261742,#261743, #261744,#261745,#261746,#261747,#261748,#261749,#261750,#261751,#261752, #261753,#261754,#261755,#261756,#261757,#261758,#261759,#261760,#261761, #261762,#261763,#261764,#261765,#261766,#261767,#261768,#261769,#261770, #261771,#261772,#261773,#261774,#261775,#261776,#261777,#261778,#261779, #261780,#261781,#261782,#261783,#261784,#261785,#261786,#261787,#261788, #261789,#261790,#261791,#261792,#261793,#261794,#261795,#261796,#261797, #261798,#261799,#261800,#261801,#261802,#261803,#261804,#261805,#261806, #261807,#261808,#261809,#261810,#261811,#261812,#261813,#261814,#261815, #261816,#261817,#261818,#261819,#261820,#261821,#261822,#261823,#261824, #261825,#261826,#261827,#261828,#261829,#261830,#261831,#261832,#261833, #261834,#261835,#261836,#261837,#261838,#261839,#261840,#261841,#261842, #261843,#261844,#261845,#261846,#261847,#261848,#261849,#261850,#261851, #261852,#261853,#261854,#261855,#261856,#261857,#261858,#261859,#261860, #261861)); #48143=EDGE_LOOP('',(#261862)); #48144=EDGE_LOOP('',(#261863)); #48145=EDGE_LOOP('',(#261864)); #48146=EDGE_LOOP('',(#261865)); #48147=EDGE_LOOP('',(#261866)); #48148=EDGE_LOOP('',(#261867)); #48149=EDGE_LOOP('',(#261868)); #48150=EDGE_LOOP('',(#261869)); #48151=EDGE_LOOP('',(#261870)); #48152=EDGE_LOOP('',(#261871)); #48153=EDGE_LOOP('',(#261872)); #48154=EDGE_LOOP('',(#261873)); #48155=EDGE_LOOP('',(#261874)); #48156=EDGE_LOOP('',(#261875)); #48157=EDGE_LOOP('',(#261876)); #48158=EDGE_LOOP('',(#261877)); #48159=EDGE_LOOP('',(#261878)); #48160=EDGE_LOOP('',(#261879)); #48161=EDGE_LOOP('',(#261880)); #48162=EDGE_LOOP('',(#261881)); #48163=EDGE_LOOP('',(#261882)); #48164=EDGE_LOOP('',(#261883)); #48165=EDGE_LOOP('',(#261884)); #48166=EDGE_LOOP('',(#261885)); #48167=EDGE_LOOP('',(#261886)); #48168=EDGE_LOOP('',(#261887)); #48169=EDGE_LOOP('',(#261888)); #48170=EDGE_LOOP('',(#261889)); #48171=EDGE_LOOP('',(#261890)); #48172=EDGE_LOOP('',(#261891)); #48173=EDGE_LOOP('',(#261892)); #48174=EDGE_LOOP('',(#261893)); #48175=EDGE_LOOP('',(#261894)); #48176=EDGE_LOOP('',(#261895)); #48177=EDGE_LOOP('',(#261896)); #48178=EDGE_LOOP('',(#261897)); #48179=EDGE_LOOP('',(#261898)); #48180=EDGE_LOOP('',(#261899)); #48181=EDGE_LOOP('',(#261900)); #48182=EDGE_LOOP('',(#261901)); #48183=EDGE_LOOP('',(#261902)); #48184=EDGE_LOOP('',(#261903)); #48185=EDGE_LOOP('',(#261904)); #48186=EDGE_LOOP('',(#261905)); #48187=EDGE_LOOP('',(#261906)); #48188=EDGE_LOOP('',(#261907)); #48189=EDGE_LOOP('',(#261908)); #48190=EDGE_LOOP('',(#261909)); #48191=EDGE_LOOP('',(#261910)); #48192=EDGE_LOOP('',(#261911,#261912,#261913,#261914)); #48193=EDGE_LOOP('',(#261915,#261916,#261917,#261918)); #48194=EDGE_LOOP('',(#261919,#261920,#261921,#261922)); #48195=EDGE_LOOP('',(#261923,#261924,#261925,#261926)); #48196=EDGE_LOOP('',(#261927,#261928,#261929,#261930)); #48197=EDGE_LOOP('',(#261931,#261932,#261933,#261934)); #48198=EDGE_LOOP('',(#261935,#261936,#261937,#261938)); #48199=EDGE_LOOP('',(#261939,#261940,#261941,#261942)); #48200=EDGE_LOOP('',(#261943,#261944,#261945,#261946)); #48201=EDGE_LOOP('',(#261947,#261948,#261949,#261950)); #48202=EDGE_LOOP('',(#261951,#261952,#261953,#261954)); #48203=EDGE_LOOP('',(#261955,#261956,#261957,#261958)); #48204=EDGE_LOOP('',(#261959,#261960,#261961,#261962)); #48205=EDGE_LOOP('',(#261963,#261964,#261965,#261966)); #48206=EDGE_LOOP('',(#261967,#261968,#261969,#261970)); #48207=EDGE_LOOP('',(#261971,#261972,#261973,#261974)); #48208=EDGE_LOOP('',(#261975,#261976,#261977,#261978)); #48209=EDGE_LOOP('',(#261979,#261980,#261981,#261982)); #48210=EDGE_LOOP('',(#261983,#261984,#261985,#261986)); #48211=EDGE_LOOP('',(#261987,#261988,#261989,#261990)); #48212=EDGE_LOOP('',(#261991,#261992,#261993,#261994)); #48213=EDGE_LOOP('',(#261995,#261996,#261997,#261998,#261999,#262000,#262001, #262002,#262003,#262004,#262005,#262006,#262007,#262008,#262009,#262010, #262011,#262012,#262013,#262014,#262015)); #48214=EDGE_LOOP('',(#262016,#262017,#262018,#262019,#262020,#262021,#262022, #262023,#262024,#262025,#262026,#262027,#262028,#262029,#262030,#262031, #262032,#262033,#262034,#262035,#262036)); #48215=EDGE_LOOP('',(#262037,#262038,#262039,#262040)); #48216=EDGE_LOOP('',(#262041,#262042,#262043,#262044)); #48217=EDGE_LOOP('',(#262045,#262046,#262047,#262048)); #48218=EDGE_LOOP('',(#262049,#262050,#262051,#262052)); #48219=EDGE_LOOP('',(#262053,#262054,#262055,#262056)); #48220=EDGE_LOOP('',(#262057,#262058,#262059,#262060)); #48221=EDGE_LOOP('',(#262061,#262062,#262063,#262064)); #48222=EDGE_LOOP('',(#262065,#262066,#262067,#262068)); #48223=EDGE_LOOP('',(#262069,#262070,#262071,#262072)); #48224=EDGE_LOOP('',(#262073,#262074,#262075,#262076)); #48225=EDGE_LOOP('',(#262077,#262078,#262079,#262080)); #48226=EDGE_LOOP('',(#262081,#262082,#262083,#262084)); #48227=EDGE_LOOP('',(#262085,#262086,#262087,#262088)); #48228=EDGE_LOOP('',(#262089,#262090,#262091,#262092)); #48229=EDGE_LOOP('',(#262093,#262094,#262095,#262096)); #48230=EDGE_LOOP('',(#262097,#262098,#262099,#262100)); #48231=EDGE_LOOP('',(#262101,#262102,#262103,#262104)); #48232=EDGE_LOOP('',(#262105,#262106,#262107,#262108)); #48233=EDGE_LOOP('',(#262109,#262110,#262111,#262112)); #48234=EDGE_LOOP('',(#262113,#262114,#262115,#262116)); #48235=EDGE_LOOP('',(#262117,#262118,#262119,#262120)); #48236=EDGE_LOOP('',(#262121,#262122,#262123,#262124,#262125,#262126,#262127, #262128,#262129,#262130,#262131,#262132,#262133,#262134,#262135,#262136, #262137,#262138,#262139,#262140,#262141)); #48237=EDGE_LOOP('',(#262142,#262143,#262144,#262145,#262146,#262147,#262148, #262149,#262150,#262151,#262152,#262153,#262154,#262155,#262156,#262157, #262158,#262159,#262160,#262161,#262162)); #48238=EDGE_LOOP('',(#262163,#262164,#262165,#262166)); #48239=EDGE_LOOP('',(#262167,#262168,#262169,#262170)); #48240=EDGE_LOOP('',(#262171,#262172,#262173,#262174)); #48241=EDGE_LOOP('',(#262175,#262176,#262177,#262178)); #48242=EDGE_LOOP('',(#262179,#262180,#262181,#262182)); #48243=EDGE_LOOP('',(#262183,#262184,#262185,#262186)); #48244=EDGE_LOOP('',(#262187,#262188,#262189,#262190)); #48245=EDGE_LOOP('',(#262191,#262192,#262193,#262194)); #48246=EDGE_LOOP('',(#262195,#262196,#262197,#262198)); #48247=EDGE_LOOP('',(#262199,#262200,#262201,#262202)); #48248=EDGE_LOOP('',(#262203,#262204,#262205,#262206)); #48249=EDGE_LOOP('',(#262207,#262208,#262209,#262210)); #48250=EDGE_LOOP('',(#262211,#262212,#262213,#262214)); #48251=EDGE_LOOP('',(#262215,#262216,#262217,#262218)); #48252=EDGE_LOOP('',(#262219,#262220,#262221,#262222)); #48253=EDGE_LOOP('',(#262223,#262224,#262225,#262226)); #48254=EDGE_LOOP('',(#262227,#262228,#262229,#262230)); #48255=EDGE_LOOP('',(#262231,#262232,#262233,#262234)); #48256=EDGE_LOOP('',(#262235,#262236,#262237,#262238)); #48257=EDGE_LOOP('',(#262239,#262240,#262241,#262242,#262243,#262244,#262245, #262246,#262247,#262248,#262249,#262250,#262251,#262252,#262253,#262254, #262255,#262256,#262257)); #48258=EDGE_LOOP('',(#262258,#262259,#262260,#262261,#262262,#262263,#262264, #262265,#262266,#262267,#262268,#262269,#262270,#262271,#262272,#262273, #262274,#262275,#262276)); #48259=EDGE_LOOP('',(#262277,#262278,#262279,#262280)); #48260=EDGE_LOOP('',(#262281,#262282,#262283,#262284)); #48261=EDGE_LOOP('',(#262285,#262286,#262287,#262288)); #48262=EDGE_LOOP('',(#262289,#262290,#262291,#262292)); #48263=EDGE_LOOP('',(#262293,#262294,#262295,#262296)); #48264=EDGE_LOOP('',(#262297,#262298,#262299,#262300)); #48265=EDGE_LOOP('',(#262301,#262302,#262303,#262304)); #48266=EDGE_LOOP('',(#262305,#262306,#262307,#262308)); #48267=EDGE_LOOP('',(#262309,#262310,#262311,#262312)); #48268=EDGE_LOOP('',(#262313,#262314,#262315,#262316)); #48269=EDGE_LOOP('',(#262317,#262318,#262319,#262320)); #48270=EDGE_LOOP('',(#262321,#262322,#262323,#262324)); #48271=EDGE_LOOP('',(#262325,#262326,#262327,#262328)); #48272=EDGE_LOOP('',(#262329,#262330,#262331,#262332)); #48273=EDGE_LOOP('',(#262333,#262334,#262335,#262336)); #48274=EDGE_LOOP('',(#262337,#262338,#262339,#262340)); #48275=EDGE_LOOP('',(#262341,#262342,#262343,#262344)); #48276=EDGE_LOOP('',(#262345,#262346,#262347,#262348)); #48277=EDGE_LOOP('',(#262349,#262350,#262351,#262352)); #48278=EDGE_LOOP('',(#262353,#262354,#262355,#262356,#262357,#262358,#262359, #262360,#262361,#262362,#262363,#262364,#262365,#262366,#262367,#262368, #262369,#262370,#262371)); #48279=EDGE_LOOP('',(#262372,#262373,#262374,#262375,#262376,#262377,#262378, #262379,#262380,#262381,#262382,#262383,#262384,#262385,#262386,#262387, #262388,#262389,#262390)); #48280=EDGE_LOOP('',(#262391,#262392,#262393,#262394)); #48281=EDGE_LOOP('',(#262395,#262396,#262397,#262398)); #48282=EDGE_LOOP('',(#262399,#262400,#262401,#262402)); #48283=EDGE_LOOP('',(#262403,#262404,#262405,#262406)); #48284=EDGE_LOOP('',(#262407,#262408,#262409,#262410)); #48285=EDGE_LOOP('',(#262411,#262412,#262413,#262414)); #48286=EDGE_LOOP('',(#262415,#262416,#262417,#262418)); #48287=EDGE_LOOP('',(#262419,#262420,#262421,#262422)); #48288=EDGE_LOOP('',(#262423,#262424,#262425,#262426)); #48289=EDGE_LOOP('',(#262427,#262428,#262429,#262430)); #48290=EDGE_LOOP('',(#262431,#262432,#262433,#262434)); #48291=EDGE_LOOP('',(#262435,#262436,#262437,#262438)); #48292=EDGE_LOOP('',(#262439,#262440,#262441,#262442)); #48293=EDGE_LOOP('',(#262443,#262444,#262445,#262446)); #48294=EDGE_LOOP('',(#262447,#262448,#262449,#262450)); #48295=EDGE_LOOP('',(#262451,#262452,#262453,#262454)); #48296=EDGE_LOOP('',(#262455,#262456,#262457,#262458)); #48297=EDGE_LOOP('',(#262459,#262460,#262461,#262462)); #48298=EDGE_LOOP('',(#262463,#262464,#262465,#262466)); #48299=EDGE_LOOP('',(#262467,#262468,#262469,#262470,#262471,#262472,#262473, #262474,#262475,#262476,#262477,#262478,#262479,#262480,#262481,#262482, #262483,#262484,#262485)); #48300=EDGE_LOOP('',(#262486,#262487,#262488,#262489,#262490,#262491,#262492, #262493,#262494,#262495,#262496,#262497,#262498,#262499,#262500,#262501, #262502,#262503,#262504)); #48301=EDGE_LOOP('',(#262505,#262506,#262507,#262508)); #48302=EDGE_LOOP('',(#262509,#262510,#262511,#262512)); #48303=EDGE_LOOP('',(#262513,#262514,#262515,#262516)); #48304=EDGE_LOOP('',(#262517,#262518,#262519,#262520)); #48305=EDGE_LOOP('',(#262521,#262522,#262523,#262524)); #48306=EDGE_LOOP('',(#262525,#262526,#262527,#262528)); #48307=EDGE_LOOP('',(#262529,#262530,#262531,#262532)); #48308=EDGE_LOOP('',(#262533,#262534,#262535,#262536)); #48309=EDGE_LOOP('',(#262537,#262538,#262539,#262540)); #48310=EDGE_LOOP('',(#262541,#262542,#262543,#262544)); #48311=EDGE_LOOP('',(#262545,#262546,#262547,#262548)); #48312=EDGE_LOOP('',(#262549,#262550,#262551,#262552)); #48313=EDGE_LOOP('',(#262553,#262554,#262555,#262556)); #48314=EDGE_LOOP('',(#262557,#262558,#262559,#262560)); #48315=EDGE_LOOP('',(#262561,#262562,#262563,#262564)); #48316=EDGE_LOOP('',(#262565,#262566,#262567,#262568)); #48317=EDGE_LOOP('',(#262569,#262570,#262571,#262572)); #48318=EDGE_LOOP('',(#262573,#262574,#262575,#262576)); #48319=EDGE_LOOP('',(#262577,#262578,#262579,#262580)); #48320=EDGE_LOOP('',(#262581,#262582,#262583,#262584)); #48321=EDGE_LOOP('',(#262585,#262586,#262587,#262588)); #48322=EDGE_LOOP('',(#262589,#262590,#262591,#262592)); #48323=EDGE_LOOP('',(#262593,#262594,#262595,#262596)); #48324=EDGE_LOOP('',(#262597,#262598,#262599,#262600)); #48325=EDGE_LOOP('',(#262601,#262602,#262603,#262604)); #48326=EDGE_LOOP('',(#262605,#262606,#262607,#262608)); #48327=EDGE_LOOP('',(#262609,#262610,#262611,#262612)); #48328=EDGE_LOOP('',(#262613,#262614,#262615,#262616)); #48329=EDGE_LOOP('',(#262617,#262618,#262619,#262620)); #48330=EDGE_LOOP('',(#262621,#262622,#262623,#262624)); #48331=EDGE_LOOP('',(#262625,#262626,#262627,#262628)); #48332=EDGE_LOOP('',(#262629,#262630,#262631,#262632)); #48333=EDGE_LOOP('',(#262633,#262634,#262635,#262636)); #48334=EDGE_LOOP('',(#262637,#262638,#262639,#262640)); #48335=EDGE_LOOP('',(#262641,#262642,#262643,#262644)); #48336=EDGE_LOOP('',(#262645,#262646,#262647,#262648)); #48337=EDGE_LOOP('',(#262649,#262650,#262651,#262652)); #48338=EDGE_LOOP('',(#262653,#262654,#262655,#262656,#262657,#262658,#262659, #262660,#262661,#262662,#262663,#262664,#262665,#262666,#262667,#262668, #262669,#262670,#262671,#262672,#262673,#262674,#262675,#262676,#262677, #262678,#262679,#262680,#262681,#262682,#262683,#262684,#262685,#262686, #262687,#262688,#262689)); #48339=EDGE_LOOP('',(#262690,#262691,#262692,#262693,#262694,#262695,#262696, #262697,#262698,#262699,#262700,#262701,#262702,#262703,#262704,#262705, #262706,#262707,#262708,#262709,#262710,#262711,#262712,#262713,#262714, #262715,#262716,#262717,#262718,#262719,#262720,#262721,#262722,#262723, #262724,#262725,#262726)); #48340=EDGE_LOOP('',(#262727,#262728,#262729,#262730)); #48341=EDGE_LOOP('',(#262731,#262732,#262733,#262734)); #48342=EDGE_LOOP('',(#262735,#262736,#262737,#262738)); #48343=EDGE_LOOP('',(#262739,#262740,#262741,#262742)); #48344=EDGE_LOOP('',(#262743,#262744,#262745,#262746)); #48345=EDGE_LOOP('',(#262747,#262748,#262749,#262750)); #48346=EDGE_LOOP('',(#262751,#262752,#262753,#262754)); #48347=EDGE_LOOP('',(#262755,#262756,#262757,#262758)); #48348=EDGE_LOOP('',(#262759,#262760,#262761,#262762)); #48349=EDGE_LOOP('',(#262763,#262764,#262765,#262766)); #48350=EDGE_LOOP('',(#262767,#262768,#262769,#262770)); #48351=EDGE_LOOP('',(#262771,#262772,#262773,#262774)); #48352=EDGE_LOOP('',(#262775,#262776,#262777,#262778)); #48353=EDGE_LOOP('',(#262779,#262780,#262781,#262782)); #48354=EDGE_LOOP('',(#262783,#262784,#262785,#262786)); #48355=EDGE_LOOP('',(#262787,#262788,#262789,#262790)); #48356=EDGE_LOOP('',(#262791,#262792,#262793,#262794)); #48357=EDGE_LOOP('',(#262795,#262796,#262797,#262798)); #48358=EDGE_LOOP('',(#262799,#262800,#262801,#262802)); #48359=EDGE_LOOP('',(#262803,#262804,#262805,#262806)); #48360=EDGE_LOOP('',(#262807,#262808,#262809,#262810,#262811,#262812,#262813, #262814,#262815,#262816,#262817,#262818,#262819,#262820,#262821,#262822, #262823,#262824,#262825,#262826)); #48361=EDGE_LOOP('',(#262827,#262828,#262829,#262830,#262831,#262832,#262833, #262834,#262835,#262836,#262837,#262838,#262839,#262840,#262841,#262842, #262843,#262844,#262845,#262846)); #48362=EDGE_LOOP('',(#262847,#262848,#262849,#262850)); #48363=EDGE_LOOP('',(#262851,#262852,#262853,#262854)); #48364=EDGE_LOOP('',(#262855,#262856,#262857,#262858)); #48365=EDGE_LOOP('',(#262859,#262860,#262861,#262862)); #48366=EDGE_LOOP('',(#262863,#262864,#262865,#262866)); #48367=EDGE_LOOP('',(#262867,#262868,#262869,#262870)); #48368=EDGE_LOOP('',(#262871,#262872,#262873,#262874)); #48369=EDGE_LOOP('',(#262875,#262876,#262877,#262878)); #48370=EDGE_LOOP('',(#262879,#262880,#262881,#262882)); #48371=EDGE_LOOP('',(#262883,#262884,#262885,#262886)); #48372=EDGE_LOOP('',(#262887,#262888,#262889,#262890)); #48373=EDGE_LOOP('',(#262891,#262892,#262893,#262894)); #48374=EDGE_LOOP('',(#262895,#262896,#262897,#262898)); #48375=EDGE_LOOP('',(#262899,#262900,#262901,#262902)); #48376=EDGE_LOOP('',(#262903,#262904,#262905,#262906)); #48377=EDGE_LOOP('',(#262907,#262908,#262909,#262910)); #48378=EDGE_LOOP('',(#262911,#262912,#262913,#262914)); #48379=EDGE_LOOP('',(#262915,#262916,#262917,#262918)); #48380=EDGE_LOOP('',(#262919,#262920,#262921,#262922)); #48381=EDGE_LOOP('',(#262923,#262924,#262925,#262926)); #48382=EDGE_LOOP('',(#262927,#262928,#262929,#262930)); #48383=EDGE_LOOP('',(#262931,#262932,#262933,#262934)); #48384=EDGE_LOOP('',(#262935,#262936,#262937,#262938)); #48385=EDGE_LOOP('',(#262939,#262940,#262941,#262942)); #48386=EDGE_LOOP('',(#262943,#262944,#262945,#262946)); #48387=EDGE_LOOP('',(#262947,#262948,#262949,#262950)); #48388=EDGE_LOOP('',(#262951,#262952,#262953,#262954)); #48389=EDGE_LOOP('',(#262955,#262956,#262957,#262958)); #48390=EDGE_LOOP('',(#262959,#262960,#262961,#262962)); #48391=EDGE_LOOP('',(#262963,#262964,#262965,#262966)); #48392=EDGE_LOOP('',(#262967,#262968,#262969,#262970)); #48393=EDGE_LOOP('',(#262971,#262972,#262973,#262974)); #48394=EDGE_LOOP('',(#262975,#262976,#262977,#262978)); #48395=EDGE_LOOP('',(#262979,#262980,#262981,#262982)); #48396=EDGE_LOOP('',(#262983,#262984,#262985,#262986)); #48397=EDGE_LOOP('',(#262987,#262988,#262989,#262990)); #48398=EDGE_LOOP('',(#262991,#262992,#262993,#262994)); #48399=EDGE_LOOP('',(#262995,#262996,#262997,#262998)); #48400=EDGE_LOOP('',(#262999,#263000,#263001,#263002)); #48401=EDGE_LOOP('',(#263003,#263004,#263005,#263006)); #48402=EDGE_LOOP('',(#263007,#263008,#263009,#263010)); #48403=EDGE_LOOP('',(#263011,#263012,#263013,#263014)); #48404=EDGE_LOOP('',(#263015,#263016,#263017,#263018)); #48405=EDGE_LOOP('',(#263019,#263020,#263021,#263022)); #48406=EDGE_LOOP('',(#263023,#263024,#263025,#263026)); #48407=EDGE_LOOP('',(#263027,#263028,#263029,#263030)); #48408=EDGE_LOOP('',(#263031,#263032,#263033,#263034)); #48409=EDGE_LOOP('',(#263035,#263036,#263037,#263038)); #48410=EDGE_LOOP('',(#263039,#263040,#263041,#263042,#263043,#263044,#263045, #263046,#263047,#263048,#263049,#263050,#263051,#263052,#263053,#263054, #263055,#263056,#263057,#263058,#263059,#263060,#263061,#263062,#263063, #263064,#263065,#263066,#263067,#263068,#263069,#263070,#263071,#263072, #263073,#263074,#263075,#263076,#263077,#263078,#263079,#263080,#263081, #263082,#263083,#263084,#263085,#263086)); #48411=EDGE_LOOP('',(#263087,#263088,#263089,#263090,#263091,#263092,#263093, #263094,#263095,#263096,#263097,#263098,#263099,#263100,#263101,#263102, #263103,#263104,#263105,#263106,#263107,#263108,#263109,#263110,#263111, #263112,#263113,#263114,#263115,#263116,#263117,#263118,#263119,#263120, #263121,#263122,#263123,#263124,#263125,#263126,#263127,#263128,#263129, #263130,#263131,#263132,#263133,#263134)); #48412=EDGE_LOOP('',(#263135,#263136,#263137,#263138)); #48413=EDGE_LOOP('',(#263139,#263140,#263141,#263142)); #48414=EDGE_LOOP('',(#263143,#263144,#263145,#263146)); #48415=EDGE_LOOP('',(#263147,#263148,#263149,#263150)); #48416=EDGE_LOOP('',(#263151,#263152,#263153,#263154)); #48417=EDGE_LOOP('',(#263155,#263156,#263157,#263158)); #48418=EDGE_LOOP('',(#263159,#263160,#263161,#263162)); #48419=EDGE_LOOP('',(#263163,#263164,#263165,#263166)); #48420=EDGE_LOOP('',(#263167,#263168,#263169,#263170)); #48421=EDGE_LOOP('',(#263171,#263172,#263173,#263174)); #48422=EDGE_LOOP('',(#263175,#263176,#263177,#263178)); #48423=EDGE_LOOP('',(#263179,#263180,#263181,#263182)); #48424=EDGE_LOOP('',(#263183,#263184,#263185,#263186)); #48425=EDGE_LOOP('',(#263187,#263188,#263189,#263190)); #48426=EDGE_LOOP('',(#263191,#263192,#263193,#263194)); #48427=EDGE_LOOP('',(#263195,#263196,#263197,#263198)); #48428=EDGE_LOOP('',(#263199,#263200,#263201,#263202)); #48429=EDGE_LOOP('',(#263203,#263204,#263205,#263206)); #48430=EDGE_LOOP('',(#263207,#263208,#263209,#263210)); #48431=EDGE_LOOP('',(#263211,#263212,#263213,#263214)); #48432=EDGE_LOOP('',(#263215,#263216,#263217,#263218,#263219,#263220,#263221, #263222,#263223,#263224,#263225,#263226,#263227,#263228,#263229,#263230, #263231,#263232,#263233,#263234)); #48433=EDGE_LOOP('',(#263235,#263236,#263237,#263238,#263239,#263240,#263241, #263242,#263243,#263244,#263245,#263246,#263247,#263248,#263249,#263250, #263251,#263252,#263253,#263254)); #48434=EDGE_LOOP('',(#263255,#263256,#263257,#263258)); #48435=EDGE_LOOP('',(#263259,#263260,#263261,#263262)); #48436=EDGE_LOOP('',(#263263,#263264,#263265,#263266)); #48437=EDGE_LOOP('',(#263267,#263268,#263269,#263270)); #48438=EDGE_LOOP('',(#263271,#263272,#263273,#263274)); #48439=EDGE_LOOP('',(#263275,#263276,#263277,#263278)); #48440=EDGE_LOOP('',(#263279,#263280,#263281,#263282)); #48441=EDGE_LOOP('',(#263283,#263284,#263285,#263286)); #48442=EDGE_LOOP('',(#263287,#263288,#263289,#263290)); #48443=EDGE_LOOP('',(#263291,#263292,#263293,#263294)); #48444=EDGE_LOOP('',(#263295,#263296,#263297,#263298)); #48445=EDGE_LOOP('',(#263299,#263300,#263301,#263302)); #48446=EDGE_LOOP('',(#263303,#263304,#263305,#263306)); #48447=EDGE_LOOP('',(#263307,#263308,#263309,#263310)); #48448=EDGE_LOOP('',(#263311,#263312,#263313,#263314)); #48449=EDGE_LOOP('',(#263315,#263316,#263317,#263318)); #48450=EDGE_LOOP('',(#263319,#263320,#263321,#263322)); #48451=EDGE_LOOP('',(#263323,#263324,#263325,#263326)); #48452=EDGE_LOOP('',(#263327,#263328,#263329,#263330)); #48453=EDGE_LOOP('',(#263331,#263332,#263333,#263334)); #48454=EDGE_LOOP('',(#263335,#263336,#263337,#263338)); #48455=EDGE_LOOP('',(#263339,#263340,#263341,#263342)); #48456=EDGE_LOOP('',(#263343,#263344,#263345,#263346)); #48457=EDGE_LOOP('',(#263347,#263348,#263349,#263350)); #48458=EDGE_LOOP('',(#263351,#263352,#263353,#263354)); #48459=EDGE_LOOP('',(#263355,#263356,#263357,#263358)); #48460=EDGE_LOOP('',(#263359,#263360,#263361,#263362)); #48461=EDGE_LOOP('',(#263363,#263364,#263365,#263366)); #48462=EDGE_LOOP('',(#263367,#263368,#263369,#263370)); #48463=EDGE_LOOP('',(#263371,#263372,#263373,#263374)); #48464=EDGE_LOOP('',(#263375,#263376,#263377,#263378)); #48465=EDGE_LOOP('',(#263379,#263380,#263381,#263382,#263383,#263384,#263385, #263386,#263387,#263388,#263389,#263390,#263391,#263392,#263393,#263394, #263395,#263396,#263397,#263398,#263399,#263400,#263401,#263402,#263403, #263404,#263405,#263406,#263407,#263408,#263409)); #48466=EDGE_LOOP('',(#263410,#263411,#263412,#263413,#263414,#263415,#263416, #263417,#263418,#263419,#263420,#263421,#263422,#263423,#263424,#263425, #263426,#263427,#263428,#263429,#263430,#263431,#263432,#263433,#263434, #263435,#263436,#263437,#263438,#263439,#263440)); #48467=EDGE_LOOP('',(#263441,#263442,#263443,#263444)); #48468=EDGE_LOOP('',(#263445,#263446,#263447,#263448)); #48469=EDGE_LOOP('',(#263449,#263450,#263451,#263452)); #48470=EDGE_LOOP('',(#263453,#263454,#263455,#263456)); #48471=EDGE_LOOP('',(#263457,#263458,#263459,#263460)); #48472=EDGE_LOOP('',(#263461,#263462,#263463,#263464)); #48473=EDGE_LOOP('',(#263465,#263466,#263467,#263468)); #48474=EDGE_LOOP('',(#263469,#263470,#263471,#263472)); #48475=EDGE_LOOP('',(#263473,#263474,#263475,#263476)); #48476=EDGE_LOOP('',(#263477,#263478,#263479,#263480)); #48477=EDGE_LOOP('',(#263481,#263482,#263483,#263484)); #48478=EDGE_LOOP('',(#263485,#263486,#263487,#263488)); #48479=EDGE_LOOP('',(#263489,#263490,#263491,#263492)); #48480=EDGE_LOOP('',(#263493,#263494,#263495,#263496)); #48481=EDGE_LOOP('',(#263497,#263498,#263499,#263500)); #48482=EDGE_LOOP('',(#263501,#263502,#263503,#263504)); #48483=EDGE_LOOP('',(#263505,#263506,#263507,#263508)); #48484=EDGE_LOOP('',(#263509,#263510,#263511,#263512)); #48485=EDGE_LOOP('',(#263513,#263514,#263515,#263516)); #48486=EDGE_LOOP('',(#263517,#263518,#263519,#263520)); #48487=EDGE_LOOP('',(#263521,#263522,#263523,#263524,#263525,#263526,#263527, #263528,#263529,#263530,#263531,#263532,#263533,#263534,#263535,#263536, #263537,#263538,#263539,#263540)); #48488=EDGE_LOOP('',(#263541,#263542,#263543,#263544,#263545,#263546,#263547, #263548,#263549,#263550,#263551,#263552,#263553,#263554,#263555,#263556, #263557,#263558,#263559,#263560)); #48489=EDGE_LOOP('',(#263561,#263562,#263563,#263564)); #48490=EDGE_LOOP('',(#263565,#263566,#263567,#263568)); #48491=EDGE_LOOP('',(#263569,#263570,#263571,#263572)); #48492=EDGE_LOOP('',(#263573,#263574,#263575,#263576)); #48493=EDGE_LOOP('',(#263577,#263578,#263579,#263580)); #48494=EDGE_LOOP('',(#263581,#263582,#263583,#263584)); #48495=EDGE_LOOP('',(#263585,#263586,#263587,#263588)); #48496=EDGE_LOOP('',(#263589,#263590,#263591,#263592)); #48497=EDGE_LOOP('',(#263593,#263594,#263595,#263596)); #48498=EDGE_LOOP('',(#263597,#263598,#263599,#263600)); #48499=EDGE_LOOP('',(#263601,#263602,#263603,#263604)); #48500=EDGE_LOOP('',(#263605,#263606,#263607,#263608)); #48501=EDGE_LOOP('',(#263609,#263610,#263611,#263612)); #48502=EDGE_LOOP('',(#263613,#263614,#263615,#263616)); #48503=EDGE_LOOP('',(#263617,#263618,#263619,#263620)); #48504=EDGE_LOOP('',(#263621,#263622,#263623,#263624)); #48505=EDGE_LOOP('',(#263625,#263626,#263627,#263628)); #48506=EDGE_LOOP('',(#263629,#263630,#263631,#263632)); #48507=EDGE_LOOP('',(#263633,#263634,#263635,#263636)); #48508=EDGE_LOOP('',(#263637,#263638,#263639,#263640)); #48509=EDGE_LOOP('',(#263641,#263642,#263643,#263644)); #48510=EDGE_LOOP('',(#263645,#263646,#263647,#263648)); #48511=EDGE_LOOP('',(#263649,#263650,#263651,#263652)); #48512=EDGE_LOOP('',(#263653,#263654,#263655,#263656)); #48513=EDGE_LOOP('',(#263657,#263658,#263659,#263660)); #48514=EDGE_LOOP('',(#263661,#263662,#263663,#263664)); #48515=EDGE_LOOP('',(#263665,#263666,#263667,#263668)); #48516=EDGE_LOOP('',(#263669,#263670,#263671,#263672)); #48517=EDGE_LOOP('',(#263673,#263674,#263675,#263676)); #48518=EDGE_LOOP('',(#263677,#263678,#263679,#263680)); #48519=EDGE_LOOP('',(#263681,#263682,#263683,#263684)); #48520=EDGE_LOOP('',(#263685,#263686,#263687,#263688,#263689,#263690,#263691, #263692,#263693,#263694,#263695,#263696,#263697,#263698,#263699,#263700, #263701,#263702,#263703,#263704,#263705,#263706,#263707,#263708,#263709, #263710,#263711,#263712,#263713,#263714,#263715)); #48521=EDGE_LOOP('',(#263716,#263717,#263718,#263719,#263720,#263721,#263722, #263723,#263724,#263725,#263726,#263727,#263728,#263729,#263730,#263731, #263732,#263733,#263734,#263735,#263736,#263737,#263738,#263739,#263740, #263741,#263742,#263743,#263744,#263745,#263746)); #48522=EDGE_LOOP('',(#263747,#263748,#263749,#263750)); #48523=EDGE_LOOP('',(#263751,#263752,#263753,#263754)); #48524=EDGE_LOOP('',(#263755,#263756,#263757,#263758)); #48525=EDGE_LOOP('',(#263759,#263760,#263761,#263762)); #48526=EDGE_LOOP('',(#263763,#263764,#263765,#263766)); #48527=EDGE_LOOP('',(#263767,#263768,#263769,#263770)); #48528=EDGE_LOOP('',(#263771,#263772,#263773,#263774)); #48529=EDGE_LOOP('',(#263775,#263776,#263777,#263778)); #48530=EDGE_LOOP('',(#263779,#263780,#263781,#263782)); #48531=EDGE_LOOP('',(#263783,#263784,#263785,#263786)); #48532=EDGE_LOOP('',(#263787,#263788,#263789,#263790)); #48533=EDGE_LOOP('',(#263791,#263792,#263793,#263794)); #48534=EDGE_LOOP('',(#263795,#263796,#263797,#263798)); #48535=EDGE_LOOP('',(#263799,#263800,#263801,#263802)); #48536=EDGE_LOOP('',(#263803,#263804,#263805,#263806)); #48537=EDGE_LOOP('',(#263807,#263808,#263809,#263810)); #48538=EDGE_LOOP('',(#263811,#263812,#263813,#263814)); #48539=EDGE_LOOP('',(#263815,#263816,#263817,#263818)); #48540=EDGE_LOOP('',(#263819,#263820,#263821,#263822)); #48541=EDGE_LOOP('',(#263823,#263824,#263825,#263826)); #48542=EDGE_LOOP('',(#263827,#263828,#263829,#263830)); #48543=EDGE_LOOP('',(#263831,#263832,#263833,#263834,#263835,#263836,#263837, #263838,#263839,#263840,#263841,#263842,#263843,#263844,#263845,#263846, #263847,#263848,#263849,#263850,#263851)); #48544=EDGE_LOOP('',(#263852,#263853,#263854,#263855,#263856,#263857,#263858, #263859,#263860,#263861,#263862,#263863,#263864,#263865,#263866,#263867, #263868,#263869,#263870,#263871,#263872)); #48545=EDGE_LOOP('',(#263873,#263874,#263875,#263876)); #48546=EDGE_LOOP('',(#263877,#263878,#263879,#263880)); #48547=EDGE_LOOP('',(#263881,#263882,#263883,#263884)); #48548=EDGE_LOOP('',(#263885,#263886,#263887,#263888)); #48549=EDGE_LOOP('',(#263889,#263890,#263891,#263892)); #48550=EDGE_LOOP('',(#263893,#263894,#263895,#263896)); #48551=EDGE_LOOP('',(#263897,#263898,#263899,#263900)); #48552=EDGE_LOOP('',(#263901,#263902,#263903,#263904)); #48553=EDGE_LOOP('',(#263905,#263906,#263907,#263908)); #48554=EDGE_LOOP('',(#263909,#263910,#263911,#263912)); #48555=EDGE_LOOP('',(#263913,#263914,#263915,#263916)); #48556=EDGE_LOOP('',(#263917,#263918,#263919,#263920)); #48557=EDGE_LOOP('',(#263921,#263922,#263923,#263924)); #48558=EDGE_LOOP('',(#263925,#263926,#263927,#263928)); #48559=EDGE_LOOP('',(#263929,#263930,#263931,#263932)); #48560=EDGE_LOOP('',(#263933,#263934,#263935,#263936)); #48561=EDGE_LOOP('',(#263937,#263938,#263939,#263940)); #48562=EDGE_LOOP('',(#263941,#263942,#263943,#263944)); #48563=EDGE_LOOP('',(#263945,#263946,#263947,#263948)); #48564=EDGE_LOOP('',(#263949,#263950,#263951,#263952)); #48565=EDGE_LOOP('',(#263953,#263954,#263955,#263956)); #48566=EDGE_LOOP('',(#263957,#263958,#263959,#263960)); #48567=EDGE_LOOP('',(#263961,#263962,#263963,#263964)); #48568=EDGE_LOOP('',(#263965,#263966,#263967,#263968)); #48569=EDGE_LOOP('',(#263969,#263970,#263971,#263972)); #48570=EDGE_LOOP('',(#263973,#263974,#263975,#263976)); #48571=EDGE_LOOP('',(#263977,#263978,#263979,#263980)); #48572=EDGE_LOOP('',(#263981,#263982,#263983,#263984)); #48573=EDGE_LOOP('',(#263985,#263986,#263987,#263988)); #48574=EDGE_LOOP('',(#263989,#263990,#263991,#263992)); #48575=EDGE_LOOP('',(#263993,#263994,#263995,#263996)); #48576=EDGE_LOOP('',(#263997,#263998,#263999,#264000)); #48577=EDGE_LOOP('',(#264001,#264002,#264003,#264004)); #48578=EDGE_LOOP('',(#264005,#264006,#264007,#264008)); #48579=EDGE_LOOP('',(#264009,#264010,#264011,#264012)); #48580=EDGE_LOOP('',(#264013,#264014,#264015,#264016)); #48581=EDGE_LOOP('',(#264017,#264018,#264019,#264020)); #48582=EDGE_LOOP('',(#264021,#264022,#264023,#264024)); #48583=EDGE_LOOP('',(#264025,#264026,#264027,#264028)); #48584=EDGE_LOOP('',(#264029,#264030,#264031,#264032)); #48585=EDGE_LOOP('',(#264033,#264034,#264035,#264036,#264037,#264038,#264039, #264040,#264041,#264042,#264043,#264044,#264045,#264046,#264047,#264048, #264049,#264050,#264051,#264052,#264053,#264054,#264055,#264056,#264057, #264058,#264059,#264060,#264061,#264062,#264063,#264064,#264065,#264066, #264067,#264068,#264069,#264070,#264071,#264072)); #48586=EDGE_LOOP('',(#264073,#264074,#264075,#264076,#264077,#264078,#264079, #264080,#264081,#264082,#264083,#264084,#264085,#264086,#264087,#264088, #264089,#264090,#264091,#264092,#264093,#264094,#264095,#264096,#264097, #264098,#264099,#264100,#264101,#264102,#264103,#264104,#264105,#264106, #264107,#264108,#264109,#264110,#264111,#264112)); #48587=EDGE_LOOP('',(#264113,#264114,#264115,#264116)); #48588=EDGE_LOOP('',(#264117,#264118,#264119,#264120)); #48589=EDGE_LOOP('',(#264121,#264122,#264123,#264124)); #48590=EDGE_LOOP('',(#264125,#264126,#264127,#264128)); #48591=EDGE_LOOP('',(#264129,#264130,#264131,#264132)); #48592=EDGE_LOOP('',(#264133,#264134,#264135,#264136)); #48593=EDGE_LOOP('',(#264137,#264138,#264139,#264140)); #48594=EDGE_LOOP('',(#264141,#264142,#264143,#264144)); #48595=EDGE_LOOP('',(#264145,#264146,#264147,#264148)); #48596=EDGE_LOOP('',(#264149,#264150,#264151,#264152)); #48597=EDGE_LOOP('',(#264153,#264154,#264155,#264156)); #48598=EDGE_LOOP('',(#264157,#264158,#264159,#264160)); #48599=EDGE_LOOP('',(#264161,#264162,#264163,#264164)); #48600=EDGE_LOOP('',(#264165,#264166,#264167,#264168)); #48601=EDGE_LOOP('',(#264169,#264170,#264171,#264172)); #48602=EDGE_LOOP('',(#264173,#264174,#264175,#264176)); #48603=EDGE_LOOP('',(#264177,#264178,#264179,#264180)); #48604=EDGE_LOOP('',(#264181,#264182,#264183,#264184)); #48605=EDGE_LOOP('',(#264185,#264186,#264187,#264188)); #48606=EDGE_LOOP('',(#264189,#264190,#264191,#264192)); #48607=EDGE_LOOP('',(#264193,#264194,#264195,#264196,#264197,#264198,#264199, #264200,#264201,#264202,#264203,#264204,#264205,#264206,#264207,#264208, #264209,#264210,#264211,#264212)); #48608=EDGE_LOOP('',(#264213,#264214,#264215,#264216,#264217,#264218,#264219, #264220,#264221,#264222,#264223,#264224,#264225,#264226,#264227,#264228, #264229,#264230,#264231,#264232)); #48609=EDGE_LOOP('',(#264233,#264234,#264235,#264236)); #48610=EDGE_LOOP('',(#264237,#264238,#264239,#264240)); #48611=EDGE_LOOP('',(#264241,#264242,#264243,#264244)); #48612=EDGE_LOOP('',(#264245,#264246,#264247,#264248)); #48613=EDGE_LOOP('',(#264249,#264250,#264251,#264252)); #48614=EDGE_LOOP('',(#264253,#264254,#264255,#264256)); #48615=EDGE_LOOP('',(#264257,#264258,#264259,#264260)); #48616=EDGE_LOOP('',(#264261,#264262,#264263,#264264)); #48617=EDGE_LOOP('',(#264265,#264266,#264267,#264268)); #48618=EDGE_LOOP('',(#264269,#264270,#264271,#264272)); #48619=EDGE_LOOP('',(#264273,#264274,#264275,#264276)); #48620=EDGE_LOOP('',(#264277,#264278,#264279,#264280)); #48621=EDGE_LOOP('',(#264281,#264282,#264283,#264284)); #48622=EDGE_LOOP('',(#264285,#264286,#264287,#264288)); #48623=EDGE_LOOP('',(#264289,#264290,#264291,#264292)); #48624=EDGE_LOOP('',(#264293,#264294,#264295,#264296)); #48625=EDGE_LOOP('',(#264297,#264298,#264299,#264300)); #48626=EDGE_LOOP('',(#264301,#264302,#264303,#264304)); #48627=EDGE_LOOP('',(#264305,#264306,#264307,#264308)); #48628=EDGE_LOOP('',(#264309,#264310,#264311,#264312)); #48629=EDGE_LOOP('',(#264313,#264314,#264315,#264316,#264317,#264318,#264319, #264320,#264321,#264322,#264323,#264324,#264325,#264326,#264327,#264328, #264329,#264330,#264331,#264332)); #48630=EDGE_LOOP('',(#264333,#264334,#264335,#264336,#264337,#264338,#264339, #264340,#264341,#264342,#264343,#264344,#264345,#264346,#264347,#264348, #264349,#264350,#264351,#264352)); #48631=EDGE_LOOP('',(#264353,#264354,#264355,#264356)); #48632=EDGE_LOOP('',(#264357,#264358,#264359,#264360)); #48633=EDGE_LOOP('',(#264361,#264362,#264363,#264364)); #48634=EDGE_LOOP('',(#264365,#264366,#264367,#264368)); #48635=EDGE_LOOP('',(#264369,#264370,#264371,#264372)); #48636=EDGE_LOOP('',(#264373,#264374,#264375,#264376)); #48637=EDGE_LOOP('',(#264377,#264378,#264379,#264380)); #48638=EDGE_LOOP('',(#264381,#264382,#264383,#264384)); #48639=EDGE_LOOP('',(#264385,#264386,#264387,#264388)); #48640=EDGE_LOOP('',(#264389,#264390,#264391,#264392)); #48641=EDGE_LOOP('',(#264393,#264394,#264395,#264396)); #48642=EDGE_LOOP('',(#264397,#264398,#264399,#264400)); #48643=EDGE_LOOP('',(#264401,#264402,#264403,#264404)); #48644=EDGE_LOOP('',(#264405,#264406,#264407,#264408)); #48645=EDGE_LOOP('',(#264409,#264410,#264411,#264412)); #48646=EDGE_LOOP('',(#264413,#264414,#264415,#264416)); #48647=EDGE_LOOP('',(#264417,#264418,#264419,#264420)); #48648=EDGE_LOOP('',(#264421,#264422,#264423,#264424)); #48649=EDGE_LOOP('',(#264425,#264426,#264427,#264428)); #48650=EDGE_LOOP('',(#264429,#264430,#264431,#264432)); #48651=EDGE_LOOP('',(#264433,#264434,#264435,#264436,#264437,#264438,#264439, #264440,#264441,#264442,#264443,#264444,#264445,#264446,#264447,#264448, #264449,#264450,#264451,#264452)); #48652=EDGE_LOOP('',(#264453,#264454,#264455,#264456,#264457,#264458,#264459, #264460,#264461,#264462,#264463,#264464,#264465,#264466,#264467,#264468, #264469,#264470,#264471,#264472)); #48653=EDGE_LOOP('',(#264473,#264474,#264475,#264476)); #48654=EDGE_LOOP('',(#264477,#264478,#264479,#264480)); #48655=EDGE_LOOP('',(#264481,#264482,#264483,#264484)); #48656=EDGE_LOOP('',(#264485,#264486,#264487,#264488)); #48657=EDGE_LOOP('',(#264489,#264490,#264491,#264492)); #48658=EDGE_LOOP('',(#264493,#264494,#264495,#264496)); #48659=EDGE_LOOP('',(#264497,#264498,#264499,#264500)); #48660=EDGE_LOOP('',(#264501,#264502,#264503,#264504)); #48661=EDGE_LOOP('',(#264505,#264506,#264507,#264508)); #48662=EDGE_LOOP('',(#264509,#264510,#264511,#264512)); #48663=EDGE_LOOP('',(#264513,#264514,#264515,#264516)); #48664=EDGE_LOOP('',(#264517,#264518,#264519,#264520)); #48665=EDGE_LOOP('',(#264521,#264522,#264523,#264524)); #48666=EDGE_LOOP('',(#264525,#264526,#264527,#264528)); #48667=EDGE_LOOP('',(#264529,#264530,#264531,#264532)); #48668=EDGE_LOOP('',(#264533,#264534,#264535,#264536)); #48669=EDGE_LOOP('',(#264537,#264538,#264539,#264540)); #48670=EDGE_LOOP('',(#264541,#264542,#264543,#264544)); #48671=EDGE_LOOP('',(#264545,#264546,#264547,#264548)); #48672=EDGE_LOOP('',(#264549,#264550,#264551,#264552)); #48673=EDGE_LOOP('',(#264553,#264554,#264555,#264556)); #48674=EDGE_LOOP('',(#264557,#264558,#264559,#264560)); #48675=EDGE_LOOP('',(#264561,#264562,#264563,#264564)); #48676=EDGE_LOOP('',(#264565,#264566,#264567,#264568)); #48677=EDGE_LOOP('',(#264569,#264570,#264571,#264572)); #48678=EDGE_LOOP('',(#264573,#264574,#264575,#264576)); #48679=EDGE_LOOP('',(#264577,#264578,#264579,#264580)); #48680=EDGE_LOOP('',(#264581,#264582,#264583,#264584)); #48681=EDGE_LOOP('',(#264585,#264586,#264587,#264588)); #48682=EDGE_LOOP('',(#264589,#264590,#264591,#264592)); #48683=EDGE_LOOP('',(#264593,#264594,#264595,#264596)); #48684=EDGE_LOOP('',(#264597,#264598,#264599,#264600)); #48685=EDGE_LOOP('',(#264601,#264602,#264603,#264604)); #48686=EDGE_LOOP('',(#264605,#264606,#264607,#264608)); #48687=EDGE_LOOP('',(#264609,#264610,#264611,#264612)); #48688=EDGE_LOOP('',(#264613,#264614,#264615,#264616)); #48689=EDGE_LOOP('',(#264617,#264618,#264619,#264620)); #48690=EDGE_LOOP('',(#264621,#264622,#264623,#264624)); #48691=EDGE_LOOP('',(#264625,#264626,#264627,#264628)); #48692=EDGE_LOOP('',(#264629,#264630,#264631,#264632)); #48693=EDGE_LOOP('',(#264633,#264634,#264635,#264636,#264637,#264638,#264639, #264640,#264641,#264642,#264643,#264644,#264645,#264646,#264647,#264648, #264649,#264650,#264651,#264652,#264653,#264654,#264655,#264656,#264657, #264658,#264659,#264660,#264661,#264662,#264663,#264664,#264665,#264666, #264667,#264668,#264669,#264670,#264671,#264672)); #48694=EDGE_LOOP('',(#264673,#264674,#264675,#264676,#264677,#264678,#264679, #264680,#264681,#264682,#264683,#264684,#264685,#264686,#264687,#264688, #264689,#264690,#264691,#264692,#264693,#264694,#264695,#264696,#264697, #264698,#264699,#264700,#264701,#264702,#264703,#264704,#264705,#264706, #264707,#264708,#264709,#264710,#264711,#264712)); #48695=EDGE_LOOP('',(#264713,#264714,#264715,#264716)); #48696=EDGE_LOOP('',(#264717,#264718,#264719,#264720)); #48697=EDGE_LOOP('',(#264721,#264722,#264723,#264724)); #48698=EDGE_LOOP('',(#264725,#264726,#264727,#264728)); #48699=EDGE_LOOP('',(#264729,#264730,#264731,#264732)); #48700=EDGE_LOOP('',(#264733,#264734,#264735,#264736)); #48701=EDGE_LOOP('',(#264737,#264738,#264739,#264740)); #48702=EDGE_LOOP('',(#264741,#264742,#264743,#264744)); #48703=EDGE_LOOP('',(#264745,#264746,#264747,#264748)); #48704=EDGE_LOOP('',(#264749,#264750,#264751,#264752)); #48705=EDGE_LOOP('',(#264753,#264754,#264755,#264756)); #48706=EDGE_LOOP('',(#264757,#264758,#264759,#264760)); #48707=EDGE_LOOP('',(#264761,#264762,#264763,#264764)); #48708=EDGE_LOOP('',(#264765,#264766,#264767,#264768)); #48709=EDGE_LOOP('',(#264769,#264770,#264771,#264772)); #48710=EDGE_LOOP('',(#264773,#264774,#264775,#264776)); #48711=EDGE_LOOP('',(#264777,#264778,#264779,#264780)); #48712=EDGE_LOOP('',(#264781,#264782,#264783,#264784)); #48713=EDGE_LOOP('',(#264785,#264786,#264787,#264788)); #48714=EDGE_LOOP('',(#264789,#264790,#264791,#264792)); #48715=EDGE_LOOP('',(#264793,#264794,#264795,#264796)); #48716=EDGE_LOOP('',(#264797,#264798,#264799,#264800)); #48717=EDGE_LOOP('',(#264801,#264802,#264803,#264804)); #48718=EDGE_LOOP('',(#264805,#264806,#264807,#264808)); #48719=EDGE_LOOP('',(#264809,#264810,#264811,#264812)); #48720=EDGE_LOOP('',(#264813,#264814,#264815,#264816)); #48721=EDGE_LOOP('',(#264817,#264818,#264819,#264820)); #48722=EDGE_LOOP('',(#264821,#264822,#264823,#264824)); #48723=EDGE_LOOP('',(#264825,#264826,#264827,#264828)); #48724=EDGE_LOOP('',(#264829,#264830,#264831,#264832)); #48725=EDGE_LOOP('',(#264833,#264834,#264835,#264836)); #48726=EDGE_LOOP('',(#264837,#264838,#264839,#264840)); #48727=EDGE_LOOP('',(#264841,#264842,#264843,#264844)); #48728=EDGE_LOOP('',(#264845,#264846,#264847,#264848)); #48729=EDGE_LOOP('',(#264849,#264850,#264851,#264852)); #48730=EDGE_LOOP('',(#264853,#264854,#264855,#264856)); #48731=EDGE_LOOP('',(#264857,#264858,#264859,#264860)); #48732=EDGE_LOOP('',(#264861,#264862,#264863,#264864)); #48733=EDGE_LOOP('',(#264865,#264866,#264867,#264868)); #48734=EDGE_LOOP('',(#264869,#264870,#264871,#264872)); #48735=EDGE_LOOP('',(#264873,#264874,#264875,#264876)); #48736=EDGE_LOOP('',(#264877,#264878,#264879,#264880)); #48737=EDGE_LOOP('',(#264881,#264882,#264883,#264884)); #48738=EDGE_LOOP('',(#264885,#264886,#264887,#264888)); #48739=EDGE_LOOP('',(#264889,#264890,#264891,#264892)); #48740=EDGE_LOOP('',(#264893,#264894,#264895,#264896)); #48741=EDGE_LOOP('',(#264897,#264898,#264899,#264900)); #48742=EDGE_LOOP('',(#264901,#264902,#264903,#264904)); #48743=EDGE_LOOP('',(#264905,#264906,#264907,#264908)); #48744=EDGE_LOOP('',(#264909,#264910,#264911,#264912)); #48745=EDGE_LOOP('',(#264913,#264914,#264915,#264916)); #48746=EDGE_LOOP('',(#264917,#264918,#264919,#264920)); #48747=EDGE_LOOP('',(#264921,#264922,#264923,#264924)); #48748=EDGE_LOOP('',(#264925,#264926,#264927,#264928)); #48749=EDGE_LOOP('',(#264929,#264930,#264931,#264932)); #48750=EDGE_LOOP('',(#264933,#264934,#264935,#264936)); #48751=EDGE_LOOP('',(#264937,#264938,#264939,#264940)); #48752=EDGE_LOOP('',(#264941,#264942,#264943,#264944)); #48753=EDGE_LOOP('',(#264945,#264946,#264947,#264948)); #48754=EDGE_LOOP('',(#264949,#264950,#264951,#264952)); #48755=EDGE_LOOP('',(#264953,#264954,#264955,#264956)); #48756=EDGE_LOOP('',(#264957,#264958,#264959,#264960)); #48757=EDGE_LOOP('',(#264961,#264962,#264963,#264964)); #48758=EDGE_LOOP('',(#264965,#264966,#264967,#264968)); #48759=EDGE_LOOP('',(#264969,#264970,#264971,#264972)); #48760=EDGE_LOOP('',(#264973,#264974,#264975,#264976)); #48761=EDGE_LOOP('',(#264977,#264978,#264979,#264980)); #48762=EDGE_LOOP('',(#264981,#264982,#264983,#264984)); #48763=EDGE_LOOP('',(#264985,#264986,#264987,#264988)); #48764=EDGE_LOOP('',(#264989,#264990,#264991,#264992)); #48765=EDGE_LOOP('',(#264993,#264994,#264995,#264996)); #48766=EDGE_LOOP('',(#264997,#264998,#264999,#265000)); #48767=EDGE_LOOP('',(#265001,#265002,#265003,#265004)); #48768=EDGE_LOOP('',(#265005,#265006,#265007,#265008)); #48769=EDGE_LOOP('',(#265009,#265010,#265011,#265012)); #48770=EDGE_LOOP('',(#265013,#265014,#265015,#265016)); #48771=EDGE_LOOP('',(#265017,#265018,#265019,#265020)); #48772=EDGE_LOOP('',(#265021,#265022,#265023,#265024)); #48773=EDGE_LOOP('',(#265025,#265026,#265027,#265028)); #48774=EDGE_LOOP('',(#265029,#265030,#265031,#265032)); #48775=EDGE_LOOP('',(#265033,#265034,#265035,#265036)); #48776=EDGE_LOOP('',(#265037,#265038,#265039,#265040)); #48777=EDGE_LOOP('',(#265041,#265042,#265043,#265044)); #48778=EDGE_LOOP('',(#265045,#265046,#265047,#265048)); #48779=EDGE_LOOP('',(#265049,#265050,#265051,#265052)); #48780=EDGE_LOOP('',(#265053,#265054,#265055,#265056)); #48781=EDGE_LOOP('',(#265057,#265058,#265059,#265060)); #48782=EDGE_LOOP('',(#265061,#265062,#265063,#265064)); #48783=EDGE_LOOP('',(#265065,#265066,#265067,#265068)); #48784=EDGE_LOOP('',(#265069,#265070,#265071,#265072)); #48785=EDGE_LOOP('',(#265073,#265074,#265075,#265076)); #48786=EDGE_LOOP('',(#265077,#265078,#265079,#265080)); #48787=EDGE_LOOP('',(#265081,#265082,#265083,#265084)); #48788=EDGE_LOOP('',(#265085,#265086,#265087,#265088)); #48789=EDGE_LOOP('',(#265089,#265090,#265091,#265092)); #48790=EDGE_LOOP('',(#265093,#265094,#265095,#265096)); #48791=EDGE_LOOP('',(#265097,#265098,#265099,#265100)); #48792=EDGE_LOOP('',(#265101,#265102,#265103,#265104)); #48793=EDGE_LOOP('',(#265105,#265106,#265107,#265108,#265109,#265110,#265111, #265112,#265113,#265114,#265115,#265116,#265117,#265118,#265119,#265120, #265121,#265122,#265123,#265124,#265125,#265126,#265127,#265128,#265129, #265130,#265131,#265132,#265133,#265134,#265135,#265136,#265137,#265138, #265139,#265140,#265141,#265142,#265143,#265144,#265145,#265146,#265147, #265148,#265149,#265150,#265151,#265152,#265153,#265154,#265155,#265156, #265157,#265158,#265159,#265160,#265161,#265162,#265163,#265164,#265165, #265166,#265167,#265168,#265169,#265170,#265171,#265172,#265173,#265174, #265175,#265176,#265177,#265178,#265179,#265180,#265181,#265182,#265183, #265184,#265185,#265186,#265187,#265188,#265189,#265190,#265191,#265192, #265193,#265194,#265195,#265196,#265197)); #48794=EDGE_LOOP('',(#265198)); #48795=EDGE_LOOP('',(#265199,#265200,#265201,#265202)); #48796=EDGE_LOOP('',(#265203,#265204,#265205,#265206,#265207,#265208,#265209, #265210,#265211,#265212,#265213,#265214,#265215,#265216,#265217,#265218, #265219,#265220,#265221,#265222,#265223,#265224,#265225,#265226,#265227, #265228,#265229,#265230,#265231,#265232,#265233,#265234,#265235,#265236, #265237,#265238,#265239,#265240,#265241,#265242,#265243,#265244,#265245, #265246,#265247,#265248,#265249,#265250,#265251,#265252,#265253,#265254, #265255,#265256,#265257,#265258,#265259,#265260,#265261,#265262,#265263, #265264,#265265,#265266,#265267,#265268,#265269,#265270,#265271,#265272, #265273,#265274,#265275,#265276,#265277,#265278,#265279,#265280,#265281, #265282,#265283,#265284,#265285,#265286,#265287,#265288,#265289,#265290, #265291,#265292,#265293,#265294,#265295)); #48797=EDGE_LOOP('',(#265296)); #48798=EDGE_LOOP('',(#265297,#265298,#265299,#265300)); #48799=EDGE_LOOP('',(#265301,#265302,#265303,#265304)); #48800=EDGE_LOOP('',(#265305,#265306,#265307,#265308)); #48801=EDGE_LOOP('',(#265309,#265310,#265311,#265312)); #48802=EDGE_LOOP('',(#265313,#265314,#265315,#265316)); #48803=EDGE_LOOP('',(#265317,#265318,#265319,#265320)); #48804=EDGE_LOOP('',(#265321,#265322,#265323,#265324)); #48805=EDGE_LOOP('',(#265325,#265326,#265327,#265328)); #48806=EDGE_LOOP('',(#265329,#265330,#265331,#265332)); #48807=EDGE_LOOP('',(#265333,#265334,#265335,#265336)); #48808=EDGE_LOOP('',(#265337,#265338,#265339,#265340)); #48809=EDGE_LOOP('',(#265341,#265342,#265343,#265344)); #48810=EDGE_LOOP('',(#265345,#265346,#265347,#265348)); #48811=EDGE_LOOP('',(#265349,#265350,#265351,#265352)); #48812=EDGE_LOOP('',(#265353,#265354,#265355,#265356)); #48813=EDGE_LOOP('',(#265357,#265358,#265359,#265360)); #48814=EDGE_LOOP('',(#265361,#265362,#265363,#265364)); #48815=EDGE_LOOP('',(#265365,#265366,#265367,#265368)); #48816=EDGE_LOOP('',(#265369,#265370,#265371,#265372)); #48817=EDGE_LOOP('',(#265373,#265374,#265375,#265376)); #48818=EDGE_LOOP('',(#265377,#265378,#265379,#265380)); #48819=EDGE_LOOP('',(#265381,#265382,#265383,#265384,#265385,#265386,#265387, #265388,#265389,#265390,#265391,#265392,#265393,#265394,#265395,#265396, #265397,#265398,#265399,#265400)); #48820=EDGE_LOOP('',(#265401,#265402,#265403,#265404,#265405,#265406,#265407, #265408,#265409,#265410,#265411,#265412,#265413,#265414,#265415,#265416, #265417,#265418,#265419,#265420)); #48821=EDGE_LOOP('',(#265421,#265422,#265423,#265424)); #48822=EDGE_LOOP('',(#265425,#265426,#265427,#265428)); #48823=EDGE_LOOP('',(#265429,#265430,#265431,#265432)); #48824=EDGE_LOOP('',(#265433,#265434,#265435,#265436)); #48825=EDGE_LOOP('',(#265437,#265438,#265439,#265440)); #48826=EDGE_LOOP('',(#265441,#265442,#265443,#265444)); #48827=EDGE_LOOP('',(#265445,#265446,#265447,#265448)); #48828=EDGE_LOOP('',(#265449,#265450,#265451,#265452)); #48829=EDGE_LOOP('',(#265453,#265454,#265455,#265456)); #48830=EDGE_LOOP('',(#265457,#265458,#265459,#265460)); #48831=EDGE_LOOP('',(#265461,#265462,#265463,#265464)); #48832=EDGE_LOOP('',(#265465,#265466,#265467,#265468)); #48833=EDGE_LOOP('',(#265469,#265470,#265471,#265472)); #48834=EDGE_LOOP('',(#265473,#265474,#265475,#265476)); #48835=EDGE_LOOP('',(#265477,#265478,#265479,#265480)); #48836=EDGE_LOOP('',(#265481,#265482,#265483,#265484)); #48837=EDGE_LOOP('',(#265485,#265486,#265487,#265488)); #48838=EDGE_LOOP('',(#265489,#265490,#265491,#265492)); #48839=EDGE_LOOP('',(#265493,#265494,#265495,#265496)); #48840=EDGE_LOOP('',(#265497,#265498,#265499,#265500)); #48841=EDGE_LOOP('',(#265501,#265502,#265503,#265504)); #48842=EDGE_LOOP('',(#265505,#265506,#265507,#265508)); #48843=EDGE_LOOP('',(#265509,#265510,#265511,#265512)); #48844=EDGE_LOOP('',(#265513,#265514,#265515,#265516)); #48845=EDGE_LOOP('',(#265517,#265518,#265519,#265520)); #48846=EDGE_LOOP('',(#265521,#265522,#265523,#265524)); #48847=EDGE_LOOP('',(#265525,#265526,#265527,#265528)); #48848=EDGE_LOOP('',(#265529,#265530,#265531,#265532)); #48849=EDGE_LOOP('',(#265533,#265534,#265535,#265536)); #48850=EDGE_LOOP('',(#265537,#265538,#265539,#265540)); #48851=EDGE_LOOP('',(#265541,#265542,#265543,#265544)); #48852=EDGE_LOOP('',(#265545,#265546,#265547,#265548)); #48853=EDGE_LOOP('',(#265549,#265550,#265551,#265552)); #48854=EDGE_LOOP('',(#265553,#265554,#265555,#265556)); #48855=EDGE_LOOP('',(#265557,#265558,#265559,#265560)); #48856=EDGE_LOOP('',(#265561,#265562,#265563,#265564)); #48857=EDGE_LOOP('',(#265565,#265566,#265567,#265568)); #48858=EDGE_LOOP('',(#265569,#265570,#265571,#265572)); #48859=EDGE_LOOP('',(#265573,#265574,#265575,#265576)); #48860=EDGE_LOOP('',(#265577,#265578,#265579,#265580)); #48861=EDGE_LOOP('',(#265581,#265582,#265583,#265584)); #48862=EDGE_LOOP('',(#265585,#265586,#265587,#265588)); #48863=EDGE_LOOP('',(#265589,#265590,#265591,#265592)); #48864=EDGE_LOOP('',(#265593,#265594,#265595,#265596)); #48865=EDGE_LOOP('',(#265597,#265598,#265599,#265600)); #48866=EDGE_LOOP('',(#265601,#265602,#265603,#265604)); #48867=EDGE_LOOP('',(#265605,#265606,#265607,#265608)); #48868=EDGE_LOOP('',(#265609,#265610,#265611,#265612)); #48869=EDGE_LOOP('',(#265613,#265614,#265615,#265616)); #48870=EDGE_LOOP('',(#265617,#265618,#265619,#265620)); #48871=EDGE_LOOP('',(#265621,#265622,#265623,#265624)); #48872=EDGE_LOOP('',(#265625,#265626,#265627,#265628)); #48873=EDGE_LOOP('',(#265629,#265630,#265631,#265632)); #48874=EDGE_LOOP('',(#265633,#265634,#265635,#265636)); #48875=EDGE_LOOP('',(#265637,#265638,#265639,#265640)); #48876=EDGE_LOOP('',(#265641,#265642,#265643,#265644)); #48877=EDGE_LOOP('',(#265645,#265646,#265647,#265648,#265649,#265650,#265651, #265652,#265653,#265654,#265655,#265656,#265657,#265658,#265659,#265660, #265661,#265662,#265663,#265664,#265665,#265666,#265667,#265668,#265669, #265670,#265671,#265672,#265673,#265674,#265675,#265676,#265677,#265678, #265679,#265680,#265681,#265682,#265683,#265684,#265685)); #48878=EDGE_LOOP('',(#265686)); #48879=EDGE_LOOP('',(#265687)); #48880=EDGE_LOOP('',(#265688)); #48881=EDGE_LOOP('',(#265689)); #48882=EDGE_LOOP('',(#265690)); #48883=EDGE_LOOP('',(#265691)); #48884=EDGE_LOOP('',(#265692)); #48885=EDGE_LOOP('',(#265693)); #48886=EDGE_LOOP('',(#265694)); #48887=EDGE_LOOP('',(#265695)); #48888=EDGE_LOOP('',(#265696)); #48889=EDGE_LOOP('',(#265697)); #48890=EDGE_LOOP('',(#265698)); #48891=EDGE_LOOP('',(#265699)); #48892=EDGE_LOOP('',(#265700)); #48893=EDGE_LOOP('',(#265701,#265702,#265703,#265704,#265705,#265706,#265707, #265708,#265709,#265710,#265711,#265712,#265713,#265714,#265715,#265716, #265717,#265718,#265719,#265720,#265721,#265722,#265723,#265724,#265725, #265726,#265727,#265728,#265729,#265730,#265731,#265732,#265733,#265734, #265735,#265736,#265737,#265738,#265739,#265740,#265741)); #48894=EDGE_LOOP('',(#265742)); #48895=EDGE_LOOP('',(#265743)); #48896=EDGE_LOOP('',(#265744)); #48897=EDGE_LOOP('',(#265745)); #48898=EDGE_LOOP('',(#265746)); #48899=EDGE_LOOP('',(#265747)); #48900=EDGE_LOOP('',(#265748)); #48901=EDGE_LOOP('',(#265749)); #48902=EDGE_LOOP('',(#265750)); #48903=EDGE_LOOP('',(#265751)); #48904=EDGE_LOOP('',(#265752)); #48905=EDGE_LOOP('',(#265753)); #48906=EDGE_LOOP('',(#265754)); #48907=EDGE_LOOP('',(#265755)); #48908=EDGE_LOOP('',(#265756)); #48909=EDGE_LOOP('',(#265757,#265758,#265759,#265760)); #48910=EDGE_LOOP('',(#265761,#265762,#265763,#265764)); #48911=EDGE_LOOP('',(#265765,#265766,#265767,#265768)); #48912=EDGE_LOOP('',(#265769,#265770,#265771,#265772)); #48913=EDGE_LOOP('',(#265773,#265774,#265775,#265776)); #48914=EDGE_LOOP('',(#265777,#265778,#265779,#265780)); #48915=EDGE_LOOP('',(#265781,#265782,#265783,#265784)); #48916=EDGE_LOOP('',(#265785,#265786,#265787,#265788)); #48917=EDGE_LOOP('',(#265789,#265790,#265791,#265792)); #48918=EDGE_LOOP('',(#265793,#265794,#265795,#265796)); #48919=EDGE_LOOP('',(#265797,#265798,#265799,#265800)); #48920=EDGE_LOOP('',(#265801,#265802,#265803,#265804)); #48921=EDGE_LOOP('',(#265805,#265806,#265807,#265808)); #48922=EDGE_LOOP('',(#265809,#265810,#265811,#265812)); #48923=EDGE_LOOP('',(#265813,#265814,#265815,#265816)); #48924=EDGE_LOOP('',(#265817,#265818,#265819,#265820)); #48925=EDGE_LOOP('',(#265821,#265822,#265823,#265824)); #48926=EDGE_LOOP('',(#265825,#265826,#265827,#265828)); #48927=EDGE_LOOP('',(#265829,#265830,#265831,#265832)); #48928=EDGE_LOOP('',(#265833,#265834,#265835,#265836)); #48929=EDGE_LOOP('',(#265837,#265838,#265839,#265840)); #48930=EDGE_LOOP('',(#265841,#265842,#265843,#265844)); #48931=EDGE_LOOP('',(#265845,#265846,#265847,#265848)); #48932=EDGE_LOOP('',(#265849,#265850,#265851,#265852)); #48933=EDGE_LOOP('',(#265853,#265854,#265855,#265856)); #48934=EDGE_LOOP('',(#265857,#265858,#265859,#265860)); #48935=EDGE_LOOP('',(#265861,#265862,#265863,#265864)); #48936=EDGE_LOOP('',(#265865,#265866,#265867,#265868)); #48937=EDGE_LOOP('',(#265869,#265870,#265871,#265872)); #48938=EDGE_LOOP('',(#265873,#265874,#265875,#265876)); #48939=EDGE_LOOP('',(#265877,#265878,#265879,#265880)); #48940=EDGE_LOOP('',(#265881,#265882,#265883,#265884)); #48941=EDGE_LOOP('',(#265885,#265886,#265887,#265888)); #48942=EDGE_LOOP('',(#265889,#265890,#265891,#265892)); #48943=EDGE_LOOP('',(#265893,#265894,#265895,#265896)); #48944=EDGE_LOOP('',(#265897,#265898,#265899,#265900)); #48945=EDGE_LOOP('',(#265901,#265902,#265903,#265904)); #48946=EDGE_LOOP('',(#265905,#265906,#265907,#265908)); #48947=EDGE_LOOP('',(#265909,#265910,#265911,#265912)); #48948=EDGE_LOOP('',(#265913,#265914,#265915,#265916)); #48949=EDGE_LOOP('',(#265917,#265918,#265919,#265920)); #48950=EDGE_LOOP('',(#265921,#265922,#265923,#265924)); #48951=EDGE_LOOP('',(#265925,#265926,#265927,#265928)); #48952=EDGE_LOOP('',(#265929,#265930,#265931,#265932,#265933,#265934,#265935, #265936,#265937,#265938,#265939,#265940,#265941,#265942,#265943,#265944, #265945,#265946,#265947,#265948,#265949,#265950,#265951,#265952,#265953, #265954,#265955,#265956,#265957,#265958,#265959,#265960,#265961,#265962, #265963,#265964,#265965,#265966,#265967,#265968,#265969,#265970)); #48953=EDGE_LOOP('',(#265971)); #48954=EDGE_LOOP('',(#265972,#265973,#265974,#265975,#265976,#265977,#265978, #265979,#265980,#265981,#265982,#265983,#265984,#265985,#265986,#265987, #265988,#265989,#265990,#265991,#265992,#265993,#265994,#265995,#265996, #265997,#265998,#265999,#266000,#266001,#266002,#266003,#266004,#266005, #266006,#266007,#266008,#266009,#266010,#266011,#266012,#266013)); #48955=EDGE_LOOP('',(#266014)); #48956=EDGE_LOOP('',(#266015,#266016,#266017,#266018)); #48957=EDGE_LOOP('',(#266019,#266020,#266021,#266022)); #48958=EDGE_LOOP('',(#266023,#266024,#266025,#266026)); #48959=EDGE_LOOP('',(#266027,#266028,#266029,#266030)); #48960=EDGE_LOOP('',(#266031,#266032,#266033,#266034)); #48961=EDGE_LOOP('',(#266035,#266036,#266037,#266038)); #48962=EDGE_LOOP('',(#266039,#266040,#266041,#266042)); #48963=EDGE_LOOP('',(#266043,#266044,#266045,#266046)); #48964=EDGE_LOOP('',(#266047,#266048,#266049,#266050)); #48965=EDGE_LOOP('',(#266051,#266052,#266053,#266054)); #48966=EDGE_LOOP('',(#266055,#266056,#266057,#266058)); #48967=EDGE_LOOP('',(#266059,#266060,#266061,#266062)); #48968=EDGE_LOOP('',(#266063,#266064,#266065,#266066)); #48969=EDGE_LOOP('',(#266067,#266068,#266069,#266070)); #48970=EDGE_LOOP('',(#266071,#266072,#266073,#266074)); #48971=EDGE_LOOP('',(#266075,#266076,#266077,#266078)); #48972=EDGE_LOOP('',(#266079,#266080,#266081,#266082)); #48973=EDGE_LOOP('',(#266083,#266084,#266085,#266086)); #48974=EDGE_LOOP('',(#266087,#266088,#266089,#266090)); #48975=EDGE_LOOP('',(#266091,#266092,#266093,#266094)); #48976=EDGE_LOOP('',(#266095,#266096,#266097,#266098)); #48977=EDGE_LOOP('',(#266099,#266100,#266101,#266102)); #48978=EDGE_LOOP('',(#266103,#266104,#266105,#266106)); #48979=EDGE_LOOP('',(#266107,#266108,#266109,#266110)); #48980=EDGE_LOOP('',(#266111,#266112,#266113,#266114)); #48981=EDGE_LOOP('',(#266115,#266116,#266117,#266118)); #48982=EDGE_LOOP('',(#266119,#266120,#266121,#266122)); #48983=EDGE_LOOP('',(#266123,#266124,#266125,#266126)); #48984=EDGE_LOOP('',(#266127,#266128,#266129,#266130)); #48985=EDGE_LOOP('',(#266131,#266132,#266133,#266134)); #48986=EDGE_LOOP('',(#266135,#266136,#266137,#266138)); #48987=EDGE_LOOP('',(#266139,#266140,#266141,#266142)); #48988=EDGE_LOOP('',(#266143,#266144,#266145,#266146)); #48989=EDGE_LOOP('',(#266147,#266148,#266149,#266150)); #48990=EDGE_LOOP('',(#266151,#266152,#266153,#266154)); #48991=EDGE_LOOP('',(#266155,#266156,#266157,#266158)); #48992=EDGE_LOOP('',(#266159,#266160,#266161,#266162)); #48993=EDGE_LOOP('',(#266163,#266164,#266165,#266166)); #48994=EDGE_LOOP('',(#266167,#266168,#266169,#266170)); #48995=EDGE_LOOP('',(#266171,#266172,#266173,#266174)); #48996=EDGE_LOOP('',(#266175,#266176,#266177,#266178)); #48997=EDGE_LOOP('',(#266179,#266180,#266181,#266182)); #48998=EDGE_LOOP('',(#266183,#266184,#266185,#266186)); #48999=EDGE_LOOP('',(#266187,#266188,#266189,#266190)); #49000=EDGE_LOOP('',(#266191,#266192,#266193,#266194)); #49001=EDGE_LOOP('',(#266195,#266196,#266197,#266198)); #49002=EDGE_LOOP('',(#266199,#266200,#266201,#266202)); #49003=EDGE_LOOP('',(#266203,#266204,#266205,#266206)); #49004=EDGE_LOOP('',(#266207,#266208,#266209,#266210)); #49005=EDGE_LOOP('',(#266211,#266212,#266213,#266214)); #49006=EDGE_LOOP('',(#266215,#266216,#266217,#266218)); #49007=EDGE_LOOP('',(#266219,#266220,#266221,#266222)); #49008=EDGE_LOOP('',(#266223,#266224,#266225,#266226)); #49009=EDGE_LOOP('',(#266227,#266228,#266229,#266230)); #49010=EDGE_LOOP('',(#266231,#266232,#266233,#266234)); #49011=EDGE_LOOP('',(#266235,#266236,#266237,#266238)); #49012=EDGE_LOOP('',(#266239,#266240,#266241,#266242)); #49013=EDGE_LOOP('',(#266243,#266244,#266245,#266246)); #49014=EDGE_LOOP('',(#266247,#266248,#266249,#266250)); #49015=EDGE_LOOP('',(#266251,#266252,#266253,#266254)); #49016=EDGE_LOOP('',(#266255,#266256,#266257,#266258)); #49017=EDGE_LOOP('',(#266259,#266260,#266261,#266262)); #49018=EDGE_LOOP('',(#266263,#266264,#266265,#266266)); #49019=EDGE_LOOP('',(#266267,#266268,#266269,#266270)); #49020=EDGE_LOOP('',(#266271,#266272,#266273,#266274,#266275,#266276,#266277, #266278,#266279,#266280,#266281,#266282,#266283,#266284,#266285,#266286, #266287,#266288,#266289,#266290,#266291,#266292,#266293,#266294,#266295, #266296,#266297,#266298,#266299,#266300,#266301,#266302,#266303,#266304, #266305,#266306,#266307,#266308,#266309,#266310,#266311,#266312,#266313, #266314,#266315,#266316,#266317,#266318,#266319)); #49021=EDGE_LOOP('',(#266320)); #49022=EDGE_LOOP('',(#266321)); #49023=EDGE_LOOP('',(#266322)); #49024=EDGE_LOOP('',(#266323)); #49025=EDGE_LOOP('',(#266324)); #49026=EDGE_LOOP('',(#266325)); #49027=EDGE_LOOP('',(#266326)); #49028=EDGE_LOOP('',(#266327)); #49029=EDGE_LOOP('',(#266328)); #49030=EDGE_LOOP('',(#266329)); #49031=EDGE_LOOP('',(#266330)); #49032=EDGE_LOOP('',(#266331)); #49033=EDGE_LOOP('',(#266332)); #49034=EDGE_LOOP('',(#266333)); #49035=EDGE_LOOP('',(#266334)); #49036=EDGE_LOOP('',(#266335,#266336,#266337,#266338,#266339,#266340,#266341, #266342,#266343,#266344,#266345,#266346,#266347,#266348,#266349,#266350, #266351,#266352,#266353,#266354,#266355,#266356,#266357,#266358,#266359, #266360,#266361,#266362,#266363,#266364,#266365,#266366,#266367,#266368, #266369,#266370,#266371,#266372,#266373,#266374,#266375,#266376,#266377, #266378,#266379,#266380,#266381,#266382,#266383)); #49037=EDGE_LOOP('',(#266384)); #49038=EDGE_LOOP('',(#266385)); #49039=EDGE_LOOP('',(#266386)); #49040=EDGE_LOOP('',(#266387)); #49041=EDGE_LOOP('',(#266388)); #49042=EDGE_LOOP('',(#266389)); #49043=EDGE_LOOP('',(#266390)); #49044=EDGE_LOOP('',(#266391)); #49045=EDGE_LOOP('',(#266392)); #49046=EDGE_LOOP('',(#266393)); #49047=EDGE_LOOP('',(#266394)); #49048=EDGE_LOOP('',(#266395)); #49049=EDGE_LOOP('',(#266396)); #49050=EDGE_LOOP('',(#266397)); #49051=EDGE_LOOP('',(#266398)); #49052=EDGE_LOOP('',(#266399,#266400,#266401,#266402)); #49053=EDGE_LOOP('',(#266403,#266404,#266405,#266406)); #49054=EDGE_LOOP('',(#266407,#266408,#266409,#266410)); #49055=EDGE_LOOP('',(#266411,#266412,#266413,#266414)); #49056=EDGE_LOOP('',(#266415,#266416,#266417,#266418)); #49057=EDGE_LOOP('',(#266419,#266420,#266421,#266422)); #49058=EDGE_LOOP('',(#266423,#266424,#266425,#266426)); #49059=EDGE_LOOP('',(#266427,#266428,#266429,#266430)); #49060=EDGE_LOOP('',(#266431,#266432,#266433,#266434)); #49061=EDGE_LOOP('',(#266435,#266436,#266437,#266438)); #49062=EDGE_LOOP('',(#266439,#266440,#266441,#266442)); #49063=EDGE_LOOP('',(#266443,#266444,#266445,#266446)); #49064=EDGE_LOOP('',(#266447,#266448,#266449,#266450)); #49065=EDGE_LOOP('',(#266451,#266452,#266453,#266454)); #49066=EDGE_LOOP('',(#266455,#266456,#266457,#266458)); #49067=EDGE_LOOP('',(#266459,#266460,#266461,#266462)); #49068=EDGE_LOOP('',(#266463,#266464,#266465,#266466)); #49069=EDGE_LOOP('',(#266467,#266468,#266469,#266470)); #49070=EDGE_LOOP('',(#266471,#266472,#266473,#266474)); #49071=EDGE_LOOP('',(#266475,#266476,#266477,#266478)); #49072=EDGE_LOOP('',(#266479,#266480,#266481,#266482)); #49073=EDGE_LOOP('',(#266483,#266484,#266485,#266486)); #49074=EDGE_LOOP('',(#266487,#266488,#266489,#266490)); #49075=EDGE_LOOP('',(#266491,#266492,#266493,#266494)); #49076=EDGE_LOOP('',(#266495,#266496,#266497,#266498)); #49077=EDGE_LOOP('',(#266499,#266500,#266501,#266502)); #49078=EDGE_LOOP('',(#266503,#266504,#266505,#266506)); #49079=EDGE_LOOP('',(#266507,#266508,#266509,#266510)); #49080=EDGE_LOOP('',(#266511,#266512,#266513,#266514)); #49081=EDGE_LOOP('',(#266515,#266516,#266517,#266518)); #49082=EDGE_LOOP('',(#266519,#266520,#266521,#266522)); #49083=EDGE_LOOP('',(#266523,#266524,#266525,#266526)); #49084=EDGE_LOOP('',(#266527,#266528,#266529,#266530)); #49085=EDGE_LOOP('',(#266531,#266532,#266533,#266534)); #49086=EDGE_LOOP('',(#266535,#266536,#266537,#266538)); #49087=EDGE_LOOP('',(#266539,#266540,#266541,#266542)); #49088=EDGE_LOOP('',(#266543,#266544,#266545,#266546)); #49089=EDGE_LOOP('',(#266547,#266548,#266549,#266550)); #49090=EDGE_LOOP('',(#266551,#266552,#266553,#266554)); #49091=EDGE_LOOP('',(#266555,#266556,#266557,#266558)); #49092=EDGE_LOOP('',(#266559,#266560,#266561,#266562)); #49093=EDGE_LOOP('',(#266563,#266564,#266565,#266566)); #49094=EDGE_LOOP('',(#266567,#266568,#266569,#266570)); #49095=EDGE_LOOP('',(#266571,#266572,#266573,#266574,#266575,#266576,#266577, #266578,#266579,#266580,#266581,#266582,#266583,#266584,#266585,#266586, #266587,#266588,#266589,#266590,#266591,#266592,#266593,#266594,#266595, #266596,#266597,#266598,#266599,#266600,#266601,#266602,#266603,#266604, #266605,#266606,#266607,#266608,#266609,#266610,#266611,#266612)); #49096=EDGE_LOOP('',(#266613)); #49097=EDGE_LOOP('',(#266614,#266615,#266616,#266617,#266618,#266619,#266620, #266621,#266622,#266623,#266624,#266625,#266626,#266627,#266628,#266629, #266630,#266631,#266632,#266633,#266634,#266635,#266636,#266637,#266638, #266639,#266640,#266641,#266642,#266643,#266644,#266645,#266646,#266647, #266648,#266649,#266650,#266651,#266652,#266653,#266654,#266655)); #49098=EDGE_LOOP('',(#266656)); #49099=EDGE_LOOP('',(#266657,#266658,#266659,#266660)); #49100=EDGE_LOOP('',(#266661,#266662,#266663,#266664)); #49101=EDGE_LOOP('',(#266665,#266666,#266667,#266668)); #49102=EDGE_LOOP('',(#266669,#266670,#266671,#266672)); #49103=EDGE_LOOP('',(#266673,#266674,#266675,#266676)); #49104=EDGE_LOOP('',(#266677,#266678,#266679,#266680)); #49105=EDGE_LOOP('',(#266681,#266682,#266683,#266684)); #49106=EDGE_LOOP('',(#266685,#266686,#266687,#266688)); #49107=EDGE_LOOP('',(#266689,#266690,#266691,#266692)); #49108=EDGE_LOOP('',(#266693,#266694,#266695,#266696)); #49109=EDGE_LOOP('',(#266697,#266698,#266699,#266700)); #49110=EDGE_LOOP('',(#266701,#266702,#266703,#266704)); #49111=EDGE_LOOP('',(#266705,#266706,#266707,#266708)); #49112=EDGE_LOOP('',(#266709,#266710,#266711,#266712)); #49113=EDGE_LOOP('',(#266713,#266714,#266715,#266716)); #49114=EDGE_LOOP('',(#266717,#266718,#266719,#266720)); #49115=EDGE_LOOP('',(#266721,#266722,#266723,#266724)); #49116=EDGE_LOOP('',(#266725,#266726,#266727,#266728)); #49117=EDGE_LOOP('',(#266729,#266730,#266731,#266732)); #49118=EDGE_LOOP('',(#266733,#266734,#266735,#266736)); #49119=EDGE_LOOP('',(#266737,#266738,#266739,#266740)); #49120=EDGE_LOOP('',(#266741,#266742,#266743,#266744)); #49121=EDGE_LOOP('',(#266745,#266746,#266747,#266748)); #49122=EDGE_LOOP('',(#266749,#266750,#266751,#266752)); #49123=EDGE_LOOP('',(#266753,#266754,#266755,#266756)); #49124=EDGE_LOOP('',(#266757,#266758,#266759,#266760)); #49125=EDGE_LOOP('',(#266761,#266762,#266763,#266764)); #49126=EDGE_LOOP('',(#266765,#266766,#266767,#266768)); #49127=EDGE_LOOP('',(#266769,#266770,#266771,#266772)); #49128=EDGE_LOOP('',(#266773,#266774,#266775,#266776)); #49129=EDGE_LOOP('',(#266777,#266778,#266779,#266780)); #49130=EDGE_LOOP('',(#266781,#266782,#266783,#266784)); #49131=EDGE_LOOP('',(#266785,#266786,#266787,#266788)); #49132=EDGE_LOOP('',(#266789,#266790,#266791,#266792)); #49133=EDGE_LOOP('',(#266793,#266794,#266795,#266796)); #49134=EDGE_LOOP('',(#266797,#266798,#266799,#266800)); #49135=EDGE_LOOP('',(#266801,#266802,#266803,#266804)); #49136=EDGE_LOOP('',(#266805,#266806,#266807,#266808)); #49137=EDGE_LOOP('',(#266809,#266810,#266811,#266812)); #49138=EDGE_LOOP('',(#266813,#266814,#266815,#266816)); #49139=EDGE_LOOP('',(#266817,#266818,#266819,#266820)); #49140=EDGE_LOOP('',(#266821,#266822,#266823,#266824)); #49141=EDGE_LOOP('',(#266825,#266826,#266827,#266828)); #49142=EDGE_LOOP('',(#266829,#266830,#266831,#266832)); #49143=EDGE_LOOP('',(#266833,#266834,#266835,#266836)); #49144=EDGE_LOOP('',(#266837,#266838,#266839,#266840)); #49145=EDGE_LOOP('',(#266841,#266842,#266843,#266844)); #49146=EDGE_LOOP('',(#266845,#266846,#266847,#266848)); #49147=EDGE_LOOP('',(#266849,#266850,#266851,#266852)); #49148=EDGE_LOOP('',(#266853,#266854,#266855,#266856)); #49149=EDGE_LOOP('',(#266857,#266858,#266859,#266860)); #49150=EDGE_LOOP('',(#266861,#266862,#266863,#266864)); #49151=EDGE_LOOP('',(#266865,#266866,#266867,#266868)); #49152=EDGE_LOOP('',(#266869,#266870,#266871,#266872)); #49153=EDGE_LOOP('',(#266873,#266874,#266875,#266876)); #49154=EDGE_LOOP('',(#266877,#266878,#266879,#266880)); #49155=EDGE_LOOP('',(#266881,#266882,#266883,#266884)); #49156=EDGE_LOOP('',(#266885,#266886,#266887,#266888)); #49157=EDGE_LOOP('',(#266889,#266890,#266891,#266892)); #49158=EDGE_LOOP('',(#266893,#266894,#266895,#266896)); #49159=EDGE_LOOP('',(#266897,#266898,#266899,#266900)); #49160=EDGE_LOOP('',(#266901,#266902,#266903,#266904)); #49161=EDGE_LOOP('',(#266905,#266906,#266907,#266908)); #49162=EDGE_LOOP('',(#266909,#266910,#266911,#266912)); #49163=EDGE_LOOP('',(#266913,#266914,#266915,#266916)); #49164=EDGE_LOOP('',(#266917,#266918,#266919,#266920)); #49165=EDGE_LOOP('',(#266921,#266922,#266923,#266924)); #49166=EDGE_LOOP('',(#266925,#266926,#266927,#266928)); #49167=EDGE_LOOP('',(#266929,#266930,#266931,#266932)); #49168=EDGE_LOOP('',(#266933,#266934,#266935,#266936)); #49169=EDGE_LOOP('',(#266937,#266938,#266939,#266940)); #49170=EDGE_LOOP('',(#266941,#266942,#266943,#266944)); #49171=EDGE_LOOP('',(#266945,#266946,#266947,#266948)); #49172=EDGE_LOOP('',(#266949,#266950,#266951,#266952)); #49173=EDGE_LOOP('',(#266953,#266954,#266955,#266956)); #49174=EDGE_LOOP('',(#266957,#266958,#266959,#266960)); #49175=EDGE_LOOP('',(#266961,#266962,#266963,#266964)); #49176=EDGE_LOOP('',(#266965,#266966,#266967,#266968)); #49177=EDGE_LOOP('',(#266969,#266970,#266971,#266972)); #49178=EDGE_LOOP('',(#266973,#266974,#266975,#266976)); #49179=EDGE_LOOP('',(#266977,#266978,#266979,#266980)); #49180=EDGE_LOOP('',(#266981,#266982,#266983,#266984)); #49181=EDGE_LOOP('',(#266985,#266986,#266987,#266988)); #49182=EDGE_LOOP('',(#266989,#266990,#266991,#266992)); #49183=EDGE_LOOP('',(#266993,#266994,#266995,#266996)); #49184=EDGE_LOOP('',(#266997,#266998,#266999,#267000)); #49185=EDGE_LOOP('',(#267001,#267002,#267003,#267004)); #49186=EDGE_LOOP('',(#267005,#267006,#267007,#267008)); #49187=EDGE_LOOP('',(#267009,#267010,#267011,#267012)); #49188=EDGE_LOOP('',(#267013,#267014,#267015,#267016)); #49189=EDGE_LOOP('',(#267017,#267018,#267019,#267020)); #49190=EDGE_LOOP('',(#267021,#267022,#267023,#267024)); #49191=EDGE_LOOP('',(#267025,#267026,#267027,#267028)); #49192=EDGE_LOOP('',(#267029,#267030,#267031,#267032)); #49193=EDGE_LOOP('',(#267033,#267034,#267035,#267036)); #49194=EDGE_LOOP('',(#267037,#267038,#267039,#267040)); #49195=EDGE_LOOP('',(#267041,#267042,#267043,#267044)); #49196=EDGE_LOOP('',(#267045,#267046,#267047,#267048)); #49197=EDGE_LOOP('',(#267049,#267050,#267051,#267052)); #49198=EDGE_LOOP('',(#267053,#267054,#267055,#267056)); #49199=EDGE_LOOP('',(#267057,#267058,#267059,#267060)); #49200=EDGE_LOOP('',(#267061,#267062,#267063,#267064)); #49201=EDGE_LOOP('',(#267065,#267066,#267067,#267068)); #49202=EDGE_LOOP('',(#267069,#267070,#267071,#267072)); #49203=EDGE_LOOP('',(#267073,#267074,#267075,#267076)); #49204=EDGE_LOOP('',(#267077,#267078,#267079,#267080)); #49205=EDGE_LOOP('',(#267081,#267082,#267083,#267084)); #49206=EDGE_LOOP('',(#267085,#267086,#267087,#267088)); #49207=EDGE_LOOP('',(#267089,#267090,#267091,#267092)); #49208=EDGE_LOOP('',(#267093,#267094,#267095,#267096)); #49209=EDGE_LOOP('',(#267097,#267098,#267099,#267100)); #49210=EDGE_LOOP('',(#267101,#267102,#267103,#267104)); #49211=EDGE_LOOP('',(#267105,#267106,#267107,#267108)); #49212=EDGE_LOOP('',(#267109,#267110,#267111,#267112)); #49213=EDGE_LOOP('',(#267113,#267114,#267115,#267116)); #49214=EDGE_LOOP('',(#267117,#267118,#267119,#267120)); #49215=EDGE_LOOP('',(#267121,#267122,#267123,#267124,#267125,#267126,#267127, #267128,#267129,#267130,#267131,#267132,#267133,#267134,#267135,#267136, #267137,#267138,#267139,#267140,#267141,#267142,#267143,#267144,#267145, #267146,#267147,#267148,#267149,#267150,#267151,#267152,#267153,#267154, #267155,#267156,#267157,#267158,#267159,#267160,#267161,#267162,#267163, #267164,#267165,#267166,#267167,#267168,#267169,#267170,#267171,#267172, #267173,#267174,#267175,#267176,#267177,#267178,#267179,#267180,#267181, #267182,#267183,#267184,#267185,#267186,#267187,#267188,#267189,#267190, #267191,#267192,#267193,#267194,#267195,#267196,#267197,#267198,#267199, #267200,#267201,#267202,#267203,#267204,#267205)); #49216=EDGE_LOOP('',(#267206)); #49217=EDGE_LOOP('',(#267207)); #49218=EDGE_LOOP('',(#267208)); #49219=EDGE_LOOP('',(#267209)); #49220=EDGE_LOOP('',(#267210)); #49221=EDGE_LOOP('',(#267211)); #49222=EDGE_LOOP('',(#267212)); #49223=EDGE_LOOP('',(#267213)); #49224=EDGE_LOOP('',(#267214)); #49225=EDGE_LOOP('',(#267215)); #49226=EDGE_LOOP('',(#267216)); #49227=EDGE_LOOP('',(#267217)); #49228=EDGE_LOOP('',(#267218)); #49229=EDGE_LOOP('',(#267219)); #49230=EDGE_LOOP('',(#267220)); #49231=EDGE_LOOP('',(#267221)); #49232=EDGE_LOOP('',(#267222)); #49233=EDGE_LOOP('',(#267223)); #49234=EDGE_LOOP('',(#267224)); #49235=EDGE_LOOP('',(#267225)); #49236=EDGE_LOOP('',(#267226)); #49237=EDGE_LOOP('',(#267227)); #49238=EDGE_LOOP('',(#267228)); #49239=EDGE_LOOP('',(#267229)); #49240=EDGE_LOOP('',(#267230)); #49241=EDGE_LOOP('',(#267231)); #49242=EDGE_LOOP('',(#267232)); #49243=EDGE_LOOP('',(#267233)); #49244=EDGE_LOOP('',(#267234)); #49245=EDGE_LOOP('',(#267235)); #49246=EDGE_LOOP('',(#267236)); #49247=EDGE_LOOP('',(#267237,#267238,#267239,#267240,#267241,#267242,#267243, #267244,#267245,#267246,#267247,#267248,#267249,#267250,#267251,#267252, #267253,#267254,#267255,#267256,#267257,#267258,#267259,#267260,#267261, #267262,#267263,#267264,#267265,#267266,#267267,#267268,#267269,#267270, #267271,#267272,#267273,#267274,#267275,#267276,#267277,#267278,#267279, #267280,#267281,#267282,#267283,#267284,#267285,#267286,#267287,#267288, #267289,#267290,#267291,#267292,#267293,#267294,#267295,#267296,#267297, #267298,#267299,#267300,#267301,#267302,#267303,#267304,#267305,#267306, #267307,#267308,#267309,#267310,#267311,#267312,#267313,#267314,#267315, #267316,#267317,#267318,#267319,#267320,#267321)); #49248=EDGE_LOOP('',(#267322)); #49249=EDGE_LOOP('',(#267323)); #49250=EDGE_LOOP('',(#267324)); #49251=EDGE_LOOP('',(#267325)); #49252=EDGE_LOOP('',(#267326)); #49253=EDGE_LOOP('',(#267327)); #49254=EDGE_LOOP('',(#267328)); #49255=EDGE_LOOP('',(#267329)); #49256=EDGE_LOOP('',(#267330)); #49257=EDGE_LOOP('',(#267331)); #49258=EDGE_LOOP('',(#267332)); #49259=EDGE_LOOP('',(#267333)); #49260=EDGE_LOOP('',(#267334)); #49261=EDGE_LOOP('',(#267335)); #49262=EDGE_LOOP('',(#267336)); #49263=EDGE_LOOP('',(#267337)); #49264=EDGE_LOOP('',(#267338)); #49265=EDGE_LOOP('',(#267339)); #49266=EDGE_LOOP('',(#267340)); #49267=EDGE_LOOP('',(#267341)); #49268=EDGE_LOOP('',(#267342)); #49269=EDGE_LOOP('',(#267343)); #49270=EDGE_LOOP('',(#267344)); #49271=EDGE_LOOP('',(#267345)); #49272=EDGE_LOOP('',(#267346)); #49273=EDGE_LOOP('',(#267347)); #49274=EDGE_LOOP('',(#267348)); #49275=EDGE_LOOP('',(#267349)); #49276=EDGE_LOOP('',(#267350)); #49277=EDGE_LOOP('',(#267351)); #49278=EDGE_LOOP('',(#267352)); #49279=EDGE_LOOP('',(#267353,#267354,#267355,#267356)); #49280=EDGE_LOOP('',(#267357,#267358,#267359,#267360)); #49281=EDGE_LOOP('',(#267361,#267362,#267363,#267364)); #49282=EDGE_LOOP('',(#267365,#267366,#267367,#267368)); #49283=EDGE_LOOP('',(#267369,#267370,#267371,#267372)); #49284=EDGE_LOOP('',(#267373,#267374,#267375,#267376)); #49285=EDGE_LOOP('',(#267377,#267378,#267379,#267380)); #49286=EDGE_LOOP('',(#267381,#267382,#267383,#267384)); #49287=EDGE_LOOP('',(#267385,#267386,#267387,#267388)); #49288=EDGE_LOOP('',(#267389,#267390,#267391,#267392)); #49289=EDGE_LOOP('',(#267393,#267394,#267395,#267396)); #49290=EDGE_LOOP('',(#267397,#267398,#267399,#267400)); #49291=EDGE_LOOP('',(#267401,#267402,#267403,#267404)); #49292=EDGE_LOOP('',(#267405,#267406,#267407,#267408)); #49293=EDGE_LOOP('',(#267409,#267410,#267411,#267412)); #49294=EDGE_LOOP('',(#267413,#267414,#267415,#267416)); #49295=EDGE_LOOP('',(#267417,#267418,#267419,#267420)); #49296=EDGE_LOOP('',(#267421,#267422,#267423,#267424)); #49297=EDGE_LOOP('',(#267425,#267426,#267427,#267428)); #49298=EDGE_LOOP('',(#267429,#267430,#267431,#267432)); #49299=EDGE_LOOP('',(#267433,#267434,#267435,#267436)); #49300=EDGE_LOOP('',(#267437,#267438,#267439,#267440)); #49301=EDGE_LOOP('',(#267441,#267442,#267443,#267444,#267445,#267446,#267447, #267448,#267449,#267450,#267451,#267452,#267453,#267454,#267455,#267456, #267457,#267458,#267459,#267460,#267461)); #49302=EDGE_LOOP('',(#267462)); #49303=EDGE_LOOP('',(#267463,#267464,#267465,#267466,#267467,#267468,#267469, #267470,#267471,#267472,#267473,#267474,#267475,#267476,#267477,#267478, #267479,#267480,#267481,#267482,#267483)); #49304=EDGE_LOOP('',(#267484)); #49305=EDGE_LOOP('',(#267485,#267486,#267487,#267488)); #49306=EDGE_LOOP('',(#267489,#267490,#267491,#267492)); #49307=EDGE_LOOP('',(#267493,#267494,#267495,#267496)); #49308=EDGE_LOOP('',(#267497,#267498,#267499,#267500)); #49309=EDGE_LOOP('',(#267501,#267502,#267503,#267504)); #49310=EDGE_LOOP('',(#267505,#267506,#267507,#267508)); #49311=EDGE_LOOP('',(#267509,#267510,#267511,#267512)); #49312=EDGE_LOOP('',(#267513,#267514,#267515,#267516)); #49313=EDGE_LOOP('',(#267517,#267518,#267519,#267520)); #49314=EDGE_LOOP('',(#267521,#267522,#267523,#267524)); #49315=EDGE_LOOP('',(#267525,#267526,#267527,#267528)); #49316=EDGE_LOOP('',(#267529,#267530,#267531,#267532)); #49317=EDGE_LOOP('',(#267533,#267534,#267535,#267536)); #49318=EDGE_LOOP('',(#267537,#267538,#267539,#267540)); #49319=EDGE_LOOP('',(#267541,#267542,#267543,#267544)); #49320=EDGE_LOOP('',(#267545,#267546,#267547,#267548)); #49321=EDGE_LOOP('',(#267549,#267550,#267551,#267552)); #49322=EDGE_LOOP('',(#267553,#267554,#267555,#267556)); #49323=EDGE_LOOP('',(#267557,#267558,#267559,#267560)); #49324=EDGE_LOOP('',(#267561,#267562,#267563,#267564)); #49325=EDGE_LOOP('',(#267565,#267566,#267567,#267568)); #49326=EDGE_LOOP('',(#267569,#267570,#267571,#267572)); #49327=EDGE_LOOP('',(#267573,#267574,#267575,#267576)); #49328=EDGE_LOOP('',(#267577,#267578,#267579,#267580)); #49329=EDGE_LOOP('',(#267581,#267582,#267583,#267584)); #49330=EDGE_LOOP('',(#267585,#267586,#267587,#267588)); #49331=EDGE_LOOP('',(#267589,#267590,#267591,#267592)); #49332=EDGE_LOOP('',(#267593,#267594,#267595,#267596)); #49333=EDGE_LOOP('',(#267597,#267598,#267599,#267600)); #49334=EDGE_LOOP('',(#267601,#267602,#267603,#267604)); #49335=EDGE_LOOP('',(#267605,#267606,#267607,#267608)); #49336=EDGE_LOOP('',(#267609,#267610,#267611,#267612)); #49337=EDGE_LOOP('',(#267613,#267614,#267615,#267616)); #49338=EDGE_LOOP('',(#267617,#267618,#267619,#267620)); #49339=EDGE_LOOP('',(#267621,#267622,#267623,#267624)); #49340=EDGE_LOOP('',(#267625,#267626,#267627,#267628)); #49341=EDGE_LOOP('',(#267629,#267630,#267631,#267632)); #49342=EDGE_LOOP('',(#267633,#267634,#267635,#267636)); #49343=EDGE_LOOP('',(#267637,#267638,#267639,#267640)); #49344=EDGE_LOOP('',(#267641,#267642,#267643,#267644)); #49345=EDGE_LOOP('',(#267645,#267646,#267647,#267648)); #49346=EDGE_LOOP('',(#267649,#267650,#267651,#267652)); #49347=EDGE_LOOP('',(#267653,#267654,#267655,#267656)); #49348=EDGE_LOOP('',(#267657,#267658,#267659,#267660)); #49349=EDGE_LOOP('',(#267661,#267662,#267663,#267664)); #49350=EDGE_LOOP('',(#267665,#267666,#267667,#267668)); #49351=EDGE_LOOP('',(#267669,#267670,#267671,#267672)); #49352=EDGE_LOOP('',(#267673,#267674,#267675,#267676)); #49353=EDGE_LOOP('',(#267677,#267678,#267679,#267680)); #49354=EDGE_LOOP('',(#267681,#267682,#267683,#267684)); #49355=EDGE_LOOP('',(#267685,#267686,#267687,#267688)); #49356=EDGE_LOOP('',(#267689,#267690,#267691,#267692)); #49357=EDGE_LOOP('',(#267693,#267694,#267695,#267696)); #49358=EDGE_LOOP('',(#267697,#267698,#267699,#267700)); #49359=EDGE_LOOP('',(#267701,#267702,#267703,#267704)); #49360=EDGE_LOOP('',(#267705,#267706,#267707,#267708)); #49361=EDGE_LOOP('',(#267709,#267710,#267711,#267712)); #49362=EDGE_LOOP('',(#267713,#267714,#267715,#267716)); #49363=EDGE_LOOP('',(#267717,#267718,#267719,#267720)); #49364=EDGE_LOOP('',(#267721,#267722,#267723,#267724)); #49365=EDGE_LOOP('',(#267725,#267726,#267727,#267728)); #49366=EDGE_LOOP('',(#267729,#267730,#267731,#267732)); #49367=EDGE_LOOP('',(#267733,#267734,#267735,#267736)); #49368=EDGE_LOOP('',(#267737,#267738,#267739,#267740)); #49369=EDGE_LOOP('',(#267741,#267742,#267743,#267744)); #49370=EDGE_LOOP('',(#267745,#267746,#267747,#267748)); #49371=EDGE_LOOP('',(#267749,#267750,#267751,#267752)); #49372=EDGE_LOOP('',(#267753,#267754,#267755,#267756)); #49373=EDGE_LOOP('',(#267757,#267758,#267759,#267760)); #49374=EDGE_LOOP('',(#267761,#267762,#267763,#267764)); #49375=EDGE_LOOP('',(#267765,#267766,#267767,#267768)); #49376=EDGE_LOOP('',(#267769,#267770,#267771,#267772)); #49377=EDGE_LOOP('',(#267773,#267774,#267775,#267776)); #49378=EDGE_LOOP('',(#267777,#267778,#267779,#267780)); #49379=EDGE_LOOP('',(#267781,#267782,#267783,#267784)); #49380=EDGE_LOOP('',(#267785,#267786,#267787,#267788)); #49381=EDGE_LOOP('',(#267789,#267790,#267791,#267792)); #49382=EDGE_LOOP('',(#267793,#267794,#267795,#267796)); #49383=EDGE_LOOP('',(#267797,#267798,#267799,#267800)); #49384=EDGE_LOOP('',(#267801,#267802,#267803,#267804)); #49385=EDGE_LOOP('',(#267805,#267806,#267807,#267808)); #49386=EDGE_LOOP('',(#267809,#267810,#267811,#267812)); #49387=EDGE_LOOP('',(#267813,#267814,#267815,#267816)); #49388=EDGE_LOOP('',(#267817,#267818,#267819,#267820)); #49389=EDGE_LOOP('',(#267821,#267822,#267823,#267824)); #49390=EDGE_LOOP('',(#267825,#267826,#267827,#267828)); #49391=EDGE_LOOP('',(#267829,#267830,#267831,#267832)); #49392=EDGE_LOOP('',(#267833,#267834,#267835,#267836)); #49393=EDGE_LOOP('',(#267837,#267838,#267839,#267840)); #49394=EDGE_LOOP('',(#267841,#267842,#267843,#267844)); #49395=EDGE_LOOP('',(#267845,#267846,#267847,#267848)); #49396=EDGE_LOOP('',(#267849,#267850,#267851,#267852)); #49397=EDGE_LOOP('',(#267853,#267854,#267855,#267856)); #49398=EDGE_LOOP('',(#267857,#267858,#267859,#267860)); #49399=EDGE_LOOP('',(#267861,#267862,#267863,#267864)); #49400=EDGE_LOOP('',(#267865,#267866,#267867,#267868)); #49401=EDGE_LOOP('',(#267869,#267870,#267871,#267872)); #49402=EDGE_LOOP('',(#267873,#267874,#267875,#267876)); #49403=EDGE_LOOP('',(#267877,#267878,#267879,#267880)); #49404=EDGE_LOOP('',(#267881,#267882,#267883,#267884)); #49405=EDGE_LOOP('',(#267885,#267886,#267887,#267888)); #49406=EDGE_LOOP('',(#267889,#267890,#267891,#267892)); #49407=EDGE_LOOP('',(#267893,#267894,#267895,#267896)); #49408=EDGE_LOOP('',(#267897,#267898,#267899,#267900)); #49409=EDGE_LOOP('',(#267901,#267902,#267903,#267904)); #49410=EDGE_LOOP('',(#267905,#267906,#267907,#267908)); #49411=EDGE_LOOP('',(#267909,#267910,#267911,#267912)); #49412=EDGE_LOOP('',(#267913,#267914,#267915,#267916)); #49413=EDGE_LOOP('',(#267917,#267918,#267919,#267920)); #49414=EDGE_LOOP('',(#267921,#267922,#267923,#267924)); #49415=EDGE_LOOP('',(#267925,#267926,#267927,#267928)); #49416=EDGE_LOOP('',(#267929,#267930,#267931,#267932)); #49417=EDGE_LOOP('',(#267933,#267934,#267935,#267936)); #49418=EDGE_LOOP('',(#267937,#267938,#267939,#267940)); #49419=EDGE_LOOP('',(#267941,#267942,#267943,#267944)); #49420=EDGE_LOOP('',(#267945,#267946,#267947,#267948)); #49421=EDGE_LOOP('',(#267949,#267950,#267951,#267952)); #49422=EDGE_LOOP('',(#267953,#267954,#267955,#267956)); #49423=EDGE_LOOP('',(#267957,#267958,#267959,#267960)); #49424=EDGE_LOOP('',(#267961,#267962,#267963,#267964)); #49425=EDGE_LOOP('',(#267965,#267966,#267967,#267968)); #49426=EDGE_LOOP('',(#267969,#267970,#267971,#267972)); #49427=EDGE_LOOP('',(#267973,#267974,#267975,#267976)); #49428=EDGE_LOOP('',(#267977,#267978,#267979,#267980)); #49429=EDGE_LOOP('',(#267981,#267982,#267983,#267984)); #49430=EDGE_LOOP('',(#267985,#267986,#267987,#267988)); #49431=EDGE_LOOP('',(#267989,#267990,#267991,#267992)); #49432=EDGE_LOOP('',(#267993,#267994,#267995,#267996)); #49433=EDGE_LOOP('',(#267997,#267998,#267999,#268000)); #49434=EDGE_LOOP('',(#268001,#268002,#268003,#268004)); #49435=EDGE_LOOP('',(#268005,#268006,#268007,#268008)); #49436=EDGE_LOOP('',(#268009,#268010,#268011,#268012)); #49437=EDGE_LOOP('',(#268013,#268014,#268015,#268016)); #49438=EDGE_LOOP('',(#268017,#268018,#268019,#268020)); #49439=EDGE_LOOP('',(#268021,#268022,#268023,#268024)); #49440=EDGE_LOOP('',(#268025,#268026,#268027,#268028,#268029,#268030,#268031, #268032,#268033,#268034,#268035,#268036,#268037,#268038,#268039,#268040, #268041,#268042,#268043,#268044,#268045,#268046,#268047,#268048,#268049, #268050,#268051,#268052,#268053,#268054,#268055,#268056,#268057,#268058, #268059,#268060,#268061,#268062,#268063,#268064,#268065,#268066,#268067, #268068,#268069,#268070,#268071,#268072,#268073,#268074,#268075,#268076, #268077,#268078,#268079,#268080,#268081,#268082,#268083,#268084,#268085, #268086,#268087,#268088,#268089,#268090,#268091,#268092,#268093,#268094, #268095,#268096,#268097,#268098,#268099,#268100,#268101,#268102,#268103, #268104,#268105,#268106,#268107,#268108,#268109,#268110,#268111,#268112, #268113,#268114,#268115,#268116,#268117,#268118,#268119,#268120,#268121, #268122,#268123,#268124,#268125,#268126,#268127,#268128,#268129,#268130, #268131,#268132,#268133,#268134,#268135,#268136,#268137,#268138,#268139, #268140,#268141,#268142,#268143,#268144,#268145,#268146,#268147,#268148)); #49441=EDGE_LOOP('',(#268149)); #49442=EDGE_LOOP('',(#268150)); #49443=EDGE_LOOP('',(#268151)); #49444=EDGE_LOOP('',(#268152)); #49445=EDGE_LOOP('',(#268153)); #49446=EDGE_LOOP('',(#268154)); #49447=EDGE_LOOP('',(#268155)); #49448=EDGE_LOOP('',(#268156)); #49449=EDGE_LOOP('',(#268157)); #49450=EDGE_LOOP('',(#268158)); #49451=EDGE_LOOP('',(#268159)); #49452=EDGE_LOOP('',(#268160,#268161,#268162,#268163,#268164,#268165,#268166, #268167,#268168,#268169,#268170,#268171,#268172,#268173,#268174,#268175, #268176,#268177,#268178,#268179,#268180,#268181,#268182,#268183,#268184, #268185,#268186,#268187,#268188,#268189,#268190,#268191,#268192,#268193, #268194,#268195,#268196,#268197,#268198,#268199,#268200,#268201,#268202, #268203,#268204,#268205,#268206,#268207,#268208,#268209,#268210,#268211, #268212,#268213,#268214,#268215,#268216,#268217,#268218,#268219,#268220, #268221,#268222,#268223,#268224,#268225,#268226,#268227,#268228,#268229, #268230,#268231,#268232,#268233,#268234,#268235,#268236,#268237,#268238, #268239,#268240,#268241,#268242,#268243,#268244,#268245,#268246,#268247, #268248,#268249,#268250,#268251,#268252,#268253,#268254,#268255,#268256, #268257,#268258,#268259,#268260,#268261,#268262,#268263,#268264,#268265, #268266,#268267,#268268,#268269,#268270,#268271,#268272,#268273,#268274, #268275,#268276,#268277,#268278,#268279,#268280,#268281,#268282,#268283)); #49453=EDGE_LOOP('',(#268284)); #49454=EDGE_LOOP('',(#268285)); #49455=EDGE_LOOP('',(#268286)); #49456=EDGE_LOOP('',(#268287)); #49457=EDGE_LOOP('',(#268288)); #49458=EDGE_LOOP('',(#268289)); #49459=EDGE_LOOP('',(#268290)); #49460=EDGE_LOOP('',(#268291)); #49461=EDGE_LOOP('',(#268292)); #49462=EDGE_LOOP('',(#268293)); #49463=EDGE_LOOP('',(#268294)); #49464=EDGE_LOOP('',(#268295,#268296,#268297,#268298)); #49465=EDGE_LOOP('',(#268299,#268300,#268301,#268302)); #49466=EDGE_LOOP('',(#268303,#268304,#268305,#268306)); #49467=EDGE_LOOP('',(#268307,#268308,#268309,#268310)); #49468=EDGE_LOOP('',(#268311,#268312,#268313,#268314)); #49469=EDGE_LOOP('',(#268315,#268316,#268317,#268318)); #49470=EDGE_LOOP('',(#268319,#268320,#268321,#268322)); #49471=EDGE_LOOP('',(#268323,#268324,#268325,#268326)); #49472=EDGE_LOOP('',(#268327,#268328,#268329,#268330)); #49473=EDGE_LOOP('',(#268331,#268332,#268333,#268334)); #49474=EDGE_LOOP('',(#268335,#268336,#268337,#268338)); #49475=EDGE_LOOP('',(#268339,#268340,#268341,#268342)); #49476=EDGE_LOOP('',(#268343,#268344,#268345,#268346)); #49477=EDGE_LOOP('',(#268347,#268348,#268349,#268350)); #49478=EDGE_LOOP('',(#268351,#268352,#268353,#268354)); #49479=EDGE_LOOP('',(#268355,#268356,#268357,#268358)); #49480=EDGE_LOOP('',(#268359,#268360,#268361,#268362)); #49481=EDGE_LOOP('',(#268363,#268364,#268365,#268366)); #49482=EDGE_LOOP('',(#268367,#268368,#268369,#268370)); #49483=EDGE_LOOP('',(#268371,#268372,#268373,#268374)); #49484=EDGE_LOOP('',(#268375,#268376,#268377,#268378)); #49485=EDGE_LOOP('',(#268379,#268380,#268381,#268382)); #49486=EDGE_LOOP('',(#268383,#268384,#268385,#268386)); #49487=EDGE_LOOP('',(#268387,#268388,#268389,#268390)); #49488=EDGE_LOOP('',(#268391,#268392,#268393,#268394)); #49489=EDGE_LOOP('',(#268395,#268396,#268397,#268398)); #49490=EDGE_LOOP('',(#268399,#268400,#268401,#268402)); #49491=EDGE_LOOP('',(#268403,#268404,#268405,#268406)); #49492=EDGE_LOOP('',(#268407,#268408,#268409,#268410)); #49493=EDGE_LOOP('',(#268411,#268412,#268413,#268414)); #49494=EDGE_LOOP('',(#268415,#268416,#268417,#268418)); #49495=EDGE_LOOP('',(#268419,#268420,#268421,#268422)); #49496=EDGE_LOOP('',(#268423,#268424,#268425,#268426)); #49497=EDGE_LOOP('',(#268427,#268428,#268429,#268430)); #49498=EDGE_LOOP('',(#268431,#268432,#268433,#268434)); #49499=EDGE_LOOP('',(#268435,#268436,#268437,#268438)); #49500=EDGE_LOOP('',(#268439,#268440,#268441,#268442)); #49501=EDGE_LOOP('',(#268443,#268444,#268445,#268446)); #49502=EDGE_LOOP('',(#268447,#268448,#268449,#268450)); #49503=EDGE_LOOP('',(#268451,#268452,#268453,#268454)); #49504=EDGE_LOOP('',(#268455,#268456,#268457,#268458)); #49505=EDGE_LOOP('',(#268459,#268460,#268461,#268462)); #49506=EDGE_LOOP('',(#268463,#268464,#268465,#268466)); #49507=EDGE_LOOP('',(#268467,#268468,#268469,#268470)); #49508=EDGE_LOOP('',(#268471,#268472,#268473,#268474)); #49509=EDGE_LOOP('',(#268475,#268476,#268477,#268478)); #49510=EDGE_LOOP('',(#268479,#268480,#268481,#268482)); #49511=EDGE_LOOP('',(#268483,#268484,#268485,#268486)); #49512=EDGE_LOOP('',(#268487,#268488,#268489,#268490)); #49513=EDGE_LOOP('',(#268491,#268492,#268493,#268494)); #49514=EDGE_LOOP('',(#268495,#268496,#268497,#268498)); #49515=EDGE_LOOP('',(#268499,#268500,#268501,#268502)); #49516=EDGE_LOOP('',(#268503,#268504,#268505,#268506)); #49517=EDGE_LOOP('',(#268507,#268508,#268509,#268510)); #49518=EDGE_LOOP('',(#268511,#268512,#268513,#268514)); #49519=EDGE_LOOP('',(#268515,#268516,#268517,#268518)); #49520=EDGE_LOOP('',(#268519,#268520,#268521,#268522)); #49521=EDGE_LOOP('',(#268523,#268524,#268525,#268526)); #49522=EDGE_LOOP('',(#268527,#268528,#268529,#268530)); #49523=EDGE_LOOP('',(#268531,#268532,#268533,#268534)); #49524=EDGE_LOOP('',(#268535,#268536,#268537,#268538)); #49525=EDGE_LOOP('',(#268539,#268540,#268541,#268542)); #49526=EDGE_LOOP('',(#268543,#268544,#268545,#268546)); #49527=EDGE_LOOP('',(#268547,#268548,#268549,#268550)); #49528=EDGE_LOOP('',(#268551,#268552,#268553,#268554)); #49529=EDGE_LOOP('',(#268555,#268556,#268557,#268558)); #49530=EDGE_LOOP('',(#268559,#268560,#268561,#268562)); #49531=EDGE_LOOP('',(#268563,#268564,#268565,#268566)); #49532=EDGE_LOOP('',(#268567,#268568,#268569,#268570)); #49533=EDGE_LOOP('',(#268571,#268572,#268573,#268574)); #49534=EDGE_LOOP('',(#268575,#268576,#268577,#268578)); #49535=EDGE_LOOP('',(#268579,#268580,#268581,#268582)); #49536=EDGE_LOOP('',(#268583,#268584,#268585,#268586)); #49537=EDGE_LOOP('',(#268587,#268588,#268589,#268590)); #49538=EDGE_LOOP('',(#268591,#268592,#268593,#268594)); #49539=EDGE_LOOP('',(#268595,#268596,#268597,#268598)); #49540=EDGE_LOOP('',(#268599,#268600,#268601,#268602)); #49541=EDGE_LOOP('',(#268603,#268604,#268605,#268606)); #49542=EDGE_LOOP('',(#268607,#268608,#268609,#268610)); #49543=EDGE_LOOP('',(#268611,#268612,#268613,#268614)); #49544=EDGE_LOOP('',(#268615,#268616,#268617,#268618)); #49545=EDGE_LOOP('',(#268619,#268620,#268621,#268622)); #49546=EDGE_LOOP('',(#268623,#268624,#268625,#268626)); #49547=EDGE_LOOP('',(#268627,#268628,#268629,#268630)); #49548=EDGE_LOOP('',(#268631,#268632,#268633,#268634)); #49549=EDGE_LOOP('',(#268635,#268636,#268637,#268638)); #49550=EDGE_LOOP('',(#268639,#268640,#268641,#268642)); #49551=EDGE_LOOP('',(#268643,#268644,#268645,#268646)); #49552=EDGE_LOOP('',(#268647,#268648,#268649,#268650)); #49553=EDGE_LOOP('',(#268651,#268652,#268653,#268654)); #49554=EDGE_LOOP('',(#268655,#268656,#268657,#268658)); #49555=EDGE_LOOP('',(#268659,#268660,#268661,#268662)); #49556=EDGE_LOOP('',(#268663,#268664,#268665,#268666)); #49557=EDGE_LOOP('',(#268667,#268668,#268669,#268670)); #49558=EDGE_LOOP('',(#268671,#268672,#268673,#268674)); #49559=EDGE_LOOP('',(#268675,#268676,#268677,#268678)); #49560=EDGE_LOOP('',(#268679,#268680,#268681,#268682)); #49561=EDGE_LOOP('',(#268683,#268684,#268685,#268686)); #49562=EDGE_LOOP('',(#268687,#268688,#268689,#268690)); #49563=EDGE_LOOP('',(#268691,#268692,#268693,#268694)); #49564=EDGE_LOOP('',(#268695,#268696,#268697,#268698)); #49565=EDGE_LOOP('',(#268699,#268700,#268701,#268702)); #49566=EDGE_LOOP('',(#268703,#268704,#268705,#268706)); #49567=EDGE_LOOP('',(#268707,#268708,#268709,#268710)); #49568=EDGE_LOOP('',(#268711,#268712,#268713,#268714)); #49569=EDGE_LOOP('',(#268715,#268716,#268717,#268718)); #49570=EDGE_LOOP('',(#268719,#268720,#268721,#268722)); #49571=EDGE_LOOP('',(#268723,#268724,#268725,#268726)); #49572=EDGE_LOOP('',(#268727,#268728,#268729,#268730)); #49573=EDGE_LOOP('',(#268731,#268732,#268733,#268734)); #49574=EDGE_LOOP('',(#268735,#268736,#268737,#268738)); #49575=EDGE_LOOP('',(#268739,#268740,#268741,#268742,#268743,#268744,#268745, #268746,#268747,#268748,#268749,#268750,#268751,#268752,#268753,#268754, #268755,#268756,#268757,#268758,#268759,#268760,#268761,#268762,#268763, #268764,#268765,#268766,#268767,#268768,#268769,#268770,#268771,#268772, #268773,#268774,#268775,#268776,#268777,#268778,#268779,#268780,#268781, #268782,#268783,#268784,#268785,#268786,#268787,#268788,#268789,#268790, #268791,#268792,#268793,#268794,#268795,#268796,#268797,#268798,#268799, #268800,#268801,#268802,#268803,#268804,#268805,#268806,#268807,#268808, #268809,#268810,#268811,#268812,#268813,#268814,#268815,#268816,#268817, #268818)); #49576=EDGE_LOOP('',(#268819)); #49577=EDGE_LOOP('',(#268820)); #49578=EDGE_LOOP('',(#268821)); #49579=EDGE_LOOP('',(#268822)); #49580=EDGE_LOOP('',(#268823)); #49581=EDGE_LOOP('',(#268824)); #49582=EDGE_LOOP('',(#268825)); #49583=EDGE_LOOP('',(#268826)); #49584=EDGE_LOOP('',(#268827)); #49585=EDGE_LOOP('',(#268828)); #49586=EDGE_LOOP('',(#268829)); #49587=EDGE_LOOP('',(#268830)); #49588=EDGE_LOOP('',(#268831)); #49589=EDGE_LOOP('',(#268832)); #49590=EDGE_LOOP('',(#268833)); #49591=EDGE_LOOP('',(#268834)); #49592=EDGE_LOOP('',(#268835)); #49593=EDGE_LOOP('',(#268836)); #49594=EDGE_LOOP('',(#268837)); #49595=EDGE_LOOP('',(#268838)); #49596=EDGE_LOOP('',(#268839)); #49597=EDGE_LOOP('',(#268840)); #49598=EDGE_LOOP('',(#268841)); #49599=EDGE_LOOP('',(#268842)); #49600=EDGE_LOOP('',(#268843)); #49601=EDGE_LOOP('',(#268844)); #49602=EDGE_LOOP('',(#268845)); #49603=EDGE_LOOP('',(#268846)); #49604=EDGE_LOOP('',(#268847)); #49605=EDGE_LOOP('',(#268848)); #49606=EDGE_LOOP('',(#268849)); #49607=EDGE_LOOP('',(#268850,#268851,#268852,#268853,#268854,#268855,#268856, #268857,#268858,#268859,#268860,#268861,#268862,#268863,#268864,#268865, #268866,#268867,#268868,#268869,#268870,#268871,#268872,#268873,#268874, #268875,#268876,#268877,#268878,#268879,#268880,#268881,#268882,#268883, #268884,#268885,#268886,#268887,#268888,#268889,#268890,#268891,#268892, #268893,#268894,#268895,#268896,#268897,#268898,#268899,#268900,#268901, #268902,#268903,#268904,#268905,#268906,#268907,#268908,#268909,#268910, #268911,#268912,#268913,#268914,#268915,#268916,#268917,#268918,#268919, #268920,#268921,#268922,#268923,#268924,#268925,#268926,#268927,#268928, #268929)); #49608=EDGE_LOOP('',(#268930)); #49609=EDGE_LOOP('',(#268931)); #49610=EDGE_LOOP('',(#268932)); #49611=EDGE_LOOP('',(#268933)); #49612=EDGE_LOOP('',(#268934)); #49613=EDGE_LOOP('',(#268935)); #49614=EDGE_LOOP('',(#268936)); #49615=EDGE_LOOP('',(#268937)); #49616=EDGE_LOOP('',(#268938)); #49617=EDGE_LOOP('',(#268939)); #49618=EDGE_LOOP('',(#268940)); #49619=EDGE_LOOP('',(#268941)); #49620=EDGE_LOOP('',(#268942)); #49621=EDGE_LOOP('',(#268943)); #49622=EDGE_LOOP('',(#268944)); #49623=EDGE_LOOP('',(#268945)); #49624=EDGE_LOOP('',(#268946)); #49625=EDGE_LOOP('',(#268947)); #49626=EDGE_LOOP('',(#268948)); #49627=EDGE_LOOP('',(#268949)); #49628=EDGE_LOOP('',(#268950)); #49629=EDGE_LOOP('',(#268951)); #49630=EDGE_LOOP('',(#268952)); #49631=EDGE_LOOP('',(#268953)); #49632=EDGE_LOOP('',(#268954)); #49633=EDGE_LOOP('',(#268955)); #49634=EDGE_LOOP('',(#268956)); #49635=EDGE_LOOP('',(#268957)); #49636=EDGE_LOOP('',(#268958)); #49637=EDGE_LOOP('',(#268959)); #49638=EDGE_LOOP('',(#268960)); #49639=EDGE_LOOP('',(#268961,#268962,#268963,#268964)); #49640=EDGE_LOOP('',(#268965,#268966,#268967,#268968)); #49641=EDGE_LOOP('',(#268969,#268970,#268971,#268972)); #49642=EDGE_LOOP('',(#268973,#268974,#268975,#268976)); #49643=EDGE_LOOP('',(#268977,#268978,#268979,#268980)); #49644=EDGE_LOOP('',(#268981,#268982,#268983,#268984)); #49645=EDGE_LOOP('',(#268985,#268986,#268987,#268988)); #49646=EDGE_LOOP('',(#268989,#268990,#268991,#268992)); #49647=EDGE_LOOP('',(#268993,#268994,#268995,#268996)); #49648=EDGE_LOOP('',(#268997,#268998,#268999,#269000)); #49649=EDGE_LOOP('',(#269001,#269002,#269003,#269004)); #49650=EDGE_LOOP('',(#269005,#269006,#269007,#269008)); #49651=EDGE_LOOP('',(#269009,#269010,#269011,#269012)); #49652=EDGE_LOOP('',(#269013,#269014,#269015,#269016)); #49653=EDGE_LOOP('',(#269017,#269018,#269019,#269020)); #49654=EDGE_LOOP('',(#269021,#269022,#269023,#269024)); #49655=EDGE_LOOP('',(#269025,#269026,#269027,#269028)); #49656=EDGE_LOOP('',(#269029,#269030,#269031,#269032)); #49657=EDGE_LOOP('',(#269033,#269034,#269035,#269036)); #49658=EDGE_LOOP('',(#269037,#269038,#269039,#269040)); #49659=EDGE_LOOP('',(#269041,#269042,#269043,#269044)); #49660=EDGE_LOOP('',(#269045,#269046,#269047,#269048)); #49661=EDGE_LOOP('',(#269049,#269050,#269051,#269052,#269053,#269054,#269055, #269056,#269057,#269058,#269059,#269060,#269061,#269062,#269063,#269064, #269065,#269066,#269067,#269068,#269069)); #49662=EDGE_LOOP('',(#269070)); #49663=EDGE_LOOP('',(#269071,#269072,#269073,#269074,#269075,#269076,#269077, #269078,#269079,#269080,#269081,#269082,#269083,#269084,#269085,#269086, #269087,#269088,#269089,#269090,#269091)); #49664=EDGE_LOOP('',(#269092)); #49665=EDGE_LOOP('',(#269093,#269094,#269095,#269096)); #49666=EDGE_LOOP('',(#269097,#269098,#269099,#269100)); #49667=EDGE_LOOP('',(#269101,#269102,#269103,#269104)); #49668=EDGE_LOOP('',(#269105,#269106,#269107,#269108)); #49669=EDGE_LOOP('',(#269109,#269110,#269111,#269112)); #49670=EDGE_LOOP('',(#269113,#269114,#269115,#269116)); #49671=EDGE_LOOP('',(#269117,#269118,#269119,#269120)); #49672=EDGE_LOOP('',(#269121,#269122,#269123,#269124)); #49673=EDGE_LOOP('',(#269125,#269126,#269127,#269128)); #49674=EDGE_LOOP('',(#269129,#269130,#269131,#269132)); #49675=EDGE_LOOP('',(#269133,#269134,#269135,#269136)); #49676=EDGE_LOOP('',(#269137,#269138,#269139,#269140)); #49677=EDGE_LOOP('',(#269141,#269142,#269143,#269144)); #49678=EDGE_LOOP('',(#269145,#269146,#269147,#269148)); #49679=EDGE_LOOP('',(#269149,#269150,#269151,#269152)); #49680=EDGE_LOOP('',(#269153,#269154,#269155,#269156)); #49681=EDGE_LOOP('',(#269157,#269158,#269159,#269160)); #49682=EDGE_LOOP('',(#269161,#269162,#269163,#269164)); #49683=EDGE_LOOP('',(#269165,#269166,#269167,#269168)); #49684=EDGE_LOOP('',(#269169,#269170,#269171,#269172)); #49685=EDGE_LOOP('',(#269173,#269174,#269175,#269176)); #49686=EDGE_LOOP('',(#269177,#269178,#269179,#269180)); #49687=EDGE_LOOP('',(#269181,#269182,#269183,#269184)); #49688=EDGE_LOOP('',(#269185,#269186,#269187,#269188)); #49689=EDGE_LOOP('',(#269189,#269190,#269191,#269192)); #49690=EDGE_LOOP('',(#269193,#269194,#269195,#269196)); #49691=EDGE_LOOP('',(#269197,#269198,#269199,#269200)); #49692=EDGE_LOOP('',(#269201,#269202,#269203,#269204)); #49693=EDGE_LOOP('',(#269205,#269206,#269207,#269208)); #49694=EDGE_LOOP('',(#269209,#269210,#269211,#269212)); #49695=EDGE_LOOP('',(#269213,#269214,#269215,#269216)); #49696=EDGE_LOOP('',(#269217,#269218,#269219,#269220)); #49697=EDGE_LOOP('',(#269221,#269222,#269223,#269224)); #49698=EDGE_LOOP('',(#269225,#269226,#269227,#269228)); #49699=EDGE_LOOP('',(#269229,#269230,#269231,#269232)); #49700=EDGE_LOOP('',(#269233,#269234,#269235,#269236)); #49701=EDGE_LOOP('',(#269237,#269238,#269239,#269240)); #49702=EDGE_LOOP('',(#269241,#269242,#269243,#269244)); #49703=EDGE_LOOP('',(#269245,#269246,#269247,#269248)); #49704=EDGE_LOOP('',(#269249,#269250,#269251,#269252)); #49705=EDGE_LOOP('',(#269253,#269254,#269255,#269256)); #49706=EDGE_LOOP('',(#269257,#269258,#269259,#269260)); #49707=EDGE_LOOP('',(#269261,#269262,#269263,#269264)); #49708=EDGE_LOOP('',(#269265,#269266,#269267,#269268)); #49709=EDGE_LOOP('',(#269269,#269270,#269271,#269272)); #49710=EDGE_LOOP('',(#269273,#269274,#269275,#269276)); #49711=EDGE_LOOP('',(#269277,#269278,#269279,#269280)); #49712=EDGE_LOOP('',(#269281,#269282,#269283,#269284)); #49713=EDGE_LOOP('',(#269285,#269286,#269287,#269288)); #49714=EDGE_LOOP('',(#269289,#269290,#269291,#269292)); #49715=EDGE_LOOP('',(#269293,#269294,#269295,#269296)); #49716=EDGE_LOOP('',(#269297,#269298,#269299,#269300)); #49717=EDGE_LOOP('',(#269301,#269302,#269303,#269304)); #49718=EDGE_LOOP('',(#269305,#269306,#269307,#269308)); #49719=EDGE_LOOP('',(#269309,#269310,#269311,#269312)); #49720=EDGE_LOOP('',(#269313,#269314,#269315,#269316)); #49721=EDGE_LOOP('',(#269317,#269318,#269319,#269320)); #49722=EDGE_LOOP('',(#269321,#269322,#269323,#269324)); #49723=EDGE_LOOP('',(#269325,#269326,#269327,#269328)); #49724=EDGE_LOOP('',(#269329,#269330,#269331,#269332)); #49725=EDGE_LOOP('',(#269333,#269334,#269335,#269336)); #49726=EDGE_LOOP('',(#269337,#269338,#269339,#269340)); #49727=EDGE_LOOP('',(#269341,#269342,#269343,#269344)); #49728=EDGE_LOOP('',(#269345,#269346,#269347,#269348)); #49729=EDGE_LOOP('',(#269349,#269350,#269351,#269352)); #49730=EDGE_LOOP('',(#269353,#269354,#269355,#269356)); #49731=EDGE_LOOP('',(#269357,#269358,#269359,#269360)); #49732=EDGE_LOOP('',(#269361,#269362,#269363,#269364)); #49733=EDGE_LOOP('',(#269365,#269366,#269367,#269368)); #49734=EDGE_LOOP('',(#269369,#269370,#269371,#269372)); #49735=EDGE_LOOP('',(#269373,#269374,#269375,#269376)); #49736=EDGE_LOOP('',(#269377,#269378,#269379,#269380)); #49737=EDGE_LOOP('',(#269381,#269382,#269383,#269384)); #49738=EDGE_LOOP('',(#269385,#269386,#269387,#269388)); #49739=EDGE_LOOP('',(#269389,#269390,#269391,#269392)); #49740=EDGE_LOOP('',(#269393,#269394,#269395,#269396)); #49741=EDGE_LOOP('',(#269397,#269398,#269399,#269400)); #49742=EDGE_LOOP('',(#269401,#269402,#269403,#269404)); #49743=EDGE_LOOP('',(#269405,#269406,#269407,#269408)); #49744=EDGE_LOOP('',(#269409,#269410,#269411,#269412)); #49745=EDGE_LOOP('',(#269413,#269414,#269415,#269416)); #49746=EDGE_LOOP('',(#269417,#269418,#269419,#269420)); #49747=EDGE_LOOP('',(#269421,#269422,#269423,#269424)); #49748=EDGE_LOOP('',(#269425,#269426,#269427,#269428)); #49749=EDGE_LOOP('',(#269429,#269430,#269431,#269432)); #49750=EDGE_LOOP('',(#269433,#269434,#269435,#269436)); #49751=EDGE_LOOP('',(#269437,#269438,#269439,#269440)); #49752=EDGE_LOOP('',(#269441,#269442,#269443,#269444)); #49753=EDGE_LOOP('',(#269445,#269446,#269447,#269448)); #49754=EDGE_LOOP('',(#269449,#269450,#269451,#269452)); #49755=EDGE_LOOP('',(#269453,#269454,#269455,#269456)); #49756=EDGE_LOOP('',(#269457,#269458,#269459,#269460)); #49757=EDGE_LOOP('',(#269461,#269462,#269463,#269464)); #49758=EDGE_LOOP('',(#269465,#269466,#269467,#269468)); #49759=EDGE_LOOP('',(#269469,#269470,#269471,#269472)); #49760=EDGE_LOOP('',(#269473,#269474,#269475,#269476)); #49761=EDGE_LOOP('',(#269477,#269478,#269479,#269480)); #49762=EDGE_LOOP('',(#269481,#269482,#269483,#269484)); #49763=EDGE_LOOP('',(#269485,#269486,#269487,#269488)); #49764=EDGE_LOOP('',(#269489,#269490,#269491,#269492)); #49765=EDGE_LOOP('',(#269493,#269494,#269495,#269496)); #49766=EDGE_LOOP('',(#269497,#269498,#269499,#269500)); #49767=EDGE_LOOP('',(#269501,#269502,#269503,#269504)); #49768=EDGE_LOOP('',(#269505,#269506,#269507,#269508)); #49769=EDGE_LOOP('',(#269509,#269510,#269511,#269512)); #49770=EDGE_LOOP('',(#269513,#269514,#269515,#269516)); #49771=EDGE_LOOP('',(#269517,#269518,#269519,#269520)); #49772=EDGE_LOOP('',(#269521,#269522,#269523,#269524)); #49773=EDGE_LOOP('',(#269525,#269526,#269527,#269528)); #49774=EDGE_LOOP('',(#269529,#269530,#269531,#269532)); #49775=EDGE_LOOP('',(#269533,#269534,#269535,#269536)); #49776=EDGE_LOOP('',(#269537,#269538,#269539,#269540)); #49777=EDGE_LOOP('',(#269541,#269542,#269543,#269544)); #49778=EDGE_LOOP('',(#269545,#269546,#269547,#269548)); #49779=EDGE_LOOP('',(#269549,#269550,#269551,#269552)); #49780=EDGE_LOOP('',(#269553,#269554,#269555,#269556)); #49781=EDGE_LOOP('',(#269557,#269558,#269559,#269560)); #49782=EDGE_LOOP('',(#269561,#269562,#269563,#269564)); #49783=EDGE_LOOP('',(#269565,#269566,#269567,#269568)); #49784=EDGE_LOOP('',(#269569,#269570,#269571,#269572)); #49785=EDGE_LOOP('',(#269573,#269574,#269575,#269576)); #49786=EDGE_LOOP('',(#269577,#269578,#269579,#269580)); #49787=EDGE_LOOP('',(#269581,#269582,#269583,#269584)); #49788=EDGE_LOOP('',(#269585,#269586,#269587,#269588)); #49789=EDGE_LOOP('',(#269589,#269590,#269591,#269592)); #49790=EDGE_LOOP('',(#269593,#269594,#269595,#269596)); #49791=EDGE_LOOP('',(#269597,#269598,#269599,#269600)); #49792=EDGE_LOOP('',(#269601,#269602,#269603,#269604)); #49793=EDGE_LOOP('',(#269605,#269606,#269607,#269608)); #49794=EDGE_LOOP('',(#269609,#269610,#269611,#269612)); #49795=EDGE_LOOP('',(#269613,#269614,#269615,#269616)); #49796=EDGE_LOOP('',(#269617,#269618,#269619,#269620)); #49797=EDGE_LOOP('',(#269621,#269622,#269623,#269624)); #49798=EDGE_LOOP('',(#269625,#269626,#269627,#269628)); #49799=EDGE_LOOP('',(#269629,#269630,#269631,#269632)); #49800=EDGE_LOOP('',(#269633,#269634,#269635,#269636)); #49801=EDGE_LOOP('',(#269637,#269638,#269639,#269640)); #49802=EDGE_LOOP('',(#269641,#269642,#269643,#269644)); #49803=EDGE_LOOP('',(#269645,#269646,#269647,#269648)); #49804=EDGE_LOOP('',(#269649,#269650,#269651,#269652)); #49805=EDGE_LOOP('',(#269653,#269654,#269655,#269656)); #49806=EDGE_LOOP('',(#269657,#269658,#269659,#269660)); #49807=EDGE_LOOP('',(#269661,#269662,#269663,#269664)); #49808=EDGE_LOOP('',(#269665,#269666,#269667,#269668)); #49809=EDGE_LOOP('',(#269669,#269670,#269671,#269672)); #49810=EDGE_LOOP('',(#269673,#269674,#269675,#269676)); #49811=EDGE_LOOP('',(#269677,#269678,#269679,#269680)); #49812=EDGE_LOOP('',(#269681,#269682,#269683,#269684)); #49813=EDGE_LOOP('',(#269685,#269686,#269687,#269688)); #49814=EDGE_LOOP('',(#269689,#269690,#269691,#269692)); #49815=EDGE_LOOP('',(#269693,#269694,#269695,#269696)); #49816=EDGE_LOOP('',(#269697,#269698,#269699,#269700)); #49817=EDGE_LOOP('',(#269701,#269702,#269703,#269704)); #49818=EDGE_LOOP('',(#269705,#269706,#269707,#269708)); #49819=EDGE_LOOP('',(#269709,#269710,#269711,#269712)); #49820=EDGE_LOOP('',(#269713,#269714,#269715,#269716)); #49821=EDGE_LOOP('',(#269717,#269718,#269719,#269720)); #49822=EDGE_LOOP('',(#269721,#269722,#269723,#269724)); #49823=EDGE_LOOP('',(#269725,#269726,#269727,#269728)); #49824=EDGE_LOOP('',(#269729,#269730,#269731,#269732)); #49825=EDGE_LOOP('',(#269733,#269734,#269735,#269736)); #49826=EDGE_LOOP('',(#269737,#269738,#269739,#269740)); #49827=EDGE_LOOP('',(#269741,#269742,#269743,#269744)); #49828=EDGE_LOOP('',(#269745,#269746,#269747,#269748)); #49829=EDGE_LOOP('',(#269749,#269750,#269751,#269752)); #49830=EDGE_LOOP('',(#269753,#269754,#269755,#269756)); #49831=EDGE_LOOP('',(#269757,#269758,#269759,#269760)); #49832=EDGE_LOOP('',(#269761,#269762,#269763,#269764)); #49833=EDGE_LOOP('',(#269765,#269766,#269767,#269768)); #49834=EDGE_LOOP('',(#269769,#269770,#269771,#269772)); #49835=EDGE_LOOP('',(#269773,#269774,#269775,#269776)); #49836=EDGE_LOOP('',(#269777,#269778,#269779,#269780)); #49837=EDGE_LOOP('',(#269781,#269782,#269783,#269784)); #49838=EDGE_LOOP('',(#269785,#269786,#269787,#269788)); #49839=EDGE_LOOP('',(#269789,#269790,#269791,#269792)); #49840=EDGE_LOOP('',(#269793,#269794,#269795,#269796)); #49841=EDGE_LOOP('',(#269797,#269798,#269799,#269800)); #49842=EDGE_LOOP('',(#269801,#269802,#269803,#269804)); #49843=EDGE_LOOP('',(#269805,#269806,#269807,#269808)); #49844=EDGE_LOOP('',(#269809,#269810,#269811,#269812)); #49845=EDGE_LOOP('',(#269813,#269814,#269815,#269816)); #49846=EDGE_LOOP('',(#269817,#269818,#269819,#269820)); #49847=EDGE_LOOP('',(#269821,#269822,#269823,#269824)); #49848=EDGE_LOOP('',(#269825,#269826,#269827,#269828)); #49849=EDGE_LOOP('',(#269829,#269830,#269831,#269832)); #49850=EDGE_LOOP('',(#269833,#269834,#269835,#269836)); #49851=EDGE_LOOP('',(#269837,#269838,#269839,#269840)); #49852=EDGE_LOOP('',(#269841,#269842,#269843,#269844)); #49853=EDGE_LOOP('',(#269845,#269846,#269847,#269848)); #49854=EDGE_LOOP('',(#269849,#269850,#269851,#269852)); #49855=EDGE_LOOP('',(#269853,#269854,#269855,#269856)); #49856=EDGE_LOOP('',(#269857,#269858,#269859,#269860)); #49857=EDGE_LOOP('',(#269861,#269862,#269863,#269864)); #49858=EDGE_LOOP('',(#269865,#269866,#269867,#269868)); #49859=EDGE_LOOP('',(#269869,#269870,#269871,#269872)); #49860=EDGE_LOOP('',(#269873,#269874,#269875,#269876)); #49861=EDGE_LOOP('',(#269877,#269878,#269879,#269880)); #49862=EDGE_LOOP('',(#269881,#269882,#269883,#269884)); #49863=EDGE_LOOP('',(#269885,#269886,#269887,#269888)); #49864=EDGE_LOOP('',(#269889,#269890,#269891,#269892)); #49865=EDGE_LOOP('',(#269893,#269894,#269895,#269896)); #49866=EDGE_LOOP('',(#269897,#269898,#269899,#269900)); #49867=EDGE_LOOP('',(#269901,#269902,#269903,#269904)); #49868=EDGE_LOOP('',(#269905,#269906,#269907,#269908)); #49869=EDGE_LOOP('',(#269909,#269910,#269911,#269912)); #49870=EDGE_LOOP('',(#269913,#269914,#269915,#269916)); #49871=EDGE_LOOP('',(#269917,#269918,#269919,#269920)); #49872=EDGE_LOOP('',(#269921,#269922,#269923,#269924)); #49873=EDGE_LOOP('',(#269925,#269926,#269927,#269928)); #49874=EDGE_LOOP('',(#269929,#269930,#269931,#269932)); #49875=EDGE_LOOP('',(#269933,#269934,#269935,#269936)); #49876=EDGE_LOOP('',(#269937,#269938,#269939,#269940)); #49877=EDGE_LOOP('',(#269941,#269942,#269943,#269944)); #49878=EDGE_LOOP('',(#269945,#269946,#269947,#269948)); #49879=EDGE_LOOP('',(#269949,#269950,#269951,#269952)); #49880=EDGE_LOOP('',(#269953,#269954,#269955,#269956)); #49881=EDGE_LOOP('',(#269957,#269958,#269959,#269960)); #49882=EDGE_LOOP('',(#269961,#269962,#269963,#269964)); #49883=EDGE_LOOP('',(#269965,#269966,#269967,#269968)); #49884=EDGE_LOOP('',(#269969,#269970,#269971,#269972)); #49885=EDGE_LOOP('',(#269973,#269974,#269975,#269976)); #49886=EDGE_LOOP('',(#269977,#269978,#269979,#269980)); #49887=EDGE_LOOP('',(#269981,#269982,#269983,#269984)); #49888=EDGE_LOOP('',(#269985,#269986,#269987,#269988)); #49889=EDGE_LOOP('',(#269989,#269990,#269991,#269992)); #49890=EDGE_LOOP('',(#269993,#269994,#269995,#269996)); #49891=EDGE_LOOP('',(#269997,#269998,#269999,#270000)); #49892=EDGE_LOOP('',(#270001,#270002,#270003,#270004)); #49893=EDGE_LOOP('',(#270005,#270006,#270007,#270008)); #49894=EDGE_LOOP('',(#270009,#270010,#270011,#270012)); #49895=EDGE_LOOP('',(#270013,#270014,#270015,#270016)); #49896=EDGE_LOOP('',(#270017,#270018,#270019,#270020)); #49897=EDGE_LOOP('',(#270021,#270022,#270023,#270024)); #49898=EDGE_LOOP('',(#270025,#270026,#270027,#270028)); #49899=EDGE_LOOP('',(#270029,#270030,#270031,#270032)); #49900=EDGE_LOOP('',(#270033,#270034,#270035,#270036)); #49901=EDGE_LOOP('',(#270037,#270038,#270039,#270040)); #49902=EDGE_LOOP('',(#270041,#270042,#270043,#270044)); #49903=EDGE_LOOP('',(#270045,#270046,#270047,#270048)); #49904=EDGE_LOOP('',(#270049,#270050,#270051,#270052)); #49905=EDGE_LOOP('',(#270053,#270054,#270055,#270056)); #49906=EDGE_LOOP('',(#270057,#270058,#270059,#270060)); #49907=EDGE_LOOP('',(#270061,#270062,#270063,#270064)); #49908=EDGE_LOOP('',(#270065,#270066,#270067,#270068)); #49909=EDGE_LOOP('',(#270069,#270070,#270071,#270072)); #49910=EDGE_LOOP('',(#270073,#270074,#270075,#270076)); #49911=EDGE_LOOP('',(#270077,#270078,#270079,#270080)); #49912=EDGE_LOOP('',(#270081,#270082,#270083,#270084)); #49913=EDGE_LOOP('',(#270085,#270086,#270087,#270088)); #49914=EDGE_LOOP('',(#270089,#270090,#270091,#270092)); #49915=EDGE_LOOP('',(#270093,#270094,#270095,#270096)); #49916=EDGE_LOOP('',(#270097,#270098,#270099,#270100)); #49917=EDGE_LOOP('',(#270101,#270102,#270103,#270104)); #49918=EDGE_LOOP('',(#270105,#270106,#270107,#270108)); #49919=EDGE_LOOP('',(#270109,#270110,#270111,#270112)); #49920=EDGE_LOOP('',(#270113,#270114,#270115,#270116)); #49921=EDGE_LOOP('',(#270117,#270118,#270119,#270120)); #49922=EDGE_LOOP('',(#270121,#270122,#270123,#270124)); #49923=EDGE_LOOP('',(#270125,#270126,#270127,#270128)); #49924=EDGE_LOOP('',(#270129,#270130,#270131,#270132)); #49925=EDGE_LOOP('',(#270133,#270134,#270135,#270136)); #49926=EDGE_LOOP('',(#270137,#270138,#270139,#270140)); #49927=EDGE_LOOP('',(#270141,#270142,#270143,#270144)); #49928=EDGE_LOOP('',(#270145,#270146,#270147,#270148)); #49929=EDGE_LOOP('',(#270149,#270150,#270151,#270152)); #49930=EDGE_LOOP('',(#270153,#270154,#270155,#270156)); #49931=EDGE_LOOP('',(#270157,#270158,#270159,#270160)); #49932=EDGE_LOOP('',(#270161,#270162,#270163,#270164)); #49933=EDGE_LOOP('',(#270165,#270166,#270167,#270168)); #49934=EDGE_LOOP('',(#270169,#270170,#270171,#270172)); #49935=EDGE_LOOP('',(#270173,#270174,#270175,#270176)); #49936=EDGE_LOOP('',(#270177,#270178,#270179,#270180)); #49937=EDGE_LOOP('',(#270181,#270182,#270183,#270184)); #49938=EDGE_LOOP('',(#270185,#270186,#270187,#270188)); #49939=EDGE_LOOP('',(#270189,#270190,#270191,#270192)); #49940=EDGE_LOOP('',(#270193,#270194,#270195,#270196)); #49941=EDGE_LOOP('',(#270197,#270198,#270199,#270200)); #49942=EDGE_LOOP('',(#270201,#270202,#270203,#270204)); #49943=EDGE_LOOP('',(#270205,#270206,#270207,#270208)); #49944=EDGE_LOOP('',(#270209,#270210,#270211,#270212)); #49945=EDGE_LOOP('',(#270213,#270214,#270215,#270216)); #49946=EDGE_LOOP('',(#270217,#270218,#270219,#270220)); #49947=EDGE_LOOP('',(#270221,#270222,#270223,#270224)); #49948=EDGE_LOOP('',(#270225,#270226,#270227,#270228)); #49949=EDGE_LOOP('',(#270229,#270230,#270231,#270232)); #49950=EDGE_LOOP('',(#270233,#270234,#270235,#270236)); #49951=EDGE_LOOP('',(#270237,#270238,#270239,#270240)); #49952=EDGE_LOOP('',(#270241,#270242,#270243,#270244)); #49953=EDGE_LOOP('',(#270245,#270246,#270247,#270248)); #49954=EDGE_LOOP('',(#270249,#270250,#270251,#270252)); #49955=EDGE_LOOP('',(#270253,#270254,#270255,#270256)); #49956=EDGE_LOOP('',(#270257,#270258,#270259,#270260)); #49957=EDGE_LOOP('',(#270261,#270262,#270263,#270264)); #49958=EDGE_LOOP('',(#270265,#270266,#270267,#270268)); #49959=EDGE_LOOP('',(#270269,#270270,#270271,#270272)); #49960=EDGE_LOOP('',(#270273,#270274,#270275,#270276)); #49961=EDGE_LOOP('',(#270277,#270278,#270279,#270280)); #49962=EDGE_LOOP('',(#270281,#270282,#270283,#270284)); #49963=EDGE_LOOP('',(#270285,#270286,#270287,#270288)); #49964=EDGE_LOOP('',(#270289,#270290,#270291,#270292)); #49965=EDGE_LOOP('',(#270293,#270294,#270295,#270296)); #49966=EDGE_LOOP('',(#270297,#270298,#270299,#270300)); #49967=EDGE_LOOP('',(#270301,#270302,#270303,#270304)); #49968=EDGE_LOOP('',(#270305,#270306,#270307,#270308)); #49969=EDGE_LOOP('',(#270309,#270310,#270311,#270312)); #49970=EDGE_LOOP('',(#270313,#270314,#270315,#270316)); #49971=EDGE_LOOP('',(#270317,#270318,#270319,#270320)); #49972=EDGE_LOOP('',(#270321,#270322,#270323,#270324)); #49973=EDGE_LOOP('',(#270325,#270326,#270327,#270328)); #49974=EDGE_LOOP('',(#270329,#270330,#270331,#270332)); #49975=EDGE_LOOP('',(#270333,#270334,#270335,#270336)); #49976=EDGE_LOOP('',(#270337,#270338,#270339,#270340)); #49977=EDGE_LOOP('',(#270341,#270342,#270343,#270344)); #49978=EDGE_LOOP('',(#270345,#270346,#270347,#270348)); #49979=EDGE_LOOP('',(#270349,#270350,#270351,#270352)); #49980=EDGE_LOOP('',(#270353,#270354,#270355,#270356)); #49981=EDGE_LOOP('',(#270357,#270358,#270359,#270360)); #49982=EDGE_LOOP('',(#270361,#270362,#270363,#270364)); #49983=EDGE_LOOP('',(#270365,#270366,#270367,#270368)); #49984=EDGE_LOOP('',(#270369,#270370,#270371,#270372)); #49985=EDGE_LOOP('',(#270373,#270374,#270375,#270376)); #49986=EDGE_LOOP('',(#270377,#270378,#270379,#270380)); #49987=EDGE_LOOP('',(#270381,#270382,#270383,#270384)); #49988=EDGE_LOOP('',(#270385,#270386,#270387,#270388)); #49989=EDGE_LOOP('',(#270389,#270390,#270391,#270392)); #49990=EDGE_LOOP('',(#270393,#270394,#270395,#270396)); #49991=EDGE_LOOP('',(#270397,#270398,#270399,#270400)); #49992=EDGE_LOOP('',(#270401,#270402,#270403,#270404)); #49993=EDGE_LOOP('',(#270405,#270406,#270407,#270408)); #49994=EDGE_LOOP('',(#270409,#270410,#270411,#270412)); #49995=EDGE_LOOP('',(#270413,#270414,#270415,#270416)); #49996=EDGE_LOOP('',(#270417,#270418,#270419,#270420)); #49997=EDGE_LOOP('',(#270421,#270422,#270423,#270424)); #49998=EDGE_LOOP('',(#270425,#270426,#270427,#270428)); #49999=EDGE_LOOP('',(#270429,#270430,#270431,#270432)); #50000=EDGE_LOOP('',(#270433,#270434,#270435,#270436)); #50001=EDGE_LOOP('',(#270437,#270438,#270439,#270440)); #50002=EDGE_LOOP('',(#270441,#270442,#270443,#270444)); #50003=EDGE_LOOP('',(#270445,#270446,#270447,#270448,#270449,#270450,#270451, #270452,#270453,#270454,#270455,#270456,#270457,#270458,#270459,#270460, #270461,#270462,#270463,#270464,#270465,#270466,#270467,#270468,#270469, #270470,#270471,#270472,#270473,#270474,#270475,#270476,#270477,#270478, #270479,#270480,#270481,#270482,#270483,#270484,#270485,#270486,#270487, #270488,#270489,#270490,#270491,#270492,#270493,#270494,#270495,#270496, #270497,#270498,#270499,#270500,#270501,#270502,#270503,#270504,#270505, #270506,#270507,#270508,#270509,#270510,#270511,#270512,#270513,#270514, #270515,#270516,#270517,#270518,#270519,#270520,#270521,#270522,#270523, #270524,#270525,#270526,#270527,#270528,#270529,#270530,#270531,#270532, #270533,#270534,#270535,#270536,#270537,#270538,#270539,#270540,#270541, #270542,#270543,#270544,#270545,#270546,#270547,#270548,#270549,#270550, #270551,#270552,#270553,#270554,#270555,#270556,#270557,#270558,#270559, #270560,#270561,#270562,#270563,#270564,#270565,#270566,#270567,#270568, #270569,#270570,#270571,#270572,#270573,#270574,#270575,#270576,#270577, #270578,#270579,#270580,#270581,#270582,#270583,#270584,#270585,#270586, #270587,#270588,#270589,#270590,#270591,#270592,#270593,#270594,#270595, #270596,#270597,#270598,#270599,#270600,#270601,#270602,#270603,#270604, #270605,#270606,#270607,#270608,#270609,#270610,#270611,#270612,#270613, #270614,#270615,#270616,#270617,#270618,#270619,#270620,#270621,#270622, #270623,#270624,#270625,#270626,#270627,#270628,#270629,#270630,#270631, #270632,#270633,#270634,#270635,#270636,#270637,#270638,#270639,#270640, #270641,#270642,#270643,#270644,#270645,#270646,#270647,#270648,#270649, #270650,#270651,#270652,#270653,#270654,#270655,#270656,#270657,#270658, #270659,#270660,#270661,#270662,#270663,#270664,#270665,#270666,#270667, #270668,#270669,#270670,#270671,#270672,#270673,#270674,#270675,#270676, #270677,#270678,#270679,#270680,#270681,#270682,#270683,#270684,#270685, #270686,#270687,#270688,#270689,#270690,#270691,#270692,#270693,#270694, #270695,#270696,#270697,#270698,#270699,#270700,#270701,#270702,#270703, #270704,#270705,#270706,#270707,#270708,#270709,#270710,#270711,#270712, #270713,#270714,#270715,#270716,#270717,#270718,#270719,#270720,#270721, #270722,#270723,#270724,#270725,#270726,#270727,#270728,#270729,#270730, #270731,#270732,#270733,#270734,#270735,#270736,#270737,#270738,#270739, #270740,#270741,#270742)); #50004=EDGE_LOOP('',(#270743)); #50005=EDGE_LOOP('',(#270744)); #50006=EDGE_LOOP('',(#270745)); #50007=EDGE_LOOP('',(#270746)); #50008=EDGE_LOOP('',(#270747)); #50009=EDGE_LOOP('',(#270748)); #50010=EDGE_LOOP('',(#270749)); #50011=EDGE_LOOP('',(#270750)); #50012=EDGE_LOOP('',(#270751)); #50013=EDGE_LOOP('',(#270752)); #50014=EDGE_LOOP('',(#270753)); #50015=EDGE_LOOP('',(#270754)); #50016=EDGE_LOOP('',(#270755)); #50017=EDGE_LOOP('',(#270756)); #50018=EDGE_LOOP('',(#270757)); #50019=EDGE_LOOP('',(#270758)); #50020=EDGE_LOOP('',(#270759,#270760,#270761,#270762,#270763,#270764,#270765, #270766,#270767,#270768,#270769,#270770,#270771,#270772,#270773,#270774, #270775,#270776,#270777,#270778,#270779,#270780,#270781,#270782)); #50021=EDGE_LOOP('',(#270783,#270784,#270785,#270786,#270787,#270788,#270789, #270790,#270791,#270792,#270793,#270794,#270795,#270796,#270797,#270798, #270799,#270800,#270801,#270802,#270803,#270804,#270805,#270806,#270807, #270808,#270809,#270810,#270811,#270812,#270813,#270814,#270815,#270816, #270817,#270818,#270819,#270820,#270821,#270822,#270823,#270824,#270825, #270826,#270827,#270828,#270829,#270830,#270831,#270832,#270833,#270834, #270835,#270836,#270837,#270838,#270839,#270840,#270841,#270842,#270843, #270844,#270845,#270846,#270847,#270848,#270849,#270850,#270851,#270852, #270853,#270854,#270855,#270856,#270857,#270858,#270859,#270860,#270861, #270862,#270863,#270864,#270865,#270866,#270867,#270868,#270869,#270870, #270871,#270872,#270873,#270874,#270875,#270876,#270877,#270878,#270879, #270880,#270881,#270882,#270883,#270884,#270885,#270886,#270887,#270888, #270889,#270890,#270891,#270892,#270893,#270894,#270895,#270896,#270897, #270898,#270899,#270900,#270901,#270902,#270903,#270904,#270905,#270906, #270907,#270908,#270909,#270910,#270911,#270912,#270913,#270914,#270915, #270916,#270917,#270918,#270919,#270920,#270921,#270922,#270923,#270924, #270925,#270926,#270927,#270928,#270929,#270930,#270931,#270932,#270933, #270934,#270935,#270936,#270937,#270938,#270939,#270940,#270941,#270942, #270943,#270944,#270945,#270946,#270947,#270948,#270949,#270950,#270951, #270952,#270953,#270954,#270955,#270956,#270957,#270958,#270959,#270960, #270961,#270962,#270963,#270964,#270965,#270966,#270967,#270968,#270969, #270970,#270971,#270972,#270973,#270974,#270975,#270976,#270977,#270978, #270979,#270980,#270981,#270982,#270983,#270984,#270985,#270986,#270987, #270988,#270989,#270990,#270991,#270992,#270993,#270994,#270995,#270996, #270997,#270998,#270999,#271000,#271001,#271002,#271003,#271004,#271005, #271006,#271007,#271008,#271009,#271010,#271011,#271012,#271013,#271014, #271015,#271016,#271017,#271018,#271019,#271020,#271021,#271022,#271023, #271024,#271025,#271026,#271027,#271028,#271029,#271030,#271031,#271032, #271033,#271034,#271035,#271036,#271037,#271038,#271039,#271040,#271041, #271042,#271043,#271044,#271045,#271046,#271047,#271048,#271049,#271050, #271051,#271052,#271053,#271054,#271055,#271056,#271057,#271058,#271059, #271060,#271061,#271062,#271063,#271064,#271065,#271066,#271067,#271068, #271069,#271070,#271071,#271072,#271073,#271074,#271075,#271076,#271077, #271078,#271079,#271080)); #50022=EDGE_LOOP('',(#271081)); #50023=EDGE_LOOP('',(#271082)); #50024=EDGE_LOOP('',(#271083)); #50025=EDGE_LOOP('',(#271084)); #50026=EDGE_LOOP('',(#271085)); #50027=EDGE_LOOP('',(#271086)); #50028=EDGE_LOOP('',(#271087)); #50029=EDGE_LOOP('',(#271088)); #50030=EDGE_LOOP('',(#271089)); #50031=EDGE_LOOP('',(#271090)); #50032=EDGE_LOOP('',(#271091)); #50033=EDGE_LOOP('',(#271092)); #50034=EDGE_LOOP('',(#271093)); #50035=EDGE_LOOP('',(#271094)); #50036=EDGE_LOOP('',(#271095)); #50037=EDGE_LOOP('',(#271096)); #50038=EDGE_LOOP('',(#271097,#271098,#271099,#271100,#271101,#271102,#271103, #271104,#271105,#271106,#271107,#271108,#271109,#271110,#271111,#271112, #271113,#271114,#271115,#271116,#271117,#271118,#271119,#271120)); #50039=EDGE_LOOP('',(#271121,#271122,#271123,#271124)); #50040=EDGE_LOOP('',(#271125,#271126,#271127,#271128)); #50041=EDGE_LOOP('',(#271129,#271130,#271131,#271132)); #50042=EDGE_LOOP('',(#271133,#271134,#271135,#271136)); #50043=EDGE_LOOP('',(#271137,#271138,#271139,#271140)); #50044=EDGE_LOOP('',(#271141,#271142,#271143,#271144)); #50045=EDGE_LOOP('',(#271145,#271146,#271147,#271148)); #50046=EDGE_LOOP('',(#271149,#271150,#271151,#271152)); #50047=EDGE_LOOP('',(#271153,#271154,#271155,#271156)); #50048=EDGE_LOOP('',(#271157,#271158,#271159,#271160)); #50049=EDGE_LOOP('',(#271161,#271162,#271163,#271164)); #50050=EDGE_LOOP('',(#271165,#271166,#271167,#271168)); #50051=EDGE_LOOP('',(#271169,#271170,#271171,#271172)); #50052=EDGE_LOOP('',(#271173,#271174,#271175,#271176)); #50053=EDGE_LOOP('',(#271177,#271178,#271179,#271180)); #50054=EDGE_LOOP('',(#271181,#271182,#271183,#271184)); #50055=EDGE_LOOP('',(#271185,#271186,#271187,#271188)); #50056=EDGE_LOOP('',(#271189,#271190,#271191,#271192)); #50057=EDGE_LOOP('',(#271193,#271194,#271195,#271196)); #50058=EDGE_LOOP('',(#271197,#271198,#271199,#271200)); #50059=EDGE_LOOP('',(#271201,#271202,#271203,#271204,#271205,#271206,#271207, #271208,#271209,#271210,#271211,#271212,#271213,#271214,#271215,#271216, #271217,#271218,#271219,#271220)); #50060=EDGE_LOOP('',(#271221,#271222,#271223,#271224,#271225,#271226,#271227, #271228,#271229,#271230,#271231,#271232,#271233,#271234,#271235,#271236, #271237,#271238,#271239,#271240)); #50061=EDGE_LOOP('',(#271241,#271242,#271243,#271244)); #50062=EDGE_LOOP('',(#271245,#271246,#271247,#271248)); #50063=EDGE_LOOP('',(#271249,#271250,#271251,#271252)); #50064=EDGE_LOOP('',(#271253,#271254,#271255,#271256)); #50065=EDGE_LOOP('',(#271257,#271258,#271259,#271260)); #50066=EDGE_LOOP('',(#271261,#271262,#271263,#271264)); #50067=EDGE_LOOP('',(#271265,#271266,#271267,#271268)); #50068=EDGE_LOOP('',(#271269,#271270,#271271,#271272)); #50069=EDGE_LOOP('',(#271273,#271274,#271275,#271276)); #50070=EDGE_LOOP('',(#271277,#271278,#271279,#271280)); #50071=EDGE_LOOP('',(#271281,#271282,#271283,#271284)); #50072=EDGE_LOOP('',(#271285,#271286,#271287,#271288)); #50073=EDGE_LOOP('',(#271289,#271290,#271291,#271292)); #50074=EDGE_LOOP('',(#271293,#271294,#271295,#271296)); #50075=EDGE_LOOP('',(#271297,#271298,#271299,#271300)); #50076=EDGE_LOOP('',(#271301,#271302,#271303,#271304)); #50077=EDGE_LOOP('',(#271305,#271306,#271307,#271308)); #50078=EDGE_LOOP('',(#271309,#271310,#271311,#271312)); #50079=EDGE_LOOP('',(#271313,#271314,#271315,#271316)); #50080=EDGE_LOOP('',(#271317,#271318,#271319,#271320)); #50081=EDGE_LOOP('',(#271321,#271322,#271323,#271324,#271325,#271326,#271327, #271328,#271329,#271330,#271331,#271332,#271333,#271334,#271335,#271336, #271337,#271338,#271339,#271340)); #50082=EDGE_LOOP('',(#271341,#271342,#271343,#271344,#271345,#271346,#271347, #271348,#271349,#271350,#271351,#271352,#271353,#271354,#271355,#271356, #271357,#271358,#271359,#271360)); #50083=EDGE_LOOP('',(#271361,#271362,#271363,#271364)); #50084=EDGE_LOOP('',(#271365,#271366,#271367,#271368)); #50085=EDGE_LOOP('',(#271369,#271370,#271371,#271372)); #50086=EDGE_LOOP('',(#271373,#271374,#271375,#271376)); #50087=EDGE_LOOP('',(#271377,#271378,#271379,#271380)); #50088=EDGE_LOOP('',(#271381,#271382,#271383,#271384)); #50089=EDGE_LOOP('',(#271385,#271386,#271387,#271388)); #50090=EDGE_LOOP('',(#271389,#271390,#271391,#271392)); #50091=EDGE_LOOP('',(#271393,#271394,#271395,#271396)); #50092=EDGE_LOOP('',(#271397,#271398,#271399,#271400)); #50093=EDGE_LOOP('',(#271401,#271402,#271403,#271404)); #50094=EDGE_LOOP('',(#271405,#271406,#271407,#271408)); #50095=EDGE_LOOP('',(#271409,#271410,#271411,#271412)); #50096=EDGE_LOOP('',(#271413,#271414,#271415,#271416)); #50097=EDGE_LOOP('',(#271417,#271418,#271419,#271420)); #50098=EDGE_LOOP('',(#271421,#271422,#271423,#271424)); #50099=EDGE_LOOP('',(#271425,#271426,#271427,#271428)); #50100=EDGE_LOOP('',(#271429,#271430,#271431,#271432)); #50101=EDGE_LOOP('',(#271433,#271434,#271435,#271436)); #50102=EDGE_LOOP('',(#271437,#271438,#271439,#271440)); #50103=EDGE_LOOP('',(#271441,#271442,#271443,#271444,#271445,#271446,#271447, #271448,#271449,#271450,#271451,#271452,#271453,#271454,#271455,#271456, #271457,#271458,#271459,#271460)); #50104=EDGE_LOOP('',(#271461,#271462,#271463,#271464,#271465,#271466,#271467, #271468,#271469,#271470,#271471,#271472,#271473,#271474,#271475,#271476, #271477,#271478,#271479,#271480)); #50105=EDGE_LOOP('',(#271481,#271482,#271483,#271484)); #50106=EDGE_LOOP('',(#271485,#271486,#271487,#271488)); #50107=EDGE_LOOP('',(#271489,#271490,#271491,#271492)); #50108=EDGE_LOOP('',(#271493,#271494,#271495,#271496)); #50109=EDGE_LOOP('',(#271497,#271498,#271499,#271500)); #50110=EDGE_LOOP('',(#271501,#271502,#271503,#271504)); #50111=EDGE_LOOP('',(#271505,#271506,#271507,#271508)); #50112=EDGE_LOOP('',(#271509,#271510,#271511,#271512)); #50113=EDGE_LOOP('',(#271513,#271514,#271515,#271516)); #50114=EDGE_LOOP('',(#271517,#271518,#271519,#271520)); #50115=EDGE_LOOP('',(#271521,#271522,#271523,#271524)); #50116=EDGE_LOOP('',(#271525,#271526,#271527,#271528)); #50117=EDGE_LOOP('',(#271529,#271530,#271531,#271532)); #50118=EDGE_LOOP('',(#271533,#271534,#271535,#271536)); #50119=EDGE_LOOP('',(#271537,#271538,#271539,#271540)); #50120=EDGE_LOOP('',(#271541,#271542,#271543,#271544)); #50121=EDGE_LOOP('',(#271545,#271546,#271547,#271548)); #50122=EDGE_LOOP('',(#271549,#271550,#271551,#271552)); #50123=EDGE_LOOP('',(#271553,#271554,#271555,#271556)); #50124=EDGE_LOOP('',(#271557,#271558,#271559,#271560)); #50125=EDGE_LOOP('',(#271561,#271562,#271563,#271564)); #50126=EDGE_LOOP('',(#271565,#271566,#271567,#271568)); #50127=EDGE_LOOP('',(#271569,#271570,#271571,#271572)); #50128=EDGE_LOOP('',(#271573,#271574,#271575,#271576,#271577,#271578,#271579, #271580,#271581,#271582,#271583,#271584,#271585,#271586,#271587,#271588, #271589,#271590,#271591,#271592,#271593,#271594,#271595)); #50129=EDGE_LOOP('',(#271596,#271597,#271598,#271599,#271600,#271601,#271602, #271603,#271604,#271605,#271606,#271607,#271608,#271609,#271610,#271611, #271612,#271613,#271614,#271615,#271616,#271617,#271618)); #50130=EDGE_LOOP('',(#271619,#271620,#271621,#271622)); #50131=EDGE_LOOP('',(#271623,#271624,#271625,#271626)); #50132=EDGE_LOOP('',(#271627,#271628,#271629,#271630)); #50133=EDGE_LOOP('',(#271631,#271632,#271633,#271634)); #50134=EDGE_LOOP('',(#271635,#271636,#271637,#271638)); #50135=EDGE_LOOP('',(#271639,#271640,#271641,#271642)); #50136=EDGE_LOOP('',(#271643,#271644,#271645,#271646)); #50137=EDGE_LOOP('',(#271647,#271648,#271649,#271650)); #50138=EDGE_LOOP('',(#271651,#271652,#271653,#271654)); #50139=EDGE_LOOP('',(#271655,#271656,#271657,#271658)); #50140=EDGE_LOOP('',(#271659,#271660,#271661,#271662)); #50141=EDGE_LOOP('',(#271663,#271664,#271665,#271666)); #50142=EDGE_LOOP('',(#271667,#271668,#271669,#271670)); #50143=EDGE_LOOP('',(#271671,#271672,#271673,#271674)); #50144=EDGE_LOOP('',(#271675,#271676,#271677,#271678)); #50145=EDGE_LOOP('',(#271679,#271680,#271681,#271682)); #50146=EDGE_LOOP('',(#271683,#271684,#271685,#271686)); #50147=EDGE_LOOP('',(#271687,#271688,#271689,#271690)); #50148=EDGE_LOOP('',(#271691,#271692,#271693,#271694)); #50149=EDGE_LOOP('',(#271695,#271696,#271697,#271698)); #50150=EDGE_LOOP('',(#271699,#271700,#271701,#271702,#271703,#271704,#271705, #271706,#271707,#271708,#271709,#271710,#271711,#271712,#271713,#271714, #271715,#271716,#271717,#271718)); #50151=EDGE_LOOP('',(#271719,#271720,#271721,#271722,#271723,#271724,#271725, #271726,#271727,#271728,#271729,#271730,#271731,#271732,#271733,#271734, #271735,#271736,#271737,#271738)); #50152=EDGE_LOOP('',(#271739,#271740,#271741,#271742)); #50153=EDGE_LOOP('',(#271743,#271744,#271745,#271746)); #50154=EDGE_LOOP('',(#271747,#271748,#271749,#271750)); #50155=EDGE_LOOP('',(#271751,#271752,#271753,#271754)); #50156=EDGE_LOOP('',(#271755,#271756,#271757,#271758)); #50157=EDGE_LOOP('',(#271759,#271760,#271761,#271762)); #50158=EDGE_LOOP('',(#271763,#271764,#271765,#271766)); #50159=EDGE_LOOP('',(#271767,#271768,#271769,#271770)); #50160=EDGE_LOOP('',(#271771,#271772,#271773,#271774)); #50161=EDGE_LOOP('',(#271775,#271776,#271777,#271778)); #50162=EDGE_LOOP('',(#271779,#271780,#271781,#271782)); #50163=EDGE_LOOP('',(#271783,#271784,#271785,#271786)); #50164=EDGE_LOOP('',(#271787,#271788,#271789,#271790)); #50165=EDGE_LOOP('',(#271791,#271792,#271793,#271794)); #50166=EDGE_LOOP('',(#271795,#271796,#271797,#271798)); #50167=EDGE_LOOP('',(#271799,#271800,#271801,#271802)); #50168=EDGE_LOOP('',(#271803,#271804,#271805,#271806)); #50169=EDGE_LOOP('',(#271807,#271808,#271809,#271810)); #50170=EDGE_LOOP('',(#271811,#271812,#271813,#271814)); #50171=EDGE_LOOP('',(#271815,#271816,#271817,#271818)); #50172=EDGE_LOOP('',(#271819,#271820,#271821,#271822)); #50173=EDGE_LOOP('',(#271823,#271824,#271825,#271826,#271827,#271828,#271829, #271830,#271831,#271832,#271833,#271834,#271835,#271836,#271837,#271838, #271839,#271840,#271841,#271842,#271843)); #50174=EDGE_LOOP('',(#271844,#271845,#271846,#271847,#271848,#271849,#271850, #271851,#271852,#271853,#271854,#271855,#271856,#271857,#271858,#271859, #271860,#271861,#271862,#271863,#271864)); #50175=EDGE_LOOP('',(#271865,#271866,#271867,#271868)); #50176=EDGE_LOOP('',(#271869,#271870,#271871,#271872)); #50177=EDGE_LOOP('',(#271873,#271874,#271875,#271876)); #50178=EDGE_LOOP('',(#271877,#271878,#271879,#271880)); #50179=EDGE_LOOP('',(#271881,#271882,#271883)); #50180=EDGE_LOOP('',(#271884)); #50181=EDGE_LOOP('',(#271885,#271886,#271887)); #50182=EDGE_LOOP('',(#271888)); #50183=EDGE_LOOP('',(#271889,#271890,#271891,#271892)); #50184=EDGE_LOOP('',(#271893,#271894,#271895,#271896)); #50185=EDGE_LOOP('',(#271897,#271898,#271899,#271900)); #50186=EDGE_LOOP('',(#271901,#271902,#271903,#271904)); #50187=EDGE_LOOP('',(#271905,#271906,#271907)); #50188=EDGE_LOOP('',(#271908)); #50189=EDGE_LOOP('',(#271909,#271910,#271911)); #50190=EDGE_LOOP('',(#271912)); #50191=EDGE_LOOP('',(#271913,#271914,#271915,#271916)); #50192=EDGE_LOOP('',(#271917,#271918,#271919,#271920)); #50193=EDGE_LOOP('',(#271921,#271922,#271923,#271924)); #50194=EDGE_LOOP('',(#271925,#271926,#271927,#271928)); #50195=EDGE_LOOP('',(#271929,#271930,#271931)); #50196=EDGE_LOOP('',(#271932)); #50197=EDGE_LOOP('',(#271933,#271934,#271935)); #50198=EDGE_LOOP('',(#271936)); #50199=EDGE_LOOP('',(#271937,#271938,#271939,#271940)); #50200=EDGE_LOOP('',(#271941,#271942,#271943,#271944)); #50201=EDGE_LOOP('',(#271945,#271946,#271947,#271948)); #50202=EDGE_LOOP('',(#271949,#271950,#271951,#271952)); #50203=EDGE_LOOP('',(#271953,#271954,#271955)); #50204=EDGE_LOOP('',(#271956)); #50205=EDGE_LOOP('',(#271957,#271958,#271959)); #50206=EDGE_LOOP('',(#271960)); #50207=EDGE_LOOP('',(#271961,#271962,#271963,#271964)); #50208=EDGE_LOOP('',(#271965,#271966,#271967,#271968)); #50209=EDGE_LOOP('',(#271969,#271970,#271971,#271972)); #50210=EDGE_LOOP('',(#271973,#271974,#271975,#271976)); #50211=EDGE_LOOP('',(#271977,#271978,#271979,#271980)); #50212=EDGE_LOOP('',(#271981,#271982,#271983,#271984)); #50213=EDGE_LOOP('',(#271985)); #50214=EDGE_LOOP('',(#271986,#271987,#271988,#271989)); #50215=EDGE_LOOP('',(#271990)); #50216=EDGE_LOOP('',(#271991,#271992,#271993,#271994)); #50217=EDGE_LOOP('',(#271995,#271996,#271997,#271998)); #50218=EDGE_LOOP('',(#271999,#272000,#272001,#272002)); #50219=EDGE_LOOP('',(#272003,#272004,#272005,#272006)); #50220=EDGE_LOOP('',(#272007,#272008,#272009)); #50221=EDGE_LOOP('',(#272010)); #50222=EDGE_LOOP('',(#272011,#272012,#272013)); #50223=EDGE_LOOP('',(#272014)); #50224=EDGE_LOOP('',(#272015,#272016,#272017,#272018)); #50225=EDGE_LOOP('',(#272019,#272020,#272021,#272022)); #50226=EDGE_LOOP('',(#272023,#272024,#272025,#272026)); #50227=EDGE_LOOP('',(#272027,#272028,#272029,#272030)); #50228=EDGE_LOOP('',(#272031,#272032,#272033)); #50229=EDGE_LOOP('',(#272034)); #50230=EDGE_LOOP('',(#272035,#272036,#272037)); #50231=EDGE_LOOP('',(#272038)); #50232=EDGE_LOOP('',(#272039,#272040,#272041,#272042)); #50233=EDGE_LOOP('',(#272043,#272044,#272045,#272046)); #50234=EDGE_LOOP('',(#272047,#272048,#272049,#272050)); #50235=EDGE_LOOP('',(#272051,#272052,#272053,#272054)); #50236=EDGE_LOOP('',(#272055,#272056,#272057)); #50237=EDGE_LOOP('',(#272058)); #50238=EDGE_LOOP('',(#272059,#272060,#272061)); #50239=EDGE_LOOP('',(#272062)); #50240=EDGE_LOOP('',(#272063,#272064,#272065,#272066)); #50241=EDGE_LOOP('',(#272067,#272068,#272069,#272070)); #50242=EDGE_LOOP('',(#272071,#272072,#272073,#272074)); #50243=EDGE_LOOP('',(#272075,#272076,#272077,#272078)); #50244=EDGE_LOOP('',(#272079,#272080,#272081)); #50245=EDGE_LOOP('',(#272082)); #50246=EDGE_LOOP('',(#272083,#272084,#272085)); #50247=EDGE_LOOP('',(#272086)); #50248=EDGE_LOOP('',(#272087,#272088,#272089,#272090)); #50249=EDGE_LOOP('',(#272091,#272092,#272093,#272094)); #50250=EDGE_LOOP('',(#272095,#272096,#272097,#272098)); #50251=EDGE_LOOP('',(#272099,#272100,#272101,#272102)); #50252=EDGE_LOOP('',(#272103,#272104,#272105,#272106)); #50253=EDGE_LOOP('',(#272107,#272108,#272109,#272110)); #50254=EDGE_LOOP('',(#272111,#272112,#272113,#272114)); #50255=EDGE_LOOP('',(#272115,#272116,#272117,#272118)); #50256=EDGE_LOOP('',(#272119,#272120,#272121,#272122)); #50257=EDGE_LOOP('',(#272123,#272124,#272125,#272126)); #50258=EDGE_LOOP('',(#272127,#272128,#272129,#272130)); #50259=EDGE_LOOP('',(#272131,#272132,#272133,#272134)); #50260=EDGE_LOOP('',(#272135,#272136,#272137,#272138)); #50261=EDGE_LOOP('',(#272139,#272140,#272141,#272142)); #50262=EDGE_LOOP('',(#272143,#272144,#272145,#272146)); #50263=EDGE_LOOP('',(#272147,#272148,#272149,#272150)); #50264=EDGE_LOOP('',(#272151,#272152,#272153,#272154)); #50265=EDGE_LOOP('',(#272155,#272156,#272157,#272158)); #50266=EDGE_LOOP('',(#272159,#272160,#272161,#272162)); #50267=EDGE_LOOP('',(#272163,#272164,#272165,#272166)); #50268=EDGE_LOOP('',(#272167,#272168,#272169,#272170)); #50269=EDGE_LOOP('',(#272171,#272172,#272173,#272174)); #50270=EDGE_LOOP('',(#272175,#272176,#272177,#272178)); #50271=EDGE_LOOP('',(#272179,#272180,#272181,#272182)); #50272=EDGE_LOOP('',(#272183,#272184,#272185,#272186)); #50273=EDGE_LOOP('',(#272187,#272188,#272189,#272190)); #50274=EDGE_LOOP('',(#272191,#272192,#272193,#272194)); #50275=EDGE_LOOP('',(#272195,#272196,#272197,#272198)); #50276=EDGE_LOOP('',(#272199,#272200,#272201,#272202)); #50277=EDGE_LOOP('',(#272203,#272204,#272205,#272206)); #50278=EDGE_LOOP('',(#272207,#272208,#272209,#272210)); #50279=EDGE_LOOP('',(#272211,#272212,#272213,#272214)); #50280=EDGE_LOOP('',(#272215,#272216,#272217,#272218)); #50281=EDGE_LOOP('',(#272219,#272220,#272221,#272222)); #50282=EDGE_LOOP('',(#272223,#272224,#272225,#272226)); #50283=EDGE_LOOP('',(#272227,#272228,#272229,#272230)); #50284=EDGE_LOOP('',(#272231,#272232,#272233,#272234)); #50285=EDGE_LOOP('',(#272235,#272236,#272237,#272238)); #50286=EDGE_LOOP('',(#272239,#272240,#272241,#272242)); #50287=EDGE_LOOP('',(#272243,#272244,#272245,#272246)); #50288=EDGE_LOOP('',(#272247,#272248,#272249,#272250)); #50289=EDGE_LOOP('',(#272251,#272252,#272253,#272254)); #50290=EDGE_LOOP('',(#272255,#272256,#272257,#272258)); #50291=EDGE_LOOP('',(#272259,#272260,#272261,#272262)); #50292=EDGE_LOOP('',(#272263,#272264,#272265,#272266)); #50293=EDGE_LOOP('',(#272267,#272268,#272269,#272270)); #50294=EDGE_LOOP('',(#272271,#272272,#272273,#272274)); #50295=EDGE_LOOP('',(#272275,#272276,#272277,#272278)); #50296=EDGE_LOOP('',(#272279,#272280,#272281,#272282)); #50297=EDGE_LOOP('',(#272283,#272284,#272285,#272286)); #50298=EDGE_LOOP('',(#272287,#272288,#272289,#272290)); #50299=EDGE_LOOP('',(#272291,#272292,#272293,#272294)); #50300=EDGE_LOOP('',(#272295,#272296,#272297,#272298)); #50301=EDGE_LOOP('',(#272299,#272300,#272301,#272302)); #50302=EDGE_LOOP('',(#272303,#272304,#272305,#272306)); #50303=EDGE_LOOP('',(#272307,#272308,#272309,#272310)); #50304=EDGE_LOOP('',(#272311,#272312,#272313,#272314)); #50305=EDGE_LOOP('',(#272315,#272316,#272317,#272318)); #50306=EDGE_LOOP('',(#272319,#272320,#272321,#272322)); #50307=EDGE_LOOP('',(#272323,#272324,#272325,#272326)); #50308=EDGE_LOOP('',(#272327,#272328,#272329,#272330,#272331,#272332,#272333, #272334,#272335,#272336,#272337,#272338,#272339,#272340,#272341,#272342, #272343,#272344,#272345,#272346,#272347,#272348,#272349,#272350,#272351, #272352,#272353,#272354,#272355,#272356,#272357,#272358,#272359,#272360, #272361,#272362,#272363,#272364,#272365,#272366,#272367,#272368,#272369, #272370,#272371,#272372,#272373,#272374,#272375,#272376,#272377,#272378, #272379,#272380,#272381,#272382)); #50309=EDGE_LOOP('',(#272383,#272384,#272385,#272386)); #50310=EDGE_LOOP('',(#272387,#272388,#272389,#272390,#272391,#272392,#272393, #272394,#272395,#272396,#272397,#272398,#272399,#272400,#272401,#272402, #272403,#272404,#272405,#272406,#272407,#272408,#272409,#272410,#272411, #272412,#272413,#272414,#272415,#272416,#272417,#272418,#272419,#272420, #272421,#272422,#272423,#272424,#272425,#272426,#272427,#272428,#272429, #272430,#272431,#272432,#272433,#272434,#272435,#272436,#272437,#272438, #272439,#272440,#272441,#272442)); #50311=EDGE_LOOP('',(#272443,#272444,#272445,#272446)); #50312=EDGE_LOOP('',(#272447,#272448,#272449,#272450)); #50313=EDGE_LOOP('',(#272451,#272452,#272453,#272454)); #50314=EDGE_LOOP('',(#272455,#272456,#272457,#272458)); #50315=EDGE_LOOP('',(#272459,#272460,#272461,#272462)); #50316=EDGE_LOOP('',(#272463,#272464,#272465,#272466)); #50317=EDGE_LOOP('',(#272467,#272468,#272469,#272470)); #50318=EDGE_LOOP('',(#272471,#272472,#272473,#272474)); #50319=EDGE_LOOP('',(#272475,#272476,#272477,#272478)); #50320=EDGE_LOOP('',(#272479,#272480,#272481,#272482)); #50321=EDGE_LOOP('',(#272483,#272484,#272485,#272486)); #50322=EDGE_LOOP('',(#272487,#272488,#272489,#272490)); #50323=EDGE_LOOP('',(#272491,#272492,#272493,#272494)); #50324=EDGE_LOOP('',(#272495,#272496,#272497,#272498)); #50325=EDGE_LOOP('',(#272499,#272500,#272501,#272502)); #50326=EDGE_LOOP('',(#272503,#272504,#272505,#272506)); #50327=EDGE_LOOP('',(#272507,#272508,#272509,#272510)); #50328=EDGE_LOOP('',(#272511,#272512,#272513,#272514)); #50329=EDGE_LOOP('',(#272515,#272516,#272517,#272518)); #50330=EDGE_LOOP('',(#272519,#272520,#272521,#272522)); #50331=EDGE_LOOP('',(#272523,#272524,#272525,#272526)); #50332=EDGE_LOOP('',(#272527,#272528,#272529,#272530)); #50333=EDGE_LOOP('',(#272531,#272532,#272533,#272534)); #50334=EDGE_LOOP('',(#272535,#272536,#272537,#272538)); #50335=EDGE_LOOP('',(#272539,#272540,#272541,#272542)); #50336=EDGE_LOOP('',(#272543,#272544,#272545,#272546)); #50337=EDGE_LOOP('',(#272547,#272548,#272549,#272550)); #50338=EDGE_LOOP('',(#272551,#272552,#272553,#272554)); #50339=EDGE_LOOP('',(#272555,#272556,#272557,#272558)); #50340=EDGE_LOOP('',(#272559,#272560,#272561,#272562)); #50341=EDGE_LOOP('',(#272563,#272564,#272565,#272566)); #50342=EDGE_LOOP('',(#272567,#272568,#272569,#272570)); #50343=EDGE_LOOP('',(#272571,#272572,#272573,#272574)); #50344=EDGE_LOOP('',(#272575,#272576,#272577,#272578)); #50345=EDGE_LOOP('',(#272579,#272580,#272581,#272582)); #50346=EDGE_LOOP('',(#272583,#272584,#272585,#272586)); #50347=EDGE_LOOP('',(#272587,#272588,#272589,#272590)); #50348=EDGE_LOOP('',(#272591,#272592,#272593,#272594)); #50349=EDGE_LOOP('',(#272595,#272596,#272597,#272598)); #50350=EDGE_LOOP('',(#272599,#272600,#272601,#272602)); #50351=EDGE_LOOP('',(#272603,#272604,#272605,#272606)); #50352=EDGE_LOOP('',(#272607,#272608,#272609,#272610)); #50353=EDGE_LOOP('',(#272611,#272612,#272613,#272614)); #50354=EDGE_LOOP('',(#272615,#272616,#272617,#272618)); #50355=EDGE_LOOP('',(#272619,#272620,#272621,#272622)); #50356=EDGE_LOOP('',(#272623,#272624,#272625,#272626)); #50357=EDGE_LOOP('',(#272627,#272628,#272629,#272630)); #50358=EDGE_LOOP('',(#272631,#272632,#272633,#272634)); #50359=EDGE_LOOP('',(#272635,#272636,#272637,#272638)); #50360=EDGE_LOOP('',(#272639,#272640,#272641,#272642)); #50361=EDGE_LOOP('',(#272643,#272644,#272645,#272646)); #50362=EDGE_LOOP('',(#272647,#272648,#272649,#272650)); #50363=EDGE_LOOP('',(#272651,#272652,#272653,#272654)); #50364=EDGE_LOOP('',(#272655,#272656,#272657,#272658)); #50365=EDGE_LOOP('',(#272659,#272660,#272661,#272662)); #50366=EDGE_LOOP('',(#272663,#272664,#272665,#272666)); #50367=EDGE_LOOP('',(#272667,#272668,#272669,#272670)); #50368=EDGE_LOOP('',(#272671,#272672,#272673,#272674)); #50369=EDGE_LOOP('',(#272675,#272676,#272677,#272678)); #50370=EDGE_LOOP('',(#272679,#272680,#272681,#272682)); #50371=EDGE_LOOP('',(#272683,#272684,#272685,#272686)); #50372=EDGE_LOOP('',(#272687,#272688,#272689,#272690)); #50373=EDGE_LOOP('',(#272691,#272692,#272693,#272694)); #50374=EDGE_LOOP('',(#272695,#272696,#272697,#272698)); #50375=EDGE_LOOP('',(#272699,#272700,#272701,#272702)); #50376=EDGE_LOOP('',(#272703,#272704,#272705,#272706)); #50377=EDGE_LOOP('',(#272707,#272708,#272709,#272710)); #50378=EDGE_LOOP('',(#272711,#272712,#272713,#272714)); #50379=EDGE_LOOP('',(#272715,#272716,#272717,#272718)); #50380=EDGE_LOOP('',(#272719,#272720,#272721,#272722)); #50381=EDGE_LOOP('',(#272723,#272724,#272725,#272726)); #50382=EDGE_LOOP('',(#272727,#272728,#272729,#272730)); #50383=EDGE_LOOP('',(#272731,#272732,#272733,#272734)); #50384=EDGE_LOOP('',(#272735,#272736,#272737,#272738)); #50385=EDGE_LOOP('',(#272739,#272740,#272741,#272742)); #50386=EDGE_LOOP('',(#272743,#272744,#272745,#272746)); #50387=EDGE_LOOP('',(#272747,#272748,#272749,#272750)); #50388=EDGE_LOOP('',(#272751,#272752,#272753,#272754,#272755,#272756,#272757, #272758,#272759,#272760,#272761,#272762,#272763,#272764,#272765,#272766, #272767,#272768,#272769,#272770,#272771,#272772,#272773,#272774,#272775, #272776,#272777,#272778,#272779,#272780,#272781,#272782,#272783,#272784, #272785,#272786,#272787,#272788,#272789,#272790,#272791,#272792,#272793, #272794,#272795,#272796,#272797,#272798,#272799,#272800,#272801,#272802, #272803,#272804,#272805,#272806,#272807,#272808,#272809,#272810,#272811, #272812,#272813,#272814,#272815,#272816,#272817,#272818,#272819,#272820, #272821,#272822)); #50389=EDGE_LOOP('',(#272823,#272824,#272825,#272826)); #50390=EDGE_LOOP('',(#272827,#272828,#272829,#272830,#272831,#272832,#272833, #272834,#272835,#272836,#272837,#272838,#272839,#272840,#272841,#272842, #272843,#272844,#272845,#272846,#272847,#272848,#272849,#272850,#272851, #272852,#272853,#272854,#272855,#272856,#272857,#272858,#272859,#272860, #272861,#272862,#272863,#272864,#272865,#272866,#272867,#272868,#272869, #272870,#272871,#272872,#272873,#272874,#272875,#272876,#272877,#272878, #272879,#272880,#272881,#272882,#272883,#272884,#272885,#272886,#272887, #272888,#272889,#272890,#272891,#272892,#272893,#272894,#272895,#272896, #272897,#272898)); #50391=EDGE_LOOP('',(#272899,#272900,#272901,#272902)); #50392=EDGE_LOOP('',(#272903,#272904,#272905,#272906)); #50393=EDGE_LOOP('',(#272907,#272908,#272909,#272910)); #50394=EDGE_LOOP('',(#272911,#272912,#272913,#272914)); #50395=EDGE_LOOP('',(#272915,#272916,#272917,#272918)); #50396=EDGE_LOOP('',(#272919,#272920,#272921,#272922)); #50397=EDGE_LOOP('',(#272923,#272924,#272925,#272926)); #50398=EDGE_LOOP('',(#272927,#272928,#272929,#272930)); #50399=EDGE_LOOP('',(#272931,#272932,#272933,#272934)); #50400=EDGE_LOOP('',(#272935,#272936,#272937,#272938)); #50401=EDGE_LOOP('',(#272939,#272940,#272941,#272942)); #50402=EDGE_LOOP('',(#272943,#272944,#272945,#272946)); #50403=EDGE_LOOP('',(#272947,#272948,#272949,#272950)); #50404=EDGE_LOOP('',(#272951,#272952,#272953,#272954)); #50405=EDGE_LOOP('',(#272955,#272956,#272957,#272958)); #50406=EDGE_LOOP('',(#272959,#272960,#272961,#272962)); #50407=EDGE_LOOP('',(#272963,#272964,#272965,#272966)); #50408=EDGE_LOOP('',(#272967,#272968,#272969,#272970)); #50409=EDGE_LOOP('',(#272971,#272972,#272973,#272974)); #50410=EDGE_LOOP('',(#272975,#272976,#272977,#272978)); #50411=EDGE_LOOP('',(#272979,#272980,#272981,#272982)); #50412=EDGE_LOOP('',(#272983,#272984,#272985,#272986)); #50413=EDGE_LOOP('',(#272987,#272988,#272989,#272990)); #50414=EDGE_LOOP('',(#272991,#272992,#272993,#272994)); #50415=EDGE_LOOP('',(#272995,#272996,#272997,#272998)); #50416=EDGE_LOOP('',(#272999,#273000,#273001,#273002)); #50417=EDGE_LOOP('',(#273003,#273004,#273005,#273006)); #50418=EDGE_LOOP('',(#273007,#273008,#273009,#273010)); #50419=EDGE_LOOP('',(#273011,#273012,#273013,#273014)); #50420=EDGE_LOOP('',(#273015,#273016,#273017,#273018)); #50421=EDGE_LOOP('',(#273019,#273020,#273021,#273022)); #50422=EDGE_LOOP('',(#273023,#273024,#273025,#273026)); #50423=EDGE_LOOP('',(#273027,#273028,#273029,#273030)); #50424=EDGE_LOOP('',(#273031,#273032,#273033,#273034)); #50425=EDGE_LOOP('',(#273035,#273036,#273037,#273038)); #50426=EDGE_LOOP('',(#273039,#273040,#273041,#273042)); #50427=EDGE_LOOP('',(#273043,#273044,#273045,#273046)); #50428=EDGE_LOOP('',(#273047,#273048,#273049,#273050)); #50429=EDGE_LOOP('',(#273051,#273052,#273053,#273054)); #50430=EDGE_LOOP('',(#273055,#273056,#273057,#273058)); #50431=EDGE_LOOP('',(#273059,#273060,#273061,#273062)); #50432=EDGE_LOOP('',(#273063,#273064,#273065,#273066)); #50433=EDGE_LOOP('',(#273067,#273068,#273069,#273070)); #50434=EDGE_LOOP('',(#273071,#273072,#273073,#273074)); #50435=EDGE_LOOP('',(#273075,#273076,#273077,#273078)); #50436=EDGE_LOOP('',(#273079,#273080,#273081,#273082)); #50437=EDGE_LOOP('',(#273083,#273084,#273085,#273086)); #50438=EDGE_LOOP('',(#273087,#273088,#273089,#273090)); #50439=EDGE_LOOP('',(#273091,#273092,#273093,#273094)); #50440=EDGE_LOOP('',(#273095,#273096,#273097,#273098)); #50441=EDGE_LOOP('',(#273099,#273100,#273101,#273102)); #50442=EDGE_LOOP('',(#273103,#273104,#273105,#273106)); #50443=EDGE_LOOP('',(#273107,#273108,#273109,#273110)); #50444=EDGE_LOOP('',(#273111,#273112,#273113,#273114)); #50445=EDGE_LOOP('',(#273115,#273116,#273117,#273118)); #50446=EDGE_LOOP('',(#273119,#273120,#273121,#273122)); #50447=EDGE_LOOP('',(#273123,#273124,#273125,#273126)); #50448=EDGE_LOOP('',(#273127,#273128,#273129,#273130)); #50449=EDGE_LOOP('',(#273131,#273132,#273133,#273134)); #50450=EDGE_LOOP('',(#273135,#273136,#273137,#273138)); #50451=EDGE_LOOP('',(#273139,#273140,#273141,#273142)); #50452=EDGE_LOOP('',(#273143,#273144,#273145,#273146,#273147,#273148,#273149, #273150,#273151,#273152,#273153,#273154,#273155,#273156,#273157,#273158, #273159,#273160,#273161,#273162,#273163,#273164,#273165,#273166,#273167, #273168,#273169,#273170,#273171,#273172,#273173,#273174,#273175,#273176, #273177,#273178,#273179,#273180,#273181,#273182,#273183,#273184,#273185, #273186,#273187,#273188,#273189,#273190,#273191,#273192,#273193,#273194, #273195,#273196,#273197,#273198)); #50453=EDGE_LOOP('',(#273199,#273200,#273201,#273202)); #50454=EDGE_LOOP('',(#273203,#273204,#273205,#273206,#273207,#273208,#273209, #273210,#273211,#273212,#273213,#273214,#273215,#273216,#273217,#273218, #273219,#273220,#273221,#273222,#273223,#273224,#273225,#273226,#273227, #273228,#273229,#273230,#273231,#273232,#273233,#273234,#273235,#273236, #273237,#273238,#273239,#273240,#273241,#273242,#273243,#273244,#273245, #273246,#273247,#273248,#273249,#273250,#273251,#273252,#273253,#273254, #273255,#273256,#273257,#273258)); #50455=EDGE_LOOP('',(#273259,#273260,#273261,#273262)); #50456=EDGE_LOOP('',(#273263,#273264,#273265,#273266)); #50457=EDGE_LOOP('',(#273267,#273268,#273269,#273270)); #50458=EDGE_LOOP('',(#273271,#273272,#273273,#273274)); #50459=EDGE_LOOP('',(#273275,#273276,#273277,#273278)); #50460=EDGE_LOOP('',(#273279,#273280,#273281,#273282)); #50461=EDGE_LOOP('',(#273283,#273284,#273285,#273286)); #50462=EDGE_LOOP('',(#273287)); #50463=EDGE_LOOP('',(#273288,#273289,#273290,#273291)); #50464=EDGE_LOOP('',(#273292)); #50465=EDGE_LOOP('',(#273293,#273294,#273295,#273296)); #50466=EDGE_LOOP('',(#273297,#273298,#273299,#273300)); #50467=EDGE_LOOP('',(#273301,#273302,#273303,#273304)); #50468=EDGE_LOOP('',(#273305,#273306,#273307,#273308)); #50469=EDGE_LOOP('',(#273309,#273310,#273311,#273312)); #50470=EDGE_LOOP('',(#273313,#273314,#273315,#273316)); #50471=EDGE_LOOP('',(#273317,#273318,#273319,#273320)); #50472=EDGE_LOOP('',(#273321,#273322,#273323,#273324)); #50473=EDGE_LOOP('',(#273325,#273326,#273327,#273328)); #50474=EDGE_LOOP('',(#273329,#273330,#273331,#273332)); #50475=EDGE_LOOP('',(#273333,#273334,#273335,#273336)); #50476=EDGE_LOOP('',(#273337,#273338,#273339,#273340)); #50477=EDGE_LOOP('',(#273341,#273342,#273343,#273344)); #50478=EDGE_LOOP('',(#273345,#273346,#273347,#273348)); #50479=EDGE_LOOP('',(#273349,#273350,#273351,#273352)); #50480=EDGE_LOOP('',(#273353,#273354,#273355,#273356)); #50481=EDGE_LOOP('',(#273357,#273358,#273359,#273360)); #50482=EDGE_LOOP('',(#273361,#273362,#273363,#273364)); #50483=EDGE_LOOP('',(#273365,#273366,#273367,#273368)); #50484=EDGE_LOOP('',(#273369,#273370,#273371,#273372)); #50485=EDGE_LOOP('',(#273373,#273374,#273375,#273376)); #50486=EDGE_LOOP('',(#273377,#273378,#273379,#273380)); #50487=EDGE_LOOP('',(#273381,#273382,#273383,#273384)); #50488=EDGE_LOOP('',(#273385,#273386,#273387,#273388)); #50489=EDGE_LOOP('',(#273389,#273390,#273391,#273392)); #50490=EDGE_LOOP('',(#273393,#273394,#273395,#273396)); #50491=EDGE_LOOP('',(#273397,#273398,#273399,#273400)); #50492=EDGE_LOOP('',(#273401,#273402,#273403,#273404)); #50493=EDGE_LOOP('',(#273405,#273406,#273407,#273408)); #50494=EDGE_LOOP('',(#273409,#273410,#273411,#273412)); #50495=EDGE_LOOP('',(#273413,#273414,#273415,#273416)); #50496=EDGE_LOOP('',(#273417,#273418,#273419,#273420)); #50497=EDGE_LOOP('',(#273421,#273422,#273423,#273424)); #50498=EDGE_LOOP('',(#273425,#273426,#273427,#273428)); #50499=EDGE_LOOP('',(#273429,#273430,#273431,#273432)); #50500=EDGE_LOOP('',(#273433,#273434,#273435,#273436)); #50501=EDGE_LOOP('',(#273437,#273438,#273439,#273440)); #50502=EDGE_LOOP('',(#273441,#273442,#273443,#273444)); #50503=EDGE_LOOP('',(#273445,#273446,#273447,#273448)); #50504=EDGE_LOOP('',(#273449,#273450,#273451,#273452)); #50505=EDGE_LOOP('',(#273453,#273454,#273455,#273456)); #50506=EDGE_LOOP('',(#273457,#273458,#273459,#273460)); #50507=EDGE_LOOP('',(#273461,#273462,#273463,#273464)); #50508=EDGE_LOOP('',(#273465,#273466,#273467,#273468)); #50509=EDGE_LOOP('',(#273469,#273470,#273471,#273472)); #50510=EDGE_LOOP('',(#273473,#273474,#273475,#273476)); #50511=EDGE_LOOP('',(#273477,#273478,#273479,#273480)); #50512=EDGE_LOOP('',(#273481,#273482,#273483,#273484)); #50513=EDGE_LOOP('',(#273485,#273486,#273487,#273488)); #50514=EDGE_LOOP('',(#273489,#273490,#273491,#273492)); #50515=EDGE_LOOP('',(#273493,#273494,#273495,#273496)); #50516=EDGE_LOOP('',(#273497,#273498,#273499,#273500)); #50517=EDGE_LOOP('',(#273501,#273502,#273503,#273504)); #50518=EDGE_LOOP('',(#273505,#273506,#273507,#273508)); #50519=EDGE_LOOP('',(#273509,#273510,#273511,#273512)); #50520=EDGE_LOOP('',(#273513,#273514,#273515,#273516)); #50521=EDGE_LOOP('',(#273517,#273518,#273519,#273520)); #50522=EDGE_LOOP('',(#273521,#273522,#273523,#273524)); #50523=EDGE_LOOP('',(#273525,#273526,#273527,#273528)); #50524=EDGE_LOOP('',(#273529,#273530,#273531,#273532)); #50525=EDGE_LOOP('',(#273533,#273534,#273535,#273536,#273537,#273538,#273539, #273540,#273541,#273542,#273543,#273544,#273545,#273546,#273547,#273548, #273549,#273550,#273551,#273552,#273553,#273554,#273555,#273556,#273557, #273558,#273559,#273560,#273561,#273562,#273563,#273564,#273565,#273566, #273567,#273568,#273569,#273570,#273571,#273572,#273573,#273574,#273575, #273576,#273577,#273578,#273579,#273580,#273581,#273582,#273583,#273584, #273585,#273586,#273587,#273588)); #50526=EDGE_LOOP('',(#273589,#273590,#273591,#273592)); #50527=EDGE_LOOP('',(#273593,#273594,#273595,#273596,#273597,#273598,#273599, #273600,#273601,#273602,#273603,#273604,#273605,#273606,#273607,#273608, #273609,#273610,#273611,#273612,#273613,#273614,#273615,#273616,#273617, #273618,#273619,#273620,#273621,#273622,#273623,#273624,#273625,#273626, #273627,#273628,#273629,#273630,#273631,#273632,#273633,#273634,#273635, #273636,#273637,#273638,#273639,#273640,#273641,#273642,#273643,#273644, #273645,#273646,#273647,#273648)); #50528=EDGE_LOOP('',(#273649,#273650,#273651,#273652)); #50529=EDGE_LOOP('',(#273653,#273654,#273655,#273656)); #50530=EDGE_LOOP('',(#273657,#273658,#273659,#273660)); #50531=EDGE_LOOP('',(#273661,#273662,#273663,#273664)); #50532=EDGE_LOOP('',(#273665,#273666,#273667,#273668)); #50533=EDGE_LOOP('',(#273669,#273670,#273671,#273672)); #50534=EDGE_LOOP('',(#273673,#273674,#273675,#273676)); #50535=EDGE_LOOP('',(#273677,#273678,#273679,#273680)); #50536=EDGE_LOOP('',(#273681,#273682,#273683,#273684)); #50537=EDGE_LOOP('',(#273685,#273686,#273687,#273688)); #50538=EDGE_LOOP('',(#273689,#273690,#273691,#273692)); #50539=EDGE_LOOP('',(#273693,#273694,#273695,#273696)); #50540=EDGE_LOOP('',(#273697,#273698,#273699,#273700)); #50541=EDGE_LOOP('',(#273701,#273702,#273703,#273704)); #50542=EDGE_LOOP('',(#273705,#273706,#273707,#273708)); #50543=EDGE_LOOP('',(#273709,#273710,#273711,#273712)); #50544=EDGE_LOOP('',(#273713,#273714,#273715,#273716)); #50545=EDGE_LOOP('',(#273717,#273718,#273719,#273720)); #50546=EDGE_LOOP('',(#273721,#273722,#273723,#273724)); #50547=EDGE_LOOP('',(#273725,#273726,#273727,#273728)); #50548=EDGE_LOOP('',(#273729,#273730,#273731,#273732)); #50549=EDGE_LOOP('',(#273733,#273734,#273735,#273736)); #50550=EDGE_LOOP('',(#273737,#273738,#273739,#273740)); #50551=EDGE_LOOP('',(#273741,#273742,#273743,#273744)); #50552=EDGE_LOOP('',(#273745,#273746,#273747,#273748)); #50553=EDGE_LOOP('',(#273749,#273750,#273751,#273752)); #50554=EDGE_LOOP('',(#273753,#273754,#273755,#273756)); #50555=EDGE_LOOP('',(#273757,#273758,#273759,#273760)); #50556=EDGE_LOOP('',(#273761,#273762,#273763,#273764)); #50557=EDGE_LOOP('',(#273765,#273766,#273767,#273768)); #50558=EDGE_LOOP('',(#273769,#273770,#273771,#273772)); #50559=EDGE_LOOP('',(#273773,#273774,#273775,#273776)); #50560=EDGE_LOOP('',(#273777,#273778,#273779,#273780)); #50561=EDGE_LOOP('',(#273781,#273782,#273783,#273784)); #50562=EDGE_LOOP('',(#273785,#273786,#273787,#273788)); #50563=EDGE_LOOP('',(#273789,#273790,#273791,#273792)); #50564=EDGE_LOOP('',(#273793,#273794,#273795,#273796)); #50565=EDGE_LOOP('',(#273797,#273798,#273799,#273800)); #50566=EDGE_LOOP('',(#273801,#273802,#273803,#273804)); #50567=EDGE_LOOP('',(#273805,#273806,#273807,#273808)); #50568=EDGE_LOOP('',(#273809,#273810,#273811,#273812)); #50569=EDGE_LOOP('',(#273813,#273814,#273815,#273816)); #50570=EDGE_LOOP('',(#273817,#273818,#273819,#273820)); #50571=EDGE_LOOP('',(#273821,#273822,#273823,#273824)); #50572=EDGE_LOOP('',(#273825,#273826,#273827,#273828)); #50573=EDGE_LOOP('',(#273829,#273830,#273831,#273832)); #50574=EDGE_LOOP('',(#273833,#273834,#273835,#273836)); #50575=EDGE_LOOP('',(#273837,#273838,#273839,#273840)); #50576=EDGE_LOOP('',(#273841,#273842,#273843,#273844)); #50577=EDGE_LOOP('',(#273845,#273846,#273847,#273848)); #50578=EDGE_LOOP('',(#273849,#273850,#273851,#273852)); #50579=EDGE_LOOP('',(#273853,#273854,#273855,#273856)); #50580=EDGE_LOOP('',(#273857,#273858,#273859,#273860)); #50581=EDGE_LOOP('',(#273861,#273862,#273863,#273864)); #50582=EDGE_LOOP('',(#273865,#273866,#273867,#273868)); #50583=EDGE_LOOP('',(#273869,#273870,#273871,#273872)); #50584=EDGE_LOOP('',(#273873,#273874,#273875,#273876)); #50585=EDGE_LOOP('',(#273877,#273878,#273879,#273880)); #50586=EDGE_LOOP('',(#273881,#273882,#273883,#273884)); #50587=EDGE_LOOP('',(#273885,#273886,#273887,#273888)); #50588=EDGE_LOOP('',(#273889,#273890,#273891,#273892)); #50589=EDGE_LOOP('',(#273893,#273894,#273895,#273896)); #50590=EDGE_LOOP('',(#273897,#273898,#273899,#273900)); #50591=EDGE_LOOP('',(#273901,#273902,#273903,#273904)); #50592=EDGE_LOOP('',(#273905,#273906,#273907,#273908)); #50593=EDGE_LOOP('',(#273909,#273910,#273911,#273912)); #50594=EDGE_LOOP('',(#273913,#273914,#273915,#273916)); #50595=EDGE_LOOP('',(#273917,#273918,#273919,#273920)); #50596=EDGE_LOOP('',(#273921,#273922,#273923,#273924)); #50597=EDGE_LOOP('',(#273925,#273926,#273927,#273928)); #50598=EDGE_LOOP('',(#273929,#273930,#273931,#273932)); #50599=EDGE_LOOP('',(#273933,#273934,#273935,#273936)); #50600=EDGE_LOOP('',(#273937,#273938,#273939,#273940)); #50601=EDGE_LOOP('',(#273941,#273942,#273943,#273944)); #50602=EDGE_LOOP('',(#273945,#273946,#273947,#273948)); #50603=EDGE_LOOP('',(#273949,#273950,#273951,#273952)); #50604=EDGE_LOOP('',(#273953,#273954,#273955,#273956)); #50605=EDGE_LOOP('',(#273957,#273958,#273959,#273960,#273961,#273962,#273963, #273964,#273965,#273966,#273967,#273968,#273969,#273970,#273971,#273972, #273973,#273974,#273975,#273976,#273977,#273978,#273979,#273980,#273981, #273982,#273983,#273984,#273985,#273986,#273987,#273988,#273989,#273990, #273991,#273992,#273993,#273994,#273995,#273996,#273997,#273998,#273999, #274000,#274001,#274002,#274003,#274004,#274005,#274006,#274007,#274008, #274009,#274010,#274011,#274012,#274013,#274014,#274015,#274016,#274017, #274018,#274019,#274020,#274021,#274022,#274023,#274024,#274025,#274026, #274027,#274028)); #50606=EDGE_LOOP('',(#274029,#274030,#274031,#274032)); #50607=EDGE_LOOP('',(#274033,#274034,#274035,#274036,#274037,#274038,#274039, #274040,#274041,#274042,#274043,#274044,#274045,#274046,#274047,#274048, #274049,#274050,#274051,#274052,#274053,#274054,#274055,#274056,#274057, #274058,#274059,#274060,#274061,#274062,#274063,#274064,#274065,#274066, #274067,#274068,#274069,#274070,#274071,#274072,#274073,#274074,#274075, #274076,#274077,#274078,#274079,#274080,#274081,#274082,#274083,#274084, #274085,#274086,#274087,#274088,#274089,#274090,#274091,#274092,#274093, #274094,#274095,#274096,#274097,#274098,#274099,#274100,#274101,#274102, #274103,#274104)); #50608=EDGE_LOOP('',(#274105,#274106,#274107,#274108)); #50609=EDGE_LOOP('',(#274109,#274110,#274111,#274112)); #50610=EDGE_LOOP('',(#274113,#274114,#274115,#274116)); #50611=EDGE_LOOP('',(#274117,#274118,#274119,#274120)); #50612=EDGE_LOOP('',(#274121,#274122,#274123,#274124)); #50613=EDGE_LOOP('',(#274125,#274126,#274127)); #50614=EDGE_LOOP('',(#274128)); #50615=EDGE_LOOP('',(#274129,#274130,#274131)); #50616=EDGE_LOOP('',(#274132)); #50617=EDGE_LOOP('',(#274133,#274134,#274135,#274136)); #50618=EDGE_LOOP('',(#274137,#274138,#274139,#274140)); #50619=EDGE_LOOP('',(#274141,#274142,#274143,#274144)); #50620=EDGE_LOOP('',(#274145,#274146,#274147,#274148)); #50621=EDGE_LOOP('',(#274149,#274150,#274151)); #50622=EDGE_LOOP('',(#274152)); #50623=EDGE_LOOP('',(#274153,#274154,#274155)); #50624=EDGE_LOOP('',(#274156)); #50625=EDGE_LOOP('',(#274157,#274158,#274159,#274160)); #50626=EDGE_LOOP('',(#274161,#274162,#274163,#274164)); #50627=EDGE_LOOP('',(#274165,#274166,#274167,#274168)); #50628=EDGE_LOOP('',(#274169,#274170,#274171,#274172)); #50629=EDGE_LOOP('',(#274173,#274174,#274175,#274176)); #50630=EDGE_LOOP('',(#274177,#274178,#274179,#274180)); #50631=EDGE_LOOP('',(#274181,#274182,#274183,#274184)); #50632=EDGE_LOOP('',(#274185,#274186,#274187,#274188)); #50633=EDGE_LOOP('',(#274189,#274190,#274191,#274192)); #50634=EDGE_LOOP('',(#274193,#274194,#274195,#274196)); #50635=EDGE_LOOP('',(#274197,#274198,#274199,#274200)); #50636=EDGE_LOOP('',(#274201,#274202,#274203,#274204)); #50637=EDGE_LOOP('',(#274205,#274206,#274207,#274208)); #50638=EDGE_LOOP('',(#274209,#274210,#274211,#274212)); #50639=EDGE_LOOP('',(#274213,#274214,#274215,#274216)); #50640=EDGE_LOOP('',(#274217,#274218,#274219,#274220)); #50641=EDGE_LOOP('',(#274221,#274222,#274223,#274224)); #50642=EDGE_LOOP('',(#274225,#274226,#274227,#274228)); #50643=EDGE_LOOP('',(#274229,#274230,#274231,#274232)); #50644=EDGE_LOOP('',(#274233,#274234,#274235,#274236)); #50645=EDGE_LOOP('',(#274237,#274238,#274239,#274240)); #50646=EDGE_LOOP('',(#274241,#274242,#274243,#274244)); #50647=EDGE_LOOP('',(#274245,#274246,#274247,#274248)); #50648=EDGE_LOOP('',(#274249,#274250,#274251,#274252)); #50649=EDGE_LOOP('',(#274253,#274254,#274255,#274256)); #50650=EDGE_LOOP('',(#274257,#274258,#274259,#274260)); #50651=EDGE_LOOP('',(#274261,#274262,#274263,#274264)); #50652=EDGE_LOOP('',(#274265,#274266,#274267,#274268)); #50653=EDGE_LOOP('',(#274269,#274270,#274271,#274272)); #50654=EDGE_LOOP('',(#274273,#274274,#274275,#274276)); #50655=EDGE_LOOP('',(#274277,#274278,#274279,#274280)); #50656=EDGE_LOOP('',(#274281,#274282,#274283,#274284)); #50657=EDGE_LOOP('',(#274285,#274286,#274287,#274288)); #50658=EDGE_LOOP('',(#274289,#274290,#274291,#274292)); #50659=EDGE_LOOP('',(#274293,#274294,#274295,#274296)); #50660=EDGE_LOOP('',(#274297,#274298,#274299,#274300)); #50661=EDGE_LOOP('',(#274301,#274302,#274303,#274304)); #50662=EDGE_LOOP('',(#274305,#274306,#274307,#274308)); #50663=EDGE_LOOP('',(#274309,#274310,#274311,#274312)); #50664=EDGE_LOOP('',(#274313,#274314,#274315,#274316)); #50665=EDGE_LOOP('',(#274317,#274318,#274319,#274320)); #50666=EDGE_LOOP('',(#274321,#274322,#274323,#274324)); #50667=EDGE_LOOP('',(#274325,#274326,#274327,#274328)); #50668=EDGE_LOOP('',(#274329,#274330,#274331,#274332)); #50669=EDGE_LOOP('',(#274333,#274334,#274335,#274336)); #50670=EDGE_LOOP('',(#274337,#274338,#274339,#274340)); #50671=EDGE_LOOP('',(#274341,#274342,#274343,#274344)); #50672=EDGE_LOOP('',(#274345,#274346,#274347,#274348)); #50673=EDGE_LOOP('',(#274349,#274350,#274351,#274352)); #50674=EDGE_LOOP('',(#274353,#274354,#274355,#274356)); #50675=EDGE_LOOP('',(#274357,#274358,#274359,#274360)); #50676=EDGE_LOOP('',(#274361,#274362,#274363,#274364)); #50677=EDGE_LOOP('',(#274365,#274366,#274367,#274368)); #50678=EDGE_LOOP('',(#274369,#274370,#274371,#274372)); #50679=EDGE_LOOP('',(#274373,#274374,#274375,#274376)); #50680=EDGE_LOOP('',(#274377,#274378,#274379,#274380)); #50681=EDGE_LOOP('',(#274381,#274382,#274383,#274384)); #50682=EDGE_LOOP('',(#274385,#274386,#274387,#274388)); #50683=EDGE_LOOP('',(#274389,#274390,#274391,#274392)); #50684=EDGE_LOOP('',(#274393,#274394,#274395,#274396)); #50685=EDGE_LOOP('',(#274397,#274398,#274399,#274400,#274401,#274402,#274403, #274404,#274405,#274406,#274407,#274408,#274409,#274410,#274411,#274412, #274413,#274414,#274415,#274416,#274417,#274418,#274419,#274420,#274421, #274422,#274423,#274424,#274425,#274426,#274427,#274428,#274429,#274430, #274431,#274432,#274433,#274434,#274435,#274436,#274437,#274438,#274439, #274440,#274441,#274442,#274443,#274444,#274445,#274446,#274447,#274448, #274449,#274450,#274451,#274452)); #50686=EDGE_LOOP('',(#274453,#274454,#274455,#274456)); #50687=EDGE_LOOP('',(#274457,#274458,#274459,#274460,#274461,#274462,#274463, #274464,#274465,#274466,#274467,#274468,#274469,#274470,#274471,#274472, #274473,#274474,#274475,#274476,#274477,#274478,#274479,#274480,#274481, #274482,#274483,#274484,#274485,#274486,#274487,#274488,#274489,#274490, #274491,#274492,#274493,#274494,#274495,#274496,#274497,#274498,#274499, #274500,#274501,#274502,#274503,#274504,#274505,#274506,#274507,#274508, #274509,#274510,#274511,#274512)); #50688=EDGE_LOOP('',(#274513,#274514,#274515,#274516)); #50689=EDGE_LOOP('',(#274517,#274518,#274519,#274520)); #50690=EDGE_LOOP('',(#274521,#274522,#274523,#274524)); #50691=EDGE_LOOP('',(#274525,#274526,#274527,#274528)); #50692=EDGE_LOOP('',(#274529,#274530,#274531,#274532)); #50693=EDGE_LOOP('',(#274533,#274534,#274535)); #50694=EDGE_LOOP('',(#274536)); #50695=EDGE_LOOP('',(#274537,#274538,#274539)); #50696=EDGE_LOOP('',(#274540)); #50697=EDGE_LOOP('',(#274541,#274542,#274543,#274544)); #50698=EDGE_LOOP('',(#274545,#274546,#274547,#274548)); #50699=EDGE_LOOP('',(#274549,#274550,#274551,#274552)); #50700=EDGE_LOOP('',(#274553,#274554,#274555,#274556)); #50701=EDGE_LOOP('',(#274557,#274558,#274559)); #50702=EDGE_LOOP('',(#274560)); #50703=EDGE_LOOP('',(#274561,#274562,#274563)); #50704=EDGE_LOOP('',(#274564)); #50705=EDGE_LOOP('',(#274565,#274566,#274567,#274568)); #50706=EDGE_LOOP('',(#274569,#274570,#274571,#274572)); #50707=EDGE_LOOP('',(#274573,#274574,#274575,#274576)); #50708=EDGE_LOOP('',(#274577,#274578,#274579,#274580)); #50709=EDGE_LOOP('',(#274581,#274582,#274583)); #50710=EDGE_LOOP('',(#274584)); #50711=EDGE_LOOP('',(#274585,#274586,#274587)); #50712=EDGE_LOOP('',(#274588)); #50713=EDGE_LOOP('',(#274589,#274590,#274591,#274592)); #50714=EDGE_LOOP('',(#274593,#274594,#274595,#274596)); #50715=EDGE_LOOP('',(#274597,#274598,#274599,#274600)); #50716=EDGE_LOOP('',(#274601,#274602,#274603,#274604)); #50717=EDGE_LOOP('',(#274605,#274606,#274607,#274608)); #50718=EDGE_LOOP('',(#274609,#274610,#274611,#274612)); #50719=EDGE_LOOP('',(#274613)); #50720=EDGE_LOOP('',(#274614,#274615,#274616,#274617)); #50721=EDGE_LOOP('',(#274618)); #50722=EDGE_LOOP('',(#274619,#274620,#274621,#274622)); #50723=EDGE_LOOP('',(#274623,#274624,#274625,#274626)); #50724=EDGE_LOOP('',(#274627,#274628,#274629,#274630)); #50725=EDGE_LOOP('',(#274631,#274632,#274633,#274634)); #50726=EDGE_LOOP('',(#274635,#274636,#274637)); #50727=EDGE_LOOP('',(#274638)); #50728=EDGE_LOOP('',(#274639,#274640,#274641)); #50729=EDGE_LOOP('',(#274642)); #50730=EDGE_LOOP('',(#274643,#274644,#274645,#274646)); #50731=EDGE_LOOP('',(#274647,#274648,#274649,#274650)); #50732=EDGE_LOOP('',(#274651,#274652,#274653,#274654)); #50733=EDGE_LOOP('',(#274655,#274656,#274657,#274658)); #50734=EDGE_LOOP('',(#274659,#274660,#274661,#274662)); #50735=EDGE_LOOP('',(#274663,#274664,#274665,#274666)); #50736=EDGE_LOOP('',(#274667,#274668,#274669,#274670)); #50737=EDGE_LOOP('',(#274671,#274672,#274673,#274674)); #50738=EDGE_LOOP('',(#274675,#274676,#274677,#274678)); #50739=EDGE_LOOP('',(#274679,#274680,#274681,#274682)); #50740=EDGE_LOOP('',(#274683,#274684,#274685,#274686)); #50741=EDGE_LOOP('',(#274687,#274688,#274689,#274690)); #50742=EDGE_LOOP('',(#274691,#274692,#274693,#274694)); #50743=EDGE_LOOP('',(#274695,#274696,#274697,#274698)); #50744=EDGE_LOOP('',(#274699,#274700,#274701,#274702)); #50745=EDGE_LOOP('',(#274703,#274704,#274705,#274706)); #50746=EDGE_LOOP('',(#274707,#274708,#274709,#274710)); #50747=EDGE_LOOP('',(#274711,#274712,#274713,#274714)); #50748=EDGE_LOOP('',(#274715,#274716,#274717,#274718)); #50749=EDGE_LOOP('',(#274719,#274720,#274721,#274722)); #50750=EDGE_LOOP('',(#274723,#274724,#274725,#274726)); #50751=EDGE_LOOP('',(#274727,#274728,#274729,#274730)); #50752=EDGE_LOOP('',(#274731,#274732,#274733,#274734)); #50753=EDGE_LOOP('',(#274735,#274736,#274737,#274738)); #50754=EDGE_LOOP('',(#274739,#274740,#274741,#274742)); #50755=EDGE_LOOP('',(#274743,#274744,#274745,#274746)); #50756=EDGE_LOOP('',(#274747,#274748,#274749,#274750)); #50757=EDGE_LOOP('',(#274751,#274752,#274753,#274754)); #50758=EDGE_LOOP('',(#274755,#274756,#274757,#274758)); #50759=EDGE_LOOP('',(#274759,#274760,#274761,#274762)); #50760=EDGE_LOOP('',(#274763,#274764,#274765,#274766)); #50761=EDGE_LOOP('',(#274767,#274768,#274769,#274770)); #50762=EDGE_LOOP('',(#274771,#274772,#274773,#274774)); #50763=EDGE_LOOP('',(#274775,#274776,#274777,#274778)); #50764=EDGE_LOOP('',(#274779,#274780,#274781,#274782)); #50765=EDGE_LOOP('',(#274783,#274784,#274785,#274786)); #50766=EDGE_LOOP('',(#274787,#274788,#274789,#274790)); #50767=EDGE_LOOP('',(#274791,#274792,#274793,#274794)); #50768=EDGE_LOOP('',(#274795,#274796,#274797,#274798)); #50769=EDGE_LOOP('',(#274799,#274800,#274801,#274802)); #50770=EDGE_LOOP('',(#274803,#274804,#274805,#274806)); #50771=EDGE_LOOP('',(#274807,#274808,#274809,#274810)); #50772=EDGE_LOOP('',(#274811,#274812,#274813,#274814)); #50773=EDGE_LOOP('',(#274815,#274816,#274817,#274818)); #50774=EDGE_LOOP('',(#274819,#274820,#274821,#274822)); #50775=EDGE_LOOP('',(#274823,#274824,#274825,#274826)); #50776=EDGE_LOOP('',(#274827,#274828,#274829,#274830)); #50777=EDGE_LOOP('',(#274831,#274832,#274833,#274834)); #50778=EDGE_LOOP('',(#274835,#274836,#274837,#274838)); #50779=EDGE_LOOP('',(#274839,#274840,#274841,#274842)); #50780=EDGE_LOOP('',(#274843,#274844,#274845,#274846)); #50781=EDGE_LOOP('',(#274847,#274848,#274849,#274850)); #50782=EDGE_LOOP('',(#274851,#274852,#274853,#274854)); #50783=EDGE_LOOP('',(#274855,#274856,#274857,#274858)); #50784=EDGE_LOOP('',(#274859,#274860,#274861,#274862)); #50785=EDGE_LOOP('',(#274863,#274864,#274865,#274866)); #50786=EDGE_LOOP('',(#274867,#274868,#274869,#274870)); #50787=EDGE_LOOP('',(#274871,#274872,#274873,#274874)); #50788=EDGE_LOOP('',(#274875,#274876,#274877,#274878)); #50789=EDGE_LOOP('',(#274879,#274880,#274881,#274882)); #50790=EDGE_LOOP('',(#274883,#274884,#274885,#274886)); #50791=EDGE_LOOP('',(#274887,#274888,#274889,#274890)); #50792=EDGE_LOOP('',(#274891,#274892,#274893,#274894)); #50793=EDGE_LOOP('',(#274895,#274896,#274897,#274898)); #50794=EDGE_LOOP('',(#274899,#274900,#274901,#274902)); #50795=EDGE_LOOP('',(#274903,#274904,#274905,#274906)); #50796=EDGE_LOOP('',(#274907,#274908,#274909,#274910)); #50797=EDGE_LOOP('',(#274911,#274912,#274913,#274914)); #50798=EDGE_LOOP('',(#274915,#274916,#274917,#274918)); #50799=EDGE_LOOP('',(#274919,#274920,#274921,#274922)); #50800=EDGE_LOOP('',(#274923,#274924,#274925,#274926)); #50801=EDGE_LOOP('',(#274927,#274928,#274929,#274930)); #50802=EDGE_LOOP('',(#274931,#274932,#274933,#274934)); #50803=EDGE_LOOP('',(#274935,#274936,#274937,#274938)); #50804=EDGE_LOOP('',(#274939,#274940,#274941,#274942)); #50805=EDGE_LOOP('',(#274943,#274944,#274945,#274946)); #50806=EDGE_LOOP('',(#274947,#274948,#274949,#274950)); #50807=EDGE_LOOP('',(#274951,#274952,#274953,#274954)); #50808=EDGE_LOOP('',(#274955,#274956,#274957,#274958)); #50809=EDGE_LOOP('',(#274959,#274960,#274961,#274962)); #50810=EDGE_LOOP('',(#274963,#274964,#274965,#274966)); #50811=EDGE_LOOP('',(#274967,#274968,#274969,#274970)); #50812=EDGE_LOOP('',(#274971,#274972,#274973,#274974)); #50813=EDGE_LOOP('',(#274975,#274976,#274977,#274978)); #50814=EDGE_LOOP('',(#274979,#274980,#274981,#274982)); #50815=EDGE_LOOP('',(#274983,#274984,#274985,#274986)); #50816=EDGE_LOOP('',(#274987,#274988,#274989,#274990)); #50817=EDGE_LOOP('',(#274991,#274992,#274993,#274994)); #50818=EDGE_LOOP('',(#274995,#274996,#274997,#274998)); #50819=EDGE_LOOP('',(#274999)); #50820=EDGE_LOOP('',(#275000)); #50821=EDGE_LOOP('',(#275001)); #50822=EDGE_LOOP('',(#275002)); #50823=EDGE_LOOP('',(#275003)); #50824=EDGE_LOOP('',(#275004)); #50825=EDGE_LOOP('',(#275005,#275006,#275007,#275008)); #50826=EDGE_LOOP('',(#275009)); #50827=EDGE_LOOP('',(#275010)); #50828=EDGE_LOOP('',(#275011)); #50829=EDGE_LOOP('',(#275012)); #50830=EDGE_LOOP('',(#275013)); #50831=EDGE_LOOP('',(#275014)); #50832=EDGE_LOOP('',(#275015,#275016,#275017,#275018)); #50833=EDGE_LOOP('',(#275019,#275020,#275021,#275022)); #50834=EDGE_LOOP('',(#275023,#275024,#275025,#275026)); #50835=EDGE_LOOP('',(#275027,#275028,#275029,#275030)); #50836=EDGE_LOOP('',(#275031,#275032,#275033,#275034)); #50837=EDGE_LOOP('',(#275035,#275036,#275037,#275038)); #50838=EDGE_LOOP('',(#275039,#275040,#275041,#275042)); #50839=EDGE_LOOP('',(#275043,#275044,#275045,#275046)); #50840=EDGE_LOOP('',(#275047,#275048,#275049,#275050)); #50841=EDGE_LOOP('',(#275051,#275052,#275053,#275054)); #50842=EDGE_LOOP('',(#275055,#275056,#275057,#275058)); #50843=EDGE_LOOP('',(#275059,#275060,#275061,#275062)); #50844=EDGE_LOOP('',(#275063,#275064,#275065,#275066)); #50845=EDGE_LOOP('',(#275067,#275068,#275069,#275070)); #50846=EDGE_LOOP('',(#275071,#275072,#275073,#275074)); #50847=EDGE_LOOP('',(#275075,#275076,#275077,#275078)); #50848=EDGE_LOOP('',(#275079,#275080,#275081,#275082)); #50849=EDGE_LOOP('',(#275083,#275084,#275085,#275086)); #50850=EDGE_LOOP('',(#275087,#275088,#275089,#275090)); #50851=EDGE_LOOP('',(#275091,#275092,#275093,#275094)); #50852=EDGE_LOOP('',(#275095,#275096,#275097,#275098)); #50853=EDGE_LOOP('',(#275099,#275100,#275101,#275102)); #50854=EDGE_LOOP('',(#275103,#275104,#275105,#275106)); #50855=EDGE_LOOP('',(#275107,#275108,#275109,#275110)); #50856=EDGE_LOOP('',(#275111,#275112,#275113,#275114)); #50857=EDGE_LOOP('',(#275115,#275116,#275117,#275118)); #50858=EDGE_LOOP('',(#275119,#275120,#275121,#275122)); #50859=EDGE_LOOP('',(#275123,#275124,#275125,#275126)); #50860=EDGE_LOOP('',(#275127,#275128,#275129,#275130)); #50861=EDGE_LOOP('',(#275131,#275132,#275133,#275134)); #50862=EDGE_LOOP('',(#275135,#275136,#275137,#275138)); #50863=EDGE_LOOP('',(#275139,#275140,#275141,#275142)); #50864=EDGE_LOOP('',(#275143,#275144,#275145,#275146)); #50865=EDGE_LOOP('',(#275147,#275148,#275149,#275150)); #50866=EDGE_LOOP('',(#275151,#275152,#275153,#275154)); #50867=EDGE_LOOP('',(#275155,#275156,#275157,#275158)); #50868=EDGE_LOOP('',(#275159,#275160,#275161,#275162)); #50869=EDGE_LOOP('',(#275163,#275164,#275165,#275166)); #50870=EDGE_LOOP('',(#275167,#275168,#275169,#275170)); #50871=EDGE_LOOP('',(#275171,#275172,#275173,#275174)); #50872=EDGE_LOOP('',(#275175,#275176,#275177,#275178)); #50873=EDGE_LOOP('',(#275179,#275180,#275181,#275182)); #50874=EDGE_LOOP('',(#275183,#275184,#275185,#275186)); #50875=EDGE_LOOP('',(#275187,#275188,#275189,#275190)); #50876=EDGE_LOOP('',(#275191,#275192,#275193,#275194)); #50877=EDGE_LOOP('',(#275195,#275196,#275197,#275198)); #50878=EDGE_LOOP('',(#275199,#275200,#275201,#275202)); #50879=EDGE_LOOP('',(#275203,#275204,#275205,#275206)); #50880=EDGE_LOOP('',(#275207,#275208,#275209,#275210)); #50881=EDGE_LOOP('',(#275211,#275212,#275213,#275214)); #50882=EDGE_LOOP('',(#275215,#275216,#275217,#275218)); #50883=EDGE_LOOP('',(#275219,#275220,#275221,#275222)); #50884=EDGE_LOOP('',(#275223,#275224,#275225,#275226)); #50885=EDGE_LOOP('',(#275227,#275228,#275229,#275230)); #50886=EDGE_LOOP('',(#275231,#275232,#275233,#275234)); #50887=EDGE_LOOP('',(#275235,#275236,#275237,#275238)); #50888=EDGE_LOOP('',(#275239,#275240,#275241,#275242)); #50889=EDGE_LOOP('',(#275243,#275244,#275245,#275246)); #50890=EDGE_LOOP('',(#275247,#275248,#275249,#275250)); #50891=EDGE_LOOP('',(#275251,#275252,#275253,#275254)); #50892=EDGE_LOOP('',(#275255,#275256,#275257,#275258)); #50893=EDGE_LOOP('',(#275259,#275260,#275261,#275262)); #50894=EDGE_LOOP('',(#275263,#275264,#275265,#275266)); #50895=EDGE_LOOP('',(#275267,#275268,#275269,#275270)); #50896=EDGE_LOOP('',(#275271,#275272,#275273,#275274)); #50897=EDGE_LOOP('',(#275275,#275276,#275277,#275278)); #50898=EDGE_LOOP('',(#275279,#275280,#275281,#275282)); #50899=EDGE_LOOP('',(#275283,#275284,#275285,#275286)); #50900=EDGE_LOOP('',(#275287,#275288,#275289,#275290)); #50901=EDGE_LOOP('',(#275291,#275292,#275293,#275294)); #50902=EDGE_LOOP('',(#275295,#275296,#275297,#275298)); #50903=EDGE_LOOP('',(#275299,#275300,#275301,#275302)); #50904=EDGE_LOOP('',(#275303,#275304,#275305,#275306)); #50905=EDGE_LOOP('',(#275307,#275308,#275309,#275310)); #50906=EDGE_LOOP('',(#275311,#275312,#275313,#275314)); #50907=EDGE_LOOP('',(#275315,#275316,#275317,#275318)); #50908=EDGE_LOOP('',(#275319,#275320,#275321,#275322)); #50909=EDGE_LOOP('',(#275323,#275324,#275325,#275326)); #50910=EDGE_LOOP('',(#275327,#275328,#275329,#275330)); #50911=EDGE_LOOP('',(#275331,#275332,#275333,#275334)); #50912=EDGE_LOOP('',(#275335,#275336,#275337,#275338)); #50913=EDGE_LOOP('',(#275339,#275340,#275341,#275342)); #50914=EDGE_LOOP('',(#275343,#275344,#275345,#275346)); #50915=EDGE_LOOP('',(#275347,#275348,#275349,#275350)); #50916=EDGE_LOOP('',(#275351,#275352,#275353,#275354)); #50917=EDGE_LOOP('',(#275355,#275356,#275357,#275358)); #50918=EDGE_LOOP('',(#275359,#275360,#275361,#275362)); #50919=EDGE_LOOP('',(#275363,#275364,#275365,#275366)); #50920=EDGE_LOOP('',(#275367,#275368,#275369,#275370)); #50921=EDGE_LOOP('',(#275371,#275372,#275373,#275374)); #50922=EDGE_LOOP('',(#275375,#275376,#275377,#275378)); #50923=EDGE_LOOP('',(#275379,#275380,#275381,#275382)); #50924=EDGE_LOOP('',(#275383,#275384,#275385,#275386)); #50925=EDGE_LOOP('',(#275387,#275388,#275389,#275390)); #50926=EDGE_LOOP('',(#275391,#275392,#275393,#275394)); #50927=EDGE_LOOP('',(#275395,#275396,#275397,#275398)); #50928=EDGE_LOOP('',(#275399,#275400,#275401,#275402)); #50929=EDGE_LOOP('',(#275403,#275404,#275405,#275406)); #50930=EDGE_LOOP('',(#275407,#275408,#275409,#275410)); #50931=EDGE_LOOP('',(#275411,#275412,#275413,#275414)); #50932=EDGE_LOOP('',(#275415,#275416,#275417,#275418)); #50933=EDGE_LOOP('',(#275419,#275420,#275421,#275422)); #50934=EDGE_LOOP('',(#275423,#275424,#275425,#275426)); #50935=EDGE_LOOP('',(#275427,#275428,#275429,#275430)); #50936=EDGE_LOOP('',(#275431,#275432,#275433,#275434)); #50937=EDGE_LOOP('',(#275435,#275436,#275437,#275438)); #50938=EDGE_LOOP('',(#275439,#275440,#275441,#275442)); #50939=EDGE_LOOP('',(#275443,#275444,#275445,#275446)); #50940=EDGE_LOOP('',(#275447,#275448,#275449,#275450)); #50941=EDGE_LOOP('',(#275451,#275452,#275453,#275454)); #50942=EDGE_LOOP('',(#275455,#275456,#275457,#275458)); #50943=EDGE_LOOP('',(#275459,#275460,#275461,#275462)); #50944=EDGE_LOOP('',(#275463,#275464,#275465,#275466)); #50945=EDGE_LOOP('',(#275467,#275468,#275469,#275470)); #50946=EDGE_LOOP('',(#275471,#275472,#275473,#275474)); #50947=EDGE_LOOP('',(#275475,#275476,#275477,#275478)); #50948=EDGE_LOOP('',(#275479,#275480,#275481,#275482)); #50949=EDGE_LOOP('',(#275483,#275484,#275485,#275486)); #50950=EDGE_LOOP('',(#275487,#275488,#275489,#275490)); #50951=EDGE_LOOP('',(#275491,#275492,#275493,#275494)); #50952=EDGE_LOOP('',(#275495,#275496,#275497,#275498)); #50953=EDGE_LOOP('',(#275499,#275500,#275501,#275502)); #50954=EDGE_LOOP('',(#275503,#275504,#275505,#275506)); #50955=EDGE_LOOP('',(#275507,#275508,#275509,#275510)); #50956=EDGE_LOOP('',(#275511,#275512,#275513,#275514)); #50957=EDGE_LOOP('',(#275515,#275516,#275517,#275518)); #50958=EDGE_LOOP('',(#275519,#275520,#275521,#275522)); #50959=EDGE_LOOP('',(#275523,#275524,#275525,#275526)); #50960=EDGE_LOOP('',(#275527,#275528,#275529,#275530)); #50961=EDGE_LOOP('',(#275531,#275532,#275533,#275534)); #50962=EDGE_LOOP('',(#275535,#275536,#275537,#275538)); #50963=EDGE_LOOP('',(#275539,#275540,#275541,#275542)); #50964=EDGE_LOOP('',(#275543,#275544,#275545,#275546)); #50965=EDGE_LOOP('',(#275547,#275548,#275549,#275550)); #50966=EDGE_LOOP('',(#275551,#275552,#275553,#275554)); #50967=EDGE_LOOP('',(#275555,#275556,#275557,#275558)); #50968=EDGE_LOOP('',(#275559,#275560,#275561,#275562)); #50969=EDGE_LOOP('',(#275563,#275564,#275565,#275566)); #50970=EDGE_LOOP('',(#275567,#275568,#275569,#275570)); #50971=EDGE_LOOP('',(#275571,#275572,#275573,#275574)); #50972=EDGE_LOOP('',(#275575,#275576,#275577,#275578)); #50973=EDGE_LOOP('',(#275579,#275580,#275581,#275582)); #50974=EDGE_LOOP('',(#275583,#275584,#275585,#275586)); #50975=EDGE_LOOP('',(#275587,#275588,#275589,#275590)); #50976=EDGE_LOOP('',(#275591,#275592,#275593,#275594)); #50977=EDGE_LOOP('',(#275595,#275596,#275597,#275598)); #50978=EDGE_LOOP('',(#275599,#275600,#275601,#275602)); #50979=EDGE_LOOP('',(#275603,#275604,#275605,#275606)); #50980=EDGE_LOOP('',(#275607,#275608,#275609,#275610)); #50981=EDGE_LOOP('',(#275611,#275612,#275613,#275614)); #50982=EDGE_LOOP('',(#275615,#275616,#275617,#275618)); #50983=EDGE_LOOP('',(#275619,#275620,#275621,#275622)); #50984=EDGE_LOOP('',(#275623,#275624,#275625,#275626)); #50985=EDGE_LOOP('',(#275627,#275628,#275629,#275630)); #50986=EDGE_LOOP('',(#275631,#275632,#275633,#275634)); #50987=EDGE_LOOP('',(#275635,#275636,#275637,#275638)); #50988=EDGE_LOOP('',(#275639,#275640,#275641,#275642)); #50989=EDGE_LOOP('',(#275643,#275644,#275645,#275646)); #50990=EDGE_LOOP('',(#275647,#275648,#275649,#275650)); #50991=EDGE_LOOP('',(#275651,#275652,#275653,#275654)); #50992=EDGE_LOOP('',(#275655,#275656,#275657,#275658)); #50993=EDGE_LOOP('',(#275659,#275660,#275661,#275662)); #50994=EDGE_LOOP('',(#275663,#275664,#275665,#275666)); #50995=EDGE_LOOP('',(#275667,#275668,#275669,#275670)); #50996=EDGE_LOOP('',(#275671,#275672,#275673,#275674)); #50997=EDGE_LOOP('',(#275675,#275676,#275677,#275678)); #50998=EDGE_LOOP('',(#275679,#275680,#275681,#275682)); #50999=EDGE_LOOP('',(#275683)); #51000=EDGE_LOOP('',(#275684)); #51001=EDGE_LOOP('',(#275685)); #51002=EDGE_LOOP('',(#275686)); #51003=EDGE_LOOP('',(#275687)); #51004=EDGE_LOOP('',(#275688)); #51005=EDGE_LOOP('',(#275689,#275690,#275691,#275692)); #51006=EDGE_LOOP('',(#275693)); #51007=EDGE_LOOP('',(#275694)); #51008=EDGE_LOOP('',(#275695)); #51009=EDGE_LOOP('',(#275696)); #51010=EDGE_LOOP('',(#275697)); #51011=EDGE_LOOP('',(#275698)); #51012=EDGE_LOOP('',(#275699,#275700,#275701,#275702)); #51013=EDGE_LOOP('',(#275703,#275704,#275705,#275706)); #51014=EDGE_LOOP('',(#275707,#275708,#275709,#275710)); #51015=EDGE_LOOP('',(#275711,#275712,#275713,#275714)); #51016=EDGE_LOOP('',(#275715,#275716,#275717,#275718)); #51017=EDGE_LOOP('',(#275719,#275720,#275721,#275722)); #51018=EDGE_LOOP('',(#275723,#275724,#275725,#275726)); #51019=EDGE_LOOP('',(#275727,#275728,#275729,#275730)); #51020=EDGE_LOOP('',(#275731,#275732,#275733,#275734)); #51021=EDGE_LOOP('',(#275735,#275736,#275737,#275738)); #51022=EDGE_LOOP('',(#275739,#275740,#275741,#275742)); #51023=EDGE_LOOP('',(#275743,#275744,#275745,#275746)); #51024=EDGE_LOOP('',(#275747,#275748,#275749,#275750)); #51025=EDGE_LOOP('',(#275751,#275752,#275753,#275754)); #51026=EDGE_LOOP('',(#275755,#275756,#275757,#275758)); #51027=EDGE_LOOP('',(#275759,#275760,#275761,#275762)); #51028=EDGE_LOOP('',(#275763,#275764,#275765,#275766)); #51029=EDGE_LOOP('',(#275767,#275768,#275769,#275770)); #51030=EDGE_LOOP('',(#275771,#275772,#275773,#275774)); #51031=EDGE_LOOP('',(#275775,#275776,#275777,#275778)); #51032=EDGE_LOOP('',(#275779,#275780,#275781,#275782)); #51033=EDGE_LOOP('',(#275783,#275784,#275785,#275786)); #51034=EDGE_LOOP('',(#275787,#275788,#275789,#275790)); #51035=EDGE_LOOP('',(#275791,#275792,#275793,#275794)); #51036=EDGE_LOOP('',(#275795,#275796,#275797,#275798)); #51037=EDGE_LOOP('',(#275799,#275800,#275801,#275802)); #51038=EDGE_LOOP('',(#275803,#275804,#275805,#275806)); #51039=EDGE_LOOP('',(#275807,#275808,#275809,#275810)); #51040=EDGE_LOOP('',(#275811,#275812,#275813,#275814)); #51041=EDGE_LOOP('',(#275815,#275816,#275817,#275818)); #51042=EDGE_LOOP('',(#275819,#275820,#275821,#275822)); #51043=EDGE_LOOP('',(#275823,#275824,#275825,#275826)); #51044=EDGE_LOOP('',(#275827,#275828,#275829,#275830)); #51045=EDGE_LOOP('',(#275831,#275832,#275833,#275834)); #51046=EDGE_LOOP('',(#275835,#275836,#275837,#275838)); #51047=EDGE_LOOP('',(#275839,#275840,#275841,#275842)); #51048=EDGE_LOOP('',(#275843,#275844,#275845,#275846)); #51049=EDGE_LOOP('',(#275847,#275848,#275849,#275850)); #51050=EDGE_LOOP('',(#275851,#275852,#275853,#275854)); #51051=EDGE_LOOP('',(#275855,#275856,#275857,#275858)); #51052=EDGE_LOOP('',(#275859,#275860,#275861,#275862)); #51053=EDGE_LOOP('',(#275863,#275864,#275865,#275866)); #51054=EDGE_LOOP('',(#275867,#275868,#275869,#275870)); #51055=EDGE_LOOP('',(#275871,#275872,#275873,#275874)); #51056=EDGE_LOOP('',(#275875,#275876,#275877,#275878)); #51057=EDGE_LOOP('',(#275879,#275880,#275881,#275882)); #51058=EDGE_LOOP('',(#275883,#275884,#275885,#275886)); #51059=EDGE_LOOP('',(#275887)); #51060=EDGE_LOOP('',(#275888)); #51061=EDGE_LOOP('',(#275889)); #51062=EDGE_LOOP('',(#275890)); #51063=EDGE_LOOP('',(#275891)); #51064=EDGE_LOOP('',(#275892)); #51065=EDGE_LOOP('',(#275893,#275894,#275895,#275896)); #51066=EDGE_LOOP('',(#275897)); #51067=EDGE_LOOP('',(#275898)); #51068=EDGE_LOOP('',(#275899)); #51069=EDGE_LOOP('',(#275900)); #51070=EDGE_LOOP('',(#275901)); #51071=EDGE_LOOP('',(#275902)); #51072=EDGE_LOOP('',(#275903,#275904,#275905,#275906)); #51073=EDGE_LOOP('',(#275907,#275908,#275909,#275910)); #51074=EDGE_LOOP('',(#275911,#275912,#275913,#275914)); #51075=EDGE_LOOP('',(#275915,#275916,#275917,#275918)); #51076=EDGE_LOOP('',(#275919,#275920,#275921,#275922)); #51077=EDGE_LOOP('',(#275923,#275924,#275925,#275926)); #51078=EDGE_LOOP('',(#275927,#275928,#275929,#275930)); #51079=EDGE_LOOP('',(#275931,#275932,#275933,#275934)); #51080=EDGE_LOOP('',(#275935,#275936,#275937,#275938)); #51081=EDGE_LOOP('',(#275939,#275940,#275941,#275942)); #51082=EDGE_LOOP('',(#275943,#275944,#275945,#275946)); #51083=EDGE_LOOP('',(#275947,#275948,#275949,#275950)); #51084=EDGE_LOOP('',(#275951,#275952,#275953,#275954)); #51085=EDGE_LOOP('',(#275955,#275956,#275957,#275958)); #51086=EDGE_LOOP('',(#275959,#275960,#275961,#275962)); #51087=EDGE_LOOP('',(#275963,#275964,#275965,#275966)); #51088=EDGE_LOOP('',(#275967,#275968,#275969,#275970)); #51089=EDGE_LOOP('',(#275971,#275972,#275973,#275974)); #51090=EDGE_LOOP('',(#275975,#275976,#275977,#275978)); #51091=EDGE_LOOP('',(#275979,#275980,#275981,#275982)); #51092=EDGE_LOOP('',(#275983,#275984,#275985,#275986)); #51093=EDGE_LOOP('',(#275987,#275988,#275989,#275990)); #51094=EDGE_LOOP('',(#275991,#275992,#275993,#275994)); #51095=EDGE_LOOP('',(#275995,#275996,#275997,#275998)); #51096=EDGE_LOOP('',(#275999,#276000,#276001,#276002)); #51097=EDGE_LOOP('',(#276003,#276004,#276005,#276006)); #51098=EDGE_LOOP('',(#276007,#276008,#276009,#276010)); #51099=EDGE_LOOP('',(#276011,#276012,#276013,#276014)); #51100=EDGE_LOOP('',(#276015,#276016,#276017,#276018)); #51101=EDGE_LOOP('',(#276019,#276020,#276021,#276022)); #51102=EDGE_LOOP('',(#276023,#276024,#276025,#276026)); #51103=EDGE_LOOP('',(#276027,#276028,#276029,#276030)); #51104=EDGE_LOOP('',(#276031,#276032,#276033,#276034)); #51105=EDGE_LOOP('',(#276035,#276036,#276037,#276038)); #51106=EDGE_LOOP('',(#276039,#276040,#276041,#276042)); #51107=EDGE_LOOP('',(#276043,#276044,#276045,#276046)); #51108=EDGE_LOOP('',(#276047,#276048,#276049,#276050)); #51109=EDGE_LOOP('',(#276051,#276052,#276053,#276054)); #51110=EDGE_LOOP('',(#276055,#276056,#276057,#276058)); #51111=EDGE_LOOP('',(#276059,#276060,#276061,#276062)); #51112=EDGE_LOOP('',(#276063,#276064,#276065,#276066)); #51113=EDGE_LOOP('',(#276067,#276068,#276069,#276070)); #51114=EDGE_LOOP('',(#276071,#276072,#276073,#276074)); #51115=EDGE_LOOP('',(#276075,#276076,#276077,#276078)); #51116=EDGE_LOOP('',(#276079,#276080,#276081,#276082)); #51117=EDGE_LOOP('',(#276083,#276084,#276085,#276086)); #51118=EDGE_LOOP('',(#276087,#276088,#276089,#276090)); #51119=EDGE_LOOP('',(#276091,#276092,#276093,#276094)); #51120=EDGE_LOOP('',(#276095,#276096,#276097,#276098)); #51121=EDGE_LOOP('',(#276099,#276100,#276101,#276102)); #51122=EDGE_LOOP('',(#276103,#276104,#276105,#276106)); #51123=EDGE_LOOP('',(#276107,#276108,#276109,#276110)); #51124=EDGE_LOOP('',(#276111,#276112,#276113,#276114)); #51125=EDGE_LOOP('',(#276115,#276116,#276117,#276118)); #51126=EDGE_LOOP('',(#276119,#276120,#276121,#276122)); #51127=EDGE_LOOP('',(#276123,#276124,#276125,#276126)); #51128=EDGE_LOOP('',(#276127,#276128,#276129,#276130)); #51129=EDGE_LOOP('',(#276131,#276132,#276133,#276134)); #51130=EDGE_LOOP('',(#276135,#276136,#276137,#276138)); #51131=EDGE_LOOP('',(#276139,#276140,#276141,#276142)); #51132=EDGE_LOOP('',(#276143,#276144,#276145,#276146)); #51133=EDGE_LOOP('',(#276147,#276148,#276149,#276150)); #51134=EDGE_LOOP('',(#276151,#276152,#276153,#276154)); #51135=EDGE_LOOP('',(#276155,#276156,#276157,#276158)); #51136=EDGE_LOOP('',(#276159,#276160,#276161,#276162)); #51137=EDGE_LOOP('',(#276163,#276164,#276165,#276166)); #51138=EDGE_LOOP('',(#276167)); #51139=EDGE_LOOP('',(#276168)); #51140=EDGE_LOOP('',(#276169)); #51141=EDGE_LOOP('',(#276170)); #51142=EDGE_LOOP('',(#276171)); #51143=EDGE_LOOP('',(#276172)); #51144=EDGE_LOOP('',(#276173)); #51145=EDGE_LOOP('',(#276174)); #51146=EDGE_LOOP('',(#276175)); #51147=EDGE_LOOP('',(#276176)); #51148=EDGE_LOOP('',(#276177)); #51149=EDGE_LOOP('',(#276178)); #51150=EDGE_LOOP('',(#276179)); #51151=EDGE_LOOP('',(#276180)); #51152=EDGE_LOOP('',(#276181)); #51153=EDGE_LOOP('',(#276182)); #51154=EDGE_LOOP('',(#276183)); #51155=EDGE_LOOP('',(#276184)); #51156=EDGE_LOOP('',(#276185)); #51157=EDGE_LOOP('',(#276186)); #51158=EDGE_LOOP('',(#276187)); #51159=EDGE_LOOP('',(#276188)); #51160=EDGE_LOOP('',(#276189)); #51161=EDGE_LOOP('',(#276190)); #51162=EDGE_LOOP('',(#276191)); #51163=EDGE_LOOP('',(#276192)); #51164=EDGE_LOOP('',(#276193)); #51165=EDGE_LOOP('',(#276194)); #51166=EDGE_LOOP('',(#276195)); #51167=EDGE_LOOP('',(#276196)); #51168=EDGE_LOOP('',(#276197)); #51169=EDGE_LOOP('',(#276198)); #51170=EDGE_LOOP('',(#276199)); #51171=EDGE_LOOP('',(#276200)); #51172=EDGE_LOOP('',(#276201)); #51173=EDGE_LOOP('',(#276202)); #51174=EDGE_LOOP('',(#276203)); #51175=EDGE_LOOP('',(#276204)); #51176=EDGE_LOOP('',(#276205)); #51177=EDGE_LOOP('',(#276206)); #51178=EDGE_LOOP('',(#276207)); #51179=EDGE_LOOP('',(#276208)); #51180=EDGE_LOOP('',(#276209)); #51181=EDGE_LOOP('',(#276210)); #51182=EDGE_LOOP('',(#276211)); #51183=EDGE_LOOP('',(#276212)); #51184=EDGE_LOOP('',(#276213)); #51185=EDGE_LOOP('',(#276214)); #51186=EDGE_LOOP('',(#276215)); #51187=EDGE_LOOP('',(#276216,#276217,#276218,#276219)); #51188=EDGE_LOOP('',(#276220)); #51189=EDGE_LOOP('',(#276221)); #51190=EDGE_LOOP('',(#276222)); #51191=EDGE_LOOP('',(#276223)); #51192=EDGE_LOOP('',(#276224)); #51193=EDGE_LOOP('',(#276225)); #51194=EDGE_LOOP('',(#276226)); #51195=EDGE_LOOP('',(#276227)); #51196=EDGE_LOOP('',(#276228)); #51197=EDGE_LOOP('',(#276229)); #51198=EDGE_LOOP('',(#276230)); #51199=EDGE_LOOP('',(#276231)); #51200=EDGE_LOOP('',(#276232)); #51201=EDGE_LOOP('',(#276233)); #51202=EDGE_LOOP('',(#276234)); #51203=EDGE_LOOP('',(#276235)); #51204=EDGE_LOOP('',(#276236)); #51205=EDGE_LOOP('',(#276237)); #51206=EDGE_LOOP('',(#276238)); #51207=EDGE_LOOP('',(#276239)); #51208=EDGE_LOOP('',(#276240)); #51209=EDGE_LOOP('',(#276241)); #51210=EDGE_LOOP('',(#276242)); #51211=EDGE_LOOP('',(#276243)); #51212=EDGE_LOOP('',(#276244)); #51213=EDGE_LOOP('',(#276245)); #51214=EDGE_LOOP('',(#276246)); #51215=EDGE_LOOP('',(#276247)); #51216=EDGE_LOOP('',(#276248)); #51217=EDGE_LOOP('',(#276249)); #51218=EDGE_LOOP('',(#276250)); #51219=EDGE_LOOP('',(#276251)); #51220=EDGE_LOOP('',(#276252)); #51221=EDGE_LOOP('',(#276253)); #51222=EDGE_LOOP('',(#276254)); #51223=EDGE_LOOP('',(#276255)); #51224=EDGE_LOOP('',(#276256)); #51225=EDGE_LOOP('',(#276257)); #51226=EDGE_LOOP('',(#276258)); #51227=EDGE_LOOP('',(#276259)); #51228=EDGE_LOOP('',(#276260)); #51229=EDGE_LOOP('',(#276261)); #51230=EDGE_LOOP('',(#276262)); #51231=EDGE_LOOP('',(#276263)); #51232=EDGE_LOOP('',(#276264)); #51233=EDGE_LOOP('',(#276265)); #51234=EDGE_LOOP('',(#276266)); #51235=EDGE_LOOP('',(#276267)); #51236=EDGE_LOOP('',(#276268)); #51237=EDGE_LOOP('',(#276269,#276270,#276271,#276272)); #51238=EDGE_LOOP('',(#276273,#276274,#276275,#276276)); #51239=EDGE_LOOP('',(#276277,#276278,#276279,#276280)); #51240=EDGE_LOOP('',(#276281,#276282,#276283,#276284)); #51241=EDGE_LOOP('',(#276285,#276286,#276287,#276288)); #51242=EDGE_LOOP('',(#276289,#276290,#276291,#276292)); #51243=EDGE_LOOP('',(#276293,#276294,#276295,#276296)); #51244=EDGE_LOOP('',(#276297,#276298,#276299,#276300)); #51245=EDGE_LOOP('',(#276301,#276302,#276303,#276304)); #51246=EDGE_LOOP('',(#276305,#276306,#276307,#276308)); #51247=EDGE_LOOP('',(#276309,#276310,#276311,#276312)); #51248=EDGE_LOOP('',(#276313,#276314,#276315,#276316)); #51249=EDGE_LOOP('',(#276317,#276318,#276319,#276320)); #51250=EDGE_LOOP('',(#276321,#276322,#276323,#276324)); #51251=EDGE_LOOP('',(#276325,#276326,#276327,#276328)); #51252=EDGE_LOOP('',(#276329,#276330,#276331,#276332)); #51253=EDGE_LOOP('',(#276333,#276334,#276335,#276336)); #51254=EDGE_LOOP('',(#276337,#276338,#276339,#276340)); #51255=EDGE_LOOP('',(#276341,#276342,#276343,#276344)); #51256=EDGE_LOOP('',(#276345,#276346,#276347,#276348)); #51257=EDGE_LOOP('',(#276349,#276350,#276351,#276352)); #51258=EDGE_LOOP('',(#276353,#276354,#276355,#276356)); #51259=EDGE_LOOP('',(#276357,#276358,#276359,#276360)); #51260=EDGE_LOOP('',(#276361,#276362,#276363,#276364)); #51261=EDGE_LOOP('',(#276365,#276366,#276367,#276368)); #51262=EDGE_LOOP('',(#276369,#276370,#276371,#276372)); #51263=EDGE_LOOP('',(#276373,#276374,#276375,#276376)); #51264=EDGE_LOOP('',(#276377,#276378,#276379,#276380)); #51265=EDGE_LOOP('',(#276381,#276382,#276383,#276384)); #51266=EDGE_LOOP('',(#276385,#276386,#276387,#276388)); #51267=EDGE_LOOP('',(#276389,#276390,#276391,#276392)); #51268=EDGE_LOOP('',(#276393,#276394,#276395,#276396)); #51269=EDGE_LOOP('',(#276397,#276398,#276399,#276400)); #51270=EDGE_LOOP('',(#276401,#276402,#276403,#276404)); #51271=EDGE_LOOP('',(#276405,#276406,#276407,#276408)); #51272=EDGE_LOOP('',(#276409,#276410,#276411,#276412)); #51273=EDGE_LOOP('',(#276413,#276414,#276415,#276416)); #51274=EDGE_LOOP('',(#276417,#276418,#276419,#276420)); #51275=EDGE_LOOP('',(#276421,#276422,#276423,#276424)); #51276=EDGE_LOOP('',(#276425,#276426,#276427,#276428)); #51277=EDGE_LOOP('',(#276429,#276430,#276431,#276432)); #51278=EDGE_LOOP('',(#276433,#276434,#276435,#276436)); #51279=EDGE_LOOP('',(#276437,#276438,#276439,#276440)); #51280=EDGE_LOOP('',(#276441,#276442,#276443,#276444)); #51281=EDGE_LOOP('',(#276445,#276446,#276447,#276448)); #51282=EDGE_LOOP('',(#276449,#276450,#276451,#276452)); #51283=EDGE_LOOP('',(#276453,#276454,#276455,#276456)); #51284=EDGE_LOOP('',(#276457,#276458,#276459,#276460)); #51285=EDGE_LOOP('',(#276461,#276462,#276463,#276464)); #51286=EDGE_LOOP('',(#276465,#276466,#276467,#276468)); #51287=EDGE_LOOP('',(#276469,#276470,#276471,#276472)); #51288=EDGE_LOOP('',(#276473,#276474,#276475,#276476)); #51289=EDGE_LOOP('',(#276477,#276478,#276479,#276480)); #51290=EDGE_LOOP('',(#276481,#276482,#276483,#276484)); #51291=EDGE_LOOP('',(#276485,#276486,#276487,#276488)); #51292=EDGE_LOOP('',(#276489,#276490,#276491,#276492)); #51293=EDGE_LOOP('',(#276493,#276494,#276495,#276496)); #51294=EDGE_LOOP('',(#276497,#276498,#276499,#276500)); #51295=EDGE_LOOP('',(#276501,#276502,#276503,#276504)); #51296=EDGE_LOOP('',(#276505,#276506,#276507,#276508)); #51297=EDGE_LOOP('',(#276509,#276510,#276511,#276512)); #51298=EDGE_LOOP('',(#276513,#276514,#276515,#276516)); #51299=EDGE_LOOP('',(#276517,#276518,#276519,#276520)); #51300=EDGE_LOOP('',(#276521,#276522,#276523,#276524)); #51301=EDGE_LOOP('',(#276525,#276526,#276527,#276528)); #51302=EDGE_LOOP('',(#276529,#276530,#276531,#276532)); #51303=EDGE_LOOP('',(#276533,#276534,#276535,#276536)); #51304=EDGE_LOOP('',(#276537,#276538,#276539,#276540)); #51305=EDGE_LOOP('',(#276541,#276542,#276543,#276544)); #51306=EDGE_LOOP('',(#276545,#276546,#276547,#276548)); #51307=EDGE_LOOP('',(#276549,#276550,#276551,#276552)); #51308=EDGE_LOOP('',(#276553,#276554,#276555,#276556)); #51309=EDGE_LOOP('',(#276557,#276558,#276559,#276560)); #51310=EDGE_LOOP('',(#276561,#276562,#276563,#276564)); #51311=EDGE_LOOP('',(#276565,#276566,#276567,#276568)); #51312=EDGE_LOOP('',(#276569,#276570,#276571,#276572)); #51313=EDGE_LOOP('',(#276573,#276574,#276575,#276576)); #51314=EDGE_LOOP('',(#276577,#276578,#276579,#276580)); #51315=EDGE_LOOP('',(#276581,#276582,#276583,#276584)); #51316=EDGE_LOOP('',(#276585,#276586,#276587,#276588)); #51317=EDGE_LOOP('',(#276589,#276590,#276591,#276592)); #51318=EDGE_LOOP('',(#276593,#276594,#276595,#276596)); #51319=EDGE_LOOP('',(#276597,#276598,#276599,#276600)); #51320=EDGE_LOOP('',(#276601,#276602,#276603,#276604)); #51321=EDGE_LOOP('',(#276605,#276606,#276607,#276608)); #51322=EDGE_LOOP('',(#276609,#276610,#276611,#276612)); #51323=EDGE_LOOP('',(#276613,#276614,#276615,#276616)); #51324=EDGE_LOOP('',(#276617,#276618,#276619,#276620)); #51325=EDGE_LOOP('',(#276621,#276622,#276623,#276624)); #51326=EDGE_LOOP('',(#276625,#276626,#276627,#276628)); #51327=EDGE_LOOP('',(#276629,#276630,#276631,#276632)); #51328=EDGE_LOOP('',(#276633,#276634,#276635,#276636)); #51329=EDGE_LOOP('',(#276637,#276638,#276639,#276640)); #51330=EDGE_LOOP('',(#276641,#276642,#276643,#276644)); #51331=EDGE_LOOP('',(#276645,#276646,#276647,#276648)); #51332=EDGE_LOOP('',(#276649,#276650,#276651,#276652)); #51333=EDGE_LOOP('',(#276653,#276654,#276655,#276656)); #51334=EDGE_LOOP('',(#276657,#276658,#276659,#276660)); #51335=EDGE_LOOP('',(#276661,#276662,#276663,#276664)); #51336=EDGE_LOOP('',(#276665,#276666,#276667,#276668)); #51337=EDGE_LOOP('',(#276669,#276670,#276671,#276672)); #51338=EDGE_LOOP('',(#276673,#276674,#276675,#276676)); #51339=EDGE_LOOP('',(#276677,#276678,#276679,#276680)); #51340=EDGE_LOOP('',(#276681,#276682,#276683,#276684)); #51341=EDGE_LOOP('',(#276685,#276686,#276687,#276688)); #51342=EDGE_LOOP('',(#276689,#276690,#276691,#276692)); #51343=EDGE_LOOP('',(#276693,#276694,#276695,#276696)); #51344=EDGE_LOOP('',(#276697,#276698,#276699,#276700)); #51345=EDGE_LOOP('',(#276701,#276702,#276703,#276704)); #51346=EDGE_LOOP('',(#276705,#276706,#276707,#276708)); #51347=EDGE_LOOP('',(#276709,#276710,#276711,#276712)); #51348=EDGE_LOOP('',(#276713,#276714,#276715,#276716)); #51349=EDGE_LOOP('',(#276717,#276718,#276719,#276720)); #51350=EDGE_LOOP('',(#276721,#276722,#276723,#276724)); #51351=EDGE_LOOP('',(#276725)); #51352=EDGE_LOOP('',(#276726,#276727,#276728,#276729)); #51353=EDGE_LOOP('',(#276730)); #51354=EDGE_LOOP('',(#276731,#276732,#276733,#276734)); #51355=EDGE_LOOP('',(#276735,#276736,#276737,#276738)); #51356=EDGE_LOOP('',(#276739,#276740,#276741,#276742)); #51357=EDGE_LOOP('',(#276743,#276744,#276745,#276746)); #51358=EDGE_LOOP('',(#276747,#276748,#276749,#276750)); #51359=EDGE_LOOP('',(#276751,#276752,#276753,#276754)); #51360=EDGE_LOOP('',(#276755,#276756,#276757,#276758)); #51361=EDGE_LOOP('',(#276759,#276760,#276761,#276762)); #51362=EDGE_LOOP('',(#276763,#276764,#276765,#276766)); #51363=EDGE_LOOP('',(#276767,#276768,#276769,#276770)); #51364=EDGE_LOOP('',(#276771,#276772,#276773,#276774)); #51365=EDGE_LOOP('',(#276775,#276776,#276777,#276778)); #51366=EDGE_LOOP('',(#276779,#276780,#276781,#276782)); #51367=EDGE_LOOP('',(#276783,#276784,#276785,#276786)); #51368=EDGE_LOOP('',(#276787,#276788,#276789,#276790)); #51369=EDGE_LOOP('',(#276791,#276792,#276793,#276794)); #51370=EDGE_LOOP('',(#276795,#276796,#276797,#276798)); #51371=EDGE_LOOP('',(#276799,#276800,#276801,#276802)); #51372=EDGE_LOOP('',(#276803)); #51373=EDGE_LOOP('',(#276804,#276805,#276806,#276807)); #51374=EDGE_LOOP('',(#276808)); #51375=EDGE_LOOP('',(#276809,#276810,#276811,#276812)); #51376=EDGE_LOOP('',(#276813,#276814,#276815,#276816)); #51377=EDGE_LOOP('',(#276817,#276818,#276819,#276820)); #51378=EDGE_LOOP('',(#276821,#276822,#276823,#276824)); #51379=EDGE_LOOP('',(#276825,#276826,#276827,#276828)); #51380=EDGE_LOOP('',(#276829,#276830,#276831,#276832)); #51381=EDGE_LOOP('',(#276833,#276834,#276835,#276836)); #51382=EDGE_LOOP('',(#276837,#276838,#276839,#276840)); #51383=EDGE_LOOP('',(#276841,#276842,#276843,#276844)); #51384=EDGE_LOOP('',(#276845,#276846,#276847,#276848)); #51385=EDGE_LOOP('',(#276849,#276850,#276851,#276852)); #51386=EDGE_LOOP('',(#276853,#276854,#276855,#276856)); #51387=EDGE_LOOP('',(#276857,#276858,#276859,#276860)); #51388=EDGE_LOOP('',(#276861,#276862,#276863,#276864)); #51389=EDGE_LOOP('',(#276865,#276866,#276867,#276868)); #51390=EDGE_LOOP('',(#276869,#276870,#276871,#276872)); #51391=EDGE_LOOP('',(#276873,#276874,#276875,#276876)); #51392=EDGE_LOOP('',(#276877,#276878,#276879,#276880)); #51393=EDGE_LOOP('',(#276881,#276882,#276883,#276884)); #51394=EDGE_LOOP('',(#276885,#276886,#276887,#276888)); #51395=EDGE_LOOP('',(#276889,#276890,#276891,#276892)); #51396=EDGE_LOOP('',(#276893,#276894,#276895,#276896)); #51397=EDGE_LOOP('',(#276897,#276898,#276899,#276900)); #51398=EDGE_LOOP('',(#276901,#276902,#276903,#276904)); #51399=EDGE_LOOP('',(#276905,#276906,#276907,#276908)); #51400=EDGE_LOOP('',(#276909,#276910,#276911,#276912)); #51401=EDGE_LOOP('',(#276913,#276914,#276915,#276916)); #51402=EDGE_LOOP('',(#276917,#276918,#276919,#276920)); #51403=EDGE_LOOP('',(#276921,#276922,#276923,#276924)); #51404=EDGE_LOOP('',(#276925,#276926,#276927,#276928)); #51405=EDGE_LOOP('',(#276929,#276930,#276931,#276932)); #51406=EDGE_LOOP('',(#276933,#276934,#276935,#276936)); #51407=EDGE_LOOP('',(#276937,#276938,#276939,#276940)); #51408=EDGE_LOOP('',(#276941,#276942,#276943,#276944)); #51409=EDGE_LOOP('',(#276945,#276946,#276947,#276948)); #51410=EDGE_LOOP('',(#276949,#276950,#276951,#276952)); #51411=EDGE_LOOP('',(#276953,#276954,#276955,#276956)); #51412=EDGE_LOOP('',(#276957,#276958,#276959,#276960)); #51413=EDGE_LOOP('',(#276961,#276962,#276963,#276964)); #51414=EDGE_LOOP('',(#276965,#276966,#276967,#276968)); #51415=EDGE_LOOP('',(#276969,#276970,#276971,#276972)); #51416=EDGE_LOOP('',(#276973,#276974,#276975,#276976)); #51417=EDGE_LOOP('',(#276977,#276978,#276979,#276980)); #51418=EDGE_LOOP('',(#276981,#276982,#276983,#276984)); #51419=EDGE_LOOP('',(#276985,#276986,#276987,#276988)); #51420=EDGE_LOOP('',(#276989,#276990,#276991,#276992)); #51421=EDGE_LOOP('',(#276993,#276994,#276995,#276996)); #51422=EDGE_LOOP('',(#276997,#276998,#276999,#277000)); #51423=EDGE_LOOP('',(#277001,#277002,#277003,#277004)); #51424=EDGE_LOOP('',(#277005,#277006,#277007,#277008)); #51425=EDGE_LOOP('',(#277009,#277010,#277011,#277012)); #51426=EDGE_LOOP('',(#277013,#277014,#277015,#277016)); #51427=EDGE_LOOP('',(#277017,#277018,#277019,#277020)); #51428=EDGE_LOOP('',(#277021,#277022,#277023,#277024)); #51429=EDGE_LOOP('',(#277025,#277026,#277027,#277028)); #51430=EDGE_LOOP('',(#277029,#277030,#277031,#277032)); #51431=EDGE_LOOP('',(#277033,#277034,#277035,#277036)); #51432=EDGE_LOOP('',(#277037,#277038,#277039,#277040)); #51433=EDGE_LOOP('',(#277041,#277042,#277043,#277044)); #51434=EDGE_LOOP('',(#277045,#277046,#277047,#277048)); #51435=EDGE_LOOP('',(#277049,#277050,#277051,#277052)); #51436=EDGE_LOOP('',(#277053,#277054,#277055,#277056)); #51437=EDGE_LOOP('',(#277057,#277058,#277059,#277060)); #51438=EDGE_LOOP('',(#277061,#277062,#277063,#277064)); #51439=EDGE_LOOP('',(#277065,#277066,#277067,#277068)); #51440=EDGE_LOOP('',(#277069,#277070,#277071,#277072)); #51441=EDGE_LOOP('',(#277073,#277074,#277075,#277076)); #51442=EDGE_LOOP('',(#277077,#277078,#277079,#277080)); #51443=EDGE_LOOP('',(#277081,#277082,#277083,#277084)); #51444=EDGE_LOOP('',(#277085,#277086,#277087,#277088)); #51445=EDGE_LOOP('',(#277089,#277090,#277091,#277092)); #51446=EDGE_LOOP('',(#277093,#277094,#277095,#277096)); #51447=EDGE_LOOP('',(#277097,#277098,#277099,#277100)); #51448=EDGE_LOOP('',(#277101,#277102,#277103,#277104)); #51449=EDGE_LOOP('',(#277105,#277106,#277107,#277108)); #51450=EDGE_LOOP('',(#277109,#277110,#277111,#277112)); #51451=EDGE_LOOP('',(#277113,#277114,#277115,#277116)); #51452=EDGE_LOOP('',(#277117,#277118,#277119,#277120)); #51453=EDGE_LOOP('',(#277121,#277122,#277123,#277124)); #51454=EDGE_LOOP('',(#277125,#277126,#277127,#277128)); #51455=EDGE_LOOP('',(#277129,#277130,#277131,#277132)); #51456=EDGE_LOOP('',(#277133,#277134,#277135,#277136)); #51457=EDGE_LOOP('',(#277137,#277138,#277139,#277140)); #51458=EDGE_LOOP('',(#277141,#277142,#277143,#277144)); #51459=EDGE_LOOP('',(#277145,#277146,#277147,#277148)); #51460=EDGE_LOOP('',(#277149,#277150,#277151,#277152,#277153,#277154,#277155, #277156,#277157,#277158,#277159,#277160,#277161,#277162,#277163,#277164, #277165,#277166)); #51461=EDGE_LOOP('',(#277167)); #51462=EDGE_LOOP('',(#277168,#277169,#277170,#277171,#277172,#277173,#277174, #277175,#277176,#277177,#277178,#277179,#277180,#277181,#277182,#277183, #277184,#277185)); #51463=EDGE_LOOP('',(#277186)); #51464=EDGE_LOOP('',(#277187,#277188,#277189,#277190)); #51465=EDGE_LOOP('',(#277191,#277192,#277193,#277194)); #51466=EDGE_LOOP('',(#277195,#277196,#277197,#277198)); #51467=EDGE_LOOP('',(#277199,#277200,#277201,#277202)); #51468=EDGE_LOOP('',(#277203,#277204,#277205,#277206)); #51469=EDGE_LOOP('',(#277207,#277208,#277209,#277210)); #51470=EDGE_LOOP('',(#277211)); #51471=EDGE_LOOP('',(#277212,#277213,#277214,#277215)); #51472=EDGE_LOOP('',(#277216)); #51473=EDGE_LOOP('',(#277217,#277218,#277219,#277220)); #51474=EDGE_LOOP('',(#277221,#277222,#277223,#277224)); #51475=EDGE_LOOP('',(#277225,#277226,#277227,#277228)); #51476=EDGE_LOOP('',(#277229,#277230,#277231,#277232)); #51477=EDGE_LOOP('',(#277233,#277234,#277235,#277236)); #51478=EDGE_LOOP('',(#277237,#277238,#277239,#277240)); #51479=EDGE_LOOP('',(#277241,#277242,#277243,#277244)); #51480=EDGE_LOOP('',(#277245,#277246,#277247,#277248)); #51481=EDGE_LOOP('',(#277249,#277250,#277251,#277252)); #51482=EDGE_LOOP('',(#277253,#277254,#277255,#277256)); #51483=EDGE_LOOP('',(#277257,#277258,#277259,#277260)); #51484=EDGE_LOOP('',(#277261,#277262,#277263,#277264)); #51485=EDGE_LOOP('',(#277265,#277266,#277267,#277268)); #51486=EDGE_LOOP('',(#277269,#277270,#277271,#277272)); #51487=EDGE_LOOP('',(#277273,#277274,#277275,#277276)); #51488=EDGE_LOOP('',(#277277,#277278,#277279,#277280)); #51489=EDGE_LOOP('',(#277281,#277282,#277283,#277284)); #51490=EDGE_LOOP('',(#277285,#277286,#277287,#277288)); #51491=EDGE_LOOP('',(#277289,#277290,#277291,#277292)); #51492=EDGE_LOOP('',(#277293,#277294,#277295,#277296)); #51493=EDGE_LOOP('',(#277297,#277298,#277299,#277300)); #51494=EDGE_LOOP('',(#277301,#277302,#277303,#277304)); #51495=EDGE_LOOP('',(#277305,#277306,#277307,#277308)); #51496=EDGE_LOOP('',(#277309,#277310,#277311,#277312)); #51497=EDGE_LOOP('',(#277313,#277314,#277315,#277316)); #51498=EDGE_LOOP('',(#277317,#277318,#277319,#277320)); #51499=EDGE_LOOP('',(#277321,#277322,#277323,#277324)); #51500=EDGE_LOOP('',(#277325,#277326,#277327,#277328)); #51501=EDGE_LOOP('',(#277329,#277330,#277331,#277332)); #51502=EDGE_LOOP('',(#277333,#277334,#277335,#277336)); #51503=EDGE_LOOP('',(#277337,#277338,#277339,#277340)); #51504=EDGE_LOOP('',(#277341,#277342,#277343,#277344)); #51505=EDGE_LOOP('',(#277345,#277346,#277347,#277348)); #51506=EDGE_LOOP('',(#277349,#277350,#277351,#277352)); #51507=EDGE_LOOP('',(#277353,#277354,#277355,#277356)); #51508=EDGE_LOOP('',(#277357,#277358,#277359,#277360)); #51509=EDGE_LOOP('',(#277361)); #51510=EDGE_LOOP('',(#277362,#277363,#277364,#277365)); #51511=EDGE_LOOP('',(#277366)); #51512=EDGE_LOOP('',(#277367,#277368,#277369,#277370)); #51513=EDGE_LOOP('',(#277371,#277372,#277373,#277374)); #51514=EDGE_LOOP('',(#277375,#277376,#277377,#277378)); #51515=EDGE_LOOP('',(#277379,#277380,#277381,#277382)); #51516=EDGE_LOOP('',(#277383,#277384,#277385,#277386)); #51517=EDGE_LOOP('',(#277387,#277388,#277389,#277390)); #51518=EDGE_LOOP('',(#277391)); #51519=EDGE_LOOP('',(#277392,#277393,#277394,#277395)); #51520=EDGE_LOOP('',(#277396)); #51521=EDGE_LOOP('',(#277397,#277398,#277399,#277400)); #51522=EDGE_LOOP('',(#277401,#277402,#277403,#277404)); #51523=EDGE_LOOP('',(#277405,#277406,#277407,#277408)); #51524=EDGE_LOOP('',(#277409,#277410,#277411,#277412)); #51525=EDGE_LOOP('',(#277413,#277414,#277415,#277416)); #51526=EDGE_LOOP('',(#277417,#277418,#277419,#277420)); #51527=EDGE_LOOP('',(#277421,#277422,#277423,#277424)); #51528=EDGE_LOOP('',(#277425,#277426,#277427,#277428)); #51529=EDGE_LOOP('',(#277429,#277430,#277431,#277432)); #51530=EDGE_LOOP('',(#277433,#277434,#277435,#277436)); #51531=EDGE_LOOP('',(#277437,#277438,#277439,#277440)); #51532=EDGE_LOOP('',(#277441,#277442,#277443,#277444)); #51533=EDGE_LOOP('',(#277445,#277446,#277447,#277448)); #51534=EDGE_LOOP('',(#277449,#277450,#277451,#277452)); #51535=EDGE_LOOP('',(#277453,#277454,#277455,#277456)); #51536=EDGE_LOOP('',(#277457,#277458,#277459,#277460)); #51537=EDGE_LOOP('',(#277461,#277462,#277463,#277464)); #51538=EDGE_LOOP('',(#277465,#277466,#277467,#277468)); #51539=EDGE_LOOP('',(#277469,#277470,#277471,#277472)); #51540=EDGE_LOOP('',(#277473,#277474,#277475,#277476)); #51541=EDGE_LOOP('',(#277477,#277478,#277479,#277480)); #51542=EDGE_LOOP('',(#277481,#277482,#277483,#277484)); #51543=EDGE_LOOP('',(#277485,#277486,#277487,#277488)); #51544=EDGE_LOOP('',(#277489,#277490,#277491,#277492)); #51545=EDGE_LOOP('',(#277493,#277494,#277495,#277496)); #51546=EDGE_LOOP('',(#277497,#277498,#277499,#277500)); #51547=EDGE_LOOP('',(#277501,#277502,#277503,#277504)); #51548=EDGE_LOOP('',(#277505,#277506,#277507,#277508)); #51549=EDGE_LOOP('',(#277509,#277510,#277511,#277512)); #51550=EDGE_LOOP('',(#277513,#277514,#277515,#277516)); #51551=EDGE_LOOP('',(#277517,#277518,#277519,#277520)); #51552=EDGE_LOOP('',(#277521,#277522,#277523,#277524)); #51553=EDGE_LOOP('',(#277525,#277526,#277527,#277528)); #51554=EDGE_LOOP('',(#277529,#277530,#277531,#277532)); #51555=EDGE_LOOP('',(#277533,#277534,#277535,#277536)); #51556=EDGE_LOOP('',(#277537,#277538,#277539,#277540)); #51557=EDGE_LOOP('',(#277541,#277542,#277543,#277544)); #51558=EDGE_LOOP('',(#277545,#277546,#277547,#277548)); #51559=EDGE_LOOP('',(#277549,#277550,#277551,#277552)); #51560=EDGE_LOOP('',(#277553,#277554,#277555,#277556)); #51561=EDGE_LOOP('',(#277557,#277558,#277559,#277560)); #51562=EDGE_LOOP('',(#277561,#277562,#277563,#277564)); #51563=EDGE_LOOP('',(#277565,#277566,#277567,#277568)); #51564=EDGE_LOOP('',(#277569,#277570,#277571,#277572)); #51565=EDGE_LOOP('',(#277573,#277574,#277575,#277576)); #51566=EDGE_LOOP('',(#277577,#277578,#277579,#277580)); #51567=EDGE_LOOP('',(#277581,#277582,#277583,#277584)); #51568=EDGE_LOOP('',(#277585,#277586,#277587,#277588)); #51569=EDGE_LOOP('',(#277589,#277590,#277591,#277592)); #51570=EDGE_LOOP('',(#277593,#277594,#277595,#277596)); #51571=EDGE_LOOP('',(#277597,#277598,#277599,#277600)); #51572=EDGE_LOOP('',(#277601,#277602,#277603,#277604)); #51573=EDGE_LOOP('',(#277605,#277606,#277607,#277608)); #51574=EDGE_LOOP('',(#277609,#277610,#277611,#277612)); #51575=EDGE_LOOP('',(#277613,#277614,#277615,#277616)); #51576=EDGE_LOOP('',(#277617,#277618,#277619,#277620)); #51577=EDGE_LOOP('',(#277621,#277622,#277623,#277624)); #51578=EDGE_LOOP('',(#277625,#277626,#277627,#277628)); #51579=EDGE_LOOP('',(#277629,#277630,#277631,#277632)); #51580=EDGE_LOOP('',(#277633,#277634,#277635,#277636)); #51581=EDGE_LOOP('',(#277637,#277638,#277639,#277640)); #51582=EDGE_LOOP('',(#277641,#277642,#277643,#277644)); #51583=EDGE_LOOP('',(#277645,#277646,#277647,#277648)); #51584=EDGE_LOOP('',(#277649,#277650,#277651,#277652)); #51585=EDGE_LOOP('',(#277653,#277654,#277655,#277656)); #51586=EDGE_LOOP('',(#277657,#277658,#277659,#277660)); #51587=EDGE_LOOP('',(#277661,#277662,#277663,#277664)); #51588=EDGE_LOOP('',(#277665,#277666,#277667,#277668)); #51589=EDGE_LOOP('',(#277669,#277670,#277671,#277672)); #51590=EDGE_LOOP('',(#277673,#277674,#277675,#277676)); #51591=EDGE_LOOP('',(#277677,#277678,#277679,#277680)); #51592=EDGE_LOOP('',(#277681,#277682,#277683,#277684)); #51593=EDGE_LOOP('',(#277685,#277686,#277687,#277688)); #51594=EDGE_LOOP('',(#277689,#277690,#277691,#277692)); #51595=EDGE_LOOP('',(#277693,#277694,#277695,#277696)); #51596=EDGE_LOOP('',(#277697,#277698,#277699,#277700)); #51597=EDGE_LOOP('',(#277701,#277702,#277703,#277704)); #51598=EDGE_LOOP('',(#277705)); #51599=EDGE_LOOP('',(#277706)); #51600=EDGE_LOOP('',(#277707)); #51601=EDGE_LOOP('',(#277708)); #51602=EDGE_LOOP('',(#277709)); #51603=EDGE_LOOP('',(#277710)); #51604=EDGE_LOOP('',(#277711,#277712,#277713,#277714)); #51605=EDGE_LOOP('',(#277715)); #51606=EDGE_LOOP('',(#277716)); #51607=EDGE_LOOP('',(#277717)); #51608=EDGE_LOOP('',(#277718)); #51609=EDGE_LOOP('',(#277719)); #51610=EDGE_LOOP('',(#277720)); #51611=EDGE_LOOP('',(#277721,#277722,#277723,#277724)); #51612=EDGE_LOOP('',(#277725,#277726,#277727,#277728)); #51613=EDGE_LOOP('',(#277729,#277730,#277731,#277732)); #51614=EDGE_LOOP('',(#277733,#277734,#277735,#277736)); #51615=EDGE_LOOP('',(#277737,#277738,#277739,#277740)); #51616=EDGE_LOOP('',(#277741,#277742,#277743,#277744)); #51617=EDGE_LOOP('',(#277745,#277746,#277747,#277748)); #51618=EDGE_LOOP('',(#277749,#277750,#277751,#277752)); #51619=EDGE_LOOP('',(#277753,#277754,#277755,#277756)); #51620=EDGE_LOOP('',(#277757,#277758,#277759,#277760)); #51621=EDGE_LOOP('',(#277761,#277762,#277763,#277764)); #51622=EDGE_LOOP('',(#277765,#277766,#277767,#277768)); #51623=EDGE_LOOP('',(#277769,#277770,#277771,#277772)); #51624=EDGE_LOOP('',(#277773,#277774,#277775,#277776)); #51625=EDGE_LOOP('',(#277777,#277778,#277779,#277780)); #51626=EDGE_LOOP('',(#277781,#277782,#277783,#277784)); #51627=EDGE_LOOP('',(#277785,#277786,#277787,#277788)); #51628=EDGE_LOOP('',(#277789,#277790,#277791,#277792)); #51629=EDGE_LOOP('',(#277793,#277794,#277795,#277796)); #51630=EDGE_LOOP('',(#277797,#277798,#277799,#277800)); #51631=EDGE_LOOP('',(#277801,#277802,#277803,#277804)); #51632=EDGE_LOOP('',(#277805,#277806,#277807,#277808)); #51633=EDGE_LOOP('',(#277809,#277810,#277811,#277812)); #51634=EDGE_LOOP('',(#277813,#277814,#277815,#277816)); #51635=EDGE_LOOP('',(#277817,#277818,#277819,#277820)); #51636=EDGE_LOOP('',(#277821,#277822,#277823,#277824)); #51637=EDGE_LOOP('',(#277825,#277826,#277827,#277828)); #51638=EDGE_LOOP('',(#277829,#277830,#277831,#277832)); #51639=EDGE_LOOP('',(#277833,#277834,#277835,#277836)); #51640=EDGE_LOOP('',(#277837,#277838,#277839,#277840)); #51641=EDGE_LOOP('',(#277841,#277842,#277843,#277844,#277845,#277846,#277847, #277848,#277849,#277850,#277851,#277852)); #51642=EDGE_LOOP('',(#277853)); #51643=EDGE_LOOP('',(#277854)); #51644=EDGE_LOOP('',(#277855,#277856,#277857,#277858)); #51645=EDGE_LOOP('',(#277859,#277860,#277861,#277862)); #51646=EDGE_LOOP('',(#277863,#277864,#277865,#277866,#277867,#277868,#277869, #277870,#277871,#277872,#277873,#277874)); #51647=EDGE_LOOP('',(#277875)); #51648=EDGE_LOOP('',(#277876)); #51649=EDGE_LOOP('',(#277877,#277878,#277879,#277880)); #51650=EDGE_LOOP('',(#277881,#277882,#277883,#277884)); #51651=EDGE_LOOP('',(#277885,#277886,#277887,#277888)); #51652=EDGE_LOOP('',(#277889,#277890,#277891,#277892)); #51653=EDGE_LOOP('',(#277893,#277894,#277895,#277896)); #51654=EDGE_LOOP('',(#277897,#277898,#277899,#277900)); #51655=EDGE_LOOP('',(#277901,#277902,#277903,#277904)); #51656=EDGE_LOOP('',(#277905,#277906,#277907,#277908)); #51657=EDGE_LOOP('',(#277909,#277910,#277911,#277912)); #51658=EDGE_LOOP('',(#277913,#277914,#277915,#277916)); #51659=EDGE_LOOP('',(#277917,#277918,#277919,#277920)); #51660=EDGE_LOOP('',(#277921,#277922,#277923,#277924)); #51661=EDGE_LOOP('',(#277925,#277926,#277927,#277928)); #51662=EDGE_LOOP('',(#277929,#277930,#277931,#277932)); #51663=EDGE_LOOP('',(#277933,#277934,#277935,#277936)); #51664=EDGE_LOOP('',(#277937,#277938,#277939,#277940)); #51665=EDGE_LOOP('',(#277941,#277942,#277943,#277944)); #51666=EDGE_LOOP('',(#277945,#277946,#277947,#277948)); #51667=EDGE_LOOP('',(#277949,#277950,#277951,#277952)); #51668=EDGE_LOOP('',(#277953,#277954,#277955,#277956)); #51669=EDGE_LOOP('',(#277957,#277958,#277959,#277960)); #51670=EDGE_LOOP('',(#277961,#277962,#277963,#277964)); #51671=EDGE_LOOP('',(#277965,#277966,#277967,#277968)); #51672=EDGE_LOOP('',(#277969,#277970,#277971,#277972)); #51673=EDGE_LOOP('',(#277973,#277974,#277975,#277976)); #51674=EDGE_LOOP('',(#277977,#277978,#277979,#277980)); #51675=EDGE_LOOP('',(#277981,#277982,#277983,#277984)); #51676=EDGE_LOOP('',(#277985,#277986,#277987,#277988)); #51677=EDGE_LOOP('',(#277989,#277990,#277991,#277992)); #51678=EDGE_LOOP('',(#277993,#277994,#277995,#277996)); #51679=EDGE_LOOP('',(#277997,#277998,#277999,#278000)); #51680=EDGE_LOOP('',(#278001,#278002,#278003,#278004)); #51681=EDGE_LOOP('',(#278005,#278006,#278007,#278008)); #51682=EDGE_LOOP('',(#278009,#278010,#278011,#278012)); #51683=EDGE_LOOP('',(#278013,#278014,#278015,#278016)); #51684=EDGE_LOOP('',(#278017,#278018,#278019,#278020)); #51685=EDGE_LOOP('',(#278021,#278022,#278023,#278024)); #51686=EDGE_LOOP('',(#278025,#278026,#278027,#278028)); #51687=EDGE_LOOP('',(#278029,#278030,#278031,#278032)); #51688=EDGE_LOOP('',(#278033,#278034,#278035,#278036)); #51689=EDGE_LOOP('',(#278037,#278038,#278039,#278040)); #51690=EDGE_LOOP('',(#278041,#278042,#278043,#278044)); #51691=EDGE_LOOP('',(#278045,#278046,#278047,#278048)); #51692=EDGE_LOOP('',(#278049,#278050,#278051,#278052)); #51693=EDGE_LOOP('',(#278053,#278054,#278055,#278056)); #51694=EDGE_LOOP('',(#278057,#278058,#278059,#278060)); #51695=EDGE_LOOP('',(#278061,#278062,#278063,#278064)); #51696=EDGE_LOOP('',(#278065,#278066,#278067,#278068)); #51697=EDGE_LOOP('',(#278069,#278070,#278071,#278072)); #51698=EDGE_LOOP('',(#278073,#278074,#278075,#278076)); #51699=EDGE_LOOP('',(#278077,#278078,#278079,#278080)); #51700=EDGE_LOOP('',(#278081,#278082,#278083,#278084)); #51701=EDGE_LOOP('',(#278085,#278086,#278087,#278088)); #51702=EDGE_LOOP('',(#278089,#278090,#278091,#278092)); #51703=EDGE_LOOP('',(#278093,#278094,#278095,#278096)); #51704=EDGE_LOOP('',(#278097,#278098,#278099,#278100)); #51705=EDGE_LOOP('',(#278101,#278102,#278103,#278104)); #51706=EDGE_LOOP('',(#278105,#278106,#278107,#278108)); #51707=EDGE_LOOP('',(#278109,#278110,#278111,#278112)); #51708=EDGE_LOOP('',(#278113,#278114,#278115,#278116)); #51709=EDGE_LOOP('',(#278117,#278118,#278119,#278120)); #51710=EDGE_LOOP('',(#278121,#278122,#278123,#278124)); #51711=EDGE_LOOP('',(#278125,#278126,#278127,#278128)); #51712=EDGE_LOOP('',(#278129,#278130,#278131,#278132)); #51713=EDGE_LOOP('',(#278133,#278134,#278135,#278136)); #51714=EDGE_LOOP('',(#278137,#278138,#278139,#278140)); #51715=EDGE_LOOP('',(#278141,#278142,#278143,#278144)); #51716=EDGE_LOOP('',(#278145,#278146,#278147,#278148)); #51717=EDGE_LOOP('',(#278149,#278150,#278151,#278152)); #51718=EDGE_LOOP('',(#278153,#278154,#278155,#278156)); #51719=EDGE_LOOP('',(#278157,#278158,#278159,#278160)); #51720=EDGE_LOOP('',(#278161,#278162,#278163,#278164)); #51721=EDGE_LOOP('',(#278165,#278166,#278167,#278168)); #51722=EDGE_LOOP('',(#278169,#278170,#278171,#278172)); #51723=EDGE_LOOP('',(#278173,#278174,#278175,#278176)); #51724=EDGE_LOOP('',(#278177,#278178,#278179,#278180)); #51725=EDGE_LOOP('',(#278181,#278182,#278183,#278184)); #51726=EDGE_LOOP('',(#278185,#278186,#278187,#278188)); #51727=EDGE_LOOP('',(#278189,#278190,#278191,#278192)); #51728=EDGE_LOOP('',(#278193,#278194,#278195,#278196)); #51729=EDGE_LOOP('',(#278197,#278198,#278199,#278200)); #51730=EDGE_LOOP('',(#278201,#278202,#278203,#278204)); #51731=EDGE_LOOP('',(#278205,#278206,#278207,#278208)); #51732=EDGE_LOOP('',(#278209,#278210,#278211,#278212)); #51733=EDGE_LOOP('',(#278213,#278214,#278215,#278216)); #51734=EDGE_LOOP('',(#278217,#278218,#278219,#278220)); #51735=EDGE_LOOP('',(#278221,#278222,#278223,#278224)); #51736=EDGE_LOOP('',(#278225,#278226,#278227,#278228)); #51737=EDGE_LOOP('',(#278229,#278230,#278231,#278232)); #51738=EDGE_LOOP('',(#278233,#278234,#278235,#278236)); #51739=EDGE_LOOP('',(#278237,#278238,#278239,#278240)); #51740=EDGE_LOOP('',(#278241,#278242,#278243,#278244)); #51741=EDGE_LOOP('',(#278245,#278246,#278247,#278248)); #51742=EDGE_LOOP('',(#278249,#278250,#278251,#278252)); #51743=EDGE_LOOP('',(#278253,#278254,#278255,#278256)); #51744=EDGE_LOOP('',(#278257,#278258,#278259,#278260)); #51745=EDGE_LOOP('',(#278261,#278262,#278263,#278264)); #51746=EDGE_LOOP('',(#278265,#278266,#278267,#278268)); #51747=EDGE_LOOP('',(#278269,#278270,#278271,#278272)); #51748=EDGE_LOOP('',(#278273,#278274,#278275,#278276)); #51749=EDGE_LOOP('',(#278277,#278278,#278279,#278280)); #51750=EDGE_LOOP('',(#278281,#278282,#278283,#278284)); #51751=EDGE_LOOP('',(#278285,#278286,#278287,#278288)); #51752=EDGE_LOOP('',(#278289,#278290,#278291,#278292)); #51753=EDGE_LOOP('',(#278293,#278294,#278295,#278296)); #51754=EDGE_LOOP('',(#278297,#278298,#278299,#278300)); #51755=EDGE_LOOP('',(#278301,#278302,#278303,#278304)); #51756=EDGE_LOOP('',(#278305,#278306,#278307,#278308)); #51757=EDGE_LOOP('',(#278309,#278310,#278311,#278312)); #51758=EDGE_LOOP('',(#278313,#278314,#278315,#278316)); #51759=EDGE_LOOP('',(#278317,#278318,#278319,#278320)); #51760=EDGE_LOOP('',(#278321,#278322,#278323,#278324)); #51761=EDGE_LOOP('',(#278325,#278326,#278327,#278328)); #51762=EDGE_LOOP('',(#278329,#278330,#278331,#278332)); #51763=EDGE_LOOP('',(#278333,#278334,#278335,#278336)); #51764=EDGE_LOOP('',(#278337,#278338,#278339,#278340)); #51765=EDGE_LOOP('',(#278341,#278342,#278343,#278344)); #51766=EDGE_LOOP('',(#278345,#278346,#278347,#278348)); #51767=EDGE_LOOP('',(#278349,#278350,#278351,#278352)); #51768=EDGE_LOOP('',(#278353,#278354,#278355,#278356)); #51769=EDGE_LOOP('',(#278357,#278358,#278359,#278360)); #51770=EDGE_LOOP('',(#278361,#278362,#278363,#278364)); #51771=EDGE_LOOP('',(#278365,#278366,#278367,#278368)); #51772=EDGE_LOOP('',(#278369,#278370,#278371,#278372)); #51773=EDGE_LOOP('',(#278373,#278374,#278375,#278376)); #51774=EDGE_LOOP('',(#278377,#278378,#278379,#278380)); #51775=EDGE_LOOP('',(#278381,#278382,#278383,#278384)); #51776=EDGE_LOOP('',(#278385,#278386,#278387,#278388)); #51777=EDGE_LOOP('',(#278389,#278390,#278391,#278392)); #51778=EDGE_LOOP('',(#278393,#278394,#278395,#278396)); #51779=EDGE_LOOP('',(#278397,#278398,#278399)); #51780=EDGE_LOOP('',(#278400)); #51781=EDGE_LOOP('',(#278401,#278402,#278403)); #51782=EDGE_LOOP('',(#278404)); #51783=EDGE_LOOP('',(#278405,#278406,#278407,#278408)); #51784=EDGE_LOOP('',(#278409,#278410,#278411,#278412)); #51785=EDGE_LOOP('',(#278413,#278414,#278415,#278416)); #51786=EDGE_LOOP('',(#278417,#278418,#278419,#278420)); #51787=EDGE_LOOP('',(#278421,#278422,#278423,#278424)); #51788=EDGE_LOOP('',(#278425,#278426,#278427,#278428)); #51789=EDGE_LOOP('',(#278429,#278430,#278431,#278432)); #51790=EDGE_LOOP('',(#278433,#278434,#278435,#278436)); #51791=EDGE_LOOP('',(#278437,#278438,#278439,#278440)); #51792=EDGE_LOOP('',(#278441,#278442,#278443,#278444)); #51793=EDGE_LOOP('',(#278445,#278446,#278447,#278448)); #51794=EDGE_LOOP('',(#278449,#278450,#278451,#278452)); #51795=EDGE_LOOP('',(#278453,#278454,#278455,#278456)); #51796=EDGE_LOOP('',(#278457,#278458,#278459,#278460)); #51797=EDGE_LOOP('',(#278461,#278462,#278463,#278464)); #51798=EDGE_LOOP('',(#278465,#278466,#278467,#278468)); #51799=EDGE_LOOP('',(#278469,#278470,#278471,#278472)); #51800=EDGE_LOOP('',(#278473,#278474,#278475,#278476)); #51801=EDGE_LOOP('',(#278477,#278478,#278479,#278480)); #51802=EDGE_LOOP('',(#278481)); #51803=EDGE_LOOP('',(#278482)); #51804=EDGE_LOOP('',(#278483,#278484,#278485,#278486)); #51805=EDGE_LOOP('',(#278487)); #51806=EDGE_LOOP('',(#278488)); #51807=EDGE_LOOP('',(#278489,#278490,#278491,#278492)); #51808=EDGE_LOOP('',(#278493,#278494,#278495,#278496)); #51809=EDGE_LOOP('',(#278497,#278498,#278499,#278500)); #51810=EDGE_LOOP('',(#278501,#278502,#278503,#278504)); #51811=EDGE_LOOP('',(#278505,#278506,#278507,#278508)); #51812=EDGE_LOOP('',(#278509,#278510,#278511,#278512)); #51813=EDGE_LOOP('',(#278513,#278514,#278515,#278516)); #51814=EDGE_LOOP('',(#278517,#278518,#278519,#278520)); #51815=EDGE_LOOP('',(#278521,#278522,#278523,#278524)); #51816=EDGE_LOOP('',(#278525,#278526,#278527,#278528)); #51817=EDGE_LOOP('',(#278529,#278530,#278531,#278532)); #51818=EDGE_LOOP('',(#278533,#278534,#278535,#278536)); #51819=EDGE_LOOP('',(#278537,#278538,#278539,#278540)); #51820=EDGE_LOOP('',(#278541)); #51821=EDGE_LOOP('',(#278542)); #51822=EDGE_LOOP('',(#278543,#278544,#278545,#278546)); #51823=EDGE_LOOP('',(#278547)); #51824=EDGE_LOOP('',(#278548)); #51825=EDGE_LOOP('',(#278549,#278550,#278551,#278552)); #51826=EDGE_LOOP('',(#278553,#278554,#278555,#278556)); #51827=EDGE_LOOP('',(#278557,#278558,#278559,#278560)); #51828=EDGE_LOOP('',(#278561,#278562,#278563,#278564)); #51829=EDGE_LOOP('',(#278565,#278566,#278567,#278568)); #51830=EDGE_LOOP('',(#278569,#278570,#278571,#278572)); #51831=EDGE_LOOP('',(#278573,#278574,#278575,#278576)); #51832=EDGE_LOOP('',(#278577,#278578,#278579,#278580)); #51833=EDGE_LOOP('',(#278581,#278582,#278583,#278584)); #51834=EDGE_LOOP('',(#278585,#278586,#278587,#278588)); #51835=EDGE_LOOP('',(#278589,#278590,#278591,#278592)); #51836=EDGE_LOOP('',(#278593,#278594,#278595,#278596)); #51837=EDGE_LOOP('',(#278597,#278598,#278599,#278600)); #51838=EDGE_LOOP('',(#278601)); #51839=EDGE_LOOP('',(#278602)); #51840=EDGE_LOOP('',(#278603,#278604,#278605,#278606)); #51841=EDGE_LOOP('',(#278607)); #51842=EDGE_LOOP('',(#278608)); #51843=EDGE_LOOP('',(#278609,#278610,#278611,#278612)); #51844=EDGE_LOOP('',(#278613,#278614,#278615,#278616)); #51845=EDGE_LOOP('',(#278617,#278618,#278619,#278620)); #51846=EDGE_LOOP('',(#278621,#278622,#278623,#278624)); #51847=EDGE_LOOP('',(#278625,#278626,#278627,#278628)); #51848=EDGE_LOOP('',(#278629,#278630,#278631,#278632)); #51849=EDGE_LOOP('',(#278633,#278634,#278635,#278636)); #51850=EDGE_LOOP('',(#278637,#278638,#278639,#278640)); #51851=EDGE_LOOP('',(#278641,#278642,#278643,#278644)); #51852=EDGE_LOOP('',(#278645,#278646,#278647,#278648)); #51853=EDGE_LOOP('',(#278649,#278650,#278651,#278652)); #51854=EDGE_LOOP('',(#278653,#278654,#278655,#278656)); #51855=EDGE_LOOP('',(#278657,#278658,#278659,#278660)); #51856=EDGE_LOOP('',(#278661)); #51857=EDGE_LOOP('',(#278662)); #51858=EDGE_LOOP('',(#278663,#278664,#278665,#278666)); #51859=EDGE_LOOP('',(#278667)); #51860=EDGE_LOOP('',(#278668)); #51861=EDGE_LOOP('',(#278669,#278670,#278671,#278672)); #51862=EDGE_LOOP('',(#278673,#278674,#278675,#278676)); #51863=EDGE_LOOP('',(#278677,#278678,#278679,#278680)); #51864=EDGE_LOOP('',(#278681,#278682,#278683,#278684)); #51865=EDGE_LOOP('',(#278685,#278686,#278687,#278688)); #51866=EDGE_LOOP('',(#278689,#278690,#278691,#278692)); #51867=EDGE_LOOP('',(#278693,#278694,#278695,#278696)); #51868=EDGE_LOOP('',(#278697,#278698,#278699,#278700)); #51869=EDGE_LOOP('',(#278701,#278702,#278703,#278704)); #51870=EDGE_LOOP('',(#278705,#278706,#278707,#278708)); #51871=EDGE_LOOP('',(#278709,#278710,#278711,#278712)); #51872=EDGE_LOOP('',(#278713,#278714,#278715,#278716)); #51873=EDGE_LOOP('',(#278717,#278718,#278719,#278720)); #51874=EDGE_LOOP('',(#278721,#278722,#278723,#278724)); #51875=EDGE_LOOP('',(#278725,#278726,#278727,#278728)); #51876=EDGE_LOOP('',(#278729,#278730,#278731,#278732)); #51877=EDGE_LOOP('',(#278733,#278734,#278735,#278736)); #51878=EDGE_LOOP('',(#278737,#278738,#278739,#278740)); #51879=EDGE_LOOP('',(#278741,#278742,#278743,#278744)); #51880=EDGE_LOOP('',(#278745,#278746,#278747,#278748)); #51881=EDGE_LOOP('',(#278749,#278750,#278751,#278752)); #51882=EDGE_LOOP('',(#278753,#278754,#278755,#278756)); #51883=EDGE_LOOP('',(#278757,#278758,#278759,#278760)); #51884=EDGE_LOOP('',(#278761,#278762,#278763,#278764)); #51885=EDGE_LOOP('',(#278765,#278766,#278767,#278768)); #51886=EDGE_LOOP('',(#278769,#278770,#278771,#278772)); #51887=EDGE_LOOP('',(#278773,#278774,#278775,#278776)); #51888=EDGE_LOOP('',(#278777,#278778,#278779,#278780)); #51889=EDGE_LOOP('',(#278781,#278782,#278783,#278784)); #51890=EDGE_LOOP('',(#278785,#278786,#278787,#278788)); #51891=EDGE_LOOP('',(#278789,#278790,#278791,#278792)); #51892=EDGE_LOOP('',(#278793,#278794,#278795,#278796)); #51893=EDGE_LOOP('',(#278797,#278798,#278799,#278800)); #51894=EDGE_LOOP('',(#278801,#278802,#278803,#278804)); #51895=EDGE_LOOP('',(#278805,#278806,#278807,#278808)); #51896=EDGE_LOOP('',(#278809,#278810,#278811,#278812)); #51897=EDGE_LOOP('',(#278813,#278814,#278815,#278816)); #51898=EDGE_LOOP('',(#278817,#278818,#278819,#278820)); #51899=EDGE_LOOP('',(#278821,#278822,#278823,#278824)); #51900=EDGE_LOOP('',(#278825,#278826,#278827,#278828)); #51901=EDGE_LOOP('',(#278829,#278830,#278831,#278832)); #51902=EDGE_LOOP('',(#278833,#278834,#278835,#278836)); #51903=EDGE_LOOP('',(#278837,#278838,#278839,#278840)); #51904=EDGE_LOOP('',(#278841,#278842,#278843,#278844)); #51905=EDGE_LOOP('',(#278845,#278846,#278847,#278848)); #51906=EDGE_LOOP('',(#278849,#278850,#278851,#278852)); #51907=EDGE_LOOP('',(#278853,#278854,#278855,#278856)); #51908=EDGE_LOOP('',(#278857,#278858,#278859,#278860)); #51909=EDGE_LOOP('',(#278861,#278862,#278863,#278864)); #51910=EDGE_LOOP('',(#278865,#278866,#278867,#278868)); #51911=EDGE_LOOP('',(#278869,#278870,#278871,#278872)); #51912=EDGE_LOOP('',(#278873,#278874,#278875,#278876)); #51913=EDGE_LOOP('',(#278877,#278878,#278879,#278880)); #51914=EDGE_LOOP('',(#278881,#278882,#278883,#278884)); #51915=EDGE_LOOP('',(#278885,#278886,#278887,#278888)); #51916=EDGE_LOOP('',(#278889,#278890,#278891,#278892)); #51917=EDGE_LOOP('',(#278893,#278894,#278895,#278896)); #51918=EDGE_LOOP('',(#278897,#278898,#278899,#278900)); #51919=EDGE_LOOP('',(#278901,#278902,#278903,#278904)); #51920=EDGE_LOOP('',(#278905,#278906,#278907,#278908)); #51921=EDGE_LOOP('',(#278909,#278910,#278911,#278912)); #51922=EDGE_LOOP('',(#278913,#278914,#278915,#278916)); #51923=EDGE_LOOP('',(#278917,#278918,#278919,#278920)); #51924=EDGE_LOOP('',(#278921,#278922,#278923,#278924)); #51925=EDGE_LOOP('',(#278925,#278926,#278927,#278928,#278929,#278930,#278931, #278932,#278933,#278934,#278935,#278936,#278937,#278938,#278939,#278940, #278941,#278942,#278943,#278944,#278945,#278946,#278947,#278948,#278949, #278950,#278951,#278952,#278953,#278954,#278955,#278956,#278957,#278958, #278959,#278960,#278961,#278962,#278963,#278964,#278965,#278966,#278967, #278968,#278969,#278970,#278971,#278972,#278973,#278974,#278975,#278976, #278977,#278978,#278979,#278980,#278981,#278982)); #51926=EDGE_LOOP('',(#278983,#278984,#278985,#278986,#278987,#278988,#278989, #278990,#278991,#278992,#278993,#278994,#278995,#278996,#278997,#278998, #278999,#279000,#279001,#279002,#279003,#279004,#279005,#279006,#279007, #279008,#279009,#279010,#279011,#279012,#279013,#279014,#279015,#279016, #279017,#279018,#279019,#279020,#279021,#279022,#279023,#279024,#279025, #279026,#279027,#279028,#279029,#279030,#279031,#279032,#279033,#279034, #279035,#279036,#279037,#279038,#279039,#279040)); #51927=EDGE_LOOP('',(#279041,#279042,#279043,#279044)); #51928=EDGE_LOOP('',(#279045,#279046,#279047,#279048)); #51929=EDGE_LOOP('',(#279049,#279050,#279051,#279052)); #51930=EDGE_LOOP('',(#279053,#279054,#279055,#279056)); #51931=EDGE_LOOP('',(#279057,#279058,#279059,#279060)); #51932=EDGE_LOOP('',(#279061,#279062,#279063,#279064)); #51933=EDGE_LOOP('',(#279065,#279066,#279067,#279068)); #51934=EDGE_LOOP('',(#279069,#279070,#279071,#279072)); #51935=EDGE_LOOP('',(#279073,#279074,#279075,#279076)); #51936=EDGE_LOOP('',(#279077,#279078,#279079,#279080)); #51937=EDGE_LOOP('',(#279081,#279082,#279083,#279084,#279085,#279086,#279087, #279088)); #51938=EDGE_LOOP('',(#279089)); #51939=EDGE_LOOP('',(#279090)); #51940=EDGE_LOOP('',(#279091,#279092,#279093,#279094,#279095,#279096,#279097, #279098)); #51941=EDGE_LOOP('',(#279099)); #51942=EDGE_LOOP('',(#279100)); #51943=EDGE_LOOP('',(#279101,#279102,#279103,#279104)); #51944=EDGE_LOOP('',(#279105,#279106,#279107,#279108)); #51945=EDGE_LOOP('',(#279109,#279110,#279111,#279112)); #51946=EDGE_LOOP('',(#279113,#279114,#279115,#279116)); #51947=EDGE_LOOP('',(#279117,#279118,#279119,#279120)); #51948=EDGE_LOOP('',(#279121,#279122,#279123,#279124)); #51949=EDGE_LOOP('',(#279125,#279126,#279127,#279128)); #51950=EDGE_LOOP('',(#279129,#279130,#279131,#279132)); #51951=EDGE_LOOP('',(#279133,#279134,#279135,#279136)); #51952=EDGE_LOOP('',(#279137,#279138,#279139,#279140)); #51953=EDGE_LOOP('',(#279141,#279142,#279143,#279144)); #51954=EDGE_LOOP('',(#279145,#279146,#279147,#279148,#279149,#279150,#279151, #279152,#279153,#279154)); #51955=EDGE_LOOP('',(#279155)); #51956=EDGE_LOOP('',(#279156,#279157,#279158,#279159,#279160,#279161,#279162, #279163,#279164,#279165)); #51957=EDGE_LOOP('',(#279166)); #51958=EDGE_LOOP('',(#279167,#279168,#279169,#279170)); #51959=EDGE_LOOP('',(#279171,#279172,#279173,#279174)); #51960=EDGE_LOOP('',(#279175,#279176,#279177,#279178)); #51961=EDGE_LOOP('',(#279179,#279180,#279181,#279182)); #51962=EDGE_LOOP('',(#279183,#279184,#279185,#279186)); #51963=EDGE_LOOP('',(#279187,#279188,#279189,#279190)); #51964=EDGE_LOOP('',(#279191,#279192,#279193,#279194)); #51965=EDGE_LOOP('',(#279195,#279196,#279197,#279198)); #51966=EDGE_LOOP('',(#279199,#279200,#279201,#279202)); #51967=EDGE_LOOP('',(#279203,#279204,#279205,#279206)); #51968=EDGE_LOOP('',(#279207,#279208,#279209,#279210)); #51969=EDGE_LOOP('',(#279211,#279212,#279213,#279214)); #51970=EDGE_LOOP('',(#279215,#279216,#279217,#279218)); #51971=EDGE_LOOP('',(#279219,#279220,#279221,#279222)); #51972=EDGE_LOOP('',(#279223,#279224,#279225,#279226,#279227,#279228,#279229, #279230,#279231,#279232,#279233,#279234,#279235)); #51973=EDGE_LOOP('',(#279236)); #51974=EDGE_LOOP('',(#279237,#279238,#279239,#279240,#279241,#279242,#279243, #279244,#279245,#279246,#279247,#279248,#279249)); #51975=EDGE_LOOP('',(#279250)); #51976=EDGE_LOOP('',(#279251,#279252,#279253,#279254)); #51977=EDGE_LOOP('',(#279255,#279256,#279257,#279258)); #51978=EDGE_LOOP('',(#279259,#279260,#279261,#279262)); #51979=EDGE_LOOP('',(#279263,#279264,#279265,#279266)); #51980=EDGE_LOOP('',(#279267,#279268,#279269,#279270)); #51981=EDGE_LOOP('',(#279271,#279272,#279273,#279274)); #51982=EDGE_LOOP('',(#279275,#279276,#279277,#279278)); #51983=EDGE_LOOP('',(#279279,#279280,#279281,#279282)); #51984=EDGE_LOOP('',(#279283,#279284,#279285,#279286)); #51985=EDGE_LOOP('',(#279287,#279288,#279289,#279290)); #51986=EDGE_LOOP('',(#279291,#279292,#279293,#279294)); #51987=EDGE_LOOP('',(#279295,#279296,#279297,#279298)); #51988=EDGE_LOOP('',(#279299,#279300,#279301,#279302)); #51989=EDGE_LOOP('',(#279303,#279304,#279305,#279306)); #51990=EDGE_LOOP('',(#279307,#279308,#279309,#279310)); #51991=EDGE_LOOP('',(#279311,#279312,#279313,#279314)); #51992=EDGE_LOOP('',(#279315,#279316,#279317,#279318)); #51993=EDGE_LOOP('',(#279319,#279320,#279321,#279322)); #51994=EDGE_LOOP('',(#279323,#279324,#279325,#279326)); #51995=EDGE_LOOP('',(#279327,#279328,#279329,#279330)); #51996=EDGE_LOOP('',(#279331,#279332,#279333,#279334)); #51997=EDGE_LOOP('',(#279335,#279336,#279337,#279338)); #51998=EDGE_LOOP('',(#279339,#279340,#279341,#279342)); #51999=EDGE_LOOP('',(#279343,#279344,#279345,#279346)); #52000=EDGE_LOOP('',(#279347,#279348,#279349,#279350)); #52001=EDGE_LOOP('',(#279351,#279352,#279353,#279354)); #52002=EDGE_LOOP('',(#279355,#279356,#279357,#279358)); #52003=EDGE_LOOP('',(#279359,#279360,#279361,#279362)); #52004=EDGE_LOOP('',(#279363,#279364,#279365,#279366)); #52005=EDGE_LOOP('',(#279367,#279368,#279369,#279370)); #52006=EDGE_LOOP('',(#279371,#279372,#279373,#279374)); #52007=EDGE_LOOP('',(#279375,#279376,#279377,#279378)); #52008=EDGE_LOOP('',(#279379,#279380,#279381,#279382)); #52009=EDGE_LOOP('',(#279383,#279384,#279385,#279386)); #52010=EDGE_LOOP('',(#279387,#279388,#279389,#279390)); #52011=EDGE_LOOP('',(#279391,#279392,#279393,#279394)); #52012=EDGE_LOOP('',(#279395,#279396,#279397,#279398)); #52013=EDGE_LOOP('',(#279399,#279400,#279401,#279402)); #52014=EDGE_LOOP('',(#279403,#279404,#279405,#279406)); #52015=EDGE_LOOP('',(#279407,#279408,#279409,#279410)); #52016=EDGE_LOOP('',(#279411,#279412,#279413,#279414)); #52017=EDGE_LOOP('',(#279415,#279416,#279417,#279418)); #52018=EDGE_LOOP('',(#279419,#279420,#279421,#279422)); #52019=EDGE_LOOP('',(#279423,#279424,#279425,#279426)); #52020=EDGE_LOOP('',(#279427,#279428,#279429,#279430)); #52021=EDGE_LOOP('',(#279431,#279432,#279433,#279434)); #52022=EDGE_LOOP('',(#279435,#279436,#279437,#279438,#279439,#279440,#279441, #279442,#279443,#279444,#279445,#279446,#279447,#279448,#279449,#279450, #279451,#279452,#279453,#279454,#279455,#279456,#279457,#279458,#279459, #279460,#279461,#279462,#279463,#279464,#279465,#279466,#279467,#279468, #279469,#279470,#279471,#279472,#279473,#279474,#279475,#279476,#279477, #279478)); #52023=EDGE_LOOP('',(#279479)); #52024=EDGE_LOOP('',(#279480)); #52025=EDGE_LOOP('',(#279481,#279482,#279483,#279484,#279485,#279486,#279487, #279488,#279489,#279490,#279491,#279492,#279493,#279494,#279495,#279496, #279497,#279498,#279499,#279500,#279501,#279502,#279503,#279504,#279505, #279506,#279507,#279508,#279509,#279510,#279511,#279512,#279513,#279514, #279515,#279516,#279517,#279518,#279519,#279520,#279521,#279522,#279523, #279524)); #52026=EDGE_LOOP('',(#279525)); #52027=EDGE_LOOP('',(#279526)); #52028=EDGE_LOOP('',(#279527,#279528,#279529,#279530)); #52029=EDGE_LOOP('',(#279531,#279532,#279533,#279534)); #52030=EDGE_LOOP('',(#279535,#279536,#279537,#279538)); #52031=EDGE_LOOP('',(#279539,#279540,#279541,#279542)); #52032=EDGE_LOOP('',(#279543,#279544,#279545,#279546)); #52033=EDGE_LOOP('',(#279547,#279548,#279549,#279550)); #52034=EDGE_LOOP('',(#279551,#279552,#279553,#279554)); #52035=EDGE_LOOP('',(#279555,#279556,#279557,#279558)); #52036=EDGE_LOOP('',(#279559,#279560,#279561,#279562)); #52037=EDGE_LOOP('',(#279563,#279564,#279565,#279566)); #52038=EDGE_LOOP('',(#279567,#279568,#279569,#279570)); #52039=EDGE_LOOP('',(#279571,#279572,#279573,#279574)); #52040=EDGE_LOOP('',(#279575,#279576,#279577,#279578)); #52041=EDGE_LOOP('',(#279579,#279580,#279581,#279582,#279583,#279584,#279585, #279586,#279587,#279588,#279589)); #52042=EDGE_LOOP('',(#279590)); #52043=EDGE_LOOP('',(#279591)); #52044=EDGE_LOOP('',(#279592,#279593,#279594,#279595,#279596,#279597,#279598, #279599,#279600,#279601,#279602)); #52045=EDGE_LOOP('',(#279603)); #52046=EDGE_LOOP('',(#279604)); #52047=EDGE_LOOP('',(#279605,#279606,#279607,#279608)); #52048=EDGE_LOOP('',(#279609,#279610,#279611,#279612)); #52049=EDGE_LOOP('',(#279613,#279614,#279615,#279616)); #52050=EDGE_LOOP('',(#279617,#279618,#279619,#279620)); #52051=EDGE_LOOP('',(#279621,#279622,#279623,#279624)); #52052=EDGE_LOOP('',(#279625,#279626,#279627,#279628)); #52053=EDGE_LOOP('',(#279629,#279630,#279631,#279632)); #52054=EDGE_LOOP('',(#279633,#279634,#279635,#279636)); #52055=EDGE_LOOP('',(#279637,#279638,#279639,#279640)); #52056=EDGE_LOOP('',(#279641,#279642,#279643,#279644)); #52057=EDGE_LOOP('',(#279645,#279646,#279647,#279648)); #52058=EDGE_LOOP('',(#279649,#279650,#279651,#279652)); #52059=EDGE_LOOP('',(#279653,#279654,#279655,#279656)); #52060=EDGE_LOOP('',(#279657,#279658,#279659,#279660)); #52061=EDGE_LOOP('',(#279661,#279662,#279663,#279664)); #52062=EDGE_LOOP('',(#279665,#279666,#279667,#279668)); #52063=EDGE_LOOP('',(#279669,#279670,#279671,#279672)); #52064=EDGE_LOOP('',(#279673,#279674,#279675,#279676)); #52065=EDGE_LOOP('',(#279677,#279678,#279679,#279680)); #52066=EDGE_LOOP('',(#279681,#279682,#279683,#279684)); #52067=EDGE_LOOP('',(#279685,#279686,#279687,#279688)); #52068=EDGE_LOOP('',(#279689,#279690,#279691,#279692)); #52069=EDGE_LOOP('',(#279693,#279694,#279695,#279696)); #52070=EDGE_LOOP('',(#279697,#279698,#279699,#279700)); #52071=EDGE_LOOP('',(#279701,#279702,#279703,#279704)); #52072=EDGE_LOOP('',(#279705,#279706,#279707,#279708,#279709,#279710,#279711, #279712,#279713,#279714,#279715,#279716,#279717,#279718,#279719,#279720, #279721,#279722,#279723,#279724,#279725,#279726,#279727,#279728)); #52073=EDGE_LOOP('',(#279729)); #52074=EDGE_LOOP('',(#279730,#279731,#279732,#279733,#279734,#279735,#279736, #279737,#279738,#279739,#279740,#279741,#279742,#279743,#279744,#279745, #279746,#279747,#279748,#279749,#279750,#279751,#279752,#279753)); #52075=EDGE_LOOP('',(#279754)); #52076=EDGE_LOOP('',(#279755,#279756,#279757,#279758)); #52077=EDGE_LOOP('',(#279759,#279760,#279761,#279762)); #52078=EDGE_LOOP('',(#279763,#279764,#279765,#279766)); #52079=EDGE_LOOP('',(#279767,#279768,#279769,#279770)); #52080=EDGE_LOOP('',(#279771,#279772,#279773,#279774)); #52081=EDGE_LOOP('',(#279775,#279776,#279777,#279778)); #52082=EDGE_LOOP('',(#279779,#279780,#279781,#279782)); #52083=EDGE_LOOP('',(#279783,#279784,#279785,#279786)); #52084=EDGE_LOOP('',(#279787,#279788,#279789,#279790)); #52085=EDGE_LOOP('',(#279791,#279792,#279793,#279794)); #52086=EDGE_LOOP('',(#279795,#279796,#279797,#279798)); #52087=EDGE_LOOP('',(#279799,#279800,#279801,#279802)); #52088=EDGE_LOOP('',(#279803,#279804,#279805,#279806)); #52089=EDGE_LOOP('',(#279807,#279808,#279809,#279810)); #52090=EDGE_LOOP('',(#279811,#279812,#279813,#279814)); #52091=EDGE_LOOP('',(#279815,#279816,#279817,#279818)); #52092=EDGE_LOOP('',(#279819,#279820,#279821,#279822)); #52093=EDGE_LOOP('',(#279823,#279824,#279825,#279826)); #52094=EDGE_LOOP('',(#279827,#279828,#279829,#279830)); #52095=EDGE_LOOP('',(#279831,#279832,#279833,#279834)); #52096=EDGE_LOOP('',(#279835,#279836,#279837,#279838)); #52097=EDGE_LOOP('',(#279839,#279840,#279841,#279842)); #52098=EDGE_LOOP('',(#279843,#279844,#279845,#279846)); #52099=EDGE_LOOP('',(#279847,#279848,#279849,#279850)); #52100=EDGE_LOOP('',(#279851,#279852,#279853,#279854)); #52101=EDGE_LOOP('',(#279855,#279856,#279857,#279858)); #52102=EDGE_LOOP('',(#279859,#279860,#279861,#279862)); #52103=EDGE_LOOP('',(#279863,#279864,#279865,#279866,#279867,#279868,#279869, #279870,#279871,#279872,#279873,#279874,#279875,#279876,#279877,#279878, #279879,#279880,#279881,#279882,#279883,#279884,#279885,#279886,#279887, #279888)); #52104=EDGE_LOOP('',(#279889)); #52105=EDGE_LOOP('',(#279890,#279891,#279892,#279893,#279894,#279895,#279896, #279897,#279898,#279899,#279900,#279901,#279902,#279903,#279904,#279905, #279906,#279907,#279908,#279909,#279910,#279911,#279912,#279913,#279914, #279915)); #52106=EDGE_LOOP('',(#279916)); #52107=EDGE_LOOP('',(#279917,#279918,#279919,#279920)); #52108=EDGE_LOOP('',(#279921,#279922,#279923,#279924)); #52109=EDGE_LOOP('',(#279925,#279926,#279927,#279928)); #52110=EDGE_LOOP('',(#279929,#279930,#279931,#279932)); #52111=EDGE_LOOP('',(#279933,#279934,#279935,#279936)); #52112=EDGE_LOOP('',(#279937,#279938,#279939,#279940)); #52113=EDGE_LOOP('',(#279941,#279942,#279943,#279944)); #52114=EDGE_LOOP('',(#279945,#279946,#279947,#279948)); #52115=EDGE_LOOP('',(#279949,#279950,#279951,#279952)); #52116=EDGE_LOOP('',(#279953,#279954,#279955,#279956)); #52117=EDGE_LOOP('',(#279957,#279958,#279959,#279960)); #52118=EDGE_LOOP('',(#279961,#279962,#279963,#279964)); #52119=EDGE_LOOP('',(#279965,#279966,#279967,#279968)); #52120=EDGE_LOOP('',(#279969,#279970,#279971,#279972)); #52121=EDGE_LOOP('',(#279973,#279974,#279975,#279976)); #52122=EDGE_LOOP('',(#279977,#279978,#279979,#279980)); #52123=EDGE_LOOP('',(#279981,#279982,#279983,#279984)); #52124=EDGE_LOOP('',(#279985,#279986,#279987,#279988)); #52125=EDGE_LOOP('',(#279989,#279990,#279991,#279992)); #52126=EDGE_LOOP('',(#279993,#279994,#279995,#279996)); #52127=EDGE_LOOP('',(#279997,#279998,#279999,#280000)); #52128=EDGE_LOOP('',(#280001,#280002,#280003,#280004)); #52129=EDGE_LOOP('',(#280005,#280006,#280007,#280008)); #52130=EDGE_LOOP('',(#280009,#280010,#280011,#280012)); #52131=EDGE_LOOP('',(#280013,#280014,#280015,#280016)); #52132=EDGE_LOOP('',(#280017,#280018,#280019,#280020)); #52133=EDGE_LOOP('',(#280021,#280022,#280023,#280024)); #52134=EDGE_LOOP('',(#280025,#280026,#280027,#280028)); #52135=EDGE_LOOP('',(#280029,#280030,#280031,#280032)); #52136=EDGE_LOOP('',(#280033,#280034,#280035,#280036)); #52137=EDGE_LOOP('',(#280037,#280038,#280039,#280040)); #52138=EDGE_LOOP('',(#280041,#280042,#280043,#280044)); #52139=EDGE_LOOP('',(#280045,#280046,#280047,#280048)); #52140=EDGE_LOOP('',(#280049,#280050,#280051,#280052)); #52141=EDGE_LOOP('',(#280053,#280054,#280055,#280056)); #52142=EDGE_LOOP('',(#280057,#280058,#280059,#280060)); #52143=EDGE_LOOP('',(#280061,#280062,#280063,#280064)); #52144=EDGE_LOOP('',(#280065,#280066,#280067,#280068)); #52145=EDGE_LOOP('',(#280069,#280070,#280071,#280072)); #52146=EDGE_LOOP('',(#280073,#280074,#280075,#280076)); #52147=EDGE_LOOP('',(#280077,#280078,#280079,#280080)); #52148=EDGE_LOOP('',(#280081,#280082,#280083,#280084)); #52149=EDGE_LOOP('',(#280085,#280086,#280087,#280088)); #52150=EDGE_LOOP('',(#280089,#280090,#280091,#280092)); #52151=EDGE_LOOP('',(#280093,#280094,#280095,#280096)); #52152=EDGE_LOOP('',(#280097,#280098,#280099,#280100)); #52153=EDGE_LOOP('',(#280101,#280102,#280103,#280104)); #52154=EDGE_LOOP('',(#280105,#280106,#280107,#280108)); #52155=EDGE_LOOP('',(#280109,#280110,#280111,#280112)); #52156=EDGE_LOOP('',(#280113,#280114,#280115,#280116)); #52157=EDGE_LOOP('',(#280117,#280118,#280119,#280120)); #52158=EDGE_LOOP('',(#280121,#280122,#280123,#280124)); #52159=EDGE_LOOP('',(#280125,#280126,#280127,#280128)); #52160=EDGE_LOOP('',(#280129,#280130,#280131,#280132)); #52161=EDGE_LOOP('',(#280133,#280134,#280135,#280136)); #52162=EDGE_LOOP('',(#280137,#280138,#280139,#280140)); #52163=EDGE_LOOP('',(#280141,#280142,#280143,#280144)); #52164=EDGE_LOOP('',(#280145,#280146,#280147,#280148)); #52165=EDGE_LOOP('',(#280149,#280150,#280151,#280152)); #52166=EDGE_LOOP('',(#280153,#280154,#280155,#280156)); #52167=EDGE_LOOP('',(#280157,#280158,#280159,#280160)); #52168=EDGE_LOOP('',(#280161,#280162,#280163,#280164)); #52169=EDGE_LOOP('',(#280165,#280166,#280167,#280168)); #52170=EDGE_LOOP('',(#280169,#280170,#280171,#280172,#280173,#280174,#280175, #280176,#280177,#280178,#280179,#280180,#280181,#280182,#280183,#280184, #280185,#280186,#280187,#280188,#280189,#280190,#280191,#280192,#280193, #280194,#280195,#280196,#280197,#280198,#280199,#280200,#280201,#280202, #280203,#280204,#280205,#280206,#280207,#280208,#280209,#280210,#280211, #280212,#280213,#280214,#280215,#280216,#280217,#280218,#280219,#280220, #280221,#280222,#280223,#280224,#280225,#280226,#280227,#280228,#280229)); #52171=EDGE_LOOP('',(#280230)); #52172=EDGE_LOOP('',(#280231)); #52173=EDGE_LOOP('',(#280232,#280233,#280234,#280235,#280236,#280237,#280238, #280239,#280240,#280241,#280242,#280243,#280244,#280245,#280246,#280247, #280248,#280249,#280250,#280251,#280252,#280253,#280254,#280255,#280256, #280257,#280258,#280259,#280260,#280261,#280262,#280263,#280264,#280265, #280266,#280267,#280268,#280269,#280270,#280271,#280272,#280273,#280274, #280275,#280276,#280277,#280278,#280279,#280280,#280281,#280282,#280283, #280284,#280285,#280286,#280287,#280288,#280289,#280290,#280291,#280292)); #52174=EDGE_LOOP('',(#280293)); #52175=EDGE_LOOP('',(#280294)); #52176=EDGE_LOOP('',(#280295,#280296,#280297,#280298)); #52177=EDGE_LOOP('',(#280299,#280300,#280301,#280302)); #52178=EDGE_LOOP('',(#280303,#280304,#280305,#280306)); #52179=EDGE_LOOP('',(#280307,#280308,#280309,#280310)); #52180=EDGE_LOOP('',(#280311,#280312,#280313,#280314)); #52181=EDGE_LOOP('',(#280315,#280316,#280317,#280318)); #52182=EDGE_LOOP('',(#280319,#280320,#280321,#280322)); #52183=EDGE_LOOP('',(#280323,#280324,#280325,#280326)); #52184=EDGE_LOOP('',(#280327,#280328,#280329,#280330)); #52185=EDGE_LOOP('',(#280331,#280332,#280333,#280334)); #52186=EDGE_LOOP('',(#280335,#280336,#280337,#280338,#280339,#280340,#280341, #280342)); #52187=EDGE_LOOP('',(#280343)); #52188=EDGE_LOOP('',(#280344)); #52189=EDGE_LOOP('',(#280345,#280346,#280347,#280348,#280349,#280350,#280351, #280352)); #52190=EDGE_LOOP('',(#280353)); #52191=EDGE_LOOP('',(#280354)); #52192=EDGE_LOOP('',(#280355,#280356,#280357,#280358)); #52193=EDGE_LOOP('',(#280359,#280360,#280361,#280362)); #52194=EDGE_LOOP('',(#280363,#280364,#280365,#280366)); #52195=EDGE_LOOP('',(#280367,#280368,#280369,#280370)); #52196=EDGE_LOOP('',(#280371,#280372,#280373,#280374)); #52197=EDGE_LOOP('',(#280375,#280376,#280377,#280378)); #52198=EDGE_LOOP('',(#280379,#280380,#280381,#280382)); #52199=EDGE_LOOP('',(#280383,#280384,#280385,#280386)); #52200=EDGE_LOOP('',(#280387,#280388,#280389,#280390)); #52201=EDGE_LOOP('',(#280391,#280392,#280393,#280394)); #52202=EDGE_LOOP('',(#280395,#280396,#280397,#280398,#280399,#280400,#280401, #280402)); #52203=EDGE_LOOP('',(#280403)); #52204=EDGE_LOOP('',(#280404)); #52205=EDGE_LOOP('',(#280405,#280406,#280407,#280408,#280409,#280410,#280411, #280412)); #52206=EDGE_LOOP('',(#280413)); #52207=EDGE_LOOP('',(#280414)); #52208=EDGE_LOOP('',(#280415,#280416,#280417,#280418)); #52209=EDGE_LOOP('',(#280419,#280420,#280421,#280422)); #52210=EDGE_LOOP('',(#280423,#280424,#280425,#280426)); #52211=EDGE_LOOP('',(#280427,#280428,#280429,#280430)); #52212=EDGE_LOOP('',(#280431,#280432,#280433,#280434)); #52213=EDGE_LOOP('',(#280435,#280436,#280437,#280438)); #52214=EDGE_LOOP('',(#280439,#280440,#280441,#280442)); #52215=EDGE_LOOP('',(#280443,#280444,#280445,#280446)); #52216=EDGE_LOOP('',(#280447,#280448,#280449,#280450)); #52217=EDGE_LOOP('',(#280451,#280452,#280453,#280454)); #52218=EDGE_LOOP('',(#280455,#280456,#280457,#280458,#280459,#280460,#280461, #280462)); #52219=EDGE_LOOP('',(#280463)); #52220=EDGE_LOOP('',(#280464)); #52221=EDGE_LOOP('',(#280465,#280466,#280467,#280468,#280469,#280470,#280471, #280472)); #52222=EDGE_LOOP('',(#280473)); #52223=EDGE_LOOP('',(#280474)); #52224=EDGE_LOOP('',(#280475,#280476,#280477,#280478)); #52225=EDGE_LOOP('',(#280479,#280480,#280481,#280482)); #52226=EDGE_LOOP('',(#280483,#280484,#280485,#280486)); #52227=EDGE_LOOP('',(#280487,#280488,#280489,#280490)); #52228=EDGE_LOOP('',(#280491,#280492,#280493,#280494)); #52229=EDGE_LOOP('',(#280495,#280496,#280497,#280498)); #52230=EDGE_LOOP('',(#280499,#280500,#280501,#280502)); #52231=EDGE_LOOP('',(#280503,#280504,#280505,#280506)); #52232=EDGE_LOOP('',(#280507,#280508,#280509,#280510)); #52233=EDGE_LOOP('',(#280511,#280512,#280513,#280514)); #52234=EDGE_LOOP('',(#280515,#280516,#280517,#280518)); #52235=EDGE_LOOP('',(#280519,#280520,#280521,#280522)); #52236=EDGE_LOOP('',(#280523,#280524,#280525,#280526)); #52237=EDGE_LOOP('',(#280527,#280528,#280529,#280530)); #52238=EDGE_LOOP('',(#280531,#280532,#280533,#280534)); #52239=EDGE_LOOP('',(#280535,#280536,#280537,#280538,#280539,#280540,#280541, #280542,#280543,#280544,#280545,#280546,#280547)); #52240=EDGE_LOOP('',(#280548)); #52241=EDGE_LOOP('',(#280549)); #52242=EDGE_LOOP('',(#280550,#280551,#280552,#280553,#280554,#280555,#280556, #280557,#280558,#280559,#280560,#280561,#280562)); #52243=EDGE_LOOP('',(#280563)); #52244=EDGE_LOOP('',(#280564)); #52245=EDGE_LOOP('',(#280565,#280566,#280567,#280568)); #52246=EDGE_LOOP('',(#280569,#280570,#280571,#280572)); #52247=EDGE_LOOP('',(#280573,#280574,#280575,#280576)); #52248=EDGE_LOOP('',(#280577,#280578,#280579,#280580)); #52249=EDGE_LOOP('',(#280581,#280582,#280583,#280584)); #52250=EDGE_LOOP('',(#280585,#280586,#280587,#280588)); #52251=EDGE_LOOP('',(#280589)); #52252=EDGE_LOOP('',(#280590,#280591,#280592,#280593)); #52253=EDGE_LOOP('',(#280594)); #52254=EDGE_LOOP('',(#280595,#280596,#280597,#280598)); #52255=EDGE_LOOP('',(#280599,#280600,#280601,#280602)); #52256=EDGE_LOOP('',(#280603,#280604,#280605,#280606)); #52257=EDGE_LOOP('',(#280607,#280608,#280609,#280610)); #52258=EDGE_LOOP('',(#280611,#280612,#280613,#280614)); #52259=EDGE_LOOP('',(#280615,#280616,#280617,#280618)); #52260=EDGE_LOOP('',(#280619)); #52261=EDGE_LOOP('',(#280620,#280621,#280622,#280623)); #52262=EDGE_LOOP('',(#280624)); #52263=EDGE_LOOP('',(#280625,#280626,#280627,#280628)); #52264=EDGE_LOOP('',(#280629,#280630,#280631,#280632)); #52265=EDGE_LOOP('',(#280633,#280634,#280635,#280636)); #52266=EDGE_LOOP('',(#280637,#280638,#280639,#280640)); #52267=EDGE_LOOP('',(#280641,#280642,#280643,#280644)); #52268=EDGE_LOOP('',(#280645,#280646,#280647,#280648)); #52269=EDGE_LOOP('',(#280649)); #52270=EDGE_LOOP('',(#280650,#280651,#280652,#280653)); #52271=EDGE_LOOP('',(#280654)); #52272=EDGE_LOOP('',(#280655,#280656,#280657,#280658)); #52273=EDGE_LOOP('',(#280659,#280660,#280661,#280662)); #52274=EDGE_LOOP('',(#280663,#280664,#280665,#280666)); #52275=EDGE_LOOP('',(#280667,#280668,#280669,#280670)); #52276=EDGE_LOOP('',(#280671,#280672,#280673,#280674)); #52277=EDGE_LOOP('',(#280675,#280676,#280677,#280678)); #52278=EDGE_LOOP('',(#280679,#280680,#280681,#280682)); #52279=EDGE_LOOP('',(#280683,#280684,#280685,#280686)); #52280=EDGE_LOOP('',(#280687,#280688,#280689,#280690)); #52281=EDGE_LOOP('',(#280691,#280692,#280693,#280694)); #52282=EDGE_LOOP('',(#280695,#280696,#280697,#280698)); #52283=EDGE_LOOP('',(#280699,#280700,#280701,#280702,#280703,#280704,#280705, #280706,#280707,#280708)); #52284=EDGE_LOOP('',(#280709)); #52285=EDGE_LOOP('',(#280710,#280711,#280712,#280713,#280714,#280715,#280716, #280717,#280718,#280719)); #52286=EDGE_LOOP('',(#280720)); #52287=EDGE_LOOP('',(#280721,#280722,#280723,#280724)); #52288=EDGE_LOOP('',(#280725,#280726,#280727,#280728)); #52289=EDGE_LOOP('',(#280729,#280730,#280731,#280732)); #52290=EDGE_LOOP('',(#280733,#280734,#280735,#280736)); #52291=EDGE_LOOP('',(#280737,#280738,#280739,#280740)); #52292=EDGE_LOOP('',(#280741,#280742,#280743,#280744)); #52293=EDGE_LOOP('',(#280745,#280746,#280747,#280748)); #52294=EDGE_LOOP('',(#280749,#280750,#280751,#280752)); #52295=EDGE_LOOP('',(#280753,#280754,#280755,#280756)); #52296=EDGE_LOOP('',(#280757,#280758,#280759,#280760)); #52297=EDGE_LOOP('',(#280761,#280762,#280763,#280764)); #52298=EDGE_LOOP('',(#280765,#280766,#280767,#280768)); #52299=EDGE_LOOP('',(#280769,#280770,#280771,#280772)); #52300=EDGE_LOOP('',(#280773,#280774,#280775,#280776)); #52301=EDGE_LOOP('',(#280777,#280778,#280779,#280780)); #52302=EDGE_LOOP('',(#280781,#280782,#280783,#280784)); #52303=EDGE_LOOP('',(#280785,#280786,#280787,#280788)); #52304=EDGE_LOOP('',(#280789,#280790,#280791,#280792)); #52305=EDGE_LOOP('',(#280793,#280794,#280795,#280796)); #52306=EDGE_LOOP('',(#280797,#280798,#280799,#280800)); #52307=EDGE_LOOP('',(#280801,#280802,#280803,#280804)); #52308=EDGE_LOOP('',(#280805,#280806,#280807,#280808)); #52309=EDGE_LOOP('',(#280809,#280810,#280811,#280812)); #52310=EDGE_LOOP('',(#280813,#280814,#280815,#280816)); #52311=EDGE_LOOP('',(#280817,#280818,#280819,#280820)); #52312=EDGE_LOOP('',(#280821,#280822,#280823,#280824)); #52313=EDGE_LOOP('',(#280825,#280826,#280827,#280828)); #52314=EDGE_LOOP('',(#280829,#280830,#280831,#280832)); #52315=EDGE_LOOP('',(#280833,#280834,#280835,#280836)); #52316=EDGE_LOOP('',(#280837,#280838,#280839,#280840)); #52317=EDGE_LOOP('',(#280841,#280842,#280843,#280844)); #52318=EDGE_LOOP('',(#280845,#280846,#280847,#280848)); #52319=EDGE_LOOP('',(#280849,#280850,#280851,#280852)); #52320=EDGE_LOOP('',(#280853,#280854,#280855,#280856)); #52321=EDGE_LOOP('',(#280857,#280858,#280859,#280860)); #52322=EDGE_LOOP('',(#280861,#280862,#280863,#280864)); #52323=EDGE_LOOP('',(#280865,#280866,#280867,#280868)); #52324=EDGE_LOOP('',(#280869,#280870,#280871,#280872)); #52325=EDGE_LOOP('',(#280873,#280874,#280875,#280876)); #52326=EDGE_LOOP('',(#280877,#280878,#280879,#280880)); #52327=EDGE_LOOP('',(#280881,#280882,#280883,#280884)); #52328=EDGE_LOOP('',(#280885,#280886,#280887,#280888)); #52329=EDGE_LOOP('',(#280889,#280890,#280891,#280892)); #52330=EDGE_LOOP('',(#280893,#280894,#280895,#280896)); #52331=EDGE_LOOP('',(#280897,#280898,#280899,#280900)); #52332=EDGE_LOOP('',(#280901,#280902,#280903,#280904)); #52333=EDGE_LOOP('',(#280905,#280906,#280907,#280908)); #52334=EDGE_LOOP('',(#280909,#280910,#280911,#280912)); #52335=EDGE_LOOP('',(#280913,#280914,#280915,#280916)); #52336=EDGE_LOOP('',(#280917,#280918,#280919,#280920)); #52337=EDGE_LOOP('',(#280921,#280922,#280923,#280924)); #52338=EDGE_LOOP('',(#280925,#280926,#280927,#280928)); #52339=EDGE_LOOP('',(#280929,#280930,#280931,#280932)); #52340=EDGE_LOOP('',(#280933,#280934,#280935,#280936)); #52341=EDGE_LOOP('',(#280937,#280938,#280939,#280940)); #52342=EDGE_LOOP('',(#280941,#280942,#280943,#280944)); #52343=EDGE_LOOP('',(#280945,#280946,#280947,#280948)); #52344=EDGE_LOOP('',(#280949,#280950,#280951,#280952)); #52345=EDGE_LOOP('',(#280953,#280954,#280955,#280956)); #52346=EDGE_LOOP('',(#280957,#280958,#280959,#280960)); #52347=EDGE_LOOP('',(#280961,#280962,#280963,#280964)); #52348=EDGE_LOOP('',(#280965,#280966,#280967,#280968)); #52349=EDGE_LOOP('',(#280969,#280970,#280971,#280972)); #52350=EDGE_LOOP('',(#280973,#280974,#280975,#280976,#280977,#280978,#280979, #280980,#280981,#280982,#280983,#280984,#280985,#280986,#280987,#280988, #280989,#280990,#280991,#280992,#280993,#280994,#280995,#280996,#280997, #280998,#280999,#281000,#281001,#281002,#281003,#281004,#281005,#281006, #281007,#281008,#281009,#281010,#281011,#281012,#281013,#281014,#281015, #281016,#281017,#281018,#281019,#281020,#281021,#281022,#281023,#281024, #281025,#281026,#281027,#281028,#281029,#281030,#281031,#281032,#281033)); #52351=EDGE_LOOP('',(#281034)); #52352=EDGE_LOOP('',(#281035)); #52353=EDGE_LOOP('',(#281036,#281037,#281038,#281039,#281040,#281041,#281042, #281043,#281044,#281045,#281046,#281047,#281048,#281049,#281050,#281051, #281052,#281053,#281054,#281055,#281056,#281057,#281058,#281059,#281060, #281061,#281062,#281063,#281064,#281065,#281066,#281067,#281068,#281069, #281070,#281071,#281072,#281073,#281074,#281075,#281076,#281077,#281078, #281079,#281080,#281081,#281082,#281083,#281084,#281085,#281086,#281087, #281088,#281089,#281090,#281091,#281092,#281093,#281094,#281095,#281096)); #52354=EDGE_LOOP('',(#281097)); #52355=EDGE_LOOP('',(#281098)); #52356=EDGE_LOOP('',(#281099,#281100,#281101,#281102)); #52357=EDGE_LOOP('',(#281103,#281104,#281105,#281106)); #52358=EDGE_LOOP('',(#281107,#281108,#281109,#281110)); #52359=EDGE_LOOP('',(#281111,#281112,#281113,#281114)); #52360=EDGE_LOOP('',(#281115,#281116,#281117,#281118)); #52361=EDGE_LOOP('',(#281119,#281120,#281121,#281122)); #52362=EDGE_LOOP('',(#281123,#281124,#281125,#281126)); #52363=EDGE_LOOP('',(#281127,#281128,#281129,#281130)); #52364=EDGE_LOOP('',(#281131,#281132,#281133,#281134)); #52365=EDGE_LOOP('',(#281135,#281136,#281137,#281138,#281139,#281140,#281141)); #52366=EDGE_LOOP('',(#281142)); #52367=EDGE_LOOP('',(#281143)); #52368=EDGE_LOOP('',(#281144,#281145,#281146,#281147,#281148,#281149,#281150)); #52369=EDGE_LOOP('',(#281151)); #52370=EDGE_LOOP('',(#281152)); #52371=EDGE_LOOP('',(#281153,#281154,#281155,#281156)); #52372=EDGE_LOOP('',(#281157,#281158,#281159,#281160)); #52373=EDGE_LOOP('',(#281161,#281162,#281163,#281164)); #52374=EDGE_LOOP('',(#281165,#281166,#281167,#281168)); #52375=EDGE_LOOP('',(#281169,#281170,#281171,#281172)); #52376=EDGE_LOOP('',(#281173,#281174,#281175,#281176)); #52377=EDGE_LOOP('',(#281177,#281178,#281179,#281180)); #52378=EDGE_LOOP('',(#281181,#281182,#281183,#281184)); #52379=EDGE_LOOP('',(#281185,#281186,#281187,#281188)); #52380=EDGE_LOOP('',(#281189,#281190,#281191,#281192)); #52381=EDGE_LOOP('',(#281193,#281194,#281195,#281196)); #52382=EDGE_LOOP('',(#281197,#281198,#281199,#281200,#281201,#281202,#281203, #281204,#281205,#281206)); #52383=EDGE_LOOP('',(#281207)); #52384=EDGE_LOOP('',(#281208,#281209,#281210,#281211,#281212,#281213,#281214, #281215,#281216,#281217)); #52385=EDGE_LOOP('',(#281218)); #52386=EDGE_LOOP('',(#281219,#281220,#281221,#281222)); #52387=EDGE_LOOP('',(#281223,#281224,#281225,#281226)); #52388=EDGE_LOOP('',(#281227,#281228,#281229,#281230)); #52389=EDGE_LOOP('',(#281231,#281232,#281233,#281234)); #52390=EDGE_LOOP('',(#281235,#281236,#281237,#281238)); #52391=EDGE_LOOP('',(#281239,#281240,#281241,#281242)); #52392=EDGE_LOOP('',(#281243,#281244,#281245,#281246)); #52393=EDGE_LOOP('',(#281247,#281248,#281249,#281250)); #52394=EDGE_LOOP('',(#281251,#281252,#281253,#281254)); #52395=EDGE_LOOP('',(#281255,#281256,#281257,#281258)); #52396=EDGE_LOOP('',(#281259,#281260,#281261,#281262)); #52397=EDGE_LOOP('',(#281263,#281264,#281265,#281266,#281267,#281268,#281269, #281270,#281271,#281272)); #52398=EDGE_LOOP('',(#281273)); #52399=EDGE_LOOP('',(#281274,#281275,#281276,#281277,#281278,#281279,#281280, #281281,#281282,#281283)); #52400=EDGE_LOOP('',(#281284)); #52401=EDGE_LOOP('',(#281285,#281286,#281287,#281288)); #52402=EDGE_LOOP('',(#281289,#281290,#281291,#281292)); #52403=EDGE_LOOP('',(#281293,#281294,#281295,#281296)); #52404=EDGE_LOOP('',(#281297,#281298,#281299,#281300)); #52405=EDGE_LOOP('',(#281301,#281302,#281303,#281304)); #52406=EDGE_LOOP('',(#281305,#281306,#281307,#281308)); #52407=EDGE_LOOP('',(#281309,#281310,#281311,#281312)); #52408=EDGE_LOOP('',(#281313,#281314,#281315,#281316)); #52409=EDGE_LOOP('',(#281317,#281318,#281319,#281320)); #52410=EDGE_LOOP('',(#281321,#281322,#281323,#281324)); #52411=EDGE_LOOP('',(#281325,#281326,#281327,#281328)); #52412=EDGE_LOOP('',(#281329,#281330,#281331,#281332)); #52413=EDGE_LOOP('',(#281333,#281334,#281335,#281336)); #52414=EDGE_LOOP('',(#281337,#281338,#281339,#281340)); #52415=EDGE_LOOP('',(#281341,#281342,#281343,#281344)); #52416=EDGE_LOOP('',(#281345,#281346,#281347,#281348)); #52417=EDGE_LOOP('',(#281349,#281350,#281351,#281352)); #52418=EDGE_LOOP('',(#281353,#281354,#281355,#281356)); #52419=EDGE_LOOP('',(#281357,#281358,#281359,#281360,#281361,#281362,#281363, #281364,#281365,#281366,#281367,#281368,#281369,#281370,#281371,#281372, #281373)); #52420=EDGE_LOOP('',(#281374)); #52421=EDGE_LOOP('',(#281375,#281376,#281377,#281378,#281379,#281380,#281381, #281382,#281383,#281384,#281385,#281386,#281387,#281388,#281389,#281390, #281391)); #52422=EDGE_LOOP('',(#281392)); #52423=EDGE_LOOP('',(#281393,#281394,#281395,#281396)); #52424=EDGE_LOOP('',(#281397,#281398,#281399,#281400)); #52425=EDGE_LOOP('',(#281401,#281402,#281403,#281404)); #52426=EDGE_LOOP('',(#281405,#281406,#281407,#281408)); #52427=EDGE_LOOP('',(#281409,#281410,#281411,#281412)); #52428=EDGE_LOOP('',(#281413,#281414,#281415,#281416)); #52429=EDGE_LOOP('',(#281417,#281418,#281419,#281420)); #52430=EDGE_LOOP('',(#281421,#281422,#281423,#281424)); #52431=EDGE_LOOP('',(#281425,#281426,#281427,#281428)); #52432=EDGE_LOOP('',(#281429,#281430,#281431,#281432)); #52433=EDGE_LOOP('',(#281433,#281434,#281435,#281436)); #52434=EDGE_LOOP('',(#281437,#281438,#281439,#281440)); #52435=EDGE_LOOP('',(#281441,#281442,#281443,#281444)); #52436=EDGE_LOOP('',(#281445,#281446,#281447,#281448)); #52437=EDGE_LOOP('',(#281449,#281450,#281451,#281452)); #52438=EDGE_LOOP('',(#281453,#281454,#281455,#281456)); #52439=EDGE_LOOP('',(#281457,#281458,#281459,#281460,#281461,#281462,#281463, #281464,#281465,#281466,#281467,#281468,#281469,#281470,#281471)); #52440=EDGE_LOOP('',(#281472)); #52441=EDGE_LOOP('',(#281473,#281474,#281475,#281476,#281477,#281478,#281479, #281480,#281481,#281482,#281483,#281484,#281485,#281486,#281487)); #52442=EDGE_LOOP('',(#281488)); #52443=EDGE_LOOP('',(#281489,#281490,#281491,#281492)); #52444=EDGE_LOOP('',(#281493,#281494,#281495,#281496)); #52445=EDGE_LOOP('',(#281497,#281498,#281499,#281500)); #52446=EDGE_LOOP('',(#281501,#281502,#281503,#281504)); #52447=EDGE_LOOP('',(#281505,#281506,#281507)); #52448=EDGE_LOOP('',(#281508)); #52449=EDGE_LOOP('',(#281509,#281510,#281511)); #52450=EDGE_LOOP('',(#281512)); #52451=EDGE_LOOP('',(#281513,#281514,#281515,#281516)); #52452=EDGE_LOOP('',(#281517,#281518,#281519,#281520)); #52453=EDGE_LOOP('',(#281521,#281522,#281523,#281524)); #52454=EDGE_LOOP('',(#281525,#281526,#281527,#281528)); #52455=EDGE_LOOP('',(#281529,#281530,#281531,#281532)); #52456=EDGE_LOOP('',(#281533,#281534,#281535,#281536)); #52457=EDGE_LOOP('',(#281537,#281538,#281539,#281540)); #52458=EDGE_LOOP('',(#281541,#281542,#281543,#281544)); #52459=EDGE_LOOP('',(#281545,#281546,#281547,#281548)); #52460=EDGE_LOOP('',(#281549,#281550,#281551,#281552)); #52461=EDGE_LOOP('',(#281553,#281554,#281555,#281556)); #52462=EDGE_LOOP('',(#281557,#281558,#281559,#281560)); #52463=EDGE_LOOP('',(#281561,#281562,#281563,#281564)); #52464=EDGE_LOOP('',(#281565,#281566,#281567,#281568)); #52465=EDGE_LOOP('',(#281569,#281570,#281571,#281572)); #52466=EDGE_LOOP('',(#281573,#281574,#281575,#281576)); #52467=EDGE_LOOP('',(#281577,#281578,#281579,#281580)); #52468=EDGE_LOOP('',(#281581,#281582,#281583,#281584)); #52469=EDGE_LOOP('',(#281585,#281586,#281587,#281588)); #52470=EDGE_LOOP('',(#281589,#281590,#281591,#281592)); #52471=EDGE_LOOP('',(#281593,#281594,#281595,#281596)); #52472=EDGE_LOOP('',(#281597,#281598,#281599,#281600)); #52473=EDGE_LOOP('',(#281601,#281602,#281603,#281604,#281605,#281606,#281607, #281608,#281609,#281610,#281611,#281612,#281613,#281614,#281615,#281616, #281617,#281618,#281619,#281620,#281621)); #52474=EDGE_LOOP('',(#281622)); #52475=EDGE_LOOP('',(#281623,#281624,#281625,#281626,#281627,#281628,#281629, #281630,#281631,#281632,#281633,#281634,#281635,#281636,#281637,#281638, #281639,#281640,#281641,#281642,#281643)); #52476=EDGE_LOOP('',(#281644)); #52477=EDGE_LOOP('',(#281645,#281646,#281647,#281648)); #52478=EDGE_LOOP('',(#281649,#281650,#281651,#281652)); #52479=EDGE_LOOP('',(#281653,#281654,#281655,#281656)); #52480=EDGE_LOOP('',(#281657,#281658,#281659,#281660)); #52481=EDGE_LOOP('',(#281661,#281662,#281663,#281664)); #52482=EDGE_LOOP('',(#281665,#281666,#281667,#281668)); #52483=EDGE_LOOP('',(#281669,#281670,#281671,#281672)); #52484=EDGE_LOOP('',(#281673,#281674,#281675,#281676)); #52485=EDGE_LOOP('',(#281677,#281678,#281679,#281680)); #52486=EDGE_LOOP('',(#281681,#281682,#281683,#281684)); #52487=EDGE_LOOP('',(#281685,#281686,#281687,#281688)); #52488=EDGE_LOOP('',(#281689,#281690,#281691,#281692)); #52489=EDGE_LOOP('',(#281693,#281694,#281695,#281696)); #52490=EDGE_LOOP('',(#281697,#281698,#281699,#281700)); #52491=EDGE_LOOP('',(#281701,#281702,#281703,#281704)); #52492=EDGE_LOOP('',(#281705,#281706,#281707,#281708)); #52493=EDGE_LOOP('',(#281709,#281710,#281711,#281712,#281713,#281714,#281715, #281716,#281717,#281718,#281719,#281720,#281721,#281722,#281723)); #52494=EDGE_LOOP('',(#281724)); #52495=EDGE_LOOP('',(#281725,#281726,#281727,#281728,#281729,#281730,#281731, #281732,#281733,#281734,#281735,#281736,#281737,#281738,#281739)); #52496=EDGE_LOOP('',(#281740)); #52497=EDGE_LOOP('',(#281741,#281742,#281743,#281744)); #52498=EDGE_LOOP('',(#281745,#281746,#281747,#281748)); #52499=EDGE_LOOP('',(#281749,#281750,#281751,#281752)); #52500=EDGE_LOOP('',(#281753,#281754,#281755,#281756)); #52501=EDGE_LOOP('',(#281757,#281758,#281759,#281760)); #52502=EDGE_LOOP('',(#281761,#281762,#281763,#281764)); #52503=EDGE_LOOP('',(#281765,#281766,#281767,#281768)); #52504=EDGE_LOOP('',(#281769,#281770,#281771,#281772)); #52505=EDGE_LOOP('',(#281773,#281774,#281775,#281776)); #52506=EDGE_LOOP('',(#281777,#281778,#281779,#281780)); #52507=EDGE_LOOP('',(#281781,#281782,#281783,#281784)); #52508=EDGE_LOOP('',(#281785,#281786,#281787,#281788)); #52509=EDGE_LOOP('',(#281789,#281790,#281791,#281792)); #52510=EDGE_LOOP('',(#281793,#281794,#281795,#281796)); #52511=EDGE_LOOP('',(#281797,#281798,#281799,#281800,#281801,#281802,#281803, #281804,#281805,#281806,#281807,#281808,#281809,#281810)); #52512=EDGE_LOOP('',(#281811,#281812,#281813,#281814,#281815,#281816,#281817, #281818,#281819,#281820,#281821,#281822,#281823,#281824)); #52513=EDGE_LOOP('',(#281825,#281826,#281827,#281828)); #52514=EDGE_LOOP('',(#281829,#281830,#281831,#281832)); #52515=EDGE_LOOP('',(#281833,#281834,#281835,#281836)); #52516=EDGE_LOOP('',(#281837,#281838,#281839,#281840)); #52517=EDGE_LOOP('',(#281841,#281842,#281843,#281844)); #52518=EDGE_LOOP('',(#281845,#281846,#281847,#281848)); #52519=EDGE_LOOP('',(#281849,#281850,#281851,#281852)); #52520=EDGE_LOOP('',(#281853,#281854,#281855,#281856)); #52521=EDGE_LOOP('',(#281857,#281858,#281859,#281860)); #52522=EDGE_LOOP('',(#281861,#281862,#281863,#281864)); #52523=EDGE_LOOP('',(#281865,#281866,#281867,#281868)); #52524=EDGE_LOOP('',(#281869,#281870,#281871,#281872)); #52525=EDGE_LOOP('',(#281873,#281874,#281875,#281876)); #52526=EDGE_LOOP('',(#281877,#281878,#281879,#281880)); #52527=EDGE_LOOP('',(#281881,#281882,#281883,#281884,#281885,#281886,#281887, #281888,#281889,#281890,#281891,#281892,#281893,#281894)); #52528=EDGE_LOOP('',(#281895,#281896,#281897,#281898,#281899,#281900,#281901, #281902,#281903,#281904,#281905,#281906,#281907,#281908)); #52529=EDGE_LOOP('',(#281909,#281910,#281911,#281912)); #52530=EDGE_LOOP('',(#281913,#281914,#281915,#281916)); #52531=EDGE_LOOP('',(#281917,#281918,#281919,#281920)); #52532=EDGE_LOOP('',(#281921,#281922,#281923,#281924)); #52533=EDGE_LOOP('',(#281925,#281926,#281927,#281928)); #52534=EDGE_LOOP('',(#281929,#281930,#281931,#281932)); #52535=EDGE_LOOP('',(#281933,#281934,#281935,#281936)); #52536=EDGE_LOOP('',(#281937,#281938,#281939,#281940)); #52537=EDGE_LOOP('',(#281941,#281942,#281943,#281944)); #52538=EDGE_LOOP('',(#281945,#281946,#281947,#281948)); #52539=EDGE_LOOP('',(#281949,#281950,#281951,#281952)); #52540=EDGE_LOOP('',(#281953,#281954,#281955,#281956)); #52541=EDGE_LOOP('',(#281957,#281958,#281959,#281960)); #52542=EDGE_LOOP('',(#281961,#281962,#281963,#281964)); #52543=EDGE_LOOP('',(#281965,#281966,#281967,#281968)); #52544=EDGE_LOOP('',(#281969,#281970,#281971,#281972)); #52545=EDGE_LOOP('',(#281973,#281974,#281975,#281976)); #52546=EDGE_LOOP('',(#281977,#281978,#281979,#281980)); #52547=EDGE_LOOP('',(#281981,#281982,#281983,#281984)); #52548=EDGE_LOOP('',(#281985,#281986,#281987,#281988)); #52549=EDGE_LOOP('',(#281989,#281990,#281991,#281992)); #52550=EDGE_LOOP('',(#281993,#281994,#281995,#281996)); #52551=EDGE_LOOP('',(#281997,#281998,#281999,#282000)); #52552=EDGE_LOOP('',(#282001,#282002,#282003,#282004)); #52553=EDGE_LOOP('',(#282005,#282006,#282007,#282008)); #52554=EDGE_LOOP('',(#282009,#282010,#282011,#282012)); #52555=EDGE_LOOP('',(#282013,#282014,#282015,#282016)); #52556=EDGE_LOOP('',(#282017,#282018,#282019,#282020)); #52557=EDGE_LOOP('',(#282021,#282022,#282023,#282024)); #52558=EDGE_LOOP('',(#282025,#282026,#282027,#282028)); #52559=EDGE_LOOP('',(#282029,#282030,#282031,#282032)); #52560=EDGE_LOOP('',(#282033,#282034,#282035,#282036)); #52561=EDGE_LOOP('',(#282037,#282038,#282039,#282040)); #52562=EDGE_LOOP('',(#282041,#282042,#282043,#282044)); #52563=EDGE_LOOP('',(#282045,#282046,#282047,#282048)); #52564=EDGE_LOOP('',(#282049,#282050,#282051,#282052)); #52565=EDGE_LOOP('',(#282053,#282054,#282055,#282056)); #52566=EDGE_LOOP('',(#282057,#282058,#282059,#282060)); #52567=EDGE_LOOP('',(#282061,#282062,#282063,#282064)); #52568=EDGE_LOOP('',(#282065,#282066,#282067,#282068)); #52569=EDGE_LOOP('',(#282069,#282070,#282071,#282072,#282073,#282074,#282075, #282076,#282077,#282078,#282079,#282080,#282081,#282082,#282083,#282084, #282085,#282086,#282087,#282088,#282089,#282090,#282091,#282092,#282093, #282094,#282095,#282096,#282097,#282098,#282099,#282100,#282101,#282102, #282103,#282104,#282105,#282106,#282107)); #52570=EDGE_LOOP('',(#282108)); #52571=EDGE_LOOP('',(#282109,#282110,#282111,#282112,#282113,#282114,#282115, #282116,#282117,#282118,#282119,#282120,#282121,#282122,#282123,#282124, #282125,#282126,#282127,#282128,#282129,#282130,#282131,#282132,#282133, #282134,#282135,#282136,#282137,#282138,#282139,#282140,#282141,#282142, #282143,#282144,#282145,#282146,#282147)); #52572=EDGE_LOOP('',(#282148)); #52573=EDGE_LOOP('',(#282149,#282150,#282151,#282152)); #52574=EDGE_LOOP('',(#282153,#282154,#282155,#282156)); #52575=EDGE_LOOP('',(#282157,#282158,#282159,#282160)); #52576=EDGE_LOOP('',(#282161,#282162,#282163,#282164)); #52577=EDGE_LOOP('',(#282165,#282166,#282167,#282168)); #52578=EDGE_LOOP('',(#282169,#282170,#282171,#282172)); #52579=EDGE_LOOP('',(#282173,#282174,#282175,#282176)); #52580=EDGE_LOOP('',(#282177,#282178,#282179,#282180)); #52581=EDGE_LOOP('',(#282181,#282182,#282183,#282184)); #52582=EDGE_LOOP('',(#282185,#282186,#282187,#282188,#282189,#282190,#282191)); #52583=EDGE_LOOP('',(#282192)); #52584=EDGE_LOOP('',(#282193)); #52585=EDGE_LOOP('',(#282194,#282195,#282196,#282197,#282198,#282199,#282200)); #52586=EDGE_LOOP('',(#282201)); #52587=EDGE_LOOP('',(#282202)); #52588=EDGE_LOOP('',(#282203,#282204,#282205,#282206)); #52589=EDGE_LOOP('',(#282207,#282208,#282209,#282210)); #52590=EDGE_LOOP('',(#282211,#282212,#282213,#282214)); #52591=EDGE_LOOP('',(#282215,#282216,#282217,#282218)); #52592=EDGE_LOOP('',(#282219,#282220,#282221,#282222)); #52593=EDGE_LOOP('',(#282223,#282224,#282225,#282226)); #52594=EDGE_LOOP('',(#282227)); #52595=EDGE_LOOP('',(#282228,#282229,#282230,#282231)); #52596=EDGE_LOOP('',(#282232)); #52597=EDGE_LOOP('',(#282233,#282234,#282235,#282236)); #52598=EDGE_LOOP('',(#282237,#282238,#282239,#282240)); #52599=EDGE_LOOP('',(#282241,#282242,#282243,#282244)); #52600=EDGE_LOOP('',(#282245,#282246,#282247,#282248)); #52601=EDGE_LOOP('',(#282249,#282250,#282251,#282252)); #52602=EDGE_LOOP('',(#282253,#282254,#282255,#282256)); #52603=EDGE_LOOP('',(#282257,#282258,#282259,#282260)); #52604=EDGE_LOOP('',(#282261,#282262,#282263,#282264)); #52605=EDGE_LOOP('',(#282265,#282266,#282267,#282268)); #52606=EDGE_LOOP('',(#282269,#282270,#282271,#282272)); #52607=EDGE_LOOP('',(#282273,#282274,#282275,#282276)); #52608=EDGE_LOOP('',(#282277,#282278,#282279,#282280)); #52609=EDGE_LOOP('',(#282281,#282282,#282283,#282284)); #52610=EDGE_LOOP('',(#282285,#282286,#282287,#282288)); #52611=EDGE_LOOP('',(#282289,#282290,#282291,#282292)); #52612=EDGE_LOOP('',(#282293,#282294,#282295,#282296)); #52613=EDGE_LOOP('',(#282297,#282298,#282299,#282300)); #52614=EDGE_LOOP('',(#282301,#282302,#282303,#282304)); #52615=EDGE_LOOP('',(#282305,#282306,#282307,#282308)); #52616=EDGE_LOOP('',(#282309,#282310,#282311,#282312)); #52617=EDGE_LOOP('',(#282313,#282314,#282315,#282316)); #52618=EDGE_LOOP('',(#282317,#282318,#282319,#282320)); #52619=EDGE_LOOP('',(#282321,#282322,#282323,#282324)); #52620=EDGE_LOOP('',(#282325,#282326,#282327,#282328)); #52621=EDGE_LOOP('',(#282329,#282330,#282331,#282332)); #52622=EDGE_LOOP('',(#282333,#282334,#282335,#282336,#282337,#282338,#282339, #282340,#282341,#282342,#282343,#282344,#282345,#282346,#282347,#282348, #282349,#282350,#282351,#282352,#282353,#282354,#282355)); #52623=EDGE_LOOP('',(#282356)); #52624=EDGE_LOOP('',(#282357)); #52625=EDGE_LOOP('',(#282358,#282359,#282360,#282361,#282362,#282363,#282364, #282365,#282366,#282367,#282368,#282369,#282370,#282371,#282372,#282373, #282374,#282375,#282376,#282377,#282378,#282379,#282380)); #52626=EDGE_LOOP('',(#282381)); #52627=EDGE_LOOP('',(#282382)); #52628=EDGE_LOOP('',(#282383,#282384,#282385,#282386)); #52629=EDGE_LOOP('',(#282387,#282388,#282389,#282390)); #52630=EDGE_LOOP('',(#282391,#282392,#282393,#282394)); #52631=EDGE_LOOP('',(#282395,#282396,#282397,#282398)); #52632=EDGE_LOOP('',(#282399,#282400,#282401,#282402)); #52633=EDGE_LOOP('',(#282403,#282404,#282405,#282406)); #52634=EDGE_LOOP('',(#282407,#282408,#282409,#282410)); #52635=EDGE_LOOP('',(#282411,#282412,#282413,#282414)); #52636=EDGE_LOOP('',(#282415,#282416,#282417,#282418)); #52637=EDGE_LOOP('',(#282419,#282420,#282421,#282422)); #52638=EDGE_LOOP('',(#282423,#282424,#282425,#282426,#282427,#282428,#282429, #282430)); #52639=EDGE_LOOP('',(#282431)); #52640=EDGE_LOOP('',(#282432)); #52641=EDGE_LOOP('',(#282433,#282434,#282435,#282436,#282437,#282438,#282439, #282440)); #52642=EDGE_LOOP('',(#282441)); #52643=EDGE_LOOP('',(#282442)); #52644=EDGE_LOOP('',(#282443,#282444,#282445,#282446)); #52645=EDGE_LOOP('',(#282447,#282448,#282449,#282450)); #52646=EDGE_LOOP('',(#282451,#282452,#282453,#282454)); #52647=EDGE_LOOP('',(#282455,#282456,#282457,#282458)); #52648=EDGE_LOOP('',(#282459,#282460,#282461,#282462)); #52649=EDGE_LOOP('',(#282463,#282464,#282465,#282466)); #52650=EDGE_LOOP('',(#282467)); #52651=EDGE_LOOP('',(#282468,#282469,#282470,#282471)); #52652=EDGE_LOOP('',(#282472)); #52653=EDGE_LOOP('',(#282473,#282474,#282475,#282476)); #52654=EDGE_LOOP('',(#282477,#282478,#282479,#282480)); #52655=EDGE_LOOP('',(#282481,#282482,#282483,#282484)); #52656=EDGE_LOOP('',(#282485,#282486,#282487,#282488)); #52657=EDGE_LOOP('',(#282489,#282490,#282491,#282492)); #52658=EDGE_LOOP('',(#282493,#282494,#282495,#282496)); #52659=EDGE_LOOP('',(#282497,#282498,#282499,#282500)); #52660=EDGE_LOOP('',(#282501,#282502,#282503,#282504)); #52661=EDGE_LOOP('',(#282505,#282506,#282507,#282508)); #52662=EDGE_LOOP('',(#282509,#282510,#282511,#282512)); #52663=EDGE_LOOP('',(#282513,#282514,#282515,#282516)); #52664=EDGE_LOOP('',(#282517,#282518,#282519,#282520)); #52665=EDGE_LOOP('',(#282521,#282522,#282523,#282524)); #52666=EDGE_LOOP('',(#282525,#282526,#282527,#282528)); #52667=EDGE_LOOP('',(#282529,#282530,#282531,#282532)); #52668=EDGE_LOOP('',(#282533,#282534,#282535,#282536)); #52669=EDGE_LOOP('',(#282537,#282538,#282539,#282540)); #52670=EDGE_LOOP('',(#282541,#282542,#282543,#282544)); #52671=EDGE_LOOP('',(#282545,#282546,#282547,#282548)); #52672=EDGE_LOOP('',(#282549,#282550,#282551,#282552)); #52673=EDGE_LOOP('',(#282553,#282554,#282555,#282556)); #52674=EDGE_LOOP('',(#282557,#282558,#282559,#282560)); #52675=EDGE_LOOP('',(#282561,#282562,#282563,#282564)); #52676=EDGE_LOOP('',(#282565,#282566,#282567,#282568)); #52677=EDGE_LOOP('',(#282569,#282570,#282571,#282572)); #52678=EDGE_LOOP('',(#282573,#282574,#282575,#282576)); #52679=EDGE_LOOP('',(#282577,#282578,#282579,#282580)); #52680=EDGE_LOOP('',(#282581,#282582,#282583,#282584)); #52681=EDGE_LOOP('',(#282585,#282586,#282587,#282588)); #52682=EDGE_LOOP('',(#282589,#282590,#282591,#282592)); #52683=EDGE_LOOP('',(#282593,#282594,#282595,#282596)); #52684=EDGE_LOOP('',(#282597,#282598,#282599,#282600)); #52685=EDGE_LOOP('',(#282601,#282602,#282603,#282604)); #52686=EDGE_LOOP('',(#282605,#282606,#282607,#282608)); #52687=EDGE_LOOP('',(#282609,#282610,#282611,#282612)); #52688=EDGE_LOOP('',(#282613,#282614,#282615,#282616)); #52689=EDGE_LOOP('',(#282617,#282618,#282619,#282620)); #52690=EDGE_LOOP('',(#282621,#282622,#282623,#282624)); #52691=EDGE_LOOP('',(#282625,#282626,#282627,#282628)); #52692=EDGE_LOOP('',(#282629,#282630,#282631,#282632)); #52693=EDGE_LOOP('',(#282633,#282634,#282635,#282636)); #52694=EDGE_LOOP('',(#282637,#282638,#282639,#282640)); #52695=EDGE_LOOP('',(#282641,#282642,#282643,#282644)); #52696=EDGE_LOOP('',(#282645,#282646,#282647,#282648)); #52697=EDGE_LOOP('',(#282649,#282650,#282651,#282652)); #52698=EDGE_LOOP('',(#282653,#282654,#282655,#282656)); #52699=EDGE_LOOP('',(#282657,#282658,#282659,#282660)); #52700=EDGE_LOOP('',(#282661,#282662,#282663,#282664)); #52701=EDGE_LOOP('',(#282665,#282666,#282667,#282668)); #52702=EDGE_LOOP('',(#282669,#282670,#282671,#282672)); #52703=EDGE_LOOP('',(#282673,#282674,#282675,#282676)); #52704=EDGE_LOOP('',(#282677,#282678,#282679,#282680)); #52705=EDGE_LOOP('',(#282681,#282682,#282683,#282684)); #52706=EDGE_LOOP('',(#282685,#282686,#282687,#282688)); #52707=EDGE_LOOP('',(#282689,#282690,#282691,#282692)); #52708=EDGE_LOOP('',(#282693,#282694,#282695,#282696)); #52709=EDGE_LOOP('',(#282697,#282698,#282699,#282700)); #52710=EDGE_LOOP('',(#282701,#282702,#282703,#282704)); #52711=EDGE_LOOP('',(#282705,#282706,#282707,#282708)); #52712=EDGE_LOOP('',(#282709,#282710,#282711,#282712)); #52713=EDGE_LOOP('',(#282713,#282714,#282715,#282716)); #52714=EDGE_LOOP('',(#282717,#282718,#282719,#282720)); #52715=EDGE_LOOP('',(#282721,#282722,#282723,#282724)); #52716=EDGE_LOOP('',(#282725,#282726,#282727,#282728)); #52717=EDGE_LOOP('',(#282729,#282730,#282731,#282732)); #52718=EDGE_LOOP('',(#282733,#282734,#282735,#282736)); #52719=EDGE_LOOP('',(#282737,#282738,#282739,#282740)); #52720=EDGE_LOOP('',(#282741,#282742,#282743,#282744)); #52721=EDGE_LOOP('',(#282745,#282746,#282747,#282748)); #52722=EDGE_LOOP('',(#282749,#282750,#282751,#282752)); #52723=EDGE_LOOP('',(#282753,#282754,#282755,#282756)); #52724=EDGE_LOOP('',(#282757,#282758,#282759,#282760)); #52725=EDGE_LOOP('',(#282761,#282762,#282763,#282764)); #52726=EDGE_LOOP('',(#282765,#282766,#282767,#282768)); #52727=EDGE_LOOP('',(#282769,#282770,#282771,#282772)); #52728=EDGE_LOOP('',(#282773,#282774,#282775,#282776)); #52729=EDGE_LOOP('',(#282777,#282778,#282779,#282780)); #52730=EDGE_LOOP('',(#282781,#282782,#282783,#282784)); #52731=EDGE_LOOP('',(#282785,#282786,#282787,#282788)); #52732=EDGE_LOOP('',(#282789,#282790,#282791,#282792)); #52733=EDGE_LOOP('',(#282793,#282794,#282795,#282796)); #52734=EDGE_LOOP('',(#282797,#282798,#282799,#282800)); #52735=EDGE_LOOP('',(#282801,#282802,#282803,#282804)); #52736=EDGE_LOOP('',(#282805,#282806,#282807,#282808)); #52737=EDGE_LOOP('',(#282809,#282810,#282811,#282812)); #52738=EDGE_LOOP('',(#282813,#282814,#282815,#282816)); #52739=EDGE_LOOP('',(#282817,#282818,#282819,#282820)); #52740=EDGE_LOOP('',(#282821,#282822,#282823,#282824)); #52741=EDGE_LOOP('',(#282825,#282826,#282827,#282828)); #52742=EDGE_LOOP('',(#282829,#282830,#282831,#282832)); #52743=EDGE_LOOP('',(#282833,#282834,#282835,#282836)); #52744=EDGE_LOOP('',(#282837,#282838,#282839,#282840)); #52745=EDGE_LOOP('',(#282841,#282842,#282843,#282844)); #52746=EDGE_LOOP('',(#282845,#282846,#282847,#282848)); #52747=EDGE_LOOP('',(#282849,#282850,#282851,#282852,#282853,#282854,#282855, #282856,#282857,#282858,#282859,#282860,#282861,#282862,#282863,#282864, #282865,#282866,#282867,#282868,#282869,#282870,#282871,#282872,#282873, #282874,#282875,#282876,#282877,#282878,#282879,#282880,#282881,#282882, #282883,#282884,#282885,#282886,#282887,#282888,#282889,#282890,#282891, #282892,#282893,#282894,#282895,#282896,#282897,#282898,#282899,#282900, #282901,#282902,#282903,#282904,#282905,#282906,#282907,#282908,#282909, #282910,#282911,#282912,#282913,#282914,#282915,#282916,#282917,#282918, #282919,#282920,#282921,#282922)); #52748=EDGE_LOOP('',(#282923)); #52749=EDGE_LOOP('',(#282924)); #52750=EDGE_LOOP('',(#282925)); #52751=EDGE_LOOP('',(#282926)); #52752=EDGE_LOOP('',(#282927,#282928,#282929,#282930,#282931,#282932,#282933, #282934,#282935,#282936,#282937,#282938,#282939,#282940,#282941,#282942)); #52753=EDGE_LOOP('',(#282943,#282944,#282945,#282946,#282947,#282948,#282949, #282950,#282951,#282952,#282953,#282954,#282955,#282956,#282957,#282958, #282959,#282960,#282961,#282962,#282963,#282964,#282965,#282966,#282967, #282968,#282969,#282970,#282971,#282972,#282973,#282974,#282975,#282976, #282977,#282978,#282979,#282980,#282981,#282982,#282983,#282984,#282985, #282986,#282987,#282988,#282989,#282990,#282991,#282992,#282993,#282994, #282995,#282996,#282997,#282998,#282999,#283000,#283001,#283002,#283003, #283004,#283005,#283006,#283007,#283008,#283009,#283010,#283011,#283012, #283013,#283014,#283015,#283016)); #52754=EDGE_LOOP('',(#283017)); #52755=EDGE_LOOP('',(#283018)); #52756=EDGE_LOOP('',(#283019)); #52757=EDGE_LOOP('',(#283020)); #52758=EDGE_LOOP('',(#283021,#283022,#283023,#283024,#283025,#283026,#283027, #283028,#283029,#283030,#283031,#283032,#283033,#283034,#283035,#283036)); #52759=EDGE_LOOP('',(#283037,#283038,#283039,#283040)); #52760=EDGE_LOOP('',(#283041,#283042,#283043,#283044)); #52761=EDGE_LOOP('',(#283045,#283046,#283047,#283048)); #52762=EDGE_LOOP('',(#283049,#283050,#283051,#283052)); #52763=EDGE_LOOP('',(#283053,#283054,#283055,#283056)); #52764=EDGE_LOOP('',(#283057,#283058,#283059,#283060)); #52765=EDGE_LOOP('',(#283061,#283062,#283063,#283064)); #52766=EDGE_LOOP('',(#283065,#283066,#283067,#283068)); #52767=EDGE_LOOP('',(#283069,#283070,#283071,#283072)); #52768=EDGE_LOOP('',(#283073,#283074,#283075,#283076)); #52769=EDGE_LOOP('',(#283077,#283078,#283079,#283080)); #52770=EDGE_LOOP('',(#283081,#283082,#283083,#283084)); #52771=EDGE_LOOP('',(#283085,#283086,#283087,#283088)); #52772=EDGE_LOOP('',(#283089,#283090,#283091,#283092)); #52773=EDGE_LOOP('',(#283093,#283094,#283095,#283096)); #52774=EDGE_LOOP('',(#283097,#283098,#283099,#283100)); #52775=EDGE_LOOP('',(#283101,#283102,#283103,#283104)); #52776=EDGE_LOOP('',(#283105,#283106,#283107,#283108)); #52777=EDGE_LOOP('',(#283109,#283110,#283111,#283112)); #52778=EDGE_LOOP('',(#283113,#283114,#283115,#283116)); #52779=EDGE_LOOP('',(#283117,#283118,#283119,#283120)); #52780=EDGE_LOOP('',(#283121,#283122,#283123,#283124)); #52781=EDGE_LOOP('',(#283125,#283126,#283127,#283128)); #52782=EDGE_LOOP('',(#283129,#283130,#283131,#283132)); #52783=EDGE_LOOP('',(#283133,#283134,#283135,#283136)); #52784=EDGE_LOOP('',(#283137,#283138,#283139,#283140)); #52785=EDGE_LOOP('',(#283141,#283142,#283143,#283144)); #52786=EDGE_LOOP('',(#283145,#283146,#283147,#283148)); #52787=EDGE_LOOP('',(#283149,#283150,#283151,#283152)); #52788=EDGE_LOOP('',(#283153,#283154,#283155,#283156)); #52789=EDGE_LOOP('',(#283157,#283158,#283159,#283160)); #52790=EDGE_LOOP('',(#283161,#283162,#283163,#283164)); #52791=EDGE_LOOP('',(#283165,#283166,#283167,#283168)); #52792=EDGE_LOOP('',(#283169,#283170,#283171,#283172)); #52793=EDGE_LOOP('',(#283173,#283174,#283175,#283176)); #52794=EDGE_LOOP('',(#283177,#283178,#283179,#283180)); #52795=EDGE_LOOP('',(#283181,#283182,#283183,#283184)); #52796=EDGE_LOOP('',(#283185,#283186,#283187,#283188)); #52797=EDGE_LOOP('',(#283189,#283190,#283191,#283192)); #52798=EDGE_LOOP('',(#283193,#283194,#283195,#283196)); #52799=EDGE_LOOP('',(#283197,#283198,#283199,#283200)); #52800=EDGE_LOOP('',(#283201,#283202,#283203,#283204)); #52801=EDGE_LOOP('',(#283205,#283206,#283207,#283208)); #52802=EDGE_LOOP('',(#283209,#283210,#283211,#283212)); #52803=EDGE_LOOP('',(#283213,#283214,#283215,#283216)); #52804=EDGE_LOOP('',(#283217,#283218,#283219,#283220)); #52805=EDGE_LOOP('',(#283221,#283222,#283223,#283224)); #52806=EDGE_LOOP('',(#283225,#283226,#283227,#283228)); #52807=EDGE_LOOP('',(#283229,#283230,#283231,#283232)); #52808=EDGE_LOOP('',(#283233,#283234,#283235,#283236,#283237,#283238,#283239, #283240,#283241,#283242,#283243,#283244,#283245,#283246,#283247,#283248, #283249,#283250,#283251,#283252,#283253,#283254,#283255,#283256,#283257, #283258,#283259,#283260,#283261,#283262,#283263,#283264,#283265,#283266, #283267,#283268,#283269,#283270,#283271,#283272,#283273,#283274,#283275, #283276,#283277,#283278,#283279,#283280)); #52809=EDGE_LOOP('',(#283281)); #52810=EDGE_LOOP('',(#283282,#283283,#283284,#283285,#283286,#283287,#283288, #283289,#283290,#283291,#283292,#283293,#283294,#283295,#283296,#283297, #283298,#283299,#283300,#283301,#283302,#283303,#283304,#283305,#283306, #283307,#283308,#283309,#283310,#283311,#283312,#283313,#283314,#283315, #283316,#283317,#283318,#283319,#283320,#283321,#283322,#283323,#283324, #283325,#283326,#283327,#283328,#283329)); #52811=EDGE_LOOP('',(#283330)); #52812=EDGE_LOOP('',(#283331,#283332,#283333,#283334)); #52813=EDGE_LOOP('',(#283335,#283336,#283337,#283338)); #52814=EDGE_LOOP('',(#283339,#283340,#283341,#283342)); #52815=EDGE_LOOP('',(#283343,#283344,#283345,#283346)); #52816=EDGE_LOOP('',(#283347,#283348,#283349,#283350)); #52817=EDGE_LOOP('',(#283351,#283352,#283353,#283354)); #52818=EDGE_LOOP('',(#283355,#283356,#283357,#283358)); #52819=EDGE_LOOP('',(#283359,#283360,#283361,#283362)); #52820=EDGE_LOOP('',(#283363,#283364,#283365,#283366)); #52821=EDGE_LOOP('',(#283367,#283368,#283369,#283370)); #52822=EDGE_LOOP('',(#283371,#283372,#283373,#283374)); #52823=EDGE_LOOP('',(#283375,#283376,#283377,#283378)); #52824=EDGE_LOOP('',(#283379,#283380,#283381,#283382)); #52825=EDGE_LOOP('',(#283383,#283384,#283385,#283386)); #52826=EDGE_LOOP('',(#283387,#283388,#283389,#283390)); #52827=EDGE_LOOP('',(#283391,#283392,#283393,#283394)); #52828=EDGE_LOOP('',(#283395,#283396,#283397,#283398)); #52829=EDGE_LOOP('',(#283399,#283400,#283401,#283402)); #52830=EDGE_LOOP('',(#283403,#283404,#283405,#283406)); #52831=EDGE_LOOP('',(#283407,#283408,#283409,#283410)); #52832=EDGE_LOOP('',(#283411,#283412,#283413,#283414)); #52833=EDGE_LOOP('',(#283415,#283416,#283417,#283418)); #52834=EDGE_LOOP('',(#283419,#283420,#283421,#283422)); #52835=EDGE_LOOP('',(#283423,#283424,#283425,#283426)); #52836=EDGE_LOOP('',(#283427,#283428,#283429,#283430)); #52837=EDGE_LOOP('',(#283431,#283432,#283433,#283434)); #52838=EDGE_LOOP('',(#283435,#283436,#283437,#283438)); #52839=EDGE_LOOP('',(#283439,#283440,#283441,#283442)); #52840=EDGE_LOOP('',(#283443,#283444,#283445,#283446)); #52841=EDGE_LOOP('',(#283447,#283448,#283449,#283450)); #52842=EDGE_LOOP('',(#283451,#283452,#283453,#283454)); #52843=EDGE_LOOP('',(#283455,#283456,#283457,#283458)); #52844=EDGE_LOOP('',(#283459,#283460,#283461,#283462)); #52845=EDGE_LOOP('',(#283463,#283464,#283465,#283466)); #52846=EDGE_LOOP('',(#283467,#283468,#283469,#283470)); #52847=EDGE_LOOP('',(#283471,#283472,#283473,#283474)); #52848=EDGE_LOOP('',(#283475,#283476,#283477,#283478)); #52849=EDGE_LOOP('',(#283479,#283480,#283481,#283482)); #52850=EDGE_LOOP('',(#283483,#283484,#283485,#283486)); #52851=EDGE_LOOP('',(#283487,#283488,#283489,#283490)); #52852=EDGE_LOOP('',(#283491,#283492,#283493,#283494)); #52853=EDGE_LOOP('',(#283495,#283496,#283497,#283498)); #52854=EDGE_LOOP('',(#283499,#283500,#283501,#283502)); #52855=EDGE_LOOP('',(#283503,#283504,#283505,#283506)); #52856=EDGE_LOOP('',(#283507,#283508,#283509,#283510)); #52857=EDGE_LOOP('',(#283511,#283512,#283513,#283514)); #52858=EDGE_LOOP('',(#283515,#283516,#283517,#283518)); #52859=EDGE_LOOP('',(#283519,#283520,#283521,#283522)); #52860=EDGE_LOOP('',(#283523,#283524,#283525,#283526)); #52861=EDGE_LOOP('',(#283527,#283528,#283529,#283530)); #52862=EDGE_LOOP('',(#283531,#283532,#283533,#283534)); #52863=EDGE_LOOP('',(#283535,#283536,#283537,#283538)); #52864=EDGE_LOOP('',(#283539,#283540,#283541,#283542)); #52865=EDGE_LOOP('',(#283543,#283544,#283545,#283546)); #52866=EDGE_LOOP('',(#283547,#283548,#283549,#283550)); #52867=EDGE_LOOP('',(#283551,#283552,#283553,#283554)); #52868=EDGE_LOOP('',(#283555,#283556,#283557,#283558)); #52869=EDGE_LOOP('',(#283559,#283560,#283561,#283562)); #52870=EDGE_LOOP('',(#283563,#283564,#283565,#283566)); #52871=EDGE_LOOP('',(#283567,#283568,#283569,#283570)); #52872=EDGE_LOOP('',(#283571,#283572,#283573,#283574)); #52873=EDGE_LOOP('',(#283575,#283576,#283577,#283578)); #52874=EDGE_LOOP('',(#283579,#283580,#283581,#283582)); #52875=EDGE_LOOP('',(#283583,#283584,#283585,#283586)); #52876=EDGE_LOOP('',(#283587,#283588,#283589,#283590)); #52877=EDGE_LOOP('',(#283591,#283592,#283593,#283594)); #52878=EDGE_LOOP('',(#283595,#283596,#283597,#283598)); #52879=EDGE_LOOP('',(#283599,#283600,#283601,#283602)); #52880=EDGE_LOOP('',(#283603,#283604,#283605,#283606)); #52881=EDGE_LOOP('',(#283607,#283608,#283609,#283610)); #52882=EDGE_LOOP('',(#283611,#283612,#283613,#283614)); #52883=EDGE_LOOP('',(#283615,#283616,#283617,#283618)); #52884=EDGE_LOOP('',(#283619,#283620,#283621,#283622)); #52885=EDGE_LOOP('',(#283623,#283624,#283625,#283626)); #52886=EDGE_LOOP('',(#283627,#283628,#283629,#283630)); #52887=EDGE_LOOP('',(#283631,#283632,#283633,#283634)); #52888=EDGE_LOOP('',(#283635,#283636,#283637,#283638)); #52889=EDGE_LOOP('',(#283639,#283640,#283641,#283642)); #52890=EDGE_LOOP('',(#283643,#283644,#283645,#283646)); #52891=EDGE_LOOP('',(#283647,#283648,#283649,#283650)); #52892=EDGE_LOOP('',(#283651,#283652,#283653,#283654)); #52893=EDGE_LOOP('',(#283655,#283656,#283657,#283658)); #52894=EDGE_LOOP('',(#283659,#283660,#283661,#283662)); #52895=EDGE_LOOP('',(#283663,#283664,#283665,#283666)); #52896=EDGE_LOOP('',(#283667,#283668,#283669,#283670)); #52897=EDGE_LOOP('',(#283671,#283672,#283673,#283674)); #52898=EDGE_LOOP('',(#283675,#283676,#283677,#283678)); #52899=EDGE_LOOP('',(#283679,#283680,#283681,#283682)); #52900=EDGE_LOOP('',(#283683,#283684,#283685,#283686)); #52901=EDGE_LOOP('',(#283687,#283688,#283689,#283690)); #52902=EDGE_LOOP('',(#283691,#283692,#283693,#283694)); #52903=EDGE_LOOP('',(#283695,#283696,#283697,#283698)); #52904=EDGE_LOOP('',(#283699,#283700,#283701,#283702)); #52905=EDGE_LOOP('',(#283703,#283704,#283705,#283706)); #52906=EDGE_LOOP('',(#283707,#283708,#283709,#283710)); #52907=EDGE_LOOP('',(#283711,#283712,#283713,#283714)); #52908=EDGE_LOOP('',(#283715,#283716,#283717,#283718)); #52909=EDGE_LOOP('',(#283719,#283720,#283721,#283722)); #52910=EDGE_LOOP('',(#283723,#283724,#283725,#283726)); #52911=EDGE_LOOP('',(#283727,#283728,#283729,#283730)); #52912=EDGE_LOOP('',(#283731,#283732,#283733,#283734)); #52913=EDGE_LOOP('',(#283735,#283736,#283737,#283738)); #52914=EDGE_LOOP('',(#283739,#283740,#283741,#283742)); #52915=EDGE_LOOP('',(#283743,#283744,#283745,#283746)); #52916=EDGE_LOOP('',(#283747,#283748,#283749,#283750)); #52917=EDGE_LOOP('',(#283751,#283752,#283753,#283754)); #52918=EDGE_LOOP('',(#283755,#283756,#283757,#283758)); #52919=EDGE_LOOP('',(#283759,#283760,#283761,#283762)); #52920=EDGE_LOOP('',(#283763,#283764,#283765,#283766)); #52921=EDGE_LOOP('',(#283767,#283768,#283769,#283770,#283771,#283772,#283773, #283774,#283775,#283776,#283777,#283778,#283779,#283780,#283781,#283782, #283783,#283784,#283785,#283786,#283787,#283788,#283789,#283790,#283791, #283792,#283793,#283794,#283795,#283796,#283797,#283798,#283799,#283800, #283801,#283802,#283803,#283804,#283805,#283806,#283807,#283808,#283809, #283810,#283811,#283812,#283813,#283814,#283815,#283816,#283817,#283818, #283819,#283820,#283821,#283822,#283823,#283824,#283825,#283826,#283827, #283828,#283829,#283830,#283831,#283832,#283833,#283834,#283835,#283836, #283837,#283838,#283839,#283840,#283841,#283842,#283843,#283844,#283845, #283846,#283847,#283848,#283849,#283850,#283851,#283852,#283853,#283854, #283855,#283856,#283857,#283858,#283859,#283860,#283861,#283862,#283863)); #52922=EDGE_LOOP('',(#283864)); #52923=EDGE_LOOP('',(#283865)); #52924=EDGE_LOOP('',(#283866)); #52925=EDGE_LOOP('',(#283867)); #52926=EDGE_LOOP('',(#283868)); #52927=EDGE_LOOP('',(#283869)); #52928=EDGE_LOOP('',(#283870)); #52929=EDGE_LOOP('',(#283871)); #52930=EDGE_LOOP('',(#283872)); #52931=EDGE_LOOP('',(#283873)); #52932=EDGE_LOOP('',(#283874)); #52933=EDGE_LOOP('',(#283875)); #52934=EDGE_LOOP('',(#283876,#283877,#283878,#283879,#283880,#283881,#283882, #283883,#283884,#283885,#283886,#283887,#283888,#283889,#283890,#283891, #283892,#283893,#283894,#283895,#283896,#283897,#283898,#283899,#283900, #283901,#283902,#283903,#283904,#283905,#283906,#283907,#283908,#283909, #283910,#283911,#283912,#283913,#283914,#283915,#283916,#283917,#283918, #283919,#283920,#283921,#283922,#283923,#283924,#283925,#283926,#283927, #283928,#283929,#283930,#283931,#283932,#283933,#283934,#283935,#283936, #283937,#283938,#283939,#283940,#283941,#283942,#283943,#283944,#283945, #283946,#283947,#283948,#283949,#283950,#283951,#283952,#283953,#283954, #283955,#283956,#283957,#283958,#283959,#283960,#283961,#283962,#283963, #283964,#283965,#283966,#283967,#283968,#283969,#283970,#283971,#283972)); #52935=EDGE_LOOP('',(#283973)); #52936=EDGE_LOOP('',(#283974)); #52937=EDGE_LOOP('',(#283975)); #52938=EDGE_LOOP('',(#283976)); #52939=EDGE_LOOP('',(#283977)); #52940=EDGE_LOOP('',(#283978)); #52941=EDGE_LOOP('',(#283979)); #52942=EDGE_LOOP('',(#283980)); #52943=EDGE_LOOP('',(#283981)); #52944=EDGE_LOOP('',(#283982)); #52945=EDGE_LOOP('',(#283983)); #52946=EDGE_LOOP('',(#283984)); #52947=EDGE_LOOP('',(#283985,#283986,#283987,#283988)); #52948=EDGE_LOOP('',(#283989,#283990,#283991,#283992)); #52949=EDGE_LOOP('',(#283993,#283994,#283995,#283996)); #52950=EDGE_LOOP('',(#283997,#283998,#283999,#284000)); #52951=EDGE_LOOP('',(#284001,#284002,#284003,#284004)); #52952=EDGE_LOOP('',(#284005,#284006,#284007,#284008)); #52953=EDGE_LOOP('',(#284009,#284010,#284011,#284012)); #52954=EDGE_LOOP('',(#284013,#284014,#284015,#284016)); #52955=EDGE_LOOP('',(#284017,#284018,#284019,#284020)); #52956=EDGE_LOOP('',(#284021,#284022,#284023,#284024)); #52957=EDGE_LOOP('',(#284025,#284026,#284027,#284028)); #52958=EDGE_LOOP('',(#284029,#284030,#284031,#284032)); #52959=EDGE_LOOP('',(#284033,#284034,#284035,#284036)); #52960=EDGE_LOOP('',(#284037,#284038,#284039,#284040)); #52961=EDGE_LOOP('',(#284041,#284042,#284043,#284044)); #52962=EDGE_LOOP('',(#284045,#284046,#284047,#284048)); #52963=EDGE_LOOP('',(#284049,#284050,#284051,#284052)); #52964=EDGE_LOOP('',(#284053,#284054,#284055,#284056)); #52965=EDGE_LOOP('',(#284057,#284058,#284059,#284060)); #52966=EDGE_LOOP('',(#284061,#284062,#284063,#284064)); #52967=EDGE_LOOP('',(#284065,#284066,#284067,#284068)); #52968=EDGE_LOOP('',(#284069,#284070,#284071,#284072,#284073,#284074,#284075, #284076,#284077,#284078,#284079,#284080,#284081,#284082,#284083,#284084, #284085,#284086,#284087,#284088,#284089)); #52969=EDGE_LOOP('',(#284090,#284091,#284092,#284093,#284094,#284095,#284096, #284097,#284098,#284099,#284100,#284101,#284102,#284103,#284104,#284105, #284106,#284107,#284108,#284109,#284110)); #52970=EDGE_LOOP('',(#284111,#284112,#284113,#284114)); #52971=EDGE_LOOP('',(#284115,#284116,#284117,#284118)); #52972=EDGE_LOOP('',(#284119,#284120,#284121,#284122)); #52973=EDGE_LOOP('',(#284123,#284124,#284125,#284126)); #52974=EDGE_LOOP('',(#284127,#284128,#284129,#284130)); #52975=EDGE_LOOP('',(#284131,#284132,#284133,#284134)); #52976=EDGE_LOOP('',(#284135,#284136,#284137,#284138)); #52977=EDGE_LOOP('',(#284139,#284140,#284141,#284142)); #52978=EDGE_LOOP('',(#284143,#284144,#284145,#284146)); #52979=EDGE_LOOP('',(#284147,#284148,#284149,#284150)); #52980=EDGE_LOOP('',(#284151,#284152,#284153,#284154)); #52981=EDGE_LOOP('',(#284155,#284156,#284157,#284158)); #52982=EDGE_LOOP('',(#284159,#284160,#284161,#284162)); #52983=EDGE_LOOP('',(#284163,#284164,#284165,#284166)); #52984=EDGE_LOOP('',(#284167,#284168,#284169,#284170)); #52985=EDGE_LOOP('',(#284171,#284172,#284173,#284174)); #52986=EDGE_LOOP('',(#284175,#284176,#284177,#284178)); #52987=EDGE_LOOP('',(#284179,#284180,#284181,#284182)); #52988=EDGE_LOOP('',(#284183,#284184,#284185,#284186)); #52989=EDGE_LOOP('',(#284187,#284188,#284189,#284190)); #52990=EDGE_LOOP('',(#284191,#284192,#284193,#284194,#284195,#284196,#284197, #284198,#284199,#284200,#284201,#284202,#284203,#284204,#284205,#284206, #284207,#284208,#284209,#284210)); #52991=EDGE_LOOP('',(#284211,#284212,#284213,#284214,#284215,#284216,#284217, #284218,#284219,#284220,#284221,#284222,#284223,#284224,#284225,#284226, #284227,#284228,#284229,#284230)); #52992=EDGE_LOOP('',(#284231,#284232,#284233,#284234)); #52993=EDGE_LOOP('',(#284235,#284236,#284237,#284238)); #52994=EDGE_LOOP('',(#284239,#284240,#284241,#284242)); #52995=EDGE_LOOP('',(#284243,#284244,#284245,#284246)); #52996=EDGE_LOOP('',(#284247,#284248,#284249,#284250)); #52997=EDGE_LOOP('',(#284251,#284252,#284253,#284254)); #52998=EDGE_LOOP('',(#284255,#284256,#284257,#284258)); #52999=EDGE_LOOP('',(#284259,#284260,#284261,#284262)); #53000=EDGE_LOOP('',(#284263,#284264,#284265,#284266)); #53001=EDGE_LOOP('',(#284267,#284268,#284269,#284270)); #53002=EDGE_LOOP('',(#284271,#284272,#284273,#284274)); #53003=EDGE_LOOP('',(#284275,#284276,#284277,#284278)); #53004=EDGE_LOOP('',(#284279,#284280,#284281,#284282)); #53005=EDGE_LOOP('',(#284283,#284284,#284285,#284286)); #53006=EDGE_LOOP('',(#284287,#284288,#284289,#284290)); #53007=EDGE_LOOP('',(#284291,#284292,#284293,#284294)); #53008=EDGE_LOOP('',(#284295,#284296,#284297,#284298)); #53009=EDGE_LOOP('',(#284299,#284300,#284301,#284302)); #53010=EDGE_LOOP('',(#284303,#284304,#284305,#284306)); #53011=EDGE_LOOP('',(#284307,#284308,#284309,#284310)); #53012=EDGE_LOOP('',(#284311,#284312,#284313,#284314)); #53013=EDGE_LOOP('',(#284315,#284316,#284317,#284318)); #53014=EDGE_LOOP('',(#284319,#284320,#284321,#284322)); #53015=EDGE_LOOP('',(#284323,#284324,#284325,#284326)); #53016=EDGE_LOOP('',(#284327,#284328,#284329,#284330,#284331,#284332,#284333, #284334,#284335,#284336,#284337,#284338,#284339,#284340,#284341,#284342, #284343,#284344,#284345,#284346,#284347,#284348,#284349,#284350)); #53017=EDGE_LOOP('',(#284351,#284352,#284353,#284354,#284355,#284356,#284357, #284358,#284359,#284360,#284361,#284362,#284363,#284364,#284365,#284366, #284367,#284368,#284369,#284370,#284371,#284372,#284373,#284374)); #53018=EDGE_LOOP('',(#284375,#284376,#284377,#284378)); #53019=EDGE_LOOP('',(#284379,#284380,#284381,#284382)); #53020=EDGE_LOOP('',(#284383,#284384,#284385,#284386)); #53021=EDGE_LOOP('',(#284387,#284388,#284389,#284390)); #53022=EDGE_LOOP('',(#284391,#284392,#284393,#284394)); #53023=EDGE_LOOP('',(#284395,#284396,#284397,#284398)); #53024=EDGE_LOOP('',(#284399,#284400,#284401,#284402)); #53025=EDGE_LOOP('',(#284403,#284404,#284405,#284406)); #53026=EDGE_LOOP('',(#284407,#284408,#284409,#284410)); #53027=EDGE_LOOP('',(#284411,#284412,#284413,#284414)); #53028=EDGE_LOOP('',(#284415,#284416,#284417,#284418)); #53029=EDGE_LOOP('',(#284419,#284420,#284421,#284422)); #53030=EDGE_LOOP('',(#284423,#284424,#284425,#284426)); #53031=EDGE_LOOP('',(#284427,#284428,#284429,#284430)); #53032=EDGE_LOOP('',(#284431,#284432,#284433,#284434)); #53033=EDGE_LOOP('',(#284435,#284436,#284437,#284438)); #53034=EDGE_LOOP('',(#284439,#284440,#284441,#284442)); #53035=EDGE_LOOP('',(#284443,#284444,#284445,#284446)); #53036=EDGE_LOOP('',(#284447,#284448,#284449,#284450)); #53037=EDGE_LOOP('',(#284451,#284452,#284453,#284454)); #53038=EDGE_LOOP('',(#284455,#284456,#284457,#284458)); #53039=EDGE_LOOP('',(#284459,#284460,#284461,#284462,#284463,#284464,#284465, #284466,#284467,#284468,#284469,#284470,#284471,#284472,#284473,#284474, #284475,#284476,#284477,#284478,#284479)); #53040=EDGE_LOOP('',(#284480,#284481,#284482,#284483,#284484,#284485,#284486, #284487,#284488,#284489,#284490,#284491,#284492,#284493,#284494,#284495, #284496,#284497,#284498,#284499,#284500)); #53041=EDGE_LOOP('',(#284501,#284502,#284503,#284504)); #53042=EDGE_LOOP('',(#284505,#284506,#284507,#284508)); #53043=EDGE_LOOP('',(#284509,#284510,#284511,#284512)); #53044=EDGE_LOOP('',(#284513,#284514,#284515,#284516)); #53045=EDGE_LOOP('',(#284517,#284518,#284519,#284520)); #53046=EDGE_LOOP('',(#284521,#284522,#284523,#284524)); #53047=EDGE_LOOP('',(#284525,#284526,#284527,#284528)); #53048=EDGE_LOOP('',(#284529,#284530,#284531,#284532)); #53049=EDGE_LOOP('',(#284533,#284534,#284535,#284536)); #53050=EDGE_LOOP('',(#284537,#284538,#284539,#284540)); #53051=EDGE_LOOP('',(#284541,#284542,#284543,#284544)); #53052=EDGE_LOOP('',(#284545,#284546,#284547,#284548)); #53053=EDGE_LOOP('',(#284549,#284550,#284551,#284552)); #53054=EDGE_LOOP('',(#284553,#284554,#284555,#284556)); #53055=EDGE_LOOP('',(#284557,#284558,#284559,#284560)); #53056=EDGE_LOOP('',(#284561,#284562,#284563,#284564)); #53057=EDGE_LOOP('',(#284565,#284566,#284567,#284568)); #53058=EDGE_LOOP('',(#284569,#284570,#284571,#284572)); #53059=EDGE_LOOP('',(#284573,#284574,#284575,#284576)); #53060=EDGE_LOOP('',(#284577,#284578,#284579,#284580)); #53061=EDGE_LOOP('',(#284581,#284582,#284583,#284584)); #53062=EDGE_LOOP('',(#284585,#284586,#284587,#284588)); #53063=EDGE_LOOP('',(#284589,#284590,#284591,#284592)); #53064=EDGE_LOOP('',(#284593,#284594,#284595,#284596)); #53065=EDGE_LOOP('',(#284597,#284598,#284599,#284600)); #53066=EDGE_LOOP('',(#284601,#284602,#284603,#284604)); #53067=EDGE_LOOP('',(#284605,#284606,#284607,#284608)); #53068=EDGE_LOOP('',(#284609,#284610,#284611,#284612)); #53069=EDGE_LOOP('',(#284613,#284614,#284615,#284616)); #53070=EDGE_LOOP('',(#284617,#284618,#284619,#284620)); #53071=EDGE_LOOP('',(#284621,#284622,#284623,#284624)); #53072=EDGE_LOOP('',(#284625,#284626,#284627,#284628)); #53073=EDGE_LOOP('',(#284629,#284630,#284631,#284632)); #53074=EDGE_LOOP('',(#284633,#284634,#284635,#284636)); #53075=EDGE_LOOP('',(#284637,#284638,#284639,#284640)); #53076=EDGE_LOOP('',(#284641,#284642,#284643,#284644,#284645,#284646,#284647, #284648,#284649,#284650,#284651,#284652,#284653,#284654,#284655,#284656, #284657,#284658,#284659,#284660,#284661,#284662,#284663,#284664,#284665, #284666,#284667,#284668,#284669,#284670,#284671,#284672,#284673,#284674, #284675)); #53077=EDGE_LOOP('',(#284676,#284677,#284678,#284679,#284680,#284681,#284682, #284683,#284684,#284685,#284686,#284687,#284688,#284689,#284690,#284691, #284692,#284693,#284694,#284695,#284696,#284697,#284698,#284699,#284700, #284701,#284702,#284703,#284704,#284705,#284706,#284707,#284708,#284709, #284710)); #53078=EDGE_LOOP('',(#284711,#284712,#284713,#284714)); #53079=EDGE_LOOP('',(#284715,#284716,#284717,#284718)); #53080=EDGE_LOOP('',(#284719,#284720,#284721,#284722)); #53081=EDGE_LOOP('',(#284723,#284724,#284725,#284726)); #53082=EDGE_LOOP('',(#284727,#284728,#284729,#284730)); #53083=EDGE_LOOP('',(#284731,#284732,#284733,#284734)); #53084=EDGE_LOOP('',(#284735,#284736,#284737,#284738)); #53085=EDGE_LOOP('',(#284739,#284740,#284741,#284742)); #53086=EDGE_LOOP('',(#284743,#284744,#284745,#284746)); #53087=EDGE_LOOP('',(#284747,#284748,#284749,#284750)); #53088=EDGE_LOOP('',(#284751,#284752,#284753,#284754)); #53089=EDGE_LOOP('',(#284755,#284756,#284757,#284758)); #53090=EDGE_LOOP('',(#284759,#284760,#284761,#284762)); #53091=EDGE_LOOP('',(#284763,#284764,#284765,#284766)); #53092=EDGE_LOOP('',(#284767,#284768,#284769,#284770)); #53093=EDGE_LOOP('',(#284771,#284772,#284773,#284774)); #53094=EDGE_LOOP('',(#284775,#284776,#284777,#284778)); #53095=EDGE_LOOP('',(#284779,#284780,#284781,#284782)); #53096=EDGE_LOOP('',(#284783,#284784,#284785,#284786)); #53097=EDGE_LOOP('',(#284787,#284788,#284789,#284790)); #53098=EDGE_LOOP('',(#284791,#284792,#284793,#284794)); #53099=EDGE_LOOP('',(#284795,#284796,#284797,#284798,#284799,#284800,#284801, #284802,#284803,#284804,#284805,#284806,#284807,#284808,#284809,#284810, #284811,#284812,#284813,#284814,#284815)); #53100=EDGE_LOOP('',(#284816,#284817,#284818,#284819,#284820,#284821,#284822, #284823,#284824,#284825,#284826,#284827,#284828,#284829,#284830,#284831, #284832,#284833,#284834,#284835,#284836)); #53101=EDGE_LOOP('',(#284837,#284838,#284839,#284840)); #53102=EDGE_LOOP('',(#284841,#284842,#284843,#284844)); #53103=EDGE_LOOP('',(#284845,#284846,#284847,#284848)); #53104=EDGE_LOOP('',(#284849,#284850,#284851,#284852)); #53105=EDGE_LOOP('',(#284853,#284854,#284855,#284856)); #53106=EDGE_LOOP('',(#284857,#284858,#284859,#284860)); #53107=EDGE_LOOP('',(#284861,#284862,#284863,#284864)); #53108=EDGE_LOOP('',(#284865,#284866,#284867,#284868)); #53109=EDGE_LOOP('',(#284869,#284870,#284871,#284872)); #53110=EDGE_LOOP('',(#284873,#284874,#284875,#284876)); #53111=EDGE_LOOP('',(#284877,#284878,#284879,#284880)); #53112=EDGE_LOOP('',(#284881,#284882,#284883,#284884)); #53113=EDGE_LOOP('',(#284885,#284886,#284887,#284888)); #53114=EDGE_LOOP('',(#284889,#284890,#284891,#284892)); #53115=EDGE_LOOP('',(#284893,#284894,#284895,#284896)); #53116=EDGE_LOOP('',(#284897,#284898,#284899,#284900)); #53117=EDGE_LOOP('',(#284901,#284902,#284903,#284904)); #53118=EDGE_LOOP('',(#284905,#284906,#284907,#284908)); #53119=EDGE_LOOP('',(#284909,#284910,#284911,#284912)); #53120=EDGE_LOOP('',(#284913,#284914,#284915,#284916)); #53121=EDGE_LOOP('',(#284917,#284918,#284919,#284920)); #53122=EDGE_LOOP('',(#284921,#284922,#284923,#284924)); #53123=EDGE_LOOP('',(#284925,#284926,#284927,#284928)); #53124=EDGE_LOOP('',(#284929,#284930,#284931,#284932)); #53125=EDGE_LOOP('',(#284933,#284934,#284935,#284936)); #53126=EDGE_LOOP('',(#284937,#284938,#284939,#284940)); #53127=EDGE_LOOP('',(#284941,#284942,#284943,#284944)); #53128=EDGE_LOOP('',(#284945,#284946,#284947,#284948)); #53129=EDGE_LOOP('',(#284949,#284950,#284951,#284952)); #53130=EDGE_LOOP('',(#284953,#284954,#284955,#284956)); #53131=EDGE_LOOP('',(#284957,#284958,#284959,#284960)); #53132=EDGE_LOOP('',(#284961,#284962,#284963,#284964,#284965,#284966,#284967, #284968,#284969,#284970,#284971,#284972,#284973,#284974,#284975,#284976, #284977,#284978,#284979,#284980,#284981,#284982,#284983,#284984,#284985, #284986,#284987,#284988,#284989,#284990,#284991)); #53133=EDGE_LOOP('',(#284992,#284993,#284994,#284995,#284996,#284997,#284998, #284999,#285000,#285001,#285002,#285003,#285004,#285005,#285006,#285007, #285008,#285009,#285010,#285011,#285012,#285013,#285014,#285015,#285016, #285017,#285018,#285019,#285020,#285021,#285022)); #53134=EDGE_LOOP('',(#285023,#285024,#285025,#285026)); #53135=EDGE_LOOP('',(#285027,#285028,#285029,#285030)); #53136=EDGE_LOOP('',(#285031,#285032,#285033,#285034)); #53137=EDGE_LOOP('',(#285035,#285036,#285037,#285038)); #53138=EDGE_LOOP('',(#285039,#285040,#285041,#285042)); #53139=EDGE_LOOP('',(#285043,#285044,#285045,#285046)); #53140=EDGE_LOOP('',(#285047,#285048,#285049,#285050)); #53141=EDGE_LOOP('',(#285051,#285052,#285053,#285054)); #53142=EDGE_LOOP('',(#285055,#285056,#285057,#285058)); #53143=EDGE_LOOP('',(#285059,#285060,#285061,#285062)); #53144=EDGE_LOOP('',(#285063,#285064,#285065,#285066)); #53145=EDGE_LOOP('',(#285067,#285068,#285069,#285070)); #53146=EDGE_LOOP('',(#285071,#285072,#285073,#285074)); #53147=EDGE_LOOP('',(#285075,#285076,#285077,#285078)); #53148=EDGE_LOOP('',(#285079,#285080,#285081,#285082)); #53149=EDGE_LOOP('',(#285083,#285084,#285085,#285086)); #53150=EDGE_LOOP('',(#285087,#285088,#285089,#285090)); #53151=EDGE_LOOP('',(#285091,#285092,#285093,#285094)); #53152=EDGE_LOOP('',(#285095,#285096,#285097,#285098)); #53153=EDGE_LOOP('',(#285099,#285100,#285101,#285102)); #53154=EDGE_LOOP('',(#285103,#285104,#285105,#285106)); #53155=EDGE_LOOP('',(#285107,#285108,#285109,#285110)); #53156=EDGE_LOOP('',(#285111,#285112,#285113,#285114,#285115,#285116,#285117, #285118,#285119,#285120,#285121,#285122,#285123,#285124,#285125,#285126, #285127,#285128,#285129,#285130,#285131,#285132)); #53157=EDGE_LOOP('',(#285133,#285134,#285135,#285136,#285137,#285138,#285139, #285140,#285141,#285142,#285143,#285144,#285145,#285146,#285147,#285148, #285149,#285150,#285151,#285152,#285153,#285154)); #53158=EDGE_LOOP('',(#285155,#285156,#285157,#285158)); #53159=EDGE_LOOP('',(#285159,#285160,#285161,#285162)); #53160=EDGE_LOOP('',(#285163,#285164,#285165,#285166)); #53161=EDGE_LOOP('',(#285167,#285168,#285169,#285170)); #53162=EDGE_LOOP('',(#285171,#285172,#285173,#285174)); #53163=EDGE_LOOP('',(#285175,#285176,#285177,#285178)); #53164=EDGE_LOOP('',(#285179,#285180,#285181,#285182)); #53165=EDGE_LOOP('',(#285183,#285184,#285185,#285186)); #53166=EDGE_LOOP('',(#285187,#285188,#285189,#285190)); #53167=EDGE_LOOP('',(#285191,#285192,#285193,#285194)); #53168=EDGE_LOOP('',(#285195,#285196,#285197,#285198)); #53169=EDGE_LOOP('',(#285199,#285200,#285201,#285202)); #53170=EDGE_LOOP('',(#285203,#285204,#285205,#285206)); #53171=EDGE_LOOP('',(#285207,#285208,#285209,#285210)); #53172=EDGE_LOOP('',(#285211,#285212,#285213,#285214)); #53173=EDGE_LOOP('',(#285215,#285216,#285217,#285218)); #53174=EDGE_LOOP('',(#285219,#285220,#285221,#285222)); #53175=EDGE_LOOP('',(#285223,#285224,#285225,#285226)); #53176=EDGE_LOOP('',(#285227,#285228,#285229,#285230)); #53177=EDGE_LOOP('',(#285231,#285232,#285233,#285234)); #53178=EDGE_LOOP('',(#285235,#285236,#285237,#285238,#285239,#285240,#285241, #285242,#285243,#285244,#285245,#285246,#285247,#285248,#285249,#285250, #285251,#285252,#285253,#285254)); #53179=EDGE_LOOP('',(#285255,#285256,#285257,#285258,#285259,#285260,#285261, #285262,#285263,#285264,#285265,#285266,#285267,#285268,#285269,#285270, #285271,#285272,#285273,#285274)); #53180=EDGE_LOOP('',(#285275,#285276,#285277,#285278)); #53181=EDGE_LOOP('',(#285279,#285280,#285281,#285282)); #53182=EDGE_LOOP('',(#285283,#285284,#285285,#285286)); #53183=EDGE_LOOP('',(#285287,#285288,#285289,#285290)); #53184=EDGE_LOOP('',(#285291,#285292,#285293,#285294)); #53185=EDGE_LOOP('',(#285295,#285296,#285297,#285298)); #53186=EDGE_LOOP('',(#285299,#285300,#285301,#285302)); #53187=EDGE_LOOP('',(#285303,#285304,#285305,#285306)); #53188=EDGE_LOOP('',(#285307,#285308,#285309,#285310)); #53189=EDGE_LOOP('',(#285311,#285312,#285313,#285314)); #53190=EDGE_LOOP('',(#285315,#285316,#285317,#285318)); #53191=EDGE_LOOP('',(#285319,#285320,#285321,#285322)); #53192=EDGE_LOOP('',(#285323,#285324,#285325,#285326)); #53193=EDGE_LOOP('',(#285327,#285328,#285329,#285330)); #53194=EDGE_LOOP('',(#285331,#285332,#285333,#285334)); #53195=EDGE_LOOP('',(#285335,#285336,#285337,#285338)); #53196=EDGE_LOOP('',(#285339,#285340,#285341,#285342)); #53197=EDGE_LOOP('',(#285343,#285344,#285345,#285346)); #53198=EDGE_LOOP('',(#285347,#285348,#285349,#285350)); #53199=EDGE_LOOP('',(#285351,#285352,#285353,#285354)); #53200=EDGE_LOOP('',(#285355,#285356,#285357,#285358)); #53201=EDGE_LOOP('',(#285359,#285360,#285361,#285362)); #53202=EDGE_LOOP('',(#285363,#285364,#285365,#285366)); #53203=EDGE_LOOP('',(#285367,#285368,#285369,#285370)); #53204=EDGE_LOOP('',(#285371,#285372,#285373,#285374)); #53205=EDGE_LOOP('',(#285375,#285376,#285377,#285378)); #53206=EDGE_LOOP('',(#285379,#285380,#285381,#285382)); #53207=EDGE_LOOP('',(#285383,#285384,#285385,#285386)); #53208=EDGE_LOOP('',(#285387,#285388,#285389,#285390)); #53209=EDGE_LOOP('',(#285391,#285392,#285393,#285394)); #53210=EDGE_LOOP('',(#285395,#285396,#285397,#285398)); #53211=EDGE_LOOP('',(#285399,#285400,#285401,#285402)); #53212=EDGE_LOOP('',(#285403,#285404,#285405,#285406)); #53213=EDGE_LOOP('',(#285407,#285408,#285409,#285410)); #53214=EDGE_LOOP('',(#285411,#285412,#285413,#285414)); #53215=EDGE_LOOP('',(#285415,#285416,#285417,#285418)); #53216=EDGE_LOOP('',(#285419,#285420,#285421,#285422)); #53217=EDGE_LOOP('',(#285423,#285424,#285425,#285426)); #53218=EDGE_LOOP('',(#285427,#285428,#285429,#285430)); #53219=EDGE_LOOP('',(#285431,#285432,#285433,#285434)); #53220=EDGE_LOOP('',(#285435,#285436,#285437,#285438)); #53221=EDGE_LOOP('',(#285439,#285440,#285441,#285442)); #53222=EDGE_LOOP('',(#285443,#285444,#285445,#285446)); #53223=EDGE_LOOP('',(#285447,#285448,#285449,#285450)); #53224=EDGE_LOOP('',(#285451,#285452,#285453,#285454)); #53225=EDGE_LOOP('',(#285455,#285456,#285457,#285458)); #53226=EDGE_LOOP('',(#285459,#285460,#285461,#285462)); #53227=EDGE_LOOP('',(#285463,#285464,#285465,#285466)); #53228=EDGE_LOOP('',(#285467,#285468,#285469,#285470)); #53229=EDGE_LOOP('',(#285471,#285472,#285473,#285474)); #53230=EDGE_LOOP('',(#285475,#285476,#285477,#285478)); #53231=EDGE_LOOP('',(#285479,#285480,#285481,#285482)); #53232=EDGE_LOOP('',(#285483,#285484,#285485,#285486)); #53233=EDGE_LOOP('',(#285487,#285488,#285489,#285490)); #53234=EDGE_LOOP('',(#285491,#285492,#285493,#285494)); #53235=EDGE_LOOP('',(#285495,#285496,#285497,#285498)); #53236=EDGE_LOOP('',(#285499,#285500,#285501,#285502)); #53237=EDGE_LOOP('',(#285503,#285504,#285505,#285506)); #53238=EDGE_LOOP('',(#285507,#285508,#285509,#285510)); #53239=EDGE_LOOP('',(#285511,#285512,#285513,#285514)); #53240=EDGE_LOOP('',(#285515,#285516,#285517,#285518)); #53241=EDGE_LOOP('',(#285519,#285520,#285521,#285522)); #53242=EDGE_LOOP('',(#285523,#285524,#285525,#285526)); #53243=EDGE_LOOP('',(#285527,#285528,#285529,#285530)); #53244=EDGE_LOOP('',(#285531,#285532,#285533,#285534)); #53245=EDGE_LOOP('',(#285535,#285536,#285537,#285538)); #53246=EDGE_LOOP('',(#285539,#285540,#285541,#285542)); #53247=EDGE_LOOP('',(#285543,#285544,#285545,#285546)); #53248=EDGE_LOOP('',(#285547,#285548,#285549,#285550)); #53249=EDGE_LOOP('',(#285551,#285552,#285553,#285554)); #53250=EDGE_LOOP('',(#285555,#285556,#285557,#285558)); #53251=EDGE_LOOP('',(#285559,#285560,#285561,#285562)); #53252=EDGE_LOOP('',(#285563,#285564,#285565,#285566)); #53253=EDGE_LOOP('',(#285567,#285568,#285569,#285570)); #53254=EDGE_LOOP('',(#285571,#285572,#285573,#285574)); #53255=EDGE_LOOP('',(#285575,#285576,#285577,#285578)); #53256=EDGE_LOOP('',(#285579,#285580,#285581,#285582)); #53257=EDGE_LOOP('',(#285583,#285584,#285585,#285586)); #53258=EDGE_LOOP('',(#285587,#285588,#285589,#285590)); #53259=EDGE_LOOP('',(#285591,#285592,#285593,#285594)); #53260=EDGE_LOOP('',(#285595,#285596,#285597,#285598)); #53261=EDGE_LOOP('',(#285599,#285600,#285601,#285602)); #53262=EDGE_LOOP('',(#285603,#285604,#285605,#285606)); #53263=EDGE_LOOP('',(#285607,#285608,#285609,#285610)); #53264=EDGE_LOOP('',(#285611,#285612,#285613,#285614)); #53265=EDGE_LOOP('',(#285615,#285616,#285617,#285618)); #53266=EDGE_LOOP('',(#285619,#285620,#285621,#285622)); #53267=EDGE_LOOP('',(#285623,#285624,#285625,#285626)); #53268=EDGE_LOOP('',(#285627,#285628,#285629,#285630)); #53269=EDGE_LOOP('',(#285631,#285632,#285633,#285634)); #53270=EDGE_LOOP('',(#285635,#285636,#285637,#285638)); #53271=EDGE_LOOP('',(#285639,#285640,#285641,#285642)); #53272=EDGE_LOOP('',(#285643,#285644,#285645,#285646)); #53273=EDGE_LOOP('',(#285647,#285648,#285649,#285650)); #53274=EDGE_LOOP('',(#285651,#285652,#285653,#285654)); #53275=EDGE_LOOP('',(#285655,#285656,#285657,#285658)); #53276=EDGE_LOOP('',(#285659,#285660,#285661,#285662)); #53277=EDGE_LOOP('',(#285663,#285664,#285665,#285666)); #53278=EDGE_LOOP('',(#285667,#285668,#285669,#285670)); #53279=EDGE_LOOP('',(#285671,#285672,#285673,#285674)); #53280=EDGE_LOOP('',(#285675,#285676,#285677,#285678)); #53281=EDGE_LOOP('',(#285679,#285680,#285681,#285682)); #53282=EDGE_LOOP('',(#285683,#285684,#285685,#285686)); #53283=EDGE_LOOP('',(#285687,#285688,#285689,#285690)); #53284=EDGE_LOOP('',(#285691,#285692,#285693,#285694)); #53285=EDGE_LOOP('',(#285695,#285696,#285697,#285698)); #53286=EDGE_LOOP('',(#285699,#285700,#285701,#285702)); #53287=EDGE_LOOP('',(#285703,#285704,#285705,#285706)); #53288=EDGE_LOOP('',(#285707,#285708,#285709,#285710)); #53289=EDGE_LOOP('',(#285711,#285712,#285713,#285714)); #53290=EDGE_LOOP('',(#285715,#285716,#285717,#285718)); #53291=EDGE_LOOP('',(#285719,#285720,#285721,#285722)); #53292=EDGE_LOOP('',(#285723,#285724,#285725,#285726)); #53293=EDGE_LOOP('',(#285727,#285728,#285729,#285730)); #53294=EDGE_LOOP('',(#285731,#285732,#285733,#285734)); #53295=EDGE_LOOP('',(#285735,#285736,#285737,#285738)); #53296=EDGE_LOOP('',(#285739,#285740,#285741,#285742)); #53297=EDGE_LOOP('',(#285743,#285744,#285745,#285746)); #53298=EDGE_LOOP('',(#285747,#285748,#285749,#285750)); #53299=EDGE_LOOP('',(#285751,#285752,#285753,#285754)); #53300=EDGE_LOOP('',(#285755,#285756,#285757,#285758)); #53301=EDGE_LOOP('',(#285759,#285760,#285761,#285762)); #53302=EDGE_LOOP('',(#285763,#285764,#285765,#285766)); #53303=EDGE_LOOP('',(#285767,#285768,#285769,#285770)); #53304=EDGE_LOOP('',(#285771,#285772,#285773,#285774)); #53305=EDGE_LOOP('',(#285775,#285776,#285777,#285778)); #53306=EDGE_LOOP('',(#285779,#285780,#285781,#285782)); #53307=EDGE_LOOP('',(#285783,#285784,#285785,#285786)); #53308=EDGE_LOOP('',(#285787,#285788,#285789,#285790)); #53309=EDGE_LOOP('',(#285791,#285792,#285793,#285794)); #53310=EDGE_LOOP('',(#285795,#285796,#285797,#285798)); #53311=EDGE_LOOP('',(#285799,#285800,#285801,#285802)); #53312=EDGE_LOOP('',(#285803,#285804,#285805,#285806)); #53313=EDGE_LOOP('',(#285807,#285808,#285809,#285810)); #53314=EDGE_LOOP('',(#285811,#285812,#285813,#285814)); #53315=EDGE_LOOP('',(#285815,#285816,#285817,#285818)); #53316=EDGE_LOOP('',(#285819,#285820,#285821,#285822)); #53317=EDGE_LOOP('',(#285823,#285824,#285825,#285826)); #53318=EDGE_LOOP('',(#285827,#285828,#285829,#285830)); #53319=EDGE_LOOP('',(#285831,#285832,#285833,#285834)); #53320=EDGE_LOOP('',(#285835,#285836,#285837,#285838)); #53321=EDGE_LOOP('',(#285839,#285840,#285841,#285842)); #53322=EDGE_LOOP('',(#285843,#285844,#285845,#285846)); #53323=EDGE_LOOP('',(#285847,#285848,#285849,#285850)); #53324=EDGE_LOOP('',(#285851,#285852,#285853,#285854)); #53325=EDGE_LOOP('',(#285855,#285856,#285857,#285858)); #53326=EDGE_LOOP('',(#285859,#285860,#285861,#285862)); #53327=EDGE_LOOP('',(#285863,#285864,#285865,#285866)); #53328=EDGE_LOOP('',(#285867,#285868,#285869,#285870)); #53329=EDGE_LOOP('',(#285871,#285872,#285873,#285874)); #53330=EDGE_LOOP('',(#285875,#285876,#285877,#285878)); #53331=EDGE_LOOP('',(#285879,#285880,#285881,#285882)); #53332=EDGE_LOOP('',(#285883,#285884,#285885,#285886)); #53333=EDGE_LOOP('',(#285887,#285888,#285889,#285890)); #53334=EDGE_LOOP('',(#285891,#285892,#285893,#285894)); #53335=EDGE_LOOP('',(#285895,#285896,#285897,#285898)); #53336=EDGE_LOOP('',(#285899,#285900,#285901,#285902)); #53337=EDGE_LOOP('',(#285903,#285904,#285905,#285906)); #53338=EDGE_LOOP('',(#285907,#285908,#285909,#285910)); #53339=EDGE_LOOP('',(#285911,#285912,#285913,#285914)); #53340=EDGE_LOOP('',(#285915,#285916,#285917,#285918)); #53341=EDGE_LOOP('',(#285919,#285920,#285921,#285922)); #53342=EDGE_LOOP('',(#285923,#285924,#285925,#285926)); #53343=EDGE_LOOP('',(#285927,#285928,#285929,#285930)); #53344=EDGE_LOOP('',(#285931,#285932,#285933,#285934)); #53345=EDGE_LOOP('',(#285935,#285936,#285937,#285938)); #53346=EDGE_LOOP('',(#285939,#285940,#285941,#285942)); #53347=EDGE_LOOP('',(#285943,#285944,#285945,#285946)); #53348=EDGE_LOOP('',(#285947,#285948,#285949,#285950)); #53349=EDGE_LOOP('',(#285951,#285952,#285953,#285954)); #53350=EDGE_LOOP('',(#285955,#285956,#285957,#285958)); #53351=EDGE_LOOP('',(#285959,#285960,#285961,#285962)); #53352=EDGE_LOOP('',(#285963,#285964,#285965,#285966)); #53353=EDGE_LOOP('',(#285967,#285968,#285969,#285970)); #53354=EDGE_LOOP('',(#285971,#285972,#285973,#285974)); #53355=EDGE_LOOP('',(#285975,#285976,#285977,#285978)); #53356=EDGE_LOOP('',(#285979,#285980,#285981,#285982)); #53357=EDGE_LOOP('',(#285983,#285984,#285985,#285986)); #53358=EDGE_LOOP('',(#285987,#285988,#285989,#285990)); #53359=EDGE_LOOP('',(#285991,#285992,#285993,#285994)); #53360=EDGE_LOOP('',(#285995,#285996,#285997,#285998)); #53361=EDGE_LOOP('',(#285999,#286000,#286001,#286002)); #53362=EDGE_LOOP('',(#286003,#286004,#286005,#286006)); #53363=EDGE_LOOP('',(#286007,#286008,#286009,#286010)); #53364=EDGE_LOOP('',(#286011,#286012,#286013,#286014)); #53365=EDGE_LOOP('',(#286015,#286016,#286017,#286018)); #53366=EDGE_LOOP('',(#286019,#286020,#286021,#286022)); #53367=EDGE_LOOP('',(#286023,#286024,#286025,#286026)); #53368=EDGE_LOOP('',(#286027,#286028,#286029,#286030)); #53369=EDGE_LOOP('',(#286031,#286032,#286033,#286034)); #53370=EDGE_LOOP('',(#286035,#286036,#286037,#286038)); #53371=EDGE_LOOP('',(#286039,#286040,#286041,#286042)); #53372=EDGE_LOOP('',(#286043,#286044,#286045,#286046)); #53373=EDGE_LOOP('',(#286047,#286048,#286049,#286050)); #53374=EDGE_LOOP('',(#286051,#286052,#286053,#286054)); #53375=EDGE_LOOP('',(#286055,#286056,#286057,#286058)); #53376=EDGE_LOOP('',(#286059,#286060,#286061,#286062)); #53377=EDGE_LOOP('',(#286063,#286064,#286065,#286066)); #53378=EDGE_LOOP('',(#286067,#286068,#286069,#286070)); #53379=EDGE_LOOP('',(#286071,#286072,#286073,#286074)); #53380=EDGE_LOOP('',(#286075,#286076,#286077,#286078)); #53381=EDGE_LOOP('',(#286079,#286080,#286081,#286082)); #53382=EDGE_LOOP('',(#286083,#286084,#286085,#286086)); #53383=EDGE_LOOP('',(#286087,#286088,#286089,#286090)); #53384=EDGE_LOOP('',(#286091,#286092,#286093,#286094)); #53385=EDGE_LOOP('',(#286095,#286096,#286097,#286098)); #53386=EDGE_LOOP('',(#286099,#286100,#286101,#286102)); #53387=EDGE_LOOP('',(#286103,#286104,#286105,#286106)); #53388=EDGE_LOOP('',(#286107,#286108,#286109,#286110)); #53389=EDGE_LOOP('',(#286111,#286112,#286113,#286114)); #53390=EDGE_LOOP('',(#286115,#286116,#286117,#286118)); #53391=EDGE_LOOP('',(#286119,#286120,#286121,#286122)); #53392=EDGE_LOOP('',(#286123,#286124,#286125,#286126)); #53393=EDGE_LOOP('',(#286127,#286128,#286129,#286130)); #53394=EDGE_LOOP('',(#286131,#286132,#286133,#286134)); #53395=EDGE_LOOP('',(#286135,#286136,#286137,#286138)); #53396=EDGE_LOOP('',(#286139,#286140,#286141,#286142)); #53397=EDGE_LOOP('',(#286143,#286144,#286145,#286146)); #53398=EDGE_LOOP('',(#286147,#286148,#286149,#286150)); #53399=EDGE_LOOP('',(#286151,#286152,#286153,#286154)); #53400=EDGE_LOOP('',(#286155,#286156,#286157,#286158)); #53401=EDGE_LOOP('',(#286159,#286160,#286161,#286162)); #53402=EDGE_LOOP('',(#286163,#286164,#286165,#286166)); #53403=EDGE_LOOP('',(#286167,#286168,#286169,#286170)); #53404=EDGE_LOOP('',(#286171,#286172,#286173,#286174)); #53405=EDGE_LOOP('',(#286175,#286176,#286177,#286178)); #53406=EDGE_LOOP('',(#286179,#286180,#286181,#286182)); #53407=EDGE_LOOP('',(#286183,#286184,#286185,#286186)); #53408=EDGE_LOOP('',(#286187,#286188,#286189,#286190)); #53409=EDGE_LOOP('',(#286191,#286192,#286193,#286194)); #53410=EDGE_LOOP('',(#286195,#286196,#286197,#286198)); #53411=EDGE_LOOP('',(#286199,#286200,#286201,#286202)); #53412=EDGE_LOOP('',(#286203,#286204,#286205,#286206)); #53413=EDGE_LOOP('',(#286207,#286208,#286209,#286210)); #53414=EDGE_LOOP('',(#286211,#286212,#286213,#286214)); #53415=EDGE_LOOP('',(#286215,#286216,#286217,#286218)); #53416=EDGE_LOOP('',(#286219,#286220,#286221,#286222)); #53417=EDGE_LOOP('',(#286223,#286224,#286225,#286226)); #53418=EDGE_LOOP('',(#286227,#286228,#286229,#286230)); #53419=EDGE_LOOP('',(#286231,#286232,#286233,#286234)); #53420=EDGE_LOOP('',(#286235,#286236,#286237,#286238)); #53421=EDGE_LOOP('',(#286239,#286240,#286241,#286242)); #53422=EDGE_LOOP('',(#286243,#286244,#286245,#286246)); #53423=EDGE_LOOP('',(#286247,#286248,#286249,#286250)); #53424=EDGE_LOOP('',(#286251,#286252,#286253,#286254)); #53425=EDGE_LOOP('',(#286255,#286256,#286257,#286258)); #53426=EDGE_LOOP('',(#286259,#286260,#286261,#286262)); #53427=EDGE_LOOP('',(#286263,#286264,#286265,#286266)); #53428=EDGE_LOOP('',(#286267,#286268,#286269,#286270)); #53429=EDGE_LOOP('',(#286271,#286272,#286273,#286274)); #53430=EDGE_LOOP('',(#286275,#286276,#286277,#286278)); #53431=EDGE_LOOP('',(#286279,#286280,#286281,#286282)); #53432=EDGE_LOOP('',(#286283,#286284,#286285,#286286)); #53433=EDGE_LOOP('',(#286287,#286288,#286289,#286290)); #53434=EDGE_LOOP('',(#286291,#286292,#286293,#286294)); #53435=EDGE_LOOP('',(#286295,#286296,#286297,#286298)); #53436=EDGE_LOOP('',(#286299,#286300,#286301,#286302)); #53437=EDGE_LOOP('',(#286303,#286304,#286305,#286306)); #53438=EDGE_LOOP('',(#286307,#286308,#286309,#286310)); #53439=EDGE_LOOP('',(#286311,#286312,#286313,#286314)); #53440=EDGE_LOOP('',(#286315,#286316,#286317,#286318)); #53441=EDGE_LOOP('',(#286319,#286320,#286321,#286322)); #53442=EDGE_LOOP('',(#286323,#286324,#286325,#286326)); #53443=EDGE_LOOP('',(#286327,#286328,#286329,#286330)); #53444=EDGE_LOOP('',(#286331,#286332,#286333,#286334)); #53445=EDGE_LOOP('',(#286335,#286336,#286337,#286338)); #53446=EDGE_LOOP('',(#286339,#286340,#286341,#286342)); #53447=EDGE_LOOP('',(#286343,#286344,#286345,#286346)); #53448=EDGE_LOOP('',(#286347,#286348,#286349,#286350)); #53449=EDGE_LOOP('',(#286351,#286352,#286353,#286354)); #53450=EDGE_LOOP('',(#286355,#286356,#286357,#286358)); #53451=EDGE_LOOP('',(#286359,#286360,#286361,#286362)); #53452=EDGE_LOOP('',(#286363,#286364,#286365,#286366)); #53453=EDGE_LOOP('',(#286367,#286368,#286369,#286370)); #53454=EDGE_LOOP('',(#286371,#286372,#286373,#286374)); #53455=EDGE_LOOP('',(#286375,#286376,#286377,#286378)); #53456=EDGE_LOOP('',(#286379,#286380,#286381,#286382)); #53457=EDGE_LOOP('',(#286383,#286384,#286385,#286386)); #53458=EDGE_LOOP('',(#286387,#286388,#286389,#286390)); #53459=EDGE_LOOP('',(#286391,#286392,#286393,#286394)); #53460=EDGE_LOOP('',(#286395,#286396,#286397,#286398)); #53461=EDGE_LOOP('',(#286399,#286400,#286401,#286402)); #53462=EDGE_LOOP('',(#286403,#286404,#286405,#286406)); #53463=EDGE_LOOP('',(#286407,#286408,#286409,#286410)); #53464=EDGE_LOOP('',(#286411,#286412,#286413,#286414)); #53465=EDGE_LOOP('',(#286415,#286416,#286417,#286418)); #53466=EDGE_LOOP('',(#286419,#286420,#286421,#286422)); #53467=EDGE_LOOP('',(#286423,#286424,#286425,#286426)); #53468=EDGE_LOOP('',(#286427,#286428,#286429,#286430)); #53469=EDGE_LOOP('',(#286431,#286432,#286433,#286434)); #53470=EDGE_LOOP('',(#286435,#286436,#286437,#286438)); #53471=EDGE_LOOP('',(#286439,#286440,#286441,#286442)); #53472=EDGE_LOOP('',(#286443,#286444,#286445,#286446)); #53473=EDGE_LOOP('',(#286447,#286448,#286449,#286450)); #53474=EDGE_LOOP('',(#286451,#286452,#286453,#286454)); #53475=EDGE_LOOP('',(#286455,#286456,#286457,#286458)); #53476=EDGE_LOOP('',(#286459,#286460,#286461,#286462)); #53477=EDGE_LOOP('',(#286463,#286464,#286465,#286466)); #53478=EDGE_LOOP('',(#286467,#286468,#286469,#286470)); #53479=EDGE_LOOP('',(#286471,#286472,#286473,#286474)); #53480=EDGE_LOOP('',(#286475,#286476,#286477,#286478)); #53481=EDGE_LOOP('',(#286479,#286480,#286481,#286482)); #53482=EDGE_LOOP('',(#286483,#286484,#286485,#286486)); #53483=EDGE_LOOP('',(#286487,#286488,#286489,#286490)); #53484=EDGE_LOOP('',(#286491,#286492,#286493,#286494)); #53485=EDGE_LOOP('',(#286495,#286496,#286497,#286498)); #53486=EDGE_LOOP('',(#286499,#286500,#286501,#286502)); #53487=EDGE_LOOP('',(#286503,#286504,#286505,#286506)); #53488=EDGE_LOOP('',(#286507,#286508,#286509,#286510)); #53489=EDGE_LOOP('',(#286511,#286512,#286513,#286514)); #53490=EDGE_LOOP('',(#286515,#286516,#286517,#286518)); #53491=EDGE_LOOP('',(#286519,#286520,#286521,#286522)); #53492=EDGE_LOOP('',(#286523,#286524,#286525,#286526)); #53493=EDGE_LOOP('',(#286527,#286528,#286529,#286530)); #53494=EDGE_LOOP('',(#286531,#286532,#286533,#286534)); #53495=EDGE_LOOP('',(#286535,#286536,#286537,#286538)); #53496=EDGE_LOOP('',(#286539,#286540,#286541,#286542)); #53497=EDGE_LOOP('',(#286543,#286544,#286545,#286546)); #53498=EDGE_LOOP('',(#286547,#286548,#286549,#286550)); #53499=EDGE_LOOP('',(#286551,#286552,#286553,#286554)); #53500=EDGE_LOOP('',(#286555,#286556,#286557,#286558)); #53501=EDGE_LOOP('',(#286559,#286560,#286561,#286562)); #53502=EDGE_LOOP('',(#286563,#286564,#286565,#286566)); #53503=EDGE_LOOP('',(#286567,#286568,#286569,#286570)); #53504=EDGE_LOOP('',(#286571,#286572,#286573,#286574)); #53505=EDGE_LOOP('',(#286575,#286576,#286577,#286578)); #53506=EDGE_LOOP('',(#286579,#286580,#286581,#286582)); #53507=EDGE_LOOP('',(#286583,#286584,#286585,#286586)); #53508=EDGE_LOOP('',(#286587,#286588,#286589,#286590)); #53509=EDGE_LOOP('',(#286591,#286592,#286593,#286594)); #53510=EDGE_LOOP('',(#286595,#286596,#286597,#286598)); #53511=EDGE_LOOP('',(#286599,#286600,#286601,#286602)); #53512=EDGE_LOOP('',(#286603,#286604,#286605,#286606)); #53513=EDGE_LOOP('',(#286607,#286608,#286609,#286610)); #53514=EDGE_LOOP('',(#286611,#286612,#286613,#286614)); #53515=EDGE_LOOP('',(#286615,#286616,#286617,#286618)); #53516=EDGE_LOOP('',(#286619,#286620,#286621,#286622)); #53517=EDGE_LOOP('',(#286623,#286624,#286625,#286626)); #53518=EDGE_LOOP('',(#286627,#286628,#286629,#286630)); #53519=EDGE_LOOP('',(#286631,#286632,#286633,#286634)); #53520=EDGE_LOOP('',(#286635,#286636,#286637,#286638)); #53521=EDGE_LOOP('',(#286639,#286640,#286641,#286642)); #53522=EDGE_LOOP('',(#286643,#286644,#286645,#286646)); #53523=EDGE_LOOP('',(#286647,#286648,#286649,#286650)); #53524=EDGE_LOOP('',(#286651,#286652,#286653,#286654)); #53525=EDGE_LOOP('',(#286655,#286656,#286657,#286658)); #53526=EDGE_LOOP('',(#286659,#286660,#286661,#286662)); #53527=EDGE_LOOP('',(#286663,#286664,#286665,#286666)); #53528=EDGE_LOOP('',(#286667,#286668,#286669,#286670)); #53529=EDGE_LOOP('',(#286671,#286672,#286673,#286674)); #53530=EDGE_LOOP('',(#286675,#286676,#286677,#286678)); #53531=EDGE_LOOP('',(#286679,#286680,#286681,#286682)); #53532=EDGE_LOOP('',(#286683,#286684,#286685,#286686)); #53533=EDGE_LOOP('',(#286687,#286688,#286689,#286690)); #53534=EDGE_LOOP('',(#286691,#286692,#286693,#286694)); #53535=EDGE_LOOP('',(#286695,#286696,#286697,#286698)); #53536=EDGE_LOOP('',(#286699,#286700,#286701,#286702)); #53537=EDGE_LOOP('',(#286703,#286704,#286705,#286706)); #53538=EDGE_LOOP('',(#286707,#286708,#286709,#286710)); #53539=EDGE_LOOP('',(#286711,#286712,#286713,#286714)); #53540=EDGE_LOOP('',(#286715,#286716,#286717,#286718)); #53541=EDGE_LOOP('',(#286719,#286720,#286721,#286722)); #53542=EDGE_LOOP('',(#286723,#286724,#286725,#286726)); #53543=EDGE_LOOP('',(#286727,#286728,#286729,#286730)); #53544=EDGE_LOOP('',(#286731,#286732,#286733,#286734)); #53545=EDGE_LOOP('',(#286735,#286736,#286737,#286738)); #53546=EDGE_LOOP('',(#286739,#286740,#286741,#286742)); #53547=EDGE_LOOP('',(#286743,#286744,#286745,#286746)); #53548=EDGE_LOOP('',(#286747,#286748,#286749,#286750)); #53549=EDGE_LOOP('',(#286751,#286752,#286753,#286754)); #53550=EDGE_LOOP('',(#286755,#286756,#286757,#286758)); #53551=EDGE_LOOP('',(#286759,#286760,#286761,#286762)); #53552=EDGE_LOOP('',(#286763,#286764,#286765,#286766)); #53553=EDGE_LOOP('',(#286767,#286768,#286769,#286770)); #53554=EDGE_LOOP('',(#286771,#286772,#286773,#286774)); #53555=EDGE_LOOP('',(#286775,#286776,#286777,#286778)); #53556=EDGE_LOOP('',(#286779,#286780,#286781,#286782)); #53557=EDGE_LOOP('',(#286783,#286784,#286785,#286786)); #53558=EDGE_LOOP('',(#286787,#286788,#286789,#286790)); #53559=EDGE_LOOP('',(#286791,#286792,#286793,#286794)); #53560=EDGE_LOOP('',(#286795,#286796,#286797,#286798)); #53561=EDGE_LOOP('',(#286799,#286800,#286801,#286802)); #53562=EDGE_LOOP('',(#286803,#286804,#286805,#286806)); #53563=EDGE_LOOP('',(#286807,#286808,#286809,#286810)); #53564=EDGE_LOOP('',(#286811,#286812,#286813,#286814)); #53565=EDGE_LOOP('',(#286815,#286816,#286817,#286818)); #53566=EDGE_LOOP('',(#286819,#286820,#286821,#286822)); #53567=EDGE_LOOP('',(#286823,#286824,#286825,#286826)); #53568=EDGE_LOOP('',(#286827,#286828,#286829,#286830)); #53569=EDGE_LOOP('',(#286831,#286832,#286833,#286834)); #53570=EDGE_LOOP('',(#286835,#286836,#286837,#286838)); #53571=EDGE_LOOP('',(#286839,#286840,#286841,#286842)); #53572=EDGE_LOOP('',(#286843,#286844,#286845,#286846)); #53573=EDGE_LOOP('',(#286847,#286848,#286849,#286850)); #53574=EDGE_LOOP('',(#286851,#286852,#286853,#286854)); #53575=EDGE_LOOP('',(#286855,#286856,#286857,#286858)); #53576=EDGE_LOOP('',(#286859,#286860,#286861,#286862)); #53577=EDGE_LOOP('',(#286863,#286864,#286865,#286866)); #53578=EDGE_LOOP('',(#286867,#286868,#286869,#286870)); #53579=EDGE_LOOP('',(#286871,#286872,#286873,#286874)); #53580=EDGE_LOOP('',(#286875,#286876,#286877,#286878)); #53581=EDGE_LOOP('',(#286879,#286880,#286881,#286882)); #53582=EDGE_LOOP('',(#286883,#286884,#286885,#286886)); #53583=EDGE_LOOP('',(#286887,#286888,#286889,#286890)); #53584=EDGE_LOOP('',(#286891,#286892,#286893,#286894)); #53585=EDGE_LOOP('',(#286895,#286896,#286897,#286898)); #53586=EDGE_LOOP('',(#286899,#286900,#286901,#286902)); #53587=EDGE_LOOP('',(#286903,#286904,#286905,#286906)); #53588=EDGE_LOOP('',(#286907,#286908,#286909,#286910)); #53589=EDGE_LOOP('',(#286911,#286912,#286913,#286914)); #53590=EDGE_LOOP('',(#286915,#286916,#286917,#286918)); #53591=EDGE_LOOP('',(#286919,#286920,#286921,#286922)); #53592=EDGE_LOOP('',(#286923,#286924,#286925,#286926)); #53593=EDGE_LOOP('',(#286927,#286928,#286929,#286930)); #53594=EDGE_LOOP('',(#286931,#286932,#286933,#286934)); #53595=EDGE_LOOP('',(#286935,#286936,#286937,#286938)); #53596=EDGE_LOOP('',(#286939,#286940,#286941,#286942)); #53597=EDGE_LOOP('',(#286943,#286944,#286945,#286946)); #53598=EDGE_LOOP('',(#286947,#286948,#286949,#286950)); #53599=EDGE_LOOP('',(#286951,#286952,#286953,#286954)); #53600=EDGE_LOOP('',(#286955,#286956,#286957,#286958)); #53601=EDGE_LOOP('',(#286959,#286960,#286961,#286962)); #53602=EDGE_LOOP('',(#286963,#286964,#286965,#286966)); #53603=EDGE_LOOP('',(#286967,#286968,#286969,#286970)); #53604=EDGE_LOOP('',(#286971,#286972,#286973,#286974)); #53605=EDGE_LOOP('',(#286975,#286976,#286977,#286978)); #53606=EDGE_LOOP('',(#286979,#286980,#286981,#286982)); #53607=EDGE_LOOP('',(#286983,#286984,#286985,#286986)); #53608=EDGE_LOOP('',(#286987,#286988,#286989,#286990)); #53609=EDGE_LOOP('',(#286991,#286992,#286993,#286994)); #53610=EDGE_LOOP('',(#286995,#286996,#286997,#286998)); #53611=EDGE_LOOP('',(#286999,#287000,#287001,#287002)); #53612=EDGE_LOOP('',(#287003,#287004,#287005,#287006)); #53613=EDGE_LOOP('',(#287007,#287008,#287009,#287010)); #53614=EDGE_LOOP('',(#287011,#287012,#287013,#287014)); #53615=EDGE_LOOP('',(#287015,#287016,#287017,#287018)); #53616=EDGE_LOOP('',(#287019,#287020,#287021,#287022)); #53617=EDGE_LOOP('',(#287023,#287024,#287025,#287026)); #53618=EDGE_LOOP('',(#287027,#287028,#287029,#287030)); #53619=EDGE_LOOP('',(#287031,#287032,#287033,#287034)); #53620=EDGE_LOOP('',(#287035,#287036,#287037,#287038)); #53621=EDGE_LOOP('',(#287039,#287040,#287041,#287042)); #53622=EDGE_LOOP('',(#287043,#287044,#287045,#287046)); #53623=EDGE_LOOP('',(#287047,#287048,#287049,#287050)); #53624=EDGE_LOOP('',(#287051,#287052,#287053,#287054)); #53625=EDGE_LOOP('',(#287055,#287056,#287057,#287058)); #53626=EDGE_LOOP('',(#287059,#287060,#287061,#287062)); #53627=EDGE_LOOP('',(#287063,#287064,#287065,#287066)); #53628=EDGE_LOOP('',(#287067,#287068,#287069,#287070)); #53629=EDGE_LOOP('',(#287071,#287072,#287073,#287074)); #53630=EDGE_LOOP('',(#287075,#287076,#287077,#287078)); #53631=EDGE_LOOP('',(#287079,#287080,#287081,#287082)); #53632=EDGE_LOOP('',(#287083,#287084,#287085,#287086)); #53633=EDGE_LOOP('',(#287087,#287088,#287089,#287090)); #53634=EDGE_LOOP('',(#287091,#287092,#287093,#287094)); #53635=EDGE_LOOP('',(#287095,#287096,#287097,#287098)); #53636=EDGE_LOOP('',(#287099,#287100,#287101,#287102)); #53637=EDGE_LOOP('',(#287103,#287104,#287105,#287106)); #53638=EDGE_LOOP('',(#287107,#287108,#287109,#287110)); #53639=EDGE_LOOP('',(#287111,#287112,#287113,#287114)); #53640=EDGE_LOOP('',(#287115,#287116,#287117,#287118)); #53641=EDGE_LOOP('',(#287119,#287120,#287121,#287122)); #53642=EDGE_LOOP('',(#287123,#287124,#287125,#287126)); #53643=EDGE_LOOP('',(#287127,#287128,#287129,#287130)); #53644=EDGE_LOOP('',(#287131,#287132,#287133,#287134)); #53645=EDGE_LOOP('',(#287135,#287136,#287137,#287138)); #53646=EDGE_LOOP('',(#287139,#287140,#287141,#287142)); #53647=EDGE_LOOP('',(#287143,#287144,#287145,#287146)); #53648=EDGE_LOOP('',(#287147,#287148,#287149,#287150)); #53649=EDGE_LOOP('',(#287151,#287152,#287153,#287154)); #53650=EDGE_LOOP('',(#287155,#287156,#287157,#287158)); #53651=EDGE_LOOP('',(#287159,#287160,#287161,#287162)); #53652=EDGE_LOOP('',(#287163,#287164,#287165,#287166)); #53653=EDGE_LOOP('',(#287167,#287168,#287169,#287170)); #53654=EDGE_LOOP('',(#287171,#287172,#287173,#287174)); #53655=EDGE_LOOP('',(#287175,#287176,#287177,#287178)); #53656=EDGE_LOOP('',(#287179,#287180,#287181,#287182)); #53657=EDGE_LOOP('',(#287183,#287184,#287185,#287186)); #53658=EDGE_LOOP('',(#287187,#287188,#287189,#287190)); #53659=EDGE_LOOP('',(#287191,#287192,#287193,#287194)); #53660=EDGE_LOOP('',(#287195,#287196,#287197,#287198)); #53661=EDGE_LOOP('',(#287199,#287200,#287201,#287202)); #53662=EDGE_LOOP('',(#287203,#287204,#287205,#287206)); #53663=EDGE_LOOP('',(#287207,#287208,#287209,#287210)); #53664=EDGE_LOOP('',(#287211,#287212,#287213,#287214)); #53665=EDGE_LOOP('',(#287215,#287216,#287217,#287218)); #53666=EDGE_LOOP('',(#287219,#287220,#287221,#287222)); #53667=EDGE_LOOP('',(#287223,#287224,#287225,#287226)); #53668=EDGE_LOOP('',(#287227,#287228,#287229,#287230)); #53669=EDGE_LOOP('',(#287231,#287232,#287233,#287234)); #53670=EDGE_LOOP('',(#287235,#287236,#287237,#287238)); #53671=EDGE_LOOP('',(#287239,#287240,#287241,#287242)); #53672=EDGE_LOOP('',(#287243,#287244,#287245,#287246)); #53673=EDGE_LOOP('',(#287247,#287248,#287249,#287250)); #53674=EDGE_LOOP('',(#287251,#287252,#287253,#287254)); #53675=EDGE_LOOP('',(#287255,#287256,#287257,#287258)); #53676=EDGE_LOOP('',(#287259,#287260,#287261,#287262)); #53677=EDGE_LOOP('',(#287263,#287264,#287265,#287266)); #53678=EDGE_LOOP('',(#287267,#287268,#287269,#287270)); #53679=EDGE_LOOP('',(#287271,#287272,#287273,#287274)); #53680=EDGE_LOOP('',(#287275,#287276,#287277,#287278)); #53681=EDGE_LOOP('',(#287279,#287280,#287281,#287282)); #53682=EDGE_LOOP('',(#287283,#287284,#287285,#287286)); #53683=EDGE_LOOP('',(#287287,#287288,#287289,#287290)); #53684=EDGE_LOOP('',(#287291,#287292,#287293,#287294)); #53685=EDGE_LOOP('',(#287295,#287296,#287297,#287298)); #53686=EDGE_LOOP('',(#287299,#287300,#287301,#287302)); #53687=EDGE_LOOP('',(#287303,#287304,#287305,#287306)); #53688=EDGE_LOOP('',(#287307,#287308,#287309,#287310)); #53689=EDGE_LOOP('',(#287311,#287312,#287313,#287314)); #53690=EDGE_LOOP('',(#287315,#287316,#287317,#287318)); #53691=EDGE_LOOP('',(#287319,#287320,#287321,#287322)); #53692=EDGE_LOOP('',(#287323,#287324,#287325,#287326)); #53693=EDGE_LOOP('',(#287327,#287328,#287329,#287330)); #53694=EDGE_LOOP('',(#287331,#287332,#287333,#287334)); #53695=EDGE_LOOP('',(#287335,#287336,#287337,#287338)); #53696=EDGE_LOOP('',(#287339,#287340,#287341,#287342)); #53697=EDGE_LOOP('',(#287343,#287344,#287345,#287346)); #53698=EDGE_LOOP('',(#287347,#287348,#287349,#287350)); #53699=EDGE_LOOP('',(#287351,#287352,#287353,#287354)); #53700=EDGE_LOOP('',(#287355,#287356,#287357,#287358)); #53701=EDGE_LOOP('',(#287359,#287360,#287361,#287362)); #53702=EDGE_LOOP('',(#287363,#287364,#287365,#287366)); #53703=EDGE_LOOP('',(#287367,#287368,#287369,#287370)); #53704=EDGE_LOOP('',(#287371,#287372,#287373,#287374)); #53705=EDGE_LOOP('',(#287375,#287376,#287377,#287378)); #53706=EDGE_LOOP('',(#287379,#287380,#287381,#287382)); #53707=EDGE_LOOP('',(#287383,#287384,#287385,#287386)); #53708=EDGE_LOOP('',(#287387,#287388,#287389,#287390)); #53709=EDGE_LOOP('',(#287391,#287392,#287393,#287394)); #53710=EDGE_LOOP('',(#287395,#287396,#287397,#287398)); #53711=EDGE_LOOP('',(#287399,#287400,#287401,#287402)); #53712=EDGE_LOOP('',(#287403,#287404,#287405,#287406)); #53713=EDGE_LOOP('',(#287407,#287408,#287409,#287410)); #53714=EDGE_LOOP('',(#287411,#287412,#287413,#287414)); #53715=EDGE_LOOP('',(#287415,#287416,#287417,#287418)); #53716=EDGE_LOOP('',(#287419,#287420,#287421,#287422)); #53717=EDGE_LOOP('',(#287423,#287424,#287425,#287426)); #53718=EDGE_LOOP('',(#287427,#287428,#287429,#287430)); #53719=EDGE_LOOP('',(#287431,#287432,#287433,#287434)); #53720=EDGE_LOOP('',(#287435,#287436,#287437,#287438)); #53721=EDGE_LOOP('',(#287439,#287440,#287441,#287442)); #53722=EDGE_LOOP('',(#287443,#287444,#287445,#287446)); #53723=EDGE_LOOP('',(#287447,#287448,#287449,#287450)); #53724=EDGE_LOOP('',(#287451,#287452,#287453,#287454)); #53725=EDGE_LOOP('',(#287455,#287456,#287457,#287458)); #53726=EDGE_LOOP('',(#287459,#287460,#287461,#287462)); #53727=EDGE_LOOP('',(#287463,#287464,#287465,#287466)); #53728=EDGE_LOOP('',(#287467,#287468,#287469,#287470)); #53729=EDGE_LOOP('',(#287471,#287472,#287473,#287474)); #53730=EDGE_LOOP('',(#287475,#287476,#287477,#287478)); #53731=EDGE_LOOP('',(#287479,#287480,#287481,#287482)); #53732=EDGE_LOOP('',(#287483,#287484,#287485,#287486)); #53733=EDGE_LOOP('',(#287487,#287488,#287489,#287490)); #53734=EDGE_LOOP('',(#287491,#287492,#287493,#287494)); #53735=EDGE_LOOP('',(#287495,#287496,#287497,#287498)); #53736=EDGE_LOOP('',(#287499,#287500,#287501,#287502)); #53737=EDGE_LOOP('',(#287503,#287504,#287505,#287506)); #53738=EDGE_LOOP('',(#287507,#287508,#287509,#287510)); #53739=EDGE_LOOP('',(#287511,#287512,#287513,#287514)); #53740=EDGE_LOOP('',(#287515,#287516,#287517,#287518)); #53741=EDGE_LOOP('',(#287519,#287520,#287521,#287522)); #53742=EDGE_LOOP('',(#287523,#287524,#287525,#287526)); #53743=EDGE_LOOP('',(#287527,#287528,#287529,#287530)); #53744=EDGE_LOOP('',(#287531,#287532,#287533,#287534)); #53745=EDGE_LOOP('',(#287535,#287536,#287537,#287538)); #53746=EDGE_LOOP('',(#287539,#287540,#287541,#287542)); #53747=EDGE_LOOP('',(#287543,#287544,#287545,#287546)); #53748=EDGE_LOOP('',(#287547,#287548,#287549,#287550)); #53749=EDGE_LOOP('',(#287551,#287552,#287553,#287554)); #53750=EDGE_LOOP('',(#287555,#287556,#287557,#287558)); #53751=EDGE_LOOP('',(#287559,#287560,#287561,#287562)); #53752=EDGE_LOOP('',(#287563,#287564,#287565,#287566)); #53753=EDGE_LOOP('',(#287567,#287568,#287569,#287570)); #53754=EDGE_LOOP('',(#287571,#287572,#287573,#287574)); #53755=EDGE_LOOP('',(#287575,#287576,#287577,#287578)); #53756=EDGE_LOOP('',(#287579,#287580,#287581,#287582)); #53757=EDGE_LOOP('',(#287583,#287584,#287585,#287586)); #53758=EDGE_LOOP('',(#287587,#287588,#287589,#287590)); #53759=EDGE_LOOP('',(#287591,#287592,#287593,#287594)); #53760=EDGE_LOOP('',(#287595,#287596,#287597,#287598)); #53761=EDGE_LOOP('',(#287599,#287600,#287601,#287602)); #53762=EDGE_LOOP('',(#287603,#287604,#287605,#287606)); #53763=EDGE_LOOP('',(#287607,#287608,#287609,#287610)); #53764=EDGE_LOOP('',(#287611,#287612,#287613,#287614)); #53765=EDGE_LOOP('',(#287615,#287616,#287617,#287618)); #53766=EDGE_LOOP('',(#287619,#287620,#287621,#287622)); #53767=EDGE_LOOP('',(#287623,#287624,#287625,#287626)); #53768=EDGE_LOOP('',(#287627,#287628,#287629,#287630)); #53769=EDGE_LOOP('',(#287631,#287632,#287633,#287634)); #53770=EDGE_LOOP('',(#287635,#287636,#287637,#287638)); #53771=EDGE_LOOP('',(#287639,#287640,#287641,#287642)); #53772=EDGE_LOOP('',(#287643,#287644,#287645,#287646)); #53773=EDGE_LOOP('',(#287647,#287648,#287649,#287650)); #53774=EDGE_LOOP('',(#287651,#287652,#287653,#287654)); #53775=EDGE_LOOP('',(#287655,#287656,#287657,#287658)); #53776=EDGE_LOOP('',(#287659,#287660,#287661,#287662)); #53777=EDGE_LOOP('',(#287663,#287664,#287665,#287666)); #53778=EDGE_LOOP('',(#287667,#287668,#287669,#287670)); #53779=EDGE_LOOP('',(#287671,#287672,#287673,#287674)); #53780=EDGE_LOOP('',(#287675,#287676,#287677,#287678)); #53781=EDGE_LOOP('',(#287679,#287680,#287681,#287682)); #53782=EDGE_LOOP('',(#287683,#287684,#287685,#287686)); #53783=EDGE_LOOP('',(#287687,#287688,#287689,#287690)); #53784=EDGE_LOOP('',(#287691,#287692,#287693,#287694)); #53785=EDGE_LOOP('',(#287695,#287696,#287697,#287698)); #53786=EDGE_LOOP('',(#287699,#287700,#287701,#287702)); #53787=EDGE_LOOP('',(#287703,#287704,#287705,#287706)); #53788=EDGE_LOOP('',(#287707,#287708,#287709,#287710)); #53789=EDGE_LOOP('',(#287711,#287712,#287713,#287714)); #53790=EDGE_LOOP('',(#287715,#287716,#287717,#287718)); #53791=EDGE_LOOP('',(#287719,#287720,#287721,#287722)); #53792=EDGE_LOOP('',(#287723,#287724,#287725,#287726)); #53793=EDGE_LOOP('',(#287727,#287728,#287729,#287730)); #53794=EDGE_LOOP('',(#287731,#287732,#287733,#287734)); #53795=EDGE_LOOP('',(#287735,#287736,#287737,#287738)); #53796=EDGE_LOOP('',(#287739,#287740,#287741,#287742)); #53797=EDGE_LOOP('',(#287743,#287744,#287745,#287746)); #53798=EDGE_LOOP('',(#287747,#287748,#287749,#287750)); #53799=EDGE_LOOP('',(#287751,#287752,#287753,#287754)); #53800=EDGE_LOOP('',(#287755,#287756,#287757,#287758)); #53801=EDGE_LOOP('',(#287759,#287760,#287761,#287762)); #53802=EDGE_LOOP('',(#287763,#287764,#287765,#287766)); #53803=EDGE_LOOP('',(#287767,#287768,#287769,#287770)); #53804=EDGE_LOOP('',(#287771,#287772,#287773,#287774)); #53805=EDGE_LOOP('',(#287775,#287776,#287777,#287778)); #53806=EDGE_LOOP('',(#287779,#287780,#287781,#287782)); #53807=EDGE_LOOP('',(#287783,#287784,#287785,#287786)); #53808=EDGE_LOOP('',(#287787,#287788,#287789,#287790)); #53809=EDGE_LOOP('',(#287791,#287792,#287793,#287794)); #53810=EDGE_LOOP('',(#287795,#287796,#287797,#287798)); #53811=EDGE_LOOP('',(#287799,#287800,#287801,#287802)); #53812=EDGE_LOOP('',(#287803,#287804,#287805,#287806)); #53813=EDGE_LOOP('',(#287807,#287808,#287809,#287810)); #53814=EDGE_LOOP('',(#287811,#287812,#287813,#287814)); #53815=EDGE_LOOP('',(#287815,#287816,#287817,#287818)); #53816=EDGE_LOOP('',(#287819,#287820,#287821,#287822)); #53817=EDGE_LOOP('',(#287823,#287824,#287825,#287826)); #53818=EDGE_LOOP('',(#287827,#287828,#287829,#287830)); #53819=EDGE_LOOP('',(#287831,#287832,#287833,#287834)); #53820=EDGE_LOOP('',(#287835,#287836,#287837,#287838)); #53821=EDGE_LOOP('',(#287839,#287840,#287841,#287842)); #53822=EDGE_LOOP('',(#287843,#287844,#287845,#287846)); #53823=EDGE_LOOP('',(#287847,#287848,#287849,#287850)); #53824=EDGE_LOOP('',(#287851,#287852,#287853,#287854)); #53825=EDGE_LOOP('',(#287855,#287856,#287857,#287858)); #53826=EDGE_LOOP('',(#287859,#287860,#287861,#287862)); #53827=EDGE_LOOP('',(#287863,#287864,#287865,#287866)); #53828=EDGE_LOOP('',(#287867,#287868,#287869,#287870)); #53829=EDGE_LOOP('',(#287871,#287872,#287873,#287874)); #53830=EDGE_LOOP('',(#287875,#287876,#287877,#287878)); #53831=EDGE_LOOP('',(#287879,#287880,#287881,#287882)); #53832=EDGE_LOOP('',(#287883,#287884,#287885,#287886)); #53833=EDGE_LOOP('',(#287887,#287888,#287889,#287890)); #53834=EDGE_LOOP('',(#287891,#287892,#287893,#287894)); #53835=EDGE_LOOP('',(#287895,#287896,#287897,#287898)); #53836=EDGE_LOOP('',(#287899,#287900,#287901,#287902)); #53837=EDGE_LOOP('',(#287903,#287904,#287905,#287906)); #53838=EDGE_LOOP('',(#287907,#287908,#287909,#287910)); #53839=EDGE_LOOP('',(#287911,#287912,#287913,#287914)); #53840=EDGE_LOOP('',(#287915,#287916,#287917,#287918)); #53841=EDGE_LOOP('',(#287919,#287920,#287921,#287922)); #53842=EDGE_LOOP('',(#287923,#287924,#287925,#287926)); #53843=EDGE_LOOP('',(#287927,#287928,#287929,#287930)); #53844=EDGE_LOOP('',(#287931,#287932,#287933,#287934)); #53845=EDGE_LOOP('',(#287935,#287936,#287937,#287938)); #53846=EDGE_LOOP('',(#287939,#287940,#287941,#287942)); #53847=EDGE_LOOP('',(#287943,#287944,#287945,#287946)); #53848=EDGE_LOOP('',(#287947,#287948,#287949,#287950)); #53849=EDGE_LOOP('',(#287951,#287952,#287953,#287954)); #53850=EDGE_LOOP('',(#287955,#287956,#287957,#287958)); #53851=EDGE_LOOP('',(#287959,#287960,#287961,#287962)); #53852=EDGE_LOOP('',(#287963,#287964,#287965,#287966)); #53853=EDGE_LOOP('',(#287967,#287968,#287969,#287970)); #53854=EDGE_LOOP('',(#287971,#287972,#287973,#287974)); #53855=EDGE_LOOP('',(#287975,#287976,#287977,#287978)); #53856=EDGE_LOOP('',(#287979,#287980,#287981,#287982)); #53857=EDGE_LOOP('',(#287983,#287984,#287985,#287986)); #53858=EDGE_LOOP('',(#287987,#287988,#287989,#287990)); #53859=EDGE_LOOP('',(#287991,#287992,#287993,#287994)); #53860=EDGE_LOOP('',(#287995,#287996,#287997,#287998)); #53861=EDGE_LOOP('',(#287999,#288000,#288001,#288002)); #53862=EDGE_LOOP('',(#288003,#288004,#288005,#288006)); #53863=EDGE_LOOP('',(#288007,#288008,#288009,#288010)); #53864=EDGE_LOOP('',(#288011,#288012,#288013,#288014)); #53865=EDGE_LOOP('',(#288015,#288016,#288017,#288018)); #53866=EDGE_LOOP('',(#288019,#288020,#288021,#288022)); #53867=EDGE_LOOP('',(#288023,#288024,#288025,#288026)); #53868=EDGE_LOOP('',(#288027,#288028,#288029,#288030)); #53869=EDGE_LOOP('',(#288031,#288032,#288033,#288034)); #53870=EDGE_LOOP('',(#288035,#288036,#288037,#288038)); #53871=EDGE_LOOP('',(#288039,#288040,#288041,#288042)); #53872=EDGE_LOOP('',(#288043,#288044,#288045,#288046)); #53873=EDGE_LOOP('',(#288047,#288048,#288049,#288050)); #53874=EDGE_LOOP('',(#288051,#288052,#288053,#288054)); #53875=EDGE_LOOP('',(#288055,#288056,#288057,#288058)); #53876=EDGE_LOOP('',(#288059,#288060,#288061,#288062)); #53877=EDGE_LOOP('',(#288063,#288064,#288065,#288066)); #53878=EDGE_LOOP('',(#288067,#288068,#288069,#288070)); #53879=EDGE_LOOP('',(#288071,#288072,#288073,#288074)); #53880=EDGE_LOOP('',(#288075,#288076,#288077,#288078)); #53881=EDGE_LOOP('',(#288079,#288080,#288081,#288082)); #53882=EDGE_LOOP('',(#288083,#288084,#288085,#288086)); #53883=EDGE_LOOP('',(#288087,#288088,#288089,#288090)); #53884=EDGE_LOOP('',(#288091,#288092,#288093,#288094)); #53885=EDGE_LOOP('',(#288095,#288096,#288097,#288098)); #53886=EDGE_LOOP('',(#288099,#288100,#288101,#288102)); #53887=EDGE_LOOP('',(#288103,#288104,#288105,#288106)); #53888=EDGE_LOOP('',(#288107,#288108,#288109,#288110)); #53889=EDGE_LOOP('',(#288111,#288112,#288113,#288114)); #53890=EDGE_LOOP('',(#288115,#288116,#288117,#288118)); #53891=EDGE_LOOP('',(#288119,#288120,#288121,#288122)); #53892=EDGE_LOOP('',(#288123,#288124,#288125,#288126)); #53893=EDGE_LOOP('',(#288127,#288128,#288129,#288130)); #53894=EDGE_LOOP('',(#288131,#288132,#288133,#288134)); #53895=EDGE_LOOP('',(#288135,#288136,#288137,#288138)); #53896=EDGE_LOOP('',(#288139,#288140,#288141,#288142)); #53897=EDGE_LOOP('',(#288143,#288144,#288145,#288146)); #53898=EDGE_LOOP('',(#288147,#288148,#288149,#288150)); #53899=EDGE_LOOP('',(#288151,#288152,#288153,#288154)); #53900=EDGE_LOOP('',(#288155,#288156,#288157,#288158)); #53901=EDGE_LOOP('',(#288159,#288160,#288161,#288162)); #53902=EDGE_LOOP('',(#288163,#288164,#288165,#288166)); #53903=EDGE_LOOP('',(#288167,#288168,#288169,#288170)); #53904=EDGE_LOOP('',(#288171,#288172,#288173,#288174)); #53905=EDGE_LOOP('',(#288175,#288176,#288177,#288178)); #53906=EDGE_LOOP('',(#288179,#288180,#288181,#288182)); #53907=EDGE_LOOP('',(#288183,#288184,#288185,#288186)); #53908=EDGE_LOOP('',(#288187,#288188,#288189,#288190)); #53909=EDGE_LOOP('',(#288191,#288192,#288193,#288194)); #53910=EDGE_LOOP('',(#288195,#288196,#288197,#288198)); #53911=EDGE_LOOP('',(#288199,#288200,#288201,#288202)); #53912=EDGE_LOOP('',(#288203,#288204,#288205,#288206)); #53913=EDGE_LOOP('',(#288207,#288208,#288209,#288210)); #53914=EDGE_LOOP('',(#288211,#288212,#288213,#288214)); #53915=EDGE_LOOP('',(#288215,#288216,#288217,#288218)); #53916=EDGE_LOOP('',(#288219,#288220,#288221,#288222)); #53917=EDGE_LOOP('',(#288223,#288224,#288225,#288226)); #53918=EDGE_LOOP('',(#288227,#288228,#288229,#288230)); #53919=EDGE_LOOP('',(#288231,#288232,#288233,#288234)); #53920=EDGE_LOOP('',(#288235,#288236,#288237,#288238)); #53921=EDGE_LOOP('',(#288239,#288240,#288241,#288242)); #53922=EDGE_LOOP('',(#288243,#288244,#288245,#288246)); #53923=EDGE_LOOP('',(#288247,#288248,#288249,#288250)); #53924=EDGE_LOOP('',(#288251,#288252,#288253,#288254)); #53925=EDGE_LOOP('',(#288255,#288256,#288257,#288258)); #53926=EDGE_LOOP('',(#288259,#288260,#288261,#288262)); #53927=EDGE_LOOP('',(#288263,#288264,#288265,#288266)); #53928=EDGE_LOOP('',(#288267,#288268,#288269,#288270)); #53929=EDGE_LOOP('',(#288271,#288272,#288273,#288274)); #53930=EDGE_LOOP('',(#288275,#288276,#288277,#288278)); #53931=EDGE_LOOP('',(#288279,#288280,#288281,#288282)); #53932=EDGE_LOOP('',(#288283,#288284,#288285,#288286)); #53933=EDGE_LOOP('',(#288287,#288288,#288289,#288290)); #53934=EDGE_LOOP('',(#288291,#288292,#288293,#288294)); #53935=EDGE_LOOP('',(#288295,#288296,#288297,#288298)); #53936=EDGE_LOOP('',(#288299,#288300,#288301,#288302)); #53937=EDGE_LOOP('',(#288303,#288304,#288305,#288306)); #53938=EDGE_LOOP('',(#288307,#288308,#288309,#288310)); #53939=EDGE_LOOP('',(#288311,#288312,#288313,#288314)); #53940=EDGE_LOOP('',(#288315,#288316,#288317,#288318)); #53941=EDGE_LOOP('',(#288319,#288320,#288321,#288322)); #53942=EDGE_LOOP('',(#288323,#288324,#288325,#288326)); #53943=EDGE_LOOP('',(#288327,#288328,#288329,#288330)); #53944=EDGE_LOOP('',(#288331,#288332,#288333,#288334)); #53945=EDGE_LOOP('',(#288335,#288336,#288337,#288338)); #53946=EDGE_LOOP('',(#288339,#288340,#288341,#288342)); #53947=EDGE_LOOP('',(#288343,#288344,#288345,#288346)); #53948=EDGE_LOOP('',(#288347,#288348,#288349,#288350)); #53949=EDGE_LOOP('',(#288351,#288352,#288353,#288354)); #53950=EDGE_LOOP('',(#288355,#288356,#288357,#288358)); #53951=EDGE_LOOP('',(#288359,#288360,#288361,#288362)); #53952=EDGE_LOOP('',(#288363,#288364,#288365,#288366)); #53953=EDGE_LOOP('',(#288367,#288368,#288369,#288370)); #53954=EDGE_LOOP('',(#288371,#288372,#288373,#288374)); #53955=EDGE_LOOP('',(#288375,#288376,#288377,#288378)); #53956=EDGE_LOOP('',(#288379,#288380,#288381,#288382)); #53957=EDGE_LOOP('',(#288383,#288384,#288385,#288386)); #53958=EDGE_LOOP('',(#288387,#288388,#288389,#288390)); #53959=EDGE_LOOP('',(#288391,#288392,#288393,#288394)); #53960=EDGE_LOOP('',(#288395,#288396,#288397,#288398)); #53961=EDGE_LOOP('',(#288399,#288400,#288401,#288402)); #53962=EDGE_LOOP('',(#288403,#288404,#288405,#288406)); #53963=EDGE_LOOP('',(#288407,#288408,#288409,#288410)); #53964=EDGE_LOOP('',(#288411,#288412,#288413,#288414)); #53965=EDGE_LOOP('',(#288415,#288416,#288417,#288418)); #53966=EDGE_LOOP('',(#288419,#288420,#288421,#288422)); #53967=EDGE_LOOP('',(#288423,#288424,#288425,#288426)); #53968=EDGE_LOOP('',(#288427,#288428,#288429,#288430)); #53969=EDGE_LOOP('',(#288431,#288432,#288433,#288434)); #53970=EDGE_LOOP('',(#288435,#288436,#288437,#288438)); #53971=EDGE_LOOP('',(#288439,#288440,#288441,#288442)); #53972=EDGE_LOOP('',(#288443,#288444,#288445,#288446)); #53973=EDGE_LOOP('',(#288447,#288448,#288449,#288450)); #53974=EDGE_LOOP('',(#288451,#288452,#288453,#288454)); #53975=EDGE_LOOP('',(#288455,#288456,#288457,#288458)); #53976=EDGE_LOOP('',(#288459,#288460,#288461,#288462)); #53977=EDGE_LOOP('',(#288463,#288464,#288465,#288466)); #53978=EDGE_LOOP('',(#288467,#288468,#288469,#288470)); #53979=EDGE_LOOP('',(#288471,#288472,#288473,#288474)); #53980=EDGE_LOOP('',(#288475,#288476,#288477,#288478)); #53981=EDGE_LOOP('',(#288479,#288480,#288481,#288482)); #53982=EDGE_LOOP('',(#288483,#288484,#288485,#288486)); #53983=EDGE_LOOP('',(#288487,#288488,#288489,#288490)); #53984=EDGE_LOOP('',(#288491,#288492,#288493,#288494)); #53985=EDGE_LOOP('',(#288495,#288496,#288497,#288498)); #53986=EDGE_LOOP('',(#288499,#288500,#288501,#288502)); #53987=EDGE_LOOP('',(#288503,#288504,#288505,#288506)); #53988=EDGE_LOOP('',(#288507,#288508,#288509,#288510)); #53989=EDGE_LOOP('',(#288511,#288512,#288513,#288514)); #53990=EDGE_LOOP('',(#288515,#288516,#288517,#288518)); #53991=EDGE_LOOP('',(#288519,#288520,#288521,#288522)); #53992=EDGE_LOOP('',(#288523,#288524,#288525,#288526)); #53993=EDGE_LOOP('',(#288527,#288528,#288529,#288530)); #53994=EDGE_LOOP('',(#288531,#288532,#288533,#288534)); #53995=EDGE_LOOP('',(#288535,#288536,#288537,#288538)); #53996=EDGE_LOOP('',(#288539,#288540,#288541,#288542)); #53997=EDGE_LOOP('',(#288543,#288544,#288545,#288546)); #53998=EDGE_LOOP('',(#288547,#288548,#288549,#288550)); #53999=EDGE_LOOP('',(#288551,#288552,#288553,#288554)); #54000=EDGE_LOOP('',(#288555,#288556,#288557,#288558)); #54001=EDGE_LOOP('',(#288559,#288560,#288561,#288562)); #54002=EDGE_LOOP('',(#288563,#288564,#288565,#288566)); #54003=EDGE_LOOP('',(#288567,#288568,#288569,#288570)); #54004=EDGE_LOOP('',(#288571,#288572,#288573,#288574)); #54005=EDGE_LOOP('',(#288575,#288576,#288577,#288578)); #54006=EDGE_LOOP('',(#288579,#288580,#288581,#288582)); #54007=EDGE_LOOP('',(#288583,#288584,#288585,#288586)); #54008=EDGE_LOOP('',(#288587,#288588,#288589,#288590)); #54009=EDGE_LOOP('',(#288591,#288592,#288593,#288594)); #54010=EDGE_LOOP('',(#288595,#288596,#288597,#288598)); #54011=EDGE_LOOP('',(#288599,#288600,#288601,#288602)); #54012=EDGE_LOOP('',(#288603,#288604,#288605,#288606)); #54013=EDGE_LOOP('',(#288607,#288608,#288609,#288610)); #54014=EDGE_LOOP('',(#288611,#288612,#288613,#288614)); #54015=EDGE_LOOP('',(#288615,#288616,#288617,#288618)); #54016=EDGE_LOOP('',(#288619,#288620,#288621,#288622)); #54017=EDGE_LOOP('',(#288623,#288624,#288625,#288626)); #54018=EDGE_LOOP('',(#288627,#288628,#288629,#288630)); #54019=EDGE_LOOP('',(#288631,#288632,#288633,#288634)); #54020=EDGE_LOOP('',(#288635,#288636,#288637,#288638)); #54021=EDGE_LOOP('',(#288639,#288640,#288641,#288642)); #54022=EDGE_LOOP('',(#288643,#288644,#288645,#288646)); #54023=EDGE_LOOP('',(#288647,#288648,#288649,#288650)); #54024=EDGE_LOOP('',(#288651,#288652,#288653,#288654)); #54025=EDGE_LOOP('',(#288655,#288656,#288657,#288658)); #54026=EDGE_LOOP('',(#288659,#288660,#288661,#288662)); #54027=EDGE_LOOP('',(#288663,#288664,#288665,#288666)); #54028=EDGE_LOOP('',(#288667,#288668,#288669,#288670)); #54029=EDGE_LOOP('',(#288671,#288672,#288673,#288674)); #54030=EDGE_LOOP('',(#288675,#288676,#288677,#288678)); #54031=EDGE_LOOP('',(#288679,#288680,#288681,#288682)); #54032=EDGE_LOOP('',(#288683,#288684,#288685,#288686)); #54033=EDGE_LOOP('',(#288687,#288688,#288689,#288690)); #54034=EDGE_LOOP('',(#288691,#288692,#288693,#288694)); #54035=EDGE_LOOP('',(#288695,#288696,#288697,#288698)); #54036=EDGE_LOOP('',(#288699,#288700,#288701,#288702)); #54037=EDGE_LOOP('',(#288703,#288704,#288705,#288706)); #54038=EDGE_LOOP('',(#288707,#288708,#288709,#288710)); #54039=EDGE_LOOP('',(#288711,#288712,#288713,#288714)); #54040=EDGE_LOOP('',(#288715,#288716,#288717,#288718)); #54041=EDGE_LOOP('',(#288719,#288720,#288721,#288722)); #54042=EDGE_LOOP('',(#288723,#288724,#288725,#288726)); #54043=EDGE_LOOP('',(#288727,#288728,#288729,#288730)); #54044=EDGE_LOOP('',(#288731,#288732,#288733,#288734)); #54045=EDGE_LOOP('',(#288735,#288736,#288737,#288738)); #54046=EDGE_LOOP('',(#288739,#288740,#288741,#288742)); #54047=EDGE_LOOP('',(#288743,#288744,#288745,#288746)); #54048=EDGE_LOOP('',(#288747,#288748,#288749,#288750)); #54049=EDGE_LOOP('',(#288751,#288752,#288753,#288754)); #54050=EDGE_LOOP('',(#288755,#288756,#288757,#288758)); #54051=EDGE_LOOP('',(#288759,#288760,#288761,#288762)); #54052=EDGE_LOOP('',(#288763,#288764,#288765,#288766)); #54053=EDGE_LOOP('',(#288767,#288768,#288769,#288770)); #54054=EDGE_LOOP('',(#288771,#288772,#288773,#288774)); #54055=EDGE_LOOP('',(#288775,#288776,#288777,#288778)); #54056=EDGE_LOOP('',(#288779,#288780,#288781,#288782)); #54057=EDGE_LOOP('',(#288783,#288784,#288785,#288786)); #54058=EDGE_LOOP('',(#288787,#288788,#288789,#288790)); #54059=EDGE_LOOP('',(#288791,#288792,#288793,#288794)); #54060=EDGE_LOOP('',(#288795,#288796,#288797,#288798)); #54061=EDGE_LOOP('',(#288799,#288800,#288801,#288802)); #54062=EDGE_LOOP('',(#288803,#288804,#288805,#288806)); #54063=EDGE_LOOP('',(#288807,#288808,#288809,#288810)); #54064=EDGE_LOOP('',(#288811,#288812,#288813,#288814)); #54065=EDGE_LOOP('',(#288815,#288816,#288817,#288818)); #54066=EDGE_LOOP('',(#288819,#288820,#288821,#288822)); #54067=EDGE_LOOP('',(#288823,#288824,#288825,#288826)); #54068=EDGE_LOOP('',(#288827,#288828,#288829,#288830)); #54069=EDGE_LOOP('',(#288831,#288832,#288833,#288834)); #54070=EDGE_LOOP('',(#288835,#288836,#288837,#288838)); #54071=EDGE_LOOP('',(#288839,#288840,#288841,#288842)); #54072=EDGE_LOOP('',(#288843,#288844,#288845,#288846)); #54073=EDGE_LOOP('',(#288847,#288848,#288849,#288850)); #54074=EDGE_LOOP('',(#288851,#288852,#288853,#288854)); #54075=EDGE_LOOP('',(#288855,#288856,#288857,#288858)); #54076=EDGE_LOOP('',(#288859,#288860,#288861,#288862)); #54077=EDGE_LOOP('',(#288863,#288864,#288865,#288866)); #54078=EDGE_LOOP('',(#288867,#288868,#288869,#288870)); #54079=EDGE_LOOP('',(#288871,#288872,#288873,#288874)); #54080=EDGE_LOOP('',(#288875,#288876,#288877,#288878)); #54081=EDGE_LOOP('',(#288879,#288880,#288881,#288882)); #54082=EDGE_LOOP('',(#288883,#288884,#288885,#288886)); #54083=EDGE_LOOP('',(#288887,#288888,#288889,#288890)); #54084=EDGE_LOOP('',(#288891,#288892,#288893,#288894)); #54085=EDGE_LOOP('',(#288895,#288896,#288897,#288898)); #54086=EDGE_LOOP('',(#288899,#288900,#288901,#288902)); #54087=EDGE_LOOP('',(#288903,#288904,#288905,#288906)); #54088=EDGE_LOOP('',(#288907,#288908,#288909,#288910)); #54089=EDGE_LOOP('',(#288911,#288912,#288913,#288914)); #54090=EDGE_LOOP('',(#288915,#288916,#288917,#288918)); #54091=EDGE_LOOP('',(#288919,#288920,#288921,#288922)); #54092=EDGE_LOOP('',(#288923,#288924,#288925,#288926)); #54093=EDGE_LOOP('',(#288927,#288928,#288929,#288930)); #54094=EDGE_LOOP('',(#288931,#288932,#288933,#288934)); #54095=EDGE_LOOP('',(#288935,#288936,#288937,#288938)); #54096=EDGE_LOOP('',(#288939,#288940,#288941,#288942)); #54097=EDGE_LOOP('',(#288943,#288944,#288945,#288946)); #54098=EDGE_LOOP('',(#288947,#288948,#288949,#288950)); #54099=EDGE_LOOP('',(#288951,#288952,#288953,#288954)); #54100=EDGE_LOOP('',(#288955,#288956,#288957,#288958)); #54101=EDGE_LOOP('',(#288959,#288960,#288961,#288962)); #54102=EDGE_LOOP('',(#288963,#288964,#288965,#288966)); #54103=EDGE_LOOP('',(#288967,#288968,#288969,#288970)); #54104=EDGE_LOOP('',(#288971,#288972,#288973,#288974)); #54105=EDGE_LOOP('',(#288975,#288976,#288977,#288978)); #54106=EDGE_LOOP('',(#288979,#288980,#288981,#288982)); #54107=EDGE_LOOP('',(#288983,#288984,#288985,#288986)); #54108=EDGE_LOOP('',(#288987,#288988,#288989,#288990)); #54109=EDGE_LOOP('',(#288991,#288992,#288993,#288994)); #54110=EDGE_LOOP('',(#288995,#288996,#288997,#288998)); #54111=EDGE_LOOP('',(#288999,#289000,#289001,#289002)); #54112=EDGE_LOOP('',(#289003,#289004,#289005,#289006)); #54113=EDGE_LOOP('',(#289007,#289008,#289009,#289010)); #54114=EDGE_LOOP('',(#289011,#289012,#289013,#289014)); #54115=EDGE_LOOP('',(#289015,#289016,#289017,#289018)); #54116=EDGE_LOOP('',(#289019,#289020,#289021,#289022)); #54117=EDGE_LOOP('',(#289023,#289024,#289025,#289026)); #54118=EDGE_LOOP('',(#289027,#289028,#289029,#289030)); #54119=EDGE_LOOP('',(#289031,#289032,#289033,#289034)); #54120=EDGE_LOOP('',(#289035,#289036,#289037,#289038)); #54121=EDGE_LOOP('',(#289039,#289040,#289041,#289042)); #54122=EDGE_LOOP('',(#289043,#289044,#289045,#289046)); #54123=EDGE_LOOP('',(#289047,#289048,#289049,#289050)); #54124=EDGE_LOOP('',(#289051,#289052,#289053,#289054)); #54125=EDGE_LOOP('',(#289055,#289056,#289057,#289058)); #54126=EDGE_LOOP('',(#289059,#289060,#289061,#289062)); #54127=EDGE_LOOP('',(#289063,#289064,#289065,#289066)); #54128=EDGE_LOOP('',(#289067,#289068,#289069,#289070)); #54129=EDGE_LOOP('',(#289071,#289072,#289073,#289074)); #54130=EDGE_LOOP('',(#289075,#289076,#289077,#289078)); #54131=EDGE_LOOP('',(#289079,#289080,#289081,#289082)); #54132=EDGE_LOOP('',(#289083,#289084,#289085,#289086)); #54133=EDGE_LOOP('',(#289087,#289088,#289089,#289090)); #54134=EDGE_LOOP('',(#289091,#289092,#289093,#289094)); #54135=EDGE_LOOP('',(#289095,#289096,#289097,#289098)); #54136=EDGE_LOOP('',(#289099,#289100,#289101,#289102)); #54137=EDGE_LOOP('',(#289103,#289104,#289105,#289106)); #54138=EDGE_LOOP('',(#289107,#289108,#289109,#289110)); #54139=EDGE_LOOP('',(#289111,#289112,#289113,#289114)); #54140=EDGE_LOOP('',(#289115,#289116,#289117,#289118)); #54141=EDGE_LOOP('',(#289119,#289120,#289121,#289122)); #54142=EDGE_LOOP('',(#289123,#289124,#289125,#289126)); #54143=EDGE_LOOP('',(#289127,#289128,#289129,#289130)); #54144=EDGE_LOOP('',(#289131,#289132,#289133,#289134)); #54145=EDGE_LOOP('',(#289135,#289136,#289137,#289138)); #54146=EDGE_LOOP('',(#289139,#289140,#289141,#289142)); #54147=EDGE_LOOP('',(#289143,#289144,#289145,#289146)); #54148=EDGE_LOOP('',(#289147,#289148,#289149,#289150)); #54149=EDGE_LOOP('',(#289151,#289152,#289153,#289154)); #54150=EDGE_LOOP('',(#289155,#289156,#289157,#289158)); #54151=EDGE_LOOP('',(#289159,#289160,#289161,#289162)); #54152=EDGE_LOOP('',(#289163,#289164,#289165,#289166)); #54153=EDGE_LOOP('',(#289167,#289168,#289169,#289170)); #54154=EDGE_LOOP('',(#289171,#289172,#289173,#289174)); #54155=EDGE_LOOP('',(#289175,#289176,#289177,#289178)); #54156=EDGE_LOOP('',(#289179,#289180,#289181,#289182)); #54157=EDGE_LOOP('',(#289183,#289184,#289185,#289186)); #54158=EDGE_LOOP('',(#289187,#289188,#289189,#289190)); #54159=EDGE_LOOP('',(#289191,#289192,#289193,#289194)); #54160=EDGE_LOOP('',(#289195,#289196,#289197,#289198)); #54161=EDGE_LOOP('',(#289199,#289200,#289201,#289202)); #54162=EDGE_LOOP('',(#289203,#289204,#289205,#289206)); #54163=EDGE_LOOP('',(#289207,#289208,#289209,#289210)); #54164=EDGE_LOOP('',(#289211,#289212,#289213,#289214)); #54165=EDGE_LOOP('',(#289215,#289216,#289217,#289218)); #54166=EDGE_LOOP('',(#289219,#289220,#289221,#289222)); #54167=EDGE_LOOP('',(#289223,#289224,#289225,#289226)); #54168=EDGE_LOOP('',(#289227,#289228,#289229,#289230)); #54169=EDGE_LOOP('',(#289231,#289232,#289233,#289234)); #54170=EDGE_LOOP('',(#289235,#289236,#289237,#289238)); #54171=EDGE_LOOP('',(#289239,#289240,#289241,#289242)); #54172=EDGE_LOOP('',(#289243,#289244,#289245,#289246)); #54173=EDGE_LOOP('',(#289247,#289248,#289249,#289250)); #54174=EDGE_LOOP('',(#289251,#289252,#289253,#289254)); #54175=EDGE_LOOP('',(#289255,#289256,#289257,#289258)); #54176=EDGE_LOOP('',(#289259,#289260,#289261,#289262)); #54177=EDGE_LOOP('',(#289263,#289264,#289265,#289266)); #54178=EDGE_LOOP('',(#289267,#289268,#289269,#289270)); #54179=EDGE_LOOP('',(#289271,#289272,#289273,#289274)); #54180=EDGE_LOOP('',(#289275,#289276,#289277,#289278)); #54181=EDGE_LOOP('',(#289279,#289280,#289281,#289282)); #54182=EDGE_LOOP('',(#289283,#289284,#289285,#289286)); #54183=EDGE_LOOP('',(#289287,#289288,#289289,#289290)); #54184=EDGE_LOOP('',(#289291,#289292,#289293,#289294)); #54185=EDGE_LOOP('',(#289295,#289296,#289297,#289298)); #54186=EDGE_LOOP('',(#289299,#289300,#289301,#289302)); #54187=EDGE_LOOP('',(#289303,#289304,#289305,#289306)); #54188=EDGE_LOOP('',(#289307,#289308,#289309,#289310)); #54189=EDGE_LOOP('',(#289311,#289312,#289313,#289314)); #54190=EDGE_LOOP('',(#289315,#289316,#289317,#289318)); #54191=EDGE_LOOP('',(#289319,#289320,#289321,#289322)); #54192=EDGE_LOOP('',(#289323,#289324,#289325,#289326)); #54193=EDGE_LOOP('',(#289327,#289328,#289329,#289330)); #54194=EDGE_LOOP('',(#289331,#289332,#289333,#289334)); #54195=EDGE_LOOP('',(#289335,#289336,#289337,#289338)); #54196=EDGE_LOOP('',(#289339,#289340,#289341,#289342)); #54197=EDGE_LOOP('',(#289343,#289344,#289345,#289346)); #54198=EDGE_LOOP('',(#289347,#289348,#289349,#289350)); #54199=EDGE_LOOP('',(#289351,#289352,#289353,#289354)); #54200=EDGE_LOOP('',(#289355,#289356,#289357,#289358)); #54201=EDGE_LOOP('',(#289359,#289360,#289361,#289362)); #54202=EDGE_LOOP('',(#289363,#289364,#289365,#289366)); #54203=EDGE_LOOP('',(#289367,#289368,#289369,#289370)); #54204=EDGE_LOOP('',(#289371,#289372,#289373,#289374)); #54205=EDGE_LOOP('',(#289375,#289376,#289377,#289378)); #54206=EDGE_LOOP('',(#289379,#289380,#289381,#289382)); #54207=EDGE_LOOP('',(#289383,#289384,#289385,#289386)); #54208=EDGE_LOOP('',(#289387,#289388,#289389,#289390)); #54209=EDGE_LOOP('',(#289391,#289392,#289393,#289394)); #54210=EDGE_LOOP('',(#289395,#289396,#289397,#289398)); #54211=EDGE_LOOP('',(#289399,#289400,#289401,#289402)); #54212=EDGE_LOOP('',(#289403,#289404,#289405,#289406)); #54213=EDGE_LOOP('',(#289407,#289408,#289409,#289410)); #54214=EDGE_LOOP('',(#289411,#289412,#289413,#289414)); #54215=EDGE_LOOP('',(#289415,#289416,#289417,#289418)); #54216=EDGE_LOOP('',(#289419,#289420,#289421,#289422)); #54217=EDGE_LOOP('',(#289423,#289424,#289425,#289426)); #54218=EDGE_LOOP('',(#289427,#289428,#289429,#289430)); #54219=EDGE_LOOP('',(#289431,#289432,#289433,#289434)); #54220=EDGE_LOOP('',(#289435,#289436,#289437,#289438)); #54221=EDGE_LOOP('',(#289439,#289440,#289441,#289442)); #54222=EDGE_LOOP('',(#289443,#289444,#289445,#289446)); #54223=EDGE_LOOP('',(#289447,#289448,#289449,#289450)); #54224=EDGE_LOOP('',(#289451,#289452,#289453,#289454)); #54225=EDGE_LOOP('',(#289455,#289456,#289457,#289458)); #54226=EDGE_LOOP('',(#289459,#289460,#289461,#289462)); #54227=EDGE_LOOP('',(#289463,#289464,#289465,#289466)); #54228=EDGE_LOOP('',(#289467,#289468,#289469,#289470)); #54229=EDGE_LOOP('',(#289471,#289472,#289473,#289474)); #54230=EDGE_LOOP('',(#289475,#289476,#289477,#289478)); #54231=EDGE_LOOP('',(#289479,#289480,#289481,#289482)); #54232=EDGE_LOOP('',(#289483,#289484,#289485,#289486)); #54233=EDGE_LOOP('',(#289487,#289488,#289489,#289490)); #54234=EDGE_LOOP('',(#289491,#289492,#289493,#289494)); #54235=EDGE_LOOP('',(#289495,#289496,#289497,#289498)); #54236=EDGE_LOOP('',(#289499,#289500,#289501,#289502)); #54237=EDGE_LOOP('',(#289503,#289504,#289505,#289506)); #54238=EDGE_LOOP('',(#289507,#289508,#289509,#289510)); #54239=EDGE_LOOP('',(#289511,#289512,#289513,#289514)); #54240=EDGE_LOOP('',(#289515,#289516,#289517,#289518)); #54241=EDGE_LOOP('',(#289519,#289520,#289521,#289522)); #54242=EDGE_LOOP('',(#289523,#289524,#289525,#289526)); #54243=EDGE_LOOP('',(#289527,#289528,#289529,#289530)); #54244=EDGE_LOOP('',(#289531,#289532,#289533,#289534)); #54245=EDGE_LOOP('',(#289535,#289536,#289537,#289538)); #54246=EDGE_LOOP('',(#289539,#289540,#289541,#289542)); #54247=EDGE_LOOP('',(#289543,#289544,#289545,#289546)); #54248=EDGE_LOOP('',(#289547,#289548,#289549,#289550)); #54249=EDGE_LOOP('',(#289551,#289552,#289553,#289554)); #54250=EDGE_LOOP('',(#289555,#289556,#289557,#289558)); #54251=EDGE_LOOP('',(#289559,#289560,#289561,#289562)); #54252=EDGE_LOOP('',(#289563,#289564,#289565,#289566)); #54253=EDGE_LOOP('',(#289567,#289568,#289569,#289570)); #54254=EDGE_LOOP('',(#289571,#289572,#289573,#289574)); #54255=EDGE_LOOP('',(#289575,#289576,#289577,#289578)); #54256=EDGE_LOOP('',(#289579,#289580,#289581,#289582)); #54257=EDGE_LOOP('',(#289583,#289584,#289585,#289586)); #54258=EDGE_LOOP('',(#289587,#289588,#289589,#289590)); #54259=EDGE_LOOP('',(#289591,#289592,#289593,#289594)); #54260=EDGE_LOOP('',(#289595,#289596,#289597,#289598)); #54261=EDGE_LOOP('',(#289599,#289600,#289601,#289602)); #54262=EDGE_LOOP('',(#289603,#289604,#289605,#289606)); #54263=EDGE_LOOP('',(#289607,#289608,#289609,#289610)); #54264=EDGE_LOOP('',(#289611,#289612,#289613,#289614)); #54265=EDGE_LOOP('',(#289615,#289616,#289617,#289618)); #54266=EDGE_LOOP('',(#289619,#289620,#289621,#289622)); #54267=EDGE_LOOP('',(#289623,#289624,#289625,#289626)); #54268=EDGE_LOOP('',(#289627,#289628,#289629,#289630)); #54269=EDGE_LOOP('',(#289631,#289632,#289633,#289634)); #54270=EDGE_LOOP('',(#289635,#289636,#289637,#289638)); #54271=EDGE_LOOP('',(#289639,#289640,#289641,#289642)); #54272=EDGE_LOOP('',(#289643,#289644,#289645,#289646)); #54273=EDGE_LOOP('',(#289647,#289648,#289649,#289650)); #54274=EDGE_LOOP('',(#289651,#289652,#289653,#289654)); #54275=EDGE_LOOP('',(#289655,#289656,#289657,#289658)); #54276=EDGE_LOOP('',(#289659,#289660,#289661,#289662)); #54277=EDGE_LOOP('',(#289663,#289664,#289665,#289666)); #54278=EDGE_LOOP('',(#289667,#289668,#289669,#289670)); #54279=EDGE_LOOP('',(#289671,#289672,#289673,#289674)); #54280=EDGE_LOOP('',(#289675,#289676,#289677,#289678)); #54281=EDGE_LOOP('',(#289679,#289680,#289681,#289682)); #54282=EDGE_LOOP('',(#289683,#289684,#289685,#289686)); #54283=EDGE_LOOP('',(#289687,#289688,#289689,#289690)); #54284=EDGE_LOOP('',(#289691,#289692,#289693,#289694)); #54285=EDGE_LOOP('',(#289695,#289696,#289697,#289698)); #54286=EDGE_LOOP('',(#289699,#289700,#289701,#289702)); #54287=EDGE_LOOP('',(#289703,#289704,#289705,#289706)); #54288=EDGE_LOOP('',(#289707,#289708,#289709,#289710)); #54289=EDGE_LOOP('',(#289711,#289712,#289713,#289714)); #54290=EDGE_LOOP('',(#289715,#289716,#289717,#289718)); #54291=EDGE_LOOP('',(#289719,#289720,#289721,#289722)); #54292=EDGE_LOOP('',(#289723,#289724,#289725,#289726)); #54293=EDGE_LOOP('',(#289727,#289728,#289729,#289730)); #54294=EDGE_LOOP('',(#289731,#289732,#289733,#289734)); #54295=EDGE_LOOP('',(#289735,#289736,#289737,#289738)); #54296=EDGE_LOOP('',(#289739,#289740,#289741,#289742)); #54297=EDGE_LOOP('',(#289743,#289744,#289745,#289746)); #54298=EDGE_LOOP('',(#289747,#289748,#289749,#289750)); #54299=EDGE_LOOP('',(#289751,#289752,#289753,#289754)); #54300=EDGE_LOOP('',(#289755,#289756,#289757,#289758)); #54301=EDGE_LOOP('',(#289759,#289760,#289761,#289762)); #54302=EDGE_LOOP('',(#289763,#289764,#289765,#289766)); #54303=EDGE_LOOP('',(#289767,#289768,#289769,#289770)); #54304=EDGE_LOOP('',(#289771,#289772,#289773,#289774)); #54305=EDGE_LOOP('',(#289775,#289776,#289777,#289778)); #54306=EDGE_LOOP('',(#289779,#289780,#289781,#289782)); #54307=EDGE_LOOP('',(#289783,#289784,#289785,#289786)); #54308=EDGE_LOOP('',(#289787,#289788,#289789,#289790)); #54309=EDGE_LOOP('',(#289791,#289792,#289793,#289794)); #54310=EDGE_LOOP('',(#289795,#289796,#289797,#289798)); #54311=EDGE_LOOP('',(#289799,#289800,#289801,#289802)); #54312=EDGE_LOOP('',(#289803,#289804,#289805,#289806)); #54313=EDGE_LOOP('',(#289807,#289808,#289809,#289810)); #54314=EDGE_LOOP('',(#289811,#289812,#289813,#289814)); #54315=EDGE_LOOP('',(#289815,#289816,#289817,#289818)); #54316=EDGE_LOOP('',(#289819,#289820,#289821,#289822)); #54317=EDGE_LOOP('',(#289823,#289824,#289825,#289826)); #54318=EDGE_LOOP('',(#289827,#289828,#289829,#289830)); #54319=EDGE_LOOP('',(#289831,#289832,#289833,#289834)); #54320=EDGE_LOOP('',(#289835,#289836,#289837,#289838)); #54321=EDGE_LOOP('',(#289839,#289840,#289841,#289842)); #54322=EDGE_LOOP('',(#289843,#289844,#289845,#289846)); #54323=EDGE_LOOP('',(#289847,#289848,#289849,#289850)); #54324=EDGE_LOOP('',(#289851,#289852,#289853,#289854)); #54325=EDGE_LOOP('',(#289855,#289856,#289857,#289858)); #54326=EDGE_LOOP('',(#289859,#289860,#289861,#289862)); #54327=EDGE_LOOP('',(#289863,#289864,#289865,#289866)); #54328=EDGE_LOOP('',(#289867,#289868,#289869,#289870)); #54329=EDGE_LOOP('',(#289871,#289872,#289873,#289874)); #54330=EDGE_LOOP('',(#289875,#289876,#289877,#289878)); #54331=EDGE_LOOP('',(#289879,#289880,#289881,#289882)); #54332=EDGE_LOOP('',(#289883,#289884,#289885,#289886)); #54333=EDGE_LOOP('',(#289887,#289888,#289889,#289890)); #54334=EDGE_LOOP('',(#289891,#289892,#289893,#289894)); #54335=EDGE_LOOP('',(#289895,#289896,#289897,#289898)); #54336=EDGE_LOOP('',(#289899,#289900,#289901,#289902)); #54337=EDGE_LOOP('',(#289903,#289904,#289905,#289906)); #54338=EDGE_LOOP('',(#289907,#289908,#289909,#289910)); #54339=EDGE_LOOP('',(#289911,#289912,#289913,#289914)); #54340=EDGE_LOOP('',(#289915,#289916,#289917,#289918)); #54341=EDGE_LOOP('',(#289919,#289920,#289921,#289922)); #54342=EDGE_LOOP('',(#289923,#289924,#289925,#289926)); #54343=EDGE_LOOP('',(#289927,#289928,#289929,#289930)); #54344=EDGE_LOOP('',(#289931,#289932,#289933,#289934)); #54345=EDGE_LOOP('',(#289935,#289936,#289937,#289938)); #54346=EDGE_LOOP('',(#289939,#289940,#289941,#289942)); #54347=EDGE_LOOP('',(#289943,#289944,#289945,#289946)); #54348=EDGE_LOOP('',(#289947,#289948,#289949,#289950)); #54349=EDGE_LOOP('',(#289951,#289952,#289953,#289954)); #54350=EDGE_LOOP('',(#289955,#289956,#289957,#289958)); #54351=EDGE_LOOP('',(#289959,#289960,#289961,#289962)); #54352=EDGE_LOOP('',(#289963,#289964,#289965,#289966)); #54353=EDGE_LOOP('',(#289967,#289968,#289969,#289970)); #54354=EDGE_LOOP('',(#289971,#289972,#289973,#289974)); #54355=EDGE_LOOP('',(#289975,#289976,#289977,#289978)); #54356=EDGE_LOOP('',(#289979,#289980,#289981,#289982)); #54357=EDGE_LOOP('',(#289983,#289984,#289985,#289986)); #54358=EDGE_LOOP('',(#289987,#289988,#289989,#289990)); #54359=EDGE_LOOP('',(#289991,#289992,#289993,#289994)); #54360=EDGE_LOOP('',(#289995,#289996,#289997,#289998)); #54361=EDGE_LOOP('',(#289999,#290000,#290001,#290002)); #54362=EDGE_LOOP('',(#290003,#290004,#290005,#290006)); #54363=EDGE_LOOP('',(#290007,#290008,#290009,#290010)); #54364=EDGE_LOOP('',(#290011,#290012,#290013,#290014)); #54365=EDGE_LOOP('',(#290015,#290016,#290017,#290018)); #54366=EDGE_LOOP('',(#290019,#290020,#290021,#290022)); #54367=EDGE_LOOP('',(#290023,#290024,#290025,#290026)); #54368=EDGE_LOOP('',(#290027,#290028,#290029,#290030)); #54369=EDGE_LOOP('',(#290031,#290032,#290033,#290034)); #54370=EDGE_LOOP('',(#290035,#290036,#290037,#290038)); #54371=EDGE_LOOP('',(#290039,#290040,#290041,#290042)); #54372=EDGE_LOOP('',(#290043,#290044,#290045,#290046)); #54373=EDGE_LOOP('',(#290047,#290048,#290049,#290050)); #54374=EDGE_LOOP('',(#290051,#290052,#290053,#290054)); #54375=EDGE_LOOP('',(#290055,#290056,#290057,#290058)); #54376=EDGE_LOOP('',(#290059,#290060,#290061,#290062)); #54377=EDGE_LOOP('',(#290063,#290064,#290065,#290066)); #54378=EDGE_LOOP('',(#290067,#290068,#290069,#290070)); #54379=EDGE_LOOP('',(#290071,#290072,#290073,#290074)); #54380=EDGE_LOOP('',(#290075,#290076,#290077,#290078)); #54381=EDGE_LOOP('',(#290079,#290080,#290081,#290082)); #54382=EDGE_LOOP('',(#290083,#290084,#290085,#290086)); #54383=EDGE_LOOP('',(#290087,#290088,#290089,#290090)); #54384=EDGE_LOOP('',(#290091,#290092,#290093,#290094)); #54385=EDGE_LOOP('',(#290095,#290096,#290097,#290098)); #54386=EDGE_LOOP('',(#290099,#290100,#290101,#290102)); #54387=EDGE_LOOP('',(#290103,#290104,#290105,#290106)); #54388=EDGE_LOOP('',(#290107,#290108,#290109,#290110)); #54389=EDGE_LOOP('',(#290111,#290112,#290113,#290114)); #54390=EDGE_LOOP('',(#290115,#290116,#290117,#290118)); #54391=EDGE_LOOP('',(#290119,#290120,#290121,#290122)); #54392=EDGE_LOOP('',(#290123,#290124,#290125,#290126)); #54393=EDGE_LOOP('',(#290127,#290128,#290129,#290130)); #54394=EDGE_LOOP('',(#290131,#290132,#290133,#290134)); #54395=EDGE_LOOP('',(#290135,#290136,#290137,#290138)); #54396=EDGE_LOOP('',(#290139,#290140,#290141,#290142)); #54397=EDGE_LOOP('',(#290143,#290144,#290145,#290146)); #54398=EDGE_LOOP('',(#290147,#290148,#290149,#290150)); #54399=EDGE_LOOP('',(#290151,#290152,#290153,#290154)); #54400=EDGE_LOOP('',(#290155,#290156,#290157,#290158)); #54401=EDGE_LOOP('',(#290159,#290160,#290161,#290162)); #54402=EDGE_LOOP('',(#290163,#290164,#290165,#290166)); #54403=EDGE_LOOP('',(#290167,#290168,#290169,#290170)); #54404=EDGE_LOOP('',(#290171,#290172,#290173,#290174)); #54405=EDGE_LOOP('',(#290175,#290176,#290177,#290178)); #54406=EDGE_LOOP('',(#290179,#290180,#290181,#290182)); #54407=EDGE_LOOP('',(#290183,#290184,#290185,#290186)); #54408=EDGE_LOOP('',(#290187,#290188,#290189,#290190)); #54409=EDGE_LOOP('',(#290191,#290192,#290193,#290194)); #54410=EDGE_LOOP('',(#290195,#290196,#290197,#290198)); #54411=EDGE_LOOP('',(#290199,#290200,#290201,#290202)); #54412=EDGE_LOOP('',(#290203,#290204,#290205,#290206)); #54413=EDGE_LOOP('',(#290207,#290208,#290209,#290210)); #54414=EDGE_LOOP('',(#290211,#290212,#290213,#290214)); #54415=EDGE_LOOP('',(#290215,#290216,#290217,#290218)); #54416=EDGE_LOOP('',(#290219,#290220,#290221,#290222)); #54417=EDGE_LOOP('',(#290223,#290224,#290225,#290226)); #54418=EDGE_LOOP('',(#290227,#290228,#290229,#290230)); #54419=EDGE_LOOP('',(#290231,#290232,#290233,#290234)); #54420=EDGE_LOOP('',(#290235,#290236,#290237,#290238)); #54421=EDGE_LOOP('',(#290239,#290240,#290241,#290242)); #54422=EDGE_LOOP('',(#290243,#290244,#290245,#290246)); #54423=EDGE_LOOP('',(#290247,#290248,#290249,#290250)); #54424=EDGE_LOOP('',(#290251,#290252,#290253,#290254)); #54425=EDGE_LOOP('',(#290255,#290256,#290257,#290258)); #54426=EDGE_LOOP('',(#290259,#290260,#290261,#290262)); #54427=EDGE_LOOP('',(#290263,#290264,#290265,#290266)); #54428=EDGE_LOOP('',(#290267,#290268,#290269,#290270)); #54429=EDGE_LOOP('',(#290271,#290272,#290273,#290274)); #54430=EDGE_LOOP('',(#290275,#290276,#290277,#290278)); #54431=EDGE_LOOP('',(#290279,#290280,#290281,#290282)); #54432=EDGE_LOOP('',(#290283,#290284,#290285,#290286)); #54433=EDGE_LOOP('',(#290287,#290288,#290289,#290290)); #54434=EDGE_LOOP('',(#290291,#290292,#290293,#290294)); #54435=EDGE_LOOP('',(#290295,#290296,#290297,#290298)); #54436=EDGE_LOOP('',(#290299,#290300,#290301,#290302)); #54437=EDGE_LOOP('',(#290303,#290304,#290305,#290306)); #54438=EDGE_LOOP('',(#290307,#290308,#290309,#290310)); #54439=EDGE_LOOP('',(#290311,#290312,#290313,#290314)); #54440=EDGE_LOOP('',(#290315,#290316,#290317,#290318)); #54441=EDGE_LOOP('',(#290319,#290320,#290321,#290322)); #54442=EDGE_LOOP('',(#290323,#290324,#290325,#290326)); #54443=EDGE_LOOP('',(#290327,#290328,#290329,#290330)); #54444=EDGE_LOOP('',(#290331,#290332,#290333,#290334)); #54445=EDGE_LOOP('',(#290335,#290336,#290337,#290338)); #54446=EDGE_LOOP('',(#290339,#290340,#290341,#290342)); #54447=EDGE_LOOP('',(#290343,#290344,#290345,#290346)); #54448=EDGE_LOOP('',(#290347,#290348,#290349,#290350)); #54449=EDGE_LOOP('',(#290351,#290352,#290353,#290354)); #54450=EDGE_LOOP('',(#290355,#290356,#290357,#290358)); #54451=EDGE_LOOP('',(#290359,#290360,#290361,#290362)); #54452=EDGE_LOOP('',(#290363,#290364,#290365,#290366)); #54453=EDGE_LOOP('',(#290367,#290368,#290369,#290370)); #54454=EDGE_LOOP('',(#290371,#290372,#290373,#290374)); #54455=EDGE_LOOP('',(#290375,#290376,#290377,#290378)); #54456=EDGE_LOOP('',(#290379,#290380,#290381,#290382)); #54457=EDGE_LOOP('',(#290383,#290384,#290385,#290386)); #54458=EDGE_LOOP('',(#290387,#290388,#290389,#290390)); #54459=EDGE_LOOP('',(#290391,#290392,#290393,#290394)); #54460=EDGE_LOOP('',(#290395,#290396,#290397,#290398)); #54461=EDGE_LOOP('',(#290399,#290400,#290401,#290402)); #54462=EDGE_LOOP('',(#290403,#290404,#290405,#290406)); #54463=EDGE_LOOP('',(#290407,#290408,#290409,#290410)); #54464=EDGE_LOOP('',(#290411,#290412,#290413,#290414)); #54465=EDGE_LOOP('',(#290415,#290416,#290417,#290418)); #54466=EDGE_LOOP('',(#290419,#290420,#290421,#290422)); #54467=EDGE_LOOP('',(#290423,#290424,#290425,#290426)); #54468=EDGE_LOOP('',(#290427,#290428,#290429,#290430)); #54469=EDGE_LOOP('',(#290431,#290432,#290433,#290434)); #54470=EDGE_LOOP('',(#290435,#290436,#290437,#290438)); #54471=EDGE_LOOP('',(#290439,#290440,#290441,#290442)); #54472=EDGE_LOOP('',(#290443,#290444,#290445,#290446)); #54473=EDGE_LOOP('',(#290447,#290448,#290449,#290450)); #54474=EDGE_LOOP('',(#290451,#290452,#290453,#290454)); #54475=EDGE_LOOP('',(#290455,#290456,#290457,#290458)); #54476=EDGE_LOOP('',(#290459,#290460,#290461,#290462)); #54477=EDGE_LOOP('',(#290463,#290464,#290465,#290466)); #54478=EDGE_LOOP('',(#290467,#290468,#290469,#290470)); #54479=EDGE_LOOP('',(#290471,#290472,#290473,#290474)); #54480=EDGE_LOOP('',(#290475,#290476,#290477,#290478)); #54481=EDGE_LOOP('',(#290479,#290480,#290481,#290482)); #54482=EDGE_LOOP('',(#290483,#290484,#290485,#290486)); #54483=EDGE_LOOP('',(#290487,#290488,#290489,#290490)); #54484=EDGE_LOOP('',(#290491,#290492,#290493,#290494)); #54485=EDGE_LOOP('',(#290495,#290496,#290497,#290498)); #54486=EDGE_LOOP('',(#290499,#290500,#290501,#290502)); #54487=EDGE_LOOP('',(#290503,#290504,#290505,#290506)); #54488=EDGE_LOOP('',(#290507,#290508,#290509,#290510)); #54489=EDGE_LOOP('',(#290511,#290512,#290513,#290514)); #54490=EDGE_LOOP('',(#290515,#290516,#290517,#290518)); #54491=EDGE_LOOP('',(#290519,#290520,#290521,#290522)); #54492=EDGE_LOOP('',(#290523,#290524,#290525,#290526)); #54493=EDGE_LOOP('',(#290527,#290528,#290529,#290530)); #54494=EDGE_LOOP('',(#290531,#290532,#290533,#290534)); #54495=EDGE_LOOP('',(#290535,#290536,#290537,#290538)); #54496=EDGE_LOOP('',(#290539,#290540,#290541,#290542)); #54497=EDGE_LOOP('',(#290543,#290544,#290545,#290546)); #54498=EDGE_LOOP('',(#290547,#290548,#290549,#290550)); #54499=EDGE_LOOP('',(#290551,#290552,#290553,#290554)); #54500=EDGE_LOOP('',(#290555,#290556,#290557,#290558)); #54501=EDGE_LOOP('',(#290559,#290560,#290561,#290562)); #54502=EDGE_LOOP('',(#290563,#290564,#290565,#290566)); #54503=EDGE_LOOP('',(#290567,#290568,#290569,#290570)); #54504=EDGE_LOOP('',(#290571,#290572,#290573,#290574)); #54505=EDGE_LOOP('',(#290575,#290576,#290577,#290578)); #54506=EDGE_LOOP('',(#290579,#290580,#290581,#290582)); #54507=EDGE_LOOP('',(#290583,#290584,#290585,#290586)); #54508=EDGE_LOOP('',(#290587,#290588,#290589,#290590)); #54509=EDGE_LOOP('',(#290591,#290592,#290593,#290594)); #54510=EDGE_LOOP('',(#290595,#290596,#290597,#290598)); #54511=EDGE_LOOP('',(#290599,#290600,#290601,#290602)); #54512=EDGE_LOOP('',(#290603,#290604,#290605,#290606)); #54513=EDGE_LOOP('',(#290607,#290608,#290609,#290610)); #54514=EDGE_LOOP('',(#290611,#290612,#290613,#290614)); #54515=EDGE_LOOP('',(#290615,#290616,#290617,#290618)); #54516=EDGE_LOOP('',(#290619,#290620,#290621,#290622)); #54517=EDGE_LOOP('',(#290623,#290624,#290625,#290626)); #54518=EDGE_LOOP('',(#290627,#290628,#290629,#290630)); #54519=EDGE_LOOP('',(#290631,#290632,#290633,#290634)); #54520=EDGE_LOOP('',(#290635,#290636,#290637,#290638)); #54521=EDGE_LOOP('',(#290639,#290640,#290641,#290642)); #54522=EDGE_LOOP('',(#290643,#290644,#290645,#290646)); #54523=EDGE_LOOP('',(#290647,#290648,#290649,#290650)); #54524=EDGE_LOOP('',(#290651,#290652,#290653,#290654)); #54525=EDGE_LOOP('',(#290655,#290656,#290657,#290658)); #54526=EDGE_LOOP('',(#290659,#290660,#290661,#290662)); #54527=EDGE_LOOP('',(#290663,#290664,#290665,#290666)); #54528=EDGE_LOOP('',(#290667,#290668,#290669,#290670)); #54529=EDGE_LOOP('',(#290671,#290672,#290673,#290674)); #54530=EDGE_LOOP('',(#290675,#290676,#290677,#290678)); #54531=EDGE_LOOP('',(#290679,#290680,#290681,#290682)); #54532=EDGE_LOOP('',(#290683,#290684,#290685,#290686)); #54533=EDGE_LOOP('',(#290687,#290688,#290689,#290690)); #54534=EDGE_LOOP('',(#290691,#290692,#290693,#290694)); #54535=EDGE_LOOP('',(#290695,#290696,#290697,#290698)); #54536=EDGE_LOOP('',(#290699,#290700,#290701,#290702)); #54537=EDGE_LOOP('',(#290703,#290704,#290705,#290706)); #54538=EDGE_LOOP('',(#290707,#290708,#290709,#290710)); #54539=EDGE_LOOP('',(#290711,#290712,#290713,#290714)); #54540=EDGE_LOOP('',(#290715,#290716,#290717,#290718)); #54541=EDGE_LOOP('',(#290719,#290720,#290721,#290722)); #54542=EDGE_LOOP('',(#290723,#290724,#290725,#290726)); #54543=EDGE_LOOP('',(#290727,#290728,#290729,#290730)); #54544=EDGE_LOOP('',(#290731,#290732,#290733,#290734)); #54545=EDGE_LOOP('',(#290735,#290736,#290737,#290738)); #54546=EDGE_LOOP('',(#290739,#290740,#290741,#290742)); #54547=EDGE_LOOP('',(#290743,#290744,#290745,#290746)); #54548=EDGE_LOOP('',(#290747,#290748,#290749,#290750)); #54549=EDGE_LOOP('',(#290751,#290752,#290753,#290754)); #54550=EDGE_LOOP('',(#290755,#290756,#290757,#290758)); #54551=EDGE_LOOP('',(#290759,#290760,#290761,#290762)); #54552=EDGE_LOOP('',(#290763,#290764,#290765,#290766)); #54553=EDGE_LOOP('',(#290767,#290768,#290769,#290770)); #54554=EDGE_LOOP('',(#290771,#290772,#290773,#290774)); #54555=EDGE_LOOP('',(#290775,#290776,#290777,#290778)); #54556=EDGE_LOOP('',(#290779,#290780,#290781,#290782)); #54557=EDGE_LOOP('',(#290783,#290784,#290785,#290786)); #54558=EDGE_LOOP('',(#290787,#290788,#290789,#290790)); #54559=EDGE_LOOP('',(#290791,#290792,#290793,#290794)); #54560=EDGE_LOOP('',(#290795,#290796,#290797,#290798)); #54561=EDGE_LOOP('',(#290799,#290800,#290801,#290802)); #54562=EDGE_LOOP('',(#290803,#290804,#290805,#290806)); #54563=EDGE_LOOP('',(#290807,#290808,#290809,#290810)); #54564=EDGE_LOOP('',(#290811,#290812,#290813,#290814)); #54565=EDGE_LOOP('',(#290815,#290816,#290817,#290818)); #54566=EDGE_LOOP('',(#290819,#290820,#290821,#290822)); #54567=EDGE_LOOP('',(#290823,#290824,#290825,#290826)); #54568=EDGE_LOOP('',(#290827,#290828,#290829,#290830)); #54569=EDGE_LOOP('',(#290831,#290832,#290833,#290834)); #54570=EDGE_LOOP('',(#290835,#290836,#290837,#290838)); #54571=EDGE_LOOP('',(#290839,#290840,#290841,#290842)); #54572=EDGE_LOOP('',(#290843,#290844,#290845,#290846)); #54573=EDGE_LOOP('',(#290847,#290848,#290849,#290850)); #54574=EDGE_LOOP('',(#290851,#290852,#290853,#290854)); #54575=EDGE_LOOP('',(#290855,#290856,#290857,#290858)); #54576=EDGE_LOOP('',(#290859,#290860,#290861,#290862)); #54577=EDGE_LOOP('',(#290863,#290864,#290865,#290866)); #54578=EDGE_LOOP('',(#290867,#290868,#290869,#290870)); #54579=EDGE_LOOP('',(#290871,#290872,#290873,#290874)); #54580=EDGE_LOOP('',(#290875,#290876,#290877,#290878)); #54581=EDGE_LOOP('',(#290879,#290880,#290881,#290882)); #54582=EDGE_LOOP('',(#290883,#290884,#290885,#290886)); #54583=EDGE_LOOP('',(#290887,#290888,#290889,#290890)); #54584=EDGE_LOOP('',(#290891,#290892,#290893,#290894)); #54585=EDGE_LOOP('',(#290895,#290896,#290897,#290898)); #54586=EDGE_LOOP('',(#290899,#290900,#290901,#290902)); #54587=EDGE_LOOP('',(#290903,#290904,#290905,#290906)); #54588=EDGE_LOOP('',(#290907,#290908,#290909,#290910)); #54589=EDGE_LOOP('',(#290911,#290912,#290913,#290914)); #54590=EDGE_LOOP('',(#290915,#290916,#290917,#290918)); #54591=EDGE_LOOP('',(#290919,#290920,#290921,#290922)); #54592=EDGE_LOOP('',(#290923,#290924,#290925,#290926)); #54593=EDGE_LOOP('',(#290927,#290928,#290929,#290930)); #54594=EDGE_LOOP('',(#290931,#290932,#290933,#290934)); #54595=EDGE_LOOP('',(#290935,#290936,#290937,#290938)); #54596=EDGE_LOOP('',(#290939,#290940,#290941,#290942)); #54597=EDGE_LOOP('',(#290943,#290944,#290945,#290946)); #54598=EDGE_LOOP('',(#290947,#290948,#290949,#290950)); #54599=EDGE_LOOP('',(#290951,#290952,#290953,#290954)); #54600=EDGE_LOOP('',(#290955,#290956,#290957,#290958)); #54601=EDGE_LOOP('',(#290959,#290960,#290961,#290962)); #54602=EDGE_LOOP('',(#290963,#290964,#290965,#290966)); #54603=EDGE_LOOP('',(#290967,#290968,#290969,#290970)); #54604=EDGE_LOOP('',(#290971,#290972,#290973,#290974)); #54605=EDGE_LOOP('',(#290975,#290976,#290977,#290978)); #54606=EDGE_LOOP('',(#290979,#290980,#290981,#290982)); #54607=EDGE_LOOP('',(#290983,#290984,#290985,#290986)); #54608=EDGE_LOOP('',(#290987,#290988,#290989,#290990)); #54609=EDGE_LOOP('',(#290991,#290992,#290993,#290994)); #54610=EDGE_LOOP('',(#290995,#290996,#290997,#290998)); #54611=EDGE_LOOP('',(#290999,#291000,#291001,#291002)); #54612=EDGE_LOOP('',(#291003,#291004,#291005,#291006)); #54613=EDGE_LOOP('',(#291007,#291008,#291009,#291010)); #54614=EDGE_LOOP('',(#291011,#291012,#291013,#291014)); #54615=EDGE_LOOP('',(#291015,#291016,#291017,#291018)); #54616=EDGE_LOOP('',(#291019,#291020,#291021,#291022)); #54617=EDGE_LOOP('',(#291023,#291024,#291025,#291026)); #54618=EDGE_LOOP('',(#291027,#291028,#291029,#291030)); #54619=EDGE_LOOP('',(#291031,#291032,#291033,#291034)); #54620=EDGE_LOOP('',(#291035,#291036,#291037,#291038)); #54621=EDGE_LOOP('',(#291039,#291040,#291041,#291042)); #54622=EDGE_LOOP('',(#291043,#291044,#291045,#291046)); #54623=EDGE_LOOP('',(#291047,#291048,#291049,#291050)); #54624=EDGE_LOOP('',(#291051,#291052,#291053,#291054)); #54625=EDGE_LOOP('',(#291055,#291056,#291057,#291058)); #54626=EDGE_LOOP('',(#291059,#291060,#291061,#291062)); #54627=EDGE_LOOP('',(#291063,#291064,#291065,#291066)); #54628=EDGE_LOOP('',(#291067,#291068,#291069,#291070)); #54629=EDGE_LOOP('',(#291071,#291072,#291073,#291074)); #54630=EDGE_LOOP('',(#291075,#291076,#291077,#291078)); #54631=EDGE_LOOP('',(#291079,#291080,#291081,#291082)); #54632=EDGE_LOOP('',(#291083,#291084,#291085,#291086)); #54633=EDGE_LOOP('',(#291087,#291088,#291089,#291090)); #54634=EDGE_LOOP('',(#291091,#291092,#291093,#291094)); #54635=EDGE_LOOP('',(#291095,#291096,#291097,#291098)); #54636=EDGE_LOOP('',(#291099,#291100,#291101,#291102)); #54637=EDGE_LOOP('',(#291103,#291104,#291105,#291106)); #54638=EDGE_LOOP('',(#291107,#291108,#291109,#291110)); #54639=EDGE_LOOP('',(#291111,#291112,#291113,#291114)); #54640=EDGE_LOOP('',(#291115,#291116,#291117,#291118)); #54641=EDGE_LOOP('',(#291119,#291120,#291121,#291122)); #54642=EDGE_LOOP('',(#291123,#291124,#291125,#291126)); #54643=EDGE_LOOP('',(#291127,#291128,#291129,#291130)); #54644=EDGE_LOOP('',(#291131,#291132,#291133,#291134)); #54645=EDGE_LOOP('',(#291135,#291136,#291137,#291138)); #54646=EDGE_LOOP('',(#291139,#291140,#291141,#291142)); #54647=EDGE_LOOP('',(#291143,#291144,#291145,#291146)); #54648=EDGE_LOOP('',(#291147,#291148,#291149,#291150)); #54649=EDGE_LOOP('',(#291151,#291152,#291153,#291154)); #54650=EDGE_LOOP('',(#291155,#291156,#291157,#291158)); #54651=EDGE_LOOP('',(#291159,#291160,#291161,#291162)); #54652=EDGE_LOOP('',(#291163,#291164,#291165,#291166)); #54653=EDGE_LOOP('',(#291167,#291168,#291169,#291170)); #54654=EDGE_LOOP('',(#291171,#291172,#291173,#291174)); #54655=EDGE_LOOP('',(#291175,#291176,#291177,#291178)); #54656=EDGE_LOOP('',(#291179,#291180,#291181,#291182)); #54657=EDGE_LOOP('',(#291183,#291184,#291185,#291186)); #54658=EDGE_LOOP('',(#291187,#291188,#291189,#291190)); #54659=EDGE_LOOP('',(#291191,#291192,#291193,#291194)); #54660=EDGE_LOOP('',(#291195,#291196,#291197,#291198)); #54661=EDGE_LOOP('',(#291199,#291200,#291201,#291202)); #54662=EDGE_LOOP('',(#291203,#291204,#291205,#291206)); #54663=EDGE_LOOP('',(#291207,#291208,#291209,#291210)); #54664=EDGE_LOOP('',(#291211,#291212,#291213,#291214)); #54665=EDGE_LOOP('',(#291215,#291216,#291217,#291218)); #54666=EDGE_LOOP('',(#291219,#291220,#291221,#291222)); #54667=EDGE_LOOP('',(#291223,#291224,#291225,#291226)); #54668=EDGE_LOOP('',(#291227,#291228,#291229,#291230)); #54669=EDGE_LOOP('',(#291231,#291232,#291233,#291234)); #54670=EDGE_LOOP('',(#291235,#291236,#291237,#291238)); #54671=EDGE_LOOP('',(#291239,#291240,#291241,#291242)); #54672=EDGE_LOOP('',(#291243,#291244,#291245,#291246)); #54673=EDGE_LOOP('',(#291247,#291248,#291249,#291250)); #54674=EDGE_LOOP('',(#291251,#291252,#291253,#291254)); #54675=EDGE_LOOP('',(#291255,#291256,#291257,#291258)); #54676=EDGE_LOOP('',(#291259,#291260,#291261,#291262)); #54677=EDGE_LOOP('',(#291263,#291264,#291265,#291266)); #54678=EDGE_LOOP('',(#291267,#291268,#291269,#291270)); #54679=EDGE_LOOP('',(#291271,#291272,#291273,#291274)); #54680=EDGE_LOOP('',(#291275,#291276,#291277,#291278)); #54681=EDGE_LOOP('',(#291279,#291280,#291281,#291282)); #54682=EDGE_LOOP('',(#291283,#291284,#291285,#291286)); #54683=EDGE_LOOP('',(#291287,#291288,#291289,#291290)); #54684=EDGE_LOOP('',(#291291,#291292,#291293,#291294)); #54685=EDGE_LOOP('',(#291295,#291296,#291297,#291298)); #54686=EDGE_LOOP('',(#291299,#291300,#291301,#291302)); #54687=EDGE_LOOP('',(#291303,#291304,#291305,#291306)); #54688=EDGE_LOOP('',(#291307,#291308,#291309,#291310)); #54689=EDGE_LOOP('',(#291311,#291312,#291313,#291314)); #54690=EDGE_LOOP('',(#291315,#291316,#291317,#291318)); #54691=EDGE_LOOP('',(#291319,#291320,#291321,#291322)); #54692=EDGE_LOOP('',(#291323,#291324,#291325,#291326)); #54693=EDGE_LOOP('',(#291327,#291328,#291329,#291330)); #54694=EDGE_LOOP('',(#291331,#291332,#291333,#291334)); #54695=EDGE_LOOP('',(#291335,#291336,#291337,#291338)); #54696=EDGE_LOOP('',(#291339,#291340,#291341,#291342)); #54697=EDGE_LOOP('',(#291343,#291344,#291345,#291346)); #54698=EDGE_LOOP('',(#291347,#291348,#291349,#291350)); #54699=EDGE_LOOP('',(#291351,#291352,#291353,#291354)); #54700=EDGE_LOOP('',(#291355,#291356,#291357,#291358)); #54701=EDGE_LOOP('',(#291359,#291360,#291361,#291362)); #54702=EDGE_LOOP('',(#291363,#291364,#291365,#291366)); #54703=EDGE_LOOP('',(#291367,#291368,#291369,#291370)); #54704=EDGE_LOOP('',(#291371,#291372,#291373,#291374)); #54705=EDGE_LOOP('',(#291375,#291376,#291377,#291378)); #54706=EDGE_LOOP('',(#291379,#291380,#291381,#291382)); #54707=EDGE_LOOP('',(#291383,#291384,#291385,#291386)); #54708=EDGE_LOOP('',(#291387,#291388,#291389,#291390)); #54709=EDGE_LOOP('',(#291391,#291392,#291393,#291394)); #54710=EDGE_LOOP('',(#291395,#291396,#291397,#291398)); #54711=EDGE_LOOP('',(#291399,#291400,#291401,#291402)); #54712=EDGE_LOOP('',(#291403,#291404,#291405,#291406)); #54713=EDGE_LOOP('',(#291407,#291408,#291409,#291410)); #54714=EDGE_LOOP('',(#291411,#291412,#291413,#291414)); #54715=EDGE_LOOP('',(#291415,#291416,#291417,#291418)); #54716=EDGE_LOOP('',(#291419,#291420,#291421,#291422)); #54717=EDGE_LOOP('',(#291423,#291424,#291425,#291426)); #54718=EDGE_LOOP('',(#291427,#291428,#291429,#291430)); #54719=EDGE_LOOP('',(#291431,#291432,#291433,#291434)); #54720=EDGE_LOOP('',(#291435,#291436,#291437,#291438)); #54721=EDGE_LOOP('',(#291439,#291440,#291441,#291442)); #54722=EDGE_LOOP('',(#291443,#291444,#291445,#291446)); #54723=EDGE_LOOP('',(#291447,#291448,#291449,#291450)); #54724=EDGE_LOOP('',(#291451,#291452,#291453,#291454)); #54725=EDGE_LOOP('',(#291455,#291456,#291457,#291458)); #54726=EDGE_LOOP('',(#291459,#291460,#291461,#291462)); #54727=EDGE_LOOP('',(#291463,#291464,#291465,#291466)); #54728=EDGE_LOOP('',(#291467,#291468,#291469,#291470)); #54729=EDGE_LOOP('',(#291471,#291472,#291473,#291474)); #54730=EDGE_LOOP('',(#291475,#291476,#291477,#291478)); #54731=EDGE_LOOP('',(#291479,#291480,#291481,#291482)); #54732=EDGE_LOOP('',(#291483,#291484,#291485,#291486)); #54733=EDGE_LOOP('',(#291487,#291488,#291489,#291490)); #54734=EDGE_LOOP('',(#291491,#291492,#291493,#291494)); #54735=EDGE_LOOP('',(#291495,#291496,#291497,#291498)); #54736=EDGE_LOOP('',(#291499,#291500,#291501,#291502)); #54737=EDGE_LOOP('',(#291503,#291504,#291505,#291506)); #54738=EDGE_LOOP('',(#291507,#291508,#291509,#291510)); #54739=EDGE_LOOP('',(#291511,#291512,#291513,#291514)); #54740=EDGE_LOOP('',(#291515,#291516,#291517,#291518)); #54741=EDGE_LOOP('',(#291519,#291520,#291521,#291522)); #54742=EDGE_LOOP('',(#291523,#291524,#291525,#291526)); #54743=EDGE_LOOP('',(#291527,#291528,#291529,#291530)); #54744=EDGE_LOOP('',(#291531,#291532,#291533,#291534)); #54745=EDGE_LOOP('',(#291535,#291536,#291537,#291538)); #54746=EDGE_LOOP('',(#291539,#291540,#291541,#291542)); #54747=EDGE_LOOP('',(#291543,#291544,#291545,#291546)); #54748=EDGE_LOOP('',(#291547,#291548,#291549,#291550)); #54749=EDGE_LOOP('',(#291551,#291552,#291553,#291554)); #54750=EDGE_LOOP('',(#291555,#291556,#291557,#291558)); #54751=EDGE_LOOP('',(#291559,#291560,#291561,#291562)); #54752=EDGE_LOOP('',(#291563,#291564,#291565,#291566)); #54753=EDGE_LOOP('',(#291567,#291568,#291569,#291570)); #54754=EDGE_LOOP('',(#291571,#291572,#291573,#291574)); #54755=EDGE_LOOP('',(#291575,#291576,#291577,#291578)); #54756=EDGE_LOOP('',(#291579,#291580,#291581,#291582)); #54757=EDGE_LOOP('',(#291583,#291584,#291585,#291586)); #54758=EDGE_LOOP('',(#291587,#291588,#291589,#291590)); #54759=EDGE_LOOP('',(#291591,#291592,#291593,#291594)); #54760=EDGE_LOOP('',(#291595,#291596,#291597,#291598)); #54761=EDGE_LOOP('',(#291599,#291600,#291601,#291602)); #54762=EDGE_LOOP('',(#291603,#291604,#291605,#291606)); #54763=EDGE_LOOP('',(#291607,#291608,#291609,#291610)); #54764=EDGE_LOOP('',(#291611,#291612,#291613,#291614)); #54765=EDGE_LOOP('',(#291615,#291616,#291617,#291618)); #54766=EDGE_LOOP('',(#291619,#291620,#291621,#291622)); #54767=EDGE_LOOP('',(#291623,#291624,#291625,#291626)); #54768=EDGE_LOOP('',(#291627,#291628,#291629,#291630)); #54769=EDGE_LOOP('',(#291631,#291632,#291633,#291634)); #54770=EDGE_LOOP('',(#291635,#291636,#291637,#291638)); #54771=EDGE_LOOP('',(#291639,#291640,#291641,#291642)); #54772=EDGE_LOOP('',(#291643,#291644,#291645,#291646)); #54773=EDGE_LOOP('',(#291647,#291648,#291649,#291650)); #54774=EDGE_LOOP('',(#291651,#291652,#291653,#291654)); #54775=EDGE_LOOP('',(#291655,#291656,#291657,#291658)); #54776=EDGE_LOOP('',(#291659,#291660,#291661,#291662)); #54777=EDGE_LOOP('',(#291663,#291664,#291665,#291666)); #54778=EDGE_LOOP('',(#291667,#291668,#291669,#291670)); #54779=EDGE_LOOP('',(#291671,#291672,#291673,#291674)); #54780=EDGE_LOOP('',(#291675,#291676,#291677,#291678)); #54781=EDGE_LOOP('',(#291679,#291680,#291681,#291682)); #54782=EDGE_LOOP('',(#291683,#291684,#291685,#291686)); #54783=EDGE_LOOP('',(#291687,#291688,#291689,#291690)); #54784=EDGE_LOOP('',(#291691,#291692,#291693,#291694)); #54785=EDGE_LOOP('',(#291695,#291696,#291697,#291698)); #54786=EDGE_LOOP('',(#291699,#291700,#291701,#291702)); #54787=EDGE_LOOP('',(#291703,#291704,#291705,#291706)); #54788=EDGE_LOOP('',(#291707,#291708,#291709,#291710)); #54789=EDGE_LOOP('',(#291711,#291712,#291713,#291714)); #54790=EDGE_LOOP('',(#291715,#291716,#291717,#291718)); #54791=EDGE_LOOP('',(#291719,#291720,#291721,#291722)); #54792=EDGE_LOOP('',(#291723,#291724,#291725,#291726)); #54793=EDGE_LOOP('',(#291727,#291728,#291729,#291730)); #54794=EDGE_LOOP('',(#291731,#291732,#291733,#291734)); #54795=EDGE_LOOP('',(#291735,#291736,#291737,#291738)); #54796=EDGE_LOOP('',(#291739,#291740,#291741,#291742)); #54797=EDGE_LOOP('',(#291743,#291744,#291745,#291746)); #54798=EDGE_LOOP('',(#291747,#291748,#291749,#291750)); #54799=EDGE_LOOP('',(#291751,#291752,#291753,#291754)); #54800=EDGE_LOOP('',(#291755,#291756,#291757,#291758)); #54801=EDGE_LOOP('',(#291759,#291760,#291761,#291762)); #54802=EDGE_LOOP('',(#291763,#291764,#291765,#291766)); #54803=EDGE_LOOP('',(#291767,#291768,#291769,#291770)); #54804=EDGE_LOOP('',(#291771,#291772,#291773,#291774)); #54805=EDGE_LOOP('',(#291775,#291776,#291777,#291778)); #54806=EDGE_LOOP('',(#291779,#291780,#291781,#291782)); #54807=EDGE_LOOP('',(#291783,#291784,#291785,#291786)); #54808=EDGE_LOOP('',(#291787,#291788,#291789,#291790)); #54809=EDGE_LOOP('',(#291791,#291792,#291793,#291794)); #54810=EDGE_LOOP('',(#291795,#291796,#291797,#291798)); #54811=EDGE_LOOP('',(#291799,#291800,#291801,#291802)); #54812=EDGE_LOOP('',(#291803,#291804,#291805,#291806)); #54813=EDGE_LOOP('',(#291807,#291808,#291809,#291810)); #54814=EDGE_LOOP('',(#291811,#291812,#291813,#291814)); #54815=EDGE_LOOP('',(#291815,#291816,#291817,#291818)); #54816=EDGE_LOOP('',(#291819,#291820,#291821,#291822)); #54817=EDGE_LOOP('',(#291823,#291824,#291825,#291826)); #54818=EDGE_LOOP('',(#291827,#291828,#291829,#291830)); #54819=EDGE_LOOP('',(#291831,#291832,#291833,#291834)); #54820=EDGE_LOOP('',(#291835,#291836,#291837,#291838)); #54821=EDGE_LOOP('',(#291839,#291840,#291841,#291842)); #54822=EDGE_LOOP('',(#291843,#291844,#291845,#291846)); #54823=EDGE_LOOP('',(#291847,#291848,#291849,#291850)); #54824=EDGE_LOOP('',(#291851,#291852,#291853,#291854)); #54825=EDGE_LOOP('',(#291855,#291856,#291857,#291858)); #54826=EDGE_LOOP('',(#291859,#291860,#291861,#291862)); #54827=EDGE_LOOP('',(#291863,#291864,#291865,#291866)); #54828=EDGE_LOOP('',(#291867,#291868,#291869,#291870)); #54829=EDGE_LOOP('',(#291871,#291872,#291873,#291874)); #54830=EDGE_LOOP('',(#291875,#291876,#291877,#291878)); #54831=EDGE_LOOP('',(#291879,#291880,#291881,#291882)); #54832=EDGE_LOOP('',(#291883,#291884,#291885,#291886)); #54833=EDGE_LOOP('',(#291887,#291888,#291889,#291890)); #54834=EDGE_LOOP('',(#291891,#291892,#291893,#291894)); #54835=EDGE_LOOP('',(#291895,#291896,#291897,#291898)); #54836=EDGE_LOOP('',(#291899,#291900,#291901,#291902)); #54837=EDGE_LOOP('',(#291903,#291904,#291905,#291906)); #54838=EDGE_LOOP('',(#291907,#291908,#291909,#291910)); #54839=EDGE_LOOP('',(#291911,#291912,#291913,#291914)); #54840=EDGE_LOOP('',(#291915,#291916,#291917,#291918)); #54841=EDGE_LOOP('',(#291919,#291920,#291921,#291922)); #54842=EDGE_LOOP('',(#291923,#291924,#291925,#291926)); #54843=EDGE_LOOP('',(#291927,#291928,#291929,#291930)); #54844=EDGE_LOOP('',(#291931,#291932,#291933,#291934)); #54845=EDGE_LOOP('',(#291935,#291936,#291937,#291938)); #54846=EDGE_LOOP('',(#291939,#291940,#291941,#291942)); #54847=EDGE_LOOP('',(#291943,#291944,#291945,#291946)); #54848=EDGE_LOOP('',(#291947,#291948,#291949,#291950)); #54849=EDGE_LOOP('',(#291951,#291952,#291953,#291954)); #54850=EDGE_LOOP('',(#291955,#291956,#291957,#291958)); #54851=EDGE_LOOP('',(#291959,#291960,#291961,#291962)); #54852=EDGE_LOOP('',(#291963,#291964,#291965,#291966)); #54853=EDGE_LOOP('',(#291967,#291968,#291969,#291970)); #54854=EDGE_LOOP('',(#291971,#291972,#291973,#291974)); #54855=EDGE_LOOP('',(#291975,#291976,#291977,#291978)); #54856=EDGE_LOOP('',(#291979,#291980,#291981,#291982)); #54857=EDGE_LOOP('',(#291983,#291984,#291985,#291986)); #54858=EDGE_LOOP('',(#291987,#291988,#291989,#291990)); #54859=EDGE_LOOP('',(#291991,#291992,#291993,#291994)); #54860=EDGE_LOOP('',(#291995,#291996,#291997,#291998)); #54861=EDGE_LOOP('',(#291999,#292000,#292001,#292002,#292003,#292004,#292005, #292006,#292007,#292008,#292009,#292010,#292011,#292012,#292013,#292014, #292015,#292016,#292017,#292018,#292019,#292020,#292021,#292022,#292023, #292024,#292025,#292026,#292027,#292028,#292029,#292030,#292031,#292032, #292033,#292034,#292035,#292036,#292037,#292038,#292039,#292040,#292041, #292042,#292043,#292044,#292045,#292046,#292047,#292048,#292049,#292050, #292051,#292052,#292053,#292054,#292055,#292056,#292057,#292058,#292059, #292060,#292061,#292062,#292063,#292064,#292065,#292066,#292067,#292068, #292069,#292070,#292071,#292072,#292073,#292074,#292075,#292076,#292077, #292078,#292079,#292080,#292081,#292082,#292083,#292084,#292085,#292086, #292087,#292088,#292089,#292090,#292091,#292092,#292093,#292094,#292095, #292096,#292097,#292098,#292099,#292100,#292101,#292102,#292103,#292104, #292105,#292106,#292107,#292108,#292109,#292110,#292111,#292112,#292113, #292114,#292115,#292116,#292117,#292118)); #54862=EDGE_LOOP('',(#292119)); #54863=EDGE_LOOP('',(#292120)); #54864=EDGE_LOOP('',(#292121)); #54865=EDGE_LOOP('',(#292122)); #54866=EDGE_LOOP('',(#292123)); #54867=EDGE_LOOP('',(#292124)); #54868=EDGE_LOOP('',(#292125)); #54869=EDGE_LOOP('',(#292126)); #54870=EDGE_LOOP('',(#292127)); #54871=EDGE_LOOP('',(#292128)); #54872=EDGE_LOOP('',(#292129)); #54873=EDGE_LOOP('',(#292130)); #54874=EDGE_LOOP('',(#292131)); #54875=EDGE_LOOP('',(#292132)); #54876=EDGE_LOOP('',(#292133)); #54877=EDGE_LOOP('',(#292134)); #54878=EDGE_LOOP('',(#292135)); #54879=EDGE_LOOP('',(#292136)); #54880=EDGE_LOOP('',(#292137)); #54881=EDGE_LOOP('',(#292138)); #54882=EDGE_LOOP('',(#292139)); #54883=EDGE_LOOP('',(#292140)); #54884=EDGE_LOOP('',(#292141)); #54885=EDGE_LOOP('',(#292142)); #54886=EDGE_LOOP('',(#292143)); #54887=EDGE_LOOP('',(#292144)); #54888=EDGE_LOOP('',(#292145)); #54889=EDGE_LOOP('',(#292146)); #54890=EDGE_LOOP('',(#292147)); #54891=EDGE_LOOP('',(#292148)); #54892=EDGE_LOOP('',(#292149)); #54893=EDGE_LOOP('',(#292150)); #54894=EDGE_LOOP('',(#292151)); #54895=EDGE_LOOP('',(#292152)); #54896=EDGE_LOOP('',(#292153)); #54897=EDGE_LOOP('',(#292154)); #54898=EDGE_LOOP('',(#292155)); #54899=EDGE_LOOP('',(#292156)); #54900=EDGE_LOOP('',(#292157)); #54901=EDGE_LOOP('',(#292158)); #54902=EDGE_LOOP('',(#292159)); #54903=EDGE_LOOP('',(#292160)); #54904=EDGE_LOOP('',(#292161)); #54905=EDGE_LOOP('',(#292162)); #54906=EDGE_LOOP('',(#292163)); #54907=EDGE_LOOP('',(#292164)); #54908=EDGE_LOOP('',(#292165)); #54909=EDGE_LOOP('',(#292166)); #54910=EDGE_LOOP('',(#292167)); #54911=EDGE_LOOP('',(#292168)); #54912=EDGE_LOOP('',(#292169)); #54913=EDGE_LOOP('',(#292170)); #54914=EDGE_LOOP('',(#292171)); #54915=EDGE_LOOP('',(#292172)); #54916=EDGE_LOOP('',(#292173)); #54917=EDGE_LOOP('',(#292174)); #54918=EDGE_LOOP('',(#292175)); #54919=EDGE_LOOP('',(#292176)); #54920=EDGE_LOOP('',(#292177)); #54921=EDGE_LOOP('',(#292178)); #54922=EDGE_LOOP('',(#292179)); #54923=EDGE_LOOP('',(#292180)); #54924=EDGE_LOOP('',(#292181)); #54925=EDGE_LOOP('',(#292182)); #54926=EDGE_LOOP('',(#292183,#292184,#292185,#292186)); #54927=EDGE_LOOP('',(#292187,#292188,#292189,#292190)); #54928=EDGE_LOOP('',(#292191)); #54929=EDGE_LOOP('',(#292192)); #54930=EDGE_LOOP('',(#292193,#292194,#292195,#292196)); #54931=EDGE_LOOP('',(#292197)); #54932=EDGE_LOOP('',(#292198)); #54933=EDGE_LOOP('',(#292199)); #54934=EDGE_LOOP('',(#292200)); #54935=EDGE_LOOP('',(#292201)); #54936=EDGE_LOOP('',(#292202,#292203,#292204,#292205)); #54937=EDGE_LOOP('',(#292206)); #54938=EDGE_LOOP('',(#292207,#292208,#292209,#292210)); #54939=EDGE_LOOP('',(#292211,#292212,#292213,#292214)); #54940=EDGE_LOOP('',(#292215)); #54941=EDGE_LOOP('',(#292216)); #54942=EDGE_LOOP('',(#292217)); #54943=EDGE_LOOP('',(#292218)); #54944=EDGE_LOOP('',(#292219)); #54945=EDGE_LOOP('',(#292220)); #54946=EDGE_LOOP('',(#292221)); #54947=EDGE_LOOP('',(#292222)); #54948=EDGE_LOOP('',(#292223)); #54949=EDGE_LOOP('',(#292224)); #54950=EDGE_LOOP('',(#292225)); #54951=EDGE_LOOP('',(#292226)); #54952=EDGE_LOOP('',(#292227)); #54953=EDGE_LOOP('',(#292228)); #54954=EDGE_LOOP('',(#292229)); #54955=EDGE_LOOP('',(#292230)); #54956=EDGE_LOOP('',(#292231)); #54957=EDGE_LOOP('',(#292232)); #54958=EDGE_LOOP('',(#292233)); #54959=EDGE_LOOP('',(#292234)); #54960=EDGE_LOOP('',(#292235)); #54961=EDGE_LOOP('',(#292236)); #54962=EDGE_LOOP('',(#292237)); #54963=EDGE_LOOP('',(#292238)); #54964=EDGE_LOOP('',(#292239)); #54965=EDGE_LOOP('',(#292240)); #54966=EDGE_LOOP('',(#292241)); #54967=EDGE_LOOP('',(#292242)); #54968=EDGE_LOOP('',(#292243)); #54969=EDGE_LOOP('',(#292244)); #54970=EDGE_LOOP('',(#292245)); #54971=EDGE_LOOP('',(#292246)); #54972=EDGE_LOOP('',(#292247)); #54973=EDGE_LOOP('',(#292248)); #54974=EDGE_LOOP('',(#292249)); #54975=EDGE_LOOP('',(#292250)); #54976=EDGE_LOOP('',(#292251)); #54977=EDGE_LOOP('',(#292252)); #54978=EDGE_LOOP('',(#292253)); #54979=EDGE_LOOP('',(#292254)); #54980=EDGE_LOOP('',(#292255)); #54981=EDGE_LOOP('',(#292256)); #54982=EDGE_LOOP('',(#292257)); #54983=EDGE_LOOP('',(#292258)); #54984=EDGE_LOOP('',(#292259)); #54985=EDGE_LOOP('',(#292260)); #54986=EDGE_LOOP('',(#292261)); #54987=EDGE_LOOP('',(#292262)); #54988=EDGE_LOOP('',(#292263)); #54989=EDGE_LOOP('',(#292264)); #54990=EDGE_LOOP('',(#292265)); #54991=EDGE_LOOP('',(#292266)); #54992=EDGE_LOOP('',(#292267,#292268,#292269,#292270,#292271,#292272,#292273, #292274,#292275,#292276,#292277,#292278,#292279,#292280,#292281,#292282, #292283,#292284,#292285,#292286,#292287,#292288,#292289,#292290,#292291, #292292,#292293,#292294,#292295,#292296)); #54993=EDGE_LOOP('',(#292297,#292298,#292299,#292300,#292301,#292302,#292303, #292304,#292305,#292306,#292307,#292308,#292309,#292310,#292311,#292312, #292313,#292314,#292315,#292316,#292317,#292318,#292319,#292320,#292321, #292322,#292323,#292324,#292325,#292326)); #54994=EDGE_LOOP('',(#292327,#292328,#292329,#292330,#292331,#292332,#292333, #292334,#292335,#292336,#292337,#292338,#292339,#292340,#292341,#292342, #292343,#292344,#292345,#292346,#292347,#292348,#292349,#292350,#292351, #292352,#292353,#292354,#292355,#292356)); #54995=EDGE_LOOP('',(#292357,#292358,#292359,#292360,#292361,#292362,#292363, #292364,#292365,#292366,#292367,#292368,#292369,#292370,#292371,#292372, #292373,#292374,#292375,#292376,#292377,#292378,#292379,#292380,#292381, #292382,#292383,#292384,#292385,#292386,#292387,#292388,#292389,#292390, #292391,#292392,#292393,#292394,#292395,#292396,#292397,#292398,#292399, #292400,#292401,#292402,#292403,#292404,#292405,#292406,#292407,#292408, #292409,#292410,#292411,#292412,#292413,#292414,#292415,#292416,#292417, #292418,#292419,#292420,#292421,#292422,#292423,#292424,#292425,#292426, #292427,#292428,#292429,#292430,#292431,#292432,#292433,#292434,#292435, #292436,#292437,#292438,#292439,#292440,#292441,#292442,#292443,#292444, #292445,#292446,#292447,#292448,#292449,#292450,#292451,#292452,#292453, #292454,#292455,#292456,#292457,#292458,#292459,#292460,#292461,#292462, #292463,#292464,#292465,#292466,#292467,#292468,#292469,#292470,#292471, #292472,#292473,#292474,#292475,#292476,#292477,#292478,#292479,#292480, #292481,#292482,#292483,#292484,#292485,#292486,#292487,#292488,#292489, #292490,#292491,#292492,#292493,#292494,#292495,#292496,#292497,#292498, #292499,#292500,#292501,#292502,#292503,#292504,#292505,#292506,#292507, #292508,#292509,#292510,#292511,#292512,#292513,#292514,#292515,#292516, #292517,#292518,#292519,#292520,#292521,#292522,#292523,#292524,#292525, #292526,#292527,#292528,#292529,#292530,#292531,#292532,#292533,#292534, #292535,#292536,#292537,#292538,#292539,#292540,#292541,#292542,#292543, #292544,#292545,#292546,#292547,#292548,#292549,#292550,#292551,#292552, #292553,#292554,#292555,#292556,#292557,#292558,#292559,#292560,#292561, #292562,#292563,#292564,#292565,#292566,#292567,#292568,#292569,#292570, #292571,#292572,#292573,#292574,#292575,#292576,#292577,#292578,#292579, #292580,#292581,#292582,#292583,#292584,#292585,#292586,#292587,#292588, #292589,#292590,#292591,#292592,#292593,#292594,#292595,#292596,#292597, #292598,#292599,#292600,#292601,#292602,#292603,#292604,#292605,#292606, #292607)); #54996=EDGE_LOOP('',(#292608,#292609,#292610,#292611,#292612,#292613,#292614, #292615,#292616,#292617,#292618,#292619,#292620,#292621,#292622,#292623, #292624,#292625,#292626,#292627,#292628,#292629,#292630,#292631,#292632, #292633,#292634,#292635,#292636,#292637,#292638,#292639,#292640,#292641, #292642,#292643,#292644,#292645,#292646,#292647,#292648,#292649,#292650, #292651,#292652,#292653,#292654,#292655,#292656,#292657,#292658,#292659, #292660,#292661,#292662,#292663,#292664,#292665,#292666,#292667,#292668, #292669,#292670,#292671,#292672,#292673,#292674,#292675,#292676,#292677, #292678,#292679,#292680,#292681,#292682,#292683,#292684,#292685,#292686, #292687,#292688,#292689,#292690,#292691,#292692,#292693,#292694,#292695, #292696,#292697,#292698,#292699,#292700,#292701,#292702,#292703,#292704, #292705,#292706,#292707)); #54997=EDGE_LOOP('',(#292708,#292709,#292710,#292711,#292712,#292713,#292714, #292715,#292716,#292717,#292718,#292719,#292720,#292721,#292722,#292723, #292724,#292725,#292726,#292727,#292728,#292729,#292730,#292731,#292732, #292733,#292734,#292735,#292736,#292737,#292738,#292739,#292740,#292741, #292742,#292743,#292744,#292745,#292746,#292747,#292748,#292749,#292750, #292751,#292752,#292753,#292754,#292755,#292756,#292757,#292758,#292759, #292760,#292761,#292762,#292763,#292764,#292765,#292766,#292767,#292768, #292769,#292770,#292771,#292772,#292773,#292774,#292775,#292776,#292777, #292778,#292779,#292780,#292781,#292782,#292783,#292784,#292785,#292786, #292787,#292788,#292789,#292790,#292791,#292792,#292793,#292794,#292795, #292796,#292797,#292798,#292799,#292800,#292801,#292802,#292803,#292804, #292805,#292806,#292807,#292808,#292809,#292810,#292811,#292812,#292813, #292814,#292815,#292816,#292817,#292818,#292819,#292820,#292821,#292822, #292823,#292824,#292825,#292826,#292827,#292828,#292829,#292830,#292831, #292832,#292833,#292834,#292835,#292836,#292837,#292838,#292839,#292840, #292841,#292842,#292843,#292844,#292845,#292846,#292847,#292848,#292849, #292850,#292851,#292852,#292853,#292854,#292855,#292856,#292857,#292858, #292859,#292860,#292861,#292862,#292863,#292864,#292865,#292866,#292867, #292868,#292869,#292870,#292871,#292872,#292873,#292874,#292875,#292876, #292877,#292878,#292879,#292880,#292881,#292882,#292883,#292884,#292885, #292886,#292887,#292888,#292889,#292890,#292891,#292892,#292893,#292894, #292895,#292896,#292897,#292898,#292899,#292900,#292901,#292902,#292903, #292904,#292905,#292906,#292907,#292908,#292909,#292910,#292911,#292912, #292913,#292914,#292915,#292916,#292917,#292918,#292919,#292920,#292921, #292922,#292923,#292924,#292925,#292926,#292927,#292928,#292929,#292930, #292931,#292932,#292933,#292934,#292935,#292936,#292937,#292938,#292939, #292940,#292941,#292942,#292943,#292944,#292945,#292946,#292947,#292948, #292949,#292950,#292951,#292952,#292953,#292954,#292955,#292956,#292957, #292958,#292959,#292960,#292961,#292962,#292963,#292964,#292965,#292966, #292967,#292968,#292969,#292970,#292971,#292972,#292973,#292974,#292975, #292976,#292977,#292978,#292979,#292980,#292981,#292982,#292983,#292984, #292985,#292986,#292987,#292988,#292989,#292990,#292991,#292992,#292993, #292994,#292995,#292996,#292997,#292998,#292999,#293000,#293001,#293002, #293003,#293004,#293005,#293006,#293007,#293008,#293009,#293010,#293011, #293012,#293013,#293014,#293015,#293016,#293017,#293018,#293019,#293020, #293021,#293022,#293023,#293024,#293025,#293026,#293027,#293028,#293029, #293030,#293031,#293032,#293033,#293034,#293035,#293036,#293037,#293038, #293039,#293040,#293041,#293042,#293043,#293044,#293045,#293046,#293047, #293048,#293049,#293050,#293051,#293052,#293053,#293054,#293055,#293056, #293057,#293058,#293059,#293060,#293061,#293062,#293063,#293064,#293065, #293066,#293067,#293068,#293069,#293070,#293071,#293072,#293073,#293074, #293075,#293076,#293077,#293078,#293079,#293080,#293081,#293082,#293083, #293084,#293085,#293086,#293087,#293088,#293089,#293090,#293091,#293092, #293093,#293094,#293095,#293096,#293097,#293098,#293099,#293100,#293101, #293102,#293103,#293104,#293105,#293106,#293107,#293108,#293109,#293110, #293111,#293112,#293113,#293114,#293115,#293116,#293117,#293118,#293119, #293120,#293121,#293122,#293123,#293124,#293125,#293126,#293127,#293128, #293129,#293130,#293131,#293132,#293133,#293134,#293135,#293136,#293137, #293138,#293139,#293140,#293141,#293142,#293143,#293144,#293145,#293146, #293147,#293148,#293149,#293150,#293151,#293152,#293153,#293154,#293155, #293156,#293157,#293158,#293159,#293160,#293161,#293162,#293163,#293164, #293165,#293166,#293167,#293168,#293169,#293170,#293171,#293172,#293173, #293174,#293175,#293176,#293177,#293178,#293179,#293180,#293181,#293182, #293183,#293184,#293185,#293186,#293187,#293188,#293189,#293190,#293191, #293192,#293193,#293194,#293195,#293196,#293197,#293198,#293199,#293200, #293201,#293202,#293203,#293204,#293205,#293206,#293207,#293208,#293209, #293210,#293211,#293212,#293213,#293214,#293215,#293216,#293217,#293218, #293219,#293220,#293221,#293222,#293223,#293224,#293225,#293226,#293227, #293228,#293229,#293230,#293231,#293232,#293233,#293234,#293235,#293236, #293237,#293238,#293239,#293240,#293241,#293242,#293243,#293244,#293245, #293246,#293247,#293248,#293249,#293250,#293251,#293252,#293253,#293254, #293255,#293256,#293257,#293258,#293259,#293260,#293261,#293262,#293263, #293264,#293265,#293266,#293267,#293268,#293269,#293270,#293271,#293272, #293273,#293274,#293275,#293276,#293277,#293278,#293279,#293280,#293281, #293282,#293283,#293284,#293285,#293286,#293287,#293288,#293289,#293290, #293291,#293292,#293293,#293294,#293295,#293296,#293297,#293298,#293299, #293300,#293301,#293302,#293303,#293304,#293305,#293306,#293307,#293308, #293309,#293310,#293311,#293312,#293313,#293314,#293315,#293316,#293317, #293318,#293319,#293320,#293321,#293322,#293323,#293324,#293325,#293326, #293327,#293328,#293329,#293330,#293331,#293332,#293333,#293334,#293335, #293336,#293337,#293338,#293339,#293340,#293341,#293342,#293343,#293344, #293345,#293346,#293347,#293348,#293349,#293350,#293351,#293352,#293353)); #54998=EDGE_LOOP('',(#293354,#293355,#293356,#293357,#293358,#293359,#293360, #293361,#293362,#293363,#293364,#293365,#293366,#293367,#293368,#293369, #293370,#293371,#293372,#293373,#293374,#293375,#293376,#293377)); #54999=EDGE_LOOP('',(#293378,#293379,#293380,#293381,#293382,#293383,#293384, #293385,#293386,#293387,#293388,#293389,#293390,#293391,#293392,#293393, #293394,#293395,#293396,#293397,#293398,#293399,#293400,#293401,#293402, #293403,#293404,#293405,#293406,#293407,#293408,#293409,#293410,#293411, #293412,#293413,#293414,#293415)); #55000=EDGE_LOOP('',(#293416,#293417,#293418,#293419,#293420,#293421,#293422, #293423,#293424,#293425,#293426,#293427,#293428,#293429,#293430,#293431, #293432,#293433,#293434,#293435,#293436,#293437,#293438,#293439,#293440, #293441,#293442,#293443,#293444,#293445,#293446,#293447,#293448,#293449, #293450,#293451,#293452,#293453,#293454,#293455)); #55001=EDGE_LOOP('',(#293456,#293457,#293458,#293459,#293460,#293461,#293462, #293463,#293464,#293465,#293466,#293467,#293468,#293469,#293470,#293471, #293472,#293473,#293474,#293475,#293476,#293477,#293478,#293479,#293480, #293481,#293482,#293483,#293484,#293485,#293486,#293487,#293488,#293489)); #55002=EDGE_LOOP('',(#293490,#293491,#293492,#293493,#293494,#293495,#293496, #293497,#293498,#293499,#293500,#293501,#293502,#293503,#293504,#293505, #293506,#293507,#293508,#293509,#293510,#293511,#293512,#293513,#293514, #293515,#293516,#293517,#293518,#293519,#293520,#293521,#293522,#293523)); #55003=EDGE_LOOP('',(#293524,#293525,#293526,#293527,#293528,#293529,#293530, #293531)); #55004=EDGE_LOOP('',(#293532,#293533,#293534,#293535,#293536,#293537,#293538, #293539)); #55005=EDGE_LOOP('',(#293540,#293541,#293542,#293543,#293544,#293545,#293546, #293547)); #55006=EDGE_LOOP('',(#293548,#293549,#293550,#293551,#293552,#293553,#293554, #293555,#293556,#293557,#293558,#293559,#293560,#293561,#293562,#293563, #293564,#293565,#293566,#293567,#293568,#293569,#293570,#293571,#293572, #293573,#293574,#293575,#293576,#293577,#293578,#293579,#293580,#293581, #293582,#293583,#293584,#293585,#293586,#293587,#293588,#293589,#293590, #293591,#293592,#293593,#293594,#293595,#293596,#293597,#293598,#293599, #293600,#293601,#293602,#293603,#293604,#293605,#293606,#293607,#293608, #293609,#293610,#293611,#293612,#293613,#293614,#293615,#293616,#293617, #293618,#293619,#293620,#293621,#293622,#293623,#293624,#293625,#293626, #293627,#293628,#293629,#293630,#293631,#293632,#293633,#293634,#293635, #293636,#293637,#293638,#293639,#293640,#293641,#293642,#293643,#293644, #293645,#293646,#293647,#293648,#293649,#293650,#293651,#293652,#293653, #293654,#293655,#293656,#293657,#293658,#293659,#293660,#293661,#293662, #293663,#293664,#293665,#293666,#293667,#293668,#293669,#293670,#293671, #293672,#293673,#293674,#293675,#293676,#293677,#293678,#293679)); #55007=EDGE_LOOP('',(#293680,#293681,#293682,#293683,#293684,#293685,#293686, #293687,#293688,#293689,#293690,#293691,#293692,#293693,#293694,#293695, #293696,#293697,#293698,#293699,#293700,#293701,#293702,#293703,#293704, #293705,#293706,#293707,#293708,#293709,#293710,#293711,#293712,#293713, #293714,#293715,#293716,#293717,#293718,#293719,#293720,#293721,#293722, #293723,#293724,#293725,#293726,#293727,#293728,#293729,#293730,#293731, #293732,#293733,#293734,#293735,#293736,#293737,#293738,#293739,#293740, #293741,#293742,#293743,#293744,#293745,#293746,#293747,#293748,#293749, #293750,#293751,#293752,#293753,#293754,#293755,#293756,#293757,#293758, #293759,#293760,#293761,#293762,#293763,#293764,#293765,#293766,#293767, #293768,#293769,#293770,#293771,#293772,#293773,#293774,#293775,#293776, #293777,#293778,#293779,#293780,#293781,#293782,#293783,#293784,#293785, #293786,#293787,#293788,#293789,#293790,#293791,#293792,#293793,#293794, #293795,#293796,#293797,#293798,#293799)); #55008=EDGE_LOOP('',(#293800)); #55009=EDGE_LOOP('',(#293801)); #55010=EDGE_LOOP('',(#293802)); #55011=EDGE_LOOP('',(#293803)); #55012=EDGE_LOOP('',(#293804)); #55013=EDGE_LOOP('',(#293805)); #55014=EDGE_LOOP('',(#293806)); #55015=EDGE_LOOP('',(#293807)); #55016=EDGE_LOOP('',(#293808)); #55017=EDGE_LOOP('',(#293809)); #55018=EDGE_LOOP('',(#293810)); #55019=EDGE_LOOP('',(#293811)); #55020=EDGE_LOOP('',(#293812)); #55021=EDGE_LOOP('',(#293813)); #55022=EDGE_LOOP('',(#293814)); #55023=EDGE_LOOP('',(#293815)); #55024=EDGE_LOOP('',(#293816)); #55025=EDGE_LOOP('',(#293817)); #55026=EDGE_LOOP('',(#293818)); #55027=EDGE_LOOP('',(#293819)); #55028=EDGE_LOOP('',(#293820)); #55029=EDGE_LOOP('',(#293821)); #55030=EDGE_LOOP('',(#293822)); #55031=EDGE_LOOP('',(#293823)); #55032=EDGE_LOOP('',(#293824)); #55033=EDGE_LOOP('',(#293825)); #55034=EDGE_LOOP('',(#293826)); #55035=EDGE_LOOP('',(#293827)); #55036=EDGE_LOOP('',(#293828)); #55037=EDGE_LOOP('',(#293829)); #55038=EDGE_LOOP('',(#293830)); #55039=EDGE_LOOP('',(#293831)); #55040=EDGE_LOOP('',(#293832)); #55041=EDGE_LOOP('',(#293833)); #55042=EDGE_LOOP('',(#293834)); #55043=EDGE_LOOP('',(#293835)); #55044=EDGE_LOOP('',(#293836)); #55045=EDGE_LOOP('',(#293837)); #55046=EDGE_LOOP('',(#293838)); #55047=EDGE_LOOP('',(#293839)); #55048=EDGE_LOOP('',(#293840)); #55049=EDGE_LOOP('',(#293841)); #55050=EDGE_LOOP('',(#293842)); #55051=EDGE_LOOP('',(#293843)); #55052=EDGE_LOOP('',(#293844)); #55053=EDGE_LOOP('',(#293845)); #55054=EDGE_LOOP('',(#293846)); #55055=EDGE_LOOP('',(#293847)); #55056=EDGE_LOOP('',(#293848)); #55057=EDGE_LOOP('',(#293849)); #55058=EDGE_LOOP('',(#293850)); #55059=EDGE_LOOP('',(#293851)); #55060=EDGE_LOOP('',(#293852)); #55061=EDGE_LOOP('',(#293853)); #55062=EDGE_LOOP('',(#293854)); #55063=EDGE_LOOP('',(#293855)); #55064=EDGE_LOOP('',(#293856)); #55065=EDGE_LOOP('',(#293857)); #55066=EDGE_LOOP('',(#293858)); #55067=EDGE_LOOP('',(#293859)); #55068=EDGE_LOOP('',(#293860)); #55069=EDGE_LOOP('',(#293861)); #55070=EDGE_LOOP('',(#293862)); #55071=EDGE_LOOP('',(#293863)); #55072=EDGE_LOOP('',(#293864,#293865,#293866,#293867)); #55073=EDGE_LOOP('',(#293868,#293869,#293870,#293871)); #55074=EDGE_LOOP('',(#293872)); #55075=EDGE_LOOP('',(#293873)); #55076=EDGE_LOOP('',(#293874,#293875,#293876,#293877)); #55077=EDGE_LOOP('',(#293878)); #55078=EDGE_LOOP('',(#293879)); #55079=EDGE_LOOP('',(#293880)); #55080=EDGE_LOOP('',(#293881)); #55081=EDGE_LOOP('',(#293882)); #55082=EDGE_LOOP('',(#293883,#293884,#293885,#293886)); #55083=EDGE_LOOP('',(#293887)); #55084=EDGE_LOOP('',(#293888,#293889,#293890,#293891)); #55085=EDGE_LOOP('',(#293892,#293893,#293894,#293895)); #55086=EDGE_LOOP('',(#293896)); #55087=EDGE_LOOP('',(#293897)); #55088=EDGE_LOOP('',(#293898)); #55089=EDGE_LOOP('',(#293899)); #55090=EDGE_LOOP('',(#293900)); #55091=EDGE_LOOP('',(#293901)); #55092=EDGE_LOOP('',(#293902)); #55093=EDGE_LOOP('',(#293903)); #55094=EDGE_LOOP('',(#293904)); #55095=EDGE_LOOP('',(#293905)); #55096=EDGE_LOOP('',(#293906)); #55097=EDGE_LOOP('',(#293907)); #55098=EDGE_LOOP('',(#293908)); #55099=EDGE_LOOP('',(#293909)); #55100=EDGE_LOOP('',(#293910)); #55101=EDGE_LOOP('',(#293911)); #55102=EDGE_LOOP('',(#293912)); #55103=EDGE_LOOP('',(#293913)); #55104=EDGE_LOOP('',(#293914)); #55105=EDGE_LOOP('',(#293915)); #55106=EDGE_LOOP('',(#293916)); #55107=EDGE_LOOP('',(#293917)); #55108=EDGE_LOOP('',(#293918)); #55109=EDGE_LOOP('',(#293919)); #55110=EDGE_LOOP('',(#293920)); #55111=EDGE_LOOP('',(#293921)); #55112=EDGE_LOOP('',(#293922)); #55113=EDGE_LOOP('',(#293923)); #55114=EDGE_LOOP('',(#293924)); #55115=EDGE_LOOP('',(#293925)); #55116=EDGE_LOOP('',(#293926)); #55117=EDGE_LOOP('',(#293927)); #55118=EDGE_LOOP('',(#293928)); #55119=EDGE_LOOP('',(#293929)); #55120=EDGE_LOOP('',(#293930)); #55121=EDGE_LOOP('',(#293931)); #55122=EDGE_LOOP('',(#293932)); #55123=EDGE_LOOP('',(#293933)); #55124=EDGE_LOOP('',(#293934)); #55125=EDGE_LOOP('',(#293935)); #55126=EDGE_LOOP('',(#293936)); #55127=EDGE_LOOP('',(#293937)); #55128=EDGE_LOOP('',(#293938)); #55129=EDGE_LOOP('',(#293939)); #55130=EDGE_LOOP('',(#293940)); #55131=EDGE_LOOP('',(#293941)); #55132=EDGE_LOOP('',(#293942)); #55133=EDGE_LOOP('',(#293943)); #55134=EDGE_LOOP('',(#293944)); #55135=EDGE_LOOP('',(#293945)); #55136=EDGE_LOOP('',(#293946)); #55137=EDGE_LOOP('',(#293947)); #55138=EDGE_LOOP('',(#293948,#293949,#293950,#293951,#293952,#293953,#293954, #293955,#293956,#293957,#293958,#293959,#293960,#293961,#293962,#293963, #293964,#293965,#293966,#293967,#293968,#293969,#293970,#293971,#293972, #293973,#293974,#293975,#293976,#293977)); #55139=EDGE_LOOP('',(#293978,#293979,#293980,#293981,#293982,#293983,#293984, #293985,#293986,#293987,#293988,#293989,#293990,#293991,#293992,#293993, #293994,#293995,#293996,#293997,#293998,#293999,#294000,#294001,#294002, #294003,#294004,#294005,#294006,#294007)); #55140=EDGE_LOOP('',(#294008,#294009,#294010,#294011,#294012,#294013,#294014, #294015,#294016,#294017,#294018,#294019,#294020,#294021,#294022,#294023, #294024,#294025,#294026,#294027,#294028,#294029,#294030,#294031,#294032, #294033,#294034,#294035,#294036,#294037)); #55141=EDGE_LOOP('',(#294038,#294039,#294040,#294041,#294042,#294043,#294044, #294045,#294046,#294047,#294048,#294049,#294050,#294051,#294052,#294053, #294054,#294055,#294056,#294057,#294058,#294059,#294060,#294061,#294062, #294063,#294064,#294065,#294066,#294067,#294068,#294069,#294070,#294071, #294072,#294073,#294074,#294075,#294076,#294077,#294078,#294079,#294080, #294081,#294082,#294083,#294084,#294085,#294086,#294087,#294088,#294089, #294090,#294091,#294092,#294093,#294094,#294095,#294096,#294097,#294098, #294099,#294100,#294101,#294102,#294103,#294104,#294105,#294106,#294107, #294108,#294109,#294110,#294111,#294112,#294113,#294114,#294115,#294116, #294117,#294118,#294119,#294120,#294121,#294122,#294123,#294124,#294125, #294126,#294127,#294128,#294129,#294130,#294131,#294132,#294133,#294134, #294135,#294136,#294137,#294138,#294139,#294140,#294141,#294142,#294143, #294144,#294145,#294146,#294147,#294148,#294149,#294150,#294151,#294152, #294153,#294154,#294155,#294156,#294157,#294158,#294159,#294160,#294161, #294162,#294163,#294164,#294165,#294166,#294167,#294168,#294169,#294170, #294171,#294172,#294173,#294174,#294175,#294176,#294177,#294178,#294179, #294180,#294181,#294182,#294183,#294184,#294185,#294186,#294187,#294188, #294189,#294190,#294191,#294192,#294193,#294194,#294195,#294196,#294197, #294198,#294199,#294200,#294201,#294202,#294203,#294204,#294205,#294206, #294207,#294208,#294209,#294210,#294211,#294212,#294213,#294214,#294215, #294216,#294217,#294218,#294219,#294220,#294221,#294222,#294223,#294224, #294225,#294226,#294227,#294228,#294229,#294230,#294231,#294232,#294233, #294234,#294235,#294236,#294237,#294238,#294239,#294240,#294241,#294242, #294243,#294244,#294245,#294246,#294247,#294248,#294249,#294250,#294251, #294252,#294253,#294254,#294255,#294256,#294257,#294258,#294259,#294260, #294261,#294262,#294263,#294264,#294265,#294266,#294267,#294268,#294269, #294270,#294271,#294272,#294273,#294274,#294275,#294276,#294277,#294278, #294279,#294280,#294281,#294282,#294283,#294284,#294285,#294286,#294287, #294288)); #55142=EDGE_LOOP('',(#294289,#294290,#294291,#294292,#294293,#294294,#294295, #294296,#294297,#294298,#294299,#294300,#294301,#294302,#294303,#294304, #294305,#294306,#294307,#294308,#294309,#294310,#294311,#294312,#294313, #294314,#294315,#294316,#294317,#294318,#294319,#294320,#294321,#294322, #294323,#294324,#294325,#294326,#294327,#294328,#294329,#294330,#294331, #294332,#294333,#294334,#294335,#294336,#294337,#294338,#294339,#294340, #294341,#294342,#294343,#294344,#294345,#294346,#294347,#294348,#294349, #294350,#294351,#294352,#294353,#294354,#294355,#294356,#294357,#294358, #294359,#294360,#294361,#294362,#294363,#294364,#294365,#294366,#294367, #294368,#294369,#294370,#294371,#294372,#294373,#294374,#294375,#294376, #294377,#294378,#294379,#294380,#294381,#294382,#294383,#294384,#294385, #294386,#294387,#294388)); #55143=EDGE_LOOP('',(#294389,#294390,#294391,#294392,#294393,#294394,#294395, #294396,#294397,#294398,#294399,#294400,#294401,#294402,#294403,#294404, #294405,#294406,#294407,#294408,#294409,#294410,#294411,#294412,#294413, #294414,#294415,#294416,#294417,#294418,#294419,#294420,#294421,#294422, #294423,#294424,#294425,#294426,#294427,#294428,#294429,#294430,#294431, #294432,#294433,#294434,#294435,#294436,#294437,#294438,#294439,#294440, #294441,#294442,#294443,#294444,#294445,#294446,#294447,#294448,#294449, #294450,#294451,#294452,#294453,#294454,#294455,#294456,#294457,#294458, #294459,#294460,#294461,#294462,#294463,#294464,#294465,#294466,#294467, #294468,#294469,#294470,#294471,#294472,#294473,#294474,#294475,#294476, #294477,#294478,#294479,#294480,#294481,#294482,#294483,#294484,#294485, #294486,#294487,#294488,#294489,#294490,#294491,#294492,#294493,#294494, #294495,#294496,#294497,#294498,#294499,#294500,#294501,#294502,#294503, #294504,#294505,#294506,#294507,#294508,#294509,#294510,#294511,#294512, #294513,#294514,#294515,#294516,#294517,#294518,#294519,#294520,#294521, #294522,#294523,#294524,#294525,#294526,#294527,#294528,#294529,#294530, #294531,#294532,#294533,#294534,#294535,#294536,#294537,#294538,#294539, #294540,#294541,#294542,#294543,#294544,#294545,#294546,#294547,#294548, #294549,#294550,#294551,#294552,#294553,#294554,#294555,#294556,#294557, #294558,#294559,#294560,#294561,#294562,#294563,#294564,#294565,#294566, #294567,#294568,#294569,#294570,#294571,#294572,#294573,#294574,#294575, #294576,#294577,#294578,#294579,#294580,#294581,#294582,#294583,#294584, #294585,#294586,#294587,#294588,#294589,#294590,#294591,#294592,#294593, #294594,#294595,#294596,#294597,#294598,#294599,#294600,#294601,#294602, #294603,#294604,#294605,#294606,#294607,#294608,#294609,#294610,#294611, #294612,#294613,#294614,#294615,#294616,#294617,#294618,#294619,#294620, #294621,#294622,#294623,#294624,#294625,#294626,#294627,#294628,#294629, #294630,#294631,#294632,#294633,#294634,#294635,#294636,#294637,#294638, #294639,#294640,#294641,#294642,#294643,#294644,#294645,#294646,#294647, #294648,#294649,#294650,#294651,#294652,#294653,#294654,#294655,#294656, #294657,#294658,#294659,#294660,#294661,#294662,#294663,#294664,#294665, #294666,#294667,#294668,#294669,#294670,#294671,#294672,#294673,#294674, #294675,#294676,#294677,#294678,#294679,#294680,#294681,#294682,#294683, #294684,#294685,#294686,#294687,#294688,#294689,#294690,#294691,#294692, #294693,#294694,#294695,#294696,#294697,#294698,#294699,#294700,#294701, #294702,#294703,#294704,#294705,#294706,#294707,#294708,#294709,#294710, #294711,#294712,#294713,#294714,#294715,#294716,#294717,#294718,#294719, #294720,#294721,#294722,#294723,#294724,#294725,#294726,#294727,#294728, #294729,#294730,#294731,#294732,#294733,#294734,#294735,#294736,#294737, #294738,#294739,#294740,#294741,#294742,#294743,#294744,#294745,#294746, #294747,#294748,#294749,#294750,#294751,#294752,#294753,#294754,#294755, #294756,#294757,#294758,#294759,#294760,#294761,#294762,#294763,#294764, #294765,#294766,#294767,#294768,#294769,#294770,#294771,#294772,#294773, #294774,#294775,#294776,#294777,#294778,#294779,#294780,#294781,#294782, #294783,#294784,#294785,#294786,#294787,#294788,#294789,#294790,#294791, #294792,#294793,#294794,#294795,#294796,#294797,#294798,#294799,#294800, #294801,#294802,#294803,#294804,#294805,#294806,#294807,#294808,#294809, #294810,#294811,#294812,#294813,#294814,#294815,#294816,#294817,#294818, #294819,#294820,#294821,#294822,#294823,#294824,#294825,#294826,#294827, #294828,#294829,#294830,#294831,#294832,#294833,#294834,#294835,#294836, #294837,#294838,#294839,#294840,#294841,#294842,#294843,#294844,#294845, #294846,#294847,#294848,#294849,#294850,#294851,#294852,#294853,#294854, #294855,#294856,#294857,#294858,#294859,#294860,#294861,#294862,#294863, #294864,#294865,#294866,#294867,#294868,#294869,#294870,#294871,#294872, #294873,#294874,#294875,#294876,#294877,#294878,#294879,#294880,#294881, #294882,#294883,#294884,#294885,#294886,#294887,#294888,#294889,#294890, #294891,#294892,#294893,#294894,#294895,#294896,#294897,#294898,#294899, #294900,#294901,#294902,#294903,#294904,#294905,#294906,#294907,#294908, #294909,#294910,#294911,#294912,#294913,#294914,#294915,#294916,#294917, #294918,#294919,#294920,#294921,#294922,#294923,#294924,#294925,#294926, #294927,#294928,#294929,#294930,#294931,#294932,#294933,#294934,#294935, #294936,#294937,#294938,#294939,#294940,#294941,#294942,#294943,#294944, #294945,#294946,#294947,#294948,#294949,#294950,#294951,#294952,#294953, #294954,#294955,#294956,#294957,#294958,#294959,#294960,#294961,#294962, #294963,#294964,#294965,#294966,#294967,#294968,#294969,#294970,#294971, #294972,#294973,#294974,#294975,#294976,#294977,#294978,#294979,#294980, #294981,#294982,#294983,#294984,#294985,#294986,#294987,#294988,#294989, #294990,#294991,#294992,#294993,#294994,#294995,#294996,#294997,#294998, #294999,#295000,#295001,#295002,#295003,#295004,#295005,#295006,#295007, #295008,#295009,#295010,#295011,#295012,#295013,#295014,#295015,#295016, #295017,#295018,#295019,#295020,#295021,#295022,#295023,#295024,#295025, #295026,#295027,#295028,#295029,#295030,#295031,#295032,#295033,#295034)); #55144=EDGE_LOOP('',(#295035,#295036,#295037,#295038,#295039,#295040,#295041, #295042,#295043,#295044,#295045,#295046,#295047,#295048,#295049,#295050, #295051,#295052,#295053,#295054,#295055,#295056,#295057,#295058)); #55145=EDGE_LOOP('',(#295059,#295060,#295061,#295062,#295063,#295064,#295065, #295066,#295067,#295068,#295069,#295070,#295071,#295072,#295073,#295074, #295075,#295076,#295077,#295078,#295079,#295080,#295081,#295082,#295083, #295084,#295085,#295086,#295087,#295088,#295089,#295090,#295091,#295092, #295093,#295094,#295095,#295096)); #55146=EDGE_LOOP('',(#295097,#295098,#295099,#295100,#295101,#295102,#295103, #295104,#295105,#295106,#295107,#295108,#295109,#295110,#295111,#295112, #295113,#295114,#295115,#295116,#295117,#295118,#295119,#295120,#295121, #295122,#295123,#295124,#295125,#295126,#295127,#295128,#295129,#295130, #295131,#295132,#295133,#295134,#295135,#295136)); #55147=EDGE_LOOP('',(#295137,#295138,#295139,#295140,#295141,#295142,#295143, #295144,#295145,#295146,#295147,#295148,#295149,#295150,#295151,#295152, #295153,#295154,#295155,#295156,#295157,#295158,#295159,#295160,#295161, #295162,#295163,#295164,#295165,#295166,#295167,#295168,#295169,#295170)); #55148=EDGE_LOOP('',(#295171,#295172,#295173,#295174,#295175,#295176,#295177, #295178,#295179,#295180,#295181,#295182,#295183,#295184,#295185,#295186, #295187,#295188,#295189,#295190,#295191,#295192,#295193,#295194,#295195, #295196,#295197,#295198,#295199,#295200,#295201,#295202,#295203,#295204)); #55149=EDGE_LOOP('',(#295205,#295206,#295207,#295208,#295209,#295210,#295211, #295212)); #55150=EDGE_LOOP('',(#295213,#295214,#295215,#295216,#295217,#295218,#295219, #295220)); #55151=EDGE_LOOP('',(#295221,#295222,#295223,#295224,#295225,#295226,#295227, #295228)); #55152=EDGE_LOOP('',(#295229,#295230,#295231,#295232,#295233,#295234,#295235, #295236,#295237,#295238,#295239,#295240,#295241,#295242,#295243,#295244, #295245,#295246,#295247,#295248,#295249,#295250,#295251,#295252,#295253, #295254,#295255,#295256,#295257,#295258,#295259,#295260,#295261,#295262, #295263,#295264,#295265,#295266,#295267,#295268,#295269,#295270,#295271, #295272,#295273,#295274,#295275,#295276,#295277,#295278,#295279,#295280, #295281,#295282,#295283,#295284,#295285,#295286,#295287,#295288,#295289, #295290,#295291,#295292,#295293,#295294,#295295,#295296,#295297,#295298, #295299,#295300,#295301,#295302,#295303,#295304,#295305,#295306,#295307, #295308,#295309,#295310,#295311,#295312,#295313,#295314,#295315,#295316, #295317,#295318,#295319,#295320,#295321,#295322,#295323,#295324,#295325, #295326,#295327,#295328,#295329,#295330,#295331,#295332,#295333,#295334, #295335,#295336,#295337,#295338,#295339,#295340,#295341,#295342,#295343, #295344,#295345,#295346,#295347,#295348,#295349,#295350,#295351,#295352, #295353,#295354,#295355,#295356,#295357,#295358,#295359,#295360)); #55153=EDGE_LOOP('',(#295361,#295362,#295363,#295364)); #55154=EDGE_LOOP('',(#295365,#295366,#295367,#295368)); #55155=EDGE_LOOP('',(#295369,#295370,#295371,#295372)); #55156=EDGE_LOOP('',(#295373,#295374,#295375,#295376)); #55157=EDGE_LOOP('',(#295377,#295378,#295379,#295380)); #55158=EDGE_LOOP('',(#295381,#295382,#295383,#295384)); #55159=EDGE_LOOP('',(#295385,#295386,#295387,#295388)); #55160=EDGE_LOOP('',(#295389,#295390,#295391,#295392)); #55161=EDGE_LOOP('',(#295393,#295394,#295395,#295396)); #55162=EDGE_LOOP('',(#295397,#295398,#295399,#295400)); #55163=EDGE_LOOP('',(#295401,#295402,#295403,#295404)); #55164=EDGE_LOOP('',(#295405,#295406,#295407,#295408)); #55165=EDGE_LOOP('',(#295409,#295410,#295411,#295412)); #55166=EDGE_LOOP('',(#295413,#295414,#295415,#295416)); #55167=EDGE_LOOP('',(#295417,#295418,#295419,#295420)); #55168=EDGE_LOOP('',(#295421,#295422,#295423,#295424)); #55169=EDGE_LOOP('',(#295425,#295426,#295427,#295428)); #55170=EDGE_LOOP('',(#295429,#295430,#295431,#295432)); #55171=EDGE_LOOP('',(#295433,#295434,#295435,#295436)); #55172=EDGE_LOOP('',(#295437,#295438,#295439,#295440)); #55173=EDGE_LOOP('',(#295441,#295442,#295443,#295444)); #55174=EDGE_LOOP('',(#295445,#295446,#295447,#295448)); #55175=EDGE_LOOP('',(#295449,#295450,#295451,#295452)); #55176=EDGE_LOOP('',(#295453,#295454,#295455,#295456)); #55177=EDGE_LOOP('',(#295457,#295458,#295459,#295460)); #55178=EDGE_LOOP('',(#295461,#295462,#295463,#295464)); #55179=EDGE_LOOP('',(#295465,#295466,#295467,#295468)); #55180=EDGE_LOOP('',(#295469,#295470,#295471,#295472,#295473,#295474,#295475, #295476,#295477,#295478,#295479,#295480,#295481,#295482,#295483,#295484, #295485,#295486,#295487,#295488,#295489,#295490,#295491,#295492,#295493, #295494,#295495)); #55181=EDGE_LOOP('',(#295496,#295497,#295498,#295499,#295500,#295501,#295502, #295503,#295504,#295505,#295506,#295507,#295508,#295509,#295510,#295511, #295512,#295513,#295514,#295515,#295516,#295517,#295518,#295519,#295520, #295521,#295522)); #55182=EDGE_LOOP('',(#295523,#295524,#295525,#295526)); #55183=EDGE_LOOP('',(#295527,#295528,#295529,#295530)); #55184=EDGE_LOOP('',(#295531,#295532,#295533,#295534)); #55185=EDGE_LOOP('',(#295535,#295536,#295537,#295538)); #55186=EDGE_LOOP('',(#295539,#295540,#295541,#295542)); #55187=EDGE_LOOP('',(#295543,#295544,#295545,#295546)); #55188=EDGE_LOOP('',(#295547,#295548,#295549,#295550)); #55189=EDGE_LOOP('',(#295551,#295552,#295553,#295554)); #55190=EDGE_LOOP('',(#295555,#295556,#295557,#295558)); #55191=EDGE_LOOP('',(#295559,#295560,#295561,#295562)); #55192=EDGE_LOOP('',(#295563,#295564,#295565,#295566)); #55193=EDGE_LOOP('',(#295567,#295568,#295569,#295570)); #55194=EDGE_LOOP('',(#295571,#295572,#295573,#295574)); #55195=EDGE_LOOP('',(#295575,#295576,#295577,#295578)); #55196=EDGE_LOOP('',(#295579,#295580,#295581,#295582)); #55197=EDGE_LOOP('',(#295583,#295584,#295585,#295586)); #55198=EDGE_LOOP('',(#295587,#295588,#295589,#295590)); #55199=EDGE_LOOP('',(#295591,#295592,#295593,#295594)); #55200=EDGE_LOOP('',(#295595,#295596,#295597,#295598)); #55201=EDGE_LOOP('',(#295599,#295600,#295601,#295602)); #55202=EDGE_LOOP('',(#295603,#295604,#295605,#295606)); #55203=EDGE_LOOP('',(#295607,#295608,#295609,#295610,#295611,#295612,#295613, #295614,#295615,#295616,#295617,#295618,#295619,#295620,#295621,#295622, #295623,#295624,#295625,#295626,#295627)); #55204=EDGE_LOOP('',(#295628,#295629,#295630,#295631,#295632,#295633,#295634, #295635,#295636,#295637,#295638,#295639,#295640,#295641,#295642,#295643, #295644,#295645,#295646,#295647,#295648)); #55205=EDGE_LOOP('',(#295649,#295650,#295651,#295652)); #55206=EDGE_LOOP('',(#295653,#295654,#295655,#295656)); #55207=EDGE_LOOP('',(#295657,#295658,#295659,#295660)); #55208=EDGE_LOOP('',(#295661,#295662,#295663,#295664)); #55209=EDGE_LOOP('',(#295665,#295666,#295667,#295668)); #55210=EDGE_LOOP('',(#295669,#295670,#295671,#295672)); #55211=EDGE_LOOP('',(#295673,#295674,#295675,#295676)); #55212=EDGE_LOOP('',(#295677,#295678,#295679,#295680)); #55213=EDGE_LOOP('',(#295681,#295682,#295683,#295684)); #55214=EDGE_LOOP('',(#295685,#295686,#295687,#295688)); #55215=EDGE_LOOP('',(#295689,#295690,#295691,#295692)); #55216=EDGE_LOOP('',(#295693,#295694,#295695,#295696)); #55217=EDGE_LOOP('',(#295697,#295698,#295699,#295700)); #55218=EDGE_LOOP('',(#295701,#295702,#295703,#295704)); #55219=EDGE_LOOP('',(#295705,#295706,#295707,#295708)); #55220=EDGE_LOOP('',(#295709,#295710,#295711,#295712)); #55221=EDGE_LOOP('',(#295713,#295714,#295715,#295716)); #55222=EDGE_LOOP('',(#295717,#295718,#295719,#295720)); #55223=EDGE_LOOP('',(#295721,#295722,#295723,#295724)); #55224=EDGE_LOOP('',(#295725,#295726,#295727,#295728)); #55225=EDGE_LOOP('',(#295729,#295730,#295731,#295732,#295733,#295734,#295735, #295736,#295737,#295738,#295739,#295740,#295741,#295742,#295743,#295744, #295745,#295746,#295747,#295748)); #55226=EDGE_LOOP('',(#295749,#295750,#295751,#295752,#295753,#295754,#295755, #295756,#295757,#295758,#295759,#295760,#295761,#295762,#295763,#295764, #295765,#295766,#295767,#295768)); #55227=EDGE_LOOP('',(#295769,#295770,#295771,#295772)); #55228=EDGE_LOOP('',(#295773,#295774,#295775,#295776)); #55229=EDGE_LOOP('',(#295777,#295778,#295779,#295780)); #55230=EDGE_LOOP('',(#295781,#295782,#295783,#295784)); #55231=EDGE_LOOP('',(#295785,#295786,#295787,#295788)); #55232=EDGE_LOOP('',(#295789,#295790,#295791,#295792)); #55233=EDGE_LOOP('',(#295793,#295794,#295795,#295796)); #55234=EDGE_LOOP('',(#295797,#295798,#295799,#295800)); #55235=EDGE_LOOP('',(#295801,#295802,#295803,#295804)); #55236=EDGE_LOOP('',(#295805,#295806,#295807,#295808)); #55237=EDGE_LOOP('',(#295809,#295810,#295811,#295812)); #55238=EDGE_LOOP('',(#295813,#295814,#295815,#295816)); #55239=EDGE_LOOP('',(#295817,#295818,#295819,#295820)); #55240=EDGE_LOOP('',(#295821,#295822,#295823,#295824)); #55241=EDGE_LOOP('',(#295825,#295826,#295827,#295828)); #55242=EDGE_LOOP('',(#295829,#295830,#295831,#295832)); #55243=EDGE_LOOP('',(#295833,#295834,#295835,#295836)); #55244=EDGE_LOOP('',(#295837,#295838,#295839,#295840)); #55245=EDGE_LOOP('',(#295841,#295842,#295843,#295844)); #55246=EDGE_LOOP('',(#295845,#295846,#295847,#295848)); #55247=EDGE_LOOP('',(#295849,#295850,#295851,#295852)); #55248=EDGE_LOOP('',(#295853,#295854,#295855,#295856)); #55249=EDGE_LOOP('',(#295857,#295858,#295859,#295860)); #55250=EDGE_LOOP('',(#295861,#295862,#295863,#295864)); #55251=EDGE_LOOP('',(#295865,#295866,#295867,#295868)); #55252=EDGE_LOOP('',(#295869,#295870,#295871,#295872)); #55253=EDGE_LOOP('',(#295873,#295874,#295875,#295876)); #55254=EDGE_LOOP('',(#295877,#295878,#295879,#295880)); #55255=EDGE_LOOP('',(#295881,#295882,#295883,#295884)); #55256=EDGE_LOOP('',(#295885,#295886,#295887,#295888)); #55257=EDGE_LOOP('',(#295889,#295890,#295891,#295892)); #55258=EDGE_LOOP('',(#295893,#295894,#295895,#295896)); #55259=EDGE_LOOP('',(#295897,#295898,#295899,#295900)); #55260=EDGE_LOOP('',(#295901,#295902,#295903,#295904)); #55261=EDGE_LOOP('',(#295905,#295906,#295907,#295908)); #55262=EDGE_LOOP('',(#295909,#295910,#295911,#295912)); #55263=EDGE_LOOP('',(#295913,#295914,#295915,#295916)); #55264=EDGE_LOOP('',(#295917,#295918,#295919,#295920)); #55265=EDGE_LOOP('',(#295921,#295922,#295923,#295924)); #55266=EDGE_LOOP('',(#295925,#295926,#295927,#295928)); #55267=EDGE_LOOP('',(#295929,#295930,#295931,#295932)); #55268=EDGE_LOOP('',(#295933,#295934,#295935,#295936)); #55269=EDGE_LOOP('',(#295937,#295938,#295939,#295940)); #55270=EDGE_LOOP('',(#295941,#295942,#295943,#295944)); #55271=EDGE_LOOP('',(#295945,#295946,#295947,#295948)); #55272=EDGE_LOOP('',(#295949,#295950,#295951,#295952)); #55273=EDGE_LOOP('',(#295953,#295954,#295955,#295956)); #55274=EDGE_LOOP('',(#295957,#295958,#295959,#295960,#295961,#295962,#295963, #295964,#295965,#295966,#295967,#295968,#295969,#295970,#295971,#295972, #295973,#295974,#295975,#295976,#295977,#295978,#295979,#295980,#295981, #295982,#295983,#295984,#295985,#295986,#295987,#295988,#295989,#295990, #295991,#295992,#295993,#295994,#295995,#295996,#295997,#295998,#295999, #296000,#296001,#296002,#296003)); #55275=EDGE_LOOP('',(#296004,#296005,#296006,#296007,#296008,#296009,#296010, #296011,#296012,#296013,#296014,#296015,#296016,#296017,#296018,#296019, #296020,#296021,#296022,#296023,#296024,#296025,#296026,#296027,#296028, #296029,#296030,#296031,#296032,#296033,#296034,#296035,#296036,#296037, #296038,#296039,#296040,#296041,#296042,#296043,#296044,#296045,#296046, #296047,#296048,#296049,#296050)); #55276=EDGE_LOOP('',(#296051,#296052,#296053,#296054)); #55277=EDGE_LOOP('',(#296055,#296056,#296057,#296058)); #55278=EDGE_LOOP('',(#296059,#296060,#296061,#296062)); #55279=EDGE_LOOP('',(#296063,#296064,#296065,#296066)); #55280=EDGE_LOOP('',(#296067,#296068,#296069,#296070)); #55281=EDGE_LOOP('',(#296071,#296072,#296073,#296074)); #55282=EDGE_LOOP('',(#296075,#296076,#296077,#296078)); #55283=EDGE_LOOP('',(#296079,#296080,#296081,#296082)); #55284=EDGE_LOOP('',(#296083,#296084,#296085,#296086)); #55285=EDGE_LOOP('',(#296087,#296088,#296089,#296090)); #55286=EDGE_LOOP('',(#296091,#296092,#296093,#296094)); #55287=EDGE_LOOP('',(#296095,#296096,#296097,#296098)); #55288=EDGE_LOOP('',(#296099,#296100,#296101,#296102)); #55289=EDGE_LOOP('',(#296103,#296104,#296105,#296106)); #55290=EDGE_LOOP('',(#296107,#296108,#296109,#296110)); #55291=EDGE_LOOP('',(#296111,#296112,#296113,#296114)); #55292=EDGE_LOOP('',(#296115,#296116,#296117,#296118)); #55293=EDGE_LOOP('',(#296119,#296120,#296121,#296122)); #55294=EDGE_LOOP('',(#296123,#296124,#296125,#296126)); #55295=EDGE_LOOP('',(#296127,#296128,#296129,#296130)); #55296=EDGE_LOOP('',(#296131,#296132,#296133,#296134)); #55297=EDGE_LOOP('',(#296135,#296136,#296137,#296138)); #55298=EDGE_LOOP('',(#296139,#296140,#296141,#296142)); #55299=EDGE_LOOP('',(#296143,#296144,#296145,#296146)); #55300=EDGE_LOOP('',(#296147,#296148,#296149,#296150)); #55301=EDGE_LOOP('',(#296151,#296152,#296153,#296154)); #55302=EDGE_LOOP('',(#296155,#296156,#296157,#296158)); #55303=EDGE_LOOP('',(#296159,#296160,#296161,#296162)); #55304=EDGE_LOOP('',(#296163,#296164,#296165,#296166)); #55305=EDGE_LOOP('',(#296167,#296168,#296169,#296170)); #55306=EDGE_LOOP('',(#296171,#296172,#296173,#296174)); #55307=EDGE_LOOP('',(#296175,#296176,#296177,#296178)); #55308=EDGE_LOOP('',(#296179,#296180,#296181,#296182)); #55309=EDGE_LOOP('',(#296183,#296184,#296185,#296186)); #55310=EDGE_LOOP('',(#296187,#296188,#296189,#296190,#296191,#296192,#296193, #296194,#296195,#296196,#296197,#296198,#296199,#296200,#296201,#296202, #296203,#296204,#296205,#296206,#296207,#296208,#296209,#296210,#296211, #296212,#296213,#296214,#296215,#296216,#296217,#296218,#296219,#296220)); #55311=EDGE_LOOP('',(#296221,#296222,#296223,#296224,#296225,#296226,#296227, #296228,#296229,#296230,#296231,#296232,#296233,#296234,#296235,#296236, #296237,#296238,#296239,#296240,#296241,#296242,#296243,#296244,#296245, #296246,#296247,#296248,#296249,#296250,#296251,#296252,#296253,#296254)); #55312=EDGE_LOOP('',(#296255,#296256,#296257,#296258)); #55313=EDGE_LOOP('',(#296259,#296260,#296261,#296262)); #55314=EDGE_LOOP('',(#296263,#296264,#296265,#296266)); #55315=EDGE_LOOP('',(#296267,#296268,#296269,#296270)); #55316=EDGE_LOOP('',(#296271,#296272,#296273,#296274)); #55317=EDGE_LOOP('',(#296275,#296276,#296277,#296278)); #55318=EDGE_LOOP('',(#296279,#296280,#296281,#296282)); #55319=EDGE_LOOP('',(#296283,#296284,#296285,#296286)); #55320=EDGE_LOOP('',(#296287,#296288,#296289,#296290)); #55321=EDGE_LOOP('',(#296291,#296292,#296293,#296294)); #55322=EDGE_LOOP('',(#296295,#296296,#296297,#296298)); #55323=EDGE_LOOP('',(#296299,#296300,#296301,#296302)); #55324=EDGE_LOOP('',(#296303,#296304,#296305,#296306)); #55325=EDGE_LOOP('',(#296307,#296308,#296309,#296310)); #55326=EDGE_LOOP('',(#296311,#296312,#296313,#296314)); #55327=EDGE_LOOP('',(#296315,#296316,#296317,#296318)); #55328=EDGE_LOOP('',(#296319,#296320,#296321,#296322)); #55329=EDGE_LOOP('',(#296323,#296324,#296325,#296326)); #55330=EDGE_LOOP('',(#296327,#296328,#296329,#296330)); #55331=EDGE_LOOP('',(#296331,#296332,#296333,#296334)); #55332=EDGE_LOOP('',(#296335,#296336,#296337,#296338)); #55333=EDGE_LOOP('',(#296339,#296340,#296341,#296342)); #55334=EDGE_LOOP('',(#296343,#296344,#296345,#296346,#296347,#296348,#296349, #296350,#296351,#296352,#296353,#296354,#296355,#296356,#296357,#296358, #296359,#296360,#296361,#296362,#296363,#296364)); #55335=EDGE_LOOP('',(#296365,#296366,#296367,#296368,#296369,#296370,#296371, #296372,#296373,#296374,#296375,#296376,#296377,#296378,#296379,#296380, #296381,#296382,#296383,#296384,#296385,#296386)); #55336=EDGE_LOOP('',(#296387,#296388,#296389,#296390)); #55337=EDGE_LOOP('',(#296391,#296392,#296393,#296394)); #55338=EDGE_LOOP('',(#296395,#296396,#296397,#296398)); #55339=EDGE_LOOP('',(#296399,#296400,#296401,#296402)); #55340=EDGE_LOOP('',(#296403,#296404,#296405,#296406)); #55341=EDGE_LOOP('',(#296407,#296408,#296409,#296410)); #55342=EDGE_LOOP('',(#296411,#296412,#296413,#296414)); #55343=EDGE_LOOP('',(#296415,#296416,#296417,#296418)); #55344=EDGE_LOOP('',(#296419,#296420,#296421,#296422)); #55345=EDGE_LOOP('',(#296423,#296424,#296425,#296426)); #55346=EDGE_LOOP('',(#296427,#296428,#296429,#296430)); #55347=EDGE_LOOP('',(#296431,#296432,#296433,#296434)); #55348=EDGE_LOOP('',(#296435,#296436,#296437,#296438)); #55349=EDGE_LOOP('',(#296439,#296440,#296441,#296442)); #55350=EDGE_LOOP('',(#296443,#296444,#296445,#296446)); #55351=EDGE_LOOP('',(#296447,#296448,#296449,#296450)); #55352=EDGE_LOOP('',(#296451,#296452,#296453,#296454)); #55353=EDGE_LOOP('',(#296455,#296456,#296457,#296458)); #55354=EDGE_LOOP('',(#296459,#296460,#296461,#296462)); #55355=EDGE_LOOP('',(#296463,#296464,#296465,#296466)); #55356=EDGE_LOOP('',(#296467,#296468,#296469,#296470,#296471,#296472,#296473, #296474,#296475,#296476,#296477,#296478,#296479,#296480,#296481,#296482, #296483,#296484,#296485,#296486)); #55357=EDGE_LOOP('',(#296487,#296488,#296489,#296490,#296491,#296492,#296493, #296494,#296495,#296496,#296497,#296498,#296499,#296500,#296501,#296502, #296503,#296504,#296505,#296506)); #55358=EDGE_LOOP('',(#296507,#296508,#296509,#296510)); #55359=EDGE_LOOP('',(#296511,#296512,#296513,#296514)); #55360=EDGE_LOOP('',(#296515,#296516,#296517,#296518)); #55361=EDGE_LOOP('',(#296519,#296520,#296521,#296522)); #55362=EDGE_LOOP('',(#296523,#296524,#296525,#296526)); #55363=EDGE_LOOP('',(#296527,#296528,#296529,#296530)); #55364=EDGE_LOOP('',(#296531,#296532,#296533,#296534)); #55365=EDGE_LOOP('',(#296535,#296536,#296537,#296538)); #55366=EDGE_LOOP('',(#296539,#296540,#296541,#296542)); #55367=EDGE_LOOP('',(#296543,#296544,#296545,#296546)); #55368=EDGE_LOOP('',(#296547,#296548,#296549,#296550)); #55369=EDGE_LOOP('',(#296551,#296552,#296553,#296554)); #55370=EDGE_LOOP('',(#296555,#296556,#296557,#296558)); #55371=EDGE_LOOP('',(#296559,#296560,#296561,#296562)); #55372=EDGE_LOOP('',(#296563,#296564,#296565,#296566)); #55373=EDGE_LOOP('',(#296567,#296568,#296569,#296570)); #55374=EDGE_LOOP('',(#296571,#296572,#296573,#296574)); #55375=EDGE_LOOP('',(#296575,#296576,#296577,#296578)); #55376=EDGE_LOOP('',(#296579,#296580,#296581,#296582)); #55377=EDGE_LOOP('',(#296583,#296584,#296585,#296586)); #55378=EDGE_LOOP('',(#296587,#296588,#296589,#296590)); #55379=EDGE_LOOP('',(#296591,#296592,#296593,#296594)); #55380=EDGE_LOOP('',(#296595,#296596,#296597,#296598)); #55381=EDGE_LOOP('',(#296599,#296600,#296601,#296602)); #55382=EDGE_LOOP('',(#296603,#296604,#296605,#296606)); #55383=EDGE_LOOP('',(#296607,#296608,#296609,#296610)); #55384=EDGE_LOOP('',(#296611,#296612,#296613,#296614)); #55385=EDGE_LOOP('',(#296615,#296616,#296617,#296618)); #55386=EDGE_LOOP('',(#296619,#296620,#296621,#296622)); #55387=EDGE_LOOP('',(#296623,#296624,#296625,#296626)); #55388=EDGE_LOOP('',(#296627,#296628,#296629,#296630)); #55389=EDGE_LOOP('',(#296631,#296632,#296633,#296634)); #55390=EDGE_LOOP('',(#296635,#296636,#296637,#296638)); #55391=EDGE_LOOP('',(#296639,#296640,#296641,#296642)); #55392=EDGE_LOOP('',(#296643,#296644,#296645,#296646)); #55393=EDGE_LOOP('',(#296647,#296648,#296649,#296650)); #55394=EDGE_LOOP('',(#296651,#296652,#296653,#296654)); #55395=EDGE_LOOP('',(#296655,#296656,#296657,#296658)); #55396=EDGE_LOOP('',(#296659,#296660,#296661,#296662)); #55397=EDGE_LOOP('',(#296663,#296664,#296665,#296666)); #55398=EDGE_LOOP('',(#296667,#296668,#296669,#296670)); #55399=EDGE_LOOP('',(#296671,#296672,#296673,#296674)); #55400=EDGE_LOOP('',(#296675,#296676,#296677,#296678)); #55401=EDGE_LOOP('',(#296679,#296680,#296681,#296682)); #55402=EDGE_LOOP('',(#296683,#296684,#296685,#296686)); #55403=EDGE_LOOP('',(#296687,#296688,#296689,#296690)); #55404=EDGE_LOOP('',(#296691,#296692,#296693,#296694)); #55405=EDGE_LOOP('',(#296695,#296696,#296697,#296698)); #55406=EDGE_LOOP('',(#296699,#296700,#296701,#296702)); #55407=EDGE_LOOP('',(#296703,#296704,#296705,#296706)); #55408=EDGE_LOOP('',(#296707,#296708,#296709,#296710)); #55409=EDGE_LOOP('',(#296711,#296712,#296713,#296714)); #55410=EDGE_LOOP('',(#296715,#296716,#296717,#296718)); #55411=EDGE_LOOP('',(#296719,#296720,#296721,#296722)); #55412=EDGE_LOOP('',(#296723,#296724,#296725,#296726)); #55413=EDGE_LOOP('',(#296727,#296728,#296729,#296730)); #55414=EDGE_LOOP('',(#296731,#296732,#296733,#296734)); #55415=EDGE_LOOP('',(#296735,#296736,#296737,#296738)); #55416=EDGE_LOOP('',(#296739,#296740,#296741,#296742)); #55417=EDGE_LOOP('',(#296743,#296744,#296745,#296746)); #55418=EDGE_LOOP('',(#296747,#296748,#296749,#296750)); #55419=EDGE_LOOP('',(#296751,#296752,#296753,#296754)); #55420=EDGE_LOOP('',(#296755,#296756,#296757,#296758)); #55421=EDGE_LOOP('',(#296759,#296760,#296761,#296762)); #55422=EDGE_LOOP('',(#296763,#296764,#296765,#296766)); #55423=EDGE_LOOP('',(#296767,#296768,#296769,#296770)); #55424=EDGE_LOOP('',(#296771,#296772,#296773,#296774)); #55425=EDGE_LOOP('',(#296775,#296776,#296777,#296778)); #55426=EDGE_LOOP('',(#296779,#296780,#296781,#296782)); #55427=EDGE_LOOP('',(#296783,#296784,#296785,#296786)); #55428=EDGE_LOOP('',(#296787,#296788,#296789,#296790)); #55429=EDGE_LOOP('',(#296791,#296792,#296793,#296794)); #55430=EDGE_LOOP('',(#296795,#296796,#296797,#296798,#296799,#296800,#296801, #296802,#296803,#296804,#296805,#296806,#296807,#296808,#296809,#296810, #296811,#296812,#296813,#296814,#296815,#296816,#296817,#296818,#296819, #296820,#296821,#296822,#296823,#296824,#296825,#296826,#296827,#296828, #296829,#296830,#296831,#296832,#296833,#296834,#296835,#296836,#296837, #296838,#296839,#296840,#296841,#296842,#296843,#296844,#296845,#296846, #296847,#296848,#296849,#296850,#296851,#296852,#296853,#296854,#296855, #296856,#296857,#296858,#296859,#296860,#296861,#296862,#296863,#296864, #296865,#296866)); #55431=EDGE_LOOP('',(#296867,#296868,#296869,#296870,#296871,#296872,#296873, #296874,#296875,#296876,#296877,#296878,#296879,#296880,#296881,#296882, #296883,#296884,#296885,#296886,#296887,#296888,#296889,#296890,#296891, #296892,#296893,#296894,#296895,#296896,#296897,#296898,#296899,#296900, #296901,#296902,#296903,#296904,#296905,#296906,#296907,#296908,#296909, #296910,#296911,#296912,#296913,#296914,#296915,#296916,#296917,#296918, #296919,#296920,#296921,#296922,#296923,#296924,#296925,#296926,#296927, #296928,#296929,#296930,#296931,#296932,#296933,#296934,#296935,#296936, #296937,#296938)); #55432=EDGE_LOOP('',(#296939,#296940,#296941,#296942)); #55433=EDGE_LOOP('',(#296943,#296944,#296945,#296946)); #55434=EDGE_LOOP('',(#296947,#296948,#296949,#296950)); #55435=EDGE_LOOP('',(#296951,#296952,#296953,#296954)); #55436=EDGE_LOOP('',(#296955,#296956,#296957,#296958)); #55437=EDGE_LOOP('',(#296959,#296960,#296961,#296962)); #55438=EDGE_LOOP('',(#296963,#296964,#296965,#296966)); #55439=EDGE_LOOP('',(#296967,#296968,#296969,#296970)); #55440=EDGE_LOOP('',(#296971,#296972,#296973,#296974)); #55441=EDGE_LOOP('',(#296975,#296976,#296977,#296978)); #55442=EDGE_LOOP('',(#296979,#296980,#296981,#296982)); #55443=EDGE_LOOP('',(#296983,#296984,#296985,#296986)); #55444=EDGE_LOOP('',(#296987,#296988,#296989,#296990)); #55445=EDGE_LOOP('',(#296991,#296992,#296993,#296994)); #55446=EDGE_LOOP('',(#296995,#296996,#296997,#296998)); #55447=EDGE_LOOP('',(#296999,#297000,#297001,#297002)); #55448=EDGE_LOOP('',(#297003,#297004,#297005,#297006)); #55449=EDGE_LOOP('',(#297007,#297008,#297009,#297010)); #55450=EDGE_LOOP('',(#297011,#297012,#297013,#297014)); #55451=EDGE_LOOP('',(#297015,#297016,#297017,#297018)); #55452=EDGE_LOOP('',(#297019,#297020,#297021,#297022)); #55453=EDGE_LOOP('',(#297023,#297024,#297025,#297026)); #55454=EDGE_LOOP('',(#297027,#297028,#297029,#297030)); #55455=EDGE_LOOP('',(#297031,#297032,#297033,#297034)); #55456=EDGE_LOOP('',(#297035,#297036,#297037,#297038)); #55457=EDGE_LOOP('',(#297039,#297040,#297041,#297042)); #55458=EDGE_LOOP('',(#297043,#297044,#297045,#297046)); #55459=EDGE_LOOP('',(#297047,#297048,#297049,#297050)); #55460=EDGE_LOOP('',(#297051,#297052,#297053,#297054,#297055,#297056,#297057, #297058,#297059,#297060,#297061,#297062,#297063,#297064,#297065,#297066, #297067,#297068,#297069,#297070,#297071,#297072,#297073,#297074,#297075, #297076,#297077,#297078)); #55461=EDGE_LOOP('',(#297079,#297080,#297081,#297082,#297083,#297084,#297085, #297086,#297087,#297088,#297089,#297090,#297091,#297092,#297093,#297094, #297095,#297096,#297097,#297098,#297099,#297100,#297101,#297102,#297103, #297104,#297105,#297106)); #55462=EDGE_LOOP('',(#297107,#297108,#297109,#297110)); #55463=EDGE_LOOP('',(#297111,#297112,#297113,#297114)); #55464=EDGE_LOOP('',(#297115,#297116,#297117,#297118)); #55465=EDGE_LOOP('',(#297119,#297120,#297121,#297122)); #55466=EDGE_LOOP('',(#297123,#297124,#297125,#297126)); #55467=EDGE_LOOP('',(#297127,#297128,#297129,#297130)); #55468=EDGE_LOOP('',(#297131,#297132,#297133,#297134)); #55469=EDGE_LOOP('',(#297135,#297136,#297137,#297138)); #55470=EDGE_LOOP('',(#297139,#297140,#297141,#297142)); #55471=EDGE_LOOP('',(#297143,#297144,#297145,#297146)); #55472=EDGE_LOOP('',(#297147,#297148,#297149,#297150)); #55473=EDGE_LOOP('',(#297151,#297152,#297153,#297154)); #55474=EDGE_LOOP('',(#297155,#297156,#297157,#297158)); #55475=EDGE_LOOP('',(#297159,#297160,#297161,#297162)); #55476=EDGE_LOOP('',(#297163,#297164,#297165,#297166)); #55477=EDGE_LOOP('',(#297167,#297168,#297169,#297170)); #55478=EDGE_LOOP('',(#297171,#297172,#297173,#297174)); #55479=EDGE_LOOP('',(#297175,#297176,#297177,#297178)); #55480=EDGE_LOOP('',(#297179,#297180,#297181,#297182)); #55481=EDGE_LOOP('',(#297183,#297184,#297185,#297186)); #55482=EDGE_LOOP('',(#297187,#297188,#297189,#297190)); #55483=EDGE_LOOP('',(#297191,#297192,#297193,#297194)); #55484=EDGE_LOOP('',(#297195,#297196,#297197,#297198)); #55485=EDGE_LOOP('',(#297199,#297200,#297201,#297202)); #55486=EDGE_LOOP('',(#297203,#297204,#297205,#297206)); #55487=EDGE_LOOP('',(#297207,#297208,#297209,#297210)); #55488=EDGE_LOOP('',(#297211,#297212,#297213,#297214)); #55489=EDGE_LOOP('',(#297215,#297216,#297217,#297218)); #55490=EDGE_LOOP('',(#297219,#297220,#297221,#297222)); #55491=EDGE_LOOP('',(#297223,#297224,#297225,#297226)); #55492=EDGE_LOOP('',(#297227,#297228,#297229,#297230)); #55493=EDGE_LOOP('',(#297231,#297232,#297233,#297234)); #55494=EDGE_LOOP('',(#297235,#297236,#297237,#297238)); #55495=EDGE_LOOP('',(#297239,#297240,#297241,#297242)); #55496=EDGE_LOOP('',(#297243,#297244,#297245,#297246)); #55497=EDGE_LOOP('',(#297247,#297248,#297249,#297250)); #55498=EDGE_LOOP('',(#297251,#297252,#297253,#297254)); #55499=EDGE_LOOP('',(#297255,#297256,#297257,#297258)); #55500=EDGE_LOOP('',(#297259,#297260,#297261,#297262)); #55501=EDGE_LOOP('',(#297263,#297264,#297265,#297266)); #55502=EDGE_LOOP('',(#297267,#297268,#297269,#297270)); #55503=EDGE_LOOP('',(#297271,#297272,#297273,#297274)); #55504=EDGE_LOOP('',(#297275,#297276,#297277,#297278)); #55505=EDGE_LOOP('',(#297279,#297280,#297281,#297282)); #55506=EDGE_LOOP('',(#297283,#297284,#297285,#297286)); #55507=EDGE_LOOP('',(#297287,#297288,#297289,#297290)); #55508=EDGE_LOOP('',(#297291,#297292,#297293,#297294)); #55509=EDGE_LOOP('',(#297295,#297296,#297297,#297298)); #55510=EDGE_LOOP('',(#297299,#297300,#297301,#297302)); #55511=EDGE_LOOP('',(#297303,#297304,#297305,#297306)); #55512=EDGE_LOOP('',(#297307,#297308,#297309,#297310)); #55513=EDGE_LOOP('',(#297311,#297312,#297313,#297314)); #55514=EDGE_LOOP('',(#297315,#297316,#297317,#297318)); #55515=EDGE_LOOP('',(#297319,#297320,#297321,#297322)); #55516=EDGE_LOOP('',(#297323,#297324,#297325,#297326)); #55517=EDGE_LOOP('',(#297327,#297328,#297329,#297330)); #55518=EDGE_LOOP('',(#297331,#297332,#297333,#297334)); #55519=EDGE_LOOP('',(#297335,#297336,#297337,#297338)); #55520=EDGE_LOOP('',(#297339,#297340,#297341,#297342)); #55521=EDGE_LOOP('',(#297343,#297344,#297345,#297346)); #55522=EDGE_LOOP('',(#297347,#297348,#297349,#297350)); #55523=EDGE_LOOP('',(#297351,#297352,#297353,#297354)); #55524=EDGE_LOOP('',(#297355,#297356,#297357,#297358)); #55525=EDGE_LOOP('',(#297359,#297360,#297361,#297362)); #55526=EDGE_LOOP('',(#297363,#297364,#297365,#297366)); #55527=EDGE_LOOP('',(#297367,#297368,#297369,#297370)); #55528=EDGE_LOOP('',(#297371,#297372,#297373,#297374)); #55529=EDGE_LOOP('',(#297375,#297376,#297377,#297378)); #55530=EDGE_LOOP('',(#297379,#297380,#297381,#297382)); #55531=EDGE_LOOP('',(#297383,#297384,#297385,#297386)); #55532=EDGE_LOOP('',(#297387,#297388,#297389,#297390)); #55533=EDGE_LOOP('',(#297391,#297392,#297393,#297394)); #55534=EDGE_LOOP('',(#297395,#297396,#297397,#297398)); #55535=EDGE_LOOP('',(#297399,#297400,#297401,#297402)); #55536=EDGE_LOOP('',(#297403,#297404,#297405,#297406)); #55537=EDGE_LOOP('',(#297407,#297408,#297409,#297410)); #55538=EDGE_LOOP('',(#297411,#297412,#297413,#297414)); #55539=EDGE_LOOP('',(#297415,#297416,#297417,#297418)); #55540=EDGE_LOOP('',(#297419,#297420,#297421,#297422)); #55541=EDGE_LOOP('',(#297423,#297424,#297425,#297426)); #55542=EDGE_LOOP('',(#297427,#297428,#297429,#297430)); #55543=EDGE_LOOP('',(#297431,#297432,#297433,#297434)); #55544=EDGE_LOOP('',(#297435,#297436,#297437,#297438)); #55545=EDGE_LOOP('',(#297439,#297440,#297441,#297442)); #55546=EDGE_LOOP('',(#297443,#297444,#297445,#297446)); #55547=EDGE_LOOP('',(#297447,#297448,#297449,#297450)); #55548=EDGE_LOOP('',(#297451,#297452,#297453,#297454)); #55549=EDGE_LOOP('',(#297455,#297456,#297457,#297458)); #55550=EDGE_LOOP('',(#297459,#297460,#297461,#297462)); #55551=EDGE_LOOP('',(#297463,#297464,#297465,#297466)); #55552=EDGE_LOOP('',(#297467,#297468,#297469,#297470,#297471,#297472,#297473, #297474,#297475,#297476,#297477,#297478,#297479,#297480,#297481,#297482, #297483,#297484,#297485,#297486,#297487,#297488,#297489,#297490,#297491, #297492,#297493,#297494,#297495,#297496,#297497,#297498,#297499,#297500, #297501,#297502,#297503,#297504,#297505,#297506,#297507,#297508,#297509, #297510,#297511,#297512,#297513,#297514,#297515,#297516,#297517,#297518, #297519,#297520,#297521,#297522,#297523,#297524,#297525,#297526,#297527, #297528,#297529,#297530,#297531,#297532,#297533,#297534,#297535,#297536, #297537,#297538,#297539,#297540)); #55553=EDGE_LOOP('',(#297541)); #55554=EDGE_LOOP('',(#297542)); #55555=EDGE_LOOP('',(#297543)); #55556=EDGE_LOOP('',(#297544)); #55557=EDGE_LOOP('',(#297545)); #55558=EDGE_LOOP('',(#297546)); #55559=EDGE_LOOP('',(#297547)); #55560=EDGE_LOOP('',(#297548)); #55561=EDGE_LOOP('',(#297549)); #55562=EDGE_LOOP('',(#297550)); #55563=EDGE_LOOP('',(#297551)); #55564=EDGE_LOOP('',(#297552)); #55565=EDGE_LOOP('',(#297553)); #55566=EDGE_LOOP('',(#297554)); #55567=EDGE_LOOP('',(#297555)); #55568=EDGE_LOOP('',(#297556)); #55569=EDGE_LOOP('',(#297557,#297558,#297559,#297560,#297561,#297562,#297563, #297564,#297565,#297566,#297567,#297568,#297569,#297570,#297571,#297572, #297573,#297574,#297575,#297576,#297577,#297578,#297579,#297580,#297581, #297582,#297583,#297584,#297585,#297586,#297587,#297588,#297589,#297590, #297591,#297592,#297593,#297594,#297595,#297596,#297597,#297598,#297599, #297600,#297601,#297602,#297603,#297604,#297605,#297606,#297607,#297608, #297609,#297610,#297611,#297612,#297613,#297614,#297615,#297616,#297617, #297618,#297619,#297620,#297621,#297622,#297623,#297624,#297625,#297626, #297627,#297628,#297629,#297630)); #55570=EDGE_LOOP('',(#297631)); #55571=EDGE_LOOP('',(#297632)); #55572=EDGE_LOOP('',(#297633)); #55573=EDGE_LOOP('',(#297634)); #55574=EDGE_LOOP('',(#297635)); #55575=EDGE_LOOP('',(#297636)); #55576=EDGE_LOOP('',(#297637)); #55577=EDGE_LOOP('',(#297638)); #55578=EDGE_LOOP('',(#297639)); #55579=EDGE_LOOP('',(#297640)); #55580=EDGE_LOOP('',(#297641)); #55581=EDGE_LOOP('',(#297642)); #55582=EDGE_LOOP('',(#297643)); #55583=EDGE_LOOP('',(#297644)); #55584=EDGE_LOOP('',(#297645)); #55585=EDGE_LOOP('',(#297646)); #55586=EDGE_LOOP('',(#297647,#297648,#297649,#297650)); #55587=EDGE_LOOP('',(#297651,#297652,#297653,#297654)); #55588=EDGE_LOOP('',(#297655,#297656,#297657,#297658)); #55589=EDGE_LOOP('',(#297659,#297660,#297661,#297662)); #55590=EDGE_LOOP('',(#297663,#297664,#297665,#297666)); #55591=EDGE_LOOP('',(#297667,#297668,#297669,#297670)); #55592=EDGE_LOOP('',(#297671,#297672,#297673,#297674)); #55593=EDGE_LOOP('',(#297675,#297676,#297677,#297678)); #55594=EDGE_LOOP('',(#297679,#297680,#297681,#297682)); #55595=EDGE_LOOP('',(#297683,#297684,#297685,#297686)); #55596=EDGE_LOOP('',(#297687,#297688,#297689,#297690)); #55597=EDGE_LOOP('',(#297691,#297692,#297693,#297694)); #55598=EDGE_LOOP('',(#297695,#297696,#297697,#297698)); #55599=EDGE_LOOP('',(#297699,#297700,#297701,#297702)); #55600=EDGE_LOOP('',(#297703,#297704,#297705,#297706)); #55601=EDGE_LOOP('',(#297707,#297708,#297709,#297710)); #55602=EDGE_LOOP('',(#297711,#297712,#297713,#297714)); #55603=EDGE_LOOP('',(#297715,#297716,#297717,#297718)); #55604=EDGE_LOOP('',(#297719,#297720,#297721,#297722)); #55605=EDGE_LOOP('',(#297723,#297724,#297725,#297726)); #55606=EDGE_LOOP('',(#297727,#297728,#297729,#297730)); #55607=EDGE_LOOP('',(#297731,#297732,#297733,#297734)); #55608=EDGE_LOOP('',(#297735,#297736,#297737,#297738)); #55609=EDGE_LOOP('',(#297739,#297740,#297741,#297742)); #55610=EDGE_LOOP('',(#297743,#297744,#297745,#297746)); #55611=EDGE_LOOP('',(#297747,#297748,#297749,#297750)); #55612=EDGE_LOOP('',(#297751,#297752,#297753,#297754)); #55613=EDGE_LOOP('',(#297755,#297756,#297757,#297758)); #55614=EDGE_LOOP('',(#297759,#297760,#297761,#297762)); #55615=EDGE_LOOP('',(#297763,#297764,#297765,#297766)); #55616=EDGE_LOOP('',(#297767,#297768,#297769,#297770)); #55617=EDGE_LOOP('',(#297771,#297772,#297773,#297774)); #55618=EDGE_LOOP('',(#297775,#297776,#297777,#297778)); #55619=EDGE_LOOP('',(#297779,#297780,#297781,#297782)); #55620=EDGE_LOOP('',(#297783,#297784,#297785,#297786)); #55621=EDGE_LOOP('',(#297787,#297788,#297789,#297790)); #55622=EDGE_LOOP('',(#297791,#297792,#297793,#297794)); #55623=EDGE_LOOP('',(#297795,#297796,#297797,#297798)); #55624=EDGE_LOOP('',(#297799,#297800,#297801,#297802)); #55625=EDGE_LOOP('',(#297803,#297804,#297805,#297806)); #55626=EDGE_LOOP('',(#297807,#297808,#297809,#297810)); #55627=EDGE_LOOP('',(#297811,#297812,#297813,#297814)); #55628=EDGE_LOOP('',(#297815,#297816,#297817,#297818)); #55629=EDGE_LOOP('',(#297819,#297820,#297821,#297822)); #55630=EDGE_LOOP('',(#297823,#297824,#297825,#297826)); #55631=EDGE_LOOP('',(#297827,#297828,#297829,#297830)); #55632=EDGE_LOOP('',(#297831,#297832,#297833,#297834)); #55633=EDGE_LOOP('',(#297835,#297836,#297837,#297838)); #55634=EDGE_LOOP('',(#297839,#297840,#297841,#297842)); #55635=EDGE_LOOP('',(#297843,#297844,#297845,#297846)); #55636=EDGE_LOOP('',(#297847,#297848,#297849,#297850)); #55637=EDGE_LOOP('',(#297851,#297852,#297853,#297854)); #55638=EDGE_LOOP('',(#297855,#297856,#297857,#297858)); #55639=EDGE_LOOP('',(#297859,#297860,#297861,#297862)); #55640=EDGE_LOOP('',(#297863,#297864,#297865,#297866)); #55641=EDGE_LOOP('',(#297867,#297868,#297869,#297870)); #55642=EDGE_LOOP('',(#297871,#297872,#297873,#297874)); #55643=EDGE_LOOP('',(#297875,#297876,#297877,#297878)); #55644=EDGE_LOOP('',(#297879,#297880,#297881,#297882)); #55645=EDGE_LOOP('',(#297883,#297884,#297885,#297886)); #55646=EDGE_LOOP('',(#297887,#297888,#297889,#297890)); #55647=EDGE_LOOP('',(#297891,#297892,#297893,#297894)); #55648=EDGE_LOOP('',(#297895,#297896,#297897,#297898)); #55649=EDGE_LOOP('',(#297899,#297900,#297901,#297902)); #55650=EDGE_LOOP('',(#297903,#297904,#297905,#297906)); #55651=EDGE_LOOP('',(#297907,#297908,#297909,#297910)); #55652=EDGE_LOOP('',(#297911,#297912,#297913,#297914)); #55653=EDGE_LOOP('',(#297915,#297916,#297917,#297918)); #55654=EDGE_LOOP('',(#297919,#297920,#297921,#297922)); #55655=EDGE_LOOP('',(#297923,#297924,#297925,#297926)); #55656=EDGE_LOOP('',(#297927,#297928,#297929,#297930)); #55657=EDGE_LOOP('',(#297931,#297932,#297933,#297934)); #55658=EDGE_LOOP('',(#297935,#297936,#297937,#297938)); #55659=EDGE_LOOP('',(#297939,#297940,#297941,#297942)); #55660=EDGE_LOOP('',(#297943,#297944,#297945,#297946)); #55661=EDGE_LOOP('',(#297947,#297948,#297949,#297950)); #55662=EDGE_LOOP('',(#297951,#297952,#297953,#297954)); #55663=EDGE_LOOP('',(#297955,#297956,#297957,#297958)); #55664=EDGE_LOOP('',(#297959,#297960,#297961,#297962)); #55665=EDGE_LOOP('',(#297963,#297964,#297965,#297966)); #55666=EDGE_LOOP('',(#297967,#297968,#297969,#297970)); #55667=EDGE_LOOP('',(#297971,#297972,#297973,#297974)); #55668=EDGE_LOOP('',(#297975,#297976,#297977,#297978)); #55669=EDGE_LOOP('',(#297979,#297980,#297981,#297982)); #55670=EDGE_LOOP('',(#297983,#297984,#297985,#297986)); #55671=EDGE_LOOP('',(#297987,#297988,#297989,#297990)); #55672=EDGE_LOOP('',(#297991,#297992,#297993,#297994,#297995,#297996,#297997, #297998,#297999,#298000,#298001,#298002,#298003,#298004,#298005,#298006, #298007,#298008,#298009,#298010,#298011,#298012,#298013,#298014,#298015, #298016,#298017,#298018,#298019,#298020,#298021,#298022,#298023,#298024, #298025,#298026,#298027,#298028,#298029,#298030,#298031,#298032,#298033, #298034,#298035,#298036,#298037,#298038,#298039,#298040,#298041,#298042, #298043,#298044,#298045,#298046,#298047,#298048,#298049,#298050,#298051, #298052,#298053,#298054,#298055,#298056,#298057,#298058,#298059,#298060)); #55673=EDGE_LOOP('',(#298061)); #55674=EDGE_LOOP('',(#298062)); #55675=EDGE_LOOP('',(#298063)); #55676=EDGE_LOOP('',(#298064)); #55677=EDGE_LOOP('',(#298065)); #55678=EDGE_LOOP('',(#298066)); #55679=EDGE_LOOP('',(#298067)); #55680=EDGE_LOOP('',(#298068)); #55681=EDGE_LOOP('',(#298069)); #55682=EDGE_LOOP('',(#298070)); #55683=EDGE_LOOP('',(#298071)); #55684=EDGE_LOOP('',(#298072)); #55685=EDGE_LOOP('',(#298073)); #55686=EDGE_LOOP('',(#298074)); #55687=EDGE_LOOP('',(#298075)); #55688=EDGE_LOOP('',(#298076)); #55689=EDGE_LOOP('',(#298077,#298078,#298079,#298080,#298081,#298082,#298083, #298084,#298085,#298086,#298087,#298088,#298089,#298090,#298091,#298092, #298093,#298094,#298095,#298096,#298097,#298098,#298099,#298100,#298101, #298102,#298103,#298104,#298105,#298106,#298107,#298108,#298109,#298110, #298111,#298112,#298113,#298114,#298115,#298116,#298117,#298118,#298119, #298120,#298121,#298122,#298123,#298124,#298125,#298126,#298127,#298128, #298129,#298130,#298131,#298132,#298133,#298134,#298135,#298136,#298137, #298138,#298139,#298140,#298141,#298142,#298143,#298144,#298145,#298146)); #55690=EDGE_LOOP('',(#298147)); #55691=EDGE_LOOP('',(#298148)); #55692=EDGE_LOOP('',(#298149)); #55693=EDGE_LOOP('',(#298150)); #55694=EDGE_LOOP('',(#298151)); #55695=EDGE_LOOP('',(#298152)); #55696=EDGE_LOOP('',(#298153)); #55697=EDGE_LOOP('',(#298154)); #55698=EDGE_LOOP('',(#298155)); #55699=EDGE_LOOP('',(#298156)); #55700=EDGE_LOOP('',(#298157)); #55701=EDGE_LOOP('',(#298158)); #55702=EDGE_LOOP('',(#298159)); #55703=EDGE_LOOP('',(#298160)); #55704=EDGE_LOOP('',(#298161)); #55705=EDGE_LOOP('',(#298162)); #55706=EDGE_LOOP('',(#298163,#298164,#298165,#298166)); #55707=EDGE_LOOP('',(#298167,#298168,#298169,#298170)); #55708=EDGE_LOOP('',(#298171,#298172,#298173,#298174)); #55709=EDGE_LOOP('',(#298175,#298176,#298177,#298178)); #55710=EDGE_LOOP('',(#298179,#298180,#298181,#298182)); #55711=EDGE_LOOP('',(#298183,#298184,#298185,#298186)); #55712=EDGE_LOOP('',(#298187,#298188,#298189,#298190)); #55713=EDGE_LOOP('',(#298191,#298192,#298193,#298194)); #55714=EDGE_LOOP('',(#298195,#298196,#298197,#298198)); #55715=EDGE_LOOP('',(#298199,#298200,#298201,#298202)); #55716=EDGE_LOOP('',(#298203,#298204,#298205,#298206)); #55717=EDGE_LOOP('',(#298207,#298208,#298209,#298210)); #55718=EDGE_LOOP('',(#298211,#298212,#298213,#298214)); #55719=EDGE_LOOP('',(#298215,#298216,#298217,#298218)); #55720=EDGE_LOOP('',(#298219,#298220,#298221,#298222)); #55721=EDGE_LOOP('',(#298223,#298224,#298225,#298226)); #55722=EDGE_LOOP('',(#298227,#298228,#298229,#298230)); #55723=EDGE_LOOP('',(#298231,#298232,#298233,#298234)); #55724=EDGE_LOOP('',(#298235,#298236,#298237,#298238)); #55725=EDGE_LOOP('',(#298239,#298240,#298241,#298242)); #55726=EDGE_LOOP('',(#298243,#298244,#298245,#298246)); #55727=EDGE_LOOP('',(#298247,#298248,#298249,#298250)); #55728=EDGE_LOOP('',(#298251,#298252,#298253,#298254)); #55729=EDGE_LOOP('',(#298255,#298256,#298257,#298258)); #55730=EDGE_LOOP('',(#298259,#298260,#298261,#298262)); #55731=EDGE_LOOP('',(#298263,#298264,#298265,#298266)); #55732=EDGE_LOOP('',(#298267,#298268,#298269,#298270)); #55733=EDGE_LOOP('',(#298271,#298272,#298273,#298274)); #55734=EDGE_LOOP('',(#298275,#298276,#298277,#298278)); #55735=EDGE_LOOP('',(#298279,#298280,#298281,#298282)); #55736=EDGE_LOOP('',(#298283,#298284,#298285,#298286)); #55737=EDGE_LOOP('',(#298287,#298288,#298289,#298290)); #55738=EDGE_LOOP('',(#298291,#298292,#298293,#298294)); #55739=EDGE_LOOP('',(#298295,#298296,#298297,#298298)); #55740=EDGE_LOOP('',(#298299,#298300,#298301,#298302)); #55741=EDGE_LOOP('',(#298303,#298304,#298305,#298306)); #55742=EDGE_LOOP('',(#298307,#298308,#298309,#298310)); #55743=EDGE_LOOP('',(#298311,#298312,#298313,#298314)); #55744=EDGE_LOOP('',(#298315,#298316,#298317,#298318)); #55745=EDGE_LOOP('',(#298319,#298320,#298321,#298322)); #55746=EDGE_LOOP('',(#298323,#298324,#298325,#298326)); #55747=EDGE_LOOP('',(#298327,#298328,#298329,#298330)); #55748=EDGE_LOOP('',(#298331,#298332,#298333,#298334)); #55749=EDGE_LOOP('',(#298335,#298336,#298337,#298338)); #55750=EDGE_LOOP('',(#298339,#298340,#298341,#298342)); #55751=EDGE_LOOP('',(#298343,#298344,#298345,#298346)); #55752=EDGE_LOOP('',(#298347,#298348,#298349,#298350)); #55753=EDGE_LOOP('',(#298351,#298352,#298353,#298354)); #55754=EDGE_LOOP('',(#298355,#298356,#298357,#298358)); #55755=EDGE_LOOP('',(#298359,#298360,#298361,#298362)); #55756=EDGE_LOOP('',(#298363,#298364,#298365,#298366)); #55757=EDGE_LOOP('',(#298367,#298368,#298369,#298370)); #55758=EDGE_LOOP('',(#298371,#298372,#298373,#298374)); #55759=EDGE_LOOP('',(#298375,#298376,#298377,#298378)); #55760=EDGE_LOOP('',(#298379,#298380,#298381,#298382)); #55761=EDGE_LOOP('',(#298383,#298384,#298385,#298386)); #55762=EDGE_LOOP('',(#298387,#298388,#298389,#298390)); #55763=EDGE_LOOP('',(#298391,#298392,#298393,#298394)); #55764=EDGE_LOOP('',(#298395,#298396,#298397,#298398)); #55765=EDGE_LOOP('',(#298399,#298400,#298401,#298402)); #55766=EDGE_LOOP('',(#298403,#298404,#298405,#298406)); #55767=EDGE_LOOP('',(#298407,#298408,#298409,#298410)); #55768=EDGE_LOOP('',(#298411,#298412,#298413,#298414)); #55769=EDGE_LOOP('',(#298415,#298416,#298417,#298418)); #55770=EDGE_LOOP('',(#298419,#298420,#298421,#298422)); #55771=EDGE_LOOP('',(#298423,#298424,#298425,#298426)); #55772=EDGE_LOOP('',(#298427,#298428,#298429,#298430)); #55773=EDGE_LOOP('',(#298431,#298432,#298433,#298434)); #55774=EDGE_LOOP('',(#298435,#298436,#298437,#298438)); #55775=EDGE_LOOP('',(#298439,#298440,#298441,#298442)); #55776=EDGE_LOOP('',(#298443,#298444,#298445,#298446)); #55777=EDGE_LOOP('',(#298447,#298448,#298449,#298450)); #55778=EDGE_LOOP('',(#298451,#298452,#298453,#298454)); #55779=EDGE_LOOP('',(#298455,#298456,#298457,#298458)); #55780=EDGE_LOOP('',(#298459,#298460,#298461,#298462)); #55781=EDGE_LOOP('',(#298463,#298464,#298465,#298466,#298467,#298468,#298469, #298470,#298471,#298472,#298473,#298474,#298475,#298476,#298477,#298478, #298479,#298480,#298481,#298482,#298483,#298484,#298485,#298486,#298487, #298488,#298489,#298490,#298491,#298492,#298493,#298494,#298495,#298496, #298497,#298498,#298499,#298500,#298501,#298502,#298503,#298504,#298505, #298506,#298507)); #55782=EDGE_LOOP('',(#298508)); #55783=EDGE_LOOP('',(#298509)); #55784=EDGE_LOOP('',(#298510)); #55785=EDGE_LOOP('',(#298511)); #55786=EDGE_LOOP('',(#298512)); #55787=EDGE_LOOP('',(#298513)); #55788=EDGE_LOOP('',(#298514)); #55789=EDGE_LOOP('',(#298515)); #55790=EDGE_LOOP('',(#298516)); #55791=EDGE_LOOP('',(#298517)); #55792=EDGE_LOOP('',(#298518)); #55793=EDGE_LOOP('',(#298519)); #55794=EDGE_LOOP('',(#298520)); #55795=EDGE_LOOP('',(#298521)); #55796=EDGE_LOOP('',(#298522)); #55797=EDGE_LOOP('',(#298523)); #55798=EDGE_LOOP('',(#298524)); #55799=EDGE_LOOP('',(#298525)); #55800=EDGE_LOOP('',(#298526)); #55801=EDGE_LOOP('',(#298527)); #55802=EDGE_LOOP('',(#298528)); #55803=EDGE_LOOP('',(#298529)); #55804=EDGE_LOOP('',(#298530)); #55805=EDGE_LOOP('',(#298531)); #55806=EDGE_LOOP('',(#298532)); #55807=EDGE_LOOP('',(#298533)); #55808=EDGE_LOOP('',(#298534)); #55809=EDGE_LOOP('',(#298535)); #55810=EDGE_LOOP('',(#298536)); #55811=EDGE_LOOP('',(#298537)); #55812=EDGE_LOOP('',(#298538,#298539,#298540,#298541,#298542,#298543,#298544, #298545,#298546,#298547,#298548,#298549,#298550,#298551,#298552,#298553, #298554,#298555,#298556,#298557,#298558,#298559,#298560,#298561,#298562, #298563,#298564,#298565,#298566,#298567,#298568,#298569,#298570,#298571, #298572,#298573,#298574,#298575,#298576,#298577,#298578,#298579,#298580, #298581,#298582)); #55813=EDGE_LOOP('',(#298583)); #55814=EDGE_LOOP('',(#298584)); #55815=EDGE_LOOP('',(#298585)); #55816=EDGE_LOOP('',(#298586)); #55817=EDGE_LOOP('',(#298587)); #55818=EDGE_LOOP('',(#298588)); #55819=EDGE_LOOP('',(#298589)); #55820=EDGE_LOOP('',(#298590)); #55821=EDGE_LOOP('',(#298591)); #55822=EDGE_LOOP('',(#298592)); #55823=EDGE_LOOP('',(#298593)); #55824=EDGE_LOOP('',(#298594)); #55825=EDGE_LOOP('',(#298595)); #55826=EDGE_LOOP('',(#298596)); #55827=EDGE_LOOP('',(#298597)); #55828=EDGE_LOOP('',(#298598)); #55829=EDGE_LOOP('',(#298599)); #55830=EDGE_LOOP('',(#298600)); #55831=EDGE_LOOP('',(#298601)); #55832=EDGE_LOOP('',(#298602)); #55833=EDGE_LOOP('',(#298603)); #55834=EDGE_LOOP('',(#298604)); #55835=EDGE_LOOP('',(#298605)); #55836=EDGE_LOOP('',(#298606)); #55837=EDGE_LOOP('',(#298607)); #55838=EDGE_LOOP('',(#298608)); #55839=EDGE_LOOP('',(#298609)); #55840=EDGE_LOOP('',(#298610)); #55841=EDGE_LOOP('',(#298611)); #55842=EDGE_LOOP('',(#298612)); #55843=EDGE_LOOP('',(#298613,#298614,#298615,#298616)); #55844=EDGE_LOOP('',(#298617,#298618,#298619,#298620)); #55845=EDGE_LOOP('',(#298621,#298622,#298623,#298624)); #55846=EDGE_LOOP('',(#298625,#298626,#298627,#298628)); #55847=EDGE_LOOP('',(#298629,#298630,#298631,#298632)); #55848=EDGE_LOOP('',(#298633,#298634,#298635,#298636)); #55849=EDGE_LOOP('',(#298637,#298638,#298639,#298640)); #55850=EDGE_LOOP('',(#298641,#298642,#298643,#298644)); #55851=EDGE_LOOP('',(#298645,#298646,#298647,#298648)); #55852=EDGE_LOOP('',(#298649,#298650,#298651,#298652,#298653,#298654,#298655)); #55853=EDGE_LOOP('',(#298656)); #55854=EDGE_LOOP('',(#298657)); #55855=EDGE_LOOP('',(#298658,#298659,#298660,#298661,#298662,#298663,#298664)); #55856=EDGE_LOOP('',(#298665)); #55857=EDGE_LOOP('',(#298666)); #55858=EDGE_LOOP('',(#298667,#298668,#298669,#298670)); #55859=EDGE_LOOP('',(#298671,#298672,#298673,#298674)); #55860=EDGE_LOOP('',(#298675,#298676,#298677,#298678)); #55861=EDGE_LOOP('',(#298679,#298680,#298681,#298682)); #55862=EDGE_LOOP('',(#298683,#298684,#298685,#298686)); #55863=EDGE_LOOP('',(#298687,#298688,#298689,#298690)); #55864=EDGE_LOOP('',(#298691,#298692,#298693,#298694)); #55865=EDGE_LOOP('',(#298695,#298696,#298697,#298698)); #55866=EDGE_LOOP('',(#298699,#298700,#298701,#298702)); #55867=EDGE_LOOP('',(#298703,#298704,#298705,#298706)); #55868=EDGE_LOOP('',(#298707,#298708,#298709,#298710)); #55869=EDGE_LOOP('',(#298711,#298712,#298713,#298714)); #55870=EDGE_LOOP('',(#298715,#298716,#298717,#298718)); #55871=EDGE_LOOP('',(#298719,#298720,#298721,#298722)); #55872=EDGE_LOOP('',(#298723,#298724,#298725,#298726)); #55873=EDGE_LOOP('',(#298727,#298728,#298729,#298730)); #55874=EDGE_LOOP('',(#298731,#298732,#298733,#298734)); #55875=EDGE_LOOP('',(#298735,#298736,#298737,#298738)); #55876=EDGE_LOOP('',(#298739,#298740,#298741,#298742)); #55877=EDGE_LOOP('',(#298743,#298744,#298745,#298746)); #55878=EDGE_LOOP('',(#298747,#298748,#298749,#298750)); #55879=EDGE_LOOP('',(#298751,#298752,#298753,#298754)); #55880=EDGE_LOOP('',(#298755,#298756,#298757,#298758)); #55881=EDGE_LOOP('',(#298759,#298760,#298761,#298762)); #55882=EDGE_LOOP('',(#298763,#298764,#298765,#298766)); #55883=EDGE_LOOP('',(#298767,#298768,#298769,#298770)); #55884=EDGE_LOOP('',(#298771,#298772,#298773,#298774)); #55885=EDGE_LOOP('',(#298775,#298776,#298777,#298778)); #55886=EDGE_LOOP('',(#298779,#298780,#298781,#298782)); #55887=EDGE_LOOP('',(#298783,#298784,#298785,#298786)); #55888=EDGE_LOOP('',(#298787,#298788,#298789,#298790)); #55889=EDGE_LOOP('',(#298791,#298792,#298793,#298794)); #55890=EDGE_LOOP('',(#298795,#298796,#298797,#298798)); #55891=EDGE_LOOP('',(#298799,#298800,#298801,#298802)); #55892=EDGE_LOOP('',(#298803,#298804,#298805,#298806,#298807,#298808,#298809, #298810,#298811,#298812,#298813,#298814,#298815,#298816,#298817,#298818, #298819,#298820,#298821,#298822,#298823,#298824,#298825,#298826)); #55893=EDGE_LOOP('',(#298827)); #55894=EDGE_LOOP('',(#298828)); #55895=EDGE_LOOP('',(#298829)); #55896=EDGE_LOOP('',(#298830)); #55897=EDGE_LOOP('',(#298831)); #55898=EDGE_LOOP('',(#298832)); #55899=EDGE_LOOP('',(#298833)); #55900=EDGE_LOOP('',(#298834)); #55901=EDGE_LOOP('',(#298835)); #55902=EDGE_LOOP('',(#298836)); #55903=EDGE_LOOP('',(#298837,#298838,#298839,#298840,#298841,#298842,#298843, #298844,#298845,#298846,#298847,#298848,#298849,#298850,#298851,#298852, #298853,#298854,#298855,#298856,#298857,#298858,#298859,#298860)); #55904=EDGE_LOOP('',(#298861)); #55905=EDGE_LOOP('',(#298862)); #55906=EDGE_LOOP('',(#298863)); #55907=EDGE_LOOP('',(#298864)); #55908=EDGE_LOOP('',(#298865)); #55909=EDGE_LOOP('',(#298866)); #55910=EDGE_LOOP('',(#298867)); #55911=EDGE_LOOP('',(#298868)); #55912=EDGE_LOOP('',(#298869)); #55913=EDGE_LOOP('',(#298870)); #55914=EDGE_LOOP('',(#298871,#298872,#298873,#298874)); #55915=EDGE_LOOP('',(#298875,#298876,#298877,#298878)); #55916=EDGE_LOOP('',(#298879,#298880,#298881,#298882)); #55917=EDGE_LOOP('',(#298883,#298884,#298885,#298886)); #55918=EDGE_LOOP('',(#298887,#298888,#298889)); #55919=EDGE_LOOP('',(#298890)); #55920=EDGE_LOOP('',(#298891,#298892,#298893)); #55921=EDGE_LOOP('',(#298894)); #55922=EDGE_LOOP('',(#298895,#298896,#298897,#298898)); #55923=EDGE_LOOP('',(#298899,#298900,#298901,#298902)); #55924=EDGE_LOOP('',(#298903,#298904,#298905,#298906)); #55925=EDGE_LOOP('',(#298907,#298908,#298909,#298910)); #55926=EDGE_LOOP('',(#298911,#298912,#298913,#298914)); #55927=EDGE_LOOP('',(#298915,#298916,#298917,#298918)); #55928=EDGE_LOOP('',(#298919,#298920,#298921,#298922)); #55929=EDGE_LOOP('',(#298923,#298924,#298925,#298926)); #55930=EDGE_LOOP('',(#298927,#298928,#298929,#298930)); #55931=EDGE_LOOP('',(#298931,#298932,#298933,#298934)); #55932=EDGE_LOOP('',(#298935,#298936,#298937,#298938)); #55933=EDGE_LOOP('',(#298939,#298940,#298941,#298942)); #55934=EDGE_LOOP('',(#298943,#298944,#298945,#298946)); #55935=EDGE_LOOP('',(#298947,#298948,#298949,#298950)); #55936=EDGE_LOOP('',(#298951,#298952,#298953,#298954)); #55937=EDGE_LOOP('',(#298955,#298956,#298957,#298958)); #55938=EDGE_LOOP('',(#298959,#298960,#298961,#298962)); #55939=EDGE_LOOP('',(#298963,#298964,#298965,#298966)); #55940=EDGE_LOOP('',(#298967,#298968,#298969,#298970)); #55941=EDGE_LOOP('',(#298971,#298972,#298973,#298974)); #55942=EDGE_LOOP('',(#298975,#298976,#298977,#298978)); #55943=EDGE_LOOP('',(#298979,#298980,#298981,#298982)); #55944=EDGE_LOOP('',(#298983,#298984,#298985,#298986)); #55945=EDGE_LOOP('',(#298987,#298988,#298989,#298990)); #55946=EDGE_LOOP('',(#298991,#298992,#298993,#298994)); #55947=EDGE_LOOP('',(#298995,#298996,#298997,#298998)); #55948=EDGE_LOOP('',(#298999,#299000,#299001,#299002)); #55949=EDGE_LOOP('',(#299003,#299004,#299005,#299006)); #55950=EDGE_LOOP('',(#299007,#299008,#299009,#299010)); #55951=EDGE_LOOP('',(#299011,#299012,#299013,#299014)); #55952=EDGE_LOOP('',(#299015,#299016,#299017,#299018)); #55953=EDGE_LOOP('',(#299019,#299020,#299021,#299022)); #55954=EDGE_LOOP('',(#299023,#299024,#299025,#299026)); #55955=EDGE_LOOP('',(#299027,#299028,#299029,#299030)); #55956=EDGE_LOOP('',(#299031,#299032,#299033,#299034)); #55957=EDGE_LOOP('',(#299035,#299036,#299037,#299038)); #55958=EDGE_LOOP('',(#299039,#299040,#299041,#299042)); #55959=EDGE_LOOP('',(#299043,#299044,#299045,#299046)); #55960=EDGE_LOOP('',(#299047,#299048,#299049,#299050)); #55961=EDGE_LOOP('',(#299051,#299052,#299053,#299054)); #55962=EDGE_LOOP('',(#299055,#299056,#299057,#299058)); #55963=EDGE_LOOP('',(#299059,#299060,#299061,#299062)); #55964=EDGE_LOOP('',(#299063,#299064,#299065,#299066)); #55965=EDGE_LOOP('',(#299067,#299068,#299069,#299070)); #55966=EDGE_LOOP('',(#299071,#299072,#299073,#299074)); #55967=EDGE_LOOP('',(#299075,#299076,#299077,#299078)); #55968=EDGE_LOOP('',(#299079,#299080,#299081,#299082)); #55969=EDGE_LOOP('',(#299083,#299084,#299085,#299086)); #55970=EDGE_LOOP('',(#299087,#299088,#299089,#299090)); #55971=EDGE_LOOP('',(#299091,#299092,#299093,#299094)); #55972=EDGE_LOOP('',(#299095,#299096,#299097,#299098)); #55973=EDGE_LOOP('',(#299099,#299100,#299101,#299102)); #55974=EDGE_LOOP('',(#299103,#299104,#299105,#299106)); #55975=EDGE_LOOP('',(#299107,#299108,#299109,#299110)); #55976=EDGE_LOOP('',(#299111,#299112,#299113,#299114)); #55977=EDGE_LOOP('',(#299115,#299116,#299117,#299118)); #55978=EDGE_LOOP('',(#299119,#299120,#299121,#299122)); #55979=EDGE_LOOP('',(#299123,#299124,#299125,#299126)); #55980=EDGE_LOOP('',(#299127,#299128,#299129,#299130)); #55981=EDGE_LOOP('',(#299131,#299132,#299133,#299134)); #55982=EDGE_LOOP('',(#299135,#299136,#299137,#299138)); #55983=EDGE_LOOP('',(#299139,#299140,#299141,#299142)); #55984=EDGE_LOOP('',(#299143,#299144,#299145,#299146)); #55985=EDGE_LOOP('',(#299147,#299148,#299149,#299150)); #55986=EDGE_LOOP('',(#299151,#299152,#299153,#299154)); #55987=EDGE_LOOP('',(#299155,#299156,#299157,#299158)); #55988=EDGE_LOOP('',(#299159,#299160,#299161,#299162)); #55989=EDGE_LOOP('',(#299163,#299164,#299165,#299166)); #55990=EDGE_LOOP('',(#299167,#299168,#299169,#299170)); #55991=EDGE_LOOP('',(#299171,#299172,#299173,#299174)); #55992=EDGE_LOOP('',(#299175,#299176,#299177,#299178)); #55993=EDGE_LOOP('',(#299179,#299180,#299181,#299182)); #55994=EDGE_LOOP('',(#299183,#299184,#299185,#299186)); #55995=EDGE_LOOP('',(#299187,#299188,#299189,#299190)); #55996=EDGE_LOOP('',(#299191,#299192,#299193,#299194)); #55997=EDGE_LOOP('',(#299195,#299196,#299197,#299198,#299199,#299200,#299201, #299202,#299203,#299204,#299205,#299206,#299207,#299208,#299209,#299210, #299211,#299212,#299213,#299214,#299215,#299216,#299217,#299218,#299219, #299220,#299221,#299222,#299223,#299224,#299225,#299226,#299227,#299228, #299229,#299230,#299231,#299232,#299233,#299234,#299235,#299236,#299237, #299238,#299239)); #55998=EDGE_LOOP('',(#299240)); #55999=EDGE_LOOP('',(#299241)); #56000=EDGE_LOOP('',(#299242)); #56001=EDGE_LOOP('',(#299243)); #56002=EDGE_LOOP('',(#299244)); #56003=EDGE_LOOP('',(#299245)); #56004=EDGE_LOOP('',(#299246)); #56005=EDGE_LOOP('',(#299247)); #56006=EDGE_LOOP('',(#299248)); #56007=EDGE_LOOP('',(#299249)); #56008=EDGE_LOOP('',(#299250)); #56009=EDGE_LOOP('',(#299251)); #56010=EDGE_LOOP('',(#299252)); #56011=EDGE_LOOP('',(#299253)); #56012=EDGE_LOOP('',(#299254)); #56013=EDGE_LOOP('',(#299255)); #56014=EDGE_LOOP('',(#299256)); #56015=EDGE_LOOP('',(#299257)); #56016=EDGE_LOOP('',(#299258)); #56017=EDGE_LOOP('',(#299259)); #56018=EDGE_LOOP('',(#299260)); #56019=EDGE_LOOP('',(#299261)); #56020=EDGE_LOOP('',(#299262)); #56021=EDGE_LOOP('',(#299263)); #56022=EDGE_LOOP('',(#299264)); #56023=EDGE_LOOP('',(#299265)); #56024=EDGE_LOOP('',(#299266)); #56025=EDGE_LOOP('',(#299267)); #56026=EDGE_LOOP('',(#299268)); #56027=EDGE_LOOP('',(#299269)); #56028=EDGE_LOOP('',(#299270,#299271,#299272,#299273,#299274,#299275,#299276, #299277,#299278,#299279,#299280,#299281,#299282,#299283,#299284,#299285, #299286,#299287,#299288,#299289,#299290,#299291,#299292,#299293,#299294, #299295,#299296,#299297,#299298,#299299,#299300,#299301,#299302,#299303, #299304,#299305,#299306,#299307,#299308,#299309,#299310,#299311,#299312, #299313,#299314)); #56029=EDGE_LOOP('',(#299315)); #56030=EDGE_LOOP('',(#299316)); #56031=EDGE_LOOP('',(#299317)); #56032=EDGE_LOOP('',(#299318)); #56033=EDGE_LOOP('',(#299319)); #56034=EDGE_LOOP('',(#299320)); #56035=EDGE_LOOP('',(#299321)); #56036=EDGE_LOOP('',(#299322)); #56037=EDGE_LOOP('',(#299323)); #56038=EDGE_LOOP('',(#299324)); #56039=EDGE_LOOP('',(#299325)); #56040=EDGE_LOOP('',(#299326)); #56041=EDGE_LOOP('',(#299327)); #56042=EDGE_LOOP('',(#299328)); #56043=EDGE_LOOP('',(#299329)); #56044=EDGE_LOOP('',(#299330)); #56045=EDGE_LOOP('',(#299331)); #56046=EDGE_LOOP('',(#299332)); #56047=EDGE_LOOP('',(#299333)); #56048=EDGE_LOOP('',(#299334)); #56049=EDGE_LOOP('',(#299335)); #56050=EDGE_LOOP('',(#299336)); #56051=EDGE_LOOP('',(#299337)); #56052=EDGE_LOOP('',(#299338)); #56053=EDGE_LOOP('',(#299339)); #56054=EDGE_LOOP('',(#299340)); #56055=EDGE_LOOP('',(#299341)); #56056=EDGE_LOOP('',(#299342)); #56057=EDGE_LOOP('',(#299343)); #56058=EDGE_LOOP('',(#299344)); #56059=EDGE_LOOP('',(#299345,#299346,#299347,#299348)); #56060=EDGE_LOOP('',(#299349,#299350,#299351,#299352)); #56061=EDGE_LOOP('',(#299353,#299354,#299355,#299356)); #56062=EDGE_LOOP('',(#299357,#299358,#299359,#299360)); #56063=EDGE_LOOP('',(#299361,#299362,#299363,#299364)); #56064=EDGE_LOOP('',(#299365,#299366,#299367,#299368)); #56065=EDGE_LOOP('',(#299369,#299370,#299371,#299372)); #56066=EDGE_LOOP('',(#299373,#299374,#299375,#299376)); #56067=EDGE_LOOP('',(#299377,#299378,#299379,#299380)); #56068=EDGE_LOOP('',(#299381,#299382,#299383,#299384,#299385,#299386,#299387)); #56069=EDGE_LOOP('',(#299388)); #56070=EDGE_LOOP('',(#299389)); #56071=EDGE_LOOP('',(#299390,#299391,#299392,#299393,#299394,#299395,#299396)); #56072=EDGE_LOOP('',(#299397)); #56073=EDGE_LOOP('',(#299398)); #56074=EDGE_LOOP('',(#299399,#299400,#299401,#299402)); #56075=EDGE_LOOP('',(#299403,#299404,#299405,#299406)); #56076=EDGE_LOOP('',(#299407,#299408,#299409,#299410)); #56077=EDGE_LOOP('',(#299411,#299412,#299413,#299414)); #56078=EDGE_LOOP('',(#299415,#299416,#299417)); #56079=EDGE_LOOP('',(#299418)); #56080=EDGE_LOOP('',(#299419,#299420,#299421)); #56081=EDGE_LOOP('',(#299422)); #56082=EDGE_LOOP('',(#299423,#299424,#299425,#299426)); #56083=EDGE_LOOP('',(#299427,#299428,#299429,#299430)); #56084=EDGE_LOOP('',(#299431,#299432,#299433,#299434)); #56085=EDGE_LOOP('',(#299435,#299436,#299437,#299438)); #56086=EDGE_LOOP('',(#299439,#299440,#299441,#299442)); #56087=EDGE_LOOP('',(#299443,#299444,#299445,#299446)); #56088=EDGE_LOOP('',(#299447,#299448,#299449,#299450)); #56089=EDGE_LOOP('',(#299451,#299452,#299453,#299454)); #56090=EDGE_LOOP('',(#299455,#299456,#299457,#299458)); #56091=EDGE_LOOP('',(#299459,#299460,#299461,#299462)); #56092=EDGE_LOOP('',(#299463,#299464,#299465,#299466)); #56093=EDGE_LOOP('',(#299467,#299468,#299469,#299470)); #56094=EDGE_LOOP('',(#299471,#299472,#299473,#299474)); #56095=EDGE_LOOP('',(#299475,#299476,#299477,#299478)); #56096=EDGE_LOOP('',(#299479,#299480,#299481,#299482)); #56097=EDGE_LOOP('',(#299483,#299484,#299485,#299486)); #56098=EDGE_LOOP('',(#299487,#299488,#299489,#299490)); #56099=EDGE_LOOP('',(#299491,#299492,#299493,#299494)); #56100=EDGE_LOOP('',(#299495,#299496,#299497,#299498)); #56101=EDGE_LOOP('',(#299499,#299500,#299501,#299502)); #56102=EDGE_LOOP('',(#299503,#299504,#299505,#299506)); #56103=EDGE_LOOP('',(#299507,#299508,#299509,#299510)); #56104=EDGE_LOOP('',(#299511,#299512,#299513,#299514)); #56105=EDGE_LOOP('',(#299515,#299516,#299517,#299518)); #56106=EDGE_LOOP('',(#299519,#299520,#299521,#299522)); #56107=EDGE_LOOP('',(#299523,#299524,#299525,#299526)); #56108=EDGE_LOOP('',(#299527,#299528,#299529,#299530)); #56109=EDGE_LOOP('',(#299531,#299532,#299533,#299534)); #56110=EDGE_LOOP('',(#299535,#299536,#299537,#299538)); #56111=EDGE_LOOP('',(#299539,#299540,#299541,#299542)); #56112=EDGE_LOOP('',(#299543,#299544,#299545,#299546)); #56113=EDGE_LOOP('',(#299547,#299548,#299549,#299550)); #56114=EDGE_LOOP('',(#299551,#299552,#299553,#299554)); #56115=EDGE_LOOP('',(#299555,#299556,#299557,#299558)); #56116=EDGE_LOOP('',(#299559,#299560,#299561,#299562)); #56117=EDGE_LOOP('',(#299563,#299564,#299565,#299566)); #56118=EDGE_LOOP('',(#299567,#299568,#299569,#299570)); #56119=EDGE_LOOP('',(#299571,#299572,#299573,#299574)); #56120=EDGE_LOOP('',(#299575,#299576,#299577,#299578)); #56121=EDGE_LOOP('',(#299579,#299580,#299581,#299582)); #56122=EDGE_LOOP('',(#299583,#299584,#299585,#299586)); #56123=EDGE_LOOP('',(#299587,#299588,#299589,#299590)); #56124=EDGE_LOOP('',(#299591,#299592,#299593,#299594)); #56125=EDGE_LOOP('',(#299595,#299596,#299597,#299598)); #56126=EDGE_LOOP('',(#299599,#299600,#299601,#299602)); #56127=EDGE_LOOP('',(#299603,#299604,#299605,#299606)); #56128=EDGE_LOOP('',(#299607,#299608,#299609,#299610)); #56129=EDGE_LOOP('',(#299611,#299612,#299613,#299614)); #56130=EDGE_LOOP('',(#299615,#299616,#299617,#299618)); #56131=EDGE_LOOP('',(#299619,#299620,#299621,#299622)); #56132=EDGE_LOOP('',(#299623,#299624,#299625,#299626)); #56133=EDGE_LOOP('',(#299627,#299628,#299629,#299630)); #56134=EDGE_LOOP('',(#299631,#299632,#299633,#299634)); #56135=EDGE_LOOP('',(#299635,#299636,#299637,#299638)); #56136=EDGE_LOOP('',(#299639,#299640,#299641,#299642)); #56137=EDGE_LOOP('',(#299643,#299644,#299645,#299646)); #56138=EDGE_LOOP('',(#299647,#299648,#299649,#299650)); #56139=EDGE_LOOP('',(#299651,#299652,#299653,#299654)); #56140=EDGE_LOOP('',(#299655,#299656,#299657,#299658)); #56141=EDGE_LOOP('',(#299659,#299660,#299661,#299662)); #56142=EDGE_LOOP('',(#299663,#299664,#299665,#299666)); #56143=EDGE_LOOP('',(#299667,#299668,#299669,#299670)); #56144=EDGE_LOOP('',(#299671,#299672,#299673,#299674)); #56145=EDGE_LOOP('',(#299675,#299676,#299677,#299678)); #56146=EDGE_LOOP('',(#299679,#299680,#299681,#299682)); #56147=EDGE_LOOP('',(#299683,#299684,#299685,#299686)); #56148=EDGE_LOOP('',(#299687,#299688,#299689,#299690)); #56149=EDGE_LOOP('',(#299691,#299692,#299693,#299694)); #56150=EDGE_LOOP('',(#299695,#299696,#299697,#299698)); #56151=EDGE_LOOP('',(#299699,#299700,#299701,#299702)); #56152=EDGE_LOOP('',(#299703,#299704,#299705,#299706)); #56153=EDGE_LOOP('',(#299707,#299708,#299709,#299710)); #56154=EDGE_LOOP('',(#299711,#299712,#299713,#299714)); #56155=EDGE_LOOP('',(#299715,#299716,#299717,#299718)); #56156=EDGE_LOOP('',(#299719,#299720,#299721,#299722)); #56157=EDGE_LOOP('',(#299723,#299724,#299725,#299726)); #56158=EDGE_LOOP('',(#299727,#299728,#299729,#299730)); #56159=EDGE_LOOP('',(#299731,#299732,#299733,#299734)); #56160=EDGE_LOOP('',(#299735,#299736,#299737,#299738)); #56161=EDGE_LOOP('',(#299739,#299740,#299741,#299742)); #56162=EDGE_LOOP('',(#299743,#299744,#299745,#299746)); #56163=EDGE_LOOP('',(#299747,#299748,#299749,#299750)); #56164=EDGE_LOOP('',(#299751,#299752,#299753,#299754)); #56165=EDGE_LOOP('',(#299755,#299756,#299757,#299758)); #56166=EDGE_LOOP('',(#299759,#299760,#299761,#299762)); #56167=EDGE_LOOP('',(#299763,#299764,#299765,#299766)); #56168=EDGE_LOOP('',(#299767,#299768,#299769,#299770)); #56169=EDGE_LOOP('',(#299771,#299772,#299773,#299774)); #56170=EDGE_LOOP('',(#299775,#299776,#299777,#299778)); #56171=EDGE_LOOP('',(#299779,#299780,#299781,#299782)); #56172=EDGE_LOOP('',(#299783,#299784,#299785,#299786)); #56173=EDGE_LOOP('',(#299787,#299788,#299789,#299790)); #56174=EDGE_LOOP('',(#299791,#299792,#299793,#299794)); #56175=EDGE_LOOP('',(#299795,#299796,#299797,#299798)); #56176=EDGE_LOOP('',(#299799,#299800,#299801,#299802)); #56177=EDGE_LOOP('',(#299803,#299804,#299805,#299806)); #56178=EDGE_LOOP('',(#299807,#299808,#299809,#299810)); #56179=EDGE_LOOP('',(#299811,#299812,#299813,#299814)); #56180=EDGE_LOOP('',(#299815,#299816,#299817,#299818)); #56181=EDGE_LOOP('',(#299819,#299820,#299821,#299822)); #56182=EDGE_LOOP('',(#299823,#299824,#299825,#299826)); #56183=EDGE_LOOP('',(#299827,#299828,#299829,#299830)); #56184=EDGE_LOOP('',(#299831,#299832,#299833,#299834)); #56185=EDGE_LOOP('',(#299835,#299836,#299837,#299838)); #56186=EDGE_LOOP('',(#299839,#299840,#299841,#299842)); #56187=EDGE_LOOP('',(#299843,#299844,#299845,#299846)); #56188=EDGE_LOOP('',(#299847,#299848,#299849,#299850)); #56189=EDGE_LOOP('',(#299851,#299852,#299853,#299854)); #56190=EDGE_LOOP('',(#299855,#299856,#299857,#299858)); #56191=EDGE_LOOP('',(#299859,#299860,#299861,#299862)); #56192=EDGE_LOOP('',(#299863,#299864,#299865,#299866)); #56193=EDGE_LOOP('',(#299867,#299868,#299869,#299870)); #56194=EDGE_LOOP('',(#299871,#299872,#299873,#299874)); #56195=EDGE_LOOP('',(#299875,#299876,#299877,#299878)); #56196=EDGE_LOOP('',(#299879,#299880,#299881,#299882)); #56197=EDGE_LOOP('',(#299883,#299884,#299885,#299886)); #56198=EDGE_LOOP('',(#299887,#299888,#299889,#299890)); #56199=EDGE_LOOP('',(#299891,#299892,#299893,#299894)); #56200=EDGE_LOOP('',(#299895,#299896,#299897,#299898)); #56201=EDGE_LOOP('',(#299899,#299900,#299901,#299902)); #56202=EDGE_LOOP('',(#299903,#299904,#299905,#299906)); #56203=EDGE_LOOP('',(#299907,#299908,#299909,#299910)); #56204=EDGE_LOOP('',(#299911,#299912,#299913,#299914)); #56205=EDGE_LOOP('',(#299915,#299916,#299917,#299918)); #56206=EDGE_LOOP('',(#299919,#299920,#299921,#299922)); #56207=EDGE_LOOP('',(#299923,#299924,#299925,#299926)); #56208=EDGE_LOOP('',(#299927,#299928,#299929,#299930)); #56209=EDGE_LOOP('',(#299931,#299932,#299933,#299934)); #56210=EDGE_LOOP('',(#299935,#299936,#299937,#299938)); #56211=EDGE_LOOP('',(#299939,#299940,#299941,#299942)); #56212=EDGE_LOOP('',(#299943,#299944,#299945,#299946)); #56213=EDGE_LOOP('',(#299947,#299948,#299949,#299950)); #56214=EDGE_LOOP('',(#299951,#299952,#299953,#299954)); #56215=EDGE_LOOP('',(#299955,#299956,#299957,#299958)); #56216=EDGE_LOOP('',(#299959,#299960,#299961,#299962)); #56217=EDGE_LOOP('',(#299963,#299964,#299965,#299966)); #56218=EDGE_LOOP('',(#299967,#299968,#299969,#299970)); #56219=EDGE_LOOP('',(#299971,#299972,#299973,#299974)); #56220=EDGE_LOOP('',(#299975,#299976,#299977,#299978)); #56221=EDGE_LOOP('',(#299979,#299980,#299981,#299982)); #56222=EDGE_LOOP('',(#299983,#299984,#299985,#299986)); #56223=EDGE_LOOP('',(#299987,#299988,#299989,#299990)); #56224=EDGE_LOOP('',(#299991,#299992,#299993,#299994)); #56225=EDGE_LOOP('',(#299995,#299996,#299997,#299998)); #56226=EDGE_LOOP('',(#299999,#300000,#300001,#300002)); #56227=EDGE_LOOP('',(#300003,#300004,#300005,#300006)); #56228=EDGE_LOOP('',(#300007,#300008,#300009,#300010)); #56229=EDGE_LOOP('',(#300011,#300012,#300013,#300014)); #56230=EDGE_LOOP('',(#300015,#300016,#300017,#300018)); #56231=EDGE_LOOP('',(#300019,#300020,#300021,#300022)); #56232=EDGE_LOOP('',(#300023,#300024,#300025,#300026)); #56233=EDGE_LOOP('',(#300027,#300028,#300029,#300030)); #56234=EDGE_LOOP('',(#300031,#300032,#300033,#300034)); #56235=EDGE_LOOP('',(#300035,#300036,#300037,#300038)); #56236=EDGE_LOOP('',(#300039,#300040,#300041,#300042)); #56237=EDGE_LOOP('',(#300043,#300044,#300045,#300046)); #56238=EDGE_LOOP('',(#300047,#300048,#300049,#300050)); #56239=EDGE_LOOP('',(#300051,#300052,#300053,#300054)); #56240=EDGE_LOOP('',(#300055,#300056,#300057,#300058)); #56241=EDGE_LOOP('',(#300059,#300060,#300061,#300062)); #56242=EDGE_LOOP('',(#300063,#300064,#300065,#300066)); #56243=EDGE_LOOP('',(#300067,#300068,#300069,#300070)); #56244=EDGE_LOOP('',(#300071,#300072,#300073,#300074)); #56245=EDGE_LOOP('',(#300075,#300076,#300077,#300078)); #56246=EDGE_LOOP('',(#300079,#300080,#300081,#300082)); #56247=EDGE_LOOP('',(#300083,#300084,#300085,#300086)); #56248=EDGE_LOOP('',(#300087,#300088,#300089,#300090)); #56249=EDGE_LOOP('',(#300091,#300092,#300093,#300094)); #56250=EDGE_LOOP('',(#300095,#300096,#300097,#300098)); #56251=EDGE_LOOP('',(#300099,#300100,#300101,#300102)); #56252=EDGE_LOOP('',(#300103,#300104,#300105,#300106)); #56253=EDGE_LOOP('',(#300107,#300108,#300109,#300110)); #56254=EDGE_LOOP('',(#300111,#300112,#300113,#300114)); #56255=EDGE_LOOP('',(#300115,#300116,#300117,#300118)); #56256=EDGE_LOOP('',(#300119,#300120,#300121,#300122)); #56257=EDGE_LOOP('',(#300123,#300124,#300125,#300126)); #56258=EDGE_LOOP('',(#300127,#300128,#300129,#300130)); #56259=EDGE_LOOP('',(#300131,#300132,#300133,#300134)); #56260=EDGE_LOOP('',(#300135,#300136,#300137,#300138)); #56261=EDGE_LOOP('',(#300139,#300140,#300141,#300142)); #56262=EDGE_LOOP('',(#300143,#300144,#300145,#300146)); #56263=EDGE_LOOP('',(#300147,#300148,#300149,#300150)); #56264=EDGE_LOOP('',(#300151,#300152,#300153,#300154,#300155,#300156,#300157, #300158,#300159,#300160,#300161,#300162,#300163,#300164,#300165,#300166, #300167,#300168,#300169,#300170,#300171,#300172,#300173,#300174,#300175, #300176,#300177,#300178,#300179,#300180,#300181,#300182,#300183,#300184, #300185,#300186,#300187,#300188,#300189,#300190,#300191,#300192,#300193, #300194,#300195,#300196,#300197,#300198,#300199,#300200,#300201,#300202, #300203,#300204,#300205,#300206,#300207,#300208,#300209,#300210,#300211, #300212,#300213,#300214,#300215,#300216,#300217,#300218,#300219,#300220, #300221,#300222,#300223,#300224,#300225,#300226,#300227,#300228,#300229, #300230,#300231,#300232,#300233,#300234,#300235,#300236,#300237,#300238, #300239,#300240,#300241,#300242,#300243,#300244,#300245)); #56265=EDGE_LOOP('',(#300246)); #56266=EDGE_LOOP('',(#300247)); #56267=EDGE_LOOP('',(#300248)); #56268=EDGE_LOOP('',(#300249)); #56269=EDGE_LOOP('',(#300250)); #56270=EDGE_LOOP('',(#300251)); #56271=EDGE_LOOP('',(#300252)); #56272=EDGE_LOOP('',(#300253)); #56273=EDGE_LOOP('',(#300254)); #56274=EDGE_LOOP('',(#300255)); #56275=EDGE_LOOP('',(#300256)); #56276=EDGE_LOOP('',(#300257)); #56277=EDGE_LOOP('',(#300258)); #56278=EDGE_LOOP('',(#300259)); #56279=EDGE_LOOP('',(#300260)); #56280=EDGE_LOOP('',(#300261,#300262,#300263,#300264,#300265,#300266,#300267, #300268,#300269,#300270,#300271,#300272,#300273,#300274,#300275,#300276, #300277,#300278,#300279,#300280,#300281,#300282,#300283,#300284,#300285, #300286,#300287,#300288,#300289,#300290,#300291,#300292,#300293,#300294, #300295,#300296,#300297,#300298,#300299,#300300,#300301,#300302,#300303, #300304,#300305,#300306,#300307,#300308,#300309,#300310,#300311,#300312, #300313,#300314,#300315,#300316,#300317,#300318,#300319,#300320,#300321, #300322,#300323,#300324,#300325,#300326,#300327,#300328,#300329,#300330, #300331,#300332)); #56281=EDGE_LOOP('',(#300333,#300334,#300335,#300336,#300337,#300338,#300339, #300340,#300341,#300342,#300343,#300344,#300345,#300346,#300347,#300348, #300349,#300350,#300351,#300352,#300353,#300354,#300355,#300356,#300357, #300358,#300359,#300360,#300361,#300362,#300363,#300364,#300365,#300366, #300367,#300368,#300369,#300370,#300371,#300372,#300373,#300374,#300375, #300376,#300377,#300378,#300379,#300380,#300381,#300382,#300383,#300384, #300385,#300386,#300387,#300388,#300389,#300390,#300391,#300392,#300393, #300394,#300395,#300396,#300397,#300398,#300399,#300400,#300401,#300402, #300403,#300404,#300405,#300406,#300407,#300408,#300409,#300410,#300411, #300412,#300413,#300414,#300415,#300416,#300417,#300418,#300419,#300420, #300421,#300422,#300423,#300424,#300425,#300426,#300427)); #56282=EDGE_LOOP('',(#300428)); #56283=EDGE_LOOP('',(#300429)); #56284=EDGE_LOOP('',(#300430)); #56285=EDGE_LOOP('',(#300431)); #56286=EDGE_LOOP('',(#300432)); #56287=EDGE_LOOP('',(#300433)); #56288=EDGE_LOOP('',(#300434)); #56289=EDGE_LOOP('',(#300435)); #56290=EDGE_LOOP('',(#300436)); #56291=EDGE_LOOP('',(#300437)); #56292=EDGE_LOOP('',(#300438)); #56293=EDGE_LOOP('',(#300439)); #56294=EDGE_LOOP('',(#300440)); #56295=EDGE_LOOP('',(#300441)); #56296=EDGE_LOOP('',(#300442)); #56297=EDGE_LOOP('',(#300443,#300444,#300445,#300446,#300447,#300448,#300449, #300450,#300451,#300452,#300453,#300454,#300455,#300456,#300457,#300458, #300459,#300460,#300461,#300462,#300463,#300464,#300465,#300466,#300467, #300468,#300469,#300470,#300471,#300472,#300473,#300474,#300475,#300476, #300477,#300478,#300479,#300480,#300481,#300482,#300483,#300484,#300485, #300486,#300487,#300488,#300489,#300490,#300491,#300492,#300493,#300494, #300495,#300496,#300497,#300498,#300499,#300500,#300501,#300502,#300503, #300504,#300505,#300506,#300507,#300508,#300509,#300510,#300511,#300512, #300513,#300514)); #56298=EDGE_LOOP('',(#300515,#300516,#300517,#300518)); #56299=EDGE_LOOP('',(#300519,#300520,#300521,#300522)); #56300=EDGE_LOOP('',(#300523,#300524,#300525,#300526)); #56301=EDGE_LOOP('',(#300527,#300528,#300529,#300530)); #56302=EDGE_LOOP('',(#300531,#300532,#300533)); #56303=EDGE_LOOP('',(#300534)); #56304=EDGE_LOOP('',(#300535,#300536,#300537)); #56305=EDGE_LOOP('',(#300538)); #56306=EDGE_LOOP('',(#300539,#300540,#300541,#300542)); #56307=EDGE_LOOP('',(#300543,#300544,#300545,#300546)); #56308=EDGE_LOOP('',(#300547,#300548,#300549,#300550)); #56309=EDGE_LOOP('',(#300551,#300552,#300553,#300554)); #56310=EDGE_LOOP('',(#300555,#300556,#300557)); #56311=EDGE_LOOP('',(#300558)); #56312=EDGE_LOOP('',(#300559,#300560,#300561)); #56313=EDGE_LOOP('',(#300562)); #56314=EDGE_LOOP('',(#300563,#300564,#300565,#300566)); #56315=EDGE_LOOP('',(#300567,#300568,#300569,#300570)); #56316=EDGE_LOOP('',(#300571,#300572,#300573,#300574)); #56317=EDGE_LOOP('',(#300575,#300576,#300577,#300578)); #56318=EDGE_LOOP('',(#300579,#300580,#300581)); #56319=EDGE_LOOP('',(#300582)); #56320=EDGE_LOOP('',(#300583,#300584,#300585)); #56321=EDGE_LOOP('',(#300586)); #56322=EDGE_LOOP('',(#300587,#300588,#300589,#300590)); #56323=EDGE_LOOP('',(#300591,#300592,#300593,#300594)); #56324=EDGE_LOOP('',(#300595,#300596,#300597,#300598)); #56325=EDGE_LOOP('',(#300599,#300600,#300601,#300602)); #56326=EDGE_LOOP('',(#300603,#300604,#300605)); #56327=EDGE_LOOP('',(#300606)); #56328=EDGE_LOOP('',(#300607,#300608,#300609)); #56329=EDGE_LOOP('',(#300610)); #56330=EDGE_LOOP('',(#300611,#300612,#300613,#300614)); #56331=EDGE_LOOP('',(#300615,#300616,#300617,#300618)); #56332=EDGE_LOOP('',(#300619,#300620,#300621,#300622)); #56333=EDGE_LOOP('',(#300623,#300624,#300625,#300626)); #56334=EDGE_LOOP('',(#300627,#300628,#300629,#300630)); #56335=EDGE_LOOP('',(#300631,#300632,#300633,#300634)); #56336=EDGE_LOOP('',(#300635)); #56337=EDGE_LOOP('',(#300636,#300637,#300638,#300639)); #56338=EDGE_LOOP('',(#300640)); #56339=EDGE_LOOP('',(#300641,#300642,#300643,#300644)); #56340=EDGE_LOOP('',(#300645,#300646,#300647,#300648)); #56341=EDGE_LOOP('',(#300649,#300650,#300651,#300652)); #56342=EDGE_LOOP('',(#300653,#300654,#300655,#300656)); #56343=EDGE_LOOP('',(#300657,#300658,#300659)); #56344=EDGE_LOOP('',(#300660)); #56345=EDGE_LOOP('',(#300661,#300662,#300663)); #56346=EDGE_LOOP('',(#300664)); #56347=EDGE_LOOP('',(#300665,#300666,#300667,#300668)); #56348=EDGE_LOOP('',(#300669,#300670,#300671,#300672)); #56349=EDGE_LOOP('',(#300673,#300674,#300675,#300676)); #56350=EDGE_LOOP('',(#300677,#300678,#300679,#300680)); #56351=EDGE_LOOP('',(#300681,#300682,#300683)); #56352=EDGE_LOOP('',(#300684)); #56353=EDGE_LOOP('',(#300685,#300686,#300687)); #56354=EDGE_LOOP('',(#300688)); #56355=EDGE_LOOP('',(#300689,#300690,#300691,#300692)); #56356=EDGE_LOOP('',(#300693,#300694,#300695,#300696)); #56357=EDGE_LOOP('',(#300697,#300698,#300699,#300700)); #56358=EDGE_LOOP('',(#300701,#300702,#300703,#300704)); #56359=EDGE_LOOP('',(#300705,#300706,#300707)); #56360=EDGE_LOOP('',(#300708)); #56361=EDGE_LOOP('',(#300709,#300710,#300711)); #56362=EDGE_LOOP('',(#300712)); #56363=EDGE_LOOP('',(#300713,#300714,#300715,#300716)); #56364=EDGE_LOOP('',(#300717,#300718,#300719,#300720)); #56365=EDGE_LOOP('',(#300721,#300722,#300723,#300724)); #56366=EDGE_LOOP('',(#300725,#300726,#300727,#300728)); #56367=EDGE_LOOP('',(#300729,#300730,#300731)); #56368=EDGE_LOOP('',(#300732)); #56369=EDGE_LOOP('',(#300733,#300734,#300735)); #56370=EDGE_LOOP('',(#300736)); #56371=EDGE_LOOP('',(#300737,#300738,#300739,#300740)); #56372=EDGE_LOOP('',(#300741,#300742,#300743,#300744)); #56373=EDGE_LOOP('',(#300745,#300746,#300747,#300748)); #56374=EDGE_LOOP('',(#300749,#300750,#300751,#300752)); #56375=EDGE_LOOP('',(#300753,#300754,#300755,#300756)); #56376=EDGE_LOOP('',(#300757,#300758,#300759,#300760)); #56377=EDGE_LOOP('',(#300761,#300762,#300763,#300764)); #56378=EDGE_LOOP('',(#300765,#300766,#300767,#300768)); #56379=EDGE_LOOP('',(#300769,#300770,#300771,#300772)); #56380=EDGE_LOOP('',(#300773,#300774,#300775,#300776)); #56381=EDGE_LOOP('',(#300777,#300778,#300779,#300780)); #56382=EDGE_LOOP('',(#300781,#300782,#300783,#300784)); #56383=EDGE_LOOP('',(#300785,#300786,#300787,#300788)); #56384=EDGE_LOOP('',(#300789,#300790,#300791,#300792)); #56385=EDGE_LOOP('',(#300793,#300794,#300795,#300796)); #56386=EDGE_LOOP('',(#300797,#300798,#300799,#300800)); #56387=EDGE_LOOP('',(#300801,#300802,#300803,#300804)); #56388=EDGE_LOOP('',(#300805,#300806,#300807,#300808)); #56389=EDGE_LOOP('',(#300809,#300810,#300811,#300812)); #56390=EDGE_LOOP('',(#300813,#300814,#300815,#300816)); #56391=EDGE_LOOP('',(#300817,#300818,#300819,#300820)); #56392=EDGE_LOOP('',(#300821,#300822,#300823,#300824)); #56393=EDGE_LOOP('',(#300825,#300826,#300827,#300828)); #56394=EDGE_LOOP('',(#300829,#300830,#300831,#300832)); #56395=EDGE_LOOP('',(#300833,#300834,#300835,#300836)); #56396=EDGE_LOOP('',(#300837,#300838,#300839,#300840)); #56397=EDGE_LOOP('',(#300841,#300842,#300843,#300844)); #56398=EDGE_LOOP('',(#300845,#300846,#300847,#300848)); #56399=EDGE_LOOP('',(#300849,#300850,#300851,#300852)); #56400=EDGE_LOOP('',(#300853,#300854,#300855,#300856)); #56401=EDGE_LOOP('',(#300857,#300858,#300859,#300860)); #56402=EDGE_LOOP('',(#300861,#300862,#300863,#300864)); #56403=EDGE_LOOP('',(#300865,#300866,#300867,#300868)); #56404=EDGE_LOOP('',(#300869,#300870,#300871,#300872)); #56405=EDGE_LOOP('',(#300873,#300874,#300875,#300876)); #56406=EDGE_LOOP('',(#300877,#300878,#300879,#300880)); #56407=EDGE_LOOP('',(#300881,#300882,#300883,#300884)); #56408=EDGE_LOOP('',(#300885,#300886,#300887,#300888)); #56409=EDGE_LOOP('',(#300889,#300890,#300891,#300892)); #56410=EDGE_LOOP('',(#300893,#300894,#300895,#300896)); #56411=EDGE_LOOP('',(#300897,#300898,#300899,#300900)); #56412=EDGE_LOOP('',(#300901,#300902,#300903,#300904)); #56413=EDGE_LOOP('',(#300905,#300906,#300907,#300908)); #56414=EDGE_LOOP('',(#300909,#300910,#300911,#300912)); #56415=EDGE_LOOP('',(#300913,#300914,#300915,#300916)); #56416=EDGE_LOOP('',(#300917,#300918,#300919,#300920)); #56417=EDGE_LOOP('',(#300921,#300922,#300923,#300924)); #56418=EDGE_LOOP('',(#300925,#300926,#300927,#300928)); #56419=EDGE_LOOP('',(#300929,#300930,#300931,#300932)); #56420=EDGE_LOOP('',(#300933,#300934,#300935,#300936)); #56421=EDGE_LOOP('',(#300937,#300938,#300939,#300940)); #56422=EDGE_LOOP('',(#300941,#300942,#300943,#300944)); #56423=EDGE_LOOP('',(#300945,#300946,#300947,#300948)); #56424=EDGE_LOOP('',(#300949,#300950,#300951,#300952)); #56425=EDGE_LOOP('',(#300953,#300954,#300955,#300956)); #56426=EDGE_LOOP('',(#300957,#300958,#300959,#300960)); #56427=EDGE_LOOP('',(#300961,#300962,#300963,#300964)); #56428=EDGE_LOOP('',(#300965,#300966,#300967,#300968)); #56429=EDGE_LOOP('',(#300969,#300970,#300971,#300972)); #56430=EDGE_LOOP('',(#300973,#300974,#300975,#300976)); #56431=EDGE_LOOP('',(#300977,#300978,#300979,#300980,#300981,#300982,#300983, #300984,#300985,#300986,#300987,#300988,#300989,#300990,#300991,#300992, #300993,#300994,#300995,#300996,#300997,#300998,#300999,#301000,#301001, #301002,#301003,#301004,#301005,#301006,#301007,#301008,#301009,#301010, #301011,#301012,#301013,#301014,#301015,#301016,#301017,#301018,#301019, #301020,#301021,#301022,#301023,#301024,#301025,#301026,#301027,#301028, #301029,#301030,#301031,#301032)); #56432=EDGE_LOOP('',(#301033,#301034,#301035,#301036)); #56433=EDGE_LOOP('',(#301037,#301038,#301039,#301040,#301041,#301042,#301043, #301044,#301045,#301046,#301047,#301048,#301049,#301050,#301051,#301052, #301053,#301054,#301055,#301056,#301057,#301058,#301059,#301060,#301061, #301062,#301063,#301064,#301065,#301066,#301067,#301068,#301069,#301070, #301071,#301072,#301073,#301074,#301075,#301076,#301077,#301078,#301079, #301080,#301081,#301082,#301083,#301084,#301085,#301086,#301087,#301088, #301089,#301090,#301091,#301092)); #56434=EDGE_LOOP('',(#301093,#301094,#301095,#301096)); #56435=EDGE_LOOP('',(#301097,#301098,#301099,#301100)); #56436=EDGE_LOOP('',(#301101,#301102,#301103,#301104)); #56437=EDGE_LOOP('',(#301105,#301106,#301107,#301108)); #56438=EDGE_LOOP('',(#301109,#301110,#301111,#301112)); #56439=EDGE_LOOP('',(#301113,#301114,#301115,#301116)); #56440=EDGE_LOOP('',(#301117,#301118,#301119,#301120)); #56441=EDGE_LOOP('',(#301121,#301122,#301123,#301124)); #56442=EDGE_LOOP('',(#301125,#301126,#301127,#301128)); #56443=EDGE_LOOP('',(#301129,#301130,#301131,#301132)); #56444=EDGE_LOOP('',(#301133,#301134,#301135,#301136)); #56445=EDGE_LOOP('',(#301137,#301138,#301139,#301140)); #56446=EDGE_LOOP('',(#301141,#301142,#301143,#301144)); #56447=EDGE_LOOP('',(#301145,#301146,#301147,#301148)); #56448=EDGE_LOOP('',(#301149,#301150,#301151,#301152)); #56449=EDGE_LOOP('',(#301153,#301154,#301155,#301156)); #56450=EDGE_LOOP('',(#301157,#301158,#301159,#301160)); #56451=EDGE_LOOP('',(#301161,#301162,#301163,#301164)); #56452=EDGE_LOOP('',(#301165,#301166,#301167,#301168)); #56453=EDGE_LOOP('',(#301169,#301170,#301171,#301172)); #56454=EDGE_LOOP('',(#301173,#301174,#301175,#301176)); #56455=EDGE_LOOP('',(#301177,#301178,#301179,#301180)); #56456=EDGE_LOOP('',(#301181,#301182,#301183,#301184)); #56457=EDGE_LOOP('',(#301185,#301186,#301187,#301188)); #56458=EDGE_LOOP('',(#301189,#301190,#301191,#301192)); #56459=EDGE_LOOP('',(#301193,#301194,#301195,#301196)); #56460=EDGE_LOOP('',(#301197,#301198,#301199,#301200)); #56461=EDGE_LOOP('',(#301201,#301202,#301203,#301204)); #56462=EDGE_LOOP('',(#301205,#301206,#301207,#301208)); #56463=EDGE_LOOP('',(#301209,#301210,#301211,#301212)); #56464=EDGE_LOOP('',(#301213,#301214,#301215,#301216)); #56465=EDGE_LOOP('',(#301217,#301218,#301219,#301220)); #56466=EDGE_LOOP('',(#301221,#301222,#301223,#301224)); #56467=EDGE_LOOP('',(#301225,#301226,#301227,#301228)); #56468=EDGE_LOOP('',(#301229,#301230,#301231,#301232)); #56469=EDGE_LOOP('',(#301233,#301234,#301235,#301236)); #56470=EDGE_LOOP('',(#301237,#301238,#301239,#301240)); #56471=EDGE_LOOP('',(#301241,#301242,#301243,#301244)); #56472=EDGE_LOOP('',(#301245,#301246,#301247,#301248)); #56473=EDGE_LOOP('',(#301249,#301250,#301251,#301252)); #56474=EDGE_LOOP('',(#301253,#301254,#301255,#301256)); #56475=EDGE_LOOP('',(#301257,#301258,#301259,#301260)); #56476=EDGE_LOOP('',(#301261,#301262,#301263,#301264)); #56477=EDGE_LOOP('',(#301265,#301266,#301267,#301268)); #56478=EDGE_LOOP('',(#301269,#301270,#301271,#301272)); #56479=EDGE_LOOP('',(#301273,#301274,#301275,#301276)); #56480=EDGE_LOOP('',(#301277,#301278,#301279,#301280)); #56481=EDGE_LOOP('',(#301281,#301282,#301283,#301284)); #56482=EDGE_LOOP('',(#301285,#301286,#301287,#301288)); #56483=EDGE_LOOP('',(#301289,#301290,#301291,#301292)); #56484=EDGE_LOOP('',(#301293,#301294,#301295,#301296)); #56485=EDGE_LOOP('',(#301297,#301298,#301299,#301300)); #56486=EDGE_LOOP('',(#301301,#301302,#301303,#301304)); #56487=EDGE_LOOP('',(#301305,#301306,#301307,#301308)); #56488=EDGE_LOOP('',(#301309,#301310,#301311,#301312)); #56489=EDGE_LOOP('',(#301313,#301314,#301315,#301316)); #56490=EDGE_LOOP('',(#301317,#301318,#301319,#301320)); #56491=EDGE_LOOP('',(#301321,#301322,#301323,#301324)); #56492=EDGE_LOOP('',(#301325,#301326,#301327,#301328)); #56493=EDGE_LOOP('',(#301329,#301330,#301331,#301332)); #56494=EDGE_LOOP('',(#301333,#301334,#301335,#301336)); #56495=EDGE_LOOP('',(#301337,#301338,#301339,#301340)); #56496=EDGE_LOOP('',(#301341,#301342,#301343,#301344)); #56497=EDGE_LOOP('',(#301345,#301346,#301347,#301348)); #56498=EDGE_LOOP('',(#301349,#301350,#301351,#301352)); #56499=EDGE_LOOP('',(#301353,#301354,#301355,#301356)); #56500=EDGE_LOOP('',(#301357,#301358,#301359,#301360)); #56501=EDGE_LOOP('',(#301361,#301362,#301363,#301364)); #56502=EDGE_LOOP('',(#301365,#301366,#301367,#301368)); #56503=EDGE_LOOP('',(#301369,#301370,#301371,#301372)); #56504=EDGE_LOOP('',(#301373,#301374,#301375,#301376)); #56505=EDGE_LOOP('',(#301377,#301378,#301379,#301380)); #56506=EDGE_LOOP('',(#301381,#301382,#301383,#301384)); #56507=EDGE_LOOP('',(#301385,#301386,#301387,#301388)); #56508=EDGE_LOOP('',(#301389,#301390,#301391,#301392)); #56509=EDGE_LOOP('',(#301393,#301394,#301395,#301396)); #56510=EDGE_LOOP('',(#301397,#301398,#301399,#301400)); #56511=EDGE_LOOP('',(#301401,#301402,#301403,#301404,#301405,#301406,#301407, #301408,#301409,#301410,#301411,#301412,#301413,#301414,#301415,#301416, #301417,#301418,#301419,#301420,#301421,#301422,#301423,#301424,#301425, #301426,#301427,#301428,#301429,#301430,#301431,#301432,#301433,#301434, #301435,#301436,#301437,#301438,#301439,#301440,#301441,#301442,#301443, #301444,#301445,#301446,#301447,#301448,#301449,#301450,#301451,#301452, #301453,#301454,#301455,#301456,#301457,#301458,#301459,#301460,#301461, #301462,#301463,#301464,#301465,#301466,#301467,#301468,#301469,#301470, #301471,#301472)); #56512=EDGE_LOOP('',(#301473,#301474,#301475,#301476)); #56513=EDGE_LOOP('',(#301477,#301478,#301479,#301480,#301481,#301482,#301483, #301484,#301485,#301486,#301487,#301488,#301489,#301490,#301491,#301492, #301493,#301494,#301495,#301496,#301497,#301498,#301499,#301500,#301501, #301502,#301503,#301504,#301505,#301506,#301507,#301508,#301509,#301510, #301511,#301512,#301513,#301514,#301515,#301516,#301517,#301518,#301519, #301520,#301521,#301522,#301523,#301524,#301525,#301526,#301527,#301528, #301529,#301530,#301531,#301532,#301533,#301534,#301535,#301536,#301537, #301538,#301539,#301540,#301541,#301542,#301543,#301544,#301545,#301546, #301547,#301548)); #56514=EDGE_LOOP('',(#301549,#301550,#301551,#301552)); #56515=EDGE_LOOP('',(#301553,#301554,#301555,#301556)); #56516=EDGE_LOOP('',(#301557,#301558,#301559,#301560)); #56517=EDGE_LOOP('',(#301561,#301562,#301563,#301564)); #56518=EDGE_LOOP('',(#301565,#301566,#301567,#301568)); #56519=EDGE_LOOP('',(#301569,#301570,#301571,#301572)); #56520=EDGE_LOOP('',(#301573,#301574,#301575,#301576)); #56521=EDGE_LOOP('',(#301577,#301578,#301579,#301580)); #56522=EDGE_LOOP('',(#301581,#301582,#301583,#301584)); #56523=EDGE_LOOP('',(#301585,#301586,#301587,#301588)); #56524=EDGE_LOOP('',(#301589,#301590,#301591,#301592)); #56525=EDGE_LOOP('',(#301593,#301594,#301595,#301596)); #56526=EDGE_LOOP('',(#301597,#301598,#301599,#301600)); #56527=EDGE_LOOP('',(#301601,#301602,#301603,#301604)); #56528=EDGE_LOOP('',(#301605,#301606,#301607,#301608)); #56529=EDGE_LOOP('',(#301609,#301610,#301611,#301612)); #56530=EDGE_LOOP('',(#301613,#301614,#301615,#301616)); #56531=EDGE_LOOP('',(#301617,#301618,#301619,#301620)); #56532=EDGE_LOOP('',(#301621,#301622,#301623,#301624)); #56533=EDGE_LOOP('',(#301625,#301626,#301627,#301628)); #56534=EDGE_LOOP('',(#301629,#301630,#301631,#301632)); #56535=EDGE_LOOP('',(#301633,#301634,#301635,#301636)); #56536=EDGE_LOOP('',(#301637,#301638,#301639,#301640)); #56537=EDGE_LOOP('',(#301641,#301642,#301643,#301644)); #56538=EDGE_LOOP('',(#301645,#301646,#301647,#301648)); #56539=EDGE_LOOP('',(#301649,#301650,#301651,#301652)); #56540=EDGE_LOOP('',(#301653,#301654,#301655,#301656)); #56541=EDGE_LOOP('',(#301657,#301658,#301659,#301660)); #56542=EDGE_LOOP('',(#301661,#301662,#301663,#301664)); #56543=EDGE_LOOP('',(#301665,#301666,#301667,#301668)); #56544=EDGE_LOOP('',(#301669,#301670,#301671,#301672)); #56545=EDGE_LOOP('',(#301673,#301674,#301675,#301676)); #56546=EDGE_LOOP('',(#301677,#301678,#301679,#301680)); #56547=EDGE_LOOP('',(#301681,#301682,#301683,#301684)); #56548=EDGE_LOOP('',(#301685,#301686,#301687,#301688)); #56549=EDGE_LOOP('',(#301689,#301690,#301691,#301692)); #56550=EDGE_LOOP('',(#301693,#301694,#301695,#301696)); #56551=EDGE_LOOP('',(#301697,#301698,#301699,#301700)); #56552=EDGE_LOOP('',(#301701,#301702,#301703,#301704)); #56553=EDGE_LOOP('',(#301705,#301706,#301707,#301708)); #56554=EDGE_LOOP('',(#301709,#301710,#301711,#301712)); #56555=EDGE_LOOP('',(#301713,#301714,#301715,#301716)); #56556=EDGE_LOOP('',(#301717,#301718,#301719,#301720)); #56557=EDGE_LOOP('',(#301721,#301722,#301723,#301724)); #56558=EDGE_LOOP('',(#301725,#301726,#301727,#301728)); #56559=EDGE_LOOP('',(#301729,#301730,#301731,#301732)); #56560=EDGE_LOOP('',(#301733,#301734,#301735,#301736)); #56561=EDGE_LOOP('',(#301737,#301738,#301739,#301740)); #56562=EDGE_LOOP('',(#301741,#301742,#301743,#301744)); #56563=EDGE_LOOP('',(#301745,#301746,#301747,#301748)); #56564=EDGE_LOOP('',(#301749,#301750,#301751,#301752)); #56565=EDGE_LOOP('',(#301753,#301754,#301755,#301756)); #56566=EDGE_LOOP('',(#301757,#301758,#301759,#301760)); #56567=EDGE_LOOP('',(#301761,#301762,#301763,#301764)); #56568=EDGE_LOOP('',(#301765,#301766,#301767,#301768)); #56569=EDGE_LOOP('',(#301769,#301770,#301771,#301772)); #56570=EDGE_LOOP('',(#301773,#301774,#301775,#301776)); #56571=EDGE_LOOP('',(#301777,#301778,#301779,#301780)); #56572=EDGE_LOOP('',(#301781,#301782,#301783,#301784)); #56573=EDGE_LOOP('',(#301785,#301786,#301787,#301788)); #56574=EDGE_LOOP('',(#301789,#301790,#301791,#301792)); #56575=EDGE_LOOP('',(#301793,#301794,#301795,#301796,#301797,#301798,#301799, #301800,#301801,#301802,#301803,#301804,#301805,#301806,#301807,#301808, #301809,#301810,#301811,#301812,#301813,#301814,#301815,#301816,#301817, #301818,#301819,#301820,#301821,#301822,#301823,#301824,#301825,#301826, #301827,#301828,#301829,#301830,#301831,#301832,#301833,#301834,#301835, #301836,#301837,#301838,#301839,#301840,#301841,#301842,#301843,#301844, #301845,#301846,#301847,#301848)); #56576=EDGE_LOOP('',(#301849,#301850,#301851,#301852)); #56577=EDGE_LOOP('',(#301853,#301854,#301855,#301856,#301857,#301858,#301859, #301860,#301861,#301862,#301863,#301864,#301865,#301866,#301867,#301868, #301869,#301870,#301871,#301872,#301873,#301874,#301875,#301876,#301877, #301878,#301879,#301880,#301881,#301882,#301883,#301884,#301885,#301886, #301887,#301888,#301889,#301890,#301891,#301892,#301893,#301894,#301895, #301896,#301897,#301898,#301899,#301900,#301901,#301902,#301903,#301904, #301905,#301906,#301907,#301908)); #56578=EDGE_LOOP('',(#301909,#301910,#301911,#301912)); #56579=EDGE_LOOP('',(#301913,#301914,#301915,#301916)); #56580=EDGE_LOOP('',(#301917,#301918,#301919,#301920)); #56581=EDGE_LOOP('',(#301921,#301922,#301923,#301924)); #56582=EDGE_LOOP('',(#301925,#301926,#301927,#301928)); #56583=EDGE_LOOP('',(#301929,#301930,#301931,#301932)); #56584=EDGE_LOOP('',(#301933,#301934,#301935,#301936)); #56585=EDGE_LOOP('',(#301937)); #56586=EDGE_LOOP('',(#301938,#301939,#301940,#301941)); #56587=EDGE_LOOP('',(#301942)); #56588=EDGE_LOOP('',(#301943,#301944,#301945,#301946)); #56589=EDGE_LOOP('',(#301947,#301948,#301949,#301950)); #56590=EDGE_LOOP('',(#301951,#301952,#301953,#301954)); #56591=EDGE_LOOP('',(#301955,#301956,#301957,#301958)); #56592=EDGE_LOOP('',(#301959,#301960,#301961,#301962)); #56593=EDGE_LOOP('',(#301963,#301964,#301965,#301966)); #56594=EDGE_LOOP('',(#301967,#301968,#301969,#301970)); #56595=EDGE_LOOP('',(#301971,#301972,#301973,#301974)); #56596=EDGE_LOOP('',(#301975,#301976,#301977,#301978)); #56597=EDGE_LOOP('',(#301979,#301980,#301981,#301982)); #56598=EDGE_LOOP('',(#301983,#301984,#301985,#301986)); #56599=EDGE_LOOP('',(#301987,#301988,#301989,#301990)); #56600=EDGE_LOOP('',(#301991,#301992,#301993,#301994)); #56601=EDGE_LOOP('',(#301995,#301996,#301997,#301998)); #56602=EDGE_LOOP('',(#301999,#302000,#302001,#302002)); #56603=EDGE_LOOP('',(#302003,#302004,#302005,#302006)); #56604=EDGE_LOOP('',(#302007,#302008,#302009,#302010)); #56605=EDGE_LOOP('',(#302011,#302012,#302013,#302014)); #56606=EDGE_LOOP('',(#302015,#302016,#302017,#302018)); #56607=EDGE_LOOP('',(#302019,#302020,#302021,#302022)); #56608=EDGE_LOOP('',(#302023,#302024,#302025,#302026)); #56609=EDGE_LOOP('',(#302027,#302028,#302029,#302030)); #56610=EDGE_LOOP('',(#302031,#302032,#302033,#302034)); #56611=EDGE_LOOP('',(#302035,#302036,#302037,#302038)); #56612=EDGE_LOOP('',(#302039,#302040,#302041,#302042)); #56613=EDGE_LOOP('',(#302043,#302044,#302045,#302046)); #56614=EDGE_LOOP('',(#302047,#302048,#302049,#302050)); #56615=EDGE_LOOP('',(#302051,#302052,#302053,#302054)); #56616=EDGE_LOOP('',(#302055,#302056,#302057,#302058)); #56617=EDGE_LOOP('',(#302059,#302060,#302061,#302062)); #56618=EDGE_LOOP('',(#302063,#302064,#302065,#302066)); #56619=EDGE_LOOP('',(#302067,#302068,#302069,#302070)); #56620=EDGE_LOOP('',(#302071,#302072,#302073,#302074)); #56621=EDGE_LOOP('',(#302075,#302076,#302077,#302078)); #56622=EDGE_LOOP('',(#302079,#302080,#302081,#302082)); #56623=EDGE_LOOP('',(#302083,#302084,#302085,#302086)); #56624=EDGE_LOOP('',(#302087,#302088,#302089,#302090)); #56625=EDGE_LOOP('',(#302091,#302092,#302093,#302094)); #56626=EDGE_LOOP('',(#302095,#302096,#302097,#302098)); #56627=EDGE_LOOP('',(#302099,#302100,#302101,#302102)); #56628=EDGE_LOOP('',(#302103,#302104,#302105,#302106)); #56629=EDGE_LOOP('',(#302107,#302108,#302109,#302110)); #56630=EDGE_LOOP('',(#302111,#302112,#302113,#302114)); #56631=EDGE_LOOP('',(#302115,#302116,#302117,#302118)); #56632=EDGE_LOOP('',(#302119,#302120,#302121,#302122)); #56633=EDGE_LOOP('',(#302123,#302124,#302125,#302126)); #56634=EDGE_LOOP('',(#302127,#302128,#302129,#302130)); #56635=EDGE_LOOP('',(#302131,#302132,#302133,#302134)); #56636=EDGE_LOOP('',(#302135,#302136,#302137,#302138)); #56637=EDGE_LOOP('',(#302139,#302140,#302141,#302142)); #56638=EDGE_LOOP('',(#302143,#302144,#302145,#302146)); #56639=EDGE_LOOP('',(#302147,#302148,#302149,#302150)); #56640=EDGE_LOOP('',(#302151,#302152,#302153,#302154)); #56641=EDGE_LOOP('',(#302155,#302156,#302157,#302158)); #56642=EDGE_LOOP('',(#302159,#302160,#302161,#302162)); #56643=EDGE_LOOP('',(#302163,#302164,#302165,#302166)); #56644=EDGE_LOOP('',(#302167,#302168,#302169,#302170)); #56645=EDGE_LOOP('',(#302171,#302172,#302173,#302174)); #56646=EDGE_LOOP('',(#302175,#302176,#302177,#302178)); #56647=EDGE_LOOP('',(#302179,#302180,#302181,#302182)); #56648=EDGE_LOOP('',(#302183,#302184,#302185,#302186,#302187,#302188,#302189, #302190,#302191,#302192,#302193,#302194,#302195,#302196,#302197,#302198, #302199,#302200,#302201,#302202,#302203,#302204,#302205,#302206,#302207, #302208,#302209,#302210,#302211,#302212,#302213,#302214,#302215,#302216, #302217,#302218,#302219,#302220,#302221,#302222,#302223,#302224,#302225, #302226,#302227,#302228,#302229,#302230,#302231,#302232,#302233,#302234, #302235,#302236,#302237,#302238)); #56649=EDGE_LOOP('',(#302239,#302240,#302241,#302242)); #56650=EDGE_LOOP('',(#302243,#302244,#302245,#302246,#302247,#302248,#302249, #302250,#302251,#302252,#302253,#302254,#302255,#302256,#302257,#302258, #302259,#302260,#302261,#302262,#302263,#302264,#302265,#302266,#302267, #302268,#302269,#302270,#302271,#302272,#302273,#302274,#302275,#302276, #302277,#302278,#302279,#302280,#302281,#302282,#302283,#302284,#302285, #302286,#302287,#302288,#302289,#302290,#302291,#302292,#302293,#302294, #302295,#302296,#302297,#302298)); #56651=EDGE_LOOP('',(#302299,#302300,#302301,#302302)); #56652=EDGE_LOOP('',(#302303,#302304,#302305,#302306)); #56653=EDGE_LOOP('',(#302307,#302308,#302309,#302310)); #56654=EDGE_LOOP('',(#302311,#302312,#302313,#302314)); #56655=EDGE_LOOP('',(#302315,#302316,#302317,#302318)); #56656=EDGE_LOOP('',(#302319,#302320,#302321,#302322)); #56657=EDGE_LOOP('',(#302323,#302324,#302325,#302326)); #56658=EDGE_LOOP('',(#302327,#302328,#302329,#302330)); #56659=EDGE_LOOP('',(#302331,#302332,#302333,#302334)); #56660=EDGE_LOOP('',(#302335,#302336,#302337,#302338)); #56661=EDGE_LOOP('',(#302339,#302340,#302341,#302342)); #56662=EDGE_LOOP('',(#302343,#302344,#302345,#302346)); #56663=EDGE_LOOP('',(#302347,#302348,#302349,#302350)); #56664=EDGE_LOOP('',(#302351,#302352,#302353,#302354)); #56665=EDGE_LOOP('',(#302355,#302356,#302357,#302358)); #56666=EDGE_LOOP('',(#302359,#302360,#302361,#302362)); #56667=EDGE_LOOP('',(#302363,#302364,#302365,#302366)); #56668=EDGE_LOOP('',(#302367,#302368,#302369,#302370)); #56669=EDGE_LOOP('',(#302371,#302372,#302373,#302374)); #56670=EDGE_LOOP('',(#302375,#302376,#302377,#302378)); #56671=EDGE_LOOP('',(#302379,#302380,#302381,#302382)); #56672=EDGE_LOOP('',(#302383,#302384,#302385,#302386)); #56673=EDGE_LOOP('',(#302387,#302388,#302389,#302390)); #56674=EDGE_LOOP('',(#302391,#302392,#302393,#302394)); #56675=EDGE_LOOP('',(#302395,#302396,#302397,#302398)); #56676=EDGE_LOOP('',(#302399,#302400,#302401,#302402)); #56677=EDGE_LOOP('',(#302403,#302404,#302405,#302406)); #56678=EDGE_LOOP('',(#302407,#302408,#302409,#302410)); #56679=EDGE_LOOP('',(#302411,#302412,#302413,#302414)); #56680=EDGE_LOOP('',(#302415,#302416,#302417,#302418)); #56681=EDGE_LOOP('',(#302419,#302420,#302421,#302422)); #56682=EDGE_LOOP('',(#302423,#302424,#302425,#302426)); #56683=EDGE_LOOP('',(#302427,#302428,#302429,#302430)); #56684=EDGE_LOOP('',(#302431,#302432,#302433,#302434)); #56685=EDGE_LOOP('',(#302435,#302436,#302437,#302438)); #56686=EDGE_LOOP('',(#302439,#302440,#302441,#302442)); #56687=EDGE_LOOP('',(#302443,#302444,#302445,#302446)); #56688=EDGE_LOOP('',(#302447,#302448,#302449,#302450)); #56689=EDGE_LOOP('',(#302451,#302452,#302453,#302454)); #56690=EDGE_LOOP('',(#302455,#302456,#302457,#302458)); #56691=EDGE_LOOP('',(#302459,#302460,#302461,#302462)); #56692=EDGE_LOOP('',(#302463,#302464,#302465,#302466)); #56693=EDGE_LOOP('',(#302467,#302468,#302469,#302470)); #56694=EDGE_LOOP('',(#302471,#302472,#302473,#302474)); #56695=EDGE_LOOP('',(#302475,#302476,#302477,#302478)); #56696=EDGE_LOOP('',(#302479,#302480,#302481,#302482)); #56697=EDGE_LOOP('',(#302483,#302484,#302485,#302486)); #56698=EDGE_LOOP('',(#302487,#302488,#302489,#302490)); #56699=EDGE_LOOP('',(#302491,#302492,#302493,#302494)); #56700=EDGE_LOOP('',(#302495,#302496,#302497,#302498)); #56701=EDGE_LOOP('',(#302499,#302500,#302501,#302502)); #56702=EDGE_LOOP('',(#302503,#302504,#302505,#302506)); #56703=EDGE_LOOP('',(#302507,#302508,#302509,#302510)); #56704=EDGE_LOOP('',(#302511,#302512,#302513,#302514)); #56705=EDGE_LOOP('',(#302515,#302516,#302517,#302518)); #56706=EDGE_LOOP('',(#302519,#302520,#302521,#302522)); #56707=EDGE_LOOP('',(#302523,#302524,#302525,#302526)); #56708=EDGE_LOOP('',(#302527,#302528,#302529,#302530)); #56709=EDGE_LOOP('',(#302531,#302532,#302533,#302534)); #56710=EDGE_LOOP('',(#302535,#302536,#302537,#302538)); #56711=EDGE_LOOP('',(#302539,#302540,#302541,#302542)); #56712=EDGE_LOOP('',(#302543,#302544,#302545,#302546)); #56713=EDGE_LOOP('',(#302547,#302548,#302549,#302550)); #56714=EDGE_LOOP('',(#302551,#302552,#302553,#302554)); #56715=EDGE_LOOP('',(#302555,#302556,#302557,#302558)); #56716=EDGE_LOOP('',(#302559,#302560,#302561,#302562)); #56717=EDGE_LOOP('',(#302563,#302564,#302565,#302566)); #56718=EDGE_LOOP('',(#302567,#302568,#302569,#302570)); #56719=EDGE_LOOP('',(#302571,#302572,#302573,#302574)); #56720=EDGE_LOOP('',(#302575,#302576,#302577,#302578)); #56721=EDGE_LOOP('',(#302579,#302580,#302581,#302582)); #56722=EDGE_LOOP('',(#302583,#302584,#302585,#302586)); #56723=EDGE_LOOP('',(#302587,#302588,#302589,#302590)); #56724=EDGE_LOOP('',(#302591,#302592,#302593,#302594)); #56725=EDGE_LOOP('',(#302595,#302596,#302597,#302598)); #56726=EDGE_LOOP('',(#302599,#302600,#302601,#302602)); #56727=EDGE_LOOP('',(#302603,#302604,#302605,#302606)); #56728=EDGE_LOOP('',(#302607,#302608,#302609,#302610,#302611,#302612,#302613, #302614,#302615,#302616,#302617,#302618,#302619,#302620,#302621,#302622, #302623,#302624,#302625,#302626,#302627,#302628,#302629,#302630,#302631, #302632,#302633,#302634,#302635,#302636,#302637,#302638,#302639,#302640, #302641,#302642,#302643,#302644,#302645,#302646,#302647,#302648,#302649, #302650,#302651,#302652,#302653,#302654,#302655,#302656,#302657,#302658, #302659,#302660,#302661,#302662,#302663,#302664,#302665,#302666,#302667, #302668,#302669,#302670,#302671,#302672,#302673,#302674,#302675,#302676, #302677,#302678)); #56729=EDGE_LOOP('',(#302679,#302680,#302681,#302682)); #56730=EDGE_LOOP('',(#302683,#302684,#302685,#302686,#302687,#302688,#302689, #302690,#302691,#302692,#302693,#302694,#302695,#302696,#302697,#302698, #302699,#302700,#302701,#302702,#302703,#302704,#302705,#302706,#302707, #302708,#302709,#302710,#302711,#302712,#302713,#302714,#302715,#302716, #302717,#302718,#302719,#302720,#302721,#302722,#302723,#302724,#302725, #302726,#302727,#302728,#302729,#302730,#302731,#302732,#302733,#302734, #302735,#302736,#302737,#302738,#302739,#302740,#302741,#302742,#302743, #302744,#302745,#302746,#302747,#302748,#302749,#302750,#302751,#302752, #302753,#302754)); #56731=EDGE_LOOP('',(#302755,#302756,#302757,#302758)); #56732=EDGE_LOOP('',(#302759,#302760,#302761,#302762)); #56733=EDGE_LOOP('',(#302763,#302764,#302765,#302766)); #56734=EDGE_LOOP('',(#302767,#302768,#302769,#302770)); #56735=EDGE_LOOP('',(#302771,#302772,#302773,#302774)); #56736=EDGE_LOOP('',(#302775,#302776,#302777)); #56737=EDGE_LOOP('',(#302778)); #56738=EDGE_LOOP('',(#302779,#302780,#302781)); #56739=EDGE_LOOP('',(#302782)); #56740=EDGE_LOOP('',(#302783,#302784,#302785,#302786)); #56741=EDGE_LOOP('',(#302787,#302788,#302789,#302790)); #56742=EDGE_LOOP('',(#302791,#302792,#302793,#302794)); #56743=EDGE_LOOP('',(#302795,#302796,#302797,#302798)); #56744=EDGE_LOOP('',(#302799,#302800,#302801)); #56745=EDGE_LOOP('',(#302802)); #56746=EDGE_LOOP('',(#302803,#302804,#302805)); #56747=EDGE_LOOP('',(#302806)); #56748=EDGE_LOOP('',(#302807,#302808,#302809,#302810)); #56749=EDGE_LOOP('',(#302811,#302812,#302813,#302814)); #56750=EDGE_LOOP('',(#302815,#302816,#302817,#302818)); #56751=EDGE_LOOP('',(#302819,#302820,#302821,#302822)); #56752=EDGE_LOOP('',(#302823,#302824,#302825,#302826)); #56753=EDGE_LOOP('',(#302827,#302828,#302829,#302830)); #56754=EDGE_LOOP('',(#302831,#302832,#302833,#302834)); #56755=EDGE_LOOP('',(#302835,#302836,#302837,#302838)); #56756=EDGE_LOOP('',(#302839,#302840,#302841,#302842)); #56757=EDGE_LOOP('',(#302843,#302844,#302845,#302846)); #56758=EDGE_LOOP('',(#302847,#302848,#302849,#302850)); #56759=EDGE_LOOP('',(#302851,#302852,#302853,#302854)); #56760=EDGE_LOOP('',(#302855,#302856,#302857,#302858)); #56761=EDGE_LOOP('',(#302859,#302860,#302861,#302862)); #56762=EDGE_LOOP('',(#302863,#302864,#302865,#302866)); #56763=EDGE_LOOP('',(#302867,#302868,#302869,#302870)); #56764=EDGE_LOOP('',(#302871,#302872,#302873,#302874)); #56765=EDGE_LOOP('',(#302875,#302876,#302877,#302878)); #56766=EDGE_LOOP('',(#302879,#302880,#302881,#302882)); #56767=EDGE_LOOP('',(#302883,#302884,#302885,#302886)); #56768=EDGE_LOOP('',(#302887,#302888,#302889,#302890)); #56769=EDGE_LOOP('',(#302891,#302892,#302893,#302894)); #56770=EDGE_LOOP('',(#302895,#302896,#302897,#302898)); #56771=EDGE_LOOP('',(#302899,#302900,#302901,#302902)); #56772=EDGE_LOOP('',(#302903,#302904,#302905,#302906)); #56773=EDGE_LOOP('',(#302907,#302908,#302909,#302910)); #56774=EDGE_LOOP('',(#302911,#302912,#302913,#302914)); #56775=EDGE_LOOP('',(#302915,#302916,#302917,#302918)); #56776=EDGE_LOOP('',(#302919,#302920,#302921,#302922)); #56777=EDGE_LOOP('',(#302923,#302924,#302925,#302926)); #56778=EDGE_LOOP('',(#302927,#302928,#302929,#302930)); #56779=EDGE_LOOP('',(#302931,#302932,#302933,#302934)); #56780=EDGE_LOOP('',(#302935,#302936,#302937,#302938)); #56781=EDGE_LOOP('',(#302939,#302940,#302941,#302942)); #56782=EDGE_LOOP('',(#302943,#302944,#302945,#302946)); #56783=EDGE_LOOP('',(#302947,#302948,#302949,#302950)); #56784=EDGE_LOOP('',(#302951,#302952,#302953,#302954)); #56785=EDGE_LOOP('',(#302955,#302956,#302957,#302958)); #56786=EDGE_LOOP('',(#302959,#302960,#302961,#302962)); #56787=EDGE_LOOP('',(#302963,#302964,#302965,#302966)); #56788=EDGE_LOOP('',(#302967,#302968,#302969,#302970)); #56789=EDGE_LOOP('',(#302971,#302972,#302973,#302974)); #56790=EDGE_LOOP('',(#302975,#302976,#302977,#302978)); #56791=EDGE_LOOP('',(#302979,#302980,#302981,#302982)); #56792=EDGE_LOOP('',(#302983,#302984,#302985,#302986)); #56793=EDGE_LOOP('',(#302987,#302988,#302989,#302990)); #56794=EDGE_LOOP('',(#302991,#302992,#302993,#302994)); #56795=EDGE_LOOP('',(#302995,#302996,#302997,#302998)); #56796=EDGE_LOOP('',(#302999,#303000,#303001,#303002)); #56797=EDGE_LOOP('',(#303003,#303004,#303005,#303006)); #56798=EDGE_LOOP('',(#303007,#303008,#303009,#303010)); #56799=EDGE_LOOP('',(#303011,#303012,#303013,#303014)); #56800=EDGE_LOOP('',(#303015,#303016,#303017,#303018)); #56801=EDGE_LOOP('',(#303019,#303020,#303021,#303022)); #56802=EDGE_LOOP('',(#303023,#303024,#303025,#303026)); #56803=EDGE_LOOP('',(#303027,#303028,#303029,#303030)); #56804=EDGE_LOOP('',(#303031,#303032,#303033,#303034)); #56805=EDGE_LOOP('',(#303035,#303036,#303037,#303038)); #56806=EDGE_LOOP('',(#303039,#303040,#303041,#303042)); #56807=EDGE_LOOP('',(#303043,#303044,#303045,#303046)); #56808=EDGE_LOOP('',(#303047,#303048,#303049,#303050,#303051,#303052,#303053, #303054,#303055,#303056,#303057,#303058,#303059,#303060,#303061,#303062, #303063,#303064,#303065,#303066,#303067,#303068,#303069,#303070,#303071, #303072,#303073,#303074,#303075,#303076,#303077,#303078,#303079,#303080, #303081,#303082,#303083,#303084,#303085,#303086,#303087,#303088,#303089, #303090,#303091,#303092,#303093,#303094,#303095,#303096,#303097,#303098, #303099,#303100,#303101,#303102)); #56809=EDGE_LOOP('',(#303103,#303104,#303105,#303106)); #56810=EDGE_LOOP('',(#303107,#303108,#303109,#303110,#303111,#303112,#303113, #303114,#303115,#303116,#303117,#303118,#303119,#303120,#303121,#303122, #303123,#303124,#303125,#303126,#303127,#303128,#303129,#303130,#303131, #303132,#303133,#303134,#303135,#303136,#303137,#303138,#303139,#303140, #303141,#303142,#303143,#303144,#303145,#303146,#303147,#303148,#303149, #303150,#303151,#303152,#303153,#303154,#303155,#303156,#303157,#303158, #303159,#303160,#303161,#303162)); #56811=EDGE_LOOP('',(#303163,#303164,#303165,#303166)); #56812=EDGE_LOOP('',(#303167,#303168,#303169,#303170)); #56813=EDGE_LOOP('',(#303171,#303172,#303173,#303174)); #56814=EDGE_LOOP('',(#303175,#303176,#303177,#303178)); #56815=EDGE_LOOP('',(#303179,#303180,#303181,#303182)); #56816=EDGE_LOOP('',(#303183,#303184,#303185)); #56817=EDGE_LOOP('',(#303186)); #56818=EDGE_LOOP('',(#303187,#303188,#303189)); #56819=EDGE_LOOP('',(#303190)); #56820=EDGE_LOOP('',(#303191,#303192,#303193,#303194)); #56821=EDGE_LOOP('',(#303195,#303196,#303197,#303198)); #56822=EDGE_LOOP('',(#303199,#303200,#303201,#303202)); #56823=EDGE_LOOP('',(#303203,#303204,#303205,#303206)); #56824=EDGE_LOOP('',(#303207,#303208,#303209)); #56825=EDGE_LOOP('',(#303210)); #56826=EDGE_LOOP('',(#303211,#303212,#303213)); #56827=EDGE_LOOP('',(#303214)); #56828=EDGE_LOOP('',(#303215,#303216,#303217,#303218)); #56829=EDGE_LOOP('',(#303219,#303220,#303221,#303222)); #56830=EDGE_LOOP('',(#303223,#303224,#303225,#303226)); #56831=EDGE_LOOP('',(#303227,#303228,#303229,#303230)); #56832=EDGE_LOOP('',(#303231,#303232,#303233)); #56833=EDGE_LOOP('',(#303234)); #56834=EDGE_LOOP('',(#303235,#303236,#303237)); #56835=EDGE_LOOP('',(#303238)); #56836=EDGE_LOOP('',(#303239,#303240,#303241,#303242)); #56837=EDGE_LOOP('',(#303243,#303244,#303245,#303246)); #56838=EDGE_LOOP('',(#303247,#303248,#303249,#303250)); #56839=EDGE_LOOP('',(#303251,#303252,#303253,#303254)); #56840=EDGE_LOOP('',(#303255,#303256,#303257,#303258)); #56841=EDGE_LOOP('',(#303259,#303260,#303261,#303262)); #56842=EDGE_LOOP('',(#303263)); #56843=EDGE_LOOP('',(#303264,#303265,#303266,#303267)); #56844=EDGE_LOOP('',(#303268)); #56845=EDGE_LOOP('',(#303269,#303270,#303271,#303272)); #56846=EDGE_LOOP('',(#303273,#303274,#303275,#303276)); #56847=EDGE_LOOP('',(#303277,#303278,#303279,#303280)); #56848=EDGE_LOOP('',(#303281,#303282,#303283,#303284)); #56849=EDGE_LOOP('',(#303285,#303286,#303287)); #56850=EDGE_LOOP('',(#303288)); #56851=EDGE_LOOP('',(#303289,#303290,#303291)); #56852=EDGE_LOOP('',(#303292)); #56853=EDGE_LOOP('',(#303293,#303294,#303295,#303296)); #56854=EDGE_LOOP('',(#303297,#303298,#303299,#303300)); #56855=EDGE_LOOP('',(#303301,#303302,#303303,#303304)); #56856=EDGE_LOOP('',(#303305,#303306,#303307,#303308)); #56857=EDGE_LOOP('',(#303309,#303310,#303311,#303312)); #56858=EDGE_LOOP('',(#303313,#303314,#303315,#303316)); #56859=EDGE_LOOP('',(#303317,#303318,#303319,#303320)); #56860=EDGE_LOOP('',(#303321,#303322,#303323,#303324)); #56861=EDGE_LOOP('',(#303325,#303326,#303327,#303328)); #56862=EDGE_LOOP('',(#303329,#303330,#303331,#303332)); #56863=EDGE_LOOP('',(#303333,#303334,#303335,#303336)); #56864=EDGE_LOOP('',(#303337,#303338,#303339,#303340)); #56865=EDGE_LOOP('',(#303341,#303342,#303343,#303344)); #56866=EDGE_LOOP('',(#303345,#303346,#303347,#303348)); #56867=EDGE_LOOP('',(#303349,#303350,#303351,#303352)); #56868=EDGE_LOOP('',(#303353,#303354,#303355,#303356)); #56869=EDGE_LOOP('',(#303357,#303358,#303359,#303360)); #56870=EDGE_LOOP('',(#303361,#303362,#303363,#303364)); #56871=EDGE_LOOP('',(#303365,#303366,#303367,#303368)); #56872=EDGE_LOOP('',(#303369,#303370,#303371,#303372)); #56873=EDGE_LOOP('',(#303373,#303374,#303375,#303376)); #56874=EDGE_LOOP('',(#303377,#303378,#303379,#303380)); #56875=EDGE_LOOP('',(#303381,#303382,#303383,#303384)); #56876=EDGE_LOOP('',(#303385,#303386,#303387,#303388)); #56877=EDGE_LOOP('',(#303389,#303390,#303391,#303392)); #56878=EDGE_LOOP('',(#303393,#303394,#303395,#303396)); #56879=EDGE_LOOP('',(#303397,#303398,#303399,#303400)); #56880=EDGE_LOOP('',(#303401,#303402,#303403,#303404)); #56881=EDGE_LOOP('',(#303405,#303406,#303407,#303408)); #56882=EDGE_LOOP('',(#303409,#303410,#303411,#303412)); #56883=EDGE_LOOP('',(#303413,#303414,#303415,#303416)); #56884=EDGE_LOOP('',(#303417,#303418,#303419,#303420)); #56885=EDGE_LOOP('',(#303421,#303422,#303423,#303424)); #56886=EDGE_LOOP('',(#303425,#303426,#303427,#303428)); #56887=EDGE_LOOP('',(#303429,#303430,#303431,#303432)); #56888=EDGE_LOOP('',(#303433,#303434,#303435,#303436)); #56889=EDGE_LOOP('',(#303437,#303438,#303439,#303440)); #56890=EDGE_LOOP('',(#303441,#303442,#303443,#303444)); #56891=EDGE_LOOP('',(#303445,#303446,#303447,#303448)); #56892=EDGE_LOOP('',(#303449,#303450,#303451,#303452)); #56893=EDGE_LOOP('',(#303453,#303454,#303455,#303456)); #56894=EDGE_LOOP('',(#303457,#303458,#303459,#303460)); #56895=EDGE_LOOP('',(#303461,#303462,#303463,#303464)); #56896=EDGE_LOOP('',(#303465,#303466,#303467,#303468)); #56897=EDGE_LOOP('',(#303469,#303470,#303471,#303472)); #56898=EDGE_LOOP('',(#303473,#303474,#303475,#303476)); #56899=EDGE_LOOP('',(#303477,#303478,#303479,#303480)); #56900=EDGE_LOOP('',(#303481,#303482,#303483,#303484)); #56901=EDGE_LOOP('',(#303485,#303486,#303487,#303488)); #56902=EDGE_LOOP('',(#303489,#303490,#303491,#303492)); #56903=EDGE_LOOP('',(#303493,#303494,#303495,#303496)); #56904=EDGE_LOOP('',(#303497,#303498,#303499,#303500)); #56905=EDGE_LOOP('',(#303501,#303502,#303503,#303504)); #56906=EDGE_LOOP('',(#303505,#303506,#303507,#303508)); #56907=EDGE_LOOP('',(#303509,#303510,#303511,#303512)); #56908=EDGE_LOOP('',(#303513,#303514,#303515,#303516)); #56909=EDGE_LOOP('',(#303517,#303518,#303519,#303520)); #56910=EDGE_LOOP('',(#303521,#303522,#303523,#303524)); #56911=EDGE_LOOP('',(#303525,#303526,#303527,#303528)); #56912=EDGE_LOOP('',(#303529,#303530,#303531,#303532)); #56913=EDGE_LOOP('',(#303533,#303534,#303535,#303536)); #56914=EDGE_LOOP('',(#303537,#303538,#303539,#303540)); #56915=EDGE_LOOP('',(#303541,#303542,#303543,#303544)); #56916=EDGE_LOOP('',(#303545,#303546,#303547,#303548)); #56917=EDGE_LOOP('',(#303549,#303550,#303551,#303552)); #56918=EDGE_LOOP('',(#303553,#303554,#303555,#303556)); #56919=EDGE_LOOP('',(#303557,#303558,#303559,#303560)); #56920=EDGE_LOOP('',(#303561,#303562,#303563,#303564)); #56921=EDGE_LOOP('',(#303565,#303566,#303567,#303568)); #56922=EDGE_LOOP('',(#303569,#303570,#303571,#303572)); #56923=EDGE_LOOP('',(#303573,#303574,#303575,#303576)); #56924=EDGE_LOOP('',(#303577,#303578,#303579,#303580)); #56925=EDGE_LOOP('',(#303581,#303582,#303583,#303584)); #56926=EDGE_LOOP('',(#303585,#303586,#303587,#303588)); #56927=EDGE_LOOP('',(#303589,#303590,#303591,#303592)); #56928=EDGE_LOOP('',(#303593,#303594,#303595,#303596)); #56929=EDGE_LOOP('',(#303597,#303598,#303599,#303600)); #56930=EDGE_LOOP('',(#303601,#303602,#303603,#303604)); #56931=EDGE_LOOP('',(#303605,#303606,#303607,#303608)); #56932=EDGE_LOOP('',(#303609,#303610,#303611,#303612)); #56933=EDGE_LOOP('',(#303613,#303614,#303615,#303616)); #56934=EDGE_LOOP('',(#303617,#303618,#303619,#303620)); #56935=EDGE_LOOP('',(#303621,#303622,#303623,#303624)); #56936=EDGE_LOOP('',(#303625,#303626,#303627,#303628)); #56937=EDGE_LOOP('',(#303629,#303630,#303631,#303632)); #56938=EDGE_LOOP('',(#303633,#303634,#303635,#303636)); #56939=EDGE_LOOP('',(#303637,#303638,#303639,#303640)); #56940=EDGE_LOOP('',(#303641,#303642,#303643,#303644)); #56941=EDGE_LOOP('',(#303645,#303646,#303647,#303648)); #56942=EDGE_LOOP('',(#303649,#303650,#303651,#303652)); #56943=EDGE_LOOP('',(#303653,#303654,#303655,#303656)); #56944=EDGE_LOOP('',(#303657,#303658,#303659,#303660)); #56945=EDGE_LOOP('',(#303661,#303662,#303663,#303664)); #56946=EDGE_LOOP('',(#303665,#303666,#303667,#303668)); #56947=EDGE_LOOP('',(#303669,#303670,#303671,#303672)); #56948=EDGE_LOOP('',(#303673,#303674,#303675,#303676)); #56949=EDGE_LOOP('',(#303677,#303678,#303679,#303680)); #56950=EDGE_LOOP('',(#303681,#303682,#303683,#303684)); #56951=EDGE_LOOP('',(#303685,#303686,#303687,#303688)); #56952=EDGE_LOOP('',(#303689,#303690,#303691,#303692)); #56953=EDGE_LOOP('',(#303693,#303694,#303695,#303696)); #56954=EDGE_LOOP('',(#303697,#303698,#303699,#303700)); #56955=EDGE_LOOP('',(#303701,#303702,#303703,#303704)); #56956=EDGE_LOOP('',(#303705,#303706,#303707,#303708)); #56957=EDGE_LOOP('',(#303709,#303710,#303711,#303712)); #56958=EDGE_LOOP('',(#303713,#303714,#303715,#303716)); #56959=EDGE_LOOP('',(#303717,#303718,#303719,#303720)); #56960=EDGE_LOOP('',(#303721,#303722,#303723,#303724)); #56961=EDGE_LOOP('',(#303725,#303726,#303727,#303728)); #56962=EDGE_LOOP('',(#303729,#303730,#303731,#303732)); #56963=EDGE_LOOP('',(#303733,#303734,#303735,#303736)); #56964=EDGE_LOOP('',(#303737,#303738,#303739,#303740)); #56965=EDGE_LOOP('',(#303741,#303742,#303743,#303744)); #56966=EDGE_LOOP('',(#303745,#303746,#303747,#303748)); #56967=EDGE_LOOP('',(#303749,#303750,#303751,#303752)); #56968=EDGE_LOOP('',(#303753,#303754,#303755,#303756)); #56969=EDGE_LOOP('',(#303757,#303758,#303759,#303760)); #56970=EDGE_LOOP('',(#303761,#303762,#303763,#303764)); #56971=EDGE_LOOP('',(#303765,#303766,#303767,#303768)); #56972=EDGE_LOOP('',(#303769,#303770,#303771,#303772)); #56973=EDGE_LOOP('',(#303773,#303774,#303775,#303776)); #56974=EDGE_LOOP('',(#303777,#303778,#303779,#303780)); #56975=EDGE_LOOP('',(#303781,#303782,#303783,#303784)); #56976=EDGE_LOOP('',(#303785,#303786,#303787,#303788)); #56977=EDGE_LOOP('',(#303789,#303790,#303791,#303792)); #56978=EDGE_LOOP('',(#303793,#303794,#303795,#303796)); #56979=EDGE_LOOP('',(#303797,#303798,#303799,#303800)); #56980=EDGE_LOOP('',(#303801,#303802,#303803,#303804)); #56981=EDGE_LOOP('',(#303805,#303806,#303807,#303808)); #56982=EDGE_LOOP('',(#303809,#303810,#303811,#303812)); #56983=EDGE_LOOP('',(#303813,#303814,#303815,#303816)); #56984=EDGE_LOOP('',(#303817,#303818,#303819,#303820)); #56985=EDGE_LOOP('',(#303821,#303822,#303823,#303824)); #56986=EDGE_LOOP('',(#303825,#303826,#303827,#303828)); #56987=EDGE_LOOP('',(#303829,#303830,#303831,#303832)); #56988=EDGE_LOOP('',(#303833,#303834,#303835,#303836)); #56989=EDGE_LOOP('',(#303837,#303838,#303839,#303840)); #56990=EDGE_LOOP('',(#303841,#303842,#303843,#303844)); #56991=EDGE_LOOP('',(#303845,#303846,#303847,#303848)); #56992=EDGE_LOOP('',(#303849,#303850,#303851,#303852)); #56993=EDGE_LOOP('',(#303853,#303854,#303855,#303856)); #56994=EDGE_LOOP('',(#303857,#303858,#303859,#303860)); #56995=EDGE_LOOP('',(#303861,#303862,#303863,#303864)); #56996=EDGE_LOOP('',(#303865,#303866,#303867,#303868)); #56997=EDGE_LOOP('',(#303869,#303870,#303871,#303872)); #56998=EDGE_LOOP('',(#303873)); #56999=EDGE_LOOP('',(#303874)); #57000=EDGE_LOOP('',(#303875,#303876,#303877,#303878)); #57001=EDGE_LOOP('',(#303879)); #57002=EDGE_LOOP('',(#303880)); #57003=EDGE_LOOP('',(#303881,#303882,#303883,#303884)); #57004=EDGE_LOOP('',(#303885,#303886,#303887,#303888)); #57005=EDGE_LOOP('',(#303889,#303890,#303891,#303892)); #57006=EDGE_LOOP('',(#303893,#303894,#303895,#303896)); #57007=EDGE_LOOP('',(#303897,#303898,#303899,#303900)); #57008=EDGE_LOOP('',(#303901,#303902,#303903,#303904)); #57009=EDGE_LOOP('',(#303905,#303906,#303907,#303908)); #57010=EDGE_LOOP('',(#303909,#303910,#303911,#303912)); #57011=EDGE_LOOP('',(#303913,#303914,#303915,#303916)); #57012=EDGE_LOOP('',(#303917,#303918,#303919,#303920)); #57013=EDGE_LOOP('',(#303921,#303922,#303923,#303924)); #57014=EDGE_LOOP('',(#303925,#303926,#303927,#303928)); #57015=EDGE_LOOP('',(#303929,#303930,#303931,#303932)); #57016=EDGE_LOOP('',(#303933)); #57017=EDGE_LOOP('',(#303934)); #57018=EDGE_LOOP('',(#303935,#303936,#303937,#303938)); #57019=EDGE_LOOP('',(#303939)); #57020=EDGE_LOOP('',(#303940)); #57021=EDGE_LOOP('',(#303941,#303942,#303943,#303944)); #57022=EDGE_LOOP('',(#303945,#303946,#303947,#303948)); #57023=EDGE_LOOP('',(#303949,#303950,#303951,#303952)); #57024=EDGE_LOOP('',(#303953,#303954,#303955,#303956)); #57025=EDGE_LOOP('',(#303957,#303958,#303959,#303960)); #57026=EDGE_LOOP('',(#303961,#303962,#303963,#303964)); #57027=EDGE_LOOP('',(#303965,#303966,#303967,#303968)); #57028=EDGE_LOOP('',(#303969,#303970,#303971,#303972)); #57029=EDGE_LOOP('',(#303973,#303974,#303975,#303976)); #57030=EDGE_LOOP('',(#303977,#303978,#303979,#303980)); #57031=EDGE_LOOP('',(#303981,#303982,#303983,#303984)); #57032=EDGE_LOOP('',(#303985,#303986,#303987,#303988)); #57033=EDGE_LOOP('',(#303989,#303990,#303991,#303992)); #57034=EDGE_LOOP('',(#303993,#303994,#303995,#303996)); #57035=EDGE_LOOP('',(#303997,#303998,#303999,#304000)); #57036=EDGE_LOOP('',(#304001,#304002,#304003,#304004)); #57037=EDGE_LOOP('',(#304005,#304006,#304007,#304008)); #57038=EDGE_LOOP('',(#304009,#304010,#304011,#304012)); #57039=EDGE_LOOP('',(#304013,#304014,#304015,#304016)); #57040=EDGE_LOOP('',(#304017,#304018,#304019,#304020)); #57041=EDGE_LOOP('',(#304021,#304022,#304023,#304024)); #57042=EDGE_LOOP('',(#304025,#304026,#304027,#304028)); #57043=EDGE_LOOP('',(#304029,#304030,#304031,#304032)); #57044=EDGE_LOOP('',(#304033,#304034,#304035,#304036)); #57045=EDGE_LOOP('',(#304037,#304038,#304039,#304040)); #57046=EDGE_LOOP('',(#304041,#304042,#304043,#304044)); #57047=EDGE_LOOP('',(#304045,#304046,#304047,#304048)); #57048=EDGE_LOOP('',(#304049,#304050,#304051,#304052)); #57049=EDGE_LOOP('',(#304053,#304054,#304055,#304056)); #57050=EDGE_LOOP('',(#304057,#304058,#304059,#304060)); #57051=EDGE_LOOP('',(#304061,#304062,#304063,#304064)); #57052=EDGE_LOOP('',(#304065,#304066,#304067,#304068)); #57053=EDGE_LOOP('',(#304069,#304070,#304071,#304072)); #57054=EDGE_LOOP('',(#304073,#304074,#304075,#304076)); #57055=EDGE_LOOP('',(#304077,#304078,#304079,#304080)); #57056=EDGE_LOOP('',(#304081,#304082,#304083,#304084)); #57057=EDGE_LOOP('',(#304085,#304086,#304087,#304088)); #57058=EDGE_LOOP('',(#304089,#304090,#304091,#304092)); #57059=EDGE_LOOP('',(#304093,#304094,#304095,#304096)); #57060=EDGE_LOOP('',(#304097,#304098,#304099,#304100)); #57061=EDGE_LOOP('',(#304101,#304102,#304103,#304104)); #57062=EDGE_LOOP('',(#304105,#304106,#304107,#304108)); #57063=EDGE_LOOP('',(#304109,#304110,#304111,#304112)); #57064=EDGE_LOOP('',(#304113,#304114,#304115,#304116)); #57065=EDGE_LOOP('',(#304117,#304118,#304119,#304120)); #57066=EDGE_LOOP('',(#304121,#304122,#304123,#304124)); #57067=EDGE_LOOP('',(#304125,#304126,#304127,#304128)); #57068=EDGE_LOOP('',(#304129,#304130,#304131,#304132)); #57069=EDGE_LOOP('',(#304133,#304134,#304135,#304136)); #57070=EDGE_LOOP('',(#304137,#304138,#304139,#304140)); #57071=EDGE_LOOP('',(#304141,#304142,#304143,#304144)); #57072=EDGE_LOOP('',(#304145,#304146,#304147,#304148)); #57073=EDGE_LOOP('',(#304149,#304150,#304151,#304152)); #57074=EDGE_LOOP('',(#304153,#304154,#304155,#304156)); #57075=EDGE_LOOP('',(#304157,#304158,#304159,#304160)); #57076=EDGE_LOOP('',(#304161,#304162,#304163,#304164)); #57077=EDGE_LOOP('',(#304165,#304166,#304167,#304168)); #57078=EDGE_LOOP('',(#304169,#304170,#304171,#304172)); #57079=EDGE_LOOP('',(#304173,#304174,#304175,#304176)); #57080=EDGE_LOOP('',(#304177,#304178,#304179,#304180)); #57081=EDGE_LOOP('',(#304181,#304182,#304183,#304184)); #57082=EDGE_LOOP('',(#304185,#304186,#304187,#304188)); #57083=EDGE_LOOP('',(#304189,#304190,#304191,#304192)); #57084=EDGE_LOOP('',(#304193,#304194,#304195,#304196)); #57085=EDGE_LOOP('',(#304197,#304198,#304199,#304200)); #57086=EDGE_LOOP('',(#304201,#304202,#304203,#304204)); #57087=EDGE_LOOP('',(#304205,#304206,#304207,#304208)); #57088=EDGE_LOOP('',(#304209,#304210,#304211,#304212)); #57089=EDGE_LOOP('',(#304213,#304214,#304215,#304216)); #57090=EDGE_LOOP('',(#304217,#304218,#304219,#304220)); #57091=EDGE_LOOP('',(#304221,#304222,#304223,#304224)); #57092=EDGE_LOOP('',(#304225,#304226,#304227,#304228)); #57093=EDGE_LOOP('',(#304229,#304230,#304231,#304232)); #57094=EDGE_LOOP('',(#304233,#304234,#304235,#304236)); #57095=EDGE_LOOP('',(#304237,#304238,#304239,#304240)); #57096=EDGE_LOOP('',(#304241,#304242,#304243,#304244)); #57097=EDGE_LOOP('',(#304245,#304246,#304247,#304248)); #57098=EDGE_LOOP('',(#304249,#304250,#304251,#304252)); #57099=EDGE_LOOP('',(#304253,#304254,#304255,#304256)); #57100=EDGE_LOOP('',(#304257,#304258,#304259,#304260)); #57101=EDGE_LOOP('',(#304261,#304262,#304263,#304264)); #57102=EDGE_LOOP('',(#304265,#304266,#304267,#304268)); #57103=EDGE_LOOP('',(#304269,#304270,#304271,#304272)); #57104=EDGE_LOOP('',(#304273,#304274,#304275,#304276)); #57105=EDGE_LOOP('',(#304277,#304278,#304279,#304280)); #57106=EDGE_LOOP('',(#304281,#304282,#304283,#304284)); #57107=EDGE_LOOP('',(#304285,#304286,#304287,#304288)); #57108=EDGE_LOOP('',(#304289,#304290,#304291,#304292)); #57109=EDGE_LOOP('',(#304293,#304294,#304295,#304296)); #57110=EDGE_LOOP('',(#304297,#304298,#304299,#304300)); #57111=EDGE_LOOP('',(#304301,#304302,#304303,#304304)); #57112=EDGE_LOOP('',(#304305,#304306,#304307,#304308)); #57113=EDGE_LOOP('',(#304309,#304310,#304311,#304312)); #57114=EDGE_LOOP('',(#304313,#304314,#304315,#304316)); #57115=EDGE_LOOP('',(#304317,#304318,#304319,#304320)); #57116=EDGE_LOOP('',(#304321,#304322,#304323,#304324)); #57117=EDGE_LOOP('',(#304325,#304326,#304327,#304328)); #57118=EDGE_LOOP('',(#304329,#304330,#304331,#304332)); #57119=EDGE_LOOP('',(#304333,#304334,#304335,#304336)); #57120=EDGE_LOOP('',(#304337,#304338,#304339,#304340)); #57121=EDGE_LOOP('',(#304341,#304342,#304343,#304344)); #57122=EDGE_LOOP('',(#304345,#304346,#304347,#304348)); #57123=EDGE_LOOP('',(#304349)); #57124=EDGE_LOOP('',(#304350,#304351,#304352,#304353)); #57125=EDGE_LOOP('',(#304354)); #57126=EDGE_LOOP('',(#304355,#304356,#304357,#304358)); #57127=EDGE_LOOP('',(#304359,#304360,#304361,#304362)); #57128=EDGE_LOOP('',(#304363,#304364,#304365,#304366)); #57129=EDGE_LOOP('',(#304367,#304368,#304369,#304370)); #57130=EDGE_LOOP('',(#304371,#304372,#304373,#304374)); #57131=EDGE_LOOP('',(#304375,#304376,#304377,#304378)); #57132=EDGE_LOOP('',(#304379,#304380,#304381,#304382)); #57133=EDGE_LOOP('',(#304383,#304384,#304385,#304386)); #57134=EDGE_LOOP('',(#304387,#304388,#304389,#304390)); #57135=EDGE_LOOP('',(#304391,#304392,#304393,#304394)); #57136=EDGE_LOOP('',(#304395,#304396,#304397,#304398)); #57137=EDGE_LOOP('',(#304399,#304400,#304401,#304402)); #57138=EDGE_LOOP('',(#304403,#304404,#304405,#304406)); #57139=EDGE_LOOP('',(#304407,#304408,#304409,#304410)); #57140=EDGE_LOOP('',(#304411,#304412,#304413,#304414)); #57141=EDGE_LOOP('',(#304415,#304416,#304417,#304418)); #57142=EDGE_LOOP('',(#304419,#304420,#304421,#304422)); #57143=EDGE_LOOP('',(#304423,#304424,#304425,#304426)); #57144=EDGE_LOOP('',(#304427,#304428,#304429,#304430)); #57145=EDGE_LOOP('',(#304431,#304432,#304433,#304434)); #57146=EDGE_LOOP('',(#304435,#304436,#304437,#304438)); #57147=EDGE_LOOP('',(#304439,#304440,#304441,#304442)); #57148=EDGE_LOOP('',(#304443,#304444,#304445,#304446)); #57149=EDGE_LOOP('',(#304447,#304448,#304449,#304450)); #57150=EDGE_LOOP('',(#304451,#304452,#304453,#304454)); #57151=EDGE_LOOP('',(#304455,#304456,#304457,#304458)); #57152=EDGE_LOOP('',(#304459,#304460,#304461,#304462)); #57153=EDGE_LOOP('',(#304463,#304464,#304465,#304466)); #57154=EDGE_LOOP('',(#304467,#304468,#304469,#304470)); #57155=EDGE_LOOP('',(#304471,#304472,#304473,#304474)); #57156=EDGE_LOOP('',(#304475,#304476,#304477,#304478)); #57157=EDGE_LOOP('',(#304479,#304480,#304481,#304482)); #57158=EDGE_LOOP('',(#304483,#304484,#304485,#304486)); #57159=EDGE_LOOP('',(#304487,#304488,#304489,#304490)); #57160=EDGE_LOOP('',(#304491,#304492,#304493,#304494)); #57161=EDGE_LOOP('',(#304495,#304496,#304497,#304498)); #57162=EDGE_LOOP('',(#304499,#304500,#304501,#304502)); #57163=EDGE_LOOP('',(#304503,#304504,#304505,#304506)); #57164=EDGE_LOOP('',(#304507,#304508,#304509,#304510)); #57165=EDGE_LOOP('',(#304511,#304512,#304513,#304514)); #57166=EDGE_LOOP('',(#304515,#304516,#304517,#304518)); #57167=EDGE_LOOP('',(#304519,#304520,#304521,#304522)); #57168=EDGE_LOOP('',(#304523,#304524,#304525,#304526)); #57169=EDGE_LOOP('',(#304527,#304528,#304529,#304530)); #57170=EDGE_LOOP('',(#304531)); #57171=EDGE_LOOP('',(#304532)); #57172=EDGE_LOOP('',(#304533)); #57173=EDGE_LOOP('',(#304534)); #57174=EDGE_LOOP('',(#304535)); #57175=EDGE_LOOP('',(#304536)); #57176=EDGE_LOOP('',(#304537)); #57177=EDGE_LOOP('',(#304538)); #57178=EDGE_LOOP('',(#304539)); #57179=EDGE_LOOP('',(#304540)); #57180=EDGE_LOOP('',(#304541)); #57181=EDGE_LOOP('',(#304542)); #57182=EDGE_LOOP('',(#304543)); #57183=EDGE_LOOP('',(#304544)); #57184=EDGE_LOOP('',(#304545)); #57185=EDGE_LOOP('',(#304546,#304547,#304548,#304549)); #57186=EDGE_LOOP('',(#304550)); #57187=EDGE_LOOP('',(#304551)); #57188=EDGE_LOOP('',(#304552)); #57189=EDGE_LOOP('',(#304553)); #57190=EDGE_LOOP('',(#304554)); #57191=EDGE_LOOP('',(#304555)); #57192=EDGE_LOOP('',(#304556)); #57193=EDGE_LOOP('',(#304557)); #57194=EDGE_LOOP('',(#304558)); #57195=EDGE_LOOP('',(#304559)); #57196=EDGE_LOOP('',(#304560)); #57197=EDGE_LOOP('',(#304561)); #57198=EDGE_LOOP('',(#304562)); #57199=EDGE_LOOP('',(#304563)); #57200=EDGE_LOOP('',(#304564)); #57201=EDGE_LOOP('',(#304565,#304566,#304567,#304568)); #57202=EDGE_LOOP('',(#304569,#304570,#304571,#304572)); #57203=EDGE_LOOP('',(#304573,#304574,#304575,#304576)); #57204=EDGE_LOOP('',(#304577,#304578,#304579,#304580)); #57205=EDGE_LOOP('',(#304581,#304582,#304583,#304584)); #57206=EDGE_LOOP('',(#304585,#304586,#304587,#304588)); #57207=EDGE_LOOP('',(#304589,#304590,#304591,#304592)); #57208=EDGE_LOOP('',(#304593,#304594,#304595,#304596)); #57209=EDGE_LOOP('',(#304597,#304598,#304599,#304600)); #57210=EDGE_LOOP('',(#304601,#304602,#304603,#304604)); #57211=EDGE_LOOP('',(#304605,#304606,#304607,#304608)); #57212=EDGE_LOOP('',(#304609,#304610,#304611,#304612)); #57213=EDGE_LOOP('',(#304613,#304614,#304615,#304616)); #57214=EDGE_LOOP('',(#304617,#304618,#304619,#304620)); #57215=EDGE_LOOP('',(#304621,#304622,#304623,#304624)); #57216=EDGE_LOOP('',(#304625,#304626,#304627,#304628)); #57217=EDGE_LOOP('',(#304629,#304630,#304631,#304632)); #57218=EDGE_LOOP('',(#304633,#304634,#304635,#304636)); #57219=EDGE_LOOP('',(#304637,#304638,#304639,#304640)); #57220=EDGE_LOOP('',(#304641,#304642,#304643,#304644)); #57221=EDGE_LOOP('',(#304645,#304646,#304647,#304648)); #57222=EDGE_LOOP('',(#304649,#304650,#304651,#304652)); #57223=EDGE_LOOP('',(#304653,#304654,#304655,#304656)); #57224=EDGE_LOOP('',(#304657,#304658,#304659,#304660)); #57225=EDGE_LOOP('',(#304661)); #57226=EDGE_LOOP('',(#304662,#304663,#304664,#304665)); #57227=EDGE_LOOP('',(#304666)); #57228=EDGE_LOOP('',(#304667,#304668,#304669,#304670)); #57229=EDGE_LOOP('',(#304671,#304672,#304673,#304674)); #57230=EDGE_LOOP('',(#304675,#304676,#304677,#304678)); #57231=EDGE_LOOP('',(#304679,#304680,#304681,#304682)); #57232=EDGE_LOOP('',(#304683,#304684,#304685,#304686)); #57233=EDGE_LOOP('',(#304687,#304688,#304689,#304690)); #57234=EDGE_LOOP('',(#304691,#304692,#304693,#304694)); #57235=EDGE_LOOP('',(#304695,#304696,#304697,#304698)); #57236=EDGE_LOOP('',(#304699,#304700,#304701,#304702)); #57237=EDGE_LOOP('',(#304703,#304704,#304705,#304706)); #57238=EDGE_LOOP('',(#304707,#304708,#304709,#304710)); #57239=EDGE_LOOP('',(#304711,#304712,#304713,#304714)); #57240=EDGE_LOOP('',(#304715,#304716,#304717,#304718)); #57241=EDGE_LOOP('',(#304719,#304720,#304721,#304722)); #57242=EDGE_LOOP('',(#304723,#304724,#304725,#304726)); #57243=EDGE_LOOP('',(#304727,#304728,#304729,#304730)); #57244=EDGE_LOOP('',(#304731,#304732,#304733,#304734)); #57245=EDGE_LOOP('',(#304735,#304736,#304737,#304738)); #57246=EDGE_LOOP('',(#304739,#304740,#304741,#304742)); #57247=EDGE_LOOP('',(#304743,#304744,#304745,#304746)); #57248=EDGE_LOOP('',(#304747,#304748,#304749,#304750)); #57249=EDGE_LOOP('',(#304751,#304752,#304753,#304754)); #57250=EDGE_LOOP('',(#304755,#304756,#304757,#304758)); #57251=EDGE_LOOP('',(#304759,#304760,#304761,#304762)); #57252=EDGE_LOOP('',(#304763,#304764,#304765,#304766)); #57253=EDGE_LOOP('',(#304767,#304768,#304769,#304770)); #57254=EDGE_LOOP('',(#304771,#304772,#304773,#304774)); #57255=EDGE_LOOP('',(#304775,#304776,#304777,#304778)); #57256=EDGE_LOOP('',(#304779,#304780,#304781,#304782)); #57257=EDGE_LOOP('',(#304783,#304784,#304785,#304786)); #57258=EDGE_LOOP('',(#304787,#304788,#304789,#304790)); #57259=EDGE_LOOP('',(#304791,#304792,#304793,#304794)); #57260=EDGE_LOOP('',(#304795,#304796,#304797,#304798)); #57261=EDGE_LOOP('',(#304799,#304800,#304801,#304802)); #57262=EDGE_LOOP('',(#304803,#304804,#304805,#304806)); #57263=EDGE_LOOP('',(#304807,#304808,#304809,#304810)); #57264=EDGE_LOOP('',(#304811,#304812,#304813,#304814)); #57265=EDGE_LOOP('',(#304815,#304816,#304817,#304818)); #57266=EDGE_LOOP('',(#304819,#304820,#304821,#304822)); #57267=EDGE_LOOP('',(#304823,#304824,#304825,#304826)); #57268=EDGE_LOOP('',(#304827,#304828,#304829,#304830)); #57269=EDGE_LOOP('',(#304831,#304832,#304833,#304834)); #57270=EDGE_LOOP('',(#304835,#304836,#304837,#304838)); #57271=EDGE_LOOP('',(#304839,#304840,#304841,#304842)); #57272=EDGE_LOOP('',(#304843)); #57273=EDGE_LOOP('',(#304844)); #57274=EDGE_LOOP('',(#304845)); #57275=EDGE_LOOP('',(#304846)); #57276=EDGE_LOOP('',(#304847)); #57277=EDGE_LOOP('',(#304848)); #57278=EDGE_LOOP('',(#304849)); #57279=EDGE_LOOP('',(#304850)); #57280=EDGE_LOOP('',(#304851)); #57281=EDGE_LOOP('',(#304852)); #57282=EDGE_LOOP('',(#304853)); #57283=EDGE_LOOP('',(#304854)); #57284=EDGE_LOOP('',(#304855)); #57285=EDGE_LOOP('',(#304856)); #57286=EDGE_LOOP('',(#304857)); #57287=EDGE_LOOP('',(#304858,#304859,#304860,#304861)); #57288=EDGE_LOOP('',(#304862)); #57289=EDGE_LOOP('',(#304863)); #57290=EDGE_LOOP('',(#304864)); #57291=EDGE_LOOP('',(#304865)); #57292=EDGE_LOOP('',(#304866)); #57293=EDGE_LOOP('',(#304867)); #57294=EDGE_LOOP('',(#304868)); #57295=EDGE_LOOP('',(#304869)); #57296=EDGE_LOOP('',(#304870)); #57297=EDGE_LOOP('',(#304871)); #57298=EDGE_LOOP('',(#304872)); #57299=EDGE_LOOP('',(#304873)); #57300=EDGE_LOOP('',(#304874)); #57301=EDGE_LOOP('',(#304875)); #57302=EDGE_LOOP('',(#304876)); #57303=EDGE_LOOP('',(#304877,#304878,#304879,#304880)); #57304=EDGE_LOOP('',(#304881,#304882,#304883,#304884)); #57305=EDGE_LOOP('',(#304885,#304886,#304887,#304888)); #57306=EDGE_LOOP('',(#304889,#304890,#304891,#304892)); #57307=EDGE_LOOP('',(#304893,#304894,#304895,#304896)); #57308=EDGE_LOOP('',(#304897,#304898,#304899,#304900)); #57309=EDGE_LOOP('',(#304901,#304902,#304903,#304904)); #57310=EDGE_LOOP('',(#304905,#304906,#304907,#304908)); #57311=EDGE_LOOP('',(#304909,#304910,#304911,#304912)); #57312=EDGE_LOOP('',(#304913,#304914,#304915,#304916)); #57313=EDGE_LOOP('',(#304917,#304918,#304919,#304920)); #57314=EDGE_LOOP('',(#304921,#304922,#304923,#304924)); #57315=EDGE_LOOP('',(#304925,#304926,#304927,#304928)); #57316=EDGE_LOOP('',(#304929,#304930,#304931,#304932)); #57317=EDGE_LOOP('',(#304933,#304934,#304935,#304936)); #57318=EDGE_LOOP('',(#304937,#304938,#304939,#304940)); #57319=EDGE_LOOP('',(#304941,#304942,#304943,#304944)); #57320=EDGE_LOOP('',(#304945,#304946,#304947,#304948)); #57321=EDGE_LOOP('',(#304949,#304950,#304951,#304952)); #57322=EDGE_LOOP('',(#304953,#304954,#304955,#304956)); #57323=EDGE_LOOP('',(#304957,#304958,#304959,#304960)); #57324=EDGE_LOOP('',(#304961,#304962,#304963,#304964)); #57325=EDGE_LOOP('',(#304965,#304966,#304967,#304968)); #57326=EDGE_LOOP('',(#304969,#304970,#304971,#304972)); #57327=EDGE_LOOP('',(#304973)); #57328=EDGE_LOOP('',(#304974,#304975,#304976,#304977)); #57329=EDGE_LOOP('',(#304978)); #57330=EDGE_LOOP('',(#304979,#304980,#304981,#304982)); #57331=EDGE_LOOP('',(#304983,#304984,#304985,#304986)); #57332=EDGE_LOOP('',(#304987,#304988,#304989,#304990)); #57333=EDGE_LOOP('',(#304991,#304992,#304993,#304994)); #57334=EDGE_LOOP('',(#304995,#304996,#304997,#304998)); #57335=EDGE_LOOP('',(#304999,#305000,#305001,#305002)); #57336=EDGE_LOOP('',(#305003)); #57337=EDGE_LOOP('',(#305004,#305005,#305006,#305007)); #57338=EDGE_LOOP('',(#305008)); #57339=EDGE_LOOP('',(#305009,#305010,#305011,#305012)); #57340=EDGE_LOOP('',(#305013,#305014,#305015,#305016)); #57341=EDGE_LOOP('',(#305017,#305018,#305019,#305020)); #57342=EDGE_LOOP('',(#305021,#305022,#305023,#305024)); #57343=EDGE_LOOP('',(#305025,#305026,#305027,#305028)); #57344=EDGE_LOOP('',(#305029,#305030,#305031,#305032)); #57345=EDGE_LOOP('',(#305033)); #57346=EDGE_LOOP('',(#305034,#305035,#305036,#305037)); #57347=EDGE_LOOP('',(#305038)); #57348=EDGE_LOOP('',(#305039,#305040,#305041,#305042)); #57349=EDGE_LOOP('',(#305043,#305044,#305045,#305046)); #57350=EDGE_LOOP('',(#305047,#305048,#305049,#305050)); #57351=EDGE_LOOP('',(#305051,#305052,#305053,#305054)); #57352=EDGE_LOOP('',(#305055,#305056,#305057,#305058)); #57353=EDGE_LOOP('',(#305059,#305060,#305061,#305062)); #57354=EDGE_LOOP('',(#305063,#305064,#305065,#305066)); #57355=EDGE_LOOP('',(#305067,#305068,#305069,#305070)); #57356=EDGE_LOOP('',(#305071,#305072,#305073,#305074)); #57357=EDGE_LOOP('',(#305075,#305076,#305077,#305078)); #57358=EDGE_LOOP('',(#305079,#305080,#305081,#305082)); #57359=EDGE_LOOP('',(#305083,#305084,#305085,#305086)); #57360=EDGE_LOOP('',(#305087,#305088,#305089,#305090)); #57361=EDGE_LOOP('',(#305091,#305092,#305093,#305094)); #57362=EDGE_LOOP('',(#305095,#305096,#305097,#305098)); #57363=EDGE_LOOP('',(#305099,#305100,#305101,#305102)); #57364=EDGE_LOOP('',(#305103,#305104,#305105,#305106)); #57365=EDGE_LOOP('',(#305107,#305108,#305109,#305110)); #57366=EDGE_LOOP('',(#305111,#305112,#305113,#305114)); #57367=EDGE_LOOP('',(#305115,#305116,#305117,#305118)); #57368=EDGE_LOOP('',(#305119)); #57369=EDGE_LOOP('',(#305120)); #57370=EDGE_LOOP('',(#305121)); #57371=EDGE_LOOP('',(#305122)); #57372=EDGE_LOOP('',(#305123)); #57373=EDGE_LOOP('',(#305124)); #57374=EDGE_LOOP('',(#305125)); #57375=EDGE_LOOP('',(#305126)); #57376=EDGE_LOOP('',(#305127)); #57377=EDGE_LOOP('',(#305128)); #57378=EDGE_LOOP('',(#305129)); #57379=EDGE_LOOP('',(#305130)); #57380=EDGE_LOOP('',(#305131)); #57381=EDGE_LOOP('',(#305132)); #57382=EDGE_LOOP('',(#305133)); #57383=EDGE_LOOP('',(#305134,#305135,#305136,#305137)); #57384=EDGE_LOOP('',(#305138)); #57385=EDGE_LOOP('',(#305139)); #57386=EDGE_LOOP('',(#305140)); #57387=EDGE_LOOP('',(#305141)); #57388=EDGE_LOOP('',(#305142)); #57389=EDGE_LOOP('',(#305143)); #57390=EDGE_LOOP('',(#305144)); #57391=EDGE_LOOP('',(#305145)); #57392=EDGE_LOOP('',(#305146)); #57393=EDGE_LOOP('',(#305147)); #57394=EDGE_LOOP('',(#305148)); #57395=EDGE_LOOP('',(#305149)); #57396=EDGE_LOOP('',(#305150)); #57397=EDGE_LOOP('',(#305151)); #57398=EDGE_LOOP('',(#305152)); #57399=EDGE_LOOP('',(#305153,#305154,#305155,#305156)); #57400=EDGE_LOOP('',(#305157,#305158,#305159,#305160)); #57401=EDGE_LOOP('',(#305161,#305162,#305163,#305164)); #57402=EDGE_LOOP('',(#305165,#305166,#305167,#305168)); #57403=EDGE_LOOP('',(#305169,#305170,#305171,#305172)); #57404=EDGE_LOOP('',(#305173,#305174,#305175,#305176)); #57405=EDGE_LOOP('',(#305177,#305178,#305179,#305180)); #57406=EDGE_LOOP('',(#305181,#305182,#305183,#305184)); #57407=EDGE_LOOP('',(#305185,#305186,#305187,#305188)); #57408=EDGE_LOOP('',(#305189,#305190,#305191,#305192)); #57409=EDGE_LOOP('',(#305193,#305194,#305195,#305196)); #57410=EDGE_LOOP('',(#305197,#305198,#305199,#305200)); #57411=EDGE_LOOP('',(#305201,#305202,#305203,#305204)); #57412=EDGE_LOOP('',(#305205,#305206,#305207,#305208)); #57413=EDGE_LOOP('',(#305209,#305210,#305211,#305212)); #57414=EDGE_LOOP('',(#305213,#305214,#305215,#305216)); #57415=EDGE_LOOP('',(#305217,#305218,#305219,#305220)); #57416=EDGE_LOOP('',(#305221,#305222,#305223,#305224)); #57417=EDGE_LOOP('',(#305225,#305226,#305227,#305228)); #57418=EDGE_LOOP('',(#305229,#305230,#305231,#305232)); #57419=EDGE_LOOP('',(#305233,#305234,#305235,#305236)); #57420=EDGE_LOOP('',(#305237,#305238,#305239,#305240)); #57421=EDGE_LOOP('',(#305241,#305242,#305243,#305244)); #57422=EDGE_LOOP('',(#305245,#305246,#305247,#305248)); #57423=EDGE_LOOP('',(#305249,#305250,#305251,#305252)); #57424=EDGE_LOOP('',(#305253,#305254,#305255,#305256)); #57425=EDGE_LOOP('',(#305257,#305258,#305259,#305260)); #57426=EDGE_LOOP('',(#305261,#305262,#305263,#305264)); #57427=EDGE_LOOP('',(#305265,#305266,#305267,#305268)); #57428=EDGE_LOOP('',(#305269,#305270,#305271,#305272)); #57429=EDGE_LOOP('',(#305273,#305274,#305275,#305276)); #57430=EDGE_LOOP('',(#305277,#305278,#305279,#305280)); #57431=EDGE_LOOP('',(#305281,#305282,#305283,#305284)); #57432=EDGE_LOOP('',(#305285,#305286,#305287,#305288)); #57433=EDGE_LOOP('',(#305289,#305290,#305291,#305292)); #57434=EDGE_LOOP('',(#305293,#305294,#305295,#305296)); #57435=EDGE_LOOP('',(#305297,#305298,#305299,#305300)); #57436=EDGE_LOOP('',(#305301,#305302,#305303,#305304)); #57437=EDGE_LOOP('',(#305305,#305306,#305307,#305308)); #57438=EDGE_LOOP('',(#305309,#305310,#305311,#305312)); #57439=EDGE_LOOP('',(#305313,#305314,#305315,#305316)); #57440=EDGE_LOOP('',(#305317,#305318,#305319,#305320)); #57441=EDGE_LOOP('',(#305321,#305322,#305323,#305324)); #57442=EDGE_LOOP('',(#305325,#305326,#305327,#305328)); #57443=EDGE_LOOP('',(#305329,#305330,#305331,#305332)); #57444=EDGE_LOOP('',(#305333,#305334,#305335,#305336)); #57445=EDGE_LOOP('',(#305337,#305338,#305339,#305340)); #57446=EDGE_LOOP('',(#305341,#305342,#305343,#305344)); #57447=EDGE_LOOP('',(#305345,#305346,#305347,#305348)); #57448=EDGE_LOOP('',(#305349,#305350,#305351,#305352)); #57449=EDGE_LOOP('',(#305353,#305354,#305355,#305356)); #57450=EDGE_LOOP('',(#305357,#305358,#305359,#305360)); #57451=EDGE_LOOP('',(#305361,#305362,#305363,#305364)); #57452=EDGE_LOOP('',(#305365,#305366,#305367,#305368)); #57453=EDGE_LOOP('',(#305369,#305370,#305371,#305372)); #57454=EDGE_LOOP('',(#305373,#305374,#305375,#305376)); #57455=EDGE_LOOP('',(#305377,#305378,#305379,#305380)); #57456=EDGE_LOOP('',(#305381,#305382,#305383,#305384)); #57457=EDGE_LOOP('',(#305385,#305386,#305387,#305388)); #57458=EDGE_LOOP('',(#305389,#305390,#305391,#305392)); #57459=EDGE_LOOP('',(#305393,#305394,#305395,#305396)); #57460=EDGE_LOOP('',(#305397,#305398,#305399,#305400)); #57461=EDGE_LOOP('',(#305401,#305402,#305403,#305404)); #57462=EDGE_LOOP('',(#305405,#305406,#305407,#305408)); #57463=EDGE_LOOP('',(#305409,#305410,#305411,#305412)); #57464=EDGE_LOOP('',(#305413,#305414,#305415,#305416)); #57465=EDGE_LOOP('',(#305417,#305418,#305419,#305420)); #57466=EDGE_LOOP('',(#305421,#305422,#305423,#305424)); #57467=EDGE_LOOP('',(#305425,#305426,#305427,#305428)); #57468=EDGE_LOOP('',(#305429,#305430,#305431,#305432)); #57469=EDGE_LOOP('',(#305433,#305434,#305435,#305436)); #57470=EDGE_LOOP('',(#305437,#305438,#305439,#305440)); #57471=EDGE_LOOP('',(#305441,#305442,#305443,#305444)); #57472=EDGE_LOOP('',(#305445,#305446,#305447,#305448)); #57473=EDGE_LOOP('',(#305449,#305450,#305451,#305452)); #57474=EDGE_LOOP('',(#305453,#305454,#305455,#305456)); #57475=EDGE_LOOP('',(#305457,#305458,#305459,#305460)); #57476=EDGE_LOOP('',(#305461,#305462,#305463,#305464)); #57477=EDGE_LOOP('',(#305465,#305466,#305467,#305468)); #57478=EDGE_LOOP('',(#305469,#305470,#305471,#305472)); #57479=EDGE_LOOP('',(#305473,#305474,#305475,#305476)); #57480=EDGE_LOOP('',(#305477,#305478,#305479,#305480)); #57481=EDGE_LOOP('',(#305481,#305482,#305483,#305484)); #57482=EDGE_LOOP('',(#305485,#305486,#305487,#305488)); #57483=EDGE_LOOP('',(#305489,#305490,#305491,#305492)); #57484=EDGE_LOOP('',(#305493,#305494,#305495,#305496)); #57485=EDGE_LOOP('',(#305497,#305498,#305499,#305500)); #57486=EDGE_LOOP('',(#305501,#305502,#305503,#305504)); #57487=EDGE_LOOP('',(#305505,#305506,#305507,#305508)); #57488=EDGE_LOOP('',(#305509,#305510,#305511,#305512)); #57489=EDGE_LOOP('',(#305513,#305514,#305515,#305516)); #57490=EDGE_LOOP('',(#305517,#305518,#305519,#305520)); #57491=EDGE_LOOP('',(#305521,#305522,#305523,#305524)); #57492=EDGE_LOOP('',(#305525,#305526,#305527,#305528)); #57493=EDGE_LOOP('',(#305529,#305530,#305531,#305532)); #57494=EDGE_LOOP('',(#305533,#305534,#305535,#305536)); #57495=EDGE_LOOP('',(#305537,#305538,#305539,#305540)); #57496=EDGE_LOOP('',(#305541,#305542,#305543,#305544)); #57497=EDGE_LOOP('',(#305545,#305546,#305547,#305548)); #57498=EDGE_LOOP('',(#305549,#305550,#305551,#305552)); #57499=EDGE_LOOP('',(#305553,#305554,#305555,#305556)); #57500=EDGE_LOOP('',(#305557,#305558,#305559,#305560)); #57501=EDGE_LOOP('',(#305561,#305562,#305563,#305564)); #57502=EDGE_LOOP('',(#305565,#305566,#305567,#305568)); #57503=EDGE_LOOP('',(#305569,#305570,#305571,#305572)); #57504=EDGE_LOOP('',(#305573,#305574,#305575,#305576)); #57505=EDGE_LOOP('',(#305577,#305578,#305579,#305580)); #57506=EDGE_LOOP('',(#305581,#305582,#305583,#305584)); #57507=EDGE_LOOP('',(#305585,#305586,#305587,#305588)); #57508=EDGE_LOOP('',(#305589,#305590,#305591,#305592)); #57509=EDGE_LOOP('',(#305593,#305594,#305595,#305596)); #57510=EDGE_LOOP('',(#305597,#305598,#305599,#305600)); #57511=EDGE_LOOP('',(#305601,#305602,#305603,#305604)); #57512=EDGE_LOOP('',(#305605,#305606,#305607,#305608)); #57513=EDGE_LOOP('',(#305609,#305610,#305611,#305612)); #57514=EDGE_LOOP('',(#305613,#305614,#305615,#305616)); #57515=EDGE_LOOP('',(#305617,#305618,#305619,#305620)); #57516=EDGE_LOOP('',(#305621,#305622,#305623,#305624)); #57517=EDGE_LOOP('',(#305625,#305626,#305627,#305628)); #57518=EDGE_LOOP('',(#305629,#305630,#305631,#305632)); #57519=EDGE_LOOP('',(#305633,#305634,#305635,#305636)); #57520=EDGE_LOOP('',(#305637,#305638,#305639,#305640)); #57521=EDGE_LOOP('',(#305641,#305642,#305643,#305644)); #57522=EDGE_LOOP('',(#305645,#305646,#305647,#305648)); #57523=EDGE_LOOP('',(#305649,#305650,#305651,#305652)); #57524=EDGE_LOOP('',(#305653,#305654,#305655,#305656)); #57525=EDGE_LOOP('',(#305657,#305658,#305659,#305660)); #57526=EDGE_LOOP('',(#305661,#305662,#305663,#305664)); #57527=EDGE_LOOP('',(#305665,#305666,#305667,#305668)); #57528=EDGE_LOOP('',(#305669,#305670,#305671,#305672)); #57529=EDGE_LOOP('',(#305673,#305674,#305675,#305676)); #57530=EDGE_LOOP('',(#305677,#305678,#305679,#305680)); #57531=EDGE_LOOP('',(#305681,#305682,#305683,#305684)); #57532=EDGE_LOOP('',(#305685,#305686,#305687,#305688)); #57533=EDGE_LOOP('',(#305689,#305690,#305691,#305692)); #57534=EDGE_LOOP('',(#305693,#305694,#305695,#305696)); #57535=EDGE_LOOP('',(#305697,#305698,#305699,#305700)); #57536=EDGE_LOOP('',(#305701,#305702,#305703,#305704)); #57537=EDGE_LOOP('',(#305705,#305706,#305707,#305708)); #57538=EDGE_LOOP('',(#305709,#305710,#305711,#305712)); #57539=EDGE_LOOP('',(#305713)); #57540=EDGE_LOOP('',(#305714)); #57541=EDGE_LOOP('',(#305715)); #57542=EDGE_LOOP('',(#305716)); #57543=EDGE_LOOP('',(#305717)); #57544=EDGE_LOOP('',(#305718)); #57545=EDGE_LOOP('',(#305719)); #57546=EDGE_LOOP('',(#305720)); #57547=EDGE_LOOP('',(#305721)); #57548=EDGE_LOOP('',(#305722)); #57549=EDGE_LOOP('',(#305723)); #57550=EDGE_LOOP('',(#305724)); #57551=EDGE_LOOP('',(#305725)); #57552=EDGE_LOOP('',(#305726)); #57553=EDGE_LOOP('',(#305727)); #57554=EDGE_LOOP('',(#305728,#305729,#305730,#305731)); #57555=EDGE_LOOP('',(#305732)); #57556=EDGE_LOOP('',(#305733)); #57557=EDGE_LOOP('',(#305734)); #57558=EDGE_LOOP('',(#305735)); #57559=EDGE_LOOP('',(#305736)); #57560=EDGE_LOOP('',(#305737)); #57561=EDGE_LOOP('',(#305738)); #57562=EDGE_LOOP('',(#305739)); #57563=EDGE_LOOP('',(#305740)); #57564=EDGE_LOOP('',(#305741)); #57565=EDGE_LOOP('',(#305742)); #57566=EDGE_LOOP('',(#305743)); #57567=EDGE_LOOP('',(#305744)); #57568=EDGE_LOOP('',(#305745)); #57569=EDGE_LOOP('',(#305746)); #57570=EDGE_LOOP('',(#305747,#305748,#305749,#305750)); #57571=EDGE_LOOP('',(#305751,#305752,#305753,#305754)); #57572=EDGE_LOOP('',(#305755,#305756,#305757,#305758)); #57573=EDGE_LOOP('',(#305759,#305760,#305761,#305762)); #57574=EDGE_LOOP('',(#305763,#305764,#305765,#305766)); #57575=EDGE_LOOP('',(#305767,#305768,#305769,#305770)); #57576=EDGE_LOOP('',(#305771,#305772,#305773,#305774)); #57577=EDGE_LOOP('',(#305775,#305776,#305777,#305778)); #57578=EDGE_LOOP('',(#305779,#305780,#305781,#305782)); #57579=EDGE_LOOP('',(#305783,#305784,#305785,#305786)); #57580=EDGE_LOOP('',(#305787,#305788,#305789,#305790)); #57581=EDGE_LOOP('',(#305791,#305792,#305793,#305794)); #57582=EDGE_LOOP('',(#305795,#305796,#305797,#305798)); #57583=EDGE_LOOP('',(#305799,#305800,#305801,#305802)); #57584=EDGE_LOOP('',(#305803,#305804,#305805,#305806)); #57585=EDGE_LOOP('',(#305807,#305808,#305809,#305810)); #57586=EDGE_LOOP('',(#305811,#305812,#305813,#305814)); #57587=EDGE_LOOP('',(#305815,#305816,#305817,#305818)); #57588=EDGE_LOOP('',(#305819,#305820,#305821,#305822)); #57589=EDGE_LOOP('',(#305823,#305824,#305825,#305826)); #57590=EDGE_LOOP('',(#305827,#305828,#305829,#305830)); #57591=EDGE_LOOP('',(#305831,#305832,#305833,#305834)); #57592=EDGE_LOOP('',(#305835,#305836,#305837,#305838)); #57593=EDGE_LOOP('',(#305839,#305840,#305841,#305842)); #57594=EDGE_LOOP('',(#305843,#305844,#305845,#305846)); #57595=EDGE_LOOP('',(#305847,#305848,#305849,#305850)); #57596=EDGE_LOOP('',(#305851,#305852,#305853,#305854)); #57597=EDGE_LOOP('',(#305855,#305856,#305857,#305858)); #57598=EDGE_LOOP('',(#305859,#305860,#305861,#305862)); #57599=EDGE_LOOP('',(#305863,#305864,#305865,#305866)); #57600=EDGE_LOOP('',(#305867,#305868,#305869,#305870)); #57601=EDGE_LOOP('',(#305871,#305872,#305873,#305874)); #57602=EDGE_LOOP('',(#305875,#305876,#305877,#305878)); #57603=EDGE_LOOP('',(#305879,#305880,#305881,#305882)); #57604=EDGE_LOOP('',(#305883,#305884,#305885,#305886)); #57605=EDGE_LOOP('',(#305887,#305888,#305889,#305890)); #57606=EDGE_LOOP('',(#305891,#305892,#305893,#305894)); #57607=EDGE_LOOP('',(#305895,#305896,#305897,#305898)); #57608=EDGE_LOOP('',(#305899,#305900,#305901,#305902)); #57609=EDGE_LOOP('',(#305903,#305904,#305905,#305906)); #57610=EDGE_LOOP('',(#305907,#305908,#305909,#305910)); #57611=EDGE_LOOP('',(#305911,#305912,#305913,#305914)); #57612=EDGE_LOOP('',(#305915)); #57613=EDGE_LOOP('',(#305916,#305917,#305918,#305919)); #57614=EDGE_LOOP('',(#305920)); #57615=EDGE_LOOP('',(#305921,#305922,#305923,#305924)); #57616=EDGE_LOOP('',(#305925,#305926,#305927,#305928)); #57617=EDGE_LOOP('',(#305929,#305930,#305931,#305932)); #57618=EDGE_LOOP('',(#305933,#305934,#305935,#305936)); #57619=EDGE_LOOP('',(#305937,#305938,#305939,#305940)); #57620=EDGE_LOOP('',(#305941,#305942,#305943,#305944)); #57621=EDGE_LOOP('',(#305945,#305946,#305947,#305948)); #57622=EDGE_LOOP('',(#305949)); #57623=EDGE_LOOP('',(#305950)); #57624=EDGE_LOOP('',(#305951,#305952,#305953,#305954)); #57625=EDGE_LOOP('',(#305955)); #57626=EDGE_LOOP('',(#305956)); #57627=EDGE_LOOP('',(#305957,#305958,#305959,#305960)); #57628=EDGE_LOOP('',(#305961,#305962,#305963,#305964)); #57629=EDGE_LOOP('',(#305965,#305966,#305967,#305968)); #57630=EDGE_LOOP('',(#305969,#305970,#305971,#305972)); #57631=EDGE_LOOP('',(#305973,#305974,#305975,#305976)); #57632=EDGE_LOOP('',(#305977,#305978,#305979,#305980)); #57633=EDGE_LOOP('',(#305981,#305982,#305983,#305984)); #57634=EDGE_LOOP('',(#305985)); #57635=EDGE_LOOP('',(#305986)); #57636=EDGE_LOOP('',(#305987,#305988,#305989,#305990)); #57637=EDGE_LOOP('',(#305991)); #57638=EDGE_LOOP('',(#305992)); #57639=EDGE_LOOP('',(#305993,#305994,#305995,#305996)); #57640=EDGE_LOOP('',(#305997,#305998,#305999,#306000)); #57641=EDGE_LOOP('',(#306001,#306002,#306003,#306004)); #57642=EDGE_LOOP('',(#306005,#306006,#306007,#306008)); #57643=EDGE_LOOP('',(#306009,#306010,#306011,#306012)); #57644=EDGE_LOOP('',(#306013,#306014,#306015,#306016)); #57645=EDGE_LOOP('',(#306017)); #57646=EDGE_LOOP('',(#306018,#306019,#306020,#306021)); #57647=EDGE_LOOP('',(#306022)); #57648=EDGE_LOOP('',(#306023,#306024,#306025,#306026)); #57649=EDGE_LOOP('',(#306027,#306028,#306029,#306030)); #57650=EDGE_LOOP('',(#306031,#306032,#306033,#306034)); #57651=EDGE_LOOP('',(#306035,#306036,#306037,#306038)); #57652=EDGE_LOOP('',(#306039,#306040,#306041,#306042)); #57653=EDGE_LOOP('',(#306043,#306044,#306045,#306046)); #57654=EDGE_LOOP('',(#306047,#306048,#306049,#306050)); #57655=EDGE_LOOP('',(#306051,#306052,#306053,#306054)); #57656=EDGE_LOOP('',(#306055,#306056,#306057,#306058)); #57657=EDGE_LOOP('',(#306059,#306060,#306061,#306062)); #57658=EDGE_LOOP('',(#306063,#306064,#306065,#306066)); #57659=EDGE_LOOP('',(#306067,#306068,#306069,#306070)); #57660=EDGE_LOOP('',(#306071,#306072,#306073,#306074)); #57661=EDGE_LOOP('',(#306075,#306076,#306077,#306078)); #57662=EDGE_LOOP('',(#306079,#306080,#306081,#306082)); #57663=EDGE_LOOP('',(#306083,#306084,#306085,#306086)); #57664=EDGE_LOOP('',(#306087,#306088,#306089,#306090)); #57665=EDGE_LOOP('',(#306091,#306092,#306093,#306094)); #57666=EDGE_LOOP('',(#306095,#306096,#306097,#306098)); #57667=EDGE_LOOP('',(#306099,#306100,#306101,#306102)); #57668=EDGE_LOOP('',(#306103,#306104,#306105,#306106)); #57669=EDGE_LOOP('',(#306107,#306108,#306109,#306110)); #57670=EDGE_LOOP('',(#306111,#306112,#306113,#306114)); #57671=EDGE_LOOP('',(#306115,#306116,#306117,#306118)); #57672=EDGE_LOOP('',(#306119,#306120,#306121,#306122)); #57673=EDGE_LOOP('',(#306123,#306124,#306125,#306126)); #57674=EDGE_LOOP('',(#306127,#306128,#306129,#306130)); #57675=EDGE_LOOP('',(#306131,#306132,#306133,#306134)); #57676=EDGE_LOOP('',(#306135,#306136,#306137,#306138)); #57677=EDGE_LOOP('',(#306139,#306140,#306141,#306142)); #57678=EDGE_LOOP('',(#306143,#306144,#306145,#306146)); #57679=EDGE_LOOP('',(#306147,#306148,#306149,#306150)); #57680=EDGE_LOOP('',(#306151,#306152,#306153,#306154)); #57681=EDGE_LOOP('',(#306155,#306156,#306157,#306158)); #57682=EDGE_LOOP('',(#306159,#306160,#306161,#306162)); #57683=EDGE_LOOP('',(#306163,#306164,#306165,#306166)); #57684=EDGE_LOOP('',(#306167,#306168,#306169,#306170)); #57685=EDGE_LOOP('',(#306171,#306172,#306173,#306174)); #57686=EDGE_LOOP('',(#306175,#306176,#306177,#306178)); #57687=EDGE_LOOP('',(#306179,#306180,#306181,#306182)); #57688=EDGE_LOOP('',(#306183,#306184,#306185,#306186)); #57689=EDGE_LOOP('',(#306187,#306188,#306189,#306190)); #57690=EDGE_LOOP('',(#306191,#306192,#306193,#306194)); #57691=EDGE_LOOP('',(#306195,#306196,#306197,#306198)); #57692=EDGE_LOOP('',(#306199,#306200,#306201,#306202)); #57693=EDGE_LOOP('',(#306203,#306204,#306205,#306206)); #57694=EDGE_LOOP('',(#306207,#306208,#306209,#306210)); #57695=EDGE_LOOP('',(#306211,#306212,#306213,#306214)); #57696=EDGE_LOOP('',(#306215,#306216,#306217,#306218)); #57697=EDGE_LOOP('',(#306219,#306220,#306221,#306222)); #57698=EDGE_LOOP('',(#306223,#306224,#306225,#306226)); #57699=EDGE_LOOP('',(#306227,#306228,#306229,#306230)); #57700=EDGE_LOOP('',(#306231,#306232,#306233,#306234)); #57701=EDGE_LOOP('',(#306235,#306236,#306237,#306238)); #57702=EDGE_LOOP('',(#306239,#306240,#306241,#306242)); #57703=EDGE_LOOP('',(#306243,#306244,#306245,#306246)); #57704=EDGE_LOOP('',(#306247,#306248,#306249,#306250)); #57705=EDGE_LOOP('',(#306251,#306252,#306253,#306254)); #57706=EDGE_LOOP('',(#306255,#306256,#306257,#306258)); #57707=EDGE_LOOP('',(#306259,#306260,#306261,#306262)); #57708=EDGE_LOOP('',(#306263,#306264,#306265,#306266)); #57709=EDGE_LOOP('',(#306267,#306268,#306269,#306270)); #57710=EDGE_LOOP('',(#306271,#306272,#306273,#306274)); #57711=EDGE_LOOP('',(#306275,#306276,#306277,#306278)); #57712=EDGE_LOOP('',(#306279,#306280,#306281,#306282)); #57713=EDGE_LOOP('',(#306283,#306284,#306285,#306286)); #57714=EDGE_LOOP('',(#306287,#306288,#306289,#306290)); #57715=EDGE_LOOP('',(#306291,#306292,#306293,#306294)); #57716=EDGE_LOOP('',(#306295,#306296,#306297,#306298)); #57717=EDGE_LOOP('',(#306299,#306300,#306301,#306302)); #57718=EDGE_LOOP('',(#306303,#306304,#306305,#306306)); #57719=EDGE_LOOP('',(#306307,#306308,#306309,#306310)); #57720=EDGE_LOOP('',(#306311,#306312,#306313,#306314)); #57721=EDGE_LOOP('',(#306315,#306316,#306317,#306318)); #57722=EDGE_LOOP('',(#306319,#306320,#306321,#306322)); #57723=EDGE_LOOP('',(#306323,#306324,#306325,#306326)); #57724=EDGE_LOOP('',(#306327,#306328,#306329,#306330)); #57725=EDGE_LOOP('',(#306331,#306332,#306333,#306334)); #57726=EDGE_LOOP('',(#306335,#306336,#306337,#306338)); #57727=EDGE_LOOP('',(#306339,#306340,#306341,#306342)); #57728=EDGE_LOOP('',(#306343,#306344,#306345,#306346)); #57729=EDGE_LOOP('',(#306347,#306348,#306349,#306350)); #57730=EDGE_LOOP('',(#306351,#306352,#306353,#306354)); #57731=EDGE_LOOP('',(#306355,#306356,#306357,#306358)); #57732=EDGE_LOOP('',(#306359,#306360,#306361,#306362)); #57733=EDGE_LOOP('',(#306363,#306364,#306365,#306366)); #57734=EDGE_LOOP('',(#306367,#306368,#306369,#306370)); #57735=EDGE_LOOP('',(#306371,#306372,#306373,#306374)); #57736=EDGE_LOOP('',(#306375,#306376,#306377,#306378)); #57737=EDGE_LOOP('',(#306379,#306380,#306381,#306382)); #57738=EDGE_LOOP('',(#306383,#306384,#306385,#306386)); #57739=EDGE_LOOP('',(#306387,#306388,#306389,#306390)); #57740=EDGE_LOOP('',(#306391,#306392,#306393,#306394)); #57741=EDGE_LOOP('',(#306395,#306396,#306397,#306398)); #57742=EDGE_LOOP('',(#306399,#306400,#306401,#306402)); #57743=EDGE_LOOP('',(#306403,#306404,#306405,#306406)); #57744=EDGE_LOOP('',(#306407,#306408,#306409,#306410)); #57745=EDGE_LOOP('',(#306411,#306412,#306413,#306414)); #57746=EDGE_LOOP('',(#306415,#306416,#306417,#306418)); #57747=EDGE_LOOP('',(#306419,#306420,#306421,#306422)); #57748=EDGE_LOOP('',(#306423,#306424,#306425,#306426)); #57749=EDGE_LOOP('',(#306427,#306428,#306429,#306430)); #57750=EDGE_LOOP('',(#306431,#306432,#306433,#306434)); #57751=EDGE_LOOP('',(#306435,#306436,#306437,#306438)); #57752=EDGE_LOOP('',(#306439,#306440,#306441,#306442)); #57753=EDGE_LOOP('',(#306443,#306444,#306445,#306446)); #57754=EDGE_LOOP('',(#306447,#306448,#306449,#306450)); #57755=EDGE_LOOP('',(#306451,#306452,#306453,#306454)); #57756=EDGE_LOOP('',(#306455,#306456,#306457,#306458)); #57757=EDGE_LOOP('',(#306459,#306460,#306461,#306462)); #57758=EDGE_LOOP('',(#306463,#306464,#306465,#306466)); #57759=EDGE_LOOP('',(#306467,#306468,#306469,#306470)); #57760=EDGE_LOOP('',(#306471,#306472,#306473,#306474)); #57761=EDGE_LOOP('',(#306475,#306476,#306477,#306478)); #57762=EDGE_LOOP('',(#306479,#306480,#306481,#306482)); #57763=EDGE_LOOP('',(#306483,#306484,#306485,#306486)); #57764=EDGE_LOOP('',(#306487,#306488,#306489,#306490)); #57765=EDGE_LOOP('',(#306491,#306492,#306493,#306494)); #57766=EDGE_LOOP('',(#306495,#306496,#306497,#306498)); #57767=EDGE_LOOP('',(#306499,#306500,#306501,#306502)); #57768=EDGE_LOOP('',(#306503,#306504,#306505,#306506)); #57769=EDGE_LOOP('',(#306507,#306508,#306509,#306510)); #57770=EDGE_LOOP('',(#306511,#306512,#306513,#306514)); #57771=EDGE_LOOP('',(#306515,#306516,#306517,#306518)); #57772=EDGE_LOOP('',(#306519,#306520,#306521,#306522)); #57773=EDGE_LOOP('',(#306523,#306524,#306525,#306526)); #57774=EDGE_LOOP('',(#306527,#306528,#306529,#306530)); #57775=EDGE_LOOP('',(#306531,#306532,#306533,#306534)); #57776=EDGE_LOOP('',(#306535,#306536,#306537,#306538)); #57777=EDGE_LOOP('',(#306539,#306540,#306541,#306542)); #57778=EDGE_LOOP('',(#306543,#306544,#306545,#306546)); #57779=EDGE_LOOP('',(#306547,#306548,#306549,#306550)); #57780=EDGE_LOOP('',(#306551,#306552,#306553,#306554)); #57781=EDGE_LOOP('',(#306555,#306556,#306557,#306558)); #57782=EDGE_LOOP('',(#306559,#306560,#306561,#306562)); #57783=EDGE_LOOP('',(#306563,#306564,#306565,#306566)); #57784=EDGE_LOOP('',(#306567,#306568,#306569,#306570)); #57785=EDGE_LOOP('',(#306571,#306572,#306573,#306574)); #57786=EDGE_LOOP('',(#306575,#306576,#306577,#306578)); #57787=EDGE_LOOP('',(#306579,#306580,#306581,#306582)); #57788=EDGE_LOOP('',(#306583,#306584,#306585,#306586)); #57789=EDGE_LOOP('',(#306587,#306588,#306589,#306590)); #57790=EDGE_LOOP('',(#306591,#306592,#306593,#306594)); #57791=EDGE_LOOP('',(#306595,#306596,#306597,#306598)); #57792=EDGE_LOOP('',(#306599,#306600,#306601,#306602)); #57793=EDGE_LOOP('',(#306603,#306604,#306605,#306606)); #57794=EDGE_LOOP('',(#306607,#306608,#306609,#306610)); #57795=EDGE_LOOP('',(#306611,#306612,#306613,#306614)); #57796=EDGE_LOOP('',(#306615,#306616,#306617,#306618)); #57797=EDGE_LOOP('',(#306619,#306620,#306621,#306622)); #57798=EDGE_LOOP('',(#306623,#306624,#306625,#306626)); #57799=EDGE_LOOP('',(#306627,#306628,#306629,#306630)); #57800=EDGE_LOOP('',(#306631,#306632,#306633,#306634)); #57801=EDGE_LOOP('',(#306635,#306636,#306637,#306638)); #57802=EDGE_LOOP('',(#306639,#306640,#306641,#306642)); #57803=EDGE_LOOP('',(#306643,#306644,#306645,#306646)); #57804=EDGE_LOOP('',(#306647,#306648,#306649,#306650)); #57805=EDGE_LOOP('',(#306651,#306652,#306653,#306654)); #57806=EDGE_LOOP('',(#306655,#306656,#306657,#306658)); #57807=EDGE_LOOP('',(#306659,#306660,#306661,#306662)); #57808=EDGE_LOOP('',(#306663,#306664,#306665,#306666)); #57809=EDGE_LOOP('',(#306667,#306668,#306669,#306670)); #57810=EDGE_LOOP('',(#306671,#306672,#306673,#306674)); #57811=EDGE_LOOP('',(#306675,#306676,#306677,#306678)); #57812=EDGE_LOOP('',(#306679,#306680,#306681,#306682)); #57813=EDGE_LOOP('',(#306683,#306684,#306685,#306686)); #57814=EDGE_LOOP('',(#306687,#306688,#306689,#306690)); #57815=EDGE_LOOP('',(#306691,#306692,#306693,#306694)); #57816=EDGE_LOOP('',(#306695,#306696,#306697,#306698)); #57817=EDGE_LOOP('',(#306699,#306700,#306701,#306702)); #57818=EDGE_LOOP('',(#306703,#306704,#306705,#306706)); #57819=EDGE_LOOP('',(#306707,#306708,#306709,#306710)); #57820=EDGE_LOOP('',(#306711,#306712,#306713,#306714)); #57821=EDGE_LOOP('',(#306715,#306716,#306717,#306718)); #57822=EDGE_LOOP('',(#306719,#306720,#306721,#306722)); #57823=EDGE_LOOP('',(#306723,#306724,#306725,#306726)); #57824=EDGE_LOOP('',(#306727,#306728,#306729,#306730)); #57825=EDGE_LOOP('',(#306731,#306732,#306733,#306734)); #57826=EDGE_LOOP('',(#306735,#306736,#306737,#306738)); #57827=EDGE_LOOP('',(#306739,#306740,#306741,#306742)); #57828=EDGE_LOOP('',(#306743,#306744,#306745,#306746)); #57829=EDGE_LOOP('',(#306747,#306748,#306749,#306750)); #57830=EDGE_LOOP('',(#306751,#306752,#306753,#306754)); #57831=EDGE_LOOP('',(#306755,#306756,#306757,#306758)); #57832=EDGE_LOOP('',(#306759,#306760,#306761,#306762)); #57833=EDGE_LOOP('',(#306763,#306764,#306765,#306766)); #57834=EDGE_LOOP('',(#306767,#306768,#306769,#306770)); #57835=EDGE_LOOP('',(#306771,#306772,#306773,#306774)); #57836=EDGE_LOOP('',(#306775,#306776,#306777,#306778)); #57837=EDGE_LOOP('',(#306779,#306780,#306781,#306782)); #57838=EDGE_LOOP('',(#306783,#306784,#306785,#306786)); #57839=EDGE_LOOP('',(#306787,#306788,#306789,#306790)); #57840=EDGE_LOOP('',(#306791,#306792,#306793,#306794)); #57841=EDGE_LOOP('',(#306795,#306796,#306797,#306798)); #57842=EDGE_LOOP('',(#306799,#306800,#306801,#306802)); #57843=EDGE_LOOP('',(#306803,#306804,#306805,#306806)); #57844=EDGE_LOOP('',(#306807,#306808,#306809,#306810)); #57845=EDGE_LOOP('',(#306811,#306812,#306813,#306814)); #57846=EDGE_LOOP('',(#306815,#306816,#306817,#306818)); #57847=EDGE_LOOP('',(#306819,#306820,#306821,#306822)); #57848=EDGE_LOOP('',(#306823,#306824,#306825,#306826)); #57849=EDGE_LOOP('',(#306827,#306828,#306829,#306830)); #57850=EDGE_LOOP('',(#306831,#306832,#306833,#306834)); #57851=EDGE_LOOP('',(#306835,#306836,#306837,#306838)); #57852=EDGE_LOOP('',(#306839,#306840,#306841,#306842)); #57853=EDGE_LOOP('',(#306843,#306844,#306845,#306846)); #57854=EDGE_LOOP('',(#306847,#306848,#306849,#306850)); #57855=EDGE_LOOP('',(#306851,#306852,#306853,#306854)); #57856=EDGE_LOOP('',(#306855,#306856,#306857,#306858)); #57857=EDGE_LOOP('',(#306859,#306860,#306861,#306862)); #57858=EDGE_LOOP('',(#306863,#306864,#306865,#306866)); #57859=EDGE_LOOP('',(#306867,#306868,#306869,#306870)); #57860=EDGE_LOOP('',(#306871,#306872,#306873,#306874)); #57861=EDGE_LOOP('',(#306875,#306876,#306877,#306878)); #57862=EDGE_LOOP('',(#306879,#306880,#306881,#306882)); #57863=EDGE_LOOP('',(#306883,#306884,#306885,#306886)); #57864=EDGE_LOOP('',(#306887,#306888,#306889,#306890)); #57865=EDGE_LOOP('',(#306891,#306892,#306893,#306894)); #57866=EDGE_LOOP('',(#306895,#306896,#306897,#306898)); #57867=EDGE_LOOP('',(#306899,#306900,#306901,#306902)); #57868=EDGE_LOOP('',(#306903,#306904,#306905,#306906)); #57869=EDGE_LOOP('',(#306907,#306908,#306909,#306910)); #57870=EDGE_LOOP('',(#306911,#306912,#306913,#306914)); #57871=EDGE_LOOP('',(#306915,#306916,#306917,#306918)); #57872=EDGE_LOOP('',(#306919,#306920,#306921,#306922)); #57873=EDGE_LOOP('',(#306923,#306924,#306925,#306926)); #57874=EDGE_LOOP('',(#306927,#306928,#306929,#306930)); #57875=EDGE_LOOP('',(#306931,#306932,#306933,#306934)); #57876=EDGE_LOOP('',(#306935,#306936,#306937,#306938)); #57877=EDGE_LOOP('',(#306939,#306940,#306941,#306942)); #57878=EDGE_LOOP('',(#306943,#306944,#306945,#306946)); #57879=EDGE_LOOP('',(#306947,#306948,#306949,#306950)); #57880=EDGE_LOOP('',(#306951,#306952,#306953,#306954)); #57881=EDGE_LOOP('',(#306955,#306956,#306957,#306958)); #57882=EDGE_LOOP('',(#306959,#306960,#306961,#306962)); #57883=EDGE_LOOP('',(#306963,#306964,#306965,#306966)); #57884=EDGE_LOOP('',(#306967,#306968,#306969,#306970)); #57885=EDGE_LOOP('',(#306971,#306972,#306973,#306974)); #57886=EDGE_LOOP('',(#306975,#306976,#306977,#306978)); #57887=EDGE_LOOP('',(#306979,#306980,#306981,#306982)); #57888=EDGE_LOOP('',(#306983,#306984,#306985,#306986)); #57889=EDGE_LOOP('',(#306987,#306988,#306989,#306990)); #57890=EDGE_LOOP('',(#306991,#306992,#306993,#306994)); #57891=EDGE_LOOP('',(#306995,#306996,#306997,#306998)); #57892=EDGE_LOOP('',(#306999,#307000,#307001,#307002)); #57893=EDGE_LOOP('',(#307003,#307004,#307005,#307006)); #57894=EDGE_LOOP('',(#307007,#307008,#307009,#307010)); #57895=EDGE_LOOP('',(#307011,#307012,#307013,#307014)); #57896=EDGE_LOOP('',(#307015,#307016,#307017,#307018)); #57897=EDGE_LOOP('',(#307019,#307020,#307021,#307022)); #57898=EDGE_LOOP('',(#307023,#307024,#307025,#307026)); #57899=EDGE_LOOP('',(#307027,#307028,#307029,#307030)); #57900=EDGE_LOOP('',(#307031,#307032,#307033,#307034)); #57901=EDGE_LOOP('',(#307035,#307036,#307037,#307038)); #57902=EDGE_LOOP('',(#307039,#307040,#307041,#307042)); #57903=EDGE_LOOP('',(#307043,#307044,#307045,#307046)); #57904=EDGE_LOOP('',(#307047,#307048,#307049,#307050)); #57905=EDGE_LOOP('',(#307051,#307052,#307053,#307054)); #57906=EDGE_LOOP('',(#307055,#307056,#307057,#307058)); #57907=EDGE_LOOP('',(#307059,#307060,#307061,#307062)); #57908=EDGE_LOOP('',(#307063,#307064,#307065,#307066)); #57909=EDGE_LOOP('',(#307067,#307068,#307069,#307070)); #57910=EDGE_LOOP('',(#307071,#307072,#307073,#307074)); #57911=EDGE_LOOP('',(#307075,#307076,#307077,#307078)); #57912=EDGE_LOOP('',(#307079,#307080,#307081,#307082)); #57913=EDGE_LOOP('',(#307083,#307084,#307085,#307086)); #57914=EDGE_LOOP('',(#307087,#307088,#307089,#307090)); #57915=EDGE_LOOP('',(#307091,#307092,#307093,#307094)); #57916=EDGE_LOOP('',(#307095,#307096,#307097,#307098)); #57917=EDGE_LOOP('',(#307099,#307100,#307101,#307102)); #57918=EDGE_LOOP('',(#307103,#307104,#307105,#307106)); #57919=EDGE_LOOP('',(#307107,#307108,#307109,#307110)); #57920=EDGE_LOOP('',(#307111,#307112,#307113,#307114)); #57921=EDGE_LOOP('',(#307115,#307116,#307117,#307118)); #57922=EDGE_LOOP('',(#307119,#307120,#307121,#307122)); #57923=EDGE_LOOP('',(#307123,#307124,#307125,#307126)); #57924=EDGE_LOOP('',(#307127,#307128,#307129,#307130)); #57925=EDGE_LOOP('',(#307131,#307132,#307133,#307134)); #57926=EDGE_LOOP('',(#307135,#307136,#307137,#307138)); #57927=EDGE_LOOP('',(#307139,#307140,#307141,#307142)); #57928=EDGE_LOOP('',(#307143,#307144,#307145,#307146)); #57929=EDGE_LOOP('',(#307147,#307148,#307149,#307150)); #57930=EDGE_LOOP('',(#307151,#307152,#307153,#307154)); #57931=EDGE_LOOP('',(#307155,#307156,#307157,#307158)); #57932=EDGE_LOOP('',(#307159,#307160,#307161,#307162)); #57933=EDGE_LOOP('',(#307163,#307164,#307165,#307166)); #57934=EDGE_LOOP('',(#307167,#307168,#307169,#307170)); #57935=EDGE_LOOP('',(#307171,#307172,#307173,#307174)); #57936=EDGE_LOOP('',(#307175,#307176,#307177,#307178)); #57937=EDGE_LOOP('',(#307179,#307180,#307181,#307182)); #57938=EDGE_LOOP('',(#307183,#307184,#307185,#307186)); #57939=EDGE_LOOP('',(#307187,#307188,#307189,#307190)); #57940=EDGE_LOOP('',(#307191,#307192,#307193,#307194)); #57941=EDGE_LOOP('',(#307195,#307196,#307197,#307198)); #57942=EDGE_LOOP('',(#307199,#307200,#307201,#307202)); #57943=EDGE_LOOP('',(#307203,#307204,#307205,#307206)); #57944=EDGE_LOOP('',(#307207,#307208,#307209,#307210)); #57945=EDGE_LOOP('',(#307211,#307212,#307213,#307214)); #57946=EDGE_LOOP('',(#307215,#307216,#307217,#307218)); #57947=EDGE_LOOP('',(#307219,#307220,#307221,#307222)); #57948=EDGE_LOOP('',(#307223,#307224,#307225,#307226)); #57949=EDGE_LOOP('',(#307227,#307228,#307229,#307230)); #57950=EDGE_LOOP('',(#307231,#307232,#307233,#307234)); #57951=EDGE_LOOP('',(#307235,#307236,#307237,#307238)); #57952=EDGE_LOOP('',(#307239,#307240,#307241,#307242)); #57953=EDGE_LOOP('',(#307243,#307244,#307245,#307246)); #57954=EDGE_LOOP('',(#307247,#307248,#307249,#307250)); #57955=EDGE_LOOP('',(#307251,#307252,#307253,#307254)); #57956=EDGE_LOOP('',(#307255,#307256,#307257,#307258)); #57957=EDGE_LOOP('',(#307259,#307260,#307261,#307262)); #57958=EDGE_LOOP('',(#307263,#307264,#307265,#307266)); #57959=EDGE_LOOP('',(#307267,#307268,#307269,#307270)); #57960=EDGE_LOOP('',(#307271,#307272,#307273,#307274)); #57961=EDGE_LOOP('',(#307275,#307276,#307277,#307278)); #57962=EDGE_LOOP('',(#307279,#307280,#307281,#307282)); #57963=EDGE_LOOP('',(#307283,#307284,#307285,#307286)); #57964=EDGE_LOOP('',(#307287,#307288,#307289,#307290)); #57965=EDGE_LOOP('',(#307291,#307292,#307293,#307294)); #57966=EDGE_LOOP('',(#307295,#307296,#307297,#307298)); #57967=EDGE_LOOP('',(#307299,#307300,#307301,#307302)); #57968=EDGE_LOOP('',(#307303,#307304,#307305,#307306)); #57969=EDGE_LOOP('',(#307307,#307308,#307309,#307310)); #57970=EDGE_LOOP('',(#307311,#307312,#307313,#307314)); #57971=EDGE_LOOP('',(#307315,#307316,#307317,#307318)); #57972=EDGE_LOOP('',(#307319,#307320,#307321,#307322)); #57973=EDGE_LOOP('',(#307323,#307324,#307325,#307326)); #57974=EDGE_LOOP('',(#307327,#307328,#307329,#307330)); #57975=EDGE_LOOP('',(#307331,#307332,#307333,#307334)); #57976=EDGE_LOOP('',(#307335,#307336,#307337,#307338)); #57977=EDGE_LOOP('',(#307339,#307340,#307341,#307342)); #57978=EDGE_LOOP('',(#307343,#307344,#307345,#307346)); #57979=EDGE_LOOP('',(#307347,#307348,#307349,#307350)); #57980=EDGE_LOOP('',(#307351,#307352,#307353,#307354)); #57981=EDGE_LOOP('',(#307355,#307356,#307357,#307358)); #57982=EDGE_LOOP('',(#307359,#307360,#307361,#307362)); #57983=EDGE_LOOP('',(#307363,#307364,#307365,#307366)); #57984=EDGE_LOOP('',(#307367)); #57985=EDGE_LOOP('',(#307368)); #57986=EDGE_LOOP('',(#307369)); #57987=EDGE_LOOP('',(#307370)); #57988=EDGE_LOOP('',(#307371)); #57989=EDGE_LOOP('',(#307372)); #57990=EDGE_LOOP('',(#307373)); #57991=EDGE_LOOP('',(#307374)); #57992=EDGE_LOOP('',(#307375)); #57993=EDGE_LOOP('',(#307376)); #57994=EDGE_LOOP('',(#307377)); #57995=EDGE_LOOP('',(#307378)); #57996=EDGE_LOOP('',(#307379)); #57997=EDGE_LOOP('',(#307380)); #57998=EDGE_LOOP('',(#307381)); #57999=EDGE_LOOP('',(#307382)); #58000=EDGE_LOOP('',(#307383)); #58001=EDGE_LOOP('',(#307384)); #58002=EDGE_LOOP('',(#307385)); #58003=EDGE_LOOP('',(#307386)); #58004=EDGE_LOOP('',(#307387)); #58005=EDGE_LOOP('',(#307388)); #58006=EDGE_LOOP('',(#307389)); #58007=EDGE_LOOP('',(#307390)); #58008=EDGE_LOOP('',(#307391)); #58009=EDGE_LOOP('',(#307392)); #58010=EDGE_LOOP('',(#307393)); #58011=EDGE_LOOP('',(#307394)); #58012=EDGE_LOOP('',(#307395)); #58013=EDGE_LOOP('',(#307396)); #58014=EDGE_LOOP('',(#307397)); #58015=EDGE_LOOP('',(#307398)); #58016=EDGE_LOOP('',(#307399)); #58017=EDGE_LOOP('',(#307400)); #58018=EDGE_LOOP('',(#307401)); #58019=EDGE_LOOP('',(#307402)); #58020=EDGE_LOOP('',(#307403)); #58021=EDGE_LOOP('',(#307404)); #58022=EDGE_LOOP('',(#307405)); #58023=EDGE_LOOP('',(#307406)); #58024=EDGE_LOOP('',(#307407)); #58025=EDGE_LOOP('',(#307408)); #58026=EDGE_LOOP('',(#307409)); #58027=EDGE_LOOP('',(#307410)); #58028=EDGE_LOOP('',(#307411)); #58029=EDGE_LOOP('',(#307412)); #58030=EDGE_LOOP('',(#307413)); #58031=EDGE_LOOP('',(#307414)); #58032=EDGE_LOOP('',(#307415)); #58033=EDGE_LOOP('',(#307416)); #58034=EDGE_LOOP('',(#307417)); #58035=EDGE_LOOP('',(#307418)); #58036=EDGE_LOOP('',(#307419)); #58037=EDGE_LOOP('',(#307420)); #58038=EDGE_LOOP('',(#307421)); #58039=EDGE_LOOP('',(#307422)); #58040=EDGE_LOOP('',(#307423)); #58041=EDGE_LOOP('',(#307424)); #58042=EDGE_LOOP('',(#307425)); #58043=EDGE_LOOP('',(#307426)); #58044=EDGE_LOOP('',(#307427)); #58045=EDGE_LOOP('',(#307428)); #58046=EDGE_LOOP('',(#307429)); #58047=EDGE_LOOP('',(#307430)); #58048=EDGE_LOOP('',(#307431)); #58049=EDGE_LOOP('',(#307432)); #58050=EDGE_LOOP('',(#307433)); #58051=EDGE_LOOP('',(#307434)); #58052=EDGE_LOOP('',(#307435)); #58053=EDGE_LOOP('',(#307436)); #58054=EDGE_LOOP('',(#307437)); #58055=EDGE_LOOP('',(#307438)); #58056=EDGE_LOOP('',(#307439)); #58057=EDGE_LOOP('',(#307440)); #58058=EDGE_LOOP('',(#307441)); #58059=EDGE_LOOP('',(#307442)); #58060=EDGE_LOOP('',(#307443)); #58061=EDGE_LOOP('',(#307444)); #58062=EDGE_LOOP('',(#307445)); #58063=EDGE_LOOP('',(#307446)); #58064=EDGE_LOOP('',(#307447)); #58065=EDGE_LOOP('',(#307448)); #58066=EDGE_LOOP('',(#307449)); #58067=EDGE_LOOP('',(#307450)); #58068=EDGE_LOOP('',(#307451)); #58069=EDGE_LOOP('',(#307452)); #58070=EDGE_LOOP('',(#307453)); #58071=EDGE_LOOP('',(#307454)); #58072=EDGE_LOOP('',(#307455)); #58073=EDGE_LOOP('',(#307456)); #58074=EDGE_LOOP('',(#307457)); #58075=EDGE_LOOP('',(#307458)); #58076=EDGE_LOOP('',(#307459)); #58077=EDGE_LOOP('',(#307460)); #58078=EDGE_LOOP('',(#307461)); #58079=EDGE_LOOP('',(#307462)); #58080=EDGE_LOOP('',(#307463)); #58081=EDGE_LOOP('',(#307464)); #58082=EDGE_LOOP('',(#307465)); #58083=EDGE_LOOP('',(#307466)); #58084=EDGE_LOOP('',(#307467)); #58085=EDGE_LOOP('',(#307468)); #58086=EDGE_LOOP('',(#307469)); #58087=EDGE_LOOP('',(#307470)); #58088=EDGE_LOOP('',(#307471)); #58089=EDGE_LOOP('',(#307472)); #58090=EDGE_LOOP('',(#307473)); #58091=EDGE_LOOP('',(#307474)); #58092=EDGE_LOOP('',(#307475)); #58093=EDGE_LOOP('',(#307476)); #58094=EDGE_LOOP('',(#307477)); #58095=EDGE_LOOP('',(#307478)); #58096=EDGE_LOOP('',(#307479)); #58097=EDGE_LOOP('',(#307480)); #58098=EDGE_LOOP('',(#307481)); #58099=EDGE_LOOP('',(#307482)); #58100=EDGE_LOOP('',(#307483)); #58101=EDGE_LOOP('',(#307484)); #58102=EDGE_LOOP('',(#307485)); #58103=EDGE_LOOP('',(#307486)); #58104=EDGE_LOOP('',(#307487)); #58105=EDGE_LOOP('',(#307488)); #58106=EDGE_LOOP('',(#307489)); #58107=EDGE_LOOP('',(#307490)); #58108=EDGE_LOOP('',(#307491)); #58109=EDGE_LOOP('',(#307492)); #58110=EDGE_LOOP('',(#307493)); #58111=EDGE_LOOP('',(#307494)); #58112=EDGE_LOOP('',(#307495)); #58113=EDGE_LOOP('',(#307496)); #58114=EDGE_LOOP('',(#307497)); #58115=EDGE_LOOP('',(#307498)); #58116=EDGE_LOOP('',(#307499)); #58117=EDGE_LOOP('',(#307500)); #58118=EDGE_LOOP('',(#307501)); #58119=EDGE_LOOP('',(#307502)); #58120=EDGE_LOOP('',(#307503)); #58121=EDGE_LOOP('',(#307504)); #58122=EDGE_LOOP('',(#307505)); #58123=EDGE_LOOP('',(#307506)); #58124=EDGE_LOOP('',(#307507)); #58125=EDGE_LOOP('',(#307508)); #58126=EDGE_LOOP('',(#307509)); #58127=EDGE_LOOP('',(#307510)); #58128=EDGE_LOOP('',(#307511)); #58129=EDGE_LOOP('',(#307512)); #58130=EDGE_LOOP('',(#307513)); #58131=EDGE_LOOP('',(#307514)); #58132=EDGE_LOOP('',(#307515)); #58133=EDGE_LOOP('',(#307516)); #58134=EDGE_LOOP('',(#307517)); #58135=EDGE_LOOP('',(#307518)); #58136=EDGE_LOOP('',(#307519)); #58137=EDGE_LOOP('',(#307520)); #58138=EDGE_LOOP('',(#307521)); #58139=EDGE_LOOP('',(#307522)); #58140=EDGE_LOOP('',(#307523)); #58141=EDGE_LOOP('',(#307524)); #58142=EDGE_LOOP('',(#307525)); #58143=EDGE_LOOP('',(#307526)); #58144=EDGE_LOOP('',(#307527)); #58145=EDGE_LOOP('',(#307528)); #58146=EDGE_LOOP('',(#307529)); #58147=EDGE_LOOP('',(#307530)); #58148=EDGE_LOOP('',(#307531,#307532,#307533,#307534)); #58149=EDGE_LOOP('',(#307535)); #58150=EDGE_LOOP('',(#307536,#307537,#307538,#307539)); #58151=EDGE_LOOP('',(#307540)); #58152=EDGE_LOOP('',(#307541)); #58153=EDGE_LOOP('',(#307542)); #58154=EDGE_LOOP('',(#307543,#307544,#307545,#307546)); #58155=EDGE_LOOP('',(#307547)); #58156=EDGE_LOOP('',(#307548)); #58157=EDGE_LOOP('',(#307549)); #58158=EDGE_LOOP('',(#307550)); #58159=EDGE_LOOP('',(#307551)); #58160=EDGE_LOOP('',(#307552)); #58161=EDGE_LOOP('',(#307553)); #58162=EDGE_LOOP('',(#307554)); #58163=EDGE_LOOP('',(#307555)); #58164=EDGE_LOOP('',(#307556)); #58165=EDGE_LOOP('',(#307557,#307558,#307559,#307560)); #58166=EDGE_LOOP('',(#307561)); #58167=EDGE_LOOP('',(#307562,#307563,#307564,#307565)); #58168=EDGE_LOOP('',(#307566)); #58169=EDGE_LOOP('',(#307567,#307568,#307569,#307570)); #58170=EDGE_LOOP('',(#307571)); #58171=EDGE_LOOP('',(#307572)); #58172=EDGE_LOOP('',(#307573)); #58173=EDGE_LOOP('',(#307574)); #58174=EDGE_LOOP('',(#307575)); #58175=EDGE_LOOP('',(#307576)); #58176=EDGE_LOOP('',(#307577)); #58177=EDGE_LOOP('',(#307578)); #58178=EDGE_LOOP('',(#307579)); #58179=EDGE_LOOP('',(#307580)); #58180=EDGE_LOOP('',(#307581)); #58181=EDGE_LOOP('',(#307582)); #58182=EDGE_LOOP('',(#307583)); #58183=EDGE_LOOP('',(#307584)); #58184=EDGE_LOOP('',(#307585)); #58185=EDGE_LOOP('',(#307586)); #58186=EDGE_LOOP('',(#307587)); #58187=EDGE_LOOP('',(#307588)); #58188=EDGE_LOOP('',(#307589)); #58189=EDGE_LOOP('',(#307590)); #58190=EDGE_LOOP('',(#307591)); #58191=EDGE_LOOP('',(#307592)); #58192=EDGE_LOOP('',(#307593)); #58193=EDGE_LOOP('',(#307594)); #58194=EDGE_LOOP('',(#307595)); #58195=EDGE_LOOP('',(#307596)); #58196=EDGE_LOOP('',(#307597)); #58197=EDGE_LOOP('',(#307598)); #58198=EDGE_LOOP('',(#307599)); #58199=EDGE_LOOP('',(#307600)); #58200=EDGE_LOOP('',(#307601)); #58201=EDGE_LOOP('',(#307602)); #58202=EDGE_LOOP('',(#307603)); #58203=EDGE_LOOP('',(#307604)); #58204=EDGE_LOOP('',(#307605)); #58205=EDGE_LOOP('',(#307606)); #58206=EDGE_LOOP('',(#307607)); #58207=EDGE_LOOP('',(#307608)); #58208=EDGE_LOOP('',(#307609)); #58209=EDGE_LOOP('',(#307610)); #58210=EDGE_LOOP('',(#307611)); #58211=EDGE_LOOP('',(#307612)); #58212=EDGE_LOOP('',(#307613)); #58213=EDGE_LOOP('',(#307614)); #58214=EDGE_LOOP('',(#307615)); #58215=EDGE_LOOP('',(#307616)); #58216=EDGE_LOOP('',(#307617)); #58217=EDGE_LOOP('',(#307618)); #58218=EDGE_LOOP('',(#307619)); #58219=EDGE_LOOP('',(#307620)); #58220=EDGE_LOOP('',(#307621)); #58221=EDGE_LOOP('',(#307622)); #58222=EDGE_LOOP('',(#307623)); #58223=EDGE_LOOP('',(#307624)); #58224=EDGE_LOOP('',(#307625)); #58225=EDGE_LOOP('',(#307626)); #58226=EDGE_LOOP('',(#307627)); #58227=EDGE_LOOP('',(#307628)); #58228=EDGE_LOOP('',(#307629)); #58229=EDGE_LOOP('',(#307630)); #58230=EDGE_LOOP('',(#307631)); #58231=EDGE_LOOP('',(#307632)); #58232=EDGE_LOOP('',(#307633)); #58233=EDGE_LOOP('',(#307634)); #58234=EDGE_LOOP('',(#307635)); #58235=EDGE_LOOP('',(#307636)); #58236=EDGE_LOOP('',(#307637)); #58237=EDGE_LOOP('',(#307638)); #58238=EDGE_LOOP('',(#307639)); #58239=EDGE_LOOP('',(#307640)); #58240=EDGE_LOOP('',(#307641)); #58241=EDGE_LOOP('',(#307642)); #58242=EDGE_LOOP('',(#307643)); #58243=EDGE_LOOP('',(#307644)); #58244=EDGE_LOOP('',(#307645)); #58245=EDGE_LOOP('',(#307646)); #58246=EDGE_LOOP('',(#307647)); #58247=EDGE_LOOP('',(#307648)); #58248=EDGE_LOOP('',(#307649)); #58249=EDGE_LOOP('',(#307650)); #58250=EDGE_LOOP('',(#307651)); #58251=EDGE_LOOP('',(#307652)); #58252=EDGE_LOOP('',(#307653)); #58253=EDGE_LOOP('',(#307654)); #58254=EDGE_LOOP('',(#307655)); #58255=EDGE_LOOP('',(#307656)); #58256=EDGE_LOOP('',(#307657)); #58257=EDGE_LOOP('',(#307658)); #58258=EDGE_LOOP('',(#307659)); #58259=EDGE_LOOP('',(#307660)); #58260=EDGE_LOOP('',(#307661)); #58261=EDGE_LOOP('',(#307662)); #58262=EDGE_LOOP('',(#307663)); #58263=EDGE_LOOP('',(#307664)); #58264=EDGE_LOOP('',(#307665)); #58265=EDGE_LOOP('',(#307666)); #58266=EDGE_LOOP('',(#307667)); #58267=EDGE_LOOP('',(#307668)); #58268=EDGE_LOOP('',(#307669)); #58269=EDGE_LOOP('',(#307670)); #58270=EDGE_LOOP('',(#307671)); #58271=EDGE_LOOP('',(#307672)); #58272=EDGE_LOOP('',(#307673)); #58273=EDGE_LOOP('',(#307674)); #58274=EDGE_LOOP('',(#307675)); #58275=EDGE_LOOP('',(#307676)); #58276=EDGE_LOOP('',(#307677)); #58277=EDGE_LOOP('',(#307678)); #58278=EDGE_LOOP('',(#307679)); #58279=EDGE_LOOP('',(#307680)); #58280=EDGE_LOOP('',(#307681)); #58281=EDGE_LOOP('',(#307682)); #58282=EDGE_LOOP('',(#307683)); #58283=EDGE_LOOP('',(#307684)); #58284=EDGE_LOOP('',(#307685)); #58285=EDGE_LOOP('',(#307686)); #58286=EDGE_LOOP('',(#307687)); #58287=EDGE_LOOP('',(#307688)); #58288=EDGE_LOOP('',(#307689)); #58289=EDGE_LOOP('',(#307690)); #58290=EDGE_LOOP('',(#307691)); #58291=EDGE_LOOP('',(#307692)); #58292=EDGE_LOOP('',(#307693)); #58293=EDGE_LOOP('',(#307694)); #58294=EDGE_LOOP('',(#307695)); #58295=EDGE_LOOP('',(#307696)); #58296=EDGE_LOOP('',(#307697)); #58297=EDGE_LOOP('',(#307698)); #58298=EDGE_LOOP('',(#307699)); #58299=EDGE_LOOP('',(#307700)); #58300=EDGE_LOOP('',(#307701)); #58301=EDGE_LOOP('',(#307702)); #58302=EDGE_LOOP('',(#307703)); #58303=EDGE_LOOP('',(#307704)); #58304=EDGE_LOOP('',(#307705)); #58305=EDGE_LOOP('',(#307706)); #58306=EDGE_LOOP('',(#307707)); #58307=EDGE_LOOP('',(#307708)); #58308=EDGE_LOOP('',(#307709)); #58309=EDGE_LOOP('',(#307710)); #58310=EDGE_LOOP('',(#307711)); #58311=EDGE_LOOP('',(#307712)); #58312=EDGE_LOOP('',(#307713)); #58313=EDGE_LOOP('',(#307714)); #58314=EDGE_LOOP('',(#307715)); #58315=EDGE_LOOP('',(#307716)); #58316=EDGE_LOOP('',(#307717)); #58317=EDGE_LOOP('',(#307718)); #58318=EDGE_LOOP('',(#307719)); #58319=EDGE_LOOP('',(#307720)); #58320=EDGE_LOOP('',(#307721)); #58321=EDGE_LOOP('',(#307722)); #58322=EDGE_LOOP('',(#307723)); #58323=EDGE_LOOP('',(#307724)); #58324=EDGE_LOOP('',(#307725)); #58325=EDGE_LOOP('',(#307726)); #58326=EDGE_LOOP('',(#307727)); #58327=EDGE_LOOP('',(#307728)); #58328=EDGE_LOOP('',(#307729)); #58329=EDGE_LOOP('',(#307730)); #58330=EDGE_LOOP('',(#307731)); #58331=EDGE_LOOP('',(#307732)); #58332=EDGE_LOOP('',(#307733)); #58333=EDGE_LOOP('',(#307734)); #58334=EDGE_LOOP('',(#307735)); #58335=EDGE_LOOP('',(#307736)); #58336=EDGE_LOOP('',(#307737)); #58337=EDGE_LOOP('',(#307738)); #58338=EDGE_LOOP('',(#307739)); #58339=EDGE_LOOP('',(#307740)); #58340=EDGE_LOOP('',(#307741)); #58341=EDGE_LOOP('',(#307742)); #58342=EDGE_LOOP('',(#307743)); #58343=EDGE_LOOP('',(#307744)); #58344=EDGE_LOOP('',(#307745)); #58345=EDGE_LOOP('',(#307746)); #58346=EDGE_LOOP('',(#307747)); #58347=EDGE_LOOP('',(#307748)); #58348=EDGE_LOOP('',(#307749)); #58349=EDGE_LOOP('',(#307750)); #58350=EDGE_LOOP('',(#307751)); #58351=EDGE_LOOP('',(#307752)); #58352=EDGE_LOOP('',(#307753)); #58353=EDGE_LOOP('',(#307754)); #58354=EDGE_LOOP('',(#307755)); #58355=EDGE_LOOP('',(#307756)); #58356=EDGE_LOOP('',(#307757)); #58357=EDGE_LOOP('',(#307758)); #58358=EDGE_LOOP('',(#307759)); #58359=EDGE_LOOP('',(#307760)); #58360=EDGE_LOOP('',(#307761)); #58361=EDGE_LOOP('',(#307762)); #58362=EDGE_LOOP('',(#307763)); #58363=EDGE_LOOP('',(#307764)); #58364=EDGE_LOOP('',(#307765)); #58365=EDGE_LOOP('',(#307766)); #58366=EDGE_LOOP('',(#307767)); #58367=EDGE_LOOP('',(#307768)); #58368=EDGE_LOOP('',(#307769)); #58369=EDGE_LOOP('',(#307770)); #58370=EDGE_LOOP('',(#307771)); #58371=EDGE_LOOP('',(#307772)); #58372=EDGE_LOOP('',(#307773)); #58373=EDGE_LOOP('',(#307774)); #58374=EDGE_LOOP('',(#307775)); #58375=EDGE_LOOP('',(#307776)); #58376=EDGE_LOOP('',(#307777)); #58377=EDGE_LOOP('',(#307778)); #58378=EDGE_LOOP('',(#307779)); #58379=EDGE_LOOP('',(#307780)); #58380=EDGE_LOOP('',(#307781)); #58381=EDGE_LOOP('',(#307782)); #58382=EDGE_LOOP('',(#307783)); #58383=EDGE_LOOP('',(#307784)); #58384=EDGE_LOOP('',(#307785)); #58385=EDGE_LOOP('',(#307786)); #58386=EDGE_LOOP('',(#307787)); #58387=EDGE_LOOP('',(#307788)); #58388=EDGE_LOOP('',(#307789)); #58389=EDGE_LOOP('',(#307790)); #58390=EDGE_LOOP('',(#307791)); #58391=EDGE_LOOP('',(#307792)); #58392=EDGE_LOOP('',(#307793)); #58393=EDGE_LOOP('',(#307794)); #58394=EDGE_LOOP('',(#307795)); #58395=EDGE_LOOP('',(#307796)); #58396=EDGE_LOOP('',(#307797)); #58397=EDGE_LOOP('',(#307798)); #58398=EDGE_LOOP('',(#307799)); #58399=EDGE_LOOP('',(#307800)); #58400=EDGE_LOOP('',(#307801)); #58401=EDGE_LOOP('',(#307802)); #58402=EDGE_LOOP('',(#307803)); #58403=EDGE_LOOP('',(#307804)); #58404=EDGE_LOOP('',(#307805)); #58405=EDGE_LOOP('',(#307806)); #58406=EDGE_LOOP('',(#307807)); #58407=EDGE_LOOP('',(#307808)); #58408=EDGE_LOOP('',(#307809)); #58409=EDGE_LOOP('',(#307810)); #58410=EDGE_LOOP('',(#307811)); #58411=EDGE_LOOP('',(#307812)); #58412=EDGE_LOOP('',(#307813)); #58413=EDGE_LOOP('',(#307814)); #58414=EDGE_LOOP('',(#307815)); #58415=EDGE_LOOP('',(#307816)); #58416=EDGE_LOOP('',(#307817)); #58417=EDGE_LOOP('',(#307818)); #58418=EDGE_LOOP('',(#307819)); #58419=EDGE_LOOP('',(#307820)); #58420=EDGE_LOOP('',(#307821)); #58421=EDGE_LOOP('',(#307822)); #58422=EDGE_LOOP('',(#307823)); #58423=EDGE_LOOP('',(#307824)); #58424=EDGE_LOOP('',(#307825)); #58425=EDGE_LOOP('',(#307826)); #58426=EDGE_LOOP('',(#307827)); #58427=EDGE_LOOP('',(#307828)); #58428=EDGE_LOOP('',(#307829)); #58429=EDGE_LOOP('',(#307830)); #58430=EDGE_LOOP('',(#307831)); #58431=EDGE_LOOP('',(#307832)); #58432=EDGE_LOOP('',(#307833)); #58433=EDGE_LOOP('',(#307834)); #58434=EDGE_LOOP('',(#307835)); #58435=EDGE_LOOP('',(#307836)); #58436=EDGE_LOOP('',(#307837)); #58437=EDGE_LOOP('',(#307838)); #58438=EDGE_LOOP('',(#307839)); #58439=EDGE_LOOP('',(#307840)); #58440=EDGE_LOOP('',(#307841)); #58441=EDGE_LOOP('',(#307842)); #58442=EDGE_LOOP('',(#307843)); #58443=EDGE_LOOP('',(#307844)); #58444=EDGE_LOOP('',(#307845)); #58445=EDGE_LOOP('',(#307846)); #58446=EDGE_LOOP('',(#307847)); #58447=EDGE_LOOP('',(#307848)); #58448=EDGE_LOOP('',(#307849)); #58449=EDGE_LOOP('',(#307850)); #58450=EDGE_LOOP('',(#307851)); #58451=EDGE_LOOP('',(#307852)); #58452=EDGE_LOOP('',(#307853)); #58453=EDGE_LOOP('',(#307854)); #58454=EDGE_LOOP('',(#307855)); #58455=EDGE_LOOP('',(#307856)); #58456=EDGE_LOOP('',(#307857)); #58457=EDGE_LOOP('',(#307858)); #58458=EDGE_LOOP('',(#307859)); #58459=EDGE_LOOP('',(#307860)); #58460=EDGE_LOOP('',(#307861)); #58461=EDGE_LOOP('',(#307862)); #58462=EDGE_LOOP('',(#307863)); #58463=EDGE_LOOP('',(#307864)); #58464=EDGE_LOOP('',(#307865)); #58465=EDGE_LOOP('',(#307866)); #58466=EDGE_LOOP('',(#307867,#307868,#307869,#307870)); #58467=EDGE_LOOP('',(#307871)); #58468=EDGE_LOOP('',(#307872,#307873,#307874,#307875)); #58469=EDGE_LOOP('',(#307876)); #58470=EDGE_LOOP('',(#307877)); #58471=EDGE_LOOP('',(#307878)); #58472=EDGE_LOOP('',(#307879,#307880,#307881,#307882)); #58473=EDGE_LOOP('',(#307883)); #58474=EDGE_LOOP('',(#307884)); #58475=EDGE_LOOP('',(#307885)); #58476=EDGE_LOOP('',(#307886)); #58477=EDGE_LOOP('',(#307887)); #58478=EDGE_LOOP('',(#307888)); #58479=EDGE_LOOP('',(#307889)); #58480=EDGE_LOOP('',(#307890)); #58481=EDGE_LOOP('',(#307891)); #58482=EDGE_LOOP('',(#307892)); #58483=EDGE_LOOP('',(#307893,#307894,#307895,#307896)); #58484=EDGE_LOOP('',(#307897)); #58485=EDGE_LOOP('',(#307898,#307899,#307900,#307901)); #58486=EDGE_LOOP('',(#307902)); #58487=EDGE_LOOP('',(#307903,#307904,#307905,#307906)); #58488=EDGE_LOOP('',(#307907)); #58489=EDGE_LOOP('',(#307908)); #58490=EDGE_LOOP('',(#307909)); #58491=EDGE_LOOP('',(#307910)); #58492=EDGE_LOOP('',(#307911)); #58493=EDGE_LOOP('',(#307912)); #58494=EDGE_LOOP('',(#307913)); #58495=EDGE_LOOP('',(#307914)); #58496=EDGE_LOOP('',(#307915)); #58497=EDGE_LOOP('',(#307916)); #58498=EDGE_LOOP('',(#307917)); #58499=EDGE_LOOP('',(#307918)); #58500=EDGE_LOOP('',(#307919)); #58501=EDGE_LOOP('',(#307920)); #58502=EDGE_LOOP('',(#307921)); #58503=EDGE_LOOP('',(#307922)); #58504=EDGE_LOOP('',(#307923)); #58505=EDGE_LOOP('',(#307924)); #58506=EDGE_LOOP('',(#307925)); #58507=EDGE_LOOP('',(#307926)); #58508=EDGE_LOOP('',(#307927)); #58509=EDGE_LOOP('',(#307928)); #58510=EDGE_LOOP('',(#307929)); #58511=EDGE_LOOP('',(#307930)); #58512=EDGE_LOOP('',(#307931)); #58513=EDGE_LOOP('',(#307932)); #58514=EDGE_LOOP('',(#307933)); #58515=EDGE_LOOP('',(#307934)); #58516=EDGE_LOOP('',(#307935)); #58517=EDGE_LOOP('',(#307936)); #58518=EDGE_LOOP('',(#307937)); #58519=EDGE_LOOP('',(#307938)); #58520=EDGE_LOOP('',(#307939)); #58521=EDGE_LOOP('',(#307940)); #58522=EDGE_LOOP('',(#307941)); #58523=EDGE_LOOP('',(#307942)); #58524=EDGE_LOOP('',(#307943)); #58525=EDGE_LOOP('',(#307944)); #58526=EDGE_LOOP('',(#307945)); #58527=EDGE_LOOP('',(#307946)); #58528=EDGE_LOOP('',(#307947)); #58529=EDGE_LOOP('',(#307948)); #58530=EDGE_LOOP('',(#307949)); #58531=EDGE_LOOP('',(#307950)); #58532=EDGE_LOOP('',(#307951)); #58533=EDGE_LOOP('',(#307952)); #58534=EDGE_LOOP('',(#307953)); #58535=EDGE_LOOP('',(#307954)); #58536=EDGE_LOOP('',(#307955)); #58537=EDGE_LOOP('',(#307956)); #58538=EDGE_LOOP('',(#307957)); #58539=EDGE_LOOP('',(#307958)); #58540=EDGE_LOOP('',(#307959)); #58541=EDGE_LOOP('',(#307960)); #58542=EDGE_LOOP('',(#307961)); #58543=EDGE_LOOP('',(#307962)); #58544=EDGE_LOOP('',(#307963)); #58545=EDGE_LOOP('',(#307964)); #58546=EDGE_LOOP('',(#307965)); #58547=EDGE_LOOP('',(#307966)); #58548=EDGE_LOOP('',(#307967)); #58549=EDGE_LOOP('',(#307968)); #58550=EDGE_LOOP('',(#307969)); #58551=EDGE_LOOP('',(#307970)); #58552=EDGE_LOOP('',(#307971)); #58553=EDGE_LOOP('',(#307972)); #58554=EDGE_LOOP('',(#307973)); #58555=EDGE_LOOP('',(#307974)); #58556=EDGE_LOOP('',(#307975)); #58557=EDGE_LOOP('',(#307976)); #58558=EDGE_LOOP('',(#307977)); #58559=EDGE_LOOP('',(#307978)); #58560=EDGE_LOOP('',(#307979)); #58561=EDGE_LOOP('',(#307980)); #58562=EDGE_LOOP('',(#307981)); #58563=EDGE_LOOP('',(#307982)); #58564=EDGE_LOOP('',(#307983)); #58565=EDGE_LOOP('',(#307984)); #58566=EDGE_LOOP('',(#307985)); #58567=EDGE_LOOP('',(#307986)); #58568=EDGE_LOOP('',(#307987)); #58569=EDGE_LOOP('',(#307988)); #58570=EDGE_LOOP('',(#307989)); #58571=EDGE_LOOP('',(#307990)); #58572=EDGE_LOOP('',(#307991)); #58573=EDGE_LOOP('',(#307992)); #58574=EDGE_LOOP('',(#307993)); #58575=EDGE_LOOP('',(#307994)); #58576=EDGE_LOOP('',(#307995)); #58577=EDGE_LOOP('',(#307996)); #58578=EDGE_LOOP('',(#307997)); #58579=EDGE_LOOP('',(#307998)); #58580=EDGE_LOOP('',(#307999)); #58581=EDGE_LOOP('',(#308000)); #58582=EDGE_LOOP('',(#308001)); #58583=EDGE_LOOP('',(#308002)); #58584=EDGE_LOOP('',(#308003)); #58585=EDGE_LOOP('',(#308004)); #58586=EDGE_LOOP('',(#308005)); #58587=EDGE_LOOP('',(#308006)); #58588=EDGE_LOOP('',(#308007)); #58589=EDGE_LOOP('',(#308008)); #58590=EDGE_LOOP('',(#308009)); #58591=EDGE_LOOP('',(#308010)); #58592=EDGE_LOOP('',(#308011)); #58593=EDGE_LOOP('',(#308012)); #58594=EDGE_LOOP('',(#308013)); #58595=EDGE_LOOP('',(#308014)); #58596=EDGE_LOOP('',(#308015)); #58597=EDGE_LOOP('',(#308016)); #58598=EDGE_LOOP('',(#308017)); #58599=EDGE_LOOP('',(#308018)); #58600=EDGE_LOOP('',(#308019)); #58601=EDGE_LOOP('',(#308020)); #58602=EDGE_LOOP('',(#308021)); #58603=EDGE_LOOP('',(#308022)); #58604=EDGE_LOOP('',(#308023)); #58605=EDGE_LOOP('',(#308024)); #58606=EDGE_LOOP('',(#308025)); #58607=EDGE_LOOP('',(#308026)); #58608=EDGE_LOOP('',(#308027)); #58609=EDGE_LOOP('',(#308028)); #58610=EDGE_LOOP('',(#308029)); #58611=EDGE_LOOP('',(#308030)); #58612=EDGE_LOOP('',(#308031)); #58613=EDGE_LOOP('',(#308032)); #58614=EDGE_LOOP('',(#308033)); #58615=EDGE_LOOP('',(#308034)); #58616=EDGE_LOOP('',(#308035)); #58617=EDGE_LOOP('',(#308036)); #58618=EDGE_LOOP('',(#308037)); #58619=EDGE_LOOP('',(#308038)); #58620=EDGE_LOOP('',(#308039,#308040,#308041,#308042)); #58621=EDGE_LOOP('',(#308043,#308044,#308045,#308046)); #58622=EDGE_LOOP('',(#308047,#308048,#308049,#308050)); #58623=EDGE_LOOP('',(#308051,#308052,#308053,#308054)); #58624=EDGE_LOOP('',(#308055,#308056,#308057,#308058)); #58625=EDGE_LOOP('',(#308059,#308060,#308061,#308062)); #58626=EDGE_LOOP('',(#308063,#308064,#308065,#308066)); #58627=EDGE_LOOP('',(#308067,#308068,#308069,#308070)); #58628=EDGE_LOOP('',(#308071,#308072,#308073,#308074)); #58629=EDGE_LOOP('',(#308075,#308076,#308077,#308078)); #58630=EDGE_LOOP('',(#308079,#308080,#308081,#308082)); #58631=EDGE_LOOP('',(#308083,#308084,#308085,#308086)); #58632=EDGE_LOOP('',(#308087,#308088,#308089,#308090)); #58633=EDGE_LOOP('',(#308091,#308092,#308093,#308094)); #58634=EDGE_LOOP('',(#308095,#308096,#308097,#308098)); #58635=EDGE_LOOP('',(#308099,#308100,#308101,#308102)); #58636=EDGE_LOOP('',(#308103,#308104,#308105,#308106)); #58637=EDGE_LOOP('',(#308107,#308108,#308109,#308110)); #58638=EDGE_LOOP('',(#308111,#308112,#308113,#308114)); #58639=EDGE_LOOP('',(#308115,#308116,#308117,#308118)); #58640=EDGE_LOOP('',(#308119,#308120,#308121,#308122)); #58641=EDGE_LOOP('',(#308123,#308124,#308125,#308126)); #58642=EDGE_LOOP('',(#308127,#308128,#308129,#308130)); #58643=EDGE_LOOP('',(#308131,#308132,#308133,#308134)); #58644=EDGE_LOOP('',(#308135,#308136,#308137,#308138)); #58645=EDGE_LOOP('',(#308139,#308140,#308141,#308142)); #58646=EDGE_LOOP('',(#308143,#308144,#308145,#308146)); #58647=EDGE_LOOP('',(#308147,#308148,#308149,#308150)); #58648=EDGE_LOOP('',(#308151,#308152,#308153,#308154)); #58649=EDGE_LOOP('',(#308155,#308156,#308157,#308158)); #58650=EDGE_LOOP('',(#308159,#308160,#308161,#308162)); #58651=EDGE_LOOP('',(#308163,#308164,#308165,#308166)); #58652=EDGE_LOOP('',(#308167,#308168,#308169,#308170)); #58653=EDGE_LOOP('',(#308171,#308172,#308173,#308174)); #58654=EDGE_LOOP('',(#308175,#308176,#308177,#308178)); #58655=EDGE_LOOP('',(#308179,#308180,#308181,#308182)); #58656=EDGE_LOOP('',(#308183,#308184,#308185,#308186)); #58657=EDGE_LOOP('',(#308187,#308188,#308189,#308190)); #58658=EDGE_LOOP('',(#308191,#308192,#308193,#308194)); #58659=EDGE_LOOP('',(#308195,#308196,#308197,#308198)); #58660=EDGE_LOOP('',(#308199,#308200,#308201,#308202)); #58661=EDGE_LOOP('',(#308203,#308204,#308205,#308206)); #58662=EDGE_LOOP('',(#308207,#308208,#308209,#308210)); #58663=EDGE_LOOP('',(#308211,#308212,#308213,#308214)); #58664=EDGE_LOOP('',(#308215,#308216,#308217,#308218)); #58665=EDGE_LOOP('',(#308219,#308220,#308221,#308222)); #58666=EDGE_LOOP('',(#308223,#308224,#308225,#308226)); #58667=EDGE_LOOP('',(#308227,#308228,#308229,#308230)); #58668=EDGE_LOOP('',(#308231,#308232,#308233,#308234)); #58669=EDGE_LOOP('',(#308235,#308236,#308237,#308238)); #58670=EDGE_LOOP('',(#308239,#308240,#308241,#308242)); #58671=EDGE_LOOP('',(#308243,#308244,#308245,#308246)); #58672=EDGE_LOOP('',(#308247,#308248,#308249,#308250)); #58673=EDGE_LOOP('',(#308251,#308252,#308253,#308254)); #58674=EDGE_LOOP('',(#308255,#308256,#308257,#308258)); #58675=EDGE_LOOP('',(#308259,#308260,#308261,#308262)); #58676=EDGE_LOOP('',(#308263,#308264,#308265,#308266)); #58677=EDGE_LOOP('',(#308267,#308268,#308269,#308270)); #58678=EDGE_LOOP('',(#308271,#308272,#308273,#308274)); #58679=EDGE_LOOP('',(#308275,#308276,#308277,#308278)); #58680=EDGE_LOOP('',(#308279,#308280,#308281,#308282)); #58681=EDGE_LOOP('',(#308283,#308284,#308285,#308286)); #58682=EDGE_LOOP('',(#308287,#308288,#308289,#308290)); #58683=EDGE_LOOP('',(#308291,#308292,#308293,#308294)); #58684=EDGE_LOOP('',(#308295,#308296,#308297,#308298)); #58685=EDGE_LOOP('',(#308299,#308300,#308301,#308302)); #58686=EDGE_LOOP('',(#308303,#308304,#308305,#308306)); #58687=EDGE_LOOP('',(#308307,#308308,#308309,#308310)); #58688=EDGE_LOOP('',(#308311,#308312,#308313,#308314)); #58689=EDGE_LOOP('',(#308315,#308316,#308317,#308318)); #58690=EDGE_LOOP('',(#308319,#308320,#308321,#308322)); #58691=EDGE_LOOP('',(#308323,#308324,#308325,#308326)); #58692=EDGE_LOOP('',(#308327,#308328,#308329,#308330)); #58693=EDGE_LOOP('',(#308331,#308332,#308333,#308334)); #58694=EDGE_LOOP('',(#308335,#308336,#308337,#308338)); #58695=EDGE_LOOP('',(#308339,#308340,#308341,#308342)); #58696=EDGE_LOOP('',(#308343,#308344,#308345,#308346)); #58697=EDGE_LOOP('',(#308347,#308348,#308349,#308350)); #58698=EDGE_LOOP('',(#308351,#308352,#308353,#308354)); #58699=EDGE_LOOP('',(#308355,#308356,#308357,#308358)); #58700=EDGE_LOOP('',(#308359,#308360,#308361,#308362)); #58701=EDGE_LOOP('',(#308363,#308364,#308365,#308366)); #58702=EDGE_LOOP('',(#308367,#308368,#308369,#308370)); #58703=EDGE_LOOP('',(#308371,#308372,#308373,#308374)); #58704=EDGE_LOOP('',(#308375,#308376,#308377,#308378)); #58705=EDGE_LOOP('',(#308379,#308380,#308381,#308382)); #58706=EDGE_LOOP('',(#308383,#308384,#308385,#308386)); #58707=EDGE_LOOP('',(#308387,#308388,#308389,#308390)); #58708=EDGE_LOOP('',(#308391,#308392,#308393,#308394)); #58709=EDGE_LOOP('',(#308395,#308396,#308397,#308398)); #58710=EDGE_LOOP('',(#308399,#308400,#308401,#308402)); #58711=EDGE_LOOP('',(#308403,#308404,#308405,#308406)); #58712=EDGE_LOOP('',(#308407,#308408,#308409,#308410)); #58713=EDGE_LOOP('',(#308411,#308412,#308413,#308414)); #58714=EDGE_LOOP('',(#308415,#308416,#308417,#308418)); #58715=EDGE_LOOP('',(#308419,#308420,#308421,#308422)); #58716=EDGE_LOOP('',(#308423,#308424,#308425,#308426)); #58717=EDGE_LOOP('',(#308427,#308428,#308429,#308430)); #58718=EDGE_LOOP('',(#308431,#308432,#308433,#308434)); #58719=EDGE_LOOP('',(#308435,#308436,#308437,#308438)); #58720=EDGE_LOOP('',(#308439,#308440,#308441,#308442)); #58721=EDGE_LOOP('',(#308443,#308444,#308445,#308446)); #58722=EDGE_LOOP('',(#308447,#308448,#308449,#308450)); #58723=EDGE_LOOP('',(#308451,#308452,#308453,#308454)); #58724=EDGE_LOOP('',(#308455,#308456,#308457,#308458)); #58725=EDGE_LOOP('',(#308459,#308460,#308461,#308462)); #58726=EDGE_LOOP('',(#308463,#308464,#308465,#308466)); #58727=EDGE_LOOP('',(#308467,#308468,#308469,#308470)); #58728=EDGE_LOOP('',(#308471,#308472,#308473,#308474)); #58729=EDGE_LOOP('',(#308475,#308476,#308477,#308478)); #58730=EDGE_LOOP('',(#308479,#308480,#308481,#308482)); #58731=EDGE_LOOP('',(#308483,#308484,#308485,#308486)); #58732=EDGE_LOOP('',(#308487,#308488,#308489,#308490)); #58733=EDGE_LOOP('',(#308491,#308492,#308493,#308494)); #58734=EDGE_LOOP('',(#308495,#308496,#308497,#308498)); #58735=EDGE_LOOP('',(#308499,#308500,#308501,#308502)); #58736=EDGE_LOOP('',(#308503,#308504,#308505,#308506)); #58737=EDGE_LOOP('',(#308507,#308508,#308509,#308510)); #58738=EDGE_LOOP('',(#308511,#308512,#308513,#308514)); #58739=EDGE_LOOP('',(#308515,#308516,#308517,#308518)); #58740=EDGE_LOOP('',(#308519,#308520,#308521,#308522)); #58741=EDGE_LOOP('',(#308523,#308524,#308525,#308526)); #58742=EDGE_LOOP('',(#308527,#308528,#308529,#308530)); #58743=EDGE_LOOP('',(#308531,#308532,#308533,#308534)); #58744=EDGE_LOOP('',(#308535,#308536,#308537,#308538)); #58745=EDGE_LOOP('',(#308539,#308540,#308541,#308542)); #58746=EDGE_LOOP('',(#308543,#308544,#308545,#308546)); #58747=EDGE_LOOP('',(#308547,#308548,#308549,#308550)); #58748=EDGE_LOOP('',(#308551,#308552,#308553,#308554)); #58749=EDGE_LOOP('',(#308555,#308556,#308557,#308558)); #58750=EDGE_LOOP('',(#308559,#308560,#308561,#308562)); #58751=EDGE_LOOP('',(#308563,#308564,#308565,#308566)); #58752=EDGE_LOOP('',(#308567,#308568,#308569,#308570)); #58753=EDGE_LOOP('',(#308571,#308572,#308573,#308574)); #58754=EDGE_LOOP('',(#308575,#308576,#308577,#308578)); #58755=EDGE_LOOP('',(#308579,#308580,#308581,#308582)); #58756=EDGE_LOOP('',(#308583,#308584,#308585,#308586)); #58757=EDGE_LOOP('',(#308587,#308588,#308589,#308590)); #58758=EDGE_LOOP('',(#308591,#308592,#308593,#308594)); #58759=EDGE_LOOP('',(#308595,#308596,#308597,#308598)); #58760=EDGE_LOOP('',(#308599,#308600,#308601,#308602)); #58761=EDGE_LOOP('',(#308603,#308604,#308605,#308606)); #58762=EDGE_LOOP('',(#308607,#308608,#308609,#308610)); #58763=EDGE_LOOP('',(#308611,#308612,#308613,#308614)); #58764=EDGE_LOOP('',(#308615,#308616,#308617,#308618)); #58765=EDGE_LOOP('',(#308619,#308620,#308621,#308622)); #58766=EDGE_LOOP('',(#308623,#308624,#308625,#308626)); #58767=EDGE_LOOP('',(#308627,#308628,#308629,#308630)); #58768=EDGE_LOOP('',(#308631,#308632,#308633,#308634)); #58769=EDGE_LOOP('',(#308635,#308636,#308637,#308638)); #58770=EDGE_LOOP('',(#308639,#308640,#308641,#308642)); #58771=EDGE_LOOP('',(#308643,#308644,#308645,#308646)); #58772=EDGE_LOOP('',(#308647,#308648,#308649,#308650)); #58773=EDGE_LOOP('',(#308651,#308652,#308653,#308654)); #58774=EDGE_LOOP('',(#308655,#308656,#308657,#308658)); #58775=EDGE_LOOP('',(#308659,#308660,#308661,#308662)); #58776=EDGE_LOOP('',(#308663,#308664,#308665,#308666)); #58777=EDGE_LOOP('',(#308667,#308668,#308669,#308670)); #58778=EDGE_LOOP('',(#308671,#308672,#308673,#308674)); #58779=EDGE_LOOP('',(#308675,#308676,#308677,#308678)); #58780=EDGE_LOOP('',(#308679,#308680,#308681,#308682)); #58781=EDGE_LOOP('',(#308683,#308684,#308685,#308686)); #58782=EDGE_LOOP('',(#308687,#308688,#308689,#308690)); #58783=EDGE_LOOP('',(#308691,#308692,#308693,#308694)); #58784=EDGE_LOOP('',(#308695,#308696,#308697,#308698)); #58785=EDGE_LOOP('',(#308699,#308700,#308701,#308702)); #58786=EDGE_LOOP('',(#308703,#308704,#308705,#308706)); #58787=EDGE_LOOP('',(#308707,#308708,#308709,#308710)); #58788=EDGE_LOOP('',(#308711,#308712,#308713,#308714)); #58789=EDGE_LOOP('',(#308715,#308716,#308717,#308718)); #58790=EDGE_LOOP('',(#308719,#308720,#308721,#308722)); #58791=EDGE_LOOP('',(#308723,#308724,#308725,#308726)); #58792=EDGE_LOOP('',(#308727,#308728,#308729,#308730)); #58793=EDGE_LOOP('',(#308731,#308732,#308733,#308734)); #58794=EDGE_LOOP('',(#308735,#308736,#308737,#308738)); #58795=EDGE_LOOP('',(#308739,#308740,#308741,#308742)); #58796=EDGE_LOOP('',(#308743,#308744,#308745,#308746)); #58797=EDGE_LOOP('',(#308747,#308748,#308749,#308750)); #58798=EDGE_LOOP('',(#308751,#308752,#308753,#308754)); #58799=EDGE_LOOP('',(#308755,#308756,#308757,#308758)); #58800=EDGE_LOOP('',(#308759,#308760,#308761,#308762)); #58801=EDGE_LOOP('',(#308763,#308764,#308765,#308766)); #58802=EDGE_LOOP('',(#308767,#308768,#308769,#308770)); #58803=EDGE_LOOP('',(#308771,#308772,#308773,#308774)); #58804=EDGE_LOOP('',(#308775,#308776,#308777,#308778)); #58805=EDGE_LOOP('',(#308779,#308780,#308781,#308782)); #58806=EDGE_LOOP('',(#308783,#308784,#308785,#308786)); #58807=EDGE_LOOP('',(#308787,#308788,#308789,#308790)); #58808=EDGE_LOOP('',(#308791,#308792,#308793,#308794)); #58809=EDGE_LOOP('',(#308795,#308796,#308797,#308798)); #58810=EDGE_LOOP('',(#308799,#308800,#308801,#308802)); #58811=EDGE_LOOP('',(#308803,#308804,#308805,#308806)); #58812=EDGE_LOOP('',(#308807,#308808,#308809,#308810)); #58813=EDGE_LOOP('',(#308811,#308812,#308813,#308814)); #58814=EDGE_LOOP('',(#308815,#308816,#308817,#308818)); #58815=EDGE_LOOP('',(#308819,#308820,#308821,#308822)); #58816=EDGE_LOOP('',(#308823,#308824,#308825,#308826)); #58817=EDGE_LOOP('',(#308827,#308828,#308829,#308830)); #58818=EDGE_LOOP('',(#308831,#308832,#308833,#308834)); #58819=EDGE_LOOP('',(#308835,#308836,#308837,#308838)); #58820=EDGE_LOOP('',(#308839,#308840,#308841,#308842)); #58821=EDGE_LOOP('',(#308843,#308844,#308845,#308846)); #58822=EDGE_LOOP('',(#308847,#308848,#308849,#308850)); #58823=EDGE_LOOP('',(#308851,#308852,#308853,#308854)); #58824=EDGE_LOOP('',(#308855,#308856,#308857,#308858)); #58825=EDGE_LOOP('',(#308859,#308860,#308861,#308862)); #58826=EDGE_LOOP('',(#308863,#308864,#308865,#308866)); #58827=EDGE_LOOP('',(#308867,#308868,#308869,#308870)); #58828=EDGE_LOOP('',(#308871,#308872,#308873,#308874)); #58829=EDGE_LOOP('',(#308875,#308876,#308877,#308878)); #58830=EDGE_LOOP('',(#308879,#308880,#308881,#308882)); #58831=EDGE_LOOP('',(#308883,#308884,#308885,#308886)); #58832=EDGE_LOOP('',(#308887,#308888,#308889,#308890)); #58833=EDGE_LOOP('',(#308891,#308892,#308893,#308894)); #58834=EDGE_LOOP('',(#308895,#308896,#308897,#308898)); #58835=EDGE_LOOP('',(#308899,#308900,#308901,#308902)); #58836=EDGE_LOOP('',(#308903,#308904,#308905,#308906)); #58837=EDGE_LOOP('',(#308907,#308908,#308909,#308910)); #58838=EDGE_LOOP('',(#308911,#308912,#308913,#308914)); #58839=EDGE_LOOP('',(#308915,#308916,#308917,#308918)); #58840=EDGE_LOOP('',(#308919,#308920,#308921,#308922)); #58841=EDGE_LOOP('',(#308923,#308924,#308925,#308926)); #58842=EDGE_LOOP('',(#308927,#308928,#308929,#308930)); #58843=EDGE_LOOP('',(#308931,#308932,#308933,#308934)); #58844=EDGE_LOOP('',(#308935,#308936,#308937,#308938)); #58845=EDGE_LOOP('',(#308939,#308940,#308941,#308942)); #58846=EDGE_LOOP('',(#308943,#308944,#308945,#308946)); #58847=EDGE_LOOP('',(#308947,#308948,#308949,#308950)); #58848=EDGE_LOOP('',(#308951,#308952,#308953,#308954)); #58849=EDGE_LOOP('',(#308955,#308956,#308957,#308958)); #58850=EDGE_LOOP('',(#308959,#308960,#308961,#308962)); #58851=EDGE_LOOP('',(#308963,#308964,#308965,#308966)); #58852=EDGE_LOOP('',(#308967,#308968,#308969,#308970)); #58853=EDGE_LOOP('',(#308971,#308972,#308973,#308974)); #58854=EDGE_LOOP('',(#308975,#308976,#308977,#308978)); #58855=EDGE_LOOP('',(#308979,#308980,#308981,#308982)); #58856=EDGE_LOOP('',(#308983,#308984,#308985,#308986)); #58857=EDGE_LOOP('',(#308987,#308988,#308989,#308990)); #58858=EDGE_LOOP('',(#308991,#308992,#308993,#308994)); #58859=EDGE_LOOP('',(#308995,#308996,#308997,#308998)); #58860=EDGE_LOOP('',(#308999,#309000,#309001,#309002)); #58861=EDGE_LOOP('',(#309003,#309004,#309005,#309006)); #58862=EDGE_LOOP('',(#309007,#309008,#309009,#309010)); #58863=EDGE_LOOP('',(#309011,#309012,#309013,#309014)); #58864=EDGE_LOOP('',(#309015,#309016,#309017,#309018)); #58865=EDGE_LOOP('',(#309019,#309020,#309021,#309022)); #58866=EDGE_LOOP('',(#309023,#309024,#309025,#309026)); #58867=EDGE_LOOP('',(#309027,#309028,#309029,#309030)); #58868=EDGE_LOOP('',(#309031,#309032,#309033,#309034)); #58869=EDGE_LOOP('',(#309035,#309036,#309037,#309038)); #58870=EDGE_LOOP('',(#309039,#309040,#309041,#309042)); #58871=EDGE_LOOP('',(#309043,#309044,#309045,#309046)); #58872=EDGE_LOOP('',(#309047,#309048,#309049,#309050)); #58873=EDGE_LOOP('',(#309051,#309052,#309053,#309054)); #58874=EDGE_LOOP('',(#309055,#309056,#309057,#309058)); #58875=EDGE_LOOP('',(#309059,#309060,#309061,#309062)); #58876=EDGE_LOOP('',(#309063,#309064,#309065,#309066)); #58877=EDGE_LOOP('',(#309067,#309068,#309069,#309070)); #58878=EDGE_LOOP('',(#309071,#309072,#309073,#309074)); #58879=EDGE_LOOP('',(#309075,#309076,#309077,#309078)); #58880=EDGE_LOOP('',(#309079,#309080,#309081,#309082)); #58881=EDGE_LOOP('',(#309083,#309084,#309085,#309086)); #58882=EDGE_LOOP('',(#309087,#309088,#309089,#309090)); #58883=EDGE_LOOP('',(#309091,#309092,#309093,#309094)); #58884=EDGE_LOOP('',(#309095,#309096,#309097,#309098)); #58885=EDGE_LOOP('',(#309099,#309100,#309101,#309102)); #58886=EDGE_LOOP('',(#309103,#309104,#309105,#309106)); #58887=EDGE_LOOP('',(#309107,#309108,#309109,#309110)); #58888=EDGE_LOOP('',(#309111,#309112,#309113,#309114)); #58889=EDGE_LOOP('',(#309115,#309116,#309117,#309118)); #58890=EDGE_LOOP('',(#309119,#309120,#309121,#309122)); #58891=EDGE_LOOP('',(#309123,#309124,#309125,#309126)); #58892=EDGE_LOOP('',(#309127,#309128,#309129,#309130)); #58893=EDGE_LOOP('',(#309131,#309132,#309133,#309134)); #58894=EDGE_LOOP('',(#309135,#309136,#309137,#309138)); #58895=EDGE_LOOP('',(#309139,#309140,#309141,#309142)); #58896=EDGE_LOOP('',(#309143,#309144,#309145,#309146)); #58897=EDGE_LOOP('',(#309147,#309148,#309149,#309150)); #58898=EDGE_LOOP('',(#309151,#309152,#309153,#309154)); #58899=EDGE_LOOP('',(#309155,#309156,#309157,#309158)); #58900=EDGE_LOOP('',(#309159,#309160,#309161,#309162)); #58901=EDGE_LOOP('',(#309163,#309164,#309165,#309166)); #58902=EDGE_LOOP('',(#309167,#309168,#309169,#309170)); #58903=EDGE_LOOP('',(#309171,#309172,#309173,#309174)); #58904=EDGE_LOOP('',(#309175,#309176,#309177,#309178)); #58905=EDGE_LOOP('',(#309179,#309180,#309181,#309182)); #58906=EDGE_LOOP('',(#309183,#309184,#309185,#309186)); #58907=EDGE_LOOP('',(#309187,#309188,#309189,#309190)); #58908=EDGE_LOOP('',(#309191,#309192,#309193,#309194)); #58909=EDGE_LOOP('',(#309195,#309196,#309197,#309198)); #58910=EDGE_LOOP('',(#309199,#309200,#309201,#309202)); #58911=EDGE_LOOP('',(#309203,#309204,#309205,#309206)); #58912=EDGE_LOOP('',(#309207,#309208,#309209,#309210)); #58913=EDGE_LOOP('',(#309211,#309212,#309213,#309214)); #58914=EDGE_LOOP('',(#309215,#309216,#309217,#309218)); #58915=EDGE_LOOP('',(#309219,#309220,#309221,#309222)); #58916=EDGE_LOOP('',(#309223,#309224,#309225,#309226)); #58917=EDGE_LOOP('',(#309227,#309228,#309229,#309230)); #58918=EDGE_LOOP('',(#309231,#309232,#309233,#309234)); #58919=EDGE_LOOP('',(#309235,#309236,#309237,#309238)); #58920=EDGE_LOOP('',(#309239,#309240,#309241,#309242)); #58921=EDGE_LOOP('',(#309243,#309244,#309245,#309246)); #58922=EDGE_LOOP('',(#309247,#309248,#309249,#309250)); #58923=EDGE_LOOP('',(#309251,#309252,#309253,#309254)); #58924=EDGE_LOOP('',(#309255,#309256,#309257,#309258)); #58925=EDGE_LOOP('',(#309259,#309260,#309261,#309262)); #58926=EDGE_LOOP('',(#309263,#309264,#309265,#309266)); #58927=EDGE_LOOP('',(#309267,#309268,#309269,#309270)); #58928=EDGE_LOOP('',(#309271,#309272,#309273,#309274)); #58929=EDGE_LOOP('',(#309275,#309276,#309277,#309278)); #58930=EDGE_LOOP('',(#309279,#309280,#309281,#309282)); #58931=EDGE_LOOP('',(#309283,#309284,#309285,#309286)); #58932=EDGE_LOOP('',(#309287,#309288,#309289,#309290)); #58933=EDGE_LOOP('',(#309291,#309292,#309293,#309294)); #58934=EDGE_LOOP('',(#309295,#309296,#309297,#309298)); #58935=EDGE_LOOP('',(#309299,#309300,#309301,#309302)); #58936=EDGE_LOOP('',(#309303,#309304,#309305,#309306)); #58937=EDGE_LOOP('',(#309307,#309308,#309309,#309310)); #58938=EDGE_LOOP('',(#309311,#309312,#309313,#309314)); #58939=EDGE_LOOP('',(#309315,#309316,#309317,#309318)); #58940=EDGE_LOOP('',(#309319,#309320,#309321,#309322)); #58941=EDGE_LOOP('',(#309323,#309324,#309325,#309326)); #58942=EDGE_LOOP('',(#309327,#309328,#309329,#309330)); #58943=EDGE_LOOP('',(#309331,#309332,#309333,#309334)); #58944=EDGE_LOOP('',(#309335,#309336,#309337,#309338)); #58945=EDGE_LOOP('',(#309339,#309340,#309341,#309342)); #58946=EDGE_LOOP('',(#309343,#309344,#309345,#309346)); #58947=EDGE_LOOP('',(#309347,#309348,#309349,#309350)); #58948=EDGE_LOOP('',(#309351,#309352,#309353,#309354)); #58949=EDGE_LOOP('',(#309355,#309356,#309357,#309358)); #58950=EDGE_LOOP('',(#309359,#309360,#309361,#309362)); #58951=EDGE_LOOP('',(#309363,#309364,#309365,#309366)); #58952=EDGE_LOOP('',(#309367,#309368,#309369,#309370)); #58953=EDGE_LOOP('',(#309371,#309372,#309373,#309374)); #58954=EDGE_LOOP('',(#309375,#309376,#309377,#309378)); #58955=EDGE_LOOP('',(#309379,#309380,#309381,#309382)); #58956=EDGE_LOOP('',(#309383)); #58957=EDGE_LOOP('',(#309384)); #58958=EDGE_LOOP('',(#309385)); #58959=EDGE_LOOP('',(#309386)); #58960=EDGE_LOOP('',(#309387)); #58961=EDGE_LOOP('',(#309388)); #58962=EDGE_LOOP('',(#309389)); #58963=EDGE_LOOP('',(#309390)); #58964=EDGE_LOOP('',(#309391)); #58965=EDGE_LOOP('',(#309392)); #58966=EDGE_LOOP('',(#309393)); #58967=EDGE_LOOP('',(#309394)); #58968=EDGE_LOOP('',(#309395)); #58969=EDGE_LOOP('',(#309396)); #58970=EDGE_LOOP('',(#309397)); #58971=EDGE_LOOP('',(#309398)); #58972=EDGE_LOOP('',(#309399)); #58973=EDGE_LOOP('',(#309400)); #58974=EDGE_LOOP('',(#309401)); #58975=EDGE_LOOP('',(#309402)); #58976=EDGE_LOOP('',(#309403)); #58977=EDGE_LOOP('',(#309404)); #58978=EDGE_LOOP('',(#309405)); #58979=EDGE_LOOP('',(#309406)); #58980=EDGE_LOOP('',(#309407)); #58981=EDGE_LOOP('',(#309408)); #58982=EDGE_LOOP('',(#309409)); #58983=EDGE_LOOP('',(#309410)); #58984=EDGE_LOOP('',(#309411)); #58985=EDGE_LOOP('',(#309412)); #58986=EDGE_LOOP('',(#309413)); #58987=EDGE_LOOP('',(#309414)); #58988=EDGE_LOOP('',(#309415)); #58989=EDGE_LOOP('',(#309416)); #58990=EDGE_LOOP('',(#309417)); #58991=EDGE_LOOP('',(#309418)); #58992=EDGE_LOOP('',(#309419)); #58993=EDGE_LOOP('',(#309420)); #58994=EDGE_LOOP('',(#309421)); #58995=EDGE_LOOP('',(#309422)); #58996=EDGE_LOOP('',(#309423)); #58997=EDGE_LOOP('',(#309424)); #58998=EDGE_LOOP('',(#309425)); #58999=EDGE_LOOP('',(#309426)); #59000=EDGE_LOOP('',(#309427)); #59001=EDGE_LOOP('',(#309428)); #59002=EDGE_LOOP('',(#309429)); #59003=EDGE_LOOP('',(#309430)); #59004=EDGE_LOOP('',(#309431)); #59005=EDGE_LOOP('',(#309432)); #59006=EDGE_LOOP('',(#309433)); #59007=EDGE_LOOP('',(#309434)); #59008=EDGE_LOOP('',(#309435)); #59009=EDGE_LOOP('',(#309436)); #59010=EDGE_LOOP('',(#309437)); #59011=EDGE_LOOP('',(#309438)); #59012=EDGE_LOOP('',(#309439)); #59013=EDGE_LOOP('',(#309440)); #59014=EDGE_LOOP('',(#309441)); #59015=EDGE_LOOP('',(#309442)); #59016=EDGE_LOOP('',(#309443)); #59017=EDGE_LOOP('',(#309444)); #59018=EDGE_LOOP('',(#309445)); #59019=EDGE_LOOP('',(#309446)); #59020=EDGE_LOOP('',(#309447)); #59021=EDGE_LOOP('',(#309448)); #59022=EDGE_LOOP('',(#309449)); #59023=EDGE_LOOP('',(#309450)); #59024=EDGE_LOOP('',(#309451)); #59025=EDGE_LOOP('',(#309452)); #59026=EDGE_LOOP('',(#309453)); #59027=EDGE_LOOP('',(#309454)); #59028=EDGE_LOOP('',(#309455)); #59029=EDGE_LOOP('',(#309456)); #59030=EDGE_LOOP('',(#309457)); #59031=EDGE_LOOP('',(#309458)); #59032=EDGE_LOOP('',(#309459)); #59033=EDGE_LOOP('',(#309460)); #59034=EDGE_LOOP('',(#309461)); #59035=EDGE_LOOP('',(#309462)); #59036=EDGE_LOOP('',(#309463)); #59037=EDGE_LOOP('',(#309464)); #59038=EDGE_LOOP('',(#309465)); #59039=EDGE_LOOP('',(#309466)); #59040=EDGE_LOOP('',(#309467)); #59041=EDGE_LOOP('',(#309468)); #59042=EDGE_LOOP('',(#309469)); #59043=EDGE_LOOP('',(#309470)); #59044=EDGE_LOOP('',(#309471)); #59045=EDGE_LOOP('',(#309472)); #59046=EDGE_LOOP('',(#309473)); #59047=EDGE_LOOP('',(#309474)); #59048=EDGE_LOOP('',(#309475)); #59049=EDGE_LOOP('',(#309476)); #59050=EDGE_LOOP('',(#309477)); #59051=EDGE_LOOP('',(#309478)); #59052=EDGE_LOOP('',(#309479)); #59053=EDGE_LOOP('',(#309480)); #59054=EDGE_LOOP('',(#309481)); #59055=EDGE_LOOP('',(#309482)); #59056=EDGE_LOOP('',(#309483)); #59057=EDGE_LOOP('',(#309484)); #59058=EDGE_LOOP('',(#309485)); #59059=EDGE_LOOP('',(#309486)); #59060=EDGE_LOOP('',(#309487)); #59061=EDGE_LOOP('',(#309488)); #59062=EDGE_LOOP('',(#309489)); #59063=EDGE_LOOP('',(#309490)); #59064=EDGE_LOOP('',(#309491)); #59065=EDGE_LOOP('',(#309492)); #59066=EDGE_LOOP('',(#309493)); #59067=EDGE_LOOP('',(#309494)); #59068=EDGE_LOOP('',(#309495)); #59069=EDGE_LOOP('',(#309496)); #59070=EDGE_LOOP('',(#309497)); #59071=EDGE_LOOP('',(#309498)); #59072=EDGE_LOOP('',(#309499)); #59073=EDGE_LOOP('',(#309500)); #59074=EDGE_LOOP('',(#309501)); #59075=EDGE_LOOP('',(#309502)); #59076=EDGE_LOOP('',(#309503)); #59077=EDGE_LOOP('',(#309504)); #59078=EDGE_LOOP('',(#309505)); #59079=EDGE_LOOP('',(#309506)); #59080=EDGE_LOOP('',(#309507)); #59081=EDGE_LOOP('',(#309508)); #59082=EDGE_LOOP('',(#309509)); #59083=EDGE_LOOP('',(#309510)); #59084=EDGE_LOOP('',(#309511)); #59085=EDGE_LOOP('',(#309512)); #59086=EDGE_LOOP('',(#309513)); #59087=EDGE_LOOP('',(#309514)); #59088=EDGE_LOOP('',(#309515)); #59089=EDGE_LOOP('',(#309516)); #59090=EDGE_LOOP('',(#309517)); #59091=EDGE_LOOP('',(#309518)); #59092=EDGE_LOOP('',(#309519)); #59093=EDGE_LOOP('',(#309520)); #59094=EDGE_LOOP('',(#309521)); #59095=EDGE_LOOP('',(#309522)); #59096=EDGE_LOOP('',(#309523)); #59097=EDGE_LOOP('',(#309524)); #59098=EDGE_LOOP('',(#309525)); #59099=EDGE_LOOP('',(#309526)); #59100=EDGE_LOOP('',(#309527)); #59101=EDGE_LOOP('',(#309528)); #59102=EDGE_LOOP('',(#309529)); #59103=EDGE_LOOP('',(#309530)); #59104=EDGE_LOOP('',(#309531)); #59105=EDGE_LOOP('',(#309532)); #59106=EDGE_LOOP('',(#309533)); #59107=EDGE_LOOP('',(#309534)); #59108=EDGE_LOOP('',(#309535)); #59109=EDGE_LOOP('',(#309536)); #59110=EDGE_LOOP('',(#309537)); #59111=EDGE_LOOP('',(#309538)); #59112=EDGE_LOOP('',(#309539)); #59113=EDGE_LOOP('',(#309540)); #59114=EDGE_LOOP('',(#309541)); #59115=EDGE_LOOP('',(#309542)); #59116=EDGE_LOOP('',(#309543)); #59117=EDGE_LOOP('',(#309544)); #59118=EDGE_LOOP('',(#309545)); #59119=EDGE_LOOP('',(#309546)); #59120=EDGE_LOOP('',(#309547,#309548,#309549,#309550)); #59121=EDGE_LOOP('',(#309551)); #59122=EDGE_LOOP('',(#309552,#309553,#309554,#309555)); #59123=EDGE_LOOP('',(#309556)); #59124=EDGE_LOOP('',(#309557)); #59125=EDGE_LOOP('',(#309558)); #59126=EDGE_LOOP('',(#309559,#309560,#309561,#309562)); #59127=EDGE_LOOP('',(#309563)); #59128=EDGE_LOOP('',(#309564)); #59129=EDGE_LOOP('',(#309565)); #59130=EDGE_LOOP('',(#309566)); #59131=EDGE_LOOP('',(#309567)); #59132=EDGE_LOOP('',(#309568)); #59133=EDGE_LOOP('',(#309569)); #59134=EDGE_LOOP('',(#309570)); #59135=EDGE_LOOP('',(#309571)); #59136=EDGE_LOOP('',(#309572)); #59137=EDGE_LOOP('',(#309573,#309574,#309575,#309576)); #59138=EDGE_LOOP('',(#309577)); #59139=EDGE_LOOP('',(#309578,#309579,#309580,#309581)); #59140=EDGE_LOOP('',(#309582)); #59141=EDGE_LOOP('',(#309583,#309584,#309585,#309586)); #59142=EDGE_LOOP('',(#309587)); #59143=EDGE_LOOP('',(#309588)); #59144=EDGE_LOOP('',(#309589)); #59145=EDGE_LOOP('',(#309590)); #59146=EDGE_LOOP('',(#309591)); #59147=EDGE_LOOP('',(#309592)); #59148=EDGE_LOOP('',(#309593)); #59149=EDGE_LOOP('',(#309594)); #59150=EDGE_LOOP('',(#309595)); #59151=EDGE_LOOP('',(#309596)); #59152=EDGE_LOOP('',(#309597)); #59153=EDGE_LOOP('',(#309598)); #59154=EDGE_LOOP('',(#309599)); #59155=EDGE_LOOP('',(#309600)); #59156=EDGE_LOOP('',(#309601)); #59157=EDGE_LOOP('',(#309602)); #59158=EDGE_LOOP('',(#309603)); #59159=EDGE_LOOP('',(#309604)); #59160=EDGE_LOOP('',(#309605)); #59161=EDGE_LOOP('',(#309606)); #59162=EDGE_LOOP('',(#309607)); #59163=EDGE_LOOP('',(#309608)); #59164=EDGE_LOOP('',(#309609)); #59165=EDGE_LOOP('',(#309610)); #59166=EDGE_LOOP('',(#309611)); #59167=EDGE_LOOP('',(#309612)); #59168=EDGE_LOOP('',(#309613)); #59169=EDGE_LOOP('',(#309614)); #59170=EDGE_LOOP('',(#309615)); #59171=EDGE_LOOP('',(#309616)); #59172=EDGE_LOOP('',(#309617)); #59173=EDGE_LOOP('',(#309618)); #59174=EDGE_LOOP('',(#309619)); #59175=EDGE_LOOP('',(#309620)); #59176=EDGE_LOOP('',(#309621)); #59177=EDGE_LOOP('',(#309622)); #59178=EDGE_LOOP('',(#309623)); #59179=EDGE_LOOP('',(#309624)); #59180=EDGE_LOOP('',(#309625)); #59181=EDGE_LOOP('',(#309626)); #59182=EDGE_LOOP('',(#309627)); #59183=EDGE_LOOP('',(#309628)); #59184=EDGE_LOOP('',(#309629)); #59185=EDGE_LOOP('',(#309630)); #59186=EDGE_LOOP('',(#309631)); #59187=EDGE_LOOP('',(#309632)); #59188=EDGE_LOOP('',(#309633)); #59189=EDGE_LOOP('',(#309634)); #59190=EDGE_LOOP('',(#309635)); #59191=EDGE_LOOP('',(#309636)); #59192=EDGE_LOOP('',(#309637)); #59193=EDGE_LOOP('',(#309638)); #59194=EDGE_LOOP('',(#309639)); #59195=EDGE_LOOP('',(#309640)); #59196=EDGE_LOOP('',(#309641)); #59197=EDGE_LOOP('',(#309642)); #59198=EDGE_LOOP('',(#309643)); #59199=EDGE_LOOP('',(#309644)); #59200=EDGE_LOOP('',(#309645)); #59201=EDGE_LOOP('',(#309646)); #59202=EDGE_LOOP('',(#309647)); #59203=EDGE_LOOP('',(#309648)); #59204=EDGE_LOOP('',(#309649)); #59205=EDGE_LOOP('',(#309650)); #59206=EDGE_LOOP('',(#309651)); #59207=EDGE_LOOP('',(#309652)); #59208=EDGE_LOOP('',(#309653)); #59209=EDGE_LOOP('',(#309654)); #59210=EDGE_LOOP('',(#309655)); #59211=EDGE_LOOP('',(#309656)); #59212=EDGE_LOOP('',(#309657)); #59213=EDGE_LOOP('',(#309658)); #59214=EDGE_LOOP('',(#309659)); #59215=EDGE_LOOP('',(#309660)); #59216=EDGE_LOOP('',(#309661)); #59217=EDGE_LOOP('',(#309662)); #59218=EDGE_LOOP('',(#309663)); #59219=EDGE_LOOP('',(#309664)); #59220=EDGE_LOOP('',(#309665)); #59221=EDGE_LOOP('',(#309666)); #59222=EDGE_LOOP('',(#309667)); #59223=EDGE_LOOP('',(#309668)); #59224=EDGE_LOOP('',(#309669)); #59225=EDGE_LOOP('',(#309670)); #59226=EDGE_LOOP('',(#309671)); #59227=EDGE_LOOP('',(#309672)); #59228=EDGE_LOOP('',(#309673)); #59229=EDGE_LOOP('',(#309674)); #59230=EDGE_LOOP('',(#309675)); #59231=EDGE_LOOP('',(#309676)); #59232=EDGE_LOOP('',(#309677)); #59233=EDGE_LOOP('',(#309678)); #59234=EDGE_LOOP('',(#309679)); #59235=EDGE_LOOP('',(#309680)); #59236=EDGE_LOOP('',(#309681)); #59237=EDGE_LOOP('',(#309682)); #59238=EDGE_LOOP('',(#309683)); #59239=EDGE_LOOP('',(#309684)); #59240=EDGE_LOOP('',(#309685)); #59241=EDGE_LOOP('',(#309686)); #59242=EDGE_LOOP('',(#309687)); #59243=EDGE_LOOP('',(#309688)); #59244=EDGE_LOOP('',(#309689)); #59245=EDGE_LOOP('',(#309690)); #59246=EDGE_LOOP('',(#309691)); #59247=EDGE_LOOP('',(#309692)); #59248=EDGE_LOOP('',(#309693)); #59249=EDGE_LOOP('',(#309694)); #59250=EDGE_LOOP('',(#309695)); #59251=EDGE_LOOP('',(#309696)); #59252=EDGE_LOOP('',(#309697)); #59253=EDGE_LOOP('',(#309698)); #59254=EDGE_LOOP('',(#309699)); #59255=EDGE_LOOP('',(#309700)); #59256=EDGE_LOOP('',(#309701)); #59257=EDGE_LOOP('',(#309702)); #59258=EDGE_LOOP('',(#309703)); #59259=EDGE_LOOP('',(#309704)); #59260=EDGE_LOOP('',(#309705)); #59261=EDGE_LOOP('',(#309706)); #59262=EDGE_LOOP('',(#309707)); #59263=EDGE_LOOP('',(#309708)); #59264=EDGE_LOOP('',(#309709)); #59265=EDGE_LOOP('',(#309710)); #59266=EDGE_LOOP('',(#309711)); #59267=EDGE_LOOP('',(#309712)); #59268=EDGE_LOOP('',(#309713)); #59269=EDGE_LOOP('',(#309714)); #59270=EDGE_LOOP('',(#309715)); #59271=EDGE_LOOP('',(#309716)); #59272=EDGE_LOOP('',(#309717)); #59273=EDGE_LOOP('',(#309718)); #59274=EDGE_LOOP('',(#309719)); #59275=EDGE_LOOP('',(#309720)); #59276=EDGE_LOOP('',(#309721)); #59277=EDGE_LOOP('',(#309722)); #59278=EDGE_LOOP('',(#309723)); #59279=EDGE_LOOP('',(#309724)); #59280=EDGE_LOOP('',(#309725)); #59281=EDGE_LOOP('',(#309726)); #59282=EDGE_LOOP('',(#309727)); #59283=EDGE_LOOP('',(#309728)); #59284=EDGE_LOOP('',(#309729)); #59285=EDGE_LOOP('',(#309730)); #59286=EDGE_LOOP('',(#309731)); #59287=EDGE_LOOP('',(#309732)); #59288=EDGE_LOOP('',(#309733)); #59289=EDGE_LOOP('',(#309734)); #59290=EDGE_LOOP('',(#309735)); #59291=EDGE_LOOP('',(#309736)); #59292=EDGE_LOOP('',(#309737)); #59293=EDGE_LOOP('',(#309738)); #59294=EDGE_LOOP('',(#309739)); #59295=EDGE_LOOP('',(#309740)); #59296=EDGE_LOOP('',(#309741)); #59297=EDGE_LOOP('',(#309742)); #59298=EDGE_LOOP('',(#309743)); #59299=EDGE_LOOP('',(#309744)); #59300=EDGE_LOOP('',(#309745)); #59301=EDGE_LOOP('',(#309746)); #59302=EDGE_LOOP('',(#309747)); #59303=EDGE_LOOP('',(#309748)); #59304=EDGE_LOOP('',(#309749)); #59305=EDGE_LOOP('',(#309750)); #59306=EDGE_LOOP('',(#309751)); #59307=EDGE_LOOP('',(#309752)); #59308=EDGE_LOOP('',(#309753)); #59309=EDGE_LOOP('',(#309754)); #59310=EDGE_LOOP('',(#309755)); #59311=EDGE_LOOP('',(#309756)); #59312=EDGE_LOOP('',(#309757)); #59313=EDGE_LOOP('',(#309758)); #59314=EDGE_LOOP('',(#309759)); #59315=EDGE_LOOP('',(#309760)); #59316=EDGE_LOOP('',(#309761)); #59317=EDGE_LOOP('',(#309762)); #59318=EDGE_LOOP('',(#309763)); #59319=EDGE_LOOP('',(#309764)); #59320=EDGE_LOOP('',(#309765)); #59321=EDGE_LOOP('',(#309766)); #59322=EDGE_LOOP('',(#309767)); #59323=EDGE_LOOP('',(#309768)); #59324=EDGE_LOOP('',(#309769)); #59325=EDGE_LOOP('',(#309770)); #59326=EDGE_LOOP('',(#309771)); #59327=EDGE_LOOP('',(#309772)); #59328=EDGE_LOOP('',(#309773)); #59329=EDGE_LOOP('',(#309774)); #59330=EDGE_LOOP('',(#309775)); #59331=EDGE_LOOP('',(#309776)); #59332=EDGE_LOOP('',(#309777)); #59333=EDGE_LOOP('',(#309778)); #59334=EDGE_LOOP('',(#309779)); #59335=EDGE_LOOP('',(#309780)); #59336=EDGE_LOOP('',(#309781)); #59337=EDGE_LOOP('',(#309782)); #59338=EDGE_LOOP('',(#309783)); #59339=EDGE_LOOP('',(#309784)); #59340=EDGE_LOOP('',(#309785)); #59341=EDGE_LOOP('',(#309786)); #59342=EDGE_LOOP('',(#309787)); #59343=EDGE_LOOP('',(#309788)); #59344=EDGE_LOOP('',(#309789)); #59345=EDGE_LOOP('',(#309790)); #59346=EDGE_LOOP('',(#309791)); #59347=EDGE_LOOP('',(#309792)); #59348=EDGE_LOOP('',(#309793)); #59349=EDGE_LOOP('',(#309794)); #59350=EDGE_LOOP('',(#309795)); #59351=EDGE_LOOP('',(#309796)); #59352=EDGE_LOOP('',(#309797)); #59353=EDGE_LOOP('',(#309798)); #59354=EDGE_LOOP('',(#309799)); #59355=EDGE_LOOP('',(#309800)); #59356=EDGE_LOOP('',(#309801)); #59357=EDGE_LOOP('',(#309802)); #59358=EDGE_LOOP('',(#309803)); #59359=EDGE_LOOP('',(#309804)); #59360=EDGE_LOOP('',(#309805)); #59361=EDGE_LOOP('',(#309806)); #59362=EDGE_LOOP('',(#309807)); #59363=EDGE_LOOP('',(#309808)); #59364=EDGE_LOOP('',(#309809)); #59365=EDGE_LOOP('',(#309810)); #59366=EDGE_LOOP('',(#309811)); #59367=EDGE_LOOP('',(#309812)); #59368=EDGE_LOOP('',(#309813)); #59369=EDGE_LOOP('',(#309814)); #59370=EDGE_LOOP('',(#309815)); #59371=EDGE_LOOP('',(#309816)); #59372=EDGE_LOOP('',(#309817)); #59373=EDGE_LOOP('',(#309818)); #59374=EDGE_LOOP('',(#309819)); #59375=EDGE_LOOP('',(#309820)); #59376=EDGE_LOOP('',(#309821)); #59377=EDGE_LOOP('',(#309822)); #59378=EDGE_LOOP('',(#309823)); #59379=EDGE_LOOP('',(#309824)); #59380=EDGE_LOOP('',(#309825)); #59381=EDGE_LOOP('',(#309826)); #59382=EDGE_LOOP('',(#309827)); #59383=EDGE_LOOP('',(#309828)); #59384=EDGE_LOOP('',(#309829)); #59385=EDGE_LOOP('',(#309830)); #59386=EDGE_LOOP('',(#309831)); #59387=EDGE_LOOP('',(#309832)); #59388=EDGE_LOOP('',(#309833)); #59389=EDGE_LOOP('',(#309834)); #59390=EDGE_LOOP('',(#309835)); #59391=EDGE_LOOP('',(#309836)); #59392=EDGE_LOOP('',(#309837)); #59393=EDGE_LOOP('',(#309838)); #59394=EDGE_LOOP('',(#309839)); #59395=EDGE_LOOP('',(#309840)); #59396=EDGE_LOOP('',(#309841)); #59397=EDGE_LOOP('',(#309842)); #59398=EDGE_LOOP('',(#309843)); #59399=EDGE_LOOP('',(#309844)); #59400=EDGE_LOOP('',(#309845)); #59401=EDGE_LOOP('',(#309846)); #59402=EDGE_LOOP('',(#309847)); #59403=EDGE_LOOP('',(#309848)); #59404=EDGE_LOOP('',(#309849)); #59405=EDGE_LOOP('',(#309850)); #59406=EDGE_LOOP('',(#309851)); #59407=EDGE_LOOP('',(#309852)); #59408=EDGE_LOOP('',(#309853)); #59409=EDGE_LOOP('',(#309854)); #59410=EDGE_LOOP('',(#309855)); #59411=EDGE_LOOP('',(#309856)); #59412=EDGE_LOOP('',(#309857)); #59413=EDGE_LOOP('',(#309858)); #59414=EDGE_LOOP('',(#309859)); #59415=EDGE_LOOP('',(#309860)); #59416=EDGE_LOOP('',(#309861)); #59417=EDGE_LOOP('',(#309862)); #59418=EDGE_LOOP('',(#309863)); #59419=EDGE_LOOP('',(#309864)); #59420=EDGE_LOOP('',(#309865)); #59421=EDGE_LOOP('',(#309866)); #59422=EDGE_LOOP('',(#309867)); #59423=EDGE_LOOP('',(#309868)); #59424=EDGE_LOOP('',(#309869)); #59425=EDGE_LOOP('',(#309870)); #59426=EDGE_LOOP('',(#309871)); #59427=EDGE_LOOP('',(#309872)); #59428=EDGE_LOOP('',(#309873)); #59429=EDGE_LOOP('',(#309874)); #59430=EDGE_LOOP('',(#309875)); #59431=EDGE_LOOP('',(#309876)); #59432=EDGE_LOOP('',(#309877)); #59433=EDGE_LOOP('',(#309878)); #59434=EDGE_LOOP('',(#309879)); #59435=EDGE_LOOP('',(#309880)); #59436=EDGE_LOOP('',(#309881)); #59437=EDGE_LOOP('',(#309882)); #59438=EDGE_LOOP('',(#309883,#309884,#309885,#309886)); #59439=EDGE_LOOP('',(#309887)); #59440=EDGE_LOOP('',(#309888,#309889,#309890,#309891)); #59441=EDGE_LOOP('',(#309892)); #59442=EDGE_LOOP('',(#309893)); #59443=EDGE_LOOP('',(#309894)); #59444=EDGE_LOOP('',(#309895,#309896,#309897,#309898)); #59445=EDGE_LOOP('',(#309899)); #59446=EDGE_LOOP('',(#309900)); #59447=EDGE_LOOP('',(#309901)); #59448=EDGE_LOOP('',(#309902)); #59449=EDGE_LOOP('',(#309903)); #59450=EDGE_LOOP('',(#309904)); #59451=EDGE_LOOP('',(#309905)); #59452=EDGE_LOOP('',(#309906)); #59453=EDGE_LOOP('',(#309907)); #59454=EDGE_LOOP('',(#309908)); #59455=EDGE_LOOP('',(#309909,#309910,#309911,#309912)); #59456=EDGE_LOOP('',(#309913)); #59457=EDGE_LOOP('',(#309914,#309915,#309916,#309917)); #59458=EDGE_LOOP('',(#309918)); #59459=EDGE_LOOP('',(#309919,#309920,#309921,#309922)); #59460=EDGE_LOOP('',(#309923)); #59461=EDGE_LOOP('',(#309924)); #59462=EDGE_LOOP('',(#309925)); #59463=EDGE_LOOP('',(#309926)); #59464=EDGE_LOOP('',(#309927)); #59465=EDGE_LOOP('',(#309928)); #59466=EDGE_LOOP('',(#309929)); #59467=EDGE_LOOP('',(#309930)); #59468=EDGE_LOOP('',(#309931)); #59469=EDGE_LOOP('',(#309932)); #59470=EDGE_LOOP('',(#309933)); #59471=EDGE_LOOP('',(#309934)); #59472=EDGE_LOOP('',(#309935)); #59473=EDGE_LOOP('',(#309936)); #59474=EDGE_LOOP('',(#309937)); #59475=EDGE_LOOP('',(#309938)); #59476=EDGE_LOOP('',(#309939)); #59477=EDGE_LOOP('',(#309940)); #59478=EDGE_LOOP('',(#309941)); #59479=EDGE_LOOP('',(#309942)); #59480=EDGE_LOOP('',(#309943)); #59481=EDGE_LOOP('',(#309944)); #59482=EDGE_LOOP('',(#309945)); #59483=EDGE_LOOP('',(#309946)); #59484=EDGE_LOOP('',(#309947)); #59485=EDGE_LOOP('',(#309948)); #59486=EDGE_LOOP('',(#309949)); #59487=EDGE_LOOP('',(#309950)); #59488=EDGE_LOOP('',(#309951)); #59489=EDGE_LOOP('',(#309952)); #59490=EDGE_LOOP('',(#309953)); #59491=EDGE_LOOP('',(#309954)); #59492=EDGE_LOOP('',(#309955)); #59493=EDGE_LOOP('',(#309956)); #59494=EDGE_LOOP('',(#309957)); #59495=EDGE_LOOP('',(#309958)); #59496=EDGE_LOOP('',(#309959)); #59497=EDGE_LOOP('',(#309960)); #59498=EDGE_LOOP('',(#309961)); #59499=EDGE_LOOP('',(#309962)); #59500=EDGE_LOOP('',(#309963)); #59501=EDGE_LOOP('',(#309964)); #59502=EDGE_LOOP('',(#309965)); #59503=EDGE_LOOP('',(#309966)); #59504=EDGE_LOOP('',(#309967)); #59505=EDGE_LOOP('',(#309968)); #59506=EDGE_LOOP('',(#309969)); #59507=EDGE_LOOP('',(#309970)); #59508=EDGE_LOOP('',(#309971)); #59509=EDGE_LOOP('',(#309972)); #59510=EDGE_LOOP('',(#309973)); #59511=EDGE_LOOP('',(#309974)); #59512=EDGE_LOOP('',(#309975)); #59513=EDGE_LOOP('',(#309976)); #59514=EDGE_LOOP('',(#309977)); #59515=EDGE_LOOP('',(#309978)); #59516=EDGE_LOOP('',(#309979)); #59517=EDGE_LOOP('',(#309980)); #59518=EDGE_LOOP('',(#309981)); #59519=EDGE_LOOP('',(#309982)); #59520=EDGE_LOOP('',(#309983)); #59521=EDGE_LOOP('',(#309984)); #59522=EDGE_LOOP('',(#309985)); #59523=EDGE_LOOP('',(#309986)); #59524=EDGE_LOOP('',(#309987)); #59525=EDGE_LOOP('',(#309988)); #59526=EDGE_LOOP('',(#309989)); #59527=EDGE_LOOP('',(#309990)); #59528=EDGE_LOOP('',(#309991)); #59529=EDGE_LOOP('',(#309992)); #59530=EDGE_LOOP('',(#309993)); #59531=EDGE_LOOP('',(#309994)); #59532=EDGE_LOOP('',(#309995)); #59533=EDGE_LOOP('',(#309996)); #59534=EDGE_LOOP('',(#309997)); #59535=EDGE_LOOP('',(#309998)); #59536=EDGE_LOOP('',(#309999)); #59537=EDGE_LOOP('',(#310000)); #59538=EDGE_LOOP('',(#310001)); #59539=EDGE_LOOP('',(#310002)); #59540=EDGE_LOOP('',(#310003)); #59541=EDGE_LOOP('',(#310004)); #59542=EDGE_LOOP('',(#310005)); #59543=EDGE_LOOP('',(#310006)); #59544=EDGE_LOOP('',(#310007)); #59545=EDGE_LOOP('',(#310008)); #59546=EDGE_LOOP('',(#310009)); #59547=EDGE_LOOP('',(#310010)); #59548=EDGE_LOOP('',(#310011)); #59549=EDGE_LOOP('',(#310012)); #59550=EDGE_LOOP('',(#310013)); #59551=EDGE_LOOP('',(#310014)); #59552=EDGE_LOOP('',(#310015)); #59553=EDGE_LOOP('',(#310016)); #59554=EDGE_LOOP('',(#310017)); #59555=EDGE_LOOP('',(#310018)); #59556=EDGE_LOOP('',(#310019)); #59557=EDGE_LOOP('',(#310020)); #59558=EDGE_LOOP('',(#310021)); #59559=EDGE_LOOP('',(#310022)); #59560=EDGE_LOOP('',(#310023)); #59561=EDGE_LOOP('',(#310024)); #59562=EDGE_LOOP('',(#310025)); #59563=EDGE_LOOP('',(#310026)); #59564=EDGE_LOOP('',(#310027)); #59565=EDGE_LOOP('',(#310028)); #59566=EDGE_LOOP('',(#310029)); #59567=EDGE_LOOP('',(#310030)); #59568=EDGE_LOOP('',(#310031)); #59569=EDGE_LOOP('',(#310032)); #59570=EDGE_LOOP('',(#310033)); #59571=EDGE_LOOP('',(#310034)); #59572=EDGE_LOOP('',(#310035)); #59573=EDGE_LOOP('',(#310036)); #59574=EDGE_LOOP('',(#310037)); #59575=EDGE_LOOP('',(#310038)); #59576=EDGE_LOOP('',(#310039)); #59577=EDGE_LOOP('',(#310040)); #59578=EDGE_LOOP('',(#310041)); #59579=EDGE_LOOP('',(#310042)); #59580=EDGE_LOOP('',(#310043)); #59581=EDGE_LOOP('',(#310044)); #59582=EDGE_LOOP('',(#310045)); #59583=EDGE_LOOP('',(#310046)); #59584=EDGE_LOOP('',(#310047)); #59585=EDGE_LOOP('',(#310048)); #59586=EDGE_LOOP('',(#310049)); #59587=EDGE_LOOP('',(#310050)); #59588=EDGE_LOOP('',(#310051)); #59589=EDGE_LOOP('',(#310052)); #59590=EDGE_LOOP('',(#310053)); #59591=EDGE_LOOP('',(#310054)); #59592=EDGE_LOOP('',(#310055,#310056,#310057,#310058)); #59593=EDGE_LOOP('',(#310059,#310060,#310061,#310062)); #59594=EDGE_LOOP('',(#310063,#310064,#310065,#310066,#310067,#310068,#310069, #310070,#310071,#310072,#310073,#310074,#310075,#310076,#310077,#310078, #310079,#310080,#310081,#310082,#310083,#310084,#310085,#310086,#310087, #310088)); #59595=EDGE_LOOP('',(#310089,#310090,#310091,#310092)); #59596=EDGE_LOOP('',(#310093,#310094,#310095,#310096,#310097,#310098)); #59597=EDGE_LOOP('',(#310099,#310100,#310101,#310102,#310103,#310104,#310105, #310106)); #59598=EDGE_LOOP('',(#310107,#310108,#310109,#310110,#310111,#310112,#310113, #310114)); #59599=EDGE_LOOP('',(#310115,#310116,#310117,#310118)); #59600=EDGE_LOOP('',(#310119,#310120,#310121,#310122)); #59601=EDGE_LOOP('',(#310123,#310124,#310125,#310126)); #59602=EDGE_LOOP('',(#310127,#310128,#310129,#310130)); #59603=EDGE_LOOP('',(#310131,#310132,#310133,#310134)); #59604=EDGE_LOOP('',(#310135,#310136,#310137,#310138)); #59605=EDGE_LOOP('',(#310139,#310140,#310141,#310142)); #59606=EDGE_LOOP('',(#310143,#310144,#310145,#310146)); #59607=EDGE_LOOP('',(#310147,#310148,#310149,#310150)); #59608=EDGE_LOOP('',(#310151,#310152,#310153,#310154)); #59609=EDGE_LOOP('',(#310155,#310156,#310157,#310158)); #59610=EDGE_LOOP('',(#310159,#310160,#310161,#310162)); #59611=EDGE_LOOP('',(#310163,#310164,#310165,#310166)); #59612=EDGE_LOOP('',(#310167,#310168,#310169,#310170)); #59613=EDGE_LOOP('',(#310171,#310172,#310173,#310174)); #59614=EDGE_LOOP('',(#310175,#310176,#310177,#310178,#310179,#310180,#310181, #310182,#310183,#310184,#310185,#310186,#310187,#310188,#310189,#310190, #310191,#310192,#310193,#310194)); #59615=EDGE_LOOP('',(#310195,#310196,#310197,#310198)); #59616=EDGE_LOOP('',(#310199,#310200,#310201,#310202)); #59617=EDGE_LOOP('',(#310203,#310204,#310205,#310206)); #59618=EDGE_LOOP('',(#310207,#310208,#310209,#310210,#310211,#310212,#310213, #310214)); #59619=EDGE_LOOP('',(#310215,#310216,#310217,#310218)); #59620=EDGE_LOOP('',(#310219,#310220,#310221,#310222)); #59621=EDGE_LOOP('',(#310223,#310224,#310225,#310226)); #59622=EDGE_LOOP('',(#310227,#310228,#310229,#310230)); #59623=EDGE_LOOP('',(#310231,#310232,#310233,#310234)); #59624=EDGE_LOOP('',(#310235,#310236,#310237,#310238)); #59625=EDGE_LOOP('',(#310239,#310240,#310241,#310242)); #59626=EDGE_LOOP('',(#310243,#310244,#310245,#310246)); #59627=EDGE_LOOP('',(#310247,#310248,#310249,#310250)); #59628=EDGE_LOOP('',(#310251,#310252,#310253,#310254)); #59629=EDGE_LOOP('',(#310255,#310256,#310257,#310258)); #59630=EDGE_LOOP('',(#310259,#310260,#310261,#310262)); #59631=EDGE_LOOP('',(#310263,#310264,#310265,#310266)); #59632=EDGE_LOOP('',(#310267,#310268,#310269,#310270)); #59633=EDGE_LOOP('',(#310271,#310272,#310273,#310274)); #59634=EDGE_LOOP('',(#310275,#310276,#310277,#310278)); #59635=EDGE_LOOP('',(#310279,#310280,#310281,#310282)); #59636=EDGE_LOOP('',(#310283,#310284,#310285,#310286)); #59637=EDGE_LOOP('',(#310287,#310288,#310289,#310290)); #59638=EDGE_LOOP('',(#310291,#310292,#310293,#310294)); #59639=EDGE_LOOP('',(#310295,#310296,#310297,#310298)); #59640=EDGE_LOOP('',(#310299,#310300,#310301,#310302)); #59641=EDGE_LOOP('',(#310303,#310304,#310305,#310306)); #59642=EDGE_LOOP('',(#310307,#310308,#310309,#310310)); #59643=EDGE_LOOP('',(#310311,#310312,#310313,#310314)); #59644=EDGE_LOOP('',(#310315,#310316,#310317,#310318)); #59645=EDGE_LOOP('',(#310319,#310320,#310321,#310322)); #59646=EDGE_LOOP('',(#310323,#310324,#310325,#310326)); #59647=EDGE_LOOP('',(#310327,#310328,#310329,#310330)); #59648=EDGE_LOOP('',(#310331,#310332,#310333,#310334)); #59649=EDGE_LOOP('',(#310335,#310336,#310337,#310338)); #59650=EDGE_LOOP('',(#310339,#310340,#310341,#310342)); #59651=EDGE_LOOP('',(#310343,#310344,#310345,#310346)); #59652=EDGE_LOOP('',(#310347,#310348,#310349,#310350)); #59653=EDGE_LOOP('',(#310351,#310352,#310353,#310354)); #59654=EDGE_LOOP('',(#310355,#310356,#310357,#310358)); #59655=EDGE_LOOP('',(#310359,#310360,#310361,#310362)); #59656=EDGE_LOOP('',(#310363,#310364,#310365,#310366)); #59657=EDGE_LOOP('',(#310367,#310368,#310369,#310370)); #59658=EDGE_LOOP('',(#310371,#310372,#310373,#310374)); #59659=EDGE_LOOP('',(#310375,#310376,#310377,#310378)); #59660=EDGE_LOOP('',(#310379,#310380,#310381,#310382)); #59661=EDGE_LOOP('',(#310383,#310384,#310385,#310386)); #59662=EDGE_LOOP('',(#310387,#310388,#310389,#310390)); #59663=EDGE_LOOP('',(#310391,#310392,#310393,#310394)); #59664=EDGE_LOOP('',(#310395,#310396,#310397,#310398)); #59665=EDGE_LOOP('',(#310399,#310400,#310401,#310402)); #59666=EDGE_LOOP('',(#310403,#310404,#310405,#310406)); #59667=EDGE_LOOP('',(#310407,#310408,#310409,#310410)); #59668=EDGE_LOOP('',(#310411,#310412,#310413,#310414)); #59669=EDGE_LOOP('',(#310415,#310416,#310417,#310418)); #59670=EDGE_LOOP('',(#310419,#310420,#310421,#310422)); #59671=EDGE_LOOP('',(#310423,#310424,#310425,#310426)); #59672=EDGE_LOOP('',(#310427,#310428,#310429,#310430)); #59673=EDGE_LOOP('',(#310431,#310432,#310433,#310434)); #59674=EDGE_LOOP('',(#310435,#310436,#310437,#310438)); #59675=EDGE_LOOP('',(#310439,#310440,#310441,#310442)); #59676=EDGE_LOOP('',(#310443,#310444,#310445,#310446)); #59677=EDGE_LOOP('',(#310447,#310448,#310449,#310450)); #59678=EDGE_LOOP('',(#310451,#310452,#310453,#310454)); #59679=EDGE_LOOP('',(#310455,#310456,#310457,#310458)); #59680=EDGE_LOOP('',(#310459,#310460,#310461,#310462)); #59681=EDGE_LOOP('',(#310463,#310464,#310465,#310466)); #59682=EDGE_LOOP('',(#310467,#310468,#310469,#310470)); #59683=EDGE_LOOP('',(#310471,#310472,#310473,#310474)); #59684=EDGE_LOOP('',(#310475,#310476,#310477,#310478)); #59685=EDGE_LOOP('',(#310479,#310480,#310481,#310482)); #59686=EDGE_LOOP('',(#310483,#310484,#310485,#310486)); #59687=EDGE_LOOP('',(#310487,#310488,#310489,#310490)); #59688=EDGE_LOOP('',(#310491,#310492,#310493,#310494)); #59689=EDGE_LOOP('',(#310495,#310496,#310497,#310498)); #59690=EDGE_LOOP('',(#310499,#310500,#310501,#310502)); #59691=EDGE_LOOP('',(#310503,#310504,#310505,#310506)); #59692=EDGE_LOOP('',(#310507,#310508,#310509,#310510)); #59693=EDGE_LOOP('',(#310511,#310512,#310513,#310514)); #59694=EDGE_LOOP('',(#310515,#310516,#310517,#310518)); #59695=EDGE_LOOP('',(#310519,#310520,#310521,#310522)); #59696=EDGE_LOOP('',(#310523,#310524,#310525,#310526)); #59697=EDGE_LOOP('',(#310527,#310528,#310529,#310530)); #59698=EDGE_LOOP('',(#310531,#310532,#310533,#310534)); #59699=EDGE_LOOP('',(#310535,#310536,#310537,#310538)); #59700=EDGE_LOOP('',(#310539,#310540,#310541,#310542)); #59701=EDGE_LOOP('',(#310543,#310544,#310545,#310546)); #59702=EDGE_LOOP('',(#310547,#310548,#310549,#310550)); #59703=EDGE_LOOP('',(#310551,#310552,#310553,#310554)); #59704=EDGE_LOOP('',(#310555,#310556,#310557)); #59705=EDGE_LOOP('',(#310558,#310559,#310560)); #59706=EDGE_LOOP('',(#310561,#310562,#310563)); #59707=EDGE_LOOP('',(#310564,#310565,#310566)); #59708=EDGE_LOOP('',(#310567,#310568,#310569)); #59709=EDGE_LOOP('',(#310570,#310571,#310572,#310573)); #59710=EDGE_LOOP('',(#310574,#310575,#310576)); #59711=EDGE_LOOP('',(#310577,#310578,#310579)); #59712=EDGE_LOOP('',(#310580,#310581,#310582,#310583)); #59713=EDGE_LOOP('',(#310584,#310585,#310586,#310587)); #59714=EDGE_LOOP('',(#310588,#310589,#310590)); #59715=EDGE_LOOP('',(#310591,#310592,#310593,#310594)); #59716=EDGE_LOOP('',(#310595,#310596,#310597,#310598)); #59717=EDGE_LOOP('',(#310599,#310600,#310601,#310602)); #59718=EDGE_LOOP('',(#310603,#310604,#310605,#310606)); #59719=EDGE_LOOP('',(#310607,#310608,#310609,#310610)); #59720=EDGE_LOOP('',(#310611,#310612,#310613,#310614)); #59721=EDGE_LOOP('',(#310615,#310616,#310617,#310618)); #59722=EDGE_LOOP('',(#310619,#310620,#310621,#310622)); #59723=EDGE_LOOP('',(#310623,#310624,#310625,#310626)); #59724=EDGE_LOOP('',(#310627,#310628,#310629,#310630)); #59725=EDGE_LOOP('',(#310631,#310632,#310633,#310634)); #59726=EDGE_LOOP('',(#310635,#310636,#310637,#310638)); #59727=EDGE_LOOP('',(#310639,#310640,#310641,#310642)); #59728=EDGE_LOOP('',(#310643,#310644,#310645,#310646)); #59729=EDGE_LOOP('',(#310647,#310648,#310649,#310650)); #59730=EDGE_LOOP('',(#310651,#310652,#310653)); #59731=EDGE_LOOP('',(#310654,#310655,#310656)); #59732=EDGE_LOOP('',(#310657,#310658,#310659)); #59733=EDGE_LOOP('',(#310660,#310661,#310662)); #59734=EDGE_LOOP('',(#310663,#310664,#310665)); #59735=EDGE_LOOP('',(#310666,#310667,#310668,#310669)); #59736=EDGE_LOOP('',(#310670,#310671,#310672)); #59737=EDGE_LOOP('',(#310673,#310674,#310675)); #59738=EDGE_LOOP('',(#310676,#310677,#310678,#310679)); #59739=EDGE_LOOP('',(#310680,#310681,#310682,#310683)); #59740=EDGE_LOOP('',(#310684,#310685,#310686)); #59741=EDGE_LOOP('',(#310687,#310688,#310689,#310690)); #59742=EDGE_LOOP('',(#310691,#310692,#310693,#310694)); #59743=EDGE_LOOP('',(#310695,#310696,#310697,#310698)); #59744=EDGE_LOOP('',(#310699,#310700,#310701,#310702)); #59745=EDGE_LOOP('',(#310703,#310704,#310705,#310706)); #59746=EDGE_LOOP('',(#310707,#310708,#310709,#310710)); #59747=EDGE_LOOP('',(#310711,#310712,#310713,#310714)); #59748=EDGE_LOOP('',(#310715,#310716,#310717,#310718)); #59749=EDGE_LOOP('',(#310719,#310720,#310721,#310722)); #59750=EDGE_LOOP('',(#310723,#310724,#310725,#310726)); #59751=EDGE_LOOP('',(#310727,#310728,#310729,#310730)); #59752=EDGE_LOOP('',(#310731,#310732,#310733,#310734)); #59753=EDGE_LOOP('',(#310735,#310736,#310737,#310738)); #59754=EDGE_LOOP('',(#310739,#310740,#310741,#310742)); #59755=EDGE_LOOP('',(#310743,#310744,#310745,#310746)); #59756=EDGE_LOOP('',(#310747,#310748,#310749,#310750)); #59757=EDGE_LOOP('',(#310751,#310752,#310753,#310754)); #59758=EDGE_LOOP('',(#310755,#310756,#310757,#310758)); #59759=EDGE_LOOP('',(#310759,#310760,#310761,#310762)); #59760=EDGE_LOOP('',(#310763,#310764,#310765,#310766)); #59761=EDGE_LOOP('',(#310767,#310768,#310769,#310770)); #59762=EDGE_LOOP('',(#310771,#310772,#310773,#310774)); #59763=EDGE_LOOP('',(#310775,#310776,#310777,#310778)); #59764=EDGE_LOOP('',(#310779,#310780,#310781,#310782)); #59765=EDGE_LOOP('',(#310783,#310784,#310785,#310786)); #59766=EDGE_LOOP('',(#310787,#310788,#310789,#310790)); #59767=EDGE_LOOP('',(#310791,#310792,#310793,#310794)); #59768=EDGE_LOOP('',(#310795,#310796,#310797,#310798)); #59769=EDGE_LOOP('',(#310799,#310800,#310801,#310802)); #59770=EDGE_LOOP('',(#310803,#310804,#310805,#310806)); #59771=EDGE_LOOP('',(#310807,#310808,#310809,#310810)); #59772=EDGE_LOOP('',(#310811,#310812,#310813,#310814)); #59773=EDGE_LOOP('',(#310815,#310816,#310817,#310818)); #59774=EDGE_LOOP('',(#310819,#310820,#310821,#310822,#310823,#310824,#310825, #310826,#310827,#310828,#310829,#310830,#310831,#310832,#310833,#310834)); #59775=EDGE_LOOP('',(#310835,#310836,#310837,#310838)); #59776=EDGE_LOOP('',(#310839,#310840,#310841,#310842,#310843,#310844,#310845, #310846)); #59777=EDGE_LOOP('',(#310847,#310848,#310849,#310850,#310851,#310852,#310853, #310854)); #59778=EDGE_LOOP('',(#310855,#310856,#310857,#310858)); #59779=EDGE_LOOP('',(#310859,#310860,#310861,#310862)); #59780=EDGE_LOOP('',(#310863,#310864,#310865,#310866,#310867,#310868,#310869, #310870,#310871,#310872,#310873,#310874,#310875,#310876,#310877,#310878)); #59781=EDGE_LOOP('',(#310879,#310880,#310881,#310882)); #59782=EDGE_LOOP('',(#310883,#310884,#310885,#310886,#310887,#310888,#310889, #310890,#310891,#310892,#310893,#310894,#310895,#310896,#310897,#310898, #310899,#310900,#310901)); #59783=EDGE_LOOP('',(#310902,#310903,#310904,#310905,#310906)); #59784=EDGE_LOOP('',(#310907,#310908,#310909,#310910,#310911)); #59785=EDGE_LOOP('',(#310912,#310913,#310914,#310915,#310916,#310917,#310918, #310919)); #59786=EDGE_LOOP('',(#310920,#310921,#310922,#310923)); #59787=EDGE_LOOP('',(#310924,#310925,#310926,#310927)); #59788=EDGE_LOOP('',(#310928,#310929,#310930,#310931)); #59789=EDGE_LOOP('',(#310932,#310933,#310934,#310935)); #59790=EDGE_LOOP('',(#310936,#310937,#310938,#310939)); #59791=EDGE_LOOP('',(#310940,#310941,#310942,#310943)); #59792=EDGE_LOOP('',(#310944,#310945,#310946,#310947)); #59793=EDGE_LOOP('',(#310948,#310949,#310950,#310951)); #59794=EDGE_LOOP('',(#310952,#310953,#310954,#310955)); #59795=EDGE_LOOP('',(#310956,#310957,#310958,#310959)); #59796=EDGE_LOOP('',(#310960,#310961,#310962,#310963)); #59797=EDGE_LOOP('',(#310964,#310965,#310966,#310967)); #59798=EDGE_LOOP('',(#310968,#310969,#310970,#310971)); #59799=EDGE_LOOP('',(#310972,#310973,#310974,#310975)); #59800=EDGE_LOOP('',(#310976,#310977,#310978,#310979)); #59801=EDGE_LOOP('',(#310980,#310981,#310982,#310983)); #59802=EDGE_LOOP('',(#310984,#310985,#310986,#310987)); #59803=EDGE_LOOP('',(#310988,#310989,#310990,#310991)); #59804=EDGE_LOOP('',(#310992,#310993,#310994,#310995)); #59805=EDGE_LOOP('',(#310996,#310997,#310998,#310999)); #59806=EDGE_LOOP('',(#311000,#311001,#311002,#311003)); #59807=EDGE_LOOP('',(#311004,#311005,#311006,#311007)); #59808=EDGE_LOOP('',(#311008,#311009,#311010,#311011)); #59809=EDGE_LOOP('',(#311012,#311013,#311014,#311015)); #59810=EDGE_LOOP('',(#311016,#311017,#311018,#311019)); #59811=EDGE_LOOP('',(#311020,#311021,#311022,#311023)); #59812=EDGE_LOOP('',(#311024,#311025,#311026,#311027)); #59813=EDGE_LOOP('',(#311028,#311029,#311030,#311031)); #59814=EDGE_LOOP('',(#311032,#311033,#311034,#311035)); #59815=EDGE_LOOP('',(#311036,#311037,#311038,#311039)); #59816=EDGE_LOOP('',(#311040,#311041,#311042,#311043)); #59817=EDGE_LOOP('',(#311044,#311045,#311046,#311047)); #59818=EDGE_LOOP('',(#311048,#311049,#311050,#311051)); #59819=EDGE_LOOP('',(#311052,#311053,#311054,#311055)); #59820=EDGE_LOOP('',(#311056,#311057,#311058,#311059)); #59821=EDGE_LOOP('',(#311060,#311061,#311062,#311063)); #59822=EDGE_LOOP('',(#311064,#311065,#311066,#311067)); #59823=EDGE_LOOP('',(#311068,#311069,#311070,#311071)); #59824=EDGE_LOOP('',(#311072,#311073,#311074,#311075)); #59825=EDGE_LOOP('',(#311076,#311077,#311078,#311079)); #59826=EDGE_LOOP('',(#311080,#311081,#311082,#311083)); #59827=EDGE_LOOP('',(#311084,#311085,#311086,#311087)); #59828=EDGE_LOOP('',(#311088,#311089,#311090,#311091)); #59829=EDGE_LOOP('',(#311092,#311093,#311094,#311095)); #59830=EDGE_LOOP('',(#311096,#311097,#311098,#311099)); #59831=EDGE_LOOP('',(#311100,#311101,#311102,#311103)); #59832=EDGE_LOOP('',(#311104,#311105,#311106,#311107)); #59833=EDGE_LOOP('',(#311108,#311109,#311110,#311111)); #59834=EDGE_LOOP('',(#311112,#311113,#311114,#311115)); #59835=EDGE_LOOP('',(#311116,#311117,#311118,#311119)); #59836=EDGE_LOOP('',(#311120,#311121,#311122,#311123)); #59837=EDGE_LOOP('',(#311124,#311125,#311126,#311127)); #59838=EDGE_LOOP('',(#311128,#311129,#311130,#311131)); #59839=EDGE_LOOP('',(#311132,#311133,#311134,#311135)); #59840=EDGE_LOOP('',(#311136,#311137,#311138,#311139)); #59841=EDGE_LOOP('',(#311140,#311141,#311142,#311143)); #59842=EDGE_LOOP('',(#311144,#311145,#311146,#311147)); #59843=EDGE_LOOP('',(#311148,#311149,#311150,#311151)); #59844=EDGE_LOOP('',(#311152,#311153,#311154,#311155)); #59845=EDGE_LOOP('',(#311156,#311157,#311158,#311159)); #59846=EDGE_LOOP('',(#311160,#311161,#311162,#311163,#311164,#311165)); #59847=EDGE_LOOP('',(#311166,#311167,#311168,#311169,#311170,#311171)); #59848=EDGE_LOOP('',(#311172,#311173,#311174,#311175,#311176,#311177)); #59849=EDGE_LOOP('',(#311178,#311179,#311180,#311181,#311182,#311183,#311184, #311185,#311186,#311187,#311188,#311189,#311190,#311191,#311192,#311193, #311194,#311195,#311196,#311197,#311198,#311199,#311200,#311201,#311202, #311203,#311204,#311205,#311206,#311207,#311208,#311209,#311210,#311211, #311212,#311213,#311214,#311215,#311216,#311217,#311218,#311219,#311220, #311221,#311222,#311223,#311224,#311225,#311226,#311227,#311228,#311229, #311230,#311231,#311232,#311233,#311234,#311235,#311236,#311237,#311238, #311239,#311240,#311241,#311242,#311243,#311244,#311245,#311246,#311247, #311248,#311249,#311250,#311251,#311252,#311253)); #59850=EDGE_LOOP('',(#311254,#311255,#311256,#311257,#311258,#311259,#311260)); #59851=EDGE_LOOP('',(#311261,#311262,#311263,#311264,#311265,#311266,#311267)); #59852=EDGE_LOOP('',(#311268,#311269,#311270,#311271)); #59853=EDGE_LOOP('',(#311272,#311273,#311274,#311275)); #59854=EDGE_LOOP('',(#311276,#311277,#311278,#311279)); #59855=EDGE_LOOP('',(#311280,#311281,#311282,#311283,#311284,#311285,#311286, #311287,#311288,#311289,#311290,#311291,#311292,#311293)); #59856=EDGE_LOOP('',(#311294,#311295,#311296,#311297)); #59857=EDGE_LOOP('',(#311298,#311299,#311300,#311301)); #59858=EDGE_LOOP('',(#311302,#311303,#311304,#311305,#311306,#311307,#311308, #311309,#311310,#311311,#311312,#311313)); #59859=EDGE_LOOP('',(#311314,#311315,#311316,#311317)); #59860=EDGE_LOOP('',(#311318,#311319,#311320,#311321)); #59861=EDGE_LOOP('',(#311322,#311323,#311324,#311325,#311326,#311327,#311328, #311329,#311330,#311331,#311332,#311333)); #59862=EDGE_LOOP('',(#311334,#311335,#311336,#311337)); #59863=EDGE_LOOP('',(#311338,#311339,#311340,#311341)); #59864=EDGE_LOOP('',(#311342,#311343,#311344,#311345)); #59865=EDGE_LOOP('',(#311346,#311347,#311348,#311349)); #59866=EDGE_LOOP('',(#311350,#311351,#311352,#311353)); #59867=EDGE_LOOP('',(#311354,#311355,#311356,#311357,#311358,#311359)); #59868=EDGE_LOOP('',(#311360,#311361,#311362,#311363)); #59869=EDGE_LOOP('',(#311364,#311365,#311366,#311367)); #59870=EDGE_LOOP('',(#311368,#311369,#311370,#311371,#311372,#311373)); #59871=EDGE_LOOP('',(#311374,#311375,#311376,#311377,#311378,#311379,#311380, #311381,#311382,#311383,#311384,#311385,#311386,#311387)); #59872=EDGE_LOOP('',(#311388,#311389,#311390,#311391,#311392,#311393,#311394, #311395)); #59873=EDGE_LOOP('',(#311396,#311397,#311398,#311399)); #59874=EDGE_LOOP('',(#311400,#311401,#311402,#311403,#311404,#311405,#311406, #311407)); #59875=EDGE_LOOP('',(#311408,#311409,#311410,#311411,#311412,#311413,#311414, #311415,#311416,#311417,#311418,#311419)); #59876=EDGE_LOOP('',(#311420,#311421,#311422,#311423,#311424,#311425,#311426, #311427)); #59877=EDGE_LOOP('',(#311428,#311429,#311430,#311431)); #59878=EDGE_LOOP('',(#311432,#311433,#311434,#311435)); #59879=EDGE_LOOP('',(#311436,#311437,#311438,#311439)); #59880=EDGE_LOOP('',(#311440,#311441,#311442,#311443)); #59881=EDGE_LOOP('',(#311444,#311445,#311446,#311447)); #59882=EDGE_LOOP('',(#311448,#311449,#311450,#311451)); #59883=EDGE_LOOP('',(#311452,#311453,#311454,#311455)); #59884=EDGE_LOOP('',(#311456,#311457,#311458,#311459)); #59885=EDGE_LOOP('',(#311460,#311461,#311462,#311463)); #59886=EDGE_LOOP('',(#311464,#311465,#311466,#311467)); #59887=EDGE_LOOP('',(#311468,#311469,#311470,#311471,#311472,#311473,#311474, #311475,#311476,#311477,#311478,#311479)); #59888=EDGE_LOOP('',(#311480,#311481,#311482,#311483,#311484,#311485,#311486, #311487,#311488,#311489,#311490,#311491)); #59889=EDGE_LOOP('',(#311492,#311493,#311494,#311495)); #59890=EDGE_LOOP('',(#311496,#311497,#311498,#311499)); #59891=EDGE_LOOP('',(#311500,#311501,#311502,#311503)); #59892=EDGE_LOOP('',(#311504,#311505,#311506,#311507)); #59893=EDGE_LOOP('',(#311508,#311509,#311510,#311511)); #59894=EDGE_LOOP('',(#311512,#311513,#311514,#311515)); #59895=EDGE_LOOP('',(#311516,#311517,#311518,#311519)); #59896=EDGE_LOOP('',(#311520,#311521,#311522,#311523)); #59897=EDGE_LOOP('',(#311524,#311525,#311526,#311527)); #59898=EDGE_LOOP('',(#311528,#311529,#311530,#311531)); #59899=EDGE_LOOP('',(#311532,#311533,#311534,#311535)); #59900=EDGE_LOOP('',(#311536,#311537,#311538,#311539)); #59901=EDGE_LOOP('',(#311540,#311541,#311542,#311543)); #59902=EDGE_LOOP('',(#311544,#311545,#311546,#311547)); #59903=EDGE_LOOP('',(#311548,#311549,#311550,#311551)); #59904=EDGE_LOOP('',(#311552,#311553,#311554,#311555)); #59905=EDGE_LOOP('',(#311556,#311557,#311558,#311559,#311560,#311561)); #59906=EDGE_LOOP('',(#311562,#311563,#311564,#311565,#311566,#311567)); #59907=EDGE_LOOP('',(#311568,#311569,#311570,#311571,#311572)); #59908=EDGE_LOOP('',(#311573,#311574,#311575,#311576,#311577)); #59909=EDGE_LOOP('',(#311578,#311579,#311580,#311581)); #59910=EDGE_LOOP('',(#311582,#311583,#311584,#311585,#311586)); #59911=EDGE_LOOP('',(#311587,#311588,#311589,#311590,#311591)); #59912=EDGE_LOOP('',(#311592,#311593,#311594,#311595)); #59913=EDGE_LOOP('',(#311596,#311597,#311598,#311599,#311600)); #59914=EDGE_LOOP('',(#311601,#311602,#311603,#311604,#311605,#311606,#311607, #311608,#311609,#311610,#311611)); #59915=EDGE_LOOP('',(#311612,#311613,#311614,#311615)); #59916=EDGE_LOOP('',(#311616,#311617,#311618,#311619,#311620)); #59917=EDGE_LOOP('',(#311621,#311622,#311623,#311624,#311625,#311626,#311627, #311628)); #59918=EDGE_LOOP('',(#311629,#311630,#311631,#311632)); #59919=EDGE_LOOP('',(#311633,#311634,#311635,#311636)); #59920=EDGE_LOOP('',(#311637,#311638,#311639,#311640,#311641)); #59921=EDGE_LOOP('',(#311642,#311643,#311644,#311645,#311646,#311647,#311648, #311649,#311650,#311651,#311652)); #59922=EDGE_LOOP('',(#311653,#311654,#311655,#311656)); #59923=EDGE_LOOP('',(#311657,#311658,#311659,#311660,#311661)); #59924=EDGE_LOOP('',(#311662,#311663,#311664,#311665,#311666)); #59925=EDGE_LOOP('',(#311667,#311668,#311669,#311670,#311671)); #59926=EDGE_LOOP('',(#311672,#311673,#311674,#311675)); #59927=EDGE_LOOP('',(#311676,#311677,#311678,#311679)); #59928=EDGE_LOOP('',(#311680,#311681,#311682,#311683)); #59929=EDGE_LOOP('',(#311684,#311685,#311686,#311687,#311688,#311689)); #59930=EDGE_LOOP('',(#311690,#311691,#311692,#311693)); #59931=EDGE_LOOP('',(#311694,#311695,#311696,#311697)); #59932=EDGE_LOOP('',(#311698,#311699,#311700,#311701,#311702,#311703,#311704, #311705)); #59933=EDGE_LOOP('',(#311706)); #59934=EDGE_LOOP('',(#311707)); #59935=EDGE_LOOP('',(#311708,#311709,#311710,#311711)); #59936=EDGE_LOOP('',(#311712)); #59937=EDGE_LOOP('',(#311713,#311714,#311715,#311716)); #59938=EDGE_LOOP('',(#311717)); #59939=EDGE_LOOP('',(#311718,#311719,#311720,#311721)); #59940=EDGE_LOOP('',(#311722,#311723,#311724,#311725)); #59941=EDGE_LOOP('',(#311726,#311727,#311728,#311729)); #59942=EDGE_LOOP('',(#311730,#311731,#311732,#311733)); #59943=EDGE_LOOP('',(#311734,#311735,#311736,#311737,#311738,#311739,#311740, #311741)); #59944=EDGE_LOOP('',(#311742,#311743,#311744,#311745)); #59945=EDGE_LOOP('',(#311746,#311747,#311748,#311749)); #59946=EDGE_LOOP('',(#311750,#311751,#311752,#311753)); #59947=EDGE_LOOP('',(#311754,#311755,#311756,#311757)); #59948=EDGE_LOOP('',(#311758,#311759,#311760,#311761,#311762,#311763,#311764, #311765,#311766,#311767,#311768,#311769,#311770,#311771,#311772,#311773, #311774,#311775,#311776,#311777,#311778,#311779,#311780,#311781,#311782, #311783,#311784,#311785,#311786,#311787,#311788,#311789,#311790,#311791, #311792,#311793,#311794,#311795,#311796,#311797,#311798,#311799,#311800, #311801,#311802,#311803,#311804,#311805,#311806,#311807,#311808,#311809)); #59949=EDGE_LOOP('',(#311810,#311811,#311812,#311813)); #59950=EDGE_LOOP('',(#311814,#311815,#311816,#311817)); #59951=EDGE_LOOP('',(#311818,#311819,#311820,#311821)); #59952=EDGE_LOOP('',(#311822,#311823,#311824,#311825)); #59953=EDGE_LOOP('',(#311826,#311827,#311828,#311829)); #59954=EDGE_LOOP('',(#311830,#311831,#311832,#311833)); #59955=EDGE_LOOP('',(#311834,#311835,#311836,#311837)); #59956=EDGE_LOOP('',(#311838,#311839,#311840,#311841)); #59957=EDGE_LOOP('',(#311842,#311843,#311844,#311845)); #59958=EDGE_LOOP('',(#311846,#311847,#311848,#311849)); #59959=EDGE_LOOP('',(#311850,#311851,#311852,#311853)); #59960=EDGE_LOOP('',(#311854,#311855,#311856,#311857)); #59961=EDGE_LOOP('',(#311858,#311859,#311860,#311861)); #59962=EDGE_LOOP('',(#311862,#311863,#311864,#311865)); #59963=EDGE_LOOP('',(#311866,#311867,#311868,#311869)); #59964=EDGE_LOOP('',(#311870,#311871,#311872,#311873)); #59965=EDGE_LOOP('',(#311874,#311875,#311876,#311877)); #59966=EDGE_LOOP('',(#311878,#311879,#311880,#311881)); #59967=EDGE_LOOP('',(#311882,#311883,#311884,#311885)); #59968=EDGE_LOOP('',(#311886,#311887,#311888,#311889)); #59969=EDGE_LOOP('',(#311890,#311891,#311892,#311893)); #59970=EDGE_LOOP('',(#311894,#311895,#311896,#311897)); #59971=EDGE_LOOP('',(#311898,#311899,#311900,#311901)); #59972=EDGE_LOOP('',(#311902,#311903,#311904,#311905)); #59973=EDGE_LOOP('',(#311906,#311907,#311908,#311909,#311910,#311911)); #59974=EDGE_LOOP('',(#311912,#311913,#311914,#311915)); #59975=EDGE_LOOP('',(#311916,#311917,#311918,#311919,#311920,#311921,#311922, #311923)); #59976=EDGE_LOOP('',(#311924,#311925,#311926,#311927,#311928,#311929,#311930, #311931)); #59977=EDGE_LOOP('',(#311932,#311933,#311934,#311935)); #59978=EDGE_LOOP('',(#311936,#311937,#311938,#311939,#311940,#311941)); #59979=EDGE_LOOP('',(#311942,#311943,#311944,#311945,#311946,#311947,#311948, #311949)); #59980=EDGE_LOOP('',(#311950,#311951,#311952,#311953,#311954,#311955,#311956, #311957,#311958,#311959,#311960,#311961,#311962,#311963,#311964,#311965, #311966,#311967,#311968)); #59981=EDGE_LOOP('',(#311969,#311970,#311971,#311972)); #59982=EDGE_LOOP('',(#311973,#311974,#311975,#311976)); #59983=EDGE_LOOP('',(#311977,#311978,#311979,#311980,#311981)); #59984=EDGE_LOOP('',(#311982,#311983,#311984,#311985)); #59985=EDGE_LOOP('',(#311986,#311987,#311988,#311989)); #59986=EDGE_LOOP('',(#311990,#311991,#311992,#311993)); #59987=EDGE_LOOP('',(#311994,#311995,#311996,#311997,#311998)); #59988=EDGE_LOOP('',(#311999,#312000,#312001,#312002)); #59989=EDGE_LOOP('',(#312003,#312004,#312005,#312006)); #59990=EDGE_LOOP('',(#312007,#312008,#312009,#312010)); #59991=EDGE_LOOP('',(#312011,#312012,#312013,#312014)); #59992=EDGE_LOOP('',(#312015,#312016,#312017,#312018)); #59993=EDGE_LOOP('',(#312019,#312020,#312021,#312022)); #59994=EDGE_LOOP('',(#312023,#312024,#312025,#312026)); #59995=EDGE_LOOP('',(#312027,#312028,#312029,#312030)); #59996=EDGE_LOOP('',(#312031,#312032,#312033,#312034)); #59997=EDGE_LOOP('',(#312035,#312036,#312037,#312038)); #59998=EDGE_LOOP('',(#312039,#312040,#312041,#312042)); #59999=EDGE_LOOP('',(#312043,#312044,#312045,#312046)); #60000=EDGE_LOOP('',(#312047,#312048,#312049,#312050)); #60001=EDGE_LOOP('',(#312051,#312052,#312053,#312054)); #60002=EDGE_LOOP('',(#312055,#312056,#312057,#312058)); #60003=EDGE_LOOP('',(#312059,#312060,#312061,#312062)); #60004=EDGE_LOOP('',(#312063,#312064,#312065,#312066)); #60005=EDGE_LOOP('',(#312067,#312068,#312069,#312070)); #60006=EDGE_LOOP('',(#312071,#312072,#312073,#312074)); #60007=EDGE_LOOP('',(#312075,#312076,#312077,#312078)); #60008=EDGE_LOOP('',(#312079,#312080,#312081,#312082)); #60009=EDGE_LOOP('',(#312083,#312084,#312085,#312086)); #60010=EDGE_LOOP('',(#312087,#312088,#312089,#312090)); #60011=EDGE_LOOP('',(#312091,#312092,#312093,#312094)); #60012=EDGE_LOOP('',(#312095,#312096,#312097,#312098)); #60013=EDGE_LOOP('',(#312099,#312100,#312101,#312102)); #60014=EDGE_LOOP('',(#312103,#312104,#312105,#312106)); #60015=EDGE_LOOP('',(#312107,#312108,#312109,#312110)); #60016=EDGE_LOOP('',(#312111,#312112,#312113,#312114)); #60017=EDGE_LOOP('',(#312115,#312116,#312117,#312118)); #60018=EDGE_LOOP('',(#312119,#312120,#312121,#312122)); #60019=EDGE_LOOP('',(#312123,#312124,#312125,#312126,#312127,#312128,#312129, #312130,#312131,#312132,#312133,#312134,#312135,#312136,#312137,#312138, #312139,#312140,#312141,#312142,#312143,#312144,#312145,#312146,#312147, #312148,#312149,#312150,#312151,#312152,#312153,#312154,#312155,#312156)); #60020=EDGE_LOOP('',(#312157,#312158,#312159,#312160)); #60021=EDGE_LOOP('',(#312161,#312162,#312163,#312164)); #60022=EDGE_LOOP('',(#312165,#312166,#312167,#312168)); #60023=EDGE_LOOP('',(#312169,#312170,#312171,#312172)); #60024=EDGE_LOOP('',(#312173,#312174,#312175,#312176)); #60025=EDGE_LOOP('',(#312177,#312178,#312179,#312180)); #60026=EDGE_LOOP('',(#312181,#312182,#312183,#312184,#312185)); #60027=EDGE_LOOP('',(#312186,#312187,#312188,#312189)); #60028=EDGE_LOOP('',(#312190,#312191,#312192,#312193,#312194)); #60029=EDGE_LOOP('',(#312195,#312196,#312197,#312198)); #60030=EDGE_LOOP('',(#312199,#312200,#312201,#312202)); #60031=EDGE_LOOP('',(#312203,#312204,#312205,#312206,#312207,#312208,#312209, #312210,#312211,#312212,#312213,#312214)); #60032=EDGE_LOOP('',(#312215,#312216,#312217,#312218,#312219,#312220,#312221, #312222,#312223,#312224,#312225,#312226)); #60033=EDGE_LOOP('',(#312227,#312228,#312229,#312230)); #60034=EDGE_LOOP('',(#312231,#312232,#312233,#312234,#312235,#312236)); #60035=EDGE_LOOP('',(#312237,#312238,#312239,#312240)); #60036=EDGE_LOOP('',(#312241,#312242,#312243,#312244,#312245,#312246)); #60037=EDGE_LOOP('',(#312247,#312248,#312249,#312250,#312251,#312252,#312253, #312254)); #60038=EDGE_LOOP('',(#312255,#312256,#312257,#312258,#312259,#312260,#312261, #312262)); #60039=EDGE_LOOP('',(#312263,#312264,#312265,#312266)); #60040=EDGE_LOOP('',(#312267,#312268,#312269,#312270)); #60041=EDGE_LOOP('',(#312271,#312272,#312273,#312274)); #60042=EDGE_LOOP('',(#312275,#312276,#312277,#312278)); #60043=EDGE_LOOP('',(#312279,#312280,#312281,#312282)); #60044=EDGE_LOOP('',(#312283,#312284,#312285,#312286)); #60045=EDGE_LOOP('',(#312287,#312288,#312289,#312290)); #60046=EDGE_LOOP('',(#312291,#312292,#312293,#312294)); #60047=EDGE_LOOP('',(#312295,#312296,#312297,#312298)); #60048=EDGE_LOOP('',(#312299,#312300,#312301,#312302)); #60049=EDGE_LOOP('',(#312303,#312304,#312305,#312306)); #60050=EDGE_LOOP('',(#312307,#312308,#312309,#312310)); #60051=EDGE_LOOP('',(#312311,#312312,#312313,#312314,#312315,#312316,#312317, #312318,#312319,#312320,#312321,#312322)); #60052=EDGE_LOOP('',(#312323,#312324,#312325,#312326,#312327,#312328,#312329, #312330,#312331,#312332,#312333,#312334)); #60053=EDGE_LOOP('',(#312335,#312336,#312337,#312338)); #60054=EDGE_LOOP('',(#312339,#312340,#312341,#312342,#312343,#312344)); #60055=EDGE_LOOP('',(#312345,#312346,#312347,#312348)); #60056=EDGE_LOOP('',(#312349,#312350,#312351,#312352,#312353,#312354)); #60057=EDGE_LOOP('',(#312355,#312356,#312357,#312358,#312359,#312360,#312361, #312362)); #60058=EDGE_LOOP('',(#312363,#312364,#312365,#312366,#312367,#312368,#312369, #312370)); #60059=EDGE_LOOP('',(#312371,#312372,#312373,#312374)); #60060=EDGE_LOOP('',(#312375,#312376,#312377,#312378)); #60061=EDGE_LOOP('',(#312379,#312380,#312381,#312382)); #60062=EDGE_LOOP('',(#312383,#312384,#312385,#312386)); #60063=EDGE_LOOP('',(#312387,#312388,#312389,#312390)); #60064=EDGE_LOOP('',(#312391,#312392,#312393,#312394)); #60065=EDGE_LOOP('',(#312395,#312396,#312397,#312398)); #60066=EDGE_LOOP('',(#312399,#312400,#312401,#312402)); #60067=EDGE_LOOP('',(#312403,#312404,#312405,#312406)); #60068=EDGE_LOOP('',(#312407,#312408,#312409,#312410)); #60069=EDGE_LOOP('',(#312411,#312412,#312413,#312414)); #60070=EDGE_LOOP('',(#312415,#312416,#312417,#312418)); #60071=EDGE_LOOP('',(#312419,#312420,#312421,#312422,#312423,#312424,#312425, #312426,#312427,#312428,#312429,#312430)); #60072=EDGE_LOOP('',(#312431,#312432,#312433,#312434,#312435,#312436,#312437, #312438,#312439,#312440,#312441,#312442)); #60073=EDGE_LOOP('',(#312443,#312444,#312445,#312446)); #60074=EDGE_LOOP('',(#312447,#312448,#312449,#312450,#312451,#312452)); #60075=EDGE_LOOP('',(#312453,#312454,#312455,#312456)); #60076=EDGE_LOOP('',(#312457,#312458,#312459,#312460,#312461,#312462)); #60077=EDGE_LOOP('',(#312463,#312464,#312465,#312466,#312467,#312468,#312469, #312470)); #60078=EDGE_LOOP('',(#312471,#312472,#312473,#312474,#312475,#312476,#312477, #312478)); #60079=EDGE_LOOP('',(#312479,#312480,#312481,#312482)); #60080=EDGE_LOOP('',(#312483,#312484,#312485,#312486)); #60081=EDGE_LOOP('',(#312487,#312488,#312489,#312490)); #60082=EDGE_LOOP('',(#312491,#312492,#312493,#312494)); #60083=EDGE_LOOP('',(#312495,#312496,#312497,#312498)); #60084=EDGE_LOOP('',(#312499,#312500,#312501,#312502)); #60085=EDGE_LOOP('',(#312503,#312504,#312505,#312506)); #60086=EDGE_LOOP('',(#312507,#312508,#312509,#312510)); #60087=EDGE_LOOP('',(#312511,#312512,#312513,#312514)); #60088=EDGE_LOOP('',(#312515,#312516,#312517,#312518)); #60089=EDGE_LOOP('',(#312519,#312520,#312521,#312522)); #60090=EDGE_LOOP('',(#312523,#312524,#312525,#312526)); #60091=EDGE_LOOP('',(#312527,#312528,#312529,#312530,#312531,#312532,#312533, #312534,#312535,#312536,#312537,#312538)); #60092=EDGE_LOOP('',(#312539,#312540,#312541,#312542,#312543,#312544,#312545, #312546,#312547,#312548,#312549,#312550)); #60093=EDGE_LOOP('',(#312551,#312552,#312553,#312554)); #60094=EDGE_LOOP('',(#312555,#312556,#312557,#312558,#312559,#312560)); #60095=EDGE_LOOP('',(#312561,#312562,#312563,#312564)); #60096=EDGE_LOOP('',(#312565,#312566,#312567,#312568,#312569,#312570)); #60097=EDGE_LOOP('',(#312571,#312572,#312573,#312574,#312575,#312576,#312577, #312578)); #60098=EDGE_LOOP('',(#312579,#312580,#312581,#312582,#312583,#312584,#312585, #312586)); #60099=EDGE_LOOP('',(#312587,#312588,#312589,#312590)); #60100=EDGE_LOOP('',(#312591,#312592,#312593,#312594)); #60101=EDGE_LOOP('',(#312595,#312596,#312597,#312598)); #60102=EDGE_LOOP('',(#312599,#312600,#312601,#312602)); #60103=EDGE_LOOP('',(#312603,#312604,#312605,#312606)); #60104=EDGE_LOOP('',(#312607,#312608,#312609,#312610)); #60105=EDGE_LOOP('',(#312611,#312612,#312613,#312614)); #60106=EDGE_LOOP('',(#312615,#312616,#312617,#312618)); #60107=EDGE_LOOP('',(#312619,#312620,#312621,#312622)); #60108=EDGE_LOOP('',(#312623,#312624,#312625,#312626)); #60109=EDGE_LOOP('',(#312627,#312628,#312629,#312630)); #60110=EDGE_LOOP('',(#312631,#312632,#312633,#312634)); #60111=EDGE_LOOP('',(#312635,#312636,#312637,#312638,#312639,#312640,#312641, #312642,#312643,#312644,#312645,#312646)); #60112=EDGE_LOOP('',(#312647,#312648,#312649,#312650,#312651,#312652,#312653, #312654,#312655,#312656,#312657,#312658)); #60113=EDGE_LOOP('',(#312659,#312660,#312661,#312662)); #60114=EDGE_LOOP('',(#312663,#312664,#312665,#312666,#312667,#312668)); #60115=EDGE_LOOP('',(#312669,#312670,#312671,#312672)); #60116=EDGE_LOOP('',(#312673,#312674,#312675,#312676,#312677,#312678)); #60117=EDGE_LOOP('',(#312679,#312680,#312681,#312682,#312683,#312684,#312685, #312686)); #60118=EDGE_LOOP('',(#312687,#312688,#312689,#312690,#312691,#312692,#312693, #312694)); #60119=EDGE_LOOP('',(#312695,#312696,#312697,#312698)); #60120=EDGE_LOOP('',(#312699,#312700,#312701,#312702)); #60121=EDGE_LOOP('',(#312703,#312704,#312705,#312706)); #60122=EDGE_LOOP('',(#312707,#312708,#312709,#312710)); #60123=EDGE_LOOP('',(#312711,#312712,#312713,#312714)); #60124=EDGE_LOOP('',(#312715,#312716,#312717,#312718)); #60125=EDGE_LOOP('',(#312719,#312720,#312721,#312722)); #60126=EDGE_LOOP('',(#312723,#312724,#312725,#312726)); #60127=EDGE_LOOP('',(#312727,#312728,#312729,#312730)); #60128=EDGE_LOOP('',(#312731,#312732,#312733,#312734)); #60129=EDGE_LOOP('',(#312735,#312736,#312737,#312738)); #60130=EDGE_LOOP('',(#312739,#312740,#312741,#312742)); #60131=EDGE_LOOP('',(#312743,#312744,#312745,#312746,#312747,#312748,#312749, #312750,#312751,#312752,#312753,#312754)); #60132=EDGE_LOOP('',(#312755,#312756,#312757,#312758,#312759,#312760,#312761, #312762,#312763,#312764,#312765,#312766)); #60133=EDGE_LOOP('',(#312767,#312768,#312769,#312770)); #60134=EDGE_LOOP('',(#312771,#312772,#312773,#312774,#312775,#312776)); #60135=EDGE_LOOP('',(#312777,#312778,#312779,#312780)); #60136=EDGE_LOOP('',(#312781,#312782,#312783,#312784,#312785,#312786)); #60137=EDGE_LOOP('',(#312787,#312788,#312789,#312790,#312791,#312792,#312793, #312794)); #60138=EDGE_LOOP('',(#312795,#312796,#312797,#312798,#312799,#312800,#312801, #312802)); #60139=EDGE_LOOP('',(#312803,#312804,#312805,#312806)); #60140=EDGE_LOOP('',(#312807,#312808,#312809,#312810)); #60141=EDGE_LOOP('',(#312811,#312812,#312813,#312814)); #60142=EDGE_LOOP('',(#312815,#312816,#312817,#312818)); #60143=EDGE_LOOP('',(#312819,#312820,#312821,#312822)); #60144=EDGE_LOOP('',(#312823,#312824,#312825,#312826)); #60145=EDGE_LOOP('',(#312827,#312828,#312829,#312830)); #60146=EDGE_LOOP('',(#312831,#312832,#312833,#312834)); #60147=EDGE_LOOP('',(#312835,#312836,#312837,#312838)); #60148=EDGE_LOOP('',(#312839,#312840,#312841,#312842)); #60149=EDGE_LOOP('',(#312843,#312844,#312845,#312846)); #60150=EDGE_LOOP('',(#312847,#312848,#312849,#312850)); #60151=EDGE_LOOP('',(#312851,#312852,#312853,#312854,#312855,#312856,#312857, #312858,#312859,#312860,#312861,#312862)); #60152=EDGE_LOOP('',(#312863,#312864,#312865,#312866,#312867,#312868,#312869, #312870,#312871,#312872,#312873,#312874)); #60153=EDGE_LOOP('',(#312875,#312876,#312877,#312878)); #60154=EDGE_LOOP('',(#312879,#312880,#312881,#312882,#312883,#312884)); #60155=EDGE_LOOP('',(#312885,#312886,#312887,#312888)); #60156=EDGE_LOOP('',(#312889,#312890,#312891,#312892,#312893,#312894)); #60157=EDGE_LOOP('',(#312895,#312896,#312897,#312898,#312899,#312900,#312901, #312902)); #60158=EDGE_LOOP('',(#312903,#312904,#312905,#312906,#312907,#312908,#312909, #312910)); #60159=EDGE_LOOP('',(#312911,#312912,#312913,#312914)); #60160=EDGE_LOOP('',(#312915,#312916,#312917,#312918)); #60161=EDGE_LOOP('',(#312919,#312920,#312921,#312922)); #60162=EDGE_LOOP('',(#312923,#312924,#312925,#312926)); #60163=EDGE_LOOP('',(#312927,#312928,#312929,#312930)); #60164=EDGE_LOOP('',(#312931,#312932,#312933,#312934)); #60165=EDGE_LOOP('',(#312935,#312936,#312937,#312938)); #60166=EDGE_LOOP('',(#312939,#312940,#312941,#312942)); #60167=EDGE_LOOP('',(#312943,#312944,#312945,#312946)); #60168=EDGE_LOOP('',(#312947,#312948,#312949,#312950)); #60169=EDGE_LOOP('',(#312951,#312952,#312953,#312954)); #60170=EDGE_LOOP('',(#312955,#312956,#312957,#312958)); #60171=EDGE_LOOP('',(#312959,#312960,#312961,#312962,#312963,#312964,#312965, #312966,#312967,#312968,#312969,#312970)); #60172=EDGE_LOOP('',(#312971,#312972,#312973,#312974,#312975,#312976,#312977, #312978,#312979,#312980,#312981,#312982)); #60173=EDGE_LOOP('',(#312983,#312984,#312985,#312986)); #60174=EDGE_LOOP('',(#312987,#312988,#312989,#312990,#312991,#312992)); #60175=EDGE_LOOP('',(#312993,#312994,#312995,#312996)); #60176=EDGE_LOOP('',(#312997,#312998,#312999,#313000,#313001,#313002)); #60177=EDGE_LOOP('',(#313003,#313004,#313005,#313006,#313007,#313008,#313009, #313010)); #60178=EDGE_LOOP('',(#313011,#313012,#313013,#313014,#313015,#313016,#313017, #313018)); #60179=EDGE_LOOP('',(#313019,#313020,#313021,#313022)); #60180=EDGE_LOOP('',(#313023,#313024,#313025,#313026)); #60181=EDGE_LOOP('',(#313027,#313028,#313029,#313030)); #60182=EDGE_LOOP('',(#313031,#313032,#313033,#313034)); #60183=EDGE_LOOP('',(#313035,#313036,#313037,#313038)); #60184=EDGE_LOOP('',(#313039,#313040,#313041,#313042)); #60185=EDGE_LOOP('',(#313043,#313044,#313045,#313046)); #60186=EDGE_LOOP('',(#313047,#313048,#313049,#313050)); #60187=EDGE_LOOP('',(#313051,#313052,#313053,#313054)); #60188=EDGE_LOOP('',(#313055,#313056,#313057,#313058)); #60189=EDGE_LOOP('',(#313059,#313060,#313061,#313062)); #60190=EDGE_LOOP('',(#313063,#313064,#313065,#313066)); #60191=EDGE_LOOP('',(#313067,#313068,#313069,#313070,#313071,#313072,#313073, #313074,#313075,#313076,#313077,#313078)); #60192=EDGE_LOOP('',(#313079,#313080,#313081,#313082,#313083,#313084,#313085, #313086,#313087,#313088,#313089,#313090)); #60193=EDGE_LOOP('',(#313091,#313092,#313093,#313094)); #60194=EDGE_LOOP('',(#313095,#313096,#313097,#313098,#313099,#313100)); #60195=EDGE_LOOP('',(#313101,#313102,#313103,#313104)); #60196=EDGE_LOOP('',(#313105,#313106,#313107,#313108,#313109,#313110)); #60197=EDGE_LOOP('',(#313111,#313112,#313113,#313114,#313115,#313116,#313117, #313118)); #60198=EDGE_LOOP('',(#313119,#313120,#313121,#313122,#313123,#313124,#313125, #313126)); #60199=EDGE_LOOP('',(#313127,#313128,#313129,#313130)); #60200=EDGE_LOOP('',(#313131,#313132,#313133,#313134)); #60201=EDGE_LOOP('',(#313135,#313136,#313137,#313138)); #60202=EDGE_LOOP('',(#313139,#313140,#313141,#313142)); #60203=EDGE_LOOP('',(#313143,#313144,#313145,#313146)); #60204=EDGE_LOOP('',(#313147,#313148,#313149,#313150)); #60205=EDGE_LOOP('',(#313151,#313152,#313153,#313154)); #60206=EDGE_LOOP('',(#313155,#313156,#313157,#313158)); #60207=EDGE_LOOP('',(#313159,#313160,#313161,#313162)); #60208=EDGE_LOOP('',(#313163,#313164,#313165,#313166)); #60209=EDGE_LOOP('',(#313167,#313168,#313169,#313170)); #60210=EDGE_LOOP('',(#313171,#313172,#313173,#313174)); #60211=EDGE_LOOP('',(#313175,#313176,#313177,#313178,#313179,#313180,#313181, #313182,#313183,#313184,#313185,#313186)); #60212=EDGE_LOOP('',(#313187,#313188,#313189,#313190,#313191,#313192,#313193, #313194,#313195,#313196,#313197,#313198)); #60213=EDGE_LOOP('',(#313199,#313200,#313201,#313202)); #60214=EDGE_LOOP('',(#313203,#313204,#313205,#313206,#313207,#313208)); #60215=EDGE_LOOP('',(#313209,#313210,#313211,#313212)); #60216=EDGE_LOOP('',(#313213,#313214,#313215,#313216,#313217,#313218)); #60217=EDGE_LOOP('',(#313219,#313220,#313221,#313222,#313223,#313224,#313225, #313226)); #60218=EDGE_LOOP('',(#313227,#313228,#313229,#313230,#313231,#313232,#313233, #313234)); #60219=EDGE_LOOP('',(#313235,#313236,#313237,#313238)); #60220=EDGE_LOOP('',(#313239,#313240,#313241,#313242)); #60221=EDGE_LOOP('',(#313243,#313244,#313245,#313246)); #60222=EDGE_LOOP('',(#313247,#313248,#313249,#313250)); #60223=EDGE_LOOP('',(#313251,#313252,#313253,#313254)); #60224=EDGE_LOOP('',(#313255,#313256,#313257,#313258)); #60225=EDGE_LOOP('',(#313259,#313260,#313261,#313262)); #60226=EDGE_LOOP('',(#313263,#313264,#313265,#313266)); #60227=EDGE_LOOP('',(#313267,#313268,#313269,#313270)); #60228=EDGE_LOOP('',(#313271,#313272,#313273,#313274)); #60229=EDGE_LOOP('',(#313275,#313276,#313277,#313278)); #60230=EDGE_LOOP('',(#313279,#313280,#313281,#313282)); #60231=EDGE_LOOP('',(#313283,#313284,#313285,#313286,#313287,#313288,#313289, #313290,#313291,#313292,#313293,#313294)); #60232=EDGE_LOOP('',(#313295,#313296,#313297,#313298,#313299,#313300,#313301, #313302,#313303,#313304,#313305,#313306)); #60233=EDGE_LOOP('',(#313307,#313308,#313309,#313310)); #60234=EDGE_LOOP('',(#313311,#313312,#313313,#313314,#313315,#313316)); #60235=EDGE_LOOP('',(#313317,#313318,#313319,#313320)); #60236=EDGE_LOOP('',(#313321,#313322,#313323,#313324,#313325,#313326)); #60237=EDGE_LOOP('',(#313327,#313328,#313329,#313330,#313331,#313332,#313333, #313334)); #60238=EDGE_LOOP('',(#313335,#313336,#313337,#313338,#313339,#313340,#313341, #313342)); #60239=EDGE_LOOP('',(#313343,#313344,#313345,#313346)); #60240=EDGE_LOOP('',(#313347,#313348,#313349,#313350)); #60241=EDGE_LOOP('',(#313351,#313352,#313353,#313354)); #60242=EDGE_LOOP('',(#313355,#313356,#313357,#313358)); #60243=EDGE_LOOP('',(#313359,#313360,#313361,#313362)); #60244=EDGE_LOOP('',(#313363,#313364,#313365,#313366)); #60245=EDGE_LOOP('',(#313367,#313368,#313369,#313370)); #60246=EDGE_LOOP('',(#313371,#313372,#313373,#313374)); #60247=EDGE_LOOP('',(#313375,#313376,#313377,#313378)); #60248=EDGE_LOOP('',(#313379,#313380,#313381,#313382)); #60249=EDGE_LOOP('',(#313383,#313384,#313385,#313386)); #60250=EDGE_LOOP('',(#313387,#313388,#313389,#313390)); #60251=EDGE_LOOP('',(#313391,#313392,#313393,#313394,#313395,#313396,#313397, #313398,#313399,#313400,#313401,#313402)); #60252=EDGE_LOOP('',(#313403,#313404,#313405,#313406,#313407,#313408,#313409, #313410,#313411,#313412,#313413,#313414)); #60253=EDGE_LOOP('',(#313415,#313416,#313417,#313418)); #60254=EDGE_LOOP('',(#313419,#313420,#313421,#313422,#313423,#313424)); #60255=EDGE_LOOP('',(#313425,#313426,#313427,#313428)); #60256=EDGE_LOOP('',(#313429,#313430,#313431,#313432,#313433,#313434)); #60257=EDGE_LOOP('',(#313435,#313436,#313437,#313438,#313439,#313440,#313441, #313442)); #60258=EDGE_LOOP('',(#313443,#313444,#313445,#313446,#313447,#313448,#313449, #313450)); #60259=EDGE_LOOP('',(#313451,#313452,#313453,#313454)); #60260=EDGE_LOOP('',(#313455,#313456,#313457,#313458)); #60261=EDGE_LOOP('',(#313459,#313460,#313461,#313462)); #60262=EDGE_LOOP('',(#313463,#313464,#313465,#313466)); #60263=EDGE_LOOP('',(#313467,#313468,#313469,#313470)); #60264=EDGE_LOOP('',(#313471,#313472,#313473,#313474)); #60265=EDGE_LOOP('',(#313475,#313476,#313477,#313478)); #60266=EDGE_LOOP('',(#313479,#313480,#313481,#313482)); #60267=EDGE_LOOP('',(#313483,#313484,#313485,#313486)); #60268=EDGE_LOOP('',(#313487,#313488,#313489,#313490)); #60269=EDGE_LOOP('',(#313491,#313492,#313493,#313494)); #60270=EDGE_LOOP('',(#313495,#313496,#313497,#313498)); #60271=EDGE_LOOP('',(#313499,#313500,#313501,#313502,#313503,#313504,#313505, #313506,#313507,#313508,#313509,#313510)); #60272=EDGE_LOOP('',(#313511,#313512,#313513,#313514,#313515,#313516,#313517, #313518,#313519,#313520,#313521,#313522)); #60273=EDGE_LOOP('',(#313523,#313524,#313525,#313526)); #60274=EDGE_LOOP('',(#313527,#313528,#313529,#313530,#313531,#313532)); #60275=EDGE_LOOP('',(#313533,#313534,#313535,#313536)); #60276=EDGE_LOOP('',(#313537,#313538,#313539,#313540,#313541,#313542)); #60277=EDGE_LOOP('',(#313543,#313544,#313545,#313546,#313547,#313548,#313549, #313550)); #60278=EDGE_LOOP('',(#313551,#313552,#313553,#313554,#313555,#313556,#313557, #313558)); #60279=EDGE_LOOP('',(#313559,#313560,#313561,#313562)); #60280=EDGE_LOOP('',(#313563,#313564,#313565,#313566)); #60281=EDGE_LOOP('',(#313567,#313568,#313569,#313570)); #60282=EDGE_LOOP('',(#313571,#313572,#313573,#313574)); #60283=EDGE_LOOP('',(#313575,#313576,#313577,#313578)); #60284=EDGE_LOOP('',(#313579,#313580,#313581,#313582)); #60285=EDGE_LOOP('',(#313583,#313584,#313585,#313586)); #60286=EDGE_LOOP('',(#313587,#313588,#313589,#313590)); #60287=EDGE_LOOP('',(#313591,#313592,#313593,#313594)); #60288=EDGE_LOOP('',(#313595,#313596,#313597,#313598)); #60289=EDGE_LOOP('',(#313599,#313600,#313601,#313602)); #60290=EDGE_LOOP('',(#313603,#313604,#313605,#313606)); #60291=EDGE_LOOP('',(#313607,#313608,#313609,#313610,#313611,#313612,#313613, #313614,#313615,#313616,#313617,#313618)); #60292=EDGE_LOOP('',(#313619,#313620,#313621,#313622,#313623,#313624,#313625, #313626,#313627,#313628,#313629,#313630)); #60293=EDGE_LOOP('',(#313631,#313632,#313633,#313634)); #60294=EDGE_LOOP('',(#313635,#313636,#313637,#313638,#313639,#313640)); #60295=EDGE_LOOP('',(#313641,#313642,#313643,#313644)); #60296=EDGE_LOOP('',(#313645,#313646,#313647,#313648,#313649,#313650)); #60297=EDGE_LOOP('',(#313651,#313652,#313653,#313654,#313655,#313656,#313657, #313658)); #60298=EDGE_LOOP('',(#313659,#313660,#313661,#313662,#313663,#313664,#313665, #313666)); #60299=EDGE_LOOP('',(#313667,#313668,#313669,#313670)); #60300=EDGE_LOOP('',(#313671,#313672,#313673,#313674)); #60301=EDGE_LOOP('',(#313675,#313676,#313677,#313678)); #60302=EDGE_LOOP('',(#313679,#313680,#313681,#313682)); #60303=EDGE_LOOP('',(#313683,#313684,#313685,#313686)); #60304=EDGE_LOOP('',(#313687,#313688,#313689,#313690)); #60305=EDGE_LOOP('',(#313691,#313692,#313693,#313694)); #60306=EDGE_LOOP('',(#313695,#313696,#313697,#313698)); #60307=EDGE_LOOP('',(#313699,#313700,#313701,#313702)); #60308=EDGE_LOOP('',(#313703,#313704,#313705,#313706)); #60309=EDGE_LOOP('',(#313707,#313708,#313709,#313710)); #60310=EDGE_LOOP('',(#313711,#313712,#313713,#313714)); #60311=EDGE_LOOP('',(#313715,#313716,#313717,#313718)); #60312=EDGE_LOOP('',(#313719,#313720,#313721,#313722)); #60313=EDGE_LOOP('',(#313723,#313724,#313725,#313726)); #60314=EDGE_LOOP('',(#313727,#313728,#313729,#313730)); #60315=EDGE_LOOP('',(#313731,#313732,#313733,#313734)); #60316=EDGE_LOOP('',(#313735,#313736,#313737,#313738)); #60317=EDGE_LOOP('',(#313739,#313740,#313741,#313742)); #60318=EDGE_LOOP('',(#313743,#313744,#313745,#313746)); #60319=EDGE_LOOP('',(#313747,#313748,#313749,#313750)); #60320=EDGE_LOOP('',(#313751,#313752,#313753,#313754)); #60321=EDGE_LOOP('',(#313755,#313756,#313757,#313758)); #60322=EDGE_LOOP('',(#313759,#313760,#313761,#313762)); #60323=EDGE_LOOP('',(#313763,#313764,#313765,#313766)); #60324=EDGE_LOOP('',(#313767,#313768,#313769,#313770)); #60325=EDGE_LOOP('',(#313771,#313772,#313773,#313774)); #60326=EDGE_LOOP('',(#313775,#313776,#313777,#313778)); #60327=EDGE_LOOP('',(#313779,#313780,#313781,#313782)); #60328=EDGE_LOOP('',(#313783,#313784,#313785,#313786)); #60329=EDGE_LOOP('',(#313787,#313788,#313789,#313790)); #60330=EDGE_LOOP('',(#313791,#313792,#313793,#313794)); #60331=EDGE_LOOP('',(#313795,#313796,#313797,#313798)); #60332=EDGE_LOOP('',(#313799,#313800,#313801,#313802)); #60333=EDGE_LOOP('',(#313803,#313804,#313805,#313806)); #60334=EDGE_LOOP('',(#313807,#313808,#313809,#313810)); #60335=EDGE_LOOP('',(#313811,#313812,#313813,#313814)); #60336=EDGE_LOOP('',(#313815,#313816,#313817,#313818)); #60337=EDGE_LOOP('',(#313819,#313820,#313821,#313822)); #60338=EDGE_LOOP('',(#313823,#313824,#313825,#313826)); #60339=EDGE_LOOP('',(#313827,#313828,#313829,#313830)); #60340=EDGE_LOOP('',(#313831,#313832,#313833,#313834)); #60341=EDGE_LOOP('',(#313835,#313836,#313837,#313838)); #60342=EDGE_LOOP('',(#313839,#313840,#313841,#313842)); #60343=EDGE_LOOP('',(#313843,#313844,#313845,#313846)); #60344=EDGE_LOOP('',(#313847,#313848,#313849,#313850)); #60345=EDGE_LOOP('',(#313851,#313852,#313853,#313854)); #60346=EDGE_LOOP('',(#313855,#313856,#313857,#313858,#313859,#313860,#313861, #313862,#313863,#313864,#313865,#313866,#313867,#313868,#313869,#313870, #313871,#313872,#313873,#313874,#313875,#313876,#313877,#313878,#313879, #313880,#313881,#313882,#313883,#313884,#313885,#313886,#313887,#313888, #313889,#313890)); #60347=EDGE_LOOP('',(#313891,#313892,#313893,#313894,#313895,#313896,#313897, #313898,#313899,#313900,#313901,#313902,#313903,#313904,#313905,#313906, #313907,#313908,#313909,#313910,#313911,#313912,#313913,#313914,#313915, #313916,#313917,#313918,#313919,#313920,#313921,#313922,#313923,#313924, #313925,#313926)); #60348=EDGE_LOOP('',(#313927,#313928,#313929,#313930)); #60349=EDGE_LOOP('',(#313931,#313932,#313933,#313934)); #60350=EDGE_LOOP('',(#313935,#313936,#313937,#313938,#313939,#313940,#313941, #313942,#313943,#313944,#313945,#313946,#313947,#313948,#313949,#313950, #313951,#313952,#313953,#313954,#313955,#313956,#313957,#313958,#313959, #313960,#313961,#313962,#313963,#313964,#313965,#313966,#313967,#313968, #313969,#313970,#313971,#313972,#313973)); #60351=EDGE_LOOP('',(#313974,#313975,#313976,#313977,#313978,#313979,#313980, #313981)); #60352=EDGE_LOOP('',(#313982,#313983,#313984,#313985,#313986,#313987,#313988, #313989,#313990,#313991,#313992,#313993,#313994,#313995,#313996,#313997, #313998,#313999,#314000,#314001,#314002,#314003,#314004,#314005,#314006, #314007,#314008,#314009,#314010,#314011,#314012,#314013,#314014,#314015, #314016,#314017,#314018,#314019,#314020)); #60353=EDGE_LOOP('',(#314021,#314022,#314023,#314024,#314025,#314026,#314027, #314028)); #60354=EDGE_LOOP('',(#314029,#314030,#314031,#314032)); #60355=EDGE_LOOP('',(#314033,#314034,#314035,#314036,#314037)); #60356=EDGE_LOOP('',(#314038,#314039,#314040,#314041,#314042)); #60357=EDGE_LOOP('',(#314043,#314044,#314045,#314046,#314047)); #60358=EDGE_LOOP('',(#314048,#314049,#314050,#314051,#314052,#314053,#314054, #314055,#314056,#314057,#314058,#314059)); #60359=EDGE_LOOP('',(#314060,#314061,#314062,#314063,#314064,#314065,#314066, #314067)); #60360=EDGE_LOOP('',(#314068,#314069,#314070,#314071,#314072,#314073,#314074, #314075)); #60361=EDGE_LOOP('',(#314076,#314077,#314078,#314079,#314080,#314081,#314082, #314083,#314084,#314085,#314086,#314087,#314088,#314089,#314090,#314091, #314092,#314093,#314094,#314095,#314096,#314097,#314098,#314099,#314100, #314101,#314102,#314103,#314104,#314105,#314106,#314107,#314108,#314109, #314110,#314111,#314112,#314113,#314114,#314115,#314116,#314117)); #60362=EDGE_LOOP('',(#314118,#314119,#314120,#314121)); #60363=EDGE_LOOP('',(#314122,#314123,#314124,#314125,#314126,#314127,#314128, #314129)); #60364=EDGE_LOOP('',(#314130,#314131,#314132,#314133,#314134,#314135,#314136, #314137,#314138,#314139,#314140,#314141,#314142,#314143,#314144,#314145, #314146,#314147,#314148,#314149,#314150,#314151,#314152,#314153,#314154, #314155,#314156,#314157,#314158,#314159,#314160,#314161,#314162,#314163, #314164,#314165,#314166,#314167,#314168)); #60365=EDGE_LOOP('',(#314169,#314170,#314171,#314172,#314173,#314174,#314175, #314176)); #60366=EDGE_LOOP('',(#314177,#314178,#314179,#314180)); #60367=EDGE_LOOP('',(#314181,#314182,#314183,#314184)); #60368=EDGE_LOOP('',(#314185,#314186,#314187,#314188)); #60369=EDGE_LOOP('',(#314189,#314190,#314191,#314192)); #60370=EDGE_LOOP('',(#314193,#314194,#314195,#314196,#314197,#314198)); #60371=EDGE_LOOP('',(#314199,#314200,#314201,#314202,#314203,#314204,#314205)); #60372=EDGE_LOOP('',(#314206,#314207,#314208,#314209,#314210,#314211,#314212, #314213,#314214,#314215,#314216,#314217,#314218,#314219,#314220,#314221, #314222,#314223,#314224,#314225,#314226,#314227,#314228,#314229,#314230, #314231,#314232,#314233,#314234,#314235,#314236,#314237,#314238,#314239, #314240,#314241,#314242,#314243,#314244,#314245,#314246,#314247)); #60373=EDGE_LOOP('',(#314248,#314249,#314250,#314251)); #60374=EDGE_LOOP('',(#314252,#314253,#314254,#314255,#314256)); #60375=EDGE_LOOP('',(#314257,#314258,#314259,#314260)); #60376=EDGE_LOOP('',(#314261,#314262,#314263,#314264)); #60377=EDGE_LOOP('',(#314265,#314266,#314267,#314268)); #60378=EDGE_LOOP('',(#314269,#314270,#314271,#314272)); #60379=EDGE_LOOP('',(#314273,#314274,#314275,#314276,#314277,#314278,#314279, #314280,#314281,#314282,#314283,#314284,#314285,#314286,#314287,#314288, #314289,#314290,#314291,#314292,#314293,#314294,#314295,#314296,#314297, #314298,#314299,#314300,#314301,#314302,#314303,#314304,#314305,#314306, #314307,#314308,#314309,#314310,#314311)); #60380=EDGE_LOOP('',(#314312,#314313,#314314,#314315,#314316,#314317)); #60381=EDGE_LOOP('',(#314318,#314319,#314320,#314321,#314322,#314323)); #60382=EDGE_LOOP('',(#314324,#314325,#314326,#314327,#314328,#314329,#314330, #314331,#314332,#314333,#314334,#314335,#314336,#314337,#314338,#314339, #314340,#314341,#314342,#314343,#314344,#314345,#314346,#314347,#314348, #314349,#314350,#314351,#314352,#314353,#314354,#314355,#314356,#314357, #314358,#314359,#314360,#314361,#314362)); #60383=EDGE_LOOP('',(#314363,#314364,#314365,#314366,#314367,#314368,#314369, #314370)); #60384=EDGE_LOOP('',(#314371,#314372,#314373,#314374)); #60385=EDGE_LOOP('',(#314375,#314376,#314377,#314378,#314379,#314380)); #60386=EDGE_LOOP('',(#314381,#314382,#314383,#314384)); #60387=EDGE_LOOP('',(#314385,#314386,#314387,#314388,#314389,#314390)); #60388=EDGE_LOOP('',(#314391,#314392,#314393,#314394)); #60389=EDGE_LOOP('',(#314395,#314396,#314397,#314398)); #60390=EDGE_LOOP('',(#314399,#314400,#314401,#314402)); #60391=EDGE_LOOP('',(#314403,#314404,#314405,#314406,#314407)); #60392=EDGE_LOOP('',(#314408,#314409,#314410,#314411,#314412,#314413,#314414)); #60393=EDGE_LOOP('',(#314415,#314416,#314417,#314418)); #60394=EDGE_LOOP('',(#314419,#314420,#314421,#314422,#314423,#314424,#314425, #314426,#314427,#314428,#314429,#314430,#314431,#314432,#314433,#314434, #314435,#314436,#314437,#314438)); #60395=EDGE_LOOP('',(#314439,#314440,#314441,#314442)); #60396=EDGE_LOOP('',(#314443,#314444,#314445,#314446)); #60397=EDGE_LOOP('',(#314447,#314448,#314449,#314450,#314451,#314452)); #60398=EDGE_LOOP('',(#314453,#314454,#314455,#314456,#314457,#314458,#314459, #314460,#314461,#314462,#314463,#314464,#314465,#314466,#314467,#314468, #314469,#314470,#314471,#314472,#314473,#314474,#314475,#314476,#314477, #314478,#314479,#314480,#314481,#314482,#314483,#314484,#314485,#314486, #314487,#314488,#314489,#314490,#314491)); #60399=EDGE_LOOP('',(#314492,#314493,#314494,#314495,#314496,#314497)); #60400=EDGE_LOOP('',(#314498,#314499,#314500,#314501,#314502,#314503)); #60401=EDGE_LOOP('',(#314504,#314505,#314506,#314507,#314508,#314509,#314510, #314511,#314512,#314513,#314514,#314515)); #60402=EDGE_LOOP('',(#314516,#314517,#314518,#314519,#314520,#314521,#314522, #314523)); #60403=EDGE_LOOP('',(#314524,#314525,#314526,#314527,#314528,#314529,#314530, #314531)); #60404=EDGE_LOOP('',(#314532,#314533,#314534,#314535,#314536,#314537)); #60405=EDGE_LOOP('',(#314538,#314539,#314540,#314541,#314542,#314543)); #60406=EDGE_LOOP('',(#314544,#314545,#314546,#314547,#314548)); #60407=EDGE_LOOP('',(#314549,#314550,#314551,#314552,#314553)); #60408=EDGE_LOOP('',(#314554,#314555,#314556,#314557)); #60409=EDGE_LOOP('',(#314558,#314559,#314560,#314561,#314562,#314563)); #60410=EDGE_LOOP('',(#314564,#314565,#314566,#314567,#314568,#314569,#314570, #314571)); #60411=EDGE_LOOP('',(#314572,#314573,#314574,#314575)); #60412=EDGE_LOOP('',(#314576,#314577,#314578,#314579)); #60413=EDGE_LOOP('',(#314580,#314581,#314582,#314583)); #60414=EDGE_LOOP('',(#314584,#314585,#314586,#314587)); #60415=EDGE_LOOP('',(#314588,#314589,#314590,#314591)); #60416=EDGE_LOOP('',(#314592,#314593,#314594,#314595)); #60417=EDGE_LOOP('',(#314596,#314597,#314598,#314599)); #60418=EDGE_LOOP('',(#314600,#314601,#314602,#314603)); #60419=EDGE_LOOP('',(#314604,#314605,#314606,#314607)); #60420=EDGE_LOOP('',(#314608,#314609,#314610,#314611)); #60421=EDGE_LOOP('',(#314612,#314613,#314614,#314615,#314616,#314617)); #60422=EDGE_LOOP('',(#314618,#314619,#314620,#314621)); #60423=EDGE_LOOP('',(#314622,#314623,#314624,#314625)); #60424=EDGE_LOOP('',(#314626,#314627,#314628,#314629)); #60425=EDGE_LOOP('',(#314630,#314631,#314632,#314633,#314634)); #60426=EDGE_LOOP('',(#314635,#314636,#314637,#314638,#314639,#314640,#314641, #314642)); #60427=EDGE_LOOP('',(#314643,#314644,#314645,#314646,#314647,#314648)); #60428=EDGE_LOOP('',(#314649,#314650,#314651,#314652,#314653,#314654)); #60429=EDGE_LOOP('',(#314655,#314656,#314657,#314658)); #60430=EDGE_LOOP('',(#314659,#314660,#314661,#314662)); #60431=EDGE_LOOP('',(#314663,#314664,#314665,#314666)); #60432=EDGE_LOOP('',(#314667,#314668,#314669,#314670)); #60433=EDGE_LOOP('',(#314671,#314672,#314673,#314674)); #60434=EDGE_LOOP('',(#314675,#314676,#314677,#314678)); #60435=EDGE_LOOP('',(#314679,#314680,#314681,#314682)); #60436=EDGE_LOOP('',(#314683,#314684,#314685,#314686)); #60437=EDGE_LOOP('',(#314687,#314688,#314689,#314690,#314691,#314692,#314693, #314694)); #60438=EDGE_LOOP('',(#314695,#314696,#314697,#314698,#314699,#314700)); #60439=EDGE_LOOP('',(#314701,#314702,#314703,#314704,#314705,#314706)); #60440=EDGE_LOOP('',(#314707,#314708,#314709,#314710)); #60441=EDGE_LOOP('',(#314711,#314712,#314713,#314714)); #60442=EDGE_LOOP('',(#314715,#314716,#314717,#314718)); #60443=EDGE_LOOP('',(#314719,#314720,#314721,#314722)); #60444=EDGE_LOOP('',(#314723,#314724,#314725,#314726)); #60445=EDGE_LOOP('',(#314727,#314728,#314729,#314730)); #60446=EDGE_LOOP('',(#314731,#314732,#314733,#314734)); #60447=EDGE_LOOP('',(#314735,#314736,#314737,#314738)); #60448=EDGE_LOOP('',(#314739,#314740,#314741,#314742)); #60449=EDGE_LOOP('',(#314743,#314744,#314745,#314746)); #60450=EDGE_LOOP('',(#314747,#314748,#314749,#314750)); #60451=EDGE_LOOP('',(#314751,#314752,#314753,#314754)); #60452=EDGE_LOOP('',(#314755,#314756,#314757,#314758)); #60453=EDGE_LOOP('',(#314759,#314760,#314761,#314762)); #60454=EDGE_LOOP('',(#314763,#314764,#314765,#314766)); #60455=EDGE_LOOP('',(#314767,#314768,#314769,#314770)); #60456=EDGE_LOOP('',(#314771,#314772,#314773,#314774)); #60457=EDGE_LOOP('',(#314775,#314776,#314777,#314778)); #60458=EDGE_LOOP('',(#314779,#314780,#314781,#314782)); #60459=EDGE_LOOP('',(#314783,#314784,#314785,#314786)); #60460=EDGE_LOOP('',(#314787,#314788,#314789,#314790)); #60461=EDGE_LOOP('',(#314791,#314792,#314793,#314794)); #60462=EDGE_LOOP('',(#314795,#314796,#314797,#314798)); #60463=EDGE_LOOP('',(#314799,#314800,#314801,#314802,#314803)); #60464=EDGE_LOOP('',(#314804,#314805,#314806,#314807)); #60465=EDGE_LOOP('',(#314808,#314809,#314810,#314811)); #60466=EDGE_LOOP('',(#314812,#314813,#314814,#314815)); #60467=EDGE_LOOP('',(#314816,#314817,#314818,#314819,#314820)); #60468=EDGE_LOOP('',(#314821,#314822,#314823,#314824)); #60469=EDGE_LOOP('',(#314825,#314826,#314827,#314828)); #60470=EDGE_LOOP('',(#314829,#314830,#314831,#314832)); #60471=EDGE_LOOP('',(#314833,#314834,#314835,#314836)); #60472=EDGE_LOOP('',(#314837,#314838,#314839,#314840)); #60473=EDGE_LOOP('',(#314841,#314842,#314843,#314844)); #60474=EDGE_LOOP('',(#314845,#314846,#314847,#314848)); #60475=EDGE_LOOP('',(#314849,#314850,#314851,#314852)); #60476=EDGE_LOOP('',(#314853,#314854,#314855,#314856)); #60477=EDGE_LOOP('',(#314857,#314858,#314859,#314860)); #60478=EDGE_LOOP('',(#314861,#314862,#314863,#314864)); #60479=EDGE_LOOP('',(#314865,#314866,#314867,#314868)); #60480=EDGE_LOOP('',(#314869,#314870,#314871,#314872)); #60481=EDGE_LOOP('',(#314873,#314874,#314875,#314876)); #60482=EDGE_LOOP('',(#314877,#314878,#314879,#314880)); #60483=EDGE_LOOP('',(#314881,#314882,#314883,#314884)); #60484=EDGE_LOOP('',(#314885,#314886,#314887,#314888)); #60485=EDGE_LOOP('',(#314889,#314890,#314891,#314892)); #60486=EDGE_LOOP('',(#314893,#314894,#314895,#314896)); #60487=EDGE_LOOP('',(#314897,#314898,#314899,#314900)); #60488=EDGE_LOOP('',(#314901,#314902,#314903,#314904)); #60489=EDGE_LOOP('',(#314905,#314906,#314907,#314908)); #60490=EDGE_LOOP('',(#314909,#314910,#314911,#314912)); #60491=EDGE_LOOP('',(#314913,#314914,#314915,#314916)); #60492=EDGE_LOOP('',(#314917,#314918,#314919,#314920)); #60493=EDGE_LOOP('',(#314921,#314922,#314923,#314924)); #60494=EDGE_LOOP('',(#314925,#314926,#314927,#314928)); #60495=EDGE_LOOP('',(#314929,#314930,#314931,#314932)); #60496=EDGE_LOOP('',(#314933,#314934,#314935,#314936,#314937,#314938,#314939, #314940,#314941,#314942,#314943,#314944,#314945,#314946,#314947,#314948)); #60497=EDGE_LOOP('',(#314949,#314950,#314951,#314952,#314953,#314954,#314955, #314956,#314957,#314958,#314959,#314960,#314961,#314962,#314963,#314964)); #60498=EDGE_LOOP('',(#314965,#314966,#314967,#314968)); #60499=EDGE_LOOP('',(#314969,#314970,#314971,#314972)); #60500=EDGE_LOOP('',(#314973,#314974,#314975,#314976)); #60501=EDGE_LOOP('',(#314977,#314978,#314979,#314980)); #60502=EDGE_LOOP('',(#314981,#314982,#314983,#314984)); #60503=EDGE_LOOP('',(#314985,#314986,#314987,#314988)); #60504=EDGE_LOOP('',(#314989,#314990,#314991,#314992)); #60505=EDGE_LOOP('',(#314993,#314994,#314995,#314996)); #60506=EDGE_LOOP('',(#314997,#314998,#314999,#315000)); #60507=EDGE_LOOP('',(#315001,#315002,#315003,#315004)); #60508=EDGE_LOOP('',(#315005,#315006,#315007,#315008)); #60509=EDGE_LOOP('',(#315009,#315010,#315011,#315012)); #60510=EDGE_LOOP('',(#315013,#315014,#315015,#315016)); #60511=EDGE_LOOP('',(#315017,#315018,#315019,#315020)); #60512=EDGE_LOOP('',(#315021,#315022,#315023,#315024)); #60513=EDGE_LOOP('',(#315025,#315026,#315027,#315028)); #60514=EDGE_LOOP('',(#315029,#315030,#315031,#315032)); #60515=EDGE_LOOP('',(#315033,#315034,#315035,#315036)); #60516=EDGE_LOOP('',(#315037,#315038,#315039,#315040,#315041,#315042,#315043, #315044,#315045,#315046,#315047,#315048,#315049,#315050,#315051,#315052)); #60517=EDGE_LOOP('',(#315053,#315054,#315055,#315056,#315057,#315058,#315059, #315060,#315061,#315062,#315063,#315064,#315065,#315066,#315067,#315068)); #60518=EDGE_LOOP('',(#315069,#315070,#315071,#315072)); #60519=EDGE_LOOP('',(#315073,#315074,#315075,#315076)); #60520=EDGE_LOOP('',(#315077,#315078,#315079,#315080)); #60521=EDGE_LOOP('',(#315081,#315082,#315083,#315084)); #60522=EDGE_LOOP('',(#315085,#315086,#315087,#315088)); #60523=EDGE_LOOP('',(#315089,#315090,#315091,#315092)); #60524=EDGE_LOOP('',(#315093,#315094,#315095,#315096)); #60525=EDGE_LOOP('',(#315097,#315098,#315099,#315100)); #60526=EDGE_LOOP('',(#315101,#315102,#315103,#315104)); #60527=EDGE_LOOP('',(#315105,#315106,#315107,#315108)); #60528=EDGE_LOOP('',(#315109,#315110,#315111,#315112)); #60529=EDGE_LOOP('',(#315113,#315114,#315115,#315116)); #60530=EDGE_LOOP('',(#315117,#315118,#315119,#315120)); #60531=EDGE_LOOP('',(#315121,#315122,#315123,#315124)); #60532=EDGE_LOOP('',(#315125,#315126,#315127,#315128)); #60533=EDGE_LOOP('',(#315129,#315130,#315131,#315132)); #60534=EDGE_LOOP('',(#315133,#315134,#315135,#315136)); #60535=EDGE_LOOP('',(#315137,#315138,#315139,#315140)); #60536=EDGE_LOOP('',(#315141,#315142,#315143,#315144,#315145,#315146,#315147)); #60537=EDGE_LOOP('',(#315148,#315149,#315150,#315151,#315152)); #60538=EDGE_LOOP('',(#315153,#315154,#315155,#315156,#315157,#315158,#315159)); #60539=EDGE_LOOP('',(#315160,#315161,#315162,#315163,#315164,#315165)); #60540=EDGE_LOOP('',(#315166,#315167,#315168,#315169)); #60541=EDGE_LOOP('',(#315170,#315171,#315172,#315173)); #60542=EDGE_LOOP('',(#315174,#315175,#315176,#315177)); #60543=EDGE_LOOP('',(#315178,#315179,#315180,#315181)); #60544=EDGE_LOOP('',(#315182,#315183,#315184,#315185)); #60545=EDGE_LOOP('',(#315186,#315187,#315188,#315189)); #60546=EDGE_LOOP('',(#315190,#315191,#315192)); #60547=EDGE_LOOP('',(#315193,#315194,#315195,#315196)); #60548=EDGE_LOOP('',(#315197,#315198,#315199)); #60549=EDGE_LOOP('',(#315200,#315201,#315202,#315203)); #60550=EDGE_LOOP('',(#315204,#315205,#315206,#315207)); #60551=EDGE_LOOP('',(#315208,#315209,#315210,#315211)); #60552=EDGE_LOOP('',(#315212,#315213,#315214,#315215)); #60553=EDGE_LOOP('',(#315216,#315217,#315218,#315219)); #60554=EDGE_LOOP('',(#315220,#315221,#315222,#315223)); #60555=EDGE_LOOP('',(#315224,#315225,#315226,#315227)); #60556=EDGE_LOOP('',(#315228,#315229,#315230,#315231)); #60557=EDGE_LOOP('',(#315232,#315233,#315234,#315235)); #60558=EDGE_LOOP('',(#315236,#315237,#315238,#315239)); #60559=EDGE_LOOP('',(#315240,#315241,#315242,#315243)); #60560=EDGE_LOOP('',(#315244,#315245,#315246,#315247)); #60561=EDGE_LOOP('',(#315248,#315249,#315250,#315251)); #60562=EDGE_LOOP('',(#315252,#315253,#315254,#315255)); #60563=EDGE_LOOP('',(#315256,#315257,#315258,#315259)); #60564=EDGE_LOOP('',(#315260,#315261,#315262,#315263)); #60565=EDGE_LOOP('',(#315264,#315265,#315266,#315267)); #60566=EDGE_LOOP('',(#315268,#315269,#315270,#315271)); #60567=EDGE_LOOP('',(#315272,#315273,#315274,#315275)); #60568=EDGE_LOOP('',(#315276,#315277,#315278,#315279)); #60569=EDGE_LOOP('',(#315280,#315281,#315282,#315283)); #60570=EDGE_LOOP('',(#315284,#315285,#315286,#315287)); #60571=EDGE_LOOP('',(#315288,#315289,#315290,#315291)); #60572=EDGE_LOOP('',(#315292,#315293,#315294,#315295)); #60573=EDGE_LOOP('',(#315296,#315297,#315298,#315299)); #60574=EDGE_LOOP('',(#315300,#315301,#315302,#315303)); #60575=EDGE_LOOP('',(#315304,#315305,#315306,#315307)); #60576=EDGE_LOOP('',(#315308,#315309,#315310,#315311)); #60577=EDGE_LOOP('',(#315312,#315313,#315314,#315315)); #60578=EDGE_LOOP('',(#315316,#315317,#315318,#315319)); #60579=EDGE_LOOP('',(#315320,#315321,#315322,#315323)); #60580=EDGE_LOOP('',(#315324,#315325,#315326,#315327,#315328)); #60581=EDGE_LOOP('',(#315329,#315330,#315331,#315332)); #60582=EDGE_LOOP('',(#315333,#315334,#315335,#315336)); #60583=EDGE_LOOP('',(#315337,#315338,#315339,#315340)); #60584=EDGE_LOOP('',(#315341,#315342,#315343,#315344,#315345)); #60585=EDGE_LOOP('',(#315346,#315347,#315348,#315349)); #60586=EDGE_LOOP('',(#315350,#315351,#315352,#315353)); #60587=EDGE_LOOP('',(#315354,#315355,#315356,#315357)); #60588=EDGE_LOOP('',(#315358,#315359,#315360,#315361)); #60589=EDGE_LOOP('',(#315362,#315363,#315364,#315365)); #60590=EDGE_LOOP('',(#315366,#315367,#315368,#315369)); #60591=EDGE_LOOP('',(#315370,#315371,#315372,#315373)); #60592=EDGE_LOOP('',(#315374,#315375,#315376,#315377)); #60593=EDGE_LOOP('',(#315378,#315379,#315380,#315381,#315382,#315383,#315384, #315385,#315386,#315387,#315388,#315389,#315390,#315391,#315392,#315393)); #60594=EDGE_LOOP('',(#315394,#315395,#315396,#315397,#315398,#315399,#315400, #315401,#315402,#315403,#315404,#315405,#315406,#315407,#315408,#315409)); #60595=EDGE_LOOP('',(#315410,#315411,#315412,#315413)); #60596=EDGE_LOOP('',(#315414,#315415,#315416,#315417)); #60597=EDGE_LOOP('',(#315418,#315419,#315420,#315421)); #60598=EDGE_LOOP('',(#315422,#315423,#315424,#315425)); #60599=EDGE_LOOP('',(#315426,#315427,#315428,#315429)); #60600=EDGE_LOOP('',(#315430,#315431,#315432,#315433)); #60601=EDGE_LOOP('',(#315434,#315435,#315436,#315437)); #60602=EDGE_LOOP('',(#315438,#315439,#315440,#315441)); #60603=EDGE_LOOP('',(#315442,#315443,#315444,#315445)); #60604=EDGE_LOOP('',(#315446,#315447,#315448,#315449)); #60605=EDGE_LOOP('',(#315450,#315451,#315452,#315453)); #60606=EDGE_LOOP('',(#315454,#315455,#315456,#315457)); #60607=EDGE_LOOP('',(#315458,#315459,#315460,#315461)); #60608=EDGE_LOOP('',(#315462,#315463,#315464,#315465)); #60609=EDGE_LOOP('',(#315466,#315467,#315468,#315469)); #60610=EDGE_LOOP('',(#315470,#315471,#315472,#315473)); #60611=EDGE_LOOP('',(#315474,#315475,#315476,#315477)); #60612=EDGE_LOOP('',(#315478,#315479,#315480,#315481)); #60613=EDGE_LOOP('',(#315482,#315483,#315484,#315485,#315486,#315487,#315488, #315489,#315490,#315491,#315492,#315493,#315494,#315495,#315496,#315497)); #60614=EDGE_LOOP('',(#315498,#315499,#315500,#315501,#315502,#315503,#315504, #315505,#315506,#315507,#315508,#315509,#315510,#315511,#315512,#315513)); #60615=EDGE_LOOP('',(#315514,#315515,#315516,#315517)); #60616=EDGE_LOOP('',(#315518,#315519,#315520,#315521)); #60617=EDGE_LOOP('',(#315522,#315523,#315524,#315525)); #60618=EDGE_LOOP('',(#315526,#315527,#315528,#315529)); #60619=EDGE_LOOP('',(#315530,#315531,#315532,#315533)); #60620=EDGE_LOOP('',(#315534,#315535,#315536,#315537)); #60621=EDGE_LOOP('',(#315538,#315539,#315540,#315541)); #60622=EDGE_LOOP('',(#315542,#315543,#315544,#315545)); #60623=EDGE_LOOP('',(#315546,#315547,#315548,#315549)); #60624=EDGE_LOOP('',(#315550,#315551,#315552,#315553)); #60625=EDGE_LOOP('',(#315554,#315555,#315556,#315557)); #60626=EDGE_LOOP('',(#315558,#315559,#315560,#315561)); #60627=EDGE_LOOP('',(#315562,#315563,#315564,#315565)); #60628=EDGE_LOOP('',(#315566,#315567,#315568,#315569)); #60629=EDGE_LOOP('',(#315570,#315571,#315572,#315573)); #60630=EDGE_LOOP('',(#315574,#315575,#315576,#315577)); #60631=EDGE_LOOP('',(#315578,#315579,#315580,#315581)); #60632=EDGE_LOOP('',(#315582,#315583,#315584,#315585)); #60633=EDGE_LOOP('',(#315586,#315587,#315588,#315589,#315590)); #60634=EDGE_LOOP('',(#315591,#315592,#315593,#315594,#315595,#315596,#315597)); #60635=EDGE_LOOP('',(#315598,#315599,#315600,#315601,#315602,#315603,#315604)); #60636=EDGE_LOOP('',(#315605,#315606,#315607,#315608,#315609,#315610)); #60637=EDGE_LOOP('',(#315611,#315612,#315613,#315614)); #60638=EDGE_LOOP('',(#315615,#315616,#315617,#315618)); #60639=EDGE_LOOP('',(#315619,#315620,#315621,#315622)); #60640=EDGE_LOOP('',(#315623,#315624,#315625,#315626)); #60641=EDGE_LOOP('',(#315627,#315628,#315629,#315630)); #60642=EDGE_LOOP('',(#315631,#315632,#315633,#315634)); #60643=EDGE_LOOP('',(#315635,#315636,#315637)); #60644=EDGE_LOOP('',(#315638,#315639,#315640,#315641)); #60645=EDGE_LOOP('',(#315642,#315643,#315644)); #60646=EDGE_LOOP('',(#315645,#315646,#315647,#315648)); #60647=EDGE_LOOP('',(#315649,#315650,#315651,#315652)); #60648=EDGE_LOOP('',(#315653,#315654,#315655,#315656)); #60649=EDGE_LOOP('',(#315657,#315658,#315659,#315660)); #60650=EDGE_LOOP('',(#315661,#315662,#315663,#315664)); #60651=EDGE_LOOP('',(#315665,#315666,#315667,#315668)); #60652=EDGE_LOOP('',(#315669,#315670,#315671,#315672)); #60653=EDGE_LOOP('',(#315673,#315674,#315675,#315676)); #60654=EDGE_LOOP('',(#315677,#315678,#315679,#315680)); #60655=EDGE_LOOP('',(#315681,#315682,#315683,#315684)); #60656=EDGE_LOOP('',(#315685,#315686,#315687,#315688)); #60657=EDGE_LOOP('',(#315689,#315690,#315691,#315692)); #60658=EDGE_LOOP('',(#315693,#315694,#315695,#315696)); #60659=EDGE_LOOP('',(#315697,#315698,#315699,#315700)); #60660=EDGE_LOOP('',(#315701,#315702,#315703,#315704)); #60661=EDGE_LOOP('',(#315705,#315706,#315707,#315708)); #60662=EDGE_LOOP('',(#315709,#315710,#315711,#315712)); #60663=EDGE_LOOP('',(#315713,#315714,#315715,#315716)); #60664=EDGE_LOOP('',(#315717,#315718,#315719,#315720)); #60665=EDGE_LOOP('',(#315721,#315722,#315723,#315724)); #60666=EDGE_LOOP('',(#315725,#315726,#315727,#315728)); #60667=EDGE_LOOP('',(#315729,#315730,#315731,#315732)); #60668=EDGE_LOOP('',(#315733,#315734,#315735,#315736)); #60669=EDGE_LOOP('',(#315737,#315738,#315739,#315740)); #60670=EDGE_LOOP('',(#315741,#315742,#315743,#315744)); #60671=EDGE_LOOP('',(#315745,#315746,#315747,#315748)); #60672=EDGE_LOOP('',(#315749,#315750,#315751,#315752)); #60673=EDGE_LOOP('',(#315753,#315754,#315755,#315756)); #60674=EDGE_LOOP('',(#315757,#315758,#315759,#315760)); #60675=EDGE_LOOP('',(#315761,#315762,#315763,#315764)); #60676=EDGE_LOOP('',(#315765,#315766,#315767,#315768)); #60677=EDGE_LOOP('',(#315769,#315770,#315771,#315772)); #60678=EDGE_LOOP('',(#315773,#315774,#315775,#315776)); #60679=EDGE_LOOP('',(#315777,#315778,#315779,#315780,#315781)); #60680=EDGE_LOOP('',(#315782,#315783,#315784,#315785)); #60681=EDGE_LOOP('',(#315786,#315787,#315788,#315789,#315790,#315791,#315792)); #60682=EDGE_LOOP('',(#315793,#315794,#315795,#315796,#315797,#315798)); #60683=EDGE_LOOP('',(#315799,#315800,#315801,#315802,#315803,#315804,#315805, #315806,#315807,#315808,#315809,#315810)); #60684=EDGE_LOOP('',(#315811,#315812,#315813,#315814)); #60685=EDGE_LOOP('',(#315815,#315816,#315817,#315818,#315819,#315820)); #60686=EDGE_LOOP('',(#315821,#315822,#315823,#315824)); #60687=EDGE_LOOP('',(#315825,#315826,#315827,#315828,#315829,#315830,#315831, #315832,#315833,#315834,#315835,#315836)); #60688=EDGE_LOOP('',(#315837,#315838,#315839,#315840)); #60689=EDGE_LOOP('',(#315841,#315842,#315843,#315844,#315845,#315846,#315847, #315848,#315849,#315850,#315851,#315852)); #60690=EDGE_LOOP('',(#315853,#315854,#315855,#315856,#315857,#315858)); #60691=EDGE_LOOP('',(#315859,#315860,#315861,#315862)); #60692=EDGE_LOOP('',(#315863,#315864,#315865,#315866)); #60693=EDGE_LOOP('',(#315867,#315868,#315869,#315870,#315871,#315872)); #60694=EDGE_LOOP('',(#315873,#315874,#315875,#315876,#315877,#315878,#315879, #315880,#315881,#315882,#315883,#315884)); #60695=EDGE_LOOP('',(#315885,#315886,#315887,#315888,#315889,#315890,#315891)); #60696=EDGE_LOOP('',(#315892,#315893,#315894,#315895,#315896)); #60697=EDGE_LOOP('',(#315897,#315898,#315899,#315900,#315901,#315902)); #60698=EDGE_LOOP('',(#315903,#315904,#315905,#315906)); #60699=EDGE_LOOP('',(#315907,#315908,#315909,#315910)); #60700=EDGE_LOOP('',(#315911,#315912,#315913,#315914,#315915,#315916)); #60701=EDGE_LOOP('',(#315917,#315918,#315919,#315920)); #60702=EDGE_LOOP('',(#315921,#315922,#315923,#315924)); #60703=EDGE_LOOP('',(#315925,#315926,#315927,#315928)); #60704=EDGE_LOOP('',(#315929,#315930,#315931,#315932,#315933)); #60705=EDGE_LOOP('',(#315934,#315935,#315936,#315937,#315938,#315939)); #60706=EDGE_LOOP('',(#315940,#315941,#315942,#315943,#315944,#315945,#315946, #315947,#315948)); #60707=EDGE_LOOP('',(#315949,#315950,#315951,#315952)); #60708=EDGE_LOOP('',(#315953,#315954,#315955,#315956)); #60709=EDGE_LOOP('',(#315957,#315958,#315959,#315960)); #60710=EDGE_LOOP('',(#315961,#315962,#315963,#315964)); #60711=EDGE_LOOP('',(#315965,#315966,#315967,#315968)); #60712=EDGE_LOOP('',(#315969,#315970,#315971,#315972,#315973)); #60713=EDGE_LOOP('',(#315974,#315975,#315976,#315977)); #60714=EDGE_LOOP('',(#315978,#315979,#315980,#315981,#315982)); #60715=EDGE_LOOP('',(#315983,#315984,#315985,#315986)); #60716=EDGE_LOOP('',(#315987,#315988,#315989,#315990)); #60717=EDGE_LOOP('',(#315991,#315992,#315993,#315994)); #60718=EDGE_LOOP('',(#315995,#315996,#315997,#315998,#315999)); #60719=EDGE_LOOP('',(#316000,#316001,#316002,#316003)); #60720=EDGE_LOOP('',(#316004,#316005,#316006,#316007,#316008)); #60721=EDGE_LOOP('',(#316009,#316010,#316011,#316012)); #60722=EDGE_LOOP('',(#316013,#316014,#316015,#316016)); #60723=EDGE_LOOP('',(#316017,#316018,#316019,#316020)); #60724=EDGE_LOOP('',(#316021,#316022,#316023,#316024)); #60725=EDGE_LOOP('',(#316025,#316026,#316027,#316028)); #60726=EDGE_LOOP('',(#316029,#316030,#316031,#316032,#316033,#316034,#316035, #316036,#316037)); #60727=EDGE_LOOP('',(#316038,#316039,#316040,#316041,#316042,#316043)); #60728=EDGE_LOOP('',(#316044,#316045,#316046,#316047,#316048)); #60729=EDGE_LOOP('',(#316049,#316050,#316051,#316052)); #60730=EDGE_LOOP('',(#316053,#316054,#316055,#316056)); #60731=EDGE_LOOP('',(#316057,#316058,#316059,#316060)); #60732=EDGE_LOOP('',(#316061,#316062,#316063,#316064)); #60733=EDGE_LOOP('',(#316065,#316066,#316067,#316068)); #60734=EDGE_LOOP('',(#316069,#316070,#316071,#316072)); #60735=EDGE_LOOP('',(#316073,#316074,#316075,#316076,#316077,#316078)); #60736=EDGE_LOOP('',(#316079,#316080,#316081,#316082,#316083,#316084,#316085, #316086,#316087,#316088,#316089,#316090,#316091,#316092,#316093,#316094, #316095,#316096,#316097,#316098,#316099,#316100,#316101,#316102,#316103, #316104,#316105,#316106,#316107,#316108,#316109,#316110,#316111,#316112, #316113,#316114,#316115,#316116,#316117,#316118)); #60737=EDGE_LOOP('',(#316119,#316120,#316121,#316122,#316123)); #60738=EDGE_LOOP('',(#316124,#316125,#316126,#316127,#316128,#316129,#316130, #316131,#316132,#316133,#316134,#316135,#316136,#316137,#316138,#316139, #316140,#316141,#316142,#316143,#316144,#316145,#316146,#316147,#316148, #316149,#316150,#316151,#316152,#316153,#316154,#316155,#316156,#316157, #316158,#316159,#316160,#316161,#316162,#316163)); #60739=EDGE_LOOP('',(#316164,#316165,#316166,#316167,#316168)); #60740=EDGE_LOOP('',(#316169,#316170,#316171,#316172,#316173,#316174)); #60741=EDGE_LOOP('',(#316175,#316176,#316177,#316178,#316179)); #60742=EDGE_LOOP('',(#316180,#316181,#316182,#316183)); #60743=EDGE_LOOP('',(#316184,#316185,#316186,#316187,#316188)); #60744=EDGE_LOOP('',(#316189,#316190,#316191,#316192,#316193)); #60745=EDGE_LOOP('',(#316194,#316195,#316196,#316197,#316198,#316199,#316200)); #60746=EDGE_LOOP('',(#316201,#316202,#316203,#316204,#316205,#316206,#316207)); #60747=EDGE_LOOP('',(#316208,#316209,#316210,#316211,#316212)); #60748=EDGE_LOOP('',(#316213,#316214,#316215,#316216,#316217)); #60749=EDGE_LOOP('',(#316218,#316219,#316220,#316221)); #60750=EDGE_LOOP('',(#316222,#316223,#316224,#316225,#316226)); #60751=EDGE_LOOP('',(#316227,#316228,#316229,#316230,#316231,#316232,#316233)); #60752=EDGE_LOOP('',(#316234,#316235,#316236,#316237,#316238,#316239,#316240)); #60753=EDGE_LOOP('',(#316241,#316242,#316243,#316244)); #60754=EDGE_LOOP('',(#316245,#316246,#316247,#316248)); #60755=EDGE_LOOP('',(#316249,#316250,#316251,#316252)); #60756=EDGE_LOOP('',(#316253,#316254,#316255,#316256)); #60757=EDGE_LOOP('',(#316257,#316258,#316259,#316260)); #60758=EDGE_LOOP('',(#316261,#316262,#316263,#316264)); #60759=EDGE_LOOP('',(#316265,#316266,#316267,#316268)); #60760=EDGE_LOOP('',(#316269,#316270,#316271,#316272)); #60761=EDGE_LOOP('',(#316273,#316274,#316275,#316276)); #60762=EDGE_LOOP('',(#316277,#316278,#316279,#316280)); #60763=EDGE_LOOP('',(#316281,#316282,#316283,#316284)); #60764=EDGE_LOOP('',(#316285,#316286,#316287,#316288)); #60765=EDGE_LOOP('',(#316289,#316290,#316291,#316292)); #60766=EDGE_LOOP('',(#316293,#316294,#316295,#316296)); #60767=EDGE_LOOP('',(#316297,#316298,#316299,#316300)); #60768=EDGE_LOOP('',(#316301,#316302,#316303,#316304)); #60769=EDGE_LOOP('',(#316305,#316306,#316307,#316308)); #60770=EDGE_LOOP('',(#316309,#316310,#316311,#316312)); #60771=EDGE_LOOP('',(#316313,#316314,#316315,#316316)); #60772=EDGE_LOOP('',(#316317,#316318,#316319,#316320)); #60773=EDGE_LOOP('',(#316321,#316322,#316323,#316324)); #60774=EDGE_LOOP('',(#316325,#316326,#316327,#316328)); #60775=EDGE_LOOP('',(#316329,#316330,#316331,#316332)); #60776=EDGE_LOOP('',(#316333,#316334,#316335,#316336)); #60777=EDGE_LOOP('',(#316337,#316338,#316339,#316340)); #60778=EDGE_LOOP('',(#316341,#316342,#316343,#316344)); #60779=EDGE_LOOP('',(#316345,#316346,#316347,#316348)); #60780=EDGE_LOOP('',(#316349,#316350,#316351,#316352)); #60781=EDGE_LOOP('',(#316353,#316354,#316355,#316356)); #60782=EDGE_LOOP('',(#316357,#316358,#316359,#316360,#316361,#316362,#316363, #316364,#316365,#316366,#316367,#316368)); #60783=EDGE_LOOP('',(#316369,#316370,#316371,#316372,#316373,#316374,#316375, #316376,#316377,#316378,#316379,#316380)); #60784=EDGE_LOOP('',(#316381,#316382,#316383,#316384)); #60785=EDGE_LOOP('',(#316385,#316386,#316387,#316388,#316389,#316390)); #60786=EDGE_LOOP('',(#316391,#316392,#316393,#316394)); #60787=EDGE_LOOP('',(#316395,#316396,#316397,#316398,#316399,#316400)); #60788=EDGE_LOOP('',(#316401,#316402,#316403,#316404,#316405,#316406,#316407, #316408)); #60789=EDGE_LOOP('',(#316409,#316410,#316411,#316412,#316413,#316414,#316415, #316416)); #60790=EDGE_LOOP('',(#316417,#316418,#316419,#316420)); #60791=EDGE_LOOP('',(#316421,#316422,#316423,#316424)); #60792=EDGE_LOOP('',(#316425,#316426,#316427,#316428)); #60793=EDGE_LOOP('',(#316429,#316430,#316431,#316432)); #60794=EDGE_LOOP('',(#316433,#316434,#316435,#316436)); #60795=EDGE_LOOP('',(#316437,#316438,#316439,#316440)); #60796=EDGE_LOOP('',(#316441,#316442,#316443,#316444)); #60797=EDGE_LOOP('',(#316445,#316446,#316447,#316448)); #60798=EDGE_LOOP('',(#316449,#316450,#316451,#316452)); #60799=EDGE_LOOP('',(#316453,#316454,#316455,#316456)); #60800=EDGE_LOOP('',(#316457,#316458,#316459,#316460)); #60801=EDGE_LOOP('',(#316461,#316462,#316463,#316464,#316465,#316466,#316467, #316468,#316469,#316470,#316471,#316472)); #60802=EDGE_LOOP('',(#316473,#316474,#316475,#316476)); #60803=EDGE_LOOP('',(#316477,#316478,#316479,#316480)); #60804=EDGE_LOOP('',(#316481,#316482,#316483,#316484)); #60805=EDGE_LOOP('',(#316485,#316486,#316487,#316488)); #60806=EDGE_LOOP('',(#316489,#316490,#316491,#316492)); #60807=EDGE_LOOP('',(#316493,#316494,#316495,#316496)); #60808=EDGE_LOOP('',(#316497,#316498,#316499,#316500)); #60809=EDGE_LOOP('',(#316501,#316502,#316503,#316504)); #60810=EDGE_LOOP('',(#316505,#316506,#316507,#316508)); #60811=EDGE_LOOP('',(#316509,#316510,#316511,#316512,#316513,#316514)); #60812=EDGE_LOOP('',(#316515,#316516,#316517,#316518,#316519,#316520)); #60813=EDGE_LOOP('',(#316521,#316522,#316523,#316524)); #60814=EDGE_LOOP('',(#316525,#316526,#316527,#316528,#316529,#316530)); #60815=EDGE_LOOP('',(#316531,#316532,#316533,#316534,#316535,#316536)); #60816=EDGE_LOOP('',(#316537,#316538,#316539,#316540)); #60817=EDGE_LOOP('',(#316541,#316542,#316543,#316544,#316545,#316546,#316547, #316548)); #60818=EDGE_LOOP('',(#316549,#316550,#316551,#316552,#316553,#316554,#316555, #316556,#316557)); #60819=EDGE_LOOP('',(#316558,#316559,#316560,#316561,#316562,#316563,#316564, #316565,#316566)); #60820=EDGE_LOOP('',(#316567,#316568,#316569,#316570,#316571,#316572,#316573, #316574,#316575,#316576,#316577,#316578,#316579,#316580,#316581,#316582, #316583,#316584,#316585,#316586,#316587,#316588,#316589,#316590)); #60821=EDGE_LOOP('',(#316591,#316592,#316593,#316594)); #60822=EDGE_LOOP('',(#316595,#316596,#316597,#316598,#316599,#316600,#316601, #316602,#316603,#316604,#316605,#316606,#316607,#316608,#316609,#316610, #316611,#316612,#316613,#316614)); #60823=EDGE_LOOP('',(#316615,#316616,#316617,#316618,#316619,#316620,#316621)); #60824=EDGE_LOOP('',(#316622,#316623,#316624,#316625)); #60825=EDGE_LOOP('',(#316626,#316627,#316628,#316629,#316630,#316631,#316632)); #60826=EDGE_LOOP('',(#316633,#316634,#316635,#316636)); #60827=EDGE_LOOP('',(#316637,#316638,#316639,#316640)); #60828=EDGE_LOOP('',(#316641,#316642,#316643,#316644)); #60829=EDGE_LOOP('',(#316645,#316646,#316647,#316648)); #60830=EDGE_LOOP('',(#316649,#316650,#316651,#316652)); #60831=EDGE_LOOP('',(#316653,#316654,#316655,#316656)); #60832=EDGE_LOOP('',(#316657,#316658,#316659,#316660)); #60833=EDGE_LOOP('',(#316661,#316662,#316663,#316664)); #60834=EDGE_LOOP('',(#316665,#316666,#316667,#316668)); #60835=EDGE_LOOP('',(#316669,#316670,#316671,#316672)); #60836=EDGE_LOOP('',(#316673,#316674,#316675,#316676)); #60837=EDGE_LOOP('',(#316677,#316678,#316679,#316680)); #60838=EDGE_LOOP('',(#316681,#316682,#316683,#316684)); #60839=EDGE_LOOP('',(#316685,#316686,#316687,#316688)); #60840=EDGE_LOOP('',(#316689,#316690,#316691,#316692)); #60841=EDGE_LOOP('',(#316693,#316694,#316695,#316696)); #60842=EDGE_LOOP('',(#316697,#316698,#316699,#316700)); #60843=EDGE_LOOP('',(#316701,#316702,#316703,#316704)); #60844=EDGE_LOOP('',(#316705,#316706,#316707,#316708)); #60845=EDGE_LOOP('',(#316709,#316710,#316711,#316712)); #60846=EDGE_LOOP('',(#316713,#316714,#316715,#316716)); #60847=EDGE_LOOP('',(#316717,#316718,#316719,#316720)); #60848=EDGE_LOOP('',(#316721,#316722,#316723,#316724)); #60849=EDGE_LOOP('',(#316725,#316726,#316727,#316728)); #60850=EDGE_LOOP('',(#316729,#316730,#316731,#316732)); #60851=EDGE_LOOP('',(#316733,#316734,#316735,#316736)); #60852=EDGE_LOOP('',(#316737,#316738,#316739,#316740)); #60853=EDGE_LOOP('',(#316741,#316742,#316743,#316744)); #60854=EDGE_LOOP('',(#316745,#316746,#316747,#316748)); #60855=EDGE_LOOP('',(#316749,#316750,#316751,#316752)); #60856=EDGE_LOOP('',(#316753,#316754,#316755,#316756)); #60857=EDGE_LOOP('',(#316757,#316758,#316759,#316760)); #60858=EDGE_LOOP('',(#316761,#316762,#316763,#316764)); #60859=EDGE_LOOP('',(#316765,#316766,#316767,#316768)); #60860=EDGE_LOOP('',(#316769,#316770,#316771,#316772)); #60861=EDGE_LOOP('',(#316773,#316774,#316775,#316776)); #60862=EDGE_LOOP('',(#316777,#316778,#316779,#316780)); #60863=EDGE_LOOP('',(#316781,#316782,#316783,#316784)); #60864=EDGE_LOOP('',(#316785,#316786,#316787,#316788)); #60865=EDGE_LOOP('',(#316789,#316790,#316791,#316792)); #60866=EDGE_LOOP('',(#316793,#316794,#316795,#316796)); #60867=EDGE_LOOP('',(#316797,#316798,#316799,#316800)); #60868=EDGE_LOOP('',(#316801,#316802,#316803,#316804)); #60869=EDGE_LOOP('',(#316805,#316806,#316807,#316808)); #60870=EDGE_LOOP('',(#316809,#316810,#316811,#316812)); #60871=EDGE_LOOP('',(#316813,#316814,#316815,#316816)); #60872=EDGE_LOOP('',(#316817,#316818,#316819,#316820)); #60873=EDGE_LOOP('',(#316821,#316822,#316823,#316824)); #60874=EDGE_LOOP('',(#316825,#316826,#316827,#316828)); #60875=EDGE_LOOP('',(#316829,#316830,#316831,#316832)); #60876=EDGE_LOOP('',(#316833,#316834,#316835,#316836)); #60877=EDGE_LOOP('',(#316837,#316838,#316839,#316840,#316841)); #60878=EDGE_LOOP('',(#316842,#316843,#316844,#316845)); #60879=EDGE_LOOP('',(#316846,#316847,#316848,#316849,#316850)); #60880=EDGE_LOOP('',(#316851,#316852,#316853,#316854)); #60881=EDGE_LOOP('',(#316855,#316856,#316857,#316858)); #60882=EDGE_LOOP('',(#316859,#316860,#316861,#316862)); #60883=EDGE_LOOP('',(#316863,#316864,#316865,#316866)); #60884=EDGE_LOOP('',(#316867,#316868,#316869,#316870)); #60885=EDGE_LOOP('',(#316871,#316872,#316873,#316874)); #60886=EDGE_LOOP('',(#316875,#316876,#316877)); #60887=EDGE_LOOP('',(#316878,#316879,#316880)); #60888=EDGE_LOOP('',(#316881,#316882,#316883)); #60889=EDGE_LOOP('',(#316884,#316885,#316886)); #60890=EDGE_LOOP('',(#316887,#316888,#316889)); #60891=EDGE_LOOP('',(#316890,#316891,#316892,#316893)); #60892=EDGE_LOOP('',(#316894,#316895,#316896)); #60893=EDGE_LOOP('',(#316897,#316898,#316899)); #60894=EDGE_LOOP('',(#316900,#316901,#316902,#316903)); #60895=EDGE_LOOP('',(#316904,#316905,#316906,#316907)); #60896=EDGE_LOOP('',(#316908,#316909,#316910)); #60897=EDGE_LOOP('',(#316911,#316912,#316913,#316914)); #60898=EDGE_LOOP('',(#316915,#316916,#316917,#316918)); #60899=EDGE_LOOP('',(#316919,#316920,#316921,#316922)); #60900=EDGE_LOOP('',(#316923,#316924,#316925,#316926)); #60901=EDGE_LOOP('',(#316927,#316928,#316929,#316930)); #60902=EDGE_LOOP('',(#316931,#316932,#316933,#316934)); #60903=EDGE_LOOP('',(#316935,#316936,#316937,#316938)); #60904=EDGE_LOOP('',(#316939,#316940,#316941,#316942)); #60905=EDGE_LOOP('',(#316943,#316944,#316945,#316946)); #60906=EDGE_LOOP('',(#316947,#316948,#316949,#316950)); #60907=EDGE_LOOP('',(#316951,#316952,#316953,#316954)); #60908=EDGE_LOOP('',(#316955,#316956,#316957,#316958)); #60909=EDGE_LOOP('',(#316959,#316960,#316961,#316962)); #60910=EDGE_LOOP('',(#316963,#316964,#316965,#316966)); #60911=EDGE_LOOP('',(#316967,#316968,#316969,#316970)); #60912=EDGE_LOOP('',(#316971,#316972,#316973)); #60913=EDGE_LOOP('',(#316974,#316975,#316976)); #60914=EDGE_LOOP('',(#316977,#316978,#316979)); #60915=EDGE_LOOP('',(#316980,#316981,#316982)); #60916=EDGE_LOOP('',(#316983,#316984,#316985)); #60917=EDGE_LOOP('',(#316986,#316987,#316988,#316989)); #60918=EDGE_LOOP('',(#316990,#316991,#316992)); #60919=EDGE_LOOP('',(#316993,#316994,#316995)); #60920=EDGE_LOOP('',(#316996,#316997,#316998,#316999)); #60921=EDGE_LOOP('',(#317000,#317001,#317002,#317003)); #60922=EDGE_LOOP('',(#317004,#317005,#317006)); #60923=EDGE_LOOP('',(#317007,#317008,#317009,#317010)); #60924=EDGE_LOOP('',(#317011,#317012,#317013,#317014)); #60925=EDGE_LOOP('',(#317015,#317016,#317017,#317018)); #60926=EDGE_LOOP('',(#317019,#317020,#317021,#317022)); #60927=EDGE_LOOP('',(#317023,#317024,#317025,#317026)); #60928=EDGE_LOOP('',(#317027,#317028,#317029,#317030)); #60929=EDGE_LOOP('',(#317031,#317032,#317033,#317034)); #60930=EDGE_LOOP('',(#317035,#317036,#317037,#317038)); #60931=EDGE_LOOP('',(#317039,#317040,#317041,#317042)); #60932=EDGE_LOOP('',(#317043,#317044,#317045,#317046)); #60933=EDGE_LOOP('',(#317047,#317048,#317049,#317050)); #60934=EDGE_LOOP('',(#317051,#317052,#317053,#317054)); #60935=EDGE_LOOP('',(#317055,#317056,#317057,#317058)); #60936=EDGE_LOOP('',(#317059,#317060,#317061,#317062)); #60937=EDGE_LOOP('',(#317063,#317064,#317065,#317066)); #60938=EDGE_LOOP('',(#317067,#317068,#317069,#317070)); #60939=EDGE_LOOP('',(#317071,#317072,#317073,#317074)); #60940=EDGE_LOOP('',(#317075,#317076,#317077,#317078)); #60941=EDGE_LOOP('',(#317079,#317080,#317081,#317082)); #60942=EDGE_LOOP('',(#317083,#317084,#317085,#317086)); #60943=EDGE_LOOP('',(#317087,#317088,#317089,#317090)); #60944=EDGE_LOOP('',(#317091,#317092,#317093)); #60945=EDGE_LOOP('',(#317094,#317095,#317096)); #60946=EDGE_LOOP('',(#317097,#317098,#317099)); #60947=EDGE_LOOP('',(#317100,#317101,#317102)); #60948=EDGE_LOOP('',(#317103,#317104,#317105)); #60949=EDGE_LOOP('',(#317106,#317107,#317108,#317109)); #60950=EDGE_LOOP('',(#317110,#317111,#317112)); #60951=EDGE_LOOP('',(#317113,#317114,#317115)); #60952=EDGE_LOOP('',(#317116,#317117,#317118,#317119)); #60953=EDGE_LOOP('',(#317120,#317121,#317122,#317123)); #60954=EDGE_LOOP('',(#317124,#317125,#317126)); #60955=EDGE_LOOP('',(#317127,#317128,#317129,#317130)); #60956=EDGE_LOOP('',(#317131,#317132,#317133,#317134)); #60957=EDGE_LOOP('',(#317135,#317136,#317137,#317138)); #60958=EDGE_LOOP('',(#317139,#317140,#317141,#317142)); #60959=EDGE_LOOP('',(#317143,#317144,#317145,#317146)); #60960=EDGE_LOOP('',(#317147,#317148,#317149,#317150)); #60961=EDGE_LOOP('',(#317151,#317152,#317153,#317154)); #60962=EDGE_LOOP('',(#317155,#317156,#317157,#317158)); #60963=EDGE_LOOP('',(#317159,#317160,#317161,#317162)); #60964=EDGE_LOOP('',(#317163,#317164,#317165,#317166)); #60965=EDGE_LOOP('',(#317167,#317168,#317169,#317170)); #60966=EDGE_LOOP('',(#317171,#317172,#317173,#317174)); #60967=EDGE_LOOP('',(#317175,#317176,#317177,#317178)); #60968=EDGE_LOOP('',(#317179,#317180,#317181,#317182)); #60969=EDGE_LOOP('',(#317183,#317184,#317185,#317186)); #60970=EDGE_LOOP('',(#317187,#317188,#317189)); #60971=EDGE_LOOP('',(#317190,#317191,#317192)); #60972=EDGE_LOOP('',(#317193,#317194,#317195)); #60973=EDGE_LOOP('',(#317196,#317197,#317198)); #60974=EDGE_LOOP('',(#317199,#317200,#317201)); #60975=EDGE_LOOP('',(#317202,#317203,#317204,#317205)); #60976=EDGE_LOOP('',(#317206,#317207,#317208)); #60977=EDGE_LOOP('',(#317209,#317210,#317211)); #60978=EDGE_LOOP('',(#317212,#317213,#317214,#317215)); #60979=EDGE_LOOP('',(#317216,#317217,#317218,#317219)); #60980=EDGE_LOOP('',(#317220,#317221,#317222)); #60981=EDGE_LOOP('',(#317223,#317224,#317225,#317226)); #60982=EDGE_LOOP('',(#317227,#317228,#317229,#317230)); #60983=EDGE_LOOP('',(#317231,#317232,#317233,#317234)); #60984=EDGE_LOOP('',(#317235,#317236,#317237,#317238)); #60985=EDGE_LOOP('',(#317239,#317240,#317241,#317242)); #60986=EDGE_LOOP('',(#317243,#317244,#317245,#317246)); #60987=EDGE_LOOP('',(#317247,#317248,#317249,#317250)); #60988=EDGE_LOOP('',(#317251,#317252,#317253,#317254)); #60989=EDGE_LOOP('',(#317255,#317256,#317257,#317258)); #60990=EDGE_LOOP('',(#317259,#317260,#317261,#317262)); #60991=EDGE_LOOP('',(#317263,#317264,#317265,#317266)); #60992=EDGE_LOOP('',(#317267,#317268,#317269,#317270)); #60993=EDGE_LOOP('',(#317271,#317272,#317273,#317274)); #60994=EDGE_LOOP('',(#317275,#317276,#317277,#317278)); #60995=EDGE_LOOP('',(#317279,#317280,#317281,#317282)); #60996=EDGE_LOOP('',(#317283,#317284,#317285,#317286)); #60997=EDGE_LOOP('',(#317287,#317288,#317289,#317290)); #60998=EDGE_LOOP('',(#317291,#317292,#317293,#317294)); #60999=EDGE_LOOP('',(#317295,#317296,#317297,#317298)); #61000=EDGE_LOOP('',(#317299,#317300,#317301,#317302)); #61001=EDGE_LOOP('',(#317303,#317304,#317305,#317306)); #61002=EDGE_LOOP('',(#317307,#317308,#317309)); #61003=EDGE_LOOP('',(#317310,#317311,#317312)); #61004=EDGE_LOOP('',(#317313,#317314,#317315)); #61005=EDGE_LOOP('',(#317316,#317317,#317318)); #61006=EDGE_LOOP('',(#317319,#317320,#317321)); #61007=EDGE_LOOP('',(#317322,#317323,#317324,#317325)); #61008=EDGE_LOOP('',(#317326,#317327,#317328)); #61009=EDGE_LOOP('',(#317329,#317330,#317331)); #61010=EDGE_LOOP('',(#317332,#317333,#317334,#317335)); #61011=EDGE_LOOP('',(#317336,#317337,#317338,#317339)); #61012=EDGE_LOOP('',(#317340,#317341,#317342)); #61013=EDGE_LOOP('',(#317343,#317344,#317345,#317346)); #61014=EDGE_LOOP('',(#317347,#317348,#317349,#317350)); #61015=EDGE_LOOP('',(#317351,#317352,#317353,#317354)); #61016=EDGE_LOOP('',(#317355,#317356,#317357,#317358)); #61017=EDGE_LOOP('',(#317359,#317360,#317361,#317362)); #61018=EDGE_LOOP('',(#317363,#317364,#317365,#317366)); #61019=EDGE_LOOP('',(#317367,#317368,#317369,#317370)); #61020=EDGE_LOOP('',(#317371,#317372,#317373,#317374)); #61021=EDGE_LOOP('',(#317375,#317376,#317377,#317378)); #61022=EDGE_LOOP('',(#317379,#317380,#317381,#317382)); #61023=EDGE_LOOP('',(#317383,#317384,#317385,#317386)); #61024=EDGE_LOOP('',(#317387,#317388,#317389,#317390)); #61025=EDGE_LOOP('',(#317391,#317392,#317393,#317394)); #61026=EDGE_LOOP('',(#317395,#317396,#317397,#317398)); #61027=EDGE_LOOP('',(#317399,#317400,#317401,#317402)); #61028=EDGE_LOOP('',(#317403,#317404,#317405)); #61029=EDGE_LOOP('',(#317406,#317407,#317408)); #61030=EDGE_LOOP('',(#317409,#317410,#317411)); #61031=EDGE_LOOP('',(#317412,#317413,#317414)); #61032=EDGE_LOOP('',(#317415,#317416,#317417)); #61033=EDGE_LOOP('',(#317418,#317419,#317420,#317421)); #61034=EDGE_LOOP('',(#317422,#317423,#317424)); #61035=EDGE_LOOP('',(#317425,#317426,#317427)); #61036=EDGE_LOOP('',(#317428,#317429,#317430,#317431)); #61037=EDGE_LOOP('',(#317432,#317433,#317434,#317435)); #61038=EDGE_LOOP('',(#317436,#317437,#317438)); #61039=EDGE_LOOP('',(#317439,#317440,#317441,#317442)); #61040=EDGE_LOOP('',(#317443,#317444,#317445,#317446)); #61041=EDGE_LOOP('',(#317447,#317448,#317449,#317450)); #61042=EDGE_LOOP('',(#317451,#317452,#317453,#317454)); #61043=EDGE_LOOP('',(#317455,#317456,#317457,#317458)); #61044=EDGE_LOOP('',(#317459,#317460,#317461,#317462)); #61045=EDGE_LOOP('',(#317463,#317464,#317465,#317466)); #61046=EDGE_LOOP('',(#317467,#317468,#317469,#317470)); #61047=EDGE_LOOP('',(#317471,#317472,#317473,#317474)); #61048=EDGE_LOOP('',(#317475,#317476,#317477,#317478)); #61049=EDGE_LOOP('',(#317479,#317480,#317481,#317482)); #61050=EDGE_LOOP('',(#317483,#317484,#317485,#317486)); #61051=EDGE_LOOP('',(#317487,#317488,#317489,#317490)); #61052=EDGE_LOOP('',(#317491,#317492,#317493,#317494)); #61053=EDGE_LOOP('',(#317495,#317496,#317497,#317498)); #61054=EDGE_LOOP('',(#317499,#317500,#317501,#317502)); #61055=EDGE_LOOP('',(#317503,#317504,#317505,#317506)); #61056=EDGE_LOOP('',(#317507,#317508,#317509,#317510)); #61057=EDGE_LOOP('',(#317511,#317512,#317513,#317514)); #61058=EDGE_LOOP('',(#317515,#317516,#317517,#317518)); #61059=EDGE_LOOP('',(#317519,#317520,#317521,#317522)); #61060=EDGE_LOOP('',(#317523,#317524,#317525,#317526)); #61061=EDGE_LOOP('',(#317527)); #61062=EDGE_LOOP('',(#317528)); #61063=EDGE_LOOP('',(#317529,#317530,#317531,#317532)); #61064=EDGE_LOOP('',(#317533,#317534,#317535,#317536)); #61065=EDGE_LOOP('',(#317537,#317538,#317539,#317540)); #61066=EDGE_LOOP('',(#317541,#317542,#317543,#317544)); #61067=EDGE_LOOP('',(#317545,#317546,#317547,#317548)); #61068=EDGE_LOOP('',(#317549,#317550,#317551,#317552)); #61069=EDGE_LOOP('',(#317553,#317554,#317555,#317556)); #61070=EDGE_LOOP('',(#317557)); #61071=EDGE_LOOP('',(#317558)); #61072=EDGE_LOOP('',(#317559,#317560,#317561,#317562,#317563,#317564,#317565, #317566,#317567,#317568,#317569,#317570,#317571,#317572,#317573,#317574)); #61073=EDGE_LOOP('',(#317575,#317576,#317577,#317578)); #61074=EDGE_LOOP('',(#317579,#317580,#317581,#317582)); #61075=EDGE_LOOP('',(#317583,#317584,#317585,#317586)); #61076=EDGE_LOOP('',(#317587,#317588,#317589,#317590)); #61077=EDGE_LOOP('',(#317591,#317592,#317593,#317594)); #61078=EDGE_LOOP('',(#317595,#317596,#317597,#317598)); #61079=EDGE_LOOP('',(#317599,#317600,#317601,#317602)); #61080=EDGE_LOOP('',(#317603,#317604,#317605,#317606)); #61081=EDGE_LOOP('',(#317607,#317608,#317609,#317610)); #61082=EDGE_LOOP('',(#317611,#317612,#317613,#317614)); #61083=EDGE_LOOP('',(#317615,#317616,#317617,#317618)); #61084=EDGE_LOOP('',(#317619,#317620,#317621,#317622)); #61085=EDGE_LOOP('',(#317623,#317624,#317625,#317626)); #61086=EDGE_LOOP('',(#317627,#317628,#317629,#317630)); #61087=EDGE_LOOP('',(#317631,#317632,#317633,#317634)); #61088=EDGE_LOOP('',(#317635,#317636,#317637,#317638)); #61089=EDGE_LOOP('',(#317639,#317640,#317641,#317642,#317643,#317644,#317645, #317646,#317647,#317648,#317649,#317650,#317651,#317652,#317653,#317654)); #61090=EDGE_LOOP('',(#317655,#317656,#317657,#317658)); #61091=EDGE_LOOP('',(#317659)); #61092=EDGE_LOOP('',(#317660)); #61093=EDGE_LOOP('',(#317661,#317662,#317663,#317664)); #61094=EDGE_LOOP('',(#317665)); #61095=EDGE_LOOP('',(#317666)); #61096=EDGE_LOOP('',(#317667,#317668,#317669,#317670)); #61097=EDGE_LOOP('',(#317671,#317672,#317673,#317674)); #61098=EDGE_LOOP('',(#317675,#317676,#317677,#317678)); #61099=EDGE_LOOP('',(#317679,#317680,#317681,#317682)); #61100=EDGE_LOOP('',(#317683,#317684,#317685,#317686)); #61101=EDGE_LOOP('',(#317687,#317688,#317689,#317690)); #61102=EDGE_LOOP('',(#317691,#317692,#317693,#317694)); #61103=EDGE_LOOP('',(#317695,#317696,#317697,#317698)); #61104=EDGE_LOOP('',(#317699,#317700,#317701,#317702)); #61105=EDGE_LOOP('',(#317703,#317704,#317705,#317706)); #61106=EDGE_LOOP('',(#317707,#317708,#317709,#317710)); #61107=EDGE_LOOP('',(#317711,#317712,#317713,#317714)); #61108=EDGE_LOOP('',(#317715,#317716,#317717,#317718)); #61109=EDGE_LOOP('',(#317719,#317720,#317721,#317722)); #61110=EDGE_LOOP('',(#317723,#317724,#317725,#317726)); #61111=EDGE_LOOP('',(#317727,#317728,#317729,#317730)); #61112=EDGE_LOOP('',(#317731,#317732,#317733,#317734)); #61113=EDGE_LOOP('',(#317735,#317736,#317737,#317738)); #61114=EDGE_LOOP('',(#317739,#317740,#317741,#317742)); #61115=EDGE_LOOP('',(#317743,#317744,#317745,#317746)); #61116=EDGE_LOOP('',(#317747,#317748,#317749,#317750)); #61117=EDGE_LOOP('',(#317751,#317752,#317753,#317754)); #61118=EDGE_LOOP('',(#317755,#317756,#317757,#317758)); #61119=EDGE_LOOP('',(#317759,#317760,#317761,#317762)); #61120=EDGE_LOOP('',(#317763,#317764,#317765,#317766)); #61121=EDGE_LOOP('',(#317767,#317768,#317769,#317770)); #61122=EDGE_LOOP('',(#317771,#317772,#317773,#317774)); #61123=EDGE_LOOP('',(#317775,#317776,#317777,#317778)); #61124=EDGE_LOOP('',(#317779,#317780,#317781,#317782)); #61125=EDGE_LOOP('',(#317783,#317784,#317785,#317786)); #61126=EDGE_LOOP('',(#317787,#317788,#317789,#317790)); #61127=EDGE_LOOP('',(#317791,#317792,#317793,#317794)); #61128=EDGE_LOOP('',(#317795,#317796,#317797,#317798)); #61129=EDGE_LOOP('',(#317799,#317800,#317801,#317802)); #61130=EDGE_LOOP('',(#317803,#317804,#317805,#317806)); #61131=EDGE_LOOP('',(#317807,#317808,#317809,#317810)); #61132=EDGE_LOOP('',(#317811,#317812,#317813,#317814)); #61133=EDGE_LOOP('',(#317815,#317816,#317817,#317818)); #61134=EDGE_LOOP('',(#317819,#317820,#317821,#317822)); #61135=EDGE_LOOP('',(#317823,#317824,#317825,#317826)); #61136=EDGE_LOOP('',(#317827,#317828,#317829,#317830)); #61137=EDGE_LOOP('',(#317831,#317832,#317833,#317834)); #61138=EDGE_LOOP('',(#317835,#317836,#317837,#317838)); #61139=EDGE_LOOP('',(#317839,#317840,#317841,#317842)); #61140=EDGE_LOOP('',(#317843,#317844,#317845,#317846)); #61141=EDGE_LOOP('',(#317847,#317848,#317849,#317850)); #61142=EDGE_LOOP('',(#317851,#317852,#317853,#317854)); #61143=EDGE_LOOP('',(#317855,#317856,#317857,#317858)); #61144=EDGE_LOOP('',(#317859,#317860,#317861,#317862)); #61145=EDGE_LOOP('',(#317863,#317864,#317865,#317866)); #61146=EDGE_LOOP('',(#317867,#317868,#317869,#317870)); #61147=EDGE_LOOP('',(#317871,#317872,#317873,#317874)); #61148=EDGE_LOOP('',(#317875,#317876,#317877,#317878)); #61149=EDGE_LOOP('',(#317879,#317880,#317881,#317882)); #61150=EDGE_LOOP('',(#317883,#317884,#317885,#317886)); #61151=EDGE_LOOP('',(#317887)); #61152=EDGE_LOOP('',(#317888,#317889,#317890,#317891)); #61153=EDGE_LOOP('',(#317892,#317893,#317894,#317895)); #61154=EDGE_LOOP('',(#317896,#317897,#317898,#317899)); #61155=EDGE_LOOP('',(#317900,#317901,#317902,#317903)); #61156=EDGE_LOOP('',(#317904,#317905,#317906,#317907)); #61157=EDGE_LOOP('',(#317908,#317909,#317910,#317911)); #61158=EDGE_LOOP('',(#317912,#317913,#317914,#317915)); #61159=EDGE_LOOP('',(#317916)); #61160=EDGE_LOOP('',(#317917,#317918,#317919,#317920)); #61161=EDGE_LOOP('',(#317921,#317922,#317923,#317924)); #61162=EDGE_LOOP('',(#317925,#317926,#317927,#317928)); #61163=EDGE_LOOP('',(#317929,#317930,#317931,#317932)); #61164=EDGE_LOOP('',(#317933,#317934,#317935,#317936)); #61165=EDGE_LOOP('',(#317937,#317938,#317939,#317940)); #61166=EDGE_LOOP('',(#317941,#317942,#317943,#317944)); #61167=EDGE_LOOP('',(#317945,#317946,#317947,#317948)); #61168=EDGE_LOOP('',(#317949,#317950,#317951,#317952)); #61169=EDGE_LOOP('',(#317953,#317954,#317955,#317956)); #61170=EDGE_LOOP('',(#317957,#317958,#317959,#317960)); #61171=EDGE_LOOP('',(#317961,#317962,#317963,#317964)); #61172=EDGE_LOOP('',(#317965,#317966,#317967,#317968)); #61173=EDGE_LOOP('',(#317969,#317970,#317971,#317972)); #61174=EDGE_LOOP('',(#317973,#317974,#317975,#317976)); #61175=EDGE_LOOP('',(#317977,#317978,#317979,#317980,#317981,#317982)); #61176=EDGE_LOOP('',(#317983,#317984,#317985,#317986,#317987,#317988)); #61177=EDGE_LOOP('',(#317989,#317990,#317991,#317992)); #61178=EDGE_LOOP('',(#317993,#317994,#317995,#317996)); #61179=EDGE_LOOP('',(#317997,#317998,#317999,#318000)); #61180=EDGE_LOOP('',(#318001,#318002,#318003,#318004)); #61181=EDGE_LOOP('',(#318005,#318006,#318007,#318008)); #61182=EDGE_LOOP('',(#318009,#318010,#318011,#318012)); #61183=EDGE_LOOP('',(#318013,#318014,#318015,#318016,#318017,#318018)); #61184=EDGE_LOOP('',(#318019,#318020,#318021,#318022,#318023,#318024)); #61185=EDGE_LOOP('',(#318025,#318026,#318027,#318028)); #61186=EDGE_LOOP('',(#318029,#318030,#318031,#318032)); #61187=EDGE_LOOP('',(#318033,#318034,#318035,#318036)); #61188=EDGE_LOOP('',(#318037,#318038,#318039,#318040)); #61189=EDGE_LOOP('',(#318041,#318042,#318043,#318044)); #61190=EDGE_LOOP('',(#318045,#318046,#318047,#318048)); #61191=EDGE_LOOP('',(#318049,#318050,#318051,#318052,#318053,#318054)); #61192=EDGE_LOOP('',(#318055,#318056,#318057,#318058,#318059,#318060)); #61193=EDGE_LOOP('',(#318061,#318062,#318063,#318064)); #61194=EDGE_LOOP('',(#318065,#318066,#318067,#318068)); #61195=EDGE_LOOP('',(#318069,#318070,#318071,#318072)); #61196=EDGE_LOOP('',(#318073,#318074,#318075,#318076)); #61197=EDGE_LOOP('',(#318077,#318078,#318079,#318080)); #61198=EDGE_LOOP('',(#318081,#318082,#318083,#318084)); #61199=EDGE_LOOP('',(#318085,#318086,#318087,#318088,#318089,#318090)); #61200=EDGE_LOOP('',(#318091,#318092,#318093,#318094,#318095,#318096)); #61201=EDGE_LOOP('',(#318097,#318098,#318099,#318100)); #61202=EDGE_LOOP('',(#318101,#318102,#318103,#318104)); #61203=EDGE_LOOP('',(#318105,#318106,#318107,#318108)); #61204=EDGE_LOOP('',(#318109,#318110,#318111,#318112)); #61205=EDGE_LOOP('',(#318113,#318114,#318115,#318116)); #61206=EDGE_LOOP('',(#318117,#318118,#318119,#318120)); #61207=EDGE_LOOP('',(#318121,#318122,#318123,#318124,#318125,#318126)); #61208=EDGE_LOOP('',(#318127,#318128,#318129,#318130,#318131,#318132)); #61209=EDGE_LOOP('',(#318133,#318134,#318135,#318136)); #61210=EDGE_LOOP('',(#318137,#318138,#318139,#318140)); #61211=EDGE_LOOP('',(#318141,#318142,#318143,#318144)); #61212=EDGE_LOOP('',(#318145,#318146,#318147,#318148)); #61213=EDGE_LOOP('',(#318149,#318150,#318151,#318152)); #61214=EDGE_LOOP('',(#318153,#318154,#318155,#318156)); #61215=EDGE_LOOP('',(#318157,#318158,#318159,#318160,#318161,#318162)); #61216=EDGE_LOOP('',(#318163,#318164,#318165,#318166,#318167,#318168)); #61217=EDGE_LOOP('',(#318169,#318170,#318171,#318172)); #61218=EDGE_LOOP('',(#318173,#318174,#318175,#318176)); #61219=EDGE_LOOP('',(#318177,#318178,#318179,#318180)); #61220=EDGE_LOOP('',(#318181,#318182,#318183,#318184)); #61221=EDGE_LOOP('',(#318185,#318186,#318187,#318188)); #61222=EDGE_LOOP('',(#318189,#318190,#318191,#318192)); #61223=EDGE_LOOP('',(#318193,#318194,#318195,#318196,#318197,#318198)); #61224=EDGE_LOOP('',(#318199,#318200,#318201,#318202,#318203,#318204)); #61225=EDGE_LOOP('',(#318205,#318206,#318207,#318208)); #61226=EDGE_LOOP('',(#318209,#318210,#318211,#318212)); #61227=EDGE_LOOP('',(#318213,#318214,#318215,#318216)); #61228=EDGE_LOOP('',(#318217,#318218,#318219,#318220)); #61229=EDGE_LOOP('',(#318221,#318222,#318223,#318224)); #61230=EDGE_LOOP('',(#318225,#318226,#318227,#318228)); #61231=EDGE_LOOP('',(#318229,#318230,#318231,#318232,#318233,#318234)); #61232=EDGE_LOOP('',(#318235,#318236,#318237,#318238,#318239,#318240)); #61233=EDGE_LOOP('',(#318241,#318242,#318243,#318244)); #61234=EDGE_LOOP('',(#318245,#318246,#318247,#318248)); #61235=EDGE_LOOP('',(#318249,#318250,#318251,#318252)); #61236=EDGE_LOOP('',(#318253,#318254,#318255,#318256)); #61237=EDGE_LOOP('',(#318257,#318258,#318259,#318260)); #61238=EDGE_LOOP('',(#318261,#318262,#318263,#318264)); #61239=EDGE_LOOP('',(#318265,#318266,#318267,#318268)); #61240=EDGE_LOOP('',(#318269,#318270,#318271,#318272)); #61241=EDGE_LOOP('',(#318273,#318274,#318275,#318276)); #61242=EDGE_LOOP('',(#318277,#318278,#318279,#318280)); #61243=EDGE_LOOP('',(#318281,#318282,#318283,#318284)); #61244=EDGE_LOOP('',(#318285,#318286,#318287,#318288)); #61245=EDGE_LOOP('',(#318289,#318290,#318291,#318292)); #61246=EDGE_LOOP('',(#318293,#318294,#318295,#318296)); #61247=EDGE_LOOP('',(#318297,#318298,#318299,#318300)); #61248=EDGE_LOOP('',(#318301,#318302,#318303,#318304)); #61249=EDGE_LOOP('',(#318305,#318306,#318307,#318308)); #61250=EDGE_LOOP('',(#318309,#318310,#318311,#318312)); #61251=EDGE_LOOP('',(#318313,#318314,#318315,#318316)); #61252=EDGE_LOOP('',(#318317,#318318,#318319,#318320)); #61253=EDGE_LOOP('',(#318321,#318322,#318323,#318324)); #61254=EDGE_LOOP('',(#318325,#318326,#318327,#318328)); #61255=EDGE_LOOP('',(#318329,#318330,#318331,#318332)); #61256=EDGE_LOOP('',(#318333,#318334,#318335,#318336)); #61257=EDGE_LOOP('',(#318337,#318338,#318339,#318340)); #61258=EDGE_LOOP('',(#318341,#318342,#318343,#318344)); #61259=EDGE_LOOP('',(#318345,#318346,#318347,#318348)); #61260=EDGE_LOOP('',(#318349,#318350,#318351,#318352)); #61261=EDGE_LOOP('',(#318353,#318354,#318355,#318356)); #61262=EDGE_LOOP('',(#318357,#318358,#318359,#318360)); #61263=EDGE_LOOP('',(#318361,#318362,#318363,#318364)); #61264=EDGE_LOOP('',(#318365,#318366,#318367,#318368)); #61265=EDGE_LOOP('',(#318369,#318370,#318371,#318372)); #61266=EDGE_LOOP('',(#318373,#318374,#318375,#318376)); #61267=EDGE_LOOP('',(#318377,#318378,#318379,#318380)); #61268=EDGE_LOOP('',(#318381,#318382,#318383,#318384)); #61269=EDGE_LOOP('',(#318385,#318386,#318387,#318388)); #61270=EDGE_LOOP('',(#318389,#318390,#318391,#318392)); #61271=EDGE_LOOP('',(#318393,#318394,#318395,#318396)); #61272=EDGE_LOOP('',(#318397,#318398,#318399,#318400)); #61273=EDGE_LOOP('',(#318401,#318402,#318403,#318404)); #61274=EDGE_LOOP('',(#318405,#318406,#318407,#318408)); #61275=EDGE_LOOP('',(#318409,#318410,#318411,#318412)); #61276=EDGE_LOOP('',(#318413,#318414,#318415,#318416)); #61277=EDGE_LOOP('',(#318417,#318418,#318419,#318420)); #61278=EDGE_LOOP('',(#318421,#318422,#318423,#318424)); #61279=EDGE_LOOP('',(#318425,#318426,#318427,#318428)); #61280=EDGE_LOOP('',(#318429,#318430,#318431,#318432)); #61281=EDGE_LOOP('',(#318433,#318434,#318435,#318436)); #61282=EDGE_LOOP('',(#318437)); #61283=EDGE_LOOP('',(#318438,#318439,#318440,#318441)); #61284=EDGE_LOOP('',(#318442,#318443,#318444,#318445)); #61285=EDGE_LOOP('',(#318446,#318447,#318448,#318449)); #61286=EDGE_LOOP('',(#318450,#318451,#318452,#318453)); #61287=EDGE_LOOP('',(#318454,#318455,#318456,#318457)); #61288=EDGE_LOOP('',(#318458,#318459,#318460,#318461)); #61289=EDGE_LOOP('',(#318462,#318463,#318464,#318465)); #61290=EDGE_LOOP('',(#318466)); #61291=EDGE_LOOP('',(#318467,#318468,#318469,#318470)); #61292=EDGE_LOOP('',(#318471,#318472,#318473,#318474)); #61293=EDGE_LOOP('',(#318475,#318476,#318477,#318478)); #61294=EDGE_LOOP('',(#318479,#318480,#318481,#318482)); #61295=EDGE_LOOP('',(#318483,#318484,#318485,#318486)); #61296=EDGE_LOOP('',(#318487,#318488,#318489,#318490)); #61297=EDGE_LOOP('',(#318491,#318492,#318493,#318494)); #61298=EDGE_LOOP('',(#318495,#318496,#318497,#318498)); #61299=EDGE_LOOP('',(#318499,#318500,#318501,#318502)); #61300=EDGE_LOOP('',(#318503,#318504,#318505,#318506)); #61301=EDGE_LOOP('',(#318507,#318508,#318509,#318510)); #61302=EDGE_LOOP('',(#318511,#318512,#318513,#318514)); #61303=EDGE_LOOP('',(#318515,#318516,#318517,#318518)); #61304=EDGE_LOOP('',(#318519,#318520,#318521,#318522)); #61305=EDGE_LOOP('',(#318523,#318524,#318525,#318526)); #61306=EDGE_LOOP('',(#318527,#318528,#318529,#318530,#318531,#318532)); #61307=EDGE_LOOP('',(#318533,#318534,#318535,#318536,#318537,#318538)); #61308=EDGE_LOOP('',(#318539,#318540,#318541,#318542)); #61309=EDGE_LOOP('',(#318543,#318544,#318545,#318546)); #61310=EDGE_LOOP('',(#318547,#318548,#318549,#318550)); #61311=EDGE_LOOP('',(#318551,#318552,#318553,#318554)); #61312=EDGE_LOOP('',(#318555,#318556,#318557,#318558)); #61313=EDGE_LOOP('',(#318559,#318560,#318561,#318562)); #61314=EDGE_LOOP('',(#318563,#318564,#318565,#318566,#318567,#318568)); #61315=EDGE_LOOP('',(#318569,#318570,#318571,#318572,#318573,#318574)); #61316=EDGE_LOOP('',(#318575,#318576,#318577,#318578)); #61317=EDGE_LOOP('',(#318579,#318580,#318581,#318582)); #61318=EDGE_LOOP('',(#318583,#318584,#318585,#318586)); #61319=EDGE_LOOP('',(#318587,#318588,#318589,#318590)); #61320=EDGE_LOOP('',(#318591,#318592,#318593,#318594)); #61321=EDGE_LOOP('',(#318595,#318596,#318597,#318598)); #61322=EDGE_LOOP('',(#318599,#318600,#318601,#318602,#318603,#318604)); #61323=EDGE_LOOP('',(#318605,#318606,#318607,#318608,#318609,#318610)); #61324=EDGE_LOOP('',(#318611,#318612,#318613,#318614)); #61325=EDGE_LOOP('',(#318615,#318616,#318617,#318618)); #61326=EDGE_LOOP('',(#318619,#318620,#318621,#318622)); #61327=EDGE_LOOP('',(#318623,#318624,#318625,#318626)); #61328=EDGE_LOOP('',(#318627,#318628,#318629,#318630)); #61329=EDGE_LOOP('',(#318631,#318632,#318633,#318634)); #61330=EDGE_LOOP('',(#318635,#318636,#318637,#318638,#318639,#318640)); #61331=EDGE_LOOP('',(#318641,#318642,#318643,#318644,#318645,#318646)); #61332=EDGE_LOOP('',(#318647,#318648,#318649,#318650)); #61333=EDGE_LOOP('',(#318651,#318652,#318653,#318654)); #61334=EDGE_LOOP('',(#318655,#318656,#318657,#318658)); #61335=EDGE_LOOP('',(#318659,#318660,#318661,#318662)); #61336=EDGE_LOOP('',(#318663,#318664,#318665,#318666)); #61337=EDGE_LOOP('',(#318667,#318668,#318669,#318670)); #61338=EDGE_LOOP('',(#318671,#318672,#318673,#318674,#318675,#318676)); #61339=EDGE_LOOP('',(#318677,#318678,#318679,#318680,#318681,#318682)); #61340=EDGE_LOOP('',(#318683,#318684,#318685,#318686)); #61341=EDGE_LOOP('',(#318687,#318688,#318689,#318690)); #61342=EDGE_LOOP('',(#318691,#318692,#318693,#318694)); #61343=EDGE_LOOP('',(#318695,#318696,#318697,#318698)); #61344=EDGE_LOOP('',(#318699,#318700,#318701,#318702)); #61345=EDGE_LOOP('',(#318703,#318704,#318705,#318706)); #61346=EDGE_LOOP('',(#318707,#318708,#318709,#318710,#318711,#318712)); #61347=EDGE_LOOP('',(#318713,#318714,#318715,#318716,#318717,#318718)); #61348=EDGE_LOOP('',(#318719,#318720,#318721,#318722)); #61349=EDGE_LOOP('',(#318723,#318724,#318725,#318726)); #61350=EDGE_LOOP('',(#318727,#318728,#318729,#318730)); #61351=EDGE_LOOP('',(#318731,#318732,#318733,#318734)); #61352=EDGE_LOOP('',(#318735,#318736,#318737,#318738)); #61353=EDGE_LOOP('',(#318739,#318740,#318741,#318742)); #61354=EDGE_LOOP('',(#318743,#318744,#318745,#318746,#318747,#318748)); #61355=EDGE_LOOP('',(#318749,#318750,#318751,#318752,#318753,#318754)); #61356=EDGE_LOOP('',(#318755,#318756,#318757,#318758)); #61357=EDGE_LOOP('',(#318759,#318760,#318761,#318762)); #61358=EDGE_LOOP('',(#318763,#318764,#318765,#318766)); #61359=EDGE_LOOP('',(#318767,#318768,#318769,#318770)); #61360=EDGE_LOOP('',(#318771,#318772,#318773,#318774)); #61361=EDGE_LOOP('',(#318775,#318776,#318777,#318778)); #61362=EDGE_LOOP('',(#318779,#318780,#318781,#318782,#318783,#318784)); #61363=EDGE_LOOP('',(#318785,#318786,#318787,#318788,#318789,#318790)); #61364=EDGE_LOOP('',(#318791,#318792,#318793,#318794)); #61365=EDGE_LOOP('',(#318795,#318796,#318797,#318798)); #61366=EDGE_LOOP('',(#318799,#318800,#318801,#318802)); #61367=EDGE_LOOP('',(#318803,#318804,#318805,#318806)); #61368=EDGE_LOOP('',(#318807,#318808,#318809,#318810)); #61369=EDGE_LOOP('',(#318811,#318812,#318813,#318814)); #61370=EDGE_LOOP('',(#318815,#318816,#318817,#318818)); #61371=EDGE_LOOP('',(#318819,#318820,#318821,#318822)); #61372=EDGE_LOOP('',(#318823,#318824,#318825,#318826)); #61373=EDGE_LOOP('',(#318827,#318828,#318829,#318830)); #61374=EDGE_LOOP('',(#318831,#318832,#318833,#318834)); #61375=EDGE_LOOP('',(#318835,#318836,#318837,#318838)); #61376=EDGE_LOOP('',(#318839,#318840,#318841,#318842)); #61377=EDGE_LOOP('',(#318843,#318844,#318845,#318846)); #61378=EDGE_LOOP('',(#318847,#318848,#318849,#318850)); #61379=EDGE_LOOP('',(#318851,#318852,#318853,#318854)); #61380=EDGE_LOOP('',(#318855,#318856,#318857,#318858)); #61381=EDGE_LOOP('',(#318859,#318860,#318861,#318862)); #61382=EDGE_LOOP('',(#318863,#318864,#318865,#318866)); #61383=EDGE_LOOP('',(#318867,#318868,#318869,#318870)); #61384=EDGE_LOOP('',(#318871,#318872,#318873,#318874)); #61385=EDGE_LOOP('',(#318875,#318876,#318877,#318878)); #61386=EDGE_LOOP('',(#318879,#318880,#318881,#318882)); #61387=EDGE_LOOP('',(#318883,#318884,#318885,#318886)); #61388=EDGE_LOOP('',(#318887,#318888,#318889,#318890)); #61389=EDGE_LOOP('',(#318891,#318892,#318893,#318894)); #61390=EDGE_LOOP('',(#318895,#318896,#318897,#318898)); #61391=EDGE_LOOP('',(#318899,#318900,#318901,#318902)); #61392=EDGE_LOOP('',(#318903,#318904,#318905,#318906)); #61393=EDGE_LOOP('',(#318907,#318908,#318909,#318910)); #61394=EDGE_LOOP('',(#318911,#318912,#318913,#318914)); #61395=EDGE_LOOP('',(#318915,#318916,#318917,#318918)); #61396=EDGE_LOOP('',(#318919,#318920,#318921,#318922)); #61397=EDGE_LOOP('',(#318923,#318924,#318925,#318926)); #61398=EDGE_LOOP('',(#318927,#318928,#318929,#318930)); #61399=EDGE_LOOP('',(#318931,#318932,#318933,#318934)); #61400=EDGE_LOOP('',(#318935,#318936,#318937,#318938)); #61401=EDGE_LOOP('',(#318939,#318940,#318941,#318942)); #61402=EDGE_LOOP('',(#318943,#318944,#318945,#318946)); #61403=EDGE_LOOP('',(#318947,#318948,#318949,#318950)); #61404=EDGE_LOOP('',(#318951,#318952,#318953,#318954)); #61405=EDGE_LOOP('',(#318955,#318956,#318957,#318958)); #61406=EDGE_LOOP('',(#318959,#318960,#318961,#318962)); #61407=EDGE_LOOP('',(#318963,#318964,#318965,#318966)); #61408=EDGE_LOOP('',(#318967,#318968,#318969,#318970)); #61409=EDGE_LOOP('',(#318971,#318972,#318973,#318974)); #61410=EDGE_LOOP('',(#318975,#318976,#318977,#318978)); #61411=EDGE_LOOP('',(#318979,#318980,#318981,#318982)); #61412=EDGE_LOOP('',(#318983,#318984,#318985,#318986)); #61413=EDGE_LOOP('',(#318987,#318988,#318989,#318990)); #61414=EDGE_LOOP('',(#318991,#318992,#318993,#318994)); #61415=EDGE_LOOP('',(#318995,#318996,#318997,#318998)); #61416=EDGE_LOOP('',(#318999,#319000,#319001,#319002)); #61417=EDGE_LOOP('',(#319003,#319004,#319005,#319006)); #61418=EDGE_LOOP('',(#319007,#319008,#319009,#319010)); #61419=EDGE_LOOP('',(#319011,#319012,#319013,#319014)); #61420=EDGE_LOOP('',(#319015,#319016,#319017,#319018)); #61421=EDGE_LOOP('',(#319019,#319020,#319021,#319022)); #61422=EDGE_LOOP('',(#319023,#319024,#319025,#319026)); #61423=EDGE_LOOP('',(#319027,#319028,#319029,#319030)); #61424=EDGE_LOOP('',(#319031,#319032,#319033,#319034)); #61425=EDGE_LOOP('',(#319035,#319036,#319037,#319038)); #61426=EDGE_LOOP('',(#319039,#319040,#319041,#319042)); #61427=EDGE_LOOP('',(#319043,#319044,#319045,#319046)); #61428=EDGE_LOOP('',(#319047,#319048,#319049,#319050)); #61429=EDGE_LOOP('',(#319051,#319052,#319053,#319054)); #61430=EDGE_LOOP('',(#319055,#319056,#319057,#319058)); #61431=EDGE_LOOP('',(#319059,#319060,#319061,#319062)); #61432=EDGE_LOOP('',(#319063,#319064,#319065,#319066)); #61433=EDGE_LOOP('',(#319067,#319068,#319069,#319070)); #61434=EDGE_LOOP('',(#319071,#319072,#319073,#319074)); #61435=EDGE_LOOP('',(#319075,#319076,#319077,#319078)); #61436=EDGE_LOOP('',(#319079,#319080,#319081,#319082)); #61437=EDGE_LOOP('',(#319083,#319084,#319085,#319086)); #61438=EDGE_LOOP('',(#319087,#319088,#319089,#319090)); #61439=EDGE_LOOP('',(#319091,#319092,#319093,#319094)); #61440=EDGE_LOOP('',(#319095,#319096,#319097,#319098)); #61441=EDGE_LOOP('',(#319099,#319100,#319101,#319102)); #61442=EDGE_LOOP('',(#319103,#319104,#319105,#319106)); #61443=EDGE_LOOP('',(#319107,#319108,#319109,#319110)); #61444=EDGE_LOOP('',(#319111,#319112,#319113,#319114)); #61445=EDGE_LOOP('',(#319115,#319116,#319117,#319118)); #61446=EDGE_LOOP('',(#319119,#319120,#319121,#319122)); #61447=EDGE_LOOP('',(#319123,#319124,#319125,#319126)); #61448=EDGE_LOOP('',(#319127)); #61449=EDGE_LOOP('',(#319128)); #61450=EDGE_LOOP('',(#319129)); #61451=EDGE_LOOP('',(#319130)); #61452=EDGE_LOOP('',(#319131,#319132,#319133,#319134,#319135)); #61453=EDGE_LOOP('',(#319136,#319137,#319138,#319139)); #61454=EDGE_LOOP('',(#319140,#319141,#319142,#319143)); #61455=EDGE_LOOP('',(#319144,#319145,#319146,#319147)); #61456=EDGE_LOOP('',(#319148,#319149,#319150,#319151)); #61457=EDGE_LOOP('',(#319152,#319153,#319154,#319155,#319156)); #61458=EDGE_LOOP('',(#319157,#319158,#319159,#319160,#319161,#319162,#319163, #319164,#319165,#319166)); #61459=EDGE_LOOP('',(#319167,#319168,#319169,#319170,#319171,#319172,#319173, #319174,#319175,#319176)); #61460=EDGE_LOOP('',(#319177,#319178,#319179,#319180,#319181,#319182,#319183, #319184)); #61461=EDGE_LOOP('',(#319185,#319186,#319187,#319188,#319189,#319190,#319191, #319192)); #61462=EDGE_LOOP('',(#319193,#319194,#319195,#319196)); #61463=EDGE_LOOP('',(#319197,#319198,#319199,#319200)); #61464=EDGE_LOOP('',(#319201,#319202,#319203,#319204,#319205,#319206)); #61465=EDGE_LOOP('',(#319207,#319208,#319209,#319210)); #61466=EDGE_LOOP('',(#319211,#319212,#319213,#319214)); #61467=EDGE_LOOP('',(#319215,#319216,#319217,#319218)); #61468=EDGE_LOOP('',(#319219,#319220,#319221,#319222)); #61469=EDGE_LOOP('',(#319223,#319224,#319225,#319226)); #61470=EDGE_LOOP('',(#319227,#319228,#319229,#319230)); #61471=EDGE_LOOP('',(#319231,#319232,#319233,#319234)); #61472=EDGE_LOOP('',(#319235,#319236,#319237,#319238)); #61473=EDGE_LOOP('',(#319239,#319240,#319241,#319242)); #61474=EDGE_LOOP('',(#319243,#319244,#319245,#319246)); #61475=EDGE_LOOP('',(#319247,#319248,#319249,#319250)); #61476=EDGE_LOOP('',(#319251,#319252,#319253,#319254)); #61477=EDGE_LOOP('',(#319255,#319256,#319257,#319258)); #61478=EDGE_LOOP('',(#319259,#319260,#319261,#319262)); #61479=EDGE_LOOP('',(#319263,#319264,#319265)); #61480=EDGE_LOOP('',(#319266,#319267,#319268,#319269,#319270)); #61481=EDGE_LOOP('',(#319271,#319272,#319273,#319274)); #61482=EDGE_LOOP('',(#319275,#319276,#319277,#319278)); #61483=EDGE_LOOP('',(#319279,#319280,#319281,#319282)); #61484=EDGE_LOOP('',(#319283,#319284,#319285,#319286)); #61485=EDGE_LOOP('',(#319287,#319288,#319289,#319290)); #61486=EDGE_LOOP('',(#319291,#319292,#319293,#319294)); #61487=EDGE_LOOP('',(#319295,#319296,#319297,#319298)); #61488=EDGE_LOOP('',(#319299,#319300,#319301,#319302)); #61489=EDGE_LOOP('',(#319303,#319304,#319305,#319306)); #61490=EDGE_LOOP('',(#319307,#319308,#319309,#319310)); #61491=EDGE_LOOP('',(#319311,#319312,#319313,#319314)); #61492=EDGE_LOOP('',(#319315,#319316,#319317,#319318)); #61493=EDGE_LOOP('',(#319319,#319320,#319321,#319322)); #61494=EDGE_LOOP('',(#319323,#319324,#319325,#319326)); #61495=EDGE_LOOP('',(#319327,#319328,#319329,#319330)); #61496=EDGE_LOOP('',(#319331,#319332,#319333,#319334,#319335,#319336)); #61497=EDGE_LOOP('',(#319337,#319338,#319339,#319340,#319341,#319342,#319343, #319344,#319345,#319346,#319347,#319348,#319349)); #61498=EDGE_LOOP('',(#319350,#319351,#319352,#319353)); #61499=EDGE_LOOP('',(#319354,#319355,#319356,#319357)); #61500=EDGE_LOOP('',(#319358,#319359,#319360,#319361)); #61501=EDGE_LOOP('',(#319362,#319363,#319364,#319365)); #61502=EDGE_LOOP('',(#319366,#319367,#319368,#319369,#319370,#319371)); #61503=EDGE_LOOP('',(#319372,#319373,#319374,#319375)); #61504=EDGE_LOOP('',(#319376,#319377,#319378,#319379)); #61505=EDGE_LOOP('',(#319380,#319381,#319382,#319383)); #61506=EDGE_LOOP('',(#319384,#319385,#319386,#319387)); #61507=EDGE_LOOP('',(#319388,#319389,#319390,#319391)); #61508=EDGE_LOOP('',(#319392,#319393,#319394,#319395)); #61509=EDGE_LOOP('',(#319396,#319397,#319398,#319399)); #61510=EDGE_LOOP('',(#319400,#319401,#319402,#319403)); #61511=EDGE_LOOP('',(#319404,#319405,#319406,#319407)); #61512=EDGE_LOOP('',(#319408,#319409,#319410,#319411)); #61513=EDGE_LOOP('',(#319412,#319413,#319414,#319415)); #61514=EDGE_LOOP('',(#319416,#319417,#319418,#319419)); #61515=EDGE_LOOP('',(#319420,#319421,#319422,#319423)); #61516=EDGE_LOOP('',(#319424,#319425,#319426,#319427)); #61517=EDGE_LOOP('',(#319428,#319429,#319430,#319431)); #61518=EDGE_LOOP('',(#319432,#319433,#319434,#319435)); #61519=EDGE_LOOP('',(#319436,#319437,#319438,#319439,#319440)); #61520=EDGE_LOOP('',(#319441,#319442,#319443,#319444,#319445,#319446,#319447, #319448,#319449,#319450,#319451)); #61521=EDGE_LOOP('',(#319452,#319453,#319454,#319455)); #61522=EDGE_LOOP('',(#319456,#319457,#319458,#319459)); #61523=EDGE_LOOP('',(#319460,#319461,#319462,#319463)); #61524=EDGE_LOOP('',(#319464,#319465,#319466,#319467)); #61525=EDGE_LOOP('',(#319468,#319469,#319470,#319471)); #61526=EDGE_LOOP('',(#319472,#319473,#319474,#319475)); #61527=EDGE_LOOP('',(#319476,#319477,#319478,#319479,#319480)); #61528=EDGE_LOOP('',(#319481,#319482,#319483)); #61529=EDGE_LOOP('',(#319484,#319485,#319486)); #61530=EDGE_LOOP('',(#319487,#319488,#319489)); #61531=EDGE_LOOP('',(#319490,#319491,#319492)); #61532=EDGE_LOOP('',(#319493,#319494,#319495)); #61533=EDGE_LOOP('',(#319496,#319497,#319498)); #61534=EDGE_LOOP('',(#319499,#319500,#319501,#319502)); #61535=EDGE_LOOP('',(#319503,#319504,#319505,#319506)); #61536=EDGE_LOOP('',(#319507,#319508,#319509,#319510)); #61537=EDGE_LOOP('',(#319511,#319512,#319513,#319514)); #61538=EDGE_LOOP('',(#319515,#319516,#319517,#319518)); #61539=EDGE_LOOP('',(#319519,#319520,#319521,#319522)); #61540=EDGE_LOOP('',(#319523,#319524,#319525,#319526)); #61541=EDGE_LOOP('',(#319527,#319528,#319529,#319530)); #61542=EDGE_LOOP('',(#319531,#319532,#319533,#319534,#319535)); #61543=EDGE_LOOP('',(#319536,#319537,#319538,#319539)); #61544=EDGE_LOOP('',(#319540,#319541,#319542,#319543)); #61545=EDGE_LOOP('',(#319544,#319545,#319546,#319547)); #61546=EDGE_LOOP('',(#319548,#319549,#319550,#319551)); #61547=EDGE_LOOP('',(#319552,#319553,#319554,#319555)); #61548=EDGE_LOOP('',(#319556,#319557,#319558,#319559)); #61549=EDGE_LOOP('',(#319560,#319561,#319562,#319563,#319564,#319565,#319566, #319567,#319568,#319569,#319570)); #61550=EDGE_LOOP('',(#319571,#319572,#319573,#319574,#319575)); #61551=EDGE_LOOP('',(#319576,#319577,#319578,#319579)); #61552=EDGE_LOOP('',(#319580,#319581,#319582,#319583)); #61553=EDGE_LOOP('',(#319584,#319585,#319586,#319587)); #61554=EDGE_LOOP('',(#319588,#319589,#319590,#319591)); #61555=EDGE_LOOP('',(#319592,#319593,#319594,#319595)); #61556=EDGE_LOOP('',(#319596,#319597,#319598,#319599)); #61557=EDGE_LOOP('',(#319600,#319601,#319602,#319603)); #61558=EDGE_LOOP('',(#319604,#319605,#319606,#319607)); #61559=EDGE_LOOP('',(#319608,#319609,#319610)); #61560=EDGE_LOOP('',(#319611,#319612,#319613)); #61561=EDGE_LOOP('',(#319614,#319615,#319616)); #61562=EDGE_LOOP('',(#319617,#319618,#319619)); #61563=EDGE_LOOP('',(#319620,#319621,#319622)); #61564=EDGE_LOOP('',(#319623,#319624,#319625)); #61565=EDGE_LOOP('',(#319626,#319627,#319628)); #61566=EDGE_LOOP('',(#319629,#319630,#319631)); #61567=EDGE_LOOP('',(#319632,#319633,#319634,#319635,#319636,#319637,#319638, #319639,#319640,#319641,#319642,#319643,#319644,#319645,#319646,#319647, #319648,#319649,#319650,#319651,#319652,#319653,#319654,#319655,#319656, #319657,#319658,#319659,#319660,#319661,#319662,#319663,#319664,#319665, #319666,#319667,#319668,#319669,#319670,#319671,#319672,#319673,#319674, #319675,#319676,#319677,#319678,#319679,#319680,#319681,#319682,#319683, #319684,#319685,#319686,#319687,#319688,#319689,#319690,#319691,#319692, #319693,#319694,#319695,#319696,#319697,#319698,#319699)); #61568=EDGE_LOOP('',(#319700,#319701,#319702,#319703)); #61569=EDGE_LOOP('',(#319704,#319705,#319706,#319707)); #61570=EDGE_LOOP('',(#319708,#319709,#319710,#319711)); #61571=EDGE_LOOP('',(#319712,#319713,#319714,#319715)); #61572=EDGE_LOOP('',(#319716,#319717,#319718,#319719,#319720,#319721,#319722, #319723,#319724,#319725,#319726,#319727)); #61573=EDGE_LOOP('',(#319728,#319729,#319730,#319731,#319732,#319733,#319734, #319735)); #61574=EDGE_LOOP('',(#319736,#319737,#319738,#319739)); #61575=EDGE_LOOP('',(#319740,#319741,#319742,#319743)); #61576=EDGE_LOOP('',(#319744,#319745,#319746,#319747)); #61577=EDGE_LOOP('',(#319748,#319749,#319750,#319751)); #61578=EDGE_LOOP('',(#319752,#319753,#319754,#319755)); #61579=EDGE_LOOP('',(#319756,#319757,#319758,#319759)); #61580=EDGE_LOOP('',(#319760,#319761,#319762,#319763)); #61581=EDGE_LOOP('',(#319764,#319765,#319766,#319767)); #61582=EDGE_LOOP('',(#319768,#319769,#319770,#319771,#319772,#319773,#319774, #319775,#319776,#319777,#319778,#319779)); #61583=EDGE_LOOP('',(#319780,#319781,#319782,#319783)); #61584=EDGE_LOOP('',(#319784,#319785,#319786,#319787,#319788,#319789,#319790, #319791)); #61585=EDGE_LOOP('',(#319792,#319793,#319794,#319795,#319796,#319797,#319798, #319799,#319800,#319801,#319802,#319803)); #61586=EDGE_LOOP('',(#319804,#319805,#319806,#319807)); #61587=EDGE_LOOP('',(#319808,#319809,#319810,#319811,#319812,#319813,#319814, #319815,#319816,#319817,#319818,#319819)); #61588=EDGE_LOOP('',(#319820,#319821,#319822,#319823)); #61589=EDGE_LOOP('',(#319824,#319825,#319826,#319827)); #61590=EDGE_LOOP('',(#319828,#319829,#319830,#319831)); #61591=EDGE_LOOP('',(#319832,#319833,#319834,#319835)); #61592=EDGE_LOOP('',(#319836,#319837,#319838,#319839)); #61593=EDGE_LOOP('',(#319840,#319841,#319842,#319843)); #61594=EDGE_LOOP('',(#319844,#319845,#319846,#319847)); #61595=EDGE_LOOP('',(#319848,#319849,#319850,#319851)); #61596=EDGE_LOOP('',(#319852,#319853,#319854,#319855)); #61597=EDGE_LOOP('',(#319856,#319857,#319858,#319859)); #61598=EDGE_LOOP('',(#319860,#319861,#319862,#319863)); #61599=EDGE_LOOP('',(#319864,#319865,#319866,#319867)); #61600=EDGE_LOOP('',(#319868,#319869,#319870,#319871)); #61601=EDGE_LOOP('',(#319872,#319873,#319874,#319875)); #61602=EDGE_LOOP('',(#319876,#319877,#319878,#319879,#319880,#319881,#319882, #319883,#319884,#319885,#319886,#319887)); #61603=EDGE_LOOP('',(#319888,#319889,#319890,#319891,#319892,#319893,#319894, #319895)); #61604=EDGE_LOOP('',(#319896,#319897,#319898,#319899)); #61605=EDGE_LOOP('',(#319900,#319901,#319902,#319903,#319904,#319905,#319906, #319907,#319908,#319909,#319910,#319911)); #61606=EDGE_LOOP('',(#319912,#319913,#319914,#319915)); #61607=EDGE_LOOP('',(#319916,#319917,#319918,#319919)); #61608=EDGE_LOOP('',(#319920,#319921,#319922,#319923)); #61609=EDGE_LOOP('',(#319924,#319925,#319926,#319927)); #61610=EDGE_LOOP('',(#319928,#319929,#319930,#319931)); #61611=EDGE_LOOP('',(#319932,#319933,#319934,#319935,#319936,#319937,#319938, #319939)); #61612=EDGE_LOOP('',(#319940,#319941,#319942,#319943)); #61613=EDGE_LOOP('',(#319944,#319945,#319946,#319947)); #61614=EDGE_LOOP('',(#319948,#319949,#319950,#319951)); #61615=EDGE_LOOP('',(#319952,#319953,#319954,#319955)); #61616=EDGE_LOOP('',(#319956,#319957,#319958,#319959)); #61617=EDGE_LOOP('',(#319960,#319961,#319962,#319963)); #61618=EDGE_LOOP('',(#319964,#319965,#319966,#319967)); #61619=EDGE_LOOP('',(#319968,#319969,#319970,#319971,#319972,#319973,#319974, #319975,#319976,#319977,#319978,#319979)); #61620=EDGE_LOOP('',(#319980,#319981,#319982,#319983,#319984,#319985,#319986, #319987)); #61621=EDGE_LOOP('',(#319988,#319989,#319990,#319991)); #61622=EDGE_LOOP('',(#319992,#319993,#319994,#319995)); #61623=EDGE_LOOP('',(#319996,#319997,#319998,#319999)); #61624=EDGE_LOOP('',(#320000,#320001,#320002,#320003)); #61625=EDGE_LOOP('',(#320004,#320005,#320006,#320007)); #61626=EDGE_LOOP('',(#320008,#320009,#320010,#320011)); #61627=EDGE_LOOP('',(#320012,#320013,#320014,#320015)); #61628=EDGE_LOOP('',(#320016,#320017,#320018,#320019)); #61629=EDGE_LOOP('',(#320020,#320021,#320022,#320023,#320024,#320025,#320026, #320027,#320028,#320029,#320030,#320031)); #61630=EDGE_LOOP('',(#320032,#320033,#320034,#320035)); #61631=EDGE_LOOP('',(#320036,#320037,#320038,#320039,#320040,#320041,#320042, #320043)); #61632=EDGE_LOOP('',(#320044,#320045,#320046,#320047,#320048,#320049,#320050, #320051,#320052,#320053,#320054,#320055)); #61633=EDGE_LOOP('',(#320056,#320057,#320058,#320059)); #61634=EDGE_LOOP('',(#320060,#320061,#320062,#320063,#320064,#320065,#320066, #320067,#320068,#320069,#320070,#320071)); #61635=EDGE_LOOP('',(#320072,#320073,#320074,#320075)); #61636=EDGE_LOOP('',(#320076,#320077,#320078,#320079)); #61637=EDGE_LOOP('',(#320080,#320081,#320082,#320083)); #61638=EDGE_LOOP('',(#320084,#320085,#320086,#320087)); #61639=EDGE_LOOP('',(#320088,#320089,#320090,#320091)); #61640=EDGE_LOOP('',(#320092,#320093,#320094,#320095)); #61641=EDGE_LOOP('',(#320096,#320097,#320098,#320099)); #61642=EDGE_LOOP('',(#320100,#320101,#320102,#320103)); #61643=EDGE_LOOP('',(#320104,#320105,#320106,#320107)); #61644=EDGE_LOOP('',(#320108,#320109,#320110,#320111)); #61645=EDGE_LOOP('',(#320112,#320113,#320114,#320115,#320116,#320117,#320118)); #61646=EDGE_LOOP('',(#320119,#320120,#320121,#320122,#320123,#320124,#320125, #320126)); #61647=EDGE_LOOP('',(#320127,#320128,#320129,#320130,#320131,#320132,#320133)); #61648=EDGE_LOOP('',(#320134,#320135,#320136,#320137,#320138,#320139)); #61649=EDGE_LOOP('',(#320140,#320141,#320142,#320143,#320144,#320145,#320146, #320147,#320148,#320149,#320150,#320151,#320152,#320153,#320154,#320155)); #61650=EDGE_LOOP('',(#320156,#320157,#320158,#320159)); #61651=EDGE_LOOP('',(#320160,#320161,#320162,#320163)); #61652=EDGE_LOOP('',(#320164,#320165,#320166,#320167,#320168,#320169,#320170, #320171,#320172,#320173,#320174,#320175,#320176,#320177,#320178,#320179, #320180)); #61653=EDGE_LOOP('',(#320181,#320182,#320183,#320184)); #61654=EDGE_LOOP('',(#320185,#320186,#320187,#320188,#320189,#320190,#320191, #320192,#320193,#320194,#320195,#320196,#320197,#320198,#320199,#320200, #320201,#320202)); #61655=EDGE_LOOP('',(#320203,#320204,#320205,#320206)); #61656=EDGE_LOOP('',(#320207,#320208,#320209,#320210,#320211,#320212)); #61657=EDGE_LOOP('',(#320213,#320214,#320215,#320216)); #61658=EDGE_LOOP('',(#320217,#320218,#320219,#320220)); #61659=EDGE_LOOP('',(#320221,#320222,#320223,#320224)); #61660=EDGE_LOOP('',(#320225,#320226,#320227,#320228,#320229,#320230)); #61661=EDGE_LOOP('',(#320231,#320232,#320233,#320234)); #61662=EDGE_LOOP('',(#320235,#320236,#320237,#320238,#320239,#320240,#320241, #320242,#320243,#320244,#320245,#320246)); #61663=EDGE_LOOP('',(#320247,#320248,#320249,#320250,#320251)); #61664=EDGE_LOOP('',(#320252)); #61665=EDGE_LOOP('',(#320253,#320254,#320255,#320256)); #61666=EDGE_LOOP('',(#320257,#320258,#320259,#320260)); #61667=EDGE_LOOP('',(#320261,#320262,#320263,#320264)); #61668=EDGE_LOOP('',(#320265,#320266,#320267,#320268)); #61669=EDGE_LOOP('',(#320269,#320270,#320271,#320272)); #61670=EDGE_LOOP('',(#320273,#320274,#320275,#320276)); #61671=EDGE_LOOP('',(#320277,#320278,#320279,#320280)); #61672=EDGE_LOOP('',(#320281,#320282,#320283,#320284)); #61673=EDGE_LOOP('',(#320285,#320286,#320287,#320288,#320289,#320290,#320291)); #61674=EDGE_LOOP('',(#320292,#320293,#320294,#320295)); #61675=EDGE_LOOP('',(#320296,#320297,#320298,#320299)); #61676=EDGE_LOOP('',(#320300,#320301,#320302,#320303)); #61677=EDGE_LOOP('',(#320304,#320305,#320306,#320307)); #61678=EDGE_LOOP('',(#320308,#320309,#320310,#320311)); #61679=EDGE_LOOP('',(#320312,#320313,#320314,#320315)); #61680=EDGE_LOOP('',(#320316,#320317,#320318,#320319)); #61681=EDGE_LOOP('',(#320320,#320321,#320322,#320323)); #61682=EDGE_LOOP('',(#320324,#320325,#320326,#320327)); #61683=EDGE_LOOP('',(#320328,#320329,#320330,#320331)); #61684=EDGE_LOOP('',(#320332,#320333,#320334,#320335)); #61685=EDGE_LOOP('',(#320336,#320337,#320338,#320339,#320340,#320341,#320342, #320343,#320344,#320345,#320346,#320347,#320348,#320349,#320350,#320351, #320352,#320353,#320354,#320355,#320356,#320357)); #61686=EDGE_LOOP('',(#320358,#320359,#320360,#320361)); #61687=EDGE_LOOP('',(#320362,#320363,#320364,#320365)); #61688=EDGE_LOOP('',(#320366,#320367,#320368,#320369,#320370,#320371,#320372, #320373,#320374,#320375,#320376,#320377,#320378,#320379,#320380)); #61689=EDGE_LOOP('',(#320381,#320382,#320383,#320384)); #61690=EDGE_LOOP('',(#320385,#320386,#320387,#320388,#320389,#320390)); #61691=EDGE_LOOP('',(#320391,#320392,#320393,#320394,#320395,#320396,#320397, #320398,#320399,#320400)); #61692=EDGE_LOOP('',(#320401,#320402,#320403,#320404)); #61693=EDGE_LOOP('',(#320405,#320406,#320407,#320408,#320409,#320410,#320411, #320412,#320413,#320414)); #61694=EDGE_LOOP('',(#320415,#320416,#320417,#320418)); #61695=EDGE_LOOP('',(#320419,#320420,#320421,#320422)); #61696=EDGE_LOOP('',(#320423,#320424,#320425,#320426)); #61697=EDGE_LOOP('',(#320427,#320428,#320429,#320430)); #61698=EDGE_LOOP('',(#320431,#320432,#320433,#320434)); #61699=EDGE_LOOP('',(#320435,#320436,#320437,#320438)); #61700=EDGE_LOOP('',(#320439,#320440,#320441,#320442)); #61701=EDGE_LOOP('',(#320443,#320444,#320445,#320446,#320447)); #61702=EDGE_LOOP('',(#320448,#320449,#320450,#320451,#320452)); #61703=EDGE_LOOP('',(#320453,#320454,#320455,#320456)); #61704=EDGE_LOOP('',(#320457,#320458,#320459,#320460)); #61705=EDGE_LOOP('',(#320461,#320462,#320463,#320464)); #61706=EDGE_LOOP('',(#320465,#320466,#320467,#320468)); #61707=EDGE_LOOP('',(#320469,#320470,#320471,#320472)); #61708=EDGE_LOOP('',(#320473,#320474,#320475,#320476,#320477,#320478,#320479, #320480,#320481,#320482,#320483,#320484,#320485,#320486,#320487)); #61709=EDGE_LOOP('',(#320488,#320489,#320490,#320491)); #61710=EDGE_LOOP('',(#320492,#320493,#320494,#320495,#320496,#320497)); #61711=EDGE_LOOP('',(#320498,#320499,#320500,#320501)); #61712=EDGE_LOOP('',(#320502,#320503,#320504,#320505,#320506,#320507,#320508, #320509,#320510,#320511,#320512,#320513,#320514,#320515,#320516,#320517)); #61713=EDGE_LOOP('',(#320518,#320519,#320520,#320521,#320522,#320523,#320524)); #61714=EDGE_LOOP('',(#320525,#320526,#320527,#320528)); #61715=EDGE_LOOP('',(#320529,#320530,#320531,#320532,#320533,#320534)); #61716=EDGE_LOOP('',(#320535,#320536,#320537,#320538)); #61717=EDGE_LOOP('',(#320539,#320540,#320541,#320542)); #61718=EDGE_LOOP('',(#320543,#320544,#320545,#320546)); #61719=EDGE_LOOP('',(#320547,#320548,#320549,#320550)); #61720=EDGE_LOOP('',(#320551,#320552,#320553,#320554)); #61721=EDGE_LOOP('',(#320555,#320556,#320557,#320558)); #61722=EDGE_LOOP('',(#320559,#320560,#320561,#320562)); #61723=EDGE_LOOP('',(#320563,#320564,#320565,#320566,#320567,#320568,#320569, #320570,#320571,#320572,#320573,#320574,#320575,#320576,#320577,#320578)); #61724=EDGE_LOOP('',(#320579,#320580,#320581,#320582,#320583,#320584,#320585, #320586)); #61725=EDGE_LOOP('',(#320587,#320588,#320589,#320590)); #61726=EDGE_LOOP('',(#320591,#320592,#320593,#320594,#320595,#320596,#320597, #320598)); #61727=EDGE_LOOP('',(#320599,#320600,#320601,#320602,#320603,#320604,#320605, #320606,#320607,#320608)); #61728=EDGE_LOOP('',(#320609,#320610,#320611,#320612)); #61729=EDGE_LOOP('',(#320613,#320614,#320615,#320616,#320617,#320618)); #61730=EDGE_LOOP('',(#320619,#320620,#320621,#320622)); #61731=EDGE_LOOP('',(#320623,#320624,#320625,#320626)); #61732=EDGE_LOOP('',(#320627,#320628,#320629,#320630)); #61733=EDGE_LOOP('',(#320631,#320632,#320633,#320634,#320635,#320636,#320637, #320638,#320639,#320640,#320641,#320642,#320643,#320644,#320645,#320646, #320647,#320648,#320649,#320650,#320651,#320652,#320653,#320654,#320655, #320656,#320657,#320658,#320659,#320660,#320661,#320662,#320663,#320664, #320665,#320666,#320667,#320668,#320669,#320670,#320671,#320672,#320673, #320674,#320675,#320676,#320677,#320678,#320679,#320680)); #61734=EDGE_LOOP('',(#320681,#320682,#320683,#320684)); #61735=EDGE_LOOP('',(#320685,#320686,#320687,#320688)); #61736=EDGE_LOOP('',(#320689,#320690,#320691,#320692)); #61737=EDGE_LOOP('',(#320693,#320694,#320695,#320696,#320697,#320698)); #61738=EDGE_LOOP('',(#320699,#320700,#320701,#320702)); #61739=EDGE_LOOP('',(#320703,#320704,#320705,#320706,#320707,#320708,#320709, #320710,#320711,#320712,#320713,#320714,#320715)); #61740=EDGE_LOOP('',(#320716,#320717,#320718,#320719)); #61741=EDGE_LOOP('',(#320720,#320721,#320722,#320723)); #61742=EDGE_LOOP('',(#320724,#320725,#320726,#320727)); #61743=EDGE_LOOP('',(#320728,#320729,#320730,#320731)); #61744=EDGE_LOOP('',(#320732,#320733,#320734,#320735)); #61745=EDGE_LOOP('',(#320736,#320737,#320738,#320739)); #61746=EDGE_LOOP('',(#320740,#320741,#320742,#320743)); #61747=EDGE_LOOP('',(#320744,#320745,#320746,#320747)); #61748=EDGE_LOOP('',(#320748,#320749,#320750,#320751)); #61749=EDGE_LOOP('',(#320752,#320753,#320754,#320755)); #61750=EDGE_LOOP('',(#320756,#320757,#320758,#320759)); #61751=EDGE_LOOP('',(#320760,#320761,#320762,#320763)); #61752=EDGE_LOOP('',(#320764,#320765,#320766,#320767)); #61753=EDGE_LOOP('',(#320768,#320769,#320770,#320771)); #61754=EDGE_LOOP('',(#320772,#320773,#320774,#320775,#320776,#320777)); #61755=EDGE_LOOP('',(#320778,#320779,#320780,#320781)); #61756=EDGE_LOOP('',(#320782,#320783,#320784,#320785,#320786,#320787)); #61757=EDGE_LOOP('',(#320788,#320789,#320790,#320791)); #61758=EDGE_LOOP('',(#320792,#320793,#320794,#320795)); #61759=EDGE_LOOP('',(#320796,#320797,#320798,#320799)); #61760=EDGE_LOOP('',(#320800,#320801,#320802,#320803)); #61761=EDGE_LOOP('',(#320804,#320805,#320806,#320807)); #61762=EDGE_LOOP('',(#320808,#320809,#320810,#320811)); #61763=EDGE_LOOP('',(#320812,#320813,#320814,#320815)); #61764=EDGE_LOOP('',(#320816,#320817,#320818,#320819)); #61765=EDGE_LOOP('',(#320820,#320821,#320822,#320823)); #61766=EDGE_LOOP('',(#320824,#320825,#320826,#320827)); #61767=EDGE_LOOP('',(#320828,#320829,#320830,#320831)); #61768=EDGE_LOOP('',(#320832,#320833,#320834,#320835,#320836,#320837)); #61769=EDGE_LOOP('',(#320838,#320839,#320840,#320841)); #61770=EDGE_LOOP('',(#320842,#320843,#320844,#320845,#320846,#320847)); #61771=EDGE_LOOP('',(#320848,#320849,#320850,#320851)); #61772=EDGE_LOOP('',(#320852,#320853,#320854,#320855)); #61773=EDGE_LOOP('',(#320856,#320857,#320858,#320859)); #61774=EDGE_LOOP('',(#320860,#320861,#320862,#320863)); #61775=EDGE_LOOP('',(#320864,#320865,#320866,#320867)); #61776=EDGE_LOOP('',(#320868,#320869,#320870,#320871,#320872,#320873,#320874, #320875,#320876,#320877,#320878,#320879,#320880)); #61777=EDGE_LOOP('',(#320881,#320882,#320883,#320884)); #61778=EDGE_LOOP('',(#320885,#320886,#320887,#320888)); #61779=EDGE_LOOP('',(#320889,#320890,#320891,#320892,#320893,#320894,#320895, #320896,#320897)); #61780=EDGE_LOOP('',(#320898,#320899,#320900,#320901)); #61781=EDGE_LOOP('',(#320902,#320903,#320904,#320905)); #61782=EDGE_LOOP('',(#320906,#320907,#320908,#320909,#320910,#320911,#320912, #320913)); #61783=EDGE_LOOP('',(#320914,#320915,#320916,#320917)); #61784=EDGE_LOOP('',(#320918,#320919,#320920,#320921,#320922,#320923,#320924, #320925,#320926)); #61785=EDGE_LOOP('',(#320927,#320928,#320929,#320930)); #61786=EDGE_LOOP('',(#320931,#320932,#320933,#320934,#320935,#320936,#320937, #320938)); #61787=EDGE_LOOP('',(#320939,#320940,#320941,#320942)); #61788=EDGE_LOOP('',(#320943,#320944,#320945,#320946,#320947,#320948,#320949, #320950)); #61789=EDGE_LOOP('',(#320951,#320952,#320953,#320954,#320955,#320956,#320957, #320958,#320959)); #61790=EDGE_LOOP('',(#320960,#320961,#320962,#320963)); #61791=EDGE_LOOP('',(#320964,#320965,#320966,#320967)); #61792=EDGE_LOOP('',(#320968,#320969,#320970,#320971,#320972,#320973,#320974, #320975)); #61793=EDGE_LOOP('',(#320976,#320977,#320978,#320979,#320980,#320981,#320982, #320983,#320984)); #61794=EDGE_LOOP('',(#320985,#320986,#320987,#320988)); #61795=EDGE_LOOP('',(#320989,#320990,#320991,#320992)); #61796=EDGE_LOOP('',(#320993,#320994,#320995,#320996)); #61797=EDGE_LOOP('',(#320997,#320998,#320999,#321000)); #61798=EDGE_LOOP('',(#321001,#321002,#321003,#321004)); #61799=EDGE_LOOP('',(#321005,#321006,#321007,#321008)); #61800=EDGE_LOOP('',(#321009,#321010,#321011,#321012)); #61801=EDGE_LOOP('',(#321013,#321014,#321015,#321016)); #61802=EDGE_LOOP('',(#321017,#321018,#321019,#321020)); #61803=EDGE_LOOP('',(#321021,#321022,#321023,#321024)); #61804=EDGE_LOOP('',(#321025,#321026,#321027,#321028)); #61805=EDGE_LOOP('',(#321029,#321030,#321031,#321032)); #61806=EDGE_LOOP('',(#321033,#321034,#321035,#321036)); #61807=EDGE_LOOP('',(#321037,#321038,#321039,#321040)); #61808=EDGE_LOOP('',(#321041,#321042,#321043,#321044)); #61809=EDGE_LOOP('',(#321045,#321046,#321047,#321048)); #61810=EDGE_LOOP('',(#321049,#321050,#321051,#321052)); #61811=EDGE_LOOP('',(#321053,#321054,#321055,#321056)); #61812=EDGE_LOOP('',(#321057,#321058,#321059,#321060)); #61813=EDGE_LOOP('',(#321061,#321062,#321063,#321064)); #61814=EDGE_LOOP('',(#321065,#321066,#321067,#321068)); #61815=EDGE_LOOP('',(#321069,#321070,#321071,#321072)); #61816=EDGE_LOOP('',(#321073,#321074,#321075,#321076)); #61817=EDGE_LOOP('',(#321077,#321078,#321079,#321080)); #61818=EDGE_LOOP('',(#321081,#321082,#321083,#321084)); #61819=EDGE_LOOP('',(#321085,#321086,#321087,#321088)); #61820=EDGE_LOOP('',(#321089,#321090,#321091,#321092)); #61821=EDGE_LOOP('',(#321093,#321094,#321095,#321096,#321097,#321098)); #61822=EDGE_LOOP('',(#321099,#321100,#321101,#321102)); #61823=EDGE_LOOP('',(#321103,#321104,#321105,#321106)); #61824=EDGE_LOOP('',(#321107,#321108,#321109,#321110)); #61825=EDGE_LOOP('',(#321111,#321112,#321113,#321114)); #61826=EDGE_LOOP('',(#321115,#321116,#321117,#321118)); #61827=EDGE_LOOP('',(#321119,#321120,#321121,#321122)); #61828=EDGE_LOOP('',(#321123,#321124,#321125,#321126)); #61829=EDGE_LOOP('',(#321127,#321128,#321129,#321130,#321131,#321132)); #61830=EDGE_LOOP('',(#321133,#321134,#321135,#321136)); #61831=EDGE_LOOP('',(#321137,#321138,#321139,#321140)); #61832=EDGE_LOOP('',(#321141,#321142,#321143,#321144)); #61833=EDGE_LOOP('',(#321145,#321146,#321147,#321148)); #61834=EDGE_LOOP('',(#321149,#321150,#321151,#321152)); #61835=EDGE_LOOP('',(#321153,#321154,#321155,#321156)); #61836=EDGE_LOOP('',(#321157,#321158,#321159,#321160)); #61837=EDGE_LOOP('',(#321161,#321162,#321163,#321164)); #61838=EDGE_LOOP('',(#321165,#321166,#321167,#321168)); #61839=EDGE_LOOP('',(#321169,#321170,#321171,#321172)); #61840=EDGE_LOOP('',(#321173,#321174,#321175,#321176)); #61841=EDGE_LOOP('',(#321177,#321178,#321179,#321180)); #61842=EDGE_LOOP('',(#321181,#321182,#321183,#321184)); #61843=EDGE_LOOP('',(#321185,#321186,#321187,#321188)); #61844=EDGE_LOOP('',(#321189,#321190,#321191,#321192)); #61845=EDGE_LOOP('',(#321193,#321194,#321195,#321196)); #61846=EDGE_LOOP('',(#321197,#321198,#321199,#321200)); #61847=EDGE_LOOP('',(#321201,#321202,#321203,#321204)); #61848=EDGE_LOOP('',(#321205,#321206,#321207,#321208,#321209,#321210)); #61849=EDGE_LOOP('',(#321211,#321212,#321213,#321214)); #61850=EDGE_LOOP('',(#321215,#321216,#321217,#321218)); #61851=EDGE_LOOP('',(#321219,#321220,#321221,#321222)); #61852=EDGE_LOOP('',(#321223,#321224,#321225,#321226)); #61853=EDGE_LOOP('',(#321227,#321228,#321229,#321230)); #61854=EDGE_LOOP('',(#321231,#321232,#321233,#321234)); #61855=EDGE_LOOP('',(#321235,#321236,#321237,#321238,#321239,#321240)); #61856=EDGE_LOOP('',(#321241,#321242,#321243,#321244)); #61857=EDGE_LOOP('',(#321245,#321246,#321247,#321248)); #61858=EDGE_LOOP('',(#321249,#321250,#321251,#321252)); #61859=EDGE_LOOP('',(#321253,#321254,#321255,#321256)); #61860=EDGE_LOOP('',(#321257,#321258,#321259,#321260)); #61861=EDGE_LOOP('',(#321261,#321262,#321263,#321264)); #61862=EDGE_LOOP('',(#321265,#321266,#321267,#321268)); #61863=EDGE_LOOP('',(#321269,#321270,#321271,#321272)); #61864=EDGE_LOOP('',(#321273,#321274,#321275,#321276)); #61865=EDGE_LOOP('',(#321277,#321278,#321279,#321280)); #61866=EDGE_LOOP('',(#321281,#321282,#321283,#321284)); #61867=EDGE_LOOP('',(#321285,#321286,#321287,#321288)); #61868=EDGE_LOOP('',(#321289,#321290,#321291,#321292)); #61869=EDGE_LOOP('',(#321293,#321294,#321295,#321296)); #61870=EDGE_LOOP('',(#321297)); #61871=EDGE_LOOP('',(#321298,#321299,#321300,#321301)); #61872=EDGE_LOOP('',(#321302,#321303,#321304,#321305)); #61873=EDGE_LOOP('',(#321306,#321307,#321308,#321309)); #61874=EDGE_LOOP('',(#321310,#321311,#321312,#321313)); #61875=EDGE_LOOP('',(#321314,#321315,#321316,#321317)); #61876=EDGE_LOOP('',(#321318,#321319,#321320,#321321)); #61877=EDGE_LOOP('',(#321322,#321323,#321324,#321325)); #61878=EDGE_LOOP('',(#321326)); #61879=EDGE_LOOP('',(#321327,#321328,#321329,#321330)); #61880=EDGE_LOOP('',(#321331,#321332,#321333,#321334)); #61881=EDGE_LOOP('',(#321335,#321336,#321337,#321338)); #61882=EDGE_LOOP('',(#321339,#321340,#321341,#321342)); #61883=EDGE_LOOP('',(#321343,#321344,#321345,#321346)); #61884=EDGE_LOOP('',(#321347,#321348,#321349,#321350)); #61885=EDGE_LOOP('',(#321351,#321352,#321353,#321354)); #61886=EDGE_LOOP('',(#321355,#321356,#321357,#321358)); #61887=EDGE_LOOP('',(#321359,#321360,#321361,#321362)); #61888=EDGE_LOOP('',(#321363,#321364,#321365,#321366,#321367,#321368)); #61889=EDGE_LOOP('',(#321369,#321370,#321371,#321372,#321373,#321374)); #61890=EDGE_LOOP('',(#321375,#321376,#321377,#321378)); #61891=EDGE_LOOP('',(#321379,#321380,#321381,#321382)); #61892=EDGE_LOOP('',(#321383,#321384,#321385,#321386)); #61893=EDGE_LOOP('',(#321387,#321388,#321389,#321390)); #61894=EDGE_LOOP('',(#321391,#321392,#321393,#321394)); #61895=EDGE_LOOP('',(#321395,#321396,#321397,#321398)); #61896=EDGE_LOOP('',(#321399,#321400,#321401,#321402,#321403,#321404)); #61897=EDGE_LOOP('',(#321405,#321406,#321407,#321408,#321409,#321410)); #61898=EDGE_LOOP('',(#321411,#321412,#321413,#321414)); #61899=EDGE_LOOP('',(#321415,#321416,#321417,#321418)); #61900=EDGE_LOOP('',(#321419,#321420,#321421,#321422)); #61901=EDGE_LOOP('',(#321423,#321424,#321425,#321426)); #61902=EDGE_LOOP('',(#321427,#321428,#321429,#321430)); #61903=EDGE_LOOP('',(#321431,#321432,#321433,#321434)); #61904=EDGE_LOOP('',(#321435,#321436,#321437,#321438,#321439,#321440)); #61905=EDGE_LOOP('',(#321441,#321442,#321443,#321444,#321445,#321446)); #61906=EDGE_LOOP('',(#321447,#321448,#321449,#321450)); #61907=EDGE_LOOP('',(#321451,#321452,#321453,#321454)); #61908=EDGE_LOOP('',(#321455,#321456,#321457,#321458)); #61909=EDGE_LOOP('',(#321459,#321460,#321461,#321462)); #61910=EDGE_LOOP('',(#321463,#321464,#321465,#321466)); #61911=EDGE_LOOP('',(#321467,#321468,#321469,#321470)); #61912=EDGE_LOOP('',(#321471,#321472,#321473,#321474,#321475,#321476)); #61913=EDGE_LOOP('',(#321477,#321478,#321479,#321480,#321481,#321482)); #61914=EDGE_LOOP('',(#321483,#321484,#321485,#321486)); #61915=EDGE_LOOP('',(#321487,#321488,#321489,#321490)); #61916=EDGE_LOOP('',(#321491,#321492,#321493,#321494)); #61917=EDGE_LOOP('',(#321495,#321496,#321497,#321498)); #61918=EDGE_LOOP('',(#321499,#321500,#321501,#321502)); #61919=EDGE_LOOP('',(#321503,#321504,#321505,#321506)); #61920=EDGE_LOOP('',(#321507,#321508,#321509,#321510,#321511,#321512)); #61921=EDGE_LOOP('',(#321513,#321514,#321515,#321516,#321517,#321518)); #61922=EDGE_LOOP('',(#321519,#321520,#321521,#321522)); #61923=EDGE_LOOP('',(#321523,#321524,#321525,#321526)); #61924=EDGE_LOOP('',(#321527,#321528,#321529,#321530)); #61925=EDGE_LOOP('',(#321531,#321532,#321533,#321534)); #61926=EDGE_LOOP('',(#321535,#321536,#321537,#321538)); #61927=EDGE_LOOP('',(#321539,#321540,#321541,#321542)); #61928=EDGE_LOOP('',(#321543,#321544,#321545,#321546,#321547,#321548)); #61929=EDGE_LOOP('',(#321549,#321550,#321551,#321552,#321553,#321554)); #61930=EDGE_LOOP('',(#321555,#321556,#321557,#321558)); #61931=EDGE_LOOP('',(#321559,#321560,#321561,#321562)); #61932=EDGE_LOOP('',(#321563,#321564,#321565,#321566)); #61933=EDGE_LOOP('',(#321567,#321568,#321569,#321570)); #61934=EDGE_LOOP('',(#321571,#321572,#321573,#321574)); #61935=EDGE_LOOP('',(#321575,#321576,#321577,#321578)); #61936=EDGE_LOOP('',(#321579,#321580,#321581,#321582,#321583,#321584)); #61937=EDGE_LOOP('',(#321585,#321586,#321587,#321588,#321589,#321590)); #61938=EDGE_LOOP('',(#321591,#321592,#321593,#321594)); #61939=EDGE_LOOP('',(#321595,#321596,#321597,#321598)); #61940=EDGE_LOOP('',(#321599,#321600,#321601,#321602)); #61941=EDGE_LOOP('',(#321603,#321604,#321605,#321606)); #61942=EDGE_LOOP('',(#321607,#321608,#321609,#321610)); #61943=EDGE_LOOP('',(#321611,#321612,#321613,#321614)); #61944=EDGE_LOOP('',(#321615,#321616,#321617,#321618,#321619,#321620)); #61945=EDGE_LOOP('',(#321621,#321622,#321623,#321624,#321625,#321626)); #61946=EDGE_LOOP('',(#321627,#321628,#321629,#321630)); #61947=EDGE_LOOP('',(#321631,#321632,#321633,#321634)); #61948=EDGE_LOOP('',(#321635,#321636,#321637,#321638)); #61949=EDGE_LOOP('',(#321639,#321640,#321641,#321642)); #61950=EDGE_LOOP('',(#321643,#321644,#321645,#321646)); #61951=EDGE_LOOP('',(#321647,#321648,#321649,#321650)); #61952=EDGE_LOOP('',(#321651,#321652,#321653,#321654,#321655,#321656)); #61953=EDGE_LOOP('',(#321657,#321658,#321659,#321660,#321661,#321662)); #61954=EDGE_LOOP('',(#321663,#321664,#321665,#321666)); #61955=EDGE_LOOP('',(#321667,#321668,#321669,#321670)); #61956=EDGE_LOOP('',(#321671,#321672,#321673,#321674)); #61957=EDGE_LOOP('',(#321675,#321676,#321677,#321678)); #61958=EDGE_LOOP('',(#321679,#321680,#321681,#321682)); #61959=EDGE_LOOP('',(#321683,#321684,#321685,#321686)); #61960=EDGE_LOOP('',(#321687,#321688,#321689,#321690,#321691,#321692)); #61961=EDGE_LOOP('',(#321693,#321694,#321695,#321696,#321697,#321698)); #61962=EDGE_LOOP('',(#321699,#321700,#321701,#321702)); #61963=EDGE_LOOP('',(#321703,#321704,#321705,#321706)); #61964=EDGE_LOOP('',(#321707,#321708,#321709,#321710)); #61965=EDGE_LOOP('',(#321711,#321712,#321713,#321714)); #61966=EDGE_LOOP('',(#321715,#321716,#321717,#321718)); #61967=EDGE_LOOP('',(#321719,#321720,#321721,#321722)); #61968=EDGE_LOOP('',(#321723,#321724,#321725,#321726,#321727,#321728)); #61969=EDGE_LOOP('',(#321729,#321730,#321731,#321732,#321733,#321734)); #61970=EDGE_LOOP('',(#321735,#321736,#321737,#321738)); #61971=EDGE_LOOP('',(#321739,#321740,#321741,#321742)); #61972=EDGE_LOOP('',(#321743,#321744,#321745,#321746)); #61973=EDGE_LOOP('',(#321747,#321748,#321749,#321750)); #61974=EDGE_LOOP('',(#321751,#321752,#321753,#321754)); #61975=EDGE_LOOP('',(#321755,#321756,#321757,#321758)); #61976=EDGE_LOOP('',(#321759,#321760,#321761,#321762,#321763,#321764)); #61977=EDGE_LOOP('',(#321765,#321766,#321767,#321768,#321769,#321770)); #61978=EDGE_LOOP('',(#321771,#321772,#321773,#321774)); #61979=EDGE_LOOP('',(#321775,#321776,#321777,#321778)); #61980=EDGE_LOOP('',(#321779,#321780,#321781,#321782)); #61981=EDGE_LOOP('',(#321783,#321784,#321785,#321786)); #61982=EDGE_LOOP('',(#321787,#321788,#321789,#321790)); #61983=EDGE_LOOP('',(#321791,#321792,#321793,#321794)); #61984=EDGE_LOOP('',(#321795,#321796,#321797,#321798,#321799,#321800)); #61985=EDGE_LOOP('',(#321801,#321802,#321803,#321804,#321805,#321806)); #61986=EDGE_LOOP('',(#321807,#321808,#321809,#321810)); #61987=EDGE_LOOP('',(#321811,#321812,#321813,#321814)); #61988=EDGE_LOOP('',(#321815,#321816,#321817,#321818)); #61989=EDGE_LOOP('',(#321819,#321820,#321821,#321822)); #61990=EDGE_LOOP('',(#321823,#321824,#321825,#321826)); #61991=EDGE_LOOP('',(#321827,#321828,#321829,#321830)); #61992=EDGE_LOOP('',(#321831,#321832,#321833,#321834,#321835,#321836)); #61993=EDGE_LOOP('',(#321837,#321838,#321839,#321840,#321841,#321842)); #61994=EDGE_LOOP('',(#321843,#321844,#321845,#321846)); #61995=EDGE_LOOP('',(#321847,#321848,#321849,#321850)); #61996=EDGE_LOOP('',(#321851,#321852,#321853,#321854)); #61997=EDGE_LOOP('',(#321855,#321856,#321857,#321858)); #61998=EDGE_LOOP('',(#321859,#321860,#321861,#321862)); #61999=EDGE_LOOP('',(#321863,#321864,#321865,#321866)); #62000=EDGE_LOOP('',(#321867,#321868,#321869,#321870,#321871,#321872)); #62001=EDGE_LOOP('',(#321873,#321874,#321875,#321876,#321877,#321878)); #62002=EDGE_LOOP('',(#321879,#321880,#321881,#321882)); #62003=EDGE_LOOP('',(#321883,#321884,#321885,#321886)); #62004=EDGE_LOOP('',(#321887,#321888,#321889,#321890)); #62005=EDGE_LOOP('',(#321891,#321892,#321893,#321894)); #62006=EDGE_LOOP('',(#321895,#321896,#321897,#321898)); #62007=EDGE_LOOP('',(#321899,#321900,#321901,#321902)); #62008=EDGE_LOOP('',(#321903,#321904,#321905,#321906,#321907,#321908)); #62009=EDGE_LOOP('',(#321909,#321910,#321911,#321912,#321913,#321914)); #62010=EDGE_LOOP('',(#321915,#321916,#321917,#321918)); #62011=EDGE_LOOP('',(#321919,#321920,#321921,#321922)); #62012=EDGE_LOOP('',(#321923,#321924,#321925,#321926)); #62013=EDGE_LOOP('',(#321927,#321928,#321929,#321930)); #62014=EDGE_LOOP('',(#321931,#321932,#321933,#321934)); #62015=EDGE_LOOP('',(#321935,#321936,#321937,#321938)); #62016=EDGE_LOOP('',(#321939,#321940,#321941,#321942,#321943,#321944)); #62017=EDGE_LOOP('',(#321945,#321946,#321947,#321948,#321949,#321950)); #62018=EDGE_LOOP('',(#321951,#321952,#321953,#321954)); #62019=EDGE_LOOP('',(#321955,#321956,#321957,#321958)); #62020=EDGE_LOOP('',(#321959,#321960,#321961,#321962)); #62021=EDGE_LOOP('',(#321963,#321964,#321965,#321966)); #62022=EDGE_LOOP('',(#321967,#321968,#321969,#321970)); #62023=EDGE_LOOP('',(#321971,#321972,#321973,#321974)); #62024=EDGE_LOOP('',(#321975,#321976,#321977,#321978,#321979,#321980)); #62025=EDGE_LOOP('',(#321981,#321982,#321983,#321984,#321985,#321986)); #62026=EDGE_LOOP('',(#321987,#321988,#321989,#321990)); #62027=EDGE_LOOP('',(#321991,#321992,#321993,#321994)); #62028=EDGE_LOOP('',(#321995,#321996,#321997,#321998)); #62029=EDGE_LOOP('',(#321999,#322000,#322001,#322002)); #62030=EDGE_LOOP('',(#322003,#322004,#322005,#322006)); #62031=EDGE_LOOP('',(#322007,#322008,#322009,#322010)); #62032=EDGE_LOOP('',(#322011,#322012,#322013,#322014,#322015,#322016)); #62033=EDGE_LOOP('',(#322017,#322018,#322019,#322020,#322021,#322022)); #62034=EDGE_LOOP('',(#322023,#322024,#322025,#322026)); #62035=EDGE_LOOP('',(#322027,#322028,#322029,#322030)); #62036=EDGE_LOOP('',(#322031,#322032,#322033,#322034)); #62037=EDGE_LOOP('',(#322035,#322036,#322037,#322038)); #62038=EDGE_LOOP('',(#322039,#322040,#322041,#322042)); #62039=EDGE_LOOP('',(#322043,#322044,#322045,#322046)); #62040=EDGE_LOOP('',(#322047,#322048,#322049,#322050,#322051,#322052)); #62041=EDGE_LOOP('',(#322053,#322054,#322055,#322056,#322057,#322058)); #62042=EDGE_LOOP('',(#322059,#322060,#322061,#322062)); #62043=EDGE_LOOP('',(#322063,#322064,#322065,#322066)); #62044=EDGE_LOOP('',(#322067,#322068,#322069,#322070)); #62045=EDGE_LOOP('',(#322071,#322072,#322073,#322074)); #62046=EDGE_LOOP('',(#322075,#322076,#322077,#322078)); #62047=EDGE_LOOP('',(#322079,#322080,#322081,#322082)); #62048=EDGE_LOOP('',(#322083,#322084,#322085,#322086,#322087,#322088)); #62049=EDGE_LOOP('',(#322089,#322090,#322091,#322092,#322093,#322094)); #62050=EDGE_LOOP('',(#322095,#322096,#322097,#322098)); #62051=EDGE_LOOP('',(#322099,#322100,#322101,#322102)); #62052=EDGE_LOOP('',(#322103,#322104,#322105,#322106)); #62053=EDGE_LOOP('',(#322107,#322108,#322109,#322110)); #62054=EDGE_LOOP('',(#322111,#322112,#322113,#322114)); #62055=EDGE_LOOP('',(#322115,#322116,#322117,#322118)); #62056=EDGE_LOOP('',(#322119,#322120,#322121,#322122,#322123,#322124)); #62057=EDGE_LOOP('',(#322125,#322126,#322127,#322128,#322129,#322130)); #62058=EDGE_LOOP('',(#322131,#322132,#322133,#322134)); #62059=EDGE_LOOP('',(#322135,#322136,#322137,#322138)); #62060=EDGE_LOOP('',(#322139,#322140,#322141,#322142)); #62061=EDGE_LOOP('',(#322143,#322144,#322145,#322146)); #62062=EDGE_LOOP('',(#322147,#322148,#322149,#322150)); #62063=EDGE_LOOP('',(#322151,#322152,#322153,#322154)); #62064=EDGE_LOOP('',(#322155,#322156,#322157,#322158,#322159,#322160)); #62065=EDGE_LOOP('',(#322161,#322162,#322163,#322164,#322165,#322166)); #62066=EDGE_LOOP('',(#322167,#322168,#322169,#322170)); #62067=EDGE_LOOP('',(#322171,#322172,#322173,#322174)); #62068=EDGE_LOOP('',(#322175,#322176,#322177,#322178)); #62069=EDGE_LOOP('',(#322179,#322180,#322181,#322182)); #62070=EDGE_LOOP('',(#322183,#322184,#322185,#322186)); #62071=EDGE_LOOP('',(#322187,#322188,#322189,#322190)); #62072=EDGE_LOOP('',(#322191,#322192,#322193,#322194,#322195,#322196)); #62073=EDGE_LOOP('',(#322197,#322198,#322199,#322200,#322201,#322202)); #62074=EDGE_LOOP('',(#322203,#322204,#322205,#322206)); #62075=EDGE_LOOP('',(#322207,#322208,#322209,#322210)); #62076=EDGE_LOOP('',(#322211,#322212,#322213,#322214)); #62077=EDGE_LOOP('',(#322215,#322216,#322217,#322218)); #62078=EDGE_LOOP('',(#322219,#322220,#322221,#322222)); #62079=EDGE_LOOP('',(#322223,#322224,#322225,#322226)); #62080=EDGE_LOOP('',(#322227,#322228,#322229,#322230,#322231,#322232)); #62081=EDGE_LOOP('',(#322233,#322234,#322235,#322236,#322237,#322238)); #62082=EDGE_LOOP('',(#322239,#322240,#322241,#322242)); #62083=EDGE_LOOP('',(#322243,#322244,#322245,#322246)); #62084=EDGE_LOOP('',(#322247,#322248,#322249,#322250)); #62085=EDGE_LOOP('',(#322251,#322252,#322253,#322254)); #62086=EDGE_LOOP('',(#322255,#322256,#322257,#322258)); #62087=EDGE_LOOP('',(#322259,#322260,#322261,#322262)); #62088=EDGE_LOOP('',(#322263,#322264,#322265,#322266,#322267,#322268)); #62089=EDGE_LOOP('',(#322269,#322270,#322271,#322272,#322273,#322274)); #62090=EDGE_LOOP('',(#322275,#322276,#322277,#322278)); #62091=EDGE_LOOP('',(#322279,#322280,#322281,#322282)); #62092=EDGE_LOOP('',(#322283,#322284,#322285,#322286)); #62093=EDGE_LOOP('',(#322287,#322288,#322289,#322290)); #62094=EDGE_LOOP('',(#322291,#322292,#322293,#322294)); #62095=EDGE_LOOP('',(#322295,#322296,#322297,#322298)); #62096=EDGE_LOOP('',(#322299,#322300,#322301,#322302,#322303,#322304)); #62097=EDGE_LOOP('',(#322305,#322306,#322307,#322308,#322309,#322310)); #62098=EDGE_LOOP('',(#322311,#322312,#322313,#322314)); #62099=EDGE_LOOP('',(#322315,#322316,#322317,#322318)); #62100=EDGE_LOOP('',(#322319,#322320,#322321,#322322)); #62101=EDGE_LOOP('',(#322323,#322324,#322325,#322326)); #62102=EDGE_LOOP('',(#322327,#322328,#322329,#322330)); #62103=EDGE_LOOP('',(#322331,#322332,#322333,#322334)); #62104=EDGE_LOOP('',(#322335,#322336,#322337,#322338,#322339,#322340)); #62105=EDGE_LOOP('',(#322341,#322342,#322343,#322344,#322345,#322346)); #62106=EDGE_LOOP('',(#322347,#322348,#322349,#322350)); #62107=EDGE_LOOP('',(#322351,#322352,#322353,#322354)); #62108=EDGE_LOOP('',(#322355,#322356,#322357,#322358)); #62109=EDGE_LOOP('',(#322359,#322360,#322361,#322362)); #62110=EDGE_LOOP('',(#322363,#322364,#322365,#322366)); #62111=EDGE_LOOP('',(#322367,#322368,#322369,#322370)); #62112=EDGE_LOOP('',(#322371,#322372,#322373,#322374,#322375,#322376)); #62113=EDGE_LOOP('',(#322377,#322378,#322379,#322380,#322381,#322382)); #62114=EDGE_LOOP('',(#322383,#322384,#322385,#322386)); #62115=EDGE_LOOP('',(#322387,#322388,#322389,#322390)); #62116=EDGE_LOOP('',(#322391,#322392,#322393,#322394)); #62117=EDGE_LOOP('',(#322395,#322396,#322397,#322398)); #62118=EDGE_LOOP('',(#322399,#322400,#322401,#322402)); #62119=EDGE_LOOP('',(#322403,#322404,#322405,#322406)); #62120=EDGE_LOOP('',(#322407,#322408,#322409,#322410,#322411,#322412)); #62121=EDGE_LOOP('',(#322413,#322414,#322415,#322416,#322417,#322418)); #62122=EDGE_LOOP('',(#322419,#322420,#322421,#322422)); #62123=EDGE_LOOP('',(#322423,#322424,#322425,#322426)); #62124=EDGE_LOOP('',(#322427,#322428,#322429,#322430)); #62125=EDGE_LOOP('',(#322431,#322432,#322433,#322434)); #62126=EDGE_LOOP('',(#322435,#322436,#322437,#322438)); #62127=EDGE_LOOP('',(#322439,#322440,#322441,#322442)); #62128=EDGE_LOOP('',(#322443,#322444,#322445,#322446,#322447,#322448)); #62129=EDGE_LOOP('',(#322449,#322450,#322451,#322452,#322453,#322454)); #62130=EDGE_LOOP('',(#322455,#322456,#322457,#322458)); #62131=EDGE_LOOP('',(#322459,#322460,#322461,#322462)); #62132=EDGE_LOOP('',(#322463,#322464,#322465,#322466)); #62133=EDGE_LOOP('',(#322467,#322468,#322469,#322470)); #62134=EDGE_LOOP('',(#322471,#322472,#322473,#322474)); #62135=EDGE_LOOP('',(#322475,#322476,#322477,#322478)); #62136=EDGE_LOOP('',(#322479,#322480,#322481,#322482,#322483,#322484)); #62137=EDGE_LOOP('',(#322485,#322486,#322487,#322488,#322489,#322490)); #62138=EDGE_LOOP('',(#322491,#322492,#322493,#322494)); #62139=EDGE_LOOP('',(#322495,#322496,#322497,#322498)); #62140=EDGE_LOOP('',(#322499,#322500,#322501,#322502)); #62141=EDGE_LOOP('',(#322503,#322504,#322505,#322506)); #62142=EDGE_LOOP('',(#322507,#322508,#322509,#322510)); #62143=EDGE_LOOP('',(#322511,#322512,#322513,#322514)); #62144=EDGE_LOOP('',(#322515,#322516,#322517,#322518,#322519,#322520)); #62145=EDGE_LOOP('',(#322521,#322522,#322523,#322524,#322525,#322526)); #62146=EDGE_LOOP('',(#322527,#322528,#322529,#322530)); #62147=EDGE_LOOP('',(#322531,#322532,#322533,#322534)); #62148=EDGE_LOOP('',(#322535,#322536,#322537,#322538)); #62149=EDGE_LOOP('',(#322539,#322540,#322541,#322542)); #62150=EDGE_LOOP('',(#322543,#322544,#322545,#322546)); #62151=EDGE_LOOP('',(#322547,#322548,#322549,#322550)); #62152=EDGE_LOOP('',(#322551,#322552,#322553,#322554,#322555,#322556)); #62153=EDGE_LOOP('',(#322557,#322558,#322559,#322560,#322561,#322562)); #62154=EDGE_LOOP('',(#322563,#322564,#322565,#322566)); #62155=EDGE_LOOP('',(#322567,#322568,#322569,#322570)); #62156=EDGE_LOOP('',(#322571,#322572,#322573,#322574)); #62157=EDGE_LOOP('',(#322575,#322576,#322577,#322578)); #62158=EDGE_LOOP('',(#322579,#322580,#322581,#322582)); #62159=EDGE_LOOP('',(#322583,#322584,#322585,#322586)); #62160=EDGE_LOOP('',(#322587,#322588,#322589,#322590,#322591,#322592)); #62161=EDGE_LOOP('',(#322593,#322594,#322595,#322596,#322597,#322598)); #62162=EDGE_LOOP('',(#322599,#322600,#322601,#322602)); #62163=EDGE_LOOP('',(#322603,#322604,#322605,#322606)); #62164=EDGE_LOOP('',(#322607,#322608,#322609,#322610)); #62165=EDGE_LOOP('',(#322611,#322612,#322613,#322614)); #62166=EDGE_LOOP('',(#322615,#322616,#322617,#322618)); #62167=EDGE_LOOP('',(#322619,#322620,#322621,#322622)); #62168=EDGE_LOOP('',(#322623,#322624,#322625,#322626,#322627,#322628)); #62169=EDGE_LOOP('',(#322629,#322630,#322631,#322632,#322633,#322634)); #62170=EDGE_LOOP('',(#322635,#322636,#322637,#322638)); #62171=EDGE_LOOP('',(#322639,#322640,#322641,#322642)); #62172=EDGE_LOOP('',(#322643,#322644,#322645,#322646)); #62173=EDGE_LOOP('',(#322647,#322648,#322649,#322650)); #62174=EDGE_LOOP('',(#322651,#322652,#322653,#322654)); #62175=EDGE_LOOP('',(#322655,#322656,#322657,#322658)); #62176=EDGE_LOOP('',(#322659,#322660,#322661,#322662,#322663,#322664)); #62177=EDGE_LOOP('',(#322665,#322666,#322667,#322668,#322669,#322670)); #62178=EDGE_LOOP('',(#322671,#322672,#322673,#322674)); #62179=EDGE_LOOP('',(#322675,#322676,#322677,#322678)); #62180=EDGE_LOOP('',(#322679,#322680,#322681,#322682)); #62181=EDGE_LOOP('',(#322683,#322684,#322685,#322686)); #62182=EDGE_LOOP('',(#322687,#322688,#322689,#322690)); #62183=EDGE_LOOP('',(#322691,#322692,#322693,#322694)); #62184=EDGE_LOOP('',(#322695,#322696,#322697,#322698,#322699,#322700)); #62185=EDGE_LOOP('',(#322701,#322702,#322703,#322704,#322705,#322706)); #62186=EDGE_LOOP('',(#322707,#322708,#322709,#322710)); #62187=EDGE_LOOP('',(#322711,#322712,#322713,#322714)); #62188=EDGE_LOOP('',(#322715,#322716,#322717,#322718)); #62189=EDGE_LOOP('',(#322719,#322720,#322721,#322722)); #62190=EDGE_LOOP('',(#322723,#322724,#322725,#322726)); #62191=EDGE_LOOP('',(#322727,#322728,#322729,#322730)); #62192=EDGE_LOOP('',(#322731,#322732,#322733,#322734,#322735,#322736)); #62193=EDGE_LOOP('',(#322737,#322738,#322739,#322740,#322741,#322742)); #62194=EDGE_LOOP('',(#322743,#322744,#322745,#322746)); #62195=EDGE_LOOP('',(#322747,#322748,#322749,#322750)); #62196=EDGE_LOOP('',(#322751,#322752,#322753,#322754)); #62197=EDGE_LOOP('',(#322755,#322756,#322757,#322758)); #62198=EDGE_LOOP('',(#322759,#322760,#322761,#322762)); #62199=EDGE_LOOP('',(#322763,#322764,#322765,#322766)); #62200=EDGE_LOOP('',(#322767,#322768,#322769,#322770,#322771,#322772)); #62201=EDGE_LOOP('',(#322773,#322774,#322775,#322776,#322777,#322778)); #62202=EDGE_LOOP('',(#322779,#322780,#322781,#322782)); #62203=EDGE_LOOP('',(#322783,#322784,#322785,#322786)); #62204=EDGE_LOOP('',(#322787,#322788,#322789,#322790)); #62205=EDGE_LOOP('',(#322791,#322792,#322793,#322794)); #62206=EDGE_LOOP('',(#322795,#322796,#322797,#322798)); #62207=EDGE_LOOP('',(#322799,#322800,#322801,#322802)); #62208=EDGE_LOOP('',(#322803,#322804,#322805,#322806,#322807,#322808)); #62209=EDGE_LOOP('',(#322809,#322810,#322811,#322812,#322813,#322814)); #62210=EDGE_LOOP('',(#322815,#322816,#322817,#322818)); #62211=EDGE_LOOP('',(#322819,#322820,#322821,#322822)); #62212=EDGE_LOOP('',(#322823,#322824,#322825,#322826)); #62213=EDGE_LOOP('',(#322827,#322828,#322829,#322830)); #62214=EDGE_LOOP('',(#322831,#322832,#322833,#322834)); #62215=EDGE_LOOP('',(#322835,#322836,#322837,#322838)); #62216=EDGE_LOOP('',(#322839,#322840,#322841,#322842,#322843,#322844)); #62217=EDGE_LOOP('',(#322845,#322846,#322847,#322848,#322849,#322850)); #62218=EDGE_LOOP('',(#322851,#322852,#322853,#322854)); #62219=EDGE_LOOP('',(#322855,#322856,#322857,#322858)); #62220=EDGE_LOOP('',(#322859,#322860,#322861,#322862)); #62221=EDGE_LOOP('',(#322863,#322864,#322865,#322866)); #62222=EDGE_LOOP('',(#322867,#322868,#322869,#322870)); #62223=EDGE_LOOP('',(#322871,#322872,#322873,#322874)); #62224=EDGE_LOOP('',(#322875,#322876,#322877,#322878,#322879,#322880)); #62225=EDGE_LOOP('',(#322881,#322882,#322883,#322884,#322885,#322886)); #62226=EDGE_LOOP('',(#322887,#322888,#322889,#322890)); #62227=EDGE_LOOP('',(#322891,#322892,#322893,#322894)); #62228=EDGE_LOOP('',(#322895,#322896,#322897,#322898)); #62229=EDGE_LOOP('',(#322899,#322900,#322901,#322902)); #62230=EDGE_LOOP('',(#322903,#322904,#322905,#322906)); #62231=EDGE_LOOP('',(#322907,#322908,#322909,#322910)); #62232=EDGE_LOOP('',(#322911,#322912,#322913,#322914,#322915,#322916)); #62233=EDGE_LOOP('',(#322917,#322918,#322919,#322920,#322921,#322922)); #62234=EDGE_LOOP('',(#322923,#322924,#322925,#322926)); #62235=EDGE_LOOP('',(#322927,#322928,#322929,#322930)); #62236=EDGE_LOOP('',(#322931,#322932,#322933,#322934)); #62237=EDGE_LOOP('',(#322935,#322936,#322937,#322938)); #62238=EDGE_LOOP('',(#322939,#322940,#322941,#322942)); #62239=EDGE_LOOP('',(#322943,#322944,#322945,#322946)); #62240=EDGE_LOOP('',(#322947,#322948,#322949,#322950,#322951,#322952)); #62241=EDGE_LOOP('',(#322953,#322954,#322955,#322956,#322957,#322958)); #62242=EDGE_LOOP('',(#322959,#322960,#322961,#322962)); #62243=EDGE_LOOP('',(#322963,#322964,#322965,#322966)); #62244=EDGE_LOOP('',(#322967,#322968,#322969,#322970)); #62245=EDGE_LOOP('',(#322971,#322972,#322973,#322974)); #62246=EDGE_LOOP('',(#322975,#322976,#322977,#322978)); #62247=EDGE_LOOP('',(#322979,#322980,#322981,#322982)); #62248=EDGE_LOOP('',(#322983,#322984,#322985,#322986,#322987,#322988)); #62249=EDGE_LOOP('',(#322989,#322990,#322991,#322992,#322993,#322994)); #62250=EDGE_LOOP('',(#322995,#322996,#322997,#322998)); #62251=EDGE_LOOP('',(#322999,#323000,#323001,#323002)); #62252=EDGE_LOOP('',(#323003,#323004,#323005,#323006)); #62253=EDGE_LOOP('',(#323007,#323008,#323009,#323010)); #62254=EDGE_LOOP('',(#323011,#323012,#323013,#323014)); #62255=EDGE_LOOP('',(#323015,#323016,#323017,#323018)); #62256=EDGE_LOOP('',(#323019,#323020,#323021,#323022,#323023,#323024)); #62257=EDGE_LOOP('',(#323025,#323026,#323027,#323028,#323029,#323030)); #62258=EDGE_LOOP('',(#323031,#323032,#323033,#323034)); #62259=EDGE_LOOP('',(#323035,#323036,#323037,#323038)); #62260=EDGE_LOOP('',(#323039,#323040,#323041,#323042)); #62261=EDGE_LOOP('',(#323043,#323044,#323045,#323046)); #62262=EDGE_LOOP('',(#323047,#323048,#323049,#323050)); #62263=EDGE_LOOP('',(#323051,#323052,#323053,#323054)); #62264=EDGE_LOOP('',(#323055,#323056,#323057,#323058,#323059,#323060)); #62265=EDGE_LOOP('',(#323061,#323062,#323063,#323064,#323065,#323066)); #62266=EDGE_LOOP('',(#323067,#323068,#323069,#323070)); #62267=EDGE_LOOP('',(#323071,#323072,#323073,#323074)); #62268=EDGE_LOOP('',(#323075,#323076,#323077,#323078)); #62269=EDGE_LOOP('',(#323079,#323080,#323081,#323082)); #62270=EDGE_LOOP('',(#323083,#323084,#323085,#323086)); #62271=EDGE_LOOP('',(#323087,#323088,#323089,#323090)); #62272=EDGE_LOOP('',(#323091,#323092,#323093)); #62273=EDGE_LOOP('',(#323094,#323095,#323096)); #62274=EDGE_LOOP('',(#323097,#323098,#323099)); #62275=EDGE_LOOP('',(#323100,#323101,#323102)); #62276=EDGE_LOOP('',(#323103,#323104,#323105)); #62277=EDGE_LOOP('',(#323106,#323107,#323108,#323109)); #62278=EDGE_LOOP('',(#323110,#323111,#323112)); #62279=EDGE_LOOP('',(#323113,#323114,#323115)); #62280=EDGE_LOOP('',(#323116,#323117,#323118,#323119)); #62281=EDGE_LOOP('',(#323120,#323121,#323122,#323123)); #62282=EDGE_LOOP('',(#323124,#323125,#323126)); #62283=EDGE_LOOP('',(#323127,#323128,#323129,#323130)); #62284=EDGE_LOOP('',(#323131,#323132,#323133,#323134)); #62285=EDGE_LOOP('',(#323135,#323136,#323137,#323138)); #62286=EDGE_LOOP('',(#323139,#323140,#323141,#323142)); #62287=EDGE_LOOP('',(#323143,#323144,#323145,#323146)); #62288=EDGE_LOOP('',(#323147,#323148,#323149,#323150)); #62289=EDGE_LOOP('',(#323151,#323152,#323153,#323154)); #62290=EDGE_LOOP('',(#323155,#323156,#323157,#323158)); #62291=EDGE_LOOP('',(#323159,#323160,#323161,#323162)); #62292=EDGE_LOOP('',(#323163,#323164,#323165,#323166)); #62293=EDGE_LOOP('',(#323167,#323168,#323169,#323170)); #62294=EDGE_LOOP('',(#323171,#323172,#323173,#323174)); #62295=EDGE_LOOP('',(#323175,#323176,#323177,#323178)); #62296=EDGE_LOOP('',(#323179,#323180,#323181,#323182)); #62297=EDGE_LOOP('',(#323183,#323184,#323185,#323186)); #62298=EDGE_LOOP('',(#323187,#323188,#323189)); #62299=EDGE_LOOP('',(#323190,#323191,#323192)); #62300=EDGE_LOOP('',(#323193,#323194,#323195)); #62301=EDGE_LOOP('',(#323196,#323197,#323198)); #62302=EDGE_LOOP('',(#323199,#323200,#323201)); #62303=EDGE_LOOP('',(#323202,#323203,#323204,#323205)); #62304=EDGE_LOOP('',(#323206,#323207,#323208)); #62305=EDGE_LOOP('',(#323209,#323210,#323211)); #62306=EDGE_LOOP('',(#323212,#323213,#323214,#323215)); #62307=EDGE_LOOP('',(#323216,#323217,#323218,#323219)); #62308=EDGE_LOOP('',(#323220,#323221,#323222)); #62309=EDGE_LOOP('',(#323223,#323224,#323225,#323226)); #62310=EDGE_LOOP('',(#323227,#323228,#323229,#323230)); #62311=EDGE_LOOP('',(#323231,#323232,#323233,#323234)); #62312=EDGE_LOOP('',(#323235,#323236,#323237,#323238)); #62313=EDGE_LOOP('',(#323239,#323240,#323241,#323242)); #62314=EDGE_LOOP('',(#323243,#323244,#323245,#323246)); #62315=EDGE_LOOP('',(#323247,#323248,#323249,#323250)); #62316=EDGE_LOOP('',(#323251,#323252,#323253,#323254)); #62317=EDGE_LOOP('',(#323255,#323256,#323257,#323258)); #62318=EDGE_LOOP('',(#323259,#323260,#323261,#323262)); #62319=EDGE_LOOP('',(#323263,#323264,#323265,#323266)); #62320=EDGE_LOOP('',(#323267,#323268,#323269,#323270)); #62321=EDGE_LOOP('',(#323271,#323272,#323273,#323274)); #62322=EDGE_LOOP('',(#323275,#323276,#323277,#323278)); #62323=EDGE_LOOP('',(#323279,#323280,#323281,#323282)); #62324=EDGE_LOOP('',(#323283,#323284,#323285,#323286)); #62325=EDGE_LOOP('',(#323287,#323288,#323289,#323290)); #62326=EDGE_LOOP('',(#323291,#323292,#323293,#323294)); #62327=EDGE_LOOP('',(#323295,#323296,#323297,#323298)); #62328=EDGE_LOOP('',(#323299,#323300,#323301,#323302)); #62329=EDGE_LOOP('',(#323303,#323304,#323305,#323306)); #62330=EDGE_LOOP('',(#323307,#323308,#323309,#323310)); #62331=EDGE_LOOP('',(#323311,#323312,#323313,#323314)); #62332=EDGE_LOOP('',(#323315,#323316,#323317,#323318)); #62333=EDGE_LOOP('',(#323319,#323320,#323321,#323322)); #62334=EDGE_LOOP('',(#323323,#323324,#323325,#323326)); #62335=EDGE_LOOP('',(#323327,#323328,#323329,#323330)); #62336=EDGE_LOOP('',(#323331,#323332,#323333,#323334)); #62337=EDGE_LOOP('',(#323335,#323336,#323337,#323338)); #62338=EDGE_LOOP('',(#323339,#323340,#323341,#323342)); #62339=EDGE_LOOP('',(#323343,#323344,#323345,#323346)); #62340=EDGE_LOOP('',(#323347,#323348,#323349,#323350)); #62341=EDGE_LOOP('',(#323351,#323352,#323353,#323354)); #62342=EDGE_LOOP('',(#323355,#323356,#323357,#323358)); #62343=EDGE_LOOP('',(#323359,#323360,#323361,#323362)); #62344=EDGE_LOOP('',(#323363,#323364,#323365,#323366)); #62345=EDGE_LOOP('',(#323367,#323368,#323369,#323370)); #62346=EDGE_LOOP('',(#323371,#323372,#323373,#323374)); #62347=EDGE_LOOP('',(#323375,#323376,#323377,#323378)); #62348=EDGE_LOOP('',(#323379,#323380,#323381,#323382)); #62349=EDGE_LOOP('',(#323383,#323384,#323385,#323386)); #62350=EDGE_LOOP('',(#323387,#323388,#323389,#323390)); #62351=EDGE_LOOP('',(#323391,#323392,#323393,#323394)); #62352=EDGE_LOOP('',(#323395,#323396,#323397,#323398)); #62353=EDGE_LOOP('',(#323399,#323400,#323401,#323402)); #62354=EDGE_LOOP('',(#323403,#323404,#323405,#323406)); #62355=EDGE_LOOP('',(#323407,#323408,#323409,#323410)); #62356=EDGE_LOOP('',(#323411,#323412,#323413,#323414)); #62357=EDGE_LOOP('',(#323415,#323416,#323417,#323418)); #62358=EDGE_LOOP('',(#323419,#323420,#323421,#323422)); #62359=EDGE_LOOP('',(#323423,#323424,#323425,#323426)); #62360=EDGE_LOOP('',(#323427,#323428,#323429,#323430)); #62361=EDGE_LOOP('',(#323431,#323432,#323433,#323434)); #62362=EDGE_LOOP('',(#323435,#323436,#323437,#323438)); #62363=EDGE_LOOP('',(#323439,#323440,#323441,#323442)); #62364=EDGE_LOOP('',(#323443,#323444,#323445,#323446)); #62365=EDGE_LOOP('',(#323447,#323448,#323449,#323450)); #62366=EDGE_LOOP('',(#323451,#323452,#323453,#323454)); #62367=EDGE_LOOP('',(#323455,#323456,#323457,#323458)); #62368=EDGE_LOOP('',(#323459,#323460,#323461,#323462)); #62369=EDGE_LOOP('',(#323463,#323464,#323465,#323466)); #62370=EDGE_LOOP('',(#323467,#323468,#323469,#323470)); #62371=EDGE_LOOP('',(#323471)); #62372=EDGE_LOOP('',(#323472,#323473,#323474,#323475)); #62373=EDGE_LOOP('',(#323476,#323477,#323478,#323479)); #62374=EDGE_LOOP('',(#323480,#323481,#323482,#323483)); #62375=EDGE_LOOP('',(#323484,#323485,#323486,#323487)); #62376=EDGE_LOOP('',(#323488,#323489,#323490,#323491)); #62377=EDGE_LOOP('',(#323492,#323493,#323494,#323495)); #62378=EDGE_LOOP('',(#323496,#323497,#323498,#323499)); #62379=EDGE_LOOP('',(#323500)); #62380=EDGE_LOOP('',(#323501,#323502,#323503,#323504)); #62381=EDGE_LOOP('',(#323505,#323506,#323507,#323508)); #62382=EDGE_LOOP('',(#323509,#323510,#323511,#323512)); #62383=EDGE_LOOP('',(#323513,#323514,#323515,#323516)); #62384=EDGE_LOOP('',(#323517,#323518,#323519,#323520)); #62385=EDGE_LOOP('',(#323521,#323522,#323523,#323524)); #62386=EDGE_LOOP('',(#323525,#323526,#323527,#323528)); #62387=EDGE_LOOP('',(#323529,#323530,#323531,#323532,#323533,#323534,#323535, #323536,#323537,#323538,#323539,#323540)); #62388=EDGE_LOOP('',(#323541,#323542,#323543,#323544)); #62389=EDGE_LOOP('',(#323545,#323546,#323547,#323548)); #62390=EDGE_LOOP('',(#323549,#323550,#323551,#323552)); #62391=EDGE_LOOP('',(#323553,#323554,#323555,#323556)); #62392=EDGE_LOOP('',(#323557,#323558,#323559,#323560)); #62393=EDGE_LOOP('',(#323561,#323562,#323563,#323564)); #62394=EDGE_LOOP('',(#323565,#323566,#323567,#323568)); #62395=EDGE_LOOP('',(#323569,#323570,#323571,#323572)); #62396=EDGE_LOOP('',(#323573,#323574,#323575,#323576)); #62397=EDGE_LOOP('',(#323577,#323578,#323579,#323580)); #62398=EDGE_LOOP('',(#323581,#323582,#323583,#323584)); #62399=EDGE_LOOP('',(#323585,#323586,#323587,#323588)); #62400=EDGE_LOOP('',(#323589,#323590,#323591,#323592,#323593,#323594,#323595, #323596,#323597,#323598,#323599,#323600)); #62401=EDGE_LOOP('',(#323601,#323602,#323603,#323604,#323605,#323606,#323607, #323608,#323609,#323610,#323611,#323612)); #62402=EDGE_LOOP('',(#323613,#323614,#323615,#323616)); #62403=EDGE_LOOP('',(#323617,#323618,#323619,#323620)); #62404=EDGE_LOOP('',(#323621,#323622,#323623,#323624)); #62405=EDGE_LOOP('',(#323625,#323626,#323627,#323628)); #62406=EDGE_LOOP('',(#323629,#323630,#323631,#323632)); #62407=EDGE_LOOP('',(#323633,#323634,#323635,#323636)); #62408=EDGE_LOOP('',(#323637,#323638,#323639,#323640)); #62409=EDGE_LOOP('',(#323641,#323642,#323643,#323644)); #62410=EDGE_LOOP('',(#323645,#323646,#323647,#323648)); #62411=EDGE_LOOP('',(#323649,#323650,#323651,#323652)); #62412=EDGE_LOOP('',(#323653,#323654,#323655,#323656)); #62413=EDGE_LOOP('',(#323657,#323658,#323659,#323660)); #62414=EDGE_LOOP('',(#323661,#323662,#323663,#323664,#323665,#323666,#323667, #323668,#323669,#323670,#323671,#323672)); #62415=EDGE_LOOP('',(#323673,#323674,#323675,#323676,#323677,#323678,#323679, #323680,#323681,#323682,#323683,#323684)); #62416=EDGE_LOOP('',(#323685,#323686,#323687,#323688)); #62417=EDGE_LOOP('',(#323689,#323690,#323691,#323692)); #62418=EDGE_LOOP('',(#323693,#323694,#323695,#323696)); #62419=EDGE_LOOP('',(#323697,#323698,#323699,#323700)); #62420=EDGE_LOOP('',(#323701,#323702,#323703,#323704)); #62421=EDGE_LOOP('',(#323705,#323706,#323707,#323708)); #62422=EDGE_LOOP('',(#323709,#323710,#323711,#323712)); #62423=EDGE_LOOP('',(#323713,#323714,#323715,#323716)); #62424=EDGE_LOOP('',(#323717,#323718,#323719,#323720)); #62425=EDGE_LOOP('',(#323721,#323722,#323723,#323724)); #62426=EDGE_LOOP('',(#323725,#323726,#323727,#323728)); #62427=EDGE_LOOP('',(#323729,#323730,#323731,#323732)); #62428=EDGE_LOOP('',(#323733,#323734,#323735,#323736,#323737,#323738,#323739, #323740,#323741,#323742,#323743,#323744)); #62429=EDGE_LOOP('',(#323745,#323746,#323747,#323748,#323749,#323750,#323751, #323752,#323753,#323754,#323755,#323756)); #62430=EDGE_LOOP('',(#323757,#323758,#323759,#323760)); #62431=EDGE_LOOP('',(#323761,#323762,#323763,#323764)); #62432=EDGE_LOOP('',(#323765,#323766,#323767,#323768)); #62433=EDGE_LOOP('',(#323769,#323770,#323771,#323772)); #62434=EDGE_LOOP('',(#323773,#323774,#323775,#323776)); #62435=EDGE_LOOP('',(#323777,#323778,#323779,#323780)); #62436=EDGE_LOOP('',(#323781,#323782,#323783,#323784)); #62437=EDGE_LOOP('',(#323785,#323786,#323787,#323788)); #62438=EDGE_LOOP('',(#323789,#323790,#323791,#323792)); #62439=EDGE_LOOP('',(#323793,#323794,#323795,#323796)); #62440=EDGE_LOOP('',(#323797,#323798,#323799,#323800)); #62441=EDGE_LOOP('',(#323801,#323802,#323803,#323804)); #62442=EDGE_LOOP('',(#323805,#323806,#323807,#323808,#323809,#323810,#323811, #323812,#323813,#323814,#323815,#323816)); #62443=EDGE_LOOP('',(#323817,#323818,#323819,#323820,#323821,#323822,#323823, #323824,#323825,#323826,#323827,#323828)); #62444=EDGE_LOOP('',(#323829,#323830,#323831,#323832)); #62445=EDGE_LOOP('',(#323833,#323834,#323835,#323836)); #62446=EDGE_LOOP('',(#323837,#323838,#323839,#323840)); #62447=EDGE_LOOP('',(#323841,#323842,#323843,#323844)); #62448=EDGE_LOOP('',(#323845,#323846,#323847,#323848)); #62449=EDGE_LOOP('',(#323849,#323850,#323851,#323852)); #62450=EDGE_LOOP('',(#323853,#323854,#323855,#323856)); #62451=EDGE_LOOP('',(#323857,#323858,#323859,#323860)); #62452=EDGE_LOOP('',(#323861,#323862,#323863,#323864)); #62453=EDGE_LOOP('',(#323865,#323866,#323867,#323868)); #62454=EDGE_LOOP('',(#323869,#323870,#323871,#323872)); #62455=EDGE_LOOP('',(#323873,#323874,#323875,#323876)); #62456=EDGE_LOOP('',(#323877,#323878,#323879,#323880,#323881,#323882,#323883, #323884,#323885,#323886,#323887,#323888)); #62457=EDGE_LOOP('',(#323889,#323890,#323891,#323892,#323893,#323894,#323895, #323896,#323897,#323898,#323899,#323900)); #62458=EDGE_LOOP('',(#323901,#323902,#323903,#323904)); #62459=EDGE_LOOP('',(#323905,#323906,#323907,#323908)); #62460=EDGE_LOOP('',(#323909,#323910,#323911,#323912)); #62461=EDGE_LOOP('',(#323913,#323914,#323915,#323916)); #62462=EDGE_LOOP('',(#323917,#323918,#323919,#323920)); #62463=EDGE_LOOP('',(#323921,#323922,#323923,#323924)); #62464=EDGE_LOOP('',(#323925,#323926,#323927,#323928)); #62465=EDGE_LOOP('',(#323929,#323930,#323931,#323932)); #62466=EDGE_LOOP('',(#323933,#323934,#323935,#323936)); #62467=EDGE_LOOP('',(#323937,#323938,#323939,#323940)); #62468=EDGE_LOOP('',(#323941,#323942,#323943,#323944)); #62469=EDGE_LOOP('',(#323945,#323946,#323947,#323948)); #62470=EDGE_LOOP('',(#323949,#323950,#323951,#323952,#323953,#323954,#323955, #323956,#323957,#323958,#323959,#323960)); #62471=EDGE_LOOP('',(#323961,#323962,#323963,#323964,#323965,#323966,#323967, #323968,#323969,#323970,#323971,#323972)); #62472=EDGE_LOOP('',(#323973,#323974,#323975,#323976)); #62473=EDGE_LOOP('',(#323977,#323978,#323979,#323980)); #62474=EDGE_LOOP('',(#323981,#323982,#323983,#323984)); #62475=EDGE_LOOP('',(#323985,#323986,#323987,#323988)); #62476=EDGE_LOOP('',(#323989,#323990,#323991,#323992)); #62477=EDGE_LOOP('',(#323993,#323994,#323995,#323996)); #62478=EDGE_LOOP('',(#323997,#323998,#323999,#324000)); #62479=EDGE_LOOP('',(#324001,#324002,#324003,#324004)); #62480=EDGE_LOOP('',(#324005,#324006,#324007,#324008)); #62481=EDGE_LOOP('',(#324009,#324010,#324011,#324012)); #62482=EDGE_LOOP('',(#324013,#324014,#324015,#324016)); #62483=EDGE_LOOP('',(#324017,#324018,#324019,#324020)); #62484=EDGE_LOOP('',(#324021,#324022,#324023,#324024,#324025,#324026,#324027, #324028,#324029,#324030,#324031,#324032)); #62485=EDGE_LOOP('',(#324033,#324034,#324035,#324036,#324037,#324038,#324039, #324040,#324041,#324042,#324043,#324044)); #62486=EDGE_LOOP('',(#324045,#324046,#324047,#324048)); #62487=EDGE_LOOP('',(#324049,#324050,#324051,#324052)); #62488=EDGE_LOOP('',(#324053,#324054,#324055,#324056)); #62489=EDGE_LOOP('',(#324057,#324058,#324059,#324060)); #62490=EDGE_LOOP('',(#324061,#324062,#324063,#324064)); #62491=EDGE_LOOP('',(#324065,#324066,#324067,#324068)); #62492=EDGE_LOOP('',(#324069,#324070,#324071,#324072)); #62493=EDGE_LOOP('',(#324073,#324074,#324075,#324076)); #62494=EDGE_LOOP('',(#324077,#324078,#324079,#324080)); #62495=EDGE_LOOP('',(#324081,#324082,#324083,#324084)); #62496=EDGE_LOOP('',(#324085,#324086,#324087,#324088)); #62497=EDGE_LOOP('',(#324089,#324090,#324091,#324092)); #62498=EDGE_LOOP('',(#324093,#324094,#324095,#324096,#324097,#324098,#324099, #324100,#324101,#324102,#324103,#324104)); #62499=EDGE_LOOP('',(#324105,#324106,#324107,#324108)); #62500=EDGE_LOOP('',(#324109)); #62501=EDGE_LOOP('',(#324110,#324111,#324112,#324113)); #62502=EDGE_LOOP('',(#324114,#324115,#324116,#324117)); #62503=EDGE_LOOP('',(#324118,#324119,#324120,#324121)); #62504=EDGE_LOOP('',(#324122,#324123,#324124,#324125)); #62505=EDGE_LOOP('',(#324126,#324127,#324128,#324129)); #62506=EDGE_LOOP('',(#324130,#324131,#324132,#324133)); #62507=EDGE_LOOP('',(#324134,#324135,#324136,#324137)); #62508=EDGE_LOOP('',(#324138)); #62509=EDGE_LOOP('',(#324139,#324140,#324141,#324142)); #62510=EDGE_LOOP('',(#324143,#324144,#324145,#324146)); #62511=EDGE_LOOP('',(#324147,#324148,#324149,#324150)); #62512=EDGE_LOOP('',(#324151,#324152,#324153,#324154)); #62513=EDGE_LOOP('',(#324155,#324156,#324157,#324158)); #62514=EDGE_LOOP('',(#324159,#324160,#324161,#324162)); #62515=EDGE_LOOP('',(#324163,#324164,#324165,#324166)); #62516=EDGE_LOOP('',(#324167,#324168,#324169,#324170,#324171,#324172,#324173, #324174,#324175,#324176,#324177,#324178)); #62517=EDGE_LOOP('',(#324179,#324180,#324181,#324182)); #62518=EDGE_LOOP('',(#324183,#324184,#324185,#324186)); #62519=EDGE_LOOP('',(#324187,#324188,#324189,#324190)); #62520=EDGE_LOOP('',(#324191,#324192,#324193,#324194)); #62521=EDGE_LOOP('',(#324195,#324196,#324197,#324198)); #62522=EDGE_LOOP('',(#324199,#324200,#324201,#324202)); #62523=EDGE_LOOP('',(#324203,#324204,#324205,#324206)); #62524=EDGE_LOOP('',(#324207,#324208,#324209,#324210)); #62525=EDGE_LOOP('',(#324211,#324212,#324213,#324214)); #62526=EDGE_LOOP('',(#324215,#324216,#324217,#324218)); #62527=EDGE_LOOP('',(#324219,#324220,#324221,#324222)); #62528=EDGE_LOOP('',(#324223,#324224,#324225,#324226)); #62529=EDGE_LOOP('',(#324227,#324228,#324229,#324230,#324231,#324232,#324233, #324234,#324235,#324236,#324237,#324238)); #62530=EDGE_LOOP('',(#324239,#324240,#324241,#324242,#324243,#324244,#324245, #324246,#324247,#324248,#324249,#324250)); #62531=EDGE_LOOP('',(#324251,#324252,#324253,#324254)); #62532=EDGE_LOOP('',(#324255,#324256,#324257,#324258)); #62533=EDGE_LOOP('',(#324259,#324260,#324261,#324262)); #62534=EDGE_LOOP('',(#324263,#324264,#324265,#324266)); #62535=EDGE_LOOP('',(#324267,#324268,#324269,#324270)); #62536=EDGE_LOOP('',(#324271,#324272,#324273,#324274)); #62537=EDGE_LOOP('',(#324275,#324276,#324277,#324278)); #62538=EDGE_LOOP('',(#324279,#324280,#324281,#324282)); #62539=EDGE_LOOP('',(#324283,#324284,#324285,#324286)); #62540=EDGE_LOOP('',(#324287,#324288,#324289,#324290)); #62541=EDGE_LOOP('',(#324291,#324292,#324293,#324294)); #62542=EDGE_LOOP('',(#324295,#324296,#324297,#324298)); #62543=EDGE_LOOP('',(#324299,#324300,#324301,#324302,#324303,#324304,#324305, #324306,#324307,#324308,#324309,#324310)); #62544=EDGE_LOOP('',(#324311,#324312,#324313,#324314,#324315,#324316,#324317, #324318,#324319,#324320,#324321,#324322)); #62545=EDGE_LOOP('',(#324323,#324324,#324325,#324326)); #62546=EDGE_LOOP('',(#324327,#324328,#324329,#324330)); #62547=EDGE_LOOP('',(#324331,#324332,#324333,#324334)); #62548=EDGE_LOOP('',(#324335,#324336,#324337,#324338)); #62549=EDGE_LOOP('',(#324339,#324340,#324341,#324342)); #62550=EDGE_LOOP('',(#324343,#324344,#324345,#324346)); #62551=EDGE_LOOP('',(#324347,#324348,#324349,#324350)); #62552=EDGE_LOOP('',(#324351,#324352,#324353,#324354)); #62553=EDGE_LOOP('',(#324355,#324356,#324357,#324358)); #62554=EDGE_LOOP('',(#324359,#324360,#324361,#324362)); #62555=EDGE_LOOP('',(#324363,#324364,#324365,#324366)); #62556=EDGE_LOOP('',(#324367,#324368,#324369,#324370)); #62557=EDGE_LOOP('',(#324371,#324372,#324373,#324374,#324375,#324376,#324377, #324378,#324379,#324380,#324381,#324382)); #62558=EDGE_LOOP('',(#324383,#324384,#324385,#324386,#324387,#324388,#324389, #324390,#324391,#324392,#324393,#324394)); #62559=EDGE_LOOP('',(#324395,#324396,#324397,#324398)); #62560=EDGE_LOOP('',(#324399,#324400,#324401,#324402)); #62561=EDGE_LOOP('',(#324403,#324404,#324405,#324406)); #62562=EDGE_LOOP('',(#324407,#324408,#324409,#324410)); #62563=EDGE_LOOP('',(#324411,#324412,#324413,#324414)); #62564=EDGE_LOOP('',(#324415,#324416,#324417,#324418)); #62565=EDGE_LOOP('',(#324419,#324420,#324421,#324422)); #62566=EDGE_LOOP('',(#324423,#324424,#324425,#324426)); #62567=EDGE_LOOP('',(#324427,#324428,#324429,#324430)); #62568=EDGE_LOOP('',(#324431,#324432,#324433,#324434)); #62569=EDGE_LOOP('',(#324435,#324436,#324437,#324438)); #62570=EDGE_LOOP('',(#324439,#324440,#324441,#324442)); #62571=EDGE_LOOP('',(#324443,#324444,#324445,#324446,#324447,#324448,#324449, #324450,#324451,#324452,#324453,#324454)); #62572=EDGE_LOOP('',(#324455,#324456,#324457,#324458,#324459,#324460,#324461, #324462,#324463,#324464,#324465,#324466)); #62573=EDGE_LOOP('',(#324467,#324468,#324469,#324470)); #62574=EDGE_LOOP('',(#324471,#324472,#324473,#324474)); #62575=EDGE_LOOP('',(#324475,#324476,#324477,#324478)); #62576=EDGE_LOOP('',(#324479,#324480,#324481,#324482)); #62577=EDGE_LOOP('',(#324483,#324484,#324485,#324486)); #62578=EDGE_LOOP('',(#324487,#324488,#324489,#324490)); #62579=EDGE_LOOP('',(#324491,#324492,#324493,#324494)); #62580=EDGE_LOOP('',(#324495,#324496,#324497,#324498)); #62581=EDGE_LOOP('',(#324499,#324500,#324501,#324502)); #62582=EDGE_LOOP('',(#324503,#324504,#324505,#324506)); #62583=EDGE_LOOP('',(#324507,#324508,#324509,#324510)); #62584=EDGE_LOOP('',(#324511,#324512,#324513,#324514)); #62585=EDGE_LOOP('',(#324515,#324516,#324517,#324518,#324519,#324520,#324521, #324522,#324523,#324524,#324525,#324526)); #62586=EDGE_LOOP('',(#324527,#324528,#324529)); #62587=EDGE_LOOP('',(#324530,#324531,#324532)); #62588=EDGE_LOOP('',(#324533,#324534,#324535)); #62589=EDGE_LOOP('',(#324536,#324537,#324538)); #62590=EDGE_LOOP('',(#324539,#324540,#324541)); #62591=EDGE_LOOP('',(#324542,#324543,#324544,#324545)); #62592=EDGE_LOOP('',(#324546,#324547,#324548)); #62593=EDGE_LOOP('',(#324549,#324550,#324551)); #62594=EDGE_LOOP('',(#324552,#324553,#324554,#324555)); #62595=EDGE_LOOP('',(#324556,#324557,#324558,#324559)); #62596=EDGE_LOOP('',(#324560,#324561,#324562)); #62597=EDGE_LOOP('',(#324563,#324564,#324565,#324566)); #62598=EDGE_LOOP('',(#324567,#324568,#324569,#324570)); #62599=EDGE_LOOP('',(#324571,#324572,#324573,#324574)); #62600=EDGE_LOOP('',(#324575,#324576,#324577,#324578)); #62601=EDGE_LOOP('',(#324579,#324580,#324581,#324582)); #62602=EDGE_LOOP('',(#324583,#324584,#324585,#324586)); #62603=EDGE_LOOP('',(#324587,#324588,#324589,#324590)); #62604=EDGE_LOOP('',(#324591,#324592,#324593,#324594)); #62605=EDGE_LOOP('',(#324595,#324596,#324597,#324598)); #62606=EDGE_LOOP('',(#324599,#324600,#324601,#324602)); #62607=EDGE_LOOP('',(#324603,#324604,#324605,#324606)); #62608=EDGE_LOOP('',(#324607,#324608,#324609,#324610)); #62609=EDGE_LOOP('',(#324611,#324612,#324613,#324614)); #62610=EDGE_LOOP('',(#324615,#324616,#324617,#324618)); #62611=EDGE_LOOP('',(#324619,#324620,#324621,#324622)); #62612=EDGE_LOOP('',(#324623,#324624,#324625)); #62613=EDGE_LOOP('',(#324626,#324627,#324628)); #62614=EDGE_LOOP('',(#324629,#324630,#324631)); #62615=EDGE_LOOP('',(#324632,#324633,#324634)); #62616=EDGE_LOOP('',(#324635,#324636,#324637)); #62617=EDGE_LOOP('',(#324638,#324639,#324640,#324641)); #62618=EDGE_LOOP('',(#324642,#324643,#324644)); #62619=EDGE_LOOP('',(#324645,#324646,#324647)); #62620=EDGE_LOOP('',(#324648,#324649,#324650,#324651)); #62621=EDGE_LOOP('',(#324652,#324653,#324654,#324655)); #62622=EDGE_LOOP('',(#324656,#324657,#324658)); #62623=EDGE_LOOP('',(#324659,#324660,#324661,#324662)); #62624=EDGE_LOOP('',(#324663,#324664,#324665,#324666)); #62625=EDGE_LOOP('',(#324667,#324668,#324669,#324670)); #62626=EDGE_LOOP('',(#324671,#324672,#324673,#324674)); #62627=EDGE_LOOP('',(#324675,#324676,#324677,#324678)); #62628=EDGE_LOOP('',(#324679,#324680,#324681,#324682)); #62629=EDGE_LOOP('',(#324683,#324684,#324685,#324686)); #62630=EDGE_LOOP('',(#324687,#324688,#324689,#324690)); #62631=EDGE_LOOP('',(#324691,#324692,#324693,#324694)); #62632=EDGE_LOOP('',(#324695,#324696,#324697,#324698)); #62633=EDGE_LOOP('',(#324699,#324700,#324701,#324702)); #62634=EDGE_LOOP('',(#324703,#324704,#324705,#324706)); #62635=EDGE_LOOP('',(#324707,#324708,#324709,#324710)); #62636=EDGE_LOOP('',(#324711,#324712,#324713,#324714)); #62637=EDGE_LOOP('',(#324715,#324716,#324717,#324718)); #62638=EDGE_LOOP('',(#324719,#324720,#324721,#324722)); #62639=EDGE_LOOP('',(#324723,#324724,#324725,#324726)); #62640=EDGE_LOOP('',(#324727,#324728,#324729,#324730)); #62641=EDGE_LOOP('',(#324731,#324732,#324733,#324734)); #62642=EDGE_LOOP('',(#324735,#324736,#324737,#324738)); #62643=EDGE_LOOP('',(#324739,#324740,#324741,#324742)); #62644=EDGE_LOOP('',(#324743,#324744,#324745,#324746)); #62645=EDGE_LOOP('',(#324747,#324748,#324749,#324750)); #62646=EDGE_LOOP('',(#324751,#324752,#324753,#324754)); #62647=EDGE_LOOP('',(#324755,#324756,#324757,#324758)); #62648=EDGE_LOOP('',(#324759,#324760,#324761,#324762)); #62649=EDGE_LOOP('',(#324763,#324764,#324765,#324766)); #62650=EDGE_LOOP('',(#324767,#324768,#324769,#324770)); #62651=EDGE_LOOP('',(#324771,#324772,#324773,#324774)); #62652=EDGE_LOOP('',(#324775,#324776,#324777,#324778)); #62653=EDGE_LOOP('',(#324779,#324780,#324781,#324782)); #62654=EDGE_LOOP('',(#324783,#324784,#324785,#324786)); #62655=EDGE_LOOP('',(#324787,#324788,#324789,#324790)); #62656=EDGE_LOOP('',(#324791,#324792,#324793,#324794)); #62657=EDGE_LOOP('',(#324795,#324796,#324797,#324798)); #62658=EDGE_LOOP('',(#324799,#324800,#324801,#324802,#324803,#324804,#324805, #324806,#324807,#324808,#324809,#324810)); #62659=EDGE_LOOP('',(#324811)); #62660=EDGE_LOOP('',(#324812)); #62661=EDGE_LOOP('',(#324813,#324814,#324815,#324816,#324817,#324818,#324819, #324820,#324821,#324822,#324823,#324824)); #62662=EDGE_LOOP('',(#324825)); #62663=EDGE_LOOP('',(#324826)); #62664=EDGE_LOOP('',(#324827,#324828,#324829,#324830)); #62665=EDGE_LOOP('',(#324831,#324832,#324833,#324834)); #62666=EDGE_LOOP('',(#324835,#324836,#324837,#324838)); #62667=EDGE_LOOP('',(#324839,#324840,#324841,#324842)); #62668=EDGE_LOOP('',(#324843,#324844,#324845,#324846)); #62669=EDGE_LOOP('',(#324847,#324848,#324849,#324850)); #62670=EDGE_LOOP('',(#324851,#324852,#324853,#324854)); #62671=EDGE_LOOP('',(#324855,#324856,#324857,#324858)); #62672=EDGE_LOOP('',(#324859,#324860,#324861,#324862)); #62673=EDGE_LOOP('',(#324863,#324864,#324865,#324866)); #62674=EDGE_LOOP('',(#324867,#324868,#324869,#324870)); #62675=EDGE_LOOP('',(#324871,#324872,#324873,#324874)); #62676=EDGE_LOOP('',(#324875,#324876,#324877,#324878)); #62677=EDGE_LOOP('',(#324879,#324880,#324881,#324882)); #62678=EDGE_LOOP('',(#324883,#324884,#324885,#324886,#324887,#324888,#324889, #324890,#324891,#324892,#324893,#324894)); #62679=EDGE_LOOP('',(#324895)); #62680=EDGE_LOOP('',(#324896)); #62681=EDGE_LOOP('',(#324897,#324898,#324899,#324900,#324901,#324902,#324903, #324904,#324905,#324906,#324907,#324908)); #62682=EDGE_LOOP('',(#324909)); #62683=EDGE_LOOP('',(#324910)); #62684=EDGE_LOOP('',(#324911,#324912,#324913,#324914)); #62685=EDGE_LOOP('',(#324915,#324916,#324917,#324918)); #62686=EDGE_LOOP('',(#324919,#324920,#324921,#324922)); #62687=EDGE_LOOP('',(#324923,#324924,#324925,#324926)); #62688=EDGE_LOOP('',(#324927,#324928,#324929,#324930)); #62689=EDGE_LOOP('',(#324931,#324932,#324933,#324934)); #62690=EDGE_LOOP('',(#324935,#324936,#324937,#324938)); #62691=EDGE_LOOP('',(#324939,#324940,#324941,#324942)); #62692=EDGE_LOOP('',(#324943,#324944,#324945,#324946)); #62693=EDGE_LOOP('',(#324947,#324948,#324949,#324950)); #62694=EDGE_LOOP('',(#324951,#324952,#324953,#324954)); #62695=EDGE_LOOP('',(#324955,#324956,#324957,#324958)); #62696=EDGE_LOOP('',(#324959,#324960,#324961,#324962)); #62697=EDGE_LOOP('',(#324963,#324964,#324965,#324966)); #62698=EDGE_LOOP('',(#324967,#324968,#324969,#324970,#324971,#324972,#324973, #324974,#324975,#324976,#324977,#324978)); #62699=EDGE_LOOP('',(#324979)); #62700=EDGE_LOOP('',(#324980)); #62701=EDGE_LOOP('',(#324981,#324982,#324983,#324984,#324985,#324986,#324987, #324988,#324989,#324990,#324991,#324992)); #62702=EDGE_LOOP('',(#324993)); #62703=EDGE_LOOP('',(#324994)); #62704=EDGE_LOOP('',(#324995,#324996,#324997,#324998)); #62705=EDGE_LOOP('',(#324999,#325000,#325001,#325002)); #62706=EDGE_LOOP('',(#325003,#325004,#325005,#325006)); #62707=EDGE_LOOP('',(#325007,#325008,#325009,#325010)); #62708=EDGE_LOOP('',(#325011,#325012,#325013,#325014)); #62709=EDGE_LOOP('',(#325015,#325016,#325017,#325018)); #62710=EDGE_LOOP('',(#325019,#325020,#325021,#325022)); #62711=EDGE_LOOP('',(#325023,#325024,#325025,#325026)); #62712=EDGE_LOOP('',(#325027)); #62713=EDGE_LOOP('',(#325028,#325029,#325030,#325031,#325032)); #62714=EDGE_LOOP('',(#325033,#325034,#325035,#325036)); #62715=EDGE_LOOP('',(#325037,#325038,#325039,#325040)); #62716=EDGE_LOOP('',(#325041,#325042,#325043,#325044)); #62717=EDGE_LOOP('',(#325045,#325046,#325047,#325048)); #62718=EDGE_LOOP('',(#325049,#325050,#325051,#325052)); #62719=EDGE_LOOP('',(#325053)); #62720=EDGE_LOOP('',(#325054)); #62721=EDGE_LOOP('',(#325055,#325056,#325057,#325058)); #62722=EDGE_LOOP('',(#325059,#325060,#325061,#325062)); #62723=EDGE_LOOP('',(#325063,#325064,#325065,#325066)); #62724=EDGE_LOOP('',(#325067,#325068,#325069,#325070)); #62725=EDGE_LOOP('',(#325071,#325072,#325073,#325074)); #62726=EDGE_LOOP('',(#325075,#325076,#325077,#325078)); #62727=EDGE_LOOP('',(#325079,#325080,#325081,#325082,#325083)); #62728=EDGE_LOOP('',(#325084,#325085,#325086,#325087)); #62729=EDGE_LOOP('',(#325088,#325089,#325090,#325091)); #62730=EDGE_LOOP('',(#325092,#325093,#325094,#325095)); #62731=EDGE_LOOP('',(#325096,#325097,#325098,#325099)); #62732=EDGE_LOOP('',(#325100,#325101,#325102,#325103)); #62733=EDGE_LOOP('',(#325104,#325105,#325106,#325107)); #62734=EDGE_LOOP('',(#325108,#325109,#325110,#325111)); #62735=EDGE_LOOP('',(#325112,#325113,#325114,#325115)); #62736=EDGE_LOOP('',(#325116,#325117,#325118,#325119)); #62737=EDGE_LOOP('',(#325120,#325121,#325122,#325123)); #62738=EDGE_LOOP('',(#325124,#325125,#325126,#325127)); #62739=EDGE_LOOP('',(#325128,#325129,#325130,#325131)); #62740=EDGE_LOOP('',(#325132,#325133,#325134,#325135)); #62741=EDGE_LOOP('',(#325136,#325137,#325138,#325139)); #62742=EDGE_LOOP('',(#325140,#325141,#325142,#325143)); #62743=EDGE_LOOP('',(#325144,#325145,#325146,#325147)); #62744=EDGE_LOOP('',(#325148,#325149,#325150,#325151)); #62745=EDGE_LOOP('',(#325152)); #62746=EDGE_LOOP('',(#325153,#325154,#325155,#325156)); #62747=EDGE_LOOP('',(#325157,#325158,#325159,#325160,#325161,#325162)); #62748=EDGE_LOOP('',(#325163,#325164,#325165,#325166)); #62749=EDGE_LOOP('',(#325167,#325168,#325169,#325170,#325171,#325172)); #62750=EDGE_LOOP('',(#325173,#325174,#325175,#325176,#325177,#325178)); #62751=EDGE_LOOP('',(#325179,#325180,#325181,#325182)); #62752=EDGE_LOOP('',(#325183,#325184,#325185,#325186)); #62753=EDGE_LOOP('',(#325187,#325188,#325189,#325190)); #62754=EDGE_LOOP('',(#325191,#325192,#325193,#325194,#325195,#325196)); #62755=EDGE_LOOP('',(#325197,#325198,#325199)); #62756=EDGE_LOOP('',(#325200,#325201,#325202,#325203,#325204,#325205)); #62757=EDGE_LOOP('',(#325206,#325207,#325208)); #62758=EDGE_LOOP('',(#325209,#325210,#325211)); #62759=EDGE_LOOP('',(#325212,#325213,#325214)); #62760=EDGE_LOOP('',(#325215,#325216,#325217)); #62761=EDGE_LOOP('',(#325218,#325219,#325220)); #62762=EDGE_LOOP('',(#325221,#325222,#325223,#325224)); #62763=EDGE_LOOP('',(#325225,#325226,#325227,#325228,#325229,#325230,#325231, #325232,#325233,#325234,#325235,#325236)); #62764=EDGE_LOOP('',(#325237,#325238,#325239,#325240)); #62765=EDGE_LOOP('',(#325241,#325242,#325243,#325244)); #62766=EDGE_LOOP('',(#325245)); #62767=EDGE_LOOP('',(#325246,#325247,#325248,#325249)); #62768=EDGE_LOOP('',(#325250,#325251,#325252,#325253)); #62769=EDGE_LOOP('',(#325254,#325255,#325256,#325257)); #62770=EDGE_LOOP('',(#325258,#325259,#325260,#325261,#325262,#325263,#325264, #325265,#325266,#325267,#325268,#325269,#325270,#325271)); #62771=EDGE_LOOP('',(#325272,#325273,#325274,#325275)); #62772=EDGE_LOOP('',(#325276,#325277,#325278,#325279)); #62773=EDGE_LOOP('',(#325280,#325281,#325282,#325283,#325284,#325285,#325286, #325287,#325288,#325289,#325290,#325291,#325292,#325293)); #62774=EDGE_LOOP('',(#325294,#325295,#325296,#325297)); #62775=EDGE_LOOP('',(#325298,#325299,#325300,#325301)); #62776=EDGE_LOOP('',(#325302,#325303,#325304,#325305,#325306,#325307,#325308, #325309,#325310,#325311,#325312,#325313,#325314,#325315,#325316,#325317, #325318,#325319,#325320,#325321,#325322,#325323,#325324,#325325,#325326, #325327,#325328,#325329)); #62777=EDGE_LOOP('',(#325330,#325331,#325332,#325333,#325334,#325335)); #62778=EDGE_LOOP('',(#325336,#325337,#325338,#325339)); #62779=EDGE_LOOP('',(#325340,#325341,#325342,#325343,#325344)); #62780=EDGE_LOOP('',(#325345,#325346,#325347,#325348)); #62781=EDGE_LOOP('',(#325349,#325350,#325351,#325352,#325353)); #62782=EDGE_LOOP('',(#325354,#325355,#325356,#325357)); #62783=EDGE_LOOP('',(#325358)); #62784=EDGE_LOOP('',(#325359)); #62785=EDGE_LOOP('',(#325360,#325361,#325362,#325363)); #62786=EDGE_LOOP('',(#325364)); #62787=EDGE_LOOP('',(#325365)); #62788=EDGE_LOOP('',(#325366,#325367,#325368,#325369)); #62789=EDGE_LOOP('',(#325370,#325371,#325372,#325373,#325374,#325375)); #62790=EDGE_LOOP('',(#325376,#325377,#325378,#325379,#325380,#325381,#325382, #325383)); #62791=EDGE_LOOP('',(#325384,#325385)); #62792=EDGE_LOOP('',(#325386,#325387)); #62793=EDGE_LOOP('',(#325388,#325389,#325390,#325391)); #62794=EDGE_LOOP('',(#325392,#325393,#325394,#325395,#325396,#325397)); #62795=EDGE_LOOP('',(#325398,#325399,#325400,#325401)); #62796=EDGE_LOOP('',(#325402,#325403,#325404,#325405,#325406,#325407)); #62797=EDGE_LOOP('',(#325408,#325409)); #62798=EDGE_LOOP('',(#325410,#325411)); #62799=EDGE_LOOP('',(#325412,#325413,#325414,#325415,#325416,#325417,#325418)); #62800=EDGE_LOOP('',(#325419,#325420,#325421,#325422,#325423,#325424)); #62801=EDGE_LOOP('',(#325425,#325426,#325427,#325428)); #62802=EDGE_LOOP('',(#325429,#325430,#325431,#325432)); #62803=EDGE_LOOP('',(#325433,#325434,#325435,#325436,#325437,#325438,#325439, #325440)); #62804=EDGE_LOOP('',(#325441,#325442,#325443,#325444)); #62805=EDGE_LOOP('',(#325445,#325446,#325447,#325448)); #62806=EDGE_LOOP('',(#325449,#325450,#325451,#325452)); #62807=EDGE_LOOP('',(#325453,#325454,#325455,#325456)); #62808=EDGE_LOOP('',(#325457,#325458,#325459,#325460)); #62809=EDGE_LOOP('',(#325461,#325462,#325463,#325464)); #62810=EDGE_LOOP('',(#325465,#325466,#325467,#325468)); #62811=EDGE_LOOP('',(#325469,#325470,#325471,#325472)); #62812=EDGE_LOOP('',(#325473,#325474,#325475,#325476)); #62813=EDGE_LOOP('',(#325477,#325478,#325479,#325480)); #62814=EDGE_LOOP('',(#325481,#325482,#325483,#325484)); #62815=EDGE_LOOP('',(#325485,#325486,#325487,#325488)); #62816=EDGE_LOOP('',(#325489)); #62817=EDGE_LOOP('',(#325490,#325491)); #62818=EDGE_LOOP('',(#325492,#325493)); #62819=EDGE_LOOP('',(#325494)); #62820=EDGE_LOOP('',(#325495,#325496,#325497,#325498)); #62821=EDGE_LOOP('',(#325499,#325500,#325501,#325502)); #62822=EDGE_LOOP('',(#325503,#325504,#325505,#325506)); #62823=EDGE_LOOP('',(#325507,#325508,#325509,#325510)); #62824=EDGE_LOOP('',(#325511,#325512)); #62825=EDGE_LOOP('',(#325513,#325514,#325515,#325516)); #62826=EDGE_LOOP('',(#325517,#325518,#325519,#325520)); #62827=EDGE_LOOP('',(#325521)); #62828=EDGE_LOOP('',(#325522,#325523)); #62829=EDGE_LOOP('',(#325524,#325525)); #62830=EDGE_LOOP('',(#325526)); #62831=EDGE_LOOP('',(#325527,#325528,#325529,#325530)); #62832=EDGE_LOOP('',(#325531,#325532,#325533,#325534)); #62833=EDGE_LOOP('',(#325535,#325536,#325537,#325538)); #62834=EDGE_LOOP('',(#325539,#325540,#325541,#325542)); #62835=EDGE_LOOP('',(#325543,#325544,#325545,#325546)); #62836=EDGE_LOOP('',(#325547,#325548,#325549,#325550)); #62837=EDGE_LOOP('',(#325551,#325552,#325553,#325554)); #62838=EDGE_LOOP('',(#325555,#325556,#325557,#325558)); #62839=EDGE_LOOP('',(#325559,#325560,#325561,#325562)); #62840=EDGE_LOOP('',(#325563,#325564,#325565,#325566)); #62841=EDGE_LOOP('',(#325567,#325568,#325569,#325570)); #62842=EDGE_LOOP('',(#325571,#325572,#325573,#325574)); #62843=EDGE_LOOP('',(#325575,#325576,#325577,#325578)); #62844=EDGE_LOOP('',(#325579,#325580,#325581,#325582)); #62845=EDGE_LOOP('',(#325583,#325584,#325585,#325586)); #62846=EDGE_LOOP('',(#325587)); #62847=EDGE_LOOP('',(#325588)); #62848=EDGE_LOOP('',(#325589)); #62849=EDGE_LOOP('',(#325590)); #62850=EDGE_LOOP('',(#325591,#325592,#325593,#325594)); #62851=EDGE_LOOP('',(#325595,#325596,#325597,#325598)); #62852=EDGE_LOOP('',(#325599,#325600,#325601,#325602)); #62853=EDGE_LOOP('',(#325603,#325604,#325605,#325606)); #62854=EDGE_LOOP('',(#325607,#325608,#325609,#325610)); #62855=EDGE_LOOP('',(#325611,#325612,#325613,#325614)); #62856=EDGE_LOOP('',(#325615,#325616,#325617,#325618)); #62857=EDGE_LOOP('',(#325619,#325620,#325621,#325622)); #62858=EDGE_LOOP('',(#325623,#325624,#325625,#325626)); #62859=EDGE_LOOP('',(#325627,#325628,#325629,#325630)); #62860=EDGE_LOOP('',(#325631,#325632,#325633,#325634)); #62861=EDGE_LOOP('',(#325635,#325636,#325637,#325638,#325639,#325640)); #62862=EDGE_LOOP('',(#325641,#325642,#325643,#325644)); #62863=EDGE_LOOP('',(#325645,#325646,#325647,#325648)); #62864=EDGE_LOOP('',(#325649,#325650,#325651,#325652)); #62865=EDGE_LOOP('',(#325653,#325654,#325655,#325656)); #62866=EDGE_LOOP('',(#325657,#325658,#325659)); #62867=EDGE_LOOP('',(#325660,#325661,#325662,#325663,#325664)); #62868=EDGE_LOOP('',(#325665,#325666,#325667)); #62869=EDGE_LOOP('',(#325668,#325669,#325670)); #62870=EDGE_LOOP('',(#325671,#325672,#325673,#325674,#325675)); #62871=EDGE_LOOP('',(#325676,#325677,#325678)); #62872=EDGE_LOOP('',(#325679,#325680,#325681)); #62873=EDGE_LOOP('',(#325682,#325683,#325684)); #62874=EDGE_LOOP('',(#325685,#325686,#325687,#325688,#325689)); #62875=EDGE_LOOP('',(#325690,#325691,#325692)); #62876=EDGE_LOOP('',(#325693,#325694,#325695,#325696,#325697)); #62877=EDGE_LOOP('',(#325698,#325699,#325700)); #62878=EDGE_LOOP('',(#325701,#325702,#325703,#325704)); #62879=EDGE_LOOP('',(#325705,#325706,#325707,#325708)); #62880=EDGE_LOOP('',(#325709,#325710,#325711,#325712,#325713,#325714,#325715, #325716,#325717)); #62881=EDGE_LOOP('',(#325718,#325719,#325720,#325721)); #62882=EDGE_LOOP('',(#325722,#325723,#325724,#325725,#325726,#325727,#325728, #325729,#325730)); #62883=EDGE_LOOP('',(#325731,#325732,#325733,#325734)); #62884=EDGE_LOOP('',(#325735,#325736,#325737,#325738)); #62885=EDGE_LOOP('',(#325739,#325740,#325741,#325742)); #62886=EDGE_LOOP('',(#325743,#325744,#325745,#325746)); #62887=EDGE_LOOP('',(#325747,#325748,#325749,#325750,#325751,#325752,#325753, #325754,#325755)); #62888=EDGE_LOOP('',(#325756,#325757,#325758,#325759)); #62889=EDGE_LOOP('',(#325760,#325761,#325762,#325763,#325764,#325765,#325766, #325767,#325768)); #62890=EDGE_LOOP('',(#325769,#325770,#325771,#325772)); #62891=EDGE_LOOP('',(#325773,#325774,#325775,#325776)); #62892=EDGE_LOOP('',(#325777,#325778,#325779,#325780)); #62893=EDGE_LOOP('',(#325781,#325782,#325783,#325784,#325785,#325786)); #62894=EDGE_LOOP('',(#325787,#325788,#325789,#325790)); #62895=EDGE_LOOP('',(#325791,#325792,#325793,#325794,#325795,#325796)); #62896=EDGE_LOOP('',(#325797,#325798,#325799,#325800,#325801,#325802)); #62897=EDGE_LOOP('',(#325803,#325804,#325805,#325806,#325807,#325808)); #62898=EDGE_LOOP('',(#325809,#325810,#325811,#325812)); #62899=EDGE_LOOP('',(#325813,#325814,#325815,#325816)); #62900=EDGE_LOOP('',(#325817,#325818,#325819,#325820)); #62901=EDGE_LOOP('',(#325821,#325822,#325823,#325824,#325825,#325826)); #62902=EDGE_LOOP('',(#325827,#325828,#325829,#325830)); #62903=EDGE_LOOP('',(#325831,#325832,#325833,#325834)); #62904=EDGE_LOOP('',(#325835,#325836,#325837,#325838)); #62905=EDGE_LOOP('',(#325839,#325840,#325841,#325842,#325843,#325844,#325845)); #62906=EDGE_LOOP('',(#325846,#325847,#325848,#325849,#325850,#325851,#325852)); #62907=EDGE_LOOP('',(#325853,#325854,#325855,#325856,#325857,#325858,#325859)); #62908=EDGE_LOOP('',(#325860,#325861,#325862,#325863)); #62909=EDGE_LOOP('',(#325864,#325865,#325866,#325867,#325868,#325869,#325870, #325871,#325872,#325873,#325874,#325875)); #62910=EDGE_LOOP('',(#325876,#325877,#325878,#325879,#325880,#325881,#325882, #325883)); #62911=EDGE_LOOP('',(#325884,#325885,#325886,#325887,#325888,#325889,#325890, #325891)); #62912=EDGE_LOOP('',(#325892,#325893,#325894,#325895)); #62913=EDGE_LOOP('',(#325896,#325897,#325898,#325899,#325900,#325901,#325902, #325903)); #62914=EDGE_LOOP('',(#325904,#325905,#325906,#325907,#325908,#325909,#325910, #325911)); #62915=EDGE_LOOP('',(#325912,#325913,#325914,#325915)); #62916=EDGE_LOOP('',(#325916)); #62917=EDGE_LOOP('',(#325917)); #62918=EDGE_LOOP('',(#325918,#325919,#325920,#325921)); #62919=EDGE_LOOP('',(#325922,#325923,#325924,#325925,#325926,#325927,#325928, #325929,#325930,#325931,#325932,#325933)); #62920=EDGE_LOOP('',(#325934,#325935,#325936,#325937)); #62921=EDGE_LOOP('',(#325938)); #62922=EDGE_LOOP('',(#325939,#325940,#325941,#325942,#325943,#325944,#325945, #325946,#325947,#325948,#325949,#325950,#325951,#325952,#325953,#325954, #325955,#325956,#325957,#325958,#325959,#325960)); #62923=EDGE_LOOP('',(#325961,#325962,#325963,#325964)); #62924=EDGE_LOOP('',(#325965,#325966,#325967,#325968)); #62925=EDGE_LOOP('',(#325969,#325970,#325971,#325972)); #62926=EDGE_LOOP('',(#325973,#325974,#325975,#325976)); #62927=EDGE_LOOP('',(#325977,#325978,#325979,#325980)); #62928=EDGE_LOOP('',(#325981,#325982,#325983,#325984,#325985)); #62929=EDGE_LOOP('',(#325986,#325987,#325988,#325989)); #62930=EDGE_LOOP('',(#325990,#325991,#325992,#325993)); #62931=EDGE_LOOP('',(#325994,#325995,#325996,#325997,#325998)); #62932=EDGE_LOOP('',(#325999,#326000,#326001,#326002)); #62933=EDGE_LOOP('',(#326003,#326004,#326005,#326006,#326007)); #62934=EDGE_LOOP('',(#326008)); #62935=EDGE_LOOP('',(#326009,#326010,#326011,#326012)); #62936=EDGE_LOOP('',(#326013,#326014,#326015,#326016)); #62937=EDGE_LOOP('',(#326017,#326018,#326019,#326020)); #62938=EDGE_LOOP('',(#326021,#326022,#326023,#326024)); #62939=EDGE_LOOP('',(#326025,#326026,#326027,#326028)); #62940=EDGE_LOOP('',(#326029,#326030,#326031,#326032)); #62941=EDGE_LOOP('',(#326033,#326034,#326035,#326036)); #62942=EDGE_LOOP('',(#326037,#326038,#326039,#326040)); #62943=EDGE_LOOP('',(#326041,#326042,#326043,#326044)); #62944=EDGE_LOOP('',(#326045,#326046,#326047,#326048)); #62945=EDGE_LOOP('',(#326049,#326050,#326051,#326052)); #62946=EDGE_LOOP('',(#326053,#326054,#326055,#326056)); #62947=EDGE_LOOP('',(#326057,#326058,#326059,#326060)); #62948=EDGE_LOOP('',(#326061,#326062,#326063,#326064)); #62949=EDGE_LOOP('',(#326065,#326066,#326067,#326068)); #62950=EDGE_LOOP('',(#326069,#326070,#326071,#326072)); #62951=EDGE_LOOP('',(#326073,#326074,#326075,#326076)); #62952=EDGE_LOOP('',(#326077,#326078,#326079,#326080)); #62953=EDGE_LOOP('',(#326081,#326082,#326083,#326084)); #62954=EDGE_LOOP('',(#326085,#326086,#326087,#326088)); #62955=EDGE_LOOP('',(#326089,#326090,#326091,#326092)); #62956=EDGE_LOOP('',(#326093)); #62957=EDGE_LOOP('',(#326094,#326095,#326096,#326097)); #62958=EDGE_LOOP('',(#326098,#326099,#326100,#326101)); #62959=EDGE_LOOP('',(#326102,#326103,#326104,#326105)); #62960=EDGE_LOOP('',(#326106,#326107,#326108,#326109)); #62961=EDGE_LOOP('',(#326110)); #62962=EDGE_LOOP('',(#326111,#326112,#326113,#326114)); #62963=EDGE_LOOP('',(#326115,#326116,#326117,#326118)); #62964=EDGE_LOOP('',(#326119,#326120,#326121,#326122,#326123)); #62965=EDGE_LOOP('',(#326124,#326125,#326126,#326127)); #62966=EDGE_LOOP('',(#326128,#326129,#326130,#326131)); #62967=EDGE_LOOP('',(#326132,#326133,#326134,#326135)); #62968=EDGE_LOOP('',(#326136,#326137,#326138,#326139)); #62969=EDGE_LOOP('',(#326140,#326141,#326142,#326143)); #62970=EDGE_LOOP('',(#326144,#326145,#326146,#326147)); #62971=EDGE_LOOP('',(#326148,#326149,#326150,#326151,#326152,#326153,#326154, #326155)); #62972=EDGE_LOOP('',(#326156,#326157,#326158,#326159,#326160,#326161,#326162, #326163)); #62973=EDGE_LOOP('',(#326164,#326165,#326166,#326167)); #62974=EDGE_LOOP('',(#326168,#326169,#326170,#326171)); #62975=EDGE_LOOP('',(#326172,#326173,#326174,#326175)); #62976=EDGE_LOOP('',(#326176,#326177,#326178,#326179)); #62977=EDGE_LOOP('',(#326180,#326181,#326182,#326183,#326184)); #62978=EDGE_LOOP('',(#326185,#326186,#326187,#326188)); #62979=EDGE_LOOP('',(#326189,#326190,#326191,#326192)); #62980=EDGE_LOOP('',(#326193,#326194,#326195,#326196)); #62981=EDGE_LOOP('',(#326197,#326198,#326199,#326200)); #62982=EDGE_LOOP('',(#326201,#326202,#326203,#326204)); #62983=EDGE_LOOP('',(#326205)); #62984=EDGE_LOOP('',(#326206)); #62985=EDGE_LOOP('',(#326207,#326208,#326209,#326210,#326211,#326212,#326213, #326214,#326215,#326216,#326217,#326218)); #62986=EDGE_LOOP('',(#326219)); #62987=EDGE_LOOP('',(#326220)); #62988=EDGE_LOOP('',(#326221,#326222,#326223,#326224,#326225,#326226,#326227, #326228,#326229,#326230,#326231,#326232)); #62989=EDGE_LOOP('',(#326233,#326234,#326235,#326236)); #62990=EDGE_LOOP('',(#326237)); #62991=EDGE_LOOP('',(#326238)); #62992=EDGE_LOOP('',(#326239,#326240,#326241,#326242)); #62993=EDGE_LOOP('',(#326243)); #62994=EDGE_LOOP('',(#326244)); #62995=EDGE_LOOP('',(#326245,#326246,#326247,#326248)); #62996=EDGE_LOOP('',(#326249)); #62997=EDGE_LOOP('',(#326250)); #62998=EDGE_LOOP('',(#326251,#326252,#326253,#326254)); #62999=EDGE_LOOP('',(#326255)); #63000=EDGE_LOOP('',(#326256)); #63001=B_SPLINE_CURVE_WITH_KNOTS('',3,(#469629,#469630,#469631,#469632, #469633,#469634,#469635,#469636,#469637,#469638,#469639,#469640,#469641), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(0.,0.3333333333333,0.6666666666667, 0.8883939000508,0.996414816193581),.UNSPECIFIED.); #63002=B_SPLINE_CURVE_WITH_KNOTS('',3,(#469642,#469643,#469644,#469645, #469646,#469647,#469648,#469649,#469650,#469651,#469652,#469653,#469654), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(0.00739445631479943,0.2385928858777, 0.4289446816986,0.6192964775196,1.),.UNSPECIFIED.); #63003=B_SPLINE_CURVE_WITH_KNOTS('',3,(#470319,#470320,#470321,#470322, #470323,#470324,#470325,#470326,#470327,#470328,#470329,#470330,#470331, #470332,#470333,#470334,#470335,#470336),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,4),(1.16610592313858,1.22018881349844,1.3353978859043,1.39300242210723, 1.45060695831016,1.50821149451308,1.56581603071601,1.68102510312187,1.73510799348174), .UNSPECIFIED.); #63004=B_SPLINE_CURVE_WITH_KNOTS('',3,(#470352,#470353,#470354,#470355, #470356,#470357,#470358,#470359,#470360,#470361,#470362,#470363,#470364, #470365,#470366,#470367,#470368,#470369),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,4),(1.16610592313858,1.22018881349844,1.3353978859043,1.39300242210723, 1.45060695831016,1.50821149451308,1.56581603071601,1.68102510312187,1.73510799348174), .UNSPECIFIED.); #63005=B_SPLINE_CURVE_WITH_KNOTS('',3,(#470381,#470382,#470383,#470384, #470385,#470386,#470387,#470388,#470389,#470390,#470391,#470392,#470393, #470394,#470395,#470396,#470397,#470398),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,4),(1.16610592313858,1.22018881349844,1.3353978859043,1.39300242210723, 1.45060695831016,1.50821149451308,1.56581603071601,1.68102510312187,1.73510799348174), .UNSPECIFIED.); #63006=B_SPLINE_CURVE_WITH_KNOTS('',3,(#470410,#470411,#470412,#470413, #470414,#470415,#470416,#470417,#470418,#470419,#470420,#470421,#470422, #470423,#470424,#470425,#470426,#470427),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,4),(1.16610592313857,1.22018881349844,1.3353978859043,1.39300242210723, 1.45060695831016,1.50821149451308,1.56581603071601,1.68102510312187,1.73510799348174), .UNSPECIFIED.); #63007=B_SPLINE_CURVE_WITH_KNOTS('',3,(#470439,#470440,#470441,#470442, #470443,#470444,#470445,#470446,#470447,#470448,#470449,#470450,#470451, #470452,#470453,#470454,#470455,#470456,#470457,#470458,#470459,#470460, #470461,#470462,#470463,#470464,#470465,#470466,#470467,#470468,#470469, #470470,#470471,#470472,#470473,#470474,#470475,#470476,#470477,#470478, #470479,#470480,#470481,#470482,#470483,#470484,#470485,#470486,#470487, #470488,#470489,#470490,#470491,#470492,#470493,#470494,#470495,#470496, #470497,#470498,#470499,#470500,#470501,#470502,#470503,#470504,#470505, #470506,#470507,#470508,#470509,#470510,#470511,#470512,#470513,#470514, #470515,#470516,#470517,#470518,#470519,#470520,#470521,#470522,#470523), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,4),(-1.18944662955957,-1.17841351589617,-1.14311654334776,-1.1089139013234, -1.06673457352487,-1.01100313620076,-0.941429505589362,-0.89191148262341, -0.742626481418685,-0.59909816572471,-0.498015729806498,-0.400755914752929, -0.336971928232757,-0.32940326887806,-0.295969738299828,-0.281569612835512, -0.26314854249349,-0.24530411748401,-0.226511790565133,-0.199542397569217, -0.182391539953,-0.17559964993533,-0.159964435956142,-0.140160684325114, -0.115664654211275,-0.112073873431395,-0.0715468166063319,-0.0674727593274729, -9.99999312245592E-7),.UNSPECIFIED.); #63008=B_SPLINE_CURVE_WITH_KNOTS('',3,(#470525,#470526,#470527,#470528, #470529,#470530,#470531,#470532,#470533,#470534,#470535,#470536,#470537, #470538,#470539,#470540,#470541,#470542,#470543,#470544,#470545,#470546, #470547,#470548,#470549,#470550,#470551,#470552,#470553,#470554,#470555, #470556,#470557,#470558),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2, 2,2,2,2,4),(-0.451248307541344,-0.425672506266852,-0.398403189755013,-0.392113052183536, -0.381746982153047,-0.358514858393088,-0.299216615746318,-0.286258751046863, -0.254333538222986,-0.214654097664336,-0.188188197505162,-0.162942724514042, -0.12512076574489,-0.0980893374146249,-0.0819531086874336,-0.0554439597257436, -0.000253749369975508),.UNSPECIFIED.); #63009=B_SPLINE_CURVE_WITH_KNOTS('',3,(#470562,#470563,#470564,#470565, #470566,#470567,#470568,#470569,#470570,#470571,#470572,#470573,#470574, #470575,#470576,#470577,#470578,#470579,#470580,#470581,#470582,#470583, #470584,#470585,#470586,#470587,#470588,#470589,#470590,#470591,#470592, #470593,#470594,#470595,#470596,#470597,#470598,#470599,#470600,#470601, #470602,#470603,#470604,#470605,#470606,#470607,#470608,#470609,#470610, #470611,#470612,#470613,#470614,#470615,#470616,#470617,#470618,#470619, #470620,#470621,#470622,#470623,#470624,#470625,#470626,#470627,#470628, #470629,#470630,#470631,#470632,#470633,#470634,#470635,#470636,#470637, #470638,#470639,#470640),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(-0.221075164203797,-0.220562316688968,-0.218905809443481, -0.217248573567898,-0.214977708202449,-0.212432893777885,-0.209438777637221, -0.206266267723944,-0.20058381309068,-0.199799757903405,-0.19717598949395, -0.194166734322457,-0.19071051965295,-0.188530833916306,-0.186124744596418, -0.182752460507185,-0.182486388616933,-0.177769467049944,-0.172453151581324, -0.161585930480588,-0.159812525469982,-0.139989228667693,-0.115491706621046, -0.111918026789179,-0.0715411502900044,-0.0674702562434401,-1.18352453715039E-6), .UNSPECIFIED.); #63010=B_SPLINE_CURVE_WITH_KNOTS('',3,(#470642,#470643,#470644,#470645, #470646,#470647,#470648,#470649,#470650,#470651,#470652,#470653,#470654, #470655,#470656,#470657,#470658,#470659,#470660,#470661,#470662,#470663, #470664,#470665,#470666,#470667,#470668,#470669,#470670,#470671,#470672, #470673,#470674,#470675),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2, 2,2,2,2,4),(-1.5868090212797,-1.48869470740629,-1.38408582462135,-1.35995602417861, -1.32019106528243,-1.23107488408619,-1.00363259550525,-0.953927929132102, -0.831482296780817,-0.679291109442473,-0.577767099063912,-0.480920802232935, -0.389879926659457,-0.211846269742634,-0.106023400576167,-0.0528750334367128, -0.000296567600877237),.UNSPECIFIED.); #63011=B_SPLINE_CURVE_WITH_KNOTS('',3,(#470681,#470682,#470683,#470684, #470685,#470686,#470687,#470688,#470689,#470690,#470691,#470692,#470693, #470694,#470695,#470696,#470697,#470698,#470699,#470700,#470701,#470702, #470703,#470704,#470705,#470706,#470707,#470708,#470709,#470710,#470711, #470712),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,2,2,2,4),(1.18409281441367E-6, 0.0614676490384389,0.0799890197126197,0.112067198532316,0.128877050841597, 0.140591982220718,0.164997743263099,0.180327803738233,0.185686374860599, 0.194374668440044,0.20521256104046,0.219012437371062,0.232818290331824, 0.236832812837258,0.24270416832094,0.248417275149783),.UNSPECIFIED.); #63012=B_SPLINE_CURVE_WITH_KNOTS('',3,(#470714,#470715,#470716,#470717, #470718,#470719,#470720,#470721,#470722,#470723,#470724,#470725,#470726, #470727,#470728,#470729,#470730,#470731,#470732,#470733,#470734,#470735, #470736,#470737,#470738,#470739,#470740,#470741,#470742,#470743,#470744, #470745),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-0.410422127125876, -0.403241698977407,-0.393785800004473,-0.368980160673481,-0.350372411939767, -0.322502599589313,-0.297049814479772,-0.274764466521991,-0.255685997284002, -0.226396468279828,-0.207091693366686,-0.173134531871521,-0.139120626042456, -0.114241769639687,-0.0649552508118602,-6.70960817554857E-5), .UNSPECIFIED.); #63013=B_SPLINE_CURVE_WITH_KNOTS('',3,(#470758,#470759,#470760,#470761, #470762,#470763,#470764,#470765,#470766,#470767,#470768,#470769,#470770, #470771,#470772,#470773,#470774,#470775,#470776,#470777,#470778,#470779, #470780,#470781,#470782,#470783,#470784,#470785,#470786,#470787), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-0.346413214564531, -0.334888948478774,-0.323329381461381,-0.301797533624131,-0.267839266289238, -0.234317296374493,-0.209338503527842,-0.189728099987808,-0.178332019551644, -0.130020662904962,-0.121575450747554,-0.108415097656644,-0.0807420225153107, -0.0620268225444749,-1.0143494420029E-6),.UNSPECIFIED.); #63014=B_SPLINE_CURVE_WITH_KNOTS('',3,(#470789,#470790,#470791,#470792, #470793,#470794,#470795,#470796,#470797,#470798,#470799,#470800,#470801, #470802,#470803,#470804,#470805,#470806,#470807,#470808,#470809,#470810, #470811,#470812,#470813,#470814,#470815,#470816,#470817,#470818,#470819, #470820,#470821,#470822),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2, 2,2,2,2,4),(-0.506240619267008,-0.464374368441285,-0.42747858216243,-0.420102326990279, -0.397113197503837,-0.372222751397521,-0.357253328367493,-0.316656180029655, -0.306755675023514,-0.288273835025377,-0.260415212943332,-0.241526503329196, -0.208152728018166,-0.17551242366,-0.139943473520301,-0.066707493055924, -6.70961548861672E-5),.UNSPECIFIED.); #63015=B_SPLINE_CURVE_WITH_KNOTS('',3,(#470828,#470829,#470830,#470831, #470832,#470833,#470834,#470835,#470836,#470837,#470838,#470839,#470840, #470841,#470842,#470843,#470844,#470845,#470846,#470847,#470848,#470849, #470850,#470851,#470852,#470853,#470854,#470855,#470856,#470857), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-0.280779753463009, -0.269468432645853,-0.257843798784787,-0.24989259899404,-0.222563607287264, -0.195596722655911,-0.175428519274046,-0.15931174622177,-0.149576558637057, -0.112341267230706,-0.106449428171988,-0.0972699774639335,-0.0777572794247966, -0.0620940996161031,-1.01051563303223E-6),.UNSPECIFIED.); #63016=B_SPLINE_CURVE_WITH_KNOTS('',3,(#470859,#470860,#470861,#470862, #470863,#470864,#470865,#470866,#470867,#470868,#470869,#470870,#470871, #470872,#470873,#470874,#470875,#470876,#470877,#470878,#470879,#470880, #470881,#470882,#470883,#470884,#470885,#470886,#470887,#470888,#470889, #470890,#470891,#470892),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2, 2,2,2,2,4),(-0.304797539970965,-0.303402226522012,-0.30156543095902,-0.296894874956125, -0.285068505573348,-0.267324179576848,-0.251252880559059,-0.239355870703453, -0.219212824597999,-0.194658927507437,-0.178316027999593,-0.162719686211358, -0.126391659601431,-0.100943189178566,-0.084085196792898,-0.0546144785208238, -6.6835684533286E-5),.UNSPECIFIED.); #63017=B_SPLINE_CURVE_WITH_KNOTS('',3,(#471084,#471085,#471086,#471087, #471088,#471089,#471090,#471091,#471092,#471093,#471094,#471095,#471096, #471097,#471098,#471099,#471100,#471101,#471102,#471103,#471104,#471105), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(9.99999933074937E-7,0.108068953215542, 0.588313950358427,0.852693626031617,0.971196555874703,1.30642996421909, 1.447533107457,1.46297927102131),.UNSPECIFIED.); #63018=B_SPLINE_CURVE_WITH_KNOTS('',3,(#471107,#471108,#471109,#471110, #471111,#471112,#471113,#471114,#471115,#471116,#471117,#471118,#471119, #471120,#471121,#471122,#471123,#471124,#471125,#471126,#471127,#471128, #471129,#471130,#471131,#471132,#471133,#471134,#471135,#471136,#471137, #471138,#471139,#471140,#471141,#471142,#471143,#471144,#471145,#471146, #471147,#471148,#471149,#471150,#471151,#471152,#471153,#471154,#471155, #471156,#471157,#471158,#471159,#471160,#471161),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.00844264430906409,0.16666666666665, 0.176249809987656,0.249999999999975,0.291666666666638,0.312499999999969, 0.3333333333333,0.341894439268197,0.505015181230048,0.586247042158645,0.6666666666667, 0.667829947415161,0.708333333333363,0.726562500000027,0.743652343750026, 0.807739257812519,0.8329897257416,1.),.UNSPECIFIED.); #63019=B_SPLINE_CURVE_WITH_KNOTS('',3,(#471163,#471164,#471165,#471166, #471167,#471168,#471169,#471170,#471171,#471172,#471173,#471174,#471175, #471176,#471177,#471178,#471179,#471180,#471181,#471182,#471183,#471184, #471185,#471186,#471187,#471188,#471189,#471190,#471191,#471192,#471193, #471194,#471195,#471196,#471197,#471198,#471199,#471200,#471201,#471202, #471203,#471204,#471205,#471206,#471207,#471208,#471209,#471210,#471211, #471212,#471213,#471214,#471215,#471216,#471217,#471218,#471219,#471220, #471221,#471222,#471223,#471224,#471225,#471226,#471227,#471228,#471229, #471230,#471231,#471232,#471233,#471234,#471235,#471236,#471237,#471238, #471239,#471240,#471241,#471242,#471243,#471244,#471245,#471246,#471247, #471248,#471249,#471250),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.0302976793470956,0.0447155286559447, 0.0877730326024978,0.132816244627546,0.1666666666667,0.168538834941062, 0.207008543689237,0.244996966775273,0.300878137553425,0.31890114397189, 0.3333333333333,0.354703036502041,0.390069857039255,0.433308213478768,0.458420719656683, 0.5,0.522325576699341,0.564506572035548,0.583059989503744,0.641592732676406, 0.6666666666667,0.698202448938565,0.764935149603697,0.804682902806052,0.8333333333333, 0.893815550741066,0.904598897247754,0.965552885177721,1.),.UNSPECIFIED.); #63020=B_SPLINE_CURVE_WITH_KNOTS('',3,(#471252,#471253,#471254,#471255, #471256,#471257,#471258,#471259,#471260,#471261,#471262,#471263,#471264, #471265,#471266,#471267),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1, 1,4),(0.,0.1666667004688,0.2500000507046,0.3333334009409,0.375000076059, 0.4166667511772,0.500000101413,0.5833334516493,0.6666668018855,0.7500001521214, 0.7916668272395,0.8333335023576,0.9166668525939,1.),.UNSPECIFIED.); #63021=B_SPLINE_CURVE_WITH_KNOTS('',3,(#471269,#471270,#471271,#471272, #471273,#471274,#471275,#471276,#471277,#471278,#471279,#471280,#471281, #471282,#471283,#471284,#471285,#471286,#471287),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,4),(0.,0.2499999998695,0.335323954081917,0.4999999999085,0.718986545205752, 0.931803444142628,1.),.UNSPECIFIED.); #63022=B_SPLINE_CURVE_WITH_KNOTS('',3,(#471289,#471290,#471291,#471292, #471293),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.4999999992615,1.), .UNSPECIFIED.); #63023=B_SPLINE_CURVE_WITH_KNOTS('',3,(#471295,#471296,#471297,#471298), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63024=B_SPLINE_CURVE_WITH_KNOTS('',3,(#471300,#471301,#471302,#471303, #471304,#471305,#471306,#471307),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0., 0.2499999871851,0.3749999888904,0.4999999905958,0.7499999940066,1.), .UNSPECIFIED.); #63025=B_SPLINE_CURVE_WITH_KNOTS('',3,(#471309,#471310,#471311,#471312, #471313,#471314,#471315,#471316,#471317,#471318,#471319,#471320,#471321, #471322,#471323,#471324,#471325,#471326,#471327,#471328,#471329,#471330, #471331,#471332,#471333,#471334,#471335,#471336,#471337,#471338,#471339, #471340,#471341,#471342,#471343,#471344,#471345,#471346,#471347,#471348, #471349,#471350,#471351,#471352,#471353,#471354,#471355,#471356,#471357, #471358,#471359,#471360,#471361,#471362,#471363,#471364,#471365,#471366, #471367,#471368,#471369,#471370,#471371,#471372,#471373,#471374,#471375, #471376,#471377,#471378,#471379,#471380,#471381,#471382,#471383,#471384, #471385,#471386,#471387,#471388,#471389,#471390,#471391,#471392,#471393, #471394,#471395,#471396,#471397,#471398,#471399,#471400,#471401,#471402, #471403,#471404,#471405),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.01587185918249,0.0411347537493673, 0.04761792816644,0.0818072184175334,0.1111100661317,0.15190304634908,0.1746022040969, 0.215045475333801,0.2380943420622,0.285090827308796,0.3015864800301,0.354072509242849, 0.3650786179953,0.38267900975915,0.431757348270119,0.435366209857529,0.440015999379497, 0.4920628939258,0.566450680525052,0.619047169859,0.6825393078242,0.7460314457894, 0.794498570694057,0.8095235837573,0.861554632472397,0.8730157217226,0.9047617907065, 0.91123327123473,0.936002343772063,0.9365078596878,0.972851945408493,1.), .UNSPECIFIED.); #63026=B_SPLINE_CURVE_WITH_KNOTS('',3,(#471407,#471408,#471409,#471410), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63027=B_SPLINE_CURVE_WITH_KNOTS('',3,(#471411,#471412,#471413,#471414, #471415,#471416,#471417,#471418,#471419,#471420,#471421,#471422,#471423), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(1.24163601805408E-13,0.2420513574636, 0.679136641740564,0.9283611446192,1.),.UNSPECIFIED.); #63028=B_SPLINE_CURVE_WITH_KNOTS('',3,(#471621,#471622,#471623,#471624, #471625,#471626),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00460854220134213,-0.00230265767590878, -7.57023377537157E-6),.UNSPECIFIED.); #63029=B_SPLINE_CURVE_WITH_KNOTS('',3,(#471628,#471629,#471630,#471631, #471632,#471633,#471634,#471635),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.60145730543109E-6, 0.0234727050824797,0.0569978876515576,0.139691293520671),.UNSPECIFIED.); #63030=B_SPLINE_CURVE_WITH_KNOTS('',3,(#471637,#471638,#471639,#471640), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63031=B_SPLINE_CURVE_WITH_KNOTS('',3,(#471642,#471643,#471644,#471645, #471646,#471647,#471648,#471649,#471650,#471651,#471652,#471653,#471654, #471655,#471656,#471657,#471658,#471659,#471660,#471661,#471662,#471663, #471664,#471665,#471666,#471667,#471668,#471669,#471670,#471671,#471672, #471673,#471674,#471675,#471676,#471677,#471678,#471679,#471680,#471681, #471682,#471683,#471684,#471685,#471686,#471687,#471688,#471689,#471690, #471691,#471692,#471693,#471694,#471695,#471696,#471697,#471698,#471699, #471700,#471701,#471702,#471703,#471704,#471705,#471706,#471707,#471708, #471709,#471710,#471711,#471712,#471713,#471714),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.0912385207665209, 0.17233821116028,0.180057206501615,0.25,0.28125,0.3359375,0.350797254773884, 0.376953125,0.4384765625,0.5,0.514460734968106,0.580095062260059,0.594176315446553, 0.625,0.6328125,0.673189450011409,0.725740189568191,0.75,0.75293932032123, 0.8125,0.834225236819591,0.8359375,0.913060752077787,1.),.UNSPECIFIED.); #63032=B_SPLINE_CURVE_WITH_KNOTS('',3,(#471716,#471717,#471718,#471719, #471720,#471721),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.5000000000669,0.7500000000505, 1.),.UNSPECIFIED.); #63033=B_SPLINE_CURVE_WITH_KNOTS('',3,(#471723,#471724,#471725,#471726, #471727,#471728,#471729,#471730,#471731,#471732,#471733,#471734,#471735, #471736,#471737,#471738,#471739,#471740,#471741,#471742,#471743,#471744, #471745,#471746,#471747,#471748,#471749,#471750,#471751,#471752,#471753, #471754,#471755,#471756,#471757,#471758,#471759,#471760,#471761,#471762, #471763,#471764,#471765,#471766,#471767,#471768,#471769,#471770,#471771, #471772,#471773,#471774,#471775,#471776,#471777,#471778,#471779,#471780, #471781,#471782,#471783,#471784,#471785,#471786,#471787,#471788,#471789, #471790,#471791,#471792,#471793,#471794,#471795),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.0613275574549884, 0.083333333333325,0.114583333333322,0.128255208333321,0.184373040221629, 0.269351314391126,0.308907366667176,0.3333333333333,0.437207500849847,0.5, 0.520833333333337,0.539062500000008,0.570309548575403,0.655314522037234, 0.6666666666667,0.709783444086482,0.750000000000025,0.78155551103813,0.812500000000019, 0.824218750000018,0.853593437269224,0.926087578284404,0.98377221033203, 1.),.UNSPECIFIED.); #63034=B_SPLINE_CURVE_WITH_KNOTS('',3,(#471797,#471798,#471799,#471800), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63035=B_SPLINE_CURVE_WITH_KNOTS('',3,(#471802,#471803,#471804,#471805, #471806,#471807,#471808,#471809,#471810,#471811,#471812,#471813,#471814), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(0.,0.1249999999966,0.2499999999957, 0.3124999999952,0.3749999999953,0.4374999999949,0.4999999999943,0.6249999999936, 0.6874999999932,0.7499999999928,1.),.UNSPECIFIED.); #63036=B_SPLINE_CURVE_WITH_KNOTS('',3,(#471816,#471817,#471818,#471819, #471820,#471821,#471822,#471823,#471824,#471825,#471826,#471827,#471828, #471829,#471830,#471831,#471832,#471833,#471834,#471835,#471836,#471837), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(0.,0.1999999066195,0.2999999182911, 0.3999999299626,0.5999999533057,0.6999999649773,0.7999999766488,1.), .UNSPECIFIED.); #63037=B_SPLINE_CURVE_WITH_KNOTS('',3,(#471839,#471840,#471841,#471842, #471843,#471844,#471845,#471846,#471847,#471848,#471849,#471850,#471851, #471852,#471853,#471854,#471855,#471856,#471857,#471858,#471859,#471860, #471861,#471862,#471863,#471864,#471865,#471866,#471867,#471868,#471869, #471870,#471871,#471872,#471873,#471874,#471875,#471876,#471877,#471878, #471879,#471880,#471881,#471882,#471883,#471884,#471885,#471886,#471887), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(1.62633020083215E-5, 0.01108973708174,0.024101713426015,0.0311159819241007,0.06313764245884, 0.11518554783608,0.134703512352545,0.151781731304452,0.2713292639678,0.3233771693449, 0.375425074722,0.4795208854763,0.5836166962305,0.6877125069852,0.7918083177395, 0.8959041284937,1.),.UNSPECIFIED.); #63038=B_SPLINE_CURVE_WITH_KNOTS('',3,(#471888,#471889,#471890,#471891, #471892,#471893),.UNSPECIFIED.,.F.,.F.,(4,2,4),(4.95607187675883E-6,0.458429644901406, 0.940035051686988),.UNSPECIFIED.); #63039=B_SPLINE_CURVE_WITH_KNOTS('',3,(#471898,#471899,#471900,#471901, #471902,#471903),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0240945078895295,-0.0119373664216889, -2.13027692418692E-6),.UNSPECIFIED.); #63040=B_SPLINE_CURVE_WITH_KNOTS('',3,(#471909,#471910,#471911,#471912, #471913,#471914,#471915,#471916,#471917,#471918,#471919,#471920,#471921, #471922,#471923,#471924,#471925,#471926),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,4),(-0.0891531808056161,-0.0821111384361671,-0.0751148397295068, -0.0651997212949856,-0.0515621602993115,-0.0353084394322522,-0.0193434307801105, -0.00958822715433134,-2.51520563415441E-6),.UNSPECIFIED.); #63041=B_SPLINE_CURVE_WITH_KNOTS('',3,(#471929,#471930,#471931,#471932), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.00739445631479943),.UNSPECIFIED.); #63042=B_SPLINE_CURVE_WITH_KNOTS('',3,(#471934,#471935,#471936,#471937, #471938,#471939,#471940,#471941,#471942,#471943),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(0.,0.553292013896499,0.7281812961126,1.),.UNSPECIFIED.); #63043=B_SPLINE_CURVE_WITH_KNOTS('',3,(#471945,#471946,#471947,#471948), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63044=B_SPLINE_CURVE_WITH_KNOTS('',3,(#471950,#471951,#471952,#471953, #471954),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.5000000007397,1.), .UNSPECIFIED.); #63045=B_SPLINE_CURVE_WITH_KNOTS('',3,(#471956,#471957,#471958,#471959, #471960,#471961),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.5000000000917,0.7500000001313, 1.),.UNSPECIFIED.); #63046=B_SPLINE_CURVE_WITH_KNOTS('',3,(#471963,#471964,#471965,#471966, #471967,#471968,#471969,#471970,#471971,#471972,#471973,#471974,#471975, #471976,#471977,#471978,#471979,#471980,#471981,#471982,#471983,#471984, #471985,#471986,#471987,#471988,#471989,#471990,#471991,#471992,#471993, #471994,#471995,#471996,#471997,#471998,#471999,#472000,#472001,#472002), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.08333314740517, 0.1666664976416,0.2083331727597,0.2499998478775,0.3333331981139,0.4166665483503, 0.4999998985862,0.5833332488226,0.6249999239403,0.6666665990585,0.7499999492949, 0.8333332995308,1.),.UNSPECIFIED.); #63047=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472004,#472005,#472006,#472007, #472008,#472009,#472010,#472011,#472012,#472013,#472014,#472015,#472016, #472017,#472018,#472019,#472020,#472021,#472022,#472023,#472024,#472025, #472026,#472027,#472028,#472029,#472030,#472031,#472032,#472033,#472034, #472035,#472036,#472037,#472038,#472039,#472040,#472041,#472042,#472043, #472044,#472045,#472046,#472047,#472048,#472049,#472050,#472051,#472052, #472053,#472054,#472055),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,4),(0.,0.03124999524449,0.06249999049073,0.1249999809832,0.1562499762294, 0.1874999714757,0.2499999619681,0.2812499572143,0.3124999524606,0.3749999429529, 0.4374999334455,0.4999999239378,0.5624999144304,0.624999904923,0.7499998859079, 0.8749998668928,0.988894391637085,1.),.UNSPECIFIED.); #63048=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472057,#472058,#472059,#472060, #472061,#472062,#472063,#472064,#472065,#472066,#472067,#472068,#472069, #472070,#472071,#472072,#472073,#472074,#472075,#472076,#472077,#472078, #472079,#472080,#472081,#472082,#472083,#472084),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,4),(1.11501771801227E-6,0.02120249705837,0.09649461266726, 0.2470788438852,0.3976630751029,0.5482473063209,0.6235394219297,0.6988315375386, 0.8494157687565,1.),.UNSPECIFIED.); #63049=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472088,#472089,#472090,#472091), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.999953582512983),.UNSPECIFIED.); #63050=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472093,#472094,#472095,#472096), .UNSPECIFIED.,.F.,.F.,(4,4),(0.999942642368732,1.),.UNSPECIFIED.); #63051=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472101,#472102,#472103,#472104, #472105,#472106,#472107,#472108,#472109,#472110,#472111,#472112,#472113, #472114),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(0.,0.09568293382974, 0.1913658118144,0.2870486897986,0.3827315677827,0.4784144457674,0.5740973237515, 0.6697802017357,0.8611459577045,0.9089873966966,0.9568288356892,0.999942642368732), .UNSPECIFIED.); #63052=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472116,#472117,#472118,#472119, #472120,#472121,#472122,#472123,#472124,#472125,#472126,#472127,#472128), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(0.,0.3333333333333,0.616322425782437, 0.6666666666667,1.),.UNSPECIFIED.); #63053=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472130,#472131,#472132,#472133, #472134,#472135,#472136,#472137,#472138,#472139,#472140,#472141,#472142, #472143),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(0.,0.2500000000035, 0.3125000000034,0.3750000000032,0.437500000003,0.5000000000029,0.5625000000028, 0.6250000000027,0.6875000000025,0.7500000000024,0.8750000000021,1.), .UNSPECIFIED.); #63054=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472145,#472146,#472147,#472148), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63055=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472150,#472151,#472152,#472153, #472154,#472155,#472156,#472157,#472158,#472159,#472160,#472161,#472162, #472163,#472164,#472165,#472166,#472167,#472168,#472169,#472170,#472171, #472172,#472173,#472174,#472175,#472176,#472177,#472178,#472179,#472180), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,4),(0.,0.06666666657351,0.1333333331536, 0.1999999997337,0.2666666663138,0.399999999474,0.5333333326343,0.6666666657942, 0.7999999989545,0.9333333321148,1.),.UNSPECIFIED.); #63056=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472182,#472183,#472184,#472185, #472186,#472187),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.2499999999686,0.4999999999723, 1.),.UNSPECIFIED.); #63057=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472189,#472190,#472191,#472192, #472193,#472194,#472195,#472196,#472197,#472198,#472199,#472200), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4),(0.,0.08333331567861,0.1666666506159, 0.3333333204904,0.4999999903649,0.5833333253022,0.6666666602395,0.7499999951768, 0.8333333301139,1.),.UNSPECIFIED.); #63058=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472202,#472203,#472204,#472205), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63059=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472209,#472210,#472211,#472212, #472213,#472214,#472215,#472216,#472217,#472218,#472219,#472220,#472221), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(0.,0.3333333333333,0.6666666666667, 0.885801367412234,1.),.UNSPECIFIED.); #63060=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472223,#472224,#472225,#472226, #472227,#472228,#472229),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.,0.5000000064727, 0.6250000075936,0.7500000087144,1.),.UNSPECIFIED.); #63061=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472231,#472232,#472233,#472234, #472235),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.5000000038077,1.), .UNSPECIFIED.); #63062=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472237,#472238,#472239,#472240, #472241),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.5000000006648,1.), .UNSPECIFIED.); #63063=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472243,#472244,#472245,#472246, #472247,#472248,#472249,#472250,#472251,#472252,#472253,#472254,#472255, #472256,#472257,#472258,#472259,#472260,#472261,#472262,#472263,#472264, #472265,#472266,#472267,#472268,#472269,#472270,#472271,#472272,#472273, #472274,#472275,#472276,#472277,#472278,#472279),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.04545454527665,0.09090909074231,0.1818181816741, 0.2727272726054,0.419153376244488,0.4545454544685,0.5454545454003,0.636363636332, 0.7272727272636,0.8181818181952,0.987648729105022,1.),.UNSPECIFIED.); #63064=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472281,#472282,#472283,#472284, #472285,#472286,#472287,#472288,#472289,#472290,#472291,#472292,#472293, #472294,#472295,#472296,#472297,#472298,#472299,#472300,#472301,#472302, #472303,#472304,#472305,#472306,#472307,#472308,#472309,#472310,#472311, #472312,#472313,#472314,#472315,#472316,#472317,#472318,#472319,#472320, #472321,#472322,#472323),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3, 3,3,4),(0.,0.06249999933323,0.1249999993688,0.1874999994047,0.2499999994403, 0.3124999994762,0.4374999995477,0.5624999996191,0.687499999691,0.7499999997265, 0.8124999997624,0.8437499997802,0.874999999798,0.9374999998339,1.), .UNSPECIFIED.); #63065=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472325,#472326,#472327,#472328, #472329,#472330),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.3333333333333,0.6666666666667, 1.),.UNSPECIFIED.); #63066=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472332,#472333,#472334,#472335, #472336,#472337,#472338,#472339,#472340,#472341,#472342),.UNSPECIFIED., .F.,.F.,(4,1,1,1,1,1,1,1,4),(3.25336056004882E-6,0.09859373688868,0.2488281140695, 0.3990624912502,0.5492968684309,0.6244140570213,0.6995312456117,0.8497656227924, 1.),.UNSPECIFIED.); #63067=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472346,#472347,#472348,#472349, #472350,#472351,#472352,#472353,#472354,#472355,#472356,#472357), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4),(0.,0.1133860658628,0.2267721316498, 0.3401581974367,0.4535442632238,0.5669303290109,0.7937024605849,0.8503954934784, 0.9070885263719,0.999999566786724),.UNSPECIFIED.); #63068=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472359,#472360,#472361,#472362, #472363,#472364,#472365,#472366,#472367,#472368,#472369,#472370,#472371, #472372),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(0.,0.142857142871, 0.2142857143066,0.2857142857415,0.428571428612,0.5000000000477,0.5714285714826, 0.6428571429182,0.7142857143539,0.7857142857887,0.8571428572244,1.), .UNSPECIFIED.); #63069=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472374,#472375,#472376,#472377, #472378,#472379,#472380,#472381,#472382,#472383,#472384,#472385,#472386), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(0.,0.2500000000034,0.3125000000034, 0.3750000000028,0.5000000000024,0.5625000000022,0.625000000002,0.6875000000017, 0.7500000000014,0.8750000000009,1.),.UNSPECIFIED.); #63070=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472388,#472389,#472390,#472391, #472392,#472393,#472394,#472395,#472396,#472397,#472398,#472399,#472400, #472401,#472402,#472403,#472404,#472405,#472406,#472407,#472408,#472409, #472410,#472411,#472412,#472413,#472414,#472415,#472416,#472417,#472418), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,4),(0.,0.06249999999394,0.124999999995, 0.1874999999954,0.2499999999964,0.3749999999979,0.4999999999993,0.6250000000008, 0.7500000000023,0.8750000000037,1.),.UNSPECIFIED.); #63071=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472420,#472421,#472422,#472423, #472424,#472425,#472426,#472427),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0., 0.2,0.4,0.6,0.8,1.),.UNSPECIFIED.); #63072=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472429,#472430,#472431,#472432), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63073=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472436,#472437,#472438,#472439, #472440,#472441,#472442,#472443,#472444,#472445,#472446,#472447,#472448), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(0.,0.3333333333333,0.6666666666667, 0.890352041063747,1.),.UNSPECIFIED.); #63074=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472450,#472451,#472452,#472453, #472454,#472455,#472456,#472457,#472458,#472459,#472460,#472461,#472462, #472463,#472464,#472465),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,4),(0.,0.2500000059934, 0.5000000094042,0.6250000111096,0.7500000128149,1.),.UNSPECIFIED.); #63075=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472467,#472468,#472469,#472470), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63076=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472472,#472473,#472474,#472475, #472476),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.5000000007385,1.), .UNSPECIFIED.); #63077=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472478,#472479,#472480,#472481, #472482,#472483),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.5000000000915,0.7500000001305, 1.),.UNSPECIFIED.); #63078=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472485,#472486,#472487,#472488, #472489,#472490,#472491,#472492,#472493,#472494,#472495,#472496,#472497, #472498,#472499,#472500,#472501,#472502,#472503,#472504,#472505,#472506, #472507,#472508,#472509,#472510,#472511,#472512,#472513,#472514,#472515, #472516,#472517,#472518,#472519,#472520,#472521,#472522,#472523,#472524), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.08333314740609, 0.1666664976424,0.2083331727605,0.2499998478786,0.3333331981145,0.4166665483507, 0.499999898587,0.5833332488228,0.624999923941,0.6666665990591,0.7499999492954, 0.8333332995312,1.),.UNSPECIFIED.); #63079=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472526,#472527,#472528,#472529, #472530,#472531,#472532,#472533,#472534,#472535,#472536,#472537,#472538, #472539,#472540,#472541,#472542,#472543,#472544,#472545,#472546,#472547, #472548,#472549,#472550,#472551,#472552,#472553,#472554,#472555,#472556, #472557,#472558,#472559,#472560,#472561,#472562,#472563,#472564,#472565, #472566,#472567,#472568,#472569,#472570,#472571,#472572,#472573,#472574, #472575,#472576,#472577),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,4),(0.,0.03124999524414,0.0624999904904,0.1249999809829,0.1562499762291, 0.1874999714754,0.2499999619679,0.2812499572141,0.3124999524604,0.3749999429529, 0.4374999334455,0.4999999239378,0.5624999144304,0.624999904923,0.7499998859078, 0.8749998668927,0.988894391650084,1.),.UNSPECIFIED.); #63080=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472578,#472579,#472580,#472581, #472582,#472583,#472584,#472585,#472586,#472587,#472588,#472589,#472590, #472591,#472592,#472593,#472594,#472595,#472596,#472597,#472598,#472599, #472600,#472601,#472602,#472603,#472604,#472605),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,4),(1.98122754296018E-6,0.02122582556194,0.09651614667044, 0.2470967888876,0.3976774311048,0.5482580733219,0.6235483944304,0.6988387155391, 0.8494193577562,1.),.UNSPECIFIED.); #63081=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472607,#472608,#472609,#472610, #472611,#472612,#472613,#472614,#472615,#472616,#472617,#472618,#472619, #472620),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(0.,0.0924253274479, 0.1848506009548,0.2772758744617,0.3697011479691,0.4621264214761,0.554551694983, 0.6469769684899,0.8318275155042,0.8780401522577,0.9242527890111,0.999999129792568), .UNSPECIFIED.); #63082=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472622,#472623,#472624,#472625, #472626,#472627,#472628,#472629,#472630,#472631,#472632,#472633,#472634), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(0.,0.3333333333333,0.61632242561732, 0.6666666666667,1.),.UNSPECIFIED.); #63083=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472636,#472637,#472638,#472639, #472640,#472641,#472642,#472643,#472644,#472645,#472646,#472647,#472648), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(0.,0.2500000000041,0.3125000000038, 0.3750000000036,0.5000000000032,0.5625000000028,0.6250000000025,0.6875000000027, 0.7500000000024,0.8750000000018,1.),.UNSPECIFIED.); #63084=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472650,#472651,#472652,#472653), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63085=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472655,#472656,#472657,#472658, #472659,#472660,#472661,#472662,#472663,#472664,#472665,#472666,#472667, #472668,#472669,#472670,#472671,#472672,#472673,#472674,#472675,#472676, #472677,#472678,#472679,#472680,#472681,#472682,#472683,#472684,#472685), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,4),(0.,0.06666666656012,0.1333333331412, 0.1999999997223,0.2666666663033,0.3999999994655,0.5333333326276,0.6666666657898, 0.799999998952,0.9333333321142,1.),.UNSPECIFIED.); #63086=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472687,#472688,#472689,#472690, #472691,#472692),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.2499999999559,0.4999999999458, 1.),.UNSPECIFIED.); #63087=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472694,#472695,#472696,#472697, #472698,#472699,#472700,#472701,#472702,#472703,#472704,#472705), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4),(0.,0.08333333304248,0.1666666664012, 0.3333333331189,0.4999999998364,0.5833333331952,0.6666666665539,0.7499999999127, 0.8333333332714,1.),.UNSPECIFIED.); #63088=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472707,#472708,#472709,#472710), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63089=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472714,#472715,#472716,#472717, #472718,#472719,#472720,#472721,#472722,#472723,#472724,#472725,#472726), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(0.,0.3333333333333,0.6666666666667, 0.890455788256455,1.),.UNSPECIFIED.); #63090=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472728,#472729,#472730,#472731, #472732,#472733,#472734,#472735,#472736,#472737,#472738,#472739,#472740, #472741,#472742,#472743),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,4),(0.,0.2500000059926, 0.5000000094027,0.6250000111077,0.7500000128127,1.),.UNSPECIFIED.); #63091=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472745,#472746,#472747,#472748), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63092=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472750,#472751,#472752,#472753, #472754),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.5000000007389,1.), .UNSPECIFIED.); #63093=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472756,#472757,#472758,#472759, #472760,#472761),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.5000000000914,0.7500000001303, 1.),.UNSPECIFIED.); #63094=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472763,#472764,#472765,#472766, #472767,#472768,#472769,#472770,#472771,#472772,#472773,#472774,#472775, #472776,#472777,#472778,#472779,#472780,#472781,#472782,#472783,#472784, #472785,#472786,#472787,#472788,#472789,#472790,#472791,#472792,#472793, #472794,#472795,#472796,#472797,#472798,#472799,#472800,#472801,#472802), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.08333314740402, 0.1666664976404,0.2083331727587,0.2499998478769,0.3333331981129,0.4166665483493, 0.4999998985853,0.5833332488217,0.6249999239399,0.6666665990581,0.7499999492941, 0.8333332995306,1.),.UNSPECIFIED.); #63095=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472804,#472805,#472806,#472807, #472808,#472809,#472810,#472811,#472812,#472813,#472814,#472815,#472816, #472817,#472818,#472819,#472820,#472821,#472822,#472823,#472824,#472825, #472826,#472827,#472828,#472829,#472830,#472831,#472832,#472833,#472834, #472835,#472836,#472837,#472838,#472839,#472840,#472841,#472842,#472843, #472844,#472845,#472846,#472847,#472848,#472849,#472850,#472851,#472852, #472853,#472854,#472855),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,4),(0.,0.03124999524437,0.06249999049056,0.1249999809829,0.1562499762291, 0.1874999714753,0.2499999619676,0.2812499572138,0.31249995246,0.3749999429524, 0.4374999334449,0.499999923937,0.5624999144295,0.624999904922,0.7499998859066, 0.8749998668912,0.988894391575352,1.),.UNSPECIFIED.); #63096=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472857,#472858,#472859,#472860, #472861,#472862,#472863,#472864,#472865,#472866,#472867,#472868,#472869, #472870,#472871,#472872,#472873,#472874,#472875,#472876,#472877,#472878, #472879,#472880,#472881,#472882,#472883,#472884),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,4),(1.11550965678591E-6,0.02120249597432,0.09649461166645, 0.2470788430507,0.3976630744349,0.5482473058191,0.6235394215112,0.6988315372033, 0.8494157685876,1.),.UNSPECIFIED.); #63097=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472888,#472889,#472890,#472891, #472892,#472893,#472894,#472895,#472896,#472897,#472898,#472899,#472900, #472901),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(0.,0.0924253274464, 0.1848506009516,0.2772758744573,0.3697011479626,0.4621264214678,0.5545516949735, 0.6469769684788,0.8318275154897,0.8780401522423,0.9242527889949,0.999999129792433), .UNSPECIFIED.); #63098=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472903,#472904,#472905,#472906, #472907,#472908,#472909,#472910,#472911,#472912,#472913,#472914,#472915), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(0.,0.3333333333333,0.616322425732465, 0.6666666666667,1.),.UNSPECIFIED.); #63099=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472917,#472918,#472919,#472920, #472921,#472922,#472923,#472924,#472925,#472926,#472927,#472928,#472929), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(0.,0.2500000000039,0.3125000000037, 0.3750000000034,0.5000000000029,0.5625000000029,0.6250000000026,0.6875000000022, 0.7500000000019,0.8750000000013,1.),.UNSPECIFIED.); #63100=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472931,#472932,#472933,#472934), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63101=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472936,#472937,#472938,#472939, #472940,#472941,#472942,#472943,#472944,#472945,#472946,#472947,#472948, #472949,#472950,#472951,#472952,#472953,#472954,#472955,#472956,#472957, #472958,#472959,#472960,#472961,#472962,#472963,#472964,#472965,#472966), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,4),(0.,0.06666666656312,0.1333333331441, 0.199999999725,0.2666666663059,0.3999999994677,0.5333333326296,0.6666666657916, 0.7999999989529,0.9333333321147,1.),.UNSPECIFIED.); #63102=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472968,#472969,#472970,#472971, #472972,#472973),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.2499999999591,0.4999999999515, 1.),.UNSPECIFIED.); #63103=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472975,#472976,#472977,#472978, #472979,#472980,#472981,#472982,#472983,#472984,#472985,#472986), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4),(0.,0.08333333263851,0.1666666660341, 0.3333333328251,0.4999999996162,0.5833333330118,0.6666666664074,0.7499999998029, 0.8333333331982,1.),.UNSPECIFIED.); #63104=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472988,#472989,#472990,#472991), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63105=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472995,#472996,#472997,#472998, #472999,#473000,#473001,#473002,#473003,#473004,#473005,#473006,#473007), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(0.,0.3333333333333,0.6666666666667, 0.89032389052424,0.996214847600916),.UNSPECIFIED.); #63106=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473009,#473010,#473011,#473012, #473013,#473014,#473015,#473016,#473017,#473018,#473019,#473020,#473021, #473022,#473023,#473024),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,4),(0.,0.250000005994, 0.5000000094036,0.6250000111083,0.7500000128132,1.),.UNSPECIFIED.); #63107=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473026,#473027,#473028,#473029), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63108=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473031,#473032,#473033,#473034, #473035),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.5000000007375,1.), .UNSPECIFIED.); #63109=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473037,#473038,#473039,#473040, #473041,#473042),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.5000000000905,0.7500000001313, 1.),.UNSPECIFIED.); #63110=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473044,#473045,#473046,#473047, #473048,#473049,#473050,#473051,#473052,#473053,#473054,#473055,#473056, #473057,#473058,#473059,#473060,#473061,#473062,#473063,#473064,#473065, #473066,#473067,#473068,#473069,#473070,#473071,#473072,#473073,#473074, #473075,#473076,#473077,#473078,#473079,#473080,#473081,#473082,#473083), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.08333314740371, 0.1666664976402,0.2083331727584,0.2499998478766,0.3333331981126,0.4166665483491, 0.4999998985855,0.5833332488215,0.6249999239398,0.666666599058,0.7499999492945, 0.8333332995305,1.),.UNSPECIFIED.); #63111=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473085,#473086,#473087,#473088, #473089,#473090,#473091,#473092,#473093,#473094,#473095,#473096,#473097, #473098,#473099,#473100,#473101,#473102,#473103,#473104,#473105,#473106, #473107,#473108,#473109,#473110,#473111,#473112,#473113,#473114,#473115, #473116,#473117,#473118,#473119,#473120,#473121,#473122,#473123,#473124, #473125,#473126,#473127,#473128,#473129,#473130,#473131,#473132,#473133, #473134,#473135,#473136),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,4),(0.,0.03124999524462,0.06249999049084,0.1249999809832,0.1562499762295, 0.1874999714757,0.2499999619681,0.2812499572143,0.3124999524605,0.3749999429529, 0.4374999334454,0.4999999239376,0.5624999144301,0.6249999049227,0.7499998859074, 0.8749998668921,0.98889439174644,1.),.UNSPECIFIED.); #63112=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473138,#473139,#473140,#473141, #473142,#473143,#473144,#473145,#473146,#473147,#473148,#473149,#473150, #473151,#473152,#473153,#473154,#473155,#473156,#473157,#473158,#473159, #473160,#473161,#473162,#473163,#473164,#473165),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,4),(1.98122401333764E-6,0.02122582448398,0.09651614567561, 0.2470967880588,0.3976774304418,0.5482580728249,0.6235483940165,0.6988387152081, 0.8494193575912,1.),.UNSPECIFIED.); #63113=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473169,#473170,#473171,#473172, #473173,#473174,#473175,#473176,#473177,#473178,#473179,#473180,#473181, #473182),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(0.,0.09242507518508, 0.1848500964294,0.2772751176742,0.3697001389185,0.4621251601629,0.5545501814077, 0.646975202652,0.8318252451411,0.8780377557633,0.9242502663855,0.99999951692615), .UNSPECIFIED.); #63114=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473184,#473185,#473186,#473187, #473188,#473189,#473190,#473191,#473192,#473193,#473194,#473195,#473196), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(0.,0.3333333333333,0.61632242571887, 0.6666666666667,1.),.UNSPECIFIED.); #63115=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473198,#473199,#473200,#473201, #473202,#473203,#473204,#473205,#473206,#473207,#473208,#473209,#473210, #473211),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(0.,0.2500000000029, 0.3125000000027,0.3750000000024,0.4375000000022,0.500000000002,0.5625000000017, 0.6250000000014,0.6875000000011,0.7500000000013,0.8750000000008,1.), .UNSPECIFIED.); #63116=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473213,#473214,#473215,#473216), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63117=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473218,#473219,#473220,#473221, #473222,#473223,#473224,#473225,#473226,#473227,#473228,#473229,#473230, #473231,#473232,#473233,#473234,#473235,#473236,#473237,#473238,#473239, #473240,#473241,#473242,#473243,#473244,#473245,#473246,#473247,#473248), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,4),(0.,0.06666666657248,0.1333333331527, 0.1999999997328,0.266666666313,0.3999999994733,0.5333333326337,0.6666666657941, 0.7999999989546,0.9333333321144,1.),.UNSPECIFIED.); #63118=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473250,#473251,#473252,#473253, #473254,#473255),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.2499999999687,0.4999999999693, 1.),.UNSPECIFIED.); #63119=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473257,#473258,#473259,#473260, #473261,#473262,#473263,#473264,#473265,#473266,#473267,#473268), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4),(0.,0.0833333325973,0.1666666659965, 0.3333333327949,0.4999999995933,0.5833333329924,0.6666666663916,0.7499999997908, 0.8333333331902,1.),.UNSPECIFIED.); #63120=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473270,#473271,#473272,#473273), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63121=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473276,#473277,#473278,#473279, #473280,#473281,#473282,#473283,#473284,#473285),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(0.,0.3333333333333,0.6666666666667,0.999822116189794), .UNSPECIFIED.); #63122=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473287,#473288,#473289,#473290, #473291,#473292,#473293,#473294,#473295,#473296),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(0.00180672256561646,0.3333333333333,0.6666666666667,0.99983194343095), .UNSPECIFIED.); #63123=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473298,#473299,#473300,#473301, #473302,#473303,#473304,#473305,#473306,#473307),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(0.,0.3333333333333,0.6666666666667,1.),.UNSPECIFIED.); #63124=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473310,#473311,#473312,#473313, #473314,#473315),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0221574981285547,-0.0112705005050058, -0.000213259697371171),.UNSPECIFIED.); #63125=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473316,#473317,#473318,#473319, #473320,#473321),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0649218379523003,-0.03349507876392, -8.63478216969749E-7),.UNSPECIFIED.); #63126=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473521,#473522,#473523,#473524, #473525,#473526,#473527,#473528,#473529,#473530,#473531,#473532,#473533, #473534,#473535,#473536),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,4),(-0.0749879101291699, -0.0715089993749157,-0.0674507336673747,-0.0585128458552777,-0.0468023378332675, -0.0344122887165479,-0.0178257523654365,-9.84552899777058E-7), .UNSPECIFIED.); #63127=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473538,#473539,#473540,#473541, #473542,#473543,#473544,#473545),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.6014572670521E-6, 0.0234727050842805,0.0569978877420715,0.139691293667619),.UNSPECIFIED.); #63128=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473549,#473550,#473551,#473552, #473553,#473554,#473555,#473556,#473557,#473558,#473559,#473560,#473561, #473562,#473563,#473564,#473565,#473566,#473567,#473568,#473569,#473570, #473571,#473572,#473573,#473574,#473575,#473576,#473577,#473578,#473579, #473580,#473581,#473582,#473583,#473584,#473585,#473586,#473587,#473588, #473589,#473590,#473591,#473592,#473593,#473594,#473595,#473596,#473597, #473598,#473599,#473600,#473601,#473602,#473603,#473604,#473605,#473606, #473607,#473608,#473609,#473610,#473611,#473612,#473613,#473614,#473615, #473616,#473617,#473618,#473619,#473620,#473621,#473622,#473623,#473624, #473625,#473626,#473627,#473628,#473629,#473630,#473631,#473632,#473633, #473634,#473635,#473636,#473637,#473638,#473639,#473640,#473641,#473642, #473643,#473644,#473645),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.01587185917994,0.0411347544968976, 0.04761792816397,0.081807217556536,0.1111100661294,0.151903045757652,0.1746022040948, 0.215045475487552,0.2380943420629,0.285090827233061,0.3015864800283,0.354072509340742, 0.3650786179937,0.382679009898812,0.431757348393222,0.43536620981905,0.440015999537524, 0.4920628939272,0.566450680473042,0.6190471698581,0.68253930782485,0.7460314457916, 0.794498570709781,0.809523583757,0.861554632411563,0.8730157217224,0.9047617907065, 0.911233271262865,0.936002343795303,0.9365078596879,0.97285194529277,1.), .UNSPECIFIED.); #63129=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473688,#473689,#473690,#473691, #473692,#473693,#473694),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.5000001188753, 1.),.UNSPECIFIED.); #63130=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473695,#473696,#473697,#473698, #473699,#473700,#473701,#473702),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0., 0.2499999871873,0.3749999888923,0.4999999905973,0.7499999940074,1.), .UNSPECIFIED.); #63131=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473724,#473725,#473726,#473727, #473728,#473729,#473730),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.5000006626617, 1.),.UNSPECIFIED.); #63132=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473731,#473732,#473733,#473734), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63133=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473762,#473763,#473764,#473765, #473766),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.4999999992611,1.), .UNSPECIFIED.); #63134=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473799,#473800,#473801,#473802, #473803,#473804,#473805,#473806,#473807,#473808,#473809,#473810,#473811, #473812,#473813,#473814,#473815,#473816,#473817),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,4),(0.,0.2499999998697,0.335323954092221,0.4999999999086,0.718986545195304, 0.931803444196195,1.),.UNSPECIFIED.); #63135=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473900,#473901,#473902,#473903, #473904,#473905,#473906,#473907,#473908,#473909,#473910,#473911,#473912, #473913,#473914,#473915),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1, 1,4),(0.,0.1666667004694,0.2500000507059,0.3333334009419,0.3750000760601, 0.4166667511783,0.5000001014147,0.5833334516507,0.6666668018871,0.7500001521231, 0.7916668272413,0.8333335023596,0.916666852596,1.),.UNSPECIFIED.); #63136=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474012,#474013,#474014,#474015, #474016,#474017,#474018,#474019,#474020,#474021,#474022,#474023,#474024, #474025,#474026,#474027,#474028,#474029,#474030,#474031,#474032,#474033, #474034,#474035,#474036,#474037,#474038,#474039,#474040,#474041,#474042, #474043,#474044,#474045,#474046,#474047,#474048,#474049,#474050,#474051, #474052,#474053,#474054,#474055,#474056,#474057,#474058,#474059,#474060, #474061,#474062,#474063,#474064,#474065,#474066,#474067,#474068,#474069, #474070,#474071,#474072,#474073,#474074,#474075,#474076,#474077,#474078, #474079,#474080,#474081,#474082,#474083,#474084,#474085,#474086,#474087, #474088,#474089,#474090,#474091,#474092,#474093,#474094,#474095,#474096, #474097,#474098,#474099),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.0302976793344796,0.0447155286833432, 0.0877730326519045,0.132816244623168,0.1666666666667,0.168538835023755, 0.207008543788402,0.244996966888439,0.30087813744896,0.318901144102788, 0.3333333333333,0.354703036638111,0.390069857179882,0.433308213595271,0.458420719800681, 0.5,0.522325576840987,0.564506572155943,0.583059989640312,0.641592732804224, 0.6666666666667,0.69820244905434,0.764935149539874,0.804682902888939,0.8333333333333, 0.893815550750975,0.904598897291804,0.965552885033231,1.),.UNSPECIFIED.); #63137=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474150,#474151,#474152,#474153, #474154,#474155),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0240872923145929,-0.0119300649545126, -1.79198573640551E-6),.UNSPECIFIED.); #63138=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474156,#474157,#474158,#474159, #474160,#474161,#474162,#474163,#474164,#474165,#474166,#474167,#474168, #474169,#474170,#474171,#474172,#474173,#474174,#474175,#474176,#474177, #474178,#474179,#474180,#474181,#474182,#474183,#474184,#474185,#474186, #474187,#474188,#474189,#474190,#474191,#474192,#474193,#474194,#474195, #474196,#474197,#474198,#474199,#474200,#474201,#474202,#474203,#474204, #474205,#474206,#474207,#474208,#474209,#474210),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.008442650057799,0.16666666666665, 0.176249812621691,0.249999999999975,0.291666666666638,0.312499999999969, 0.3333333333333,0.341894440113247,0.505015181878777,0.586247043008349,0.6666666666667, 0.667829948423944,0.708333333333363,0.726562500000027,0.743652343750026, 0.807739257812519,0.83298972648994,1.),.UNSPECIFIED.); #63139=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474212,#474213,#474214,#474215, #474216,#474217,#474218,#474219,#474220,#474221,#474222,#474223,#474224, #474225,#474226,#474227,#474228,#474229,#474230),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,4),(0.000404503981008376,0.109343951939465,0.700565871642565, 1.02144878337451,1.38172347360329,1.53350885382997,1.55012128511161), .UNSPECIFIED.); #63140=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474233,#474234,#474235,#474236, #474237,#474238),.UNSPECIFIED.,.F.,.F.,(4,2,4),(4.94965476395984E-6,0.45842441583662, 0.939721562563328),.UNSPECIFIED.); #63141=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474239,#474240,#474241,#474242, #474243,#474244,#474245,#474246,#474247,#474248,#474249,#474250,#474251, #474252,#474253,#474254,#474255,#474256),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,4),(-0.0891532444549194,-0.0821112026204022,-0.0751149044351218, -0.0651997852529808,-0.0515622264426908,-0.0353085072651199,-0.0193434996201007, -0.0095882607341625,-2.51275071444788E-6),.UNSPECIFIED.); #63142=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474412,#474413,#474414,#474415, #474416,#474417,#474418,#474419,#474420,#474421,#474422,#474423,#474424, #474425,#474426,#474427,#474428,#474429,#474430,#474431,#474432,#474433, #474434,#474435,#474436,#474437,#474438,#474439,#474440,#474441,#474442, #474443,#474444,#474445,#474446,#474447,#474448,#474449,#474450,#474451, #474452,#474453,#474454,#474455,#474456,#474457,#474458,#474459,#474460), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(1.62368817129445E-5, 0.01108956961718,0.0241015481649275,0.0311158178508226,0.06313748380817, 0.115185397999302,0.134703365820977,0.151781587664943,0.2713291405727,0.3233770547637, 0.3754249689547,0.4795207973372,0.5836166257192,0.6877124541012,0.7918082824837, 0.8959041108657,1.),.UNSPECIFIED.); #63143=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474573,#474574,#474575,#474576, #474577,#474578,#474579,#474580,#474581,#474582,#474583,#474584,#474585, #474586,#474587,#474588,#474589,#474590,#474591,#474592,#474593,#474594), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(0.,0.1999999066206,0.2999999182928, 0.3999999299648,0.5999999533089,0.699999964981,0.7999999766532,1.), .UNSPECIFIED.); #63144=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474740,#474741,#474742,#474743, #474744,#474745,#474746,#474747,#474748,#474749,#474750,#474751,#474752), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(0.,0.1249999999987,0.2499999999981, 0.3124999999978,0.3749999999974,0.4374999999971,0.4999999999971,0.6249999999966, 0.6874999999963,0.7499999999961,1.),.UNSPECIFIED.); #63145=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474800,#474801,#474802,#474803), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63146=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474948,#474949,#474950,#474951, #474952,#474953,#474954,#474955,#474956,#474957,#474958,#474959,#474960, #474961,#474962,#474963,#474964,#474965,#474966,#474967,#474968,#474969, #474970,#474971,#474972,#474973,#474974,#474975,#474976,#474977,#474978, #474979,#474980,#474981,#474982,#474983,#474984,#474985,#474986,#474987, #474988,#474989,#474990,#474991,#474992,#474993,#474994,#474995,#474996, #474997,#474998,#474999,#475000,#475001,#475002,#475003,#475004,#475005, #475006,#475007,#475008,#475009,#475010,#475011,#475012,#475013,#475014, #475015,#475016,#475017,#475018,#475019,#475020),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.0613275574275201, 0.083333333333325,0.114583333333322,0.128255208333321,0.184373040153219, 0.269351314273223,0.308907366567147,0.3333333333333,0.437207500730621,0.5, 0.520833333333337,0.539062500000008,0.570309548449096,0.655314521824432, 0.6666666666667,0.709783443969216,0.750000000000025,0.781555510932429,0.812500000000019, 0.824218750000018,0.853593437181402,0.926087578223937,0.983772210348231, 1.),.UNSPECIFIED.); #63147=B_SPLINE_CURVE_WITH_KNOTS('',3,(#475092,#475093,#475094,#475095, #475096,#475097),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.5000000000172,0.7500000000253, 1.),.UNSPECIFIED.); #63148=B_SPLINE_CURVE_WITH_KNOTS('',3,(#475231,#475232,#475233,#475234, #475235,#475236,#475237,#475238,#475239,#475240,#475241,#475242,#475243, #475244,#475245,#475246,#475247,#475248,#475249,#475250,#475251,#475252, #475253,#475254,#475255,#475256,#475257,#475258,#475259,#475260,#475261, #475262,#475263,#475264,#475265,#475266,#475267,#475268,#475269,#475270, #475271,#475272,#475273,#475274,#475275,#475276,#475277,#475278,#475279, #475280,#475281,#475282,#475283,#475284,#475285,#475286,#475287,#475288, #475289,#475290,#475291,#475292,#475293,#475294,#475295,#475296,#475297, #475298,#475299,#475300,#475301,#475302,#475303),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.0912385027763463, 0.172338133299369,0.180057200512931,0.25,0.28125,0.3359375,0.350797253172881, 0.376953125,0.4384765625,0.5,0.514460730032459,0.580095061675121,0.594176310866606, 0.625,0.6328125,0.673189446816736,0.7257401896984,0.75,0.752939318593063, 0.8125,0.834225235982127,0.8359375,0.913060760094469,1.),.UNSPECIFIED.); #63149=B_SPLINE_CURVE_WITH_KNOTS('',3,(#475349,#475350,#475351,#475352, #475353,#475354,#475355,#475356,#475357,#475358,#475359,#475360,#475361, #475362,#475363,#475364),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,4),(0.,0.125, 0.5625,0.78125,0.890625,1.),.UNSPECIFIED.); #63150=B_SPLINE_CURVE_WITH_KNOTS('',3,(#475562,#475563,#475564,#475565, #475566,#475567),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-1.60166280129366,-0.699730312185651, -8.69563215211144E-7),.UNSPECIFIED.); #63151=B_SPLINE_CURVE_WITH_KNOTS('',3,(#475568,#475569,#475570,#475571, #475572,#475573),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00461365480502548,-0.00230200176945638, -7.57023371614647E-6),.UNSPECIFIED.); #63152=B_SPLINE_CURVE_WITH_KNOTS('',3,(#475590,#475591,#475592,#475593), .UNSPECIFIED.,.F.,.F.,(4,4),(4.72707205957363E-5,1.),.UNSPECIFIED.); #63153=B_SPLINE_CURVE_WITH_KNOTS('',3,(#475785,#475786,#475787,#475788), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.886822097277709,-0.878670785943495), .UNSPECIFIED.); #63154=B_SPLINE_CURVE_WITH_KNOTS('',3,(#475792,#475793,#475794,#475795, #475796,#475797,#475798,#475799,#475800,#475801,#475802,#475803,#475804, #475805,#475806,#475807,#475808,#475809),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,4),(1.25871524063622,1.30151760984985,1.41523129250643,1.47208813383472, 1.52894497516302,1.58580181649131,1.6426586578196,1.75637234047618,1.7991747096898), .UNSPECIFIED.); #63155=B_SPLINE_CURVE_WITH_KNOTS('',3,(#475819,#475820,#475821,#475822, #475823,#475824,#475825,#475826,#475827,#475828,#475829,#475830,#475831, #475832,#475833,#475834,#475835,#475836),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,4),(1.2587152314421,1.30151760065577,1.41523128331236,1.47208812464065, 1.52894496596894,1.58580180729723,1.64265864862552,1.75637233128211,1.79917470049574), .UNSPECIFIED.); #63156=B_SPLINE_CURVE_WITH_KNOTS('',3,(#475842,#475843,#475844,#475845, #475846,#475847),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0240945063530234,-0.0119373645923797, -2.13027681372107E-6),.UNSPECIFIED.); #63157=B_SPLINE_CURVE_WITH_KNOTS('',3,(#475848,#475849,#475850,#475851, #475852,#475853,#475854,#475855,#475856,#475857,#475858,#475859,#475860, #475861,#475862,#475863,#475864,#475865,#475866),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,4),(0.000355536929055625,0.109239007926305,0.698394369202694, 1.01729284863206,1.37541004527472,1.52628649032523,1.54279944066934), .UNSPECIFIED.); #63158=B_SPLINE_CURVE_WITH_KNOTS('',3,(#475868,#475869,#475870,#475871, #475872,#475873),.UNSPECIFIED.,.F.,.F.,(4,2,4),(4.95916751610869E-6,0.458425682906475, 0.940051355630647),.UNSPECIFIED.); #63159=B_SPLINE_CURVE_WITH_KNOTS('',3,(#475874,#475875,#475876,#475877, #475878,#475879,#475880,#475881,#475882,#475883,#475884,#475885,#475886, #475887,#475888,#475889,#475890,#475891),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,4),(-0.0891511137461326,-0.0821095698290758,-0.0751148889560737, -0.0651997549196119,-0.0515634950466975,-0.0353109496848965,-0.0193468210906148, -0.00958991806062734,-2.51638989751394E-6),.UNSPECIFIED.); #63160=B_SPLINE_CURVE_WITH_KNOTS('',3,(#476047,#476048,#476049,#476050, #476051,#476052,#476053,#476054,#476055,#476056,#476057,#476058,#476059, #476060,#476061,#476062,#476063,#476064,#476065,#476066,#476067,#476068, #476069,#476070,#476071,#476072,#476073,#476074,#476075,#476076,#476077, #476078,#476079,#476080,#476081,#476082,#476083,#476084,#476085,#476086, #476087,#476088,#476089,#476090,#476091,#476092,#476093,#476094,#476095), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(1.62760472729783E-5, 0.01108981793951,0.0241017932198625,0.0311160611444275,0.06313771906092, 0.115185620182465,0.134703583103044,0.151781800658551,0.2713293235471,0.3233772246685, 0.3754251257899,0.4795209280333,0.5836167302761,0.687712532519,0.7918083347623, 0.8959041370052,1.),.UNSPECIFIED.); #63161=B_SPLINE_CURVE_WITH_KNOTS('',3,(#476144,#476145,#476146,#476147, #476148,#476149,#476150,#476151,#476152,#476153,#476154,#476155,#476156, #476157,#476158,#476159),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,4),(0.,0.125, 0.5625,0.78125,0.890625,1.),.UNSPECIFIED.); #63162=B_SPLINE_CURVE_WITH_KNOTS('',3,(#476161,#476162,#476163,#476164, #476165,#476166,#476167,#476168,#476169,#476170,#476171,#476172,#476173, #476174,#476175,#476176),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,4),(-0.074987910135317, -0.0715089993812488,-0.0674507336733122,-0.0585128458598252,-0.046802337836259, -0.0344122887193513,-0.017825752370118,-9.8455302247058E-7), .UNSPECIFIED.); #63163=B_SPLINE_CURVE_WITH_KNOTS('',3,(#476177,#476178,#476179,#476180, #476181,#476182),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.022137423614112,-0.0112604410711366, -0.000213259767766074),.UNSPECIFIED.); #63164=B_SPLINE_CURVE_WITH_KNOTS('',3,(#476184,#476185,#476186,#476187, #476188,#476189),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0648211098172093,-0.0334405595064563, -8.63479330481809E-7),.UNSPECIFIED.); #63165=B_SPLINE_CURVE_WITH_KNOTS('',3,(#476389,#476390,#476391,#476392, #476393,#476394,#476395,#476396),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.60145733064255E-6, 0.0234727050792467,0.0569978876570044,0.139691293299498),.UNSPECIFIED.); #63166=B_SPLINE_CURVE_WITH_KNOTS('',3,(#476400,#476401,#476402,#476403, #476404,#476405,#476406,#476407,#476408,#476409,#476410,#476411,#476412, #476413,#476414,#476415,#476416,#476417,#476418,#476419,#476420,#476421, #476422,#476423,#476424,#476425,#476426,#476427,#476428,#476429,#476430, #476431,#476432,#476433,#476434,#476435,#476436,#476437,#476438,#476439, #476440,#476441,#476442,#476443,#476444,#476445,#476446,#476447,#476448, #476449,#476450,#476451,#476452,#476453,#476454,#476455,#476456,#476457, #476458,#476459,#476460,#476461,#476462,#476463,#476464,#476465,#476466, #476467,#476468,#476469,#476470,#476471,#476472,#476473,#476474,#476475, #476476,#476477,#476478,#476479,#476480,#476481,#476482,#476483,#476484, #476485,#476486,#476487,#476488,#476489,#476490,#476491,#476492,#476493, #476494,#476495,#476496),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.01587185918196,0.041134753934119, 0.04761792816328,0.0818072181748618,0.1111100661312,0.151903046124091,0.1746022040965, 0.2150454754056,0.2380943420618,0.285090827287814,0.3015864800271,0.354072509277168, 0.3650786179951,0.382679009802807,0.43175734831477,0.435366209845516,0.440015999423262, 0.4920628939257,0.566450680405583,0.6190471698589,0.6825393078242,0.7460314457895, 0.794498570681428,0.8095235837574,0.861554632526732,0.8730157217227,0.9047617907041, 0.911233271243614,0.936002343775734,0.936507859688,0.97285194541803,1.), .UNSPECIFIED.); #63167=B_SPLINE_CURVE_WITH_KNOTS('',3,(#476539,#476540,#476541,#476542, #476543,#476544,#476545),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.5000001188794, 1.),.UNSPECIFIED.); #63168=B_SPLINE_CURVE_WITH_KNOTS('',3,(#476546,#476547,#476548,#476549, #476550,#476551,#476552,#476553),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0., 0.2499999871868,0.3749999888917,0.4999999905964,0.749999994006,1.), .UNSPECIFIED.); #63169=B_SPLINE_CURVE_WITH_KNOTS('',3,(#476575,#476576,#476577,#476578, #476579,#476580,#476581),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.5000006626611, 1.),.UNSPECIFIED.); #63170=B_SPLINE_CURVE_WITH_KNOTS('',3,(#476582,#476583,#476584,#476585), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63171=B_SPLINE_CURVE_WITH_KNOTS('',3,(#476613,#476614,#476615,#476616, #476617),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.4999999992625,1.), .UNSPECIFIED.); #63172=B_SPLINE_CURVE_WITH_KNOTS('',3,(#476650,#476651,#476652,#476653, #476654,#476655,#476656,#476657,#476658,#476659,#476660,#476661,#476662, #476663,#476664,#476665,#476666,#476667,#476668),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,4),(0.,0.2499999998687,0.335323954094186,0.4999999999095,0.718986545185186, 0.931803444145823,1.),.UNSPECIFIED.); #63173=B_SPLINE_CURVE_WITH_KNOTS('',3,(#476751,#476752,#476753,#476754, #476755,#476756,#476757,#476758,#476759,#476760,#476761,#476762,#476763, #476764,#476765,#476766),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1, 1,4),(0.,0.1666667004695,0.2500000507055,0.333333400942,0.3750000760602, 0.4166667511785,0.5000001014145,0.5833334516509,0.6666668018874,0.7500001521234, 0.7916668272416,0.8333335023598,0.9166668525963,1.),.UNSPECIFIED.); #63174=B_SPLINE_CURVE_WITH_KNOTS('',3,(#476863,#476864,#476865,#476866, #476867,#476868,#476869,#476870,#476871,#476872,#476873,#476874,#476875, #476876,#476877,#476878,#476879,#476880,#476881,#476882,#476883,#476884, #476885,#476886,#476887,#476888,#476889,#476890,#476891,#476892,#476893, #476894,#476895,#476896,#476897,#476898,#476899,#476900,#476901,#476902, #476903,#476904,#476905,#476906,#476907,#476908,#476909,#476910,#476911, #476912,#476913,#476914,#476915,#476916,#476917,#476918,#476919,#476920, #476921,#476922,#476923,#476924,#476925,#476926,#476927,#476928,#476929, #476930,#476931,#476932,#476933,#476934,#476935,#476936,#476937,#476938, #476939,#476940,#476941,#476942,#476943,#476944,#476945,#476946,#476947, #476948,#476949,#476950),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.030297679338923,0.0447155286705169, 0.0877730326284002,0.13281624457097,0.1666666666667,0.168538834983774,0.20700854374022, 0.244996966833359,0.300878137473604,0.318901144039059,0.3333333333333,0.354703036571653, 0.390069857111072,0.433308213547496,0.458420719729965,0.5,0.522325576771045, 0.564506572090309,0.583059989573221,0.641592732741991,0.6666666666667,0.698202448998135, 0.764935149637825,0.804682902848372,0.8333333333333,0.893815550741867,0.904598897270264, 0.965552885082272,1.),.UNSPECIFIED.); #63175=B_SPLINE_CURVE_WITH_KNOTS('',3,(#477000,#477001,#477002,#477003, #477004,#477005,#477006,#477007,#477008,#477009,#477010,#477011,#477012, #477013,#477014,#477015,#477016,#477017,#477018,#477019,#477020,#477021, #477022,#477023,#477024,#477025,#477026,#477027,#477028,#477029,#477030, #477031,#477032,#477033,#477034,#477035,#477036,#477037,#477038,#477039, #477040,#477041,#477042,#477043,#477044,#477045,#477046,#477047,#477048, #477049,#477050,#477051,#477052,#477053,#477054),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.00844264935459199,0.16666666666665, 0.176249812267747,0.249999999999975,0.291666666666638,0.312499999999969, 0.3333333333333,0.341894439965041,0.505015181781461,0.586247042889631,0.6666666666667, 0.667829948290893,0.708333333333363,0.726562500000027,0.743652343750026, 0.807739257812519,0.832989726399805,1.),.UNSPECIFIED.); #63176=B_SPLINE_CURVE_WITH_KNOTS('',3,(#477245,#477246,#477247,#477248), .UNSPECIFIED.,.F.,.F.,(4,4),(4.72704549535261E-5,1.),.UNSPECIFIED.); #63177=B_SPLINE_CURVE_WITH_KNOTS('',3,(#477249,#477250,#477251,#477252, #477253,#477254),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-1.60166279986794,-0.699730315874962, -8.69563948180385E-7),.UNSPECIFIED.); #63178=B_SPLINE_CURVE_WITH_KNOTS('',3,(#477451,#477452,#477453,#477454, #477455,#477456),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00461365475542814,-0.00230200184303684, -7.57023469100624E-6),.UNSPECIFIED.); #63179=B_SPLINE_CURVE_WITH_KNOTS('',3,(#477458,#477459,#477460,#477461, #477462,#477463,#477464,#477465,#477466,#477467,#477468,#477469,#477470, #477471,#477472,#477473,#477474,#477475,#477476,#477477,#477478,#477479, #477480,#477481,#477482,#477483,#477484,#477485,#477486,#477487,#477488, #477489,#477490,#477491,#477492,#477493,#477494,#477495,#477496,#477497, #477498,#477499,#477500,#477501,#477502,#477503,#477504,#477505,#477506, #477507,#477508,#477509,#477510,#477511,#477512,#477513,#477514,#477515, #477516,#477517,#477518,#477519,#477520,#477521,#477522,#477523,#477524, #477525,#477526,#477527,#477528,#477529,#477530),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.0912385224656084, 0.172338225875858,0.180057206335092,0.25,0.28125,0.3359375,0.350797253583005, 0.376953125,0.4384765625,0.5,0.514460733378512,0.580095061478402,0.594176314091672, 0.625,0.6328125,0.673189449047422,0.725740189199643,0.75,0.752939319741861, 0.8125,0.834225236507277,0.8359375,0.913060753733474,1.),.UNSPECIFIED.); #63180=B_SPLINE_CURVE_WITH_KNOTS('',3,(#477532,#477533,#477534,#477535, #477536,#477537),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.500000000015,0.7500000000234, 1.),.UNSPECIFIED.); #63181=B_SPLINE_CURVE_WITH_KNOTS('',3,(#477539,#477540,#477541,#477542, #477543,#477544,#477545,#477546,#477547,#477548,#477549,#477550,#477551, #477552,#477553,#477554,#477555,#477556,#477557,#477558,#477559,#477560, #477561,#477562,#477563,#477564,#477565,#477566,#477567,#477568,#477569, #477570,#477571,#477572,#477573,#477574,#477575,#477576,#477577,#477578, #477579,#477580,#477581,#477582,#477583,#477584,#477585,#477586,#477587, #477588,#477589,#477590,#477591,#477592,#477593,#477594,#477595,#477596, #477597,#477598,#477599,#477600,#477601,#477602,#477603,#477604,#477605, #477606,#477607,#477608,#477609,#477610,#477611),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.0613275574411568, 0.083333333333325,0.114583333333322,0.128255208333321,0.184373040184412, 0.26935131430188,0.308907366613038,0.3333333333333,0.437207500784828,0.5, 0.520833333333337,0.539062500000008,0.570309548505057,0.655314521886369, 0.6666666666667,0.709783444018849,0.750000000000025,0.781555510976172,0.812500000000019, 0.824218750000018,0.853593437214871,0.926087578241472,0.983772210253225, 1.),.UNSPECIFIED.); #63182=B_SPLINE_CURVE_WITH_KNOTS('',3,(#477613,#477614,#477615,#477616), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63183=B_SPLINE_CURVE_WITH_KNOTS('',3,(#477618,#477619,#477620,#477621, #477622,#477623,#477624,#477625,#477626,#477627,#477628,#477629,#477630, #477631),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(0.,0.1249999999978, 0.2499999999967,0.3124999999967,0.3749999999961,0.4374999999956,0.499999999995, 0.5624999999945,0.624999999994,0.6874999999935,0.7499999999931,1.), .UNSPECIFIED.); #63184=B_SPLINE_CURVE_WITH_KNOTS('',3,(#477632,#477633,#477634,#477635, #477636,#477637,#477638,#477639,#477640,#477641,#477642,#477643,#477644, #477645,#477646,#477647,#477648,#477649,#477650,#477651,#477652,#477653), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(0.,0.1999999066203,0.2999999182923, 0.3999999299642,0.5999999533082,0.6999999649801,0.7999999766522,1.), .UNSPECIFIED.); #63185=B_SPLINE_CURVE_WITH_KNOTS('',3,(#478373,#478374,#478375,#478376, #478377,#478378),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.024087085388461,-0.0119298581309506, -1.5837937015819E-6),.UNSPECIFIED.); #63186=B_SPLINE_CURVE_WITH_KNOTS('',3,(#478381,#478382,#478383,#478384, #478385,#478386,#478387,#478388,#478389,#478390,#478391,#478392,#478393, #478394,#478395,#478396,#478397,#478398,#478399,#478400,#478401,#478402, #478403,#478404,#478405,#478406,#478407,#478408,#478409,#478410,#478411, #478412,#478413,#478414,#478415,#478416,#478417,#478418,#478419,#478420, #478421,#478422,#478423,#478424,#478425,#478426,#478427,#478428,#478429, #478430,#478431,#478432,#478433,#478434,#478435),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.00844264686126002,0.16666666666665, 0.17624981135524,0.249999999999975,0.291666666666638,0.312499999999969, 0.3333333333333,0.341894439926178,0.505015181735274,0.586247042704409,0.6666666666667, 0.667829947982479,0.708333333333363,0.726562500000027,0.743652343750026, 0.807739257812519,0.832989726122394,1.),.UNSPECIFIED.); #63187=B_SPLINE_CURVE_WITH_KNOTS('',3,(#478437,#478438,#478439,#478440, #478441,#478442,#478443,#478444,#478445,#478446,#478447,#478448,#478449, #478450,#478451,#478452,#478453,#478454,#478455),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,4),(0.000355428968520973,0.109238645351036,0.69838504983618, 1.01727790108313,1.37538742919862,1.52626061265904,1.54277320611377), .UNSPECIFIED.); #63188=B_SPLINE_CURVE_WITH_KNOTS('',3,(#478458,#478459,#478460,#478461, #478462,#478463),.UNSPECIFIED.,.F.,.F.,(4,2,4),(2.08844487748288E-6,0.458406727452467, 0.939998060065398),.UNSPECIFIED.); #63189=B_SPLINE_CURVE_WITH_KNOTS('',3,(#478464,#478465,#478466,#478467, #478468,#478469,#478470,#478471,#478472,#478473,#478474,#478475,#478476, #478477,#478478,#478479,#478480,#478481),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,4),(-0.0892130437863786,-0.0821702779238301,-0.0751733837754679, -0.0652580182382059,-0.0516175890203223,-0.0353612325018986,-0.0193941287759442, -0.00961280199759661,-2.08828317016513E-6),.UNSPECIFIED.); #63190=B_SPLINE_CURVE_WITH_KNOTS('',3,(#478637,#478638,#478639,#478640, #478641,#478642,#478643,#478644,#478645,#478646,#478647,#478648,#478649, #478650,#478651,#478652,#478653,#478654,#478655,#478656,#478657,#478658, #478659,#478660,#478661,#478662,#478663,#478664,#478665,#478666,#478667, #478668,#478669,#478670,#478671,#478672,#478673,#478674,#478675,#478676, #478677,#478678,#478679,#478680,#478681,#478682,#478683,#478684,#478685), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.01093889419352, 0.023952855312465,0.0309681937281463,0.0629947386693,0.115050583145225, 0.154092466502169,0.271218116573,0.32327396104875,0.3753298055245,0.4794414944761, 0.5835531834282,0.6876648723797,0.7917765613313,0.84383240580735,0.8958882502834, 1.),.UNSPECIFIED.); #63191=B_SPLINE_CURVE_WITH_KNOTS('',3,(#478798,#478799,#478800,#478801, #478802,#478803,#478804,#478805,#478806,#478807,#478808,#478809,#478810, #478811,#478812,#478813,#478814,#478815,#478816,#478817,#478818,#478819), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(0.,0.1999999066146,0.2999999182875, 0.3999999299603,0.5999999533061,0.6999999649788,0.7999999766517,1.), .UNSPECIFIED.); #63192=B_SPLINE_CURVE_WITH_KNOTS('',3,(#478977,#478978,#478979,#478980, #478981,#478982,#478983,#478984,#478985,#478986,#478987,#478988,#478989, #478990),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(0.,0.1249999999979, 0.2499999999976,0.3124999999975,0.3749999999973,0.4374999999972,0.4999999999971, 0.562499999997,0.6249999999968,0.6874999999966,0.7499999999965,1.), .UNSPECIFIED.); #63193=B_SPLINE_CURVE_WITH_KNOTS('',3,(#479037,#479038,#479039,#479040), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63194=B_SPLINE_CURVE_WITH_KNOTS('',3,(#479185,#479186,#479187,#479188, #479189,#479190,#479191,#479192,#479193,#479194,#479195,#479196,#479197, #479198,#479199,#479200,#479201,#479202,#479203,#479204,#479205,#479206, #479207,#479208,#479209,#479210,#479211,#479212,#479213,#479214,#479215, #479216,#479217,#479218,#479219,#479220,#479221,#479222,#479223,#479224, #479225,#479226,#479227,#479228,#479229,#479230,#479231,#479232,#479233, #479234,#479235,#479236,#479237,#479238,#479239,#479240,#479241,#479242, #479243,#479244,#479245,#479246,#479247,#479248,#479249,#479250,#479251, #479252,#479253,#479254,#479255,#479256,#479257),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.0613275574403562, 0.083333333333325,0.114583333333322,0.128255208333321,0.184373040182063, 0.269351314289379,0.308907366609718,0.3333333333333,0.437207500781313,0.5, 0.520833333333337,0.539062500000008,0.570309548502029,0.655314521902041, 0.6666666666667,0.709783444017413,0.750000000000025,0.781555510975611,0.812500000000019, 0.824218750000018,0.853593437215788,0.926087578244877,0.983772210305828, 1.),.UNSPECIFIED.); #63195=B_SPLINE_CURVE_WITH_KNOTS('',3,(#479327,#479328,#479329,#479330, #479331,#479332),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.5000000000277,0.7500000000314, 1.),.UNSPECIFIED.); #63196=B_SPLINE_CURVE_WITH_KNOTS('',3,(#479466,#479467,#479468,#479469, #479470,#479471,#479472,#479473,#479474,#479475,#479476,#479477,#479478, #479479,#479480,#479481,#479482,#479483,#479484,#479485,#479486,#479487, #479488,#479489,#479490,#479491,#479492,#479493,#479494,#479495,#479496, #479497,#479498,#479499,#479500,#479501,#479502,#479503,#479504,#479505, #479506,#479507,#479508,#479509,#479510,#479511,#479512,#479513,#479514, #479515,#479516,#479517,#479518,#479519,#479520,#479521,#479522,#479523, #479524,#479525,#479526,#479527,#479528,#479529,#479530,#479531,#479532, #479533,#479534,#479535,#479536,#479537,#479538),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.0912385328592193, 0.1723382665719,0.180057210189856,0.25,0.28125,0.3359375,0.350797255233015, 0.376953125,0.4384765625,0.5,0.514460737340533,0.580095062253417,0.594176317703488, 0.625,0.6328125,0.673189451576743,0.72574018932541,0.75,0.752939321140831, 0.8125,0.834225237201288,0.8359375,0.913060747776113,1.),.UNSPECIFIED.); #63197=B_SPLINE_CURVE_WITH_KNOTS('',3,(#479585,#479586,#479587,#479588, #479589,#479590,#479591,#479592,#479593,#479594,#479595,#479596,#479597, #479598,#479599,#479600),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,4),(0.,0.125, 0.5625,0.78125,0.890625,1.),.UNSPECIFIED.); #63198=B_SPLINE_CURVE_WITH_KNOTS('',3,(#479602,#479603,#479604,#479605, #479606,#479607,#479608,#479609,#479610,#479611,#479612,#479613,#479614, #479615,#479616,#479617),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,4),(-0.0749879159430442, -0.0715089748525961,-0.0674507135536448,-0.058512932832801,-0.0468023450022065, -0.0344122930757401,-0.0178257603342588,-9.8472622875323E-7), .UNSPECIFIED.); #63199=B_SPLINE_CURVE_WITH_KNOTS('',3,(#479618,#479619,#479620,#479621, #479622,#479623),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0221369693611736,-0.0112602436290069, -0.000213259650440419),.UNSPECIFIED.); #63200=B_SPLINE_CURVE_WITH_KNOTS('',3,(#479625,#479626,#479627,#479628, #479629,#479630),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0648414108064084,-0.033451983176257, -8.63579386195523E-7),.UNSPECIFIED.); #63201=B_SPLINE_CURVE_WITH_KNOTS('',3,(#479841,#479842,#479843,#479844, #479845,#479846,#479847,#479848),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.60145734931193E-6, 0.0234725701005073,0.0569978434834841,0.139690276061098),.UNSPECIFIED.); #63202=B_SPLINE_CURVE_WITH_KNOTS('',3,(#479852,#479853,#479854,#479855, #479856,#479857,#479858,#479859,#479860,#479861,#479862,#479863,#479864, #479865,#479866,#479867,#479868,#479869,#479870,#479871,#479872,#479873, #479874,#479875,#479876,#479877,#479878,#479879,#479880,#479881,#479882, #479883,#479884,#479885,#479886,#479887,#479888,#479889,#479890,#479891, #479892,#479893,#479894,#479895,#479896,#479897,#479898,#479899,#479900, #479901,#479902,#479903,#479904,#479905,#479906,#479907,#479908,#479909, #479910,#479911,#479912,#479913,#479914,#479915,#479916,#479917,#479918, #479919,#479920,#479921,#479922,#479923,#479924,#479925,#479926,#479927, #479928,#479929,#479930,#479931,#479932,#479933,#479934,#479935,#479936, #479937,#479938,#479939,#479940,#479941,#479942,#479943,#479944,#479945, #479946,#479947,#479948,#479949,#479950,#479951),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0., 0.0158718590739,0.0411419900807999,0.04761792783952,0.0817997892912826, 0.1111100653734,0.151897873691097,0.1746022029073,0.215046688009412,0.2380943404438, 0.285089740344948,0.3015864779777,0.354073789128718,0.3650786155116,0.382672747058763, 0.431749286299136,0.435367736708157,0.440009459563743,0.4920628905794,0.566495185107351, 0.6190471656471,0.68253930318235,0.7460314407176,0.794692009726513,0.8095235782515, 0.86117631169064,0.8730157157853,0.9047617845536,0.91124874107879,0.936004607246549, 0.9365078533192,0.96553364914424,0.9682539220875,1.),.UNSPECIFIED.); #63203=B_SPLINE_CURVE_WITH_KNOTS('',3,(#479994,#479995,#479996,#479997, #479998,#479999,#480000),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.5000001188764, 1.),.UNSPECIFIED.); #63204=B_SPLINE_CURVE_WITH_KNOTS('',3,(#480001,#480002,#480003,#480004, #480005,#480006,#480007,#480008),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0., 0.2499999652913,0.3749999706454,0.4999999759995,0.7499999867078,1.), .UNSPECIFIED.); #63205=B_SPLINE_CURVE_WITH_KNOTS('',3,(#480030,#480031,#480032,#480033, #480034,#480035,#480036),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.5000006626611, 1.),.UNSPECIFIED.); #63206=B_SPLINE_CURVE_WITH_KNOTS('',3,(#480037,#480038,#480039,#480040), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63207=B_SPLINE_CURVE_WITH_KNOTS('',3,(#480068,#480069,#480070,#480071, #480072),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.4999999992603,1.), .UNSPECIFIED.); #63208=B_SPLINE_CURVE_WITH_KNOTS('',3,(#480105,#480106,#480107,#480108, #480109,#480110,#480111,#480112,#480113,#480114,#480115,#480116,#480117, #480118,#480119,#480120,#480121,#480122,#480123),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,4),(0.,0.2499999998687,0.335323954092744,0.4999999999083,0.718986545131188, 0.93180344410199,1.),.UNSPECIFIED.); #63209=B_SPLINE_CURVE_WITH_KNOTS('',3,(#480206,#480207,#480208,#480209, #480210,#480211,#480212,#480213,#480214,#480215,#480216,#480217,#480218, #480219,#480220,#480221),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1, 1,4),(0.,0.1666667004692,0.2500000507051,0.3333334009415,0.3750000760597, 0.4166667511774,0.5000001014138,0.5833334516497,0.6666668018861,0.7500001521225, 0.7916668272403,0.8333335023584,0.9166668525948,1.),.UNSPECIFIED.); #63210=B_SPLINE_CURVE_WITH_KNOTS('',3,(#480317,#480318,#480319,#480320, #480321,#480322,#480323,#480324,#480325,#480326,#480327,#480328,#480329, #480330,#480331,#480332,#480333,#480334,#480335,#480336,#480337,#480338, #480339,#480340,#480341,#480342,#480343,#480344,#480345,#480346,#480347, #480348,#480349,#480350,#480351,#480352,#480353,#480354,#480355,#480356, #480357,#480358,#480359,#480360,#480361,#480362,#480363,#480364,#480365, #480366,#480367,#480368,#480369,#480370,#480371,#480372,#480373,#480374, #480375,#480376,#480377,#480378,#480379,#480380,#480381,#480382,#480383, #480384,#480385,#480386,#480387,#480388,#480389,#480390,#480391,#480392, #480393,#480394,#480395,#480396,#480397,#480398,#480399,#480400,#480401, #480402,#480403,#480404),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.0302976793307781,0.0447155286914096, 0.087773032666164,0.132816244632621,0.1666666666667,0.168538835046201,0.20700854381436, 0.244996966917478,0.300878137472083,0.31890114413632,0.3333333333333,0.354703036673328, 0.390069857216527,0.433308213634721,0.458420719838261,0.5,0.522325576878218, 0.564506572192699,0.583059989676212,0.6415927328377,0.6666666666667,0.698202449084646, 0.764935149591463,0.804682902911073,0.8333333333333,0.893815550713026,0.904598897304211, 0.965552885096971,1.),.UNSPECIFIED.); #63211=B_SPLINE_CURVE_WITH_KNOTS('',3,(#480595,#480596,#480597,#480598), .UNSPECIFIED.,.F.,.F.,(4,4),(5.36035501694853E-6,1.),.UNSPECIFIED.); #63212=B_SPLINE_CURVE_WITH_KNOTS('',3,(#480599,#480600,#480601,#480602, #480603,#480604,#480605,#480606,#480607,#480608,#480609,#480610,#480611), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(0.,0.2420448328063,0.641230265907147, 0.9283605279288,0.999999993157662),.UNSPECIFIED.); #63213=B_SPLINE_CURVE_WITH_KNOTS('',3,(#480621,#480622,#480623,#480624, #480625,#480626,#480627,#480628,#480629,#480630,#480631,#480632,#480633, #480634,#480635,#480636,#480637,#480638),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,4),(1.25871523144216,1.30151760065591,1.41523128331249,1.47208812464079, 1.52894496596908,1.58580180729737,1.64265864862566,1.75637233128224,1.79917470049587), .UNSPECIFIED.); #63214=B_SPLINE_CURVE_WITH_KNOTS('',3,(#480648,#480649,#480650,#480651, #480652,#480653,#480654,#480655,#480656,#480657,#480658,#480659,#480660, #480661,#480662,#480663,#480664,#480665),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,4),(1.25863334631657,1.30143571553017,1.41514939818675,1.47200623951504, 1.52886308084333,1.58571992217163,1.64257676349992,1.7562904461565,1.79909281537013), .UNSPECIFIED.); #63215=B_SPLINE_CURVE_WITH_KNOTS('',3,(#480675,#480676,#480677,#480678, #480679,#480680),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0240839207037258,-0.0119358090195042, -2.91113711822146E-6),.UNSPECIFIED.); #63216=B_SPLINE_CURVE_WITH_KNOTS('',3,(#480682,#480683,#480684,#480685, #480686,#480687),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-1.05528981322903,-0.532988760546978, -1.42434544136982E-6),.UNSPECIFIED.); #63217=B_SPLINE_CURVE_WITH_KNOTS('',3,(#480688,#480689,#480690,#480691, #480692,#480693),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.000355793189832008,0.36399777528439, 0.735613950655815),.UNSPECIFIED.); #63218=B_SPLINE_CURVE_WITH_KNOTS('',3,(#480695,#480696,#480697,#480698, #480699,#480700),.UNSPECIFIED.,.F.,.F.,(4,2,4),(3.54368560431762E-5,0.461018811424156, 0.972182374647957),.UNSPECIFIED.); #63219=B_SPLINE_CURVE_WITH_KNOTS('',3,(#480702,#480703,#480704,#480705, #480706,#480707),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.640227615617153,-0.310455483816023, -1.64866720478485E-6),.UNSPECIFIED.); #63220=B_SPLINE_CURVE_WITH_KNOTS('',3,(#480708,#480709,#480710,#480711, #480712,#480713,#480714,#480715,#480716,#480717,#480718,#480719,#480720, #480721,#480722,#480723,#480724,#480725),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,4),(-0.0838086383406007,-0.0776017173923235,-0.0714299825994295, -0.0626524708896873,-0.0506708937806423,-0.0355459604605105,-0.0196624372688791, -0.00975406049663671,-1.64796711434165E-6),.UNSPECIFIED.); #63221=B_SPLINE_CURVE_WITH_KNOTS('',3,(#480859,#480860,#480861,#480862, #480863,#480864,#480865,#480866,#480867,#480868,#480869,#480870,#480871, #480872,#480873,#480874,#480875,#480876,#480877,#480878,#480879,#480880, #480881,#480882,#480883,#480884,#480885,#480886,#480887,#480888,#480889, #480890,#480891,#480892,#480893,#480894,#480895),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.01366808491461,0.046545815414535,0.07942354591446, 0.21093446791408,0.3424453899137,0.4739563119135,0.6054672339132,0.7369781559128, 0.8027336169126,0.8684890779124,0.9342445389562,1.),.UNSPECIFIED.); #63222=B_SPLINE_CURVE_WITH_KNOTS('',3,(#481052,#481053,#481054,#481055, #481056,#481057,#481058,#481059,#481060,#481061,#481062,#481063,#481064, #481065),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(0.,0.1428571427756, 0.2142857142113,0.2857142856461,0.3571428570818,0.4285714285174,0.4999999999523, 0.571428571388,0.7142857142585,0.7857142856934,0.857142857129,1.), .UNSPECIFIED.); #63223=B_SPLINE_CURVE_WITH_KNOTS('',3,(#481211,#481212,#481213,#481214, #481215,#481216,#481217,#481218,#481219,#481220,#481221,#481222,#481223), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(0.,0.1249999999991,0.2499999999986, 0.3124999999983,0.374999999998,0.4374999999978,0.4999999999976,0.6249999999972, 0.6874999999966,0.7499999999966,1.),.UNSPECIFIED.); #63224=B_SPLINE_CURVE_WITH_KNOTS('',3,(#481369,#481370,#481371,#481372, #481373,#481374,#481375,#481376,#481377,#481378,#481379,#481380,#481381, #481382,#481383,#481384,#481385,#481386,#481387,#481388,#481389,#481390, #481391,#481392,#481393,#481394,#481395,#481396,#481397,#481398,#481399, #481400,#481401,#481402,#481403,#481404,#481405,#481406,#481407,#481408), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.1249999999963, 0.187499999997,0.2499999999977,0.3749999999992,0.5000000000007,0.6250000000021, 0.7500000000036,0.8125000000046,0.875000000005,0.90625000000555,0.9375000000061, 0.96875000000305,1.),.UNSPECIFIED.); #63225=B_SPLINE_CURVE_WITH_KNOTS('',3,(#481598,#481599,#481600,#481601, #481602,#481603,#481604,#481605,#481606,#481607,#481608,#481609,#481610, #481611,#481612,#481613,#481614,#481615,#481616,#481617,#481618,#481619, #481620,#481621,#481622,#481623,#481624,#481625,#481626,#481627,#481628, #481629,#481630,#481631,#481632,#481633,#481634,#481635,#481636,#481637, #481638,#481639,#481640,#481641,#481642,#481643,#481644,#481645,#481646, #481647,#481648,#481649,#481650,#481651,#481652,#481653,#481654,#481655, #481656,#481657,#481658,#481659,#481660,#481661,#481662,#481663,#481664, #481665,#481666,#481667,#481668,#481669,#481670,#481671,#481672,#481673, #481674,#481675,#481676,#481677,#481678,#481679,#481680,#481681,#481682, #481683,#481684,#481685,#481686,#481687,#481688,#481689,#481690,#481691, #481692,#481693,#481694,#481695,#481696,#481697,#481698,#481699,#481700, #481701,#481702,#481703,#481704,#481705,#481706,#481707,#481708,#481709), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.0700459320078264,0.12826568950306,0.137737771885688, 0.1666666666667,0.20833333333335,0.239583333333338,0.267119710922978,0.312186107562913, 0.3333333333333,0.387690708178931,0.41666666666665,0.427083333333319,0.436197916666654, 0.444648566232333,0.472802902198867,0.5,0.501208915146675,0.537966596903345, 0.560385531910403,0.58333333333335,0.593750000000019,0.622387557050264, 0.630208333333359,0.64843750000003,0.6666666666667,0.70833333333335,0.723958333333344, 0.730794270833341,0.750656314410566,0.783405017999807,0.815887071237578, 0.8333333333333,0.874999999999975,0.878983775721602,0.890624999999978,0.976639617178804, 1.),.UNSPECIFIED.); #63226=B_SPLINE_CURVE_WITH_KNOTS('',3,(#481756,#481757,#481758,#481759, #481760,#481761,#481762,#481763,#481764,#481765,#481766,#481767,#481768, #481769,#481770,#481771),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,4),(0.,0.125, 0.5625,0.78125,0.890625,1.),.UNSPECIFIED.); #63227=B_SPLINE_CURVE_WITH_KNOTS('',3,(#481773,#481774,#481775,#481776, #481777,#481778,#481779,#481780,#481781,#481782,#481783,#481784,#481785, #481786,#481787,#481788),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,4),(-0.0749742150027545, -0.0714965435754599,-0.0674396757296441,-0.058506831834082,-0.0467966253617656, -0.0344054050367082,-0.0178161590237871,-9.84725082864291E-7), .UNSPECIFIED.); #63228=B_SPLINE_CURVE_WITH_KNOTS('',3,(#481789,#481790,#481791,#481792, #481793,#481794),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.000213259325174372,0.0112893435349885, 0.0221346200458919),.UNSPECIFIED.); #63229=B_SPLINE_CURVE_WITH_KNOTS('',3,(#481796,#481797,#481798,#481799, #481800,#481801),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0649188420802199,-0.0334943454912077, -8.63576476162198E-7),.UNSPECIFIED.); #63230=B_SPLINE_CURVE_WITH_KNOTS('',3,(#482012,#482013,#482014,#482015, #482016,#482017,#482018,#482019),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.60145771087699E-6, 0.023473239754457,0.0570004553882786,0.139699676944537),.UNSPECIFIED.); #63231=B_SPLINE_CURVE_WITH_KNOTS('',3,(#482023,#482024,#482025,#482026, #482027,#482028,#482029,#482030,#482031,#482032,#482033,#482034,#482035, #482036,#482037,#482038,#482039,#482040,#482041,#482042,#482043,#482044, #482045,#482046,#482047,#482048,#482049,#482050,#482051,#482052,#482053, #482054,#482055,#482056,#482057,#482058,#482059,#482060,#482061,#482062, #482063,#482064,#482065,#482066,#482067,#482068,#482069,#482070,#482071, #482072,#482073,#482074,#482075,#482076,#482077,#482078,#482079,#482080, #482081,#482082,#482083,#482084,#482085,#482086,#482087,#482088,#482089, #482090,#482091,#482092,#482093,#482094,#482095,#482096,#482097,#482098, #482099,#482100,#482101,#482102,#482103,#482104,#482105,#482106,#482107, #482108,#482109,#482110,#482111,#482112,#482113,#482114,#482115,#482116, #482117,#482118,#482119,#482120,#482121,#482122),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0., 0.01587185919803,0.0411419935634417,0.04761792821266,0.0817997820206229, 0.1111100662446,0.151897856489425,0.1746022042738,0.215046682183031,0.2380943423031, 0.285089746575997,0.3015864803323,0.354073755543865,0.3650786183616,0.382672643387837, 0.431749184786446,0.435367627229648,0.440009338770891,0.4920628944227,0.566494986442396, 0.6190471704812,0.6825393085118,0.7460314465424,0.79469110400448,0.8095235845716, 0.861178066292746,0.8730157226009,0.9047617916155,0.911248416041307,0.936004347319492, 0.9365078606301,0.965570961500864,0.9682539296447,1.),.UNSPECIFIED.); #63232=B_SPLINE_CURVE_WITH_KNOTS('',3,(#482160,#482161,#482162,#482163, #482164,#482165,#482166),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.,0.2499999912856, 0.3749999924064,0.4999999935273,1.),.UNSPECIFIED.); #63233=B_SPLINE_CURVE_WITH_KNOTS('',3,(#482167,#482168,#482169,#482170, #482171,#482172,#482173),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.500000000002783, 1.),.UNSPECIFIED.); #63234=B_SPLINE_CURVE_WITH_KNOTS('',3,(#482200,#482201,#482202,#482203, #482204,#482205,#482206),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.4999999961923, 1.),.UNSPECIFIED.); #63235=B_SPLINE_CURVE_WITH_KNOTS('',3,(#482207,#482208,#482209,#482210, #482211,#482212,#482213),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.499999999999611, 1.),.UNSPECIFIED.); #63236=B_SPLINE_CURVE_WITH_KNOTS('',3,(#482240,#482241,#482242,#482243, #482244),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.4999999993352,1.), .UNSPECIFIED.); #63237=B_SPLINE_CURVE_WITH_KNOTS('',3,(#482313,#482314,#482315,#482316, #482317,#482318,#482319,#482320,#482321,#482322,#482323,#482324,#482325), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(0.,0.1818181818048,0.2727272727364, 0.363636363668,0.4545454545997,0.5454545455315,0.7272727273946,0.8181818183259, 0.9090909092577,0.9545454547233,1.),.UNSPECIFIED.); #63238=B_SPLINE_CURVE_WITH_KNOTS('',3,(#482412,#482413,#482414,#482415, #482416,#482417,#482418,#482419,#482420,#482421,#482422,#482423,#482424, #482425,#482426,#482427,#482428,#482429,#482430,#482431,#482432,#482433, #482434,#482435,#482436,#482437,#482438,#482439,#482440,#482441,#482442, #482443,#482444,#482445,#482446,#482447,#482448,#482449,#482450,#482451, #482452,#482453,#482454,#482455,#482456,#482457,#482458,#482459,#482460, #482461,#482462,#482463,#482464,#482465,#482466,#482467,#482468,#482469, #482470,#482471,#482472,#482473,#482474,#482475,#482476,#482477,#482478), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4), (0.,0.0525997693122764,0.06250000016608,0.105405086079873,0.125000000202, 0.131816460888011,0.1562500002198,0.1875000002376,0.2500000002735,0.275502334771288, 0.312500000309,0.423836575169095,0.4375000003809,0.5625000004523,0.6875000005238, 0.712524622986363,0.7500000005597,0.8125000005953,0.859135215865851,0.8750000006312, 0.9375000006668,0.993103693333529,1.),.UNSPECIFIED.); #63239=B_SPLINE_CURVE_WITH_KNOTS('',3,(#482536,#482537,#482538,#482539, #482540,#482541,#482542,#482543,#482544,#482545,#482546),.UNSPECIFIED., .F.,.F.,(4,1,1,1,1,1,1,1,4),(0.,0.100000002023,0.2000000017991,0.4000000013492, 0.5000000011243,0.6000000009004,0.8000000004505,0.9000000002267,1.), .UNSPECIFIED.); #63240=B_SPLINE_CURVE_WITH_KNOTS('',3,(#482592,#482593,#482594,#482595, #482596,#482597,#482598,#482599,#482600,#482601,#482602,#482603,#482604, #482605,#482606,#482607,#482608,#482609,#482610,#482611,#482612,#482613, #482614,#482615,#482616,#482617,#482618,#482619,#482620,#482621,#482622, #482623,#482624,#482625,#482626,#482627,#482628,#482629,#482630,#482631, #482632,#482633,#482634,#482635,#482636,#482637,#482638,#482639,#482640), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.0112677528671346, 0.16666666666665,0.178539983587111,0.249999999999975,0.291666666666638, 0.312499999999969,0.3333333333333,0.343615013678721,0.506251147091085,0.587284475256893, 0.6666666666667,0.708333333333363,0.726562500000027,0.743652343750026,0.833420770520487, 1.),.UNSPECIFIED.); #63241=B_SPLINE_CURVE_WITH_KNOTS('',3,(#482831,#482832,#482833,#482834), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63242=B_SPLINE_CURVE_WITH_KNOTS('',3,(#482835,#482836,#482837,#482838, #482839,#482840),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.2420573897527,0.9283617147705, 0.999999995523018),.UNSPECIFIED.); #63243=B_SPLINE_CURVE_WITH_KNOTS('',3,(#483037,#483038,#483039,#483040, #483041,#483042),.UNSPECIFIED.,.F.,.F.,(4,2,4),(7.57023650980144E-6,0.00231049376221707, 0.00460807251405909),.UNSPECIFIED.); #63244=B_SPLINE_CURVE_WITH_KNOTS('',3,(#483271,#483272,#483273,#483274, #483275,#483276),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00461638755007663,-0.00230139410942508, -7.57023451632045E-6),.UNSPECIFIED.); #63245=B_SPLINE_CURVE_WITH_KNOTS('',3,(#483297,#483298,#483299,#483300, #483301,#483302),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0221362668131708,-0.011259823573297, -0.000213259841884719),.UNSPECIFIED.); #63246=B_SPLINE_CURVE_WITH_KNOTS('',3,(#483303,#483304,#483305,#483306, #483307,#483308),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0649209834473794,-0.0334952698638177, -8.6347864605707E-7),.UNSPECIFIED.); #63247=B_SPLINE_CURVE_WITH_KNOTS('',3,(#483507,#483508,#483509,#483510, #483511,#483512,#483513,#483514,#483515,#483516,#483517,#483518,#483519, #483520,#483521,#483522),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,4),(-0.0749879099718641, -0.0715089993835439,-0.0674507336743388,-0.0585128458575492,-0.0468023378343577, -0.0344122887159148,-0.0178257523650595,-9.84552826904794E-7), .UNSPECIFIED.); #63248=B_SPLINE_CURVE_WITH_KNOTS('',3,(#483565,#483566,#483567,#483568, #483569,#483570,#483571),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.5000001188836, 1.),.UNSPECIFIED.); #63249=B_SPLINE_CURVE_WITH_KNOTS('',3,(#483592,#483593,#483594,#483595, #483596,#483597,#483598),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.5000006626663, 1.),.UNSPECIFIED.); #63250=B_SPLINE_CURVE_WITH_KNOTS('',3,(#484769,#484770,#484771,#484772, #484773,#484774,#484775,#484776,#484777,#484778),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(0.,0.3333333333333,0.6666666666667,1.),.UNSPECIFIED.); #63251=B_SPLINE_CURVE_WITH_KNOTS('',3,(#484798,#484799,#484800,#484801, #484802,#484803,#484804,#484805,#484806,#484807),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(0.,0.3333333333333,0.6666666666667,1.),.UNSPECIFIED.); #63252=B_SPLINE_CURVE_WITH_KNOTS('',3,(#484828,#484829,#484830,#484831, #484832,#484833,#484834,#484835,#484836,#484837,#484838,#484839,#484840, #484841,#484842,#484843,#484844,#484845),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,4),(-1.69071792773124,-1.60640285714717,-1.46551300840291,-1.43079509055472, -1.39607717270653,-1.36135925485834,-1.32664133701014,-1.18575148826588, -1.10143641739818),.UNSPECIFIED.); #63253=B_SPLINE_CURVE_WITH_KNOTS('',3,(#484990,#484991,#484992,#484993, #484994,#484995,#484996,#484997,#484998,#484999),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(0.,0.3333333333333,0.6666666666667,1.),.UNSPECIFIED.); #63254=B_SPLINE_CURVE_WITH_KNOTS('',3,(#485019,#485020,#485021,#485022, #485023,#485024,#485025,#485026,#485027,#485028),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(0.,0.3333333333333,0.6666666666667,1.),.UNSPECIFIED.); #63255=B_SPLINE_CURVE_WITH_KNOTS('',3,(#485049,#485050,#485051,#485052, #485053,#485054,#485055,#485056,#485057,#485058,#485059,#485060,#485061, #485062,#485063,#485064,#485065,#485066),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,4),(-1.69071792773124,-1.60640285714718,-1.46551300840291,-1.43079509055472, -1.39607717270653,-1.36135925485834,-1.32664133701014,-1.18575148826588, -1.10143641739818),.UNSPECIFIED.); #63256=B_SPLINE_CURVE_WITH_KNOTS('',3,(#485137,#485138,#485139,#485140, #485141,#485142,#485143,#485144,#485145,#485146),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(0.,0.3333333333333,0.6666666666667,1.),.UNSPECIFIED.); #63257=B_SPLINE_CURVE_WITH_KNOTS('',3,(#485166,#485167,#485168,#485169, #485170,#485171,#485172,#485173,#485174,#485175),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(0.,0.3333333333333,0.6666666666667,1.),.UNSPECIFIED.); #63258=B_SPLINE_CURVE_WITH_KNOTS('',3,(#485196,#485197,#485198,#485199, #485200,#485201,#485202,#485203,#485204,#485205,#485206,#485207,#485208, #485209,#485210,#485211,#485212,#485213),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,4),(-1.69071792773124,-1.60640285714718,-1.46551300840291,-1.43079509055472, -1.39607717270653,-1.36135925485834,-1.32664133701014,-1.18575148826588, -1.10143641739818),.UNSPECIFIED.); #63259=B_SPLINE_CURVE_WITH_KNOTS('',3,(#485358,#485359,#485360,#485361, #485362,#485363,#485364,#485365,#485366,#485367),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(0.,0.3333333333333,0.6666666666667,1.),.UNSPECIFIED.); #63260=B_SPLINE_CURVE_WITH_KNOTS('',3,(#485387,#485388,#485389,#485390, #485391,#485392,#485393,#485394,#485395,#485396),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(0.,0.3333333333333,0.6666666666667,1.),.UNSPECIFIED.); #63261=B_SPLINE_CURVE_WITH_KNOTS('',3,(#485417,#485418,#485419,#485420, #485421,#485422,#485423,#485424,#485425,#485426,#485427,#485428,#485429, #485430,#485431,#485432,#485433,#485434),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,4),(-1.69071792773124,-1.60640285714718,-1.46551300840291,-1.43079509055472, -1.39607717270653,-1.36135925485834,-1.32664133701014,-1.18575148826588, -1.10143641739818),.UNSPECIFIED.); #63262=B_SPLINE_CURVE_WITH_KNOTS('',3,(#485647,#485648,#485649,#485650, #485651,#485652),.UNSPECIFIED.,.F.,.F.,(4,2,4),(6.88397061780727E-5,0.0967212332410275, 0.183031704550148),.UNSPECIFIED.); #63263=B_SPLINE_CURVE_WITH_KNOTS('',3,(#485657,#485658,#485659,#485660, #485661,#485662,#485663,#485664,#485665,#485666),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(-0.149868312912163,-0.12888250333324,-0.111880374374519,-0.0770071103628092, -0.000111895772804885),.UNSPECIFIED.); #63264=B_SPLINE_CURVE_WITH_KNOTS('',3,(#485671,#485672,#485673,#485674, #485675,#485676),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00132286497052002,-0.000714330538507329, -9.99999995162965E-7),.UNSPECIFIED.); #63265=B_SPLINE_CURVE_WITH_KNOTS('',3,(#485677,#485678,#485679,#485680, #485681,#485682),.UNSPECIFIED.,.F.,.F.,(4,2,4),(9.99999913535915E-7,0.000714883812473468, 0.00133506755152595),.UNSPECIFIED.); #63266=B_SPLINE_CURVE_WITH_KNOTS('',3,(#485900,#485901,#485902,#485903, #485904,#485905,#485906,#485907,#485908,#485909),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(-0.340725399224807,-0.30170477428601,-0.263342035635523,-0.194592782248213, -8.04908210749744E-7),.UNSPECIFIED.); #63267=B_SPLINE_CURVE_WITH_KNOTS('',3,(#485913,#485914,#485915,#485916, #485917,#485918),.UNSPECIFIED.,.F.,.F.,(4,2,4),(2.29228799543873E-6,0.224513557975012, 0.491265869437799),.UNSPECIFIED.); #63268=B_SPLINE_CURVE_WITH_KNOTS('',3,(#485919,#485920,#485921,#485922, #485923,#485924),.UNSPECIFIED.,.F.,.F.,(4,2,4),(9.98389860686901E-7,0.0396113904562758, 0.0797804723874375),.UNSPECIFIED.); #63269=B_SPLINE_CURVE_WITH_KNOTS('',3,(#486004,#486005,#486006,#486007, #486008,#486009,#486010,#486011,#486012,#486013,#486014,#486015,#486016, #486017,#486018,#486019,#486020,#486021,#486022,#486023,#486024,#486025, #486026,#486027,#486028,#486029),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,4),(1.44133325670168E-6,0.124326494671457,0.250302131173385,0.342709825126414, 0.404296336219859,0.562877249228976,0.678107513927906,0.800992273178055, 0.924826348373675,1.01473586417402,1.16607139351337,1.24902370358347,1.34383394228735), .UNSPECIFIED.); #63270=B_SPLINE_CURVE_WITH_KNOTS('',3,(#486031,#486032,#486033,#486034, #486035,#486036),.UNSPECIFIED.,.F.,.F.,(4,2,4),(1.54486744831167E-6,0.317996328856053, 0.541902880779917),.UNSPECIFIED.); #63271=B_SPLINE_CURVE_WITH_KNOTS('',3,(#486037,#486038,#486039,#486040, #486041,#486042,#486043,#486044,#486045,#486046,#486047,#486048,#486049, #486050,#486051,#486052,#486053,#486054,#486055,#486056,#486057,#486058, #486059,#486060,#486061,#486062,#486063,#486064,#486065,#486066,#486067, #486068,#486069,#486070,#486071,#486072,#486073),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,4),(9.99958029005375E-7,0.024957645076289,0.0476259852603142, 0.0623689014124192,0.0678930258120253,0.0734991969689689,0.0803836067780914, 0.0840418723961039,0.087878986669633,0.0927279417712619,0.0999511907317927, 0.107560549946989,0.112802849358333),.UNSPECIFIED.); #63272=B_SPLINE_CURVE_WITH_KNOTS('',3,(#486079,#486080,#486081,#486082, #486083,#486084),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.166981122752388,-0.0816969431543282, -1.00000455152682E-6),.UNSPECIFIED.); #63273=B_SPLINE_CURVE_WITH_KNOTS('',3,(#486088,#486089,#486090,#486091, #486092,#486093,#486094,#486095,#486096,#486097,#486098,#486099,#486100, #486101,#486102,#486103,#486104,#486105,#486106,#486107,#486108,#486109, #486110,#486111,#486112,#486113,#486114,#486115,#486116,#486117,#486118, #486119,#486120,#486121,#486122,#486123,#486124),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,4),(9.99958374786278E-7,0.0249576449304581,0.0476259849324057, 0.0623689009675615,0.0678930253243081,0.0734991964348801,0.0803836061821053, 0.0840418717659822,0.0878789860038496,0.092727941056945,0.0999511899190226, 0.107560548998681,0.112802848317105),.UNSPECIFIED.); #63274=B_SPLINE_CURVE_WITH_KNOTS('',3,(#486126,#486127,#486128,#486129, #486130,#486131),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.166981122782522,-0.081696943164994, -1.00000337435735E-6),.UNSPECIFIED.); #63275=B_SPLINE_CURVE_WITH_KNOTS('',3,(#486135,#486136,#486137,#486138, #486139,#486140,#486141,#486142,#486143,#486144,#486145,#486146,#486147, #486148,#486149,#486150,#486151,#486152,#486153,#486154,#486155,#486156, #486157,#486158,#486159,#486160,#486161,#486162,#486163,#486164,#486165, #486166,#486167,#486168,#486169,#486170,#486171),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,4),(9.99958571267127E-7,0.0249576451183695,0.047625985326206, 0.0623689014958568,0.0678930259019206,0.0734991970620437,0.0803836068698899, 0.0840418724855192,0.0878789867564977,0.092727941851066,0.0999511907866261, 0.107560549980464,0.112802849378597),.UNSPECIFIED.); #63276=B_SPLINE_CURVE_WITH_KNOTS('',3,(#486173,#486174,#486175,#486176, #486177,#486178),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.166981122847349,-0.0816969431788787, -1.00000455180438E-6),.UNSPECIFIED.); #63277=B_SPLINE_CURVE_WITH_KNOTS('',3,(#486182,#486183,#486184,#486185, #486186,#486187,#486188,#486189,#486190,#486191,#486192,#486193,#486194, #486195,#486196,#486197,#486198,#486199,#486200,#486201,#486202,#486203, #486204,#486205,#486206,#486207,#486208,#486209,#486210,#486211,#486212, #486213,#486214,#486215,#486216,#486217,#486218),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,4),(9.99958571347633E-7,0.0249576451233238,0.0476259853291613, 0.0623689014978732,0.0678930259036182,0.073499197063159,0.0803836068730427, 0.0840418724901455,0.0878789867623147,0.0927279418612962,0.0999511908278113, 0.107560550078739,0.112802849513412),.UNSPECIFIED.); #63278=B_SPLINE_CURVE_WITH_KNOTS('',3,(#486220,#486221,#486222,#486223, #486224,#486225),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.166981122851514,-0.0816969430898685, -1.00000455169336E-6),.UNSPECIFIED.); #63279=B_SPLINE_CURVE_WITH_KNOTS('',3,(#486229,#486230,#486231,#486232, #486233,#486234,#486235,#486236,#486237,#486238,#486239,#486240,#486241, #486242,#486243,#486244,#486245,#486246,#486247,#486248,#486249,#486250, #486251,#486252,#486253,#486254,#486255,#486256,#486257,#486258,#486259, #486260,#486261,#486262,#486263,#486264,#486265),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,4),(9.99958060391915E-7,0.024957645048658,0.0476259851799427, 0.0623689012994405,0.067893025687057,0.0734991968274498,0.0803836066083383, 0.0840418722085556,0.0878789864633694,0.0927279415383012,0.0999511904333505, 0.107560549547212,0.112802848890637),.UNSPECIFIED.); #63280=B_SPLINE_CURVE_WITH_KNOTS('',3,(#486266,#486267,#486268,#486269, #486270,#486271),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.166981122742235,-0.0816969430658354, -1.00000455205418E-6),.UNSPECIFIED.); #63281=B_SPLINE_CURVE_WITH_KNOTS('',3,(#486350,#486351,#486352,#486353, #486354,#486355),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00132286497724165,-0.00071433054491231, -9.999999976271E-7),.UNSPECIFIED.); #63282=B_SPLINE_CURVE_WITH_KNOTS('',3,(#486357,#486358,#486359,#486360, #486361,#486362),.UNSPECIFIED.,.F.,.F.,(4,2,4),(9.98388977814204E-7,0.0396118933613445, 0.0797804723348977),.UNSPECIFIED.); #63283=B_SPLINE_CURVE_WITH_KNOTS('',3,(#486366,#486367,#486368,#486369, #486370,#486371,#486372,#486373,#486374,#486375,#486376,#486377,#486378, #486379,#486380,#486381,#486382,#486383,#486384,#486385,#486386,#486387, #486388,#486389,#486390,#486391),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,4),(1.44133328356196E-6,0.124326494663034,0.250302131169166,0.342709825122018, 0.404296336214306,0.562877249226201,0.678107513912317,0.800992273170496, 0.924826348393094,1.01473586422922,1.16607139363982,1.24902370375826,1.34383394251799), .UNSPECIFIED.); #63284=B_SPLINE_CURVE_WITH_KNOTS('',3,(#486392,#486393,#486394,#486395, #486396,#486397),.UNSPECIFIED.,.F.,.F.,(4,2,4),(1.54486728603849E-6,0.31799633217223, 0.541902889535499),.UNSPECIFIED.); #63285=B_SPLINE_CURVE_WITH_KNOTS('',3,(#486398,#486399,#486400,#486401, #486402,#486403),.UNSPECIFIED.,.F.,.F.,(4,2,4),(6.8839707080056E-5,0.0967212331981478, 0.183031704581424),.UNSPECIFIED.); #63286=B_SPLINE_CURVE_WITH_KNOTS('',3,(#486408,#486409,#486410,#486411, #486412,#486413),.UNSPECIFIED.,.F.,.F.,(4,2,4),(1.00000058385352E-6,0.000711215921100825, 0.00133587481058085),.UNSPECIFIED.); #63287=B_SPLINE_CURVE_WITH_KNOTS('',3,(#486523,#486524,#486525,#486526, #486527,#486528,#486529,#486530,#486531,#486532),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(-0.149910672048903,-0.128871379680775,-0.111832129652754,-0.0768958626603209, -8.77976912660411E-5),.UNSPECIFIED.); #63288=B_SPLINE_CURVE_WITH_KNOTS('',3,(#486678,#486679,#486680,#486681, #486682,#486683),.UNSPECIFIED.,.F.,.F.,(4,2,4),(1.54486794301637E-6,0.317996335363615, 0.541902906106495),.UNSPECIFIED.); #63289=B_SPLINE_CURVE_WITH_KNOTS('',3,(#486685,#486686,#486687,#486688, #486689,#486690,#486691,#486692,#486693,#486694,#486695,#486696,#486697, #486698,#486699,#486700,#486701,#486702,#486703,#486704,#486705,#486706, #486707,#486708,#486709,#486710,#486711,#486712,#486713,#486714,#486715, #486716,#486717,#486718,#486719,#486720,#486721,#486722,#486723,#486724), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-2.55114305878843, -2.37652539311595,-2.16927144453081,-1.68920470403866,-1.50430068267058, -1.21698208667659,-1.13169973189183,-1.03178135950323,-0.976645687628213, -0.87895809560535,-0.786706590918705,-0.740449353978155,-0.649110602274367, -0.563411136399902,-0.489529738011301,-0.429852087849879,-0.329176971514736, -0.161999659016945,-0.08868226517651,-9.54869094016431E-7), .UNSPECIFIED.); #63290=B_SPLINE_CURVE_WITH_KNOTS('',3,(#486730,#486731,#486732,#486733, #486734,#486735,#486736,#486737,#486738,#486739,#486740,#486741,#486742, #486743,#486744,#486745,#486746,#486747,#486748,#486749,#486750,#486751, #486752,#486753),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,4),(1.21136432431145E-6, 0.0637554523504411,0.112662153790998,0.144126600855569,0.17574346036112, 0.217693276235116,0.247516809695816,0.28639286795573,0.363002401389254, 0.42528073386814,0.466314137388943,0.584010719195534),.UNSPECIFIED.); #63291=B_SPLINE_CURVE_WITH_KNOTS('',3,(#486754,#486755,#486756,#486757, #486758,#486759,#486760,#486761,#486762,#486763),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(9.99999861195366E-7,0.244071336056042,0.335923496651626,0.386758913330207, 0.438420323020483),.UNSPECIFIED.); #63292=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487041,#487042,#487043,#487044, #487045,#487046),.UNSPECIFIED.,.F.,.F.,(4,2,4),(2.585353782251E-6,0.224513822047104, 0.491266128479738),.UNSPECIFIED.); #63293=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487112,#487113,#487114,#487115, #487116,#487117,#487118,#487119,#487120,#487121,#487122,#487123,#487124, #487125),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,4),(-0.754826323026535,-0.511484436122254, -0.417953873512956,-0.31505178967492,-0.158441027112987,-0.0789041831167335, -1.59611126019476E-5),.UNSPECIFIED.); #63294=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487128,#487129,#487130,#487131, #487132,#487133,#487134,#487135,#487136,#487137,#487138,#487139,#487140, #487141,#487142,#487143,#487144,#487145,#487146,#487147,#487148,#487149, #487150,#487151,#487152,#487153),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,4),(2.51109827292543E-6,0.0544492641468723,0.104359714526039,0.189438070920114, 0.365240071557448,0.455841903874328,0.562918706106995,0.76087593892064, 0.818005063057009,0.909240872063722,1.03583826589378,1.10517596121533,1.17768940773309), .UNSPECIFIED.); #63295=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487157,#487158,#487159,#487160, #487161,#487162),.UNSPECIFIED.,.F.,.F.,(4,2,4),(7.82597543694498E-7,0.0364522359852401, 0.0726014711842743),.UNSPECIFIED.); #63296=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487188,#487189,#487190,#487191, #487192,#487193,#487194,#487195,#487196,#487197),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(0.,0.3333333333333,0.6666666666667,1.),.UNSPECIFIED.); #63297=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487200,#487201,#487202,#487203, #487204,#487205),.UNSPECIFIED.,.F.,.F.,(4,2,4),(1.00576575432103E-6,0.039894116362915, 0.0797869756480781),.UNSPECIFIED.); #63298=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487207,#487208,#487209,#487210, #487211,#487212,#487213,#487214,#487215,#487216,#487217,#487218,#487219, #487220,#487221,#487222,#487223,#487224,#487225,#487226,#487227,#487228, #487229,#487230,#487231,#487232,#487233,#487234,#487235,#487236,#487237, #487238,#487239,#487240,#487241,#487242,#487243,#487244,#487245,#487246), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-2.55114305844394, -2.37652539149907,-2.16927144146502,-1.68920469743637,-1.50430067449647, -1.21698207813054,-1.13169972351668,-1.03178135144703,-0.976645679985392, -0.878958087647682,-0.786706583941863,-0.740449347496709,-0.649110596746215, -0.563411131845467,-0.489529734366145,-0.429852084852622,-0.329176969616351, -0.161999658233234,-0.0886822648155528,-9.54925303719989E-7), .UNSPECIFIED.); #63299=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487393,#487394,#487395,#487396, #487397,#487398),.UNSPECIFIED.,.F.,.F.,(4,2,4),(9.99999999976994E-7,0.00071119605310266, 0.00133592645330534),.UNSPECIFIED.); #63300=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487399,#487400,#487401,#487402, #487403,#487404,#487405,#487406,#487407,#487408),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(-0.154093248757995,-0.130340281573839,-0.111358425563159,-0.0731355318992664, -8.77896494000998E-5),.UNSPECIFIED.); #63301=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487412,#487413,#487414,#487415, #487416,#487417,#487418,#487419,#487420,#487421,#487422,#487423,#487424, #487425,#487426,#487427,#487428,#487429,#487430,#487431,#487432,#487433, #487434,#487435),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,4),(1.20599741494186E-6, 0.0637532286125708,0.112658776724378,0.144122187011948,0.175717379480603, 0.217638655363114,0.247439865391978,0.286297380221124,0.362904931551208, 0.425189278000048,0.466226414239173,0.583937374111007),.UNSPECIFIED.); #63302=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487436,#487437,#487438,#487439, #487440,#487441,#487442,#487443,#487444,#487445),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(-0.438602554640018,-0.387322121129647,-0.336850099761114,-0.245403866950094, -1.00001190590065E-6),.UNSPECIFIED.); #63303=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487451,#487452,#487453,#487454, #487455,#487456),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00132286508391179,-0.000714330524532858, -9.99999999726548E-7),.UNSPECIFIED.); #63304=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487565,#487566,#487567,#487568, #487569,#487570),.UNSPECIFIED.,.F.,.F.,(4,2,4),(6.88396607946014E-5,0.0967284697188323, 0.183033096502431),.UNSPECIFIED.); #63305=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487648,#487649,#487650,#487651, #487652,#487653),.UNSPECIFIED.,.F.,.F.,(4,2,4),(9.98818773656537E-7,0.0396139339138957, 0.0797806037285763),.UNSPECIFIED.); #63306=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487657,#487658,#487659,#487660, #487661,#487662,#487663,#487664,#487665,#487666,#487667,#487668,#487669, #487670,#487671,#487672,#487673,#487674,#487675,#487676,#487677,#487678, #487679,#487680,#487681,#487682),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,4),(1.44133368477469E-6,0.124326491802658,0.250302123195135,0.342709813243732, 0.404296321580514,0.562877230429179,0.67810749210597,0.800992248123275, 0.924826320057933,1.01473583349142,1.1660713588575,1.24902366675424,1.34383390297581), .UNSPECIFIED.); #63307=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487683,#487684,#487685,#487686, #487687,#487688),.UNSPECIFIED.,.F.,.F.,(4,2,4),(1.54486800016297E-6,0.31799631722939, 0.541902872935549),.UNSPECIFIED.); #63308=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487835,#487836,#487837,#487838, #487839,#487840),.UNSPECIFIED.,.F.,.F.,(4,2,4),(9.9999999996544E-7,0.000714901005632632, 0.00133511557958725),.UNSPECIFIED.); #63309=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487841,#487842,#487843,#487844, #487845,#487846,#487847,#487848,#487849,#487850),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(-0.153485949591461,-0.130126806669134,-0.111430860145677,-0.07368210796957, -0.000111879489050799),.UNSPECIFIED.); #63310=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487852,#487853,#487854,#487855, #487856,#487857,#487858,#487859,#487860,#487861,#487862,#487863,#487864, #487865,#487866,#487867,#487868,#487869,#487870,#487871,#487872,#487873, #487874,#487875,#487876,#487877,#487878,#487879,#487880,#487881,#487882, #487883,#487884,#487885,#487886,#487887,#487888,#487889,#487890,#487891), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-2.5511430357622, -2.3765253712152,-2.16927142395098,-1.68920468649525,-1.50430066635586, -1.21698207286035,-1.13169971883799,-1.0317813474181,-0.976645676129314, -0.878958084958184,-0.786706581427432,-0.740449345076659,-0.649110594533738, -0.563411129761381,-0.489529732337141,-0.429852082940733,-0.329176967911606, -0.16199965743304,-0.0886822644955031,-9.54924390672574E-7), .UNSPECIFIED.); #63311=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487895,#487896,#487897,#487898, #487899,#487900),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00244606605583635,-0.00122352959699388, -1.00000013830464E-6),.UNSPECIFIED.); #63312=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487902,#487903,#487904,#487905, #487906,#487907,#487908,#487909,#487910,#487911,#487912,#487913,#487914, #487915,#487916,#487917,#487918,#487919,#487920,#487921,#487922,#487923, #487924,#487925),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,4),(1.35190136578219E-6, 0.0637494612741874,0.112654250808081,0.144116350231263,0.175652963258305, 0.217497004266329,0.24723690159816,0.286043229131167,0.362644875002894, 0.424945556969408,0.465992863760039,0.583743880698622),.UNSPECIFIED.); #63313=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487926,#487927,#487928,#487929, #487930,#487931,#487932,#487933,#487934,#487935),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(-0.438786508450753,-0.388247715452167,-0.338486085525213,-0.24782500281998, -9.41971176615652E-7),.UNSPECIFIED.); #63314=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487941,#487942,#487943,#487944, #487945,#487946),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00132286495969435,-0.000714330535420454, -9.99999999877984E-7),.UNSPECIFIED.); #63315=B_SPLINE_CURVE_WITH_KNOTS('',3,(#488055,#488056,#488057,#488058, #488059,#488060),.UNSPECIFIED.,.F.,.F.,(4,2,4),(6.8839705370024E-5,0.0967206954645026, 0.183031704607979),.UNSPECIFIED.); #63316=B_SPLINE_CURVE_WITH_KNOTS('',3,(#488138,#488139,#488140,#488141, #488142,#488143),.UNSPECIFIED.,.F.,.F.,(4,2,4),(9.98390338479334E-7,0.03961189338652, 0.0797804724467523),.UNSPECIFIED.); #63317=B_SPLINE_CURVE_WITH_KNOTS('',3,(#488147,#488148,#488149,#488150, #488151,#488152,#488153,#488154,#488155,#488156,#488157,#488158,#488159, #488160,#488161,#488162,#488163,#488164,#488165,#488166,#488167,#488168, #488169,#488170,#488171,#488172),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,4),(1.44133325164781E-6,0.124326494659932,0.250302131160248,0.342709825109324, 0.404296336199742,0.562877249215226,0.678107513909543,0.800992273139014, 0.924826348306734,1.01473586411752,1.16607139348528,1.24902370358381,1.34383394231834), .UNSPECIFIED.); #63318=B_SPLINE_CURVE_WITH_KNOTS('',3,(#488173,#488174,#488175,#488176, #488177,#488178),.UNSPECIFIED.,.F.,.F.,(4,2,4),(1.54486749600691E-6,0.317996350970678, 0.541902934124921),.UNSPECIFIED.); #63319=B_SPLINE_CURVE_WITH_KNOTS('',3,(#488324,#488325,#488326,#488327, #488328,#488329,#488330,#488331,#488332,#488333,#488334,#488335,#488336, #488337,#488338,#488339,#488340,#488341,#488342,#488343,#488344,#488345, #488346,#488347,#488348,#488349,#488350,#488351,#488352,#488353,#488354, #488355,#488356,#488357,#488358,#488359,#488360,#488361,#488362,#488363), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-2.55114304224206, -2.37652537703327,-2.16927142903294,-1.68920468982748,-1.50430066865667, -1.21698207401981,-1.13169971979427,-1.03178134812755,-0.9766456768174, -0.878958085131741,-0.786706581614163,-0.74044934526336,-0.649110594709423, -0.563411129961224,-0.489529732585249,-0.42985208319273,-0.329176968155416, -0.161999657525293,-0.0886822644827711,-9.54849255219159E-7), .UNSPECIFIED.); #63320=B_SPLINE_CURVE_WITH_KNOTS('',3,(#488368,#488369,#488370,#488371, #488372,#488373,#488374,#488375,#488376,#488377,#488378,#488379,#488380, #488381,#488382,#488383,#488384,#488385,#488386,#488387,#488388,#488389, #488390,#488391),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,4),(1.19763785160932E-6, 0.0637557086903777,0.112662434482616,0.144126965086802,0.175748622797972, 0.217704754159656,0.2475332201398,0.286413288091313,0.363022822232515,0.425299423239215, 0.466331743176437,0.584025093726398),.UNSPECIFIED.); #63321=B_SPLINE_CURVE_WITH_KNOTS('',3,(#488394,#488395,#488396,#488397, #488398,#488399,#488400,#488401,#488402,#488403,#488404,#488405,#488406, #488407),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,4),(-0.754829059091247,-0.511483780580143, -0.41795258957204,-0.315050823348097,-0.158440423302881,-0.0789038843231761, -1.56493796228522E-5),.UNSPECIFIED.); #63322=B_SPLINE_CURVE_WITH_KNOTS('',3,(#488410,#488411,#488412,#488413, #488414,#488415),.UNSPECIFIED.,.F.,.F.,(4,2,4),(7.82040829484848E-7,0.0364522484975181, 0.0726014706506),.UNSPECIFIED.); #63323=B_SPLINE_CURVE_WITH_KNOTS('',3,(#488482,#488483,#488484,#488485, #488486,#488487,#488488,#488489,#488490,#488491,#488492,#488493,#488494, #488495,#488496,#488497,#488498,#488499,#488500,#488501,#488502,#488503, #488504,#488505,#488506,#488507),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,4),(2.51111067401232E-6,0.0544492641448018,0.10435971451813,0.189438070903667, 0.365240071547515,0.455841903866909,0.562918706106685,0.760875938977091, 0.818005063140303,0.909240872188253,1.03583826607229,1.10517596142652,1.17768940797244), .UNSPECIFIED.); #63324=B_SPLINE_CURVE_WITH_KNOTS('',3,(#488510,#488511,#488512,#488513, #488514,#488515),.UNSPECIFIED.,.F.,.F.,(4,2,4),(1.00576956073282E-6,0.0398941163637942, 0.0797869756490337),.UNSPECIFIED.); #63325=B_SPLINE_CURVE_WITH_KNOTS('',3,(#488516,#488517,#488518,#488519, #488520,#488521,#488522,#488523,#488524,#488525,#488526,#488527,#488528, #488529,#488530,#488531,#488532,#488533,#488534,#488535,#488536,#488537, #488538,#488539,#488540,#488541,#488542,#488543,#488544,#488545,#488546, #488547,#488548,#488549,#488550,#488551,#488552,#488553,#488554,#488555), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-2.5511430566058, -2.376525390752,-2.169271441976,-1.689204701015,-1.50430067957501,-1.21698208364742, -1.13169972889759,-1.03178135660377,-0.976645684827373,-0.878958092785437, -0.786706588442463,-0.74044935169012,-0.649110600349491,-0.563411134835443, -0.48952973677529,-0.429852086856325,-0.329176970937083,-0.16199965881992, -0.0886822651207748,-9.5490520513053E-7),.UNSPECIFIED.); #63326=B_SPLINE_CURVE_WITH_KNOTS('',3,(#488702,#488703,#488704,#488705, #488706,#488707),.UNSPECIFIED.,.F.,.F.,(4,2,4),(9.99999698229689E-7,0.000711266661689192, 0.0013359265583626),.UNSPECIFIED.); #63327=B_SPLINE_CURVE_WITH_KNOTS('',3,(#488708,#488709,#488710,#488711, #488712,#488713,#488714,#488715,#488716,#488717),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(-0.154093248478794,-0.130340281420285,-0.111358425484637,-0.0731355319316958, -8.7789649113798E-5),.UNSPECIFIED.); #63328=B_SPLINE_CURVE_WITH_KNOTS('',3,(#488723,#488724,#488725,#488726, #488727,#488728,#488729,#488730,#488731,#488732,#488733,#488734,#488735, #488736,#488737,#488738,#488739,#488740,#488741,#488742,#488743,#488744, #488745,#488746),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,4),(9.99996553844436E-7, 0.0646402814340353,0.113999251333662,0.14587386654083,0.182969458284412, 0.228801069302866,0.253051320404536,0.279746023916275,0.307805833836515, 0.357169143484902,0.408070891680777,0.457331530004583),.UNSPECIFIED.); #63329=B_SPLINE_CURVE_WITH_KNOTS('',3,(#488747,#488748,#488749,#488750, #488751,#488752,#488753,#488754,#488755,#488756),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(-0.438602557241892,-0.387322123850718,-0.336850102611191,-0.245403870066874, -1.00001702609191E-6),.UNSPECIFIED.); #63330=B_SPLINE_CURVE_WITH_KNOTS('',3,(#488762,#488763,#488764,#488765, #488766,#488767),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00132286497310879,-0.000714330543735037, -9.99999995332426E-7),.UNSPECIFIED.); #63331=B_SPLINE_CURVE_WITH_KNOTS('',3,(#488876,#488877,#488878,#488879, #488880,#488881),.UNSPECIFIED.,.F.,.F.,(4,2,4),(6.88397062568142E-5,0.0967212328056369, 0.183031704481225),.UNSPECIFIED.); #63332=B_SPLINE_CURVE_WITH_KNOTS('',3,(#488959,#488960,#488961,#488962, #488963,#488964),.UNSPECIFIED.,.F.,.F.,(4,2,4),(9.98389019792492E-7,0.0396118933876061, 0.0797804723646097),.UNSPECIFIED.); #63333=B_SPLINE_CURVE_WITH_KNOTS('',3,(#488967,#488968,#488969,#488970, #488971,#488972,#488973,#488974,#488975,#488976,#488977,#488978,#488979, #488980,#488981,#488982,#488983,#488984,#488985,#488986,#488987,#488988, #488989,#488990,#488991,#488992),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,4),(1.44133368798227E-6,0.124326494668847,0.250302131163456,0.342709825106654, 0.404296336194126,0.56287724917791,0.678107513860226,0.800992273103894, 0.924826348290437,1.01473586409152,1.16607139343764,1.24902370351504,1.34383394223045), .UNSPECIFIED.); #63334=B_SPLINE_CURVE_WITH_KNOTS('',3,(#488995,#488996,#488997,#488998, #488999,#489000,#489001,#489002,#489003,#489004,#489005,#489006,#489007, #489008,#489009,#489010,#489011,#489012,#489013,#489014,#489015,#489016, #489017,#489018,#489019,#489020),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,4),(2.51111853339982E-6,0.0544492641445579,0.104359714515681,0.18943807089796, 0.365240071537877,0.455841903855606,0.562918706090095,0.760875938936232, 0.818005063091163,0.909240872126833,1.03583826599593,1.10517596133973,1.17768940787763), .UNSPECIFIED.); #63335=B_SPLINE_CURVE_WITH_KNOTS('',3,(#489021,#489022,#489023,#489024, #489025,#489026),.UNSPECIFIED.,.F.,.F.,(4,2,4),(1.00577591082229E-6,0.0398941163642675, 0.0797869756500058),.UNSPECIFIED.); #63336=B_SPLINE_CURVE_WITH_KNOTS('',3,(#489053,#489054,#489055,#489056, #489057,#489058),.UNSPECIFIED.,.F.,.F.,(4,2,4),(7.82398146849402E-7,0.0364522367857326, 0.0726014707263279),.UNSPECIFIED.); #63337=B_SPLINE_CURVE_WITH_KNOTS('',3,(#489059,#489060,#489061,#489062, #489063,#489064,#489065,#489066,#489067,#489068),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(0.,0.3333333333333,0.6666666666667,1.),.UNSPECIFIED.); #63338=B_SPLINE_CURVE_WITH_KNOTS('',3,(#489072,#489073,#489074,#489075, #489076,#489077,#489078,#489079,#489080,#489081,#489082,#489083,#489084, #489085),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,4),(-0.754826546699371,-0.511484378799597, -0.417953764474126,-0.31505170761591,-0.158440976097712,-0.078904157940427, -1.59352745622288E-5),.UNSPECIFIED.); #63339=B_SPLINE_CURVE_WITH_KNOTS('',3,(#489152,#489153,#489154,#489155, #489156,#489157),.UNSPECIFIED.,.F.,.F.,(4,2,4),(2.56164938235357E-6,0.224513805693621, 0.491266108186637),.UNSPECIFIED.); #63340=B_SPLINE_CURVE_WITH_KNOTS('',3,(#489395,#489396,#489397,#489398, #489399,#489400),.UNSPECIFIED.,.F.,.F.,(4,2,4),(3.34167336144128E-6,0.0364434225575556, 0.0725519503202257),.UNSPECIFIED.); #63341=B_SPLINE_CURVE_WITH_KNOTS('',3,(#489402,#489403,#489404,#489405, #489406,#489407,#489408,#489409,#489410,#489411,#489412,#489413,#489414, #489415),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,4),(-0.754848566906973,-0.511483767326402, -0.417948456683336,-0.315047228248601,-0.158437715501105,-0.0789026865062235, -1.58328492720041E-5),.UNSPECIFIED.); #63342=B_SPLINE_CURVE_WITH_KNOTS('',3,(#489633,#489634,#489635,#489636, #489637,#489638),.UNSPECIFIED.,.F.,.F.,(4,2,4),(1.69538497541871E-6,0.224512761889011, 0.491265401074885),.UNSPECIFIED.); #63343=B_SPLINE_CURVE_WITH_KNOTS('',3,(#489705,#489706,#489707,#489708, #489709,#489710,#489711,#489712,#489713,#489714,#489715,#489716,#489717, #489718,#489719,#489720,#489721,#489722,#489723,#489724,#489725,#489726, #489727,#489728,#489729,#489730),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,4),(2.51113077712791E-6,0.0544492641452999,0.104359714513723,0.189438070891558, 0.365240071519056,0.455841903827265,0.562918706053677,0.760875938857747, 0.818005062996658,0.909240872006885,1.03583826584062,1.10517596116559,1.17768940768589), .UNSPECIFIED.); #63344=B_SPLINE_CURVE_WITH_KNOTS('',3,(#489755,#489756,#489757,#489758, #489759,#489760),.UNSPECIFIED.,.F.,.F.,(4,2,4),(1.00000001374913E-6,0.00421550931716374, 0.00836514095141087),.UNSPECIFIED.); #63345=B_SPLINE_CURVE_WITH_KNOTS('',3,(#489761,#489762,#489763,#489764, #489765,#489766),.UNSPECIFIED.,.F.,.F.,(4,2,4),(1.00577911726563E-6,0.03989411636465, 0.079786975650698),.UNSPECIFIED.); #63346=B_SPLINE_CURVE_WITH_KNOTS('',3,(#489770,#489771,#489772,#489773, #489774,#489775,#489776,#489777,#489778,#489779),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(0.,0.3333333333333,0.6666666666667,1.),.UNSPECIFIED.); #63347=B_SPLINE_CURVE_WITH_KNOTS('',3,(#489809,#489810,#489811,#489812, #489813,#489814,#489815,#489816,#489817,#489818,#489819,#489820,#489821, #489822),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,4),(-0.754831552172162,-0.511483502129553, -0.417951815653482,-0.31505020799217,-0.158440007331533,-0.0789036880239548, -1.5544838290165E-5),.UNSPECIFIED.); #63348=B_SPLINE_CURVE_WITH_KNOTS('',3,(#489824,#489825,#489826,#489827, #489828,#489829),.UNSPECIFIED.,.F.,.F.,(4,2,4),(7.82443138117469E-7,0.0364522532511441, 0.0726014714131261),.UNSPECIFIED.); #63349=B_SPLINE_CURVE_WITH_KNOTS('',3,(#489896,#489897,#489898,#489899, #489900,#489901,#489902,#489903,#489904,#489905,#489906,#489907,#489908, #489909,#489910,#489911,#489912,#489913,#489914,#489915,#489916,#489917, #489918,#489919,#489920,#489921),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,4),(2.51109903207899E-6,0.0544492644098904,0.104359715135834,0.189438071913213, 0.365240073412959,0.455841905868289,0.562918708501454,0.760875942182123, 0.818005066148709,0.909240875010369,1.03583826716891,1.10517596217732,1.17768940886175), .UNSPECIFIED.); #63350=B_SPLINE_CURVE_WITH_KNOTS('',3,(#489922,#489923,#489924,#489925, #489926,#489927),.UNSPECIFIED.,.F.,.F.,(4,2,4),(2.31294339399879E-6,0.215205572711389, 0.496585857003932),.UNSPECIFIED.); #63351=B_SPLINE_CURVE_WITH_KNOTS('',3,(#490145,#490146,#490147,#490148, #490149,#490150),.UNSPECIFIED.,.F.,.F.,(4,2,4),(1.00576563000867E-6,0.0398941163632427, 0.0797869756482202),.UNSPECIFIED.); #63352=B_SPLINE_CURVE_WITH_KNOTS('',3,(#490175,#490176,#490177,#490178, #490179,#490180,#490181,#490182,#490183,#490184),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(0.,0.3333333333333,0.6666666666667,1.),.UNSPECIFIED.); #63353=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491049,#491050,#491051,#491052, #491053,#491054,#491055,#491056),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.77352360496459, 1.87647369018851,1.97942377541244,2.06276191939166),.UNSPECIFIED.); #63354=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491095,#491096,#491097,#491098, #491099,#491100,#491101,#491102),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.48428529053753, 1.56762343451675,1.67057351974067,1.7735236049646),.UNSPECIFIED.); #63355=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491125,#491126,#491127,#491128, #491129,#491130,#491131,#491132),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.77352360496459, 1.87647369018851,1.97942377541244,2.06276191939166),.UNSPECIFIED.); #63356=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491158,#491159,#491160,#491161, #491162,#491163,#491164,#491165),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.48428529053753, 1.56762343451675,1.67057351974067,1.77352360496459),.UNSPECIFIED.); #63357=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491170,#491171,#491172,#491173, #491174,#491175,#491176,#491177),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.77352360496459, 1.87647369018852,1.97942377541244,2.06276191939166),.UNSPECIFIED.); #63358=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491216,#491217,#491218,#491219, #491220,#491221,#491222,#491223),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.48428529053753, 1.56762343451675,1.67057351974067,1.77352360496459),.UNSPECIFIED.); #63359=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491246,#491247,#491248,#491249, #491250,#491251,#491252,#491253),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.7735236049646, 1.87647369018852,1.97942377541244,2.06276191939166),.UNSPECIFIED.); #63360=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491279,#491280,#491281,#491282, #491283,#491284,#491285,#491286),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.48428529053753, 1.56762343451675,1.67057351974067,1.77352360496459),.UNSPECIFIED.); #63361=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491291,#491292,#491293,#491294, #491295,#491296,#491297,#491298),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.77352360496458, 1.87647369018851,1.97942377541243,2.06276191939166),.UNSPECIFIED.); #63362=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491337,#491338,#491339,#491340, #491341,#491342,#491343,#491344),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.48428529053753, 1.56762343451675,1.67057351974068,1.7735236049646),.UNSPECIFIED.); #63363=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491367,#491368,#491369,#491370, #491371,#491372,#491373,#491374),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.77352360496458, 1.8764736901885,1.97942377541243,2.06276191939166),.UNSPECIFIED.); #63364=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491400,#491401,#491402,#491403, #491404,#491405,#491406,#491407),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.48428529053753, 1.56762343451675,1.67057351974067,1.7735236049646),.UNSPECIFIED.); #63365=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491412,#491413,#491414,#491415, #491416,#491417,#491418,#491419),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.7735236049646, 1.87647369018852,1.97942377541244,2.06276191939166),.UNSPECIFIED.); #63366=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491458,#491459,#491460,#491461, #491462,#491463,#491464,#491465),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.48428529053753, 1.56762343451675,1.67057351974067,1.77352360496459),.UNSPECIFIED.); #63367=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491488,#491489,#491490,#491491, #491492,#491493,#491494,#491495),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.77352360496459, 1.87647369018852,1.97942377541244,2.06276191939166),.UNSPECIFIED.); #63368=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491521,#491522,#491523,#491524, #491525,#491526,#491527,#491528),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.48428529053753, 1.56762343451675,1.67057351974067,1.7735236049646),.UNSPECIFIED.); #63369=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491593,#491594,#491595,#491596, #491597,#491598,#491599,#491600,#491601,#491602,#491603,#491604,#491605, #491606),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,4),(1.77222454237732,1.8411942966885, 1.92496128342615,2.0087282701638,2.09249525690145,2.1762622436391,2.24523199795029), .UNSPECIFIED.); #63370=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491617,#491618,#491619,#491620, #491621,#491622),.UNSPECIFIED.,.F.,.F.,(4,2,4),(2.55620703134976,2.61724702393643, 2.7321507810587),.UNSPECIFIED.); #63371=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491625,#491626,#491627,#491628, #491629,#491630),.UNSPECIFIED.,.F.,.F.,(4,2,4),(1.67176738951662,1.78667114663889, 1.84771113922556),.UNSPECIFIED.); #63372=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491634,#491635,#491636,#491637, #491638,#491639,#491640,#491641),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.273792737574378, 0.296103599025085,0.309562853526225,0.430696144036487,0.538370180045609, 0.569938930531725),.UNSPECIFIED.); #63373=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491643,#491644,#491645,#491646, #491647,#491648,#491649,#491650),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(-2.7945637976506E-19, 0.107674036009122,0.215348072018243,0.242266581020524,0.269185090022804, 0.273792737574378),.UNSPECIFIED.); #63374=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491654,#491655,#491656,#491657, #491658,#491659,#491660,#491661,#491662),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(-0.376788674163999,-0.323022108027365,-0.269185090022804,-0.248996208271094, -0.215348072018243,-0.107674036009122,6.9177680047851E-45), .UNSPECIFIED.); #63375=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491666,#491667,#491668,#491669, #491670,#491671,#491672,#491673,#491674),.UNSPECIFIED.,.F.,.F.,(4,1,2,1, 1,4),(-0.753718252063852,-0.659503405388398,-0.565288558712944,-0.452230846970355, -0.395701991099061,-0.376786719602195),.UNSPECIFIED.); #63376=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491678,#491679,#491680,#491681, #491682,#491683,#491684,#491685,#491686,#491687,#491688,#491689,#491690), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(-7.39757063764406E-16,0.107674036009121, 0.215348072018243,0.242266581020523,0.269185090022804,0.323022108027365, 0.430696144036487,0.484533162041048,0.538370180045609,0.64604421605473, 0.753718252063852),.UNSPECIFIED.); #63377=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491693,#491694,#491695,#491696, #491697,#491698,#491699,#491700,#491701),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(-0.376786719602195,-0.339173135227766,-0.282644279356472,-0.254379851420825, -0.226115423485178,-0.113057711742589,8.6671334434807E-17), .UNSPECIFIED.); #63378=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491705,#491706,#491707,#491708, #491709,#491710,#491711,#491712,#491713),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(-0.753718252063852,-0.64604421605473,-0.538370180045608,-0.524910925544468, -0.484533162041047,-0.430696144036486,-0.376830853924267),.UNSPECIFIED.); #63379=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491717,#491718,#491719,#491720, #491721,#491722,#491723,#491724,#491725,#491726,#491727,#491728,#491729, #491730),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(-4.52403380645698E-32, 0.107674036009122,0.161511054013683,0.215348072018244,0.323022108027365, 0.444155398537627,0.457614653038768,0.484533162041048,0.511451671043328, 0.538370180045609,0.646044216054731,0.753718252063852),.UNSPECIFIED.); #63380=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491733,#491734,#491735,#491736, #491737,#491738,#491739,#491740,#491741),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(-0.376830853924267,-0.323022108027365,-0.269185090022804,-0.242266581020523, -0.215348072018243,-0.107674036009121,9.42224513361641E-16), .UNSPECIFIED.); #63381=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491745,#491746,#491747,#491748, #491749,#491750,#491751,#491752),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(-0.753718252063852, -0.64604421605473,-0.59220719805017,-0.538370180045609,-0.430696144036487, -0.376570482956629),.UNSPECIFIED.); #63382=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491756,#491757,#491758,#491759, #491760,#491761,#491762,#491763,#491764,#491765,#491766,#491767,#491768, #491769),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(-9.92671461928697E-16, 0.107674036009121,0.215348072018243,0.242266581020524,0.269185090022804, 0.296103599025085,0.309562853526225,0.430696144036488,0.53837018004561, 0.592207198050171,0.646044216054732,0.753718252063854),.UNSPECIFIED.); #63383=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491772,#491773,#491774,#491775, #491776,#491777,#491778,#491779,#491780,#491781),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(-0.376570482956629,-0.296103599025084,-0.269185090022804, -0.242266581020523,-0.228807326519383,-0.215348072018243,-0.107674036009121, 9.4122721514474E-16),.UNSPECIFIED.); #63384=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491785,#491786,#491787,#491788, #491789,#491790,#491791,#491792,#491793,#491794,#491795),.UNSPECIFIED., .F.,.F.,(4,1,1,1,1,1,1,1,4),(-0.753718252063853,-0.646044216054731,-0.60566645255131, -0.538370180045609,-0.511451671043329,-0.484533162041048,-0.464344280289338, -0.430696144036487,-0.376788674164),.UNSPECIFIED.); #63385=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491799,#491800,#491801,#491802, #491803,#491804,#491805,#491806,#491807,#491808,#491809,#491810,#491811), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(-9.42224513361644E-16,0.107674036009121, 0.215348072018243,0.242266581020524,0.269185090022804,0.323022108027365, 0.430696144036487,0.484533162041048,0.53837018004561,0.646044216054732, 0.753718252063854),.UNSPECIFIED.); #63386=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491814,#491815,#491816,#491817, #491818,#491819,#491820,#491821,#491822),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(-0.376788674164,-0.323022108027366,-0.269185090022805,-0.248996208271094, -0.215348072018244,-0.107674036009122,3.16168801885136E-18), .UNSPECIFIED.); #63387=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491826,#491827,#491828,#491829, #491830,#491831,#491832,#491833,#491834),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(-0.753718252063852,-0.640660540321263,-0.527602828578674,-0.499338400643027, -0.47107397270738,-0.414545116836085,-0.376949926990435),.UNSPECIFIED.); #63388=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491838,#491839,#491840,#491841, #491842,#491843,#491844,#491845,#491846,#491847,#491848,#491849,#491850, #491851,#491852),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,2,4),(-8.90228586609956E-17, 0.0659503405388397,0.131900681077679,0.197851021616519,0.263801362155359, 0.329751702694199,0.395702043233039,0.461652383771879,0.527602724310718, 0.593553064849558,0.659503405388398,0.753718252063852),.UNSPECIFIED.); #63389=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491855,#491856,#491857,#491858, #491859,#491860,#491861,#491862,#491863),.UNSPECIFIED.,.F.,.F.,(4,1,1,2, 1,4),(-0.376949926990435,-0.358016260964791,-0.301487405093497,-0.188429693350908, -0.075371877340363,8.66713344348107E-17),.UNSPECIFIED.); #63390=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491867,#491868,#491869,#491870, #491871,#491872,#491873,#491874,#491875,#491876,#491877),.UNSPECIFIED., .F.,.F.,(4,1,1,1,1,1,1,1,4),(-0.753718252063853,-0.646044216054731,-0.60566645255131, -0.538370180045609,-0.511451671043329,-0.484533162041048,-0.464344280289338, -0.430696144036487,-0.376788678842217),.UNSPECIFIED.); #63391=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491881,#491882,#491883,#491884, #491885,#491886,#491887,#491888,#491889,#491890,#491891,#491892,#491893, #491894,#491895),.UNSPECIFIED.,.F.,.F.,(4,1,2,1,1,1,1,1,1,2,4),(-8.55553178926869E-16, 0.113057816010545,0.188429693350908,0.282644435758406,0.329751806962155, 0.423966549369653,0.471073920573402,0.518181291777151,0.5652886629809,0.659503405388398, 0.753718252063854),.UNSPECIFIED.); #63392=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491898,#491899,#491900,#491901, #491902,#491903,#491904,#491905,#491906),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(-0.376788678842217,-0.323022108027365,-0.269185090022804,-0.248996208271094, -0.215348072018244,-0.107674036009122,6.11298813144299E-30), .UNSPECIFIED.); #63393=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491910,#491911,#491912,#491913, #491914,#491915,#491916,#491917),.UNSPECIFIED.,.F.,.F.,(4,1,2,1,4),(-0.753718252063852, -0.640660436053307,-0.565288558712944,-0.471073816305446,-0.377059947908616), .UNSPECIFIED.); #63394=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491921,#491922,#491923,#491924, #491925,#491926,#491927,#491928,#491929,#491930,#491931,#491932,#491933), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(-8.90228586610146E-17,0.107674036009122, 0.215348072018243,0.242266581020524,0.269185090022804,0.323022108027365, 0.430696144036487,0.484533162041048,0.538370180045609,0.646044216054731, 0.753718252063852),.UNSPECIFIED.); #63395=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491936,#491937,#491938,#491939, #491940,#491941,#491942,#491943,#491944,#491945,#491946,#491947), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,2,4),(-0.377059947908616,-0.376859073897948, -0.353305388296073,-0.329751702694199,-0.28264433149045,-0.235536960286701, -0.188429589082952,-0.0942148466754539,9.42224513361682E-16), .UNSPECIFIED.); #63396=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491951,#491952,#491953,#491954, #491955,#491956,#491957,#491958,#491959,#491960,#491961),.UNSPECIFIED., .F.,.F.,(4,1,1,1,1,1,1,1,4),(-0.753718252063852,-0.64604421605473,-0.538370180045608, -0.524910925544468,-0.511451671043328,-0.484533162041048,-0.471073907539907, -0.457614653038767,-0.377098933583968),.UNSPECIFIED.); #63397=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491965,#491966,#491967,#491968, #491969,#491970,#491971,#491972,#491973,#491974,#491975,#491976,#491977, #491978),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(-9.42224513361644E-16, 0.107674036009121,0.215348072018243,0.242266581020523,0.269185090022804, 0.296103599025084,0.309562853526224,0.430696144036486,0.538370180045608, 0.592207198050169,0.64604421605473,0.753718252063852),.UNSPECIFIED.); #63398=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491981,#491982,#491983,#491984, #491985,#491986,#491987,#491988),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(-0.377098933583968, -0.323022108027365,-0.215348072018243,-0.161511054013682,-0.107674036009122, 8.69875032366961E-17),.UNSPECIFIED.); #63399=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491992,#491993,#491994,#491995, #491996,#491997,#491998,#491999,#492000,#492001,#492002),.UNSPECIFIED., .F.,.F.,(4,1,1,1,1,1,1,1,4),(-0.753718252063852,-0.64604421605473,-0.538370180045608, -0.524910925544468,-0.511451671043328,-0.484533162041048,-0.471073907539907, -0.457614653038767,-0.37710815527095),.UNSPECIFIED.); #63400=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492006,#492007,#492008,#492009, #492010,#492011,#492012,#492013),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.37689651525304, 0.430696144036487,0.484533162041048,0.538370180045609,0.64604421605473, 0.753718252063852),.UNSPECIFIED.); #63401=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492023,#492024,#492025,#492026, #492027,#492028,#492029,#492030,#492031),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(-7.39757063764407E-16,0.107674036009121,0.215348072018243,0.242266581020523, 0.269185090022804,0.323022108027365,0.37689651525304),.UNSPECIFIED.); #63402=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492034,#492035,#492036,#492037, #492038,#492039,#492040,#492041),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(-0.37710815527095, -0.323022108027365,-0.215348072018243,-0.161511054013682,-0.107674036009122, 8.66713344348105E-17),.UNSPECIFIED.); #63403=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492045,#492046,#492047,#492048, #492049,#492050,#492051,#492052,#492053,#492054,#492055),.UNSPECIFIED., .F.,.F.,(4,1,1,1,1,1,1,1,4),(-0.753718252063852,-0.64604421605473,-0.538370180045609, -0.524910925544468,-0.511451671043328,-0.484533162041048,-0.471073907539907, -0.457614653038767,-0.377098933583967),.UNSPECIFIED.); #63404=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492059,#492060,#492061,#492062, #492063,#492064,#492065,#492066,#492067,#492068,#492069,#492070,#492071, #492072,#492073,#492074),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,2, 4),(-8.55553178926864E-16,0.065950340538839,0.131900681077679,0.197851021616519, 0.263801362155359,0.329751702694199,0.362726872963619,0.395702043233039, 0.461652383771879,0.527602724310719,0.593553064849558,0.659503405388398, 0.753718252063854),.UNSPECIFIED.); #63405=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492077,#492078,#492079,#492080, #492081,#492082,#492083,#492084),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(-0.377098933583967, -0.323022108027365,-0.215348072018243,-0.161511054013683,-0.107674036009122, 4.7682920242965E-18),.UNSPECIFIED.); #63406=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492088,#492089,#492090,#492091, #492092,#492093,#492094,#492095,#492096,#492097,#492098),.UNSPECIFIED., .F.,.F.,(4,1,1,1,1,1,1,1,4),(-0.753718252063852,-0.646044216054731,-0.60566645255131, -0.538370180045609,-0.511451671043328,-0.484533162041048,-0.464344280289338, -0.430696144036487,-0.376789688319131),.UNSPECIFIED.); #63407=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492102,#492103,#492104,#492105, #492106,#492107,#492108,#492109,#492110,#492111,#492112,#492113,#492114, #492115),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,2,4),(-1.38777878078145E-17, 0.0659503405388399,0.13190068107768,0.263801362155359,0.329751702694199, 0.395702043233039,0.461652383771879,0.527602724310719,0.593553064849558, 0.659503405388398,0.753718252063853),.UNSPECIFIED.); #63408=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492118,#492119,#492120,#492121, #492122,#492123,#492124,#492125,#492126),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(-0.376789688319131,-0.323022108027365,-0.269185090022804,-0.248996208271094, -0.215348072018244,-0.107674036009122,1.2643413859963E-31), .UNSPECIFIED.); #63409=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492130,#492131,#492132,#492133, #492134,#492135,#492136,#492137,#492138),.UNSPECIFIED.,.F.,.F.,(4,1,2,1, 1,4),(-0.753718252063852,-0.659503405388398,-0.565288558712944,-0.452230846970355, -0.395701991099061,-0.376792166191715),.UNSPECIFIED.); #63410=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492142,#492143,#492144,#492145, #492146,#492147,#492148,#492149,#492150,#492151,#492152,#492153,#492154, #492155),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(1.62299143742911E-16, 0.107674036009122,0.215348072018244,0.242266581020524,0.269185090022805, 0.296103599025085,0.309562853526225,0.430696144036487,0.538370180045609, 0.59220719805017,0.646044216054731,0.753718252063853),.UNSPECIFIED.); #63411=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492158,#492159,#492160,#492161, #492162,#492163,#492164,#492165,#492166),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(-0.376792166191715,-0.339173135227766,-0.282644279356472,-0.254379851420825, -0.226115423485178,-0.113057711742589,8.6671334434807E-17), .UNSPECIFIED.); #63412=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492170,#492171,#492172,#492173, #492174,#492175,#492176,#492177,#492178),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(-0.753718252063852,-0.640660540321263,-0.527602828578674,-0.499338400643027, -0.47107397270738,-0.414545116836085,-0.376949926990435),.UNSPECIFIED.); #63413=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492182,#492183,#492184,#492185, #492186,#492187,#492188,#492189,#492190,#492191,#492192,#492193,#492194), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(-8.90228586609956E-17,0.107674036009122, 0.215348072018243,0.242266581020524,0.269185090022804,0.323022108027365, 0.430696144036487,0.484533162041048,0.538370180045609,0.646044216054731, 0.753718252063852),.UNSPECIFIED.); #63414=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492197,#492198,#492199,#492200, #492201,#492202,#492203,#492204,#492205),.UNSPECIFIED.,.F.,.F.,(4,1,1,2, 1,4),(-0.376949926990435,-0.358016260964791,-0.301487405093497,-0.188429693350908, -0.075371877340363,8.66713344348075E-17),.UNSPECIFIED.); #63415=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492209,#492210,#492211,#492212, #492213,#492214,#492215,#492216,#492217),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(-0.753718252063852,-0.64604421605473,-0.538370180045608,-0.524910925544468, -0.484533162041047,-0.430696144036487,-0.376830853924268),.UNSPECIFIED.); #63416=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492221,#492222,#492223,#492224, #492225,#492226,#492227,#492228,#492229,#492230,#492231,#492232,#492233), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(-9.39992480277463E-16,0.107674036009121, 0.215348072018243,0.242266581020523,0.269185090022804,0.323022108027365, 0.430696144036487,0.484533162041048,0.538370180045609,0.646044216054731, 0.753718252063852),.UNSPECIFIED.); #63417=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492236,#492237,#492238,#492239, #492240,#492241,#492242,#492243,#492244),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(-0.376830853924268,-0.323022108027365,-0.269185090022804,-0.242266581020523, -0.215348072018243,-0.107674036009121,9.42224513361642E-16), .UNSPECIFIED.); #63418=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492248,#492249,#492250,#492251, #492252,#492253,#492254,#492255,#492256),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(-0.753718252063852,-0.687767911525013,-0.621817570986173,-0.555867230447333, -0.489916889908493,-0.423966549369653,-0.376556207780321),.UNSPECIFIED.); #63419=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492260,#492261,#492262,#492263, #492264,#492265,#492266,#492267,#492268,#492269,#492270,#492271,#492272, #492273),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(-7.39757063765003E-16, 0.107674036009121,0.215348072018243,0.242266581020524,0.269185090022804, 0.296103599025085,0.309562853526225,0.430696144036487,0.538370180045609, 0.59220719805017,0.646044216054732,0.753718252063854),.UNSPECIFIED.); #63420=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492276,#492277,#492278,#492279, #492280,#492281,#492282,#492283,#492284,#492285),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,2,4),(-0.376556207780321,-0.358016208830813,-0.292065868291973, -0.226115527753134,-0.160165187214294,-0.0942148466754539,9.45693960313659E-16), .UNSPECIFIED.); #63421=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492288,#492289,#492290,#492291, #492292,#492293,#492294,#492295,#492296,#492297,#492298),.UNSPECIFIED., .F.,.F.,(4,1,1,1,1,1,1,1,4),(-0.753718252063852,-0.646044216054731,-0.60566645255131, -0.538370180045609,-0.511451671043328,-0.484533162041048,-0.464344280289338, -0.430696144036487,-0.376788674163999),.UNSPECIFIED.); #63422=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492301,#492302,#492303,#492304, #492305,#492306),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.569938930531725,0.59220719805017, 0.64604421605473,0.753718252063852),.UNSPECIFIED.); #63423=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492342,#492343,#492344,#492345, #492346,#492347,#492348,#492349),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.50610004952817, 1.52904970141946,1.65664613525166,1.75593608588212),.UNSPECIFIED.); #63424=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492356,#492357,#492358,#492359, #492360,#492361,#492362,#492363),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(0.87257096123353, 0.971860911863991,1.0994573456962,1.12240699758749),.UNSPECIFIED.); #63425=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492531,#492532,#492533,#492534, #492535,#492536,#492537,#492538,#492539,#492540,#492541,#492542,#492543), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(-0.753718252063852,-0.646044216054731, -0.538370180045609,-0.484533162041048,-0.430696144036487,-0.323022108027365, -0.269185090022804,-0.242266581020523,-0.215348072018243,-0.107674036009121, 9.39992480277463E-16),.UNSPECIFIED.); #63426=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492604,#492605,#492606,#492607, #492608,#492609,#492610,#492611,#492612,#492613,#492614,#492615,#492616, #492617),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(-9.42224513361642E-16, 0.107674036009121,0.215348072018243,0.242266581020523,0.269185090022804, 0.323022108027365,0.430696144036487,0.484533162041047,0.524910925544468, 0.538370180045608,0.64604421605473,0.753718252063852),.UNSPECIFIED.); #63427=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492622,#492623,#492624,#492625, #492626,#492627,#492628,#492629,#492630,#492631,#492632,#492633,#492634, #492635,#492636,#492637),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,1,1,1,1,1,1,1, 4),(8.7286385338222E-16,0.0942055797257666,0.160149453360027,0.226093326994287, 0.292037200628547,0.357981074262808,0.390953011079938,0.423924947897068, 0.489868821531328,0.555812695165588,0.621756568799849,0.687700442434109, 0.753644316068369),.UNSPECIFIED.); #63428=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492708,#492709,#492710,#492711, #492712,#492713,#492714,#492715,#492716,#492717,#492718,#492719,#492720, #492721),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,2,1,4),(-1.76247905159244E-15, 0.113046631323365,0.226093262646733,0.254354920477574,0.282616578308416, 0.3391398939701,0.395663209631783,0.452186525293467,0.565233156616834,0.678279852287755, 0.753644316068368),.UNSPECIFIED.); #63429=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492722,#492723,#492724,#492725, #492726,#492727,#492728,#492729,#492730,#492731,#492732,#492733,#492734, #492735),.UNSPECIFIED.,.F.,.F.,(4,1,2,1,1,1,1,1,1,1,4),(-0.753644316068368, -0.678279852287755,-0.565233156616834,-0.452186525293467,-0.395663209631783, -0.3391398939701,-0.282616578308416,-0.254354920477574,-0.226093262646733, -0.113046631323365,1.76247905159244E-15),.UNSPECIFIED.); #63430=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492805,#492806,#492807,#492808, #492809,#492810,#492811,#492812,#492813,#492814,#492815,#492816,#492817, #492818,#492819,#492820),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,2, 4),(-0.753644316068369,-0.687700442434109,-0.621756568799849,-0.555812695165588, -0.489868821531328,-0.423924947897068,-0.390953011079938,-0.357981074262808, -0.292037200628547,-0.226093326994287,-0.160149453360027,-0.0942055797257666, -8.7286385338222E-16),.UNSPECIFIED.); #63431=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492827,#492828,#492829,#492830, #492831,#492832,#492833,#492834,#492835,#492836,#492837),.UNSPECIFIED., .F.,.F.,(4,1,1,1,1,1,1,1,4),(-9.64175146780574E-16,0.107663473724052,0.215326947448105, 0.228784881663611,0.242242815879118,0.269158684310131,0.296074552741145, 0.309532486956651,0.37645847312895),.UNSPECIFIED.); #63432=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492841,#492842,#492843,#492844, #492845,#492846,#492847,#492848,#492849),.UNSPECIFIED.,.F.,.F.,(4,1,1,2, 1,4),(-0.376908498880252,-0.357981106436584,-0.3014577907749,-0.188411159451533, -0.0942055797257675,-1.76247905159244E-15),.UNSPECIFIED.); #63433=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492854,#492855,#492856,#492857, #492858,#492859,#492860,#492861,#492862,#492863),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(-0.753644316068368,-0.640597684745001,-0.527551053421634, -0.513420224506213,-0.499289395590793,-0.471027737759951,-0.414504422098267, -0.376908498880252),.UNSPECIFIED.); #63434=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492866,#492867,#492868,#492869, #492870,#492871,#492872,#492873),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.37645847312895, 0.430653894896211,0.538317368620264,0.59214910548229,0.645980842344317, 0.753644316068369),.UNSPECIFIED.); #63435=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492878,#492879,#492880,#492881, #492882,#492883,#492884,#492885,#492886,#492887,#492888,#492889,#492890, #492891,#492892,#492893),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1, 1,4),(-1.81315475125379E-15,0.107663473724051,0.148037276370571,0.215326947448104, 0.242242815879118,0.269158684310131,0.289345585633391,0.322990421172157, 0.43065389489621,0.484485631758237,0.504672533081497,0.538317368620264, 0.645980842344317,0.75364431606837),.UNSPECIFIED.); #63436=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492896,#492897,#492898,#492899, #492900,#492901,#492902,#492903,#492904,#492905,#492906,#492907,#492908), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(-0.753644316068369,-0.645980842344317, -0.538317368620264,-0.511401500189251,-0.484485631758238,-0.430653894896212, -0.322990421172159,-0.269158684310133,-0.215326947448107,-0.107663473724054, -1.76247905159243E-15),.UNSPECIFIED.); #63437=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492913,#492914,#492915,#492916, #492917,#492918,#492919,#492920,#492921,#492922,#492923,#492924,#492925, #492926),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,2,4),(-2.64109001901538E-15, 0.0659438736342578,0.131887747268518,0.263775494537039,0.329719368171299, 0.39566324180556,0.46160711543982,0.52755098907408,0.593494862708341,0.659438736342601, 0.753644316068369),.UNSPECIFIED.); #63438=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492929,#492930,#492931,#492932, #492933,#492934,#492935,#492936,#492937,#492938,#492939,#492940,#492941), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(-0.753644316068368,-0.645980842344316, -0.538317368620264,-0.51140150018925,-0.484485631758237,-0.430653894896211, -0.322990421172159,-0.269158684310133,-0.215326947448106,-0.107663473724054, -1.76247905159244E-15),.UNSPECIFIED.); #63439=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492946,#492947,#492948,#492949, #492950,#492951,#492952,#492953,#492954,#492955,#492956,#492957,#492958, #492959,#492960),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,1,1,2,2,4),(-1.80827672160342E-15, 0.094205579725767,0.15308402683715,0.211962473948534,0.270840921059917, 0.329719368171301,0.447476262394068,0.565233156616835,0.659438736342602, 0.75364431606837),.UNSPECIFIED.); #63440=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492963,#492964,#492965,#492966, #492967,#492968,#492969,#492970,#492971,#492972,#492973,#492974,#492975), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(-0.753644316068369,-0.645980842344316, -0.538317368620264,-0.511401500189251,-0.484485631758238,-0.430653894896211, -0.322990421172159,-0.269158684310133,-0.215326947448107,-0.107663473724054, -1.76247905159244E-15),.UNSPECIFIED.); #63441=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492980,#492981,#492982,#492983, #492984,#492985,#492986,#492987,#492988,#492989,#492990,#492991,#492992, #492993),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,2,4),(-9.64175146780574E-16, 0.0659438736342593,0.131887747268519,0.26377549453704,0.3297193681713,0.39566324180556, 0.461607115439821,0.527550989074081,0.593494862708341,0.659438736342601, 0.753644316068369),.UNSPECIFIED.); #63442=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492996,#492997,#492998,#492999, #493000,#493001,#493002,#493003,#493004,#493005,#493006,#493007,#493008, #493009,#493010),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,2,1,4),(-0.753644316068368, -0.640597684745001,-0.527551053421634,-0.513420224506213,-0.499289395590793, -0.471027737759951,-0.414504422098267,-0.357981106436584,-0.3014577907749, -0.188411159451533,-0.0942055797257675,-1.76247905159244E-15), .UNSPECIFIED.); #63443=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493015,#493016,#493017,#493018, #493019,#493020,#493021,#493022,#493023,#493024,#493025,#493026,#493027, #493028,#493029),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,2,1,1,1,1,4),(-2.5321805907428E-15, 0.094205579725767,0.169569995245715,0.244934410765664,0.320298826285612, 0.471027657325509,0.499289323199795,0.527550989074081,0.640597652571225, 0.682990151382654,0.753644316068369),.UNSPECIFIED.); #63444=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493032,#493033,#493034,#493035, #493036,#493037,#493038,#493039,#493040,#493041,#493042,#493043,#493044), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(-0.753644316068368,-0.645980842344316, -0.538317368620263,-0.51140150018925,-0.484485631758237,-0.430653894896211, -0.322990421172158,-0.269158684310132,-0.215326947448105,-0.107663473724053, 7.93640295628326E-17),.UNSPECIFIED.); #63445=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493049,#493050,#493051,#493052, #493053,#493054,#493055,#493056,#493057,#493058,#493059,#493060,#493061, #493062),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,2,1,4),(-1.00658421307692E-15, 0.113046631323366,0.226093262646733,0.254354920477575,0.282616578308417, 0.3391398939701,0.395663209631784,0.452186525293467,0.565233156616834,0.678279852287755, 0.753644316068369),.UNSPECIFIED.); #63446=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493065,#493066,#493067,#493068, #493069,#493070,#493071,#493072,#493073,#493074,#493075,#493076,#493077, #493078,#493079,#493080),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,2, 4),(-0.753644316068369,-0.687700442434109,-0.621756568799848,-0.555812695165588, -0.489868821531328,-0.423924947897068,-0.390953011079938,-0.357981074262808, -0.292037200628547,-0.226093326994287,-0.160149453360027,-0.0942055797257666, 1.47968221122463E-15),.UNSPECIFIED.); #63447=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493085,#493086,#493087,#493088, #493089,#493090,#493091,#493092,#493093,#493094,#493095,#493096,#493097, #493098),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,2,4),(-2.23340110464413E-15, 0.0659438736342581,0.131887747268518,0.263775494537039,0.3297193681713, 0.39566324180556,0.46160711543982,0.52755098907408,0.593494862708341,0.659438736342601, 0.753644316068369),.UNSPECIFIED.); #63448=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493101,#493102,#493103,#493104, #493105,#493106,#493107,#493108,#493109,#493110,#493111,#493112,#493113, #493114),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(-0.753644316068368, -0.645980842344316,-0.59214910548229,-0.538317368620264,-0.430653894896211, -0.296074552741146,-0.269158684310133,-0.24224281587912,-0.228784881663613, -0.215326947448106,-0.107663473724054,-1.74860126378462E-15), .UNSPECIFIED.); #63449=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493119,#493120,#493121,#493122, #493123,#493124,#493125,#493126,#493127,#493128,#493129,#493130,#493131, #493132,#493133,#493134),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1, 1,4),(-1.02046200088479E-15,0.107663473724052,0.148037276370572,0.215326947448105, 0.242242815879118,0.269158684310131,0.289345585633391,0.322990421172158, 0.43065389489621,0.484485631758237,0.504672533081497,0.538317368620263, 0.645980842344316,0.753644316068369),.UNSPECIFIED.); #63450=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493137,#493138,#493139,#493140, #493141,#493142,#493143,#493144,#493145,#493146,#493147,#493148,#493149, #493150,#493151,#493152),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,2, 4),(-0.75364431606837,-0.687700442434109,-0.621756568799849,-0.555812695165589, -0.489868821531328,-0.423924947897068,-0.390953011079938,-0.357981074262808, -0.292037200628547,-0.226093326994287,-0.160149453360027,-0.0942055797257666, -8.4449758703877E-16),.UNSPECIFIED.); #63451=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493157,#493158,#493159,#493160, #493161,#493162,#493163,#493164,#493165,#493166,#493167,#493168,#493169, #493170,#493171),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,1,1,2,2,4),(-1.84352976573045E-15, 0.094205579725767,0.15308402683715,0.211962473948534,0.270840921059917, 0.3297193681713,0.447476262394067,0.565233156616834,0.659438736342601,0.753644316068369), .UNSPECIFIED.); #63452=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493174,#493175,#493176,#493177, #493178,#493179,#493180,#493181,#493182,#493183,#493184,#493185,#493186, #493187,#493188),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,2,1,4),(-0.753644316068369, -0.640597684745002,-0.527551053421635,-0.513420224506214,-0.499289395590793, -0.471027737759951,-0.414504422098268,-0.357981106436584,-0.3014577907749, -0.188411159451533,-0.0942055797257666,8.15756624988073E-17), .UNSPECIFIED.); #63453=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493193,#493194,#493195,#493196, #493197,#493198,#493199,#493200,#493201,#493202,#493203,#493204,#493205), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,2,1,1,1,1,4),(-9.72826288461632E-16,0.113046663497143, 0.169569995245715,0.226093326994287,0.282616658742859,0.471027721673063, 0.518130487405614,0.565233253138165,0.659438784603267,0.753644316068369), .UNSPECIFIED.); #63454=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493208,#493209,#493210,#493211, #493212,#493213,#493214,#493215,#493216,#493217,#493218,#493219,#493220, #493221,#493222,#493223),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,2, 4),(-0.753644316068369,-0.687700442434109,-0.621756568799849,-0.555812695165589, -0.489868821531328,-0.423924947897068,-0.390953011079938,-0.357981074262808, -0.292037200628547,-0.226093326994287,-0.160149453360027,-0.0942055797257666, -8.44082395692724E-16),.UNSPECIFIED.); #63455=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493228,#493229,#493230,#493231, #493232,#493233,#493234,#493235,#493236,#493237,#493238,#493239,#493240, #493241),.UNSPECIFIED.,.F.,.F.,(4,1,2,1,1,1,1,1,1,1,4),(-3.24216126281558E-15, 0.0753644637806117,0.188411159451534,0.301457790774901,0.357981106436585, 0.414504422098268,0.471027737759952,0.499289395590794,0.527551053421636, 0.640597684745003,0.75364431606837),.UNSPECIFIED.); #63456=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493244,#493245,#493246,#493247, #493248,#493249,#493250,#493251,#493252,#493253,#493254,#493255,#493256, #493257),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,2,4),(-0.753644316068369, -0.687700442434109,-0.621756568799849,-0.489868821531328,-0.423924947897068, -0.357981074262808,-0.292037200628547,-0.226093326994287,-0.160149453360027, -0.0942055797257666,-8.42354134932531E-16),.UNSPECIFIED.); #63457=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493262,#493263,#493264,#493265, #493266,#493267,#493268,#493269,#493270,#493271,#493272,#493273,#493274, #493275,#493276),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,2,1,4),(-1.84352976573044E-15, 0.113046631323365,0.226093262646732,0.240224091562153,0.254354920477574, 0.282616578308416,0.3391398939701,0.395663209631783,0.452186525293467,0.565233156616834, 0.6594387363426,0.753644316068366),.UNSPECIFIED.); #63458=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493279,#493280,#493281,#493282, #493283,#493284,#493285,#493286,#493287,#493288,#493289,#493290,#493291, #493292),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(-0.753644316068369, -0.645980842344316,-0.538317368620263,-0.524859434404757,-0.51140150018925, -0.484485631758237,-0.457569763327224,-0.322990421172158,-0.215326947448105, -0.161495210586079,-0.107663473724053,1.76921497258808E-18), .UNSPECIFIED.); #63459=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493297,#493298,#493299,#493300, #493301,#493302,#493303,#493304,#493305,#493306,#493307,#493308,#493309, #493310,#493311),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,2,1,4),(-2.56455277009693E-15, 0.113046631323365,0.226093262646732,0.240224091562153,0.254354920477574, 0.282616578308416,0.3391398939701,0.395663209631783,0.452186525293467,0.565233156616834, 0.659438736342601,0.753644316068368),.UNSPECIFIED.); #63460=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493314,#493315,#493316,#493317, #493318,#493319,#493320,#493321,#493322,#493323,#493324,#493325,#493326, #493327,#493328,#493329),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,2, 4),(-0.753644316068369,-0.687700442434109,-0.621756568799849,-0.555812695165589, -0.489868821531328,-0.423924947897068,-0.390953011079938,-0.357981074262808, -0.292037200628547,-0.226093326994287,-0.160149453360027,-0.0942055797257666, -8.43311574220752E-16),.UNSPECIFIED.); #63461=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493334,#493335,#493336,#493337, #493338,#493339,#493340,#493341,#493342,#493343,#493344,#493345), .UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,1,2,4),(-8.47448333532326E-16,0.0942055797257666, 0.207252211049134,0.320298842372501,0.489868789357551,0.546392105019235, 0.659438736342602,0.753644316068369),.UNSPECIFIED.); #63462=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493348,#493349,#493350,#493351, #493352,#493353,#493354,#493355,#493356,#493357,#493358,#493359,#493360), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(-0.753644316068369,-0.645980842344317, -0.538317368620264,-0.51140150018925,-0.484485631758237,-0.430653894896211, -0.322990421172158,-0.269158684310132,-0.215326947448105,-0.107663473724053, 1.43677851009475E-18),.UNSPECIFIED.); #63463=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493426,#493427,#493428,#493429, #493430,#493431,#493432,#493433,#493434,#493435,#493436,#493437,#493438, #493439),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(-0.753718252063854, -0.646044216054732,-0.59220719805017,-0.538370180045609,-0.430696144036487, -0.309562853526225,-0.296103599025085,-0.269185090022804,-0.242266581020524, -0.215348072018243,-0.107674036009121,7.39757063765003E-16), .UNSPECIFIED.); #63464=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493504,#493505,#493506,#493507, #493508,#493509,#493510,#493511,#493512,#493513,#493514,#493515,#493516, #493517,#493518),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,1,1,1,1,1,1,4),(-9.45693960313659E-16, 0.0942148466754539,0.160165187214294,0.226115527753134,0.292065868291973, 0.358016208830813,0.423966549369653,0.489916889908493,0.555867230447333, 0.621817570986173,0.687767911525013,0.753718252063852),.UNSPECIFIED.); #63465=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493523,#493524,#493525,#493526, #493527,#493528,#493529,#493530,#493531,#493532,#493533,#493534,#493535), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(1.76247905159243E-15,0.107663473724054, 0.215326947448107,0.269158684310133,0.322990421172159,0.430653894896212, 0.484485631758238,0.511401500189251,0.538317368620264,0.645980842344317, 0.753644316068369),.UNSPECIFIED.); #63466=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493610,#493611,#493612,#493613, #493614,#493615,#493616,#493617,#493618,#493619,#493620,#493621,#493622, #493623,#493624,#493625),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1, 1,4),(-0.75364431606837,-0.645980842344317,-0.538317368620264,-0.504672533081497, -0.484485631758237,-0.43065389489621,-0.322990421172157,-0.289345585633391, -0.269158684310131,-0.242242815879118,-0.215326947448104,-0.148037276370571, -0.107663473724051,1.81315475125379E-15),.UNSPECIFIED.); #63467=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493748,#493749,#493750,#493751, #493752,#493753,#493754,#493755,#493756,#493757,#493758,#493759,#493760, #493761),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(-0.753718252063852, -0.64604421605473,-0.59220719805017,-0.538370180045609,-0.430696144036487, -0.309562853526225,-0.296103599025085,-0.269185090022804,-0.242266581020524, -0.215348072018243,-0.107674036009122,2.7945637976506E-19), .UNSPECIFIED.); #63468=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493830,#493831,#493832,#493833, #493834,#493835,#493836,#493837,#493838,#493839,#493840,#493841,#493842, #493843,#493844,#493845),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1, 1,4),(-6.9177680047851E-45,0.107674036009122,0.215348072018243,0.248996208271094, 0.269185090022804,0.323022108027365,0.430696144036487,0.464344280289338, 0.484533162041048,0.511451671043328,0.538370180045609,0.60566645255131, 0.646044216054731,0.753718252063852),.UNSPECIFIED.); #63469=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493850,#493851,#493852,#493853, #493854,#493855,#493856,#493857,#493858,#493859,#493860,#493861,#493862), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(-1.43677851009475E-18,0.107663473724053, 0.215326947448105,0.269158684310132,0.322990421172158,0.430653894896211, 0.484485631758237,0.51140150018925,0.538317368620264,0.645980842344317, 0.753644316068369),.UNSPECIFIED.); #63470=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493921,#493922,#493923,#493924, #493925,#493926,#493927,#493928,#493929,#493930,#493931,#493932), .UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,1,2,4),(-0.753644316068369,-0.659438736342602, -0.546392105019235,-0.489868789357551,-0.320298842372501,-0.207252211049134, -0.0942055797257666,8.47448333532326E-16),.UNSPECIFIED.); #63471=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494051,#494052,#494053,#494054, #494055,#494056,#494057,#494058,#494059,#494060,#494061,#494062,#494063), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(-0.753718252063852,-0.64604421605473, -0.538370180045609,-0.484533162041048,-0.430696144036487,-0.323022108027365, -0.269185090022804,-0.242266581020523,-0.215348072018243,-0.107674036009121, 7.39757063764406E-16),.UNSPECIFIED.); #63472=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494124,#494125,#494126,#494127, #494128,#494129,#494130,#494131,#494132,#494133,#494134,#494135,#494136, #494137),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,2,1,4),(-8.6671334434807E-17, 0.113057711742589,0.226115423485178,0.254379851420825,0.282644279356472, 0.339173135227766,0.395701991099061,0.452230846970355,0.565288558712944, 0.659503405388398,0.753718252063852),.UNSPECIFIED.); #63473=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494142,#494143,#494144,#494145, #494146,#494147,#494148,#494149,#494150,#494151,#494152,#494153,#494154), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(1.76247905159244E-15,0.107663473724054, 0.215326947448106,0.269158684310133,0.322990421172159,0.430653894896211, 0.484485631758237,0.51140150018925,0.538317368620264,0.645980842344316, 0.753644316068368),.UNSPECIFIED.); #63474=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494221,#494222,#494223,#494224, #494225,#494226,#494227,#494228,#494229,#494230,#494231,#494232,#494233, #494234),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,1,1,1,1,1,4),(-0.753644316068369, -0.659438736342601,-0.593494862708341,-0.52755098907408,-0.46160711543982, -0.39566324180556,-0.329719368171299,-0.263775494537039,-0.131887747268518, -0.0659438736342578,2.64109001901538E-15),.UNSPECIFIED.); #63475=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494357,#494358,#494359,#494360, #494361,#494362,#494363,#494364,#494365,#494366,#494367,#494368,#494369, #494370),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(-0.753718252063852, -0.646044216054731,-0.538370180045609,-0.511451671043328,-0.484533162041048, -0.457614653038768,-0.444155398537627,-0.323022108027365,-0.215348072018244, -0.161511054013683,-0.107674036009122,4.52403380645698E-32), .UNSPECIFIED.); #63476=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494431,#494432,#494433,#494434, #494435,#494436,#494437,#494438,#494439,#494440,#494441,#494442,#494443, #494444),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(-9.42224513361641E-16, 0.107674036009121,0.215348072018243,0.242266581020523,0.269185090022804, 0.323022108027365,0.430696144036486,0.484533162041047,0.524910925544468, 0.538370180045608,0.64604421605473,0.753718252063852),.UNSPECIFIED.); #63477=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494449,#494450,#494451,#494452, #494453,#494454,#494455,#494456,#494457,#494458,#494459,#494460,#494461, #494462,#494463,#494464),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,1,1,1,1,1,1,1, 4),(8.43311574220752E-16,0.0942055797257666,0.160149453360027,0.226093326994287, 0.292037200628547,0.357981074262808,0.390953011079938,0.423924947897068, 0.489868821531328,0.555812695165589,0.621756568799849,0.687700442434109, 0.753644316068369),.UNSPECIFIED.); #63478=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494535,#494536,#494537,#494538, #494539,#494540,#494541,#494542,#494543,#494544,#494545,#494546,#494547, #494548,#494549),.UNSPECIFIED.,.F.,.F.,(4,1,2,1,1,1,1,1,1,1,1,4),(-0.753644316068368, -0.659438736342601,-0.565233156616834,-0.452186525293467,-0.395663209631783, -0.3391398939701,-0.282616578308416,-0.254354920477574,-0.240224091562153, -0.226093262646732,-0.113046631323365,2.56455277009693E-15), .UNSPECIFIED.); #63479=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494684,#494685,#494686,#494687, #494688,#494689,#494690,#494691,#494692,#494693,#494694,#494695,#494696, #494697),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(-0.753718252063854, -0.646044216054732,-0.592207198050171,-0.53837018004561,-0.430696144036488, -0.309562853526225,-0.296103599025085,-0.269185090022804,-0.242266581020524, -0.215348072018243,-0.107674036009121,9.92671461928697E-16), .UNSPECIFIED.); #63480=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494758,#494759,#494760,#494761, #494762,#494763,#494764,#494765,#494766,#494767,#494768,#494769,#494770, #494771),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(-9.4122721514474E-16, 0.107674036009121,0.215348072018243,0.228807326519383,0.242266581020523, 0.269185090022804,0.296103599025084,0.430696144036487,0.538370180045609, 0.59220719805017,0.64604421605473,0.753718252063852),.UNSPECIFIED.); #63481=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494776,#494777,#494778,#494779, #494780,#494781,#494782,#494783,#494784,#494785,#494786,#494787,#494788), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(1.76247905159244E-15,0.107663473724054, 0.215326947448107,0.269158684310133,0.322990421172159,0.430653894896211, 0.484485631758238,0.511401500189251,0.538317368620264,0.645980842344316, 0.753644316068369),.UNSPECIFIED.); #63482=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494859,#494860,#494861,#494862, #494863,#494864,#494865,#494866,#494867,#494868,#494869,#494870,#494871, #494872,#494873),.UNSPECIFIED.,.F.,.F.,(4,2,2,1,1,1,1,1,2,4),(-0.75364431606837, -0.659438736342602,-0.565233156616835,-0.447476262394068,-0.329719368171301, -0.270840921059917,-0.211962473948534,-0.15308402683715,-0.094205579725767, 1.80827672160342E-15),.UNSPECIFIED.); #63483=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494992,#494993,#494994,#494995, #494996,#494997,#494998,#494999,#495000,#495001,#495002,#495003,#495004), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(-0.753718252063854,-0.646044216054732, -0.53837018004561,-0.484533162041048,-0.430696144036487,-0.323022108027365, -0.269185090022804,-0.242266581020524,-0.215348072018243,-0.107674036009121, 9.42224513361644E-16),.UNSPECIFIED.); #63484=B_SPLINE_CURVE_WITH_KNOTS('',3,(#495073,#495074,#495075,#495076, #495077,#495078,#495079,#495080,#495081,#495082,#495083,#495084,#495085, #495086,#495087,#495088),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1, 1,4),(-3.16168801885136E-18,0.107674036009122,0.215348072018244,0.248996208271094, 0.269185090022805,0.323022108027366,0.430696144036487,0.464344280289338, 0.484533162041048,0.511451671043329,0.538370180045609,0.60566645255131, 0.646044216054731,0.753718252063853),.UNSPECIFIED.); #63485=B_SPLINE_CURVE_WITH_KNOTS('',3,(#495093,#495094,#495095,#495096, #495097,#495098,#495099,#495100,#495101,#495102,#495103,#495104,#495105, #495106),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(-1.76921497258808E-18, 0.107663473724053,0.161495210586079,0.215326947448105,0.322990421172158, 0.457569763327224,0.484485631758237,0.51140150018925,0.524859434404757, 0.538317368620263,0.645980842344316,0.753644316068369),.UNSPECIFIED.); #63486=B_SPLINE_CURVE_WITH_KNOTS('',3,(#495177,#495178,#495179,#495180, #495181,#495182,#495183,#495184,#495185,#495186,#495187,#495188,#495189, #495190,#495191),.UNSPECIFIED.,.F.,.F.,(4,1,2,1,1,1,1,1,1,1,1,4),(-0.753644316068366, -0.6594387363426,-0.565233156616834,-0.452186525293467,-0.395663209631783, -0.3391398939701,-0.282616578308416,-0.254354920477574,-0.240224091562153, -0.226093262646732,-0.113046631323365,1.84352976573044E-15), .UNSPECIFIED.); #63487=B_SPLINE_CURVE_WITH_KNOTS('',3,(#495322,#495323,#495324,#495325, #495326,#495327,#495328,#495329,#495330,#495331,#495332,#495333,#495334, #495335,#495336),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,1,1,1,1,1,1,4),(-0.753718252063852, -0.659503405388398,-0.593553064849558,-0.527602724310718,-0.461652383771879, -0.395702043233039,-0.329751702694199,-0.263801362155359,-0.197851021616519, -0.131900681077679,-0.0659503405388397,8.90228586609956E-17), .UNSPECIFIED.); #63488=B_SPLINE_CURVE_WITH_KNOTS('',3,(#495397,#495398,#495399,#495400, #495401,#495402,#495403,#495404,#495405,#495406,#495407,#495408,#495409, #495410),.UNSPECIFIED.,.F.,.F.,(4,1,2,1,1,1,1,1,1,1,4),(-8.66713344348107E-17, 0.075371877340363,0.188429693350908,0.301487405093497,0.358016260964791, 0.414545116836085,0.47107397270738,0.499338400643027,0.527602828578674, 0.640660540321263,0.753718252063852),.UNSPECIFIED.); #63489=B_SPLINE_CURVE_WITH_KNOTS('',3,(#495415,#495416,#495417,#495418, #495419,#495420,#495421,#495422,#495423,#495424,#495425,#495426,#495427, #495428,#495429),.UNSPECIFIED.,.F.,.F.,(4,1,2,1,1,1,1,1,1,1,1,4),(1.76247905159244E-15, 0.0942055797257675,0.188411159451533,0.3014577907749,0.357981106436584, 0.414504422098267,0.471027737759951,0.499289395590793,0.513420224506213, 0.527551053421634,0.640597684745001,0.753644316068368),.UNSPECIFIED.); #63490=B_SPLINE_CURVE_WITH_KNOTS('',3,(#495496,#495497,#495498,#495499, #495500,#495501,#495502,#495503,#495504,#495505,#495506,#495507,#495508, #495509),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,1,1,1,1,1,4),(-0.753644316068369, -0.659438736342601,-0.593494862708341,-0.527550989074081,-0.461607115439821, -0.39566324180556,-0.3297193681713,-0.26377549453704,-0.131887747268519, -0.0659438736342593,9.64175146780574E-16),.UNSPECIFIED.); #63491=B_SPLINE_CURVE_WITH_KNOTS('',3,(#495644,#495645,#495646,#495647, #495648,#495649,#495650,#495651,#495652,#495653,#495654,#495655,#495656, #495657,#495658),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,1,1,1,2,1,4),(-0.753718252063854, -0.659503405388398,-0.5652886629809,-0.518181291777151,-0.471073920573402, -0.423966549369653,-0.329751806962155,-0.282644435758406,-0.188429693350908, -0.113057816010545,8.55553178926869E-16),.UNSPECIFIED.); #63492=B_SPLINE_CURVE_WITH_KNOTS('',3,(#495727,#495728,#495729,#495730, #495731,#495732,#495733,#495734,#495735,#495736,#495737,#495738,#495739, #495740,#495741,#495742),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1, 1,4),(-6.11298813144299E-30,0.107674036009122,0.215348072018244,0.248996208271094, 0.269185090022804,0.323022108027365,0.430696144036487,0.464344280289338, 0.484533162041048,0.511451671043329,0.538370180045609,0.60566645255131, 0.646044216054731,0.753718252063853),.UNSPECIFIED.); #63493=B_SPLINE_CURVE_WITH_KNOTS('',3,(#495747,#495748,#495749,#495750, #495751,#495752,#495753,#495754,#495755,#495756,#495757,#495758,#495759, #495760),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,1,1,1,1,1,4),(8.42354134932531E-16, 0.0942055797257666,0.160149453360027,0.226093326994287,0.292037200628547, 0.357981074262808,0.423924947897068,0.489868821531328,0.621756568799849, 0.687700442434109,0.753644316068369),.UNSPECIFIED.); #63494=B_SPLINE_CURVE_WITH_KNOTS('',3,(#495827,#495828,#495829,#495830, #495831,#495832,#495833,#495834,#495835,#495836,#495837,#495838,#495839, #495840),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,2,1,4),(-0.75364431606837, -0.640597684745003,-0.527551053421636,-0.499289395590794,-0.471027737759952, -0.414504422098268,-0.357981106436585,-0.301457790774901,-0.188411159451534, -0.0753644637806117,3.24216126281558E-15),.UNSPECIFIED.); #63495=B_SPLINE_CURVE_WITH_KNOTS('',3,(#495963,#495964,#495965,#495966, #495967,#495968,#495969,#495970,#495971,#495972,#495973,#495974,#495975), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(-0.753718252063852,-0.646044216054731, -0.538370180045609,-0.484533162041048,-0.430696144036487,-0.323022108027365, -0.269185090022804,-0.242266581020524,-0.215348072018243,-0.107674036009122, 8.90228586610146E-17),.UNSPECIFIED.); #63496=B_SPLINE_CURVE_WITH_KNOTS('',3,(#496044,#496045,#496046,#496047, #496048,#496049,#496050,#496051,#496052,#496053,#496054,#496055,#496056, #496057,#496058,#496059),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,1,1,1,1,2,1,4), (-9.42224513361682E-16,0.0942148466754539,0.188429589082952,0.235536960286701, 0.28264433149045,0.329751702694199,0.353305388296073,0.376859073897948, 0.471073816305446,0.565288558712944,0.640660436053307,0.753718252063852), .UNSPECIFIED.); #63497=B_SPLINE_CURVE_WITH_KNOTS('',3,(#496064,#496065,#496066,#496067, #496068,#496069,#496070,#496071,#496072,#496073,#496074,#496075,#496076), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(-7.93640295628326E-17,0.107663473724053, 0.215326947448105,0.269158684310132,0.322990421172158,0.430653894896211, 0.484485631758237,0.51140150018925,0.538317368620263,0.645980842344316, 0.753644316068368),.UNSPECIFIED.); #63498=B_SPLINE_CURVE_WITH_KNOTS('',3,(#496147,#496148,#496149,#496150, #496151,#496152,#496153,#496154,#496155,#496156,#496157,#496158,#496159, #496160,#496161),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,2,1,1,1,2,4),(-0.753644316068369, -0.682990151382654,-0.640597652571225,-0.527550989074081,-0.499289323199795, -0.471027657325509,-0.320298826285612,-0.244934410765664,-0.169569995245715, -0.094205579725767,2.5321805907428E-15),.UNSPECIFIED.); #63499=B_SPLINE_CURVE_WITH_KNOTS('',3,(#496284,#496285,#496286,#496287, #496288,#496289,#496290,#496291,#496292,#496293,#496294,#496295,#496296, #496297),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(-0.753718252063852, -0.64604421605473,-0.592207198050169,-0.538370180045608,-0.430696144036486, -0.309562853526224,-0.296103599025084,-0.269185090022804,-0.242266581020523, -0.215348072018243,-0.107674036009121,9.42224513361644E-16), .UNSPECIFIED.); #63500=B_SPLINE_CURVE_WITH_KNOTS('',3,(#496362,#496363,#496364,#496365, #496366,#496367,#496368,#496369,#496370,#496371,#496372,#496373,#496374, #496375,#496376),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,4),(-8.69875032366961E-17, 0.107674036009122,0.161511054013682,0.215348072018243,0.323022108027365, 0.457614653038767,0.471073907539907,0.484533162041048,0.511451671043328, 0.524910925544468,0.538370180045608,0.64604421605473,0.753718252063852), .UNSPECIFIED.); #63501=B_SPLINE_CURVE_WITH_KNOTS('',3,(#496381,#496382,#496383,#496384, #496385,#496386,#496387,#496388,#496389,#496390,#496391,#496392,#496393, #496394,#496395,#496396),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,1,1,1,1,1,1,1, 4),(8.44082395692724E-16,0.0942055797257666,0.160149453360027,0.226093326994287, 0.292037200628547,0.357981074262808,0.390953011079938,0.423924947897068, 0.489868821531328,0.555812695165589,0.621756568799849,0.687700442434109, 0.753644316068369),.UNSPECIFIED.); #63502=B_SPLINE_CURVE_WITH_KNOTS('',3,(#496459,#496460,#496461,#496462, #496463,#496464,#496465,#496466,#496467,#496468,#496469,#496470,#496471), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,2,1,1,1,4),(-0.753644316068369,-0.659438784603267, -0.565233253138165,-0.518130487405614,-0.471027721673063,-0.282616658742859, -0.226093326994287,-0.169569995245715,-0.113046663497143,9.72826288461632E-16), .UNSPECIFIED.); #63503=B_SPLINE_CURVE_WITH_KNOTS('',3,(#496602,#496603,#496604,#496605, #496606,#496607,#496608,#496609,#496610,#496611,#496612,#496613,#496614), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(-0.753718252063852,-0.64604421605473, -0.538370180045609,-0.484533162041048,-0.430696144036487,-0.323022108027365, -0.269185090022804,-0.242266581020523,-0.215348072018243,-0.107674036009121, 7.39757063764407E-16),.UNSPECIFIED.); #63504=B_SPLINE_CURVE_WITH_KNOTS('',3,(#496679,#496680,#496681,#496682, #496683,#496684,#496685,#496686,#496687,#496688,#496689,#496690,#496691, #496692,#496693),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,4),(-8.66713344348105E-17, 0.107674036009122,0.161511054013682,0.215348072018243,0.323022108027365, 0.457614653038767,0.471073907539907,0.484533162041048,0.511451671043328, 0.524910925544468,0.538370180045608,0.64604421605473,0.753718252063852), .UNSPECIFIED.); #63505=B_SPLINE_CURVE_WITH_KNOTS('',3,(#496698,#496699,#496700,#496701, #496702,#496703,#496704,#496705,#496706,#496707,#496708,#496709,#496710, #496711,#496712),.UNSPECIFIED.,.F.,.F.,(4,1,2,1,1,1,1,1,1,1,1,4),(1.76247905159244E-15, 0.0942055797257675,0.188411159451533,0.3014577907749,0.357981106436584, 0.414504422098267,0.471027737759951,0.499289395590793,0.513420224506213, 0.527551053421634,0.640597684745001,0.753644316068368),.UNSPECIFIED.); #63506=B_SPLINE_CURVE_WITH_KNOTS('',3,(#496783,#496784,#496785,#496786, #496787,#496788,#496789,#496790,#496791,#496792,#496793,#496794,#496795, #496796,#496797),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,4),(-0.753644316068369, -0.645980842344317,-0.59214910548229,-0.538317368620264,-0.430653894896211, -0.309532486956651,-0.296074552741145,-0.269158684310131,-0.242242815879118, -0.228784881663611,-0.215326947448105,-0.107663473724052,9.64175146780574E-16), .UNSPECIFIED.); #63507=B_SPLINE_CURVE_WITH_KNOTS('',3,(#496936,#496937,#496938,#496939, #496940,#496941,#496942,#496943,#496944,#496945,#496946,#496947,#496948, #496949,#496950,#496951),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,1,1,1,1,1,1,1, 4),(-0.753718252063854,-0.659503405388398,-0.593553064849558,-0.527602724310719, -0.461652383771879,-0.395702043233039,-0.362726872963619,-0.329751702694199, -0.263801362155359,-0.197851021616519,-0.131900681077679,-0.065950340538839, 8.55553178926864E-16),.UNSPECIFIED.); #63508=B_SPLINE_CURVE_WITH_KNOTS('',3,(#497016,#497017,#497018,#497019, #497020,#497021,#497022,#497023,#497024,#497025,#497026,#497027,#497028, #497029,#497030),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,4),(-4.7682920242965E-18, 0.107674036009122,0.161511054013683,0.215348072018243,0.323022108027365, 0.457614653038767,0.471073907539907,0.484533162041048,0.511451671043328, 0.524910925544468,0.538370180045609,0.64604421605473,0.753718252063852), .UNSPECIFIED.); #63509=B_SPLINE_CURVE_WITH_KNOTS('',3,(#497035,#497036,#497037,#497038, #497039,#497040,#497041,#497042,#497043,#497044,#497045,#497046,#497047, #497048,#497049),.UNSPECIFIED.,.F.,.F.,(4,1,2,1,1,1,1,1,1,1,1,4),(-8.15756624988073E-17, 0.0942055797257666,0.188411159451533,0.3014577907749,0.357981106436584, 0.414504422098268,0.471027737759951,0.499289395590793,0.513420224506214, 0.527551053421635,0.640597684745002,0.753644316068369),.UNSPECIFIED.); #63510=B_SPLINE_CURVE_WITH_KNOTS('',3,(#497120,#497121,#497122,#497123, #497124,#497125,#497126,#497127,#497128,#497129,#497130,#497131,#497132, #497133,#497134),.UNSPECIFIED.,.F.,.F.,(4,2,2,1,1,1,1,1,2,4),(-0.753644316068369, -0.659438736342601,-0.565233156616834,-0.447476262394067,-0.3297193681713, -0.270840921059917,-0.211962473948534,-0.15308402683715,-0.094205579725767, 1.84352976573045E-15),.UNSPECIFIED.); #63511=B_SPLINE_CURVE_WITH_KNOTS('',3,(#497265,#497266,#497267,#497268, #497269,#497270,#497271,#497272,#497273,#497274,#497275,#497276,#497277, #497278),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,1,1,1,1,1,4),(-0.753718252063853, -0.659503405388398,-0.593553064849558,-0.527602724310719,-0.461652383771879, -0.395702043233039,-0.329751702694199,-0.263801362155359,-0.13190068107768, -0.0659503405388399,1.38777878078145E-17),.UNSPECIFIED.); #63512=B_SPLINE_CURVE_WITH_KNOTS('',3,(#497347,#497348,#497349,#497350, #497351,#497352,#497353,#497354,#497355,#497356,#497357,#497358,#497359, #497360,#497361,#497362),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1, 1,4),(-1.2643413859963E-31,0.107674036009122,0.215348072018244,0.248996208271094, 0.269185090022804,0.323022108027365,0.430696144036487,0.464344280289338, 0.484533162041048,0.511451671043328,0.538370180045609,0.60566645255131, 0.646044216054731,0.753718252063852),.UNSPECIFIED.); #63513=B_SPLINE_CURVE_WITH_KNOTS('',3,(#497367,#497368,#497369,#497370, #497371,#497372,#497373,#497374,#497375,#497376,#497377,#497378,#497379, #497380,#497381,#497382),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,1,1,1,1,1,1,1, 4),(-1.47968221122463E-15,0.0942055797257666,0.160149453360027,0.226093326994287, 0.292037200628547,0.357981074262808,0.390953011079938,0.423924947897068, 0.489868821531328,0.555812695165588,0.621756568799848,0.687700442434109, 0.753644316068369),.UNSPECIFIED.); #63514=B_SPLINE_CURVE_WITH_KNOTS('',3,(#497449,#497450,#497451,#497452, #497453,#497454,#497455,#497456,#497457,#497458,#497459,#497460,#497461, #497462),.UNSPECIFIED.,.F.,.F.,(4,1,2,1,1,1,1,1,1,1,4),(-0.753644316068369, -0.678279852287755,-0.565233156616834,-0.452186525293467,-0.395663209631784, -0.3391398939701,-0.282616578308417,-0.254354920477575,-0.226093262646733, -0.113046631323366,1.00658421307692E-15),.UNSPECIFIED.); #63515=B_SPLINE_CURVE_WITH_KNOTS('',3,(#497597,#497598,#497599,#497600, #497601,#497602,#497603,#497604,#497605,#497606,#497607,#497608,#497609, #497610),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(-0.753718252063853, -0.646044216054731,-0.59220719805017,-0.538370180045609,-0.430696144036487, -0.309562853526225,-0.296103599025085,-0.269185090022805,-0.242266581020524, -0.215348072018244,-0.107674036009122,-1.62299143742911E-16), .UNSPECIFIED.); #63516=B_SPLINE_CURVE_WITH_KNOTS('',3,(#497671,#497672,#497673,#497674, #497675,#497676,#497677,#497678,#497679,#497680,#497681,#497682,#497683, #497684),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,2,1,4),(-8.6671334434807E-17, 0.113057711742589,0.226115423485178,0.254379851420825,0.282644279356472, 0.339173135227766,0.395701991099061,0.452230846970355,0.565288558712944, 0.659503405388398,0.753718252063852),.UNSPECIFIED.); #63517=B_SPLINE_CURVE_WITH_KNOTS('',3,(#497689,#497690,#497691,#497692, #497693,#497694,#497695,#497696,#497697,#497698,#497699,#497700,#497701, #497702,#497703,#497704),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,1,1,1,1,1,1,1, 4),(8.4449758703877E-16,0.0942055797257666,0.160149453360027,0.226093326994287, 0.292037200628547,0.357981074262808,0.390953011079938,0.423924947897068, 0.489868821531328,0.555812695165589,0.621756568799849,0.687700442434109, 0.75364431606837),.UNSPECIFIED.); #63518=B_SPLINE_CURVE_WITH_KNOTS('',3,(#497779,#497780,#497781,#497782, #497783,#497784,#497785,#497786,#497787,#497788,#497789,#497790,#497791, #497792,#497793,#497794),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1, 1,4),(-0.753644316068369,-0.645980842344316,-0.538317368620263,-0.504672533081497, -0.484485631758237,-0.43065389489621,-0.322990421172158,-0.289345585633391, -0.269158684310131,-0.242242815879118,-0.215326947448105,-0.148037276370572, -0.107663473724052,1.02046200088479E-15),.UNSPECIFIED.); #63519=B_SPLINE_CURVE_WITH_KNOTS('',3,(#497925,#497926,#497927,#497928, #497929,#497930,#497931,#497932,#497933,#497934,#497935,#497936,#497937), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(-0.753718252063852,-0.646044216054731, -0.538370180045609,-0.484533162041048,-0.430696144036487,-0.323022108027365, -0.269185090022804,-0.242266581020524,-0.215348072018243,-0.107674036009122, 8.90228586609956E-17),.UNSPECIFIED.); #63520=B_SPLINE_CURVE_WITH_KNOTS('',3,(#497998,#497999,#498000,#498001, #498002,#498003,#498004,#498005,#498006,#498007,#498008,#498009,#498010, #498011),.UNSPECIFIED.,.F.,.F.,(4,1,2,1,1,1,1,1,1,1,4),(-8.66713344348075E-17, 0.075371877340363,0.188429693350908,0.301487405093497,0.358016260964791, 0.414545116836085,0.47107397270738,0.499338400643027,0.527602828578674, 0.640660540321263,0.753718252063852),.UNSPECIFIED.); #63521=B_SPLINE_CURVE_WITH_KNOTS('',3,(#498016,#498017,#498018,#498019, #498020,#498021,#498022,#498023,#498024,#498025,#498026,#498027,#498028, #498029),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(1.74860126378462E-15, 0.107663473724054,0.215326947448106,0.228784881663613,0.24224281587912, 0.269158684310133,0.296074552741146,0.430653894896211,0.538317368620264, 0.59214910548229,0.645980842344316,0.753644316068368),.UNSPECIFIED.); #63522=B_SPLINE_CURVE_WITH_KNOTS('',3,(#498096,#498097,#498098,#498099, #498100,#498101,#498102,#498103,#498104,#498105,#498106,#498107,#498108, #498109),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,1,1,1,1,1,4),(-0.753644316068369, -0.659438736342601,-0.593494862708341,-0.52755098907408,-0.46160711543982, -0.39566324180556,-0.3297193681713,-0.263775494537039,-0.131887747268518, -0.0659438736342581,2.23340110464413E-15),.UNSPECIFIED.); #63523=B_SPLINE_CURVE_WITH_KNOTS('',3,(#498660,#498661,#498662,#498663), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.257430981499817,0.),.UNSPECIFIED.); #63524=B_SPLINE_CURVE_WITH_KNOTS('',3,(#498679,#498680,#498681,#498682), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.25743098149969,0.),.UNSPECIFIED.); #63525=B_SPLINE_CURVE_WITH_KNOTS('',3,(#498795,#498796,#498797,#498798), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.257430981499366,0.),.UNSPECIFIED.); #63526=B_SPLINE_CURVE_WITH_KNOTS('',3,(#498800,#498801,#498802,#498803), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.257430981499628,0.),.UNSPECIFIED.); #63527=B_SPLINE_CURVE_WITH_KNOTS('',3,(#498808,#498809,#498810,#498811), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.25743098149964,0.),.UNSPECIFIED.); #63528=B_SPLINE_CURVE_WITH_KNOTS('',3,(#498814,#498815,#498816,#498817), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.257430981499408,0.),.UNSPECIFIED.); #63529=B_SPLINE_CURVE_WITH_KNOTS('',3,(#576220,#576221,#576222,#576223), .UNSPECIFIED.,.F.,.F.,(4,4),(1.06702260507997E-6,0.000101001795100423), .UNSPECIFIED.); #63530=B_SPLINE_CURVE_WITH_KNOTS('',3,(#576231,#576232,#576233,#576234), .UNSPECIFIED.,.F.,.F.,(4,4),(0.00218768688753205,0.00235131422638895), .UNSPECIFIED.); #63531=B_SPLINE_CURVE_WITH_KNOTS('',3,(#577135,#577136,#577137,#577138), .UNSPECIFIED.,.F.,.F.,(4,4),(1.0670226050798E-6,0.000101001795100423), .UNSPECIFIED.); #63532=B_SPLINE_CURVE_WITH_KNOTS('',3,(#577142,#577143,#577144,#577145), .UNSPECIFIED.,.F.,.F.,(4,4),(0.00218768688753207,0.00235131422638896), .UNSPECIFIED.); #63533=B_SPLINE_CURVE_WITH_KNOTS('',3,(#580041,#580042,#580043,#580044), .UNSPECIFIED.,.F.,.F.,(4,4),(0.090843957923958,0.0965117342259624), .UNSPECIFIED.); #63534=B_SPLINE_CURVE_WITH_KNOTS('',3,(#580046,#580047,#580048,#580049, #580050,#580051,#580052,#580053,#580054,#580055),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(-0.0302734920705029,-0.0226725819531593,-0.0150716718358157, -0.00753583591790784,0.),.UNSPECIFIED.); #63535=B_SPLINE_CURVE_WITH_KNOTS('',3,(#580065,#580066,#580067,#580068), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0906587598536056,0.0963237485294807), .UNSPECIFIED.); #63536=B_SPLINE_CURVE_WITH_KNOTS('',3,(#580075,#580076,#580077,#580078, #580079,#580080,#580081,#580082,#580083,#580084),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(-0.030215436934997,-0.0226426832108964,-0.0150699294867959, -0.00753496474339794,0.),.UNSPECIFIED.); #63537=B_SPLINE_CURVE_WITH_KNOTS('',3,(#580141,#580142,#580143,#580144), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0906826250597903,-0.0850148487577868), .UNSPECIFIED.); #63538=B_SPLINE_CURVE_WITH_KNOTS('',3,(#580148,#580149,#580150,#580151, #580152,#580153,#580154,#580155,#580156,#580157),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(-0.0611456666808443,-0.0536098307629364,-0.0460739948450286, -0.038473084727685,-0.0308721746103414),.UNSPECIFIED.); #63539=B_SPLINE_CURVE_WITH_KNOTS('',3,(#580240,#580241,#580242,#580243, #580244,#580245),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.0160943905565546,0.0227024018845947, 0.0302969331719855),.UNSPECIFIED.); #63540=B_SPLINE_CURVE_WITH_KNOTS('',3,(#580275,#580276,#580277,#580278, #580279,#580280),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.0306405833778503,0.0382351146652412, 0.0448431259932813),.UNSPECIFIED.); #63541=B_SPLINE_CURVE_WITH_KNOTS('',3,(#580284,#580285,#580286,#580287), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0905657966754296,-0.0849008079995554), .UNSPECIFIED.); #63542=B_SPLINE_CURVE_WITH_KNOTS('',3,(#580289,#580290,#580291,#580292, #580293,#580294,#580295,#580296,#580297,#580298),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(-0.0607654366014233,-0.0532304718580254,-0.0456955071146274, -0.0381227533905268,-0.0305499996664263),.UNSPECIFIED.); #63543=B_SPLINE_CURVE_WITH_KNOTS('',3,(#580711,#580712,#580713,#580714, #580715,#580716,#580717,#580718,#580719,#580720,#580721,#580722,#580723, #580724,#580725,#580726,#580727,#580728,#580729,#580730,#580731,#580732, #580733,#580734,#580735),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(-0.0138825997223093, -0.0123371925914751,-0.0107247969879002,-0.0082940681047829,-0.00742092718611614, -0.00508736075199776,-0.00392161367855842,-0.00115617391972303,0.), .UNSPECIFIED.); #63544=B_SPLINE_CURVE_WITH_KNOTS('',3,(#580736,#580737,#580738,#580739, #580740,#580741,#580742,#580743,#580744,#580745,#580746,#580747,#580748), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(1.63499979223499,2.01665435360103,2.20553751721391, 2.65216277841743,2.77438463303195),.UNSPECIFIED.); #63545=B_SPLINE_CURVE_WITH_KNOTS('',3,(#581083,#581084,#581085,#581086, #581087,#581088,#581089,#581090,#581091,#581092,#581093,#581094,#581095, #581096,#581097,#581098,#581099,#581100,#581101,#581102,#581103,#581104, #581105,#581106,#581107),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(-0.0117998952326354, -0.00944933409284985,-0.0084666124559527,-0.00648313385745766,-0.0054922752567736, -0.00342621077794332,-0.00268406077943597,-0.00131356104766598,0.), .UNSPECIFIED.); #63546=B_SPLINE_CURVE_WITH_KNOTS('',3,(#581108,#581109,#581110,#581111, #581112,#581113,#581114,#581115,#581116,#581117,#581118,#581119,#581120), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(3.50880067414764,3.9554259351556,4.07764778996566, 4.45930235126854,4.6481855149446),.UNSPECIFIED.); #63547=B_SPLINE_CURVE_WITH_KNOTS('',3,(#581347,#581348,#581349,#581350), .UNSPECIFIED.,.F.,.F.,(4,4),(3.15895045879223,3.32903316875533), .UNSPECIFIED.); #63548=B_SPLINE_CURVE_WITH_KNOTS('',3,(#581355,#581356,#581357,#581358, #581359,#581360,#581361,#581362,#581363,#581364,#581365,#581366,#581367), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(-0.0199087496326509,-0.0131444985426879, -0.0100503004315679,-0.00271275973446163,0.),.UNSPECIFIED.); #63549=B_SPLINE_CURVE_WITH_KNOTS('',3,(#581383,#581384,#581385,#581386, #581387,#581388,#581389,#581390,#581391,#581392,#581393,#581394,#581395, #581396,#581397,#581398,#581399,#581400,#581401,#581402,#581403,#581404, #581405,#581406,#581407,#581408,#581409,#581410),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,4),(4.71238898038469,4.92398971651661,5.02942829103752, 5.23598706616021,5.37804069794945,5.49050236486356,5.73703556164237,5.89394203512973, 6.11218381448645,6.26582750197716),.UNSPECIFIED.); #63550=B_SPLINE_CURVE_WITH_KNOTS('',3,(#581411,#581412,#581413,#581414, #581415,#581416,#581417,#581418,#581419,#581420,#581421,#581422,#581423, #581424,#581425,#581426,#581427,#581428,#581429),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,4),(4.71238898038469,4.98361481070178,5.29836895048691,5.49058957321017, 5.81247748983411,6.10854993206319,6.26582750197716),.UNSPECIFIED.); #63551=B_SPLINE_CURVE_WITH_KNOTS('',3,(#581491,#581492,#581493,#581494, #581495,#581496,#581497,#581498,#581499,#581500,#581501,#581502,#581503, #581504,#581505,#581506,#581507,#581508,#581509,#581510,#581511,#581512, #581513,#581514,#581515,#581516,#581517,#581518),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,4),(3.15895045879222,3.37732121541738,3.53396063825457, 3.78053851708835,3.93427559590577,4.14780232683088,4.28624532386015,4.49175026437121, 4.60475455826007,4.71238898038469),.UNSPECIFIED.); #63552=B_SPLINE_CURVE_WITH_KNOTS('',3,(#581521,#581522,#581523,#581524, #581525,#581526,#581527,#581528,#581529,#581530,#581531,#581532,#581533, #581534,#581535,#581536,#581537,#581538,#581539),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,4),(3.15895045879222,3.45205321740473,3.77240088007167,3.93418838755921, 4.2574278870067,4.53212365918579,4.71238898038469),.UNSPECIFIED.); #63553=B_SPLINE_CURVE_WITH_KNOTS('',3,(#581560,#581561,#581562,#581563), .UNSPECIFIED.,.F.,.F.,(4,4),(6.09574479201405,6.26582750197715), .UNSPECIFIED.); #63554=B_SPLINE_CURVE_WITH_KNOTS('',3,(#581565,#581566,#581567,#581568, #581569,#581570,#581571,#581572,#581573,#581574,#581575,#581576,#581577), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(-0.0199213431103657,-0.0125791609788651, -0.00986468526123734,-0.00309615537692809,0.),.UNSPECIFIED.); #63555=B_SPLINE_CURVE_WITH_KNOTS('',3,(#581872,#581873,#581874,#581875, #581876,#581877,#581878),.UNSPECIFIED.,.F.,.F.,(4,3,4),(3.14159265358979, 3.3347765620758,3.48142956304391),.UNSPECIFIED.); #63556=B_SPLINE_CURVE_WITH_KNOTS('',3,(#581879,#581880,#581881,#581882, #581883,#581884,#581885),.UNSPECIFIED.,.F.,.F.,(4,3,4),(3.14159265358979, 3.41217448946248,3.48142956304391),.UNSPECIFIED.); #63557=B_SPLINE_CURVE_WITH_KNOTS('',3,(#581894,#581895,#581896,#581897, #581898,#581899,#581900),.UNSPECIFIED.,.F.,.F.,(4,3,4),(2.80175574413567, 2.99493965262168,3.14159265358979),.UNSPECIFIED.); #63558=B_SPLINE_CURVE_WITH_KNOTS('',3,(#581901,#581902,#581903,#581904, #581905,#581906,#581907),.UNSPECIFIED.,.F.,.F.,(4,3,4),(2.80175574413567, 3.07233758006169,3.14159265358979),.UNSPECIFIED.); #63559=B_SPLINE_CURVE_WITH_KNOTS('',3,(#581918,#581919,#581920,#581921, #581922,#581923,#581924),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.193183908486014, 0.339836909454123),.UNSPECIFIED.); #63560=B_SPLINE_CURVE_WITH_KNOTS('',3,(#581925,#581926,#581927,#581928, #581929,#581930,#581931),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.270581835895645, 0.339836909454123),.UNSPECIFIED.); #63561=B_SPLINE_CURVE_WITH_KNOTS('',3,(#581941,#581942,#581943,#581944, #581945,#581946,#581947),.UNSPECIFIED.,.F.,.F.,(4,3,4),(2.80175574413568, 2.99493965262169,3.14159265358979),.UNSPECIFIED.); #63562=B_SPLINE_CURVE_WITH_KNOTS('',3,(#581950,#581951,#581952,#581953, #581954,#581955,#581956),.UNSPECIFIED.,.F.,.F.,(4,3,4),(2.80175574413568, 3.07233758005598,3.14159265358979),.UNSPECIFIED.); #63563=B_SPLINE_CURVE_WITH_KNOTS('',3,(#585025,#585026,#585027,#585028, #585029,#585030,#585031,#585032,#585033,#585034,#585035,#585036,#585037), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(16.423325737203,17.1941125422253,18.1493410167933, 19.1045694913614,20.0597844208577),.UNSPECIFIED.); #63564=B_SPLINE_CURVE_WITH_KNOTS('',3,(#585039,#585040,#585041,#585042, #585043,#585044,#585045,#585046),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(-1.10260377238209, -1.02907377668168,-0.94053880202567,-0.909050430329933),.UNSPECIFIED.); #63565=B_SPLINE_CURVE_WITH_KNOTS('',3,(#585048,#585049,#585050,#585051, #585052,#585053),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.193953105406528,-0.134570725646036, 0.),.UNSPECIFIED.); #63566=B_SPLINE_CURVE_WITH_KNOTS('',3,(#585054,#585055,#585056,#585057, #585058,#585059,#585060,#585061,#585062,#585063,#585064,#585065,#585066, #585067,#585068,#585069,#585070,#585071,#585072),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,4),(-18.326034068565,-18.1669081116881,-17.2107550531783,-16.2546019946683, -15.2984489361584,-14.3422958776485,-13.5847584973979),.UNSPECIFIED.); #63567=B_SPLINE_CURVE_WITH_KNOTS('',3,(#585077,#585078,#585079,#585080, #585081,#585082,#585083,#585084,#585085,#585086,#585087,#585088,#585089, #585090,#585091,#585092,#585093,#585094,#585095,#585096,#585097,#585098, #585099,#585100,#585101),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(10.1401404300234, 10.5075132202488,11.4627416948169,12.4179701693849,13.373198643953,14.3284271185211, 15.2836555930891,16.2388840676572,16.423325737203),.UNSPECIFIED.); #63568=B_SPLINE_CURVE_WITH_KNOTS('',3,(#585102,#585103,#585104,#585105, #585106,#585107,#585108,#585109,#585110,#585111,#585112,#585113,#585114, #585115,#585116,#585117,#585118,#585119,#585120,#585121,#585122,#585123, #585124,#585125,#585126),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(-13.5847584973979, -13.3861428191386,-12.4299897606287,-11.4738367021188,-10.5176836436089, -9.56153058509902,-8.60537752658913,-7.64922446807922,-7.30157319021831), .UNSPECIFIED.); #63569=B_SPLINE_CURVE_WITH_KNOTS('',3,(#585132,#585133,#585134,#585135, #585136,#585137,#585138),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-1.01838788303871, -0.956153058509904,0.),.UNSPECIFIED.); #63570=B_SPLINE_CURVE_WITH_KNOTS('',3,(#585141,#585142,#585143,#585144, #585145,#585146,#585147,#585148,#585149,#585150,#585151,#585152,#585153), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(1.73375035229266,1.91045694913614,2.86568542370421, 3.82091389827228,3.85695512284377),.UNSPECIFIED.); #63571=B_SPLINE_CURVE_WITH_KNOTS('',3,(#585159,#585160,#585161,#585162, #585163,#585164,#585165,#585166,#585167,#585168,#585169,#585170,#585171, #585172,#585173,#585174),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,4),(0.,0.955228474568069, 1.91045694913614,2.86568542370422,3.82091389827229,3.85695512284377), .UNSPECIFIED.); #63572=B_SPLINE_CURVE_WITH_KNOTS('',3,(#585177,#585178,#585179,#585180, #585181,#585182,#585183,#585184,#585185,#585186,#585187,#585188,#585189, #585190,#585191,#585192,#585193,#585194,#585195,#585196,#585197,#585198), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(1.7337503522927,1.9123061170198, 2.86845917552971,3.82461223403961,4.78076529254951,5.73691835105941,6.69307140956931, 7.30157319021831),.UNSPECIFIED.); #63573=B_SPLINE_CURVE_WITH_KNOTS('',3,(#585203,#585204,#585205,#585206, #585207,#585208,#585209,#585210,#585211,#585212,#585213,#585214,#585215, #585216,#585217,#585218,#585219,#585220,#585221,#585222,#585223,#585224), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(3.85695512284377,4.77614237284036, 5.73137084740843,6.6865993219765,7.64182779654457,8.59705627111264,9.55228474568071, 10.1401404300234),.UNSPECIFIED.); #63574=B_SPLINE_CURVE_WITH_KNOTS('',3,(#585225,#585226,#585227,#585228, #585229,#585230,#585231,#585232,#585233,#585234,#585235,#585236,#585237, #585238,#585239,#585240,#585241,#585242,#585243,#585244,#585245,#585246, #585247,#585248,#585249),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(7.30157319021831, 7.64922446807922,8.60537752658913,9.56153058509902,10.5176836436089,11.4738367021188, 12.4299897606287,13.3861428191386,13.5847584973979),.UNSPECIFIED.); #63575=B_SPLINE_CURVE_WITH_KNOTS('',3,(#585255,#585256,#585257,#585258), .UNSPECIFIED.,.F.,.F.,(4,4),(19.8679438045775,20.0792142287079), .UNSPECIFIED.); #63576=B_SPLINE_CURVE_WITH_KNOTS('',3,(#585260,#585261,#585262,#585263, #585264,#585265,#585266,#585267),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(0.,0.120788760900945, 0.244123460963905,0.263395160677697),.UNSPECIFIED.); #63577=B_SPLINE_CURVE_WITH_KNOTS('',3,(#585269,#585270,#585271,#585272, #585273,#585274,#585275,#585276,#585277,#585278),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.714330004616733,0.731506752596738,0.844214192429642,0.923681920895519, 0.9896813946077),.UNSPECIFIED.); #63578=B_SPLINE_CURVE_WITH_KNOTS('',3,(#585279,#585280,#585281,#585282, #585283,#585284,#585285,#585286,#585287,#585288),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(16.423325737203,17.1941125422261,18.1493410167952,18.3454638764152), .UNSPECIFIED.); #63579=B_SPLINE_CURVE_WITH_KNOTS('',3,(#585385,#585386,#585387,#585388, #585389,#585390,#585391,#585392,#585393,#585394,#585395,#585396,#585397, #585398,#585399,#585400,#585401,#585402,#585403,#585404,#585405,#585406, #585407,#585408,#585409,#585410,#585411,#585412,#585413,#585414,#585415, #585416,#585417,#585418,#585419,#585420,#585421,#585422,#585423,#585424, #585425,#585426,#585427,#585428,#585429,#585430,#585431,#585432,#585433, #585434,#585435,#585436,#585437,#585438,#585439,#585440,#585441,#585442, #585443,#585444,#585445,#585446,#585447,#585448,#585449,#585450,#585451, #585452,#585453,#585454,#585455,#585456,#585457,#585458,#585459,#585460, #585461,#585462,#585463,#585464,#585465,#585466,#585467,#585468,#585469), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,4),(0.103091360581963,0.12353428073543,0.134578001848704,0.14059948516615, 0.17373852796752,0.207594760688,0.241310213203669,0.264918696932889,0.271119592425879, 0.276176589219814,0.309418357784853,0.342202086312084,0.374412140473536, 0.388826053493338,0.401016625201178,0.405824115633092,0.430178083037429, 0.462555486949897,0.49525536630823,0.518632621851283,0.527880014447086, 0.534463170923819,0.566692278308528,0.595587561394558,0.606234487632263, 0.611965500619303,0.643645569778901,0.674638288858555,0.682273410652648), .UNSPECIFIED.); #63580=B_SPLINE_CURVE_WITH_KNOTS('',3,(#585470,#585471,#585472,#585473, #585474,#585475,#585476,#585477,#585478,#585479,#585480,#585481,#585482, #585483,#585484,#585485,#585486,#585487,#585488,#585489,#585490,#585491), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(-7.30157319021831,-6.69307140956931, -5.73691835105941,-4.78076529254951,-3.82461223403961,-2.86845917552971, -1.91230611701981,-1.01838788303871),.UNSPECIFIED.); #63581=B_SPLINE_CURVE_WITH_KNOTS('',3,(#585492,#585493,#585494,#585495, #585496,#585497,#585498,#585499,#585500,#585501,#585502,#585503,#585504, #585505,#585506,#585507,#585508,#585509,#585510,#585511,#585512,#585513, #585514,#585515,#585516,#585517,#585518,#585519,#585520,#585521,#585522, #585523,#585524,#585525,#585526,#585527,#585528,#585529,#585530,#585531, #585532,#585533,#585534,#585535,#585536,#585537,#585538,#585539,#585540, #585541,#585542,#585543,#585544,#585545,#585546,#585547,#585548,#585549, #585550,#585551,#585552),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,3,3,3,4),(0.25659118800266,0.287146966510574,0.318269765381052, 0.349908841183501,0.361363942597864,0.393813696515609,0.426105706284872, 0.458634332276858,0.491162958268844,0.52406489710764,0.556735476898334, 0.567432371275957,0.599832374215096,0.619113594819169,0.651615475491493, 0.684698671585198,0.71819591801006,0.745408846044681,0.779281708961714, 0.813264997171785,0.840363122633563),.UNSPECIFIED.); #63582=B_SPLINE_CURVE_WITH_KNOTS('',3,(#585553,#585554,#585555,#585556, #585557,#585558,#585559,#585560,#585561,#585562,#585563,#585564,#585565, #585566,#585567,#585568,#585569,#585570,#585571,#585572,#585573,#585574), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(13.5847584973979,14.3422958776485, 15.2984489361584,16.2546019946683,17.2107550531783,18.1669081116881,19.1230611701981, 19.8679438045775),.UNSPECIFIED.); #63583=B_SPLINE_CURVE_WITH_KNOTS('',3,(#585671,#585672,#585673,#585674, #585675,#585676,#585677,#585678,#585679,#585680,#585681,#585682,#585683, #585684,#585685,#585686,#585687,#585688,#585689,#585690,#585691,#585692, #585693,#585694,#585695,#585696,#585697,#585698,#585699,#585700,#585701, #585702,#585703,#585704,#585705,#585706,#585707,#585708,#585709,#585710, #585711,#585712,#585713,#585714,#585715,#585716,#585717,#585718,#585719, #585720,#585721,#585722,#585723,#585724,#585725,#585726,#585727,#585728, #585729,#585730,#585731),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,3,3,3,4),(0.197553796660536,0.231535945415472,0.265751623228186, 0.274655636771517,0.308444752383093,0.342116173053136,0.375964136135479, 0.409812099217823,0.442702617064738,0.475226147789479,0.506859845705881, 0.524468042390475,0.542136072343072,0.574087491380715,0.606479798146206, 0.638840605688813,0.667738609061765,0.69962878623807,0.731351614450269, 0.762367513279535,0.770800592559279),.UNSPECIFIED.); #63584=B_SPLINE_CURVE_WITH_KNOTS('',3,(#585732,#585733,#585734,#585735, #585736,#585737,#585738,#585739,#585740,#585741,#585742,#585743,#585744, #585745,#585746,#585747,#585748,#585749,#585750,#585751,#585752,#585753), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(3.85695512284377,4.77614237284035, 5.73137084740842,6.6865993219765,7.64182779654457,8.59705627111264,9.55228474568071, 10.1401404300234),.UNSPECIFIED.); #63585=B_SPLINE_CURVE_WITH_KNOTS('',3,(#585754,#585755,#585756,#585757, #585758,#585759,#585760,#585761,#585762,#585763,#585764,#585765,#585766, #585767,#585768,#585769,#585770,#585771,#585772,#585773,#585774,#585775, #585776,#585777,#585778,#585779,#585780,#585781,#585782,#585783,#585784, #585785,#585786,#585787,#585788,#585789,#585790,#585791,#585792,#585793, #585794,#585795,#585796,#585797,#585798,#585799,#585800,#585801,#585802, #585803,#585804,#585805,#585806,#585807,#585808,#585809,#585810,#585811, #585812,#585813,#585814,#585815,#585816,#585817,#585818,#585819,#585820, #585821,#585822,#585823,#585824,#585825,#585826,#585827,#585828,#585829), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3, 3,4),(0.,0.0301373732564671,0.0610668880588267,0.0928256598317153,0.120088450493417, 0.124631792255546,0.156651233112959,0.189091471221816,0.221324586112613, 0.243725435865792,0.249416875078833,0.25414220410304,0.287132364135632, 0.31092798512533,0.344298822218878,0.373498304675732,0.380318516389182, 0.384567245666018,0.417236098396679,0.450566102436749,0.484227127072338, 0.507644015772681,0.513688798017609,0.519341590959976,0.553244536676608, 0.577894681873714),.UNSPECIFIED.); #63586=B_SPLINE_CURVE_WITH_KNOTS('',3,(#585830,#585831,#585832,#585833, #585834,#585835,#585836,#585837,#585838,#585839,#585840,#585841,#585842, #585843,#585844,#585845,#585846,#585847,#585848,#585849,#585850,#585851, #585852,#585853,#585854),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(10.1401404300234, 10.5075132202488,11.4627416948169,12.4179701693849,13.373198643953,14.3284271185211, 15.2836555930891,16.2388840676572,16.423325737203),.UNSPECIFIED.); #63587=B_SPLINE_CURVE_WITH_KNOTS('',3,(#585889,#585890,#585891,#585892, #585893,#585894,#585895,#585896,#585897,#585898,#585899,#585900,#585901, #585902,#585903,#585904,#585905,#585906,#585907,#585908),.UNSPECIFIED., .F.,.F.,(4,2,2,2,2,2,2,2,2,4),(-0.300084456988397,-0.267654491435324,-0.229623692312708, -0.193115170787391,-0.172761008811399,-0.156442444751442,-0.13877680642052, -0.112883262176551,-0.0668185444856736,0.),.UNSPECIFIED.); #63588=B_SPLINE_CURVE_WITH_KNOTS('',3,(#585910,#585911,#585912,#585913, #585914,#585915,#585916,#585917,#585918,#585919,#585920,#585921,#585922, #585923,#585924,#585925,#585926,#585927,#585928,#585929,#585930,#585931), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,4),(-0.34266485231954,-0.28805159589279, -0.24412528512265,-0.206154433670557,-0.185979872193928,-0.171345643523288, -0.15671223186611,-0.136541366957192,-0.098578600694933,-0.0546613582875133, 0.),.UNSPECIFIED.); #63589=B_SPLINE_CURVE_WITH_KNOTS('',3,(#585933,#585934,#585935,#585936, #585937,#585938,#585939,#585940,#585941,#585942,#585943,#585944,#585945, #585946,#585947,#585948,#585949,#585950,#585951,#585952),.UNSPECIFIED., .F.,.F.,(4,2,2,2,2,2,2,2,2,4),(-0.389463990025639,-0.302780077273856,-0.242988462880462, -0.209377779983388,-0.186449164765296,-0.165270641337249,-0.1388569459936, -0.0914849656312801,-0.0421337063287963,0.),.UNSPECIFIED.); #63590=B_SPLINE_CURVE_WITH_KNOTS('',3,(#585953,#585954,#585955,#585956), .UNSPECIFIED.,.F.,.F.,(4,4),(0.112447310412115,1.),.UNSPECIFIED.); #63591=B_SPLINE_CURVE_WITH_KNOTS('',3,(#585986,#585987,#585988,#585989, #585990,#585991,#585992,#585993,#585994,#585995,#585996,#585997,#585998, #585999,#586000,#586001,#586002,#586003,#586004,#586005),.UNSPECIFIED., .F.,.F.,(4,2,2,2,2,2,2,2,2,4),(-0.300853426910385,-0.268618046728455,-0.230438459858207, -0.19372960793947,-0.173232577998753,-0.156788252288365,-0.138980999658642, -0.112887663251765,-0.0665435669691608,0.),.UNSPECIFIED.); #63592=B_SPLINE_CURVE_WITH_KNOTS('',3,(#586007,#586008,#586009,#586010, #586011,#586012,#586013,#586014,#586015,#586016,#586017,#586018,#586019, #586020,#586021,#586022,#586023,#586024,#586025,#586026,#586027,#586028), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,4),(-0.343759576221542,-0.289445588928786, -0.245261084129695,-0.206997795303271,-0.186654698709415,-0.171893494133276, -0.157133109613568,-0.1367937208601,-0.0985385260853773,-0.0543630260458251, 0.),.UNSPECIFIED.); #63593=B_SPLINE_CURVE_WITH_KNOTS('',3,(#586029,#586030,#586031,#586032, #586033,#586034,#586035,#586036,#586037,#586038,#586039,#586040,#586041, #586042,#586043,#586044,#586045,#586046,#586047,#586048),.UNSPECIFIED., .F.,.F.,(4,2,2,2,2,2,2,2,2,4),(-0.390217878420923,-0.303945003727842,-0.243828406555043, -0.209979561432347,-0.186881576414474,-0.16555315677337,-0.138970648841165, -0.0913683899369769,-0.0418548743430371,0.),.UNSPECIFIED.); #63594=B_SPLINE_CURVE_WITH_KNOTS('',3,(#586081,#586082,#586083,#586084, #586085,#586086,#586087,#586088,#586089,#586090),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(-4.09299088010945,-3.99717586531169,-2.99788189898377,-2.08103247056473), .UNSPECIFIED.); #63595=B_SPLINE_CURVE_WITH_KNOTS('',3,(#586093,#586094,#586095,#586096, #586097,#586098,#586099),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.999293966327921, 1.12963424404508),.UNSPECIFIED.); #63596=B_SPLINE_CURVE_WITH_KNOTS('',3,(#586104,#586105,#586106,#586107, #586108,#586109,#586110,#586111,#586112,#586113,#586114,#586115,#586116, #586117,#586118,#586119,#586120,#586121,#586122,#586123,#586124,#586125), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(-10.376176187289,-9.99293966327924, -8.99364569695131,-7.99435173062339,-6.99505776429547,-5.99576379796754, -4.99646983163962,-4.09299088010945),.UNSPECIFIED.); #63597=B_SPLINE_CURVE_WITH_KNOTS('',3,(#586126,#586127,#586128,#586129, #586130,#586131,#586132,#586133,#586134,#586135,#586136,#586137,#586138, #586139,#586140,#586141,#586142,#586143,#586144,#586145,#586146,#586147), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(1.12963424404508,1.99858793265585, 2.99788189898377,3.99717586531169,4.99646983163961,5.99576379796753,6.99505776429546, 7.41281955122468),.UNSPECIFIED.); #63598=B_SPLINE_CURVE_WITH_KNOTS('',3,(#586152,#586153,#586154,#586155, #586156,#586157,#586158,#586159,#586160,#586161,#586162,#586163,#586164, #586165,#586166,#586167,#586168,#586169,#586170,#586171,#586172,#586173), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(-16.6593614944686,-15.9887034612468, -14.9894094949189,-13.9901155285909,-12.990821562263,-11.9915275959351, -10.9922336296072,-10.376176187289),.UNSPECIFIED.); #63599=B_SPLINE_CURVE_WITH_KNOTS('',3,(#586174,#586175,#586176,#586177, #586178,#586179,#586180,#586181,#586182,#586183,#586184,#586185,#586186, #586187,#586188,#586189,#586190,#586191,#586192,#586193,#586194,#586195), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(7.41281955122468,7.99435173062338, 8.9936456969513,9.99293966327923,10.9922336296072,11.9915275959351,12.990821562263, 13.6960048584043),.UNSPECIFIED.); #63600=B_SPLINE_CURVE_WITH_KNOTS('',3,(#586200,#586201,#586202,#586203, #586204,#586205,#586206,#586207,#586208,#586209,#586210,#586211,#586212, #586213,#586214,#586215,#586216,#586217,#586218,#586219,#586220,#586221), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(-22.9425468016482,-21.9844672592143, -20.9851732928864,-19.9858793265585,-18.9865853602305,-17.9872913939026, -16.9879974275747,-16.6593614944686),.UNSPECIFIED.); #63601=B_SPLINE_CURVE_WITH_KNOTS('',3,(#586222,#586223,#586224,#586225, #586226,#586227,#586228,#586229,#586230,#586231,#586232,#586233,#586234, #586235,#586236,#586237,#586238,#586239,#586240,#586241,#586242,#586243), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(13.6960048584043,13.9901155285909, 14.9894094949188,15.9887034612468,16.9879974275747,17.9872913939026,18.9865853602305, 19.9791901655838),.UNSPECIFIED.); #63602=B_SPLINE_CURVE_WITH_KNOTS('',3,(#586248,#586249,#586250,#586251, #586252,#586253,#586254,#586255,#586256,#586257,#586258,#586259,#586260, #586261,#586262,#586263,#586264,#586265,#586266,#586267,#586268,#586269, #586270,#586271,#586272),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(-29.2257321088278, -28.9795250235098,-27.9802310571819,-26.9809370908539,-25.981643124526, -24.9823491581981,-23.9830551918702,-22.9837612255422,-22.9425468016482), .UNSPECIFIED.); #63603=B_SPLINE_CURVE_WITH_KNOTS('',3,(#586273,#586274,#586275,#586276, #586277,#586278,#586279,#586280,#586281,#586282,#586283,#586284,#586285, #586286,#586287,#586288,#586289,#586290,#586291,#586292,#586293,#586294, #586295,#586296,#586297),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(19.9791901655838, 19.9858793265585,20.9851732928864,21.9844672592143,22.9837612255422,23.9830551918702, 24.9823491581981,25.981643124526,26.2623754727634),.UNSPECIFIED.); #63604=B_SPLINE_CURVE_WITH_KNOTS('',3,(#586302,#586303,#586304,#586305, #586306,#586307,#586308,#586309,#586310,#586311,#586312,#586313,#586314, #586315,#586316,#586317,#586318,#586319,#586320,#586321,#586322,#586323), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(-35.5089174160074,-34.9752888214773, -33.9759948551494,-32.9767008888215,-31.9774069224935,-30.9781129561656, -29.9788189898377,-29.2257321088278),.UNSPECIFIED.); #63605=B_SPLINE_CURVE_WITH_KNOTS('',3,(#586324,#586325,#586326,#586327, #586328,#586329,#586330,#586331,#586332,#586333,#586334,#586335,#586336, #586337,#586338,#586339,#586340,#586341,#586342,#586343,#586344,#586345), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(26.2623754727634,26.9809370908539, 27.9802310571818,28.9795250235098,29.9788189898377,30.9781129561656,31.9774069224935, 32.545560779943),.UNSPECIFIED.); #63606=B_SPLINE_CURVE_WITH_KNOTS('',3,(#586350,#586351,#586352,#586353, #586354,#586355,#586356,#586357,#586358,#586359,#586360,#586361,#586362, #586363,#586364,#586365,#586366,#586367,#586368,#586369,#586370,#586371), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(-41.792102723187,-40.9710526194448, -39.9717586531169,-38.972464686789,-37.9731707204611,-36.9738767541332, -35.9745827878052,-35.5089174160074),.UNSPECIFIED.); #63607=B_SPLINE_CURVE_WITH_KNOTS('',3,(#586372,#586373,#586374,#586375, #586376,#586377,#586378,#586379,#586380,#586381,#586382,#586383,#586384, #586385,#586386,#586387,#586388,#586389,#586390,#586391,#586392,#586393), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(32.545560779943,32.9767008888215, 33.9759948551494,34.9752888214773,35.9745827878052,36.9738767541332,37.9731707204611, 38.8287460871226),.UNSPECIFIED.); #63608=B_SPLINE_CURVE_WITH_KNOTS('',3,(#586399,#586400,#586401,#586402), .UNSPECIFIED.,.F.,.F.,(4,4),(53.4070751110265,53.5028744357034), .UNSPECIFIED.); #63609=B_SPLINE_CURVE_WITH_KNOTS('',3,(#586408,#586409,#586410,#586411, #586412,#586413,#586414,#586415,#586416,#586417),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(47.1238898038469,47.5895551756447,48.5888491419727,49.5881431083006, 50.5874370746285,51.5867310409564,52.5860250072843,53.4070751110265), .UNSPECIFIED.); #63610=B_SPLINE_CURVE_WITH_KNOTS('',3,(#586420,#586421,#586422,#586423, #586424,#586425,#586426,#586427,#586428),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(47.1238898038469,47.2676084035133,48.2669023698412,49.2661963361691, 50.265490302497,51.264784268825,52.2640782351529),.UNSPECIFIED.); #63611=B_SPLINE_CURVE_WITH_KNOTS('',3,(#586433,#586434,#586435,#586436, #586437,#586438,#586439,#586440,#586441,#586442),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(40.8407044966673,41.5937913776772,42.5930853440051,43.592379310333, 44.591673276661,45.5909672429889,46.5902612093168,47.1238898038469), .UNSPECIFIED.); #63612=B_SPLINE_CURVE_WITH_KNOTS('',3,(#586443,#586444,#586445,#586446, #586447,#586448,#586449,#586450,#586451,#586452),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(40.8407044966673,41.2718446055457,42.2711385718736,43.2704325382016, 44.2697265045295,45.2690204708574,46.2683144371853,47.1238898038469), .UNSPECIFIED.); #63613=B_SPLINE_CURVE_WITH_KNOTS('',3,(#586457,#586458,#586459,#586460, #586461,#586462,#586463,#586464,#586465,#586466,#586467),.UNSPECIFIED., .F.,.F.,(4,1,1,1,1,1,1,1,4),(34.5575191894877,34.5987336133817,35.5980275797097, 36.5973215460376,37.5966155123655,38.5959094786934,39.5952034450213,40.5944974113493, 40.8407044966673),.UNSPECIFIED.); #63614=B_SPLINE_CURVE_WITH_KNOTS('',3,(#586468,#586469,#586470,#586471, #586472,#586473,#586474,#586475,#586476,#586477),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(34.5575191894877,35.2760808075782,36.2753747739061,37.274668740234, 38.273962706562,39.2732566728899,40.2725506392178,40.8407044966673), .UNSPECIFIED.); #63615=B_SPLINE_CURVE_WITH_KNOTS('',3,(#586482,#586483,#586484,#586485, #586486,#586487,#586488,#586489,#586490,#586491),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(28.2743338823081,28.6029698154142,29.6022637817421,30.60155774807, 31.600851714398,32.6001456807259,33.5994396470538,34.5575191894877), .UNSPECIFIED.); #63616=B_SPLINE_CURVE_WITH_KNOTS('',3,(#586492,#586493,#586494,#586495, #586496,#586497,#586498,#586499,#586500,#586501,#586502),.UNSPECIFIED., .F.,.F.,(4,1,1,1,1,1,1,1,4),(28.2743338823081,28.2810230432827,29.2803170096106, 30.2796109759386,31.2789049422665,32.2781989085944,33.2774928749223,34.2767868412503, 34.5575191894877),.UNSPECIFIED.); #63617=B_SPLINE_CURVE_WITH_KNOTS('',3,(#586507,#586508,#586509,#586510, #586511,#586512,#586513,#586514,#586515,#586516),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(21.9911485751285,22.6072060174466,23.6064999837746,24.6057939501025, 25.6050879164304,26.6043818827583,27.6036758490863,28.2743338823081), .UNSPECIFIED.); #63618=B_SPLINE_CURVE_WITH_KNOTS('',3,(#586517,#586518,#586519,#586520, #586521,#586522,#586523,#586524,#586525,#586526),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(21.9911485751285,22.2852592453152,23.2845532116431,24.283847177971, 25.283141144299,26.2824351106269,27.2817290769548,28.2743338823081), .UNSPECIFIED.); #63619=B_SPLINE_CURVE_WITH_KNOTS('',3,(#586531,#586532,#586533,#586534, #586535,#586536,#586537,#586538,#586539,#586540),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(15.7079632679489,16.6114422194791,17.610736185807,18.610030152135, 19.6093241184629,20.6086180847908,21.6079120511187,21.9911485751285), .UNSPECIFIED.); #63620=B_SPLINE_CURVE_WITH_KNOTS('',3,(#586541,#586542,#586543,#586544, #586545,#586546,#586547,#586548,#586549,#586550),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(15.7079632679489,16.2894954473476,17.2887894136756,18.2880833800035, 19.2873773463314,20.2866713126593,21.2859652789873,21.9911485751285), .UNSPECIFIED.); #63621=B_SPLINE_CURVE_WITH_KNOTS('',3,(#586623,#586624,#586625,#586626), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0033140844331539,0.00371814176801589), .UNSPECIFIED.); #63622=B_SPLINE_CURVE_WITH_KNOTS('',3,(#586628,#586629,#586630,#586631), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0033140844331539,0.00371814176801589), .UNSPECIFIED.); #63623=B_SPLINE_CURVE_WITH_KNOTS('',3,(#586643,#586644,#586645,#586646), .UNSPECIFIED.,.F.,.F.,(4,4),(0.00364444770158918,0.0040486112059512), .UNSPECIFIED.); #63624=B_SPLINE_CURVE_WITH_KNOTS('',3,(#586650,#586651,#586652,#586653), .UNSPECIFIED.,.F.,.F.,(4,4),(0.00364444770158918,0.0040486112059512), .UNSPECIFIED.); #63625=B_SPLINE_CURVE_WITH_KNOTS('',3,(#586699,#586700,#586701,#586702), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0033140844331539,0.00371814176801585), .UNSPECIFIED.); #63626=B_SPLINE_CURVE_WITH_KNOTS('',3,(#586704,#586705,#586706,#586707), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0033140844331539,0.00371814176801585), .UNSPECIFIED.); #63627=B_SPLINE_CURVE_WITH_KNOTS('',3,(#586719,#586720,#586721,#586722), .UNSPECIFIED.,.F.,.F.,(4,4),(0.00364444770158922,0.0040486112059512), .UNSPECIFIED.); #63628=B_SPLINE_CURVE_WITH_KNOTS('',3,(#586726,#586727,#586728,#586729), .UNSPECIFIED.,.F.,.F.,(4,4),(0.00364444770158922,0.0040486112059512), .UNSPECIFIED.); #63629=B_SPLINE_CURVE_WITH_KNOTS('',3,(#587549,#587550,#587551,#587552, #587553,#587554,#587555,#587556,#587557,#587558),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(0.,0.000192671007650155,0.00590663818660522,0.0116206054170613), .UNSPECIFIED.); #63630=B_SPLINE_CURVE_WITH_KNOTS('',3,(#587595,#587596,#587597,#587598, #587599,#587600,#587601,#587602,#587603,#587604),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(0.,0.000192671007662196,0.00590663818697436,0.0116206054177875), .UNSPECIFIED.); #63631=B_SPLINE_CURVE_WITH_KNOTS('',3,(#587838,#587839,#587840,#587841, #587842,#587843,#587844,#587845,#587846,#587847,#587848,#587849,#587850, #587851,#587852,#587853,#587854,#587855,#587856,#587857,#587858,#587859, #587860,#587861,#587862,#587863,#587864,#587865,#587866,#587867,#587868, #587869,#587870,#587871,#587872,#587873,#587874,#587875,#587876,#587877, #587878,#587879,#587880,#587881,#587882,#587883,#587884,#587885,#587886, #587887,#587888,#587889,#587890,#587891,#587892,#587893,#587894,#587895, #587896,#587897,#587898,#587899,#587900,#587901,#587902,#587903,#587904, #587905,#587906,#587907,#587908,#587909,#587910,#587911,#587912,#587913, #587914,#587915,#587916,#587917,#587918,#587919,#587920,#587921,#587922, #587923,#587924,#587925,#587926,#587927,#587928),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.0285093330704206, 0.0614893831048949,0.0950750047347584,0.12865077711916,0.133607597639049, 0.166609849397579,0.199721859059318,0.23245881851531,0.248861614177143, 0.262790371463612,0.267668044157908,0.299912097392439,0.331796640601207, 0.363681183809974,0.383336417214561,0.39023019547543,0.394841117114028, 0.426027205279981,0.457950907618488,0.489737274614171,0.503703144947493, 0.510958182705072,0.51768804680144,0.549072301708232,0.581345455852015, 0.596265037378251,0.602159584265498,0.632654695668001,0.662301900872703, 0.678164918283363),.UNSPECIFIED.); #63632=B_SPLINE_CURVE_WITH_KNOTS('',3,(#587929,#587930,#587931,#587932, #587933,#587934,#587935,#587936,#587937,#587938,#587939,#587940,#587941), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(38.8287460871226,38.972464686789,39.9717586531169, 40.9710526194448,41.8879020478639),.UNSPECIFIED.); #63633=B_SPLINE_CURVE_WITH_KNOTS('',3,(#587943,#587944,#587945,#587946, #587947,#587948,#587949,#587950,#587951,#587952,#587953,#587954,#587955, #587956,#587957,#587958,#587959,#587960,#587961,#587962,#587963,#587964, #587965,#587966,#587967,#587968,#587969,#587970,#587971,#587972,#587973, #587974,#587975,#587976,#587977,#587978,#587979,#587980,#587981,#587982, #587983,#587984,#587985,#587986,#587987,#587988,#587989,#587990,#587991, #587992,#587993,#587994,#587995,#587996,#587997,#587998,#587999,#588000, #588001,#588002,#588003,#588004,#588005,#588006,#588007,#588008,#588009, #588010,#588011,#588012,#588013,#588014,#588015,#588016,#588017,#588018, #588019,#588020,#588021,#588022,#588023,#588024,#588025,#588026,#588027, #588028,#588029,#588030,#588031,#588032,#588033,#588034,#588035,#588036), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,3,3,4),(-0.678164918283374,-0.653019605872027,-0.623142040707636, -0.605244325234526,-0.598127537375138,-0.593289367946179,-0.562852118254754, -0.534592927615145,-0.522229531710317,-0.514794459358921,-0.509349180826916, -0.477783623631429,-0.445843812587846,-0.414246465123744,-0.394879497127279, -0.389944880814911,-0.357478284966471,-0.336778593137886,-0.305250982916031, -0.273129577641955,-0.264192482139836,-0.260014559496387,-0.227512739559339, -0.194619583036035,-0.161531774438317,-0.141340302633528,-0.133538604036132, -0.12864171610718,-0.0949838091748236,-0.0613860704948392,-0.0285745405382953, 0.),.UNSPECIFIED.); #63634=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588037,#588038,#588039,#588040, #588041,#588042,#588043,#588044,#588045),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(10.376176187289,11.293025615708,12.292319582036,13.2916135483639, 14.2909075146918,15.2902014810197,15.7079632679489),.UNSPECIFIED.); #63635=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588049,#588050,#588051,#588052, #588053,#588054,#588055,#588056),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(11.6149723878395, 12.6142663541674,13.6135603204953,14.6128542868233,15.6121482531512,15.7079632679489), .UNSPECIFIED.); #63636=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588246,#588247,#588248,#588249, #588250,#588251,#588252,#588253,#588254,#588255,#588256,#588257,#588258, #588259,#588260,#588261,#588262,#588263,#588264,#588265,#588266,#588267, #588268,#588269,#588270,#588271,#588272,#588273,#588274,#588275,#588276, #588277,#588278,#588279,#588280,#588281,#588282,#588283,#588284,#588285, #588286,#588287,#588288,#588289,#588290,#588291,#588292,#588293,#588294, #588295,#588296,#588297,#588298,#588299,#588300,#588301,#588302,#588303, #588304,#588305,#588306,#588307,#588308,#588309,#588310,#588311,#588312, #588313,#588314,#588315,#588316,#588317,#588318,#588319,#588320,#588321, #588322,#588323,#588324,#588325,#588326,#588327,#588328,#588329,#588330, #588331,#588332,#588333),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.025098827128634,0.0546812766780232, 0.0850098133584217,0.116981533109208,0.12254580657625,0.153533770230209, 0.185048406798586,0.216676050621239,0.232189490714173,0.238726187549451, 0.24499111810923,0.277196033452011,0.309061571811656,0.340783571364715, 0.361751698333449,0.366953417649479,0.37186672981132,0.403110689050352, 0.435139726370491,0.46776949712979,0.494477254251835,0.499492956769647, 0.532622234096667,0.565893225712488,0.580697252153649,0.586400060015005, 0.62004730522801,0.653133072678216,0.676273602864456),.UNSPECIFIED.); #63637=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588334,#588335,#588336,#588337, #588338,#588339,#588340,#588341,#588342,#588343,#588344,#588345,#588346, #588347,#588348,#588349,#588350,#588351,#588352,#588353,#588354,#588355, #588356,#588357,#588358,#588359,#588360,#588361,#588362,#588363,#588364, #588365,#588366,#588367,#588368,#588369,#588370,#588371,#588372,#588373, #588374,#588375,#588376,#588377,#588378,#588379,#588380,#588381,#588382, #588383,#588384,#588385,#588386,#588387,#588388,#588389,#588390,#588391, #588392,#588393,#588394,#588395,#588396,#588397,#588398,#588399,#588400, #588401,#588402,#588403,#588404,#588405,#588406,#588407,#588408,#588409, #588410,#588411,#588412,#588413,#588414,#588415,#588416,#588417,#588418, #588419,#588420,#588421,#588422,#588423,#588424,#588425,#588426,#588427, #588428,#588429,#588430),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(-0.676273602864444,-0.647854836969252, -0.614569928831212,-0.595781536526981,-0.588261735721003,-0.581338516588787, -0.547915658510629,-0.518670657692241,-0.505875969834196,-0.497564081987036, -0.492497003294197,-0.459706691860455,-0.427052622346171,-0.395326402629319, -0.37498371496287,-0.369250427288735,-0.364284881756537,-0.332710863028501, -0.313955651963522,-0.282044806805112,-0.249865480036214,-0.243750075241434, -0.23880947729889,-0.207346137562904,-0.175721031330502,-0.144391818177301, -0.126342840905138,-0.121007380335594,-0.116401388569252,-0.0855654787951348, -0.0551238878059207,-0.0256441261431375,0.),.UNSPECIFIED.); #63638=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588431,#588432,#588433,#588434, #588435,#588436,#588437,#588438,#588439,#588440),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(-43.9689345184286,-42.9696405521007,-41.9703465857728,-41.792102723187), .UNSPECIFIED.); #63639=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588892,#588893,#588894,#588895, #588896,#588897,#588898,#588899,#588900,#588901),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(-0.0116206054177213,-0.00590663818694071,-0.000192671007661098, 0.),.UNSPECIFIED.); #63640=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588919,#588920,#588921,#588922, #588923,#588924,#588925,#588926,#588927,#588928),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(-0.0116206054171199,-0.00590663818663498,-0.000192671007651125, 0.),.UNSPECIFIED.); #63641=B_SPLINE_CURVE_WITH_KNOTS('',3,(#593638,#593639,#593640,#593641), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0637626508806401,0.),.UNSPECIFIED.); #63642=B_SPLINE_CURVE_WITH_KNOTS('',3,(#593644,#593645,#593646,#593647), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0637626508804625,0.),.UNSPECIFIED.); #63643=B_SPLINE_CURVE_WITH_KNOTS('',3,(#593658,#593659,#593660,#593661), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0637626508803616,0.),.UNSPECIFIED.); #63644=B_SPLINE_CURVE_WITH_KNOTS('',3,(#593670,#593671,#593672,#593673), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0637626508807323,0.),.UNSPECIFIED.); #63645=B_SPLINE_CURVE_WITH_KNOTS('',3,(#593725,#593726,#593727,#593728, #593729,#593730,#593731,#593732,#593733,#593734),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.462156273074892,0.519794347094213,0.577432421113534,0.63543901192046, 0.655393194087472),.UNSPECIFIED.); #63646=B_SPLINE_CURVE_WITH_KNOTS('',3,(#593741,#593742,#593743,#593744, #593745,#593746,#593747,#593748,#593749,#593750),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(-0.193236921012582,-0.173282738845568,-0.115276148038642,-0.0576380740193211, 0.),.UNSPECIFIED.); #63647=B_SPLINE_CURVE_WITH_KNOTS('',3,(#593757,#593758,#593759,#593760, #593761,#593762,#593763,#593764,#593765,#593766),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.,0.057638074019321,0.115276148038642,0.173282738845568,0.231289329652495), .UNSPECIFIED.); #63648=B_SPLINE_CURVE_WITH_KNOTS('',3,(#593773,#593774,#593775,#593776, #593777,#593778,#593779,#593780,#593781,#593782),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.230866943422397,0.288873534229323,0.346880125036249,0.404518199055571, 0.462156273074892),.UNSPECIFIED.); #63649=B_SPLINE_CURVE_WITH_KNOTS('',3,(#593797,#593798,#593799,#593800, #593801,#593802,#593803,#593804,#593805,#593806),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.230887645360299,0.288917465059357,0.346947284758415,0.404585706432738, 0.462224128107061),.UNSPECIFIED.); #63650=B_SPLINE_CURVE_WITH_KNOTS('',3,(#593821,#593822,#593823,#593824, #593825,#593826,#593827,#593828,#593829,#593830),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.,0.057638421674323,0.115276843348646,0.173306663047704,0.231336482746762), .UNSPECIFIED.); #63651=B_SPLINE_CURVE_WITH_KNOTS('',3,(#593835,#593836,#593837,#593838, #593839,#593840,#593841,#593842,#593843,#593844),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(-0.231234638072765,-0.173254995063216,-0.115275352053668,-0.0576376760268339, 0.),.UNSPECIFIED.); #63652=B_SPLINE_CURVE_WITH_KNOTS('',3,(#593857,#593858,#593859,#593860, #593861,#593862,#593863,#593864,#593865,#593866),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.462224128107061,0.519862549781384,0.577500971455707,0.635530791154766, 0.655496828047568),.UNSPECIFIED.); #63653=B_SPLINE_CURVE_WITH_KNOTS('',3,(#593872,#593873,#593874,#593875, #593876,#593877,#593878,#593879,#593880,#593881),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.230842910831493,0.288822553841041,0.346802196850589,0.404439872877423, 0.462077548904257),.UNSPECIFIED.); #63654=B_SPLINE_CURVE_WITH_KNOTS('',3,(#593895,#593896,#593897,#593898, #593899,#593900,#593901,#593902,#593903,#593904),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(-0.193272699940508,-0.173306663047704,-0.115276843348646,-0.057638421674323, 0.),.UNSPECIFIED.); #63655=B_SPLINE_CURVE_WITH_KNOTS('',3,(#593908,#593909,#593910,#593911, #593912,#593913,#593914,#593915,#593916,#593917),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.462077549602101,0.519715225628935,0.577352901655769,0.635332544665317, 0.693312187674866),.UNSPECIFIED.); #63656=B_SPLINE_CURVE_WITH_KNOTS('',3,(#593928,#593929,#593930,#593931, #593932,#593933,#593934,#593935,#593936,#593937),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.,0.0576376760268338,0.115275352053668,0.173254995063216, 0.231234638072764),.UNSPECIFIED.); #63657=B_SPLINE_CURVE_WITH_KNOTS('',3,(#594174,#594175,#594176,#594177), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0446109345536369,0.),.UNSPECIFIED.); #63658=B_SPLINE_CURVE_WITH_KNOTS('',3,(#594178,#594179,#594180,#594181), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0446115506443126,0.),.UNSPECIFIED.); #63659=B_SPLINE_CURVE_WITH_KNOTS('',3,(#594191,#594192,#594193,#594194), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0446115506443019,0.),.UNSPECIFIED.); #63660=B_SPLINE_CURVE_WITH_KNOTS('',3,(#594196,#594197,#594198,#594199), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0446115506442841,0.),.UNSPECIFIED.); #63661=B_SPLINE_CURVE_WITH_KNOTS('',3,(#594204,#594205,#594206,#594207), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.044611550644272,0.),.UNSPECIFIED.); #63662=B_SPLINE_CURVE_WITH_KNOTS('',3,(#594210,#594211,#594212,#594213), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0446115506442848,0.),.UNSPECIFIED.); #63663=B_SPLINE_CURVE_WITH_KNOTS('',3,(#594224,#594225,#594226,#594227), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0446109345536115,0.),.UNSPECIFIED.); #63664=B_SPLINE_CURVE_WITH_KNOTS('',3,(#594228,#594229,#594230,#594231), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.044611550644273,0.),.UNSPECIFIED.); #63665=B_SPLINE_CURVE_WITH_KNOTS('',3,(#594785,#594786,#594787,#594788), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63666=B_SPLINE_CURVE_WITH_KNOTS('',3,(#594790,#594791,#594792,#594793, #594794,#594795),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-1.,-0.571428571428571, -0.285714285714286,0.),.UNSPECIFIED.); #63667=B_SPLINE_CURVE_WITH_KNOTS('',3,(#594796,#594797,#594798,#594799), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63668=B_SPLINE_CURVE_WITH_KNOTS('',3,(#594829,#594830,#594831,#594832, #594833,#594834),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-1.,-0.571428571428571, -0.285714285714286,0.),.UNSPECIFIED.); #63669=B_SPLINE_CURVE_WITH_KNOTS('',3,(#594835,#594836,#594837,#594838), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63670=B_SPLINE_CURVE_WITH_KNOTS('',3,(#594866,#594867,#594868,#594869, #594870,#594871),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-1.,-0.571428571428571, -0.285714285714286,0.),.UNSPECIFIED.); #63671=B_SPLINE_CURVE_WITH_KNOTS('',3,(#594872,#594873,#594874,#594875), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63672=B_SPLINE_CURVE_WITH_KNOTS('',3,(#594919,#594920,#594921,#594922, #594923,#594924,#594925,#594926,#594927,#594928),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(-1.,-0.857142857142857,-0.714285714285714,-0.571428571428571, -0.428571428571429,-0.285714285714286,-0.142857142857143,0.), .UNSPECIFIED.); #63673=B_SPLINE_CURVE_WITH_KNOTS('',3,(#594929,#594930,#594931,#594932), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63674=B_SPLINE_CURVE_WITH_KNOTS('',3,(#594960,#594961,#594962,#594963, #594964,#594965),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-1.,-0.571428571428571, -0.285714285714286,0.),.UNSPECIFIED.); #63675=B_SPLINE_CURVE_WITH_KNOTS('',3,(#594966,#594967,#594968,#594969), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63676=B_SPLINE_CURVE_WITH_KNOTS('',3,(#594993,#594994,#594995,#594996, #594997),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-1.,-0.571428571428571,0.), .UNSPECIFIED.); #63677=B_SPLINE_CURVE_WITH_KNOTS('',3,(#594998,#594999,#595000,#595001), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63678=B_SPLINE_CURVE_WITH_KNOTS('',3,(#595029,#595030,#595031,#595032, #595033,#595034),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-1.,-0.571428571428571, -0.285714285714286,0.),.UNSPECIFIED.); #63679=B_SPLINE_CURVE_WITH_KNOTS('',3,(#595035,#595036,#595037,#595038), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63680=B_SPLINE_CURVE_WITH_KNOTS('',3,(#595080,#595081,#595082,#595083, #595084,#595085,#595086,#595087,#595088,#595089),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(-1.,-0.857142857142857,-0.714285714285714,-0.571428571428571, -0.428571428571429,-0.285714285714286,-0.142857142857143,0.), .UNSPECIFIED.); #63681=CIRCLE('',#350183,15.); #63682=CIRCLE('',#350185,26.); #63683=CIRCLE('',#350186,26.); #63684=CIRCLE('',#350188,8274.58674997564); #63685=CIRCLE('',#350190,25.); #63686=CIRCLE('',#350195,0.6); #63687=CIRCLE('',#350196,5.); #63688=CIRCLE('',#350197,5.); #63689=CIRCLE('',#350198,5.); #63690=CIRCLE('',#350199,5.); #63691=CIRCLE('',#350200,0.6); #63692=CIRCLE('',#350201,0.4999999999999); #63693=CIRCLE('',#350202,0.75); #63694=CIRCLE('',#350203,26.); #63695=CIRCLE('',#350204,3.); #63696=CIRCLE('',#350205,26.); #63697=CIRCLE('',#350206,0.75); #63698=CIRCLE('',#350207,26.); #63699=CIRCLE('',#350208,26.); #63700=CIRCLE('',#350209,0.75); #63701=CIRCLE('',#350210,26.); #63702=CIRCLE('',#350211,3.); #63703=CIRCLE('',#350212,26.); #63704=CIRCLE('',#350213,0.75); #63705=CIRCLE('',#350214,26.); #63706=CIRCLE('',#350215,26.); #63707=CIRCLE('',#350216,0.75); #63708=CIRCLE('',#350217,26.); #63709=CIRCLE('',#350218,3.); #63710=CIRCLE('',#350219,26.); #63711=CIRCLE('',#350220,0.75); #63712=CIRCLE('',#350221,26.); #63713=CIRCLE('',#350222,26.); #63714=CIRCLE('',#350223,0.75); #63715=CIRCLE('',#350224,26.); #63716=CIRCLE('',#350225,3.); #63717=CIRCLE('',#350226,26.); #63718=CIRCLE('',#350227,0.75); #63719=CIRCLE('',#350228,26.); #63720=CIRCLE('',#350229,23.8); #63721=CIRCLE('',#350230,0.5); #63722=CIRCLE('',#350231,26.5); #63723=CIRCLE('',#350232,2.25); #63724=CIRCLE('',#350233,2.25); #63725=CIRCLE('',#350234,2.25); #63726=CIRCLE('',#350235,2.25); #63727=CIRCLE('',#350238,0.6); #63728=CIRCLE('',#350239,26.5); #63729=CIRCLE('',#350240,0.5); #63730=CIRCLE('',#350241,0.4999999999999); #63731=CIRCLE('',#350242,0.6); #63732=CIRCLE('',#350243,5.); #63733=CIRCLE('',#350244,5.); #63734=CIRCLE('',#350245,5.); #63735=CIRCLE('',#350246,5.); #63736=CIRCLE('',#350247,25.8); #63737=CIRCLE('',#350248,3.25); #63738=CIRCLE('',#350249,25.8); #63739=CIRCLE('',#350250,25.8); #63740=CIRCLE('',#350251,3.25); #63741=CIRCLE('',#350252,25.8); #63742=CIRCLE('',#350253,25.8); #63743=CIRCLE('',#350254,3.25); #63744=CIRCLE('',#350255,25.8); #63745=CIRCLE('',#350256,25.8); #63746=CIRCLE('',#350257,3.25); #63747=CIRCLE('',#350258,25.8); #63748=CIRCLE('',#350259,2.25); #63749=CIRCLE('',#350260,2.25); #63750=CIRCLE('',#350261,2.25); #63751=CIRCLE('',#350262,2.25); #63752=CIRCLE('',#350279,25.); #63753=CIRCLE('',#350280,25.); #63754=CIRCLE('',#350283,26.); #63755=CIRCLE('',#350285,26.); #63756=CIRCLE('',#350287,25.); #63757=CIRCLE('',#350289,25.); #63758=CIRCLE('',#350291,26.); #63759=CIRCLE('',#350293,26.); #63760=CIRCLE('',#350295,25.); #63761=CIRCLE('',#350297,25.); #63762=CIRCLE('',#350299,0.6); #63763=CIRCLE('',#350300,26.5); #63764=CIRCLE('',#350301,0.5); #63765=CIRCLE('',#350302,25.); #63766=CIRCLE('',#350303,0.75); #63767=CIRCLE('',#350304,25.); #63768=CIRCLE('',#350305,0.75); #63769=CIRCLE('',#350306,25.); #63770=CIRCLE('',#350307,25.); #63771=CIRCLE('',#350308,0.75); #63772=CIRCLE('',#350309,25.); #63773=CIRCLE('',#350310,0.75); #63774=CIRCLE('',#350311,25.); #63775=CIRCLE('',#350312,25.); #63776=CIRCLE('',#350313,0.75); #63777=CIRCLE('',#350314,25.); #63778=CIRCLE('',#350315,0.75); #63779=CIRCLE('',#350316,25.); #63780=CIRCLE('',#350317,25.); #63781=CIRCLE('',#350318,0.75); #63782=CIRCLE('',#350319,25.); #63783=CIRCLE('',#350320,0.75); #63784=CIRCLE('',#350321,0.4999999999999); #63785=CIRCLE('',#350322,0.6); #63786=CIRCLE('',#350323,5.); #63787=CIRCLE('',#350324,5.); #63788=CIRCLE('',#350325,5.); #63789=CIRCLE('',#350326,5.); #63790=CIRCLE('',#350327,2.25); #63791=CIRCLE('',#350328,2.25); #63792=CIRCLE('',#350329,2.25); #63793=CIRCLE('',#350330,2.25); #63794=CIRCLE('',#350333,0.6); #63795=CIRCLE('',#350334,5.); #63796=CIRCLE('',#350335,5.); #63797=CIRCLE('',#350336,5.); #63798=CIRCLE('',#350337,5.); #63799=CIRCLE('',#350338,0.6); #63800=CIRCLE('',#350339,0.4999999999999); #63801=CIRCLE('',#350340,0.5); #63802=CIRCLE('',#350341,26.5); #63803=CIRCLE('',#350342,25.8); #63804=CIRCLE('',#350343,3.25); #63805=CIRCLE('',#350344,25.8); #63806=CIRCLE('',#350345,25.8); #63807=CIRCLE('',#350346,3.25); #63808=CIRCLE('',#350347,25.8); #63809=CIRCLE('',#350348,25.8); #63810=CIRCLE('',#350349,3.25); #63811=CIRCLE('',#350350,25.8); #63812=CIRCLE('',#350351,25.8); #63813=CIRCLE('',#350352,3.25); #63814=CIRCLE('',#350353,25.8); #63815=CIRCLE('',#350354,2.25); #63816=CIRCLE('',#350355,2.25); #63817=CIRCLE('',#350356,2.25); #63818=CIRCLE('',#350357,2.25); #63819=CIRCLE('',#350376,23.8); #63820=CIRCLE('',#350380,23.8); #63821=CIRCLE('',#350384,23.8); #63822=CIRCLE('',#350388,23.8); #63823=CIRCLE('',#350392,23.8); #63824=CIRCLE('',#350393,23.8); #63825=CIRCLE('',#350394,23.8); #63826=CIRCLE('',#350395,23.8); #63827=CIRCLE('',#350396,23.8); #63828=CIRCLE('',#350397,23.8); #63829=CIRCLE('',#350398,23.8); #63830=CIRCLE('',#350399,23.8); #63831=CIRCLE('',#350400,23.8); #63832=CIRCLE('',#350401,23.8); #63833=CIRCLE('',#350402,23.8); #63834=CIRCLE('',#350404,15.); #63835=CIRCLE('',#350405,23.8); #63836=CIRCLE('',#350406,23.8); #63837=CIRCLE('',#350407,23.8017316309494); #63838=CIRCLE('',#350409,15.); #63839=CIRCLE('',#350410,15.); #63840=CIRCLE('',#350411,15.); #63841=CIRCLE('',#350412,15.); #63842=CIRCLE('',#350413,15.); #63843=CIRCLE('',#350414,15.); #63844=CIRCLE('',#350415,15.); #63845=CIRCLE('',#350416,15.); #63846=CIRCLE('',#350417,15.); #63847=CIRCLE('',#350418,15.); #63848=CIRCLE('',#350420,14.9975380046081); #63849=CIRCLE('',#350421,14.997850231959); #63850=CIRCLE('',#350424,0.749999999687631); #63851=CIRCLE('',#350425,0.749999999951105); #63852=CIRCLE('',#350426,0.749999999901561); #63853=CIRCLE('',#350427,0.750000000057949); #63854=CIRCLE('',#350428,0.751575061294876); #63855=CIRCLE('',#350430,23.80173163095); #63856=CIRCLE('',#350431,0.299999994023968); #63857=CIRCLE('',#350432,0.299999999963658); #63858=CIRCLE('',#350433,0.299999999989759); #63859=CIRCLE('',#350434,0.299999998508115); #63860=CIRCLE('',#350435,0.300760419722718); #63861=CIRCLE('',#350436,0.300004388282896); #63862=CIRCLE('',#350437,0.300000000008796); #63863=CIRCLE('',#350438,0.300002274750693); #63864=CIRCLE('',#350442,23.80173163095); #63865=CIRCLE('',#350444,0.299999994021721); #63866=CIRCLE('',#350445,0.300002423031197); #63867=CIRCLE('',#350448,0.74999999968167); #63868=CIRCLE('',#350449,0.749999999919731); #63869=CIRCLE('',#350450,0.749999999978287); #63870=CIRCLE('',#350451,0.749999999941456); #63871=CIRCLE('',#350452,0.751575061344609); #63872=CIRCLE('',#350453,0.300001573660073); #63873=CIRCLE('',#350454,0.300001802060316); #63874=CIRCLE('',#350455,0.299999998504003); #63875=CIRCLE('',#350456,0.30000301573158); #63876=CIRCLE('',#350457,0.300000000008315); #63877=CIRCLE('',#350458,0.751575061650349); #63878=CIRCLE('',#350460,23.80173163095); #63879=CIRCLE('',#350461,0.299999994200471); #63880=CIRCLE('',#350462,0.29999999996183); #63881=CIRCLE('',#350463,0.299999999991545); #63882=CIRCLE('',#350464,0.299999998507389); #63883=CIRCLE('',#350465,0.299999999988556); #63884=CIRCLE('',#350466,0.300000000007419); #63885=CIRCLE('',#350467,0.29999999971093); #63886=CIRCLE('',#350470,0.750000000296223); #63887=CIRCLE('',#350471,0.750000000156384); #63888=CIRCLE('',#350472,0.749999999925405); #63889=CIRCLE('',#350473,0.749999999975427); #63890=CIRCLE('',#350480,23.80173163095); #63891=CIRCLE('',#350482,0.299999995958574); #63892=CIRCLE('',#350483,0.299999999857502); #63893=CIRCLE('',#350484,0.299999999998298); #63894=CIRCLE('',#350485,0.299999999999744); #63895=CIRCLE('',#350486,0.299999999480561); #63896=CIRCLE('',#350489,0.750000000002418); #63897=CIRCLE('',#350490,0.749999999672738); #63898=CIRCLE('',#350491,0.749999995782822); #63899=CIRCLE('',#350492,0.749999987363109); #63900=CIRCLE('',#350493,0.751574787860958); #63901=CIRCLE('',#350508,0.749999999684897); #63902=CIRCLE('',#350509,0.750000000125916); #63903=CIRCLE('',#350510,0.750000000027281); #63904=CIRCLE('',#350511,0.749999999885336); #63905=CIRCLE('',#350512,0.751575061459689); #63906=CIRCLE('',#350515,0.300000000009518); #63907=CIRCLE('',#350516,0.30000056495512); #63908=CIRCLE('',#350517,0.299999998505104); #63909=CIRCLE('',#350518,0.300001672187247); #63910=CIRCLE('',#350519,0.300001885488578); #63911=CIRCLE('',#350520,0.299999994023838); #63912=CIRCLE('',#350529,26.); #63913=CIRCLE('',#350530,26.); #63914=CIRCLE('',#350533,25.); #63915=CIRCLE('',#350534,25.); #63916=CIRCLE('',#350537,26.); #63917=CIRCLE('',#350539,26.); #63918=CIRCLE('',#350541,25.); #63919=CIRCLE('',#350543,25.); #63920=CIRCLE('',#350548,8274.58674812772); #63921=CIRCLE('',#350550,26.); #63922=CIRCLE('',#350551,26.); #63923=CIRCLE('',#350553,25.); #63924=CIRCLE('',#350555,25.); #63925=CIRCLE('',#350557,26.); #63926=CIRCLE('',#350559,26.); #63927=CIRCLE('',#350561,25.); #63928=CIRCLE('',#350563,25.); #63929=CIRCLE('',#350569,8274.58674804184); #63930=CIRCLE('',#350570,25.); #63931=CIRCLE('',#350573,25.); #63932=CIRCLE('',#350574,25.); #63933=CIRCLE('',#350576,26.); #63934=CIRCLE('',#350578,26.); #63935=CIRCLE('',#350580,25.); #63936=CIRCLE('',#350583,26.); #63937=CIRCLE('',#350585,26.); #63938=CIRCLE('',#350587,25.); #63939=CIRCLE('',#350592,26.); #63940=CIRCLE('',#350593,26.); #63941=CIRCLE('',#350596,25.); #63942=CIRCLE('',#350597,25.); #63943=CIRCLE('',#350600,26.); #63944=CIRCLE('',#350602,26.); #63945=CIRCLE('',#350604,25.); #63946=CIRCLE('',#350606,25.); #63947=CIRCLE('',#350611,25.); #63948=CIRCLE('',#350614,25.); #63949=CIRCLE('',#350617,25.); #63950=CIRCLE('',#350621,25.); #63951=CIRCLE('',#350623,8274.5867500434); #63952=CIRCLE('',#350625,25.); #63953=CIRCLE('',#350626,25.); #63954=CIRCLE('',#350628,26.); #63955=CIRCLE('',#350630,26.); #63956=CIRCLE('',#350633,26.); #63957=CIRCLE('',#350635,26.); #63958=CIRCLE('',#350637,25.); #63959=CIRCLE('',#350639,25.); #63960=CIRCLE('',#350644,26.); #63961=CIRCLE('',#350645,26.); #63962=CIRCLE('',#350648,25.); #63963=CIRCLE('',#350649,25.); #63964=CIRCLE('',#350652,26.); #63965=CIRCLE('',#350654,26.); #63966=CIRCLE('',#350656,25.); #63967=CIRCLE('',#350658,25.); #63968=CIRCLE('',#350663,8274.58674844831); #63969=CIRCLE('',#350665,26.); #63970=CIRCLE('',#350666,26.); #63971=CIRCLE('',#350668,25.); #63972=CIRCLE('',#350670,25.); #63973=CIRCLE('',#350672,26.); #63974=CIRCLE('',#350674,26.); #63975=CIRCLE('',#350676,25.); #63976=CIRCLE('',#350678,25.); #63977=CIRCLE('',#350684,8274.58675249122); #63978=CIRCLE('',#350685,25.); #63979=CIRCLE('',#350688,25.); #63980=CIRCLE('',#350689,25.); #63981=CIRCLE('',#350691,26.); #63982=CIRCLE('',#350693,26.); #63983=CIRCLE('',#350695,25.); #63984=CIRCLE('',#350698,26.); #63985=CIRCLE('',#350700,26.); #63986=CIRCLE('',#350702,25.); #63987=CIRCLE('',#350707,26.); #63988=CIRCLE('',#350708,26.); #63989=CIRCLE('',#350711,25.); #63990=CIRCLE('',#350712,25.); #63991=CIRCLE('',#350715,26.); #63992=CIRCLE('',#350717,26.); #63993=CIRCLE('',#350719,25.); #63994=CIRCLE('',#350721,25.); #63995=CIRCLE('',#350726,8274.58668837927); #63996=CIRCLE('',#350728,26.); #63997=CIRCLE('',#350729,26.); #63998=CIRCLE('',#350731,25.); #63999=CIRCLE('',#350733,25.); #64000=CIRCLE('',#350735,26.); #64001=CIRCLE('',#350737,26.); #64002=CIRCLE('',#350739,25.); #64003=CIRCLE('',#350741,25.); #64004=CIRCLE('',#350746,25.); #64005=CIRCLE('',#350749,25.); #64006=CIRCLE('',#350752,25.); #64007=CIRCLE('',#350755,25.); #64008=CIRCLE('',#350765,25.); #64009=CIRCLE('',#350767,25.); #64010=CIRCLE('',#350769,26.); #64011=CIRCLE('',#350771,26.); #64012=CIRCLE('',#350773,25.); #64013=CIRCLE('',#350775,25.); #64014=CIRCLE('',#350777,14.4973816585503); #64015=CIRCLE('',#350778,14.2072779719); #64016=CIRCLE('',#350779,14.2072779719); #64017=CIRCLE('',#350781,14.49999999999); #64018=CIRCLE('',#350782,15.00000038415); #64019=CIRCLE('',#350784,11.76788809538); #64020=CIRCLE('',#350785,14.20727797188); #64021=CIRCLE('',#350786,14.20727797188); #64022=CIRCLE('',#350787,14.2072779719); #64023=CIRCLE('',#350788,14.20727797193); #64024=CIRCLE('',#350790,14.49738165858); #64025=CIRCLE('',#350791,14.5); #64026=CIRCLE('',#350792,15.00000038414); #64027=CIRCLE('',#350794,15.); #64028=CIRCLE('',#350797,14.4973816585751); #64029=CIRCLE('',#350798,14.49999999999); #64030=CIRCLE('',#350800,14.49738165863); #64031=CIRCLE('',#350801,14.5); #64032=CIRCLE('',#350803,15.); #64033=CIRCLE('',#350806,14.49738165858); #64034=CIRCLE('',#350807,14.5); #64035=CIRCLE('',#350810,15.00000038415); #64036=CIRCLE('',#350811,15.); #64037=CIRCLE('',#350813,15.); #64038=CIRCLE('',#350814,15.); #64039=CIRCLE('',#350815,15.); #64040=CIRCLE('',#350816,15.); #64041=CIRCLE('',#350817,15.); #64042=CIRCLE('',#350818,15.); #64043=CIRCLE('',#350819,15.); #64044=CIRCLE('',#350821,15.00000038415); #64045=CIRCLE('',#350828,15.00000038415); #64046=CIRCLE('',#350832,1.); #64047=CIRCLE('',#350833,10.85957319485); #64048=CIRCLE('',#350837,10.75); #64049=CIRCLE('',#350839,10.75); #64050=CIRCLE('',#350841,10.75); #64051=CIRCLE('',#350843,10.75); #64052=CIRCLE('',#350845,10.75); #64053=CIRCLE('',#350847,10.75); #64054=CIRCLE('',#350848,10.75); #64055=CIRCLE('',#350851,10.75); #64056=CIRCLE('',#350863,12.75); #64057=CIRCLE('',#350864,12.7499999999999); #64058=CIRCLE('',#350865,12.75); #64059=CIRCLE('',#350867,13.75); #64060=CIRCLE('',#350874,13.75); #64061=CIRCLE('',#350875,12.75); #64062=CIRCLE('',#350878,13.75); #64063=CIRCLE('',#350879,12.75); #64064=CIRCLE('',#350886,13.75); #64065=CIRCLE('',#350893,13.75); #64066=CIRCLE('',#350894,12.75); #64067=CIRCLE('',#350896,10.75); #64068=CIRCLE('',#350898,13.75); #64069=CIRCLE('',#350900,13.75); #64070=CIRCLE('',#350901,13.75); #64071=CIRCLE('',#350902,13.75); #64072=CIRCLE('',#350908,12.75); #64073=CIRCLE('',#350909,13.75); #64074=CIRCLE('',#350914,13.75); #64075=CIRCLE('',#350915,13.75); #64076=CIRCLE('',#350918,12.75); #64077=CIRCLE('',#350919,10.75); #64078=CIRCLE('',#350921,13.75); #64079=CIRCLE('',#350927,12.75); #64080=CIRCLE('',#350928,13.75); #64081=CIRCLE('',#350930,12.75); #64082=CIRCLE('',#350936,13.75); #64083=CIRCLE('',#350937,13.75); #64084=CIRCLE('',#350940,12.75); #64085=CIRCLE('',#350941,10.75); #64086=CIRCLE('',#350943,13.75); #64087=CIRCLE('',#350944,13.75); #64088=CIRCLE('',#350946,12.75); #64089=CIRCLE('',#350948,12.7499999999999); #64090=CIRCLE('',#350952,13.75); #64091=CIRCLE('',#350955,12.75); #64092=CIRCLE('',#350956,10.75); #64093=CIRCLE('',#350958,13.75); #64094=CIRCLE('',#350959,13.75); #64095=CIRCLE('',#350961,12.75); #64096=CIRCLE('',#350963,12.75); #64097=CIRCLE('',#350967,13.75); #64098=CIRCLE('',#350973,10.75); #64099=CIRCLE('',#350974,10.75); #64100=CIRCLE('',#350981,10.75); #64101=CIRCLE('',#350983,10.75); #64102=CIRCLE('',#350984,10.75); #64103=CIRCLE('',#350986,10.75); #64104=CIRCLE('',#350987,10.75); #64105=CIRCLE('',#350999,10.75); #64106=CIRCLE('',#351000,10.75); #64107=CIRCLE('',#351002,10.75); #64108=CIRCLE('',#351003,10.75); #64109=CIRCLE('',#351006,10.75); #64110=CIRCLE('',#351008,10.75); #64111=CIRCLE('',#351009,10.75); #64112=CIRCLE('',#351012,10.75); #64113=CIRCLE('',#351013,10.75); #64114=CIRCLE('',#351020,14.7500000149012); #64115=CIRCLE('',#351021,14.7500000149012); #64116=CIRCLE('',#351022,14.7500000149012); #64117=CIRCLE('',#351023,13.75); #64118=CIRCLE('',#351024,13.75); #64119=CIRCLE('',#351025,13.75); #64120=CIRCLE('',#351032,13.75); #64121=CIRCLE('',#351033,13.75); #64122=CIRCLE('',#351034,13.75); #64123=CIRCLE('',#351036,14.7500000149012); #64124=CIRCLE('',#351037,14.7500000149012); #64125=CIRCLE('',#351038,14.7500000149012); #64126=CIRCLE('',#351053,13.75); #64127=CIRCLE('',#351054,13.75); #64128=CIRCLE('',#351055,13.75); #64129=CIRCLE('',#351063,13.75); #64130=CIRCLE('',#351066,13.75); #64131=CIRCLE('',#351069,13.75); #64132=CIRCLE('',#351074,29.2842712474619); #64133=CIRCLE('',#351075,33.2842712474619); #64134=CIRCLE('',#351077,33.2842712474619); #64135=CIRCLE('',#351079,3.); #64136=CIRCLE('',#351080,2.); #64137=CIRCLE('',#351083,5.); #64138=CIRCLE('',#351084,4.); #64139=CIRCLE('',#351087,5.); #64140=CIRCLE('',#351088,4.); #64141=CIRCLE('',#351091,2.); #64142=CIRCLE('',#351092,3.); #64143=CIRCLE('',#351094,35.2842712474619); #64144=CIRCLE('',#351095,3.); #64145=CIRCLE('',#351096,3.); #64146=CIRCLE('',#351097,3.); #64147=CIRCLE('',#351098,3.); #64148=CIRCLE('',#351099,35.2842712474619); #64149=CIRCLE('',#351101,2.); #64150=CIRCLE('',#351104,2.); #64151=CIRCLE('',#351107,2.); #64152=CIRCLE('',#351110,2.); #64153=CIRCLE('',#351112,3.); #64154=CIRCLE('',#351113,2.); #64155=CIRCLE('',#351116,2.); #64156=CIRCLE('',#351117,3.); #64157=CIRCLE('',#351120,2.); #64158=CIRCLE('',#351121,3.); #64159=CIRCLE('',#351124,2.); #64160=CIRCLE('',#351125,3.); #64161=CIRCLE('',#351127,35.2842712474619); #64162=CIRCLE('',#351128,3.); #64163=CIRCLE('',#351129,4.); #64164=CIRCLE('',#351130,4.); #64165=CIRCLE('',#351131,3.); #64166=CIRCLE('',#351132,35.2842712474619); #64167=CIRCLE('',#351134,2.); #64168=CIRCLE('',#351137,5.); #64169=CIRCLE('',#351140,5.); #64170=CIRCLE('',#351143,2.); #64171=CIRCLE('',#351145,3.); #64172=CIRCLE('',#351146,2.); #64173=CIRCLE('',#351149,2.); #64174=CIRCLE('',#351150,3.); #64175=CIRCLE('',#351153,2.); #64176=CIRCLE('',#351154,3.); #64177=CIRCLE('',#351157,2.); #64178=CIRCLE('',#351158,3.); #64179=CIRCLE('',#351160,35.2842712474619); #64180=CIRCLE('',#351161,3.); #64181=CIRCLE('',#351162,4.); #64182=CIRCLE('',#351163,4.); #64183=CIRCLE('',#351164,3.); #64184=CIRCLE('',#351165,35.2842712474619); #64185=CIRCLE('',#351167,2.); #64186=CIRCLE('',#351170,5.); #64187=CIRCLE('',#351173,5.); #64188=CIRCLE('',#351176,2.); #64189=CIRCLE('',#351178,3.); #64190=CIRCLE('',#351179,2.); #64191=CIRCLE('',#351182,5.); #64192=CIRCLE('',#351183,4.); #64193=CIRCLE('',#351186,5.); #64194=CIRCLE('',#351187,4.); #64195=CIRCLE('',#351190,2.); #64196=CIRCLE('',#351191,3.); #64197=CIRCLE('',#351193,35.2842712474619); #64198=CIRCLE('',#351194,3.); #64199=CIRCLE('',#351195,3.); #64200=CIRCLE('',#351196,3.); #64201=CIRCLE('',#351197,3.); #64202=CIRCLE('',#351198,35.2842712474619); #64203=CIRCLE('',#351200,2.); #64204=CIRCLE('',#351203,2.); #64205=CIRCLE('',#351206,2.); #64206=CIRCLE('',#351209,2.); #64207=CIRCLE('',#351239,3.75); #64208=CIRCLE('',#351240,3.75); #64209=CIRCLE('',#351241,3.75); #64210=CIRCLE('',#351243,31.2842712474619); #64211=CIRCLE('',#351245,31.2842712474619); #64212=CIRCLE('',#351246,31.2842712474619); #64213=CIRCLE('',#351247,31.2842712474619); #64214=CIRCLE('',#351248,31.2842712474619); #64215=CIRCLE('',#351249,31.2842712474619); #64216=CIRCLE('',#351250,31.2842712474619); #64217=CIRCLE('',#351251,31.2842712474619); #64218=CIRCLE('',#351252,31.2842712474619); #64219=CIRCLE('',#351253,31.2842712474619); #64220=CIRCLE('',#351254,31.2842712474619); #64221=CIRCLE('',#351255,31.2842712474619); #64222=CIRCLE('',#351256,31.2842712474619); #64223=CIRCLE('',#351257,31.2842712474619); #64224=CIRCLE('',#351258,31.2842712474619); #64225=CIRCLE('',#351259,31.2842712474619); #64226=CIRCLE('',#351260,31.2842712474619); #64227=CIRCLE('',#351261,31.2842712474619); #64228=CIRCLE('',#351262,31.2842712474619); #64229=CIRCLE('',#351264,2.5); #64230=CIRCLE('',#351266,25.8000000000002); #64231=CIRCLE('',#351269,3.75); #64232=CIRCLE('',#351270,3.75); #64233=CIRCLE('',#351271,2.5); #64234=CIRCLE('',#351273,5.1); #64235=CIRCLE('',#351274,25.8000000000002); #64236=CIRCLE('',#351280,25.8000000000002); #64237=CIRCLE('',#351281,25.8000000000002); #64238=CIRCLE('',#351282,25.8000000000002); #64239=CIRCLE('',#351283,25.8000000000002); #64240=CIRCLE('',#351284,25.8000000000002); #64241=CIRCLE('',#351285,25.8000000000002); #64242=CIRCLE('',#351286,25.8000000000002); #64243=CIRCLE('',#351288,31.2842712474619); #64244=CIRCLE('',#351292,31.2842712474619); #64245=CIRCLE('',#351296,2.3); #64246=CIRCLE('',#351297,2.3); #64247=CIRCLE('',#351299,1.7); #64248=CIRCLE('',#351301,2.3); #64249=CIRCLE('',#351302,2.3); #64250=CIRCLE('',#351304,1.7); #64251=CIRCLE('',#351306,2.3); #64252=CIRCLE('',#351307,2.3); #64253=CIRCLE('',#351309,1.7); #64254=CIRCLE('',#351311,2.3); #64255=CIRCLE('',#351312,2.3); #64256=CIRCLE('',#351314,1.7); #64257=CIRCLE('',#351316,1.7); #64258=CIRCLE('',#351319,1.7); #64259=CIRCLE('',#351322,1.7); #64260=CIRCLE('',#351325,1.7); #64261=CIRCLE('',#351328,35.2842712474619); #64262=CIRCLE('',#351338,35.2842712474619); #64263=CIRCLE('',#351463,5.1); #64264=CIRCLE('',#351465,5.1); #64265=CIRCLE('',#351467,5.1); #64266=CIRCLE('',#351471,5.1); #64267=CIRCLE('',#351473,5.1); #64268=CIRCLE('',#351476,5.1); #64269=CIRCLE('',#351479,5.1); #64270=CIRCLE('',#351483,33.2842712474619); #64271=CIRCLE('',#351484,34.0342712474619); #64272=CIRCLE('',#351486,34.0342712474619); #64273=CIRCLE('',#351488,3.); #64274=CIRCLE('',#351489,3.); #64275=CIRCLE('',#351490,3.); #64276=CIRCLE('',#351491,3.); #64277=CIRCLE('',#351492,25.8000000000002); #64278=CIRCLE('',#351494,35.2842712474619); #64279=CIRCLE('',#351496,1.7); #64280=CIRCLE('',#351497,1.7); #64281=CIRCLE('',#351499,1.7); #64282=CIRCLE('',#351500,1.7); #64283=CIRCLE('',#351502,1.7); #64284=CIRCLE('',#351503,1.7); #64285=CIRCLE('',#351505,1.7); #64286=CIRCLE('',#351506,1.7); #64287=CIRCLE('',#351508,3.); #64288=CIRCLE('',#351511,3.); #64289=CIRCLE('',#351514,3.); #64290=CIRCLE('',#351517,3.); #64291=CIRCLE('',#351520,25.8000000000002); #64292=CIRCLE('',#351522,35.2842712474619); #64293=CIRCLE('',#351525,29.5); #64294=CIRCLE('',#351526,29.5); #64295=CIRCLE('',#351528,29.2342712474619); #64296=CIRCLE('',#351529,33.2342712474619); #64297=CIRCLE('',#351531,33.2342712474619); #64298=CIRCLE('',#351534,32.5); #64299=CIRCLE('',#351544,2.5); #64300=CIRCLE('',#351557,29.5); #64301=CIRCLE('',#351558,14.9500000149012); #64302=CIRCLE('',#351559,14.9500000149012); #64303=CIRCLE('',#351560,14.9500000149012); #64304=CIRCLE('',#351566,31.); #64305=CIRCLE('',#351567,31.); #64306=CIRCLE('',#351570,31.25); #64307=CIRCLE('',#351571,31.); #64308=CIRCLE('',#351572,32.); #64309=CIRCLE('',#351574,31.25); #64310=CIRCLE('',#351576,32.); #64311=CIRCLE('',#351577,31.); #64312=CIRCLE('',#351580,31.25); #64313=CIRCLE('',#351581,31.); #64314=CIRCLE('',#351582,32.); #64315=CIRCLE('',#351584,31.25); #64316=CIRCLE('',#351586,32.); #64317=CIRCLE('',#351587,31.); #64318=CIRCLE('',#351589,32.); #64319=CIRCLE('',#351590,31.25); #64320=CIRCLE('',#351591,31.); #64321=CIRCLE('',#351593,32.); #64322=CIRCLE('',#351596,31.); #64323=CIRCLE('',#351597,31.25); #64324=CIRCLE('',#351599,30.5); #64325=CIRCLE('',#351600,30.5); #64326=CIRCLE('',#351603,30.5); #64327=CIRCLE('',#351604,30.5); #64328=CIRCLE('',#351608,30.5); #64329=CIRCLE('',#351609,30.5); #64330=CIRCLE('',#351612,31.); #64331=CIRCLE('',#351614,31.); #64332=CIRCLE('',#351615,31.); #64333=CIRCLE('',#351617,31.); #64334=CIRCLE('',#351618,29.5); #64335=CIRCLE('',#351621,31.); #64336=CIRCLE('',#351622,31.); #64337=CIRCLE('',#351623,29.5); #64338=CIRCLE('',#351624,31.); #64339=CIRCLE('',#351628,31.); #64340=CIRCLE('',#351630,31.); #64341=CIRCLE('',#351633,31.); #64342=CIRCLE('',#351635,31.); #64343=CIRCLE('',#351637,31.); #64344=CIRCLE('',#351639,31.); #64345=CIRCLE('',#351640,31.); #64346=CIRCLE('',#351642,31.); #64347=CIRCLE('',#351643,31.); #64348=CIRCLE('',#351645,29.5); #64349=CIRCLE('',#351646,29.5); #64350=CIRCLE('',#351647,29.5); #64351=CIRCLE('',#351648,29.5); #64352=CIRCLE('',#351649,29.5); #64353=CIRCLE('',#351650,29.5); #64354=CIRCLE('',#351666,14.9500000149012); #64355=CIRCLE('',#351669,14.9500000149012); #64356=CIRCLE('',#351672,14.9500000149012); #64357=CIRCLE('',#351684,0.325); #64358=CIRCLE('',#351685,0.325); #64359=CIRCLE('',#351687,0.325); #64360=CIRCLE('',#351688,0.325); #64361=CIRCLE('',#351690,0.600000000000001); #64362=CIRCLE('',#351691,0.600000000000001); #64363=CIRCLE('',#351693,0.175000000000001); #64364=CIRCLE('',#351694,0.175000000000001); #64365=CIRCLE('',#351696,0.175000000000001); #64366=CIRCLE('',#351697,0.175000000000001); #64367=CIRCLE('',#351699,0.175000000000001); #64368=CIRCLE('',#351700,0.175000000000001); #64369=CIRCLE('',#351702,0.175000000000001); #64370=CIRCLE('',#351703,0.175000000000001); #64371=CIRCLE('',#351705,0.175000000000001); #64372=CIRCLE('',#351706,0.175000000000001); #64373=CIRCLE('',#351708,0.175000000000001); #64374=CIRCLE('',#351709,0.175000000000001); #64375=CIRCLE('',#351711,0.175000000000001); #64376=CIRCLE('',#351712,0.175000000000001); #64377=CIRCLE('',#351714,0.175000000000001); #64378=CIRCLE('',#351715,0.175000000000001); #64379=CIRCLE('',#351717,0.175000000000001); #64380=CIRCLE('',#351718,0.175000000000001); #64381=CIRCLE('',#351720,0.175000000000001); #64382=CIRCLE('',#351721,0.175000000000001); #64383=CIRCLE('',#351723,0.175000000000001); #64384=CIRCLE('',#351724,0.175000000000001); #64385=CIRCLE('',#351726,0.175000000000001); #64386=CIRCLE('',#351727,0.175000000000001); #64387=CIRCLE('',#351729,0.175000000000001); #64388=CIRCLE('',#351730,0.175000000000001); #64389=CIRCLE('',#351732,0.175000000000001); #64390=CIRCLE('',#351733,0.175000000000001); #64391=CIRCLE('',#351735,0.175000000000001); #64392=CIRCLE('',#351736,0.175000000000001); #64393=CIRCLE('',#351738,0.5); #64394=CIRCLE('',#351739,0.5); #64395=CIRCLE('',#351741,0.175000000000001); #64396=CIRCLE('',#351742,0.175000000000001); #64397=CIRCLE('',#351744,0.175000000000001); #64398=CIRCLE('',#351745,0.175000000000001); #64399=CIRCLE('',#351747,0.175000000000001); #64400=CIRCLE('',#351748,0.175000000000001); #64401=CIRCLE('',#351750,0.175000000000001); #64402=CIRCLE('',#351751,0.175000000000001); #64403=CIRCLE('',#351753,0.175000000000001); #64404=CIRCLE('',#351754,0.175000000000001); #64405=CIRCLE('',#351756,0.175000000000001); #64406=CIRCLE('',#351757,0.175000000000001); #64407=CIRCLE('',#351759,0.175000000000001); #64408=CIRCLE('',#351760,0.175000000000001); #64409=CIRCLE('',#351762,0.175000000000001); #64410=CIRCLE('',#351763,0.175000000000001); #64411=CIRCLE('',#351765,0.175000000000001); #64412=CIRCLE('',#351766,0.175000000000001); #64413=CIRCLE('',#351768,0.175000000000001); #64414=CIRCLE('',#351769,0.175000000000001); #64415=CIRCLE('',#351771,0.175000000000001); #64416=CIRCLE('',#351772,0.175000000000001); #64417=CIRCLE('',#351774,0.175000000000001); #64418=CIRCLE('',#351775,0.175000000000001); #64419=CIRCLE('',#351777,0.175000000000001); #64420=CIRCLE('',#351778,0.175000000000001); #64421=CIRCLE('',#351780,0.175000000000001); #64422=CIRCLE('',#351781,0.175000000000001); #64423=CIRCLE('',#351783,0.175000000000001); #64424=CIRCLE('',#351784,0.175000000000001); #64425=CIRCLE('',#351786,0.550000000000002); #64426=CIRCLE('',#351787,0.550000000000002); #64427=CIRCLE('',#351789,0.175000000000001); #64428=CIRCLE('',#351790,0.175000000000001); #64429=CIRCLE('',#351792,0.175000000000001); #64430=CIRCLE('',#351793,0.175000000000001); #64431=CIRCLE('',#351795,0.175000000000001); #64432=CIRCLE('',#351796,0.175000000000001); #64433=CIRCLE('',#351798,0.175000000000001); #64434=CIRCLE('',#351799,0.175000000000001); #64435=CIRCLE('',#351801,0.175000000000001); #64436=CIRCLE('',#351802,0.175000000000001); #64437=CIRCLE('',#351804,0.175000000000001); #64438=CIRCLE('',#351805,0.175000000000001); #64439=CIRCLE('',#351807,0.175000000000001); #64440=CIRCLE('',#351808,0.175000000000001); #64441=CIRCLE('',#351810,0.175000000000001); #64442=CIRCLE('',#351811,0.175000000000001); #64443=CIRCLE('',#351813,0.175000000000001); #64444=CIRCLE('',#351814,0.175000000000001); #64445=CIRCLE('',#351816,0.175000000000001); #64446=CIRCLE('',#351817,0.175000000000001); #64447=CIRCLE('',#351819,0.175000000000001); #64448=CIRCLE('',#351820,0.175000000000001); #64449=CIRCLE('',#351822,0.175000000000001); #64450=CIRCLE('',#351823,0.175000000000001); #64451=CIRCLE('',#351825,0.175000000000001); #64452=CIRCLE('',#351826,0.175000000000001); #64453=CIRCLE('',#351828,0.175000000000001); #64454=CIRCLE('',#351829,0.175000000000001); #64455=CIRCLE('',#351831,0.175000000000001); #64456=CIRCLE('',#351832,0.175000000000001); #64457=CIRCLE('',#351834,0.5); #64458=CIRCLE('',#351835,0.5); #64459=CIRCLE('',#351837,0.175000000000001); #64460=CIRCLE('',#351838,0.175000000000001); #64461=CIRCLE('',#351840,0.175000000000001); #64462=CIRCLE('',#351841,0.175000000000001); #64463=CIRCLE('',#351843,0.175000000000001); #64464=CIRCLE('',#351844,0.175000000000001); #64465=CIRCLE('',#351846,0.175000000000001); #64466=CIRCLE('',#351847,0.175000000000001); #64467=CIRCLE('',#351849,0.175000000000001); #64468=CIRCLE('',#351850,0.175000000000001); #64469=CIRCLE('',#351852,0.175000000000001); #64470=CIRCLE('',#351853,0.175000000000001); #64471=CIRCLE('',#351855,0.175000000000001); #64472=CIRCLE('',#351856,0.175000000000001); #64473=CIRCLE('',#351858,0.175000000000001); #64474=CIRCLE('',#351859,0.175000000000001); #64475=CIRCLE('',#351861,0.175000000000001); #64476=CIRCLE('',#351862,0.175000000000001); #64477=CIRCLE('',#351864,0.175000000000001); #64478=CIRCLE('',#351865,0.175000000000001); #64479=CIRCLE('',#351867,0.175000000000001); #64480=CIRCLE('',#351868,0.175000000000001); #64481=CIRCLE('',#351870,0.175000000000001); #64482=CIRCLE('',#351871,0.175000000000001); #64483=CIRCLE('',#351873,0.175000000000001); #64484=CIRCLE('',#351874,0.175000000000001); #64485=CIRCLE('',#351876,0.175000000000001); #64486=CIRCLE('',#351877,0.175000000000001); #64487=CIRCLE('',#351879,0.175000000000001); #64488=CIRCLE('',#351880,0.175000000000001); #64489=CIRCLE('',#351882,0.600000000000001); #64490=CIRCLE('',#351883,0.600000000000001); #64491=CIRCLE('',#351885,0.175000000000001); #64492=CIRCLE('',#351886,0.175000000000001); #64493=CIRCLE('',#351888,0.175000000000001); #64494=CIRCLE('',#351889,0.175000000000001); #64495=CIRCLE('',#351891,0.175000000000001); #64496=CIRCLE('',#351892,0.175000000000001); #64497=CIRCLE('',#351894,0.175000000000001); #64498=CIRCLE('',#351895,0.175000000000001); #64499=CIRCLE('',#351897,0.175000000000001); #64500=CIRCLE('',#351898,0.175000000000001); #64501=CIRCLE('',#351900,0.175); #64502=CIRCLE('',#351901,0.175); #64503=CIRCLE('',#351903,0.175000000000001); #64504=CIRCLE('',#351904,0.175000000000001); #64505=CIRCLE('',#351906,0.175000000000001); #64506=CIRCLE('',#351907,0.175000000000001); #64507=CIRCLE('',#351909,0.175000000000001); #64508=CIRCLE('',#351910,0.175000000000001); #64509=CIRCLE('',#351912,0.175000000000001); #64510=CIRCLE('',#351913,0.175000000000001); #64511=CIRCLE('',#351915,0.175000000000001); #64512=CIRCLE('',#351916,0.175000000000001); #64513=CIRCLE('',#351918,0.175000000000001); #64514=CIRCLE('',#351919,0.175000000000001); #64515=CIRCLE('',#351921,0.175000000000001); #64516=CIRCLE('',#351922,0.175000000000001); #64517=CIRCLE('',#351924,0.175); #64518=CIRCLE('',#351925,0.175); #64519=CIRCLE('',#351927,0.175000000000001); #64520=CIRCLE('',#351928,0.175000000000001); #64521=CIRCLE('',#351930,0.175000000000001); #64522=CIRCLE('',#351931,0.175000000000001); #64523=CIRCLE('',#351933,0.175000000000001); #64524=CIRCLE('',#351934,0.175000000000001); #64525=CIRCLE('',#351936,0.175000000000001); #64526=CIRCLE('',#351937,0.175000000000001); #64527=CIRCLE('',#351939,0.175000000000001); #64528=CIRCLE('',#351940,0.175000000000001); #64529=CIRCLE('',#351942,0.175000000000001); #64530=CIRCLE('',#351943,0.175000000000001); #64531=CIRCLE('',#351945,0.175000000000001); #64532=CIRCLE('',#351946,0.175000000000001); #64533=CIRCLE('',#351948,0.175000000000001); #64534=CIRCLE('',#351949,0.175000000000001); #64535=CIRCLE('',#351951,0.175000000000001); #64536=CIRCLE('',#351952,0.175000000000001); #64537=CIRCLE('',#351954,0.175000000000001); #64538=CIRCLE('',#351955,0.175000000000001); #64539=CIRCLE('',#351957,0.175000000000001); #64540=CIRCLE('',#351958,0.175000000000001); #64541=CIRCLE('',#351960,0.175000000000001); #64542=CIRCLE('',#351961,0.175000000000001); #64543=CIRCLE('',#351963,0.175000000000001); #64544=CIRCLE('',#351964,0.175000000000001); #64545=CIRCLE('',#351966,0.175000000000001); #64546=CIRCLE('',#351967,0.175000000000001); #64547=CIRCLE('',#351969,0.175000000000001); #64548=CIRCLE('',#351970,0.175000000000001); #64549=CIRCLE('',#351972,0.175000000000001); #64550=CIRCLE('',#351973,0.175000000000001); #64551=CIRCLE('',#351975,0.175000000000001); #64552=CIRCLE('',#351976,0.175000000000001); #64553=CIRCLE('',#351978,0.499999999999998); #64554=CIRCLE('',#351979,0.499999999999998); #64555=CIRCLE('',#351981,0.175000000000001); #64556=CIRCLE('',#351982,0.175000000000001); #64557=CIRCLE('',#351984,0.175000000000001); #64558=CIRCLE('',#351985,0.175000000000001); #64559=CIRCLE('',#351987,0.175000000000001); #64560=CIRCLE('',#351988,0.175000000000001); #64561=CIRCLE('',#351990,0.175000000000001); #64562=CIRCLE('',#351991,0.175000000000001); #64563=CIRCLE('',#351993,0.175000000000001); #64564=CIRCLE('',#351994,0.175000000000001); #64565=CIRCLE('',#351996,0.175000000000001); #64566=CIRCLE('',#351997,0.175000000000001); #64567=CIRCLE('',#351999,0.175000000000001); #64568=CIRCLE('',#352000,0.175000000000001); #64569=CIRCLE('',#352002,0.175000000000001); #64570=CIRCLE('',#352003,0.175000000000001); #64571=CIRCLE('',#352005,0.175000000000001); #64572=CIRCLE('',#352006,0.175000000000001); #64573=CIRCLE('',#352008,0.175000000000001); #64574=CIRCLE('',#352009,0.175000000000001); #64575=CIRCLE('',#352011,0.175000000000001); #64576=CIRCLE('',#352012,0.175000000000001); #64577=CIRCLE('',#352014,0.175000000000001); #64578=CIRCLE('',#352015,0.175000000000001); #64579=CIRCLE('',#352017,0.175000000000001); #64580=CIRCLE('',#352018,0.175000000000001); #64581=CIRCLE('',#352020,0.175000000000001); #64582=CIRCLE('',#352021,0.175000000000001); #64583=CIRCLE('',#352023,0.175000000000001); #64584=CIRCLE('',#352024,0.175000000000001); #64585=CIRCLE('',#352026,0.499999999999998); #64586=CIRCLE('',#352027,0.499999999999998); #64587=CIRCLE('',#352029,0.175000000000001); #64588=CIRCLE('',#352030,0.175000000000001); #64589=CIRCLE('',#352032,0.175000000000001); #64590=CIRCLE('',#352033,0.175000000000001); #64591=CIRCLE('',#352035,0.175000000000001); #64592=CIRCLE('',#352036,0.175000000000001); #64593=CIRCLE('',#352038,0.175000000000001); #64594=CIRCLE('',#352039,0.175000000000001); #64595=CIRCLE('',#352041,0.175000000000001); #64596=CIRCLE('',#352042,0.175000000000001); #64597=CIRCLE('',#352044,0.175000000000001); #64598=CIRCLE('',#352045,0.175000000000001); #64599=CIRCLE('',#352047,0.175000000000001); #64600=CIRCLE('',#352048,0.175000000000001); #64601=CIRCLE('',#352050,0.175000000000001); #64602=CIRCLE('',#352051,0.175000000000001); #64603=CIRCLE('',#352053,0.175000000000001); #64604=CIRCLE('',#352054,0.175000000000001); #64605=CIRCLE('',#352056,0.175000000000001); #64606=CIRCLE('',#352057,0.175000000000001); #64607=CIRCLE('',#352059,0.175000000000001); #64608=CIRCLE('',#352060,0.175000000000001); #64609=CIRCLE('',#352062,0.175000000000001); #64610=CIRCLE('',#352063,0.175000000000001); #64611=CIRCLE('',#352065,0.175000000000001); #64612=CIRCLE('',#352066,0.175000000000001); #64613=CIRCLE('',#352068,0.175000000000001); #64614=CIRCLE('',#352069,0.175000000000001); #64615=CIRCLE('',#352071,0.175000000000001); #64616=CIRCLE('',#352072,0.175000000000001); #64617=CIRCLE('',#352074,0.550000000000002); #64618=CIRCLE('',#352075,0.550000000000002); #64619=CIRCLE('',#352077,0.150000000000001); #64620=CIRCLE('',#352078,0.150000000000001); #64621=CIRCLE('',#352080,0.175); #64622=CIRCLE('',#352081,0.175); #64623=CIRCLE('',#352083,0.150000000000001); #64624=CIRCLE('',#352084,0.150000000000001); #64625=CIRCLE('',#352086,0.175000000000001); #64626=CIRCLE('',#352087,0.175000000000001); #64627=CIRCLE('',#352089,0.175000000000001); #64628=CIRCLE('',#352090,0.175000000000001); #64629=CIRCLE('',#352092,0.175); #64630=CIRCLE('',#352093,0.175); #64631=CIRCLE('',#352095,0.150000000000001); #64632=CIRCLE('',#352096,0.150000000000001); #64633=CIRCLE('',#352098,0.175000000000001); #64634=CIRCLE('',#352099,0.175000000000001); #64635=CIRCLE('',#352101,0.175000000000001); #64636=CIRCLE('',#352102,0.175000000000001); #64637=CIRCLE('',#352104,0.175); #64638=CIRCLE('',#352105,0.175); #64639=CIRCLE('',#352107,0.175000000000001); #64640=CIRCLE('',#352108,0.175000000000001); #64641=CIRCLE('',#352110,0.175000000000001); #64642=CIRCLE('',#352111,0.175000000000001); #64643=CIRCLE('',#352113,0.175000000000001); #64644=CIRCLE('',#352114,0.175000000000001); #64645=CIRCLE('',#352116,0.175); #64646=CIRCLE('',#352117,0.175); #64647=CIRCLE('',#352119,0.175000000000001); #64648=CIRCLE('',#352120,0.175000000000001); #64649=CIRCLE('',#352122,0.175000000000001); #64650=CIRCLE('',#352123,0.175000000000001); #64651=CIRCLE('',#352125,0.175000000000001); #64652=CIRCLE('',#352126,0.175000000000001); #64653=CIRCLE('',#352128,0.175); #64654=CIRCLE('',#352129,0.175); #64655=CIRCLE('',#352131,0.175000000000001); #64656=CIRCLE('',#352132,0.175000000000001); #64657=CIRCLE('',#352134,0.175000000000001); #64658=CIRCLE('',#352135,0.175000000000001); #64659=CIRCLE('',#352137,0.175000000000001); #64660=CIRCLE('',#352138,0.175000000000001); #64661=CIRCLE('',#352140,0.175000000000001); #64662=CIRCLE('',#352141,0.175000000000001); #64663=CIRCLE('',#352143,0.175000000000001); #64664=CIRCLE('',#352144,0.175000000000001); #64665=CIRCLE('',#352146,0.175000000000001); #64666=CIRCLE('',#352147,0.175000000000001); #64667=CIRCLE('',#352149,0.175000000000001); #64668=CIRCLE('',#352150,0.175000000000001); #64669=CIRCLE('',#352152,0.175); #64670=CIRCLE('',#352153,0.175); #64671=CIRCLE('',#352155,0.175000000000001); #64672=CIRCLE('',#352156,0.175000000000001); #64673=CIRCLE('',#352158,0.175000000000001); #64674=CIRCLE('',#352159,0.175000000000001); #64675=CIRCLE('',#352161,0.175000000000001); #64676=CIRCLE('',#352162,0.175000000000001); #64677=CIRCLE('',#352164,0.175); #64678=CIRCLE('',#352165,0.175); #64679=CIRCLE('',#352167,0.175000000000001); #64680=CIRCLE('',#352168,0.175000000000001); #64681=CIRCLE('',#352170,0.499999999999998); #64682=CIRCLE('',#352171,0.499999999999998); #64683=CIRCLE('',#352174,0.35); #64684=CIRCLE('',#352175,0.35); #64685=CIRCLE('',#352178,0.35); #64686=CIRCLE('',#352179,0.35); #64687=CIRCLE('',#352181,0.175000000000001); #64688=CIRCLE('',#352182,0.175000000000001); #64689=CIRCLE('',#352185,0.6); #64690=CIRCLE('',#352186,0.6); #64691=CIRCLE('',#352189,0.6); #64692=CIRCLE('',#352190,0.6); #64693=CIRCLE('',#352192,0.175000000000001); #64694=CIRCLE('',#352193,0.175000000000001); #64695=CIRCLE('',#352195,0.150000000000001); #64696=CIRCLE('',#352196,0.150000000000001); #64697=CIRCLE('',#352198,0.175000000000001); #64698=CIRCLE('',#352199,0.175000000000001); #64699=CIRCLE('',#352202,0.6); #64700=CIRCLE('',#352203,0.6); #64701=CIRCLE('',#352206,0.6); #64702=CIRCLE('',#352207,0.6); #64703=CIRCLE('',#352209,0.175000000000001); #64704=CIRCLE('',#352210,0.175000000000001); #64705=CIRCLE('',#352212,0.150000000000001); #64706=CIRCLE('',#352213,0.150000000000001); #64707=CIRCLE('',#352215,0.175000000000001); #64708=CIRCLE('',#352216,0.175000000000001); #64709=CIRCLE('',#352218,0.150000000000001); #64710=CIRCLE('',#352219,0.150000000000001); #64711=CIRCLE('',#352221,0.175000000000001); #64712=CIRCLE('',#352222,0.175000000000001); #64713=CIRCLE('',#352224,0.150000000000001); #64714=CIRCLE('',#352225,0.150000000000001); #64715=CIRCLE('',#352227,0.175000000000001); #64716=CIRCLE('',#352228,0.175000000000001); #64717=CIRCLE('',#352230,0.150000000000001); #64718=CIRCLE('',#352231,0.150000000000001); #64719=CIRCLE('',#352233,0.175000000000001); #64720=CIRCLE('',#352234,0.175000000000001); #64721=CIRCLE('',#352236,0.175000000000001); #64722=CIRCLE('',#352237,0.175000000000001); #64723=CIRCLE('',#352240,0.35); #64724=CIRCLE('',#352241,0.35); #64725=CIRCLE('',#352244,0.35); #64726=CIRCLE('',#352245,0.35); #64727=CIRCLE('',#352247,0.175000000000001); #64728=CIRCLE('',#352248,0.175000000000001); #64729=CIRCLE('',#352251,0.35); #64730=CIRCLE('',#352252,0.35); #64731=CIRCLE('',#352255,0.35); #64732=CIRCLE('',#352256,0.35); #64733=CIRCLE('',#352258,0.175000000000001); #64734=CIRCLE('',#352259,0.175000000000001); #64735=CIRCLE('',#352262,0.35); #64736=CIRCLE('',#352263,0.35); #64737=CIRCLE('',#352266,0.35); #64738=CIRCLE('',#352267,0.35); #64739=CIRCLE('',#352269,0.175000000000001); #64740=CIRCLE('',#352270,0.175000000000001); #64741=CIRCLE('',#352272,0.175000000000001); #64742=CIRCLE('',#352273,0.175000000000001); #64743=CIRCLE('',#352275,0.175000000000001); #64744=CIRCLE('',#352276,0.175000000000001); #64745=CIRCLE('',#352278,0.175000000000001); #64746=CIRCLE('',#352279,0.175000000000001); #64747=CIRCLE('',#352281,0.600000000000001); #64748=CIRCLE('',#352282,0.600000000000001); #64749=CIRCLE('',#352284,0.175000000000001); #64750=CIRCLE('',#352285,0.175000000000001); #64751=CIRCLE('',#352287,0.175000000000001); #64752=CIRCLE('',#352288,0.175000000000001); #64753=CIRCLE('',#352290,0.175000000000001); #64754=CIRCLE('',#352291,0.175000000000001); #64755=CIRCLE('',#352293,0.175000000000001); #64756=CIRCLE('',#352294,0.175000000000001); #64757=CIRCLE('',#352296,0.175000000000001); #64758=CIRCLE('',#352297,0.175000000000001); #64759=CIRCLE('',#352299,0.175000000000001); #64760=CIRCLE('',#352300,0.175000000000001); #64761=CIRCLE('',#352302,0.175000000000001); #64762=CIRCLE('',#352303,0.175000000000001); #64763=CIRCLE('',#352305,0.175000000000001); #64764=CIRCLE('',#352306,0.175000000000001); #64765=CIRCLE('',#352308,0.175000000000001); #64766=CIRCLE('',#352309,0.175000000000001); #64767=CIRCLE('',#352311,0.175000000000001); #64768=CIRCLE('',#352312,0.175000000000001); #64769=CIRCLE('',#352314,0.175000000000001); #64770=CIRCLE('',#352315,0.175000000000001); #64771=CIRCLE('',#352317,0.175000000000001); #64772=CIRCLE('',#352318,0.175000000000001); #64773=CIRCLE('',#352320,0.175000000000001); #64774=CIRCLE('',#352321,0.175000000000001); #64775=CIRCLE('',#352323,0.175000000000001); #64776=CIRCLE('',#352324,0.175000000000001); #64777=CIRCLE('',#352326,0.175000000000001); #64778=CIRCLE('',#352327,0.175000000000001); #64779=CIRCLE('',#352329,0.499999999999998); #64780=CIRCLE('',#352330,0.499999999999998); #64781=CIRCLE('',#352332,0.175000000000001); #64782=CIRCLE('',#352333,0.175000000000001); #64783=CIRCLE('',#352335,0.175000000000001); #64784=CIRCLE('',#352336,0.175000000000001); #64785=CIRCLE('',#352338,0.175000000000001); #64786=CIRCLE('',#352339,0.175000000000001); #64787=CIRCLE('',#352341,0.175000000000001); #64788=CIRCLE('',#352342,0.175000000000001); #64789=CIRCLE('',#352344,0.175000000000001); #64790=CIRCLE('',#352345,0.175000000000001); #64791=CIRCLE('',#352347,0.175000000000001); #64792=CIRCLE('',#352348,0.175000000000001); #64793=CIRCLE('',#352350,0.175000000000001); #64794=CIRCLE('',#352351,0.175000000000001); #64795=CIRCLE('',#352353,0.175000000000001); #64796=CIRCLE('',#352354,0.175000000000001); #64797=CIRCLE('',#352356,0.175000000000001); #64798=CIRCLE('',#352357,0.175000000000001); #64799=CIRCLE('',#352359,0.175000000000001); #64800=CIRCLE('',#352360,0.175000000000001); #64801=CIRCLE('',#352362,0.175000000000001); #64802=CIRCLE('',#352363,0.175000000000001); #64803=CIRCLE('',#352365,0.175000000000001); #64804=CIRCLE('',#352366,0.175000000000001); #64805=CIRCLE('',#352368,0.175000000000001); #64806=CIRCLE('',#352369,0.175000000000001); #64807=CIRCLE('',#352371,0.175000000000001); #64808=CIRCLE('',#352372,0.175000000000001); #64809=CIRCLE('',#352374,0.175000000000001); #64810=CIRCLE('',#352375,0.175000000000001); #64811=CIRCLE('',#352377,0.549999999999997); #64812=CIRCLE('',#352378,0.549999999999997); #64813=CIRCLE('',#352380,0.175000000000001); #64814=CIRCLE('',#352381,0.175000000000001); #64815=CIRCLE('',#352383,0.175000000000001); #64816=CIRCLE('',#352384,0.175000000000001); #64817=CIRCLE('',#352386,0.175000000000001); #64818=CIRCLE('',#352387,0.175000000000001); #64819=CIRCLE('',#352389,0.175000000000001); #64820=CIRCLE('',#352390,0.175000000000001); #64821=CIRCLE('',#352392,0.175000000000001); #64822=CIRCLE('',#352393,0.175000000000001); #64823=CIRCLE('',#352395,0.175000000000001); #64824=CIRCLE('',#352396,0.175000000000001); #64825=CIRCLE('',#352398,0.175000000000001); #64826=CIRCLE('',#352399,0.175000000000001); #64827=CIRCLE('',#352401,0.175000000000001); #64828=CIRCLE('',#352402,0.175000000000001); #64829=CIRCLE('',#352404,0.175000000000001); #64830=CIRCLE('',#352405,0.175000000000001); #64831=CIRCLE('',#352407,0.175000000000001); #64832=CIRCLE('',#352408,0.175000000000001); #64833=CIRCLE('',#352410,0.175000000000001); #64834=CIRCLE('',#352411,0.175000000000001); #64835=CIRCLE('',#352413,0.175000000000001); #64836=CIRCLE('',#352414,0.175000000000001); #64837=CIRCLE('',#352416,0.175000000000001); #64838=CIRCLE('',#352417,0.175000000000001); #64839=CIRCLE('',#352419,0.175000000000001); #64840=CIRCLE('',#352420,0.175000000000001); #64841=CIRCLE('',#352422,0.175000000000001); #64842=CIRCLE('',#352423,0.175000000000001); #64843=CIRCLE('',#352425,0.499999999999998); #64844=CIRCLE('',#352426,0.499999999999998); #64845=CIRCLE('',#352428,0.175000000000001); #64846=CIRCLE('',#352429,0.175000000000001); #64847=CIRCLE('',#352431,0.175000000000001); #64848=CIRCLE('',#352432,0.175000000000001); #64849=CIRCLE('',#352434,0.175000000000001); #64850=CIRCLE('',#352435,0.175000000000001); #64851=CIRCLE('',#352437,0.175000000000001); #64852=CIRCLE('',#352438,0.175000000000001); #64853=CIRCLE('',#352440,0.175000000000001); #64854=CIRCLE('',#352441,0.175000000000001); #64855=CIRCLE('',#352443,0.175000000000001); #64856=CIRCLE('',#352444,0.175000000000001); #64857=CIRCLE('',#352446,0.175000000000001); #64858=CIRCLE('',#352447,0.175000000000001); #64859=CIRCLE('',#352449,0.175000000000001); #64860=CIRCLE('',#352450,0.175000000000001); #64861=CIRCLE('',#352452,0.175000000000001); #64862=CIRCLE('',#352453,0.175000000000001); #64863=CIRCLE('',#352455,0.175000000000001); #64864=CIRCLE('',#352456,0.175000000000001); #64865=CIRCLE('',#352458,0.175000000000001); #64866=CIRCLE('',#352459,0.175000000000001); #64867=CIRCLE('',#352461,0.175000000000001); #64868=CIRCLE('',#352462,0.175000000000001); #64869=CIRCLE('',#352464,0.175000000000001); #64870=CIRCLE('',#352465,0.175000000000001); #64871=CIRCLE('',#352467,0.175000000000001); #64872=CIRCLE('',#352468,0.175000000000001); #64873=CIRCLE('',#352470,0.175000000000001); #64874=CIRCLE('',#352471,0.175000000000001); #64875=CIRCLE('',#352473,0.600000000000001); #64876=CIRCLE('',#352474,0.600000000000001); #64877=CIRCLE('',#352476,0.175000000000001); #64878=CIRCLE('',#352477,0.175000000000001); #64879=CIRCLE('',#352479,0.175000000000001); #64880=CIRCLE('',#352480,0.175000000000001); #64881=CIRCLE('',#352482,0.175000000000001); #64882=CIRCLE('',#352483,0.175000000000001); #64883=CIRCLE('',#352485,0.175000000000001); #64884=CIRCLE('',#352486,0.175000000000001); #64885=CIRCLE('',#352488,0.175000000000001); #64886=CIRCLE('',#352489,0.175000000000001); #64887=CIRCLE('',#352491,0.175000000000001); #64888=CIRCLE('',#352492,0.175000000000001); #64889=CIRCLE('',#352494,0.175000000000001); #64890=CIRCLE('',#352495,0.175000000000001); #64891=CIRCLE('',#352497,0.175000000000001); #64892=CIRCLE('',#352498,0.175000000000001); #64893=CIRCLE('',#352500,0.175000000000001); #64894=CIRCLE('',#352501,0.175000000000001); #64895=CIRCLE('',#352503,0.175000000000001); #64896=CIRCLE('',#352504,0.175000000000001); #64897=CIRCLE('',#352506,0.175000000000001); #64898=CIRCLE('',#352507,0.175000000000001); #64899=CIRCLE('',#352509,0.175000000000001); #64900=CIRCLE('',#352510,0.175000000000001); #64901=CIRCLE('',#352512,0.175000000000001); #64902=CIRCLE('',#352513,0.175000000000001); #64903=CIRCLE('',#352515,0.175000000000001); #64904=CIRCLE('',#352516,0.175000000000001); #64905=CIRCLE('',#352518,0.175000000000001); #64906=CIRCLE('',#352519,0.175000000000001); #64907=CIRCLE('',#352521,0.499999999999998); #64908=CIRCLE('',#352522,0.499999999999998); #64909=CIRCLE('',#352524,0.175000000000001); #64910=CIRCLE('',#352525,0.175000000000001); #64911=CIRCLE('',#352527,0.175000000000001); #64912=CIRCLE('',#352528,0.175000000000001); #64913=CIRCLE('',#352530,0.175000000000001); #64914=CIRCLE('',#352531,0.175000000000001); #64915=CIRCLE('',#352533,0.175000000000001); #64916=CIRCLE('',#352534,0.175000000000001); #64917=CIRCLE('',#352536,0.175000000000001); #64918=CIRCLE('',#352537,0.175000000000001); #64919=CIRCLE('',#352539,0.175000000000001); #64920=CIRCLE('',#352540,0.175000000000001); #64921=CIRCLE('',#352542,0.175000000000001); #64922=CIRCLE('',#352543,0.175000000000001); #64923=CIRCLE('',#352545,0.175000000000001); #64924=CIRCLE('',#352546,0.175000000000001); #64925=CIRCLE('',#352548,0.175000000000001); #64926=CIRCLE('',#352549,0.175000000000001); #64927=CIRCLE('',#352551,0.175000000000001); #64928=CIRCLE('',#352552,0.175000000000001); #64929=CIRCLE('',#352554,0.175000000000001); #64930=CIRCLE('',#352555,0.175000000000001); #64931=CIRCLE('',#352557,0.175000000000001); #64932=CIRCLE('',#352558,0.175000000000001); #64933=CIRCLE('',#352560,0.175000000000001); #64934=CIRCLE('',#352561,0.175000000000001); #64935=CIRCLE('',#352563,0.175000000000001); #64936=CIRCLE('',#352564,0.175000000000001); #64937=CIRCLE('',#352566,0.175000000000001); #64938=CIRCLE('',#352567,0.175000000000001); #64939=CIRCLE('',#352569,0.549999999999997); #64940=CIRCLE('',#352570,0.549999999999997); #64941=CIRCLE('',#352572,0.175000000000001); #64942=CIRCLE('',#352573,0.175000000000001); #64943=CIRCLE('',#352575,0.175000000000001); #64944=CIRCLE('',#352576,0.175000000000001); #64945=CIRCLE('',#352578,0.175000000000001); #64946=CIRCLE('',#352579,0.175000000000001); #64947=CIRCLE('',#352581,0.175000000000001); #64948=CIRCLE('',#352582,0.175000000000001); #64949=CIRCLE('',#352584,0.175000000000001); #64950=CIRCLE('',#352585,0.175000000000001); #64951=CIRCLE('',#352587,0.175000000000001); #64952=CIRCLE('',#352588,0.175000000000001); #64953=CIRCLE('',#352590,0.175000000000001); #64954=CIRCLE('',#352591,0.175000000000001); #64955=CIRCLE('',#352593,0.175000000000001); #64956=CIRCLE('',#352594,0.175000000000001); #64957=CIRCLE('',#352596,0.175000000000001); #64958=CIRCLE('',#352597,0.175000000000001); #64959=CIRCLE('',#352599,0.175000000000001); #64960=CIRCLE('',#352600,0.175000000000001); #64961=CIRCLE('',#352602,0.175000000000001); #64962=CIRCLE('',#352603,0.175000000000001); #64963=CIRCLE('',#352605,0.175000000000001); #64964=CIRCLE('',#352606,0.175000000000001); #64965=CIRCLE('',#352608,0.175000000000001); #64966=CIRCLE('',#352609,0.175000000000001); #64967=CIRCLE('',#352611,0.175000000000001); #64968=CIRCLE('',#352612,0.175000000000001); #64969=CIRCLE('',#352614,0.175000000000001); #64970=CIRCLE('',#352615,0.175000000000001); #64971=CIRCLE('',#352617,0.499999999999998); #64972=CIRCLE('',#352618,0.499999999999998); #64973=CIRCLE('',#352620,0.175000000000001); #64974=CIRCLE('',#352621,0.175000000000001); #64975=CIRCLE('',#352623,0.175000000000001); #64976=CIRCLE('',#352624,0.175000000000001); #64977=CIRCLE('',#352626,0.175000000000001); #64978=CIRCLE('',#352627,0.175000000000001); #64979=CIRCLE('',#352629,0.175000000000001); #64980=CIRCLE('',#352630,0.175000000000001); #64981=CIRCLE('',#352632,0.175000000000001); #64982=CIRCLE('',#352633,0.175000000000001); #64983=CIRCLE('',#352635,0.175000000000001); #64984=CIRCLE('',#352636,0.175000000000001); #64985=CIRCLE('',#352638,0.175000000000001); #64986=CIRCLE('',#352639,0.175000000000001); #64987=CIRCLE('',#352641,0.175000000000001); #64988=CIRCLE('',#352642,0.175000000000001); #64989=CIRCLE('',#352644,0.175000000000001); #64990=CIRCLE('',#352645,0.175000000000001); #64991=CIRCLE('',#352647,0.175000000000001); #64992=CIRCLE('',#352648,0.175000000000001); #64993=CIRCLE('',#352650,0.175000000000001); #64994=CIRCLE('',#352651,0.175000000000001); #64995=CIRCLE('',#352653,0.175000000000001); #64996=CIRCLE('',#352654,0.175000000000001); #64997=CIRCLE('',#352656,0.175000000000001); #64998=CIRCLE('',#352657,0.175000000000001); #64999=CIRCLE('',#352659,0.175000000000001); #65000=CIRCLE('',#352660,0.175000000000001); #65001=CIRCLE('',#352662,0.175000000000001); #65002=CIRCLE('',#352663,0.175000000000001); #65003=CIRCLE('',#352665,34.); #65004=CIRCLE('',#352666,34.); #65005=CIRCLE('',#352670,0.14); #65006=CIRCLE('',#352671,0.14); #65007=CIRCLE('',#352673,0.175000000000001); #65008=CIRCLE('',#352674,0.175000000000001); #65009=CIRCLE('',#352678,0.14); #65010=CIRCLE('',#352679,0.14); #65011=CIRCLE('',#352681,0.175000000000001); #65012=CIRCLE('',#352682,0.175000000000001); #65013=CIRCLE('',#352686,0.14); #65014=CIRCLE('',#352687,0.14); #65015=CIRCLE('',#352689,0.175000000000001); #65016=CIRCLE('',#352690,0.175000000000001); #65017=CIRCLE('',#352694,0.139999999999998); #65018=CIRCLE('',#352695,0.139999999999998); #65019=CIRCLE('',#352697,0.175000000000001); #65020=CIRCLE('',#352698,0.175000000000001); #65021=CIRCLE('',#352702,0.139999999999998); #65022=CIRCLE('',#352703,0.139999999999998); #65023=CIRCLE('',#352705,0.175000000000001); #65024=CIRCLE('',#352706,0.175000000000001); #65025=CIRCLE('',#352710,0.14); #65026=CIRCLE('',#352711,0.14); #65027=CIRCLE('',#352713,0.175000000000001); #65028=CIRCLE('',#352714,0.175000000000001); #65029=CIRCLE('',#352718,0.140000000000002); #65030=CIRCLE('',#352719,0.140000000000002); #65031=CIRCLE('',#352721,0.175000000000001); #65032=CIRCLE('',#352722,0.175000000000001); #65033=CIRCLE('',#352726,0.139999999999998); #65034=CIRCLE('',#352727,0.139999999999998); #65035=CIRCLE('',#352729,0.175000000000001); #65036=CIRCLE('',#352730,0.175000000000001); #65037=CIRCLE('',#352734,0.139999999999998); #65038=CIRCLE('',#352735,0.139999999999998); #65039=CIRCLE('',#352737,0.175000000000001); #65040=CIRCLE('',#352738,0.175000000000001); #65041=CIRCLE('',#352742,0.14); #65042=CIRCLE('',#352743,0.14); #65043=CIRCLE('',#352745,0.175000000000001); #65044=CIRCLE('',#352746,0.175000000000001); #65045=CIRCLE('',#352750,0.139999999999998); #65046=CIRCLE('',#352751,0.139999999999998); #65047=CIRCLE('',#352753,0.175000000000001); #65048=CIRCLE('',#352754,0.175000000000001); #65049=CIRCLE('',#352758,0.139999999999998); #65050=CIRCLE('',#352759,0.139999999999998); #65051=CIRCLE('',#352761,0.175000000000001); #65052=CIRCLE('',#352762,0.175000000000001); #65053=CIRCLE('',#352766,0.140000000000002); #65054=CIRCLE('',#352767,0.140000000000002); #65055=CIRCLE('',#352769,0.175000000000001); #65056=CIRCLE('',#352770,0.175000000000001); #65057=CIRCLE('',#352774,0.14); #65058=CIRCLE('',#352775,0.14); #65059=CIRCLE('',#352777,0.175000000000001); #65060=CIRCLE('',#352778,0.175000000000001); #65061=CIRCLE('',#352782,0.139999999999998); #65062=CIRCLE('',#352783,0.139999999999998); #65063=CIRCLE('',#352785,0.175000000000001); #65064=CIRCLE('',#352786,0.175000000000001); #65065=CIRCLE('',#352790,0.465); #65066=CIRCLE('',#352791,0.465); #65067=CIRCLE('',#352793,0.499999999999998); #65068=CIRCLE('',#352794,0.499999999999998); #65069=CIRCLE('',#352798,0.139999999999998); #65070=CIRCLE('',#352799,0.139999999999998); #65071=CIRCLE('',#352801,0.175000000000001); #65072=CIRCLE('',#352802,0.175000000000001); #65073=CIRCLE('',#352806,0.14); #65074=CIRCLE('',#352807,0.14); #65075=CIRCLE('',#352809,0.175000000000001); #65076=CIRCLE('',#352810,0.175000000000001); #65077=CIRCLE('',#352814,0.139999999999998); #65078=CIRCLE('',#352815,0.139999999999998); #65079=CIRCLE('',#352817,0.175000000000001); #65080=CIRCLE('',#352818,0.175000000000001); #65081=CIRCLE('',#352822,0.139999999999998); #65082=CIRCLE('',#352823,0.139999999999998); #65083=CIRCLE('',#352825,0.175000000000001); #65084=CIRCLE('',#352826,0.175000000000001); #65085=CIRCLE('',#352830,0.139999999999998); #65086=CIRCLE('',#352831,0.139999999999998); #65087=CIRCLE('',#352833,0.175000000000001); #65088=CIRCLE('',#352834,0.175000000000001); #65089=CIRCLE('',#352838,0.14); #65090=CIRCLE('',#352839,0.14); #65091=CIRCLE('',#352841,0.175000000000001); #65092=CIRCLE('',#352842,0.175000000000001); #65093=CIRCLE('',#352846,0.139999999999998); #65094=CIRCLE('',#352847,0.139999999999998); #65095=CIRCLE('',#352849,0.175000000000001); #65096=CIRCLE('',#352850,0.175000000000001); #65097=CIRCLE('',#352854,0.139999999999998); #65098=CIRCLE('',#352855,0.139999999999998); #65099=CIRCLE('',#352857,0.175000000000001); #65100=CIRCLE('',#352858,0.175000000000001); #65101=CIRCLE('',#352862,0.139999999999998); #65102=CIRCLE('',#352863,0.139999999999998); #65103=CIRCLE('',#352865,0.175000000000001); #65104=CIRCLE('',#352866,0.175000000000001); #65105=CIRCLE('',#352870,0.14); #65106=CIRCLE('',#352871,0.14); #65107=CIRCLE('',#352873,0.175000000000001); #65108=CIRCLE('',#352874,0.175000000000001); #65109=CIRCLE('',#352878,0.139999999999998); #65110=CIRCLE('',#352879,0.139999999999998); #65111=CIRCLE('',#352881,0.175000000000001); #65112=CIRCLE('',#352882,0.175000000000001); #65113=CIRCLE('',#352886,0.139999999999998); #65114=CIRCLE('',#352887,0.139999999999998); #65115=CIRCLE('',#352889,0.175000000000001); #65116=CIRCLE('',#352890,0.175000000000001); #65117=CIRCLE('',#352894,0.139999999999998); #65118=CIRCLE('',#352895,0.139999999999998); #65119=CIRCLE('',#352897,0.175000000000001); #65120=CIRCLE('',#352898,0.175000000000001); #65121=CIRCLE('',#352902,0.14); #65122=CIRCLE('',#352903,0.14); #65123=CIRCLE('',#352905,0.175000000000001); #65124=CIRCLE('',#352906,0.175000000000001); #65125=CIRCLE('',#352910,0.139999999999998); #65126=CIRCLE('',#352911,0.139999999999998); #65127=CIRCLE('',#352913,0.175000000000001); #65128=CIRCLE('',#352914,0.175000000000001); #65129=CIRCLE('',#352918,0.514999999999999); #65130=CIRCLE('',#352919,0.514999999999999); #65131=CIRCLE('',#352921,0.549999999999997); #65132=CIRCLE('',#352922,0.549999999999997); #65133=CIRCLE('',#352926,0.139999999999998); #65134=CIRCLE('',#352927,0.139999999999998); #65135=CIRCLE('',#352929,0.175000000000001); #65136=CIRCLE('',#352930,0.175000000000001); #65137=CIRCLE('',#352934,0.140000000000002); #65138=CIRCLE('',#352935,0.140000000000002); #65139=CIRCLE('',#352937,0.175000000000001); #65140=CIRCLE('',#352938,0.175000000000001); #65141=CIRCLE('',#352942,0.139999999999998); #65142=CIRCLE('',#352943,0.139999999999998); #65143=CIRCLE('',#352945,0.175000000000001); #65144=CIRCLE('',#352946,0.175000000000001); #65145=CIRCLE('',#352950,0.139999999999998); #65146=CIRCLE('',#352951,0.139999999999998); #65147=CIRCLE('',#352953,0.175000000000001); #65148=CIRCLE('',#352954,0.175000000000001); #65149=CIRCLE('',#352958,0.140000000000002); #65150=CIRCLE('',#352959,0.140000000000002); #65151=CIRCLE('',#352961,0.175000000000001); #65152=CIRCLE('',#352962,0.175000000000001); #65153=CIRCLE('',#352966,0.140000000000002); #65154=CIRCLE('',#352967,0.140000000000002); #65155=CIRCLE('',#352969,0.175000000000001); #65156=CIRCLE('',#352970,0.175000000000001); #65157=CIRCLE('',#352974,0.139999999999998); #65158=CIRCLE('',#352975,0.139999999999998); #65159=CIRCLE('',#352977,0.175000000000001); #65160=CIRCLE('',#352978,0.175000000000001); #65161=CIRCLE('',#352982,0.139999999999998); #65162=CIRCLE('',#352983,0.139999999999998); #65163=CIRCLE('',#352985,0.175000000000001); #65164=CIRCLE('',#352986,0.175000000000001); #65165=CIRCLE('',#352990,0.140000000000002); #65166=CIRCLE('',#352991,0.140000000000002); #65167=CIRCLE('',#352993,0.175000000000001); #65168=CIRCLE('',#352994,0.175000000000001); #65169=CIRCLE('',#352998,0.140000000000002); #65170=CIRCLE('',#352999,0.140000000000002); #65171=CIRCLE('',#353001,0.175000000000001); #65172=CIRCLE('',#353002,0.175000000000001); #65173=CIRCLE('',#353006,0.140000000000002); #65174=CIRCLE('',#353007,0.140000000000002); #65175=CIRCLE('',#353009,0.175000000000001); #65176=CIRCLE('',#353010,0.175000000000001); #65177=CIRCLE('',#353014,0.139999999999998); #65178=CIRCLE('',#353015,0.139999999999998); #65179=CIRCLE('',#353017,0.175000000000001); #65180=CIRCLE('',#353018,0.175000000000001); #65181=CIRCLE('',#353022,0.140000000000002); #65182=CIRCLE('',#353023,0.140000000000002); #65183=CIRCLE('',#353025,0.175000000000001); #65184=CIRCLE('',#353026,0.175000000000001); #65185=CIRCLE('',#353030,0.140000000000002); #65186=CIRCLE('',#353031,0.140000000000002); #65187=CIRCLE('',#353033,0.175000000000001); #65188=CIRCLE('',#353034,0.175000000000001); #65189=CIRCLE('',#353038,0.140000000000002); #65190=CIRCLE('',#353039,0.140000000000002); #65191=CIRCLE('',#353041,0.175000000000001); #65192=CIRCLE('',#353042,0.175000000000001); #65193=CIRCLE('',#353046,0.465); #65194=CIRCLE('',#353047,0.465); #65195=CIRCLE('',#353049,0.499999999999998); #65196=CIRCLE('',#353050,0.499999999999998); #65197=CIRCLE('',#353054,0.139999999999998); #65198=CIRCLE('',#353055,0.139999999999998); #65199=CIRCLE('',#353057,0.175000000000001); #65200=CIRCLE('',#353058,0.175000000000001); #65201=CIRCLE('',#353062,0.140000000000002); #65202=CIRCLE('',#353063,0.140000000000002); #65203=CIRCLE('',#353065,0.175000000000001); #65204=CIRCLE('',#353066,0.175000000000001); #65205=CIRCLE('',#353070,0.139999999999998); #65206=CIRCLE('',#353071,0.139999999999998); #65207=CIRCLE('',#353073,0.175000000000001); #65208=CIRCLE('',#353074,0.175000000000001); #65209=CIRCLE('',#353078,0.139999999999998); #65210=CIRCLE('',#353079,0.139999999999998); #65211=CIRCLE('',#353081,0.175000000000001); #65212=CIRCLE('',#353082,0.175000000000001); #65213=CIRCLE('',#353086,0.139999999999998); #65214=CIRCLE('',#353087,0.139999999999998); #65215=CIRCLE('',#353089,0.175000000000001); #65216=CIRCLE('',#353090,0.175000000000001); #65217=CIRCLE('',#353094,0.140000000000002); #65218=CIRCLE('',#353095,0.140000000000002); #65219=CIRCLE('',#353097,0.175000000000001); #65220=CIRCLE('',#353098,0.175000000000001); #65221=CIRCLE('',#353102,0.139999999999998); #65222=CIRCLE('',#353103,0.139999999999998); #65223=CIRCLE('',#353105,0.175000000000001); #65224=CIRCLE('',#353106,0.175000000000001); #65225=CIRCLE('',#353110,0.139999999999998); #65226=CIRCLE('',#353111,0.139999999999998); #65227=CIRCLE('',#353113,0.175000000000001); #65228=CIRCLE('',#353114,0.175000000000001); #65229=CIRCLE('',#353118,0.139999999999998); #65230=CIRCLE('',#353119,0.139999999999998); #65231=CIRCLE('',#353121,0.175000000000001); #65232=CIRCLE('',#353122,0.175000000000001); #65233=CIRCLE('',#353126,0.140000000000002); #65234=CIRCLE('',#353127,0.140000000000002); #65235=CIRCLE('',#353129,0.175000000000001); #65236=CIRCLE('',#353130,0.175000000000001); #65237=CIRCLE('',#353134,0.139999999999998); #65238=CIRCLE('',#353135,0.139999999999998); #65239=CIRCLE('',#353137,0.175000000000001); #65240=CIRCLE('',#353138,0.175000000000001); #65241=CIRCLE('',#353142,0.139999999999998); #65242=CIRCLE('',#353143,0.139999999999998); #65243=CIRCLE('',#353145,0.175000000000001); #65244=CIRCLE('',#353146,0.175000000000001); #65245=CIRCLE('',#353150,0.139999999999998); #65246=CIRCLE('',#353151,0.139999999999998); #65247=CIRCLE('',#353153,0.175000000000001); #65248=CIRCLE('',#353154,0.175000000000001); #65249=CIRCLE('',#353158,0.14); #65250=CIRCLE('',#353159,0.14); #65251=CIRCLE('',#353161,0.175000000000001); #65252=CIRCLE('',#353162,0.175000000000001); #65253=CIRCLE('',#353166,0.139999999999998); #65254=CIRCLE('',#353167,0.139999999999998); #65255=CIRCLE('',#353169,0.175000000000001); #65256=CIRCLE('',#353170,0.175000000000001); #65257=CIRCLE('',#353174,0.564999999999998); #65258=CIRCLE('',#353175,0.564999999999998); #65259=CIRCLE('',#353177,0.600000000000001); #65260=CIRCLE('',#353178,0.600000000000001); #65261=CIRCLE('',#353182,0.140000000000002); #65262=CIRCLE('',#353183,0.140000000000002); #65263=CIRCLE('',#353185,0.175000000000001); #65264=CIRCLE('',#353186,0.175000000000001); #65265=CIRCLE('',#353190,0.140000000000002); #65266=CIRCLE('',#353191,0.140000000000002); #65267=CIRCLE('',#353193,0.175000000000001); #65268=CIRCLE('',#353194,0.175000000000001); #65269=CIRCLE('',#353198,0.140000000000002); #65270=CIRCLE('',#353199,0.140000000000002); #65271=CIRCLE('',#353201,0.175000000000001); #65272=CIRCLE('',#353202,0.175000000000001); #65273=CIRCLE('',#353206,0.139999999999998); #65274=CIRCLE('',#353207,0.139999999999998); #65275=CIRCLE('',#353209,0.175000000000001); #65276=CIRCLE('',#353210,0.175000000000001); #65277=CIRCLE('',#353214,0.140000000000002); #65278=CIRCLE('',#353215,0.140000000000002); #65279=CIRCLE('',#353217,0.175000000000001); #65280=CIRCLE('',#353218,0.175000000000001); #65281=CIRCLE('',#353222,0.140000000000002); #65282=CIRCLE('',#353223,0.140000000000002); #65283=CIRCLE('',#353225,0.175000000000001); #65284=CIRCLE('',#353226,0.175000000000001); #65285=CIRCLE('',#353230,0.140000000000002); #65286=CIRCLE('',#353231,0.140000000000002); #65287=CIRCLE('',#353233,0.175000000000001); #65288=CIRCLE('',#353234,0.175000000000001); #65289=CIRCLE('',#353238,0.139999999999998); #65290=CIRCLE('',#353239,0.139999999999998); #65291=CIRCLE('',#353241,0.175000000000001); #65292=CIRCLE('',#353242,0.175000000000001); #65293=CIRCLE('',#353246,0.140000000000002); #65294=CIRCLE('',#353247,0.140000000000002); #65295=CIRCLE('',#353249,0.175000000000001); #65296=CIRCLE('',#353250,0.175000000000001); #65297=CIRCLE('',#353254,0.140000000000002); #65298=CIRCLE('',#353255,0.140000000000002); #65299=CIRCLE('',#353257,0.175000000000001); #65300=CIRCLE('',#353258,0.175000000000001); #65301=CIRCLE('',#353262,0.140000000000002); #65302=CIRCLE('',#353263,0.140000000000002); #65303=CIRCLE('',#353265,0.175000000000001); #65304=CIRCLE('',#353266,0.175000000000001); #65305=CIRCLE('',#353270,0.139999999999998); #65306=CIRCLE('',#353271,0.139999999999998); #65307=CIRCLE('',#353273,0.175000000000001); #65308=CIRCLE('',#353274,0.175000000000001); #65309=CIRCLE('',#353278,0.140000000000002); #65310=CIRCLE('',#353279,0.140000000000002); #65311=CIRCLE('',#353281,0.175000000000001); #65312=CIRCLE('',#353282,0.175000000000001); #65313=CIRCLE('',#353286,0.140000000000002); #65314=CIRCLE('',#353287,0.140000000000002); #65315=CIRCLE('',#353289,0.175000000000001); #65316=CIRCLE('',#353290,0.175000000000001); #65317=CIRCLE('',#353294,0.140000000000002); #65318=CIRCLE('',#353295,0.140000000000002); #65319=CIRCLE('',#353297,0.175000000000001); #65320=CIRCLE('',#353298,0.175000000000001); #65321=CIRCLE('',#353302,0.465); #65322=CIRCLE('',#353303,0.465); #65323=CIRCLE('',#353305,0.499999999999998); #65324=CIRCLE('',#353306,0.499999999999998); #65325=CIRCLE('',#353310,0.140000000000002); #65326=CIRCLE('',#353311,0.140000000000002); #65327=CIRCLE('',#353313,0.175000000000001); #65328=CIRCLE('',#353314,0.175000000000001); #65329=CIRCLE('',#353318,0.140000000000002); #65330=CIRCLE('',#353319,0.140000000000002); #65331=CIRCLE('',#353321,0.175000000000001); #65332=CIRCLE('',#353322,0.175000000000001); #65333=CIRCLE('',#353326,0.140000000000002); #65334=CIRCLE('',#353327,0.140000000000002); #65335=CIRCLE('',#353329,0.175000000000001); #65336=CIRCLE('',#353330,0.175000000000001); #65337=CIRCLE('',#353334,0.139999999999998); #65338=CIRCLE('',#353335,0.139999999999998); #65339=CIRCLE('',#353337,0.175000000000001); #65340=CIRCLE('',#353338,0.175000000000001); #65341=CIRCLE('',#353342,0.140000000000002); #65342=CIRCLE('',#353343,0.140000000000002); #65343=CIRCLE('',#353345,0.175000000000001); #65344=CIRCLE('',#353346,0.175000000000001); #65345=CIRCLE('',#353350,0.140000000000002); #65346=CIRCLE('',#353351,0.140000000000002); #65347=CIRCLE('',#353353,0.175000000000001); #65348=CIRCLE('',#353354,0.175000000000001); #65349=CIRCLE('',#353358,0.140000000000002); #65350=CIRCLE('',#353359,0.140000000000002); #65351=CIRCLE('',#353361,0.175000000000001); #65352=CIRCLE('',#353362,0.175000000000001); #65353=CIRCLE('',#353366,0.139999999999998); #65354=CIRCLE('',#353367,0.139999999999998); #65355=CIRCLE('',#353369,0.175000000000001); #65356=CIRCLE('',#353370,0.175000000000001); #65357=CIRCLE('',#353374,0.140000000000002); #65358=CIRCLE('',#353375,0.140000000000002); #65359=CIRCLE('',#353377,0.175000000000001); #65360=CIRCLE('',#353378,0.175000000000001); #65361=CIRCLE('',#353382,0.140000000000002); #65362=CIRCLE('',#353383,0.140000000000002); #65363=CIRCLE('',#353385,0.175000000000001); #65364=CIRCLE('',#353386,0.175000000000001); #65365=CIRCLE('',#353390,0.140000000000002); #65366=CIRCLE('',#353391,0.140000000000002); #65367=CIRCLE('',#353393,0.175000000000001); #65368=CIRCLE('',#353394,0.175000000000001); #65369=CIRCLE('',#353398,0.139999999999998); #65370=CIRCLE('',#353399,0.139999999999998); #65371=CIRCLE('',#353401,0.175000000000001); #65372=CIRCLE('',#353402,0.175000000000001); #65373=CIRCLE('',#353406,0.140000000000002); #65374=CIRCLE('',#353407,0.140000000000002); #65375=CIRCLE('',#353409,0.175000000000001); #65376=CIRCLE('',#353410,0.175000000000001); #65377=CIRCLE('',#353414,0.140000000000002); #65378=CIRCLE('',#353415,0.140000000000002); #65379=CIRCLE('',#353417,0.175000000000001); #65380=CIRCLE('',#353418,0.175000000000001); #65381=CIRCLE('',#353422,0.140000000000002); #65382=CIRCLE('',#353423,0.140000000000002); #65383=CIRCLE('',#353425,0.175000000000001); #65384=CIRCLE('',#353426,0.175000000000001); #65385=CIRCLE('',#353430,0.514999999999999); #65386=CIRCLE('',#353431,0.514999999999999); #65387=CIRCLE('',#353433,0.549999999999997); #65388=CIRCLE('',#353434,0.549999999999997); #65389=CIRCLE('',#353438,0.140000000000002); #65390=CIRCLE('',#353439,0.140000000000002); #65391=CIRCLE('',#353441,0.175000000000001); #65392=CIRCLE('',#353442,0.175000000000001); #65393=CIRCLE('',#353446,0.140000000000002); #65394=CIRCLE('',#353447,0.140000000000002); #65395=CIRCLE('',#353449,0.175000000000001); #65396=CIRCLE('',#353450,0.175000000000001); #65397=CIRCLE('',#353454,0.140000000000002); #65398=CIRCLE('',#353455,0.140000000000002); #65399=CIRCLE('',#353457,0.175000000000001); #65400=CIRCLE('',#353458,0.175000000000001); #65401=CIRCLE('',#353462,0.139999999999998); #65402=CIRCLE('',#353463,0.139999999999998); #65403=CIRCLE('',#353465,0.175000000000001); #65404=CIRCLE('',#353466,0.175000000000001); #65405=CIRCLE('',#353470,0.140000000000002); #65406=CIRCLE('',#353471,0.140000000000002); #65407=CIRCLE('',#353473,0.175000000000001); #65408=CIRCLE('',#353474,0.175000000000001); #65409=CIRCLE('',#353478,0.139999999999998); #65410=CIRCLE('',#353479,0.139999999999998); #65411=CIRCLE('',#353481,0.175000000000001); #65412=CIRCLE('',#353482,0.175000000000001); #65413=CIRCLE('',#353486,0.140000000000002); #65414=CIRCLE('',#353487,0.140000000000002); #65415=CIRCLE('',#353489,0.175000000000001); #65416=CIRCLE('',#353490,0.175000000000001); #65417=CIRCLE('',#353494,0.139999999999998); #65418=CIRCLE('',#353495,0.139999999999998); #65419=CIRCLE('',#353497,0.175000000000001); #65420=CIRCLE('',#353498,0.175000000000001); #65421=CIRCLE('',#353502,0.140000000000002); #65422=CIRCLE('',#353503,0.140000000000002); #65423=CIRCLE('',#353505,0.175000000000001); #65424=CIRCLE('',#353506,0.175000000000001); #65425=CIRCLE('',#353510,0.139999999999998); #65426=CIRCLE('',#353511,0.139999999999998); #65427=CIRCLE('',#353513,0.175000000000001); #65428=CIRCLE('',#353514,0.175000000000001); #65429=CIRCLE('',#353518,0.140000000000002); #65430=CIRCLE('',#353519,0.140000000000002); #65431=CIRCLE('',#353521,0.175000000000001); #65432=CIRCLE('',#353522,0.175000000000001); #65433=CIRCLE('',#353526,0.139999999999998); #65434=CIRCLE('',#353527,0.139999999999998); #65435=CIRCLE('',#353529,0.175000000000001); #65436=CIRCLE('',#353530,0.175000000000001); #65437=CIRCLE('',#353534,0.140000000000002); #65438=CIRCLE('',#353535,0.140000000000002); #65439=CIRCLE('',#353537,0.175000000000001); #65440=CIRCLE('',#353538,0.175000000000001); #65441=CIRCLE('',#353542,0.139999999999998); #65442=CIRCLE('',#353543,0.139999999999998); #65443=CIRCLE('',#353545,0.175000000000001); #65444=CIRCLE('',#353546,0.175000000000001); #65445=CIRCLE('',#353550,0.140000000000002); #65446=CIRCLE('',#353551,0.140000000000002); #65447=CIRCLE('',#353553,0.175000000000001); #65448=CIRCLE('',#353554,0.175000000000001); #65449=CIRCLE('',#353558,0.465); #65450=CIRCLE('',#353559,0.465); #65451=CIRCLE('',#353561,0.499999999999998); #65452=CIRCLE('',#353562,0.499999999999998); #65453=CIRCLE('',#353566,0.140000000000002); #65454=CIRCLE('',#353567,0.140000000000002); #65455=CIRCLE('',#353569,0.175000000000001); #65456=CIRCLE('',#353570,0.175000000000001); #65457=CIRCLE('',#353574,0.140000000000002); #65458=CIRCLE('',#353575,0.140000000000002); #65459=CIRCLE('',#353577,0.175000000000001); #65460=CIRCLE('',#353578,0.175000000000001); #65461=CIRCLE('',#353582,0.140000000000002); #65462=CIRCLE('',#353583,0.140000000000002); #65463=CIRCLE('',#353585,0.175000000000001); #65464=CIRCLE('',#353586,0.175000000000001); #65465=CIRCLE('',#353590,0.139999999999998); #65466=CIRCLE('',#353591,0.139999999999998); #65467=CIRCLE('',#353593,0.175000000000001); #65468=CIRCLE('',#353594,0.175000000000001); #65469=CIRCLE('',#353598,0.140000000000002); #65470=CIRCLE('',#353599,0.140000000000002); #65471=CIRCLE('',#353601,0.175000000000001); #65472=CIRCLE('',#353602,0.175000000000001); #65473=CIRCLE('',#353606,0.140000000000002); #65474=CIRCLE('',#353607,0.140000000000002); #65475=CIRCLE('',#353609,0.175000000000001); #65476=CIRCLE('',#353610,0.175000000000001); #65477=CIRCLE('',#353614,0.140000000000002); #65478=CIRCLE('',#353615,0.140000000000002); #65479=CIRCLE('',#353617,0.175000000000001); #65480=CIRCLE('',#353618,0.175000000000001); #65481=CIRCLE('',#353622,0.139999999999998); #65482=CIRCLE('',#353623,0.139999999999998); #65483=CIRCLE('',#353625,0.175000000000001); #65484=CIRCLE('',#353626,0.175000000000001); #65485=CIRCLE('',#353630,0.140000000000002); #65486=CIRCLE('',#353631,0.140000000000002); #65487=CIRCLE('',#353633,0.175000000000001); #65488=CIRCLE('',#353634,0.175000000000001); #65489=CIRCLE('',#353638,0.140000000000002); #65490=CIRCLE('',#353639,0.140000000000002); #65491=CIRCLE('',#353641,0.175000000000001); #65492=CIRCLE('',#353642,0.175000000000001); #65493=CIRCLE('',#353646,0.140000000000002); #65494=CIRCLE('',#353647,0.140000000000002); #65495=CIRCLE('',#353649,0.175000000000001); #65496=CIRCLE('',#353650,0.175000000000001); #65497=CIRCLE('',#353654,0.139999999999998); #65498=CIRCLE('',#353655,0.139999999999998); #65499=CIRCLE('',#353657,0.175000000000001); #65500=CIRCLE('',#353658,0.175000000000001); #65501=CIRCLE('',#353662,0.140000000000002); #65502=CIRCLE('',#353663,0.140000000000002); #65503=CIRCLE('',#353665,0.175000000000001); #65504=CIRCLE('',#353666,0.175000000000001); #65505=CIRCLE('',#353670,0.140000000000002); #65506=CIRCLE('',#353671,0.140000000000002); #65507=CIRCLE('',#353673,0.175000000000001); #65508=CIRCLE('',#353674,0.175000000000001); #65509=CIRCLE('',#353678,0.140000000000002); #65510=CIRCLE('',#353679,0.140000000000002); #65511=CIRCLE('',#353681,0.175000000000001); #65512=CIRCLE('',#353682,0.175000000000001); #65513=CIRCLE('',#353686,0.564999999999998); #65514=CIRCLE('',#353687,0.564999999999998); #65515=CIRCLE('',#353689,0.600000000000001); #65516=CIRCLE('',#353690,0.600000000000001); #65517=CIRCLE('',#353694,0.14); #65518=CIRCLE('',#353695,0.14); #65519=CIRCLE('',#353697,0.175000000000001); #65520=CIRCLE('',#353698,0.175000000000001); #65521=CIRCLE('',#353702,0.139999999999998); #65522=CIRCLE('',#353703,0.139999999999998); #65523=CIRCLE('',#353705,0.175000000000001); #65524=CIRCLE('',#353706,0.175000000000001); #65525=CIRCLE('',#353710,0.140000000000002); #65526=CIRCLE('',#353711,0.140000000000002); #65527=CIRCLE('',#353713,0.175000000000001); #65528=CIRCLE('',#353714,0.175000000000001); #65529=CIRCLE('',#353718,0.139999999999998); #65530=CIRCLE('',#353719,0.139999999999998); #65531=CIRCLE('',#353721,0.175000000000001); #65532=CIRCLE('',#353722,0.175000000000001); #65533=CIRCLE('',#353727,0.315); #65534=CIRCLE('',#353728,0.315); #65535=CIRCLE('',#353731,0.315); #65536=CIRCLE('',#353732,0.315); #65537=CIRCLE('',#353735,0.35); #65538=CIRCLE('',#353736,0.35); #65539=CIRCLE('',#353739,0.35); #65540=CIRCLE('',#353740,0.35); #65541=CIRCLE('',#353744,0.139999999999998); #65542=CIRCLE('',#353745,0.139999999999998); #65543=CIRCLE('',#353747,0.175000000000001); #65544=CIRCLE('',#353748,0.175000000000001); #65545=CIRCLE('',#353753,0.315); #65546=CIRCLE('',#353754,0.315); #65547=CIRCLE('',#353757,0.315); #65548=CIRCLE('',#353758,0.315); #65549=CIRCLE('',#353761,0.35); #65550=CIRCLE('',#353762,0.35); #65551=CIRCLE('',#353765,0.35); #65552=CIRCLE('',#353766,0.35); #65553=CIRCLE('',#353770,0.139999999999998); #65554=CIRCLE('',#353771,0.139999999999998); #65555=CIRCLE('',#353773,0.175000000000001); #65556=CIRCLE('',#353774,0.175000000000001); #65557=CIRCLE('',#353779,0.315); #65558=CIRCLE('',#353780,0.315); #65559=CIRCLE('',#353783,0.315); #65560=CIRCLE('',#353784,0.315); #65561=CIRCLE('',#353787,0.35); #65562=CIRCLE('',#353788,0.35); #65563=CIRCLE('',#353791,0.35); #65564=CIRCLE('',#353792,0.35); #65565=CIRCLE('',#353796,0.140000000000002); #65566=CIRCLE('',#353797,0.140000000000002); #65567=CIRCLE('',#353799,0.175000000000001); #65568=CIRCLE('',#353800,0.175000000000001); #65569=CIRCLE('',#353804,0.139999999999998); #65570=CIRCLE('',#353805,0.139999999999998); #65571=CIRCLE('',#353807,0.175000000000001); #65572=CIRCLE('',#353808,0.175000000000001); #65573=CIRCLE('',#353812,0.114999999999998); #65574=CIRCLE('',#353813,0.114999999999998); #65575=CIRCLE('',#353815,0.150000000000001); #65576=CIRCLE('',#353816,0.150000000000001); #65577=CIRCLE('',#353820,0.139999999999998); #65578=CIRCLE('',#353821,0.139999999999998); #65579=CIRCLE('',#353823,0.175000000000001); #65580=CIRCLE('',#353824,0.175000000000001); #65581=CIRCLE('',#353828,0.114999999999998); #65582=CIRCLE('',#353829,0.114999999999998); #65583=CIRCLE('',#353831,0.150000000000001); #65584=CIRCLE('',#353832,0.150000000000001); #65585=CIRCLE('',#353836,0.139999999999998); #65586=CIRCLE('',#353837,0.139999999999998); #65587=CIRCLE('',#353839,0.175000000000001); #65588=CIRCLE('',#353840,0.175000000000001); #65589=CIRCLE('',#353844,0.114999999999998); #65590=CIRCLE('',#353845,0.114999999999998); #65591=CIRCLE('',#353847,0.150000000000001); #65592=CIRCLE('',#353848,0.150000000000001); #65593=CIRCLE('',#353852,0.139999999999998); #65594=CIRCLE('',#353853,0.139999999999998); #65595=CIRCLE('',#353855,0.175000000000001); #65596=CIRCLE('',#353856,0.175000000000001); #65597=CIRCLE('',#353860,0.114999999999998); #65598=CIRCLE('',#353861,0.114999999999998); #65599=CIRCLE('',#353863,0.150000000000001); #65600=CIRCLE('',#353864,0.150000000000001); #65601=CIRCLE('',#353868,0.139999999999998); #65602=CIRCLE('',#353869,0.139999999999998); #65603=CIRCLE('',#353871,0.175000000000001); #65604=CIRCLE('',#353872,0.175000000000001); #65605=CIRCLE('',#353877,0.565); #65606=CIRCLE('',#353878,0.565); #65607=CIRCLE('',#353881,0.565); #65608=CIRCLE('',#353882,0.565); #65609=CIRCLE('',#353885,0.6); #65610=CIRCLE('',#353886,0.6); #65611=CIRCLE('',#353889,0.6); #65612=CIRCLE('',#353890,0.6); #65613=CIRCLE('',#353894,0.139999999999998); #65614=CIRCLE('',#353895,0.139999999999998); #65615=CIRCLE('',#353897,0.175000000000001); #65616=CIRCLE('',#353898,0.175000000000001); #65617=CIRCLE('',#353902,0.114999999999998); #65618=CIRCLE('',#353903,0.114999999999998); #65619=CIRCLE('',#353905,0.150000000000001); #65620=CIRCLE('',#353906,0.150000000000001); #65621=CIRCLE('',#353910,0.139999999999998); #65622=CIRCLE('',#353911,0.139999999999998); #65623=CIRCLE('',#353913,0.175000000000001); #65624=CIRCLE('',#353914,0.175000000000001); #65625=CIRCLE('',#353919,0.565); #65626=CIRCLE('',#353920,0.565); #65627=CIRCLE('',#353923,0.565); #65628=CIRCLE('',#353924,0.565); #65629=CIRCLE('',#353927,0.6); #65630=CIRCLE('',#353928,0.6); #65631=CIRCLE('',#353931,0.6); #65632=CIRCLE('',#353932,0.6); #65633=CIRCLE('',#353936,0.139999999999998); #65634=CIRCLE('',#353937,0.139999999999998); #65635=CIRCLE('',#353939,0.175000000000001); #65636=CIRCLE('',#353940,0.175000000000001); #65637=CIRCLE('',#353945,0.315); #65638=CIRCLE('',#353946,0.315); #65639=CIRCLE('',#353949,0.315); #65640=CIRCLE('',#353950,0.315); #65641=CIRCLE('',#353953,0.35); #65642=CIRCLE('',#353954,0.35); #65643=CIRCLE('',#353957,0.35); #65644=CIRCLE('',#353958,0.35); #65645=CIRCLE('',#353962,0.465); #65646=CIRCLE('',#353963,0.465); #65647=CIRCLE('',#353965,0.499999999999998); #65648=CIRCLE('',#353966,0.499999999999998); #65649=CIRCLE('',#353970,0.139999999999998); #65650=CIRCLE('',#353971,0.139999999999998); #65651=CIRCLE('',#353973,0.175000000000001); #65652=CIRCLE('',#353974,0.175000000000001); #65653=CIRCLE('',#353978,0.14); #65654=CIRCLE('',#353979,0.14); #65655=CIRCLE('',#353981,0.175); #65656=CIRCLE('',#353982,0.175); #65657=CIRCLE('',#353986,0.139999999999998); #65658=CIRCLE('',#353987,0.139999999999998); #65659=CIRCLE('',#353989,0.175000000000001); #65660=CIRCLE('',#353990,0.175000000000001); #65661=CIRCLE('',#353994,0.139999999999998); #65662=CIRCLE('',#353995,0.139999999999998); #65663=CIRCLE('',#353997,0.175000000000001); #65664=CIRCLE('',#353998,0.175000000000001); #65665=CIRCLE('',#354002,0.139999999999998); #65666=CIRCLE('',#354003,0.139999999999998); #65667=CIRCLE('',#354005,0.175000000000001); #65668=CIRCLE('',#354006,0.175000000000001); #65669=CIRCLE('',#354010,0.14); #65670=CIRCLE('',#354011,0.14); #65671=CIRCLE('',#354013,0.175); #65672=CIRCLE('',#354014,0.175); #65673=CIRCLE('',#354018,0.139999999999998); #65674=CIRCLE('',#354019,0.139999999999998); #65675=CIRCLE('',#354021,0.175000000000001); #65676=CIRCLE('',#354022,0.175000000000001); #65677=CIRCLE('',#354026,0.139999999999998); #65678=CIRCLE('',#354027,0.139999999999998); #65679=CIRCLE('',#354029,0.175000000000001); #65680=CIRCLE('',#354030,0.175000000000001); #65681=CIRCLE('',#354034,0.139999999999998); #65682=CIRCLE('',#354035,0.139999999999998); #65683=CIRCLE('',#354037,0.175000000000001); #65684=CIRCLE('',#354038,0.175000000000001); #65685=CIRCLE('',#354042,0.14); #65686=CIRCLE('',#354043,0.14); #65687=CIRCLE('',#354045,0.175000000000001); #65688=CIRCLE('',#354046,0.175000000000001); #65689=CIRCLE('',#354050,0.139999999999998); #65690=CIRCLE('',#354051,0.139999999999998); #65691=CIRCLE('',#354053,0.175000000000001); #65692=CIRCLE('',#354054,0.175000000000001); #65693=CIRCLE('',#354058,0.139999999999998); #65694=CIRCLE('',#354059,0.139999999999998); #65695=CIRCLE('',#354061,0.175000000000001); #65696=CIRCLE('',#354062,0.175000000000001); #65697=CIRCLE('',#354066,0.139999999999998); #65698=CIRCLE('',#354067,0.139999999999998); #65699=CIRCLE('',#354069,0.175000000000001); #65700=CIRCLE('',#354070,0.175000000000001); #65701=CIRCLE('',#354074,0.14); #65702=CIRCLE('',#354075,0.14); #65703=CIRCLE('',#354077,0.175); #65704=CIRCLE('',#354078,0.175); #65705=CIRCLE('',#354082,0.139999999999998); #65706=CIRCLE('',#354083,0.139999999999998); #65707=CIRCLE('',#354085,0.175000000000001); #65708=CIRCLE('',#354086,0.175000000000001); #65709=CIRCLE('',#354090,0.139999999999998); #65710=CIRCLE('',#354091,0.139999999999998); #65711=CIRCLE('',#354093,0.175000000000001); #65712=CIRCLE('',#354094,0.175000000000001); #65713=CIRCLE('',#354098,0.140000000000002); #65714=CIRCLE('',#354099,0.140000000000002); #65715=CIRCLE('',#354101,0.175000000000001); #65716=CIRCLE('',#354102,0.175000000000001); #65717=CIRCLE('',#354106,0.14); #65718=CIRCLE('',#354107,0.14); #65719=CIRCLE('',#354109,0.175); #65720=CIRCLE('',#354110,0.175); #65721=CIRCLE('',#354114,0.139999999999998); #65722=CIRCLE('',#354115,0.139999999999998); #65723=CIRCLE('',#354117,0.175000000000001); #65724=CIRCLE('',#354118,0.175000000000001); #65725=CIRCLE('',#354122,0.139999999999998); #65726=CIRCLE('',#354123,0.139999999999998); #65727=CIRCLE('',#354125,0.175000000000001); #65728=CIRCLE('',#354126,0.175000000000001); #65729=CIRCLE('',#354130,0.139999999999998); #65730=CIRCLE('',#354131,0.139999999999998); #65731=CIRCLE('',#354133,0.175000000000001); #65732=CIRCLE('',#354134,0.175000000000001); #65733=CIRCLE('',#354138,0.14); #65734=CIRCLE('',#354139,0.14); #65735=CIRCLE('',#354141,0.175); #65736=CIRCLE('',#354142,0.175); #65737=CIRCLE('',#354146,0.139999999999998); #65738=CIRCLE('',#354147,0.139999999999998); #65739=CIRCLE('',#354149,0.175000000000001); #65740=CIRCLE('',#354150,0.175000000000001); #65741=CIRCLE('',#354154,0.139999999999998); #65742=CIRCLE('',#354155,0.139999999999998); #65743=CIRCLE('',#354157,0.175000000000001); #65744=CIRCLE('',#354158,0.175000000000001); #65745=CIRCLE('',#354162,0.114999999999998); #65746=CIRCLE('',#354163,0.114999999999998); #65747=CIRCLE('',#354165,0.150000000000001); #65748=CIRCLE('',#354166,0.150000000000001); #65749=CIRCLE('',#354170,0.14); #65750=CIRCLE('',#354171,0.14); #65751=CIRCLE('',#354173,0.175); #65752=CIRCLE('',#354174,0.175); #65753=CIRCLE('',#354178,0.140000000000002); #65754=CIRCLE('',#354179,0.140000000000002); #65755=CIRCLE('',#354181,0.175000000000001); #65756=CIRCLE('',#354182,0.175000000000001); #65757=CIRCLE('',#354186,0.139999999999998); #65758=CIRCLE('',#354187,0.139999999999998); #65759=CIRCLE('',#354189,0.175000000000001); #65760=CIRCLE('',#354190,0.175000000000001); #65761=CIRCLE('',#354194,0.114999999999998); #65762=CIRCLE('',#354195,0.114999999999998); #65763=CIRCLE('',#354197,0.150000000000001); #65764=CIRCLE('',#354198,0.150000000000001); #65765=CIRCLE('',#354202,0.14); #65766=CIRCLE('',#354203,0.14); #65767=CIRCLE('',#354205,0.175); #65768=CIRCLE('',#354206,0.175); #65769=CIRCLE('',#354210,0.114999999999998); #65770=CIRCLE('',#354211,0.114999999999998); #65771=CIRCLE('',#354213,0.150000000000001); #65772=CIRCLE('',#354214,0.150000000000001); #65773=CIRCLE('',#354218,0.514999999999999); #65774=CIRCLE('',#354219,0.514999999999999); #65775=CIRCLE('',#354221,0.550000000000002); #65776=CIRCLE('',#354222,0.550000000000002); #65777=CIRCLE('',#354226,0.139999999999998); #65778=CIRCLE('',#354227,0.139999999999998); #65779=CIRCLE('',#354229,0.175000000000001); #65780=CIRCLE('',#354230,0.175000000000001); #65781=CIRCLE('',#354234,0.140000000000002); #65782=CIRCLE('',#354235,0.140000000000002); #65783=CIRCLE('',#354237,0.175000000000001); #65784=CIRCLE('',#354238,0.175000000000001); #65785=CIRCLE('',#354242,0.139999999999998); #65786=CIRCLE('',#354243,0.139999999999998); #65787=CIRCLE('',#354245,0.175000000000001); #65788=CIRCLE('',#354246,0.175000000000001); #65789=CIRCLE('',#354250,0.139999999999998); #65790=CIRCLE('',#354251,0.139999999999998); #65791=CIRCLE('',#354253,0.175000000000001); #65792=CIRCLE('',#354254,0.175000000000001); #65793=CIRCLE('',#354258,0.139999999999998); #65794=CIRCLE('',#354259,0.139999999999998); #65795=CIRCLE('',#354261,0.175000000000001); #65796=CIRCLE('',#354262,0.175000000000001); #65797=CIRCLE('',#354266,0.140000000000002); #65798=CIRCLE('',#354267,0.140000000000002); #65799=CIRCLE('',#354269,0.175000000000001); #65800=CIRCLE('',#354270,0.175000000000001); #65801=CIRCLE('',#354274,0.139999999999998); #65802=CIRCLE('',#354275,0.139999999999998); #65803=CIRCLE('',#354277,0.175000000000001); #65804=CIRCLE('',#354278,0.175000000000001); #65805=CIRCLE('',#354282,0.139999999999998); #65806=CIRCLE('',#354283,0.139999999999998); #65807=CIRCLE('',#354285,0.175000000000001); #65808=CIRCLE('',#354286,0.175000000000001); #65809=CIRCLE('',#354290,0.139999999999998); #65810=CIRCLE('',#354291,0.139999999999998); #65811=CIRCLE('',#354293,0.175000000000001); #65812=CIRCLE('',#354294,0.175000000000001); #65813=CIRCLE('',#354298,0.140000000000002); #65814=CIRCLE('',#354299,0.140000000000002); #65815=CIRCLE('',#354301,0.175000000000001); #65816=CIRCLE('',#354302,0.175000000000001); #65817=CIRCLE('',#354306,0.139999999999998); #65818=CIRCLE('',#354307,0.139999999999998); #65819=CIRCLE('',#354309,0.175000000000001); #65820=CIRCLE('',#354310,0.175000000000001); #65821=CIRCLE('',#354314,0.139999999999998); #65822=CIRCLE('',#354315,0.139999999999998); #65823=CIRCLE('',#354317,0.175000000000001); #65824=CIRCLE('',#354318,0.175000000000001); #65825=CIRCLE('',#354322,0.139999999999998); #65826=CIRCLE('',#354323,0.139999999999998); #65827=CIRCLE('',#354325,0.175000000000001); #65828=CIRCLE('',#354326,0.175000000000001); #65829=CIRCLE('',#354330,0.140000000000002); #65830=CIRCLE('',#354331,0.140000000000002); #65831=CIRCLE('',#354333,0.175000000000001); #65832=CIRCLE('',#354334,0.175000000000001); #65833=CIRCLE('',#354338,0.139999999999998); #65834=CIRCLE('',#354339,0.139999999999998); #65835=CIRCLE('',#354341,0.175000000000001); #65836=CIRCLE('',#354342,0.175000000000001); #65837=CIRCLE('',#354346,0.465); #65838=CIRCLE('',#354347,0.465); #65839=CIRCLE('',#354349,0.499999999999998); #65840=CIRCLE('',#354350,0.499999999999998); #65841=CIRCLE('',#354354,0.139999999999998); #65842=CIRCLE('',#354355,0.139999999999998); #65843=CIRCLE('',#354357,0.175000000000001); #65844=CIRCLE('',#354358,0.175000000000001); #65845=CIRCLE('',#354362,0.140000000000002); #65846=CIRCLE('',#354363,0.140000000000002); #65847=CIRCLE('',#354365,0.175000000000001); #65848=CIRCLE('',#354366,0.175000000000001); #65849=CIRCLE('',#354370,0.139999999999998); #65850=CIRCLE('',#354371,0.139999999999998); #65851=CIRCLE('',#354373,0.175000000000001); #65852=CIRCLE('',#354374,0.175000000000001); #65853=CIRCLE('',#354378,0.139999999999998); #65854=CIRCLE('',#354379,0.139999999999998); #65855=CIRCLE('',#354381,0.175000000000001); #65856=CIRCLE('',#354382,0.175000000000001); #65857=CIRCLE('',#354386,0.14); #65858=CIRCLE('',#354387,0.14); #65859=CIRCLE('',#354389,0.175000000000001); #65860=CIRCLE('',#354390,0.175000000000001); #65861=CIRCLE('',#354394,0.140000000000002); #65862=CIRCLE('',#354395,0.140000000000002); #65863=CIRCLE('',#354397,0.175000000000001); #65864=CIRCLE('',#354398,0.175000000000001); #65865=CIRCLE('',#354402,0.139999999999998); #65866=CIRCLE('',#354403,0.139999999999998); #65867=CIRCLE('',#354405,0.175000000000001); #65868=CIRCLE('',#354406,0.175000000000001); #65869=CIRCLE('',#354410,0.139999999999998); #65870=CIRCLE('',#354411,0.139999999999998); #65871=CIRCLE('',#354413,0.175000000000001); #65872=CIRCLE('',#354414,0.175000000000001); #65873=CIRCLE('',#354418,0.139999999999998); #65874=CIRCLE('',#354419,0.139999999999998); #65875=CIRCLE('',#354421,0.175000000000001); #65876=CIRCLE('',#354422,0.175000000000001); #65877=CIRCLE('',#354426,0.140000000000002); #65878=CIRCLE('',#354427,0.140000000000002); #65879=CIRCLE('',#354429,0.175000000000001); #65880=CIRCLE('',#354430,0.175000000000001); #65881=CIRCLE('',#354434,0.139999999999998); #65882=CIRCLE('',#354435,0.139999999999998); #65883=CIRCLE('',#354437,0.175000000000001); #65884=CIRCLE('',#354438,0.175000000000001); #65885=CIRCLE('',#354442,0.139999999999998); #65886=CIRCLE('',#354443,0.139999999999998); #65887=CIRCLE('',#354445,0.175000000000001); #65888=CIRCLE('',#354446,0.175000000000001); #65889=CIRCLE('',#354450,0.139999999999998); #65890=CIRCLE('',#354451,0.139999999999998); #65891=CIRCLE('',#354453,0.175000000000001); #65892=CIRCLE('',#354454,0.175000000000001); #65893=CIRCLE('',#354458,0.140000000000002); #65894=CIRCLE('',#354459,0.140000000000002); #65895=CIRCLE('',#354461,0.175000000000001); #65896=CIRCLE('',#354462,0.175000000000001); #65897=CIRCLE('',#354466,0.139999999999998); #65898=CIRCLE('',#354467,0.139999999999998); #65899=CIRCLE('',#354469,0.175000000000001); #65900=CIRCLE('',#354470,0.175000000000001); #65901=CIRCLE('',#354474,0.465); #65902=CIRCLE('',#354475,0.465); #65903=CIRCLE('',#354477,0.499999999999998); #65904=CIRCLE('',#354478,0.499999999999998); #65905=CIRCLE('',#354482,0.14); #65906=CIRCLE('',#354483,0.14); #65907=CIRCLE('',#354485,0.175000000000001); #65908=CIRCLE('',#354486,0.175000000000001); #65909=CIRCLE('',#354490,0.140000000000002); #65910=CIRCLE('',#354491,0.140000000000002); #65911=CIRCLE('',#354493,0.175000000000001); #65912=CIRCLE('',#354494,0.175000000000001); #65913=CIRCLE('',#354498,0.14); #65914=CIRCLE('',#354499,0.14); #65915=CIRCLE('',#354501,0.175000000000001); #65916=CIRCLE('',#354502,0.175000000000001); #65917=CIRCLE('',#354506,0.139999999999998); #65918=CIRCLE('',#354507,0.139999999999998); #65919=CIRCLE('',#354509,0.175000000000001); #65920=CIRCLE('',#354510,0.175000000000001); #65921=CIRCLE('',#354514,0.14); #65922=CIRCLE('',#354515,0.14); #65923=CIRCLE('',#354517,0.175000000000001); #65924=CIRCLE('',#354518,0.175000000000001); #65925=CIRCLE('',#354522,0.140000000000002); #65926=CIRCLE('',#354523,0.140000000000002); #65927=CIRCLE('',#354525,0.175000000000001); #65928=CIRCLE('',#354526,0.175000000000001); #65929=CIRCLE('',#354530,0.14); #65930=CIRCLE('',#354531,0.14); #65931=CIRCLE('',#354533,0.175000000000001); #65932=CIRCLE('',#354534,0.175000000000001); #65933=CIRCLE('',#354538,0.139999999999998); #65934=CIRCLE('',#354539,0.139999999999998); #65935=CIRCLE('',#354541,0.175000000000001); #65936=CIRCLE('',#354542,0.175000000000001); #65937=CIRCLE('',#354546,0.14); #65938=CIRCLE('',#354547,0.14); #65939=CIRCLE('',#354549,0.175000000000001); #65940=CIRCLE('',#354550,0.175000000000001); #65941=CIRCLE('',#354554,0.140000000000002); #65942=CIRCLE('',#354555,0.140000000000002); #65943=CIRCLE('',#354557,0.175000000000001); #65944=CIRCLE('',#354558,0.175000000000001); #65945=CIRCLE('',#354562,0.14); #65946=CIRCLE('',#354563,0.14); #65947=CIRCLE('',#354565,0.175000000000001); #65948=CIRCLE('',#354566,0.175000000000001); #65949=CIRCLE('',#354570,0.139999999999998); #65950=CIRCLE('',#354571,0.139999999999998); #65951=CIRCLE('',#354573,0.175000000000001); #65952=CIRCLE('',#354574,0.175000000000001); #65953=CIRCLE('',#354578,0.14); #65954=CIRCLE('',#354579,0.14); #65955=CIRCLE('',#354581,0.175000000000001); #65956=CIRCLE('',#354582,0.175000000000001); #65957=CIRCLE('',#354586,0.140000000000002); #65958=CIRCLE('',#354587,0.140000000000002); #65959=CIRCLE('',#354589,0.175000000000001); #65960=CIRCLE('',#354590,0.175000000000001); #65961=CIRCLE('',#354594,0.14); #65962=CIRCLE('',#354595,0.14); #65963=CIRCLE('',#354597,0.175000000000001); #65964=CIRCLE('',#354598,0.175000000000001); #65965=CIRCLE('',#354602,0.139999999999998); #65966=CIRCLE('',#354603,0.139999999999998); #65967=CIRCLE('',#354605,0.175000000000001); #65968=CIRCLE('',#354606,0.175000000000001); #65969=CIRCLE('',#354610,0.14); #65970=CIRCLE('',#354611,0.14); #65971=CIRCLE('',#354613,0.175000000000001); #65972=CIRCLE('',#354614,0.175000000000001); #65973=CIRCLE('',#354618,0.14); #65974=CIRCLE('',#354619,0.14); #65975=CIRCLE('',#354621,0.175); #65976=CIRCLE('',#354622,0.175); #65977=CIRCLE('',#354626,0.14); #65978=CIRCLE('',#354627,0.14); #65979=CIRCLE('',#354629,0.175000000000001); #65980=CIRCLE('',#354630,0.175000000000001); #65981=CIRCLE('',#354634,0.139999999999998); #65982=CIRCLE('',#354635,0.139999999999998); #65983=CIRCLE('',#354637,0.175000000000001); #65984=CIRCLE('',#354638,0.175000000000001); #65985=CIRCLE('',#354642,0.14); #65986=CIRCLE('',#354643,0.14); #65987=CIRCLE('',#354645,0.175000000000001); #65988=CIRCLE('',#354646,0.175000000000001); #65989=CIRCLE('',#354650,0.14); #65990=CIRCLE('',#354651,0.14); #65991=CIRCLE('',#354653,0.175000000000001); #65992=CIRCLE('',#354654,0.175000000000001); #65993=CIRCLE('',#354658,0.14); #65994=CIRCLE('',#354659,0.14); #65995=CIRCLE('',#354661,0.175000000000001); #65996=CIRCLE('',#354662,0.175000000000001); #65997=CIRCLE('',#354666,0.139999999999998); #65998=CIRCLE('',#354667,0.139999999999998); #65999=CIRCLE('',#354669,0.175000000000001); #66000=CIRCLE('',#354670,0.175000000000001); #66001=CIRCLE('',#354674,0.139999999999998); #66002=CIRCLE('',#354675,0.139999999999998); #66003=CIRCLE('',#354677,0.175000000000001); #66004=CIRCLE('',#354678,0.175000000000001); #66005=CIRCLE('',#354682,0.14); #66006=CIRCLE('',#354683,0.14); #66007=CIRCLE('',#354685,0.175); #66008=CIRCLE('',#354686,0.175); #66009=CIRCLE('',#354690,0.139999999999998); #66010=CIRCLE('',#354691,0.139999999999998); #66011=CIRCLE('',#354693,0.175000000000001); #66012=CIRCLE('',#354694,0.175000000000001); #66013=CIRCLE('',#354698,0.139999999999998); #66014=CIRCLE('',#354699,0.139999999999998); #66015=CIRCLE('',#354701,0.175000000000001); #66016=CIRCLE('',#354702,0.175000000000001); #66017=CIRCLE('',#354706,0.139999999999998); #66018=CIRCLE('',#354707,0.139999999999998); #66019=CIRCLE('',#354709,0.175000000000001); #66020=CIRCLE('',#354710,0.175000000000001); #66021=CIRCLE('',#354714,0.14); #66022=CIRCLE('',#354715,0.14); #66023=CIRCLE('',#354717,0.175000000000001); #66024=CIRCLE('',#354718,0.175000000000001); #66025=CIRCLE('',#354722,0.139999999999998); #66026=CIRCLE('',#354723,0.139999999999998); #66027=CIRCLE('',#354725,0.175000000000001); #66028=CIRCLE('',#354726,0.175000000000001); #66029=CIRCLE('',#354730,0.565000000000002); #66030=CIRCLE('',#354731,0.565000000000002); #66031=CIRCLE('',#354733,0.600000000000001); #66032=CIRCLE('',#354734,0.600000000000001); #66033=CIRCLE('',#354738,0.140000000000002); #66034=CIRCLE('',#354739,0.140000000000002); #66035=CIRCLE('',#354741,0.175000000000001); #66036=CIRCLE('',#354742,0.175000000000001); #66037=CIRCLE('',#354746,0.139999999999998); #66038=CIRCLE('',#354747,0.139999999999998); #66039=CIRCLE('',#354749,0.175000000000001); #66040=CIRCLE('',#354750,0.175000000000001); #66041=CIRCLE('',#354754,0.140000000000002); #66042=CIRCLE('',#354755,0.140000000000002); #66043=CIRCLE('',#354757,0.175000000000001); #66044=CIRCLE('',#354758,0.175000000000001); #66045=CIRCLE('',#354762,0.139999999999998); #66046=CIRCLE('',#354763,0.139999999999998); #66047=CIRCLE('',#354765,0.175000000000001); #66048=CIRCLE('',#354766,0.175000000000001); #66049=CIRCLE('',#354770,0.140000000000002); #66050=CIRCLE('',#354771,0.140000000000002); #66051=CIRCLE('',#354773,0.175000000000001); #66052=CIRCLE('',#354774,0.175000000000001); #66053=CIRCLE('',#354778,0.139999999999998); #66054=CIRCLE('',#354779,0.139999999999998); #66055=CIRCLE('',#354781,0.175000000000001); #66056=CIRCLE('',#354782,0.175000000000001); #66057=CIRCLE('',#354786,0.140000000000002); #66058=CIRCLE('',#354787,0.140000000000002); #66059=CIRCLE('',#354789,0.175000000000001); #66060=CIRCLE('',#354790,0.175000000000001); #66061=CIRCLE('',#354794,0.139999999999998); #66062=CIRCLE('',#354795,0.139999999999998); #66063=CIRCLE('',#354797,0.175000000000001); #66064=CIRCLE('',#354798,0.175000000000001); #66065=CIRCLE('',#354802,0.140000000000002); #66066=CIRCLE('',#354803,0.140000000000002); #66067=CIRCLE('',#354805,0.175000000000001); #66068=CIRCLE('',#354806,0.175000000000001); #66069=CIRCLE('',#354810,0.139999999999998); #66070=CIRCLE('',#354811,0.139999999999998); #66071=CIRCLE('',#354813,0.175000000000001); #66072=CIRCLE('',#354814,0.175000000000001); #66073=CIRCLE('',#354818,0.139999999999998); #66074=CIRCLE('',#354819,0.139999999999998); #66075=CIRCLE('',#354821,0.175000000000001); #66076=CIRCLE('',#354822,0.175000000000001); #66077=CIRCLE('',#354826,0.139999999999998); #66078=CIRCLE('',#354827,0.139999999999998); #66079=CIRCLE('',#354829,0.175000000000001); #66080=CIRCLE('',#354830,0.175000000000001); #66081=CIRCLE('',#354834,0.139999999999998); #66082=CIRCLE('',#354835,0.139999999999998); #66083=CIRCLE('',#354837,0.175000000000001); #66084=CIRCLE('',#354838,0.175000000000001); #66085=CIRCLE('',#354842,0.139999999999998); #66086=CIRCLE('',#354843,0.139999999999998); #66087=CIRCLE('',#354845,0.175000000000001); #66088=CIRCLE('',#354846,0.175000000000001); #66089=CIRCLE('',#354850,0.140000000000002); #66090=CIRCLE('',#354851,0.140000000000002); #66091=CIRCLE('',#354853,0.175000000000001); #66092=CIRCLE('',#354854,0.175000000000001); #66093=CIRCLE('',#354858,0.465); #66094=CIRCLE('',#354859,0.465); #66095=CIRCLE('',#354861,0.5); #66096=CIRCLE('',#354862,0.5); #66097=CIRCLE('',#354866,0.14); #66098=CIRCLE('',#354867,0.14); #66099=CIRCLE('',#354869,0.175000000000001); #66100=CIRCLE('',#354870,0.175000000000001); #66101=CIRCLE('',#354874,0.139999999999998); #66102=CIRCLE('',#354875,0.139999999999998); #66103=CIRCLE('',#354877,0.175000000000001); #66104=CIRCLE('',#354878,0.175000000000001); #66105=CIRCLE('',#354882,0.14); #66106=CIRCLE('',#354883,0.14); #66107=CIRCLE('',#354885,0.175000000000001); #66108=CIRCLE('',#354886,0.175000000000001); #66109=CIRCLE('',#354890,0.139999999999998); #66110=CIRCLE('',#354891,0.139999999999998); #66111=CIRCLE('',#354893,0.175000000000001); #66112=CIRCLE('',#354894,0.175000000000001); #66113=CIRCLE('',#354898,0.14); #66114=CIRCLE('',#354899,0.14); #66115=CIRCLE('',#354901,0.175000000000001); #66116=CIRCLE('',#354902,0.175000000000001); #66117=CIRCLE('',#354906,0.139999999999998); #66118=CIRCLE('',#354907,0.139999999999998); #66119=CIRCLE('',#354909,0.175000000000001); #66120=CIRCLE('',#354910,0.175000000000001); #66121=CIRCLE('',#354914,0.14); #66122=CIRCLE('',#354915,0.14); #66123=CIRCLE('',#354917,0.175000000000001); #66124=CIRCLE('',#354918,0.175000000000001); #66125=CIRCLE('',#354922,0.139999999999998); #66126=CIRCLE('',#354923,0.139999999999998); #66127=CIRCLE('',#354925,0.175000000000001); #66128=CIRCLE('',#354926,0.175000000000001); #66129=CIRCLE('',#354930,0.14); #66130=CIRCLE('',#354931,0.14); #66131=CIRCLE('',#354933,0.175000000000001); #66132=CIRCLE('',#354934,0.175000000000001); #66133=CIRCLE('',#354938,0.139999999999998); #66134=CIRCLE('',#354939,0.139999999999998); #66135=CIRCLE('',#354941,0.175000000000001); #66136=CIRCLE('',#354942,0.175000000000001); #66137=CIRCLE('',#354946,0.14); #66138=CIRCLE('',#354947,0.14); #66139=CIRCLE('',#354949,0.175000000000001); #66140=CIRCLE('',#354950,0.175000000000001); #66141=CIRCLE('',#354954,0.139999999999998); #66142=CIRCLE('',#354955,0.139999999999998); #66143=CIRCLE('',#354957,0.175000000000001); #66144=CIRCLE('',#354958,0.175000000000001); #66145=CIRCLE('',#354962,0.14); #66146=CIRCLE('',#354963,0.14); #66147=CIRCLE('',#354965,0.175000000000001); #66148=CIRCLE('',#354966,0.175000000000001); #66149=CIRCLE('',#354970,0.139999999999998); #66150=CIRCLE('',#354971,0.139999999999998); #66151=CIRCLE('',#354973,0.175000000000001); #66152=CIRCLE('',#354974,0.175000000000001); #66153=CIRCLE('',#354978,0.14); #66154=CIRCLE('',#354979,0.14); #66155=CIRCLE('',#354981,0.175000000000001); #66156=CIRCLE('',#354982,0.175000000000001); #66157=CIRCLE('',#354986,0.514999999999999); #66158=CIRCLE('',#354987,0.514999999999999); #66159=CIRCLE('',#354989,0.550000000000002); #66160=CIRCLE('',#354990,0.550000000000002); #66161=CIRCLE('',#354994,0.140000000000002); #66162=CIRCLE('',#354995,0.140000000000002); #66163=CIRCLE('',#354997,0.175000000000001); #66164=CIRCLE('',#354998,0.175000000000001); #66165=CIRCLE('',#355002,0.139999999999998); #66166=CIRCLE('',#355003,0.139999999999998); #66167=CIRCLE('',#355005,0.175000000000001); #66168=CIRCLE('',#355006,0.175000000000001); #66169=CIRCLE('',#355010,0.140000000000002); #66170=CIRCLE('',#355011,0.140000000000002); #66171=CIRCLE('',#355013,0.175000000000001); #66172=CIRCLE('',#355014,0.175000000000001); #66173=CIRCLE('',#355018,0.139999999999998); #66174=CIRCLE('',#355019,0.139999999999998); #66175=CIRCLE('',#355021,0.175000000000001); #66176=CIRCLE('',#355022,0.175000000000001); #66177=CIRCLE('',#355026,0.140000000000002); #66178=CIRCLE('',#355027,0.140000000000002); #66179=CIRCLE('',#355029,0.175000000000001); #66180=CIRCLE('',#355030,0.175000000000001); #66181=CIRCLE('',#355034,0.139999999999998); #66182=CIRCLE('',#355035,0.139999999999998); #66183=CIRCLE('',#355037,0.175000000000001); #66184=CIRCLE('',#355038,0.175000000000001); #66185=CIRCLE('',#355042,0.140000000000002); #66186=CIRCLE('',#355043,0.140000000000002); #66187=CIRCLE('',#355045,0.175000000000001); #66188=CIRCLE('',#355046,0.175000000000001); #66189=CIRCLE('',#355050,0.139999999999998); #66190=CIRCLE('',#355051,0.139999999999998); #66191=CIRCLE('',#355053,0.175000000000001); #66192=CIRCLE('',#355054,0.175000000000001); #66193=CIRCLE('',#355058,0.140000000000002); #66194=CIRCLE('',#355059,0.140000000000002); #66195=CIRCLE('',#355061,0.175000000000001); #66196=CIRCLE('',#355062,0.175000000000001); #66197=CIRCLE('',#355066,0.139999999999998); #66198=CIRCLE('',#355067,0.139999999999998); #66199=CIRCLE('',#355069,0.175000000000001); #66200=CIRCLE('',#355070,0.175000000000001); #66201=CIRCLE('',#355074,0.140000000000002); #66202=CIRCLE('',#355075,0.140000000000002); #66203=CIRCLE('',#355077,0.175000000000001); #66204=CIRCLE('',#355078,0.175000000000001); #66205=CIRCLE('',#355082,0.139999999999998); #66206=CIRCLE('',#355083,0.139999999999998); #66207=CIRCLE('',#355085,0.175000000000001); #66208=CIRCLE('',#355086,0.175000000000001); #66209=CIRCLE('',#355090,0.140000000000002); #66210=CIRCLE('',#355091,0.140000000000002); #66211=CIRCLE('',#355093,0.175000000000001); #66212=CIRCLE('',#355094,0.175000000000001); #66213=CIRCLE('',#355098,0.139999999999998); #66214=CIRCLE('',#355099,0.139999999999998); #66215=CIRCLE('',#355101,0.175000000000001); #66216=CIRCLE('',#355102,0.175000000000001); #66217=CIRCLE('',#355106,0.140000000000002); #66218=CIRCLE('',#355107,0.140000000000002); #66219=CIRCLE('',#355109,0.175000000000001); #66220=CIRCLE('',#355110,0.175000000000001); #66221=CIRCLE('',#355114,0.465); #66222=CIRCLE('',#355115,0.465); #66223=CIRCLE('',#355117,0.5); #66224=CIRCLE('',#355118,0.5); #66225=CIRCLE('',#355122,0.140000000000002); #66226=CIRCLE('',#355123,0.140000000000002); #66227=CIRCLE('',#355125,0.175000000000001); #66228=CIRCLE('',#355126,0.175000000000001); #66229=CIRCLE('',#355130,0.139999999999998); #66230=CIRCLE('',#355131,0.139999999999998); #66231=CIRCLE('',#355133,0.175000000000001); #66232=CIRCLE('',#355134,0.175000000000001); #66233=CIRCLE('',#355138,0.140000000000002); #66234=CIRCLE('',#355139,0.140000000000002); #66235=CIRCLE('',#355141,0.175000000000001); #66236=CIRCLE('',#355142,0.175000000000001); #66237=CIRCLE('',#355146,0.139999999999998); #66238=CIRCLE('',#355147,0.139999999999998); #66239=CIRCLE('',#355149,0.175000000000001); #66240=CIRCLE('',#355150,0.175000000000001); #66241=CIRCLE('',#355154,0.140000000000002); #66242=CIRCLE('',#355155,0.140000000000002); #66243=CIRCLE('',#355157,0.175000000000001); #66244=CIRCLE('',#355158,0.175000000000001); #66245=CIRCLE('',#355162,0.139999999999998); #66246=CIRCLE('',#355163,0.139999999999998); #66247=CIRCLE('',#355165,0.175000000000001); #66248=CIRCLE('',#355166,0.175000000000001); #66249=CIRCLE('',#355170,0.140000000000002); #66250=CIRCLE('',#355171,0.140000000000002); #66251=CIRCLE('',#355173,0.175000000000001); #66252=CIRCLE('',#355174,0.175000000000001); #66253=CIRCLE('',#355178,0.139999999999998); #66254=CIRCLE('',#355179,0.139999999999998); #66255=CIRCLE('',#355181,0.175000000000001); #66256=CIRCLE('',#355182,0.175000000000001); #66257=CIRCLE('',#355186,0.140000000000002); #66258=CIRCLE('',#355187,0.140000000000002); #66259=CIRCLE('',#355189,0.175000000000001); #66260=CIRCLE('',#355190,0.175000000000001); #66261=CIRCLE('',#355194,0.139999999999998); #66262=CIRCLE('',#355195,0.139999999999998); #66263=CIRCLE('',#355197,0.175000000000001); #66264=CIRCLE('',#355198,0.175000000000001); #66265=CIRCLE('',#355202,0.140000000000002); #66266=CIRCLE('',#355203,0.140000000000002); #66267=CIRCLE('',#355205,0.175000000000001); #66268=CIRCLE('',#355206,0.175000000000001); #66269=CIRCLE('',#355210,0.139999999999998); #66270=CIRCLE('',#355211,0.139999999999998); #66271=CIRCLE('',#355213,0.175000000000001); #66272=CIRCLE('',#355214,0.175000000000001); #66273=CIRCLE('',#355218,0.140000000000002); #66274=CIRCLE('',#355219,0.140000000000002); #66275=CIRCLE('',#355221,0.175000000000001); #66276=CIRCLE('',#355222,0.175000000000001); #66277=CIRCLE('',#355226,0.140000000000002); #66278=CIRCLE('',#355227,0.140000000000002); #66279=CIRCLE('',#355229,0.175000000000001); #66280=CIRCLE('',#355230,0.175000000000001); #66281=CIRCLE('',#355234,0.140000000000002); #66282=CIRCLE('',#355235,0.140000000000002); #66283=CIRCLE('',#355237,0.175000000000001); #66284=CIRCLE('',#355238,0.175000000000001); #66285=CIRCLE('',#355242,0.564999999999998); #66286=CIRCLE('',#355243,0.564999999999998); #66287=CIRCLE('',#355245,0.600000000000001); #66288=CIRCLE('',#355246,0.600000000000001); #66289=CIRCLE('',#355251,0.564999999999998); #66290=CIRCLE('',#355252,0.564999999999998); #66291=CIRCLE('',#355255,0.900857088405025); #66292=CIRCLE('',#355256,0.900857088405025); #66293=CIRCLE('',#355258,0.900856769723134); #66294=CIRCLE('',#355259,0.900856769723134); #66295=CIRCLE('',#355323,0.565000000000002); #66296=CIRCLE('',#355324,0.565000000000002); #66297=CIRCLE('',#355327,0.900857088405025); #66298=CIRCLE('',#355328,0.900857088405025); #66299=CIRCLE('',#355330,0.900856769723134); #66300=CIRCLE('',#355331,0.900856769723134); #66301=CIRCLE('',#355335,0.140000000000002); #66302=CIRCLE('',#355336,0.140000000000002); #66303=CIRCLE('',#355338,0.140000000000002); #66304=CIRCLE('',#355339,0.140000000000002); #66305=CIRCLE('',#355342,0.275850031150924); #66306=CIRCLE('',#355343,0.275850031150924); #66307=CIRCLE('',#355345,0.275430734847663); #66308=CIRCLE('',#355346,0.275430734847663); #66309=CIRCLE('',#355349,0.275430616157734); #66310=CIRCLE('',#355350,0.275430616157734); #66311=CIRCLE('',#355352,0.275430435747982); #66312=CIRCLE('',#355353,0.275430435747982); #66313=CIRCLE('',#355356,0.275430284536495); #66314=CIRCLE('',#355357,0.275430284536495); #66315=CIRCLE('',#355361,0.514999999999999); #66316=CIRCLE('',#355362,0.514999999999999); #66317=CIRCLE('',#355365,0.825995597015953); #66318=CIRCLE('',#355366,0.825995597015953); #66319=CIRCLE('',#355368,0.825996336030616); #66320=CIRCLE('',#355369,0.825996336030616); #66321=CIRCLE('',#355373,0.465); #66322=CIRCLE('',#355374,0.465); #66323=CIRCLE('',#355377,0.750904962541172); #66324=CIRCLE('',#355378,0.750904962541172); #66325=CIRCLE('',#355380,0.750905460124643); #66326=CIRCLE('',#355381,0.750905460124643); #66327=CIRCLE('',#355385,0.139999999999998); #66328=CIRCLE('',#355386,0.139999999999998); #66329=CIRCLE('',#355389,0.275430523299251); #66330=CIRCLE('',#355390,0.275430523299251); #66331=CIRCLE('',#355393,0.127001515838141); #66332=CIRCLE('',#355394,0.127001515838141); #66333=CIRCLE('',#355403,0.275430756167228); #66334=CIRCLE('',#355404,0.275430756167228); #66335=CIRCLE('',#355406,0.275850020106942); #66336=CIRCLE('',#355407,0.275850020106942); #66337=CIRCLE('',#355411,0.139999999999998); #66338=CIRCLE('',#355412,0.139999999999998); #66339=CIRCLE('',#355415,0.275429677195186); #66340=CIRCLE('',#355416,0.275429677195186); #66341=CIRCLE('',#355425,0.275429442438526); #66342=CIRCLE('',#355426,0.275429442438526); #66343=CIRCLE('',#355438,0.127001515838136); #66344=CIRCLE('',#355439,0.127001515838136); #66345=CIRCLE('',#355442,0.127001515838139); #66346=CIRCLE('',#355443,0.127001515838139); #66347=CIRCLE('',#355452,0.127000308727438); #66348=CIRCLE('',#355453,0.127000308727438); #66349=CIRCLE('',#355463,0.127000308727433); #66350=CIRCLE('',#355464,0.127000308727433); #66351=CIRCLE('',#355473,0.127000308727435); #66352=CIRCLE('',#355474,0.127000308727435); #66353=CIRCLE('',#355478,0.127000308727438); #66354=CIRCLE('',#355479,0.127000308727438); #66355=CIRCLE('',#355488,0.127001515838141); #66356=CIRCLE('',#355489,0.127001515838141); #66357=CIRCLE('',#355496,0.127000308727433); #66358=CIRCLE('',#355497,0.127000308727433); #66359=CIRCLE('',#355500,0.127000308727433); #66360=CIRCLE('',#355501,0.127000308727433); #66361=CIRCLE('',#355514,0.127000308727433); #66362=CIRCLE('',#355515,0.127000308727433); #66363=CIRCLE('',#355518,0.127000308727433); #66364=CIRCLE('',#355519,0.127000308727433); #66365=CIRCLE('',#355526,0.127000308727425); #66366=CIRCLE('',#355527,0.127000308727425); #66367=CIRCLE('',#355536,0.127000308727441); #66368=CIRCLE('',#355537,0.127000308727441); #66369=CIRCLE('',#355541,0.127000308727431); #66370=CIRCLE('',#355542,0.127000308727431); #66371=CIRCLE('',#355551,0.127000308727431); #66372=CIRCLE('',#355552,0.127000308727431); #66373=CIRCLE('',#355558,0.139999999999998); #66374=CIRCLE('',#355559,0.139999999999998); #66375=CIRCLE('',#355562,0.275429726247122); #66376=CIRCLE('',#355563,0.275429726247122); #66377=CIRCLE('',#355567,0.127000429438417); #66378=CIRCLE('',#355568,0.127000429438417); #66379=CIRCLE('',#355572,0.127000308727438); #66380=CIRCLE('',#355573,0.127000308727438); #66381=CIRCLE('',#355583,0.127000308727435); #66382=CIRCLE('',#355584,0.127000308727435); #66383=CIRCLE('',#355588,0.127000429438417); #66384=CIRCLE('',#355589,0.127000429438417); #66385=CIRCLE('',#355592,0.27542954841201); #66386=CIRCLE('',#355593,0.27542954841201); #66387=CIRCLE('',#355597,0.139999999999998); #66388=CIRCLE('',#355598,0.139999999999998); #66389=CIRCLE('',#355601,0.275429726247122); #66390=CIRCLE('',#355602,0.275429726247122); #66391=CIRCLE('',#355606,0.127001515838136); #66392=CIRCLE('',#355607,0.127001515838136); #66393=CIRCLE('',#355617,0.127000308727438); #66394=CIRCLE('',#355618,0.127000308727438); #66395=CIRCLE('',#355621,0.275429548412009); #66396=CIRCLE('',#355622,0.275429548412009); #66397=CIRCLE('',#355626,0.139999999999998); #66398=CIRCLE('',#355627,0.139999999999998); #66399=CIRCLE('',#355630,0.275429677195186); #66400=CIRCLE('',#355631,0.275429677195186); #66401=CIRCLE('',#355640,0.127000308727438); #66402=CIRCLE('',#355641,0.127000308727438); #66403=CIRCLE('',#355644,0.275429442438526); #66404=CIRCLE('',#355645,0.275429442438526); #66405=CIRCLE('',#355649,0.139999999999998); #66406=CIRCLE('',#355650,0.139999999999998); #66407=CIRCLE('',#355653,0.275430525995305); #66408=CIRCLE('',#355654,0.275430525995305); #66409=CIRCLE('',#355657,0.127000308727436); #66410=CIRCLE('',#355658,0.127000308727436); #66411=CIRCLE('',#355669,0.275850020106943); #66412=CIRCLE('',#355670,0.275850020106943); #66413=CIRCLE('',#355674,0.140000000000002); #66414=CIRCLE('',#355675,0.140000000000002); #66415=CIRCLE('',#355677,0.140000000000002); #66416=CIRCLE('',#355678,0.140000000000002); #66417=CIRCLE('',#355681,0.275850031150944); #66418=CIRCLE('',#355682,0.275850031150944); #66419=CIRCLE('',#355684,0.275430734847662); #66420=CIRCLE('',#355685,0.275430734847662); #66421=CIRCLE('',#355688,0.275446747951507); #66422=CIRCLE('',#355689,0.275446747951507); #66423=CIRCLE('',#355691,0.275424633936642); #66424=CIRCLE('',#355692,0.275424633936642); #66425=CIRCLE('',#355695,0.275430284536491); #66426=CIRCLE('',#355696,0.275430284536491); #66427=CIRCLE('',#355700,0.139999999999998); #66428=CIRCLE('',#355701,0.139999999999998); #66429=CIRCLE('',#355704,0.275429726247122); #66430=CIRCLE('',#355705,0.275429726247122); #66431=CIRCLE('',#355709,0.127001515838133); #66432=CIRCLE('',#355710,0.127001515838133); #66433=CIRCLE('',#355720,0.127000308727435); #66434=CIRCLE('',#355721,0.127000308727435); #66435=CIRCLE('',#355724,0.275429548412009); #66436=CIRCLE('',#355725,0.275429548412009); #66437=CIRCLE('',#355729,0.139999999999998); #66438=CIRCLE('',#355730,0.139999999999998); #66439=CIRCLE('',#355733,0.275429726247122); #66440=CIRCLE('',#355734,0.275429726247122); #66441=CIRCLE('',#355738,0.127000429438417); #66442=CIRCLE('',#355739,0.127000429438417); #66443=CIRCLE('',#355743,0.127000308727435); #66444=CIRCLE('',#355744,0.127000308727435); #66445=CIRCLE('',#355754,0.127000308727433); #66446=CIRCLE('',#355755,0.127000308727433); #66447=CIRCLE('',#355759,0.127000429438417); #66448=CIRCLE('',#355760,0.127000429438417); #66449=CIRCLE('',#355763,0.27542954841201); #66450=CIRCLE('',#355764,0.27542954841201); #66451=CIRCLE('',#355772,0.127000308727439); #66452=CIRCLE('',#355773,0.127000308727439); #66453=CIRCLE('',#355776,0.127000308727438); #66454=CIRCLE('',#355777,0.127000308727438); #66455=CIRCLE('',#355782,0.127000308727438); #66456=CIRCLE('',#355783,0.127000308727438); #66457=CIRCLE('',#355792,0.127000308727433); #66458=CIRCLE('',#355793,0.127000308727433); #66459=CIRCLE('',#355796,0.127000308727435); #66460=CIRCLE('',#355797,0.127000308727435); #66461=CIRCLE('',#355806,0.139999999999998); #66462=CIRCLE('',#355807,0.139999999999998); #66463=CIRCLE('',#355810,0.275429677195186); #66464=CIRCLE('',#355811,0.275429677195186); #66465=CIRCLE('',#355815,0.127000308727438); #66466=CIRCLE('',#355816,0.127000308727438); #66467=CIRCLE('',#355819,0.127000308727438); #66468=CIRCLE('',#355820,0.127000308727438); #66469=CIRCLE('',#355823,0.127000308727446); #66470=CIRCLE('',#355824,0.127000308727446); #66471=CIRCLE('',#355833,0.127000308727441); #66472=CIRCLE('',#355834,0.127000308727441); #66473=CIRCLE('',#355840,0.127000308727447); #66474=CIRCLE('',#355841,0.127000308727447); #66475=CIRCLE('',#355844,0.275429442438526); #66476=CIRCLE('',#355845,0.275429442438526); #66477=CIRCLE('',#355849,0.139999999999998); #66478=CIRCLE('',#355850,0.139999999999998); #66479=CIRCLE('',#355853,0.27543052329925); #66480=CIRCLE('',#355854,0.27543052329925); #66481=CIRCLE('',#355863,0.275430756167224); #66482=CIRCLE('',#355864,0.275430756167224); #66483=CIRCLE('',#355866,0.275850020106942); #66484=CIRCLE('',#355867,0.275850020106942); #66485=CIRCLE('',#355876,0.127000308727433); #66486=CIRCLE('',#355877,0.127000308727433); #66487=CIRCLE('',#355882,0.127000308727444); #66488=CIRCLE('',#355883,0.127000308727444); #66489=CIRCLE('',#355886,0.127000308727438); #66490=CIRCLE('',#355887,0.127000308727438); #66491=CIRCLE('',#355890,0.127000308727436); #66492=CIRCLE('',#355891,0.127000308727436); #66493=CIRCLE('',#355895,0.127000308727438); #66494=CIRCLE('',#355896,0.127000308727438); #66495=CIRCLE('',#355905,0.127000308727443); #66496=CIRCLE('',#355906,0.127000308727443); #66497=CIRCLE('',#355910,0.127000308727427); #66498=CIRCLE('',#355911,0.127000308727427); #66499=CIRCLE('',#355917,0.127000308727438); #66500=CIRCLE('',#355918,0.127000308727438); #66501=CIRCLE('',#355921,0.127001515838136); #66502=CIRCLE('',#355922,0.127001515838136); #66503=CIRCLE('',#355935,0.127001515838136); #66504=CIRCLE('',#355936,0.127001515838136); #66505=CIRCLE('',#355939,0.127000308727433); #66506=CIRCLE('',#355940,0.127000308727433); #66507=CIRCLE('',#355946,0.127000308727433); #66508=CIRCLE('',#355947,0.127000308727433); #66509=CIRCLE('',#355951,0.127000308727443); #66510=CIRCLE('',#355952,0.127000308727443); #66511=CIRCLE('',#355961,0.127000308727438); #66512=CIRCLE('',#355962,0.127000308727438); #66513=CIRCLE('',#355966,0.127000308727436); #66514=CIRCLE('',#355967,0.127000308727436); #66515=CIRCLE('',#355970,0.127000308727438); #66516=CIRCLE('',#355971,0.127000308727438); #66517=CIRCLE('',#355974,0.127000308727444); #66518=CIRCLE('',#355975,0.127000308727444); #66519=CIRCLE('',#355980,0.127000308727433); #66520=CIRCLE('',#355981,0.127000308727433); #66521=CIRCLE('',#355991,0.127000308727438); #66522=CIRCLE('',#355992,0.127000308727438); #66523=CIRCLE('',#355996,0.127000308727438); #66524=CIRCLE('',#355997,0.127000308727438); #66525=CIRCLE('',#356006,0.127000308727438); #66526=CIRCLE('',#356007,0.127000308727438); #66527=CIRCLE('',#356015,0.139999999999998); #66528=CIRCLE('',#356016,0.139999999999998); #66529=CIRCLE('',#356020,0.127028798213342); #66530=CIRCLE('',#356021,0.127028798213342); #66531=CIRCLE('',#356026,0.126750626298977); #66532=CIRCLE('',#356027,0.126750626298977); #66533=CIRCLE('',#356031,0.275429704872054); #66534=CIRCLE('',#356032,0.275429704872054); #66535=CIRCLE('',#356034,0.275429777742635); #66536=CIRCLE('',#356035,0.275429777742635); #66537=CIRCLE('',#356038,0.127000308727434); #66538=CIRCLE('',#356039,0.127000308727434); #66539=CIRCLE('',#356043,0.127000308727438); #66540=CIRCLE('',#356044,0.127000308727438); #66541=CIRCLE('',#356053,0.139999999999998); #66542=CIRCLE('',#356054,0.139999999999998); #66543=CIRCLE('',#356057,0.275429677195186); #66544=CIRCLE('',#356058,0.275429677195186); #66545=CIRCLE('',#356062,0.127000308727435); #66546=CIRCLE('',#356063,0.127000308727435); #66547=CIRCLE('',#356073,0.127000308727435); #66548=CIRCLE('',#356074,0.127000308727435); #66549=CIRCLE('',#356077,0.275429442438527); #66550=CIRCLE('',#356078,0.275429442438527); #66551=CIRCLE('',#356082,0.139999999999998); #66552=CIRCLE('',#356083,0.139999999999998); #66553=CIRCLE('',#356086,0.275850826114455); #66554=CIRCLE('',#356087,0.275850826114455); #66555=CIRCLE('',#356089,0.275429566248993); #66556=CIRCLE('',#356090,0.275429566248993); #66557=CIRCLE('',#356099,0.127000308727425); #66558=CIRCLE('',#356100,0.127000308727425); #66559=CIRCLE('',#356103,0.275430756167224); #66560=CIRCLE('',#356104,0.275430756167224); #66561=CIRCLE('',#356108,0.139999999999998); #66562=CIRCLE('',#356109,0.139999999999998); #66563=CIRCLE('',#356116,0.127000308727433); #66564=CIRCLE('',#356117,0.127000308727433); #66565=CIRCLE('',#356121,0.127000308727438); #66566=CIRCLE('',#356122,0.127000308727438); #66567=CIRCLE('',#356125,0.275430616157731); #66568=CIRCLE('',#356126,0.275430616157731); #66569=CIRCLE('',#356128,0.275430435747982); #66570=CIRCLE('',#356129,0.275430435747982); #66571=CIRCLE('',#356133,0.127000308727436); #66572=CIRCLE('',#356134,0.127000308727436); #66573=CIRCLE('',#356141,0.139999999999998); #66574=CIRCLE('',#356142,0.139999999999998); #66575=CIRCLE('',#356145,0.275430523299249); #66576=CIRCLE('',#356146,0.275430523299249); #66577=CIRCLE('',#356149,0.127001515838139); #66578=CIRCLE('',#356150,0.127001515838139); #66579=CIRCLE('',#356159,0.275430756167228); #66580=CIRCLE('',#356160,0.275430756167228); #66581=CIRCLE('',#356162,0.275850020106943); #66582=CIRCLE('',#356163,0.275850020106943); #66583=CIRCLE('',#356167,0.139999999999998); #66584=CIRCLE('',#356168,0.139999999999998); #66585=CIRCLE('',#356176,0.127000308727438); #66586=CIRCLE('',#356177,0.127000308727438); #66587=CIRCLE('',#356181,0.275430616157734); #66588=CIRCLE('',#356182,0.275430616157734); #66589=CIRCLE('',#356184,0.275430906036581); #66590=CIRCLE('',#356185,0.275430906036581); #66591=CIRCLE('',#356188,0.127000308727438); #66592=CIRCLE('',#356189,0.127000308727438); #66593=CIRCLE('',#356193,0.127000308727433); #66594=CIRCLE('',#356194,0.127000308727433); #66595=CIRCLE('',#356200,0.139999999999998); #66596=CIRCLE('',#356201,0.139999999999998); #66597=CIRCLE('',#356204,0.27543052329925); #66598=CIRCLE('',#356205,0.27543052329925); #66599=CIRCLE('',#356214,0.275430756167225); #66600=CIRCLE('',#356215,0.275430756167225); #66601=CIRCLE('',#356217,0.275850020106942); #66602=CIRCLE('',#356218,0.275850020106942); #66603=CIRCLE('',#356222,0.140000000000002); #66604=CIRCLE('',#356223,0.140000000000002); #66605=CIRCLE('',#356226,0.275850826114459); #66606=CIRCLE('',#356227,0.275850826114459); #66607=CIRCLE('',#356229,0.275429566248995); #66608=CIRCLE('',#356230,0.275429566248995); #66609=CIRCLE('',#356239,0.275430756167224); #66610=CIRCLE('',#356240,0.275430756167224); #66611=CIRCLE('',#356244,0.139999999999998); #66612=CIRCLE('',#356245,0.139999999999998); #66613=CIRCLE('',#356252,0.12700030872744); #66614=CIRCLE('',#356253,0.12700030872744); #66615=CIRCLE('',#356260,0.275429728561975); #66616=CIRCLE('',#356261,0.275429728561975); #66617=CIRCLE('',#356263,0.275429566248996); #66618=CIRCLE('',#356264,0.275429566248996); #66619=CIRCLE('',#356267,0.127000308727436); #66620=CIRCLE('',#356268,0.127000308727436); #66621=CIRCLE('',#356271,0.127000308727438); #66622=CIRCLE('',#356272,0.127000308727438); #66623=CIRCLE('',#356275,0.127000308727438); #66624=CIRCLE('',#356276,0.127000308727438); #66625=CIRCLE('',#356279,0.127000308727431); #66626=CIRCLE('',#356280,0.127000308727431); #66627=CIRCLE('',#356286,0.139999999999998); #66628=CIRCLE('',#356287,0.139999999999998); #66629=CIRCLE('',#356297,0.275429728561975); #66630=CIRCLE('',#356298,0.275429728561975); #66631=CIRCLE('',#356300,0.275429566248998); #66632=CIRCLE('',#356301,0.275429566248998); #66633=CIRCLE('',#356304,0.127000308727436); #66634=CIRCLE('',#356305,0.127000308727436); #66635=CIRCLE('',#356308,0.127000308727438); #66636=CIRCLE('',#356309,0.127000308727438); #66637=CIRCLE('',#356312,0.12700151583812); #66638=CIRCLE('',#356313,0.12700151583812); #66639=CIRCLE('',#356318,0.140000000000002); #66640=CIRCLE('',#356319,0.140000000000002); #66641=CIRCLE('',#356326,0.127000308727436); #66642=CIRCLE('',#356327,0.127000308727436); #66643=CIRCLE('',#356330,0.127000308727436); #66644=CIRCLE('',#356331,0.127000308727436); #66645=CIRCLE('',#356334,0.127000308727436); #66646=CIRCLE('',#356335,0.127000308727436); #66647=CIRCLE('',#356338,0.127000308727447); #66648=CIRCLE('',#356339,0.127000308727447); #66649=CIRCLE('',#356342,0.275429704872057); #66650=CIRCLE('',#356343,0.275429704872057); #66651=CIRCLE('',#356345,0.275429777742636); #66652=CIRCLE('',#356346,0.275429777742636); #66653=CIRCLE('',#356353,0.12700030872743); #66654=CIRCLE('',#356354,0.12700030872743); #66655=CIRCLE('',#356364,0.127000308727437); #66656=CIRCLE('',#356365,0.127000308727437); #66657=CIRCLE('',#356368,0.127000308727438); #66658=CIRCLE('',#356369,0.127000308727438); #66659=CIRCLE('',#356380,0.127000308727433); #66660=CIRCLE('',#356381,0.127000308727433); #66661=CIRCLE('',#356384,0.127000308727438); #66662=CIRCLE('',#356385,0.127000308727438); #66663=CIRCLE('',#356394,0.139999999999998); #66664=CIRCLE('',#356395,0.139999999999998); #66665=CIRCLE('',#356403,0.139999999999998); #66666=CIRCLE('',#356404,0.139999999999998); #66667=CIRCLE('',#356407,0.275429677195186); #66668=CIRCLE('',#356408,0.275429677195186); #66669=CIRCLE('',#356411,0.127000308727449); #66670=CIRCLE('',#356412,0.127000308727449); #66671=CIRCLE('',#356418,0.127000308727436); #66672=CIRCLE('',#356419,0.127000308727436); #66673=CIRCLE('',#356428,0.12700030872744); #66674=CIRCLE('',#356429,0.12700030872744); #66675=CIRCLE('',#356432,0.127000308727438); #66676=CIRCLE('',#356433,0.127000308727438); #66677=CIRCLE('',#356436,0.127000308727438); #66678=CIRCLE('',#356437,0.127000308727438); #66679=CIRCLE('',#356441,0.275429442438526); #66680=CIRCLE('',#356442,0.275429442438526); #66681=CIRCLE('',#356446,0.139999999999998); #66682=CIRCLE('',#356447,0.139999999999998); #66683=CIRCLE('',#356456,0.127000308727438); #66684=CIRCLE('',#356457,0.127000308727438); #66685=CIRCLE('',#356460,0.275429704872049); #66686=CIRCLE('',#356461,0.275429704872049); #66687=CIRCLE('',#356463,0.27542977774263); #66688=CIRCLE('',#356464,0.27542977774263); #66689=CIRCLE('',#356468,0.127000308727437); #66690=CIRCLE('',#356469,0.127000308727437); #66691=CIRCLE('',#356474,0.140000000000002); #66692=CIRCLE('',#356475,0.140000000000002); #66693=CIRCLE('',#356487,0.127000308727447); #66694=CIRCLE('',#356488,0.127000308727447); #66695=CIRCLE('',#356491,0.127000308727447); #66696=CIRCLE('',#356492,0.127000308727447); #66697=CIRCLE('',#356497,0.127001515838128); #66698=CIRCLE('',#356498,0.127001515838128); #66699=CIRCLE('',#356501,0.127000308727427); #66700=CIRCLE('',#356502,0.127000308727427); #66701=CIRCLE('',#356507,0.275429704872049); #66702=CIRCLE('',#356508,0.275429704872049); #66703=CIRCLE('',#356510,0.27542977774263); #66704=CIRCLE('',#356511,0.27542977774263); #66705=CIRCLE('',#356514,0.127000308727435); #66706=CIRCLE('',#356515,0.127000308727435); #66707=CIRCLE('',#356518,0.127000308727427); #66708=CIRCLE('',#356519,0.127000308727427); #66709=CIRCLE('',#356524,0.12700030872744); #66710=CIRCLE('',#356525,0.12700030872744); #66711=CIRCLE('',#356528,0.127000308727436); #66712=CIRCLE('',#356529,0.127000308727436); #66713=CIRCLE('',#356542,0.140000000000002); #66714=CIRCLE('',#356543,0.140000000000002); #66715=CIRCLE('',#356550,0.127000308727433); #66716=CIRCLE('',#356551,0.127000308727433); #66717=CIRCLE('',#356555,0.127000308727438); #66718=CIRCLE('',#356556,0.127000308727438); #66719=CIRCLE('',#356560,0.275429728561973); #66720=CIRCLE('',#356561,0.275429728561973); #66721=CIRCLE('',#356563,0.275429566248996); #66722=CIRCLE('',#356564,0.275429566248996); #66723=CIRCLE('',#356567,0.127001515838138); #66724=CIRCLE('',#356568,0.127001515838138); #66725=CIRCLE('',#356572,0.127001515838136); #66726=CIRCLE('',#356573,0.127001515838136); #66727=CIRCLE('',#356580,0.139999999999998); #66728=CIRCLE('',#356581,0.139999999999998); #66729=CIRCLE('',#356589,0.139999999999998); #66730=CIRCLE('',#356590,0.139999999999998); #66731=CIRCLE('',#356598,0.139999999999998); #66732=CIRCLE('',#356599,0.139999999999998); #66733=CIRCLE('',#356602,0.275430525995305); #66734=CIRCLE('',#356603,0.275430525995305); #66735=CIRCLE('',#356606,0.127000308727435); #66736=CIRCLE('',#356607,0.127000308727435); #66737=CIRCLE('',#356618,0.275850020106943); #66738=CIRCLE('',#356619,0.275850020106943); #66739=CIRCLE('',#356623,0.465); #66740=CIRCLE('',#356624,0.465); #66741=CIRCLE('',#356627,0.750904962541172); #66742=CIRCLE('',#356628,0.750904962541172); #66743=CIRCLE('',#356630,0.750905460124644); #66744=CIRCLE('',#356631,0.750905460124644); #66745=CIRCLE('',#356635,0.139999999999998); #66746=CIRCLE('',#356636,0.139999999999998); #66747=CIRCLE('',#356638,0.465); #66748=CIRCLE('',#356639,0.465); #66749=CIRCLE('',#356642,0.275429677195186); #66750=CIRCLE('',#356643,0.275429677195186); #66751=CIRCLE('',#356646,0.750581792116793); #66752=CIRCLE('',#356647,0.750581792116793); #66753=CIRCLE('',#356649,0.750581774250364); #66754=CIRCLE('',#356650,0.750581774250364); #66755=CIRCLE('',#356653,0.275429442438529); #66756=CIRCLE('',#356654,0.275429442438529); #66757=CIRCLE('',#356658,0.465); #66758=CIRCLE('',#356659,0.465); #66759=CIRCLE('',#356662,0.750904962541172); #66760=CIRCLE('',#356663,0.750904962541172); #66761=CIRCLE('',#356665,0.750905460124643); #66762=CIRCLE('',#356666,0.750905460124643); #66763=CIRCLE('',#356670,0.564999999999998); #66764=CIRCLE('',#356671,0.564999999999998); #66765=CIRCLE('',#356679,0.139999999999998); #66766=CIRCLE('',#356680,0.139999999999998); #66767=CIRCLE('',#356694,0.127000308727433); #66768=CIRCLE('',#356695,0.127000308727433); #66769=CIRCLE('',#356698,0.127000308727437); #66770=CIRCLE('',#356699,0.127000308727437); #66771=CIRCLE('',#356704,0.127000308727438); #66772=CIRCLE('',#356705,0.127000308727438); #66773=CIRCLE('',#356708,0.127000308727433); #66774=CIRCLE('',#356709,0.127000308727433); #66775=CIRCLE('',#356712,0.275429704872049); #66776=CIRCLE('',#356713,0.275429704872049); #66777=CIRCLE('',#356715,0.27542977774263); #66778=CIRCLE('',#356716,0.27542977774263); #66779=CIRCLE('',#356721,0.127001515838136); #66780=CIRCLE('',#356722,0.127001515838136); #66781=CIRCLE('',#356725,0.127001515838129); #66782=CIRCLE('',#356726,0.127001515838129); #66783=CIRCLE('',#356731,0.127000308727433); #66784=CIRCLE('',#356732,0.127000308727433); #66785=CIRCLE('',#356735,0.127000308727449); #66786=CIRCLE('',#356736,0.127000308727449); #66787=CIRCLE('',#356747,0.139999999999998); #66788=CIRCLE('',#356748,0.139999999999998); #66789=CIRCLE('',#356754,0.127000308727433); #66790=CIRCLE('',#356755,0.127000308727433); #66791=CIRCLE('',#356758,0.127000308727438); #66792=CIRCLE('',#356759,0.127000308727438); #66793=CIRCLE('',#356763,0.127001515838136); #66794=CIRCLE('',#356764,0.127001515838136); #66795=CIRCLE('',#356767,0.275429728561973); #66796=CIRCLE('',#356768,0.275429728561973); #66797=CIRCLE('',#356770,0.275429566248995); #66798=CIRCLE('',#356771,0.275429566248995); #66799=CIRCLE('',#356775,0.127000308727438); #66800=CIRCLE('',#356776,0.127000308727438); #66801=CIRCLE('',#356813,0.140000000000002); #66802=CIRCLE('',#356814,0.140000000000002); #66803=CIRCLE('',#356820,0.127001515838122); #66804=CIRCLE('',#356821,0.127001515838122); #66805=CIRCLE('',#356824,0.12700030872744); #66806=CIRCLE('',#356825,0.12700030872744); #66807=CIRCLE('',#356828,0.127000308727447); #66808=CIRCLE('',#356829,0.127000308727447); #66809=CIRCLE('',#356832,0.275429704872057); #66810=CIRCLE('',#356833,0.275429704872057); #66811=CIRCLE('',#356835,0.275429777742636); #66812=CIRCLE('',#356836,0.275429777742636); #66813=CIRCLE('',#356845,0.139999999999998); #66814=CIRCLE('',#356846,0.139999999999998); #66815=CIRCLE('',#356849,0.275429677195186); #66816=CIRCLE('',#356850,0.275429677195186); #66817=CIRCLE('',#356853,0.127000308727433); #66818=CIRCLE('',#356854,0.127000308727433); #66819=CIRCLE('',#356857,0.127000308727444); #66820=CIRCLE('',#356858,0.127000308727444); #66821=CIRCLE('',#356869,0.12700030872744); #66822=CIRCLE('',#356870,0.12700030872744); #66823=CIRCLE('',#356873,0.127000308727438); #66824=CIRCLE('',#356874,0.127000308727438); #66825=CIRCLE('',#356879,0.275429442438529); #66826=CIRCLE('',#356880,0.275429442438529); #66827=CIRCLE('',#356884,0.139999999999998); #66828=CIRCLE('',#356885,0.139999999999998); #66829=CIRCLE('',#356888,0.275850031150926); #66830=CIRCLE('',#356889,0.275850031150926); #66831=CIRCLE('',#356891,0.27543073484766); #66832=CIRCLE('',#356892,0.27543073484766); #66833=CIRCLE('',#356900,0.127000308727438); #66834=CIRCLE('',#356901,0.127000308727438); #66835=CIRCLE('',#356904,0.275430620370238); #66836=CIRCLE('',#356905,0.275430620370238); #66837=CIRCLE('',#356913,0.127001515838141); #66838=CIRCLE('',#356914,0.127001515838141); #66839=CIRCLE('',#356917,0.127001515838136); #66840=CIRCLE('',#356918,0.127001515838136); #66841=CIRCLE('',#356923,0.127000308727438); #66842=CIRCLE('',#356924,0.127000308727438); #66843=CIRCLE('',#356927,0.127000308727438); #66844=CIRCLE('',#356928,0.127000308727438); #66845=CIRCLE('',#356939,0.127000308727438); #66846=CIRCLE('',#356940,0.127000308727438); #66847=CIRCLE('',#356943,0.127000308727438); #66848=CIRCLE('',#356944,0.127000308727438); #66849=CIRCLE('',#356949,0.127000308727438); #66850=CIRCLE('',#356950,0.127000308727438); #66851=CIRCLE('',#356953,0.127000308727438); #66852=CIRCLE('',#356954,0.127000308727438); #66853=CIRCLE('',#356963,0.139999999999998); #66854=CIRCLE('',#356964,0.139999999999998); #66855=CIRCLE('',#356967,0.275429677195185); #66856=CIRCLE('',#356968,0.275429677195185); #66857=CIRCLE('',#356977,0.275429442438528); #66858=CIRCLE('',#356978,0.275429442438528); #66859=CIRCLE('',#356982,0.139999999999998); #66860=CIRCLE('',#356983,0.139999999999998); #66861=CIRCLE('',#356985,0.139999999999998); #66862=CIRCLE('',#356986,0.139999999999998); #66863=CIRCLE('',#356990,0.275429728561973); #66864=CIRCLE('',#356991,0.275429728561973); #66865=CIRCLE('',#356993,0.275429566248995); #66866=CIRCLE('',#356994,0.275429566248995); #66867=CIRCLE('',#356998,0.275640885203079); #66868=CIRCLE('',#356999,0.275640885203079); #66869=CIRCLE('',#357001,0.275640720012506); #66870=CIRCLE('',#357002,0.275640720012506); #66871=CIRCLE('',#357014,0.127000308727432); #66872=CIRCLE('',#357015,0.127000308727432); #66873=CIRCLE('',#357018,0.126758017197154); #66874=CIRCLE('',#357019,0.126758017197154); #66875=CIRCLE('',#357027,0.127001515838137); #66876=CIRCLE('',#357028,0.127001515838137); #66877=CIRCLE('',#357041,0.14); #66878=CIRCLE('',#357042,0.14); #66879=CIRCLE('',#357044,0.14); #66880=CIRCLE('',#357045,0.14); #66881=CIRCLE('',#357047,0.14); #66882=CIRCLE('',#357048,0.14); #66883=CIRCLE('',#357050,0.14); #66884=CIRCLE('',#357051,0.14); #66885=CIRCLE('',#357053,0.14); #66886=CIRCLE('',#357054,0.14); #66887=CIRCLE('',#357056,0.14); #66888=CIRCLE('',#357057,0.14); #66889=CIRCLE('',#357059,0.14); #66890=CIRCLE('',#357060,0.14); #66891=CIRCLE('',#357062,0.14); #66892=CIRCLE('',#357063,0.14); #66893=CIRCLE('',#357065,0.465); #66894=CIRCLE('',#357066,0.465); #66895=CIRCLE('',#357068,0.514999999999999); #66896=CIRCLE('',#357069,0.514999999999999); #66897=CIRCLE('',#357071,0.14); #66898=CIRCLE('',#357072,0.14); #66899=CIRCLE('',#357078,0.127001515838136); #66900=CIRCLE('',#357079,0.127001515838136); #66901=CIRCLE('',#357082,0.127001515838141); #66902=CIRCLE('',#357083,0.127001515838141); #66903=CIRCLE('',#357203,0.127000308727438); #66904=CIRCLE('',#357204,0.127000308727438); #66905=CIRCLE('',#357207,0.127000308727438); #66906=CIRCLE('',#357208,0.127000308727438); #66907=CIRCLE('',#357217,0.465); #66908=CIRCLE('',#357218,0.465); #66909=CIRCLE('',#357221,0.750904962541172); #66910=CIRCLE('',#357222,0.750904962541172); #66911=CIRCLE('',#357224,0.750905460124644); #66912=CIRCLE('',#357225,0.750905460124644); #66913=CIRCLE('',#357319,0.140000000000002); #66914=CIRCLE('',#357320,0.140000000000002); #66915=CIRCLE('',#357322,0.140000000000002); #66916=CIRCLE('',#357323,0.140000000000002); #66917=CIRCLE('',#357325,0.140000000000002); #66918=CIRCLE('',#357326,0.140000000000002); #66919=CIRCLE('',#357501,0.140000000000002); #66920=CIRCLE('',#357502,0.140000000000002); #66921=CIRCLE('',#357505,0.565); #66922=CIRCLE('',#357506,0.565); #66923=CIRCLE('',#357509,0.565); #66924=CIRCLE('',#357510,0.565); #66925=CIRCLE('',#357512,0.514999999999999); #66926=CIRCLE('',#357513,0.514999999999999); #66927=CIRCLE('',#357515,0.140000000000002); #66928=CIRCLE('',#357516,0.140000000000002); #66929=CIRCLE('',#357518,0.140000000000002); #66930=CIRCLE('',#357519,0.140000000000002); #66931=CIRCLE('',#357521,0.140000000000002); #66932=CIRCLE('',#357522,0.140000000000002); #66933=CIRCLE('',#357524,0.140000000000002); #66934=CIRCLE('',#357525,0.140000000000002); #66935=CIRCLE('',#357527,0.140000000000002); #66936=CIRCLE('',#357528,0.140000000000002); #66937=CIRCLE('',#357530,0.140000000000002); #66938=CIRCLE('',#357531,0.140000000000002); #66939=CIRCLE('',#357533,0.140000000000002); #66940=CIRCLE('',#357534,0.140000000000002); #66941=CIRCLE('',#357536,0.140000000000002); #66942=CIRCLE('',#357537,0.140000000000002); #66943=CIRCLE('',#357813,0.139999999999998); #66944=CIRCLE('',#357814,0.139999999999998); #66945=CIRCLE('',#357816,0.139999999999998); #66946=CIRCLE('',#357817,0.139999999999998); #66947=CIRCLE('',#357819,0.139999999999998); #66948=CIRCLE('',#357820,0.139999999999998); #66949=CIRCLE('',#357822,0.465); #66950=CIRCLE('',#357823,0.465); #66951=CIRCLE('',#357825,0.139999999999998); #66952=CIRCLE('',#357826,0.139999999999998); #66953=CIRCLE('',#357828,0.139999999999998); #66954=CIRCLE('',#357829,0.139999999999998); #66955=CIRCLE('',#357831,0.139999999999998); #66956=CIRCLE('',#357832,0.139999999999998); #66957=CIRCLE('',#357834,0.139999999999998); #66958=CIRCLE('',#357835,0.139999999999998); #66959=CIRCLE('',#357837,0.139999999999998); #66960=CIRCLE('',#357838,0.139999999999998); #66961=CIRCLE('',#357840,0.139999999999998); #66962=CIRCLE('',#357841,0.139999999999998); #66963=CIRCLE('',#357843,0.14); #66964=CIRCLE('',#357844,0.14); #66965=CIRCLE('',#357846,0.14); #66966=CIRCLE('',#357847,0.14); #66967=CIRCLE('',#357849,0.14); #66968=CIRCLE('',#357850,0.14); #66969=CIRCLE('',#357852,0.14); #66970=CIRCLE('',#357853,0.14); #66971=CIRCLE('',#357855,0.140000000000002); #66972=CIRCLE('',#357856,0.140000000000002); #66973=CIRCLE('',#357858,0.140000000000002); #66974=CIRCLE('',#357859,0.140000000000002); #66975=CIRCLE('',#357861,0.140000000000002); #66976=CIRCLE('',#357862,0.140000000000002); #66977=CIRCLE('',#357864,0.140000000000002); #66978=CIRCLE('',#357865,0.140000000000002); #66979=CIRCLE('',#357867,0.140000000000002); #66980=CIRCLE('',#357868,0.140000000000002); #66981=CIRCLE('',#357870,0.140000000000002); #66982=CIRCLE('',#357871,0.140000000000002); #66983=CIRCLE('',#357873,0.140000000000002); #66984=CIRCLE('',#357874,0.140000000000002); #66985=CIRCLE('',#357876,0.140000000000002); #66986=CIRCLE('',#357877,0.140000000000002); #66987=CIRCLE('',#357879,0.140000000000002); #66988=CIRCLE('',#357880,0.140000000000002); #66989=CIRCLE('',#357882,0.140000000000002); #66990=CIRCLE('',#357883,0.140000000000002); #66991=CIRCLE('',#357885,0.140000000000002); #66992=CIRCLE('',#357886,0.140000000000002); #66993=CIRCLE('',#357888,0.140000000000002); #66994=CIRCLE('',#357889,0.140000000000002); #66995=CIRCLE('',#357891,0.14); #66996=CIRCLE('',#357892,0.14); #66997=CIRCLE('',#357894,0.14); #66998=CIRCLE('',#357895,0.14); #66999=CIRCLE('',#357897,0.14); #67000=CIRCLE('',#357898,0.14); #67001=CIRCLE('',#357900,0.14); #67002=CIRCLE('',#357901,0.14); #67003=CIRCLE('',#357903,0.14); #67004=CIRCLE('',#357904,0.14); #67005=CIRCLE('',#357906,0.14); #67006=CIRCLE('',#357907,0.14); #67007=CIRCLE('',#357909,0.14); #67008=CIRCLE('',#357910,0.14); #67009=CIRCLE('',#357912,0.14); #67010=CIRCLE('',#357913,0.14); #67011=CIRCLE('',#357915,0.465); #67012=CIRCLE('',#357916,0.465); #67013=CIRCLE('',#357919,0.315); #67014=CIRCLE('',#357920,0.315); #67015=CIRCLE('',#357923,0.315); #67016=CIRCLE('',#357924,0.315); #67017=CIRCLE('',#357926,0.114999999999998); #67018=CIRCLE('',#357927,0.114999999999998); #67019=CIRCLE('',#357929,0.114999999999998); #67020=CIRCLE('',#357930,0.114999999999998); #67021=CIRCLE('',#357932,0.114999999999998); #67022=CIRCLE('',#357933,0.114999999999998); #67023=CIRCLE('',#357935,0.114999999999998); #67024=CIRCLE('',#357936,0.114999999999998); #67025=CIRCLE('',#357939,0.315); #67026=CIRCLE('',#357940,0.315); #67027=CIRCLE('',#357943,0.315); #67028=CIRCLE('',#357944,0.315); #67029=CIRCLE('',#357947,0.315); #67030=CIRCLE('',#357948,0.315); #67031=CIRCLE('',#357951,0.315); #67032=CIRCLE('',#357952,0.315); #67033=CIRCLE('',#357955,0.315); #67034=CIRCLE('',#357956,0.315); #67035=CIRCLE('',#357959,0.315); #67036=CIRCLE('',#357960,0.315); #67037=CIRCLE('',#357962,0.140000000000002); #67038=CIRCLE('',#357963,0.140000000000002); #67039=CIRCLE('',#357965,0.140000000000002); #67040=CIRCLE('',#357966,0.140000000000002); #67041=CIRCLE('',#357968,0.564999999999998); #67042=CIRCLE('',#357969,0.564999999999998); #67043=CIRCLE('',#357971,0.14); #67044=CIRCLE('',#357972,0.14); #67045=CIRCLE('',#357974,0.140000000000002); #67046=CIRCLE('',#357975,0.140000000000002); #67047=CIRCLE('',#357977,0.140000000000002); #67048=CIRCLE('',#357978,0.140000000000002); #67049=CIRCLE('',#357980,0.140000000000002); #67050=CIRCLE('',#357981,0.140000000000002); #67051=CIRCLE('',#357983,0.140000000000002); #67052=CIRCLE('',#357984,0.140000000000002); #67053=CIRCLE('',#357986,0.140000000000002); #67054=CIRCLE('',#357987,0.140000000000002); #67055=CIRCLE('',#357989,0.140000000000002); #67056=CIRCLE('',#357990,0.140000000000002); #67057=CIRCLE('',#357992,0.140000000000002); #67058=CIRCLE('',#357993,0.140000000000002); #67059=CIRCLE('',#357995,0.14); #67060=CIRCLE('',#357996,0.14); #67061=CIRCLE('',#357998,0.14); #67062=CIRCLE('',#357999,0.14); #67063=CIRCLE('',#358001,0.14); #67064=CIRCLE('',#358002,0.14); #67065=CIRCLE('',#358004,0.14); #67066=CIRCLE('',#358005,0.14); #67067=CIRCLE('',#358007,0.14); #67068=CIRCLE('',#358008,0.14); #67069=CIRCLE('',#358010,0.14); #67070=CIRCLE('',#358011,0.14); #67071=CIRCLE('',#358013,0.14); #67072=CIRCLE('',#358014,0.14); #67073=CIRCLE('',#358016,0.14); #67074=CIRCLE('',#358017,0.14); #67075=CIRCLE('',#359168,0.139999999999998); #67076=CIRCLE('',#359169,0.139999999999998); #67077=CIRCLE('',#359171,0.139999999999998); #67078=CIRCLE('',#359172,0.139999999999998); #67079=CIRCLE('',#359174,0.139999999999998); #67080=CIRCLE('',#359175,0.139999999999998); #67081=CIRCLE('',#359318,0.139999999999998); #67082=CIRCLE('',#359319,0.139999999999998); #67083=CIRCLE('',#359321,0.139999999999998); #67084=CIRCLE('',#359322,0.139999999999998); #67085=CIRCLE('',#359324,0.139999999999998); #67086=CIRCLE('',#359325,0.139999999999998); #67087=CIRCLE('',#359327,0.139999999999998); #67088=CIRCLE('',#359328,0.139999999999998); #67089=CIRCLE('',#359330,0.139999999999998); #67090=CIRCLE('',#359331,0.139999999999998); #67091=CIRCLE('',#359333,0.139999999999998); #67092=CIRCLE('',#359334,0.139999999999998); #67093=CIRCLE('',#359336,0.139999999999998); #67094=CIRCLE('',#359337,0.139999999999998); #67095=CIRCLE('',#359339,0.139999999999998); #67096=CIRCLE('',#359340,0.139999999999998); #67097=CIRCLE('',#359342,0.139999999999998); #67098=CIRCLE('',#359343,0.139999999999998); #67099=CIRCLE('',#359345,0.139999999999998); #67100=CIRCLE('',#359346,0.139999999999998); #67101=CIRCLE('',#359348,0.139999999999998); #67102=CIRCLE('',#359349,0.139999999999998); #67103=CIRCLE('',#359351,0.139999999999998); #67104=CIRCLE('',#359352,0.139999999999998); #67105=CIRCLE('',#359354,0.139999999999998); #67106=CIRCLE('',#359355,0.139999999999998); #67107=CIRCLE('',#359357,0.139999999999998); #67108=CIRCLE('',#359358,0.139999999999998); #67109=CIRCLE('',#359360,0.139999999999998); #67110=CIRCLE('',#359361,0.139999999999998); #67111=CIRCLE('',#359363,0.139999999999998); #67112=CIRCLE('',#359364,0.139999999999998); #67113=CIRCLE('',#359366,0.139999999999998); #67114=CIRCLE('',#359367,0.139999999999998); #67115=CIRCLE('',#359369,0.139999999999998); #67116=CIRCLE('',#359370,0.139999999999998); #67117=CIRCLE('',#359372,0.139999999999998); #67118=CIRCLE('',#359373,0.139999999999998); #67119=CIRCLE('',#359375,0.139999999999998); #67120=CIRCLE('',#359376,0.139999999999998); #67121=CIRCLE('',#359378,0.139999999999998); #67122=CIRCLE('',#359379,0.139999999999998); #67123=CIRCLE('',#359381,0.139999999999998); #67124=CIRCLE('',#359382,0.139999999999998); #67125=CIRCLE('',#359384,0.139999999999998); #67126=CIRCLE('',#359385,0.139999999999998); #67127=CIRCLE('',#359387,0.139999999999998); #67128=CIRCLE('',#359388,0.139999999999998); #67129=CIRCLE('',#359390,0.139999999999998); #67130=CIRCLE('',#359391,0.139999999999998); #67131=CIRCLE('',#359393,0.139999999999998); #67132=CIRCLE('',#359394,0.139999999999998); #67133=CIRCLE('',#359396,0.139999999999998); #67134=CIRCLE('',#359397,0.139999999999998); #67135=CIRCLE('',#359399,0.139999999999998); #67136=CIRCLE('',#359400,0.139999999999998); #67137=CIRCLE('',#359402,0.139999999999998); #67138=CIRCLE('',#359403,0.139999999999998); #67139=CIRCLE('',#359405,0.139999999999998); #67140=CIRCLE('',#359406,0.139999999999998); #67141=CIRCLE('',#359408,0.139999999999998); #67142=CIRCLE('',#359409,0.139999999999998); #67143=CIRCLE('',#359411,0.139999999999998); #67144=CIRCLE('',#359412,0.139999999999998); #67145=CIRCLE('',#359414,0.139999999999998); #67146=CIRCLE('',#359415,0.139999999999998); #67147=CIRCLE('',#359417,0.139999999999998); #67148=CIRCLE('',#359418,0.139999999999998); #67149=CIRCLE('',#359420,0.139999999999998); #67150=CIRCLE('',#359421,0.139999999999998); #67151=CIRCLE('',#359423,0.139999999999998); #67152=CIRCLE('',#359424,0.139999999999998); #67153=CIRCLE('',#359426,0.139999999999998); #67154=CIRCLE('',#359427,0.139999999999998); #67155=CIRCLE('',#359429,0.139999999999998); #67156=CIRCLE('',#359430,0.139999999999998); #67157=CIRCLE('',#359432,0.139999999999998); #67158=CIRCLE('',#359433,0.139999999999998); #67159=CIRCLE('',#359435,0.139999999999998); #67160=CIRCLE('',#359436,0.139999999999998); #67161=CIRCLE('',#359438,0.139999999999998); #67162=CIRCLE('',#359439,0.139999999999998); #67163=CIRCLE('',#359441,0.139999999999998); #67164=CIRCLE('',#359442,0.139999999999998); #67165=CIRCLE('',#359444,0.139999999999998); #67166=CIRCLE('',#359445,0.139999999999998); #67167=CIRCLE('',#359447,0.139999999999998); #67168=CIRCLE('',#359448,0.139999999999998); #67169=CIRCLE('',#359450,0.139999999999998); #67170=CIRCLE('',#359451,0.139999999999998); #67171=CIRCLE('',#359453,0.139999999999998); #67172=CIRCLE('',#359454,0.139999999999998); #67173=CIRCLE('',#359456,0.139999999999998); #67174=CIRCLE('',#359457,0.139999999999998); #67175=CIRCLE('',#359459,0.139999999999998); #67176=CIRCLE('',#359460,0.139999999999998); #67177=CIRCLE('',#359462,0.139999999999998); #67178=CIRCLE('',#359463,0.139999999999998); #67179=CIRCLE('',#360266,0.514999999999999); #67180=CIRCLE('',#360267,0.514999999999999); #67181=CIRCLE('',#360270,0.565); #67182=CIRCLE('',#360271,0.565); #67183=CIRCLE('',#360274,0.565); #67184=CIRCLE('',#360275,0.565); #67185=CIRCLE('',#360394,0.140000000000002); #67186=CIRCLE('',#360395,0.140000000000002); #67187=CIRCLE('',#360397,0.140000000000002); #67188=CIRCLE('',#360398,0.140000000000002); #67189=CIRCLE('',#360400,0.140000000000002); #67190=CIRCLE('',#360401,0.140000000000002); #67191=CIRCLE('',#360403,0.140000000000002); #67192=CIRCLE('',#360404,0.140000000000002); #67193=CIRCLE('',#360406,0.140000000000002); #67194=CIRCLE('',#360407,0.140000000000002); #67195=CIRCLE('',#360409,0.140000000000002); #67196=CIRCLE('',#360410,0.140000000000002); #67197=CIRCLE('',#360412,0.140000000000002); #67198=CIRCLE('',#360413,0.140000000000002); #67199=CIRCLE('',#360415,0.140000000000002); #67200=CIRCLE('',#360416,0.140000000000002); #67201=CIRCLE('',#360418,0.140000000000002); #67202=CIRCLE('',#360419,0.140000000000002); #67203=CIRCLE('',#360421,0.140000000000002); #67204=CIRCLE('',#360422,0.140000000000002); #67205=CIRCLE('',#360424,0.140000000000002); #67206=CIRCLE('',#360425,0.140000000000002); #67207=CIRCLE('',#360427,0.140000000000002); #67208=CIRCLE('',#360428,0.140000000000002); #67209=CIRCLE('',#360430,0.140000000000002); #67210=CIRCLE('',#360431,0.140000000000002); #67211=CIRCLE('',#360433,0.140000000000002); #67212=CIRCLE('',#360434,0.140000000000002); #67213=CIRCLE('',#360436,0.140000000000002); #67214=CIRCLE('',#360437,0.140000000000002); #67215=CIRCLE('',#360482,0.139999999999998); #67216=CIRCLE('',#360483,0.139999999999998); #67217=CIRCLE('',#360490,0.127000308727436); #67218=CIRCLE('',#360491,0.127000308727436); #67219=CIRCLE('',#360495,0.127000308727438); #67220=CIRCLE('',#360496,0.127000308727438); #67221=CIRCLE('',#360514,0.127000308727436); #67222=CIRCLE('',#360515,0.127000308727436); #67223=CIRCLE('',#360518,0.127000308727436); #67224=CIRCLE('',#360519,0.127000308727436); #67225=CIRCLE('',#360522,0.126951739765291); #67226=CIRCLE('',#360523,0.126951739765291); #67227=CIRCLE('',#360528,0.127000308727438); #67228=CIRCLE('',#360529,0.127000308727438); #67229=CIRCLE('',#360532,0.127000308727433); #67230=CIRCLE('',#360533,0.127000308727433); #67231=CIRCLE('',#360537,0.127001515838136); #67232=CIRCLE('',#360538,0.127001515838136); #67233=CIRCLE('',#360545,0.139999999999998); #67234=CIRCLE('',#360546,0.139999999999998); #67235=CIRCLE('',#360548,0.139999999999998); #67236=CIRCLE('',#360549,0.139999999999998); #67237=CIRCLE('',#360551,0.139999999999998); #67238=CIRCLE('',#360552,0.139999999999998); #67239=CIRCLE('',#360554,0.139999999999998); #67240=CIRCLE('',#360555,0.139999999999998); #67241=CIRCLE('',#360557,0.139999999999998); #67242=CIRCLE('',#360558,0.139999999999998); #67243=CIRCLE('',#360560,0.139999999999998); #67244=CIRCLE('',#360561,0.139999999999998); #67245=CIRCLE('',#360563,0.139999999999998); #67246=CIRCLE('',#360564,0.139999999999998); #67247=CIRCLE('',#360566,0.139999999999998); #67248=CIRCLE('',#360567,0.139999999999998); #67249=CIRCLE('',#360569,0.139999999999998); #67250=CIRCLE('',#360570,0.139999999999998); #67251=CIRCLE('',#360572,0.139999999999998); #67252=CIRCLE('',#360573,0.139999999999998); #67253=CIRCLE('',#360575,0.139999999999998); #67254=CIRCLE('',#360576,0.139999999999998); #67255=CIRCLE('',#360578,0.139999999999998); #67256=CIRCLE('',#360579,0.139999999999998); #67257=CIRCLE('',#360581,0.139999999999998); #67258=CIRCLE('',#360582,0.139999999999998); #67259=CIRCLE('',#360584,0.139999999999998); #67260=CIRCLE('',#360585,0.139999999999998); #67261=CIRCLE('',#360587,0.139999999999998); #67262=CIRCLE('',#360588,0.139999999999998); #67263=CIRCLE('',#360641,0.139999999999998); #67264=CIRCLE('',#360642,0.139999999999998); #67265=CIRCLE('',#360650,0.127001515838136); #67266=CIRCLE('',#360651,0.127001515838136); #67267=CIRCLE('',#360655,0.127000308727433); #67268=CIRCLE('',#360656,0.127000308727433); #67269=CIRCLE('',#360659,0.127000308727438); #67270=CIRCLE('',#360660,0.127000308727438); #67271=CIRCLE('',#360665,0.127000308727447); #67272=CIRCLE('',#360666,0.127000308727447); #67273=CIRCLE('',#360669,0.127000308727436); #67274=CIRCLE('',#360670,0.127000308727436); #67275=CIRCLE('',#360673,0.127000308727436); #67276=CIRCLE('',#360674,0.127000308727436); #67277=CIRCLE('',#360692,0.127000308727436); #67278=CIRCLE('',#360693,0.127000308727436); #67279=CIRCLE('',#360697,0.127000308727438); #67280=CIRCLE('',#360698,0.127000308727438); #67281=CIRCLE('',#360704,0.139999999999998); #67282=CIRCLE('',#360705,0.139999999999998); #67283=CIRCLE('',#360707,0.14); #67284=CIRCLE('',#360708,0.14); #67285=CIRCLE('',#360710,0.14); #67286=CIRCLE('',#360711,0.14); #67287=CIRCLE('',#360713,0.14); #67288=CIRCLE('',#360714,0.14); #67289=CIRCLE('',#360716,0.14); #67290=CIRCLE('',#360717,0.14); #67291=CIRCLE('',#360719,0.14); #67292=CIRCLE('',#360720,0.14); #67293=CIRCLE('',#360722,0.14); #67294=CIRCLE('',#360723,0.14); #67295=CIRCLE('',#360725,0.14); #67296=CIRCLE('',#360726,0.14); #67297=CIRCLE('',#360728,0.14); #67298=CIRCLE('',#360729,0.14); #67299=CIRCLE('',#360731,0.14); #67300=CIRCLE('',#360732,0.14); #67301=CIRCLE('',#360734,0.14); #67302=CIRCLE('',#360735,0.14); #67303=CIRCLE('',#360737,0.14); #67304=CIRCLE('',#360738,0.14); #67305=CIRCLE('',#360740,0.14); #67306=CIRCLE('',#360741,0.14); #67307=CIRCLE('',#360743,0.139999999999998); #67308=CIRCLE('',#360744,0.139999999999998); #67309=CIRCLE('',#360746,0.139999999999998); #67310=CIRCLE('',#360747,0.139999999999998); #67311=CIRCLE('',#360749,0.139999999999998); #67312=CIRCLE('',#360750,0.139999999999998); #67313=CIRCLE('',#360752,0.139999999999998); #67314=CIRCLE('',#360753,0.139999999999998); #67315=CIRCLE('',#360755,0.139999999999998); #67316=CIRCLE('',#360756,0.139999999999998); #67317=CIRCLE('',#360758,0.14); #67318=CIRCLE('',#360759,0.14); #67319=CIRCLE('',#360761,0.139999999999998); #67320=CIRCLE('',#360762,0.139999999999998); #67321=CIRCLE('',#360764,0.139999999999998); #67322=CIRCLE('',#360765,0.139999999999998); #67323=CIRCLE('',#360767,0.139999999999998); #67324=CIRCLE('',#360768,0.139999999999998); #67325=CIRCLE('',#360770,0.139999999999998); #67326=CIRCLE('',#360771,0.139999999999998); #67327=CIRCLE('',#360773,0.139999999999998); #67328=CIRCLE('',#360774,0.139999999999998); #67329=CIRCLE('',#360776,0.139999999999998); #67330=CIRCLE('',#360777,0.139999999999998); #67331=CIRCLE('',#360779,0.139999999999998); #67332=CIRCLE('',#360780,0.139999999999998); #67333=CIRCLE('',#360782,0.139999999999998); #67334=CIRCLE('',#360783,0.139999999999998); #67335=CIRCLE('',#360785,0.139999999999998); #67336=CIRCLE('',#360786,0.139999999999998); #67337=CIRCLE('',#360788,0.139999999999998); #67338=CIRCLE('',#360789,0.139999999999998); #67339=CIRCLE('',#360791,0.14); #67340=CIRCLE('',#360792,0.14); #67341=CIRCLE('',#360794,0.14); #67342=CIRCLE('',#360795,0.14); #67343=CIRCLE('',#360798,0.275429677195184); #67344=CIRCLE('',#360799,0.275429677195184); #67345=CIRCLE('',#360804,0.127000308727435); #67346=CIRCLE('',#360805,0.127000308727435); #67347=CIRCLE('',#360808,0.127000308727438); #67348=CIRCLE('',#360809,0.127000308727438); #67349=CIRCLE('',#360883,0.127000308727436); #67350=CIRCLE('',#360884,0.127000308727436); #67351=CIRCLE('',#360887,0.127000308727427); #67352=CIRCLE('',#360888,0.127000308727427); #67353=CIRCLE('',#360891,0.275429442438526); #67354=CIRCLE('',#360892,0.275429442438526); #67355=CIRCLE('',#360896,0.139999999999998); #67356=CIRCLE('',#360897,0.139999999999998); #67357=CIRCLE('',#360905,0.127000308727436); #67358=CIRCLE('',#360906,0.127000308727436); #67359=CIRCLE('',#360910,0.127000308727438); #67360=CIRCLE('',#360911,0.127000308727438); #67361=CIRCLE('',#360914,0.275429728561973); #67362=CIRCLE('',#360915,0.275429728561973); #67363=CIRCLE('',#360917,0.275429566248994); #67364=CIRCLE('',#360918,0.275429566248994); #67365=CIRCLE('',#360922,0.127000308727431); #67366=CIRCLE('',#360923,0.127000308727431); #67367=CIRCLE('',#360927,0.127000308727438); #67368=CIRCLE('',#360928,0.127000308727438); #67369=CIRCLE('',#360934,0.140000000000002); #67370=CIRCLE('',#360935,0.140000000000002); #67371=CIRCLE('',#360937,0.140000000000002); #67372=CIRCLE('',#360938,0.140000000000002); #67373=CIRCLE('',#360940,0.140000000000002); #67374=CIRCLE('',#360941,0.140000000000002); #67375=CIRCLE('',#360943,0.140000000000002); #67376=CIRCLE('',#360944,0.140000000000002); #67377=CIRCLE('',#360946,0.140000000000002); #67378=CIRCLE('',#360947,0.140000000000002); #67379=CIRCLE('',#360949,0.139999999999998); #67380=CIRCLE('',#360950,0.139999999999998); #67381=CIRCLE('',#360952,0.140000000000002); #67382=CIRCLE('',#360953,0.140000000000002); #67383=CIRCLE('',#360955,0.140000000000002); #67384=CIRCLE('',#360956,0.140000000000002); #67385=CIRCLE('',#360958,0.140000000000002); #67386=CIRCLE('',#360959,0.140000000000002); #67387=CIRCLE('',#360961,0.140000000000002); #67388=CIRCLE('',#360962,0.140000000000002); #67389=CIRCLE('',#360964,0.465); #67390=CIRCLE('',#360965,0.465); #67391=CIRCLE('',#360991,0.275170203557187); #67392=CIRCLE('',#360992,0.275170203557187); #67393=CIRCLE('',#360996,0.127000308727437); #67394=CIRCLE('',#360997,0.127000308727437); #67395=CIRCLE('',#361007,0.127000308727438); #67396=CIRCLE('',#361008,0.127000308727438); #67397=CIRCLE('',#361011,0.275004382214899); #67398=CIRCLE('',#361012,0.275004382214899); #67399=CIRCLE('',#361029,0.12700030872744); #67400=CIRCLE('',#361030,0.12700030872744); #67401=CIRCLE('',#361033,0.127000308727433); #67402=CIRCLE('',#361034,0.127000308727433); #67403=CIRCLE('',#361105,0.140000000000002); #67404=CIRCLE('',#361106,0.140000000000002); #67405=CIRCLE('',#361108,0.140000000000002); #67406=CIRCLE('',#361109,0.140000000000002); #67407=CIRCLE('',#361111,0.140000000000002); #67408=CIRCLE('',#361112,0.140000000000002); #67409=CIRCLE('',#361114,0.140000000000002); #67410=CIRCLE('',#361115,0.140000000000002); #67411=CIRCLE('',#361117,0.140000000000002); #67412=CIRCLE('',#361118,0.140000000000002); #67413=CIRCLE('',#361120,0.140000000000002); #67414=CIRCLE('',#361121,0.140000000000002); #67415=CIRCLE('',#361123,0.140000000000002); #67416=CIRCLE('',#361124,0.140000000000002); #67417=CIRCLE('',#361126,0.140000000000002); #67418=CIRCLE('',#361127,0.140000000000002); #67419=CIRCLE('',#361129,0.140000000000002); #67420=CIRCLE('',#361130,0.140000000000002); #67421=CIRCLE('',#361132,0.140000000000002); #67422=CIRCLE('',#361133,0.140000000000002); #67423=CIRCLE('',#361135,0.140000000000002); #67424=CIRCLE('',#361136,0.140000000000002); #67425=CIRCLE('',#361138,0.140000000000002); #67426=CIRCLE('',#361139,0.140000000000002); #67427=CIRCLE('',#361141,0.140000000000002); #67428=CIRCLE('',#361142,0.140000000000002); #67429=CIRCLE('',#361144,0.140000000000002); #67430=CIRCLE('',#361145,0.140000000000002); #67431=CIRCLE('',#361147,0.140000000000002); #67432=CIRCLE('',#361148,0.140000000000002); #67433=CIRCLE('',#361150,0.140000000000002); #67434=CIRCLE('',#361151,0.140000000000002); #67435=CIRCLE('',#361153,0.140000000000002); #67436=CIRCLE('',#361154,0.140000000000002); #67437=CIRCLE('',#361156,0.140000000000002); #67438=CIRCLE('',#361157,0.140000000000002); #67439=CIRCLE('',#361159,0.140000000000002); #67440=CIRCLE('',#361160,0.140000000000002); #67441=CIRCLE('',#361162,0.140000000000002); #67442=CIRCLE('',#361163,0.140000000000002); #67443=CIRCLE('',#361165,0.140000000000002); #67444=CIRCLE('',#361166,0.140000000000002); #67445=CIRCLE('',#361168,0.140000000000002); #67446=CIRCLE('',#361169,0.140000000000002); #67447=CIRCLE('',#361171,0.140000000000002); #67448=CIRCLE('',#361172,0.140000000000002); #67449=CIRCLE('',#361174,0.140000000000002); #67450=CIRCLE('',#361175,0.140000000000002); #67451=CIRCLE('',#361177,0.140000000000002); #67452=CIRCLE('',#361178,0.140000000000002); #67453=CIRCLE('',#361180,0.140000000000002); #67454=CIRCLE('',#361181,0.140000000000002); #67455=CIRCLE('',#361183,0.140000000000002); #67456=CIRCLE('',#361184,0.140000000000002); #67457=CIRCLE('',#361186,0.140000000000002); #67458=CIRCLE('',#361187,0.140000000000002); #67459=CIRCLE('',#361189,0.140000000000002); #67460=CIRCLE('',#361190,0.140000000000002); #67461=CIRCLE('',#361192,0.140000000000002); #67462=CIRCLE('',#361193,0.140000000000002); #67463=CIRCLE('',#361195,0.140000000000002); #67464=CIRCLE('',#361196,0.140000000000002); #67465=CIRCLE('',#361199,0.275429677195184); #67466=CIRCLE('',#361200,0.275429677195184); #67467=CIRCLE('',#361203,0.127000308727425); #67468=CIRCLE('',#361204,0.127000308727425); #67469=CIRCLE('',#361207,0.127001515838118); #67470=CIRCLE('',#361208,0.127001515838118); #67471=CIRCLE('',#361277,0.127000308727436); #67472=CIRCLE('',#361278,0.127000308727436); #67473=CIRCLE('',#361281,0.127000308727438); #67474=CIRCLE('',#361282,0.127000308727438); #67475=CIRCLE('',#361287,0.275429442438526); #67476=CIRCLE('',#361288,0.275429442438526); #67477=CIRCLE('',#361292,0.140000000000002); #67478=CIRCLE('',#361293,0.140000000000002); #67479=CIRCLE('',#361300,0.127000308727438); #67480=CIRCLE('',#361301,0.127000308727438); #67481=CIRCLE('',#361305,0.127000308727433); #67482=CIRCLE('',#361306,0.127000308727433); #67483=CIRCLE('',#361310,0.275429728561973); #67484=CIRCLE('',#361311,0.275429728561973); #67485=CIRCLE('',#361313,0.275429566248996); #67486=CIRCLE('',#361314,0.275429566248996); #67487=CIRCLE('',#361317,0.12700030872744); #67488=CIRCLE('',#361318,0.12700030872744); #67489=CIRCLE('',#361322,0.127000308727436); #67490=CIRCLE('',#361323,0.127000308727436); #67491=CIRCLE('',#361330,0.139999999999998); #67492=CIRCLE('',#361331,0.139999999999998); #67493=CIRCLE('',#361333,0.139999999999998); #67494=CIRCLE('',#361334,0.139999999999998); #67495=CIRCLE('',#361336,0.139999999999998); #67496=CIRCLE('',#361337,0.139999999999998); #67497=CIRCLE('',#361339,0.139999999999998); #67498=CIRCLE('',#361340,0.139999999999998); #67499=CIRCLE('',#361342,0.114999999999998); #67500=CIRCLE('',#361343,0.114999999999998); #67501=CIRCLE('',#361345,0.114999999999998); #67502=CIRCLE('',#361346,0.114999999999998); #67503=CIRCLE('',#361348,0.114999999999998); #67504=CIRCLE('',#361349,0.114999999999998); #67505=CIRCLE('',#361351,0.114999999999998); #67506=CIRCLE('',#361352,0.114999999999998); #67507=CIRCLE('',#361354,0.139999999999998); #67508=CIRCLE('',#361355,0.139999999999998); #67509=CIRCLE('',#361357,0.139999999999998); #67510=CIRCLE('',#361358,0.139999999999998); #67511=CIRCLE('',#361360,0.139999999999998); #67512=CIRCLE('',#361361,0.139999999999998); #67513=CIRCLE('',#361363,0.139999999999998); #67514=CIRCLE('',#361364,0.139999999999998); #67515=CIRCLE('',#361366,0.139999999999998); #67516=CIRCLE('',#361367,0.139999999999998); #67517=CIRCLE('',#361369,0.139999999999998); #67518=CIRCLE('',#361370,0.139999999999998); #67519=CIRCLE('',#361372,0.139999999999998); #67520=CIRCLE('',#361373,0.139999999999998); #67521=CIRCLE('',#361375,0.139999999999998); #67522=CIRCLE('',#361376,0.139999999999998); #67523=CIRCLE('',#361601,0.2750043822149); #67524=CIRCLE('',#361602,0.2750043822149); #67525=CIRCLE('',#361605,0.127000308727437); #67526=CIRCLE('',#361606,0.127000308727437); #67527=CIRCLE('',#361616,0.127000308727438); #67528=CIRCLE('',#361617,0.127000308727438); #67529=CIRCLE('',#361621,0.275180228450174); #67530=CIRCLE('',#361622,0.275180228450174); #67531=CIRCLE('',#361846,0.564999999999998); #67532=CIRCLE('',#361847,0.564999999999998); #67533=CIRCLE('',#361850,0.900857088405025); #67534=CIRCLE('',#361851,0.900857088405025); #67535=CIRCLE('',#361853,0.900856769723134); #67536=CIRCLE('',#361854,0.900856769723134); #67537=CIRCLE('',#361858,0.565000000000002); #67538=CIRCLE('',#361859,0.565000000000002); #67539=CIRCLE('',#361862,0.900857088405025); #67540=CIRCLE('',#361863,0.900857088405025); #67541=CIRCLE('',#361865,0.900856769723134); #67542=CIRCLE('',#361866,0.900856769723134); #67543=CIRCLE('',#361870,0.465); #67544=CIRCLE('',#361871,0.465); #67545=CIRCLE('',#361874,0.750904962541172); #67546=CIRCLE('',#361875,0.750904962541172); #67547=CIRCLE('',#361877,0.750905460124643); #67548=CIRCLE('',#361878,0.750905460124643); #67549=CIRCLE('',#361882,0.514999999999999); #67550=CIRCLE('',#361883,0.514999999999999); #67551=CIRCLE('',#361886,0.825995597015953); #67552=CIRCLE('',#361887,0.825995597015953); #67553=CIRCLE('',#361889,0.825996336030616); #67554=CIRCLE('',#361890,0.825996336030616); #67555=CIRCLE('',#361894,0.514999999999999); #67556=CIRCLE('',#361895,0.514999999999999); #67557=CIRCLE('',#361903,0.465); #67558=CIRCLE('',#361904,0.465); #67559=CIRCLE('',#361907,0.750904962541172); #67560=CIRCLE('',#361908,0.750904962541172); #67561=CIRCLE('',#361910,0.750905460124644); #67562=CIRCLE('',#361911,0.750905460124644); #67563=CIRCLE('',#361915,0.465); #67564=CIRCLE('',#361916,0.465); #67565=CIRCLE('',#361919,0.750904962541172); #67566=CIRCLE('',#361920,0.750904962541172); #67567=CIRCLE('',#361922,0.750905460124644); #67568=CIRCLE('',#361923,0.750905460124644); #67569=CIRCLE('',#361927,0.564999999999998); #67570=CIRCLE('',#361928,0.564999999999998); #67571=CIRCLE('',#361931,0.900857088405025); #67572=CIRCLE('',#361932,0.900857088405025); #67573=CIRCLE('',#361934,0.900856769723134); #67574=CIRCLE('',#361935,0.900856769723134); #67575=CIRCLE('',#361939,0.465); #67576=CIRCLE('',#361940,0.465); #67577=CIRCLE('',#361943,0.750904962541171); #67578=CIRCLE('',#361944,0.750904962541171); #67579=CIRCLE('',#361946,0.750905460124643); #67580=CIRCLE('',#361947,0.750905460124643); #67581=CIRCLE('',#361952,0.315); #67582=CIRCLE('',#361953,0.315); #67583=CIRCLE('',#361956,0.315); #67584=CIRCLE('',#361957,0.315); #67585=CIRCLE('',#362018,0.565); #67586=CIRCLE('',#362019,0.565); #67587=CIRCLE('',#362022,0.565); #67588=CIRCLE('',#362023,0.565); #67589=CIRCLE('',#362100,0.315); #67590=CIRCLE('',#362101,0.315); #67591=CIRCLE('',#362104,0.315); #67592=CIRCLE('',#362105,0.315); #67593=CIRCLE('',#362165,0.514999999999999); #67594=CIRCLE('',#362166,0.514999999999999); #67595=CIRCLE('',#362175,0.315); #67596=CIRCLE('',#362176,0.315); #67597=CIRCLE('',#362179,0.315); #67598=CIRCLE('',#362180,0.315); #67599=CIRCLE('',#362241,0.565); #67600=CIRCLE('',#362242,0.565); #67601=CIRCLE('',#362245,0.565); #67602=CIRCLE('',#362246,0.565); #67603=CIRCLE('',#362322,0.465); #67604=CIRCLE('',#362323,0.465); #67605=CIRCLE('',#362326,0.750904962541172); #67606=CIRCLE('',#362327,0.750904962541172); #67607=CIRCLE('',#362329,0.750905460124644); #67608=CIRCLE('',#362330,0.750905460124644); #67609=CIRCLE('',#362334,0.514999999999999); #67610=CIRCLE('',#362335,0.514999999999999); #67611=CIRCLE('',#362338,0.825995597015952); #67612=CIRCLE('',#362339,0.825995597015952); #67613=CIRCLE('',#362341,0.825996336030615); #67614=CIRCLE('',#362342,0.825996336030615); #67615=CIRCLE('',#362347,0.315); #67616=CIRCLE('',#362348,0.315); #67617=CIRCLE('',#362351,0.315); #67618=CIRCLE('',#362352,0.315); #67619=CIRCLE('',#362412,0.465); #67620=CIRCLE('',#362413,0.465); #67621=CIRCLE('',#362416,0.750904962541172); #67622=CIRCLE('',#362417,0.750904962541172); #67623=CIRCLE('',#362419,0.750905460124644); #67624=CIRCLE('',#362420,0.750905460124644); #67625=CIRCLE('',#362424,0.465); #67626=CIRCLE('',#362425,0.465); #67627=CIRCLE('',#362428,0.750904962541172); #67628=CIRCLE('',#362429,0.750904962541172); #67629=CIRCLE('',#362431,0.750905460124643); #67630=CIRCLE('',#362432,0.750905460124643); #67631=CIRCLE('',#362436,0.465); #67632=CIRCLE('',#362437,0.465); #67633=CIRCLE('',#362440,0.750904962541172); #67634=CIRCLE('',#362441,0.750904962541172); #67635=CIRCLE('',#362443,0.750905460124643); #67636=CIRCLE('',#362444,0.750905460124643); #67637=CIRCLE('',#362448,0.564999999999998); #67638=CIRCLE('',#362449,0.564999999999998); #67639=CIRCLE('',#362457,0.465); #67640=CIRCLE('',#362458,0.465); #67641=CIRCLE('',#362461,0.750904962541172); #67642=CIRCLE('',#362462,0.750904962541172); #67643=CIRCLE('',#362464,0.750905460124643); #67644=CIRCLE('',#362465,0.750905460124643); #67645=CIRCLE('',#362547,0.14); #67646=CIRCLE('',#362548,0.14); #67647=CIRCLE('',#362550,0.14); #67648=CIRCLE('',#362551,0.14); #67649=CIRCLE('',#362553,0.14); #67650=CIRCLE('',#362554,0.14); #67651=CIRCLE('',#362556,0.14); #67652=CIRCLE('',#362557,0.14); #67653=CIRCLE('',#362559,0.14); #67654=CIRCLE('',#362560,0.14); #67655=CIRCLE('',#362562,0.14); #67656=CIRCLE('',#362563,0.14); #67657=CIRCLE('',#362727,0.14); #67658=CIRCLE('',#362728,0.14); #67659=CIRCLE('',#362730,0.14); #67660=CIRCLE('',#362731,0.14); #67661=CIRCLE('',#362733,0.14); #67662=CIRCLE('',#362734,0.14); #67663=CIRCLE('',#362736,0.14); #67664=CIRCLE('',#362737,0.14); #67665=CIRCLE('',#362739,0.14); #67666=CIRCLE('',#362740,0.14); #67667=CIRCLE('',#362742,0.14); #67668=CIRCLE('',#362743,0.14); #67669=CIRCLE('',#362787,0.140000000000002); #67670=CIRCLE('',#362788,0.140000000000002); #67671=CIRCLE('',#362790,0.140000000000002); #67672=CIRCLE('',#362791,0.140000000000002); #67673=CIRCLE('',#362793,0.140000000000002); #67674=CIRCLE('',#362794,0.140000000000002); #67675=CIRCLE('',#362796,0.140000000000002); #67676=CIRCLE('',#362797,0.140000000000002); #67677=CIRCLE('',#362799,0.140000000000002); #67678=CIRCLE('',#362800,0.140000000000002); #67679=CIRCLE('',#362802,0.140000000000002); #67680=CIRCLE('',#362803,0.140000000000002); #67681=CIRCLE('',#362823,0.139999999999998); #67682=CIRCLE('',#362824,0.139999999999998); #67683=CIRCLE('',#362826,0.139999999999998); #67684=CIRCLE('',#362827,0.139999999999998); #67685=CIRCLE('',#362829,0.139999999999998); #67686=CIRCLE('',#362830,0.139999999999998); #67687=CIRCLE('',#362832,0.139999999999998); #67688=CIRCLE('',#362833,0.139999999999998); #67689=CIRCLE('',#362835,0.139999999999998); #67690=CIRCLE('',#362836,0.139999999999998); #67691=CIRCLE('',#362838,0.139999999999998); #67692=CIRCLE('',#362839,0.139999999999998); #67693=CIRCLE('',#362841,0.139999999999998); #67694=CIRCLE('',#362842,0.139999999999998); #67695=CIRCLE('',#362844,0.139999999999998); #67696=CIRCLE('',#362845,0.139999999999998); #67697=CIRCLE('',#362847,0.139999999999998); #67698=CIRCLE('',#362848,0.139999999999998); #67699=CIRCLE('',#362850,0.139999999999998); #67700=CIRCLE('',#362851,0.139999999999998); #67701=CIRCLE('',#362853,0.139999999999998); #67702=CIRCLE('',#362854,0.139999999999998); #67703=CIRCLE('',#362856,0.139999999999998); #67704=CIRCLE('',#362857,0.139999999999998); #67705=CIRCLE('',#362859,0.139999999999998); #67706=CIRCLE('',#362860,0.139999999999998); #67707=CIRCLE('',#362862,0.139999999999998); #67708=CIRCLE('',#362863,0.139999999999998); #67709=CIRCLE('',#362865,0.139999999999998); #67710=CIRCLE('',#362866,0.139999999999998); #67711=CIRCLE('',#362868,0.139999999999998); #67712=CIRCLE('',#362869,0.139999999999998); #67713=CIRCLE('',#362871,0.139999999999998); #67714=CIRCLE('',#362872,0.139999999999998); #67715=CIRCLE('',#362874,0.139999999999998); #67716=CIRCLE('',#362875,0.139999999999998); #67717=CIRCLE('',#362877,0.139999999999998); #67718=CIRCLE('',#362878,0.139999999999998); #67719=CIRCLE('',#362880,0.139999999999998); #67720=CIRCLE('',#362881,0.139999999999998); #67721=CIRCLE('',#362883,0.139999999999998); #67722=CIRCLE('',#362884,0.139999999999998); #67723=CIRCLE('',#362886,0.139999999999998); #67724=CIRCLE('',#362887,0.139999999999998); #67725=CIRCLE('',#362889,0.139999999999998); #67726=CIRCLE('',#362890,0.139999999999998); #67727=CIRCLE('',#362892,0.139999999999998); #67728=CIRCLE('',#362893,0.139999999999998); #67729=CIRCLE('',#362895,0.139999999999998); #67730=CIRCLE('',#362896,0.139999999999998); #67731=CIRCLE('',#362898,0.139999999999998); #67732=CIRCLE('',#362899,0.139999999999998); #67733=CIRCLE('',#362901,0.139999999999998); #67734=CIRCLE('',#362902,0.139999999999998); #67735=CIRCLE('',#362904,0.139999999999998); #67736=CIRCLE('',#362905,0.139999999999998); #67737=CIRCLE('',#362907,0.139999999999998); #67738=CIRCLE('',#362908,0.139999999999998); #67739=CIRCLE('',#362910,0.139999999999998); #67740=CIRCLE('',#362911,0.139999999999998); #67741=CIRCLE('',#362913,0.139999999999998); #67742=CIRCLE('',#362914,0.139999999999998); #67743=CIRCLE('',#362916,0.139999999999998); #67744=CIRCLE('',#362917,0.139999999999998); #67745=CIRCLE('',#362919,0.139999999999998); #67746=CIRCLE('',#362920,0.139999999999998); #67747=CIRCLE('',#362922,0.139999999999998); #67748=CIRCLE('',#362923,0.139999999999998); #67749=CIRCLE('',#362925,0.139999999999998); #67750=CIRCLE('',#362926,0.139999999999998); #67751=CIRCLE('',#362928,0.139999999999998); #67752=CIRCLE('',#362929,0.139999999999998); #67753=CIRCLE('',#362931,0.139999999999998); #67754=CIRCLE('',#362932,0.139999999999998); #67755=CIRCLE('',#362934,0.139999999999998); #67756=CIRCLE('',#362935,0.139999999999998); #67757=CIRCLE('',#362937,0.139999999999998); #67758=CIRCLE('',#362938,0.139999999999998); #67759=CIRCLE('',#362940,0.139999999999998); #67760=CIRCLE('',#362941,0.139999999999998); #67761=CIRCLE('',#362943,0.139999999999998); #67762=CIRCLE('',#362944,0.139999999999998); #67763=CIRCLE('',#362946,0.139999999999998); #67764=CIRCLE('',#362947,0.139999999999998); #67765=CIRCLE('',#362949,0.139999999999998); #67766=CIRCLE('',#362950,0.139999999999998); #67767=CIRCLE('',#362952,0.139999999999998); #67768=CIRCLE('',#362953,0.139999999999998); #67769=CIRCLE('',#362955,0.139999999999998); #67770=CIRCLE('',#362956,0.139999999999998); #67771=CIRCLE('',#362958,0.139999999999998); #67772=CIRCLE('',#362959,0.139999999999998); #67773=CIRCLE('',#362961,0.139999999999998); #67774=CIRCLE('',#362962,0.139999999999998); #67775=CIRCLE('',#362964,0.139999999999998); #67776=CIRCLE('',#362965,0.139999999999998); #67777=CIRCLE('',#362967,0.139999999999998); #67778=CIRCLE('',#362968,0.139999999999998); #67779=CIRCLE('',#363084,0.139999999999998); #67780=CIRCLE('',#363085,0.139999999999998); #67781=CIRCLE('',#363105,0.139999999999998); #67782=CIRCLE('',#363106,0.139999999999998); #67783=CIRCLE('',#363180,0.14); #67784=CIRCLE('',#363181,0.14); #67785=CIRCLE('',#363183,0.14); #67786=CIRCLE('',#363184,0.14); #67787=CIRCLE('',#363186,0.14); #67788=CIRCLE('',#363187,0.14); #67789=CIRCLE('',#363189,0.14); #67790=CIRCLE('',#363190,0.14); #67791=CIRCLE('',#363192,0.14); #67792=CIRCLE('',#363193,0.14); #67793=CIRCLE('',#363195,0.14); #67794=CIRCLE('',#363196,0.14); #67795=CIRCLE('',#363198,0.14); #67796=CIRCLE('',#363199,0.14); #67797=CIRCLE('',#363201,0.14); #67798=CIRCLE('',#363202,0.14); #67799=CIRCLE('',#363204,0.14); #67800=CIRCLE('',#363205,0.14); #67801=CIRCLE('',#363219,0.139999999999998); #67802=CIRCLE('',#363220,0.139999999999998); #67803=CIRCLE('',#363258,0.139999999999998); #67804=CIRCLE('',#363259,0.139999999999998); #67805=CIRCLE('',#363267,0.139999999999998); #67806=CIRCLE('',#363268,0.139999999999998); #67807=CIRCLE('',#363342,0.140000000000002); #67808=CIRCLE('',#363343,0.140000000000002); #67809=CIRCLE('',#363345,0.140000000000002); #67810=CIRCLE('',#363346,0.140000000000002); #67811=CIRCLE('',#363348,0.140000000000002); #67812=CIRCLE('',#363349,0.140000000000002); #67813=CIRCLE('',#363351,0.140000000000002); #67814=CIRCLE('',#363352,0.140000000000002); #67815=CIRCLE('',#363354,0.140000000000002); #67816=CIRCLE('',#363355,0.140000000000002); #67817=CIRCLE('',#363357,0.140000000000002); #67818=CIRCLE('',#363358,0.140000000000002); #67819=CIRCLE('',#363384,0.140000000000002); #67820=CIRCLE('',#363385,0.140000000000002); #67821=CIRCLE('',#363387,0.140000000000002); #67822=CIRCLE('',#363388,0.140000000000002); #67823=CIRCLE('',#363390,0.140000000000002); #67824=CIRCLE('',#363391,0.140000000000002); #67825=CIRCLE('',#363393,0.140000000000002); #67826=CIRCLE('',#363394,0.140000000000002); #67827=CIRCLE('',#363396,0.140000000000002); #67828=CIRCLE('',#363397,0.140000000000002); #67829=CIRCLE('',#363399,0.140000000000002); #67830=CIRCLE('',#363400,0.140000000000002); #67831=CIRCLE('',#363539,0.564999999999998); #67832=CIRCLE('',#363540,0.564999999999998); #67833=CIRCLE('',#363543,0.900857088405025); #67834=CIRCLE('',#363544,0.900857088405025); #67835=CIRCLE('',#363546,0.900856769723134); #67836=CIRCLE('',#363547,0.900856769723134); #67837=CIRCLE('',#363563,0.114999999999998); #67838=CIRCLE('',#363564,0.114999999999998); #67839=CIRCLE('',#363566,0.114999999999998); #67840=CIRCLE('',#363567,0.114999999999998); #67841=CIRCLE('',#363581,0.114999999999998); #67842=CIRCLE('',#363582,0.114999999999998); #67843=CIRCLE('',#363584,0.114999999999998); #67844=CIRCLE('',#363585,0.114999999999998); #67845=CIRCLE('',#363599,0.114999999999998); #67846=CIRCLE('',#363600,0.114999999999998); #67847=CIRCLE('',#363602,0.114999999999998); #67848=CIRCLE('',#363603,0.114999999999998); #67849=CIRCLE('',#363617,0.114999999999998); #67850=CIRCLE('',#363618,0.114999999999998); #67851=CIRCLE('',#363620,0.114999999999998); #67852=CIRCLE('',#363621,0.114999999999998); #67853=CIRCLE('',#363641,0.127000308727433); #67854=CIRCLE('',#363642,0.127000308727433); #67855=CIRCLE('',#363649,0.126999843437875); #67856=CIRCLE('',#363650,0.126999843437875); #67857=CIRCLE('',#363654,0.126998775938454); #67858=CIRCLE('',#363655,0.126998775938454); #67859=CIRCLE('',#363664,0.126999785858201); #67860=CIRCLE('',#363665,0.126999785858201); #67861=CIRCLE('',#363668,0.127000308727438); #67862=CIRCLE('',#363669,0.127000308727438); #67863=CIRCLE('',#363679,0.127000308727436); #67864=CIRCLE('',#363680,0.127000308727436); #67865=CIRCLE('',#363683,0.127000308727441); #67866=CIRCLE('',#363684,0.127000308727441); #67867=CIRCLE('',#363689,0.127001478143445); #67868=CIRCLE('',#363690,0.127001478143445); #67869=CIRCLE('',#363693,0.127000248685803); #67870=CIRCLE('',#363694,0.127000248685803); #67871=CIRCLE('',#363701,0.127000023435621); #67872=CIRCLE('',#363702,0.127000023435621); #67873=CIRCLE('',#363710,0.127000308727437); #67874=CIRCLE('',#363711,0.127000308727437); #67875=CIRCLE('',#363717,0.139999999999998); #67876=CIRCLE('',#363718,0.139999999999998); #67877=CIRCLE('',#363720,0.139999999999998); #67878=CIRCLE('',#363721,0.139999999999998); #67879=CIRCLE('',#363724,0.275850826114455); #67880=CIRCLE('',#363725,0.275850826114455); #67881=CIRCLE('',#363727,0.275429566248996); #67882=CIRCLE('',#363728,0.275429566248996); #67883=CIRCLE('',#363731,0.275429704872049); #67884=CIRCLE('',#363732,0.275429704872049); #67885=CIRCLE('',#363734,0.27542977774263); #67886=CIRCLE('',#363735,0.27542977774263); #67887=CIRCLE('',#363738,0.275430756167224); #67888=CIRCLE('',#363739,0.275430756167224); #67889=CIRCLE('',#363743,0.139999999999998); #67890=CIRCLE('',#363744,0.139999999999998); #67891=CIRCLE('',#363747,0.275429726247122); #67892=CIRCLE('',#363748,0.275429726247122); #67893=CIRCLE('',#363757,0.27542954841201); #67894=CIRCLE('',#363758,0.27542954841201); #67895=CIRCLE('',#363762,0.139999999999998); #67896=CIRCLE('',#363763,0.139999999999998); #67897=CIRCLE('',#363770,0.127000429438416); #67898=CIRCLE('',#363771,0.127000429438416); #67899=CIRCLE('',#363774,0.127073045682421); #67900=CIRCLE('',#363775,0.127073045682421); #67901=CIRCLE('',#363777,0.275429182716805); #67902=CIRCLE('',#363778,0.275429182716805); #67903=CIRCLE('',#363780,0.275466854775623); #67904=CIRCLE('',#363781,0.275466854775623); #67905=CIRCLE('',#363788,0.139999999999998); #67906=CIRCLE('',#363789,0.139999999999998); #67907=CIRCLE('',#363791,0.140000000000002); #67908=CIRCLE('',#363792,0.140000000000002); #67909=CIRCLE('',#363795,0.275850826114459); #67910=CIRCLE('',#363796,0.275850826114459); #67911=CIRCLE('',#363798,0.275429566248996); #67912=CIRCLE('',#363799,0.275429566248996); #67913=CIRCLE('',#363806,0.127000308727436); #67914=CIRCLE('',#363807,0.127000308727436); #67915=CIRCLE('',#363811,0.127000308727436); #67916=CIRCLE('',#363812,0.127000308727436); #67917=CIRCLE('',#363815,0.127000308727436); #67918=CIRCLE('',#363816,0.127000308727436); #67919=CIRCLE('',#363821,0.127000308727441); #67920=CIRCLE('',#363822,0.127000308727441); #67921=CIRCLE('',#363825,0.127000308727438); #67922=CIRCLE('',#363826,0.127000308727438); #67923=CIRCLE('',#363829,0.275429728561968); #67924=CIRCLE('',#363830,0.275429728561968); #67925=CIRCLE('',#363832,0.275429566248991); #67926=CIRCLE('',#363833,0.275429566248991); #67927=CIRCLE('',#363838,0.127000308727436); #67928=CIRCLE('',#363839,0.127000308727436); #67929=CIRCLE('',#363842,0.127000308727436); #67930=CIRCLE('',#363843,0.127000308727436); #67931=CIRCLE('',#363848,0.12700030872744); #67932=CIRCLE('',#363849,0.12700030872744); #67933=CIRCLE('',#363853,0.127000308727436); #67934=CIRCLE('',#363854,0.127000308727436); #67935=CIRCLE('',#363857,0.127000308727441); #67936=CIRCLE('',#363858,0.127000308727441); #67937=CIRCLE('',#363861,0.127000308727433); #67938=CIRCLE('',#363862,0.127000308727433); #67939=CIRCLE('',#363865,0.127000308727438); #67940=CIRCLE('',#363866,0.127000308727438); #67941=CIRCLE('',#363869,0.275430756167224); #67942=CIRCLE('',#363870,0.275430756167224); #67943=CIRCLE('',#363874,0.140000000000002); #67944=CIRCLE('',#363875,0.140000000000002); #67945=CIRCLE('',#363877,0.139999999999998); #67946=CIRCLE('',#363878,0.139999999999998); #67947=CIRCLE('',#363881,0.275850826114452); #67948=CIRCLE('',#363882,0.275850826114452); #67949=CIRCLE('',#363884,0.275429566248992); #67950=CIRCLE('',#363885,0.275429566248992); #67951=CIRCLE('',#363889,0.275430616157734); #67952=CIRCLE('',#363890,0.275430616157734); #67953=CIRCLE('',#363892,0.275430435747982); #67954=CIRCLE('',#363893,0.275430435747982); #67955=CIRCLE('',#363896,0.127000308727439); #67956=CIRCLE('',#363897,0.127000308727439); #67957=CIRCLE('',#363900,0.275430756167224); #67958=CIRCLE('',#363901,0.275430756167224); #67959=CIRCLE('',#363905,0.139999999999998); #67960=CIRCLE('',#363906,0.139999999999998); #67961=CIRCLE('',#363913,0.127000429438416); #67962=CIRCLE('',#363914,0.127000429438416); #67963=CIRCLE('',#363917,0.127073045682421); #67964=CIRCLE('',#363918,0.127073045682421); #67965=CIRCLE('',#363920,0.275008823028508); #67966=CIRCLE('',#363921,0.275008823028508); #67967=CIRCLE('',#363923,0.127073045682415); #67968=CIRCLE('',#363924,0.127073045682415); #67969=CIRCLE('',#363927,0.127000429438414); #67970=CIRCLE('',#363928,0.127000429438414); #67971=CIRCLE('',#363938,0.275084370745809); #67972=CIRCLE('',#363939,0.275084370745809); #67973=CIRCLE('',#363946,0.139999999999998); #67974=CIRCLE('',#363947,0.139999999999998); #67975=CIRCLE('',#363950,0.275429773854103); #67976=CIRCLE('',#363951,0.275429773854103); #67977=CIRCLE('',#363953,0.12691207986283); #67978=CIRCLE('',#363954,0.12691207986283); #67979=CIRCLE('',#363957,0.127000429438417); #67980=CIRCLE('',#363958,0.127000429438417); #67981=CIRCLE('',#363968,0.275006306563116); #67982=CIRCLE('',#363969,0.275006306563116); #67983=CIRCLE('',#363979,0.127000429438417); #67984=CIRCLE('',#363980,0.127000429438417); #67985=CIRCLE('',#363983,0.126926612717977); #67986=CIRCLE('',#363984,0.126926612717977); #67987=CIRCLE('',#363986,0.275430611325914); #67988=CIRCLE('',#363987,0.275430611325914); #67989=CIRCLE('',#363991,0.139999999999998); #67990=CIRCLE('',#363992,0.139999999999998); #67991=CIRCLE('',#363994,0.139999999999998); #67992=CIRCLE('',#363995,0.139999999999998); #67993=CIRCLE('',#363999,0.127000308727438); #67994=CIRCLE('',#364000,0.127000308727438); #67995=CIRCLE('',#364004,0.27542972856197); #67996=CIRCLE('',#364005,0.27542972856197); #67997=CIRCLE('',#364007,0.275429566248993); #67998=CIRCLE('',#364008,0.275429566248993); #67999=CIRCLE('',#364011,0.127000308727433); #68000=CIRCLE('',#364012,0.127000308727433); #68001=CIRCLE('',#364017,0.127000308727449); #68002=CIRCLE('',#364018,0.127000308727449); #68003=CIRCLE('',#364025,0.127000308727451); #68004=CIRCLE('',#364026,0.127000308727451); #68005=CIRCLE('',#364030,0.127000308727436); #68006=CIRCLE('',#364031,0.127000308727436); #68007=CIRCLE('',#364034,0.12700030872744); #68008=CIRCLE('',#364035,0.12700030872744); #68009=CIRCLE('',#364040,0.12700030872744); #68010=CIRCLE('',#364041,0.12700030872744); #68011=CIRCLE('',#364044,0.127000308727449); #68012=CIRCLE('',#364045,0.127000308727449); #68013=CIRCLE('',#364048,0.127000308727438); #68014=CIRCLE('',#364049,0.127000308727438); #68015=CIRCLE('',#364053,0.275429728561968); #68016=CIRCLE('',#364054,0.275429728561968); #68017=CIRCLE('',#364056,0.275429566248991); #68018=CIRCLE('',#364057,0.275429566248991); #68019=CIRCLE('',#364060,0.127000308727436); #68020=CIRCLE('',#364061,0.127000308727436); #68021=CIRCLE('',#364067,0.127000308727425); #68022=CIRCLE('',#364068,0.127000308727425); #68023=CIRCLE('',#364071,0.127000308727425); #68024=CIRCLE('',#364072,0.127000308727425); #68025=CIRCLE('',#364077,0.127000308727436); #68026=CIRCLE('',#364078,0.127000308727436); #68027=CIRCLE('',#364082,0.127001515838133); #68028=CIRCLE('',#364083,0.127001515838133); #68029=CIRCLE('',#364086,0.127001515838138); #68030=CIRCLE('',#364087,0.127001515838138); #68031=CIRCLE('',#364090,0.127001515838138); #68032=CIRCLE('',#364091,0.127001515838138); #68033=CIRCLE('',#364094,0.127001515838131); #68034=CIRCLE('',#364095,0.127001515838131); #68035=CIRCLE('',#364099,0.126758017197157); #68036=CIRCLE('',#364100,0.126758017197157); #68037=CIRCLE('',#364104,0.139999999999998); #68038=CIRCLE('',#364105,0.139999999999998); #68039=CIRCLE('',#364107,0.139999999999998); #68040=CIRCLE('',#364108,0.139999999999998); #68041=CIRCLE('',#364111,0.275850826114455); #68042=CIRCLE('',#364112,0.275850826114455); #68043=CIRCLE('',#364114,0.275429566248996); #68044=CIRCLE('',#364115,0.275429566248996); #68045=CIRCLE('',#364118,0.275429704872049); #68046=CIRCLE('',#364119,0.275429704872049); #68047=CIRCLE('',#364121,0.27542977774263); #68048=CIRCLE('',#364122,0.27542977774263); #68049=CIRCLE('',#364125,0.275430756167224); #68050=CIRCLE('',#364126,0.275430756167224); #68051=CIRCLE('',#364130,0.139999999999998); #68052=CIRCLE('',#364131,0.139999999999998); #68053=CIRCLE('',#364133,0.139999999999998); #68054=CIRCLE('',#364134,0.139999999999998); #68055=CIRCLE('',#364137,0.275850826114455); #68056=CIRCLE('',#364138,0.275850826114455); #68057=CIRCLE('',#364140,0.275429566248993); #68058=CIRCLE('',#364141,0.275429566248993); #68059=CIRCLE('',#364144,0.275429704872047); #68060=CIRCLE('',#364145,0.275429704872047); #68061=CIRCLE('',#364147,0.275429777742628); #68062=CIRCLE('',#364148,0.275429777742628); #68063=CIRCLE('',#364151,0.275430756167224); #68064=CIRCLE('',#364152,0.275430756167224); #68065=CIRCLE('',#364156,0.139999999999998); #68066=CIRCLE('',#364157,0.139999999999998); #68067=CIRCLE('',#364159,0.139999999999998); #68068=CIRCLE('',#364160,0.139999999999998); #68069=CIRCLE('',#364163,0.275850826114455); #68070=CIRCLE('',#364164,0.275850826114455); #68071=CIRCLE('',#364166,0.275429566248995); #68072=CIRCLE('',#364167,0.275429566248995); #68073=CIRCLE('',#364170,0.275429704872054); #68074=CIRCLE('',#364171,0.275429704872054); #68075=CIRCLE('',#364173,0.275429777742634); #68076=CIRCLE('',#364174,0.275429777742634); #68077=CIRCLE('',#364177,0.275430756167224); #68078=CIRCLE('',#364178,0.275430756167224); #68079=CIRCLE('',#364182,0.139999999999998); #68080=CIRCLE('',#364183,0.139999999999998); #68081=CIRCLE('',#364185,0.140000000000002); #68082=CIRCLE('',#364186,0.140000000000002); #68083=CIRCLE('',#364189,0.275429677195186); #68084=CIRCLE('',#364190,0.275429677195186); #68085=CIRCLE('',#364195,0.27542972856197); #68086=CIRCLE('',#364196,0.27542972856197); #68087=CIRCLE('',#364198,0.275429566248992); #68088=CIRCLE('',#364199,0.275429566248992); #68089=CIRCLE('',#364202,0.127000308727437); #68090=CIRCLE('',#364203,0.127000308727437); #68091=CIRCLE('',#364206,0.127000308727438); #68092=CIRCLE('',#364207,0.127000308727438); #68093=CIRCLE('',#364210,0.275429442438527); #68094=CIRCLE('',#364211,0.275429442438527); #68095=CIRCLE('',#364215,0.139999999999998); #68096=CIRCLE('',#364216,0.139999999999998); #68097=CIRCLE('',#364224,0.139999999999998); #68098=CIRCLE('',#364225,0.139999999999998); #68099=CIRCLE('',#364233,0.140000000000002); #68100=CIRCLE('',#364234,0.140000000000002); #68101=CIRCLE('',#364242,0.139999999999998); #68102=CIRCLE('',#364243,0.139999999999998); #68103=CIRCLE('',#364246,0.275429677195181); #68104=CIRCLE('',#364247,0.275429677195181); #68105=CIRCLE('',#364256,0.275429442438521); #68106=CIRCLE('',#364257,0.275429442438521); #68107=CIRCLE('',#364261,0.139999999999998); #68108=CIRCLE('',#364262,0.139999999999998); #68109=CIRCLE('',#364264,0.139999999999998); #68110=CIRCLE('',#364265,0.139999999999998); #68111=CIRCLE('',#364269,0.12700030872745); #68112=CIRCLE('',#364270,0.12700030872745); #68113=CIRCLE('',#364274,0.127000308727449); #68114=CIRCLE('',#364275,0.127000308727449); #68115=CIRCLE('',#364279,0.27542972856197); #68116=CIRCLE('',#364280,0.27542972856197); #68117=CIRCLE('',#364282,0.275429566248993); #68118=CIRCLE('',#364283,0.275429566248993); #68119=CIRCLE('',#364286,0.127000308727438); #68120=CIRCLE('',#364287,0.127000308727438); #68121=CIRCLE('',#364291,0.127000308727439); #68122=CIRCLE('',#364292,0.127000308727439); #68123=CIRCLE('',#364300,0.127000308727438); #68124=CIRCLE('',#364301,0.127000308727438); #68125=CIRCLE('',#364305,0.12700030872744); #68126=CIRCLE('',#364306,0.12700030872744); #68127=CIRCLE('',#364309,0.12700030872744); #68128=CIRCLE('',#364310,0.12700030872744); #68129=CIRCLE('',#364315,0.12700030872744); #68130=CIRCLE('',#364316,0.12700030872744); #68131=CIRCLE('',#364319,0.127000308727436); #68132=CIRCLE('',#364320,0.127000308727436); #68133=CIRCLE('',#364324,0.127000308727438); #68134=CIRCLE('',#364325,0.127000308727438); #68135=CIRCLE('',#364328,0.275429728561968); #68136=CIRCLE('',#364329,0.275429728561968); #68137=CIRCLE('',#364331,0.275429566248991); #68138=CIRCLE('',#364332,0.275429566248991); #68139=CIRCLE('',#364336,0.127000308727438); #68140=CIRCLE('',#364337,0.127000308727438); #68141=CIRCLE('',#364342,0.12700030872744); #68142=CIRCLE('',#364343,0.12700030872744); #68143=CIRCLE('',#364346,0.12700030872744); #68144=CIRCLE('',#364347,0.12700030872744); #68145=CIRCLE('',#364352,0.127000308727447); #68146=CIRCLE('',#364353,0.127000308727447); #68147=CIRCLE('',#364357,0.127000308727451); #68148=CIRCLE('',#364358,0.127000308727451); #68149=CIRCLE('',#364361,0.12700030872744); #68150=CIRCLE('',#364362,0.12700030872744); #68151=CIRCLE('',#364365,0.127000308727438); #68152=CIRCLE('',#364366,0.127000308727438); #68153=CIRCLE('',#364369,0.126758017197157); #68154=CIRCLE('',#364370,0.126758017197157); #68155=CIRCLE('',#364374,0.140000000000002); #68156=CIRCLE('',#364375,0.140000000000002); #68157=CIRCLE('',#364377,0.140000000000002); #68158=CIRCLE('',#364378,0.140000000000002); #68159=CIRCLE('',#364381,0.275429677195186); #68160=CIRCLE('',#364382,0.275429677195186); #68161=CIRCLE('',#364385,0.275429728561971); #68162=CIRCLE('',#364386,0.275429728561971); #68163=CIRCLE('',#364388,0.275429566248994); #68164=CIRCLE('',#364389,0.275429566248994); #68165=CIRCLE('',#364392,0.275429442438529); #68166=CIRCLE('',#364393,0.275429442438529); #68167=CIRCLE('',#364397,0.139999999999998); #68168=CIRCLE('',#364398,0.139999999999998); #68169=CIRCLE('',#364401,0.275429677195182); #68170=CIRCLE('',#364402,0.275429677195182); #68171=CIRCLE('',#364411,0.275429442438522); #68172=CIRCLE('',#364412,0.275429442438522); #68173=CIRCLE('',#364416,0.139999999999998); #68174=CIRCLE('',#364417,0.139999999999998); #68175=CIRCLE('',#364420,0.275429677195182); #68176=CIRCLE('',#364421,0.275429677195182); #68177=CIRCLE('',#364430,0.275429442438521); #68178=CIRCLE('',#364431,0.275429442438521); #68179=CIRCLE('',#364435,0.139999999999998); #68180=CIRCLE('',#364436,0.139999999999998); #68181=CIRCLE('',#364439,0.275430523299247); #68182=CIRCLE('',#364440,0.275430523299247); #68183=CIRCLE('',#364443,0.127001515838137); #68184=CIRCLE('',#364444,0.127001515838137); #68185=CIRCLE('',#364447,0.127001515838134); #68186=CIRCLE('',#364448,0.127001515838134); #68187=CIRCLE('',#364459,0.275430756167224); #68188=CIRCLE('',#364460,0.275430756167224); #68189=CIRCLE('',#364462,0.275850020106943); #68190=CIRCLE('',#364463,0.275850020106943); #68191=CIRCLE('',#364467,0.465); #68192=CIRCLE('',#364468,0.465); #68193=CIRCLE('',#364478,0.750581792116793); #68194=CIRCLE('',#364479,0.750581792116793); #68195=CIRCLE('',#364481,0.750581774250364); #68196=CIRCLE('',#364482,0.750581774250364); #68197=CIRCLE('',#364485,0.127000308727438); #68198=CIRCLE('',#364486,0.127000308727438); #68199=CIRCLE('',#364489,0.127000308727433); #68200=CIRCLE('',#364490,0.127000308727433); #68201=CIRCLE('',#364495,0.465); #68202=CIRCLE('',#364496,0.465); #68203=CIRCLE('',#364499,0.750904962541172); #68204=CIRCLE('',#364500,0.750904962541172); #68205=CIRCLE('',#364502,0.750905460124643); #68206=CIRCLE('',#364503,0.750905460124643); #68207=CIRCLE('',#364507,0.139999999999998); #68208=CIRCLE('',#364508,0.139999999999998); #68209=CIRCLE('',#364517,0.127000308727438); #68210=CIRCLE('',#364518,0.127000308727438); #68211=CIRCLE('',#364522,0.275429728561968); #68212=CIRCLE('',#364523,0.275429728561968); #68213=CIRCLE('',#364525,0.275429566248991); #68214=CIRCLE('',#364526,0.275429566248991); #68215=CIRCLE('',#364529,0.127000308727444); #68216=CIRCLE('',#364530,0.127000308727444); #68217=CIRCLE('',#364534,0.127000308727438); #68218=CIRCLE('',#364535,0.127000308727438); #68219=CIRCLE('',#364538,0.127000308727435); #68220=CIRCLE('',#364539,0.127000308727435); #68221=CIRCLE('',#364545,0.465); #68222=CIRCLE('',#364546,0.465); #68223=CIRCLE('',#364552,0.127000308727438); #68224=CIRCLE('',#364553,0.127000308727438); #68225=CIRCLE('',#364556,0.127000308727438); #68226=CIRCLE('',#364557,0.127000308727438); #68227=CIRCLE('',#364560,0.750581792116793); #68228=CIRCLE('',#364561,0.750581792116793); #68229=CIRCLE('',#364563,0.750581774250364); #68230=CIRCLE('',#364564,0.750581774250364); #68231=CIRCLE('',#364578,0.127000308727435); #68232=CIRCLE('',#364579,0.127000308727435); #68233=CIRCLE('',#364602,0.127000308727435); #68234=CIRCLE('',#364603,0.127000308727435); #68235=CIRCLE('',#364609,0.564999999999998); #68236=CIRCLE('',#364610,0.564999999999998); #68237=CIRCLE('',#364614,0.127000308727436); #68238=CIRCLE('',#364615,0.127000308727436); #68239=CIRCLE('',#364618,0.127000308727438); #68240=CIRCLE('',#364619,0.127000308727438); #68241=CIRCLE('',#364623,0.12700030872744); #68242=CIRCLE('',#364624,0.12700030872744); #68243=CIRCLE('',#364634,0.127000308727444); #68244=CIRCLE('',#364635,0.127000308727444); #68245=CIRCLE('',#364641,0.127000308727441); #68246=CIRCLE('',#364642,0.127000308727441); #68247=CIRCLE('',#364660,0.126758017197157); #68248=CIRCLE('',#364661,0.126758017197157); #68249=CIRCLE('',#364665,0.139999999999998); #68250=CIRCLE('',#364666,0.139999999999998); #68251=CIRCLE('',#364668,0.139999999999998); #68252=CIRCLE('',#364669,0.139999999999998); #68253=CIRCLE('',#364672,0.275429677195185); #68254=CIRCLE('',#364673,0.275429677195185); #68255=CIRCLE('',#364676,0.27542972856197); #68256=CIRCLE('',#364677,0.27542972856197); #68257=CIRCLE('',#364679,0.275429566248993); #68258=CIRCLE('',#364680,0.275429566248993); #68259=CIRCLE('',#364683,0.275429442438528); #68260=CIRCLE('',#364684,0.275429442438528); #68261=CIRCLE('',#364688,0.140000000000002); #68262=CIRCLE('',#364689,0.140000000000002); #68263=CIRCLE('',#364697,0.139999999999998); #68264=CIRCLE('',#364698,0.139999999999998); #68265=CIRCLE('',#364700,0.139999999999998); #68266=CIRCLE('',#364701,0.139999999999998); #68267=CIRCLE('',#364704,0.275430523299248); #68268=CIRCLE('',#364705,0.275430523299248); #68269=CIRCLE('',#364708,0.127000308727438); #68270=CIRCLE('',#364709,0.127000308727438); #68271=CIRCLE('',#364712,0.127000308727433); #68272=CIRCLE('',#364713,0.127000308727433); #68273=CIRCLE('',#364716,0.127000308727436); #68274=CIRCLE('',#364717,0.127000308727436); #68275=CIRCLE('',#364720,0.127000308727438); #68276=CIRCLE('',#364721,0.127000308727438); #68277=CIRCLE('',#364724,0.127000308727444); #68278=CIRCLE('',#364725,0.127000308727444); #68279=CIRCLE('',#364728,0.275430209658209); #68280=CIRCLE('',#364729,0.275430209658209); #68281=CIRCLE('',#364731,0.275430264558768); #68282=CIRCLE('',#364732,0.275430264558768); #68283=CIRCLE('',#364740,0.275430756167224); #68284=CIRCLE('',#364741,0.275430756167224); #68285=CIRCLE('',#364743,0.275850020106942); #68286=CIRCLE('',#364744,0.275850020106942); #68287=CIRCLE('',#364748,0.139999999999998); #68288=CIRCLE('',#364749,0.139999999999998); #68289=CIRCLE('',#364751,0.139999999999998); #68290=CIRCLE('',#364752,0.139999999999998); #68291=CIRCLE('',#364755,0.275430525995305); #68292=CIRCLE('',#364756,0.275430525995305); #68293=CIRCLE('',#364759,0.275430616157734); #68294=CIRCLE('',#364760,0.275430616157734); #68295=CIRCLE('',#364762,0.27543090603658); #68296=CIRCLE('',#364763,0.27543090603658); #68297=CIRCLE('',#364767,0.275850020106941); #68298=CIRCLE('',#364768,0.275850020106941); #68299=CIRCLE('',#364772,0.139999999999998); #68300=CIRCLE('',#364773,0.139999999999998); #68301=CIRCLE('',#364781,0.565000000000002); #68302=CIRCLE('',#364782,0.565000000000002); #68303=CIRCLE('',#364784,0.140000000000002); #68304=CIRCLE('',#364785,0.140000000000002); #68305=CIRCLE('',#364787,0.514999999999999); #68306=CIRCLE('',#364788,0.514999999999999); #68307=CIRCLE('',#364790,0.465); #68308=CIRCLE('',#364791,0.465); #68309=CIRCLE('',#364863,0.275008823028508); #68310=CIRCLE('',#364864,0.275008823028508); #68311=CIRCLE('',#364869,0.825862156960858); #68312=CIRCLE('',#364870,0.825862156960858); #68313=CIRCLE('',#364872,0.825862160595456); #68314=CIRCLE('',#364873,0.825862160595456); #68315=CIRCLE('',#364876,0.127000308727435); #68316=CIRCLE('',#364877,0.127000308727435); #68317=CIRCLE('',#364880,0.127000308727438); #68318=CIRCLE('',#364881,0.127000308727438); #68319=CIRCLE('',#364884,0.275008823028508); #68320=CIRCLE('',#364885,0.275008823028508); #68321=CIRCLE('',#364897,0.140000000000002); #68322=CIRCLE('',#364898,0.140000000000002); #68323=CIRCLE('',#364902,0.126999756401237); #68324=CIRCLE('',#364903,0.126999756401237); #68325=CIRCLE('',#364925,0.127000308727433); #68326=CIRCLE('',#364926,0.127000308727433); #68327=CIRCLE('',#364931,0.127000308727438); #68328=CIRCLE('',#364932,0.127000308727438); #68329=CIRCLE('',#364936,0.127000308727441); #68330=CIRCLE('',#364937,0.127000308727441); #68331=CIRCLE('',#364940,0.275429704872047); #68332=CIRCLE('',#364941,0.275429704872047); #68333=CIRCLE('',#364943,0.275429777742628); #68334=CIRCLE('',#364944,0.275429777742628); #68335=CIRCLE('',#364948,0.127000308727438); #68336=CIRCLE('',#364949,0.127000308727438); #68337=CIRCLE('',#364953,0.127000308727438); #68338=CIRCLE('',#364954,0.127000308727438); #68339=CIRCLE('',#364957,0.127000308727433); #68340=CIRCLE('',#364958,0.127000308727433); #68341=CIRCLE('',#364965,0.126735934237225); #68342=CIRCLE('',#364966,0.126735934237225); #68343=CIRCLE('',#364970,0.14); #68344=CIRCLE('',#364971,0.14); #68345=CIRCLE('',#364973,0.14); #68346=CIRCLE('',#364974,0.14); #68347=CIRCLE('',#364976,0.14); #68348=CIRCLE('',#364977,0.14); #68349=CIRCLE('',#364979,0.14); #68350=CIRCLE('',#364980,0.14); #68351=CIRCLE('',#364982,0.14); #68352=CIRCLE('',#364983,0.14); #68353=CIRCLE('',#364985,0.14); #68354=CIRCLE('',#364986,0.14); #68355=CIRCLE('',#364988,0.14); #68356=CIRCLE('',#364989,0.14); #68357=CIRCLE('',#364991,0.14); #68358=CIRCLE('',#364992,0.14); #68359=CIRCLE('',#364994,0.465); #68360=CIRCLE('',#364995,0.465); #68361=CIRCLE('',#364997,0.465); #68362=CIRCLE('',#364998,0.465); #68363=CIRCLE('',#365000,0.514999999999999); #68364=CIRCLE('',#365001,0.514999999999999); #68365=CIRCLE('',#365003,0.14); #68366=CIRCLE('',#365004,0.14); #68367=CIRCLE('',#365338,0.140000000000002); #68368=CIRCLE('',#365339,0.140000000000002); #68369=CIRCLE('',#365341,0.139999999999998); #68370=CIRCLE('',#365342,0.139999999999998); #68371=CIRCLE('',#365344,0.139999999999998); #68372=CIRCLE('',#365345,0.139999999999998); #68373=CIRCLE('',#365347,0.139999999999998); #68374=CIRCLE('',#365348,0.139999999999998); #68375=CIRCLE('',#365350,0.139999999999998); #68376=CIRCLE('',#365351,0.139999999999998); #68377=CIRCLE('',#365353,0.139999999999998); #68378=CIRCLE('',#365354,0.139999999999998); #68379=CIRCLE('',#365356,0.465); #68380=CIRCLE('',#365357,0.465); #68381=CIRCLE('',#365359,0.139999999999998); #68382=CIRCLE('',#365360,0.139999999999998); #68383=CIRCLE('',#365362,0.139999999999998); #68384=CIRCLE('',#365363,0.139999999999998); #68385=CIRCLE('',#365365,0.139999999999998); #68386=CIRCLE('',#365366,0.139999999999998); #68387=CIRCLE('',#365368,0.139999999999998); #68388=CIRCLE('',#365369,0.139999999999998); #68389=CIRCLE('',#365371,0.139999999999998); #68390=CIRCLE('',#365372,0.139999999999998); #68391=CIRCLE('',#365374,0.139999999999998); #68392=CIRCLE('',#365375,0.139999999999998); #68393=CIRCLE('',#365377,0.514999999999999); #68394=CIRCLE('',#365378,0.514999999999999); #68395=CIRCLE('',#365380,0.139999999999998); #68396=CIRCLE('',#365381,0.139999999999998); #68397=CIRCLE('',#365383,0.139999999999998); #68398=CIRCLE('',#365384,0.139999999999998); #68399=CIRCLE('',#365386,0.139999999999998); #68400=CIRCLE('',#365387,0.139999999999998); #68401=CIRCLE('',#365389,0.139999999999998); #68402=CIRCLE('',#365390,0.139999999999998); #68403=CIRCLE('',#365392,0.139999999999998); #68404=CIRCLE('',#365393,0.139999999999998); #68405=CIRCLE('',#365395,0.139999999999998); #68406=CIRCLE('',#365396,0.139999999999998); #68407=CIRCLE('',#365398,0.139999999999998); #68408=CIRCLE('',#365399,0.139999999999998); #68409=CIRCLE('',#365401,0.139999999999998); #68410=CIRCLE('',#365402,0.139999999999998); #68411=CIRCLE('',#365404,0.14); #68412=CIRCLE('',#365405,0.14); #68413=CIRCLE('',#365407,0.139999999999998); #68414=CIRCLE('',#365408,0.139999999999998); #68415=CIRCLE('',#365410,0.14); #68416=CIRCLE('',#365411,0.14); #68417=CIRCLE('',#365413,0.139999999999998); #68418=CIRCLE('',#365414,0.139999999999998); #68419=CIRCLE('',#365416,0.14); #68420=CIRCLE('',#365417,0.14); #68421=CIRCLE('',#365419,0.139999999999998); #68422=CIRCLE('',#365420,0.139999999999998); #68423=CIRCLE('',#365422,0.14); #68424=CIRCLE('',#365423,0.14); #68425=CIRCLE('',#365425,0.140000000000002); #68426=CIRCLE('',#365426,0.140000000000002); #68427=CIRCLE('',#365428,0.139999999999998); #68428=CIRCLE('',#365429,0.139999999999998); #68429=CIRCLE('',#365431,0.140000000000002); #68430=CIRCLE('',#365432,0.140000000000002); #68431=CIRCLE('',#365434,0.139999999999998); #68432=CIRCLE('',#365435,0.139999999999998); #68433=CIRCLE('',#365437,0.140000000000002); #68434=CIRCLE('',#365438,0.140000000000002); #68435=CIRCLE('',#365440,0.139999999999998); #68436=CIRCLE('',#365441,0.139999999999998); #68437=CIRCLE('',#365443,0.140000000000002); #68438=CIRCLE('',#365444,0.140000000000002); #68439=CIRCLE('',#365446,0.140000000000002); #68440=CIRCLE('',#365447,0.140000000000002); #68441=CIRCLE('',#365449,0.139999999999998); #68442=CIRCLE('',#365450,0.139999999999998); #68443=CIRCLE('',#365452,0.140000000000002); #68444=CIRCLE('',#365453,0.140000000000002); #68445=CIRCLE('',#365455,0.140000000000002); #68446=CIRCLE('',#365456,0.140000000000002); #68447=CIRCLE('',#365458,0.139999999999998); #68448=CIRCLE('',#365459,0.139999999999998); #68449=CIRCLE('',#365461,0.140000000000002); #68450=CIRCLE('',#365462,0.140000000000002); #68451=CIRCLE('',#365464,0.140000000000002); #68452=CIRCLE('',#365465,0.140000000000002); #68453=CIRCLE('',#365467,0.139999999999998); #68454=CIRCLE('',#365468,0.139999999999998); #68455=CIRCLE('',#365470,0.140000000000002); #68456=CIRCLE('',#365471,0.140000000000002); #68457=CIRCLE('',#365473,0.139999999999998); #68458=CIRCLE('',#365474,0.139999999999998); #68459=CIRCLE('',#365476,0.140000000000002); #68460=CIRCLE('',#365477,0.140000000000002); #68461=CIRCLE('',#365479,0.139999999999998); #68462=CIRCLE('',#365480,0.139999999999998); #68463=CIRCLE('',#365482,0.140000000000002); #68464=CIRCLE('',#365483,0.140000000000002); #68465=CIRCLE('',#365485,0.14); #68466=CIRCLE('',#365486,0.14); #68467=CIRCLE('',#365488,0.139999999999998); #68468=CIRCLE('',#365489,0.139999999999998); #68469=CIRCLE('',#365491,0.14); #68470=CIRCLE('',#365492,0.14); #68471=CIRCLE('',#365494,0.139999999999998); #68472=CIRCLE('',#365495,0.139999999999998); #68473=CIRCLE('',#365497,0.14); #68474=CIRCLE('',#365498,0.14); #68475=CIRCLE('',#365500,0.139999999999998); #68476=CIRCLE('',#365501,0.139999999999998); #68477=CIRCLE('',#365503,0.14); #68478=CIRCLE('',#365504,0.14); #68479=CIRCLE('',#365506,0.14); #68480=CIRCLE('',#365507,0.14); #68481=CIRCLE('',#365509,0.139999999999998); #68482=CIRCLE('',#365510,0.139999999999998); #68483=CIRCLE('',#365512,0.14); #68484=CIRCLE('',#365513,0.14); #68485=CIRCLE('',#365515,0.139999999999998); #68486=CIRCLE('',#365516,0.139999999999998); #68487=CIRCLE('',#365518,0.14); #68488=CIRCLE('',#365519,0.14); #68489=CIRCLE('',#365521,0.139999999999998); #68490=CIRCLE('',#365522,0.139999999999998); #68491=CIRCLE('',#365524,0.14); #68492=CIRCLE('',#365525,0.14); #68493=CIRCLE('',#365527,0.465); #68494=CIRCLE('',#365528,0.465); #68495=CIRCLE('',#365531,0.315); #68496=CIRCLE('',#365532,0.315); #68497=CIRCLE('',#365535,0.315); #68498=CIRCLE('',#365536,0.315); #68499=CIRCLE('',#365539,0.565); #68500=CIRCLE('',#365540,0.565); #68501=CIRCLE('',#365543,0.565); #68502=CIRCLE('',#365544,0.565); #68503=CIRCLE('',#365546,0.139999999999998); #68504=CIRCLE('',#365547,0.139999999999998); #68505=CIRCLE('',#365549,0.114999999999998); #68506=CIRCLE('',#365550,0.114999999999998); #68507=CIRCLE('',#365553,0.565); #68508=CIRCLE('',#365554,0.565); #68509=CIRCLE('',#365557,0.565); #68510=CIRCLE('',#365558,0.565); #68511=CIRCLE('',#365560,0.139999999999998); #68512=CIRCLE('',#365561,0.139999999999998); #68513=CIRCLE('',#365563,0.114999999999998); #68514=CIRCLE('',#365564,0.114999999999998); #68515=CIRCLE('',#365566,0.139999999999998); #68516=CIRCLE('',#365567,0.139999999999998); #68517=CIRCLE('',#365569,0.114999999999998); #68518=CIRCLE('',#365570,0.114999999999998); #68519=CIRCLE('',#365572,0.114999999999998); #68520=CIRCLE('',#365573,0.114999999999998); #68521=CIRCLE('',#365576,0.315); #68522=CIRCLE('',#365577,0.315); #68523=CIRCLE('',#365580,0.315); #68524=CIRCLE('',#365581,0.315); #68525=CIRCLE('',#365583,0.139999999999998); #68526=CIRCLE('',#365584,0.139999999999998); #68527=CIRCLE('',#365587,0.315); #68528=CIRCLE('',#365588,0.315); #68529=CIRCLE('',#365591,0.315); #68530=CIRCLE('',#365592,0.315); #68531=CIRCLE('',#365595,0.315); #68532=CIRCLE('',#365596,0.315); #68533=CIRCLE('',#365599,0.315); #68534=CIRCLE('',#365600,0.315); #68535=CIRCLE('',#365602,0.139999999999998); #68536=CIRCLE('',#365603,0.139999999999998); #68537=CIRCLE('',#365605,0.139999999999998); #68538=CIRCLE('',#365606,0.139999999999998); #68539=CIRCLE('',#365608,0.140000000000002); #68540=CIRCLE('',#365609,0.140000000000002); #68541=CIRCLE('',#365611,0.139999999999998); #68542=CIRCLE('',#365612,0.139999999999998); #68543=CIRCLE('',#365614,0.140000000000002); #68544=CIRCLE('',#365615,0.140000000000002); #68545=CIRCLE('',#365617,0.140000000000002); #68546=CIRCLE('',#365618,0.140000000000002); #68547=CIRCLE('',#365620,0.139999999999998); #68548=CIRCLE('',#365621,0.139999999999998); #68549=CIRCLE('',#365623,0.140000000000002); #68550=CIRCLE('',#365624,0.140000000000002); #68551=CIRCLE('',#365626,0.139999999999998); #68552=CIRCLE('',#365627,0.139999999999998); #68553=CIRCLE('',#365629,0.139999999999998); #68554=CIRCLE('',#365630,0.139999999999998); #68555=CIRCLE('',#365632,0.139999999999998); #68556=CIRCLE('',#365633,0.139999999999998); #68557=CIRCLE('',#365635,0.139999999999998); #68558=CIRCLE('',#365636,0.139999999999998); #68559=CIRCLE('',#365638,0.139999999999998); #68560=CIRCLE('',#365639,0.139999999999998); #68561=CIRCLE('',#365641,0.140000000000002); #68562=CIRCLE('',#365642,0.140000000000002); #68563=CIRCLE('',#365644,0.514999999999999); #68564=CIRCLE('',#365645,0.514999999999999); #68565=CIRCLE('',#365647,0.140000000000002); #68566=CIRCLE('',#365648,0.140000000000002); #68567=CIRCLE('',#365650,0.139999999999998); #68568=CIRCLE('',#365651,0.139999999999998); #68569=CIRCLE('',#365653,0.140000000000002); #68570=CIRCLE('',#365654,0.140000000000002); #68571=CIRCLE('',#365656,0.140000000000002); #68572=CIRCLE('',#365657,0.140000000000002); #68573=CIRCLE('',#365659,0.139999999999998); #68574=CIRCLE('',#365660,0.139999999999998); #68575=CIRCLE('',#365662,0.140000000000002); #68576=CIRCLE('',#365663,0.140000000000002); #68577=CIRCLE('',#365665,0.140000000000002); #68578=CIRCLE('',#365666,0.140000000000002); #68579=CIRCLE('',#365668,0.139999999999998); #68580=CIRCLE('',#365669,0.139999999999998); #68581=CIRCLE('',#365671,0.140000000000002); #68582=CIRCLE('',#365672,0.140000000000002); #68583=CIRCLE('',#365674,0.140000000000002); #68584=CIRCLE('',#365675,0.140000000000002); #68585=CIRCLE('',#365677,0.139999999999998); #68586=CIRCLE('',#365678,0.139999999999998); #68587=CIRCLE('',#365680,0.140000000000002); #68588=CIRCLE('',#365681,0.140000000000002); #68589=CIRCLE('',#365683,0.564999999999998); #68590=CIRCLE('',#365684,0.564999999999998); #68591=CIRCLE('',#365686,0.14); #68592=CIRCLE('',#365687,0.14); #68593=CIRCLE('',#365689,0.139999999999998); #68594=CIRCLE('',#365690,0.139999999999998); #68595=CIRCLE('',#365692,0.140000000000002); #68596=CIRCLE('',#365693,0.140000000000002); #68597=CIRCLE('',#365695,0.140000000000002); #68598=CIRCLE('',#365696,0.140000000000002); #68599=CIRCLE('',#365698,0.139999999999998); #68600=CIRCLE('',#365699,0.139999999999998); #68601=CIRCLE('',#365701,0.140000000000002); #68602=CIRCLE('',#365702,0.140000000000002); #68603=CIRCLE('',#365704,0.140000000000002); #68604=CIRCLE('',#365705,0.140000000000002); #68605=CIRCLE('',#365707,0.139999999999998); #68606=CIRCLE('',#365708,0.139999999999998); #68607=CIRCLE('',#365710,0.140000000000002); #68608=CIRCLE('',#365711,0.140000000000002); #68609=CIRCLE('',#365713,0.140000000000002); #68610=CIRCLE('',#365714,0.140000000000002); #68611=CIRCLE('',#365716,0.139999999999998); #68612=CIRCLE('',#365717,0.139999999999998); #68613=CIRCLE('',#365719,0.140000000000002); #68614=CIRCLE('',#365720,0.140000000000002); #68615=CIRCLE('',#365722,0.14); #68616=CIRCLE('',#365723,0.14); #68617=CIRCLE('',#365725,0.139999999999998); #68618=CIRCLE('',#365726,0.139999999999998); #68619=CIRCLE('',#365728,0.14); #68620=CIRCLE('',#365729,0.14); #68621=CIRCLE('',#365731,0.14); #68622=CIRCLE('',#365732,0.14); #68623=CIRCLE('',#365734,0.139999999999998); #68624=CIRCLE('',#365735,0.139999999999998); #68625=CIRCLE('',#365737,0.14); #68626=CIRCLE('',#365738,0.14); #68627=CIRCLE('',#365740,0.14); #68628=CIRCLE('',#365741,0.14); #68629=CIRCLE('',#365743,0.139999999999998); #68630=CIRCLE('',#365744,0.139999999999998); #68631=CIRCLE('',#365746,0.14); #68632=CIRCLE('',#365747,0.14); #68633=CIRCLE('',#365749,0.14); #68634=CIRCLE('',#365750,0.14); #68635=CIRCLE('',#365752,0.139999999999998); #68636=CIRCLE('',#365753,0.139999999999998); #68637=CIRCLE('',#365755,0.14); #68638=CIRCLE('',#365756,0.14); #68639=CIRCLE('',#367602,0.140000000000002); #68640=CIRCLE('',#367603,0.140000000000002); #68641=CIRCLE('',#367605,0.140000000000002); #68642=CIRCLE('',#367606,0.140000000000002); #68643=CIRCLE('',#367608,0.140000000000002); #68644=CIRCLE('',#367609,0.140000000000002); #68645=CIRCLE('',#367611,0.140000000000002); #68646=CIRCLE('',#367612,0.140000000000002); #68647=CIRCLE('',#367614,0.140000000000002); #68648=CIRCLE('',#367615,0.140000000000002); #68649=CIRCLE('',#367617,0.140000000000002); #68650=CIRCLE('',#367618,0.140000000000002); #68651=CIRCLE('',#367620,0.140000000000002); #68652=CIRCLE('',#367621,0.140000000000002); #68653=CIRCLE('',#367623,0.140000000000002); #68654=CIRCLE('',#367624,0.140000000000002); #68655=CIRCLE('',#367626,0.140000000000002); #68656=CIRCLE('',#367627,0.140000000000002); #68657=CIRCLE('',#367629,0.140000000000002); #68658=CIRCLE('',#367630,0.140000000000002); #68659=CIRCLE('',#367632,0.140000000000002); #68660=CIRCLE('',#367633,0.140000000000002); #68661=CIRCLE('',#367635,0.140000000000002); #68662=CIRCLE('',#367636,0.140000000000002); #68663=CIRCLE('',#367638,0.140000000000002); #68664=CIRCLE('',#367639,0.140000000000002); #68665=CIRCLE('',#367641,0.140000000000002); #68666=CIRCLE('',#367642,0.140000000000002); #68667=CIRCLE('',#367644,0.139999999999998); #68668=CIRCLE('',#367645,0.139999999999998); #68669=CIRCLE('',#367647,0.140000000000002); #68670=CIRCLE('',#367648,0.140000000000002); #68671=CIRCLE('',#367726,0.139999999999998); #68672=CIRCLE('',#367727,0.139999999999998); #68673=CIRCLE('',#367729,0.139999999999998); #68674=CIRCLE('',#367730,0.139999999999998); #68675=CIRCLE('',#367732,0.139999999999998); #68676=CIRCLE('',#367733,0.139999999999998); #68677=CIRCLE('',#367735,0.139999999999998); #68678=CIRCLE('',#367736,0.139999999999998); #68679=CIRCLE('',#367738,0.139999999999998); #68680=CIRCLE('',#367739,0.139999999999998); #68681=CIRCLE('',#367741,0.139999999999998); #68682=CIRCLE('',#367742,0.139999999999998); #68683=CIRCLE('',#367744,0.139999999999998); #68684=CIRCLE('',#367745,0.139999999999998); #68685=CIRCLE('',#367747,0.139999999999998); #68686=CIRCLE('',#367748,0.139999999999998); #68687=CIRCLE('',#367750,0.139999999999998); #68688=CIRCLE('',#367751,0.139999999999998); #68689=CIRCLE('',#367753,0.139999999999998); #68690=CIRCLE('',#367754,0.139999999999998); #68691=CIRCLE('',#367756,0.139999999999998); #68692=CIRCLE('',#367757,0.139999999999998); #68693=CIRCLE('',#367759,0.139999999999998); #68694=CIRCLE('',#367760,0.139999999999998); #68695=CIRCLE('',#367762,0.139999999999998); #68696=CIRCLE('',#367763,0.139999999999998); #68697=CIRCLE('',#367765,0.139999999999998); #68698=CIRCLE('',#367766,0.139999999999998); #68699=CIRCLE('',#367768,0.139999999999998); #68700=CIRCLE('',#367769,0.139999999999998); #68701=CIRCLE('',#367771,0.139999999999998); #68702=CIRCLE('',#367772,0.139999999999998); #68703=CIRCLE('',#367846,0.14); #68704=CIRCLE('',#367847,0.14); #68705=CIRCLE('',#367849,0.14); #68706=CIRCLE('',#367850,0.14); #68707=CIRCLE('',#367852,0.14); #68708=CIRCLE('',#367853,0.14); #68709=CIRCLE('',#367855,0.14); #68710=CIRCLE('',#367856,0.14); #68711=CIRCLE('',#367858,0.14); #68712=CIRCLE('',#367859,0.14); #68713=CIRCLE('',#367861,0.14); #68714=CIRCLE('',#367862,0.14); #68715=CIRCLE('',#367864,0.14); #68716=CIRCLE('',#367865,0.14); #68717=CIRCLE('',#367867,0.14); #68718=CIRCLE('',#367868,0.14); #68719=CIRCLE('',#367870,0.14); #68720=CIRCLE('',#367871,0.14); #68721=CIRCLE('',#367873,0.14); #68722=CIRCLE('',#367874,0.14); #68723=CIRCLE('',#367876,0.14); #68724=CIRCLE('',#367877,0.14); #68725=CIRCLE('',#367879,0.14); #68726=CIRCLE('',#367880,0.14); #68727=CIRCLE('',#367882,0.139999999999998); #68728=CIRCLE('',#367883,0.139999999999998); #68729=CIRCLE('',#367885,0.139999999999998); #68730=CIRCLE('',#367886,0.139999999999998); #68731=CIRCLE('',#367888,0.139999999999998); #68732=CIRCLE('',#367889,0.139999999999998); #68733=CIRCLE('',#367891,0.139999999999998); #68734=CIRCLE('',#367892,0.139999999999998); #68735=CIRCLE('',#367894,0.139999999999998); #68736=CIRCLE('',#367895,0.139999999999998); #68737=CIRCLE('',#367897,0.14); #68738=CIRCLE('',#367898,0.14); #68739=CIRCLE('',#367900,0.139999999999998); #68740=CIRCLE('',#367901,0.139999999999998); #68741=CIRCLE('',#367903,0.139999999999998); #68742=CIRCLE('',#367904,0.139999999999998); #68743=CIRCLE('',#367906,0.139999999999998); #68744=CIRCLE('',#367907,0.139999999999998); #68745=CIRCLE('',#367909,0.139999999999998); #68746=CIRCLE('',#367910,0.139999999999998); #68747=CIRCLE('',#367912,0.139999999999998); #68748=CIRCLE('',#367913,0.139999999999998); #68749=CIRCLE('',#367915,0.139999999999998); #68750=CIRCLE('',#367916,0.139999999999998); #68751=CIRCLE('',#367918,0.139999999999998); #68752=CIRCLE('',#367919,0.139999999999998); #68753=CIRCLE('',#367921,0.139999999999998); #68754=CIRCLE('',#367922,0.139999999999998); #68755=CIRCLE('',#367924,0.139999999999998); #68756=CIRCLE('',#367925,0.139999999999998); #68757=CIRCLE('',#367927,0.139999999999998); #68758=CIRCLE('',#367928,0.139999999999998); #68759=CIRCLE('',#367930,0.14); #68760=CIRCLE('',#367931,0.14); #68761=CIRCLE('',#367933,0.14); #68762=CIRCLE('',#367934,0.14); #68763=CIRCLE('',#367983,0.139999999999998); #68764=CIRCLE('',#367984,0.139999999999998); #68765=CIRCLE('',#367986,0.139999999999998); #68766=CIRCLE('',#367987,0.139999999999998); #68767=CIRCLE('',#367990,0.275429677195186); #68768=CIRCLE('',#367991,0.275429677195186); #68769=CIRCLE('',#367994,0.27542972856197); #68770=CIRCLE('',#367995,0.27542972856197); #68771=CIRCLE('',#367997,0.275429566248992); #68772=CIRCLE('',#367998,0.275429566248992); #68773=CIRCLE('',#368001,0.275429442438528); #68774=CIRCLE('',#368002,0.275429442438528); #68775=CIRCLE('',#368006,0.140000000000002); #68776=CIRCLE('',#368007,0.140000000000002); #68777=CIRCLE('',#368009,0.140000000000002); #68778=CIRCLE('',#368010,0.140000000000002); #68779=CIRCLE('',#368012,0.140000000000002); #68780=CIRCLE('',#368013,0.140000000000002); #68781=CIRCLE('',#368015,0.140000000000002); #68782=CIRCLE('',#368016,0.140000000000002); #68783=CIRCLE('',#368018,0.140000000000002); #68784=CIRCLE('',#368019,0.140000000000002); #68785=CIRCLE('',#368021,0.140000000000002); #68786=CIRCLE('',#368022,0.140000000000002); #68787=CIRCLE('',#368024,0.140000000000002); #68788=CIRCLE('',#368025,0.140000000000002); #68789=CIRCLE('',#368027,0.140000000000002); #68790=CIRCLE('',#368028,0.140000000000002); #68791=CIRCLE('',#368030,0.140000000000002); #68792=CIRCLE('',#368031,0.140000000000002); #68793=CIRCLE('',#368033,0.465); #68794=CIRCLE('',#368034,0.465); #68795=CIRCLE('',#368062,0.139999999999998); #68796=CIRCLE('',#368063,0.139999999999998); #68797=CIRCLE('',#368066,0.275850231559089); #68798=CIRCLE('',#368067,0.275850231559089); #68799=CIRCLE('',#368069,0.275850020106942); #68800=CIRCLE('',#368070,0.275850020106942); #68801=CIRCLE('',#368074,0.140000000000002); #68802=CIRCLE('',#368075,0.140000000000002); #68803=CIRCLE('',#368077,0.140000000000002); #68804=CIRCLE('',#368078,0.140000000000002); #68805=CIRCLE('',#368080,0.140000000000002); #68806=CIRCLE('',#368081,0.140000000000002); #68807=CIRCLE('',#368083,0.140000000000002); #68808=CIRCLE('',#368084,0.140000000000002); #68809=CIRCLE('',#368086,0.140000000000002); #68810=CIRCLE('',#368087,0.140000000000002); #68811=CIRCLE('',#368089,0.140000000000002); #68812=CIRCLE('',#368090,0.140000000000002); #68813=CIRCLE('',#368092,0.140000000000002); #68814=CIRCLE('',#368093,0.140000000000002); #68815=CIRCLE('',#368095,0.140000000000002); #68816=CIRCLE('',#368096,0.140000000000002); #68817=CIRCLE('',#368098,0.140000000000002); #68818=CIRCLE('',#368099,0.140000000000002); #68819=CIRCLE('',#368101,0.140000000000002); #68820=CIRCLE('',#368102,0.140000000000002); #68821=CIRCLE('',#368104,0.140000000000002); #68822=CIRCLE('',#368105,0.140000000000002); #68823=CIRCLE('',#368107,0.140000000000002); #68824=CIRCLE('',#368108,0.140000000000002); #68825=CIRCLE('',#368110,0.140000000000002); #68826=CIRCLE('',#368111,0.140000000000002); #68827=CIRCLE('',#368113,0.140000000000002); #68828=CIRCLE('',#368114,0.140000000000002); #68829=CIRCLE('',#368116,0.140000000000002); #68830=CIRCLE('',#368117,0.140000000000002); #68831=CIRCLE('',#368119,0.140000000000002); #68832=CIRCLE('',#368120,0.140000000000002); #68833=CIRCLE('',#368122,0.140000000000002); #68834=CIRCLE('',#368123,0.140000000000002); #68835=CIRCLE('',#368125,0.140000000000002); #68836=CIRCLE('',#368126,0.140000000000002); #68837=CIRCLE('',#368128,0.140000000000002); #68838=CIRCLE('',#368129,0.140000000000002); #68839=CIRCLE('',#368131,0.140000000000002); #68840=CIRCLE('',#368132,0.140000000000002); #68841=CIRCLE('',#368134,0.140000000000002); #68842=CIRCLE('',#368135,0.140000000000002); #68843=CIRCLE('',#368137,0.140000000000002); #68844=CIRCLE('',#368138,0.140000000000002); #68845=CIRCLE('',#368140,0.140000000000002); #68846=CIRCLE('',#368141,0.140000000000002); #68847=CIRCLE('',#368143,0.140000000000002); #68848=CIRCLE('',#368144,0.140000000000002); #68849=CIRCLE('',#368146,0.140000000000002); #68850=CIRCLE('',#368147,0.140000000000002); #68851=CIRCLE('',#368149,0.140000000000002); #68852=CIRCLE('',#368150,0.140000000000002); #68853=CIRCLE('',#368152,0.140000000000002); #68854=CIRCLE('',#368153,0.140000000000002); #68855=CIRCLE('',#368155,0.140000000000002); #68856=CIRCLE('',#368156,0.140000000000002); #68857=CIRCLE('',#368158,0.140000000000002); #68858=CIRCLE('',#368159,0.140000000000002); #68859=CIRCLE('',#368161,0.140000000000002); #68860=CIRCLE('',#368162,0.140000000000002); #68861=CIRCLE('',#368211,0.140000000000002); #68862=CIRCLE('',#368212,0.140000000000002); #68863=CIRCLE('',#368214,0.140000000000002); #68864=CIRCLE('',#368215,0.140000000000002); #68865=CIRCLE('',#368218,0.275429677195186); #68866=CIRCLE('',#368219,0.275429677195186); #68867=CIRCLE('',#368222,0.27542972856197); #68868=CIRCLE('',#368223,0.27542972856197); #68869=CIRCLE('',#368225,0.275429566248994); #68870=CIRCLE('',#368226,0.275429566248994); #68871=CIRCLE('',#368229,0.275429442438528); #68872=CIRCLE('',#368230,0.275429442438528); #68873=CIRCLE('',#368234,0.139999999999998); #68874=CIRCLE('',#368235,0.139999999999998); #68875=CIRCLE('',#368238,0.27585023155909); #68876=CIRCLE('',#368239,0.27585023155909); #68877=CIRCLE('',#368241,0.275850020106942); #68878=CIRCLE('',#368242,0.275850020106942); #68879=CIRCLE('',#368246,0.139999999999998); #68880=CIRCLE('',#368247,0.139999999999998); #68881=CIRCLE('',#368249,0.139999999999998); #68882=CIRCLE('',#368250,0.139999999999998); #68883=CIRCLE('',#368252,0.139999999999998); #68884=CIRCLE('',#368253,0.139999999999998); #68885=CIRCLE('',#368255,0.114999999999998); #68886=CIRCLE('',#368256,0.114999999999998); #68887=CIRCLE('',#368258,0.114999999999998); #68888=CIRCLE('',#368259,0.114999999999998); #68889=CIRCLE('',#368261,0.114999999999998); #68890=CIRCLE('',#368262,0.114999999999998); #68891=CIRCLE('',#368264,0.114999999999998); #68892=CIRCLE('',#368265,0.114999999999998); #68893=CIRCLE('',#368267,0.139999999999998); #68894=CIRCLE('',#368268,0.139999999999998); #68895=CIRCLE('',#368270,0.139999999999998); #68896=CIRCLE('',#368271,0.139999999999998); #68897=CIRCLE('',#368273,0.139999999999998); #68898=CIRCLE('',#368274,0.139999999999998); #68899=CIRCLE('',#368276,0.139999999999998); #68900=CIRCLE('',#368277,0.139999999999998); #68901=CIRCLE('',#368279,0.139999999999998); #68902=CIRCLE('',#368280,0.139999999999998); #68903=CIRCLE('',#368282,0.139999999999998); #68904=CIRCLE('',#368283,0.139999999999998); #68905=CIRCLE('',#368285,0.139999999999998); #68906=CIRCLE('',#368286,0.139999999999998); #68907=CIRCLE('',#368288,0.139999999999998); #68908=CIRCLE('',#368289,0.139999999999998); #68909=CIRCLE('',#368460,0.564999999999998); #68910=CIRCLE('',#368461,0.564999999999998); #68911=CIRCLE('',#368464,0.900857088405025); #68912=CIRCLE('',#368465,0.900857088405025); #68913=CIRCLE('',#368467,0.900856769723134); #68914=CIRCLE('',#368468,0.900856769723134); #68915=CIRCLE('',#368472,0.565000000000002); #68916=CIRCLE('',#368473,0.565000000000002); #68917=CIRCLE('',#368476,0.900857088405025); #68918=CIRCLE('',#368477,0.900857088405025); #68919=CIRCLE('',#368479,0.900856769723134); #68920=CIRCLE('',#368480,0.900856769723134); #68921=CIRCLE('',#368484,0.465); #68922=CIRCLE('',#368485,0.465); #68923=CIRCLE('',#368488,0.750904962541172); #68924=CIRCLE('',#368489,0.750904962541172); #68925=CIRCLE('',#368491,0.750905460124643); #68926=CIRCLE('',#368492,0.750905460124643); #68927=CIRCLE('',#368496,0.514999999999999); #68928=CIRCLE('',#368497,0.514999999999999); #68929=CIRCLE('',#368500,0.825995597015953); #68930=CIRCLE('',#368501,0.825995597015953); #68931=CIRCLE('',#368503,0.825996336030616); #68932=CIRCLE('',#368504,0.825996336030616); #68933=CIRCLE('',#368508,0.514999999999999); #68934=CIRCLE('',#368509,0.514999999999999); #68935=CIRCLE('',#368517,0.465); #68936=CIRCLE('',#368518,0.465); #68937=CIRCLE('',#368521,0.750904962541172); #68938=CIRCLE('',#368522,0.750904962541172); #68939=CIRCLE('',#368524,0.750905460124644); #68940=CIRCLE('',#368525,0.750905460124644); #68941=CIRCLE('',#368529,0.465); #68942=CIRCLE('',#368530,0.465); #68943=CIRCLE('',#368533,0.750904962541172); #68944=CIRCLE('',#368534,0.750904962541172); #68945=CIRCLE('',#368536,0.750905460124644); #68946=CIRCLE('',#368537,0.750905460124644); #68947=CIRCLE('',#368541,0.564999999999998); #68948=CIRCLE('',#368542,0.564999999999998); #68949=CIRCLE('',#368545,0.900857088405025); #68950=CIRCLE('',#368546,0.900857088405025); #68951=CIRCLE('',#368548,0.900856769723134); #68952=CIRCLE('',#368549,0.900856769723134); #68953=CIRCLE('',#368553,0.465); #68954=CIRCLE('',#368554,0.465); #68955=CIRCLE('',#368557,0.750904962541171); #68956=CIRCLE('',#368558,0.750904962541171); #68957=CIRCLE('',#368560,0.750905460124643); #68958=CIRCLE('',#368561,0.750905460124643); #68959=CIRCLE('',#368566,0.315); #68960=CIRCLE('',#368567,0.315); #68961=CIRCLE('',#368570,0.315); #68962=CIRCLE('',#368571,0.315); #68963=CIRCLE('',#368632,0.565); #68964=CIRCLE('',#368633,0.565); #68965=CIRCLE('',#368636,0.565); #68966=CIRCLE('',#368637,0.565); #68967=CIRCLE('',#368714,0.315); #68968=CIRCLE('',#368715,0.315); #68969=CIRCLE('',#368718,0.315); #68970=CIRCLE('',#368719,0.315); #68971=CIRCLE('',#368779,0.514999999999999); #68972=CIRCLE('',#368780,0.514999999999999); #68973=CIRCLE('',#368789,0.315); #68974=CIRCLE('',#368790,0.315); #68975=CIRCLE('',#368793,0.315); #68976=CIRCLE('',#368794,0.315); #68977=CIRCLE('',#368855,0.565); #68978=CIRCLE('',#368856,0.565); #68979=CIRCLE('',#368859,0.565); #68980=CIRCLE('',#368860,0.565); #68981=CIRCLE('',#368936,0.465); #68982=CIRCLE('',#368937,0.465); #68983=CIRCLE('',#368940,0.750904962541172); #68984=CIRCLE('',#368941,0.750904962541172); #68985=CIRCLE('',#368943,0.750905460124644); #68986=CIRCLE('',#368944,0.750905460124644); #68987=CIRCLE('',#368948,0.514999999999999); #68988=CIRCLE('',#368949,0.514999999999999); #68989=CIRCLE('',#368952,0.825995597015952); #68990=CIRCLE('',#368953,0.825995597015952); #68991=CIRCLE('',#368955,0.825996336030615); #68992=CIRCLE('',#368956,0.825996336030615); #68993=CIRCLE('',#368961,0.315); #68994=CIRCLE('',#368962,0.315); #68995=CIRCLE('',#368965,0.315); #68996=CIRCLE('',#368966,0.315); #68997=CIRCLE('',#369026,0.465); #68998=CIRCLE('',#369027,0.465); #68999=CIRCLE('',#369030,0.750904962541172); #69000=CIRCLE('',#369031,0.750904962541172); #69001=CIRCLE('',#369033,0.750905460124644); #69002=CIRCLE('',#369034,0.750905460124644); #69003=CIRCLE('',#369038,0.465); #69004=CIRCLE('',#369039,0.465); #69005=CIRCLE('',#369042,0.750904962541172); #69006=CIRCLE('',#369043,0.750904962541172); #69007=CIRCLE('',#369045,0.750905460124643); #69008=CIRCLE('',#369046,0.750905460124643); #69009=CIRCLE('',#369050,0.465); #69010=CIRCLE('',#369051,0.465); #69011=CIRCLE('',#369054,0.750904962541172); #69012=CIRCLE('',#369055,0.750904962541172); #69013=CIRCLE('',#369057,0.750905460124643); #69014=CIRCLE('',#369058,0.750905460124643); #69015=CIRCLE('',#369062,0.564999999999998); #69016=CIRCLE('',#369063,0.564999999999998); #69017=CIRCLE('',#369071,0.465); #69018=CIRCLE('',#369072,0.465); #69019=CIRCLE('',#369075,0.750904962541172); #69020=CIRCLE('',#369076,0.750904962541172); #69021=CIRCLE('',#369078,0.750905460124643); #69022=CIRCLE('',#369079,0.750905460124643); #69023=CIRCLE('',#369221,0.114999999999998); #69024=CIRCLE('',#369222,0.114999999999998); #69025=CIRCLE('',#369224,0.114999999999998); #69026=CIRCLE('',#369225,0.114999999999998); #69027=CIRCLE('',#369239,0.114999999999998); #69028=CIRCLE('',#369240,0.114999999999998); #69029=CIRCLE('',#369242,0.114999999999998); #69030=CIRCLE('',#369243,0.114999999999998); #69031=CIRCLE('',#369347,0.139999999999998); #69032=CIRCLE('',#369348,0.139999999999998); #69033=CIRCLE('',#369380,0.140000000000002); #69034=CIRCLE('',#369381,0.140000000000002); #69035=CIRCLE('',#369383,0.140000000000002); #69036=CIRCLE('',#369384,0.140000000000002); #69037=CIRCLE('',#369386,0.140000000000002); #69038=CIRCLE('',#369387,0.140000000000002); #69039=CIRCLE('',#369389,0.140000000000002); #69040=CIRCLE('',#369390,0.140000000000002); #69041=CIRCLE('',#369392,0.140000000000002); #69042=CIRCLE('',#369393,0.140000000000002); #69043=CIRCLE('',#369395,0.140000000000002); #69044=CIRCLE('',#369396,0.140000000000002); #69045=CIRCLE('',#369398,0.140000000000002); #69046=CIRCLE('',#369399,0.140000000000002); #69047=CIRCLE('',#369401,0.140000000000002); #69048=CIRCLE('',#369402,0.140000000000002); #69049=CIRCLE('',#369404,0.140000000000002); #69050=CIRCLE('',#369405,0.140000000000002); #69051=CIRCLE('',#369407,0.140000000000002); #69052=CIRCLE('',#369408,0.140000000000002); #69053=CIRCLE('',#369410,0.140000000000002); #69054=CIRCLE('',#369411,0.140000000000002); #69055=CIRCLE('',#369413,0.140000000000002); #69056=CIRCLE('',#369414,0.140000000000002); #69057=CIRCLE('',#369416,0.140000000000002); #69058=CIRCLE('',#369417,0.140000000000002); #69059=CIRCLE('',#369419,0.140000000000002); #69060=CIRCLE('',#369420,0.140000000000002); #69061=CIRCLE('',#369422,0.140000000000002); #69062=CIRCLE('',#369423,0.140000000000002); #69063=CIRCLE('',#369449,0.139999999999998); #69064=CIRCLE('',#369450,0.139999999999998); #69065=CIRCLE('',#369482,0.139999999999998); #69066=CIRCLE('',#369483,0.139999999999998); #69067=CIRCLE('',#369485,0.139999999999998); #69068=CIRCLE('',#369486,0.139999999999998); #69069=CIRCLE('',#369488,0.139999999999998); #69070=CIRCLE('',#369489,0.139999999999998); #69071=CIRCLE('',#369491,0.139999999999998); #69072=CIRCLE('',#369492,0.139999999999998); #69073=CIRCLE('',#369494,0.139999999999998); #69074=CIRCLE('',#369495,0.139999999999998); #69075=CIRCLE('',#369497,0.139999999999998); #69076=CIRCLE('',#369498,0.139999999999998); #69077=CIRCLE('',#369500,0.139999999999998); #69078=CIRCLE('',#369501,0.139999999999998); #69079=CIRCLE('',#369503,0.139999999999998); #69080=CIRCLE('',#369504,0.139999999999998); #69081=CIRCLE('',#369506,0.139999999999998); #69082=CIRCLE('',#369507,0.139999999999998); #69083=CIRCLE('',#369509,0.139999999999998); #69084=CIRCLE('',#369510,0.139999999999998); #69085=CIRCLE('',#369512,0.139999999999998); #69086=CIRCLE('',#369513,0.139999999999998); #69087=CIRCLE('',#369515,0.139999999999998); #69088=CIRCLE('',#369516,0.139999999999998); #69089=CIRCLE('',#369518,0.139999999999998); #69090=CIRCLE('',#369519,0.139999999999998); #69091=CIRCLE('',#369521,0.139999999999998); #69092=CIRCLE('',#369522,0.139999999999998); #69093=CIRCLE('',#369524,0.139999999999998); #69094=CIRCLE('',#369525,0.139999999999998); #69095=CIRCLE('',#369551,0.139999999999998); #69096=CIRCLE('',#369552,0.139999999999998); #69097=CIRCLE('',#369560,0.139999999999998); #69098=CIRCLE('',#369561,0.139999999999998); #69099=CIRCLE('',#369569,0.140000000000002); #69100=CIRCLE('',#369570,0.140000000000002); #69101=CIRCLE('',#369578,0.139999999999998); #69102=CIRCLE('',#369579,0.139999999999998); #69103=CIRCLE('',#369581,0.139999999999998); #69104=CIRCLE('',#369582,0.139999999999998); #69105=CIRCLE('',#369584,0.139999999999998); #69106=CIRCLE('',#369585,0.139999999999998); #69107=CIRCLE('',#369587,0.139999999999998); #69108=CIRCLE('',#369588,0.139999999999998); #69109=CIRCLE('',#369590,0.139999999999998); #69110=CIRCLE('',#369591,0.139999999999998); #69111=CIRCLE('',#369593,0.139999999999998); #69112=CIRCLE('',#369594,0.139999999999998); #69113=CIRCLE('',#369596,0.139999999999998); #69114=CIRCLE('',#369597,0.139999999999998); #69115=CIRCLE('',#369599,0.139999999999998); #69116=CIRCLE('',#369600,0.139999999999998); #69117=CIRCLE('',#369602,0.139999999999998); #69118=CIRCLE('',#369603,0.139999999999998); #69119=CIRCLE('',#369605,0.139999999999998); #69120=CIRCLE('',#369606,0.139999999999998); #69121=CIRCLE('',#369608,0.139999999999998); #69122=CIRCLE('',#369609,0.139999999999998); #69123=CIRCLE('',#369611,0.139999999999998); #69124=CIRCLE('',#369612,0.139999999999998); #69125=CIRCLE('',#369614,0.139999999999998); #69126=CIRCLE('',#369615,0.139999999999998); #69127=CIRCLE('',#369617,0.139999999999998); #69128=CIRCLE('',#369618,0.139999999999998); #69129=CIRCLE('',#369620,0.139999999999998); #69130=CIRCLE('',#369621,0.139999999999998); #69131=CIRCLE('',#369749,0.140000000000002); #69132=CIRCLE('',#369750,0.140000000000002); #69133=CIRCLE('',#369752,0.140000000000002); #69134=CIRCLE('',#369753,0.140000000000002); #69135=CIRCLE('',#369755,0.140000000000002); #69136=CIRCLE('',#369756,0.140000000000002); #69137=CIRCLE('',#369758,0.140000000000002); #69138=CIRCLE('',#369759,0.140000000000002); #69139=CIRCLE('',#369761,0.140000000000002); #69140=CIRCLE('',#369762,0.140000000000002); #69141=CIRCLE('',#369764,0.140000000000002); #69142=CIRCLE('',#369765,0.140000000000002); #69143=CIRCLE('',#369767,0.140000000000002); #69144=CIRCLE('',#369768,0.140000000000002); #69145=CIRCLE('',#369770,0.140000000000002); #69146=CIRCLE('',#369771,0.140000000000002); #69147=CIRCLE('',#369773,0.140000000000002); #69148=CIRCLE('',#369774,0.140000000000002); #69149=CIRCLE('',#369776,0.140000000000002); #69150=CIRCLE('',#369777,0.140000000000002); #69151=CIRCLE('',#369779,0.140000000000002); #69152=CIRCLE('',#369780,0.140000000000002); #69153=CIRCLE('',#369782,0.140000000000002); #69154=CIRCLE('',#369783,0.140000000000002); #69155=CIRCLE('',#369785,0.140000000000002); #69156=CIRCLE('',#369786,0.140000000000002); #69157=CIRCLE('',#369788,0.140000000000002); #69158=CIRCLE('',#369789,0.140000000000002); #69159=CIRCLE('',#369791,0.140000000000002); #69160=CIRCLE('',#369792,0.140000000000002); #69161=CIRCLE('',#369836,0.140000000000002); #69162=CIRCLE('',#369837,0.140000000000002); #69163=CIRCLE('',#369845,0.114999999999998); #69164=CIRCLE('',#369846,0.114999999999998); #69165=CIRCLE('',#369848,0.114999999999998); #69166=CIRCLE('',#369849,0.114999999999998); #69167=CIRCLE('',#369857,0.114999999999998); #69168=CIRCLE('',#369858,0.114999999999998); #69169=CIRCLE('',#369860,0.114999999999998); #69170=CIRCLE('',#369861,0.114999999999998); #69171=CIRCLE('',#369869,0.139999999999998); #69172=CIRCLE('',#369870,0.139999999999998); #69173=CIRCLE('',#369879,0.325); #69174=CIRCLE('',#369880,0.325); #69175=CIRCLE('',#369882,0.325); #69176=CIRCLE('',#369883,0.325); #69177=CIRCLE('',#369885,0.600000000000001); #69178=CIRCLE('',#369886,0.600000000000001); #69179=CIRCLE('',#369888,0.175000000000001); #69180=CIRCLE('',#369889,0.175000000000001); #69181=CIRCLE('',#369891,0.175000000000001); #69182=CIRCLE('',#369892,0.175000000000001); #69183=CIRCLE('',#369894,0.175000000000001); #69184=CIRCLE('',#369895,0.175000000000001); #69185=CIRCLE('',#369897,0.175000000000001); #69186=CIRCLE('',#369898,0.175000000000001); #69187=CIRCLE('',#369900,0.175000000000001); #69188=CIRCLE('',#369901,0.175000000000001); #69189=CIRCLE('',#369903,0.175000000000001); #69190=CIRCLE('',#369904,0.175000000000001); #69191=CIRCLE('',#369906,0.175000000000001); #69192=CIRCLE('',#369907,0.175000000000001); #69193=CIRCLE('',#369909,0.175000000000001); #69194=CIRCLE('',#369910,0.175000000000001); #69195=CIRCLE('',#369912,0.175000000000001); #69196=CIRCLE('',#369913,0.175000000000001); #69197=CIRCLE('',#369915,0.175000000000001); #69198=CIRCLE('',#369916,0.175000000000001); #69199=CIRCLE('',#369918,0.175000000000001); #69200=CIRCLE('',#369919,0.175000000000001); #69201=CIRCLE('',#369921,0.175000000000001); #69202=CIRCLE('',#369922,0.175000000000001); #69203=CIRCLE('',#369924,0.175000000000001); #69204=CIRCLE('',#369925,0.175000000000001); #69205=CIRCLE('',#369927,0.175000000000001); #69206=CIRCLE('',#369928,0.175000000000001); #69207=CIRCLE('',#369930,0.175000000000001); #69208=CIRCLE('',#369931,0.175000000000001); #69209=CIRCLE('',#369933,0.5); #69210=CIRCLE('',#369934,0.5); #69211=CIRCLE('',#369936,0.175000000000001); #69212=CIRCLE('',#369937,0.175000000000001); #69213=CIRCLE('',#369939,0.175000000000001); #69214=CIRCLE('',#369940,0.175000000000001); #69215=CIRCLE('',#369942,0.175000000000001); #69216=CIRCLE('',#369943,0.175000000000001); #69217=CIRCLE('',#369945,0.175000000000001); #69218=CIRCLE('',#369946,0.175000000000001); #69219=CIRCLE('',#369948,0.175000000000001); #69220=CIRCLE('',#369949,0.175000000000001); #69221=CIRCLE('',#369951,0.175000000000001); #69222=CIRCLE('',#369952,0.175000000000001); #69223=CIRCLE('',#369954,0.175000000000001); #69224=CIRCLE('',#369955,0.175000000000001); #69225=CIRCLE('',#369957,0.175000000000001); #69226=CIRCLE('',#369958,0.175000000000001); #69227=CIRCLE('',#369960,0.175000000000001); #69228=CIRCLE('',#369961,0.175000000000001); #69229=CIRCLE('',#369963,0.175000000000001); #69230=CIRCLE('',#369964,0.175000000000001); #69231=CIRCLE('',#369966,0.175000000000001); #69232=CIRCLE('',#369967,0.175000000000001); #69233=CIRCLE('',#369969,0.175000000000001); #69234=CIRCLE('',#369970,0.175000000000001); #69235=CIRCLE('',#369972,0.175000000000001); #69236=CIRCLE('',#369973,0.175000000000001); #69237=CIRCLE('',#369975,0.175000000000001); #69238=CIRCLE('',#369976,0.175000000000001); #69239=CIRCLE('',#369978,0.175000000000001); #69240=CIRCLE('',#369979,0.175000000000001); #69241=CIRCLE('',#369981,0.550000000000002); #69242=CIRCLE('',#369982,0.550000000000002); #69243=CIRCLE('',#369984,0.175000000000001); #69244=CIRCLE('',#369985,0.175000000000001); #69245=CIRCLE('',#369987,0.175000000000001); #69246=CIRCLE('',#369988,0.175000000000001); #69247=CIRCLE('',#369990,0.175000000000001); #69248=CIRCLE('',#369991,0.175000000000001); #69249=CIRCLE('',#369993,0.175000000000001); #69250=CIRCLE('',#369994,0.175000000000001); #69251=CIRCLE('',#369996,0.175000000000001); #69252=CIRCLE('',#369997,0.175000000000001); #69253=CIRCLE('',#369999,0.175000000000001); #69254=CIRCLE('',#370000,0.175000000000001); #69255=CIRCLE('',#370002,0.175000000000001); #69256=CIRCLE('',#370003,0.175000000000001); #69257=CIRCLE('',#370005,0.175000000000001); #69258=CIRCLE('',#370006,0.175000000000001); #69259=CIRCLE('',#370008,0.175000000000001); #69260=CIRCLE('',#370009,0.175000000000001); #69261=CIRCLE('',#370011,0.175000000000001); #69262=CIRCLE('',#370012,0.175000000000001); #69263=CIRCLE('',#370014,0.175000000000001); #69264=CIRCLE('',#370015,0.175000000000001); #69265=CIRCLE('',#370017,0.175000000000001); #69266=CIRCLE('',#370018,0.175000000000001); #69267=CIRCLE('',#370020,0.175000000000001); #69268=CIRCLE('',#370021,0.175000000000001); #69269=CIRCLE('',#370023,0.175000000000001); #69270=CIRCLE('',#370024,0.175000000000001); #69271=CIRCLE('',#370026,0.175000000000001); #69272=CIRCLE('',#370027,0.175000000000001); #69273=CIRCLE('',#370029,0.5); #69274=CIRCLE('',#370030,0.5); #69275=CIRCLE('',#370032,0.175000000000001); #69276=CIRCLE('',#370033,0.175000000000001); #69277=CIRCLE('',#370035,0.175000000000001); #69278=CIRCLE('',#370036,0.175000000000001); #69279=CIRCLE('',#370038,0.175000000000001); #69280=CIRCLE('',#370039,0.175000000000001); #69281=CIRCLE('',#370041,0.175000000000001); #69282=CIRCLE('',#370042,0.175000000000001); #69283=CIRCLE('',#370044,0.175000000000001); #69284=CIRCLE('',#370045,0.175000000000001); #69285=CIRCLE('',#370047,0.175000000000001); #69286=CIRCLE('',#370048,0.175000000000001); #69287=CIRCLE('',#370050,0.175000000000001); #69288=CIRCLE('',#370051,0.175000000000001); #69289=CIRCLE('',#370053,0.175000000000001); #69290=CIRCLE('',#370054,0.175000000000001); #69291=CIRCLE('',#370056,0.175000000000001); #69292=CIRCLE('',#370057,0.175000000000001); #69293=CIRCLE('',#370059,0.175000000000001); #69294=CIRCLE('',#370060,0.175000000000001); #69295=CIRCLE('',#370062,0.175000000000001); #69296=CIRCLE('',#370063,0.175000000000001); #69297=CIRCLE('',#370065,0.175000000000001); #69298=CIRCLE('',#370066,0.175000000000001); #69299=CIRCLE('',#370068,0.175000000000001); #69300=CIRCLE('',#370069,0.175000000000001); #69301=CIRCLE('',#370071,0.175000000000001); #69302=CIRCLE('',#370072,0.175000000000001); #69303=CIRCLE('',#370074,0.175000000000001); #69304=CIRCLE('',#370075,0.175000000000001); #69305=CIRCLE('',#370077,0.600000000000001); #69306=CIRCLE('',#370078,0.600000000000001); #69307=CIRCLE('',#370080,0.175000000000001); #69308=CIRCLE('',#370081,0.175000000000001); #69309=CIRCLE('',#370083,0.175000000000001); #69310=CIRCLE('',#370084,0.175000000000001); #69311=CIRCLE('',#370086,0.175000000000001); #69312=CIRCLE('',#370087,0.175000000000001); #69313=CIRCLE('',#370089,0.175000000000001); #69314=CIRCLE('',#370090,0.175000000000001); #69315=CIRCLE('',#370092,0.175000000000001); #69316=CIRCLE('',#370093,0.175000000000001); #69317=CIRCLE('',#370095,0.175); #69318=CIRCLE('',#370096,0.175); #69319=CIRCLE('',#370098,0.175000000000001); #69320=CIRCLE('',#370099,0.175000000000001); #69321=CIRCLE('',#370101,0.175000000000001); #69322=CIRCLE('',#370102,0.175000000000001); #69323=CIRCLE('',#370104,0.175000000000001); #69324=CIRCLE('',#370105,0.175000000000001); #69325=CIRCLE('',#370107,0.175000000000001); #69326=CIRCLE('',#370108,0.175000000000001); #69327=CIRCLE('',#370110,0.175000000000001); #69328=CIRCLE('',#370111,0.175000000000001); #69329=CIRCLE('',#370113,0.175000000000001); #69330=CIRCLE('',#370114,0.175000000000001); #69331=CIRCLE('',#370116,0.175000000000001); #69332=CIRCLE('',#370117,0.175000000000001); #69333=CIRCLE('',#370119,0.175); #69334=CIRCLE('',#370120,0.175); #69335=CIRCLE('',#370122,0.175000000000001); #69336=CIRCLE('',#370123,0.175000000000001); #69337=CIRCLE('',#370125,0.175000000000001); #69338=CIRCLE('',#370126,0.175000000000001); #69339=CIRCLE('',#370128,0.175000000000001); #69340=CIRCLE('',#370129,0.175000000000001); #69341=CIRCLE('',#370131,0.175000000000001); #69342=CIRCLE('',#370132,0.175000000000001); #69343=CIRCLE('',#370134,0.175000000000001); #69344=CIRCLE('',#370135,0.175000000000001); #69345=CIRCLE('',#370137,0.175000000000001); #69346=CIRCLE('',#370138,0.175000000000001); #69347=CIRCLE('',#370140,0.175000000000001); #69348=CIRCLE('',#370141,0.175000000000001); #69349=CIRCLE('',#370143,0.175000000000001); #69350=CIRCLE('',#370144,0.175000000000001); #69351=CIRCLE('',#370146,0.175000000000001); #69352=CIRCLE('',#370147,0.175000000000001); #69353=CIRCLE('',#370149,0.175000000000001); #69354=CIRCLE('',#370150,0.175000000000001); #69355=CIRCLE('',#370152,0.175000000000001); #69356=CIRCLE('',#370153,0.175000000000001); #69357=CIRCLE('',#370155,0.175000000000001); #69358=CIRCLE('',#370156,0.175000000000001); #69359=CIRCLE('',#370158,0.175000000000001); #69360=CIRCLE('',#370159,0.175000000000001); #69361=CIRCLE('',#370161,0.175000000000001); #69362=CIRCLE('',#370162,0.175000000000001); #69363=CIRCLE('',#370164,0.175000000000001); #69364=CIRCLE('',#370165,0.175000000000001); #69365=CIRCLE('',#370167,0.175000000000001); #69366=CIRCLE('',#370168,0.175000000000001); #69367=CIRCLE('',#370170,0.175000000000001); #69368=CIRCLE('',#370171,0.175000000000001); #69369=CIRCLE('',#370173,0.499999999999998); #69370=CIRCLE('',#370174,0.499999999999998); #69371=CIRCLE('',#370176,0.175000000000001); #69372=CIRCLE('',#370177,0.175000000000001); #69373=CIRCLE('',#370179,0.175000000000001); #69374=CIRCLE('',#370180,0.175000000000001); #69375=CIRCLE('',#370182,0.175000000000001); #69376=CIRCLE('',#370183,0.175000000000001); #69377=CIRCLE('',#370185,0.175000000000001); #69378=CIRCLE('',#370186,0.175000000000001); #69379=CIRCLE('',#370188,0.175000000000001); #69380=CIRCLE('',#370189,0.175000000000001); #69381=CIRCLE('',#370191,0.175000000000001); #69382=CIRCLE('',#370192,0.175000000000001); #69383=CIRCLE('',#370194,0.175000000000001); #69384=CIRCLE('',#370195,0.175000000000001); #69385=CIRCLE('',#370197,0.175000000000001); #69386=CIRCLE('',#370198,0.175000000000001); #69387=CIRCLE('',#370200,0.175000000000001); #69388=CIRCLE('',#370201,0.175000000000001); #69389=CIRCLE('',#370203,0.175000000000001); #69390=CIRCLE('',#370204,0.175000000000001); #69391=CIRCLE('',#370206,0.175000000000001); #69392=CIRCLE('',#370207,0.175000000000001); #69393=CIRCLE('',#370209,0.175000000000001); #69394=CIRCLE('',#370210,0.175000000000001); #69395=CIRCLE('',#370212,0.175000000000001); #69396=CIRCLE('',#370213,0.175000000000001); #69397=CIRCLE('',#370215,0.175000000000001); #69398=CIRCLE('',#370216,0.175000000000001); #69399=CIRCLE('',#370218,0.175000000000001); #69400=CIRCLE('',#370219,0.175000000000001); #69401=CIRCLE('',#370221,0.499999999999998); #69402=CIRCLE('',#370222,0.499999999999998); #69403=CIRCLE('',#370224,0.175000000000001); #69404=CIRCLE('',#370225,0.175000000000001); #69405=CIRCLE('',#370227,0.175000000000001); #69406=CIRCLE('',#370228,0.175000000000001); #69407=CIRCLE('',#370230,0.175000000000001); #69408=CIRCLE('',#370231,0.175000000000001); #69409=CIRCLE('',#370233,0.175000000000001); #69410=CIRCLE('',#370234,0.175000000000001); #69411=CIRCLE('',#370236,0.175000000000001); #69412=CIRCLE('',#370237,0.175000000000001); #69413=CIRCLE('',#370239,0.175000000000001); #69414=CIRCLE('',#370240,0.175000000000001); #69415=CIRCLE('',#370242,0.175000000000001); #69416=CIRCLE('',#370243,0.175000000000001); #69417=CIRCLE('',#370245,0.175000000000001); #69418=CIRCLE('',#370246,0.175000000000001); #69419=CIRCLE('',#370248,0.175000000000001); #69420=CIRCLE('',#370249,0.175000000000001); #69421=CIRCLE('',#370251,0.175000000000001); #69422=CIRCLE('',#370252,0.175000000000001); #69423=CIRCLE('',#370254,0.175000000000001); #69424=CIRCLE('',#370255,0.175000000000001); #69425=CIRCLE('',#370257,0.175000000000001); #69426=CIRCLE('',#370258,0.175000000000001); #69427=CIRCLE('',#370260,0.175000000000001); #69428=CIRCLE('',#370261,0.175000000000001); #69429=CIRCLE('',#370263,0.175000000000001); #69430=CIRCLE('',#370264,0.175000000000001); #69431=CIRCLE('',#370266,0.175000000000001); #69432=CIRCLE('',#370267,0.175000000000001); #69433=CIRCLE('',#370269,0.550000000000002); #69434=CIRCLE('',#370270,0.550000000000002); #69435=CIRCLE('',#370272,0.150000000000001); #69436=CIRCLE('',#370273,0.150000000000001); #69437=CIRCLE('',#370275,0.175); #69438=CIRCLE('',#370276,0.175); #69439=CIRCLE('',#370278,0.150000000000001); #69440=CIRCLE('',#370279,0.150000000000001); #69441=CIRCLE('',#370281,0.175000000000001); #69442=CIRCLE('',#370282,0.175000000000001); #69443=CIRCLE('',#370284,0.175000000000001); #69444=CIRCLE('',#370285,0.175000000000001); #69445=CIRCLE('',#370287,0.175); #69446=CIRCLE('',#370288,0.175); #69447=CIRCLE('',#370290,0.150000000000001); #69448=CIRCLE('',#370291,0.150000000000001); #69449=CIRCLE('',#370293,0.175000000000001); #69450=CIRCLE('',#370294,0.175000000000001); #69451=CIRCLE('',#370296,0.175000000000001); #69452=CIRCLE('',#370297,0.175000000000001); #69453=CIRCLE('',#370299,0.175); #69454=CIRCLE('',#370300,0.175); #69455=CIRCLE('',#370302,0.175000000000001); #69456=CIRCLE('',#370303,0.175000000000001); #69457=CIRCLE('',#370305,0.175000000000001); #69458=CIRCLE('',#370306,0.175000000000001); #69459=CIRCLE('',#370308,0.175000000000001); #69460=CIRCLE('',#370309,0.175000000000001); #69461=CIRCLE('',#370311,0.175); #69462=CIRCLE('',#370312,0.175); #69463=CIRCLE('',#370314,0.175000000000001); #69464=CIRCLE('',#370315,0.175000000000001); #69465=CIRCLE('',#370317,0.175000000000001); #69466=CIRCLE('',#370318,0.175000000000001); #69467=CIRCLE('',#370320,0.175000000000001); #69468=CIRCLE('',#370321,0.175000000000001); #69469=CIRCLE('',#370323,0.175); #69470=CIRCLE('',#370324,0.175); #69471=CIRCLE('',#370326,0.175000000000001); #69472=CIRCLE('',#370327,0.175000000000001); #69473=CIRCLE('',#370329,0.175000000000001); #69474=CIRCLE('',#370330,0.175000000000001); #69475=CIRCLE('',#370332,0.175000000000001); #69476=CIRCLE('',#370333,0.175000000000001); #69477=CIRCLE('',#370335,0.175000000000001); #69478=CIRCLE('',#370336,0.175000000000001); #69479=CIRCLE('',#370338,0.175000000000001); #69480=CIRCLE('',#370339,0.175000000000001); #69481=CIRCLE('',#370341,0.175000000000001); #69482=CIRCLE('',#370342,0.175000000000001); #69483=CIRCLE('',#370344,0.175000000000001); #69484=CIRCLE('',#370345,0.175000000000001); #69485=CIRCLE('',#370347,0.175); #69486=CIRCLE('',#370348,0.175); #69487=CIRCLE('',#370350,0.175000000000001); #69488=CIRCLE('',#370351,0.175000000000001); #69489=CIRCLE('',#370353,0.175000000000001); #69490=CIRCLE('',#370354,0.175000000000001); #69491=CIRCLE('',#370356,0.175000000000001); #69492=CIRCLE('',#370357,0.175000000000001); #69493=CIRCLE('',#370359,0.175); #69494=CIRCLE('',#370360,0.175); #69495=CIRCLE('',#370362,0.175000000000001); #69496=CIRCLE('',#370363,0.175000000000001); #69497=CIRCLE('',#370365,0.499999999999998); #69498=CIRCLE('',#370366,0.499999999999998); #69499=CIRCLE('',#370369,0.35); #69500=CIRCLE('',#370370,0.35); #69501=CIRCLE('',#370373,0.35); #69502=CIRCLE('',#370374,0.35); #69503=CIRCLE('',#370376,0.175000000000001); #69504=CIRCLE('',#370377,0.175000000000001); #69505=CIRCLE('',#370380,0.6); #69506=CIRCLE('',#370381,0.6); #69507=CIRCLE('',#370384,0.6); #69508=CIRCLE('',#370385,0.6); #69509=CIRCLE('',#370387,0.175000000000001); #69510=CIRCLE('',#370388,0.175000000000001); #69511=CIRCLE('',#370390,0.150000000000001); #69512=CIRCLE('',#370391,0.150000000000001); #69513=CIRCLE('',#370393,0.175000000000001); #69514=CIRCLE('',#370394,0.175000000000001); #69515=CIRCLE('',#370397,0.6); #69516=CIRCLE('',#370398,0.6); #69517=CIRCLE('',#370401,0.6); #69518=CIRCLE('',#370402,0.6); #69519=CIRCLE('',#370404,0.175000000000001); #69520=CIRCLE('',#370405,0.175000000000001); #69521=CIRCLE('',#370407,0.150000000000001); #69522=CIRCLE('',#370408,0.150000000000001); #69523=CIRCLE('',#370410,0.175000000000001); #69524=CIRCLE('',#370411,0.175000000000001); #69525=CIRCLE('',#370413,0.150000000000001); #69526=CIRCLE('',#370414,0.150000000000001); #69527=CIRCLE('',#370416,0.175000000000001); #69528=CIRCLE('',#370417,0.175000000000001); #69529=CIRCLE('',#370419,0.150000000000001); #69530=CIRCLE('',#370420,0.150000000000001); #69531=CIRCLE('',#370422,0.175000000000001); #69532=CIRCLE('',#370423,0.175000000000001); #69533=CIRCLE('',#370425,0.150000000000001); #69534=CIRCLE('',#370426,0.150000000000001); #69535=CIRCLE('',#370428,0.175000000000001); #69536=CIRCLE('',#370429,0.175000000000001); #69537=CIRCLE('',#370431,0.175000000000001); #69538=CIRCLE('',#370432,0.175000000000001); #69539=CIRCLE('',#370435,0.35); #69540=CIRCLE('',#370436,0.35); #69541=CIRCLE('',#370439,0.35); #69542=CIRCLE('',#370440,0.35); #69543=CIRCLE('',#370442,0.175000000000001); #69544=CIRCLE('',#370443,0.175000000000001); #69545=CIRCLE('',#370446,0.35); #69546=CIRCLE('',#370447,0.35); #69547=CIRCLE('',#370450,0.35); #69548=CIRCLE('',#370451,0.35); #69549=CIRCLE('',#370453,0.175000000000001); #69550=CIRCLE('',#370454,0.175000000000001); #69551=CIRCLE('',#370457,0.35); #69552=CIRCLE('',#370458,0.35); #69553=CIRCLE('',#370461,0.35); #69554=CIRCLE('',#370462,0.35); #69555=CIRCLE('',#370464,0.175000000000001); #69556=CIRCLE('',#370465,0.175000000000001); #69557=CIRCLE('',#370467,0.175000000000001); #69558=CIRCLE('',#370468,0.175000000000001); #69559=CIRCLE('',#370470,0.175000000000001); #69560=CIRCLE('',#370471,0.175000000000001); #69561=CIRCLE('',#370473,0.175000000000001); #69562=CIRCLE('',#370474,0.175000000000001); #69563=CIRCLE('',#370476,0.600000000000001); #69564=CIRCLE('',#370477,0.600000000000001); #69565=CIRCLE('',#370479,0.175000000000001); #69566=CIRCLE('',#370480,0.175000000000001); #69567=CIRCLE('',#370482,0.175000000000001); #69568=CIRCLE('',#370483,0.175000000000001); #69569=CIRCLE('',#370485,0.175000000000001); #69570=CIRCLE('',#370486,0.175000000000001); #69571=CIRCLE('',#370488,0.175000000000001); #69572=CIRCLE('',#370489,0.175000000000001); #69573=CIRCLE('',#370491,0.175000000000001); #69574=CIRCLE('',#370492,0.175000000000001); #69575=CIRCLE('',#370494,0.175000000000001); #69576=CIRCLE('',#370495,0.175000000000001); #69577=CIRCLE('',#370497,0.175000000000001); #69578=CIRCLE('',#370498,0.175000000000001); #69579=CIRCLE('',#370500,0.175000000000001); #69580=CIRCLE('',#370501,0.175000000000001); #69581=CIRCLE('',#370503,0.175000000000001); #69582=CIRCLE('',#370504,0.175000000000001); #69583=CIRCLE('',#370506,0.175000000000001); #69584=CIRCLE('',#370507,0.175000000000001); #69585=CIRCLE('',#370509,0.175000000000001); #69586=CIRCLE('',#370510,0.175000000000001); #69587=CIRCLE('',#370512,0.175000000000001); #69588=CIRCLE('',#370513,0.175000000000001); #69589=CIRCLE('',#370515,0.175000000000001); #69590=CIRCLE('',#370516,0.175000000000001); #69591=CIRCLE('',#370518,0.175000000000001); #69592=CIRCLE('',#370519,0.175000000000001); #69593=CIRCLE('',#370521,0.175000000000001); #69594=CIRCLE('',#370522,0.175000000000001); #69595=CIRCLE('',#370524,0.499999999999998); #69596=CIRCLE('',#370525,0.499999999999998); #69597=CIRCLE('',#370527,0.175000000000001); #69598=CIRCLE('',#370528,0.175000000000001); #69599=CIRCLE('',#370530,0.175000000000001); #69600=CIRCLE('',#370531,0.175000000000001); #69601=CIRCLE('',#370533,0.175000000000001); #69602=CIRCLE('',#370534,0.175000000000001); #69603=CIRCLE('',#370536,0.175000000000001); #69604=CIRCLE('',#370537,0.175000000000001); #69605=CIRCLE('',#370539,0.175000000000001); #69606=CIRCLE('',#370540,0.175000000000001); #69607=CIRCLE('',#370542,0.175000000000001); #69608=CIRCLE('',#370543,0.175000000000001); #69609=CIRCLE('',#370545,0.175000000000001); #69610=CIRCLE('',#370546,0.175000000000001); #69611=CIRCLE('',#370548,0.175000000000001); #69612=CIRCLE('',#370549,0.175000000000001); #69613=CIRCLE('',#370551,0.175000000000001); #69614=CIRCLE('',#370552,0.175000000000001); #69615=CIRCLE('',#370554,0.175000000000001); #69616=CIRCLE('',#370555,0.175000000000001); #69617=CIRCLE('',#370557,0.175000000000001); #69618=CIRCLE('',#370558,0.175000000000001); #69619=CIRCLE('',#370560,0.175000000000001); #69620=CIRCLE('',#370561,0.175000000000001); #69621=CIRCLE('',#370563,0.175000000000001); #69622=CIRCLE('',#370564,0.175000000000001); #69623=CIRCLE('',#370566,0.175000000000001); #69624=CIRCLE('',#370567,0.175000000000001); #69625=CIRCLE('',#370569,0.175000000000001); #69626=CIRCLE('',#370570,0.175000000000001); #69627=CIRCLE('',#370572,0.549999999999997); #69628=CIRCLE('',#370573,0.549999999999997); #69629=CIRCLE('',#370575,0.175000000000001); #69630=CIRCLE('',#370576,0.175000000000001); #69631=CIRCLE('',#370578,0.175000000000001); #69632=CIRCLE('',#370579,0.175000000000001); #69633=CIRCLE('',#370581,0.175000000000001); #69634=CIRCLE('',#370582,0.175000000000001); #69635=CIRCLE('',#370584,0.175000000000001); #69636=CIRCLE('',#370585,0.175000000000001); #69637=CIRCLE('',#370587,0.175000000000001); #69638=CIRCLE('',#370588,0.175000000000001); #69639=CIRCLE('',#370590,0.175000000000001); #69640=CIRCLE('',#370591,0.175000000000001); #69641=CIRCLE('',#370593,0.175000000000001); #69642=CIRCLE('',#370594,0.175000000000001); #69643=CIRCLE('',#370596,0.175000000000001); #69644=CIRCLE('',#370597,0.175000000000001); #69645=CIRCLE('',#370599,0.175000000000001); #69646=CIRCLE('',#370600,0.175000000000001); #69647=CIRCLE('',#370602,0.175000000000001); #69648=CIRCLE('',#370603,0.175000000000001); #69649=CIRCLE('',#370605,0.175000000000001); #69650=CIRCLE('',#370606,0.175000000000001); #69651=CIRCLE('',#370608,0.175000000000001); #69652=CIRCLE('',#370609,0.175000000000001); #69653=CIRCLE('',#370611,0.175000000000001); #69654=CIRCLE('',#370612,0.175000000000001); #69655=CIRCLE('',#370614,0.175000000000001); #69656=CIRCLE('',#370615,0.175000000000001); #69657=CIRCLE('',#370617,0.175000000000001); #69658=CIRCLE('',#370618,0.175000000000001); #69659=CIRCLE('',#370620,0.499999999999998); #69660=CIRCLE('',#370621,0.499999999999998); #69661=CIRCLE('',#370623,0.175000000000001); #69662=CIRCLE('',#370624,0.175000000000001); #69663=CIRCLE('',#370626,0.175000000000001); #69664=CIRCLE('',#370627,0.175000000000001); #69665=CIRCLE('',#370629,0.175000000000001); #69666=CIRCLE('',#370630,0.175000000000001); #69667=CIRCLE('',#370632,0.175000000000001); #69668=CIRCLE('',#370633,0.175000000000001); #69669=CIRCLE('',#370635,0.175000000000001); #69670=CIRCLE('',#370636,0.175000000000001); #69671=CIRCLE('',#370638,0.175000000000001); #69672=CIRCLE('',#370639,0.175000000000001); #69673=CIRCLE('',#370641,0.175000000000001); #69674=CIRCLE('',#370642,0.175000000000001); #69675=CIRCLE('',#370644,0.175000000000001); #69676=CIRCLE('',#370645,0.175000000000001); #69677=CIRCLE('',#370647,0.175000000000001); #69678=CIRCLE('',#370648,0.175000000000001); #69679=CIRCLE('',#370650,0.175000000000001); #69680=CIRCLE('',#370651,0.175000000000001); #69681=CIRCLE('',#370653,0.175000000000001); #69682=CIRCLE('',#370654,0.175000000000001); #69683=CIRCLE('',#370656,0.175000000000001); #69684=CIRCLE('',#370657,0.175000000000001); #69685=CIRCLE('',#370659,0.175000000000001); #69686=CIRCLE('',#370660,0.175000000000001); #69687=CIRCLE('',#370662,0.175000000000001); #69688=CIRCLE('',#370663,0.175000000000001); #69689=CIRCLE('',#370665,0.175000000000001); #69690=CIRCLE('',#370666,0.175000000000001); #69691=CIRCLE('',#370668,0.600000000000001); #69692=CIRCLE('',#370669,0.600000000000001); #69693=CIRCLE('',#370671,0.175000000000001); #69694=CIRCLE('',#370672,0.175000000000001); #69695=CIRCLE('',#370674,0.175000000000001); #69696=CIRCLE('',#370675,0.175000000000001); #69697=CIRCLE('',#370677,0.175000000000001); #69698=CIRCLE('',#370678,0.175000000000001); #69699=CIRCLE('',#370680,0.175000000000001); #69700=CIRCLE('',#370681,0.175000000000001); #69701=CIRCLE('',#370683,0.175000000000001); #69702=CIRCLE('',#370684,0.175000000000001); #69703=CIRCLE('',#370686,0.175000000000001); #69704=CIRCLE('',#370687,0.175000000000001); #69705=CIRCLE('',#370689,0.175000000000001); #69706=CIRCLE('',#370690,0.175000000000001); #69707=CIRCLE('',#370692,0.175000000000001); #69708=CIRCLE('',#370693,0.175000000000001); #69709=CIRCLE('',#370695,0.175000000000001); #69710=CIRCLE('',#370696,0.175000000000001); #69711=CIRCLE('',#370698,0.175000000000001); #69712=CIRCLE('',#370699,0.175000000000001); #69713=CIRCLE('',#370701,0.175000000000001); #69714=CIRCLE('',#370702,0.175000000000001); #69715=CIRCLE('',#370704,0.175000000000001); #69716=CIRCLE('',#370705,0.175000000000001); #69717=CIRCLE('',#370707,0.175000000000001); #69718=CIRCLE('',#370708,0.175000000000001); #69719=CIRCLE('',#370710,0.175000000000001); #69720=CIRCLE('',#370711,0.175000000000001); #69721=CIRCLE('',#370713,0.175000000000001); #69722=CIRCLE('',#370714,0.175000000000001); #69723=CIRCLE('',#370716,0.499999999999998); #69724=CIRCLE('',#370717,0.499999999999998); #69725=CIRCLE('',#370719,0.175000000000001); #69726=CIRCLE('',#370720,0.175000000000001); #69727=CIRCLE('',#370722,0.175000000000001); #69728=CIRCLE('',#370723,0.175000000000001); #69729=CIRCLE('',#370725,0.175000000000001); #69730=CIRCLE('',#370726,0.175000000000001); #69731=CIRCLE('',#370728,0.175000000000001); #69732=CIRCLE('',#370729,0.175000000000001); #69733=CIRCLE('',#370731,0.175000000000001); #69734=CIRCLE('',#370732,0.175000000000001); #69735=CIRCLE('',#370734,0.175000000000001); #69736=CIRCLE('',#370735,0.175000000000001); #69737=CIRCLE('',#370737,0.175000000000001); #69738=CIRCLE('',#370738,0.175000000000001); #69739=CIRCLE('',#370740,0.175000000000001); #69740=CIRCLE('',#370741,0.175000000000001); #69741=CIRCLE('',#370743,0.175000000000001); #69742=CIRCLE('',#370744,0.175000000000001); #69743=CIRCLE('',#370746,0.175000000000001); #69744=CIRCLE('',#370747,0.175000000000001); #69745=CIRCLE('',#370749,0.175000000000001); #69746=CIRCLE('',#370750,0.175000000000001); #69747=CIRCLE('',#370752,0.175000000000001); #69748=CIRCLE('',#370753,0.175000000000001); #69749=CIRCLE('',#370755,0.175000000000001); #69750=CIRCLE('',#370756,0.175000000000001); #69751=CIRCLE('',#370758,0.175000000000001); #69752=CIRCLE('',#370759,0.175000000000001); #69753=CIRCLE('',#370761,0.175000000000001); #69754=CIRCLE('',#370762,0.175000000000001); #69755=CIRCLE('',#370764,0.549999999999997); #69756=CIRCLE('',#370765,0.549999999999997); #69757=CIRCLE('',#370767,0.175000000000001); #69758=CIRCLE('',#370768,0.175000000000001); #69759=CIRCLE('',#370770,0.175000000000001); #69760=CIRCLE('',#370771,0.175000000000001); #69761=CIRCLE('',#370773,0.175000000000001); #69762=CIRCLE('',#370774,0.175000000000001); #69763=CIRCLE('',#370776,0.175000000000001); #69764=CIRCLE('',#370777,0.175000000000001); #69765=CIRCLE('',#370779,0.175000000000001); #69766=CIRCLE('',#370780,0.175000000000001); #69767=CIRCLE('',#370782,0.175000000000001); #69768=CIRCLE('',#370783,0.175000000000001); #69769=CIRCLE('',#370785,0.175000000000001); #69770=CIRCLE('',#370786,0.175000000000001); #69771=CIRCLE('',#370788,0.175000000000001); #69772=CIRCLE('',#370789,0.175000000000001); #69773=CIRCLE('',#370791,0.175000000000001); #69774=CIRCLE('',#370792,0.175000000000001); #69775=CIRCLE('',#370794,0.175000000000001); #69776=CIRCLE('',#370795,0.175000000000001); #69777=CIRCLE('',#370797,0.175000000000001); #69778=CIRCLE('',#370798,0.175000000000001); #69779=CIRCLE('',#370800,0.175000000000001); #69780=CIRCLE('',#370801,0.175000000000001); #69781=CIRCLE('',#370803,0.175000000000001); #69782=CIRCLE('',#370804,0.175000000000001); #69783=CIRCLE('',#370806,0.175000000000001); #69784=CIRCLE('',#370807,0.175000000000001); #69785=CIRCLE('',#370809,0.175000000000001); #69786=CIRCLE('',#370810,0.175000000000001); #69787=CIRCLE('',#370812,0.499999999999998); #69788=CIRCLE('',#370813,0.499999999999998); #69789=CIRCLE('',#370815,0.175000000000001); #69790=CIRCLE('',#370816,0.175000000000001); #69791=CIRCLE('',#370818,0.175000000000001); #69792=CIRCLE('',#370819,0.175000000000001); #69793=CIRCLE('',#370821,0.175000000000001); #69794=CIRCLE('',#370822,0.175000000000001); #69795=CIRCLE('',#370824,0.175000000000001); #69796=CIRCLE('',#370825,0.175000000000001); #69797=CIRCLE('',#370827,0.175000000000001); #69798=CIRCLE('',#370828,0.175000000000001); #69799=CIRCLE('',#370830,0.175000000000001); #69800=CIRCLE('',#370831,0.175000000000001); #69801=CIRCLE('',#370833,0.175000000000001); #69802=CIRCLE('',#370834,0.175000000000001); #69803=CIRCLE('',#370836,0.175000000000001); #69804=CIRCLE('',#370837,0.175000000000001); #69805=CIRCLE('',#370839,0.175000000000001); #69806=CIRCLE('',#370840,0.175000000000001); #69807=CIRCLE('',#370842,0.175000000000001); #69808=CIRCLE('',#370843,0.175000000000001); #69809=CIRCLE('',#370845,0.175000000000001); #69810=CIRCLE('',#370846,0.175000000000001); #69811=CIRCLE('',#370848,0.175000000000001); #69812=CIRCLE('',#370849,0.175000000000001); #69813=CIRCLE('',#370851,0.175000000000001); #69814=CIRCLE('',#370852,0.175000000000001); #69815=CIRCLE('',#370854,0.175000000000001); #69816=CIRCLE('',#370855,0.175000000000001); #69817=CIRCLE('',#370857,0.175000000000001); #69818=CIRCLE('',#370858,0.175000000000001); #69819=CIRCLE('',#370860,34.); #69820=CIRCLE('',#370861,34.); #69821=CIRCLE('',#370866,0.325); #69822=CIRCLE('',#370867,0.325); #69823=CIRCLE('',#370869,0.325); #69824=CIRCLE('',#370870,0.325); #69825=CIRCLE('',#370872,0.600000000000001); #69826=CIRCLE('',#370873,0.600000000000001); #69827=CIRCLE('',#370875,0.175000000000001); #69828=CIRCLE('',#370876,0.175000000000001); #69829=CIRCLE('',#370878,0.175000000000001); #69830=CIRCLE('',#370879,0.175000000000001); #69831=CIRCLE('',#370881,0.175000000000001); #69832=CIRCLE('',#370882,0.175000000000001); #69833=CIRCLE('',#370884,0.175000000000001); #69834=CIRCLE('',#370885,0.175000000000001); #69835=CIRCLE('',#370887,0.175000000000001); #69836=CIRCLE('',#370888,0.175000000000001); #69837=CIRCLE('',#370890,0.175000000000001); #69838=CIRCLE('',#370891,0.175000000000001); #69839=CIRCLE('',#370893,0.175000000000001); #69840=CIRCLE('',#370894,0.175000000000001); #69841=CIRCLE('',#370896,0.175000000000001); #69842=CIRCLE('',#370897,0.175000000000001); #69843=CIRCLE('',#370899,0.175000000000001); #69844=CIRCLE('',#370900,0.175000000000001); #69845=CIRCLE('',#370902,0.175000000000001); #69846=CIRCLE('',#370903,0.175000000000001); #69847=CIRCLE('',#370905,0.175000000000001); #69848=CIRCLE('',#370906,0.175000000000001); #69849=CIRCLE('',#370908,0.175000000000001); #69850=CIRCLE('',#370909,0.175000000000001); #69851=CIRCLE('',#370911,0.175000000000001); #69852=CIRCLE('',#370912,0.175000000000001); #69853=CIRCLE('',#370914,0.175000000000001); #69854=CIRCLE('',#370915,0.175000000000001); #69855=CIRCLE('',#370917,0.175000000000001); #69856=CIRCLE('',#370918,0.175000000000001); #69857=CIRCLE('',#370920,0.5); #69858=CIRCLE('',#370921,0.5); #69859=CIRCLE('',#370923,0.175000000000001); #69860=CIRCLE('',#370924,0.175000000000001); #69861=CIRCLE('',#370926,0.175000000000001); #69862=CIRCLE('',#370927,0.175000000000001); #69863=CIRCLE('',#370929,0.175000000000001); #69864=CIRCLE('',#370930,0.175000000000001); #69865=CIRCLE('',#370932,0.175000000000001); #69866=CIRCLE('',#370933,0.175000000000001); #69867=CIRCLE('',#370935,0.175000000000001); #69868=CIRCLE('',#370936,0.175000000000001); #69869=CIRCLE('',#370938,0.175000000000001); #69870=CIRCLE('',#370939,0.175000000000001); #69871=CIRCLE('',#370941,0.175000000000001); #69872=CIRCLE('',#370942,0.175000000000001); #69873=CIRCLE('',#370944,0.175000000000001); #69874=CIRCLE('',#370945,0.175000000000001); #69875=CIRCLE('',#370947,0.175000000000001); #69876=CIRCLE('',#370948,0.175000000000001); #69877=CIRCLE('',#370950,0.175000000000001); #69878=CIRCLE('',#370951,0.175000000000001); #69879=CIRCLE('',#370953,0.175000000000001); #69880=CIRCLE('',#370954,0.175000000000001); #69881=CIRCLE('',#370956,0.175000000000001); #69882=CIRCLE('',#370957,0.175000000000001); #69883=CIRCLE('',#370959,0.175000000000001); #69884=CIRCLE('',#370960,0.175000000000001); #69885=CIRCLE('',#370962,0.175000000000001); #69886=CIRCLE('',#370963,0.175000000000001); #69887=CIRCLE('',#370965,0.175000000000001); #69888=CIRCLE('',#370966,0.175000000000001); #69889=CIRCLE('',#370968,0.550000000000002); #69890=CIRCLE('',#370969,0.550000000000002); #69891=CIRCLE('',#370971,0.175000000000001); #69892=CIRCLE('',#370972,0.175000000000001); #69893=CIRCLE('',#370974,0.175000000000001); #69894=CIRCLE('',#370975,0.175000000000001); #69895=CIRCLE('',#370977,0.175000000000001); #69896=CIRCLE('',#370978,0.175000000000001); #69897=CIRCLE('',#370980,0.175000000000001); #69898=CIRCLE('',#370981,0.175000000000001); #69899=CIRCLE('',#370983,0.175000000000001); #69900=CIRCLE('',#370984,0.175000000000001); #69901=CIRCLE('',#370986,0.175000000000001); #69902=CIRCLE('',#370987,0.175000000000001); #69903=CIRCLE('',#370989,0.175000000000001); #69904=CIRCLE('',#370990,0.175000000000001); #69905=CIRCLE('',#370992,0.175000000000001); #69906=CIRCLE('',#370993,0.175000000000001); #69907=CIRCLE('',#370995,0.175000000000001); #69908=CIRCLE('',#370996,0.175000000000001); #69909=CIRCLE('',#370998,0.175000000000001); #69910=CIRCLE('',#370999,0.175000000000001); #69911=CIRCLE('',#371001,0.175000000000001); #69912=CIRCLE('',#371002,0.175000000000001); #69913=CIRCLE('',#371004,0.175000000000001); #69914=CIRCLE('',#371005,0.175000000000001); #69915=CIRCLE('',#371007,0.175000000000001); #69916=CIRCLE('',#371008,0.175000000000001); #69917=CIRCLE('',#371010,0.175000000000001); #69918=CIRCLE('',#371011,0.175000000000001); #69919=CIRCLE('',#371013,0.175000000000001); #69920=CIRCLE('',#371014,0.175000000000001); #69921=CIRCLE('',#371016,0.5); #69922=CIRCLE('',#371017,0.5); #69923=CIRCLE('',#371019,0.175000000000001); #69924=CIRCLE('',#371020,0.175000000000001); #69925=CIRCLE('',#371022,0.175000000000001); #69926=CIRCLE('',#371023,0.175000000000001); #69927=CIRCLE('',#371025,0.175000000000001); #69928=CIRCLE('',#371026,0.175000000000001); #69929=CIRCLE('',#371028,0.175000000000001); #69930=CIRCLE('',#371029,0.175000000000001); #69931=CIRCLE('',#371031,0.175000000000001); #69932=CIRCLE('',#371032,0.175000000000001); #69933=CIRCLE('',#371034,0.175000000000001); #69934=CIRCLE('',#371035,0.175000000000001); #69935=CIRCLE('',#371037,0.175000000000001); #69936=CIRCLE('',#371038,0.175000000000001); #69937=CIRCLE('',#371040,0.175000000000001); #69938=CIRCLE('',#371041,0.175000000000001); #69939=CIRCLE('',#371043,0.175000000000001); #69940=CIRCLE('',#371044,0.175000000000001); #69941=CIRCLE('',#371046,0.175000000000001); #69942=CIRCLE('',#371047,0.175000000000001); #69943=CIRCLE('',#371049,0.175000000000001); #69944=CIRCLE('',#371050,0.175000000000001); #69945=CIRCLE('',#371052,0.175000000000001); #69946=CIRCLE('',#371053,0.175000000000001); #69947=CIRCLE('',#371055,0.175000000000001); #69948=CIRCLE('',#371056,0.175000000000001); #69949=CIRCLE('',#371058,0.175000000000001); #69950=CIRCLE('',#371059,0.175000000000001); #69951=CIRCLE('',#371061,0.175000000000001); #69952=CIRCLE('',#371062,0.175000000000001); #69953=CIRCLE('',#371064,0.600000000000001); #69954=CIRCLE('',#371065,0.600000000000001); #69955=CIRCLE('',#371067,0.175000000000001); #69956=CIRCLE('',#371068,0.175000000000001); #69957=CIRCLE('',#371070,0.175000000000001); #69958=CIRCLE('',#371071,0.175000000000001); #69959=CIRCLE('',#371073,0.175000000000001); #69960=CIRCLE('',#371074,0.175000000000001); #69961=CIRCLE('',#371076,0.175000000000001); #69962=CIRCLE('',#371077,0.175000000000001); #69963=CIRCLE('',#371079,0.175000000000001); #69964=CIRCLE('',#371080,0.175000000000001); #69965=CIRCLE('',#371082,0.175); #69966=CIRCLE('',#371083,0.175); #69967=CIRCLE('',#371085,0.175000000000001); #69968=CIRCLE('',#371086,0.175000000000001); #69969=CIRCLE('',#371088,0.175000000000001); #69970=CIRCLE('',#371089,0.175000000000001); #69971=CIRCLE('',#371091,0.175000000000001); #69972=CIRCLE('',#371092,0.175000000000001); #69973=CIRCLE('',#371094,0.175000000000001); #69974=CIRCLE('',#371095,0.175000000000001); #69975=CIRCLE('',#371097,0.175000000000001); #69976=CIRCLE('',#371098,0.175000000000001); #69977=CIRCLE('',#371100,0.175000000000001); #69978=CIRCLE('',#371101,0.175000000000001); #69979=CIRCLE('',#371103,0.175000000000001); #69980=CIRCLE('',#371104,0.175000000000001); #69981=CIRCLE('',#371106,0.175); #69982=CIRCLE('',#371107,0.175); #69983=CIRCLE('',#371109,0.175000000000001); #69984=CIRCLE('',#371110,0.175000000000001); #69985=CIRCLE('',#371112,0.175000000000001); #69986=CIRCLE('',#371113,0.175000000000001); #69987=CIRCLE('',#371115,0.175000000000001); #69988=CIRCLE('',#371116,0.175000000000001); #69989=CIRCLE('',#371118,0.175000000000001); #69990=CIRCLE('',#371119,0.175000000000001); #69991=CIRCLE('',#371121,0.175000000000001); #69992=CIRCLE('',#371122,0.175000000000001); #69993=CIRCLE('',#371124,0.175000000000001); #69994=CIRCLE('',#371125,0.175000000000001); #69995=CIRCLE('',#371127,0.175000000000001); #69996=CIRCLE('',#371128,0.175000000000001); #69997=CIRCLE('',#371130,0.175000000000001); #69998=CIRCLE('',#371131,0.175000000000001); #69999=CIRCLE('',#371133,0.175000000000001); #70000=CIRCLE('',#371134,0.175000000000001); #70001=CIRCLE('',#371136,0.175000000000001); #70002=CIRCLE('',#371137,0.175000000000001); #70003=CIRCLE('',#371139,0.175000000000001); #70004=CIRCLE('',#371140,0.175000000000001); #70005=CIRCLE('',#371142,0.175000000000001); #70006=CIRCLE('',#371143,0.175000000000001); #70007=CIRCLE('',#371145,0.175000000000001); #70008=CIRCLE('',#371146,0.175000000000001); #70009=CIRCLE('',#371148,0.175000000000001); #70010=CIRCLE('',#371149,0.175000000000001); #70011=CIRCLE('',#371151,0.175000000000001); #70012=CIRCLE('',#371152,0.175000000000001); #70013=CIRCLE('',#371154,0.175000000000001); #70014=CIRCLE('',#371155,0.175000000000001); #70015=CIRCLE('',#371157,0.175000000000001); #70016=CIRCLE('',#371158,0.175000000000001); #70017=CIRCLE('',#371160,0.499999999999998); #70018=CIRCLE('',#371161,0.499999999999998); #70019=CIRCLE('',#371163,0.175000000000001); #70020=CIRCLE('',#371164,0.175000000000001); #70021=CIRCLE('',#371166,0.175000000000001); #70022=CIRCLE('',#371167,0.175000000000001); #70023=CIRCLE('',#371169,0.175000000000001); #70024=CIRCLE('',#371170,0.175000000000001); #70025=CIRCLE('',#371172,0.175000000000001); #70026=CIRCLE('',#371173,0.175000000000001); #70027=CIRCLE('',#371175,0.175000000000001); #70028=CIRCLE('',#371176,0.175000000000001); #70029=CIRCLE('',#371178,0.175000000000001); #70030=CIRCLE('',#371179,0.175000000000001); #70031=CIRCLE('',#371181,0.175000000000001); #70032=CIRCLE('',#371182,0.175000000000001); #70033=CIRCLE('',#371184,0.175000000000001); #70034=CIRCLE('',#371185,0.175000000000001); #70035=CIRCLE('',#371187,0.175000000000001); #70036=CIRCLE('',#371188,0.175000000000001); #70037=CIRCLE('',#371190,0.175000000000001); #70038=CIRCLE('',#371191,0.175000000000001); #70039=CIRCLE('',#371193,0.175000000000001); #70040=CIRCLE('',#371194,0.175000000000001); #70041=CIRCLE('',#371196,0.175000000000001); #70042=CIRCLE('',#371197,0.175000000000001); #70043=CIRCLE('',#371199,0.175000000000001); #70044=CIRCLE('',#371200,0.175000000000001); #70045=CIRCLE('',#371202,0.175000000000001); #70046=CIRCLE('',#371203,0.175000000000001); #70047=CIRCLE('',#371205,0.175000000000001); #70048=CIRCLE('',#371206,0.175000000000001); #70049=CIRCLE('',#371208,0.499999999999998); #70050=CIRCLE('',#371209,0.499999999999998); #70051=CIRCLE('',#371211,0.175000000000001); #70052=CIRCLE('',#371212,0.175000000000001); #70053=CIRCLE('',#371214,0.175000000000001); #70054=CIRCLE('',#371215,0.175000000000001); #70055=CIRCLE('',#371217,0.175000000000001); #70056=CIRCLE('',#371218,0.175000000000001); #70057=CIRCLE('',#371220,0.175000000000001); #70058=CIRCLE('',#371221,0.175000000000001); #70059=CIRCLE('',#371223,0.175000000000001); #70060=CIRCLE('',#371224,0.175000000000001); #70061=CIRCLE('',#371226,0.175000000000001); #70062=CIRCLE('',#371227,0.175000000000001); #70063=CIRCLE('',#371229,0.175000000000001); #70064=CIRCLE('',#371230,0.175000000000001); #70065=CIRCLE('',#371232,0.175000000000001); #70066=CIRCLE('',#371233,0.175000000000001); #70067=CIRCLE('',#371235,0.175000000000001); #70068=CIRCLE('',#371236,0.175000000000001); #70069=CIRCLE('',#371238,0.175000000000001); #70070=CIRCLE('',#371239,0.175000000000001); #70071=CIRCLE('',#371241,0.175000000000001); #70072=CIRCLE('',#371242,0.175000000000001); #70073=CIRCLE('',#371244,0.175000000000001); #70074=CIRCLE('',#371245,0.175000000000001); #70075=CIRCLE('',#371247,0.175000000000001); #70076=CIRCLE('',#371248,0.175000000000001); #70077=CIRCLE('',#371250,0.175000000000001); #70078=CIRCLE('',#371251,0.175000000000001); #70079=CIRCLE('',#371253,0.175000000000001); #70080=CIRCLE('',#371254,0.175000000000001); #70081=CIRCLE('',#371256,0.550000000000002); #70082=CIRCLE('',#371257,0.550000000000002); #70083=CIRCLE('',#371259,0.150000000000001); #70084=CIRCLE('',#371260,0.150000000000001); #70085=CIRCLE('',#371262,0.175); #70086=CIRCLE('',#371263,0.175); #70087=CIRCLE('',#371265,0.150000000000001); #70088=CIRCLE('',#371266,0.150000000000001); #70089=CIRCLE('',#371268,0.175000000000001); #70090=CIRCLE('',#371269,0.175000000000001); #70091=CIRCLE('',#371271,0.175000000000001); #70092=CIRCLE('',#371272,0.175000000000001); #70093=CIRCLE('',#371274,0.175); #70094=CIRCLE('',#371275,0.175); #70095=CIRCLE('',#371277,0.150000000000001); #70096=CIRCLE('',#371278,0.150000000000001); #70097=CIRCLE('',#371280,0.175000000000001); #70098=CIRCLE('',#371281,0.175000000000001); #70099=CIRCLE('',#371283,0.175000000000001); #70100=CIRCLE('',#371284,0.175000000000001); #70101=CIRCLE('',#371286,0.175); #70102=CIRCLE('',#371287,0.175); #70103=CIRCLE('',#371289,0.175000000000001); #70104=CIRCLE('',#371290,0.175000000000001); #70105=CIRCLE('',#371292,0.175000000000001); #70106=CIRCLE('',#371293,0.175000000000001); #70107=CIRCLE('',#371295,0.175000000000001); #70108=CIRCLE('',#371296,0.175000000000001); #70109=CIRCLE('',#371298,0.175); #70110=CIRCLE('',#371299,0.175); #70111=CIRCLE('',#371301,0.175000000000001); #70112=CIRCLE('',#371302,0.175000000000001); #70113=CIRCLE('',#371304,0.175000000000001); #70114=CIRCLE('',#371305,0.175000000000001); #70115=CIRCLE('',#371307,0.175000000000001); #70116=CIRCLE('',#371308,0.175000000000001); #70117=CIRCLE('',#371310,0.175); #70118=CIRCLE('',#371311,0.175); #70119=CIRCLE('',#371313,0.175000000000001); #70120=CIRCLE('',#371314,0.175000000000001); #70121=CIRCLE('',#371316,0.175000000000001); #70122=CIRCLE('',#371317,0.175000000000001); #70123=CIRCLE('',#371319,0.175000000000001); #70124=CIRCLE('',#371320,0.175000000000001); #70125=CIRCLE('',#371322,0.175000000000001); #70126=CIRCLE('',#371323,0.175000000000001); #70127=CIRCLE('',#371325,0.175000000000001); #70128=CIRCLE('',#371326,0.175000000000001); #70129=CIRCLE('',#371328,0.175000000000001); #70130=CIRCLE('',#371329,0.175000000000001); #70131=CIRCLE('',#371331,0.175000000000001); #70132=CIRCLE('',#371332,0.175000000000001); #70133=CIRCLE('',#371334,0.175); #70134=CIRCLE('',#371335,0.175); #70135=CIRCLE('',#371337,0.175000000000001); #70136=CIRCLE('',#371338,0.175000000000001); #70137=CIRCLE('',#371340,0.175000000000001); #70138=CIRCLE('',#371341,0.175000000000001); #70139=CIRCLE('',#371343,0.175000000000001); #70140=CIRCLE('',#371344,0.175000000000001); #70141=CIRCLE('',#371346,0.175); #70142=CIRCLE('',#371347,0.175); #70143=CIRCLE('',#371349,0.175000000000001); #70144=CIRCLE('',#371350,0.175000000000001); #70145=CIRCLE('',#371352,0.499999999999998); #70146=CIRCLE('',#371353,0.499999999999998); #70147=CIRCLE('',#371356,0.35); #70148=CIRCLE('',#371357,0.35); #70149=CIRCLE('',#371360,0.35); #70150=CIRCLE('',#371361,0.35); #70151=CIRCLE('',#371363,0.175000000000001); #70152=CIRCLE('',#371364,0.175000000000001); #70153=CIRCLE('',#371367,0.6); #70154=CIRCLE('',#371368,0.6); #70155=CIRCLE('',#371371,0.6); #70156=CIRCLE('',#371372,0.6); #70157=CIRCLE('',#371374,0.175000000000001); #70158=CIRCLE('',#371375,0.175000000000001); #70159=CIRCLE('',#371377,0.150000000000001); #70160=CIRCLE('',#371378,0.150000000000001); #70161=CIRCLE('',#371380,0.175000000000001); #70162=CIRCLE('',#371381,0.175000000000001); #70163=CIRCLE('',#371384,0.6); #70164=CIRCLE('',#371385,0.6); #70165=CIRCLE('',#371388,0.6); #70166=CIRCLE('',#371389,0.6); #70167=CIRCLE('',#371391,0.175000000000001); #70168=CIRCLE('',#371392,0.175000000000001); #70169=CIRCLE('',#371394,0.150000000000001); #70170=CIRCLE('',#371395,0.150000000000001); #70171=CIRCLE('',#371397,0.175000000000001); #70172=CIRCLE('',#371398,0.175000000000001); #70173=CIRCLE('',#371400,0.150000000000001); #70174=CIRCLE('',#371401,0.150000000000001); #70175=CIRCLE('',#371403,0.175000000000001); #70176=CIRCLE('',#371404,0.175000000000001); #70177=CIRCLE('',#371406,0.150000000000001); #70178=CIRCLE('',#371407,0.150000000000001); #70179=CIRCLE('',#371409,0.175000000000001); #70180=CIRCLE('',#371410,0.175000000000001); #70181=CIRCLE('',#371412,0.150000000000001); #70182=CIRCLE('',#371413,0.150000000000001); #70183=CIRCLE('',#371415,0.175000000000001); #70184=CIRCLE('',#371416,0.175000000000001); #70185=CIRCLE('',#371418,0.175000000000001); #70186=CIRCLE('',#371419,0.175000000000001); #70187=CIRCLE('',#371422,0.35); #70188=CIRCLE('',#371423,0.35); #70189=CIRCLE('',#371426,0.35); #70190=CIRCLE('',#371427,0.35); #70191=CIRCLE('',#371429,0.175000000000001); #70192=CIRCLE('',#371430,0.175000000000001); #70193=CIRCLE('',#371433,0.35); #70194=CIRCLE('',#371434,0.35); #70195=CIRCLE('',#371437,0.35); #70196=CIRCLE('',#371438,0.35); #70197=CIRCLE('',#371440,0.175000000000001); #70198=CIRCLE('',#371441,0.175000000000001); #70199=CIRCLE('',#371444,0.35); #70200=CIRCLE('',#371445,0.35); #70201=CIRCLE('',#371448,0.35); #70202=CIRCLE('',#371449,0.35); #70203=CIRCLE('',#371451,0.175000000000001); #70204=CIRCLE('',#371452,0.175000000000001); #70205=CIRCLE('',#371454,0.175000000000001); #70206=CIRCLE('',#371455,0.175000000000001); #70207=CIRCLE('',#371457,0.175000000000001); #70208=CIRCLE('',#371458,0.175000000000001); #70209=CIRCLE('',#371460,0.175000000000001); #70210=CIRCLE('',#371461,0.175000000000001); #70211=CIRCLE('',#371463,0.600000000000001); #70212=CIRCLE('',#371464,0.600000000000001); #70213=CIRCLE('',#371466,0.175000000000001); #70214=CIRCLE('',#371467,0.175000000000001); #70215=CIRCLE('',#371469,0.175000000000001); #70216=CIRCLE('',#371470,0.175000000000001); #70217=CIRCLE('',#371472,0.175000000000001); #70218=CIRCLE('',#371473,0.175000000000001); #70219=CIRCLE('',#371475,0.175000000000001); #70220=CIRCLE('',#371476,0.175000000000001); #70221=CIRCLE('',#371478,0.175000000000001); #70222=CIRCLE('',#371479,0.175000000000001); #70223=CIRCLE('',#371481,0.175000000000001); #70224=CIRCLE('',#371482,0.175000000000001); #70225=CIRCLE('',#371484,0.175000000000001); #70226=CIRCLE('',#371485,0.175000000000001); #70227=CIRCLE('',#371487,0.175000000000001); #70228=CIRCLE('',#371488,0.175000000000001); #70229=CIRCLE('',#371490,0.175000000000001); #70230=CIRCLE('',#371491,0.175000000000001); #70231=CIRCLE('',#371493,0.175000000000001); #70232=CIRCLE('',#371494,0.175000000000001); #70233=CIRCLE('',#371496,0.175000000000001); #70234=CIRCLE('',#371497,0.175000000000001); #70235=CIRCLE('',#371499,0.175000000000001); #70236=CIRCLE('',#371500,0.175000000000001); #70237=CIRCLE('',#371502,0.175000000000001); #70238=CIRCLE('',#371503,0.175000000000001); #70239=CIRCLE('',#371505,0.175000000000001); #70240=CIRCLE('',#371506,0.175000000000001); #70241=CIRCLE('',#371508,0.175000000000001); #70242=CIRCLE('',#371509,0.175000000000001); #70243=CIRCLE('',#371511,0.499999999999998); #70244=CIRCLE('',#371512,0.499999999999998); #70245=CIRCLE('',#371514,0.175000000000001); #70246=CIRCLE('',#371515,0.175000000000001); #70247=CIRCLE('',#371517,0.175000000000001); #70248=CIRCLE('',#371518,0.175000000000001); #70249=CIRCLE('',#371520,0.175000000000001); #70250=CIRCLE('',#371521,0.175000000000001); #70251=CIRCLE('',#371523,0.175000000000001); #70252=CIRCLE('',#371524,0.175000000000001); #70253=CIRCLE('',#371526,0.175000000000001); #70254=CIRCLE('',#371527,0.175000000000001); #70255=CIRCLE('',#371529,0.175000000000001); #70256=CIRCLE('',#371530,0.175000000000001); #70257=CIRCLE('',#371532,0.175000000000001); #70258=CIRCLE('',#371533,0.175000000000001); #70259=CIRCLE('',#371535,0.175000000000001); #70260=CIRCLE('',#371536,0.175000000000001); #70261=CIRCLE('',#371538,0.175000000000001); #70262=CIRCLE('',#371539,0.175000000000001); #70263=CIRCLE('',#371541,0.175000000000001); #70264=CIRCLE('',#371542,0.175000000000001); #70265=CIRCLE('',#371544,0.175000000000001); #70266=CIRCLE('',#371545,0.175000000000001); #70267=CIRCLE('',#371547,0.175000000000001); #70268=CIRCLE('',#371548,0.175000000000001); #70269=CIRCLE('',#371550,0.175000000000001); #70270=CIRCLE('',#371551,0.175000000000001); #70271=CIRCLE('',#371553,0.175000000000001); #70272=CIRCLE('',#371554,0.175000000000001); #70273=CIRCLE('',#371556,0.175000000000001); #70274=CIRCLE('',#371557,0.175000000000001); #70275=CIRCLE('',#371559,0.549999999999997); #70276=CIRCLE('',#371560,0.549999999999997); #70277=CIRCLE('',#371562,0.175000000000001); #70278=CIRCLE('',#371563,0.175000000000001); #70279=CIRCLE('',#371565,0.175000000000001); #70280=CIRCLE('',#371566,0.175000000000001); #70281=CIRCLE('',#371568,0.175000000000001); #70282=CIRCLE('',#371569,0.175000000000001); #70283=CIRCLE('',#371571,0.175000000000001); #70284=CIRCLE('',#371572,0.175000000000001); #70285=CIRCLE('',#371574,0.175000000000001); #70286=CIRCLE('',#371575,0.175000000000001); #70287=CIRCLE('',#371577,0.175000000000001); #70288=CIRCLE('',#371578,0.175000000000001); #70289=CIRCLE('',#371580,0.175000000000001); #70290=CIRCLE('',#371581,0.175000000000001); #70291=CIRCLE('',#371583,0.175000000000001); #70292=CIRCLE('',#371584,0.175000000000001); #70293=CIRCLE('',#371586,0.175000000000001); #70294=CIRCLE('',#371587,0.175000000000001); #70295=CIRCLE('',#371589,0.175000000000001); #70296=CIRCLE('',#371590,0.175000000000001); #70297=CIRCLE('',#371592,0.175000000000001); #70298=CIRCLE('',#371593,0.175000000000001); #70299=CIRCLE('',#371595,0.175000000000001); #70300=CIRCLE('',#371596,0.175000000000001); #70301=CIRCLE('',#371598,0.175000000000001); #70302=CIRCLE('',#371599,0.175000000000001); #70303=CIRCLE('',#371601,0.175000000000001); #70304=CIRCLE('',#371602,0.175000000000001); #70305=CIRCLE('',#371604,0.175000000000001); #70306=CIRCLE('',#371605,0.175000000000001); #70307=CIRCLE('',#371607,0.499999999999998); #70308=CIRCLE('',#371608,0.499999999999998); #70309=CIRCLE('',#371610,0.175000000000001); #70310=CIRCLE('',#371611,0.175000000000001); #70311=CIRCLE('',#371613,0.175000000000001); #70312=CIRCLE('',#371614,0.175000000000001); #70313=CIRCLE('',#371616,0.175000000000001); #70314=CIRCLE('',#371617,0.175000000000001); #70315=CIRCLE('',#371619,0.175000000000001); #70316=CIRCLE('',#371620,0.175000000000001); #70317=CIRCLE('',#371622,0.175000000000001); #70318=CIRCLE('',#371623,0.175000000000001); #70319=CIRCLE('',#371625,0.175000000000001); #70320=CIRCLE('',#371626,0.175000000000001); #70321=CIRCLE('',#371628,0.175000000000001); #70322=CIRCLE('',#371629,0.175000000000001); #70323=CIRCLE('',#371631,0.175000000000001); #70324=CIRCLE('',#371632,0.175000000000001); #70325=CIRCLE('',#371634,0.175000000000001); #70326=CIRCLE('',#371635,0.175000000000001); #70327=CIRCLE('',#371637,0.175000000000001); #70328=CIRCLE('',#371638,0.175000000000001); #70329=CIRCLE('',#371640,0.175000000000001); #70330=CIRCLE('',#371641,0.175000000000001); #70331=CIRCLE('',#371643,0.175000000000001); #70332=CIRCLE('',#371644,0.175000000000001); #70333=CIRCLE('',#371646,0.175000000000001); #70334=CIRCLE('',#371647,0.175000000000001); #70335=CIRCLE('',#371649,0.175000000000001); #70336=CIRCLE('',#371650,0.175000000000001); #70337=CIRCLE('',#371652,0.175000000000001); #70338=CIRCLE('',#371653,0.175000000000001); #70339=CIRCLE('',#371655,0.600000000000001); #70340=CIRCLE('',#371656,0.600000000000001); #70341=CIRCLE('',#371658,0.175000000000001); #70342=CIRCLE('',#371659,0.175000000000001); #70343=CIRCLE('',#371661,0.175000000000001); #70344=CIRCLE('',#371662,0.175000000000001); #70345=CIRCLE('',#371664,0.175000000000001); #70346=CIRCLE('',#371665,0.175000000000001); #70347=CIRCLE('',#371667,0.175000000000001); #70348=CIRCLE('',#371668,0.175000000000001); #70349=CIRCLE('',#371670,0.175000000000001); #70350=CIRCLE('',#371671,0.175000000000001); #70351=CIRCLE('',#371673,0.175000000000001); #70352=CIRCLE('',#371674,0.175000000000001); #70353=CIRCLE('',#371676,0.175000000000001); #70354=CIRCLE('',#371677,0.175000000000001); #70355=CIRCLE('',#371679,0.175000000000001); #70356=CIRCLE('',#371680,0.175000000000001); #70357=CIRCLE('',#371682,0.175000000000001); #70358=CIRCLE('',#371683,0.175000000000001); #70359=CIRCLE('',#371685,0.175000000000001); #70360=CIRCLE('',#371686,0.175000000000001); #70361=CIRCLE('',#371688,0.175000000000001); #70362=CIRCLE('',#371689,0.175000000000001); #70363=CIRCLE('',#371691,0.175000000000001); #70364=CIRCLE('',#371692,0.175000000000001); #70365=CIRCLE('',#371694,0.175000000000001); #70366=CIRCLE('',#371695,0.175000000000001); #70367=CIRCLE('',#371697,0.175000000000001); #70368=CIRCLE('',#371698,0.175000000000001); #70369=CIRCLE('',#371700,0.175000000000001); #70370=CIRCLE('',#371701,0.175000000000001); #70371=CIRCLE('',#371703,0.499999999999998); #70372=CIRCLE('',#371704,0.499999999999998); #70373=CIRCLE('',#371706,0.175000000000001); #70374=CIRCLE('',#371707,0.175000000000001); #70375=CIRCLE('',#371709,0.175000000000001); #70376=CIRCLE('',#371710,0.175000000000001); #70377=CIRCLE('',#371712,0.175000000000001); #70378=CIRCLE('',#371713,0.175000000000001); #70379=CIRCLE('',#371715,0.175000000000001); #70380=CIRCLE('',#371716,0.175000000000001); #70381=CIRCLE('',#371718,0.175000000000001); #70382=CIRCLE('',#371719,0.175000000000001); #70383=CIRCLE('',#371721,0.175000000000001); #70384=CIRCLE('',#371722,0.175000000000001); #70385=CIRCLE('',#371724,0.175000000000001); #70386=CIRCLE('',#371725,0.175000000000001); #70387=CIRCLE('',#371727,0.175000000000001); #70388=CIRCLE('',#371728,0.175000000000001); #70389=CIRCLE('',#371730,0.175000000000001); #70390=CIRCLE('',#371731,0.175000000000001); #70391=CIRCLE('',#371733,0.175000000000001); #70392=CIRCLE('',#371734,0.175000000000001); #70393=CIRCLE('',#371736,0.175000000000001); #70394=CIRCLE('',#371737,0.175000000000001); #70395=CIRCLE('',#371739,0.175000000000001); #70396=CIRCLE('',#371740,0.175000000000001); #70397=CIRCLE('',#371742,0.175000000000001); #70398=CIRCLE('',#371743,0.175000000000001); #70399=CIRCLE('',#371745,0.175000000000001); #70400=CIRCLE('',#371746,0.175000000000001); #70401=CIRCLE('',#371748,0.175000000000001); #70402=CIRCLE('',#371749,0.175000000000001); #70403=CIRCLE('',#371751,0.549999999999997); #70404=CIRCLE('',#371752,0.549999999999997); #70405=CIRCLE('',#371754,0.175000000000001); #70406=CIRCLE('',#371755,0.175000000000001); #70407=CIRCLE('',#371757,0.175000000000001); #70408=CIRCLE('',#371758,0.175000000000001); #70409=CIRCLE('',#371760,0.175000000000001); #70410=CIRCLE('',#371761,0.175000000000001); #70411=CIRCLE('',#371763,0.175000000000001); #70412=CIRCLE('',#371764,0.175000000000001); #70413=CIRCLE('',#371766,0.175000000000001); #70414=CIRCLE('',#371767,0.175000000000001); #70415=CIRCLE('',#371769,0.175000000000001); #70416=CIRCLE('',#371770,0.175000000000001); #70417=CIRCLE('',#371772,0.175000000000001); #70418=CIRCLE('',#371773,0.175000000000001); #70419=CIRCLE('',#371775,0.175000000000001); #70420=CIRCLE('',#371776,0.175000000000001); #70421=CIRCLE('',#371778,0.175000000000001); #70422=CIRCLE('',#371779,0.175000000000001); #70423=CIRCLE('',#371781,0.175000000000001); #70424=CIRCLE('',#371782,0.175000000000001); #70425=CIRCLE('',#371784,0.175000000000001); #70426=CIRCLE('',#371785,0.175000000000001); #70427=CIRCLE('',#371787,0.175000000000001); #70428=CIRCLE('',#371788,0.175000000000001); #70429=CIRCLE('',#371790,0.175000000000001); #70430=CIRCLE('',#371791,0.175000000000001); #70431=CIRCLE('',#371793,0.175000000000001); #70432=CIRCLE('',#371794,0.175000000000001); #70433=CIRCLE('',#371796,0.175000000000001); #70434=CIRCLE('',#371797,0.175000000000001); #70435=CIRCLE('',#371799,0.499999999999998); #70436=CIRCLE('',#371800,0.499999999999998); #70437=CIRCLE('',#371802,0.175000000000001); #70438=CIRCLE('',#371803,0.175000000000001); #70439=CIRCLE('',#371805,0.175000000000001); #70440=CIRCLE('',#371806,0.175000000000001); #70441=CIRCLE('',#371808,0.175000000000001); #70442=CIRCLE('',#371809,0.175000000000001); #70443=CIRCLE('',#371811,0.175000000000001); #70444=CIRCLE('',#371812,0.175000000000001); #70445=CIRCLE('',#371814,0.175000000000001); #70446=CIRCLE('',#371815,0.175000000000001); #70447=CIRCLE('',#371817,0.175000000000001); #70448=CIRCLE('',#371818,0.175000000000001); #70449=CIRCLE('',#371820,0.175000000000001); #70450=CIRCLE('',#371821,0.175000000000001); #70451=CIRCLE('',#371823,0.175000000000001); #70452=CIRCLE('',#371824,0.175000000000001); #70453=CIRCLE('',#371826,0.175000000000001); #70454=CIRCLE('',#371827,0.175000000000001); #70455=CIRCLE('',#371829,0.175000000000001); #70456=CIRCLE('',#371830,0.175000000000001); #70457=CIRCLE('',#371832,0.175000000000001); #70458=CIRCLE('',#371833,0.175000000000001); #70459=CIRCLE('',#371835,0.175000000000001); #70460=CIRCLE('',#371836,0.175000000000001); #70461=CIRCLE('',#371838,0.175000000000001); #70462=CIRCLE('',#371839,0.175000000000001); #70463=CIRCLE('',#371841,0.175000000000001); #70464=CIRCLE('',#371842,0.175000000000001); #70465=CIRCLE('',#371844,0.175000000000001); #70466=CIRCLE('',#371845,0.175000000000001); #70467=CIRCLE('',#371847,34.); #70468=CIRCLE('',#371848,34.); #70469=CIRCLE('',#371964,0.016); #70470=CIRCLE('',#371965,0.016); #70471=CIRCLE('',#371966,0.016); #70472=CIRCLE('',#371968,0.016); #70473=CIRCLE('',#371969,0.016); #70474=CIRCLE('',#371970,0.016); #70475=CIRCLE('',#371972,0.016); #70476=CIRCLE('',#371973,0.016); #70477=CIRCLE('',#371974,0.016); #70478=CIRCLE('',#371976,0.016); #70479=CIRCLE('',#371977,0.016); #70480=CIRCLE('',#371978,0.016); #70481=CIRCLE('',#371980,0.016); #70482=CIRCLE('',#371981,0.016); #70483=CIRCLE('',#371982,0.016); #70484=CIRCLE('',#371985,0.016); #70485=CIRCLE('',#371986,0.016); #70486=CIRCLE('',#371987,0.016); #70487=CIRCLE('',#371989,0.016); #70488=CIRCLE('',#371990,0.016); #70489=CIRCLE('',#371991,0.016); #70490=CIRCLE('',#371995,0.016); #70491=CIRCLE('',#371996,0.016); #70492=CIRCLE('',#371997,0.016); #70493=CIRCLE('',#372014,0.016); #70494=CIRCLE('',#372015,0.016); #70495=CIRCLE('',#372016,0.016); #70496=CIRCLE('',#372018,0.016); #70497=CIRCLE('',#372019,0.016); #70498=CIRCLE('',#372020,0.016); #70499=CIRCLE('',#372022,0.016); #70500=CIRCLE('',#372023,0.016); #70501=CIRCLE('',#372024,0.016); #70502=CIRCLE('',#372026,0.016); #70503=CIRCLE('',#372027,0.016); #70504=CIRCLE('',#372028,0.016); #70505=CIRCLE('',#372030,0.016); #70506=CIRCLE('',#372031,0.016); #70507=CIRCLE('',#372032,0.016); #70508=CIRCLE('',#372035,0.016); #70509=CIRCLE('',#372036,0.016); #70510=CIRCLE('',#372037,0.016); #70511=CIRCLE('',#372039,0.016); #70512=CIRCLE('',#372040,0.0159999999999999); #70513=CIRCLE('',#372041,0.016); #70514=CIRCLE('',#372045,0.016); #70515=CIRCLE('',#372046,0.016); #70516=CIRCLE('',#372047,0.016); #70517=CIRCLE('',#372113,0.45); #70518=CIRCLE('',#372114,0.45); #70519=CIRCLE('',#372115,0.45); #70520=CIRCLE('',#372116,0.45); #70521=CIRCLE('',#372118,0.45); #70522=CIRCLE('',#372119,0.45); #70523=CIRCLE('',#372120,0.45); #70524=CIRCLE('',#372121,0.45); #70525=CIRCLE('',#372128,0.76); #70526=CIRCLE('',#372130,0.76); #70527=CIRCLE('',#372132,0.825); #70528=CIRCLE('',#372133,0.96); #70529=CIRCLE('',#372134,0.71); #70530=CIRCLE('',#372202,0.96); #70531=CIRCLE('',#372203,0.96); #70532=CIRCLE('',#372210,0.81); #70533=CIRCLE('',#372211,0.81); #70534=CIRCLE('',#372212,0.45); #70535=CIRCLE('',#372213,0.45); #70536=CIRCLE('',#372217,0.81); #70537=CIRCLE('',#372218,0.81); #70538=CIRCLE('',#372224,0.96); #70539=CIRCLE('',#372225,0.96); #70540=CIRCLE('',#372228,0.96); #70541=CIRCLE('',#372229,0.96); #70542=CIRCLE('',#372231,0.96); #70543=CIRCLE('',#372235,0.45); #70544=CIRCLE('',#372236,0.45); #70545=CIRCLE('',#372237,0.45); #70546=CIRCLE('',#372240,0.45); #70547=CIRCLE('',#372241,0.45); #70548=CIRCLE('',#372242,0.45); #70549=CIRCLE('',#372245,0.22); #70550=CIRCLE('',#372246,0.22); #70551=CIRCLE('',#372247,0.22); #70552=CIRCLE('',#372248,0.22); #70553=CIRCLE('',#372252,0.250000000000004); #70554=CIRCLE('',#372253,0.250000000000004); #70555=CIRCLE('',#372260,0.250000000000002); #70556=CIRCLE('',#372261,0.250000000000002); #70557=CIRCLE('',#372312,0.25); #70558=CIRCLE('',#372313,0.25); #70559=CIRCLE('',#372315,0.25); #70560=CIRCLE('',#372317,0.15); #70561=CIRCLE('',#372319,0.25); #70562=CIRCLE('',#372321,0.15); #70563=CIRCLE('',#372327,0.825); #70564=CIRCLE('',#372328,0.71); #70565=CIRCLE('',#372418,0.22); #70566=CIRCLE('',#372419,0.08); #70567=CIRCLE('',#372420,0.2); #70568=CIRCLE('',#372421,0.1); #70569=CIRCLE('',#372423,0.1); #70570=CIRCLE('',#372424,0.2); #70571=CIRCLE('',#372425,0.08); #70572=CIRCLE('',#372426,0.22); #70573=CIRCLE('',#372447,0.22); #70574=CIRCLE('',#372448,0.08); #70575=CIRCLE('',#372449,0.2); #70576=CIRCLE('',#372450,0.1); #70577=CIRCLE('',#372452,0.1); #70578=CIRCLE('',#372453,0.2); #70579=CIRCLE('',#372454,0.08); #70580=CIRCLE('',#372455,0.22); #70581=CIRCLE('',#372476,0.22); #70582=CIRCLE('',#372477,0.08); #70583=CIRCLE('',#372478,0.2); #70584=CIRCLE('',#372479,0.1); #70585=CIRCLE('',#372481,0.1); #70586=CIRCLE('',#372482,0.2); #70587=CIRCLE('',#372483,0.08); #70588=CIRCLE('',#372484,0.22); #70589=CIRCLE('',#372505,0.22); #70590=CIRCLE('',#372506,0.08); #70591=CIRCLE('',#372507,0.2); #70592=CIRCLE('',#372508,0.1); #70593=CIRCLE('',#372510,0.1); #70594=CIRCLE('',#372511,0.2); #70595=CIRCLE('',#372512,0.08); #70596=CIRCLE('',#372513,0.22); #70597=CIRCLE('',#372534,0.22); #70598=CIRCLE('',#372535,0.08); #70599=CIRCLE('',#372536,0.2); #70600=CIRCLE('',#372537,0.1); #70601=CIRCLE('',#372539,0.1); #70602=CIRCLE('',#372540,0.2); #70603=CIRCLE('',#372541,0.08); #70604=CIRCLE('',#372542,0.22); #70605=CIRCLE('',#372563,0.22); #70606=CIRCLE('',#372564,0.08); #70607=CIRCLE('',#372565,0.2); #70608=CIRCLE('',#372566,0.1); #70609=CIRCLE('',#372568,0.1); #70610=CIRCLE('',#372569,0.2); #70611=CIRCLE('',#372570,0.08); #70612=CIRCLE('',#372571,0.22); #70613=CIRCLE('',#372592,0.22); #70614=CIRCLE('',#372593,0.08); #70615=CIRCLE('',#372594,0.2); #70616=CIRCLE('',#372595,0.1); #70617=CIRCLE('',#372597,0.1); #70618=CIRCLE('',#372598,0.2); #70619=CIRCLE('',#372599,0.08); #70620=CIRCLE('',#372600,0.22); #70621=CIRCLE('',#372621,0.1); #70622=CIRCLE('',#372622,0.2); #70623=CIRCLE('',#372623,0.08); #70624=CIRCLE('',#372624,0.22); #70625=CIRCLE('',#372626,0.22); #70626=CIRCLE('',#372627,0.08); #70627=CIRCLE('',#372628,0.2); #70628=CIRCLE('',#372629,0.1); #70629=CIRCLE('',#372650,0.1); #70630=CIRCLE('',#372651,0.2); #70631=CIRCLE('',#372652,0.08); #70632=CIRCLE('',#372653,0.22); #70633=CIRCLE('',#372655,0.22); #70634=CIRCLE('',#372656,0.08); #70635=CIRCLE('',#372657,0.2); #70636=CIRCLE('',#372658,0.1); #70637=CIRCLE('',#372679,0.1); #70638=CIRCLE('',#372680,0.2); #70639=CIRCLE('',#372681,0.08); #70640=CIRCLE('',#372682,0.22); #70641=CIRCLE('',#372684,0.22); #70642=CIRCLE('',#372685,0.08); #70643=CIRCLE('',#372686,0.2); #70644=CIRCLE('',#372687,0.1); #70645=CIRCLE('',#372708,0.1); #70646=CIRCLE('',#372709,0.2); #70647=CIRCLE('',#372710,0.08); #70648=CIRCLE('',#372711,0.22); #70649=CIRCLE('',#372713,0.22); #70650=CIRCLE('',#372714,0.08); #70651=CIRCLE('',#372715,0.2); #70652=CIRCLE('',#372716,0.1); #70653=CIRCLE('',#372737,0.1); #70654=CIRCLE('',#372738,0.2); #70655=CIRCLE('',#372739,0.08); #70656=CIRCLE('',#372740,0.22); #70657=CIRCLE('',#372742,0.22); #70658=CIRCLE('',#372743,0.08); #70659=CIRCLE('',#372744,0.2); #70660=CIRCLE('',#372745,0.1); #70661=CIRCLE('',#372766,0.1); #70662=CIRCLE('',#372767,0.2); #70663=CIRCLE('',#372768,0.08); #70664=CIRCLE('',#372769,0.22); #70665=CIRCLE('',#372771,0.22); #70666=CIRCLE('',#372772,0.08); #70667=CIRCLE('',#372773,0.2); #70668=CIRCLE('',#372774,0.1); #70669=CIRCLE('',#372795,0.1); #70670=CIRCLE('',#372796,0.2); #70671=CIRCLE('',#372797,0.08); #70672=CIRCLE('',#372798,0.22); #70673=CIRCLE('',#372800,0.22); #70674=CIRCLE('',#372801,0.08); #70675=CIRCLE('',#372802,0.2); #70676=CIRCLE('',#372803,0.1); #70677=CIRCLE('',#372827,0.099340716866279); #70678=CIRCLE('',#372828,0.099340716866279); #70679=CIRCLE('',#372833,0.2); #70680=CIRCLE('',#372834,0.2); #70681=CIRCLE('',#372837,0.07); #70682=CIRCLE('',#372838,0.07); #70683=CIRCLE('',#372840,0.07); #70684=CIRCLE('',#372841,0.07); #70685=CIRCLE('',#372844,0.0810588235294118); #70686=CIRCLE('',#372845,0.0810588235294118); #70687=CIRCLE('',#372848,0.0810588235294118); #70688=CIRCLE('',#372849,0.0810588235294118); #70689=CIRCLE('',#372858,0.0810588235294118); #70690=CIRCLE('',#372859,0.0810588235294118); #70691=CIRCLE('',#372862,0.0810588235294118); #70692=CIRCLE('',#372863,0.0810588235294118); #70693=CIRCLE('',#372866,0.07); #70694=CIRCLE('',#372867,0.07); #70695=CIRCLE('',#372869,0.07); #70696=CIRCLE('',#372870,0.07); #70697=CIRCLE('',#372873,0.2); #70698=CIRCLE('',#372874,0.2); #70699=CIRCLE('',#372879,0.099340716866279); #70700=CIRCLE('',#372880,0.099340716866279); #70701=CIRCLE('',#372888,0.14); #70702=CIRCLE('',#372889,0.14); #70703=CIRCLE('',#372890,0.14); #70704=CIRCLE('',#372891,0.14); #70705=CIRCLE('',#372892,0.14); #70706=CIRCLE('',#372893,0.14); #70707=CIRCLE('',#372894,0.14); #70708=CIRCLE('',#372895,0.14); #70709=CIRCLE('',#372896,0.2); #70710=CIRCLE('',#372897,0.15); #70711=CIRCLE('',#372898,0.2); #70712=CIRCLE('',#372899,0.2); #70713=CIRCLE('',#372900,0.2); #70714=CIRCLE('',#372901,0.2); #70715=CIRCLE('',#372902,0.203418496667774); #70716=CIRCLE('',#372903,0.203418496667774); #70717=CIRCLE('',#372904,0.15); #70718=CIRCLE('',#372906,0.14); #70719=CIRCLE('',#372907,0.15); #70720=CIRCLE('',#372908,0.203418496667774); #70721=CIRCLE('',#372909,0.203418496667774); #70722=CIRCLE('',#372910,0.2); #70723=CIRCLE('',#372911,0.2); #70724=CIRCLE('',#372912,0.2); #70725=CIRCLE('',#372913,0.2); #70726=CIRCLE('',#372914,0.15); #70727=CIRCLE('',#372915,0.2); #70728=CIRCLE('',#372916,0.14); #70729=CIRCLE('',#372917,0.14); #70730=CIRCLE('',#372918,0.14); #70731=CIRCLE('',#372919,0.14); #70732=CIRCLE('',#372920,0.14); #70733=CIRCLE('',#372921,0.14); #70734=CIRCLE('',#372922,0.14); #70735=CIRCLE('',#372926,0.15); #70736=CIRCLE('',#372927,0.15); #70737=CIRCLE('',#372929,0.15); #70738=CIRCLE('',#372930,0.15); #70739=CIRCLE('',#372932,0.15); #70740=CIRCLE('',#372933,0.15); #70741=CIRCLE('',#372935,0.2); #70742=CIRCLE('',#372936,0.2); #70743=CIRCLE('',#372937,0.2); #70744=CIRCLE('',#372938,0.143940075739726); #70745=CIRCLE('',#372939,0.199862831858373); #70746=CIRCLE('',#372940,0.199862831858373); #70747=CIRCLE('',#372941,0.143940075739726); #70748=CIRCLE('',#372942,0.200511249999998); #70749=CIRCLE('',#372943,0.200511249999998); #70750=CIRCLE('',#372944,0.2); #70751=CIRCLE('',#372945,0.156782950094636); #70752=CIRCLE('',#372946,0.196634615384615); #70753=CIRCLE('',#372947,0.196634615384615); #70754=CIRCLE('',#372948,0.15678295009463); #70755=CIRCLE('',#372949,0.1425625); #70756=CIRCLE('',#372950,0.0999670307511854); #70757=CIRCLE('',#372951,0.146360174065558); #70758=CIRCLE('',#372952,0.15); #70759=CIRCLE('',#372953,0.2); #70760=CIRCLE('',#372955,0.15); #70761=CIRCLE('',#372956,0.45); #70762=CIRCLE('',#372958,0.15); #70763=CIRCLE('',#372959,0.203418496667774); #70764=CIRCLE('',#372960,0.203418496667774); #70765=CIRCLE('',#372961,0.2); #70766=CIRCLE('',#372962,0.2); #70767=CIRCLE('',#372963,0.2); #70768=CIRCLE('',#372964,0.2); #70769=CIRCLE('',#372965,0.15); #70770=CIRCLE('',#372966,0.2); #70771=CIRCLE('',#372967,0.15); #70772=CIRCLE('',#372968,0.13); #70773=CIRCLE('',#372969,0.13); #70774=CIRCLE('',#372970,0.15); #70775=CIRCLE('',#372971,0.15); #70776=CIRCLE('',#372972,0.13); #70777=CIRCLE('',#372973,0.13); #70778=CIRCLE('',#372974,0.15); #70779=CIRCLE('',#372984,1.28); #70780=CIRCLE('',#372985,0.98); #70781=CIRCLE('',#372987,0.200511249999998); #70782=CIRCLE('',#372988,0.200511249999998); #70783=CIRCLE('',#372989,0.143940075739726); #70784=CIRCLE('',#372990,0.199862831858373); #70785=CIRCLE('',#372991,0.199862831858373); #70786=CIRCLE('',#372992,0.143940075739726); #70787=CIRCLE('',#372993,0.2); #70788=CIRCLE('',#372994,0.2); #70789=CIRCLE('',#372995,0.2); #70790=CIRCLE('',#372996,0.2); #70791=CIRCLE('',#372997,0.15); #70792=CIRCLE('',#372998,0.146360174065558); #70793=CIRCLE('',#372999,0.0999670307511854); #70794=CIRCLE('',#373000,0.1425625); #70795=CIRCLE('',#373001,0.15678295009463); #70796=CIRCLE('',#373002,0.196634615384615); #70797=CIRCLE('',#373003,0.196634615384615); #70798=CIRCLE('',#373004,0.156782950094636); #70799=CIRCLE('',#373005,0.2); #70800=CIRCLE('',#373012,0.2); #70801=CIRCLE('',#373013,0.156782950094636); #70802=CIRCLE('',#373014,0.196634615384615); #70803=CIRCLE('',#373015,0.196634615384615); #70804=CIRCLE('',#373016,0.15678295009463); #70805=CIRCLE('',#373017,0.1425625); #70806=CIRCLE('',#373018,0.0999670307511854); #70807=CIRCLE('',#373019,0.146360174065558); #70808=CIRCLE('',#373020,0.15); #70809=CIRCLE('',#373021,0.2); #70810=CIRCLE('',#373022,0.2); #70811=CIRCLE('',#373023,0.2); #70812=CIRCLE('',#373024,0.2); #70813=CIRCLE('',#373025,0.143940075739726); #70814=CIRCLE('',#373026,0.199862831858373); #70815=CIRCLE('',#373027,0.199862831858373); #70816=CIRCLE('',#373028,0.143940075739726); #70817=CIRCLE('',#373029,0.15); #70818=CIRCLE('',#373030,0.15); #70819=CIRCLE('',#373036,0.15); #70820=CIRCLE('',#373037,0.15); #70821=CIRCLE('',#373038,0.13); #70822=CIRCLE('',#373039,0.13); #70823=CIRCLE('',#373040,0.15); #70824=CIRCLE('',#373041,0.15); #70825=CIRCLE('',#373042,0.13); #70826=CIRCLE('',#373043,0.13); #70827=CIRCLE('',#373044,0.15); #70828=CIRCLE('',#373045,0.2); #70829=CIRCLE('',#373046,0.15); #70830=CIRCLE('',#373047,0.2); #70831=CIRCLE('',#373048,0.2); #70832=CIRCLE('',#373049,0.2); #70833=CIRCLE('',#373050,0.2); #70834=CIRCLE('',#373051,0.203418496667774); #70835=CIRCLE('',#373052,0.203418496667774); #70836=CIRCLE('',#373054,0.98); #70837=CIRCLE('',#373055,0.98); #70838=CIRCLE('',#373057,0.98); #70839=CIRCLE('',#373058,0.98); #70840=CIRCLE('',#373060,1.28); #70841=CIRCLE('',#373061,1.28); #70842=CIRCLE('',#373063,1.28); #70843=CIRCLE('',#373064,1.28); #70844=CIRCLE('',#373066,0.98); #70845=CIRCLE('',#373067,0.98); #70846=CIRCLE('',#373069,1.28); #70847=CIRCLE('',#373070,1.28); #70848=CIRCLE('',#373074,0.98); #70849=CIRCLE('',#373075,1.28); #70850=CIRCLE('',#373078,1.08); #70851=CIRCLE('',#373079,1.08); #70852=CIRCLE('',#373080,1.08); #70853=CIRCLE('',#373081,1.08); #70854=CIRCLE('',#373082,1.28); #70855=CIRCLE('',#373083,1.28); #70856=CIRCLE('',#373085,0.98); #70857=CIRCLE('',#373087,0.98); #70858=CIRCLE('',#373089,0.98); #70859=CIRCLE('',#373090,0.98); #70860=CIRCLE('',#373092,0.143940075739726); #70861=CIRCLE('',#373093,0.199862831858373); #70862=CIRCLE('',#373094,0.199862831858373); #70863=CIRCLE('',#373095,0.143940075739726); #70864=CIRCLE('',#373096,0.2); #70865=CIRCLE('',#373097,0.2); #70866=CIRCLE('',#373098,0.2); #70867=CIRCLE('',#373099,0.2); #70868=CIRCLE('',#373100,0.15); #70869=CIRCLE('',#373101,0.146360174065558); #70870=CIRCLE('',#373102,0.0999670307511854); #70871=CIRCLE('',#373103,0.1425625); #70872=CIRCLE('',#373104,0.15678295009463); #70873=CIRCLE('',#373105,0.196634615384615); #70874=CIRCLE('',#373106,0.196634615384615); #70875=CIRCLE('',#373107,0.156782950094636); #70876=CIRCLE('',#373108,0.2); #70877=CIRCLE('',#373109,0.15); #70878=CIRCLE('',#373110,0.15); #70879=CIRCLE('',#373113,0.15); #70880=CIRCLE('',#373114,0.15); #70881=CIRCLE('',#373117,1.28); #70882=CIRCLE('',#373118,1.28); #70883=CIRCLE('',#373124,0.45); #70884=CIRCLE('',#373125,0.15); #70885=CIRCLE('',#373212,0.410719203494586); #70886=CIRCLE('',#373213,0.103126984126984); #70887=CIRCLE('',#373214,0.103126984126984); #70888=CIRCLE('',#373215,0.410719203494586); #70889=CIRCLE('',#373216,0.110719203494586); #70890=CIRCLE('',#373217,0.403126984126984); #70891=CIRCLE('',#373218,0.403126984126984); #70892=CIRCLE('',#373219,0.110719203494586); #70893=CIRCLE('',#373221,0.403126984126984); #70894=CIRCLE('',#373222,0.403126984126984); #70895=CIRCLE('',#373223,0.110719203494586); #70896=CIRCLE('',#373224,0.410719203494586); #70897=CIRCLE('',#373225,0.103126984126984); #70898=CIRCLE('',#373226,0.103126984126984); #70899=CIRCLE('',#373227,0.410719203494586); #70900=CIRCLE('',#373228,0.110719203494586); #70901=CIRCLE('',#373248,0.410719203494586); #70902=CIRCLE('',#373249,0.103126984126984); #70903=CIRCLE('',#373250,0.103126984126984); #70904=CIRCLE('',#373251,0.410719203494586); #70905=CIRCLE('',#373252,0.110719203494586); #70906=CIRCLE('',#373253,0.403126984126984); #70907=CIRCLE('',#373254,0.403126984126984); #70908=CIRCLE('',#373255,0.110719203494586); #70909=CIRCLE('',#373257,0.403126984126984); #70910=CIRCLE('',#373258,0.403126984126984); #70911=CIRCLE('',#373259,0.110719203494586); #70912=CIRCLE('',#373260,0.410719203494586); #70913=CIRCLE('',#373261,0.103126984126984); #70914=CIRCLE('',#373262,0.103126984126984); #70915=CIRCLE('',#373263,0.410719203494586); #70916=CIRCLE('',#373264,0.110719203494586); #70917=CIRCLE('',#373281,0.124613333333333); #70918=CIRCLE('',#373282,0.124613333333333); #70919=CIRCLE('',#373285,0.124613333333333); #70920=CIRCLE('',#373286,0.124613333333333); #70921=CIRCLE('',#373288,0.15); #70922=CIRCLE('',#373290,0.15); #70923=CIRCLE('',#373295,0.15); #70924=CIRCLE('',#373296,0.15); #70925=CIRCLE('',#373299,0.15); #70926=CIRCLE('',#373300,0.15); #70927=CIRCLE('',#373303,0.15); #70928=CIRCLE('',#373305,0.15); #70929=CIRCLE('',#373352,0.410719203494586); #70930=CIRCLE('',#373353,0.103126984126984); #70931=CIRCLE('',#373354,0.103126984126984); #70932=CIRCLE('',#373355,0.410719203494586); #70933=CIRCLE('',#373356,0.110719203494586); #70934=CIRCLE('',#373357,0.403126984126984); #70935=CIRCLE('',#373358,0.403126984126984); #70936=CIRCLE('',#373359,0.110719203494586); #70937=CIRCLE('',#373361,0.403126984126984); #70938=CIRCLE('',#373362,0.403126984126984); #70939=CIRCLE('',#373363,0.110719203494586); #70940=CIRCLE('',#373364,0.410719203494586); #70941=CIRCLE('',#373365,0.103126984126984); #70942=CIRCLE('',#373366,0.103126984126984); #70943=CIRCLE('',#373367,0.410719203494586); #70944=CIRCLE('',#373368,0.110719203494586); #70945=CIRCLE('',#373388,0.410719203494586); #70946=CIRCLE('',#373389,0.103126984126984); #70947=CIRCLE('',#373390,0.103126984126984); #70948=CIRCLE('',#373391,0.410719203494586); #70949=CIRCLE('',#373392,0.110719203494586); #70950=CIRCLE('',#373393,0.403126984126984); #70951=CIRCLE('',#373394,0.403126984126984); #70952=CIRCLE('',#373395,0.110719203494586); #70953=CIRCLE('',#373397,0.403126984126984); #70954=CIRCLE('',#373398,0.403126984126984); #70955=CIRCLE('',#373399,0.110719203494586); #70956=CIRCLE('',#373400,0.410719203494586); #70957=CIRCLE('',#373401,0.103126984126984); #70958=CIRCLE('',#373402,0.103126984126984); #70959=CIRCLE('',#373403,0.410719203494586); #70960=CIRCLE('',#373404,0.110719203494586); #70961=CIRCLE('',#373420,0.124613333333333); #70962=CIRCLE('',#373421,0.124613333333333); #70963=CIRCLE('',#373424,0.124613333333333); #70964=CIRCLE('',#373425,0.124613333333333); #70965=CIRCLE('',#373428,0.15); #70966=CIRCLE('',#373429,0.15); #70967=CIRCLE('',#373435,0.15); #70968=CIRCLE('',#373436,0.15); #70969=CIRCLE('',#373438,0.15); #70970=CIRCLE('',#373439,0.15); #70971=CIRCLE('',#373443,0.15); #70972=CIRCLE('',#373445,0.15); #70973=CIRCLE('',#373455,0.2); #70974=CIRCLE('',#373458,0.132018518518511); #70975=CIRCLE('',#373459,0.132018518518511); #70976=CIRCLE('',#373461,0.148012635933508); #70977=CIRCLE('',#373462,0.148012635933508); #70978=CIRCLE('',#373464,0.11087204124655); #70979=CIRCLE('',#373465,0.11087204124655); #70980=CIRCLE('',#373468,0.11087204124655); #70981=CIRCLE('',#373469,0.11087204124655); #70982=CIRCLE('',#373471,0.148012635933508); #70983=CIRCLE('',#373472,0.148012635933508); #70984=CIRCLE('',#373474,0.132018518518511); #70985=CIRCLE('',#373475,0.132018518518511); #70986=CIRCLE('',#373478,0.15); #70987=CIRCLE('',#373479,0.15); #70988=CIRCLE('',#373482,0.15); #70989=CIRCLE('',#373483,0.15); #70990=CIRCLE('',#373486,0.132018518518511); #70991=CIRCLE('',#373487,0.132018518518511); #70992=CIRCLE('',#373489,0.148012635933508); #70993=CIRCLE('',#373490,0.148012635933508); #70994=CIRCLE('',#373492,0.11087204124655); #70995=CIRCLE('',#373493,0.11087204124655); #70996=CIRCLE('',#373496,0.11087204124655); #70997=CIRCLE('',#373497,0.11087204124655); #70998=CIRCLE('',#373499,0.148012635933508); #70999=CIRCLE('',#373500,0.148012635933508); #71000=CIRCLE('',#373502,0.132018518518511); #71001=CIRCLE('',#373503,0.132018518518511); #71002=CIRCLE('',#373506,0.15); #71003=CIRCLE('',#373507,0.15); #71004=CIRCLE('',#373510,0.15); #71005=CIRCLE('',#373511,0.15); #71006=CIRCLE('',#373515,0.2); #71007=CIRCLE('',#373517,0.15); #71008=CIRCLE('',#373518,0.45); #71009=CIRCLE('',#373520,0.2); #71010=CIRCLE('',#373529,0.2); #71011=CIRCLE('',#373532,0.45); #71012=CIRCLE('',#373533,0.15); #71013=CIRCLE('',#373535,0.15); #71014=CIRCLE('',#373539,0.15); #71015=CIRCLE('',#373542,0.148); #71016=CIRCLE('',#373543,0.148); #71017=CIRCLE('',#373545,0.292004733994588); #71018=CIRCLE('',#373546,0.292004733994588); #71019=CIRCLE('',#373548,1.15304609186865); #71020=CIRCLE('',#373549,1.15304609186865); #71021=CIRCLE('',#373551,0.45); #71022=CIRCLE('',#373554,0.14737794976545); #71023=CIRCLE('',#373555,0.14737794976545); #71024=CIRCLE('',#373558,0.14737794976545); #71025=CIRCLE('',#373559,0.14737794976545); #71026=CIRCLE('',#373562,0.144226016739943); #71027=CIRCLE('',#373563,0.144226016739943); #71028=CIRCLE('',#373565,0.176676870506421); #71029=CIRCLE('',#373567,0.176676870506421); #71030=CIRCLE('',#373571,0.176676870506421); #71031=CIRCLE('',#373572,0.176676870506421); #71032=CIRCLE('',#373574,0.144226016739943); #71033=CIRCLE('',#373575,0.144226016739943); #71034=CIRCLE('',#373578,0.144226016739943); #71035=CIRCLE('',#373579,0.144226016739943); #71036=CIRCLE('',#373581,0.176676870506421); #71037=CIRCLE('',#373583,0.176676870506421); #71038=CIRCLE('',#373587,0.176676870506421); #71039=CIRCLE('',#373588,0.176676870506421); #71040=CIRCLE('',#373590,0.144226016739943); #71041=CIRCLE('',#373591,0.144226016739943); #71042=CIRCLE('',#373594,0.14737794976545); #71043=CIRCLE('',#373595,0.14737794976545); #71044=CIRCLE('',#373598,0.14737794976545); #71045=CIRCLE('',#373599,0.14737794976545); #71046=CIRCLE('',#373601,0.45); #71047=CIRCLE('',#373604,1.15304609186865); #71048=CIRCLE('',#373605,1.15304609186865); #71049=CIRCLE('',#373607,0.292004733994588); #71050=CIRCLE('',#373608,0.292004733994588); #71051=CIRCLE('',#373610,0.148); #71052=CIRCLE('',#373611,0.148); #71053=CIRCLE('',#373615,0.15); #71054=CIRCLE('',#373616,0.15); #71055=CIRCLE('',#373618,0.15); #71056=CIRCLE('',#373619,0.15); #71057=CIRCLE('',#373621,0.15); #71058=CIRCLE('',#373622,0.45); #71059=CIRCLE('',#373624,0.15); #71060=CIRCLE('',#373625,0.15); #71061=CIRCLE('',#373627,0.15); #71062=CIRCLE('',#373629,0.15); #71063=CIRCLE('',#373632,0.45); #71064=CIRCLE('',#373633,0.15); #71065=CIRCLE('',#373637,0.15); #71066=CIRCLE('',#373638,0.45); #71067=CIRCLE('',#373642,0.15); #71068=CIRCLE('',#373643,0.45); #71069=CIRCLE('',#373679,0.22); #71070=CIRCLE('',#373680,0.08); #71071=CIRCLE('',#373681,0.2); #71072=CIRCLE('',#373682,0.1); #71073=CIRCLE('',#373684,0.1); #71074=CIRCLE('',#373685,0.2); #71075=CIRCLE('',#373686,0.08); #71076=CIRCLE('',#373687,0.22); #71077=CIRCLE('',#373743,0.499999992739); #71078=CIRCLE('',#373745,0.499999992739); #71079=CIRCLE('',#373747,0.999999985477); #71080=CIRCLE('',#373748,0.999999985477); #71081=CIRCLE('',#373751,0.999999985477); #71082=CIRCLE('',#373752,0.999999985477); #71083=CIRCLE('',#373754,0.499999992739); #71084=CIRCLE('',#373757,0.499999992739); #71085=CIRCLE('',#373823,0.04); #71086=CIRCLE('',#373824,0.04); #71087=CIRCLE('',#373825,0.04); #71088=CIRCLE('',#373827,0.04); #71089=CIRCLE('',#373828,0.04); #71090=CIRCLE('',#373829,0.04); #71091=CIRCLE('',#373831,0.04); #71092=CIRCLE('',#373832,0.04); #71093=CIRCLE('',#373833,0.04); #71094=CIRCLE('',#373835,0.04); #71095=CIRCLE('',#373836,0.04); #71096=CIRCLE('',#373837,0.04); #71097=CIRCLE('',#373839,0.04); #71098=CIRCLE('',#373840,0.04); #71099=CIRCLE('',#373841,0.04); #71100=CIRCLE('',#373844,0.04); #71101=CIRCLE('',#373845,0.04); #71102=CIRCLE('',#373846,0.04); #71103=CIRCLE('',#373848,0.04); #71104=CIRCLE('',#373849,0.04); #71105=CIRCLE('',#373850,0.04); #71106=CIRCLE('',#373854,0.04); #71107=CIRCLE('',#373855,0.04); #71108=CIRCLE('',#373856,0.04); #71109=CIRCLE('',#373873,0.04); #71110=CIRCLE('',#373874,0.04); #71111=CIRCLE('',#373875,0.04); #71112=CIRCLE('',#373877,0.04); #71113=CIRCLE('',#373878,0.04); #71114=CIRCLE('',#373879,0.04); #71115=CIRCLE('',#373881,0.04); #71116=CIRCLE('',#373882,0.04); #71117=CIRCLE('',#373883,0.04); #71118=CIRCLE('',#373885,0.04); #71119=CIRCLE('',#373886,0.04); #71120=CIRCLE('',#373887,0.04); #71121=CIRCLE('',#373889,0.04); #71122=CIRCLE('',#373890,0.04); #71123=CIRCLE('',#373891,0.04); #71124=CIRCLE('',#373894,0.04); #71125=CIRCLE('',#373895,0.04); #71126=CIRCLE('',#373896,0.04); #71127=CIRCLE('',#373898,0.04); #71128=CIRCLE('',#373899,0.04); #71129=CIRCLE('',#373900,0.04); #71130=CIRCLE('',#373904,0.04); #71131=CIRCLE('',#373905,0.04); #71132=CIRCLE('',#373906,0.04); #71133=CIRCLE('',#373954,0.0319999999999999); #71134=CIRCLE('',#373955,0.032); #71135=CIRCLE('',#373956,0.0319999999999999); #71136=CIRCLE('',#373958,0.032); #71137=CIRCLE('',#373959,0.032); #71138=CIRCLE('',#373960,0.0319999999999999); #71139=CIRCLE('',#373962,0.0319999999999999); #71140=CIRCLE('',#373963,0.032); #71141=CIRCLE('',#373964,0.032); #71142=CIRCLE('',#373966,0.032); #71143=CIRCLE('',#373967,0.0319999999999999); #71144=CIRCLE('',#373968,0.0319999999999999); #71145=CIRCLE('',#373970,0.032); #71146=CIRCLE('',#373971,0.032); #71147=CIRCLE('',#373972,0.032); #71148=CIRCLE('',#373975,0.032); #71149=CIRCLE('',#373976,0.032); #71150=CIRCLE('',#373977,0.0319999999999999); #71151=CIRCLE('',#373979,0.032); #71152=CIRCLE('',#373980,0.0319999999999999); #71153=CIRCLE('',#373981,0.032); #71154=CIRCLE('',#373985,0.0320000000000001); #71155=CIRCLE('',#373986,0.032); #71156=CIRCLE('',#373987,0.032); #71157=CIRCLE('',#374004,0.032); #71158=CIRCLE('',#374005,0.032); #71159=CIRCLE('',#374006,0.0319999999999999); #71160=CIRCLE('',#374008,0.032); #71161=CIRCLE('',#374009,0.0319999999999999); #71162=CIRCLE('',#374010,0.0319999999999999); #71163=CIRCLE('',#374012,0.0320000000000001); #71164=CIRCLE('',#374013,0.032); #71165=CIRCLE('',#374014,0.032); #71166=CIRCLE('',#374016,0.032); #71167=CIRCLE('',#374017,0.032); #71168=CIRCLE('',#374018,0.0319999999999999); #71169=CIRCLE('',#374020,0.032); #71170=CIRCLE('',#374021,0.032); #71171=CIRCLE('',#374022,0.0319999999999999); #71172=CIRCLE('',#374025,0.0319999999999999); #71173=CIRCLE('',#374026,0.032); #71174=CIRCLE('',#374027,0.0319999999999999); #71175=CIRCLE('',#374029,0.032); #71176=CIRCLE('',#374030,0.0319999999999999); #71177=CIRCLE('',#374031,0.032); #71178=CIRCLE('',#374035,0.0319999999999999); #71179=CIRCLE('',#374036,0.032); #71180=CIRCLE('',#374037,0.032); #71181=CIRCLE('',#374063,0.04); #71182=CIRCLE('',#374064,0.04); #71183=CIRCLE('',#374065,0.04); #71184=CIRCLE('',#374067,0.04); #71185=CIRCLE('',#374068,0.04); #71186=CIRCLE('',#374069,0.04); #71187=CIRCLE('',#374071,0.04); #71188=CIRCLE('',#374072,0.04); #71189=CIRCLE('',#374073,0.04); #71190=CIRCLE('',#374075,0.04); #71191=CIRCLE('',#374076,0.04); #71192=CIRCLE('',#374077,0.04); #71193=CIRCLE('',#374079,0.04); #71194=CIRCLE('',#374080,0.04); #71195=CIRCLE('',#374081,0.04); #71196=CIRCLE('',#374084,0.04); #71197=CIRCLE('',#374085,0.04); #71198=CIRCLE('',#374086,0.04); #71199=CIRCLE('',#374088,0.04); #71200=CIRCLE('',#374089,0.0399999999999998); #71201=CIRCLE('',#374090,0.04); #71202=CIRCLE('',#374094,0.0399999999999999); #71203=CIRCLE('',#374095,0.04); #71204=CIRCLE('',#374096,0.04); #71205=CIRCLE('',#374113,0.04); #71206=CIRCLE('',#374114,0.04); #71207=CIRCLE('',#374115,0.04); #71208=CIRCLE('',#374117,0.04); #71209=CIRCLE('',#374118,0.04); #71210=CIRCLE('',#374119,0.04); #71211=CIRCLE('',#374121,0.0399999999999999); #71212=CIRCLE('',#374122,0.04); #71213=CIRCLE('',#374123,0.04); #71214=CIRCLE('',#374125,0.04); #71215=CIRCLE('',#374126,0.04); #71216=CIRCLE('',#374127,0.04); #71217=CIRCLE('',#374129,0.04); #71218=CIRCLE('',#374130,0.04); #71219=CIRCLE('',#374131,0.0399999999999998); #71220=CIRCLE('',#374134,0.04); #71221=CIRCLE('',#374135,0.04); #71222=CIRCLE('',#374136,0.04); #71223=CIRCLE('',#374138,0.04); #71224=CIRCLE('',#374139,0.0400000000000002); #71225=CIRCLE('',#374140,0.04); #71226=CIRCLE('',#374144,0.04); #71227=CIRCLE('',#374145,0.04); #71228=CIRCLE('',#374146,0.04); #71229=CIRCLE('',#374172,3.11666666666667); #71230=CIRCLE('',#374173,3.11666666666667); #71231=CIRCLE('',#374176,4.10833333333333); #71232=CIRCLE('',#374178,4.25); #71233=CIRCLE('',#374179,0.141666666666667); #71234=CIRCLE('',#374181,4.25); #71235=CIRCLE('',#374183,4.14375); #71236=CIRCLE('',#374184,0.141666666666667); #71237=CIRCLE('',#374186,0.425000000000001); #71238=CIRCLE('',#374187,4.14375); #71239=CIRCLE('',#374189,4.25); #71240=CIRCLE('',#374190,0.141666666666667); #71241=CIRCLE('',#374192,4.25); #71242=CIRCLE('',#374194,4.10833333333333); #71243=CIRCLE('',#374195,0.141666666666667); #71244=CIRCLE('',#374198,0.141666666666666); #71245=CIRCLE('',#374199,0.415); #71246=CIRCLE('',#374200,0.141666666666667); #71247=CIRCLE('',#374201,0.141666666666667); #71248=CIRCLE('',#374202,0.141666666666667); #71249=CIRCLE('',#374203,0.141666666666667); #71250=CIRCLE('',#374204,0.425000000000001); #71251=CIRCLE('',#374205,0.141666666666667); #71252=CIRCLE('',#374206,0.141666666666667); #71253=CIRCLE('',#374207,0.141666666666667); #71254=CIRCLE('',#374209,4.16438622754498); #71255=CIRCLE('',#374210,0.415); #71256=CIRCLE('',#374211,4.16438622754498); #71257=CIRCLE('',#374213,0.141666666666667); #71258=CIRCLE('',#374214,4.26999999999998); #71259=CIRCLE('',#374216,4.26999999999998); #71260=CIRCLE('',#374218,0.141666666666667); #71261=CIRCLE('',#374219,4.12833333333331); #71262=CIRCLE('',#374221,4.10833333333342); #71263=CIRCLE('',#374223,0.141666666666667); #71264=CIRCLE('',#374224,4.25); #71265=CIRCLE('',#374226,4.25); #71266=CIRCLE('',#374228,0.141666666666667); #71267=CIRCLE('',#374229,4.14374999999993); #71268=CIRCLE('',#374231,0.425000000000001); #71269=CIRCLE('',#374232,4.14374999999993); #71270=CIRCLE('',#374234,0.141666666666667); #71271=CIRCLE('',#374235,4.25); #71272=CIRCLE('',#374237,4.25); #71273=CIRCLE('',#374239,0.141666666666667); #71274=CIRCLE('',#374240,4.10833333333342); #71275=CIRCLE('',#374242,4.12833333333331); #71276=CIRCLE('',#374244,0.141666666666667); #71277=CIRCLE('',#374245,4.26999999999997); #71278=CIRCLE('',#374247,4.26999999999997); #71279=CIRCLE('',#374249,0.141666666666666); #71280=CIRCLE('',#374252,0.325); #71281=CIRCLE('',#374253,0.325); #71282=CIRCLE('',#374257,0.325); #71283=CIRCLE('',#374258,0.325); #71284=CIRCLE('',#374263,4.27050000000048); #71285=CIRCLE('',#374264,4.27150000000091); #71286=CIRCLE('',#374266,4.27150000000091); #71287=CIRCLE('',#374268,4.27050000000048); #71288=CIRCLE('',#374273,4.27050000000048); #71289=CIRCLE('',#374274,4.27150000000091); #71290=CIRCLE('',#374276,4.27150000000091); #71291=CIRCLE('',#374278,4.27050000000048); #71292=CIRCLE('',#374283,4.27050000000048); #71293=CIRCLE('',#374284,4.27150000000091); #71294=CIRCLE('',#374286,4.27150000000091); #71295=CIRCLE('',#374288,4.27050000000048); #71296=CIRCLE('',#374293,4.27050000000048); #71297=CIRCLE('',#374294,4.27150000000091); #71298=CIRCLE('',#374296,4.27150000000091); #71299=CIRCLE('',#374298,4.27050000000048); #71300=CIRCLE('',#374303,4.27050000000048); #71301=CIRCLE('',#374304,4.27150000000091); #71302=CIRCLE('',#374306,4.27150000000091); #71303=CIRCLE('',#374308,4.27050000000048); #71304=CIRCLE('',#374313,4.27050000000048); #71305=CIRCLE('',#374314,4.27150000000091); #71306=CIRCLE('',#374316,4.27150000000091); #71307=CIRCLE('',#374318,4.27050000000048); #71308=CIRCLE('',#374323,4.27050000000048); #71309=CIRCLE('',#374324,4.27150000000091); #71310=CIRCLE('',#374326,4.27150000000091); #71311=CIRCLE('',#374328,4.27050000000048); #71312=CIRCLE('',#374333,4.27050000000048); #71313=CIRCLE('',#374334,4.27150000000091); #71314=CIRCLE('',#374336,4.27150000000091); #71315=CIRCLE('',#374338,4.27050000000048); #71316=CIRCLE('',#374343,4.27050000000048); #71317=CIRCLE('',#374344,4.27150000000091); #71318=CIRCLE('',#374346,4.27150000000091); #71319=CIRCLE('',#374348,4.27050000000048); #71320=CIRCLE('',#374355,0.0750000000000001); #71321=CIRCLE('',#374356,0.0750000000000001); #71322=CIRCLE('',#374377,0.175); #71323=CIRCLE('',#374378,0.175); #71324=CIRCLE('',#374381,0.175); #71325=CIRCLE('',#374382,0.175); #71326=CIRCLE('',#374389,0.175); #71327=CIRCLE('',#374390,0.175); #71328=CIRCLE('',#374393,0.175); #71329=CIRCLE('',#374394,0.175); #71330=CIRCLE('',#374401,0.175); #71331=CIRCLE('',#374402,0.175); #71332=CIRCLE('',#374405,0.175); #71333=CIRCLE('',#374406,0.175); #71334=CIRCLE('',#374413,0.175); #71335=CIRCLE('',#374414,0.175); #71336=CIRCLE('',#374417,0.175); #71337=CIRCLE('',#374418,0.175); #71338=CIRCLE('',#374425,0.175); #71339=CIRCLE('',#374426,0.175); #71340=CIRCLE('',#374429,0.175); #71341=CIRCLE('',#374430,0.175); #71342=CIRCLE('',#374437,0.175); #71343=CIRCLE('',#374438,0.175); #71344=CIRCLE('',#374441,0.175); #71345=CIRCLE('',#374442,0.175); #71346=CIRCLE('',#374449,0.175); #71347=CIRCLE('',#374450,0.175); #71348=CIRCLE('',#374453,0.175); #71349=CIRCLE('',#374454,0.175); #71350=CIRCLE('',#374461,0.175); #71351=CIRCLE('',#374462,0.175); #71352=CIRCLE('',#374465,0.175); #71353=CIRCLE('',#374466,0.175); #71354=CIRCLE('',#374522,0.0750000000000001); #71355=CIRCLE('',#374523,0.0750000000000001); #71356=CIRCLE('',#374544,0.25); #71357=CIRCLE('',#374545,0.25); #71358=CIRCLE('',#374548,0.25); #71359=CIRCLE('',#374549,0.25); #71360=CIRCLE('',#374556,0.25); #71361=CIRCLE('',#374557,0.25); #71362=CIRCLE('',#374560,0.25); #71363=CIRCLE('',#374561,0.25); #71364=CIRCLE('',#374568,0.25); #71365=CIRCLE('',#374569,0.25); #71366=CIRCLE('',#374572,0.25); #71367=CIRCLE('',#374573,0.25); #71368=CIRCLE('',#374580,0.25); #71369=CIRCLE('',#374581,0.25); #71370=CIRCLE('',#374584,0.25); #71371=CIRCLE('',#374585,0.25); #71372=CIRCLE('',#374592,0.25); #71373=CIRCLE('',#374593,0.25); #71374=CIRCLE('',#374596,0.25); #71375=CIRCLE('',#374597,0.25); #71376=CIRCLE('',#374604,0.25); #71377=CIRCLE('',#374605,0.25); #71378=CIRCLE('',#374608,0.25); #71379=CIRCLE('',#374609,0.25); #71380=CIRCLE('',#374616,0.25); #71381=CIRCLE('',#374617,0.25); #71382=CIRCLE('',#374620,0.25); #71383=CIRCLE('',#374621,0.25); #71384=CIRCLE('',#374628,0.25); #71385=CIRCLE('',#374629,0.25); #71386=CIRCLE('',#374632,0.25); #71387=CIRCLE('',#374633,0.25); #71388=CIRCLE('',#374726,3.595); #71389=CIRCLE('',#374727,3.595); #71390=CIRCLE('',#374729,5.76); #71391=CIRCLE('',#374730,5.76); #71392=CIRCLE('',#374736,3.5); #71393=CIRCLE('',#374738,3.14155); #71394=CIRCLE('',#374751,3.454); #71395=CIRCLE('',#374759,3.02375); #71396=CIRCLE('',#374761,3.02375); #71397=CIRCLE('',#374777,3.); #71398=CIRCLE('',#374778,3.); #71399=CIRCLE('',#374779,3.); #71400=CIRCLE('',#374800,2.49999999999999); #71401=CIRCLE('',#374801,3.); #71402=CIRCLE('',#374803,2.49999999999999); #71403=CIRCLE('',#374804,2.49999999999999); #71404=CIRCLE('',#374805,2.49999999999999); #71405=CIRCLE('',#374806,2.49999999999999); #71406=CIRCLE('',#374807,2.49999999999999); #71407=CIRCLE('',#374808,2.49999999999999); #71408=CIRCLE('',#374809,2.49999999999999); #71409=CIRCLE('',#374811,3.); #71410=CIRCLE('',#374813,3.); #71411=CIRCLE('',#374815,3.); #71412=CIRCLE('',#374817,3.); #71413=CIRCLE('',#374819,3.); #71414=CIRCLE('',#374821,3.); #71415=CIRCLE('',#374823,3.); #71416=CIRCLE('',#374825,3.); #71417=CIRCLE('',#374827,3.); #71418=CIRCLE('',#374829,3.); #71419=CIRCLE('',#374831,3.); #71420=CIRCLE('',#374833,3.); #71421=CIRCLE('',#374835,3.); #71422=CIRCLE('',#374845,2.49999999999999); #71423=CIRCLE('',#374846,3.); #71424=CIRCLE('',#374848,2.49999999999999); #71425=CIRCLE('',#374849,3.); #71426=CIRCLE('',#374851,2.49999999999999); #71427=CIRCLE('',#374852,3.); #71428=CIRCLE('',#374854,3.); #71429=CIRCLE('',#374855,2.49999999999999); #71430=CIRCLE('',#374857,2.49999999999999); #71431=CIRCLE('',#374858,3.); #71432=CIRCLE('',#374860,2.49999999999999); #71433=CIRCLE('',#374861,3.); #71434=CIRCLE('',#374863,2.49999999999999); #71435=CIRCLE('',#374864,3.); #71436=CIRCLE('',#374866,2.49999999999999); #71437=CIRCLE('',#374868,3.); #71438=CIRCLE('',#374878,3.); #71439=CIRCLE('',#374880,3.); #71440=CIRCLE('',#374882,3.); #71441=CIRCLE('',#374884,3.); #71442=CIRCLE('',#374886,3.); #71443=CIRCLE('',#374888,3.); #71444=CIRCLE('',#374890,3.); #71445=CIRCLE('',#374892,3.); #71446=CIRCLE('',#374894,3.); #71447=CIRCLE('',#374898,0.150000000181); #71448=CIRCLE('',#374899,0.150000000181); #71449=CIRCLE('',#374901,0.400000000483); #71450=CIRCLE('',#374902,0.400000000483); #71451=CIRCLE('',#374904,0.1); #71452=CIRCLE('',#374905,0.3); #71453=CIRCLE('',#374906,0.3); #71454=CIRCLE('',#374907,0.1); #71455=CIRCLE('',#374913,0.1); #71456=CIRCLE('',#374916,0.1); #71457=CIRCLE('',#374918,0.3); #71458=CIRCLE('',#374921,0.3); #71459=CIRCLE('',#374925,0.1); #71460=CIRCLE('',#374926,0.3); #71461=CIRCLE('',#374927,0.3); #71462=CIRCLE('',#374928,0.1); #71463=CIRCLE('',#374931,0.1); #71464=CIRCLE('',#374932,0.3); #71465=CIRCLE('',#374933,0.3); #71466=CIRCLE('',#374934,0.1); #71467=CIRCLE('',#374947,0.400000000483); #71468=CIRCLE('',#374948,0.400000000483); #71469=CIRCLE('',#374950,0.150000000181); #71470=CIRCLE('',#374951,0.150000000181); #71471=CIRCLE('',#374954,0.1); #71472=CIRCLE('',#374955,0.3); #71473=CIRCLE('',#374956,0.3); #71474=CIRCLE('',#374957,0.1); #71475=CIRCLE('',#374960,0.3); #71476=CIRCLE('',#374962,0.1); #71477=CIRCLE('',#374963,0.3); #71478=CIRCLE('',#374964,0.1); #71479=CIRCLE('',#374977,0.150000000181); #71480=CIRCLE('',#374978,0.150000000181); #71481=CIRCLE('',#374980,0.400000000483); #71482=CIRCLE('',#374981,0.400000000483); #71483=CIRCLE('',#374983,0.1); #71484=CIRCLE('',#374984,0.3); #71485=CIRCLE('',#374985,0.3); #71486=CIRCLE('',#374986,0.1); #71487=CIRCLE('',#374992,0.1); #71488=CIRCLE('',#374995,0.1); #71489=CIRCLE('',#374997,0.3); #71490=CIRCLE('',#375000,0.3); #71491=CIRCLE('',#375004,0.1); #71492=CIRCLE('',#375005,0.3); #71493=CIRCLE('',#375006,0.3); #71494=CIRCLE('',#375007,0.1); #71495=CIRCLE('',#375010,0.1); #71496=CIRCLE('',#375011,0.3); #71497=CIRCLE('',#375012,0.3); #71498=CIRCLE('',#375013,0.1); #71499=CIRCLE('',#375025,0.300000000363); #71500=CIRCLE('',#375026,0.3); #71501=CIRCLE('',#375029,0.3); #71502=CIRCLE('',#375030,0.300000000363); #71503=CIRCLE('',#375032,0.3); #71504=CIRCLE('',#375033,0.300000000363); #71505=CIRCLE('',#375035,0.3); #71506=CIRCLE('',#375036,0.3); #71507=CIRCLE('',#375037,0.3); #71508=CIRCLE('',#375038,0.3); #71509=CIRCLE('',#375040,3.02375); #71510=CIRCLE('',#375042,3.454); #71511=CIRCLE('',#375058,4.5); #71512=CIRCLE('',#375059,4.5); #71513=CIRCLE('',#375062,0.3); #71514=CIRCLE('',#375063,4.5); #71515=CIRCLE('',#375068,4.5); #71516=CIRCLE('',#375071,4.5); #71517=CIRCLE('',#375072,4.5); #71518=CIRCLE('',#375078,4.5); #71519=CIRCLE('',#375079,4.5); #71520=CIRCLE('',#375082,5.000000006042); #71521=CIRCLE('',#375083,5.000000006042); #71522=CIRCLE('',#375085,0.150000000181); #71523=CIRCLE('',#375086,0.150000000181); #71524=CIRCLE('',#375090,0.3); #71525=CIRCLE('',#375091,0.3); #71526=CIRCLE('',#375094,0.3); #71527=CIRCLE('',#375095,0.3); #71528=CIRCLE('',#375099,0.150000000181); #71529=CIRCLE('',#375100,0.150000000181); #71530=CIRCLE('',#375103,0.150000000181); #71531=CIRCLE('',#375104,0.150000000181); #71532=CIRCLE('',#375112,0.150000000181); #71533=CIRCLE('',#375115,0.150000000181); #71534=CIRCLE('',#375119,0.3); #71535=CIRCLE('',#375123,0.3); #71536=CIRCLE('',#375125,0.3); #71537=CIRCLE('',#375127,0.3); #71538=CIRCLE('',#375129,0.3); #71539=CIRCLE('',#375131,0.3); #71540=CIRCLE('',#375136,0.3); #71541=CIRCLE('',#375140,0.3); #71542=CIRCLE('',#375143,0.300000000363); #71543=CIRCLE('',#375144,0.3); #71544=CIRCLE('',#375146,0.3); #71545=CIRCLE('',#375149,0.3); #71546=CIRCLE('',#375151,0.3); #71547=CIRCLE('',#375152,0.3); #71548=CIRCLE('',#375153,0.300000000363); #71549=CIRCLE('',#375154,0.3); #71550=CIRCLE('',#375155,0.3); #71551=CIRCLE('',#375156,0.300000000363); #71552=CIRCLE('',#375157,0.3); #71553=CIRCLE('',#375158,0.300000000363); #71554=CIRCLE('',#375159,0.3); #71555=CIRCLE('',#375160,0.3); #71556=CIRCLE('',#375161,0.300000000363); #71557=CIRCLE('',#375178,0.150000000181); #71558=CIRCLE('',#375179,0.150000000181); #71559=CIRCLE('',#375181,0.350000000423); #71560=CIRCLE('',#375182,0.350000000423); #71561=CIRCLE('',#375187,0.3); #71562=CIRCLE('',#375188,0.3); #71563=CIRCLE('',#375190,0.3); #71564=CIRCLE('',#375192,0.3); #71565=CIRCLE('',#375200,0.150000000181); #71566=CIRCLE('',#375201,0.150000000181); #71567=CIRCLE('',#375203,0.350000000423); #71568=CIRCLE('',#375204,0.350000000423); #71569=CIRCLE('',#375209,0.3); #71570=CIRCLE('',#375210,0.3); #71571=CIRCLE('',#375212,0.3); #71572=CIRCLE('',#375214,0.3); #71573=CIRCLE('',#375224,0.350000000423); #71574=CIRCLE('',#375225,0.150000000181); #71575=CIRCLE('',#375227,0.3); #71576=CIRCLE('',#375228,0.3); #71577=CIRCLE('',#375231,0.3); #71578=CIRCLE('',#375234,0.150000000181); #71579=CIRCLE('',#375235,0.350000000423); #71580=CIRCLE('',#375237,0.3); #71581=CIRCLE('',#375240,0.3); #71582=CIRCLE('',#375241,0.3); #71583=CIRCLE('',#375243,0.3); #71584=CIRCLE('',#375245,0.350000000423); #71585=CIRCLE('',#375246,0.150000000181); #71586=CIRCLE('',#375249,0.3); #71587=CIRCLE('',#375252,0.150000000181); #71588=CIRCLE('',#375253,0.350000000423); #71589=CIRCLE('',#375274,0.300000000363); #71590=CIRCLE('',#375275,0.300000000363); #71591=CIRCLE('',#375277,0.300000000363); #71592=CIRCLE('',#375278,0.300000000363); #71593=CIRCLE('',#375280,0.350000000423); #71594=CIRCLE('',#375281,0.150000000181); #71595=CIRCLE('',#375283,0.150000000181); #71596=CIRCLE('',#375284,0.350000000423); #71597=CIRCLE('',#375286,0.350000000423); #71598=CIRCLE('',#375287,0.350000000423); #71599=CIRCLE('',#375289,0.150000000181); #71600=CIRCLE('',#375290,0.150000000181); #71601=CIRCLE('',#375295,0.150000000181); #71602=CIRCLE('',#375296,0.150000000181); #71603=CIRCLE('',#375305,0.350000000423); #71604=CIRCLE('',#375306,0.350000000423); #71605=CIRCLE('',#375317,0.300000000363); #71606=CIRCLE('',#375318,0.300000000363); #71607=CIRCLE('',#375320,0.300000000363); #71608=CIRCLE('',#375321,0.300000000363); #71609=CIRCLE('',#375323,0.150000000181); #71610=CIRCLE('',#375324,0.350000000423); #71611=CIRCLE('',#375326,0.350000000423); #71612=CIRCLE('',#375327,0.150000000181); #71613=CIRCLE('',#375329,0.350000000423); #71614=CIRCLE('',#375330,0.350000000423); #71615=CIRCLE('',#375332,0.150000000181); #71616=CIRCLE('',#375333,0.150000000181); #71617=CIRCLE('',#375347,0.150000000181); #71618=CIRCLE('',#375348,0.350000000423); #71619=CIRCLE('',#375355,0.350000000423); #71620=CIRCLE('',#375357,0.150000000181); #71621=CIRCLE('',#375363,0.15); #71622=CIRCLE('',#375364,0.15); #71623=CIRCLE('',#375377,0.15); #71624=CIRCLE('',#375379,0.15); #71625=CIRCLE('',#375387,0.15); #71626=CIRCLE('',#375389,0.15); #71627=CIRCLE('',#375397,0.15); #71628=CIRCLE('',#375399,0.15); #71629=CIRCLE('',#375407,0.15); #71630=CIRCLE('',#375409,0.15); #71631=CIRCLE('',#375417,0.15); #71632=CIRCLE('',#375419,0.15); #71633=CIRCLE('',#375427,0.15); #71634=CIRCLE('',#375429,0.15); #71635=CIRCLE('',#375437,0.15); #71636=CIRCLE('',#375439,0.15); #71637=CIRCLE('',#375447,0.15); #71638=CIRCLE('',#375449,0.15); #71639=CIRCLE('',#375457,0.15); #71640=CIRCLE('',#375459,0.15); #71641=CIRCLE('',#375467,0.15); #71642=CIRCLE('',#375469,0.15); #71643=CIRCLE('',#375477,0.15); #71644=CIRCLE('',#375479,0.15); #71645=CIRCLE('',#375487,0.15); #71646=CIRCLE('',#375489,0.15); #71647=CIRCLE('',#375497,0.15); #71648=CIRCLE('',#375499,0.15); #71649=CIRCLE('',#375507,0.15); #71650=CIRCLE('',#375509,0.15); #71651=CIRCLE('',#375517,0.15); #71652=CIRCLE('',#375519,0.15); #71653=CIRCLE('',#375527,0.15); #71654=CIRCLE('',#375529,0.15); #71655=CIRCLE('',#375537,0.15); #71656=CIRCLE('',#375539,0.15); #71657=CIRCLE('',#375547,0.15); #71658=CIRCLE('',#375549,0.15); #71659=CIRCLE('',#375557,0.15); #71660=CIRCLE('',#375559,0.15); #71661=CIRCLE('',#375567,0.15); #71662=CIRCLE('',#375569,0.15); #71663=CIRCLE('',#375577,0.15); #71664=CIRCLE('',#375579,0.15); #71665=CIRCLE('',#375587,0.15); #71666=CIRCLE('',#375589,0.15); #71667=CIRCLE('',#375597,0.15); #71668=CIRCLE('',#375599,0.15); #71669=CIRCLE('',#375607,0.15); #71670=CIRCLE('',#375609,0.15); #71671=CIRCLE('',#375617,0.15); #71672=CIRCLE('',#375619,0.15); #71673=CIRCLE('',#375627,0.15); #71674=CIRCLE('',#375629,0.15); #71675=CIRCLE('',#375637,0.15); #71676=CIRCLE('',#375639,0.15); #71677=CIRCLE('',#375647,0.15); #71678=CIRCLE('',#375649,0.15); #71679=CIRCLE('',#375657,0.15); #71680=CIRCLE('',#375659,0.15); #71681=CIRCLE('',#375667,0.15); #71682=CIRCLE('',#375669,0.15); #71683=CIRCLE('',#375677,0.15); #71684=CIRCLE('',#375679,0.15); #71685=CIRCLE('',#375687,0.15); #71686=CIRCLE('',#375689,0.15); #71687=CIRCLE('',#375697,0.15); #71688=CIRCLE('',#375699,0.15); #71689=CIRCLE('',#375707,0.15); #71690=CIRCLE('',#375709,0.15); #71691=CIRCLE('',#375717,0.15); #71692=CIRCLE('',#375719,0.15); #71693=CIRCLE('',#375727,0.15); #71694=CIRCLE('',#375729,0.15); #71695=CIRCLE('',#375737,0.15); #71696=CIRCLE('',#375739,0.15); #71697=CIRCLE('',#375747,0.15); #71698=CIRCLE('',#375749,0.15); #71699=CIRCLE('',#375757,0.15); #71700=CIRCLE('',#375759,0.15); #71701=CIRCLE('',#375767,0.15); #71702=CIRCLE('',#375769,0.15); #71703=CIRCLE('',#375777,0.15); #71704=CIRCLE('',#375779,0.15); #71705=CIRCLE('',#375787,0.15); #71706=CIRCLE('',#375789,0.15); #71707=CIRCLE('',#375797,0.15); #71708=CIRCLE('',#375799,0.15); #71709=CIRCLE('',#375807,0.15); #71710=CIRCLE('',#375809,0.15); #71711=CIRCLE('',#375817,0.15); #71712=CIRCLE('',#375819,0.15); #71713=CIRCLE('',#375827,0.15); #71714=CIRCLE('',#375829,0.15); #71715=CIRCLE('',#375837,0.15); #71716=CIRCLE('',#375839,0.15); #71717=CIRCLE('',#375847,0.15); #71718=CIRCLE('',#375849,0.15); #71719=CIRCLE('',#375866,0.04); #71720=CIRCLE('',#375867,0.04); #71721=CIRCLE('',#375868,0.04); #71722=CIRCLE('',#375870,0.04); #71723=CIRCLE('',#375871,0.04); #71724=CIRCLE('',#375872,0.04); #71725=CIRCLE('',#375874,0.04); #71726=CIRCLE('',#375875,0.04); #71727=CIRCLE('',#375876,0.04); #71728=CIRCLE('',#375878,0.04); #71729=CIRCLE('',#375879,0.04); #71730=CIRCLE('',#375880,0.04); #71731=CIRCLE('',#375882,0.04); #71732=CIRCLE('',#375883,0.04); #71733=CIRCLE('',#375884,0.04); #71734=CIRCLE('',#375887,0.04); #71735=CIRCLE('',#375888,0.04); #71736=CIRCLE('',#375889,0.04); #71737=CIRCLE('',#375891,0.04); #71738=CIRCLE('',#375892,0.04); #71739=CIRCLE('',#375893,0.04); #71740=CIRCLE('',#375897,0.04); #71741=CIRCLE('',#375898,0.04); #71742=CIRCLE('',#375899,0.04); #71743=CIRCLE('',#375916,0.04); #71744=CIRCLE('',#375917,0.04); #71745=CIRCLE('',#375918,0.04); #71746=CIRCLE('',#375920,0.04); #71747=CIRCLE('',#375921,0.04); #71748=CIRCLE('',#375922,0.04); #71749=CIRCLE('',#375924,0.04); #71750=CIRCLE('',#375925,0.04); #71751=CIRCLE('',#375926,0.04); #71752=CIRCLE('',#375928,0.04); #71753=CIRCLE('',#375929,0.04); #71754=CIRCLE('',#375930,0.04); #71755=CIRCLE('',#375932,0.04); #71756=CIRCLE('',#375933,0.04); #71757=CIRCLE('',#375934,0.04); #71758=CIRCLE('',#375937,0.04); #71759=CIRCLE('',#375938,0.04); #71760=CIRCLE('',#375939,0.04); #71761=CIRCLE('',#375941,0.04); #71762=CIRCLE('',#375942,0.04); #71763=CIRCLE('',#375943,0.04); #71764=CIRCLE('',#375947,0.04); #71765=CIRCLE('',#375948,0.04); #71766=CIRCLE('',#375949,0.04); #71767=CIRCLE('',#376018,0.1995); #71768=CIRCLE('',#376019,0.1995); #71769=CIRCLE('',#376036,0.200000000000001); #71770=CIRCLE('',#376037,0.0499999999991504); #71771=CIRCLE('',#376038,0.200000000000034); #71772=CIRCLE('',#376039,0.0500000000000014); #71773=CIRCLE('',#376042,0.0499999999991504); #71774=CIRCLE('',#376047,0.200000000000034); #71775=CIRCLE('',#376050,0.0500000000000014); #71776=CIRCLE('',#376055,0.200000000000001); #71777=CIRCLE('',#376058,0.200000000000001); #71778=CIRCLE('',#376059,0.0500000000000014); #71779=CIRCLE('',#376060,0.200000000000034); #71780=CIRCLE('',#376061,0.0499999999991504); #71781=CIRCLE('',#376064,0.0499999999991504); #71782=CIRCLE('',#376069,0.200000000000034); #71783=CIRCLE('',#376072,0.0500000000000014); #71784=CIRCLE('',#376077,0.200000000000001); #71785=CIRCLE('',#376080,0.200000000000001); #71786=CIRCLE('',#376081,0.0499999999991504); #71787=CIRCLE('',#376082,0.200000000000034); #71788=CIRCLE('',#376083,0.0500000000000014); #71789=CIRCLE('',#376086,0.0499999999991504); #71790=CIRCLE('',#376091,0.200000000000034); #71791=CIRCLE('',#376094,0.0500000000000014); #71792=CIRCLE('',#376099,0.200000000000001); #71793=CIRCLE('',#376102,0.200000000000001); #71794=CIRCLE('',#376103,0.0499999999991504); #71795=CIRCLE('',#376104,0.200000000000034); #71796=CIRCLE('',#376105,0.0500000000000014); #71797=CIRCLE('',#376108,0.0499999999991504); #71798=CIRCLE('',#376113,0.200000000000034); #71799=CIRCLE('',#376116,0.0500000000000014); #71800=CIRCLE('',#376121,0.200000000000001); #71801=CIRCLE('',#376124,0.200000000000001); #71802=CIRCLE('',#376125,0.0499999999991504); #71803=CIRCLE('',#376126,0.200000000000034); #71804=CIRCLE('',#376127,0.0500000000000014); #71805=CIRCLE('',#376130,0.0499999999991504); #71806=CIRCLE('',#376135,0.200000000000034); #71807=CIRCLE('',#376138,0.0500000000000014); #71808=CIRCLE('',#376143,0.200000000000001); #71809=CIRCLE('',#376146,0.200000000000001); #71810=CIRCLE('',#376147,0.0500000000000014); #71811=CIRCLE('',#376148,0.200000000000034); #71812=CIRCLE('',#376149,0.0499999999991504); #71813=CIRCLE('',#376152,0.0499999999991504); #71814=CIRCLE('',#376157,0.200000000000034); #71815=CIRCLE('',#376160,0.0500000000000014); #71816=CIRCLE('',#376165,0.200000000000001); #71817=CIRCLE('',#376168,0.200000000000001); #71818=CIRCLE('',#376169,0.0500000000000014); #71819=CIRCLE('',#376170,0.200000000000034); #71820=CIRCLE('',#376171,0.0499999999991504); #71821=CIRCLE('',#376174,0.0499999999991504); #71822=CIRCLE('',#376179,0.200000000000034); #71823=CIRCLE('',#376182,0.0500000000000014); #71824=CIRCLE('',#376187,0.200000000000001); #71825=CIRCLE('',#376190,0.200000000000001); #71826=CIRCLE('',#376191,0.0500000000000014); #71827=CIRCLE('',#376192,0.200000000000034); #71828=CIRCLE('',#376193,0.0499999999991504); #71829=CIRCLE('',#376196,0.0499999999991504); #71830=CIRCLE('',#376201,0.200000000000034); #71831=CIRCLE('',#376204,0.0500000000000014); #71832=CIRCLE('',#376209,0.200000000000001); #71833=CIRCLE('',#376215,0.085); #71834=CIRCLE('',#376216,0.085); #71835=CIRCLE('',#376233,0.13); #71836=CIRCLE('',#376234,0.0325); #71837=CIRCLE('',#376235,0.13); #71838=CIRCLE('',#376236,0.0325); #71839=CIRCLE('',#376241,0.0325); #71840=CIRCLE('',#376244,0.13); #71841=CIRCLE('',#376249,0.0325); #71842=CIRCLE('',#376252,0.13); #71843=CIRCLE('',#376255,0.13); #71844=CIRCLE('',#376256,0.0325); #71845=CIRCLE('',#376257,0.13); #71846=CIRCLE('',#376258,0.0325); #71847=CIRCLE('',#376263,0.0325); #71848=CIRCLE('',#376266,0.13); #71849=CIRCLE('',#376271,0.0325); #71850=CIRCLE('',#376274,0.13); #71851=CIRCLE('',#376277,0.13); #71852=CIRCLE('',#376278,0.0325); #71853=CIRCLE('',#376279,0.13); #71854=CIRCLE('',#376280,0.0325); #71855=CIRCLE('',#376285,0.0325); #71856=CIRCLE('',#376288,0.13); #71857=CIRCLE('',#376293,0.0325); #71858=CIRCLE('',#376296,0.13); #71859=CIRCLE('',#376299,0.13); #71860=CIRCLE('',#376300,0.0325); #71861=CIRCLE('',#376301,0.13); #71862=CIRCLE('',#376302,0.0325); #71863=CIRCLE('',#376307,0.0325); #71864=CIRCLE('',#376310,0.13); #71865=CIRCLE('',#376315,0.0325); #71866=CIRCLE('',#376318,0.13); #71867=CIRCLE('',#376321,0.13); #71868=CIRCLE('',#376322,0.0325); #71869=CIRCLE('',#376323,0.13); #71870=CIRCLE('',#376324,0.0325); #71871=CIRCLE('',#376329,0.0325); #71872=CIRCLE('',#376332,0.13); #71873=CIRCLE('',#376337,0.0325); #71874=CIRCLE('',#376340,0.13); #71875=CIRCLE('',#376346,0.04); #71876=CIRCLE('',#376347,0.04); #71877=CIRCLE('',#376348,0.04); #71878=CIRCLE('',#376350,0.04); #71879=CIRCLE('',#376351,0.04); #71880=CIRCLE('',#376352,0.04); #71881=CIRCLE('',#376354,0.04); #71882=CIRCLE('',#376355,0.04); #71883=CIRCLE('',#376356,0.04); #71884=CIRCLE('',#376358,0.04); #71885=CIRCLE('',#376359,0.04); #71886=CIRCLE('',#376360,0.04); #71887=CIRCLE('',#376362,0.04); #71888=CIRCLE('',#376363,0.04); #71889=CIRCLE('',#376364,0.04); #71890=CIRCLE('',#376367,0.04); #71891=CIRCLE('',#376368,0.04); #71892=CIRCLE('',#376369,0.04); #71893=CIRCLE('',#376371,0.04); #71894=CIRCLE('',#376372,0.0399999999999998); #71895=CIRCLE('',#376373,0.04); #71896=CIRCLE('',#376377,0.0399999999999999); #71897=CIRCLE('',#376378,0.04); #71898=CIRCLE('',#376379,0.04); #71899=CIRCLE('',#376396,0.04); #71900=CIRCLE('',#376397,0.04); #71901=CIRCLE('',#376398,0.04); #71902=CIRCLE('',#376400,0.04); #71903=CIRCLE('',#376401,0.04); #71904=CIRCLE('',#376402,0.04); #71905=CIRCLE('',#376404,0.0399999999999999); #71906=CIRCLE('',#376405,0.04); #71907=CIRCLE('',#376406,0.04); #71908=CIRCLE('',#376408,0.04); #71909=CIRCLE('',#376409,0.04); #71910=CIRCLE('',#376410,0.04); #71911=CIRCLE('',#376412,0.04); #71912=CIRCLE('',#376413,0.04); #71913=CIRCLE('',#376414,0.0399999999999998); #71914=CIRCLE('',#376417,0.04); #71915=CIRCLE('',#376418,0.04); #71916=CIRCLE('',#376419,0.04); #71917=CIRCLE('',#376421,0.04); #71918=CIRCLE('',#376422,0.0400000000000002); #71919=CIRCLE('',#376423,0.04); #71920=CIRCLE('',#376427,0.04); #71921=CIRCLE('',#376428,0.04); #71922=CIRCLE('',#376429,0.04); #71923=CIRCLE('',#376529,1.); #71924=CIRCLE('',#376530,1.); #71925=CIRCLE('',#376532,1.); #71926=CIRCLE('',#376533,1.); #71927=CIRCLE('',#376550,1.); #71928=CIRCLE('',#376551,1.); #71929=CIRCLE('',#376553,1.); #71930=CIRCLE('',#376554,1.); #71931=CIRCLE('',#376571,1.); #71932=CIRCLE('',#376572,1.); #71933=CIRCLE('',#376574,1.); #71934=CIRCLE('',#376575,1.); #71935=CIRCLE('',#376600,28.); #71936=CIRCLE('',#376601,30.5); #71937=CIRCLE('',#376603,34.25); #71938=CIRCLE('',#376605,28.); #71939=CIRCLE('',#376606,28.); #71940=CIRCLE('',#376611,34.25); #71941=CIRCLE('',#376613,28.6); #71942=CIRCLE('',#376614,29.1); #71943=CIRCLE('',#376616,27.); #71944=CIRCLE('',#376618,29.1); #71945=CIRCLE('',#376619,29.1); #71946=CIRCLE('',#376620,29.1); #71947=CIRCLE('',#376621,29.1); #71948=CIRCLE('',#376622,29.1); #71949=CIRCLE('',#376623,29.1); #71950=CIRCLE('',#376624,29.1); #71951=CIRCLE('',#376626,26.5); #71952=CIRCLE('',#376628,26.5); #71953=CIRCLE('',#376637,30.85); #71954=CIRCLE('',#376639,30.85); #71955=CIRCLE('',#376642,30.85); #71956=CIRCLE('',#376644,30.85); #71957=CIRCLE('',#376647,30.85); #71958=CIRCLE('',#376649,30.85); #71959=CIRCLE('',#376652,31.6); #71960=CIRCLE('',#376656,35.6842712474619); #71961=CIRCLE('',#376657,35.2842712474619); #71962=CIRCLE('',#376660,35.6842712474619); #71963=CIRCLE('',#376661,35.2842712474619); #71964=CIRCLE('',#376664,35.2842712474619); #71965=CIRCLE('',#376667,35.2842712474619); #71966=CIRCLE('',#376670,1.53); #71967=CIRCLE('',#376672,1.53); #71968=CIRCLE('',#376673,1.53); #71969=CIRCLE('',#376675,34.); #71970=CIRCLE('',#376677,34.); #71971=CIRCLE('',#376679,34.); #71972=CIRCLE('',#376681,34.); #71973=CIRCLE('',#376683,1.53); #71974=CIRCLE('',#376686,35.2842712474619); #71975=CIRCLE('',#376687,33.0000000149012); #71976=CIRCLE('',#376691,35.2842712474619); #71977=CIRCLE('',#376692,34.25); #71978=CIRCLE('',#376701,35.2842712474619); #71979=CIRCLE('',#376703,33.0000000149012); #71980=CIRCLE('',#376704,33.0000000149012); #71981=CIRCLE('',#376705,33.0000000149012); #71982=CIRCLE('',#376706,33.0000000149012); #71983=CIRCLE('',#376707,33.0000000149012); #71984=CIRCLE('',#376708,33.0000000149012); #71985=CIRCLE('',#376710,33.0000000149012); #71986=CIRCLE('',#376712,33.0000000149012); #71987=CIRCLE('',#376714,33.0000000149012); #71988=CIRCLE('',#376717,34.25); #71989=CIRCLE('',#376719,34.2842712474619); #71990=CIRCLE('',#376722,34.2842712474619); #71991=CIRCLE('',#376723,34.25); #71992=CIRCLE('',#376727,31.0000000149012); #71993=CIRCLE('',#376728,29.2000000149012); #71994=CIRCLE('',#376730,29.2000000149012); #71995=CIRCLE('',#376732,35.2842712474619); #71996=CIRCLE('',#376734,31.0000000149012); #71997=CIRCLE('',#376736,31.0000000149012); #71998=CIRCLE('',#376737,31.0000000149012); #71999=CIRCLE('',#376739,31.0000000149012); #72000=CIRCLE('',#376740,31.0000000149012); #72001=CIRCLE('',#376750,34.25); #72002=CIRCLE('',#376755,23.0000000149012); #72003=CIRCLE('',#376756,23.0000000149012); #72004=CIRCLE('',#376763,23.0000000149012); #72005=CIRCLE('',#376764,23.0000000149012); #72006=CIRCLE('',#376766,2.35); #72007=CIRCLE('',#376767,2.1); #72008=CIRCLE('',#376769,30.8000000149012); #72009=CIRCLE('',#376770,30.8000000149012); #72010=CIRCLE('',#376771,2.35); #72011=CIRCLE('',#376772,3.35); #72012=CIRCLE('',#376773,3.35); #72013=CIRCLE('',#376775,2.1); #72014=CIRCLE('',#376777,2.1); #72015=CIRCLE('',#376779,2.1); #72016=CIRCLE('',#376781,1.65); #72017=CIRCLE('',#376782,1.4); #72018=CIRCLE('',#376784,27.0000000149012); #72019=CIRCLE('',#376785,27.0000000149012); #72020=CIRCLE('',#376786,1.65); #72021=CIRCLE('',#376787,3.35); #72022=CIRCLE('',#376788,3.35); #72023=CIRCLE('',#376790,1.4); #72024=CIRCLE('',#376792,1.4); #72025=CIRCLE('',#376794,1.4); #72026=CIRCLE('',#376797,3.1); #72027=CIRCLE('',#376800,3.1); #72028=CIRCLE('',#376804,3.1); #72029=CIRCLE('',#376807,3.1); #72030=CIRCLE('',#376814,29.0000000149012); #72031=CIRCLE('',#376815,27.0000000149012); #72032=CIRCLE('',#376817,29.0000000149012); #72033=CIRCLE('',#376818,27.0000000149012); #72034=CIRCLE('',#376822,29.0000000149012); #72035=CIRCLE('',#376828,29.0000000149012); #72036=CIRCLE('',#376830,30.8000000149012); #72037=CIRCLE('',#376831,30.8000000149012); #72038=CIRCLE('',#376837,1.4); #72039=CIRCLE('',#376840,1.4); #72040=CIRCLE('',#376842,1.4); #72041=CIRCLE('',#376845,1.4); #72042=CIRCLE('',#376847,1.4); #72043=CIRCLE('',#376849,1.4); #72044=CIRCLE('',#376852,1.4); #72045=CIRCLE('',#376855,1.4); #72046=CIRCLE('',#376880,27.0000000149012); #72047=CIRCLE('',#376885,27.0000000149012); #72048=CIRCLE('',#376886,27.0000000149012); #72049=CIRCLE('',#376888,1.4); #72050=CIRCLE('',#376890,1.4); #72051=CIRCLE('',#376897,27.0000000149012); #72052=CIRCLE('',#376898,27.0000000149012); #72053=CIRCLE('',#376900,35.2842712474619); #72054=CIRCLE('',#376903,27.0000000149012); #72055=CIRCLE('',#376904,27.0000000149012); #72056=CIRCLE('',#376906,27.0000000149012); #72057=CIRCLE('',#376907,27.0000000149012); #72058=CIRCLE('',#376908,27.0000000149012); #72059=CIRCLE('',#376909,27.0000000149012); #72060=CIRCLE('',#376910,27.0000000149012); #72061=CIRCLE('',#376914,35.2842712474619); #72062=CIRCLE('',#376919,29.0000000149012); #72063=CIRCLE('',#376920,29.0000000149012); #72064=CIRCLE('',#376922,29.0000000149012); #72065=CIRCLE('',#376923,29.0000000149012); #72066=CIRCLE('',#376930,23.0000000149012); #72067=CIRCLE('',#376931,23.0000000149012); #72068=CIRCLE('',#376936,23.0000000149012); #72069=CIRCLE('',#376937,23.0000000149012); #72070=CIRCLE('',#376945,3.75); #72071=CIRCLE('',#376946,3.75); #72072=CIRCLE('',#376949,32.8000000149012); #72073=CIRCLE('',#376950,32.8000000149012); #72074=CIRCLE('',#376953,32.8000000149012); #72075=CIRCLE('',#376954,32.8000000149012); #72076=CIRCLE('',#376961,25.8000000000002); #72077=CIRCLE('',#376962,25.8000000000002); #72078=CIRCLE('',#376963,25.8000000000002); #72079=CIRCLE('',#376964,25.8000000000002); #72080=CIRCLE('',#376965,25.8000000000002); #72081=CIRCLE('',#376966,25.8000000000002); #72082=CIRCLE('',#376967,25.8000000000002); #72083=CIRCLE('',#376968,25.8000000000002); #72084=CIRCLE('',#376969,25.8000000000002); #72085=CIRCLE('',#376971,7.00000000000001); #72086=CIRCLE('',#376972,7.00000000000001); #72087=CIRCLE('',#376973,7.00000000000001); #72088=CIRCLE('',#376974,7.00000000000001); #72089=CIRCLE('',#376975,35.2842712474619); #72090=CIRCLE('',#376976,35.2842712474619); #72091=CIRCLE('',#376977,35.2842712474619); #72092=CIRCLE('',#376978,35.2842712474619); #72093=CIRCLE('',#376979,35.2842712474619); #72094=CIRCLE('',#376980,35.2842712474619); #72095=CIRCLE('',#376981,35.2842712474619); #72096=CIRCLE('',#376982,35.2842712474619); #72097=CIRCLE('',#376983,35.2842712474619); #72098=CIRCLE('',#376985,33.7842712474619); #72099=CIRCLE('',#376986,33.0342712474619); #72100=CIRCLE('',#376988,33.7842712474619); #72101=CIRCLE('',#376990,25.8000000000002); #72102=CIRCLE('',#376992,35.2842712474619); #72103=CIRCLE('',#376996,3.125); #72104=CIRCLE('',#376997,3.125); #72105=CIRCLE('',#377001,3.125); #72106=CIRCLE('',#377002,3.125); #72107=CIRCLE('',#377006,3.125); #72108=CIRCLE('',#377007,3.125); #72109=CIRCLE('',#377011,3.125); #72110=CIRCLE('',#377012,3.125); #72111=LINE('',#469625,#111028); #72112=LINE('',#469658,#111029); #72113=LINE('',#469662,#111030); #72114=LINE('',#469666,#111031); #72115=LINE('',#469668,#111032); #72116=LINE('',#469670,#111033); #72117=LINE('',#469672,#111034); #72118=LINE('',#469674,#111035); #72119=LINE('',#469676,#111036); #72120=LINE('',#469680,#111037); #72121=LINE('',#469682,#111038); #72122=LINE('',#469684,#111039); #72123=LINE('',#469686,#111040); #72124=LINE('',#469687,#111041); #72125=LINE('',#469691,#111042); #72126=LINE('',#469695,#111043); #72127=LINE('',#469697,#111044); #72128=LINE('',#469703,#111045); #72129=LINE('',#469705,#111046); #72130=LINE('',#469707,#111047); #72131=LINE('',#469709,#111048); #72132=LINE('',#469711,#111049); #72133=LINE('',#469712,#111050); #72134=LINE('',#469714,#111051); #72135=LINE('',#469715,#111052); #72136=LINE('',#469719,#111053); #72137=LINE('',#469720,#111054); #72138=LINE('',#469721,#111055); #72139=LINE('',#469724,#111056); #72140=LINE('',#469726,#111057); #72141=LINE('',#469728,#111058); #72142=LINE('',#469730,#111059); #72143=LINE('',#469732,#111060); #72144=LINE('',#469734,#111061); #72145=LINE('',#469736,#111062); #72146=LINE('',#469738,#111063); #72147=LINE('',#469740,#111064); #72148=LINE('',#469742,#111065); #72149=LINE('',#469747,#111066); #72150=LINE('',#469751,#111067); #72151=LINE('',#469755,#111068); #72152=LINE('',#469759,#111069); #72153=LINE('',#469763,#111070); #72154=LINE('',#469767,#111071); #72155=LINE('',#469771,#111072); #72156=LINE('',#469775,#111073); #72157=LINE('',#469777,#111074); #72158=LINE('',#469781,#111075); #72159=LINE('',#469785,#111076); #72160=LINE('',#469793,#111077); #72161=LINE('',#469797,#111078); #72162=LINE('',#469801,#111079); #72163=LINE('',#469803,#111080); #72164=LINE('',#469805,#111081); #72165=LINE('',#469809,#111082); #72166=LINE('',#469813,#111083); #72167=LINE('',#469821,#111084); #72168=LINE('',#469827,#111085); #72169=LINE('',#469831,#111086); #72170=LINE('',#469839,#111087); #72171=LINE('',#469843,#111088); #72172=LINE('',#469847,#111089); #72173=LINE('',#469849,#111090); #72174=LINE('',#469851,#111091); #72175=LINE('',#469855,#111092); #72176=LINE('',#469859,#111093); #72177=LINE('',#469867,#111094); #72178=LINE('',#469871,#111095); #72179=LINE('',#469875,#111096); #72180=LINE('',#469877,#111097); #72181=LINE('',#469879,#111098); #72182=LINE('',#469881,#111099); #72183=LINE('',#469885,#111100); #72184=LINE('',#469887,#111101); #72185=LINE('',#469902,#111102); #72186=LINE('',#469903,#111103); #72187=LINE('',#469904,#111104); #72188=LINE('',#469908,#111105); #72189=LINE('',#469915,#111106); #72190=LINE('',#469917,#111107); #72191=LINE('',#469921,#111108); #72192=LINE('',#469923,#111109); #72193=LINE('',#469927,#111110); #72194=LINE('',#469931,#111111); #72195=LINE('',#469935,#111112); #72196=LINE('',#469939,#111113); #72197=LINE('',#469949,#111114); #72198=LINE('',#469957,#111115); #72199=LINE('',#469965,#111116); #72200=LINE('',#469972,#111117); #72201=LINE('',#469982,#111118); #72202=LINE('',#469983,#111119); #72203=LINE('',#469985,#111120); #72204=LINE('',#469987,#111121); #72205=LINE('',#469989,#111122); #72206=LINE('',#469991,#111123); #72207=LINE('',#469993,#111124); #72208=LINE('',#469995,#111125); #72209=LINE('',#469997,#111126); #72210=LINE('',#469999,#111127); #72211=LINE('',#470001,#111128); #72212=LINE('',#470003,#111129); #72213=LINE('',#470005,#111130); #72214=LINE('',#470007,#111131); #72215=LINE('',#470009,#111132); #72216=LINE('',#470013,#111133); #72217=LINE('',#470015,#111134); #72218=LINE('',#470017,#111135); #72219=LINE('',#470019,#111136); #72220=LINE('',#470021,#111137); #72221=LINE('',#470023,#111138); #72222=LINE('',#470025,#111139); #72223=LINE('',#470027,#111140); #72224=LINE('',#470029,#111141); #72225=LINE('',#470031,#111142); #72226=LINE('',#470033,#111143); #72227=LINE('',#470035,#111144); #72228=LINE('',#470037,#111145); #72229=LINE('',#470038,#111146); #72230=LINE('',#470039,#111147); #72231=LINE('',#470040,#111148); #72232=LINE('',#470044,#111149); #72233=LINE('',#470048,#111150); #72234=LINE('',#470050,#111151); #72235=LINE('',#470052,#111152); #72236=LINE('',#470054,#111153); #72237=LINE('',#470056,#111154); #72238=LINE('',#470058,#111155); #72239=LINE('',#470060,#111156); #72240=LINE('',#470062,#111157); #72241=LINE('',#470064,#111158); #72242=LINE('',#470066,#111159); #72243=LINE('',#470067,#111160); #72244=LINE('',#470087,#111161); #72245=LINE('',#470091,#111162); #72246=LINE('',#470096,#111163); #72247=LINE('',#470098,#111164); #72248=LINE('',#470102,#111165); #72249=LINE('',#470106,#111166); #72250=LINE('',#470110,#111167); #72251=LINE('',#470114,#111168); #72252=LINE('',#470118,#111169); #72253=LINE('',#470120,#111170); #72254=LINE('',#470122,#111171); #72255=LINE('',#470126,#111172); #72256=LINE('',#470130,#111173); #72257=LINE('',#470134,#111174); #72258=LINE('',#470138,#111175); #72259=LINE('',#470144,#111176); #72260=LINE('',#470148,#111177); #72261=LINE('',#470152,#111178); #72262=LINE('',#470156,#111179); #72263=LINE('',#470158,#111180); #72264=LINE('',#470160,#111181); #72265=LINE('',#470164,#111182); #72266=LINE('',#470168,#111183); #72267=LINE('',#470172,#111184); #72268=LINE('',#470175,#111185); #72269=LINE('',#470179,#111186); #72270=LINE('',#470181,#111187); #72271=LINE('',#470185,#111188); #72272=LINE('',#470189,#111189); #72273=LINE('',#470193,#111190); #72274=LINE('',#470197,#111191); #72275=LINE('',#470210,#111192); #72276=LINE('',#470211,#111193); #72277=LINE('',#470212,#111194); #72278=LINE('',#470216,#111195); #72279=LINE('',#470221,#111196); #72280=LINE('',#470225,#111197); #72281=LINE('',#470229,#111198); #72282=LINE('',#470233,#111199); #72283=LINE('',#470237,#111200); #72284=LINE('',#470239,#111201); #72285=LINE('',#470242,#111202); #72286=LINE('',#470254,#111203); #72287=LINE('',#470262,#111204); #72288=LINE('',#470270,#111205); #72289=LINE('',#470277,#111206); #72290=LINE('',#470287,#111207); #72291=LINE('',#470288,#111208); #72292=LINE('',#470290,#111209); #72293=LINE('',#470294,#111210); #72294=LINE('',#470296,#111211); #72295=LINE('',#470298,#111212); #72296=LINE('',#470300,#111213); #72297=LINE('',#470302,#111214); #72298=LINE('',#470304,#111215); #72299=LINE('',#470306,#111216); #72300=LINE('',#470308,#111217); #72301=LINE('',#470310,#111218); #72302=LINE('',#470312,#111219); #72303=LINE('',#470314,#111220); #72304=LINE('',#470316,#111221); #72305=LINE('',#470748,#111222); #72306=LINE('',#470751,#111223); #72307=LINE('',#470754,#111224); #72308=LINE('',#472098,#111225); #72309=LINE('',#480613,#111226); #72310=LINE('',#480615,#111227); #72311=LINE('',#483061,#111228); #72312=LINE('',#483062,#111229); #72313=LINE('',#483064,#111230); #72314=LINE('',#483068,#111231); #72315=LINE('',#484744,#111232); #72316=LINE('',#484746,#111233); #72317=LINE('',#484748,#111234); #72318=LINE('',#484750,#111235); #72319=LINE('',#484752,#111236); #72320=LINE('',#484756,#111237); #72321=LINE('',#484758,#111238); #72322=LINE('',#484760,#111239); #72323=LINE('',#484762,#111240); #72324=LINE('',#484764,#111241); #72325=LINE('',#484766,#111242); #72326=LINE('',#484768,#111243); #72327=LINE('',#484780,#111244); #72328=LINE('',#484781,#111245); #72329=LINE('',#484785,#111246); #72330=LINE('',#484791,#111247); #72331=LINE('',#484792,#111248); #72332=LINE('',#484794,#111249); #72333=LINE('',#484795,#111250); #72334=LINE('',#484796,#111251); #72335=LINE('',#484809,#111252); #72336=LINE('',#484811,#111253); #72337=LINE('',#484813,#111254); #72338=LINE('',#484814,#111255); #72339=LINE('',#484848,#111256); #72340=LINE('',#484852,#111257); #72341=LINE('',#484854,#111258); #72342=LINE('',#484856,#111259); #72343=LINE('',#484858,#111260); #72344=LINE('',#484860,#111261); #72345=LINE('',#484862,#111262); #72346=LINE('',#484864,#111263); #72347=LINE('',#484867,#111264); #72348=LINE('',#484868,#111265); #72349=LINE('',#484873,#111266); #72350=LINE('',#484877,#111267); #72351=LINE('',#484881,#111268); #72352=LINE('',#484886,#111269); #72353=LINE('',#484889,#111270); #72354=LINE('',#484893,#111271); #72355=LINE('',#484897,#111272); #72356=LINE('',#484904,#111273); #72357=LINE('',#484906,#111274); #72358=LINE('',#484907,#111275); #72359=LINE('',#484909,#111276); #72360=LINE('',#484912,#111277); #72361=LINE('',#484914,#111278); #72362=LINE('',#484916,#111279); #72363=LINE('',#484918,#111280); #72364=LINE('',#484920,#111281); #72365=LINE('',#484922,#111282); #72366=LINE('',#484924,#111283); #72367=LINE('',#484926,#111284); #72368=LINE('',#484928,#111285); #72369=LINE('',#484939,#111286); #72370=LINE('',#484941,#111287); #72371=LINE('',#484943,#111288); #72372=LINE('',#484945,#111289); #72373=LINE('',#484946,#111290); #72374=LINE('',#484947,#111291); #72375=LINE('',#484949,#111292); #72376=LINE('',#484951,#111293); #72377=LINE('',#484953,#111294); #72378=LINE('',#484954,#111295); #72379=LINE('',#484973,#111296); #72380=LINE('',#484977,#111297); #72381=LINE('',#484979,#111298); #72382=LINE('',#484981,#111299); #72383=LINE('',#484983,#111300); #72384=LINE('',#484985,#111301); #72385=LINE('',#484987,#111302); #72386=LINE('',#484989,#111303); #72387=LINE('',#485001,#111304); #72388=LINE('',#485002,#111305); #72389=LINE('',#485006,#111306); #72390=LINE('',#485012,#111307); #72391=LINE('',#485013,#111308); #72392=LINE('',#485015,#111309); #72393=LINE('',#485016,#111310); #72394=LINE('',#485017,#111311); #72395=LINE('',#485030,#111312); #72396=LINE('',#485032,#111313); #72397=LINE('',#485034,#111314); #72398=LINE('',#485035,#111315); #72399=LINE('',#485081,#111316); #72400=LINE('',#485086,#111317); #72401=LINE('',#485088,#111318); #72402=LINE('',#485090,#111319); #72403=LINE('',#485091,#111320); #72404=LINE('',#485092,#111321); #72405=LINE('',#485096,#111322); #72406=LINE('',#485098,#111323); #72407=LINE('',#485100,#111324); #72408=LINE('',#485101,#111325); #72409=LINE('',#485120,#111326); #72410=LINE('',#485124,#111327); #72411=LINE('',#485126,#111328); #72412=LINE('',#485128,#111329); #72413=LINE('',#485130,#111330); #72414=LINE('',#485132,#111331); #72415=LINE('',#485134,#111332); #72416=LINE('',#485136,#111333); #72417=LINE('',#485148,#111334); #72418=LINE('',#485149,#111335); #72419=LINE('',#485153,#111336); #72420=LINE('',#485159,#111337); #72421=LINE('',#485160,#111338); #72422=LINE('',#485162,#111339); #72423=LINE('',#485163,#111340); #72424=LINE('',#485164,#111341); #72425=LINE('',#485177,#111342); #72426=LINE('',#485179,#111343); #72427=LINE('',#485181,#111344); #72428=LINE('',#485182,#111345); #72429=LINE('',#485216,#111346); #72430=LINE('',#485220,#111347); #72431=LINE('',#485222,#111348); #72432=LINE('',#485224,#111349); #72433=LINE('',#485226,#111350); #72434=LINE('',#485228,#111351); #72435=LINE('',#485230,#111352); #72436=LINE('',#485232,#111353); #72437=LINE('',#485235,#111354); #72438=LINE('',#485236,#111355); #72439=LINE('',#485241,#111356); #72440=LINE('',#485245,#111357); #72441=LINE('',#485249,#111358); #72442=LINE('',#485254,#111359); #72443=LINE('',#485257,#111360); #72444=LINE('',#485261,#111361); #72445=LINE('',#485265,#111362); #72446=LINE('',#485272,#111363); #72447=LINE('',#485274,#111364); #72448=LINE('',#485275,#111365); #72449=LINE('',#485277,#111366); #72450=LINE('',#485280,#111367); #72451=LINE('',#485282,#111368); #72452=LINE('',#485284,#111369); #72453=LINE('',#485286,#111370); #72454=LINE('',#485288,#111371); #72455=LINE('',#485290,#111372); #72456=LINE('',#485292,#111373); #72457=LINE('',#485294,#111374); #72458=LINE('',#485296,#111375); #72459=LINE('',#485307,#111376); #72460=LINE('',#485309,#111377); #72461=LINE('',#485311,#111378); #72462=LINE('',#485313,#111379); #72463=LINE('',#485314,#111380); #72464=LINE('',#485315,#111381); #72465=LINE('',#485317,#111382); #72466=LINE('',#485319,#111383); #72467=LINE('',#485321,#111384); #72468=LINE('',#485322,#111385); #72469=LINE('',#485341,#111386); #72470=LINE('',#485345,#111387); #72471=LINE('',#485347,#111388); #72472=LINE('',#485349,#111389); #72473=LINE('',#485351,#111390); #72474=LINE('',#485353,#111391); #72475=LINE('',#485355,#111392); #72476=LINE('',#485357,#111393); #72477=LINE('',#485369,#111394); #72478=LINE('',#485370,#111395); #72479=LINE('',#485374,#111396); #72480=LINE('',#485380,#111397); #72481=LINE('',#485381,#111398); #72482=LINE('',#485383,#111399); #72483=LINE('',#485384,#111400); #72484=LINE('',#485385,#111401); #72485=LINE('',#485398,#111402); #72486=LINE('',#485400,#111403); #72487=LINE('',#485402,#111404); #72488=LINE('',#485403,#111405); #72489=LINE('',#485437,#111406); #72490=LINE('',#485441,#111407); #72491=LINE('',#485443,#111408); #72492=LINE('',#485445,#111409); #72493=LINE('',#485447,#111410); #72494=LINE('',#485449,#111411); #72495=LINE('',#485451,#111412); #72496=LINE('',#485453,#111413); #72497=LINE('',#485456,#111414); #72498=LINE('',#485457,#111415); #72499=LINE('',#485462,#111416); #72500=LINE('',#485466,#111417); #72501=LINE('',#485470,#111418); #72502=LINE('',#485475,#111419); #72503=LINE('',#485478,#111420); #72504=LINE('',#485482,#111421); #72505=LINE('',#485486,#111422); #72506=LINE('',#485493,#111423); #72507=LINE('',#485495,#111424); #72508=LINE('',#485496,#111425); #72509=LINE('',#485511,#111426); #72510=LINE('',#485515,#111427); #72511=LINE('',#485517,#111428); #72512=LINE('',#485519,#111429); #72513=LINE('',#485521,#111430); #72514=LINE('',#486002,#111431); #72515=LINE('',#486076,#111432); #72516=LINE('',#486364,#111433); #72517=LINE('',#486726,#111434); #72518=LINE('',#486728,#111435); #72519=LINE('',#487127,#111436); #72520=LINE('',#487199,#111437); #72521=LINE('',#487410,#111438); #72522=LINE('',#487655,#111439); #72523=LINE('',#487893,#111440); #72524=LINE('',#488145,#111441); #72525=LINE('',#488365,#111442); #72526=LINE('',#488367,#111443); #72527=LINE('',#488481,#111444); #72528=LINE('',#488719,#111445); #72529=LINE('',#488721,#111446); #72530=LINE('',#488966,#111447); #72531=LINE('',#489069,#111448); #72532=LINE('',#489704,#111449); #72533=LINE('',#489895,#111450); #72534=LINE('',#490195,#111451); #72535=LINE('',#490197,#111452); #72536=LINE('',#490199,#111453); #72537=LINE('',#490204,#111454); #72538=LINE('',#490206,#111455); #72539=LINE('',#490211,#111456); #72540=LINE('',#490213,#111457); #72541=LINE('',#490215,#111458); #72542=LINE('',#490220,#111459); #72543=LINE('',#490223,#111460); #72544=LINE('',#490227,#111461); #72545=LINE('',#490232,#111462); #72546=LINE('',#490238,#111463); #72547=LINE('',#490240,#111464); #72548=LINE('',#490241,#111465); #72549=LINE('',#490245,#111466); #72550=LINE('',#490249,#111467); #72551=LINE('',#490251,#111468); #72552=LINE('',#490253,#111469); #72553=LINE('',#490254,#111470); #72554=LINE('',#490256,#111471); #72555=LINE('',#490257,#111472); #72556=LINE('',#490261,#111473); #72557=LINE('',#490263,#111474); #72558=LINE('',#490265,#111475); #72559=LINE('',#490266,#111476); #72560=LINE('',#490270,#111477); #72561=LINE('',#490272,#111478); #72562=LINE('',#490274,#111479); #72563=LINE('',#490275,#111480); #72564=LINE('',#490277,#111481); #72565=LINE('',#490278,#111482); #72566=LINE('',#490281,#111483); #72567=LINE('',#490283,#111484); #72568=LINE('',#490285,#111485); #72569=LINE('',#490287,#111486); #72570=LINE('',#490288,#111487); #72571=LINE('',#490291,#111488); #72572=LINE('',#490293,#111489); #72573=LINE('',#490295,#111490); #72574=LINE('',#490297,#111491); #72575=LINE('',#490298,#111492); #72576=LINE('',#490301,#111493); #72577=LINE('',#490303,#111494); #72578=LINE('',#490304,#111495); #72579=LINE('',#490310,#111496); #72580=LINE('',#490313,#111497); #72581=LINE('',#490318,#111498); #72582=LINE('',#490322,#111499); #72583=LINE('',#490325,#111500); #72584=LINE('',#490330,#111501); #72585=LINE('',#490332,#111502); #72586=LINE('',#490333,#111503); #72587=LINE('',#490339,#111504); #72588=LINE('',#490342,#111505); #72589=LINE('',#490345,#111506); #72590=LINE('',#490346,#111507); #72591=LINE('',#490347,#111508); #72592=LINE('',#490349,#111509); #72593=LINE('',#490350,#111510); #72594=LINE('',#490354,#111511); #72595=LINE('',#490356,#111512); #72596=LINE('',#490358,#111513); #72597=LINE('',#490359,#111514); #72598=LINE('',#490362,#111515); #72599=LINE('',#490365,#111516); #72600=LINE('',#490367,#111517); #72601=LINE('',#490370,#111518); #72602=LINE('',#490374,#111519); #72603=LINE('',#490376,#111520); #72604=LINE('',#490378,#111521); #72605=LINE('',#490379,#111522); #72606=LINE('',#490382,#111523); #72607=LINE('',#490386,#111524); #72608=LINE('',#490389,#111525); #72609=LINE('',#490395,#111526); #72610=LINE('',#490398,#111527); #72611=LINE('',#490401,#111528); #72612=LINE('',#490403,#111529); #72613=LINE('',#490405,#111530); #72614=LINE('',#490407,#111531); #72615=LINE('',#490409,#111532); #72616=LINE('',#490411,#111533); #72617=LINE('',#490412,#111534); #72618=LINE('',#490416,#111535); #72619=LINE('',#490418,#111536); #72620=LINE('',#490420,#111537); #72621=LINE('',#490421,#111538); #72622=LINE('',#490424,#111539); #72623=LINE('',#490427,#111540); #72624=LINE('',#490428,#111541); #72625=LINE('',#490434,#111542); #72626=LINE('',#490437,#111543); #72627=LINE('',#490440,#111544); #72628=LINE('',#490442,#111545); #72629=LINE('',#490444,#111546); #72630=LINE('',#490446,#111547); #72631=LINE('',#490448,#111548); #72632=LINE('',#490449,#111549); #72633=LINE('',#490453,#111550); #72634=LINE('',#490455,#111551); #72635=LINE('',#490457,#111552); #72636=LINE('',#490458,#111553); #72637=LINE('',#490461,#111554); #72638=LINE('',#490465,#111555); #72639=LINE('',#490467,#111556); #72640=LINE('',#490469,#111557); #72641=LINE('',#490472,#111558); #72642=LINE('',#490475,#111559); #72643=LINE('',#490478,#111560); #72644=LINE('',#490481,#111561); #72645=LINE('',#490483,#111562); #72646=LINE('',#490487,#111563); #72647=LINE('',#490491,#111564); #72648=LINE('',#490495,#111565); #72649=LINE('',#490497,#111566); #72650=LINE('',#490498,#111567); #72651=LINE('',#490501,#111568); #72652=LINE('',#490503,#111569); #72653=LINE('',#490504,#111570); #72654=LINE('',#490507,#111571); #72655=LINE('',#490508,#111572); #72656=LINE('',#490511,#111573); #72657=LINE('',#490513,#111574); #72658=LINE('',#490514,#111575); #72659=LINE('',#490517,#111576); #72660=LINE('',#490521,#111577); #72661=LINE('',#490523,#111578); #72662=LINE('',#490525,#111579); #72663=LINE('',#490528,#111580); #72664=LINE('',#490530,#111581); #72665=LINE('',#490532,#111582); #72666=LINE('',#490535,#111583); #72667=LINE('',#490540,#111584); #72668=LINE('',#490541,#111585); #72669=LINE('',#490546,#111586); #72670=LINE('',#490550,#111587); #72671=LINE('',#490552,#111588); #72672=LINE('',#490556,#111589); #72673=LINE('',#490558,#111590); #72674=LINE('',#490559,#111591); #72675=LINE('',#490562,#111592); #72676=LINE('',#490564,#111593); #72677=LINE('',#490565,#111594); #72678=LINE('',#490568,#111595); #72679=LINE('',#490569,#111596); #72680=LINE('',#490571,#111597); #72681=LINE('',#490572,#111598); #72682=LINE('',#490575,#111599); #72683=LINE('',#490577,#111600); #72684=LINE('',#490578,#111601); #72685=LINE('',#490583,#111602); #72686=LINE('',#490585,#111603); #72687=LINE('',#490587,#111604); #72688=LINE('',#490591,#111605); #72689=LINE('',#490593,#111606); #72690=LINE('',#490595,#111607); #72691=LINE('',#490597,#111608); #72692=LINE('',#490600,#111609); #72693=LINE('',#490601,#111610); #72694=LINE('',#490604,#111611); #72695=LINE('',#490609,#111612); #72696=LINE('',#490610,#111613); #72697=LINE('',#490614,#111614); #72698=LINE('',#490619,#111615); #72699=LINE('',#490623,#111616); #72700=LINE('',#490627,#111617); #72701=LINE('',#490631,#111618); #72702=LINE('',#490633,#111619); #72703=LINE('',#490634,#111620); #72704=LINE('',#490638,#111621); #72705=LINE('',#490639,#111622); #72706=LINE('',#490642,#111623); #72707=LINE('',#490643,#111624); #72708=LINE('',#490645,#111625); #72709=LINE('',#490650,#111626); #72710=LINE('',#490651,#111627); #72711=LINE('',#490653,#111628); #72712=LINE('',#490655,#111629); #72713=LINE('',#490656,#111630); #72714=LINE('',#490661,#111631); #72715=LINE('',#490666,#111632); #72716=LINE('',#490671,#111633); #72717=LINE('',#490675,#111634); #72718=LINE('',#490676,#111635); #72719=LINE('',#490680,#111636); #72720=LINE('',#490681,#111637); #72721=LINE('',#490684,#111638); #72722=LINE('',#490685,#111639); #72723=LINE('',#490687,#111640); #72724=LINE('',#490692,#111641); #72725=LINE('',#490693,#111642); #72726=LINE('',#490696,#111643); #72727=LINE('',#490697,#111644); #72728=LINE('',#490699,#111645); #72729=LINE('',#490703,#111646); #72730=LINE('',#490706,#111647); #72731=LINE('',#490708,#111648); #72732=LINE('',#490709,#111649); #72733=LINE('',#490713,#111650); #72734=LINE('',#490714,#111651); #72735=LINE('',#490716,#111652); #72736=LINE('',#490717,#111653); #72737=LINE('',#490718,#111654); #72738=LINE('',#490721,#111655); #72739=LINE('',#490722,#111656); #72740=LINE('',#490724,#111657); #72741=LINE('',#490725,#111658); #72742=LINE('',#490727,#111659); #72743=LINE('',#490728,#111660); #72744=LINE('',#490740,#111661); #72745=LINE('',#490742,#111662); #72746=LINE('',#490745,#111663); #72747=LINE('',#490749,#111664); #72748=LINE('',#490751,#111665); #72749=LINE('',#490753,#111666); #72750=LINE('',#490754,#111667); #72751=LINE('',#490756,#111668); #72752=LINE('',#490758,#111669); #72753=LINE('',#490759,#111670); #72754=LINE('',#490761,#111671); #72755=LINE('',#490762,#111672); #72756=LINE('',#490765,#111673); #72757=LINE('',#490767,#111674); #72758=LINE('',#490768,#111675); #72759=LINE('',#490770,#111676); #72760=LINE('',#490772,#111677); #72761=LINE('',#490774,#111678); #72762=LINE('',#490775,#111679); #72763=LINE('',#490777,#111680); #72764=LINE('',#490778,#111681); #72765=LINE('',#490781,#111682); #72766=LINE('',#490783,#111683); #72767=LINE('',#490784,#111684); #72768=LINE('',#490786,#111685); #72769=LINE('',#490787,#111686); #72770=LINE('',#490796,#111687); #72771=LINE('',#490807,#111688); #72772=LINE('',#490810,#111689); #72773=LINE('',#490817,#111690); #72774=LINE('',#490819,#111691); #72775=LINE('',#490821,#111692); #72776=LINE('',#490822,#111693); #72777=LINE('',#490825,#111694); #72778=LINE('',#490827,#111695); #72779=LINE('',#490828,#111696); #72780=LINE('',#490831,#111697); #72781=LINE('',#490833,#111698); #72782=LINE('',#490834,#111699); #72783=LINE('',#490837,#111700); #72784=LINE('',#490839,#111701); #72785=LINE('',#490841,#111702); #72786=LINE('',#490843,#111703); #72787=LINE('',#490844,#111704); #72788=LINE('',#490848,#111705); #72789=LINE('',#490850,#111706); #72790=LINE('',#490852,#111707); #72791=LINE('',#490854,#111708); #72792=LINE('',#490856,#111709); #72793=LINE('',#490860,#111710); #72794=LINE('',#490864,#111711); #72795=LINE('',#490866,#111712); #72796=LINE('',#490870,#111713); #72797=LINE('',#490874,#111714); #72798=LINE('',#490880,#111715); #72799=LINE('',#490881,#111716); #72800=LINE('',#490882,#111717); #72801=LINE('',#490885,#111718); #72802=LINE('',#490886,#111719); #72803=LINE('',#490889,#111720); #72804=LINE('',#490891,#111721); #72805=LINE('',#490892,#111722); #72806=LINE('',#490895,#111723); #72807=LINE('',#490896,#111724); #72808=LINE('',#490902,#111725); #72809=LINE('',#490906,#111726); #72810=LINE('',#490908,#111727); #72811=LINE('',#490912,#111728); #72812=LINE('',#490916,#111729); #72813=LINE('',#490919,#111730); #72814=LINE('',#490920,#111731); #72815=LINE('',#490922,#111732); #72816=LINE('',#490925,#111733); #72817=LINE('',#490926,#111734); #72818=LINE('',#490928,#111735); #72819=LINE('',#490930,#111736); #72820=LINE('',#490933,#111737); #72821=LINE('',#490940,#111738); #72822=LINE('',#490942,#111739); #72823=LINE('',#490944,#111740); #72824=LINE('',#490945,#111741); #72825=LINE('',#490948,#111742); #72826=LINE('',#490950,#111743); #72827=LINE('',#490951,#111744); #72828=LINE('',#490954,#111745); #72829=LINE('',#490958,#111746); #72830=LINE('',#490962,#111747); #72831=LINE('',#490966,#111748); #72832=LINE('',#490968,#111749); #72833=LINE('',#490970,#111750); #72834=LINE('',#490972,#111751); #72835=LINE('',#490974,#111752); #72836=LINE('',#490975,#111753); #72837=LINE('',#490979,#111754); #72838=LINE('',#490980,#111755); #72839=LINE('',#490981,#111756); #72840=LINE('',#490984,#111757); #72841=LINE('',#490985,#111758); #72842=LINE('',#490988,#111759); #72843=LINE('',#490990,#111760); #72844=LINE('',#490991,#111761); #72845=LINE('',#490993,#111762); #72846=LINE('',#490995,#111763); #72847=LINE('',#490998,#111764); #72848=LINE('',#490999,#111765); #72849=LINE('',#491003,#111766); #72850=LINE('',#491006,#111767); #72851=LINE('',#491007,#111768); #72852=LINE('',#491011,#111769); #72853=LINE('',#491014,#111770); #72854=LINE('',#491015,#111771); #72855=LINE('',#491019,#111772); #72856=LINE('',#491021,#111773); #72857=LINE('',#491026,#111774); #72858=LINE('',#491028,#111775); #72859=LINE('',#491030,#111776); #72860=LINE('',#491032,#111777); #72861=LINE('',#491040,#111778); #72862=LINE('',#491045,#111779); #72863=LINE('',#491060,#111780); #72864=LINE('',#491064,#111781); #72865=LINE('',#491066,#111782); #72866=LINE('',#491067,#111783); #72867=LINE('',#491071,#111784); #72868=LINE('',#491076,#111785); #72869=LINE('',#491078,#111786); #72870=LINE('',#491079,#111787); #72871=LINE('',#491083,#111788); #72872=LINE('',#491088,#111789); #72873=LINE('',#491090,#111790); #72874=LINE('',#491091,#111791); #72875=LINE('',#491111,#111792); #72876=LINE('',#491115,#111793); #72877=LINE('',#491119,#111794); #72878=LINE('',#491134,#111795); #72879=LINE('',#491138,#111796); #72880=LINE('',#491139,#111797); #72881=LINE('',#491142,#111798); #72882=LINE('',#491146,#111799); #72883=LINE('',#491147,#111800); #72884=LINE('',#491150,#111801); #72885=LINE('',#491154,#111802); #72886=LINE('',#491155,#111803); #72887=LINE('',#491181,#111804); #72888=LINE('',#491185,#111805); #72889=LINE('',#491187,#111806); #72890=LINE('',#491188,#111807); #72891=LINE('',#491192,#111808); #72892=LINE('',#491197,#111809); #72893=LINE('',#491199,#111810); #72894=LINE('',#491200,#111811); #72895=LINE('',#491204,#111812); #72896=LINE('',#491209,#111813); #72897=LINE('',#491211,#111814); #72898=LINE('',#491212,#111815); #72899=LINE('',#491232,#111816); #72900=LINE('',#491236,#111817); #72901=LINE('',#491240,#111818); #72902=LINE('',#491255,#111819); #72903=LINE('',#491259,#111820); #72904=LINE('',#491260,#111821); #72905=LINE('',#491263,#111822); #72906=LINE('',#491267,#111823); #72907=LINE('',#491268,#111824); #72908=LINE('',#491271,#111825); #72909=LINE('',#491275,#111826); #72910=LINE('',#491276,#111827); #72911=LINE('',#491302,#111828); #72912=LINE('',#491306,#111829); #72913=LINE('',#491308,#111830); #72914=LINE('',#491309,#111831); #72915=LINE('',#491313,#111832); #72916=LINE('',#491318,#111833); #72917=LINE('',#491320,#111834); #72918=LINE('',#491321,#111835); #72919=LINE('',#491325,#111836); #72920=LINE('',#491330,#111837); #72921=LINE('',#491332,#111838); #72922=LINE('',#491333,#111839); #72923=LINE('',#491353,#111840); #72924=LINE('',#491357,#111841); #72925=LINE('',#491361,#111842); #72926=LINE('',#491376,#111843); #72927=LINE('',#491380,#111844); #72928=LINE('',#491381,#111845); #72929=LINE('',#491384,#111846); #72930=LINE('',#491388,#111847); #72931=LINE('',#491389,#111848); #72932=LINE('',#491392,#111849); #72933=LINE('',#491396,#111850); #72934=LINE('',#491397,#111851); #72935=LINE('',#491423,#111852); #72936=LINE('',#491427,#111853); #72937=LINE('',#491429,#111854); #72938=LINE('',#491430,#111855); #72939=LINE('',#491434,#111856); #72940=LINE('',#491439,#111857); #72941=LINE('',#491441,#111858); #72942=LINE('',#491442,#111859); #72943=LINE('',#491446,#111860); #72944=LINE('',#491451,#111861); #72945=LINE('',#491453,#111862); #72946=LINE('',#491454,#111863); #72947=LINE('',#491474,#111864); #72948=LINE('',#491478,#111865); #72949=LINE('',#491482,#111866); #72950=LINE('',#491497,#111867); #72951=LINE('',#491501,#111868); #72952=LINE('',#491502,#111869); #72953=LINE('',#491505,#111870); #72954=LINE('',#491509,#111871); #72955=LINE('',#491510,#111872); #72956=LINE('',#491513,#111873); #72957=LINE('',#491517,#111874); #72958=LINE('',#491518,#111875); #72959=LINE('',#491531,#111876); #72960=LINE('',#491532,#111877); #72961=LINE('',#491534,#111878); #72962=LINE('',#491536,#111879); #72963=LINE('',#491537,#111880); #72964=LINE('',#491539,#111881); #72965=LINE('',#491541,#111882); #72966=LINE('',#491542,#111883); #72967=LINE('',#491544,#111884); #72968=LINE('',#491546,#111885); #72969=LINE('',#491547,#111886); #72970=LINE('',#491549,#111887); #72971=LINE('',#491551,#111888); #72972=LINE('',#491552,#111889); #72973=LINE('',#491554,#111890); #72974=LINE('',#491556,#111891); #72975=LINE('',#491558,#111892); #72976=LINE('',#491560,#111893); #72977=LINE('',#491562,#111894); #72978=LINE('',#491564,#111895); #72979=LINE('',#491565,#111896); #72980=LINE('',#491568,#111897); #72981=LINE('',#491570,#111898); #72982=LINE('',#491571,#111899); #72983=LINE('',#491573,#111900); #72984=LINE('',#491575,#111901); #72985=LINE('',#491576,#111902); #72986=LINE('',#491579,#111903); #72987=LINE('',#491581,#111904); #72988=LINE('',#491583,#111905); #72989=LINE('',#491584,#111906); #72990=LINE('',#491587,#111907); #72991=LINE('',#491609,#111908); #72992=LINE('',#491613,#111909); #72993=LINE('',#491652,#111910); #72994=LINE('',#491676,#111911); #72995=LINE('',#491692,#111912); #72996=LINE('',#491715,#111913); #72997=LINE('',#491732,#111914); #72998=LINE('',#491754,#111915); #72999=LINE('',#491771,#111916); #73000=LINE('',#491797,#111917); #73001=LINE('',#491813,#111918); #73002=LINE('',#491836,#111919); #73003=LINE('',#491854,#111920); #73004=LINE('',#491879,#111921); #73005=LINE('',#491897,#111922); #73006=LINE('',#491919,#111923); #73007=LINE('',#491935,#111924); #73008=LINE('',#491963,#111925); #73009=LINE('',#491980,#111926); #73010=LINE('',#492004,#111927); #73011=LINE('',#492015,#111928); #73012=LINE('',#492018,#111929); #73013=LINE('',#492020,#111930); #73014=LINE('',#492033,#111931); #73015=LINE('',#492057,#111932); #73016=LINE('',#492076,#111933); #73017=LINE('',#492100,#111934); #73018=LINE('',#492117,#111935); #73019=LINE('',#492140,#111936); #73020=LINE('',#492157,#111937); #73021=LINE('',#492180,#111938); #73022=LINE('',#492196,#111939); #73023=LINE('',#492219,#111940); #73024=LINE('',#492235,#111941); #73025=LINE('',#492258,#111942); #73026=LINE('',#492275,#111943); #73027=LINE('',#492300,#111944); #73028=LINE('',#492312,#111945); #73029=LINE('',#492320,#111946); #73030=LINE('',#492322,#111947); #73031=LINE('',#492323,#111948); #73032=LINE('',#492331,#111949); #73033=LINE('',#492333,#111950); #73034=LINE('',#492337,#111951); #73035=LINE('',#492341,#111952); #73036=LINE('',#492364,#111953); #73037=LINE('',#492386,#111954); #73038=LINE('',#492389,#111955); #73039=LINE('',#492391,#111956); #73040=LINE('',#492392,#111957); #73041=LINE('',#492395,#111958); #73042=LINE('',#492396,#111959); #73043=LINE('',#492401,#111960); #73044=LINE('',#492402,#111961); #73045=LINE('',#492404,#111962); #73046=LINE('',#492406,#111963); #73047=LINE('',#492408,#111964); #73048=LINE('',#492409,#111965); #73049=LINE('',#492414,#111966); #73050=LINE('',#492423,#111967); #73051=LINE('',#492432,#111968); #73052=LINE('',#492441,#111969); #73053=LINE('',#492448,#111970); #73054=LINE('',#492453,#111971); #73055=LINE('',#492458,#111972); #73056=LINE('',#492463,#111973); #73057=LINE('',#492470,#111974); #73058=LINE('',#492473,#111975); #73059=LINE('',#492475,#111976); #73060=LINE('',#492476,#111977); #73061=LINE('',#492530,#111978); #73062=LINE('',#492546,#111979); #73063=LINE('',#492547,#111980); #73064=LINE('',#492620,#111981); #73065=LINE('',#492638,#111982); #73066=LINE('',#492641,#111983); #73067=LINE('',#492642,#111984); #73068=LINE('',#492645,#111985); #73069=LINE('',#492647,#111986); #73070=LINE('',#492648,#111987); #73071=LINE('',#492707,#111988); #73072=LINE('',#492738,#111989); #73073=LINE('',#492739,#111990); #73074=LINE('',#492740,#111991); #73075=LINE('',#492822,#111992); #73076=LINE('',#492825,#111993); #73077=LINE('',#492839,#111994); #73078=LINE('',#492851,#111995); #73079=LINE('',#492865,#111996); #73080=LINE('',#492876,#111997); #73081=LINE('',#492895,#111998); #73082=LINE('',#492911,#111999); #73083=LINE('',#492928,#112000); #73084=LINE('',#492944,#112001); #73085=LINE('',#492962,#112002); #73086=LINE('',#492978,#112003); #73087=LINE('',#492995,#112004); #73088=LINE('',#493013,#112005); #73089=LINE('',#493031,#112006); #73090=LINE('',#493047,#112007); #73091=LINE('',#493064,#112008); #73092=LINE('',#493083,#112009); #73093=LINE('',#493100,#112010); #73094=LINE('',#493117,#112011); #73095=LINE('',#493136,#112012); #73096=LINE('',#493155,#112013); #73097=LINE('',#493173,#112014); #73098=LINE('',#493191,#112015); #73099=LINE('',#493207,#112016); #73100=LINE('',#493226,#112017); #73101=LINE('',#493243,#112018); #73102=LINE('',#493260,#112019); #73103=LINE('',#493278,#112020); #73104=LINE('',#493295,#112021); #73105=LINE('',#493313,#112022); #73106=LINE('',#493332,#112023); #73107=LINE('',#493347,#112024); #73108=LINE('',#493364,#112025); #73109=LINE('',#493366,#112026); #73110=LINE('',#493367,#112027); #73111=LINE('',#493425,#112028); #73112=LINE('',#493442,#112029); #73113=LINE('',#493443,#112030); #73114=LINE('',#493521,#112031); #73115=LINE('',#493536,#112032); #73116=LINE('',#493539,#112033); #73117=LINE('',#493540,#112034); #73118=LINE('',#493542,#112035); #73119=LINE('',#493543,#112036); #73120=LINE('',#493609,#112037); #73121=LINE('',#493627,#112038); #73122=LINE('',#493628,#112039); #73123=LINE('',#493682,#112040); #73124=LINE('',#493686,#112041); #73125=LINE('',#493688,#112042); #73126=LINE('',#493689,#112043); #73127=LINE('',#493747,#112044); #73128=LINE('',#493764,#112045); #73129=LINE('',#493765,#112046); #73130=LINE('',#493848,#112047); #73131=LINE('',#493863,#112048); #73132=LINE('',#493866,#112049); #73133=LINE('',#493867,#112050); #73134=LINE('',#493869,#112051); #73135=LINE('',#493870,#112052); #73136=LINE('',#493920,#112053); #73137=LINE('',#493934,#112054); #73138=LINE('',#493935,#112055); #73139=LINE('',#493989,#112056); #73140=LINE('',#493993,#112057); #73141=LINE('',#493995,#112058); #73142=LINE('',#493996,#112059); #73143=LINE('',#494050,#112060); #73144=LINE('',#494066,#112061); #73145=LINE('',#494067,#112062); #73146=LINE('',#494140,#112063); #73147=LINE('',#494155,#112064); #73148=LINE('',#494158,#112065); #73149=LINE('',#494159,#112066); #73150=LINE('',#494161,#112067); #73151=LINE('',#494162,#112068); #73152=LINE('',#494220,#112069); #73153=LINE('',#494236,#112070); #73154=LINE('',#494237,#112071); #73155=LINE('',#494291,#112072); #73156=LINE('',#494295,#112073); #73157=LINE('',#494297,#112074); #73158=LINE('',#494298,#112075); #73159=LINE('',#494356,#112076); #73160=LINE('',#494373,#112077); #73161=LINE('',#494374,#112078); #73162=LINE('',#494447,#112079); #73163=LINE('',#494465,#112080); #73164=LINE('',#494468,#112081); #73165=LINE('',#494469,#112082); #73166=LINE('',#494471,#112083); #73167=LINE('',#494472,#112084); #73168=LINE('',#494534,#112085); #73169=LINE('',#494551,#112086); #73170=LINE('',#494552,#112087); #73171=LINE('',#494618,#112088); #73172=LINE('',#494622,#112089); #73173=LINE('',#494624,#112090); #73174=LINE('',#494625,#112091); #73175=LINE('',#494683,#112092); #73176=LINE('',#494700,#112093); #73177=LINE('',#494701,#112094); #73178=LINE('',#494774,#112095); #73179=LINE('',#494789,#112096); #73180=LINE('',#494792,#112097); #73181=LINE('',#494793,#112098); #73182=LINE('',#494795,#112099); #73183=LINE('',#494796,#112100); #73184=LINE('',#494858,#112101); #73185=LINE('',#494875,#112102); #73186=LINE('',#494876,#112103); #73187=LINE('',#494930,#112104); #73188=LINE('',#494934,#112105); #73189=LINE('',#494936,#112106); #73190=LINE('',#494937,#112107); #73191=LINE('',#494991,#112108); #73192=LINE('',#495007,#112109); #73193=LINE('',#495008,#112110); #73194=LINE('',#495091,#112111); #73195=LINE('',#495107,#112112); #73196=LINE('',#495110,#112113); #73197=LINE('',#495111,#112114); #73198=LINE('',#495113,#112115); #73199=LINE('',#495114,#112116); #73200=LINE('',#495176,#112117); #73201=LINE('',#495193,#112118); #73202=LINE('',#495194,#112119); #73203=LINE('',#495252,#112120); #73204=LINE('',#495256,#112121); #73205=LINE('',#495258,#112122); #73206=LINE('',#495259,#112123); #73207=LINE('',#495321,#112124); #73208=LINE('',#495339,#112125); #73209=LINE('',#495340,#112126); #73210=LINE('',#495413,#112127); #73211=LINE('',#495430,#112128); #73212=LINE('',#495433,#112129); #73213=LINE('',#495434,#112130); #73214=LINE('',#495436,#112131); #73215=LINE('',#495437,#112132); #73216=LINE('',#495495,#112133); #73217=LINE('',#495511,#112134); #73218=LINE('',#495512,#112135); #73219=LINE('',#495574,#112136); #73220=LINE('',#495578,#112137); #73221=LINE('',#495580,#112138); #73222=LINE('',#495581,#112139); #73223=LINE('',#495643,#112140); #73224=LINE('',#495661,#112141); #73225=LINE('',#495662,#112142); #73226=LINE('',#495745,#112143); #73227=LINE('',#495761,#112144); #73228=LINE('',#495764,#112145); #73229=LINE('',#495765,#112146); #73230=LINE('',#495767,#112147); #73231=LINE('',#495768,#112148); #73232=LINE('',#495826,#112149); #73233=LINE('',#495842,#112150); #73234=LINE('',#495843,#112151); #73235=LINE('',#495901,#112152); #73236=LINE('',#495905,#112153); #73237=LINE('',#495907,#112154); #73238=LINE('',#495908,#112155); #73239=LINE('',#495962,#112156); #73240=LINE('',#495978,#112157); #73241=LINE('',#495979,#112158); #73242=LINE('',#496062,#112159); #73243=LINE('',#496077,#112160); #73244=LINE('',#496080,#112161); #73245=LINE('',#496081,#112162); #73246=LINE('',#496083,#112163); #73247=LINE('',#496084,#112164); #73248=LINE('',#496146,#112165); #73249=LINE('',#496163,#112166); #73250=LINE('',#496164,#112167); #73251=LINE('',#496218,#112168); #73252=LINE('',#496222,#112169); #73253=LINE('',#496224,#112170); #73254=LINE('',#496225,#112171); #73255=LINE('',#496283,#112172); #73256=LINE('',#496300,#112173); #73257=LINE('',#496301,#112174); #73258=LINE('',#496379,#112175); #73259=LINE('',#496397,#112176); #73260=LINE('',#496400,#112177); #73261=LINE('',#496401,#112178); #73262=LINE('',#496403,#112179); #73263=LINE('',#496404,#112180); #73264=LINE('',#496458,#112181); #73265=LINE('',#496473,#112182); #73266=LINE('',#496474,#112183); #73267=LINE('',#496540,#112184); #73268=LINE('',#496544,#112185); #73269=LINE('',#496546,#112186); #73270=LINE('',#496547,#112187); #73271=LINE('',#496601,#112188); #73272=LINE('',#496617,#112189); #73273=LINE('',#496618,#112190); #73274=LINE('',#496696,#112191); #73275=LINE('',#496713,#112192); #73276=LINE('',#496716,#112193); #73277=LINE('',#496717,#112194); #73278=LINE('',#496719,#112195); #73279=LINE('',#496720,#112196); #73280=LINE('',#496782,#112197); #73281=LINE('',#496799,#112198); #73282=LINE('',#496800,#112199); #73283=LINE('',#496862,#112200); #73284=LINE('',#496866,#112201); #73285=LINE('',#496868,#112202); #73286=LINE('',#496869,#112203); #73287=LINE('',#496935,#112204); #73288=LINE('',#496954,#112205); #73289=LINE('',#496955,#112206); #73290=LINE('',#497033,#112207); #73291=LINE('',#497050,#112208); #73292=LINE('',#497053,#112209); #73293=LINE('',#497054,#112210); #73294=LINE('',#497056,#112211); #73295=LINE('',#497057,#112212); #73296=LINE('',#497119,#112213); #73297=LINE('',#497136,#112214); #73298=LINE('',#497137,#112215); #73299=LINE('',#497199,#112216); #73300=LINE('',#497203,#112217); #73301=LINE('',#497205,#112218); #73302=LINE('',#497206,#112219); #73303=LINE('',#497264,#112220); #73304=LINE('',#497281,#112221); #73305=LINE('',#497282,#112222); #73306=LINE('',#497365,#112223); #73307=LINE('',#497383,#112224); #73308=LINE('',#497386,#112225); #73309=LINE('',#497387,#112226); #73310=LINE('',#497389,#112227); #73311=LINE('',#497390,#112228); #73312=LINE('',#497448,#112229); #73313=LINE('',#497464,#112230); #73314=LINE('',#497465,#112231); #73315=LINE('',#497531,#112232); #73316=LINE('',#497535,#112233); #73317=LINE('',#497537,#112234); #73318=LINE('',#497538,#112235); #73319=LINE('',#497596,#112236); #73320=LINE('',#497613,#112237); #73321=LINE('',#497614,#112238); #73322=LINE('',#497687,#112239); #73323=LINE('',#497705,#112240); #73324=LINE('',#497708,#112241); #73325=LINE('',#497709,#112242); #73326=LINE('',#497711,#112243); #73327=LINE('',#497712,#112244); #73328=LINE('',#497778,#112245); #73329=LINE('',#497796,#112246); #73330=LINE('',#497797,#112247); #73331=LINE('',#497863,#112248); #73332=LINE('',#497867,#112249); #73333=LINE('',#497869,#112250); #73334=LINE('',#497870,#112251); #73335=LINE('',#497924,#112252); #73336=LINE('',#497940,#112253); #73337=LINE('',#497941,#112254); #73338=LINE('',#498014,#112255); #73339=LINE('',#498030,#112256); #73340=LINE('',#498033,#112257); #73341=LINE('',#498034,#112258); #73342=LINE('',#498036,#112259); #73343=LINE('',#498037,#112260); #73344=LINE('',#498095,#112261); #73345=LINE('',#498111,#112262); #73346=LINE('',#498112,#112263); #73347=LINE('',#498170,#112264); #73348=LINE('',#498173,#112265); #73349=LINE('',#498179,#112266); #73350=LINE('',#498180,#112267); #73351=LINE('',#498185,#112268); #73352=LINE('',#498186,#112269); #73353=LINE('',#498189,#112270); #73354=LINE('',#498192,#112271); #73355=LINE('',#498194,#112272); #73356=LINE('',#498198,#112273); #73357=LINE('',#498201,#112274); #73358=LINE('',#498204,#112275); #73359=LINE('',#498206,#112276); #73360=LINE('',#498209,#112277); #73361=LINE('',#498212,#112278); #73362=LINE('',#498214,#112279); #73363=LINE('',#498217,#112280); #73364=LINE('',#498220,#112281); #73365=LINE('',#498226,#112282); #73366=LINE('',#498231,#112283); #73367=LINE('',#498250,#112284); #73368=LINE('',#498256,#112285); #73369=LINE('',#498262,#112286); #73370=LINE('',#498268,#112287); #73371=LINE('',#498272,#112288); #73372=LINE('',#498277,#112289); #73373=LINE('',#498282,#112290); #73374=LINE('',#498287,#112291); #73375=LINE('',#498292,#112292); #73376=LINE('',#498296,#112293); #73377=LINE('',#498302,#112294); #73378=LINE('',#498306,#112295); #73379=LINE('',#498312,#112296); #73380=LINE('',#498316,#112297); #73381=LINE('',#498321,#112298); #73382=LINE('',#498323,#112299); #73383=LINE('',#498325,#112300); #73384=LINE('',#498326,#112301); #73385=LINE('',#498333,#112302); #73386=LINE('',#498335,#112303); #73387=LINE('',#498337,#112304); #73388=LINE('',#498338,#112305); #73389=LINE('',#498342,#112306); #73390=LINE('',#498344,#112307); #73391=LINE('',#498346,#112308); #73392=LINE('',#498347,#112309); #73393=LINE('',#498350,#112310); #73394=LINE('',#498352,#112311); #73395=LINE('',#498354,#112312); #73396=LINE('',#498356,#112313); #73397=LINE('',#498358,#112314); #73398=LINE('',#498360,#112315); #73399=LINE('',#498361,#112316); #73400=LINE('',#498364,#112317); #73401=LINE('',#498366,#112318); #73402=LINE('',#498367,#112319); #73403=LINE('',#498371,#112320); #73404=LINE('',#498373,#112321); #73405=LINE('',#498375,#112322); #73406=LINE('',#498376,#112323); #73407=LINE('',#498379,#112324); #73408=LINE('',#498381,#112325); #73409=LINE('',#498383,#112326); #73410=LINE('',#498385,#112327); #73411=LINE('',#498386,#112328); #73412=LINE('',#498387,#112329); #73413=LINE('',#498392,#112330); #73414=LINE('',#498394,#112331); #73415=LINE('',#498395,#112332); #73416=LINE('',#498401,#112333); #73417=LINE('',#498403,#112334); #73418=LINE('',#498404,#112335); #73419=LINE('',#498407,#112336); #73420=LINE('',#498408,#112337); #73421=LINE('',#498410,#112338); #73422=LINE('',#498412,#112339); #73423=LINE('',#498413,#112340); #73424=LINE('',#498415,#112341); #73425=LINE('',#498417,#112342); #73426=LINE('',#498418,#112343); #73427=LINE('',#498421,#112344); #73428=LINE('',#498422,#112345); #73429=LINE('',#498425,#112346); #73430=LINE('',#498426,#112347); #73431=LINE('',#498427,#112348); #73432=LINE('',#498430,#112349); #73433=LINE('',#498433,#112350); #73434=LINE('',#498435,#112351); #73435=LINE('',#498440,#112352); #73436=LINE('',#498442,#112353); #73437=LINE('',#498444,#112354); #73438=LINE('',#498448,#112355); #73439=LINE('',#498452,#112356); #73440=LINE('',#498456,#112357); #73441=LINE('',#498457,#112358); #73442=LINE('',#498460,#112359); #73443=LINE('',#498461,#112360); #73444=LINE('',#498465,#112361); #73445=LINE('',#498467,#112362); #73446=LINE('',#498469,#112363); #73447=LINE('',#498471,#112364); #73448=LINE('',#498473,#112365); #73449=LINE('',#498474,#112366); #73450=LINE('',#498478,#112367); #73451=LINE('',#498480,#112368); #73452=LINE('',#498482,#112369); #73453=LINE('',#498484,#112370); #73454=LINE('',#498486,#112371); #73455=LINE('',#498487,#112372); #73456=LINE('',#498491,#112373); #73457=LINE('',#498493,#112374); #73458=LINE('',#498495,#112375); #73459=LINE('',#498497,#112376); #73460=LINE('',#498499,#112377); #73461=LINE('',#498500,#112378); #73462=LINE('',#498505,#112379); #73463=LINE('',#498510,#112380); #73464=LINE('',#498512,#112381); #73465=LINE('',#498514,#112382); #73466=LINE('',#498515,#112383); #73467=LINE('',#498520,#112384); #73468=LINE('',#498526,#112385); #73469=LINE('',#498531,#112386); #73470=LINE('',#498535,#112387); #73471=LINE('',#498537,#112388); #73472=LINE('',#498539,#112389); #73473=LINE('',#498540,#112390); #73474=LINE('',#498545,#112391); #73475=LINE('',#498551,#112392); #73476=LINE('',#498556,#112393); #73477=LINE('',#498561,#112394); #73478=LINE('',#498565,#112395); #73479=LINE('',#498570,#112396); #73480=LINE('',#498573,#112397); #73481=LINE('',#498574,#112398); #73482=LINE('',#498579,#112399); #73483=LINE('',#498586,#112400); #73484=LINE('',#498589,#112401); #73485=LINE('',#498599,#112402); #73486=LINE('',#498600,#112403); #73487=LINE('',#498606,#112404); #73488=LINE('',#498609,#112405); #73489=LINE('',#498615,#112406); #73490=LINE('',#498616,#112407); #73491=LINE('',#498618,#112408); #73492=LINE('',#498628,#112409); #73493=LINE('',#498631,#112410); #73494=LINE('',#498641,#112411); #73495=LINE('',#498642,#112412); #73496=LINE('',#498648,#112413); #73497=LINE('',#498652,#112414); #73498=LINE('',#498702,#112415); #73499=LINE('',#498705,#112416); #73500=LINE('',#498707,#112417); #73501=LINE('',#498713,#112418); #73502=LINE('',#498718,#112419); #73503=LINE('',#498722,#112420); #73504=LINE('',#498727,#112421); #73505=LINE('',#498729,#112422); #73506=LINE('',#498733,#112423); #73507=LINE('',#498736,#112424); #73508=LINE('',#498738,#112425); #73509=LINE('',#498742,#112426); #73510=LINE('',#498746,#112427); #73511=LINE('',#498749,#112428); #73512=LINE('',#498750,#112429); #73513=LINE('',#498806,#112430); #73514=LINE('',#498821,#112431); #73515=LINE('',#498824,#112432); #73516=LINE('',#498827,#112433); #73517=LINE('',#498828,#112434); #73518=LINE('',#498831,#112435); #73519=LINE('',#498835,#112436); #73520=LINE('',#498836,#112437); #73521=LINE('',#498839,#112438); #73522=LINE('',#498843,#112439); #73523=LINE('',#498844,#112440); #73524=LINE('',#498846,#112441); #73525=LINE('',#498849,#112442); #73526=LINE('',#498850,#112443); #73527=LINE('',#498852,#112444); #73528=LINE('',#498863,#112445); #73529=LINE('',#498869,#112446); #73530=LINE('',#498875,#112447); #73531=LINE('',#498881,#112448); #73532=LINE('',#498887,#112449); #73533=LINE('',#498893,#112450); #73534=LINE('',#498899,#112451); #73535=LINE('',#498905,#112452); #73536=LINE('',#498911,#112453); #73537=LINE('',#498917,#112454); #73538=LINE('',#498923,#112455); #73539=LINE('',#498929,#112456); #73540=LINE('',#498935,#112457); #73541=LINE('',#498941,#112458); #73542=LINE('',#498947,#112459); #73543=LINE('',#498953,#112460); #73544=LINE('',#498959,#112461); #73545=LINE('',#498965,#112462); #73546=LINE('',#498971,#112463); #73547=LINE('',#498977,#112464); #73548=LINE('',#498983,#112465); #73549=LINE('',#498989,#112466); #73550=LINE('',#498995,#112467); #73551=LINE('',#499001,#112468); #73552=LINE('',#499007,#112469); #73553=LINE('',#499013,#112470); #73554=LINE('',#499019,#112471); #73555=LINE('',#499025,#112472); #73556=LINE('',#499031,#112473); #73557=LINE('',#499037,#112474); #73558=LINE('',#499043,#112475); #73559=LINE('',#499049,#112476); #73560=LINE('',#499055,#112477); #73561=LINE('',#499061,#112478); #73562=LINE('',#499067,#112479); #73563=LINE('',#499073,#112480); #73564=LINE('',#499079,#112481); #73565=LINE('',#499085,#112482); #73566=LINE('',#499091,#112483); #73567=LINE('',#499097,#112484); #73568=LINE('',#499103,#112485); #73569=LINE('',#499109,#112486); #73570=LINE('',#499115,#112487); #73571=LINE('',#499121,#112488); #73572=LINE('',#499127,#112489); #73573=LINE('',#499133,#112490); #73574=LINE('',#499139,#112491); #73575=LINE('',#499145,#112492); #73576=LINE('',#499151,#112493); #73577=LINE('',#499157,#112494); #73578=LINE('',#499163,#112495); #73579=LINE('',#499169,#112496); #73580=LINE('',#499175,#112497); #73581=LINE('',#499181,#112498); #73582=LINE('',#499187,#112499); #73583=LINE('',#499193,#112500); #73584=LINE('',#499199,#112501); #73585=LINE('',#499205,#112502); #73586=LINE('',#499211,#112503); #73587=LINE('',#499217,#112504); #73588=LINE('',#499223,#112505); #73589=LINE('',#499229,#112506); #73590=LINE('',#499235,#112507); #73591=LINE('',#499241,#112508); #73592=LINE('',#499247,#112509); #73593=LINE('',#499253,#112510); #73594=LINE('',#499259,#112511); #73595=LINE('',#499265,#112512); #73596=LINE('',#499271,#112513); #73597=LINE('',#499277,#112514); #73598=LINE('',#499283,#112515); #73599=LINE('',#499289,#112516); #73600=LINE('',#499295,#112517); #73601=LINE('',#499301,#112518); #73602=LINE('',#499307,#112519); #73603=LINE('',#499313,#112520); #73604=LINE('',#499319,#112521); #73605=LINE('',#499325,#112522); #73606=LINE('',#499331,#112523); #73607=LINE('',#499337,#112524); #73608=LINE('',#499343,#112525); #73609=LINE('',#499349,#112526); #73610=LINE('',#499355,#112527); #73611=LINE('',#499361,#112528); #73612=LINE('',#499367,#112529); #73613=LINE('',#499373,#112530); #73614=LINE('',#499379,#112531); #73615=LINE('',#499385,#112532); #73616=LINE('',#499391,#112533); #73617=LINE('',#499397,#112534); #73618=LINE('',#499403,#112535); #73619=LINE('',#499409,#112536); #73620=LINE('',#499415,#112537); #73621=LINE('',#499421,#112538); #73622=LINE('',#499427,#112539); #73623=LINE('',#499433,#112540); #73624=LINE('',#499439,#112541); #73625=LINE('',#499445,#112542); #73626=LINE('',#499451,#112543); #73627=LINE('',#499457,#112544); #73628=LINE('',#499463,#112545); #73629=LINE('',#499469,#112546); #73630=LINE('',#499475,#112547); #73631=LINE('',#499481,#112548); #73632=LINE('',#499487,#112549); #73633=LINE('',#499493,#112550); #73634=LINE('',#499499,#112551); #73635=LINE('',#499505,#112552); #73636=LINE('',#499511,#112553); #73637=LINE('',#499517,#112554); #73638=LINE('',#499523,#112555); #73639=LINE('',#499529,#112556); #73640=LINE('',#499535,#112557); #73641=LINE('',#499541,#112558); #73642=LINE('',#499547,#112559); #73643=LINE('',#499553,#112560); #73644=LINE('',#499559,#112561); #73645=LINE('',#499565,#112562); #73646=LINE('',#499571,#112563); #73647=LINE('',#499577,#112564); #73648=LINE('',#499583,#112565); #73649=LINE('',#499589,#112566); #73650=LINE('',#499595,#112567); #73651=LINE('',#499601,#112568); #73652=LINE('',#499607,#112569); #73653=LINE('',#499613,#112570); #73654=LINE('',#499619,#112571); #73655=LINE('',#499625,#112572); #73656=LINE('',#499631,#112573); #73657=LINE('',#499637,#112574); #73658=LINE('',#499643,#112575); #73659=LINE('',#499649,#112576); #73660=LINE('',#499655,#112577); #73661=LINE('',#499661,#112578); #73662=LINE('',#499667,#112579); #73663=LINE('',#499673,#112580); #73664=LINE('',#499679,#112581); #73665=LINE('',#499685,#112582); #73666=LINE('',#499691,#112583); #73667=LINE('',#499697,#112584); #73668=LINE('',#499703,#112585); #73669=LINE('',#499709,#112586); #73670=LINE('',#499715,#112587); #73671=LINE('',#499721,#112588); #73672=LINE('',#499727,#112589); #73673=LINE('',#499733,#112590); #73674=LINE('',#499739,#112591); #73675=LINE('',#499745,#112592); #73676=LINE('',#499751,#112593); #73677=LINE('',#499757,#112594); #73678=LINE('',#499763,#112595); #73679=LINE('',#499769,#112596); #73680=LINE('',#499775,#112597); #73681=LINE('',#499781,#112598); #73682=LINE('',#499787,#112599); #73683=LINE('',#499793,#112600); #73684=LINE('',#499799,#112601); #73685=LINE('',#499805,#112602); #73686=LINE('',#499811,#112603); #73687=LINE('',#499817,#112604); #73688=LINE('',#499823,#112605); #73689=LINE('',#499829,#112606); #73690=LINE('',#499835,#112607); #73691=LINE('',#499840,#112608); #73692=LINE('',#499842,#112609); #73693=LINE('',#499844,#112610); #73694=LINE('',#499845,#112611); #73695=LINE('',#499851,#112612); #73696=LINE('',#499854,#112613); #73697=LINE('',#499856,#112614); #73698=LINE('',#499857,#112615); #73699=LINE('',#499865,#112616); #73700=LINE('',#499870,#112617); #73701=LINE('',#499872,#112618); #73702=LINE('',#499874,#112619); #73703=LINE('',#499875,#112620); #73704=LINE('',#499881,#112621); #73705=LINE('',#499884,#112622); #73706=LINE('',#499886,#112623); #73707=LINE('',#499887,#112624); #73708=LINE('',#499895,#112625); #73709=LINE('',#499901,#112626); #73710=LINE('',#499907,#112627); #73711=LINE('',#499912,#112628); #73712=LINE('',#499914,#112629); #73713=LINE('',#499916,#112630); #73714=LINE('',#499917,#112631); #73715=LINE('',#499923,#112632); #73716=LINE('',#499926,#112633); #73717=LINE('',#499928,#112634); #73718=LINE('',#499929,#112635); #73719=LINE('',#499937,#112636); #73720=LINE('',#499943,#112637); #73721=LINE('',#499949,#112638); #73722=LINE('',#499955,#112639); #73723=LINE('',#499961,#112640); #73724=LINE('',#499967,#112641); #73725=LINE('',#499973,#112642); #73726=LINE('',#499979,#112643); #73727=LINE('',#499985,#112644); #73728=LINE('',#499991,#112645); #73729=LINE('',#499996,#112646); #73730=LINE('',#499998,#112647); #73731=LINE('',#500000,#112648); #73732=LINE('',#500001,#112649); #73733=LINE('',#500007,#112650); #73734=LINE('',#500010,#112651); #73735=LINE('',#500012,#112652); #73736=LINE('',#500013,#112653); #73737=LINE('',#500021,#112654); #73738=LINE('',#500026,#112655); #73739=LINE('',#500028,#112656); #73740=LINE('',#500030,#112657); #73741=LINE('',#500031,#112658); #73742=LINE('',#500037,#112659); #73743=LINE('',#500040,#112660); #73744=LINE('',#500042,#112661); #73745=LINE('',#500043,#112662); #73746=LINE('',#500051,#112663); #73747=LINE('',#500056,#112664); #73748=LINE('',#500058,#112665); #73749=LINE('',#500060,#112666); #73750=LINE('',#500061,#112667); #73751=LINE('',#500067,#112668); #73752=LINE('',#500070,#112669); #73753=LINE('',#500072,#112670); #73754=LINE('',#500073,#112671); #73755=LINE('',#500081,#112672); #73756=LINE('',#500087,#112673); #73757=LINE('',#500093,#112674); #73758=LINE('',#500099,#112675); #73759=LINE('',#500105,#112676); #73760=LINE('',#500111,#112677); #73761=LINE('',#500117,#112678); #73762=LINE('',#500123,#112679); #73763=LINE('',#500129,#112680); #73764=LINE('',#500135,#112681); #73765=LINE('',#500141,#112682); #73766=LINE('',#500147,#112683); #73767=LINE('',#500153,#112684); #73768=LINE('',#500159,#112685); #73769=LINE('',#500165,#112686); #73770=LINE('',#500171,#112687); #73771=LINE('',#500177,#112688); #73772=LINE('',#500183,#112689); #73773=LINE('',#500189,#112690); #73774=LINE('',#500195,#112691); #73775=LINE('',#500201,#112692); #73776=LINE('',#500207,#112693); #73777=LINE('',#500213,#112694); #73778=LINE('',#500219,#112695); #73779=LINE('',#500225,#112696); #73780=LINE('',#500231,#112697); #73781=LINE('',#500237,#112698); #73782=LINE('',#500243,#112699); #73783=LINE('',#500249,#112700); #73784=LINE('',#500255,#112701); #73785=LINE('',#500261,#112702); #73786=LINE('',#500267,#112703); #73787=LINE('',#500273,#112704); #73788=LINE('',#500279,#112705); #73789=LINE('',#500285,#112706); #73790=LINE('',#500291,#112707); #73791=LINE('',#500297,#112708); #73792=LINE('',#500303,#112709); #73793=LINE('',#500309,#112710); #73794=LINE('',#500315,#112711); #73795=LINE('',#500321,#112712); #73796=LINE('',#500327,#112713); #73797=LINE('',#500333,#112714); #73798=LINE('',#500339,#112715); #73799=LINE('',#500345,#112716); #73800=LINE('',#500351,#112717); #73801=LINE('',#500357,#112718); #73802=LINE('',#500363,#112719); #73803=LINE('',#500369,#112720); #73804=LINE('',#500375,#112721); #73805=LINE('',#500381,#112722); #73806=LINE('',#500387,#112723); #73807=LINE('',#500393,#112724); #73808=LINE('',#500399,#112725); #73809=LINE('',#500405,#112726); #73810=LINE('',#500411,#112727); #73811=LINE('',#500417,#112728); #73812=LINE('',#500423,#112729); #73813=LINE('',#500429,#112730); #73814=LINE('',#500435,#112731); #73815=LINE('',#500441,#112732); #73816=LINE('',#500447,#112733); #73817=LINE('',#500453,#112734); #73818=LINE('',#500459,#112735); #73819=LINE('',#500465,#112736); #73820=LINE('',#500471,#112737); #73821=LINE('',#500477,#112738); #73822=LINE('',#500483,#112739); #73823=LINE('',#500489,#112740); #73824=LINE('',#500495,#112741); #73825=LINE('',#500501,#112742); #73826=LINE('',#500507,#112743); #73827=LINE('',#500513,#112744); #73828=LINE('',#500519,#112745); #73829=LINE('',#500525,#112746); #73830=LINE('',#500531,#112747); #73831=LINE('',#500537,#112748); #73832=LINE('',#500543,#112749); #73833=LINE('',#500549,#112750); #73834=LINE('',#500555,#112751); #73835=LINE('',#500561,#112752); #73836=LINE('',#500567,#112753); #73837=LINE('',#500573,#112754); #73838=LINE('',#500579,#112755); #73839=LINE('',#500585,#112756); #73840=LINE('',#500591,#112757); #73841=LINE('',#500597,#112758); #73842=LINE('',#500603,#112759); #73843=LINE('',#500609,#112760); #73844=LINE('',#500615,#112761); #73845=LINE('',#500621,#112762); #73846=LINE('',#500627,#112763); #73847=LINE('',#500633,#112764); #73848=LINE('',#500639,#112765); #73849=LINE('',#500645,#112766); #73850=LINE('',#500651,#112767); #73851=LINE('',#500657,#112768); #73852=LINE('',#500663,#112769); #73853=LINE('',#500669,#112770); #73854=LINE('',#500675,#112771); #73855=LINE('',#500681,#112772); #73856=LINE('',#500687,#112773); #73857=LINE('',#500693,#112774); #73858=LINE('',#500699,#112775); #73859=LINE('',#500705,#112776); #73860=LINE('',#500711,#112777); #73861=LINE('',#500717,#112778); #73862=LINE('',#500723,#112779); #73863=LINE('',#500729,#112780); #73864=LINE('',#500735,#112781); #73865=LINE('',#500741,#112782); #73866=LINE('',#500747,#112783); #73867=LINE('',#500753,#112784); #73868=LINE('',#500759,#112785); #73869=LINE('',#500765,#112786); #73870=LINE('',#500771,#112787); #73871=LINE('',#500777,#112788); #73872=LINE('',#500783,#112789); #73873=LINE('',#500789,#112790); #73874=LINE('',#500795,#112791); #73875=LINE('',#500801,#112792); #73876=LINE('',#500807,#112793); #73877=LINE('',#500813,#112794); #73878=LINE('',#500819,#112795); #73879=LINE('',#500825,#112796); #73880=LINE('',#500831,#112797); #73881=LINE('',#500837,#112798); #73882=LINE('',#500843,#112799); #73883=LINE('',#500849,#112800); #73884=LINE('',#500855,#112801); #73885=LINE('',#500861,#112802); #73886=LINE('',#500867,#112803); #73887=LINE('',#500873,#112804); #73888=LINE('',#500881,#112805); #73889=LINE('',#500887,#112806); #73890=LINE('',#500895,#112807); #73891=LINE('',#500901,#112808); #73892=LINE('',#500909,#112809); #73893=LINE('',#500915,#112810); #73894=LINE('',#500923,#112811); #73895=LINE('',#500929,#112812); #73896=LINE('',#500937,#112813); #73897=LINE('',#500943,#112814); #73898=LINE('',#500951,#112815); #73899=LINE('',#500957,#112816); #73900=LINE('',#500965,#112817); #73901=LINE('',#500971,#112818); #73902=LINE('',#500979,#112819); #73903=LINE('',#500985,#112820); #73904=LINE('',#500993,#112821); #73905=LINE('',#500999,#112822); #73906=LINE('',#501007,#112823); #73907=LINE('',#501013,#112824); #73908=LINE('',#501021,#112825); #73909=LINE('',#501027,#112826); #73910=LINE('',#501035,#112827); #73911=LINE('',#501041,#112828); #73912=LINE('',#501049,#112829); #73913=LINE('',#501055,#112830); #73914=LINE('',#501063,#112831); #73915=LINE('',#501069,#112832); #73916=LINE('',#501077,#112833); #73917=LINE('',#501083,#112834); #73918=LINE('',#501091,#112835); #73919=LINE('',#501097,#112836); #73920=LINE('',#501105,#112837); #73921=LINE('',#501111,#112838); #73922=LINE('',#501119,#112839); #73923=LINE('',#501125,#112840); #73924=LINE('',#501133,#112841); #73925=LINE('',#501139,#112842); #73926=LINE('',#501147,#112843); #73927=LINE('',#501153,#112844); #73928=LINE('',#501161,#112845); #73929=LINE('',#501167,#112846); #73930=LINE('',#501175,#112847); #73931=LINE('',#501181,#112848); #73932=LINE('',#501189,#112849); #73933=LINE('',#501195,#112850); #73934=LINE('',#501203,#112851); #73935=LINE('',#501209,#112852); #73936=LINE('',#501217,#112853); #73937=LINE('',#501223,#112854); #73938=LINE('',#501231,#112855); #73939=LINE('',#501237,#112856); #73940=LINE('',#501245,#112857); #73941=LINE('',#501251,#112858); #73942=LINE('',#501259,#112859); #73943=LINE('',#501265,#112860); #73944=LINE('',#501273,#112861); #73945=LINE('',#501279,#112862); #73946=LINE('',#501287,#112863); #73947=LINE('',#501293,#112864); #73948=LINE('',#501301,#112865); #73949=LINE('',#501307,#112866); #73950=LINE('',#501315,#112867); #73951=LINE('',#501321,#112868); #73952=LINE('',#501329,#112869); #73953=LINE('',#501335,#112870); #73954=LINE('',#501343,#112871); #73955=LINE('',#501349,#112872); #73956=LINE('',#501357,#112873); #73957=LINE('',#501363,#112874); #73958=LINE('',#501371,#112875); #73959=LINE('',#501377,#112876); #73960=LINE('',#501385,#112877); #73961=LINE('',#501391,#112878); #73962=LINE('',#501399,#112879); #73963=LINE('',#501405,#112880); #73964=LINE('',#501413,#112881); #73965=LINE('',#501419,#112882); #73966=LINE('',#501427,#112883); #73967=LINE('',#501433,#112884); #73968=LINE('',#501441,#112885); #73969=LINE('',#501447,#112886); #73970=LINE('',#501455,#112887); #73971=LINE('',#501461,#112888); #73972=LINE('',#501469,#112889); #73973=LINE('',#501475,#112890); #73974=LINE('',#501483,#112891); #73975=LINE('',#501489,#112892); #73976=LINE('',#501497,#112893); #73977=LINE('',#501503,#112894); #73978=LINE('',#501511,#112895); #73979=LINE('',#501517,#112896); #73980=LINE('',#501525,#112897); #73981=LINE('',#501531,#112898); #73982=LINE('',#501539,#112899); #73983=LINE('',#501545,#112900); #73984=LINE('',#501553,#112901); #73985=LINE('',#501559,#112902); #73986=LINE('',#501567,#112903); #73987=LINE('',#501573,#112904); #73988=LINE('',#501581,#112905); #73989=LINE('',#501587,#112906); #73990=LINE('',#501595,#112907); #73991=LINE('',#501601,#112908); #73992=LINE('',#501609,#112909); #73993=LINE('',#501615,#112910); #73994=LINE('',#501623,#112911); #73995=LINE('',#501629,#112912); #73996=LINE('',#501637,#112913); #73997=LINE('',#501643,#112914); #73998=LINE('',#501651,#112915); #73999=LINE('',#501657,#112916); #74000=LINE('',#501665,#112917); #74001=LINE('',#501671,#112918); #74002=LINE('',#501679,#112919); #74003=LINE('',#501685,#112920); #74004=LINE('',#501693,#112921); #74005=LINE('',#501699,#112922); #74006=LINE('',#501707,#112923); #74007=LINE('',#501713,#112924); #74008=LINE('',#501721,#112925); #74009=LINE('',#501727,#112926); #74010=LINE('',#501735,#112927); #74011=LINE('',#501741,#112928); #74012=LINE('',#501749,#112929); #74013=LINE('',#501755,#112930); #74014=LINE('',#501763,#112931); #74015=LINE('',#501769,#112932); #74016=LINE('',#501777,#112933); #74017=LINE('',#501783,#112934); #74018=LINE('',#501791,#112935); #74019=LINE('',#501797,#112936); #74020=LINE('',#501805,#112937); #74021=LINE('',#501811,#112938); #74022=LINE('',#501819,#112939); #74023=LINE('',#501825,#112940); #74024=LINE('',#501833,#112941); #74025=LINE('',#501839,#112942); #74026=LINE('',#501847,#112943); #74027=LINE('',#501853,#112944); #74028=LINE('',#501861,#112945); #74029=LINE('',#501867,#112946); #74030=LINE('',#501875,#112947); #74031=LINE('',#501881,#112948); #74032=LINE('',#501889,#112949); #74033=LINE('',#501895,#112950); #74034=LINE('',#501903,#112951); #74035=LINE('',#501909,#112952); #74036=LINE('',#501917,#112953); #74037=LINE('',#501923,#112954); #74038=LINE('',#501931,#112955); #74039=LINE('',#501937,#112956); #74040=LINE('',#501945,#112957); #74041=LINE('',#501951,#112958); #74042=LINE('',#501959,#112959); #74043=LINE('',#501965,#112960); #74044=LINE('',#501973,#112961); #74045=LINE('',#501979,#112962); #74046=LINE('',#501987,#112963); #74047=LINE('',#501993,#112964); #74048=LINE('',#502001,#112965); #74049=LINE('',#502007,#112966); #74050=LINE('',#502015,#112967); #74051=LINE('',#502021,#112968); #74052=LINE('',#502029,#112969); #74053=LINE('',#502035,#112970); #74054=LINE('',#502043,#112971); #74055=LINE('',#502049,#112972); #74056=LINE('',#502057,#112973); #74057=LINE('',#502063,#112974); #74058=LINE('',#502071,#112975); #74059=LINE('',#502077,#112976); #74060=LINE('',#502085,#112977); #74061=LINE('',#502091,#112978); #74062=LINE('',#502099,#112979); #74063=LINE('',#502105,#112980); #74064=LINE('',#502113,#112981); #74065=LINE('',#502119,#112982); #74066=LINE('',#502127,#112983); #74067=LINE('',#502133,#112984); #74068=LINE('',#502141,#112985); #74069=LINE('',#502147,#112986); #74070=LINE('',#502155,#112987); #74071=LINE('',#502161,#112988); #74072=LINE('',#502169,#112989); #74073=LINE('',#502175,#112990); #74074=LINE('',#502183,#112991); #74075=LINE('',#502189,#112992); #74076=LINE('',#502197,#112993); #74077=LINE('',#502203,#112994); #74078=LINE('',#502211,#112995); #74079=LINE('',#502217,#112996); #74080=LINE('',#502225,#112997); #74081=LINE('',#502231,#112998); #74082=LINE('',#502239,#112999); #74083=LINE('',#502245,#113000); #74084=LINE('',#502253,#113001); #74085=LINE('',#502259,#113002); #74086=LINE('',#502267,#113003); #74087=LINE('',#502273,#113004); #74088=LINE('',#502281,#113005); #74089=LINE('',#502287,#113006); #74090=LINE('',#502295,#113007); #74091=LINE('',#502301,#113008); #74092=LINE('',#502309,#113009); #74093=LINE('',#502315,#113010); #74094=LINE('',#502323,#113011); #74095=LINE('',#502329,#113012); #74096=LINE('',#502337,#113013); #74097=LINE('',#502343,#113014); #74098=LINE('',#502351,#113015); #74099=LINE('',#502357,#113016); #74100=LINE('',#502365,#113017); #74101=LINE('',#502371,#113018); #74102=LINE('',#502379,#113019); #74103=LINE('',#502385,#113020); #74104=LINE('',#502393,#113021); #74105=LINE('',#502399,#113022); #74106=LINE('',#502407,#113023); #74107=LINE('',#502413,#113024); #74108=LINE('',#502421,#113025); #74109=LINE('',#502427,#113026); #74110=LINE('',#502435,#113027); #74111=LINE('',#502441,#113028); #74112=LINE('',#502449,#113029); #74113=LINE('',#502455,#113030); #74114=LINE('',#502463,#113031); #74115=LINE('',#502469,#113032); #74116=LINE('',#502477,#113033); #74117=LINE('',#502483,#113034); #74118=LINE('',#502491,#113035); #74119=LINE('',#502497,#113036); #74120=LINE('',#502505,#113037); #74121=LINE('',#502511,#113038); #74122=LINE('',#502519,#113039); #74123=LINE('',#502525,#113040); #74124=LINE('',#502533,#113041); #74125=LINE('',#502539,#113042); #74126=LINE('',#502547,#113043); #74127=LINE('',#502553,#113044); #74128=LINE('',#502561,#113045); #74129=LINE('',#502567,#113046); #74130=LINE('',#502575,#113047); #74131=LINE('',#502581,#113048); #74132=LINE('',#502589,#113049); #74133=LINE('',#502595,#113050); #74134=LINE('',#502603,#113051); #74135=LINE('',#502609,#113052); #74136=LINE('',#502617,#113053); #74137=LINE('',#502623,#113054); #74138=LINE('',#502631,#113055); #74139=LINE('',#502637,#113056); #74140=LINE('',#502645,#113057); #74141=LINE('',#502651,#113058); #74142=LINE('',#502659,#113059); #74143=LINE('',#502665,#113060); #74144=LINE('',#502673,#113061); #74145=LINE('',#502679,#113062); #74146=LINE('',#502687,#113063); #74147=LINE('',#502693,#113064); #74148=LINE('',#502701,#113065); #74149=LINE('',#502707,#113066); #74150=LINE('',#502715,#113067); #74151=LINE('',#502721,#113068); #74152=LINE('',#502728,#113069); #74153=LINE('',#502730,#113070); #74154=LINE('',#502732,#113071); #74155=LINE('',#502733,#113072); #74156=LINE('',#502739,#113073); #74157=LINE('',#502742,#113074); #74158=LINE('',#502744,#113075); #74159=LINE('',#502745,#113076); #74160=LINE('',#502752,#113077); #74161=LINE('',#502754,#113078); #74162=LINE('',#502756,#113079); #74163=LINE('',#502757,#113080); #74164=LINE('',#502763,#113081); #74165=LINE('',#502766,#113082); #74166=LINE('',#502768,#113083); #74167=LINE('',#502769,#113084); #74168=LINE('',#502779,#113085); #74169=LINE('',#502785,#113086); #74170=LINE('',#502792,#113087); #74171=LINE('',#502794,#113088); #74172=LINE('',#502796,#113089); #74173=LINE('',#502797,#113090); #74174=LINE('',#502803,#113091); #74175=LINE('',#502806,#113092); #74176=LINE('',#502808,#113093); #74177=LINE('',#502809,#113094); #74178=LINE('',#502816,#113095); #74179=LINE('',#502818,#113096); #74180=LINE('',#502820,#113097); #74181=LINE('',#502821,#113098); #74182=LINE('',#502827,#113099); #74183=LINE('',#502830,#113100); #74184=LINE('',#502832,#113101); #74185=LINE('',#502833,#113102); #74186=LINE('',#502843,#113103); #74187=LINE('',#502849,#113104); #74188=LINE('',#502856,#113105); #74189=LINE('',#502858,#113106); #74190=LINE('',#502860,#113107); #74191=LINE('',#502861,#113108); #74192=LINE('',#502867,#113109); #74193=LINE('',#502870,#113110); #74194=LINE('',#502872,#113111); #74195=LINE('',#502873,#113112); #74196=LINE('',#502880,#113113); #74197=LINE('',#502882,#113114); #74198=LINE('',#502884,#113115); #74199=LINE('',#502885,#113116); #74200=LINE('',#502891,#113117); #74201=LINE('',#502894,#113118); #74202=LINE('',#502896,#113119); #74203=LINE('',#502897,#113120); #74204=LINE('',#502907,#113121); #74205=LINE('',#502913,#113122); #74206=LINE('',#502921,#113123); #74207=LINE('',#502927,#113124); #74208=LINE('',#502935,#113125); #74209=LINE('',#502941,#113126); #74210=LINE('',#502949,#113127); #74211=LINE('',#502955,#113128); #74212=LINE('',#502963,#113129); #74213=LINE('',#502969,#113130); #74214=LINE('',#502977,#113131); #74215=LINE('',#502983,#113132); #74216=LINE('',#502991,#113133); #74217=LINE('',#502997,#113134); #74218=LINE('',#503005,#113135); #74219=LINE('',#503011,#113136); #74220=LINE('',#503019,#113137); #74221=LINE('',#503025,#113138); #74222=LINE('',#503033,#113139); #74223=LINE('',#503039,#113140); #74224=LINE('',#503046,#113141); #74225=LINE('',#503048,#113142); #74226=LINE('',#503050,#113143); #74227=LINE('',#503051,#113144); #74228=LINE('',#503057,#113145); #74229=LINE('',#503060,#113146); #74230=LINE('',#503062,#113147); #74231=LINE('',#503063,#113148); #74232=LINE('',#503070,#113149); #74233=LINE('',#503072,#113150); #74234=LINE('',#503074,#113151); #74235=LINE('',#503075,#113152); #74236=LINE('',#503081,#113153); #74237=LINE('',#503084,#113154); #74238=LINE('',#503086,#113155); #74239=LINE('',#503087,#113156); #74240=LINE('',#503097,#113157); #74241=LINE('',#503103,#113158); #74242=LINE('',#503111,#113159); #74243=LINE('',#503117,#113160); #74244=LINE('',#503125,#113161); #74245=LINE('',#503131,#113162); #74246=LINE('',#503138,#113163); #74247=LINE('',#503140,#113164); #74248=LINE('',#503142,#113165); #74249=LINE('',#503143,#113166); #74250=LINE('',#503149,#113167); #74251=LINE('',#503152,#113168); #74252=LINE('',#503154,#113169); #74253=LINE('',#503155,#113170); #74254=LINE('',#503162,#113171); #74255=LINE('',#503164,#113172); #74256=LINE('',#503166,#113173); #74257=LINE('',#503167,#113174); #74258=LINE('',#503173,#113175); #74259=LINE('',#503176,#113176); #74260=LINE('',#503178,#113177); #74261=LINE('',#503179,#113178); #74262=LINE('',#503189,#113179); #74263=LINE('',#503195,#113180); #74264=LINE('',#503202,#113181); #74265=LINE('',#503204,#113182); #74266=LINE('',#503206,#113183); #74267=LINE('',#503207,#113184); #74268=LINE('',#503213,#113185); #74269=LINE('',#503216,#113186); #74270=LINE('',#503218,#113187); #74271=LINE('',#503219,#113188); #74272=LINE('',#503226,#113189); #74273=LINE('',#503228,#113190); #74274=LINE('',#503230,#113191); #74275=LINE('',#503231,#113192); #74276=LINE('',#503237,#113193); #74277=LINE('',#503240,#113194); #74278=LINE('',#503242,#113195); #74279=LINE('',#503243,#113196); #74280=LINE('',#503253,#113197); #74281=LINE('',#503259,#113198); #74282=LINE('',#503267,#113199); #74283=LINE('',#503273,#113200); #74284=LINE('',#503281,#113201); #74285=LINE('',#503287,#113202); #74286=LINE('',#503295,#113203); #74287=LINE('',#503301,#113204); #74288=LINE('',#503309,#113205); #74289=LINE('',#503315,#113206); #74290=LINE('',#503323,#113207); #74291=LINE('',#503329,#113208); #74292=LINE('',#503337,#113209); #74293=LINE('',#503343,#113210); #74294=LINE('',#503351,#113211); #74295=LINE('',#503357,#113212); #74296=LINE('',#503365,#113213); #74297=LINE('',#503371,#113214); #74298=LINE('',#503379,#113215); #74299=LINE('',#503385,#113216); #74300=LINE('',#503393,#113217); #74301=LINE('',#503399,#113218); #74302=LINE('',#503407,#113219); #74303=LINE('',#503413,#113220); #74304=LINE('',#503421,#113221); #74305=LINE('',#503427,#113222); #74306=LINE('',#503435,#113223); #74307=LINE('',#503441,#113224); #74308=LINE('',#503449,#113225); #74309=LINE('',#503455,#113226); #74310=LINE('',#503463,#113227); #74311=LINE('',#503469,#113228); #74312=LINE('',#503477,#113229); #74313=LINE('',#503483,#113230); #74314=LINE('',#503491,#113231); #74315=LINE('',#503497,#113232); #74316=LINE('',#503505,#113233); #74317=LINE('',#503511,#113234); #74318=LINE('',#503519,#113235); #74319=LINE('',#503525,#113236); #74320=LINE('',#503533,#113237); #74321=LINE('',#503539,#113238); #74322=LINE('',#503547,#113239); #74323=LINE('',#503553,#113240); #74324=LINE('',#503561,#113241); #74325=LINE('',#503567,#113242); #74326=LINE('',#503575,#113243); #74327=LINE('',#503581,#113244); #74328=LINE('',#503589,#113245); #74329=LINE('',#503595,#113246); #74330=LINE('',#503603,#113247); #74331=LINE('',#503609,#113248); #74332=LINE('',#503617,#113249); #74333=LINE('',#503623,#113250); #74334=LINE('',#503631,#113251); #74335=LINE('',#503637,#113252); #74336=LINE('',#503645,#113253); #74337=LINE('',#503651,#113254); #74338=LINE('',#503659,#113255); #74339=LINE('',#503665,#113256); #74340=LINE('',#503673,#113257); #74341=LINE('',#503679,#113258); #74342=LINE('',#503687,#113259); #74343=LINE('',#503693,#113260); #74344=LINE('',#503701,#113261); #74345=LINE('',#503707,#113262); #74346=LINE('',#503715,#113263); #74347=LINE('',#503721,#113264); #74348=LINE('',#503729,#113265); #74349=LINE('',#503735,#113266); #74350=LINE('',#503743,#113267); #74351=LINE('',#503749,#113268); #74352=LINE('',#503757,#113269); #74353=LINE('',#503763,#113270); #74354=LINE('',#503771,#113271); #74355=LINE('',#503777,#113272); #74356=LINE('',#503785,#113273); #74357=LINE('',#503791,#113274); #74358=LINE('',#503799,#113275); #74359=LINE('',#503805,#113276); #74360=LINE('',#503813,#113277); #74361=LINE('',#503819,#113278); #74362=LINE('',#503827,#113279); #74363=LINE('',#503833,#113280); #74364=LINE('',#503841,#113281); #74365=LINE('',#503847,#113282); #74366=LINE('',#503855,#113283); #74367=LINE('',#503861,#113284); #74368=LINE('',#503869,#113285); #74369=LINE('',#503875,#113286); #74370=LINE('',#503883,#113287); #74371=LINE('',#503889,#113288); #74372=LINE('',#503897,#113289); #74373=LINE('',#503903,#113290); #74374=LINE('',#503911,#113291); #74375=LINE('',#503917,#113292); #74376=LINE('',#503925,#113293); #74377=LINE('',#503931,#113294); #74378=LINE('',#503939,#113295); #74379=LINE('',#503945,#113296); #74380=LINE('',#503953,#113297); #74381=LINE('',#503959,#113298); #74382=LINE('',#503967,#113299); #74383=LINE('',#503973,#113300); #74384=LINE('',#503981,#113301); #74385=LINE('',#503987,#113302); #74386=LINE('',#503995,#113303); #74387=LINE('',#504001,#113304); #74388=LINE('',#504009,#113305); #74389=LINE('',#504015,#113306); #74390=LINE('',#504023,#113307); #74391=LINE('',#504029,#113308); #74392=LINE('',#504037,#113309); #74393=LINE('',#504043,#113310); #74394=LINE('',#504051,#113311); #74395=LINE('',#504057,#113312); #74396=LINE('',#504065,#113313); #74397=LINE('',#504071,#113314); #74398=LINE('',#504079,#113315); #74399=LINE('',#504085,#113316); #74400=LINE('',#504093,#113317); #74401=LINE('',#504099,#113318); #74402=LINE('',#504107,#113319); #74403=LINE('',#504113,#113320); #74404=LINE('',#504121,#113321); #74405=LINE('',#504127,#113322); #74406=LINE('',#504135,#113323); #74407=LINE('',#504141,#113324); #74408=LINE('',#504149,#113325); #74409=LINE('',#504155,#113326); #74410=LINE('',#504163,#113327); #74411=LINE('',#504169,#113328); #74412=LINE('',#504177,#113329); #74413=LINE('',#504183,#113330); #74414=LINE('',#504191,#113331); #74415=LINE('',#504197,#113332); #74416=LINE('',#504205,#113333); #74417=LINE('',#504211,#113334); #74418=LINE('',#504219,#113335); #74419=LINE('',#504225,#113336); #74420=LINE('',#504233,#113337); #74421=LINE('',#504239,#113338); #74422=LINE('',#504247,#113339); #74423=LINE('',#504253,#113340); #74424=LINE('',#504261,#113341); #74425=LINE('',#504267,#113342); #74426=LINE('',#504275,#113343); #74427=LINE('',#504281,#113344); #74428=LINE('',#504289,#113345); #74429=LINE('',#504295,#113346); #74430=LINE('',#504303,#113347); #74431=LINE('',#504309,#113348); #74432=LINE('',#504317,#113349); #74433=LINE('',#504323,#113350); #74434=LINE('',#504331,#113351); #74435=LINE('',#504337,#113352); #74436=LINE('',#504345,#113353); #74437=LINE('',#504351,#113354); #74438=LINE('',#504359,#113355); #74439=LINE('',#504365,#113356); #74440=LINE('',#504373,#113357); #74441=LINE('',#504379,#113358); #74442=LINE('',#504387,#113359); #74443=LINE('',#504393,#113360); #74444=LINE('',#504401,#113361); #74445=LINE('',#504407,#113362); #74446=LINE('',#504415,#113363); #74447=LINE('',#504421,#113364); #74448=LINE('',#504429,#113365); #74449=LINE('',#504435,#113366); #74450=LINE('',#504443,#113367); #74451=LINE('',#504449,#113368); #74452=LINE('',#504457,#113369); #74453=LINE('',#504463,#113370); #74454=LINE('',#504471,#113371); #74455=LINE('',#504477,#113372); #74456=LINE('',#504485,#113373); #74457=LINE('',#504491,#113374); #74458=LINE('',#504499,#113375); #74459=LINE('',#504505,#113376); #74460=LINE('',#504513,#113377); #74461=LINE('',#504519,#113378); #74462=LINE('',#504527,#113379); #74463=LINE('',#504533,#113380); #74464=LINE('',#504541,#113381); #74465=LINE('',#504547,#113382); #74466=LINE('',#504555,#113383); #74467=LINE('',#504561,#113384); #74468=LINE('',#504569,#113385); #74469=LINE('',#504575,#113386); #74470=LINE('',#504583,#113387); #74471=LINE('',#504589,#113388); #74472=LINE('',#504597,#113389); #74473=LINE('',#504603,#113390); #74474=LINE('',#504611,#113391); #74475=LINE('',#504617,#113392); #74476=LINE('',#504625,#113393); #74477=LINE('',#504631,#113394); #74478=LINE('',#504639,#113395); #74479=LINE('',#504645,#113396); #74480=LINE('',#504653,#113397); #74481=LINE('',#504659,#113398); #74482=LINE('',#504667,#113399); #74483=LINE('',#504673,#113400); #74484=LINE('',#504681,#113401); #74485=LINE('',#504687,#113402); #74486=LINE('',#504695,#113403); #74487=LINE('',#504701,#113404); #74488=LINE('',#504709,#113405); #74489=LINE('',#504715,#113406); #74490=LINE('',#504723,#113407); #74491=LINE('',#504729,#113408); #74492=LINE('',#504737,#113409); #74493=LINE('',#504743,#113410); #74494=LINE('',#504751,#113411); #74495=LINE('',#504757,#113412); #74496=LINE('',#504765,#113413); #74497=LINE('',#504771,#113414); #74498=LINE('',#504779,#113415); #74499=LINE('',#504785,#113416); #74500=LINE('',#504793,#113417); #74501=LINE('',#504799,#113418); #74502=LINE('',#504807,#113419); #74503=LINE('',#504813,#113420); #74504=LINE('',#504821,#113421); #74505=LINE('',#504827,#113422); #74506=LINE('',#504835,#113423); #74507=LINE('',#504841,#113424); #74508=LINE('',#504849,#113425); #74509=LINE('',#504855,#113426); #74510=LINE('',#504863,#113427); #74511=LINE('',#504869,#113428); #74512=LINE('',#504877,#113429); #74513=LINE('',#504883,#113430); #74514=LINE('',#504891,#113431); #74515=LINE('',#504897,#113432); #74516=LINE('',#504905,#113433); #74517=LINE('',#504911,#113434); #74518=LINE('',#504919,#113435); #74519=LINE('',#504925,#113436); #74520=LINE('',#504933,#113437); #74521=LINE('',#504939,#113438); #74522=LINE('',#504947,#113439); #74523=LINE('',#504953,#113440); #74524=LINE('',#504961,#113441); #74525=LINE('',#504967,#113442); #74526=LINE('',#504975,#113443); #74527=LINE('',#504981,#113444); #74528=LINE('',#504989,#113445); #74529=LINE('',#504995,#113446); #74530=LINE('',#505003,#113447); #74531=LINE('',#505009,#113448); #74532=LINE('',#505017,#113449); #74533=LINE('',#505023,#113450); #74534=LINE('',#505031,#113451); #74535=LINE('',#505037,#113452); #74536=LINE('',#505045,#113453); #74537=LINE('',#505051,#113454); #74538=LINE('',#505059,#113455); #74539=LINE('',#505065,#113456); #74540=LINE('',#505073,#113457); #74541=LINE('',#505079,#113458); #74542=LINE('',#505087,#113459); #74543=LINE('',#505093,#113460); #74544=LINE('',#505101,#113461); #74545=LINE('',#505107,#113462); #74546=LINE('',#505115,#113463); #74547=LINE('',#505121,#113464); #74548=LINE('',#505129,#113465); #74549=LINE('',#505135,#113466); #74550=LINE('',#505143,#113467); #74551=LINE('',#505149,#113468); #74552=LINE('',#505157,#113469); #74553=LINE('',#505163,#113470); #74554=LINE('',#505171,#113471); #74555=LINE('',#505177,#113472); #74556=LINE('',#505185,#113473); #74557=LINE('',#505191,#113474); #74558=LINE('',#505199,#113475); #74559=LINE('',#505205,#113476); #74560=LINE('',#505213,#113477); #74561=LINE('',#505219,#113478); #74562=LINE('',#505227,#113479); #74563=LINE('',#505233,#113480); #74564=LINE('',#505241,#113481); #74565=LINE('',#505247,#113482); #74566=LINE('',#505255,#113483); #74567=LINE('',#505261,#113484); #74568=LINE('',#505269,#113485); #74569=LINE('',#505275,#113486); #74570=LINE('',#505283,#113487); #74571=LINE('',#505289,#113488); #74572=LINE('',#505297,#113489); #74573=LINE('',#505303,#113490); #74574=LINE('',#505311,#113491); #74575=LINE('',#505317,#113492); #74576=LINE('',#505325,#113493); #74577=LINE('',#505331,#113494); #74578=LINE('',#505339,#113495); #74579=LINE('',#505345,#113496); #74580=LINE('',#505353,#113497); #74581=LINE('',#505359,#113498); #74582=LINE('',#505367,#113499); #74583=LINE('',#505373,#113500); #74584=LINE('',#505381,#113501); #74585=LINE('',#505387,#113502); #74586=LINE('',#505395,#113503); #74587=LINE('',#505401,#113504); #74588=LINE('',#505409,#113505); #74589=LINE('',#505415,#113506); #74590=LINE('',#505423,#113507); #74591=LINE('',#505429,#113508); #74592=LINE('',#505437,#113509); #74593=LINE('',#505443,#113510); #74594=LINE('',#505451,#113511); #74595=LINE('',#505457,#113512); #74596=LINE('',#505465,#113513); #74597=LINE('',#505471,#113514); #74598=LINE('',#505479,#113515); #74599=LINE('',#505485,#113516); #74600=LINE('',#505493,#113517); #74601=LINE('',#505499,#113518); #74602=LINE('',#505508,#113519); #74603=LINE('',#505513,#113520); #74604=LINE('',#505515,#113521); #74605=LINE('',#505517,#113522); #74606=LINE('',#505518,#113523); #74607=LINE('',#505524,#113524); #74608=LINE('',#505533,#113525); #74609=LINE('',#505535,#113526); #74610=LINE('',#505537,#113527); #74611=LINE('',#505538,#113528); #74612=LINE('',#505541,#113529); #74613=LINE('',#505543,#113530); #74614=LINE('',#505544,#113531); #74615=LINE('',#505547,#113532); #74616=LINE('',#505549,#113533); #74617=LINE('',#505550,#113534); #74618=LINE('',#505552,#113535); #74619=LINE('',#505553,#113536); #74620=LINE('',#505559,#113537); #74621=LINE('',#505561,#113538); #74622=LINE('',#505563,#113539); #74623=LINE('',#505564,#113540); #74624=LINE('',#505567,#113541); #74625=LINE('',#505569,#113542); #74626=LINE('',#505570,#113543); #74627=LINE('',#505573,#113544); #74628=LINE('',#505575,#113545); #74629=LINE('',#505576,#113546); #74630=LINE('',#505578,#113547); #74631=LINE('',#505579,#113548); #74632=LINE('',#505585,#113549); #74633=LINE('',#505587,#113550); #74634=LINE('',#505589,#113551); #74635=LINE('',#505590,#113552); #74636=LINE('',#505593,#113553); #74637=LINE('',#505595,#113554); #74638=LINE('',#505596,#113555); #74639=LINE('',#505599,#113556); #74640=LINE('',#505601,#113557); #74641=LINE('',#505602,#113558); #74642=LINE('',#505604,#113559); #74643=LINE('',#505605,#113560); #74644=LINE('',#505611,#113561); #74645=LINE('',#505613,#113562); #74646=LINE('',#505615,#113563); #74647=LINE('',#505616,#113564); #74648=LINE('',#505619,#113565); #74649=LINE('',#505621,#113566); #74650=LINE('',#505622,#113567); #74651=LINE('',#505625,#113568); #74652=LINE('',#505627,#113569); #74653=LINE('',#505628,#113570); #74654=LINE('',#505630,#113571); #74655=LINE('',#505631,#113572); #74656=LINE('',#505637,#113573); #74657=LINE('',#505639,#113574); #74658=LINE('',#505641,#113575); #74659=LINE('',#505642,#113576); #74660=LINE('',#505645,#113577); #74661=LINE('',#505647,#113578); #74662=LINE('',#505648,#113579); #74663=LINE('',#505651,#113580); #74664=LINE('',#505653,#113581); #74665=LINE('',#505654,#113582); #74666=LINE('',#505656,#113583); #74667=LINE('',#505657,#113584); #74668=LINE('',#505663,#113585); #74669=LINE('',#505665,#113586); #74670=LINE('',#505667,#113587); #74671=LINE('',#505668,#113588); #74672=LINE('',#505671,#113589); #74673=LINE('',#505673,#113590); #74674=LINE('',#505674,#113591); #74675=LINE('',#505677,#113592); #74676=LINE('',#505679,#113593); #74677=LINE('',#505680,#113594); #74678=LINE('',#505682,#113595); #74679=LINE('',#505683,#113596); #74680=LINE('',#505689,#113597); #74681=LINE('',#505691,#113598); #74682=LINE('',#505693,#113599); #74683=LINE('',#505694,#113600); #74684=LINE('',#505697,#113601); #74685=LINE('',#505699,#113602); #74686=LINE('',#505700,#113603); #74687=LINE('',#505703,#113604); #74688=LINE('',#505705,#113605); #74689=LINE('',#505706,#113606); #74690=LINE('',#505708,#113607); #74691=LINE('',#505709,#113608); #74692=LINE('',#505715,#113609); #74693=LINE('',#505717,#113610); #74694=LINE('',#505719,#113611); #74695=LINE('',#505720,#113612); #74696=LINE('',#505723,#113613); #74697=LINE('',#505725,#113614); #74698=LINE('',#505726,#113615); #74699=LINE('',#505729,#113616); #74700=LINE('',#505731,#113617); #74701=LINE('',#505732,#113618); #74702=LINE('',#505734,#113619); #74703=LINE('',#505735,#113620); #74704=LINE('',#505741,#113621); #74705=LINE('',#505743,#113622); #74706=LINE('',#505745,#113623); #74707=LINE('',#505746,#113624); #74708=LINE('',#505749,#113625); #74709=LINE('',#505751,#113626); #74710=LINE('',#505752,#113627); #74711=LINE('',#505755,#113628); #74712=LINE('',#505757,#113629); #74713=LINE('',#505758,#113630); #74714=LINE('',#505760,#113631); #74715=LINE('',#505761,#113632); #74716=LINE('',#505767,#113633); #74717=LINE('',#505769,#113634); #74718=LINE('',#505771,#113635); #74719=LINE('',#505772,#113636); #74720=LINE('',#505775,#113637); #74721=LINE('',#505777,#113638); #74722=LINE('',#505778,#113639); #74723=LINE('',#505781,#113640); #74724=LINE('',#505783,#113641); #74725=LINE('',#505784,#113642); #74726=LINE('',#505786,#113643); #74727=LINE('',#505787,#113644); #74728=LINE('',#505794,#113645); #74729=LINE('',#505799,#113646); #74730=LINE('',#505801,#113647); #74731=LINE('',#505803,#113648); #74732=LINE('',#505804,#113649); #74733=LINE('',#505810,#113650); #74734=LINE('',#505820,#113651); #74735=LINE('',#505826,#113652); #74736=LINE('',#505831,#113653); #74737=LINE('',#505833,#113654); #74738=LINE('',#505835,#113655); #74739=LINE('',#505836,#113656); #74740=LINE('',#505842,#113657); #74741=LINE('',#505848,#113658); #74742=LINE('',#505851,#113659); #74743=LINE('',#505853,#113660); #74744=LINE('',#505854,#113661); #74745=LINE('',#505860,#113662); #74746=LINE('',#505866,#113663); #74747=LINE('',#505869,#113664); #74748=LINE('',#505871,#113665); #74749=LINE('',#505872,#113666); #74750=LINE('',#505882,#113667); #74751=LINE('',#505887,#113668); #74752=LINE('',#505889,#113669); #74753=LINE('',#505891,#113670); #74754=LINE('',#505892,#113671); #74755=LINE('',#505898,#113672); #74756=LINE('',#505908,#113673); #74757=LINE('',#505913,#113674); #74758=LINE('',#505915,#113675); #74759=LINE('',#505917,#113676); #74760=LINE('',#505918,#113677); #74761=LINE('',#505924,#113678); #74762=LINE('',#505934,#113679); #74763=LINE('',#505939,#113680); #74764=LINE('',#505941,#113681); #74765=LINE('',#505943,#113682); #74766=LINE('',#505944,#113683); #74767=LINE('',#505950,#113684); #74768=LINE('',#505953,#113685); #74769=LINE('',#505955,#113686); #74770=LINE('',#505956,#113687); #74771=LINE('',#505962,#113688); #74772=LINE('',#505965,#113689); #74773=LINE('',#505967,#113690); #74774=LINE('',#505968,#113691); #74775=LINE('',#505971,#113692); #74776=LINE('',#505973,#113693); #74777=LINE('',#505974,#113694); #74778=LINE('',#505977,#113695); #74779=LINE('',#505979,#113696); #74780=LINE('',#505980,#113697); #74781=LINE('',#505983,#113698); #74782=LINE('',#505985,#113699); #74783=LINE('',#505986,#113700); #74784=LINE('',#505989,#113701); #74785=LINE('',#505991,#113702); #74786=LINE('',#505992,#113703); #74787=LINE('',#505995,#113704); #74788=LINE('',#505997,#113705); #74789=LINE('',#505998,#113706); #74790=LINE('',#506001,#113707); #74791=LINE('',#506003,#113708); #74792=LINE('',#506004,#113709); #74793=LINE('',#506010,#113710); #74794=LINE('',#506020,#113711); #74795=LINE('',#506025,#113712); #74796=LINE('',#506027,#113713); #74797=LINE('',#506029,#113714); #74798=LINE('',#506030,#113715); #74799=LINE('',#506036,#113716); #74800=LINE('',#506039,#113717); #74801=LINE('',#506041,#113718); #74802=LINE('',#506042,#113719); #74803=LINE('',#506045,#113720); #74804=LINE('',#506047,#113721); #74805=LINE('',#506048,#113722); #74806=LINE('',#506051,#113723); #74807=LINE('',#506053,#113724); #74808=LINE('',#506054,#113725); #74809=LINE('',#506057,#113726); #74810=LINE('',#506059,#113727); #74811=LINE('',#506060,#113728); #74812=LINE('',#506063,#113729); #74813=LINE('',#506065,#113730); #74814=LINE('',#506066,#113731); #74815=LINE('',#506069,#113732); #74816=LINE('',#506071,#113733); #74817=LINE('',#506072,#113734); #74818=LINE('',#506075,#113735); #74819=LINE('',#506077,#113736); #74820=LINE('',#506078,#113737); #74821=LINE('',#506087,#113738); #74822=LINE('',#506089,#113739); #74823=LINE('',#506091,#113740); #74824=LINE('',#506092,#113741); #74825=LINE('',#506095,#113742); #74826=LINE('',#506097,#113743); #74827=LINE('',#506098,#113744); #74828=LINE('',#506101,#113745); #74829=LINE('',#506103,#113746); #74830=LINE('',#506104,#113747); #74831=LINE('',#506107,#113748); #74832=LINE('',#506109,#113749); #74833=LINE('',#506110,#113750); #74834=LINE('',#506113,#113751); #74835=LINE('',#506115,#113752); #74836=LINE('',#506116,#113753); #74837=LINE('',#506119,#113754); #74838=LINE('',#506121,#113755); #74839=LINE('',#506122,#113756); #74840=LINE('',#506125,#113757); #74841=LINE('',#506127,#113758); #74842=LINE('',#506128,#113759); #74843=LINE('',#506131,#113760); #74844=LINE('',#506133,#113761); #74845=LINE('',#506134,#113762); #74846=LINE('',#506140,#113763); #74847=LINE('',#506143,#113764); #74848=LINE('',#506145,#113765); #74849=LINE('',#506146,#113766); #74850=LINE('',#506152,#113767); #74851=LINE('',#506155,#113768); #74852=LINE('',#506157,#113769); #74853=LINE('',#506158,#113770); #74854=LINE('',#506161,#113771); #74855=LINE('',#506163,#113772); #74856=LINE('',#506164,#113773); #74857=LINE('',#506167,#113774); #74858=LINE('',#506169,#113775); #74859=LINE('',#506170,#113776); #74860=LINE('',#506173,#113777); #74861=LINE('',#506175,#113778); #74862=LINE('',#506176,#113779); #74863=LINE('',#506179,#113780); #74864=LINE('',#506181,#113781); #74865=LINE('',#506182,#113782); #74866=LINE('',#506185,#113783); #74867=LINE('',#506187,#113784); #74868=LINE('',#506188,#113785); #74869=LINE('',#506191,#113786); #74870=LINE('',#506193,#113787); #74871=LINE('',#506194,#113788); #74872=LINE('',#506200,#113789); #74873=LINE('',#506202,#113790); #74874=LINE('',#506203,#113791); #74875=LINE('',#506209,#113792); #74876=LINE('',#506211,#113793); #74877=LINE('',#506213,#113794); #74878=LINE('',#506214,#113795); #74879=LINE('',#506217,#113796); #74880=LINE('',#506219,#113797); #74881=LINE('',#506220,#113798); #74882=LINE('',#506223,#113799); #74883=LINE('',#506225,#113800); #74884=LINE('',#506226,#113801); #74885=LINE('',#506229,#113802); #74886=LINE('',#506231,#113803); #74887=LINE('',#506232,#113804); #74888=LINE('',#506235,#113805); #74889=LINE('',#506237,#113806); #74890=LINE('',#506238,#113807); #74891=LINE('',#506244,#113808); #74892=LINE('',#506247,#113809); #74893=LINE('',#506249,#113810); #74894=LINE('',#506250,#113811); #74895=LINE('',#506253,#113812); #74896=LINE('',#506255,#113813); #74897=LINE('',#506256,#113814); #74898=LINE('',#506259,#113815); #74899=LINE('',#506261,#113816); #74900=LINE('',#506262,#113817); #74901=LINE('',#506265,#113818); #74902=LINE('',#506267,#113819); #74903=LINE('',#506268,#113820); #74904=LINE('',#506271,#113821); #74905=LINE('',#506273,#113822); #74906=LINE('',#506274,#113823); #74907=LINE('',#506277,#113824); #74908=LINE('',#506279,#113825); #74909=LINE('',#506280,#113826); #74910=LINE('',#506283,#113827); #74911=LINE('',#506285,#113828); #74912=LINE('',#506286,#113829); #74913=LINE('',#506292,#113830); #74914=LINE('',#506295,#113831); #74915=LINE('',#506297,#113832); #74916=LINE('',#506298,#113833); #74917=LINE('',#506301,#113834); #74918=LINE('',#506303,#113835); #74919=LINE('',#506304,#113836); #74920=LINE('',#506310,#113837); #74921=LINE('',#506313,#113838); #74922=LINE('',#506315,#113839); #74923=LINE('',#506316,#113840); #74924=LINE('',#506319,#113841); #74925=LINE('',#506321,#113842); #74926=LINE('',#506322,#113843); #74927=LINE('',#506325,#113844); #74928=LINE('',#506327,#113845); #74929=LINE('',#506328,#113846); #74930=LINE('',#506331,#113847); #74931=LINE('',#506333,#113848); #74932=LINE('',#506334,#113849); #74933=LINE('',#506337,#113850); #74934=LINE('',#506339,#113851); #74935=LINE('',#506340,#113852); #74936=LINE('',#506343,#113853); #74937=LINE('',#506345,#113854); #74938=LINE('',#506346,#113855); #74939=LINE('',#506349,#113856); #74940=LINE('',#506351,#113857); #74941=LINE('',#506352,#113858); #74942=LINE('',#506358,#113859); #74943=LINE('',#506361,#113860); #74944=LINE('',#506363,#113861); #74945=LINE('',#506364,#113862); #74946=LINE('',#506367,#113863); #74947=LINE('',#506369,#113864); #74948=LINE('',#506370,#113865); #74949=LINE('',#506373,#113866); #74950=LINE('',#506375,#113867); #74951=LINE('',#506376,#113868); #74952=LINE('',#506379,#113869); #74953=LINE('',#506381,#113870); #74954=LINE('',#506382,#113871); #74955=LINE('',#506385,#113872); #74956=LINE('',#506387,#113873); #74957=LINE('',#506388,#113874); #74958=LINE('',#506394,#113875); #74959=LINE('',#506397,#113876); #74960=LINE('',#506399,#113877); #74961=LINE('',#506400,#113878); #74962=LINE('',#506406,#113879); #74963=LINE('',#506409,#113880); #74964=LINE('',#506411,#113881); #74965=LINE('',#506412,#113882); #74966=LINE('',#506415,#113883); #74967=LINE('',#506417,#113884); #74968=LINE('',#506418,#113885); #74969=LINE('',#506420,#113886); #74970=LINE('',#506421,#113887); #74971=LINE('',#506427,#113888); #74972=LINE('',#506429,#113889); #74973=LINE('',#506431,#113890); #74974=LINE('',#506432,#113891); #74975=LINE('',#506435,#113892); #74976=LINE('',#506437,#113893); #74977=LINE('',#506438,#113894); #74978=LINE('',#506441,#113895); #74979=LINE('',#506443,#113896); #74980=LINE('',#506444,#113897); #74981=LINE('',#506447,#113898); #74982=LINE('',#506449,#113899); #74983=LINE('',#506450,#113900); #74984=LINE('',#506453,#113901); #74985=LINE('',#506455,#113902); #74986=LINE('',#506456,#113903); #74987=LINE('',#506459,#113904); #74988=LINE('',#506461,#113905); #74989=LINE('',#506462,#113906); #74990=LINE('',#506468,#113907); #74991=LINE('',#506471,#113908); #74992=LINE('',#506473,#113909); #74993=LINE('',#506474,#113910); #74994=LINE('',#506480,#113911); #74995=LINE('',#506483,#113912); #74996=LINE('',#506485,#113913); #74997=LINE('',#506486,#113914); #74998=LINE('',#506489,#113915); #74999=LINE('',#506491,#113916); #75000=LINE('',#506492,#113917); #75001=LINE('',#506495,#113918); #75002=LINE('',#506497,#113919); #75003=LINE('',#506498,#113920); #75004=LINE('',#506501,#113921); #75005=LINE('',#506503,#113922); #75006=LINE('',#506504,#113923); #75007=LINE('',#506507,#113924); #75008=LINE('',#506509,#113925); #75009=LINE('',#506510,#113926); #75010=LINE('',#506516,#113927); #75011=LINE('',#506519,#113928); #75012=LINE('',#506521,#113929); #75013=LINE('',#506522,#113930); #75014=LINE('',#506525,#113931); #75015=LINE('',#506527,#113932); #75016=LINE('',#506528,#113933); #75017=LINE('',#506531,#113934); #75018=LINE('',#506533,#113935); #75019=LINE('',#506534,#113936); #75020=LINE('',#506537,#113937); #75021=LINE('',#506539,#113938); #75022=LINE('',#506540,#113939); #75023=LINE('',#506543,#113940); #75024=LINE('',#506545,#113941); #75025=LINE('',#506546,#113942); #75026=LINE('',#506549,#113943); #75027=LINE('',#506551,#113944); #75028=LINE('',#506552,#113945); #75029=LINE('',#506555,#113946); #75030=LINE('',#506557,#113947); #75031=LINE('',#506558,#113948); #75032=LINE('',#506564,#113949); #75033=LINE('',#506567,#113950); #75034=LINE('',#506569,#113951); #75035=LINE('',#506570,#113952); #75036=LINE('',#506573,#113953); #75037=LINE('',#506575,#113954); #75038=LINE('',#506576,#113955); #75039=LINE('',#506582,#113956); #75040=LINE('',#506585,#113957); #75041=LINE('',#506587,#113958); #75042=LINE('',#506588,#113959); #75043=LINE('',#506591,#113960); #75044=LINE('',#506593,#113961); #75045=LINE('',#506594,#113962); #75046=LINE('',#506597,#113963); #75047=LINE('',#506599,#113964); #75048=LINE('',#506600,#113965); #75049=LINE('',#506603,#113966); #75050=LINE('',#506605,#113967); #75051=LINE('',#506606,#113968); #75052=LINE('',#506609,#113969); #75053=LINE('',#506611,#113970); #75054=LINE('',#506612,#113971); #75055=LINE('',#506615,#113972); #75056=LINE('',#506617,#113973); #75057=LINE('',#506618,#113974); #75058=LINE('',#506621,#113975); #75059=LINE('',#506623,#113976); #75060=LINE('',#506624,#113977); #75061=LINE('',#506630,#113978); #75062=LINE('',#506633,#113979); #75063=LINE('',#506635,#113980); #75064=LINE('',#506636,#113981); #75065=LINE('',#506638,#113982); #75066=LINE('',#506639,#113983); #75067=LINE('',#506646,#113984); #75068=LINE('',#506651,#113985); #75069=LINE('',#506653,#113986); #75070=LINE('',#506655,#113987); #75071=LINE('',#506656,#113988); #75072=LINE('',#506662,#113989); #75073=LINE('',#506665,#113990); #75074=LINE('',#506667,#113991); #75075=LINE('',#506668,#113992); #75076=LINE('',#506671,#113993); #75077=LINE('',#506673,#113994); #75078=LINE('',#506674,#113995); #75079=LINE('',#506680,#113996); #75080=LINE('',#506683,#113997); #75081=LINE('',#506685,#113998); #75082=LINE('',#506686,#113999); #75083=LINE('',#506689,#114000); #75084=LINE('',#506691,#114001); #75085=LINE('',#506692,#114002); #75086=LINE('',#506698,#114003); #75087=LINE('',#506701,#114004); #75088=LINE('',#506703,#114005); #75089=LINE('',#506704,#114006); #75090=LINE('',#506707,#114007); #75091=LINE('',#506709,#114008); #75092=LINE('',#506710,#114009); #75093=LINE('',#506713,#114010); #75094=LINE('',#506715,#114011); #75095=LINE('',#506716,#114012); #75096=LINE('',#506719,#114013); #75097=LINE('',#506721,#114014); #75098=LINE('',#506722,#114015); #75099=LINE('',#506725,#114016); #75100=LINE('',#506727,#114017); #75101=LINE('',#506728,#114018); #75102=LINE('',#506731,#114019); #75103=LINE('',#506733,#114020); #75104=LINE('',#506734,#114021); #75105=LINE('',#506737,#114022); #75106=LINE('',#506739,#114023); #75107=LINE('',#506740,#114024); #75108=LINE('',#506743,#114025); #75109=LINE('',#506745,#114026); #75110=LINE('',#506746,#114027); #75111=LINE('',#506752,#114028); #75112=LINE('',#506755,#114029); #75113=LINE('',#506757,#114030); #75114=LINE('',#506758,#114031); #75115=LINE('',#506761,#114032); #75116=LINE('',#506763,#114033); #75117=LINE('',#506764,#114034); #75118=LINE('',#506770,#114035); #75119=LINE('',#506773,#114036); #75120=LINE('',#506775,#114037); #75121=LINE('',#506776,#114038); #75122=LINE('',#506786,#114039); #75123=LINE('',#506791,#114040); #75124=LINE('',#506793,#114041); #75125=LINE('',#506795,#114042); #75126=LINE('',#506796,#114043); #75127=LINE('',#506802,#114044); #75128=LINE('',#506805,#114045); #75129=LINE('',#506807,#114046); #75130=LINE('',#506808,#114047); #75131=LINE('',#506811,#114048); #75132=LINE('',#506813,#114049); #75133=LINE('',#506814,#114050); #75134=LINE('',#506820,#114051); #75135=LINE('',#506823,#114052); #75136=LINE('',#506825,#114053); #75137=LINE('',#506826,#114054); #75138=LINE('',#506829,#114055); #75139=LINE('',#506831,#114056); #75140=LINE('',#506832,#114057); #75141=LINE('',#506835,#114058); #75142=LINE('',#506837,#114059); #75143=LINE('',#506838,#114060); #75144=LINE('',#506841,#114061); #75145=LINE('',#506843,#114062); #75146=LINE('',#506844,#114063); #75147=LINE('',#506847,#114064); #75148=LINE('',#506849,#114065); #75149=LINE('',#506850,#114066); #75150=LINE('',#506853,#114067); #75151=LINE('',#506855,#114068); #75152=LINE('',#506856,#114069); #75153=LINE('',#506859,#114070); #75154=LINE('',#506861,#114071); #75155=LINE('',#506862,#114072); #75156=LINE('',#506865,#114073); #75157=LINE('',#506867,#114074); #75158=LINE('',#506868,#114075); #75159=LINE('',#506874,#114076); #75160=LINE('',#506877,#114077); #75161=LINE('',#506879,#114078); #75162=LINE('',#506880,#114079); #75163=LINE('',#506890,#114080); #75164=LINE('',#506895,#114081); #75165=LINE('',#506897,#114082); #75166=LINE('',#506899,#114083); #75167=LINE('',#506900,#114084); #75168=LINE('',#506906,#114085); #75169=LINE('',#506909,#114086); #75170=LINE('',#506911,#114087); #75171=LINE('',#506912,#114088); #75172=LINE('',#506915,#114089); #75173=LINE('',#506917,#114090); #75174=LINE('',#506918,#114091); #75175=LINE('',#506921,#114092); #75176=LINE('',#506923,#114093); #75177=LINE('',#506924,#114094); #75178=LINE('',#506927,#114095); #75179=LINE('',#506929,#114096); #75180=LINE('',#506930,#114097); #75181=LINE('',#506933,#114098); #75182=LINE('',#506935,#114099); #75183=LINE('',#506936,#114100); #75184=LINE('',#506939,#114101); #75185=LINE('',#506941,#114102); #75186=LINE('',#506942,#114103); #75187=LINE('',#506945,#114104); #75188=LINE('',#506947,#114105); #75189=LINE('',#506948,#114106); #75190=LINE('',#506954,#114107); #75191=LINE('',#506957,#114108); #75192=LINE('',#506959,#114109); #75193=LINE('',#506960,#114110); #75194=LINE('',#506970,#114111); #75195=LINE('',#506975,#114112); #75196=LINE('',#506977,#114113); #75197=LINE('',#506979,#114114); #75198=LINE('',#506980,#114115); #75199=LINE('',#506986,#114116); #75200=LINE('',#506989,#114117); #75201=LINE('',#506991,#114118); #75202=LINE('',#506992,#114119); #75203=LINE('',#506998,#114120); #75204=LINE('',#507001,#114121); #75205=LINE('',#507003,#114122); #75206=LINE('',#507004,#114123); #75207=LINE('',#507007,#114124); #75208=LINE('',#507009,#114125); #75209=LINE('',#507010,#114126); #75210=LINE('',#507013,#114127); #75211=LINE('',#507015,#114128); #75212=LINE('',#507016,#114129); #75213=LINE('',#507019,#114130); #75214=LINE('',#507021,#114131); #75215=LINE('',#507022,#114132); #75216=LINE('',#507025,#114133); #75217=LINE('',#507027,#114134); #75218=LINE('',#507028,#114135); #75219=LINE('',#507031,#114136); #75220=LINE('',#507033,#114137); #75221=LINE('',#507034,#114138); #75222=LINE('',#507037,#114139); #75223=LINE('',#507039,#114140); #75224=LINE('',#507040,#114141); #75225=LINE('',#507043,#114142); #75226=LINE('',#507045,#114143); #75227=LINE('',#507046,#114144); #75228=LINE('',#507049,#114145); #75229=LINE('',#507051,#114146); #75230=LINE('',#507052,#114147); #75231=LINE('',#507062,#114148); #75232=LINE('',#507068,#114149); #75233=LINE('',#507073,#114150); #75234=LINE('',#507075,#114151); #75235=LINE('',#507077,#114152); #75236=LINE('',#507078,#114153); #75237=LINE('',#507084,#114154); #75238=LINE('',#507090,#114155); #75239=LINE('',#507093,#114156); #75240=LINE('',#507095,#114157); #75241=LINE('',#507096,#114158); #75242=LINE('',#507102,#114159); #75243=LINE('',#507108,#114160); #75244=LINE('',#507111,#114161); #75245=LINE('',#507113,#114162); #75246=LINE('',#507114,#114163); #75247=LINE('',#507124,#114164); #75248=LINE('',#507129,#114165); #75249=LINE('',#507131,#114166); #75250=LINE('',#507133,#114167); #75251=LINE('',#507134,#114168); #75252=LINE('',#507140,#114169); #75253=LINE('',#507143,#114170); #75254=LINE('',#507145,#114171); #75255=LINE('',#507146,#114172); #75256=LINE('',#507149,#114173); #75257=LINE('',#507151,#114174); #75258=LINE('',#507152,#114175); #75259=LINE('',#507158,#114176); #75260=LINE('',#507161,#114177); #75261=LINE('',#507163,#114178); #75262=LINE('',#507164,#114179); #75263=LINE('',#507167,#114180); #75264=LINE('',#507169,#114181); #75265=LINE('',#507170,#114182); #75266=LINE('',#507173,#114183); #75267=LINE('',#507175,#114184); #75268=LINE('',#507176,#114185); #75269=LINE('',#507179,#114186); #75270=LINE('',#507181,#114187); #75271=LINE('',#507182,#114188); #75272=LINE('',#507185,#114189); #75273=LINE('',#507187,#114190); #75274=LINE('',#507188,#114191); #75275=LINE('',#507191,#114192); #75276=LINE('',#507193,#114193); #75277=LINE('',#507194,#114194); #75278=LINE('',#507197,#114195); #75279=LINE('',#507199,#114196); #75280=LINE('',#507200,#114197); #75281=LINE('',#507203,#114198); #75282=LINE('',#507205,#114199); #75283=LINE('',#507206,#114200); #75284=LINE('',#507212,#114201); #75285=LINE('',#507215,#114202); #75286=LINE('',#507217,#114203); #75287=LINE('',#507218,#114204); #75288=LINE('',#507228,#114205); #75289=LINE('',#507233,#114206); #75290=LINE('',#507235,#114207); #75291=LINE('',#507237,#114208); #75292=LINE('',#507238,#114209); #75293=LINE('',#507244,#114210); #75294=LINE('',#507247,#114211); #75295=LINE('',#507249,#114212); #75296=LINE('',#507250,#114213); #75297=LINE('',#507253,#114214); #75298=LINE('',#507255,#114215); #75299=LINE('',#507256,#114216); #75300=LINE('',#507262,#114217); #75301=LINE('',#507265,#114218); #75302=LINE('',#507267,#114219); #75303=LINE('',#507268,#114220); #75304=LINE('',#507271,#114221); #75305=LINE('',#507273,#114222); #75306=LINE('',#507274,#114223); #75307=LINE('',#507280,#114224); #75308=LINE('',#507283,#114225); #75309=LINE('',#507285,#114226); #75310=LINE('',#507286,#114227); #75311=LINE('',#507289,#114228); #75312=LINE('',#507291,#114229); #75313=LINE('',#507292,#114230); #75314=LINE('',#507295,#114231); #75315=LINE('',#507297,#114232); #75316=LINE('',#507298,#114233); #75317=LINE('',#507301,#114234); #75318=LINE('',#507303,#114235); #75319=LINE('',#507304,#114236); #75320=LINE('',#507307,#114237); #75321=LINE('',#507309,#114238); #75322=LINE('',#507310,#114239); #75323=LINE('',#507313,#114240); #75324=LINE('',#507315,#114241); #75325=LINE('',#507316,#114242); #75326=LINE('',#507319,#114243); #75327=LINE('',#507321,#114244); #75328=LINE('',#507322,#114245); #75329=LINE('',#507325,#114246); #75330=LINE('',#507327,#114247); #75331=LINE('',#507328,#114248); #75332=LINE('',#507334,#114249); #75333=LINE('',#507337,#114250); #75334=LINE('',#507339,#114251); #75335=LINE('',#507340,#114252); #75336=LINE('',#507343,#114253); #75337=LINE('',#507345,#114254); #75338=LINE('',#507346,#114255); #75339=LINE('',#507352,#114256); #75340=LINE('',#507355,#114257); #75341=LINE('',#507357,#114258); #75342=LINE('',#507358,#114259); #75343=LINE('',#507367,#114260); #75344=LINE('',#507369,#114261); #75345=LINE('',#507371,#114262); #75346=LINE('',#507372,#114263); #75347=LINE('',#507375,#114264); #75348=LINE('',#507377,#114265); #75349=LINE('',#507378,#114266); #75350=LINE('',#507381,#114267); #75351=LINE('',#507383,#114268); #75352=LINE('',#507384,#114269); #75353=LINE('',#507387,#114270); #75354=LINE('',#507389,#114271); #75355=LINE('',#507390,#114272); #75356=LINE('',#507396,#114273); #75357=LINE('',#507399,#114274); #75358=LINE('',#507401,#114275); #75359=LINE('',#507402,#114276); #75360=LINE('',#507408,#114277); #75361=LINE('',#507411,#114278); #75362=LINE('',#507413,#114279); #75363=LINE('',#507414,#114280); #75364=LINE('',#507417,#114281); #75365=LINE('',#507419,#114282); #75366=LINE('',#507420,#114283); #75367=LINE('',#507423,#114284); #75368=LINE('',#507425,#114285); #75369=LINE('',#507426,#114286); #75370=LINE('',#507432,#114287); #75371=LINE('',#507435,#114288); #75372=LINE('',#507437,#114289); #75373=LINE('',#507438,#114290); #75374=LINE('',#507441,#114291); #75375=LINE('',#507443,#114292); #75376=LINE('',#507444,#114293); #75377=LINE('',#507447,#114294); #75378=LINE('',#507449,#114295); #75379=LINE('',#507450,#114296); #75380=LINE('',#507453,#114297); #75381=LINE('',#507455,#114298); #75382=LINE('',#507456,#114299); #75383=LINE('',#507459,#114300); #75384=LINE('',#507461,#114301); #75385=LINE('',#507462,#114302); #75386=LINE('',#507465,#114303); #75387=LINE('',#507467,#114304); #75388=LINE('',#507468,#114305); #75389=LINE('',#507471,#114306); #75390=LINE('',#507473,#114307); #75391=LINE('',#507474,#114308); #75392=LINE('',#507480,#114309); #75393=LINE('',#507483,#114310); #75394=LINE('',#507485,#114311); #75395=LINE('',#507486,#114312); #75396=LINE('',#507492,#114313); #75397=LINE('',#507495,#114314); #75398=LINE('',#507497,#114315); #75399=LINE('',#507498,#114316); #75400=LINE('',#507501,#114317); #75401=LINE('',#507503,#114318); #75402=LINE('',#507504,#114319); #75403=LINE('',#507507,#114320); #75404=LINE('',#507509,#114321); #75405=LINE('',#507510,#114322); #75406=LINE('',#507513,#114323); #75407=LINE('',#507515,#114324); #75408=LINE('',#507516,#114325); #75409=LINE('',#507518,#114326); #75410=LINE('',#507519,#114327); #75411=LINE('',#507526,#114328); #75412=LINE('',#507531,#114329); #75413=LINE('',#507533,#114330); #75414=LINE('',#507535,#114331); #75415=LINE('',#507536,#114332); #75416=LINE('',#507542,#114333); #75417=LINE('',#507545,#114334); #75418=LINE('',#507547,#114335); #75419=LINE('',#507548,#114336); #75420=LINE('',#507551,#114337); #75421=LINE('',#507553,#114338); #75422=LINE('',#507554,#114339); #75423=LINE('',#507560,#114340); #75424=LINE('',#507563,#114341); #75425=LINE('',#507565,#114342); #75426=LINE('',#507566,#114343); #75427=LINE('',#507572,#114344); #75428=LINE('',#507575,#114345); #75429=LINE('',#507577,#114346); #75430=LINE('',#507578,#114347); #75431=LINE('',#507584,#114348); #75432=LINE('',#507587,#114349); #75433=LINE('',#507589,#114350); #75434=LINE('',#507590,#114351); #75435=LINE('',#507593,#114352); #75436=LINE('',#507595,#114353); #75437=LINE('',#507596,#114354); #75438=LINE('',#507599,#114355); #75439=LINE('',#507601,#114356); #75440=LINE('',#507602,#114357); #75441=LINE('',#507605,#114358); #75442=LINE('',#507607,#114359); #75443=LINE('',#507608,#114360); #75444=LINE('',#507611,#114361); #75445=LINE('',#507613,#114362); #75446=LINE('',#507614,#114363); #75447=LINE('',#507617,#114364); #75448=LINE('',#507619,#114365); #75449=LINE('',#507620,#114366); #75450=LINE('',#507623,#114367); #75451=LINE('',#507625,#114368); #75452=LINE('',#507626,#114369); #75453=LINE('',#507632,#114370); #75454=LINE('',#507635,#114371); #75455=LINE('',#507637,#114372); #75456=LINE('',#507638,#114373); #75457=LINE('',#507641,#114374); #75458=LINE('',#507643,#114375); #75459=LINE('',#507644,#114376); #75460=LINE('',#507647,#114377); #75461=LINE('',#507649,#114378); #75462=LINE('',#507650,#114379); #75463=LINE('',#507653,#114380); #75464=LINE('',#507655,#114381); #75465=LINE('',#507656,#114382); #75466=LINE('',#507662,#114383); #75467=LINE('',#507665,#114384); #75468=LINE('',#507667,#114385); #75469=LINE('',#507668,#114386); #75470=LINE('',#507678,#114387); #75471=LINE('',#507683,#114388); #75472=LINE('',#507685,#114389); #75473=LINE('',#507687,#114390); #75474=LINE('',#507688,#114391); #75475=LINE('',#507694,#114392); #75476=LINE('',#507697,#114393); #75477=LINE('',#507699,#114394); #75478=LINE('',#507700,#114395); #75479=LINE('',#507703,#114396); #75480=LINE('',#507705,#114397); #75481=LINE('',#507706,#114398); #75482=LINE('',#507709,#114399); #75483=LINE('',#507711,#114400); #75484=LINE('',#507712,#114401); #75485=LINE('',#507715,#114402); #75486=LINE('',#507717,#114403); #75487=LINE('',#507718,#114404); #75488=LINE('',#507721,#114405); #75489=LINE('',#507723,#114406); #75490=LINE('',#507724,#114407); #75491=LINE('',#507727,#114408); #75492=LINE('',#507729,#114409); #75493=LINE('',#507730,#114410); #75494=LINE('',#507733,#114411); #75495=LINE('',#507735,#114412); #75496=LINE('',#507736,#114413); #75497=LINE('',#507742,#114414); #75498=LINE('',#507751,#114415); #75499=LINE('',#507753,#114416); #75500=LINE('',#507755,#114417); #75501=LINE('',#507756,#114418); #75502=LINE('',#507759,#114419); #75503=LINE('',#507761,#114420); #75504=LINE('',#507762,#114421); #75505=LINE('',#507765,#114422); #75506=LINE('',#507767,#114423); #75507=LINE('',#507768,#114424); #75508=LINE('',#507771,#114425); #75509=LINE('',#507773,#114426); #75510=LINE('',#507774,#114427); #75511=LINE('',#507777,#114428); #75512=LINE('',#507779,#114429); #75513=LINE('',#507780,#114430); #75514=LINE('',#507786,#114431); #75515=LINE('',#507789,#114432); #75516=LINE('',#507791,#114433); #75517=LINE('',#507792,#114434); #75518=LINE('',#507795,#114435); #75519=LINE('',#507797,#114436); #75520=LINE('',#507798,#114437); #75521=LINE('',#507801,#114438); #75522=LINE('',#507803,#114439); #75523=LINE('',#507804,#114440); #75524=LINE('',#507810,#114441); #75525=LINE('',#507813,#114442); #75526=LINE('',#507815,#114443); #75527=LINE('',#507816,#114444); #75528=LINE('',#507822,#114445); #75529=LINE('',#507825,#114446); #75530=LINE('',#507827,#114447); #75531=LINE('',#507828,#114448); #75532=LINE('',#507834,#114449); #75533=LINE('',#507837,#114450); #75534=LINE('',#507839,#114451); #75535=LINE('',#507840,#114452); #75536=LINE('',#507843,#114453); #75537=LINE('',#507845,#114454); #75538=LINE('',#507846,#114455); #75539=LINE('',#507852,#114456); #75540=LINE('',#507855,#114457); #75541=LINE('',#507857,#114458); #75542=LINE('',#507858,#114459); #75543=LINE('',#507861,#114460); #75544=LINE('',#507863,#114461); #75545=LINE('',#507864,#114462); #75546=LINE('',#507867,#114463); #75547=LINE('',#507869,#114464); #75548=LINE('',#507870,#114465); #75549=LINE('',#507873,#114466); #75550=LINE('',#507875,#114467); #75551=LINE('',#507876,#114468); #75552=LINE('',#507879,#114469); #75553=LINE('',#507881,#114470); #75554=LINE('',#507882,#114471); #75555=LINE('',#507885,#114472); #75556=LINE('',#507887,#114473); #75557=LINE('',#507888,#114474); #75558=LINE('',#507891,#114475); #75559=LINE('',#507893,#114476); #75560=LINE('',#507894,#114477); #75561=LINE('',#507900,#114478); #75562=LINE('',#507903,#114479); #75563=LINE('',#507905,#114480); #75564=LINE('',#507906,#114481); #75565=LINE('',#507909,#114482); #75566=LINE('',#507911,#114483); #75567=LINE('',#507912,#114484); #75568=LINE('',#507918,#114485); #75569=LINE('',#507921,#114486); #75570=LINE('',#507923,#114487); #75571=LINE('',#507924,#114488); #75572=LINE('',#507927,#114489); #75573=LINE('',#507929,#114490); #75574=LINE('',#507930,#114491); #75575=LINE('',#507933,#114492); #75576=LINE('',#507935,#114493); #75577=LINE('',#507936,#114494); #75578=LINE('',#507939,#114495); #75579=LINE('',#507941,#114496); #75580=LINE('',#507942,#114497); #75581=LINE('',#507948,#114498); #75582=LINE('',#507951,#114499); #75583=LINE('',#507953,#114500); #75584=LINE('',#507954,#114501); #75585=LINE('',#507960,#114502); #75586=LINE('',#507963,#114503); #75587=LINE('',#507965,#114504); #75588=LINE('',#507966,#114505); #75589=LINE('',#507969,#114506); #75590=LINE('',#507971,#114507); #75591=LINE('',#507972,#114508); #75592=LINE('',#507974,#114509); #75593=LINE('',#507975,#114510); #75594=LINE('',#507981,#114511); #75595=LINE('',#507983,#114512); #75596=LINE('',#507985,#114513); #75597=LINE('',#507986,#114514); #75598=LINE('',#507989,#114515); #75599=LINE('',#507991,#114516); #75600=LINE('',#507992,#114517); #75601=LINE('',#507995,#114518); #75602=LINE('',#507997,#114519); #75603=LINE('',#507998,#114520); #75604=LINE('',#508001,#114521); #75605=LINE('',#508003,#114522); #75606=LINE('',#508004,#114523); #75607=LINE('',#508007,#114524); #75608=LINE('',#508009,#114525); #75609=LINE('',#508010,#114526); #75610=LINE('',#508013,#114527); #75611=LINE('',#508015,#114528); #75612=LINE('',#508016,#114529); #75613=LINE('',#508022,#114530); #75614=LINE('',#508025,#114531); #75615=LINE('',#508027,#114532); #75616=LINE('',#508028,#114533); #75617=LINE('',#508034,#114534); #75618=LINE('',#508037,#114535); #75619=LINE('',#508039,#114536); #75620=LINE('',#508040,#114537); #75621=LINE('',#508043,#114538); #75622=LINE('',#508045,#114539); #75623=LINE('',#508046,#114540); #75624=LINE('',#508049,#114541); #75625=LINE('',#508051,#114542); #75626=LINE('',#508052,#114543); #75627=LINE('',#508055,#114544); #75628=LINE('',#508057,#114545); #75629=LINE('',#508058,#114546); #75630=LINE('',#508064,#114547); #75631=LINE('',#508067,#114548); #75632=LINE('',#508069,#114549); #75633=LINE('',#508070,#114550); #75634=LINE('',#508073,#114551); #75635=LINE('',#508075,#114552); #75636=LINE('',#508076,#114553); #75637=LINE('',#508082,#114554); #75638=LINE('',#508085,#114555); #75639=LINE('',#508087,#114556); #75640=LINE('',#508088,#114557); #75641=LINE('',#508091,#114558); #75642=LINE('',#508093,#114559); #75643=LINE('',#508094,#114560); #75644=LINE('',#508097,#114561); #75645=LINE('',#508099,#114562); #75646=LINE('',#508100,#114563); #75647=LINE('',#508103,#114564); #75648=LINE('',#508105,#114565); #75649=LINE('',#508106,#114566); #75650=LINE('',#508109,#114567); #75651=LINE('',#508111,#114568); #75652=LINE('',#508112,#114569); #75653=LINE('',#508115,#114570); #75654=LINE('',#508117,#114571); #75655=LINE('',#508118,#114572); #75656=LINE('',#508121,#114573); #75657=LINE('',#508123,#114574); #75658=LINE('',#508124,#114575); #75659=LINE('',#508130,#114576); #75660=LINE('',#508133,#114577); #75661=LINE('',#508135,#114578); #75662=LINE('',#508136,#114579); #75663=LINE('',#508139,#114580); #75664=LINE('',#508141,#114581); #75665=LINE('',#508142,#114582); #75666=LINE('',#508148,#114583); #75667=LINE('',#508151,#114584); #75668=LINE('',#508153,#114585); #75669=LINE('',#508154,#114586); #75670=LINE('',#508160,#114587); #75671=LINE('',#508163,#114588); #75672=LINE('',#508165,#114589); #75673=LINE('',#508166,#114590); #75674=LINE('',#508172,#114591); #75675=LINE('',#508175,#114592); #75676=LINE('',#508177,#114593); #75677=LINE('',#508178,#114594); #75678=LINE('',#508181,#114595); #75679=LINE('',#508183,#114596); #75680=LINE('',#508184,#114597); #75681=LINE('',#508187,#114598); #75682=LINE('',#508189,#114599); #75683=LINE('',#508190,#114600); #75684=LINE('',#508196,#114601); #75685=LINE('',#508199,#114602); #75686=LINE('',#508201,#114603); #75687=LINE('',#508202,#114604); #75688=LINE('',#508204,#114605); #75689=LINE('',#508205,#114606); #75690=LINE('',#508211,#114607); #75691=LINE('',#508213,#114608); #75692=LINE('',#508215,#114609); #75693=LINE('',#508216,#114610); #75694=LINE('',#508219,#114611); #75695=LINE('',#508221,#114612); #75696=LINE('',#508222,#114613); #75697=LINE('',#508225,#114614); #75698=LINE('',#508227,#114615); #75699=LINE('',#508228,#114616); #75700=LINE('',#508231,#114617); #75701=LINE('',#508233,#114618); #75702=LINE('',#508234,#114619); #75703=LINE('',#508240,#114620); #75704=LINE('',#508243,#114621); #75705=LINE('',#508245,#114622); #75706=LINE('',#508246,#114623); #75707=LINE('',#508249,#114624); #75708=LINE('',#508251,#114625); #75709=LINE('',#508252,#114626); #75710=LINE('',#508258,#114627); #75711=LINE('',#508261,#114628); #75712=LINE('',#508263,#114629); #75713=LINE('',#508264,#114630); #75714=LINE('',#508267,#114631); #75715=LINE('',#508269,#114632); #75716=LINE('',#508270,#114633); #75717=LINE('',#508273,#114634); #75718=LINE('',#508275,#114635); #75719=LINE('',#508276,#114636); #75720=LINE('',#508279,#114637); #75721=LINE('',#508281,#114638); #75722=LINE('',#508282,#114639); #75723=LINE('',#508285,#114640); #75724=LINE('',#508287,#114641); #75725=LINE('',#508288,#114642); #75726=LINE('',#508291,#114643); #75727=LINE('',#508293,#114644); #75728=LINE('',#508294,#114645); #75729=LINE('',#508297,#114646); #75730=LINE('',#508299,#114647); #75731=LINE('',#508300,#114648); #75732=LINE('',#508306,#114649); #75733=LINE('',#508309,#114650); #75734=LINE('',#508311,#114651); #75735=LINE('',#508312,#114652); #75736=LINE('',#508315,#114653); #75737=LINE('',#508317,#114654); #75738=LINE('',#508318,#114655); #75739=LINE('',#508321,#114656); #75740=LINE('',#508323,#114657); #75741=LINE('',#508324,#114658); #75742=LINE('',#508326,#114659); #75743=LINE('',#508327,#114660); #75744=LINE('',#508334,#114661); #75745=LINE('',#508339,#114662); #75746=LINE('',#508341,#114663); #75747=LINE('',#508343,#114664); #75748=LINE('',#508344,#114665); #75749=LINE('',#508347,#114666); #75750=LINE('',#508349,#114667); #75751=LINE('',#508350,#114668); #75752=LINE('',#508356,#114669); #75753=LINE('',#508359,#114670); #75754=LINE('',#508361,#114671); #75755=LINE('',#508362,#114672); #75756=LINE('',#508365,#114673); #75757=LINE('',#508367,#114674); #75758=LINE('',#508368,#114675); #75759=LINE('',#508371,#114676); #75760=LINE('',#508373,#114677); #75761=LINE('',#508374,#114678); #75762=LINE('',#508380,#114679); #75763=LINE('',#508383,#114680); #75764=LINE('',#508385,#114681); #75765=LINE('',#508386,#114682); #75766=LINE('',#508389,#114683); #75767=LINE('',#508391,#114684); #75768=LINE('',#508392,#114685); #75769=LINE('',#508398,#114686); #75770=LINE('',#508404,#114687); #75771=LINE('',#508407,#114688); #75772=LINE('',#508409,#114689); #75773=LINE('',#508410,#114690); #75774=LINE('',#508416,#114691); #75775=LINE('',#508419,#114692); #75776=LINE('',#508421,#114693); #75777=LINE('',#508422,#114694); #75778=LINE('',#508425,#114695); #75779=LINE('',#508427,#114696); #75780=LINE('',#508428,#114697); #75781=LINE('',#508434,#114698); #75782=LINE('',#508437,#114699); #75783=LINE('',#508439,#114700); #75784=LINE('',#508440,#114701); #75785=LINE('',#508443,#114702); #75786=LINE('',#508445,#114703); #75787=LINE('',#508446,#114704); #75788=LINE('',#508449,#114705); #75789=LINE('',#508451,#114706); #75790=LINE('',#508452,#114707); #75791=LINE('',#508455,#114708); #75792=LINE('',#508457,#114709); #75793=LINE('',#508458,#114710); #75794=LINE('',#508460,#114711); #75795=LINE('',#508461,#114712); #75796=LINE('',#508468,#114713); #75797=LINE('',#508473,#114714); #75798=LINE('',#508475,#114715); #75799=LINE('',#508477,#114716); #75800=LINE('',#508478,#114717); #75801=LINE('',#508484,#114718); #75802=LINE('',#508487,#114719); #75803=LINE('',#508489,#114720); #75804=LINE('',#508490,#114721); #75805=LINE('',#508493,#114722); #75806=LINE('',#508495,#114723); #75807=LINE('',#508496,#114724); #75808=LINE('',#508502,#114725); #75809=LINE('',#508505,#114726); #75810=LINE('',#508507,#114727); #75811=LINE('',#508508,#114728); #75812=LINE('',#508511,#114729); #75813=LINE('',#508513,#114730); #75814=LINE('',#508514,#114731); #75815=LINE('',#508517,#114732); #75816=LINE('',#508519,#114733); #75817=LINE('',#508520,#114734); #75818=LINE('',#508523,#114735); #75819=LINE('',#508525,#114736); #75820=LINE('',#508526,#114737); #75821=LINE('',#508529,#114738); #75822=LINE('',#508531,#114739); #75823=LINE('',#508532,#114740); #75824=LINE('',#508535,#114741); #75825=LINE('',#508537,#114742); #75826=LINE('',#508538,#114743); #75827=LINE('',#508541,#114744); #75828=LINE('',#508543,#114745); #75829=LINE('',#508544,#114746); #75830=LINE('',#508547,#114747); #75831=LINE('',#508549,#114748); #75832=LINE('',#508550,#114749); #75833=LINE('',#508556,#114750); #75834=LINE('',#508559,#114751); #75835=LINE('',#508561,#114752); #75836=LINE('',#508562,#114753); #75837=LINE('',#508572,#114754); #75838=LINE('',#508577,#114755); #75839=LINE('',#508579,#114756); #75840=LINE('',#508581,#114757); #75841=LINE('',#508582,#114758); #75842=LINE('',#508588,#114759); #75843=LINE('',#508594,#114760); #75844=LINE('',#508597,#114761); #75845=LINE('',#508599,#114762); #75846=LINE('',#508600,#114763); #75847=LINE('',#508603,#114764); #75848=LINE('',#508605,#114765); #75849=LINE('',#508606,#114766); #75850=LINE('',#508609,#114767); #75851=LINE('',#508611,#114768); #75852=LINE('',#508612,#114769); #75853=LINE('',#508615,#114770); #75854=LINE('',#508617,#114771); #75855=LINE('',#508618,#114772); #75856=LINE('',#508621,#114773); #75857=LINE('',#508623,#114774); #75858=LINE('',#508624,#114775); #75859=LINE('',#508627,#114776); #75860=LINE('',#508629,#114777); #75861=LINE('',#508630,#114778); #75862=LINE('',#508633,#114779); #75863=LINE('',#508635,#114780); #75864=LINE('',#508636,#114781); #75865=LINE('',#508642,#114782); #75866=LINE('',#508645,#114783); #75867=LINE('',#508647,#114784); #75868=LINE('',#508648,#114785); #75869=LINE('',#508658,#114786); #75870=LINE('',#508663,#114787); #75871=LINE('',#508665,#114788); #75872=LINE('',#508667,#114789); #75873=LINE('',#508668,#114790); #75874=LINE('',#508671,#114791); #75875=LINE('',#508673,#114792); #75876=LINE('',#508674,#114793); #75877=LINE('',#508677,#114794); #75878=LINE('',#508679,#114795); #75879=LINE('',#508680,#114796); #75880=LINE('',#508683,#114797); #75881=LINE('',#508685,#114798); #75882=LINE('',#508686,#114799); #75883=LINE('',#508689,#114800); #75884=LINE('',#508691,#114801); #75885=LINE('',#508692,#114802); #75886=LINE('',#508698,#114803); #75887=LINE('',#508701,#114804); #75888=LINE('',#508703,#114805); #75889=LINE('',#508704,#114806); #75890=LINE('',#508707,#114807); #75891=LINE('',#508709,#114808); #75892=LINE('',#508710,#114809); #75893=LINE('',#508716,#114810); #75894=LINE('',#508719,#114811); #75895=LINE('',#508721,#114812); #75896=LINE('',#508722,#114813); #75897=LINE('',#508728,#114814); #75898=LINE('',#508734,#114815); #75899=LINE('',#508737,#114816); #75900=LINE('',#508739,#114817); #75901=LINE('',#508740,#114818); #75902=LINE('',#508743,#114819); #75903=LINE('',#508745,#114820); #75904=LINE('',#508746,#114821); #75905=LINE('',#508752,#114822); #75906=LINE('',#508755,#114823); #75907=LINE('',#508757,#114824); #75908=LINE('',#508758,#114825); #75909=LINE('',#508761,#114826); #75910=LINE('',#508763,#114827); #75911=LINE('',#508764,#114828); #75912=LINE('',#508766,#114829); #75913=LINE('',#508767,#114830); #75914=LINE('',#508774,#114831); #75915=LINE('',#508779,#114832); #75916=LINE('',#508781,#114833); #75917=LINE('',#508783,#114834); #75918=LINE('',#508784,#114835); #75919=LINE('',#508790,#114836); #75920=LINE('',#508793,#114837); #75921=LINE('',#508795,#114838); #75922=LINE('',#508796,#114839); #75923=LINE('',#508802,#114840); #75924=LINE('',#508805,#114841); #75925=LINE('',#508807,#114842); #75926=LINE('',#508808,#114843); #75927=LINE('',#508811,#114844); #75928=LINE('',#508813,#114845); #75929=LINE('',#508814,#114846); #75930=LINE('',#508817,#114847); #75931=LINE('',#508819,#114848); #75932=LINE('',#508820,#114849); #75933=LINE('',#508823,#114850); #75934=LINE('',#508825,#114851); #75935=LINE('',#508826,#114852); #75936=LINE('',#508829,#114853); #75937=LINE('',#508831,#114854); #75938=LINE('',#508832,#114855); #75939=LINE('',#508835,#114856); #75940=LINE('',#508837,#114857); #75941=LINE('',#508838,#114858); #75942=LINE('',#508841,#114859); #75943=LINE('',#508843,#114860); #75944=LINE('',#508844,#114861); #75945=LINE('',#508850,#114862); #75946=LINE('',#508860,#114863); #75947=LINE('',#508865,#114864); #75948=LINE('',#508867,#114865); #75949=LINE('',#508869,#114866); #75950=LINE('',#508870,#114867); #75951=LINE('',#508873,#114868); #75952=LINE('',#508875,#114869); #75953=LINE('',#508876,#114870); #75954=LINE('',#508879,#114871); #75955=LINE('',#508881,#114872); #75956=LINE('',#508882,#114873); #75957=LINE('',#508885,#114874); #75958=LINE('',#508887,#114875); #75959=LINE('',#508888,#114876); #75960=LINE('',#508891,#114877); #75961=LINE('',#508893,#114878); #75962=LINE('',#508894,#114879); #75963=LINE('',#508897,#114880); #75964=LINE('',#508899,#114881); #75965=LINE('',#508900,#114882); #75966=LINE('',#508906,#114883); #75967=LINE('',#508909,#114884); #75968=LINE('',#508911,#114885); #75969=LINE('',#508912,#114886); #75970=LINE('',#508915,#114887); #75971=LINE('',#508917,#114888); #75972=LINE('',#508918,#114889); #75973=LINE('',#508924,#114890); #75974=LINE('',#508930,#114891); #75975=LINE('',#508933,#114892); #75976=LINE('',#508935,#114893); #75977=LINE('',#508936,#114894); #75978=LINE('',#508942,#114895); #75979=LINE('',#508945,#114896); #75980=LINE('',#508947,#114897); #75981=LINE('',#508948,#114898); #75982=LINE('',#508951,#114899); #75983=LINE('',#508953,#114900); #75984=LINE('',#508954,#114901); #75985=LINE('',#508960,#114902); #75986=LINE('',#508963,#114903); #75987=LINE('',#508965,#114904); #75988=LINE('',#508966,#114905); #75989=LINE('',#508968,#114906); #75990=LINE('',#508969,#114907); #75991=LINE('',#508976,#114908); #75992=LINE('',#508981,#114909); #75993=LINE('',#508983,#114910); #75994=LINE('',#508985,#114911); #75995=LINE('',#508986,#114912); #75996=LINE('',#508992,#114913); #75997=LINE('',#508995,#114914); #75998=LINE('',#508997,#114915); #75999=LINE('',#508998,#114916); #76000=LINE('',#509001,#114917); #76001=LINE('',#509003,#114918); #76002=LINE('',#509004,#114919); #76003=LINE('',#509007,#114920); #76004=LINE('',#509009,#114921); #76005=LINE('',#509010,#114922); #76006=LINE('',#509013,#114923); #76007=LINE('',#509015,#114924); #76008=LINE('',#509016,#114925); #76009=LINE('',#509019,#114926); #76010=LINE('',#509021,#114927); #76011=LINE('',#509022,#114928); #76012=LINE('',#509025,#114929); #76013=LINE('',#509027,#114930); #76014=LINE('',#509028,#114931); #76015=LINE('',#509031,#114932); #76016=LINE('',#509033,#114933); #76017=LINE('',#509034,#114934); #76018=LINE('',#509040,#114935); #76019=LINE('',#509050,#114936); #76020=LINE('',#509055,#114937); #76021=LINE('',#509057,#114938); #76022=LINE('',#509059,#114939); #76023=LINE('',#509060,#114940); #76024=LINE('',#509066,#114941); #76025=LINE('',#509072,#114942); #76026=LINE('',#509075,#114943); #76027=LINE('',#509077,#114944); #76028=LINE('',#509078,#114945); #76029=LINE('',#509081,#114946); #76030=LINE('',#509083,#114947); #76031=LINE('',#509084,#114948); #76032=LINE('',#509087,#114949); #76033=LINE('',#509089,#114950); #76034=LINE('',#509090,#114951); #76035=LINE('',#509093,#114952); #76036=LINE('',#509095,#114953); #76037=LINE('',#509096,#114954); #76038=LINE('',#509099,#114955); #76039=LINE('',#509101,#114956); #76040=LINE('',#509102,#114957); #76041=LINE('',#509105,#114958); #76042=LINE('',#509107,#114959); #76043=LINE('',#509108,#114960); #76044=LINE('',#509111,#114961); #76045=LINE('',#509113,#114962); #76046=LINE('',#509114,#114963); #76047=LINE('',#509124,#114964); #76048=LINE('',#509129,#114965); #76049=LINE('',#509131,#114966); #76050=LINE('',#509133,#114967); #76051=LINE('',#509134,#114968); #76052=LINE('',#509137,#114969); #76053=LINE('',#509139,#114970); #76054=LINE('',#509140,#114971); #76055=LINE('',#509143,#114972); #76056=LINE('',#509145,#114973); #76057=LINE('',#509146,#114974); #76058=LINE('',#509149,#114975); #76059=LINE('',#509151,#114976); #76060=LINE('',#509152,#114977); #76061=LINE('',#509155,#114978); #76062=LINE('',#509157,#114979); #76063=LINE('',#509158,#114980); #76064=LINE('',#509164,#114981); #76065=LINE('',#509167,#114982); #76066=LINE('',#509169,#114983); #76067=LINE('',#509170,#114984); #76068=LINE('',#509173,#114985); #76069=LINE('',#509175,#114986); #76070=LINE('',#509176,#114987); #76071=LINE('',#509179,#114988); #76072=LINE('',#509181,#114989); #76073=LINE('',#509182,#114990); #76074=LINE('',#509185,#114991); #76075=LINE('',#509187,#114992); #76076=LINE('',#509188,#114993); #76077=LINE('',#509191,#114994); #76078=LINE('',#509193,#114995); #76079=LINE('',#509194,#114996); #76080=LINE('',#509200,#114997); #76081=LINE('',#509206,#114998); #76082=LINE('',#509209,#114999); #76083=LINE('',#509211,#115000); #76084=LINE('',#509212,#115001); #76085=LINE('',#509218,#115002); #76086=LINE('',#509221,#115003); #76087=LINE('',#509223,#115004); #76088=LINE('',#509224,#115005); #76089=LINE('',#509230,#115006); #76090=LINE('',#509233,#115007); #76091=LINE('',#509235,#115008); #76092=LINE('',#509236,#115009); #76093=LINE('',#509242,#115010); #76094=LINE('',#509245,#115011); #76095=LINE('',#509247,#115012); #76096=LINE('',#509248,#115013); #76097=LINE('',#509254,#115014); #76098=LINE('',#509257,#115015); #76099=LINE('',#509259,#115016); #76100=LINE('',#509260,#115017); #76101=LINE('',#509262,#115018); #76102=LINE('',#509263,#115019); #76103=LINE('',#509270,#115020); #76104=LINE('',#509275,#115021); #76105=LINE('',#509277,#115022); #76106=LINE('',#509279,#115023); #76107=LINE('',#509280,#115024); #76108=LINE('',#509283,#115025); #76109=LINE('',#509285,#115026); #76110=LINE('',#509286,#115027); #76111=LINE('',#509289,#115028); #76112=LINE('',#509291,#115029); #76113=LINE('',#509292,#115030); #76114=LINE('',#509295,#115031); #76115=LINE('',#509297,#115032); #76116=LINE('',#509298,#115033); #76117=LINE('',#509301,#115034); #76118=LINE('',#509303,#115035); #76119=LINE('',#509304,#115036); #76120=LINE('',#509307,#115037); #76121=LINE('',#509309,#115038); #76122=LINE('',#509310,#115039); #76123=LINE('',#509313,#115040); #76124=LINE('',#509315,#115041); #76125=LINE('',#509316,#115042); #76126=LINE('',#509319,#115043); #76127=LINE('',#509321,#115044); #76128=LINE('',#509322,#115045); #76129=LINE('',#509328,#115046); #76130=LINE('',#509334,#115047); #76131=LINE('',#509337,#115048); #76132=LINE('',#509339,#115049); #76133=LINE('',#509340,#115050); #76134=LINE('',#509346,#115051); #76135=LINE('',#509349,#115052); #76136=LINE('',#509351,#115053); #76137=LINE('',#509352,#115054); #76138=LINE('',#509358,#115055); #76139=LINE('',#509361,#115056); #76140=LINE('',#509363,#115057); #76141=LINE('',#509364,#115058); #76142=LINE('',#509370,#115059); #76143=LINE('',#509372,#115060); #76144=LINE('',#509373,#115061); #76145=LINE('',#509380,#115062); #76146=LINE('',#509385,#115063); #76147=LINE('',#509387,#115064); #76148=LINE('',#509389,#115065); #76149=LINE('',#509390,#115066); #76150=LINE('',#509393,#115067); #76151=LINE('',#509395,#115068); #76152=LINE('',#509396,#115069); #76153=LINE('',#509399,#115070); #76154=LINE('',#509401,#115071); #76155=LINE('',#509402,#115072); #76156=LINE('',#509405,#115073); #76157=LINE('',#509407,#115074); #76158=LINE('',#509408,#115075); #76159=LINE('',#509411,#115076); #76160=LINE('',#509413,#115077); #76161=LINE('',#509414,#115078); #76162=LINE('',#509420,#115079); #76163=LINE('',#509423,#115080); #76164=LINE('',#509425,#115081); #76165=LINE('',#509426,#115082); #76166=LINE('',#509432,#115083); #76167=LINE('',#509435,#115084); #76168=LINE('',#509437,#115085); #76169=LINE('',#509438,#115086); #76170=LINE('',#509444,#115087); #76171=LINE('',#509447,#115088); #76172=LINE('',#509449,#115089); #76173=LINE('',#509450,#115090); #76174=LINE('',#509456,#115091); #76175=LINE('',#509459,#115092); #76176=LINE('',#509461,#115093); #76177=LINE('',#509462,#115094); #76178=LINE('',#509468,#115095); #76179=LINE('',#509474,#115096); #76180=LINE('',#509477,#115097); #76181=LINE('',#509479,#115098); #76182=LINE('',#509480,#115099); #76183=LINE('',#509483,#115100); #76184=LINE('',#509485,#115101); #76185=LINE('',#509486,#115102); #76186=LINE('',#509489,#115103); #76187=LINE('',#509491,#115104); #76188=LINE('',#509492,#115105); #76189=LINE('',#509495,#115106); #76190=LINE('',#509497,#115107); #76191=LINE('',#509498,#115108); #76192=LINE('',#509501,#115109); #76193=LINE('',#509503,#115110); #76194=LINE('',#509504,#115111); #76195=LINE('',#509510,#115112); #76196=LINE('',#509513,#115113); #76197=LINE('',#509515,#115114); #76198=LINE('',#509516,#115115); #76199=LINE('',#509518,#115116); #76200=LINE('',#509519,#115117); #76201=LINE('',#509525,#115118); #76202=LINE('',#509527,#115119); #76203=LINE('',#509529,#115120); #76204=LINE('',#509530,#115121); #76205=LINE('',#509533,#115122); #76206=LINE('',#509535,#115123); #76207=LINE('',#509536,#115124); #76208=LINE('',#509539,#115125); #76209=LINE('',#509541,#115126); #76210=LINE('',#509542,#115127); #76211=LINE('',#509545,#115128); #76212=LINE('',#509547,#115129); #76213=LINE('',#509548,#115130); #76214=LINE('',#509554,#115131); #76215=LINE('',#509557,#115132); #76216=LINE('',#509559,#115133); #76217=LINE('',#509560,#115134); #76218=LINE('',#509566,#115135); #76219=LINE('',#509569,#115136); #76220=LINE('',#509571,#115137); #76221=LINE('',#509572,#115138); #76222=LINE('',#509575,#115139); #76223=LINE('',#509577,#115140); #76224=LINE('',#509578,#115141); #76225=LINE('',#509581,#115142); #76226=LINE('',#509583,#115143); #76227=LINE('',#509584,#115144); #76228=LINE('',#509587,#115145); #76229=LINE('',#509589,#115146); #76230=LINE('',#509590,#115147); #76231=LINE('',#509593,#115148); #76232=LINE('',#509595,#115149); #76233=LINE('',#509596,#115150); #76234=LINE('',#509599,#115151); #76235=LINE('',#509601,#115152); #76236=LINE('',#509602,#115153); #76237=LINE('',#509605,#115154); #76238=LINE('',#509607,#115155); #76239=LINE('',#509608,#115156); #76240=LINE('',#509611,#115157); #76241=LINE('',#509613,#115158); #76242=LINE('',#509614,#115159); #76243=LINE('',#509617,#115160); #76244=LINE('',#509619,#115161); #76245=LINE('',#509620,#115162); #76246=LINE('',#509626,#115163); #76247=LINE('',#509629,#115164); #76248=LINE('',#509631,#115165); #76249=LINE('',#509632,#115166); #76250=LINE('',#509638,#115167); #76251=LINE('',#509641,#115168); #76252=LINE('',#509643,#115169); #76253=LINE('',#509644,#115170); #76254=LINE('',#509647,#115171); #76255=LINE('',#509649,#115172); #76256=LINE('',#509650,#115173); #76257=LINE('',#509653,#115174); #76258=LINE('',#509655,#115175); #76259=LINE('',#509656,#115176); #76260=LINE('',#509659,#115177); #76261=LINE('',#509661,#115178); #76262=LINE('',#509662,#115179); #76263=LINE('',#509664,#115180); #76264=LINE('',#509665,#115181); #76265=LINE('',#509672,#115182); #76266=LINE('',#509677,#115183); #76267=LINE('',#509679,#115184); #76268=LINE('',#509681,#115185); #76269=LINE('',#509682,#115186); #76270=LINE('',#509685,#115187); #76271=LINE('',#509687,#115188); #76272=LINE('',#509688,#115189); #76273=LINE('',#509691,#115190); #76274=LINE('',#509693,#115191); #76275=LINE('',#509694,#115192); #76276=LINE('',#509696,#115193); #76277=LINE('',#509697,#115194); #76278=LINE('',#509704,#115195); #76279=LINE('',#509709,#115196); #76280=LINE('',#509711,#115197); #76281=LINE('',#509713,#115198); #76282=LINE('',#509714,#115199); #76283=LINE('',#509720,#115200); #76284=LINE('',#509723,#115201); #76285=LINE('',#509725,#115202); #76286=LINE('',#509726,#115203); #76287=LINE('',#509732,#115204); #76288=LINE('',#509735,#115205); #76289=LINE('',#509737,#115206); #76290=LINE('',#509738,#115207); #76291=LINE('',#509741,#115208); #76292=LINE('',#509743,#115209); #76293=LINE('',#509744,#115210); #76294=LINE('',#509747,#115211); #76295=LINE('',#509749,#115212); #76296=LINE('',#509750,#115213); #76297=LINE('',#509753,#115214); #76298=LINE('',#509755,#115215); #76299=LINE('',#509756,#115216); #76300=LINE('',#509762,#115217); #76301=LINE('',#509765,#115218); #76302=LINE('',#509767,#115219); #76303=LINE('',#509768,#115220); #76304=LINE('',#509771,#115221); #76305=LINE('',#509773,#115222); #76306=LINE('',#509774,#115223); #76307=LINE('',#509777,#115224); #76308=LINE('',#509779,#115225); #76309=LINE('',#509780,#115226); #76310=LINE('',#509783,#115227); #76311=LINE('',#509785,#115228); #76312=LINE('',#509786,#115229); #76313=LINE('',#509789,#115230); #76314=LINE('',#509791,#115231); #76315=LINE('',#509792,#115232); #76316=LINE('',#509795,#115233); #76317=LINE('',#509797,#115234); #76318=LINE('',#509798,#115235); #76319=LINE('',#509801,#115236); #76320=LINE('',#509803,#115237); #76321=LINE('',#509804,#115238); #76322=LINE('',#509810,#115239); #76323=LINE('',#509813,#115240); #76324=LINE('',#509815,#115241); #76325=LINE('',#509816,#115242); #76326=LINE('',#509822,#115243); #76327=LINE('',#509825,#115244); #76328=LINE('',#509827,#115245); #76329=LINE('',#509828,#115246); #76330=LINE('',#509834,#115247); #76331=LINE('',#509837,#115248); #76332=LINE('',#509839,#115249); #76333=LINE('',#509840,#115250); #76334=LINE('',#509843,#115251); #76335=LINE('',#509845,#115252); #76336=LINE('',#509846,#115253); #76337=LINE('',#509856,#115254); #76338=LINE('',#509861,#115255); #76339=LINE('',#509863,#115256); #76340=LINE('',#509865,#115257); #76341=LINE('',#509866,#115258); #76342=LINE('',#509869,#115259); #76343=LINE('',#509871,#115260); #76344=LINE('',#509872,#115261); #76345=LINE('',#509875,#115262); #76346=LINE('',#509877,#115263); #76347=LINE('',#509878,#115264); #76348=LINE('',#509881,#115265); #76349=LINE('',#509883,#115266); #76350=LINE('',#509884,#115267); #76351=LINE('',#509887,#115268); #76352=LINE('',#509889,#115269); #76353=LINE('',#509890,#115270); #76354=LINE('',#509893,#115271); #76355=LINE('',#509895,#115272); #76356=LINE('',#509896,#115273); #76357=LINE('',#509899,#115274); #76358=LINE('',#509901,#115275); #76359=LINE('',#509902,#115276); #76360=LINE('',#509908,#115277); #76361=LINE('',#509911,#115278); #76362=LINE('',#509913,#115279); #76363=LINE('',#509914,#115280); #76364=LINE('',#509920,#115281); #76365=LINE('',#509926,#115282); #76366=LINE('',#509929,#115283); #76367=LINE('',#509931,#115284); #76368=LINE('',#509932,#115285); #76369=LINE('',#509935,#115286); #76370=LINE('',#509937,#115287); #76371=LINE('',#509938,#115288); #76372=LINE('',#509944,#115289); #76373=LINE('',#509946,#115290); #76374=LINE('',#509947,#115291); #76375=LINE('',#509954,#115292); #76376=LINE('',#509959,#115293); #76377=LINE('',#509961,#115294); #76378=LINE('',#509963,#115295); #76379=LINE('',#509964,#115296); #76380=LINE('',#509967,#115297); #76381=LINE('',#509969,#115298); #76382=LINE('',#509970,#115299); #76383=LINE('',#509973,#115300); #76384=LINE('',#509975,#115301); #76385=LINE('',#509976,#115302); #76386=LINE('',#509979,#115303); #76387=LINE('',#509981,#115304); #76388=LINE('',#509982,#115305); #76389=LINE('',#509985,#115306); #76390=LINE('',#509987,#115307); #76391=LINE('',#509988,#115308); #76392=LINE('',#509991,#115309); #76393=LINE('',#509993,#115310); #76394=LINE('',#509994,#115311); #76395=LINE('',#509997,#115312); #76396=LINE('',#509999,#115313); #76397=LINE('',#510000,#115314); #76398=LINE('',#510003,#115315); #76399=LINE('',#510005,#115316); #76400=LINE('',#510006,#115317); #76401=LINE('',#510009,#115318); #76402=LINE('',#510011,#115319); #76403=LINE('',#510012,#115320); #76404=LINE('',#510015,#115321); #76405=LINE('',#510017,#115322); #76406=LINE('',#510018,#115323); #76407=LINE('',#510024,#115324); #76408=LINE('',#510027,#115325); #76409=LINE('',#510029,#115326); #76410=LINE('',#510030,#115327); #76411=LINE('',#510036,#115328); #76412=LINE('',#510039,#115329); #76413=LINE('',#510041,#115330); #76414=LINE('',#510042,#115331); #76415=LINE('',#510045,#115332); #76416=LINE('',#510047,#115333); #76417=LINE('',#510048,#115334); #76418=LINE('',#510051,#115335); #76419=LINE('',#510053,#115336); #76420=LINE('',#510054,#115337); #76421=LINE('',#510060,#115338); #76422=LINE('',#510063,#115339); #76423=LINE('',#510065,#115340); #76424=LINE('',#510066,#115341); #76425=LINE('',#510072,#115342); #76426=LINE('',#510075,#115343); #76427=LINE('',#510077,#115344); #76428=LINE('',#510078,#115345); #76429=LINE('',#510081,#115346); #76430=LINE('',#510083,#115347); #76431=LINE('',#510084,#115348); #76432=LINE('',#510087,#115349); #76433=LINE('',#510089,#115350); #76434=LINE('',#510090,#115351); #76435=LINE('',#510096,#115352); #76436=LINE('',#510102,#115353); #76437=LINE('',#510105,#115354); #76438=LINE('',#510107,#115355); #76439=LINE('',#510108,#115356); #76440=LINE('',#510114,#115357); #76441=LINE('',#510117,#115358); #76442=LINE('',#510119,#115359); #76443=LINE('',#510120,#115360); #76444=LINE('',#510126,#115361); #76445=LINE('',#510129,#115362); #76446=LINE('',#510131,#115363); #76447=LINE('',#510132,#115364); #76448=LINE('',#510135,#115365); #76449=LINE('',#510137,#115366); #76450=LINE('',#510138,#115367); #76451=LINE('',#510141,#115368); #76452=LINE('',#510143,#115369); #76453=LINE('',#510144,#115370); #76454=LINE('',#510150,#115371); #76455=LINE('',#510153,#115372); #76456=LINE('',#510155,#115373); #76457=LINE('',#510156,#115374); #76458=LINE('',#510162,#115375); #76459=LINE('',#510165,#115376); #76460=LINE('',#510167,#115377); #76461=LINE('',#510168,#115378); #76462=LINE('',#510171,#115379); #76463=LINE('',#510173,#115380); #76464=LINE('',#510174,#115381); #76465=LINE('',#510177,#115382); #76466=LINE('',#510179,#115383); #76467=LINE('',#510180,#115384); #76468=LINE('',#510183,#115385); #76469=LINE('',#510185,#115386); #76470=LINE('',#510186,#115387); #76471=LINE('',#510189,#115388); #76472=LINE('',#510191,#115389); #76473=LINE('',#510192,#115390); #76474=LINE('',#510195,#115391); #76475=LINE('',#510197,#115392); #76476=LINE('',#510198,#115393); #76477=LINE('',#510201,#115394); #76478=LINE('',#510203,#115395); #76479=LINE('',#510204,#115396); #76480=LINE('',#510207,#115397); #76481=LINE('',#510209,#115398); #76482=LINE('',#510210,#115399); #76483=LINE('',#510212,#115400); #76484=LINE('',#510213,#115401); #76485=LINE('',#510220,#115402); #76486=LINE('',#510225,#115403); #76487=LINE('',#510227,#115404); #76488=LINE('',#510229,#115405); #76489=LINE('',#510230,#115406); #76490=LINE('',#510233,#115407); #76491=LINE('',#510235,#115408); #76492=LINE('',#510236,#115409); #76493=LINE('',#510239,#115410); #76494=LINE('',#510241,#115411); #76495=LINE('',#510242,#115412); #76496=LINE('',#510245,#115413); #76497=LINE('',#510247,#115414); #76498=LINE('',#510248,#115415); #76499=LINE('',#510251,#115416); #76500=LINE('',#510253,#115417); #76501=LINE('',#510254,#115418); #76502=LINE('',#510260,#115419); #76503=LINE('',#510263,#115420); #76504=LINE('',#510265,#115421); #76505=LINE('',#510266,#115422); #76506=LINE('',#510269,#115423); #76507=LINE('',#510271,#115424); #76508=LINE('',#510272,#115425); #76509=LINE('',#510278,#115426); #76510=LINE('',#510281,#115427); #76511=LINE('',#510283,#115428); #76512=LINE('',#510284,#115429); #76513=LINE('',#510287,#115430); #76514=LINE('',#510289,#115431); #76515=LINE('',#510290,#115432); #76516=LINE('',#510296,#115433); #76517=LINE('',#510302,#115434); #76518=LINE('',#510305,#115435); #76519=LINE('',#510307,#115436); #76520=LINE('',#510308,#115437); #76521=LINE('',#510314,#115438); #76522=LINE('',#510317,#115439); #76523=LINE('',#510319,#115440); #76524=LINE('',#510320,#115441); #76525=LINE('',#510323,#115442); #76526=LINE('',#510325,#115443); #76527=LINE('',#510326,#115444); #76528=LINE('',#510332,#115445); #76529=LINE('',#510335,#115446); #76530=LINE('',#510337,#115447); #76531=LINE('',#510338,#115448); #76532=LINE('',#510341,#115449); #76533=LINE('',#510343,#115450); #76534=LINE('',#510344,#115451); #76535=LINE('',#510346,#115452); #76536=LINE('',#510347,#115453); #76537=LINE('',#510354,#115454); #76538=LINE('',#510359,#115455); #76539=LINE('',#510361,#115456); #76540=LINE('',#510363,#115457); #76541=LINE('',#510364,#115458); #76542=LINE('',#510367,#115459); #76543=LINE('',#510369,#115460); #76544=LINE('',#510370,#115461); #76545=LINE('',#510373,#115462); #76546=LINE('',#510375,#115463); #76547=LINE('',#510376,#115464); #76548=LINE('',#510378,#115465); #76549=LINE('',#510379,#115466); #76550=LINE('',#510386,#115467); #76551=LINE('',#510391,#115468); #76552=LINE('',#510393,#115469); #76553=LINE('',#510395,#115470); #76554=LINE('',#510396,#115471); #76555=LINE('',#510399,#115472); #76556=LINE('',#510401,#115473); #76557=LINE('',#510402,#115474); #76558=LINE('',#510405,#115475); #76559=LINE('',#510407,#115476); #76560=LINE('',#510408,#115477); #76561=LINE('',#510410,#115478); #76562=LINE('',#510411,#115479); #76563=LINE('',#510418,#115480); #76564=LINE('',#510423,#115481); #76565=LINE('',#510425,#115482); #76566=LINE('',#510427,#115483); #76567=LINE('',#510428,#115484); #76568=LINE('',#510434,#115485); #76569=LINE('',#510437,#115486); #76570=LINE('',#510439,#115487); #76571=LINE('',#510440,#115488); #76572=LINE('',#510446,#115489); #76573=LINE('',#510449,#115490); #76574=LINE('',#510451,#115491); #76575=LINE('',#510452,#115492); #76576=LINE('',#510455,#115493); #76577=LINE('',#510457,#115494); #76578=LINE('',#510458,#115495); #76579=LINE('',#510461,#115496); #76580=LINE('',#510463,#115497); #76581=LINE('',#510464,#115498); #76582=LINE('',#510467,#115499); #76583=LINE('',#510469,#115500); #76584=LINE('',#510470,#115501); #76585=LINE('',#510473,#115502); #76586=LINE('',#510475,#115503); #76587=LINE('',#510476,#115504); #76588=LINE('',#510479,#115505); #76589=LINE('',#510481,#115506); #76590=LINE('',#510482,#115507); #76591=LINE('',#510485,#115508); #76592=LINE('',#510487,#115509); #76593=LINE('',#510488,#115510); #76594=LINE('',#510491,#115511); #76595=LINE('',#510493,#115512); #76596=LINE('',#510494,#115513); #76597=LINE('',#510497,#115514); #76598=LINE('',#510499,#115515); #76599=LINE('',#510500,#115516); #76600=LINE('',#510510,#115517); #76601=LINE('',#510515,#115518); #76602=LINE('',#510517,#115519); #76603=LINE('',#510519,#115520); #76604=LINE('',#510520,#115521); #76605=LINE('',#510526,#115522); #76606=LINE('',#510536,#115523); #76607=LINE('',#510542,#115524); #76608=LINE('',#510547,#115525); #76609=LINE('',#510549,#115526); #76610=LINE('',#510551,#115527); #76611=LINE('',#510552,#115528); #76612=LINE('',#510558,#115529); #76613=LINE('',#510561,#115530); #76614=LINE('',#510563,#115531); #76615=LINE('',#510564,#115532); #76616=LINE('',#510570,#115533); #76617=LINE('',#510576,#115534); #76618=LINE('',#510579,#115535); #76619=LINE('',#510581,#115536); #76620=LINE('',#510582,#115537); #76621=LINE('',#510592,#115538); #76622=LINE('',#510597,#115539); #76623=LINE('',#510599,#115540); #76624=LINE('',#510601,#115541); #76625=LINE('',#510602,#115542); #76626=LINE('',#510608,#115543); #76627=LINE('',#510618,#115544); #76628=LINE('',#510623,#115545); #76629=LINE('',#510625,#115546); #76630=LINE('',#510627,#115547); #76631=LINE('',#510628,#115548); #76632=LINE('',#510631,#115549); #76633=LINE('',#510633,#115550); #76634=LINE('',#510634,#115551); #76635=LINE('',#510637,#115552); #76636=LINE('',#510639,#115553); #76637=LINE('',#510640,#115554); #76638=LINE('',#510642,#115555); #76639=LINE('',#510643,#115556); #76640=LINE('',#510650,#115557); #76641=LINE('',#510655,#115558); #76642=LINE('',#510657,#115559); #76643=LINE('',#510659,#115560); #76644=LINE('',#510660,#115561); #76645=LINE('',#510663,#115562); #76646=LINE('',#510665,#115563); #76647=LINE('',#510666,#115564); #76648=LINE('',#510669,#115565); #76649=LINE('',#510671,#115566); #76650=LINE('',#510672,#115567); #76651=LINE('',#510675,#115568); #76652=LINE('',#510677,#115569); #76653=LINE('',#510678,#115570); #76654=LINE('',#510681,#115571); #76655=LINE('',#510683,#115572); #76656=LINE('',#510684,#115573); #76657=LINE('',#510687,#115574); #76658=LINE('',#510689,#115575); #76659=LINE('',#510690,#115576); #76660=LINE('',#510693,#115577); #76661=LINE('',#510695,#115578); #76662=LINE('',#510696,#115579); #76663=LINE('',#510699,#115580); #76664=LINE('',#510701,#115581); #76665=LINE('',#510702,#115582); #76666=LINE('',#510705,#115583); #76667=LINE('',#510707,#115584); #76668=LINE('',#510708,#115585); #76669=LINE('',#510711,#115586); #76670=LINE('',#510713,#115587); #76671=LINE('',#510714,#115588); #76672=LINE('',#510717,#115589); #76673=LINE('',#510719,#115590); #76674=LINE('',#510720,#115591); #76675=LINE('',#510723,#115592); #76676=LINE('',#510725,#115593); #76677=LINE('',#510726,#115594); #76678=LINE('',#510732,#115595); #76679=LINE('',#510735,#115596); #76680=LINE('',#510737,#115597); #76681=LINE('',#510738,#115598); #76682=LINE('',#510744,#115599); #76683=LINE('',#510747,#115600); #76684=LINE('',#510749,#115601); #76685=LINE('',#510750,#115602); #76686=LINE('',#510753,#115603); #76687=LINE('',#510755,#115604); #76688=LINE('',#510756,#115605); #76689=LINE('',#510759,#115606); #76690=LINE('',#510761,#115607); #76691=LINE('',#510762,#115608); #76692=LINE('',#510768,#115609); #76693=LINE('',#510771,#115610); #76694=LINE('',#510773,#115611); #76695=LINE('',#510774,#115612); #76696=LINE('',#510780,#115613); #76697=LINE('',#510783,#115614); #76698=LINE('',#510785,#115615); #76699=LINE('',#510786,#115616); #76700=LINE('',#510792,#115617); #76701=LINE('',#510798,#115618); #76702=LINE('',#510801,#115619); #76703=LINE('',#510803,#115620); #76704=LINE('',#510804,#115621); #76705=LINE('',#510807,#115622); #76706=LINE('',#510809,#115623); #76707=LINE('',#510810,#115624); #76708=LINE('',#510813,#115625); #76709=LINE('',#510815,#115626); #76710=LINE('',#510816,#115627); #76711=LINE('',#510822,#115628); #76712=LINE('',#510825,#115629); #76713=LINE('',#510827,#115630); #76714=LINE('',#510828,#115631); #76715=LINE('',#510834,#115632); #76716=LINE('',#510837,#115633); #76717=LINE('',#510839,#115634); #76718=LINE('',#510840,#115635); #76719=LINE('',#510843,#115636); #76720=LINE('',#510845,#115637); #76721=LINE('',#510846,#115638); #76722=LINE('',#510849,#115639); #76723=LINE('',#510851,#115640); #76724=LINE('',#510852,#115641); #76725=LINE('',#510858,#115642); #76726=LINE('',#510861,#115643); #76727=LINE('',#510863,#115644); #76728=LINE('',#510864,#115645); #76729=LINE('',#510870,#115646); #76730=LINE('',#510873,#115647); #76731=LINE('',#510875,#115648); #76732=LINE('',#510876,#115649); #76733=LINE('',#510879,#115650); #76734=LINE('',#510881,#115651); #76735=LINE('',#510882,#115652); #76736=LINE('',#510885,#115653); #76737=LINE('',#510887,#115654); #76738=LINE('',#510888,#115655); #76739=LINE('',#510891,#115656); #76740=LINE('',#510893,#115657); #76741=LINE('',#510894,#115658); #76742=LINE('',#510897,#115659); #76743=LINE('',#510899,#115660); #76744=LINE('',#510900,#115661); #76745=LINE('',#510903,#115662); #76746=LINE('',#510905,#115663); #76747=LINE('',#510906,#115664); #76748=LINE('',#510908,#115665); #76749=LINE('',#510909,#115666); #76750=LINE('',#510916,#115667); #76751=LINE('',#510921,#115668); #76752=LINE('',#510923,#115669); #76753=LINE('',#510925,#115670); #76754=LINE('',#510926,#115671); #76755=LINE('',#510929,#115672); #76756=LINE('',#510931,#115673); #76757=LINE('',#510932,#115674); #76758=LINE('',#510935,#115675); #76759=LINE('',#510937,#115676); #76760=LINE('',#510938,#115677); #76761=LINE('',#510941,#115678); #76762=LINE('',#510943,#115679); #76763=LINE('',#510944,#115680); #76764=LINE('',#510950,#115681); #76765=LINE('',#510953,#115682); #76766=LINE('',#510955,#115683); #76767=LINE('',#510956,#115684); #76768=LINE('',#510962,#115685); #76769=LINE('',#510965,#115686); #76770=LINE('',#510967,#115687); #76771=LINE('',#510968,#115688); #76772=LINE('',#510971,#115689); #76773=LINE('',#510973,#115690); #76774=LINE('',#510974,#115691); #76775=LINE('',#510980,#115692); #76776=LINE('',#510983,#115693); #76777=LINE('',#510985,#115694); #76778=LINE('',#510986,#115695); #76779=LINE('',#510992,#115696); #76780=LINE('',#510998,#115697); #76781=LINE('',#511001,#115698); #76782=LINE('',#511003,#115699); #76783=LINE('',#511004,#115700); #76784=LINE('',#511007,#115701); #76785=LINE('',#511009,#115702); #76786=LINE('',#511010,#115703); #76787=LINE('',#511016,#115704); #76788=LINE('',#511019,#115705); #76789=LINE('',#511021,#115706); #76790=LINE('',#511022,#115707); #76791=LINE('',#511025,#115708); #76792=LINE('',#511027,#115709); #76793=LINE('',#511028,#115710); #76794=LINE('',#511031,#115711); #76795=LINE('',#511033,#115712); #76796=LINE('',#511034,#115713); #76797=LINE('',#511037,#115714); #76798=LINE('',#511039,#115715); #76799=LINE('',#511040,#115716); #76800=LINE('',#511042,#115717); #76801=LINE('',#511043,#115718); #76802=LINE('',#511049,#115719); #76803=LINE('',#511051,#115720); #76804=LINE('',#511053,#115721); #76805=LINE('',#511054,#115722); #76806=LINE('',#511057,#115723); #76807=LINE('',#511059,#115724); #76808=LINE('',#511060,#115725); #76809=LINE('',#511063,#115726); #76810=LINE('',#511065,#115727); #76811=LINE('',#511066,#115728); #76812=LINE('',#511069,#115729); #76813=LINE('',#511071,#115730); #76814=LINE('',#511072,#115731); #76815=LINE('',#511075,#115732); #76816=LINE('',#511077,#115733); #76817=LINE('',#511078,#115734); #76818=LINE('',#511081,#115735); #76819=LINE('',#511083,#115736); #76820=LINE('',#511084,#115737); #76821=LINE('',#511087,#115738); #76822=LINE('',#511089,#115739); #76823=LINE('',#511090,#115740); #76824=LINE('',#511093,#115741); #76825=LINE('',#511095,#115742); #76826=LINE('',#511096,#115743); #76827=LINE('',#511099,#115744); #76828=LINE('',#511101,#115745); #76829=LINE('',#511102,#115746); #76830=LINE('',#511105,#115747); #76831=LINE('',#511107,#115748); #76832=LINE('',#511108,#115749); #76833=LINE('',#511111,#115750); #76834=LINE('',#511113,#115751); #76835=LINE('',#511114,#115752); #76836=LINE('',#511116,#115753); #76837=LINE('',#511117,#115754); #76838=LINE('',#511123,#115755); #76839=LINE('',#511125,#115756); #76840=LINE('',#511127,#115757); #76841=LINE('',#511128,#115758); #76842=LINE('',#511131,#115759); #76843=LINE('',#511133,#115760); #76844=LINE('',#511134,#115761); #76845=LINE('',#511137,#115762); #76846=LINE('',#511139,#115763); #76847=LINE('',#511140,#115764); #76848=LINE('',#511143,#115765); #76849=LINE('',#511145,#115766); #76850=LINE('',#511146,#115767); #76851=LINE('',#511149,#115768); #76852=LINE('',#511151,#115769); #76853=LINE('',#511152,#115770); #76854=LINE('',#511155,#115771); #76855=LINE('',#511157,#115772); #76856=LINE('',#511158,#115773); #76857=LINE('',#511161,#115774); #76858=LINE('',#511163,#115775); #76859=LINE('',#511164,#115776); #76860=LINE('',#511167,#115777); #76861=LINE('',#511169,#115778); #76862=LINE('',#511170,#115779); #76863=LINE('',#511173,#115780); #76864=LINE('',#511175,#115781); #76865=LINE('',#511176,#115782); #76866=LINE('',#511179,#115783); #76867=LINE('',#511181,#115784); #76868=LINE('',#511182,#115785); #76869=LINE('',#511185,#115786); #76870=LINE('',#511187,#115787); #76871=LINE('',#511188,#115788); #76872=LINE('',#511190,#115789); #76873=LINE('',#511191,#115790); #76874=LINE('',#511198,#115791); #76875=LINE('',#511203,#115792); #76876=LINE('',#511205,#115793); #76877=LINE('',#511207,#115794); #76878=LINE('',#511208,#115795); #76879=LINE('',#511211,#115796); #76880=LINE('',#511213,#115797); #76881=LINE('',#511214,#115798); #76882=LINE('',#511217,#115799); #76883=LINE('',#511219,#115800); #76884=LINE('',#511220,#115801); #76885=LINE('',#511223,#115802); #76886=LINE('',#511225,#115803); #76887=LINE('',#511226,#115804); #76888=LINE('',#511232,#115805); #76889=LINE('',#511235,#115806); #76890=LINE('',#511237,#115807); #76891=LINE('',#511238,#115808); #76892=LINE('',#511244,#115809); #76893=LINE('',#511247,#115810); #76894=LINE('',#511249,#115811); #76895=LINE('',#511250,#115812); #76896=LINE('',#511256,#115813); #76897=LINE('',#511259,#115814); #76898=LINE('',#511261,#115815); #76899=LINE('',#511262,#115816); #76900=LINE('',#511268,#115817); #76901=LINE('',#511274,#115818); #76902=LINE('',#511277,#115819); #76903=LINE('',#511279,#115820); #76904=LINE('',#511280,#115821); #76905=LINE('',#511283,#115822); #76906=LINE('',#511285,#115823); #76907=LINE('',#511286,#115824); #76908=LINE('',#511289,#115825); #76909=LINE('',#511291,#115826); #76910=LINE('',#511292,#115827); #76911=LINE('',#511295,#115828); #76912=LINE('',#511297,#115829); #76913=LINE('',#511298,#115830); #76914=LINE('',#511300,#115831); #76915=LINE('',#511301,#115832); #76916=LINE('',#511308,#115833); #76917=LINE('',#511313,#115834); #76918=LINE('',#511315,#115835); #76919=LINE('',#511317,#115836); #76920=LINE('',#511318,#115837); #76921=LINE('',#511324,#115838); #76922=LINE('',#511327,#115839); #76923=LINE('',#511329,#115840); #76924=LINE('',#511330,#115841); #76925=LINE('',#511336,#115842); #76926=LINE('',#511339,#115843); #76927=LINE('',#511341,#115844); #76928=LINE('',#511342,#115845); #76929=LINE('',#511348,#115846); #76930=LINE('',#511351,#115847); #76931=LINE('',#511353,#115848); #76932=LINE('',#511354,#115849); #76933=LINE('',#511357,#115850); #76934=LINE('',#511359,#115851); #76935=LINE('',#511360,#115852); #76936=LINE('',#511363,#115853); #76937=LINE('',#511365,#115854); #76938=LINE('',#511366,#115855); #76939=LINE('',#511369,#115856); #76940=LINE('',#511371,#115857); #76941=LINE('',#511372,#115858); #76942=LINE('',#511375,#115859); #76943=LINE('',#511377,#115860); #76944=LINE('',#511378,#115861); #76945=LINE('',#511381,#115862); #76946=LINE('',#511383,#115863); #76947=LINE('',#511384,#115864); #76948=LINE('',#511387,#115865); #76949=LINE('',#511389,#115866); #76950=LINE('',#511390,#115867); #76951=LINE('',#511393,#115868); #76952=LINE('',#511395,#115869); #76953=LINE('',#511396,#115870); #76954=LINE('',#511399,#115871); #76955=LINE('',#511401,#115872); #76956=LINE('',#511402,#115873); #76957=LINE('',#511408,#115874); #76958=LINE('',#511411,#115875); #76959=LINE('',#511413,#115876); #76960=LINE('',#511414,#115877); #76961=LINE('',#511420,#115878); #76962=LINE('',#511423,#115879); #76963=LINE('',#511425,#115880); #76964=LINE('',#511426,#115881); #76965=LINE('',#511429,#115882); #76966=LINE('',#511431,#115883); #76967=LINE('',#511432,#115884); #76968=LINE('',#511435,#115885); #76969=LINE('',#511437,#115886); #76970=LINE('',#511438,#115887); #76971=LINE('',#511448,#115888); #76972=LINE('',#511453,#115889); #76973=LINE('',#511455,#115890); #76974=LINE('',#511457,#115891); #76975=LINE('',#511458,#115892); #76976=LINE('',#511464,#115893); #76977=LINE('',#511470,#115894); #76978=LINE('',#511473,#115895); #76979=LINE('',#511475,#115896); #76980=LINE('',#511476,#115897); #76981=LINE('',#511479,#115898); #76982=LINE('',#511481,#115899); #76983=LINE('',#511482,#115900); #76984=LINE('',#511485,#115901); #76985=LINE('',#511487,#115902); #76986=LINE('',#511488,#115903); #76987=LINE('',#511491,#115904); #76988=LINE('',#511493,#115905); #76989=LINE('',#511494,#115906); #76990=LINE('',#511497,#115907); #76991=LINE('',#511499,#115908); #76992=LINE('',#511500,#115909); #76993=LINE('',#511503,#115910); #76994=LINE('',#511505,#115911); #76995=LINE('',#511506,#115912); #76996=LINE('',#511512,#115913); #76997=LINE('',#511515,#115914); #76998=LINE('',#511517,#115915); #76999=LINE('',#511518,#115916); #77000=LINE('',#511527,#115917); #77001=LINE('',#511529,#115918); #77002=LINE('',#511531,#115919); #77003=LINE('',#511532,#115920); #77004=LINE('',#511535,#115921); #77005=LINE('',#511537,#115922); #77006=LINE('',#511538,#115923); #77007=LINE('',#511541,#115924); #77008=LINE('',#511543,#115925); #77009=LINE('',#511544,#115926); #77010=LINE('',#511547,#115927); #77011=LINE('',#511549,#115928); #77012=LINE('',#511550,#115929); #77013=LINE('',#511556,#115930); #77014=LINE('',#511559,#115931); #77015=LINE('',#511561,#115932); #77016=LINE('',#511562,#115933); #77017=LINE('',#511568,#115934); #77018=LINE('',#511571,#115935); #77019=LINE('',#511573,#115936); #77020=LINE('',#511574,#115937); #77021=LINE('',#511577,#115938); #77022=LINE('',#511579,#115939); #77023=LINE('',#511580,#115940); #77024=LINE('',#511583,#115941); #77025=LINE('',#511585,#115942); #77026=LINE('',#511586,#115943); #77027=LINE('',#511592,#115944); #77028=LINE('',#511595,#115945); #77029=LINE('',#511597,#115946); #77030=LINE('',#511598,#115947); #77031=LINE('',#511604,#115948); #77032=LINE('',#511607,#115949); #77033=LINE('',#511609,#115950); #77034=LINE('',#511610,#115951); #77035=LINE('',#511613,#115952); #77036=LINE('',#511615,#115953); #77037=LINE('',#511616,#115954); #77038=LINE('',#511619,#115955); #77039=LINE('',#511621,#115956); #77040=LINE('',#511622,#115957); #77041=LINE('',#511625,#115958); #77042=LINE('',#511627,#115959); #77043=LINE('',#511628,#115960); #77044=LINE('',#511631,#115961); #77045=LINE('',#511633,#115962); #77046=LINE('',#511634,#115963); #77047=LINE('',#511637,#115964); #77048=LINE('',#511639,#115965); #77049=LINE('',#511640,#115966); #77050=LINE('',#511643,#115967); #77051=LINE('',#511645,#115968); #77052=LINE('',#511646,#115969); #77053=LINE('',#511649,#115970); #77054=LINE('',#511651,#115971); #77055=LINE('',#511652,#115972); #77056=LINE('',#511655,#115973); #77057=LINE('',#511657,#115974); #77058=LINE('',#511658,#115975); #77059=LINE('',#511664,#115976); #77060=LINE('',#511667,#115977); #77061=LINE('',#511669,#115978); #77062=LINE('',#511670,#115979); #77063=LINE('',#511676,#115980); #77064=LINE('',#511679,#115981); #77065=LINE('',#511681,#115982); #77066=LINE('',#511682,#115983); #77067=LINE('',#511685,#115984); #77068=LINE('',#511687,#115985); #77069=LINE('',#511688,#115986); #77070=LINE('',#511691,#115987); #77071=LINE('',#511693,#115988); #77072=LINE('',#511694,#115989); #77073=LINE('',#511700,#115990); #77074=LINE('',#511703,#115991); #77075=LINE('',#511705,#115992); #77076=LINE('',#511706,#115993); #77077=LINE('',#511712,#115994); #77078=LINE('',#511715,#115995); #77079=LINE('',#511717,#115996); #77080=LINE('',#511718,#115997); #77081=LINE('',#511721,#115998); #77082=LINE('',#511723,#115999); #77083=LINE('',#511724,#116000); #77084=LINE('',#511727,#116001); #77085=LINE('',#511729,#116002); #77086=LINE('',#511730,#116003); #77087=LINE('',#511733,#116004); #77088=LINE('',#511735,#116005); #77089=LINE('',#511736,#116006); #77090=LINE('',#511738,#116007); #77091=LINE('',#511739,#116008); #77092=LINE('',#511746,#116009); #77093=LINE('',#511751,#116010); #77094=LINE('',#511753,#116011); #77095=LINE('',#511755,#116012); #77096=LINE('',#511756,#116013); #77097=LINE('',#511762,#116014); #77098=LINE('',#511765,#116015); #77099=LINE('',#511767,#116016); #77100=LINE('',#511768,#116017); #77101=LINE('',#511771,#116018); #77102=LINE('',#511773,#116019); #77103=LINE('',#511774,#116020); #77104=LINE('',#511777,#116021); #77105=LINE('',#511779,#116022); #77106=LINE('',#511780,#116023); #77107=LINE('',#511783,#116024); #77108=LINE('',#511785,#116025); #77109=LINE('',#511786,#116026); #77110=LINE('',#511789,#116027); #77111=LINE('',#511791,#116028); #77112=LINE('',#511792,#116029); #77113=LINE('',#511795,#116030); #77114=LINE('',#511797,#116031); #77115=LINE('',#511798,#116032); #77116=LINE('',#511801,#116033); #77117=LINE('',#511803,#116034); #77118=LINE('',#511804,#116035); #77119=LINE('',#511814,#116036); #77120=LINE('',#511820,#116037); #77121=LINE('',#511825,#116038); #77122=LINE('',#511827,#116039); #77123=LINE('',#511829,#116040); #77124=LINE('',#511830,#116041); #77125=LINE('',#511833,#116042); #77126=LINE('',#511835,#116043); #77127=LINE('',#511836,#116044); #77128=LINE('',#511842,#116045); #77129=LINE('',#511848,#116046); #77130=LINE('',#511851,#116047); #77131=LINE('',#511853,#116048); #77132=LINE('',#511854,#116049); #77133=LINE('',#511857,#116050); #77134=LINE('',#511859,#116051); #77135=LINE('',#511860,#116052); #77136=LINE('',#511866,#116053); #77137=LINE('',#511872,#116054); #77138=LINE('',#511875,#116055); #77139=LINE('',#511877,#116056); #77140=LINE('',#511878,#116057); #77141=LINE('',#511881,#116058); #77142=LINE('',#511883,#116059); #77143=LINE('',#511884,#116060); #77144=LINE('',#511887,#116061); #77145=LINE('',#511889,#116062); #77146=LINE('',#511890,#116063); #77147=LINE('',#511893,#116064); #77148=LINE('',#511895,#116065); #77149=LINE('',#511896,#116066); #77150=LINE('',#511899,#116067); #77151=LINE('',#511901,#116068); #77152=LINE('',#511902,#116069); #77153=LINE('',#511905,#116070); #77154=LINE('',#511907,#116071); #77155=LINE('',#511908,#116072); #77156=LINE('',#511911,#116073); #77157=LINE('',#511913,#116074); #77158=LINE('',#511914,#116075); #77159=LINE('',#511917,#116076); #77160=LINE('',#511919,#116077); #77161=LINE('',#511920,#116078); #77162=LINE('',#511923,#116079); #77163=LINE('',#511925,#116080); #77164=LINE('',#511926,#116081); #77165=LINE('',#511929,#116082); #77166=LINE('',#511931,#116083); #77167=LINE('',#511932,#116084); #77168=LINE('',#511938,#116085); #77169=LINE('',#511941,#116086); #77170=LINE('',#511943,#116087); #77171=LINE('',#511944,#116088); #77172=LINE('',#511953,#116089); #77173=LINE('',#511955,#116090); #77174=LINE('',#511957,#116091); #77175=LINE('',#511958,#116092); #77176=LINE('',#511961,#116093); #77177=LINE('',#511963,#116094); #77178=LINE('',#511964,#116095); #77179=LINE('',#511967,#116096); #77180=LINE('',#511969,#116097); #77181=LINE('',#511970,#116098); #77182=LINE('',#511973,#116099); #77183=LINE('',#511975,#116100); #77184=LINE('',#511976,#116101); #77185=LINE('',#511982,#116102); #77186=LINE('',#511985,#116103); #77187=LINE('',#511987,#116104); #77188=LINE('',#511988,#116105); #77189=LINE('',#511991,#116106); #77190=LINE('',#511993,#116107); #77191=LINE('',#511994,#116108); #77192=LINE('',#511997,#116109); #77193=LINE('',#511999,#116110); #77194=LINE('',#512000,#116111); #77195=LINE('',#512003,#116112); #77196=LINE('',#512005,#116113); #77197=LINE('',#512006,#116114); #77198=LINE('',#512009,#116115); #77199=LINE('',#512011,#116116); #77200=LINE('',#512012,#116117); #77201=LINE('',#512015,#116118); #77202=LINE('',#512017,#116119); #77203=LINE('',#512018,#116120); #77204=LINE('',#512021,#116121); #77205=LINE('',#512023,#116122); #77206=LINE('',#512024,#116123); #77207=LINE('',#512027,#116124); #77208=LINE('',#512029,#116125); #77209=LINE('',#512030,#116126); #77210=LINE('',#512032,#116127); #77211=LINE('',#512033,#116128); #77212=LINE('',#512040,#116129); #77213=LINE('',#512046,#116130); #77214=LINE('',#512052,#116131); #77215=LINE('',#512058,#116132); #77216=LINE('',#512064,#116133); #77217=LINE('',#512070,#116134); #77218=LINE('',#512076,#116135); #77219=LINE('',#512082,#116136); #77220=LINE('',#512088,#116137); #77221=LINE('',#512094,#116138); #77222=LINE('',#512100,#116139); #77223=LINE('',#512105,#116140); #77224=LINE('',#512107,#116141); #77225=LINE('',#512109,#116142); #77226=LINE('',#512110,#116143); #77227=LINE('',#512113,#116144); #77228=LINE('',#512115,#116145); #77229=LINE('',#512116,#116146); #77230=LINE('',#512119,#116147); #77231=LINE('',#512121,#116148); #77232=LINE('',#512122,#116149); #77233=LINE('',#512125,#116150); #77234=LINE('',#512127,#116151); #77235=LINE('',#512128,#116152); #77236=LINE('',#512134,#116153); #77237=LINE('',#512137,#116154); #77238=LINE('',#512139,#116155); #77239=LINE('',#512140,#116156); #77240=LINE('',#512146,#116157); #77241=LINE('',#512149,#116158); #77242=LINE('',#512151,#116159); #77243=LINE('',#512152,#116160); #77244=LINE('',#512155,#116161); #77245=LINE('',#512157,#116162); #77246=LINE('',#512158,#116163); #77247=LINE('',#512161,#116164); #77248=LINE('',#512163,#116165); #77249=LINE('',#512164,#116166); #77250=LINE('',#512167,#116167); #77251=LINE('',#512169,#116168); #77252=LINE('',#512170,#116169); #77253=LINE('',#512173,#116170); #77254=LINE('',#512175,#116171); #77255=LINE('',#512176,#116172); #77256=LINE('',#512179,#116173); #77257=LINE('',#512181,#116174); #77258=LINE('',#512182,#116175); #77259=LINE('',#512185,#116176); #77260=LINE('',#512187,#116177); #77261=LINE('',#512188,#116178); #77262=LINE('',#512191,#116179); #77263=LINE('',#512193,#116180); #77264=LINE('',#512194,#116181); #77265=LINE('',#512197,#116182); #77266=LINE('',#512199,#116183); #77267=LINE('',#512200,#116184); #77268=LINE('',#512203,#116185); #77269=LINE('',#512205,#116186); #77270=LINE('',#512206,#116187); #77271=LINE('',#512209,#116188); #77272=LINE('',#512211,#116189); #77273=LINE('',#512212,#116190); #77274=LINE('',#512215,#116191); #77275=LINE('',#512217,#116192); #77276=LINE('',#512218,#116193); #77277=LINE('',#512221,#116194); #77278=LINE('',#512223,#116195); #77279=LINE('',#512224,#116196); #77280=LINE('',#512227,#116197); #77281=LINE('',#512229,#116198); #77282=LINE('',#512230,#116199); #77283=LINE('',#512233,#116200); #77284=LINE('',#512235,#116201); #77285=LINE('',#512236,#116202); #77286=LINE('',#512239,#116203); #77287=LINE('',#512241,#116204); #77288=LINE('',#512242,#116205); #77289=LINE('',#512245,#116206); #77290=LINE('',#512247,#116207); #77291=LINE('',#512248,#116208); #77292=LINE('',#512251,#116209); #77293=LINE('',#512253,#116210); #77294=LINE('',#512254,#116211); #77295=LINE('',#512257,#116212); #77296=LINE('',#512259,#116213); #77297=LINE('',#512260,#116214); #77298=LINE('',#512263,#116215); #77299=LINE('',#512265,#116216); #77300=LINE('',#512266,#116217); #77301=LINE('',#512269,#116218); #77302=LINE('',#512271,#116219); #77303=LINE('',#512272,#116220); #77304=LINE('',#512275,#116221); #77305=LINE('',#512277,#116222); #77306=LINE('',#512278,#116223); #77307=LINE('',#512281,#116224); #77308=LINE('',#512283,#116225); #77309=LINE('',#512284,#116226); #77310=LINE('',#512287,#116227); #77311=LINE('',#512289,#116228); #77312=LINE('',#512290,#116229); #77313=LINE('',#512293,#116230); #77314=LINE('',#512295,#116231); #77315=LINE('',#512296,#116232); #77316=LINE('',#512299,#116233); #77317=LINE('',#512301,#116234); #77318=LINE('',#512302,#116235); #77319=LINE('',#512305,#116236); #77320=LINE('',#512307,#116237); #77321=LINE('',#512308,#116238); #77322=LINE('',#512311,#116239); #77323=LINE('',#512313,#116240); #77324=LINE('',#512314,#116241); #77325=LINE('',#512317,#116242); #77326=LINE('',#512319,#116243); #77327=LINE('',#512320,#116244); #77328=LINE('',#512323,#116245); #77329=LINE('',#512325,#116246); #77330=LINE('',#512326,#116247); #77331=LINE('',#512329,#116248); #77332=LINE('',#512331,#116249); #77333=LINE('',#512332,#116250); #77334=LINE('',#512335,#116251); #77335=LINE('',#512337,#116252); #77336=LINE('',#512338,#116253); #77337=LINE('',#512341,#116254); #77338=LINE('',#512343,#116255); #77339=LINE('',#512344,#116256); #77340=LINE('',#512347,#116257); #77341=LINE('',#512349,#116258); #77342=LINE('',#512350,#116259); #77343=LINE('',#512353,#116260); #77344=LINE('',#512355,#116261); #77345=LINE('',#512356,#116262); #77346=LINE('',#512359,#116263); #77347=LINE('',#512361,#116264); #77348=LINE('',#512362,#116265); #77349=LINE('',#512365,#116266); #77350=LINE('',#512367,#116267); #77351=LINE('',#512368,#116268); #77352=LINE('',#512371,#116269); #77353=LINE('',#512373,#116270); #77354=LINE('',#512374,#116271); #77355=LINE('',#512377,#116272); #77356=LINE('',#512379,#116273); #77357=LINE('',#512380,#116274); #77358=LINE('',#512383,#116275); #77359=LINE('',#512385,#116276); #77360=LINE('',#512386,#116277); #77361=LINE('',#512389,#116278); #77362=LINE('',#512391,#116279); #77363=LINE('',#512392,#116280); #77364=LINE('',#512395,#116281); #77365=LINE('',#512397,#116282); #77366=LINE('',#512398,#116283); #77367=LINE('',#512401,#116284); #77368=LINE('',#512403,#116285); #77369=LINE('',#512404,#116286); #77370=LINE('',#512407,#116287); #77371=LINE('',#512409,#116288); #77372=LINE('',#512410,#116289); #77373=LINE('',#512413,#116290); #77374=LINE('',#512415,#116291); #77375=LINE('',#512416,#116292); #77376=LINE('',#512419,#116293); #77377=LINE('',#512421,#116294); #77378=LINE('',#512422,#116295); #77379=LINE('',#512425,#116296); #77380=LINE('',#512427,#116297); #77381=LINE('',#512428,#116298); #77382=LINE('',#512431,#116299); #77383=LINE('',#512433,#116300); #77384=LINE('',#512434,#116301); #77385=LINE('',#512437,#116302); #77386=LINE('',#512439,#116303); #77387=LINE('',#512440,#116304); #77388=LINE('',#512443,#116305); #77389=LINE('',#512445,#116306); #77390=LINE('',#512446,#116307); #77391=LINE('',#512449,#116308); #77392=LINE('',#512451,#116309); #77393=LINE('',#512452,#116310); #77394=LINE('',#512455,#116311); #77395=LINE('',#512457,#116312); #77396=LINE('',#512458,#116313); #77397=LINE('',#512461,#116314); #77398=LINE('',#512463,#116315); #77399=LINE('',#512464,#116316); #77400=LINE('',#512467,#116317); #77401=LINE('',#512469,#116318); #77402=LINE('',#512470,#116319); #77403=LINE('',#512473,#116320); #77404=LINE('',#512475,#116321); #77405=LINE('',#512476,#116322); #77406=LINE('',#512479,#116323); #77407=LINE('',#512481,#116324); #77408=LINE('',#512482,#116325); #77409=LINE('',#512485,#116326); #77410=LINE('',#512487,#116327); #77411=LINE('',#512488,#116328); #77412=LINE('',#512491,#116329); #77413=LINE('',#512493,#116330); #77414=LINE('',#512494,#116331); #77415=LINE('',#512497,#116332); #77416=LINE('',#512499,#116333); #77417=LINE('',#512500,#116334); #77418=LINE('',#512503,#116335); #77419=LINE('',#512505,#116336); #77420=LINE('',#512506,#116337); #77421=LINE('',#512509,#116338); #77422=LINE('',#512511,#116339); #77423=LINE('',#512512,#116340); #77424=LINE('',#512515,#116341); #77425=LINE('',#512517,#116342); #77426=LINE('',#512518,#116343); #77427=LINE('',#512521,#116344); #77428=LINE('',#512523,#116345); #77429=LINE('',#512524,#116346); #77430=LINE('',#512527,#116347); #77431=LINE('',#512529,#116348); #77432=LINE('',#512530,#116349); #77433=LINE('',#512533,#116350); #77434=LINE('',#512535,#116351); #77435=LINE('',#512536,#116352); #77436=LINE('',#512539,#116353); #77437=LINE('',#512541,#116354); #77438=LINE('',#512542,#116355); #77439=LINE('',#512545,#116356); #77440=LINE('',#512547,#116357); #77441=LINE('',#512548,#116358); #77442=LINE('',#512551,#116359); #77443=LINE('',#512553,#116360); #77444=LINE('',#512554,#116361); #77445=LINE('',#512557,#116362); #77446=LINE('',#512559,#116363); #77447=LINE('',#512560,#116364); #77448=LINE('',#512563,#116365); #77449=LINE('',#512565,#116366); #77450=LINE('',#512566,#116367); #77451=LINE('',#512569,#116368); #77452=LINE('',#512571,#116369); #77453=LINE('',#512572,#116370); #77454=LINE('',#512575,#116371); #77455=LINE('',#512577,#116372); #77456=LINE('',#512578,#116373); #77457=LINE('',#512581,#116374); #77458=LINE('',#512583,#116375); #77459=LINE('',#512584,#116376); #77460=LINE('',#512587,#116377); #77461=LINE('',#512589,#116378); #77462=LINE('',#512590,#116379); #77463=LINE('',#512593,#116380); #77464=LINE('',#512595,#116381); #77465=LINE('',#512596,#116382); #77466=LINE('',#512599,#116383); #77467=LINE('',#512601,#116384); #77468=LINE('',#512602,#116385); #77469=LINE('',#512605,#116386); #77470=LINE('',#512607,#116387); #77471=LINE('',#512608,#116388); #77472=LINE('',#512611,#116389); #77473=LINE('',#512613,#116390); #77474=LINE('',#512614,#116391); #77475=LINE('',#512617,#116392); #77476=LINE('',#512619,#116393); #77477=LINE('',#512620,#116394); #77478=LINE('',#512623,#116395); #77479=LINE('',#512625,#116396); #77480=LINE('',#512626,#116397); #77481=LINE('',#512629,#116398); #77482=LINE('',#512631,#116399); #77483=LINE('',#512632,#116400); #77484=LINE('',#512635,#116401); #77485=LINE('',#512637,#116402); #77486=LINE('',#512638,#116403); #77487=LINE('',#512641,#116404); #77488=LINE('',#512643,#116405); #77489=LINE('',#512644,#116406); #77490=LINE('',#512647,#116407); #77491=LINE('',#512649,#116408); #77492=LINE('',#512650,#116409); #77493=LINE('',#512653,#116410); #77494=LINE('',#512655,#116411); #77495=LINE('',#512656,#116412); #77496=LINE('',#512659,#116413); #77497=LINE('',#512661,#116414); #77498=LINE('',#512662,#116415); #77499=LINE('',#512665,#116416); #77500=LINE('',#512667,#116417); #77501=LINE('',#512668,#116418); #77502=LINE('',#512671,#116419); #77503=LINE('',#512673,#116420); #77504=LINE('',#512674,#116421); #77505=LINE('',#512677,#116422); #77506=LINE('',#512679,#116423); #77507=LINE('',#512680,#116424); #77508=LINE('',#512683,#116425); #77509=LINE('',#512685,#116426); #77510=LINE('',#512686,#116427); #77511=LINE('',#512689,#116428); #77512=LINE('',#512691,#116429); #77513=LINE('',#512692,#116430); #77514=LINE('',#512695,#116431); #77515=LINE('',#512697,#116432); #77516=LINE('',#512698,#116433); #77517=LINE('',#512701,#116434); #77518=LINE('',#512703,#116435); #77519=LINE('',#512704,#116436); #77520=LINE('',#512707,#116437); #77521=LINE('',#512709,#116438); #77522=LINE('',#512710,#116439); #77523=LINE('',#512713,#116440); #77524=LINE('',#512715,#116441); #77525=LINE('',#512716,#116442); #77526=LINE('',#512719,#116443); #77527=LINE('',#512721,#116444); #77528=LINE('',#512722,#116445); #77529=LINE('',#512725,#116446); #77530=LINE('',#512727,#116447); #77531=LINE('',#512728,#116448); #77532=LINE('',#512731,#116449); #77533=LINE('',#512733,#116450); #77534=LINE('',#512734,#116451); #77535=LINE('',#512737,#116452); #77536=LINE('',#512739,#116453); #77537=LINE('',#512740,#116454); #77538=LINE('',#512743,#116455); #77539=LINE('',#512745,#116456); #77540=LINE('',#512746,#116457); #77541=LINE('',#512749,#116458); #77542=LINE('',#512751,#116459); #77543=LINE('',#512752,#116460); #77544=LINE('',#512755,#116461); #77545=LINE('',#512757,#116462); #77546=LINE('',#512758,#116463); #77547=LINE('',#512761,#116464); #77548=LINE('',#512763,#116465); #77549=LINE('',#512764,#116466); #77550=LINE('',#512767,#116467); #77551=LINE('',#512769,#116468); #77552=LINE('',#512770,#116469); #77553=LINE('',#512773,#116470); #77554=LINE('',#512775,#116471); #77555=LINE('',#512776,#116472); #77556=LINE('',#512779,#116473); #77557=LINE('',#512781,#116474); #77558=LINE('',#512782,#116475); #77559=LINE('',#512785,#116476); #77560=LINE('',#512787,#116477); #77561=LINE('',#512788,#116478); #77562=LINE('',#512791,#116479); #77563=LINE('',#512793,#116480); #77564=LINE('',#512794,#116481); #77565=LINE('',#512797,#116482); #77566=LINE('',#512799,#116483); #77567=LINE('',#512800,#116484); #77568=LINE('',#512803,#116485); #77569=LINE('',#512805,#116486); #77570=LINE('',#512806,#116487); #77571=LINE('',#512809,#116488); #77572=LINE('',#512811,#116489); #77573=LINE('',#512812,#116490); #77574=LINE('',#512815,#116491); #77575=LINE('',#512817,#116492); #77576=LINE('',#512818,#116493); #77577=LINE('',#512821,#116494); #77578=LINE('',#512823,#116495); #77579=LINE('',#512824,#116496); #77580=LINE('',#512827,#116497); #77581=LINE('',#512829,#116498); #77582=LINE('',#512830,#116499); #77583=LINE('',#512833,#116500); #77584=LINE('',#512835,#116501); #77585=LINE('',#512836,#116502); #77586=LINE('',#512839,#116503); #77587=LINE('',#512841,#116504); #77588=LINE('',#512842,#116505); #77589=LINE('',#512845,#116506); #77590=LINE('',#512847,#116507); #77591=LINE('',#512848,#116508); #77592=LINE('',#512851,#116509); #77593=LINE('',#512853,#116510); #77594=LINE('',#512854,#116511); #77595=LINE('',#512860,#116512); #77596=LINE('',#512863,#116513); #77597=LINE('',#512865,#116514); #77598=LINE('',#512866,#116515); #77599=LINE('',#512872,#116516); #77600=LINE('',#512875,#116517); #77601=LINE('',#512877,#116518); #77602=LINE('',#512878,#116519); #77603=LINE('',#512881,#116520); #77604=LINE('',#512883,#116521); #77605=LINE('',#512884,#116522); #77606=LINE('',#512887,#116523); #77607=LINE('',#512889,#116524); #77608=LINE('',#512890,#116525); #77609=LINE('',#512893,#116526); #77610=LINE('',#512895,#116527); #77611=LINE('',#512896,#116528); #77612=LINE('',#512898,#116529); #77613=LINE('',#512899,#116530); #77614=LINE('',#512906,#116531); #77615=LINE('',#512911,#116532); #77616=LINE('',#512913,#116533); #77617=LINE('',#512915,#116534); #77618=LINE('',#512916,#116535); #77619=LINE('',#512922,#116536); #77620=LINE('',#512931,#116537); #77621=LINE('',#512933,#116538); #77622=LINE('',#512935,#116539); #77623=LINE('',#512936,#116540); #77624=LINE('',#512939,#116541); #77625=LINE('',#512941,#116542); #77626=LINE('',#512942,#116543); #77627=LINE('',#512945,#116544); #77628=LINE('',#512947,#116545); #77629=LINE('',#512948,#116546); #77630=LINE('',#512951,#116547); #77631=LINE('',#512953,#116548); #77632=LINE('',#512954,#116549); #77633=LINE('',#512957,#116550); #77634=LINE('',#512959,#116551); #77635=LINE('',#512960,#116552); #77636=LINE('',#512963,#116553); #77637=LINE('',#512965,#116554); #77638=LINE('',#512966,#116555); #77639=LINE('',#512969,#116556); #77640=LINE('',#512971,#116557); #77641=LINE('',#512972,#116558); #77642=LINE('',#512975,#116559); #77643=LINE('',#512977,#116560); #77644=LINE('',#512978,#116561); #77645=LINE('',#512981,#116562); #77646=LINE('',#512983,#116563); #77647=LINE('',#512984,#116564); #77648=LINE('',#512987,#116565); #77649=LINE('',#512989,#116566); #77650=LINE('',#512990,#116567); #77651=LINE('',#512993,#116568); #77652=LINE('',#512995,#116569); #77653=LINE('',#512996,#116570); #77654=LINE('',#512999,#116571); #77655=LINE('',#513001,#116572); #77656=LINE('',#513002,#116573); #77657=LINE('',#513005,#116574); #77658=LINE('',#513007,#116575); #77659=LINE('',#513008,#116576); #77660=LINE('',#513011,#116577); #77661=LINE('',#513013,#116578); #77662=LINE('',#513014,#116579); #77663=LINE('',#513017,#116580); #77664=LINE('',#513019,#116581); #77665=LINE('',#513020,#116582); #77666=LINE('',#513023,#116583); #77667=LINE('',#513025,#116584); #77668=LINE('',#513026,#116585); #77669=LINE('',#513029,#116586); #77670=LINE('',#513031,#116587); #77671=LINE('',#513032,#116588); #77672=LINE('',#513035,#116589); #77673=LINE('',#513037,#116590); #77674=LINE('',#513038,#116591); #77675=LINE('',#513041,#116592); #77676=LINE('',#513043,#116593); #77677=LINE('',#513044,#116594); #77678=LINE('',#513046,#116595); #77679=LINE('',#513047,#116596); #77680=LINE('',#513053,#116597); #77681=LINE('',#513055,#116598); #77682=LINE('',#513057,#116599); #77683=LINE('',#513058,#116600); #77684=LINE('',#513061,#116601); #77685=LINE('',#513063,#116602); #77686=LINE('',#513064,#116603); #77687=LINE('',#513067,#116604); #77688=LINE('',#513069,#116605); #77689=LINE('',#513070,#116606); #77690=LINE('',#513073,#116607); #77691=LINE('',#513075,#116608); #77692=LINE('',#513076,#116609); #77693=LINE('',#513079,#116610); #77694=LINE('',#513081,#116611); #77695=LINE('',#513082,#116612); #77696=LINE('',#513085,#116613); #77697=LINE('',#513087,#116614); #77698=LINE('',#513088,#116615); #77699=LINE('',#513091,#116616); #77700=LINE('',#513093,#116617); #77701=LINE('',#513094,#116618); #77702=LINE('',#513097,#116619); #77703=LINE('',#513099,#116620); #77704=LINE('',#513100,#116621); #77705=LINE('',#513103,#116622); #77706=LINE('',#513105,#116623); #77707=LINE('',#513106,#116624); #77708=LINE('',#513109,#116625); #77709=LINE('',#513111,#116626); #77710=LINE('',#513112,#116627); #77711=LINE('',#513115,#116628); #77712=LINE('',#513117,#116629); #77713=LINE('',#513118,#116630); #77714=LINE('',#513121,#116631); #77715=LINE('',#513123,#116632); #77716=LINE('',#513124,#116633); #77717=LINE('',#513127,#116634); #77718=LINE('',#513129,#116635); #77719=LINE('',#513130,#116636); #77720=LINE('',#513133,#116637); #77721=LINE('',#513135,#116638); #77722=LINE('',#513136,#116639); #77723=LINE('',#513139,#116640); #77724=LINE('',#513141,#116641); #77725=LINE('',#513142,#116642); #77726=LINE('',#513145,#116643); #77727=LINE('',#513147,#116644); #77728=LINE('',#513148,#116645); #77729=LINE('',#513151,#116646); #77730=LINE('',#513153,#116647); #77731=LINE('',#513154,#116648); #77732=LINE('',#513157,#116649); #77733=LINE('',#513159,#116650); #77734=LINE('',#513160,#116651); #77735=LINE('',#513163,#116652); #77736=LINE('',#513165,#116653); #77737=LINE('',#513166,#116654); #77738=LINE('',#513169,#116655); #77739=LINE('',#513171,#116656); #77740=LINE('',#513172,#116657); #77741=LINE('',#513174,#116658); #77742=LINE('',#513175,#116659); #77743=LINE('',#513181,#116660); #77744=LINE('',#513183,#116661); #77745=LINE('',#513185,#116662); #77746=LINE('',#513186,#116663); #77747=LINE('',#513189,#116664); #77748=LINE('',#513191,#116665); #77749=LINE('',#513192,#116666); #77750=LINE('',#513195,#116667); #77751=LINE('',#513197,#116668); #77752=LINE('',#513198,#116669); #77753=LINE('',#513201,#116670); #77754=LINE('',#513203,#116671); #77755=LINE('',#513204,#116672); #77756=LINE('',#513207,#116673); #77757=LINE('',#513209,#116674); #77758=LINE('',#513210,#116675); #77759=LINE('',#513213,#116676); #77760=LINE('',#513215,#116677); #77761=LINE('',#513216,#116678); #77762=LINE('',#513219,#116679); #77763=LINE('',#513221,#116680); #77764=LINE('',#513222,#116681); #77765=LINE('',#513225,#116682); #77766=LINE('',#513227,#116683); #77767=LINE('',#513228,#116684); #77768=LINE('',#513231,#116685); #77769=LINE('',#513233,#116686); #77770=LINE('',#513234,#116687); #77771=LINE('',#513237,#116688); #77772=LINE('',#513239,#116689); #77773=LINE('',#513240,#116690); #77774=LINE('',#513243,#116691); #77775=LINE('',#513245,#116692); #77776=LINE('',#513246,#116693); #77777=LINE('',#513249,#116694); #77778=LINE('',#513251,#116695); #77779=LINE('',#513252,#116696); #77780=LINE('',#513255,#116697); #77781=LINE('',#513257,#116698); #77782=LINE('',#513258,#116699); #77783=LINE('',#513261,#116700); #77784=LINE('',#513263,#116701); #77785=LINE('',#513264,#116702); #77786=LINE('',#513267,#116703); #77787=LINE('',#513269,#116704); #77788=LINE('',#513270,#116705); #77789=LINE('',#513273,#116706); #77790=LINE('',#513275,#116707); #77791=LINE('',#513276,#116708); #77792=LINE('',#513279,#116709); #77793=LINE('',#513281,#116710); #77794=LINE('',#513282,#116711); #77795=LINE('',#513285,#116712); #77796=LINE('',#513287,#116713); #77797=LINE('',#513288,#116714); #77798=LINE('',#513291,#116715); #77799=LINE('',#513293,#116716); #77800=LINE('',#513294,#116717); #77801=LINE('',#513296,#116718); #77802=LINE('',#513297,#116719); #77803=LINE('',#513303,#116720); #77804=LINE('',#513305,#116721); #77805=LINE('',#513307,#116722); #77806=LINE('',#513308,#116723); #77807=LINE('',#513311,#116724); #77808=LINE('',#513313,#116725); #77809=LINE('',#513314,#116726); #77810=LINE('',#513317,#116727); #77811=LINE('',#513319,#116728); #77812=LINE('',#513320,#116729); #77813=LINE('',#513323,#116730); #77814=LINE('',#513325,#116731); #77815=LINE('',#513326,#116732); #77816=LINE('',#513329,#116733); #77817=LINE('',#513331,#116734); #77818=LINE('',#513332,#116735); #77819=LINE('',#513335,#116736); #77820=LINE('',#513337,#116737); #77821=LINE('',#513338,#116738); #77822=LINE('',#513341,#116739); #77823=LINE('',#513343,#116740); #77824=LINE('',#513344,#116741); #77825=LINE('',#513347,#116742); #77826=LINE('',#513349,#116743); #77827=LINE('',#513350,#116744); #77828=LINE('',#513353,#116745); #77829=LINE('',#513355,#116746); #77830=LINE('',#513356,#116747); #77831=LINE('',#513359,#116748); #77832=LINE('',#513361,#116749); #77833=LINE('',#513362,#116750); #77834=LINE('',#513365,#116751); #77835=LINE('',#513367,#116752); #77836=LINE('',#513368,#116753); #77837=LINE('',#513371,#116754); #77838=LINE('',#513373,#116755); #77839=LINE('',#513374,#116756); #77840=LINE('',#513377,#116757); #77841=LINE('',#513379,#116758); #77842=LINE('',#513380,#116759); #77843=LINE('',#513383,#116760); #77844=LINE('',#513385,#116761); #77845=LINE('',#513386,#116762); #77846=LINE('',#513389,#116763); #77847=LINE('',#513391,#116764); #77848=LINE('',#513392,#116765); #77849=LINE('',#513395,#116766); #77850=LINE('',#513397,#116767); #77851=LINE('',#513398,#116768); #77852=LINE('',#513401,#116769); #77853=LINE('',#513403,#116770); #77854=LINE('',#513404,#116771); #77855=LINE('',#513407,#116772); #77856=LINE('',#513409,#116773); #77857=LINE('',#513410,#116774); #77858=LINE('',#513413,#116775); #77859=LINE('',#513415,#116776); #77860=LINE('',#513416,#116777); #77861=LINE('',#513419,#116778); #77862=LINE('',#513421,#116779); #77863=LINE('',#513422,#116780); #77864=LINE('',#513424,#116781); #77865=LINE('',#513425,#116782); #77866=LINE('',#513432,#116783); #77867=LINE('',#513438,#116784); #77868=LINE('',#513444,#116785); #77869=LINE('',#513449,#116786); #77870=LINE('',#513451,#116787); #77871=LINE('',#513453,#116788); #77872=LINE('',#513454,#116789); #77873=LINE('',#513457,#116790); #77874=LINE('',#513459,#116791); #77875=LINE('',#513460,#116792); #77876=LINE('',#513463,#116793); #77877=LINE('',#513465,#116794); #77878=LINE('',#513466,#116795); #77879=LINE('',#513469,#116796); #77880=LINE('',#513471,#116797); #77881=LINE('',#513472,#116798); #77882=LINE('',#513475,#116799); #77883=LINE('',#513477,#116800); #77884=LINE('',#513478,#116801); #77885=LINE('',#513481,#116802); #77886=LINE('',#513483,#116803); #77887=LINE('',#513484,#116804); #77888=LINE('',#513487,#116805); #77889=LINE('',#513489,#116806); #77890=LINE('',#513490,#116807); #77891=LINE('',#513493,#116808); #77892=LINE('',#513495,#116809); #77893=LINE('',#513496,#116810); #77894=LINE('',#513499,#116811); #77895=LINE('',#513501,#116812); #77896=LINE('',#513502,#116813); #77897=LINE('',#513505,#116814); #77898=LINE('',#513507,#116815); #77899=LINE('',#513508,#116816); #77900=LINE('',#513511,#116817); #77901=LINE('',#513513,#116818); #77902=LINE('',#513514,#116819); #77903=LINE('',#513517,#116820); #77904=LINE('',#513519,#116821); #77905=LINE('',#513520,#116822); #77906=LINE('',#513523,#116823); #77907=LINE('',#513525,#116824); #77908=LINE('',#513526,#116825); #77909=LINE('',#513529,#116826); #77910=LINE('',#513531,#116827); #77911=LINE('',#513532,#116828); #77912=LINE('',#513535,#116829); #77913=LINE('',#513537,#116830); #77914=LINE('',#513538,#116831); #77915=LINE('',#513541,#116832); #77916=LINE('',#513543,#116833); #77917=LINE('',#513544,#116834); #77918=LINE('',#513547,#116835); #77919=LINE('',#513549,#116836); #77920=LINE('',#513550,#116837); #77921=LINE('',#513553,#116838); #77922=LINE('',#513555,#116839); #77923=LINE('',#513556,#116840); #77924=LINE('',#513559,#116841); #77925=LINE('',#513561,#116842); #77926=LINE('',#513562,#116843); #77927=LINE('',#513565,#116844); #77928=LINE('',#513567,#116845); #77929=LINE('',#513568,#116846); #77930=LINE('',#513571,#116847); #77931=LINE('',#513573,#116848); #77932=LINE('',#513574,#116849); #77933=LINE('',#513577,#116850); #77934=LINE('',#513579,#116851); #77935=LINE('',#513580,#116852); #77936=LINE('',#513583,#116853); #77937=LINE('',#513585,#116854); #77938=LINE('',#513586,#116855); #77939=LINE('',#513589,#116856); #77940=LINE('',#513591,#116857); #77941=LINE('',#513592,#116858); #77942=LINE('',#513595,#116859); #77943=LINE('',#513597,#116860); #77944=LINE('',#513598,#116861); #77945=LINE('',#513601,#116862); #77946=LINE('',#513603,#116863); #77947=LINE('',#513604,#116864); #77948=LINE('',#513607,#116865); #77949=LINE('',#513609,#116866); #77950=LINE('',#513610,#116867); #77951=LINE('',#513613,#116868); #77952=LINE('',#513615,#116869); #77953=LINE('',#513616,#116870); #77954=LINE('',#513619,#116871); #77955=LINE('',#513621,#116872); #77956=LINE('',#513622,#116873); #77957=LINE('',#513625,#116874); #77958=LINE('',#513627,#116875); #77959=LINE('',#513628,#116876); #77960=LINE('',#513631,#116877); #77961=LINE('',#513633,#116878); #77962=LINE('',#513634,#116879); #77963=LINE('',#513637,#116880); #77964=LINE('',#513639,#116881); #77965=LINE('',#513640,#116882); #77966=LINE('',#513643,#116883); #77967=LINE('',#513645,#116884); #77968=LINE('',#513646,#116885); #77969=LINE('',#513649,#116886); #77970=LINE('',#513651,#116887); #77971=LINE('',#513652,#116888); #77972=LINE('',#513655,#116889); #77973=LINE('',#513657,#116890); #77974=LINE('',#513658,#116891); #77975=LINE('',#513661,#116892); #77976=LINE('',#513663,#116893); #77977=LINE('',#513664,#116894); #77978=LINE('',#513667,#116895); #77979=LINE('',#513669,#116896); #77980=LINE('',#513670,#116897); #77981=LINE('',#513673,#116898); #77982=LINE('',#513675,#116899); #77983=LINE('',#513676,#116900); #77984=LINE('',#513679,#116901); #77985=LINE('',#513681,#116902); #77986=LINE('',#513682,#116903); #77987=LINE('',#513685,#116904); #77988=LINE('',#513687,#116905); #77989=LINE('',#513688,#116906); #77990=LINE('',#513691,#116907); #77991=LINE('',#513693,#116908); #77992=LINE('',#513694,#116909); #77993=LINE('',#513697,#116910); #77994=LINE('',#513699,#116911); #77995=LINE('',#513700,#116912); #77996=LINE('',#513703,#116913); #77997=LINE('',#513705,#116914); #77998=LINE('',#513706,#116915); #77999=LINE('',#513709,#116916); #78000=LINE('',#513711,#116917); #78001=LINE('',#513712,#116918); #78002=LINE('',#513715,#116919); #78003=LINE('',#513717,#116920); #78004=LINE('',#513718,#116921); #78005=LINE('',#513721,#116922); #78006=LINE('',#513723,#116923); #78007=LINE('',#513724,#116924); #78008=LINE('',#513727,#116925); #78009=LINE('',#513729,#116926); #78010=LINE('',#513730,#116927); #78011=LINE('',#513733,#116928); #78012=LINE('',#513735,#116929); #78013=LINE('',#513736,#116930); #78014=LINE('',#513739,#116931); #78015=LINE('',#513741,#116932); #78016=LINE('',#513742,#116933); #78017=LINE('',#513745,#116934); #78018=LINE('',#513747,#116935); #78019=LINE('',#513748,#116936); #78020=LINE('',#513751,#116937); #78021=LINE('',#513753,#116938); #78022=LINE('',#513754,#116939); #78023=LINE('',#513757,#116940); #78024=LINE('',#513759,#116941); #78025=LINE('',#513760,#116942); #78026=LINE('',#513763,#116943); #78027=LINE('',#513765,#116944); #78028=LINE('',#513766,#116945); #78029=LINE('',#513769,#116946); #78030=LINE('',#513771,#116947); #78031=LINE('',#513772,#116948); #78032=LINE('',#513775,#116949); #78033=LINE('',#513777,#116950); #78034=LINE('',#513778,#116951); #78035=LINE('',#513781,#116952); #78036=LINE('',#513783,#116953); #78037=LINE('',#513784,#116954); #78038=LINE('',#513787,#116955); #78039=LINE('',#513789,#116956); #78040=LINE('',#513790,#116957); #78041=LINE('',#513793,#116958); #78042=LINE('',#513795,#116959); #78043=LINE('',#513796,#116960); #78044=LINE('',#513799,#116961); #78045=LINE('',#513801,#116962); #78046=LINE('',#513802,#116963); #78047=LINE('',#513805,#116964); #78048=LINE('',#513807,#116965); #78049=LINE('',#513808,#116966); #78050=LINE('',#513811,#116967); #78051=LINE('',#513813,#116968); #78052=LINE('',#513814,#116969); #78053=LINE('',#513817,#116970); #78054=LINE('',#513819,#116971); #78055=LINE('',#513820,#116972); #78056=LINE('',#513823,#116973); #78057=LINE('',#513825,#116974); #78058=LINE('',#513826,#116975); #78059=LINE('',#513829,#116976); #78060=LINE('',#513831,#116977); #78061=LINE('',#513832,#116978); #78062=LINE('',#513835,#116979); #78063=LINE('',#513837,#116980); #78064=LINE('',#513838,#116981); #78065=LINE('',#513841,#116982); #78066=LINE('',#513843,#116983); #78067=LINE('',#513844,#116984); #78068=LINE('',#513847,#116985); #78069=LINE('',#513849,#116986); #78070=LINE('',#513850,#116987); #78071=LINE('',#513853,#116988); #78072=LINE('',#513855,#116989); #78073=LINE('',#513856,#116990); #78074=LINE('',#513859,#116991); #78075=LINE('',#513861,#116992); #78076=LINE('',#513862,#116993); #78077=LINE('',#513865,#116994); #78078=LINE('',#513867,#116995); #78079=LINE('',#513868,#116996); #78080=LINE('',#513871,#116997); #78081=LINE('',#513873,#116998); #78082=LINE('',#513874,#116999); #78083=LINE('',#513877,#117000); #78084=LINE('',#513879,#117001); #78085=LINE('',#513880,#117002); #78086=LINE('',#513883,#117003); #78087=LINE('',#513885,#117004); #78088=LINE('',#513886,#117005); #78089=LINE('',#513889,#117006); #78090=LINE('',#513891,#117007); #78091=LINE('',#513892,#117008); #78092=LINE('',#513895,#117009); #78093=LINE('',#513897,#117010); #78094=LINE('',#513898,#117011); #78095=LINE('',#513901,#117012); #78096=LINE('',#513903,#117013); #78097=LINE('',#513904,#117014); #78098=LINE('',#513907,#117015); #78099=LINE('',#513909,#117016); #78100=LINE('',#513910,#117017); #78101=LINE('',#513913,#117018); #78102=LINE('',#513915,#117019); #78103=LINE('',#513916,#117020); #78104=LINE('',#513919,#117021); #78105=LINE('',#513921,#117022); #78106=LINE('',#513922,#117023); #78107=LINE('',#513925,#117024); #78108=LINE('',#513927,#117025); #78109=LINE('',#513928,#117026); #78110=LINE('',#513931,#117027); #78111=LINE('',#513933,#117028); #78112=LINE('',#513934,#117029); #78113=LINE('',#513937,#117030); #78114=LINE('',#513939,#117031); #78115=LINE('',#513940,#117032); #78116=LINE('',#513943,#117033); #78117=LINE('',#513945,#117034); #78118=LINE('',#513946,#117035); #78119=LINE('',#513949,#117036); #78120=LINE('',#513951,#117037); #78121=LINE('',#513952,#117038); #78122=LINE('',#513955,#117039); #78123=LINE('',#513957,#117040); #78124=LINE('',#513958,#117041); #78125=LINE('',#513961,#117042); #78126=LINE('',#513963,#117043); #78127=LINE('',#513964,#117044); #78128=LINE('',#513967,#117045); #78129=LINE('',#513969,#117046); #78130=LINE('',#513970,#117047); #78131=LINE('',#513973,#117048); #78132=LINE('',#513975,#117049); #78133=LINE('',#513976,#117050); #78134=LINE('',#513979,#117051); #78135=LINE('',#513981,#117052); #78136=LINE('',#513982,#117053); #78137=LINE('',#513984,#117054); #78138=LINE('',#513985,#117055); #78139=LINE('',#513991,#117056); #78140=LINE('',#513993,#117057); #78141=LINE('',#513995,#117058); #78142=LINE('',#513996,#117059); #78143=LINE('',#513999,#117060); #78144=LINE('',#514001,#117061); #78145=LINE('',#514002,#117062); #78146=LINE('',#514005,#117063); #78147=LINE('',#514007,#117064); #78148=LINE('',#514008,#117065); #78149=LINE('',#514011,#117066); #78150=LINE('',#514013,#117067); #78151=LINE('',#514014,#117068); #78152=LINE('',#514017,#117069); #78153=LINE('',#514019,#117070); #78154=LINE('',#514020,#117071); #78155=LINE('',#514023,#117072); #78156=LINE('',#514025,#117073); #78157=LINE('',#514026,#117074); #78158=LINE('',#514029,#117075); #78159=LINE('',#514031,#117076); #78160=LINE('',#514032,#117077); #78161=LINE('',#514035,#117078); #78162=LINE('',#514037,#117079); #78163=LINE('',#514038,#117080); #78164=LINE('',#514041,#117081); #78165=LINE('',#514043,#117082); #78166=LINE('',#514044,#117083); #78167=LINE('',#514047,#117084); #78168=LINE('',#514049,#117085); #78169=LINE('',#514050,#117086); #78170=LINE('',#514053,#117087); #78171=LINE('',#514055,#117088); #78172=LINE('',#514056,#117089); #78173=LINE('',#514059,#117090); #78174=LINE('',#514061,#117091); #78175=LINE('',#514062,#117092); #78176=LINE('',#514065,#117093); #78177=LINE('',#514067,#117094); #78178=LINE('',#514068,#117095); #78179=LINE('',#514071,#117096); #78180=LINE('',#514073,#117097); #78181=LINE('',#514074,#117098); #78182=LINE('',#514077,#117099); #78183=LINE('',#514079,#117100); #78184=LINE('',#514080,#117101); #78185=LINE('',#514083,#117102); #78186=LINE('',#514085,#117103); #78187=LINE('',#514086,#117104); #78188=LINE('',#514089,#117105); #78189=LINE('',#514091,#117106); #78190=LINE('',#514092,#117107); #78191=LINE('',#514095,#117108); #78192=LINE('',#514097,#117109); #78193=LINE('',#514098,#117110); #78194=LINE('',#514101,#117111); #78195=LINE('',#514103,#117112); #78196=LINE('',#514104,#117113); #78197=LINE('',#514107,#117114); #78198=LINE('',#514109,#117115); #78199=LINE('',#514110,#117116); #78200=LINE('',#514113,#117117); #78201=LINE('',#514115,#117118); #78202=LINE('',#514116,#117119); #78203=LINE('',#514119,#117120); #78204=LINE('',#514121,#117121); #78205=LINE('',#514122,#117122); #78206=LINE('',#514125,#117123); #78207=LINE('',#514127,#117124); #78208=LINE('',#514128,#117125); #78209=LINE('',#514131,#117126); #78210=LINE('',#514133,#117127); #78211=LINE('',#514134,#117128); #78212=LINE('',#514137,#117129); #78213=LINE('',#514139,#117130); #78214=LINE('',#514140,#117131); #78215=LINE('',#514143,#117132); #78216=LINE('',#514145,#117133); #78217=LINE('',#514146,#117134); #78218=LINE('',#514149,#117135); #78219=LINE('',#514151,#117136); #78220=LINE('',#514152,#117137); #78221=LINE('',#514155,#117138); #78222=LINE('',#514157,#117139); #78223=LINE('',#514158,#117140); #78224=LINE('',#514161,#117141); #78225=LINE('',#514163,#117142); #78226=LINE('',#514164,#117143); #78227=LINE('',#514167,#117144); #78228=LINE('',#514169,#117145); #78229=LINE('',#514170,#117146); #78230=LINE('',#514173,#117147); #78231=LINE('',#514175,#117148); #78232=LINE('',#514176,#117149); #78233=LINE('',#514179,#117150); #78234=LINE('',#514181,#117151); #78235=LINE('',#514182,#117152); #78236=LINE('',#514185,#117153); #78237=LINE('',#514187,#117154); #78238=LINE('',#514188,#117155); #78239=LINE('',#514190,#117156); #78240=LINE('',#514191,#117157); #78241=LINE('',#514197,#117158); #78242=LINE('',#514199,#117159); #78243=LINE('',#514201,#117160); #78244=LINE('',#514202,#117161); #78245=LINE('',#514205,#117162); #78246=LINE('',#514207,#117163); #78247=LINE('',#514208,#117164); #78248=LINE('',#514211,#117165); #78249=LINE('',#514213,#117166); #78250=LINE('',#514214,#117167); #78251=LINE('',#514217,#117168); #78252=LINE('',#514219,#117169); #78253=LINE('',#514220,#117170); #78254=LINE('',#514223,#117171); #78255=LINE('',#514225,#117172); #78256=LINE('',#514226,#117173); #78257=LINE('',#514229,#117174); #78258=LINE('',#514231,#117175); #78259=LINE('',#514232,#117176); #78260=LINE('',#514235,#117177); #78261=LINE('',#514237,#117178); #78262=LINE('',#514238,#117179); #78263=LINE('',#514241,#117180); #78264=LINE('',#514243,#117181); #78265=LINE('',#514244,#117182); #78266=LINE('',#514247,#117183); #78267=LINE('',#514249,#117184); #78268=LINE('',#514250,#117185); #78269=LINE('',#514253,#117186); #78270=LINE('',#514255,#117187); #78271=LINE('',#514256,#117188); #78272=LINE('',#514259,#117189); #78273=LINE('',#514261,#117190); #78274=LINE('',#514262,#117191); #78275=LINE('',#514265,#117192); #78276=LINE('',#514267,#117193); #78277=LINE('',#514268,#117194); #78278=LINE('',#514271,#117195); #78279=LINE('',#514273,#117196); #78280=LINE('',#514274,#117197); #78281=LINE('',#514277,#117198); #78282=LINE('',#514279,#117199); #78283=LINE('',#514280,#117200); #78284=LINE('',#514283,#117201); #78285=LINE('',#514285,#117202); #78286=LINE('',#514286,#117203); #78287=LINE('',#514289,#117204); #78288=LINE('',#514291,#117205); #78289=LINE('',#514292,#117206); #78290=LINE('',#514295,#117207); #78291=LINE('',#514297,#117208); #78292=LINE('',#514298,#117209); #78293=LINE('',#514301,#117210); #78294=LINE('',#514303,#117211); #78295=LINE('',#514304,#117212); #78296=LINE('',#514307,#117213); #78297=LINE('',#514309,#117214); #78298=LINE('',#514310,#117215); #78299=LINE('',#514312,#117216); #78300=LINE('',#514313,#117217); #78301=LINE('',#514319,#117218); #78302=LINE('',#514321,#117219); #78303=LINE('',#514323,#117220); #78304=LINE('',#514324,#117221); #78305=LINE('',#514327,#117222); #78306=LINE('',#514329,#117223); #78307=LINE('',#514330,#117224); #78308=LINE('',#514333,#117225); #78309=LINE('',#514335,#117226); #78310=LINE('',#514336,#117227); #78311=LINE('',#514339,#117228); #78312=LINE('',#514341,#117229); #78313=LINE('',#514342,#117230); #78314=LINE('',#514345,#117231); #78315=LINE('',#514347,#117232); #78316=LINE('',#514348,#117233); #78317=LINE('',#514351,#117234); #78318=LINE('',#514353,#117235); #78319=LINE('',#514354,#117236); #78320=LINE('',#514357,#117237); #78321=LINE('',#514359,#117238); #78322=LINE('',#514360,#117239); #78323=LINE('',#514363,#117240); #78324=LINE('',#514365,#117241); #78325=LINE('',#514366,#117242); #78326=LINE('',#514369,#117243); #78327=LINE('',#514371,#117244); #78328=LINE('',#514372,#117245); #78329=LINE('',#514375,#117246); #78330=LINE('',#514377,#117247); #78331=LINE('',#514378,#117248); #78332=LINE('',#514381,#117249); #78333=LINE('',#514383,#117250); #78334=LINE('',#514384,#117251); #78335=LINE('',#514387,#117252); #78336=LINE('',#514389,#117253); #78337=LINE('',#514390,#117254); #78338=LINE('',#514393,#117255); #78339=LINE('',#514395,#117256); #78340=LINE('',#514396,#117257); #78341=LINE('',#514399,#117258); #78342=LINE('',#514401,#117259); #78343=LINE('',#514402,#117260); #78344=LINE('',#514405,#117261); #78345=LINE('',#514407,#117262); #78346=LINE('',#514408,#117263); #78347=LINE('',#514411,#117264); #78348=LINE('',#514413,#117265); #78349=LINE('',#514414,#117266); #78350=LINE('',#514417,#117267); #78351=LINE('',#514419,#117268); #78352=LINE('',#514420,#117269); #78353=LINE('',#514423,#117270); #78354=LINE('',#514425,#117271); #78355=LINE('',#514426,#117272); #78356=LINE('',#514429,#117273); #78357=LINE('',#514431,#117274); #78358=LINE('',#514432,#117275); #78359=LINE('',#514435,#117276); #78360=LINE('',#514437,#117277); #78361=LINE('',#514438,#117278); #78362=LINE('',#514440,#117279); #78363=LINE('',#514441,#117280); #78364=LINE('',#514448,#117281); #78365=LINE('',#514453,#117282); #78366=LINE('',#514455,#117283); #78367=LINE('',#514457,#117284); #78368=LINE('',#514458,#117285); #78369=LINE('',#514464,#117286); #78370=LINE('',#514467,#117287); #78371=LINE('',#514469,#117288); #78372=LINE('',#514470,#117289); #78373=LINE('',#514478,#117290); #78374=LINE('',#514484,#117291); #78375=LINE('',#514490,#117292); #78376=LINE('',#514496,#117293); #78377=LINE('',#514502,#117294); #78378=LINE('',#514508,#117295); #78379=LINE('',#514514,#117296); #78380=LINE('',#514520,#117297); #78381=LINE('',#514526,#117298); #78382=LINE('',#514531,#117299); #78383=LINE('',#514533,#117300); #78384=LINE('',#514535,#117301); #78385=LINE('',#514536,#117302); #78386=LINE('',#514539,#117303); #78387=LINE('',#514541,#117304); #78388=LINE('',#514542,#117305); #78389=LINE('',#514545,#117306); #78390=LINE('',#514547,#117307); #78391=LINE('',#514548,#117308); #78392=LINE('',#514551,#117309); #78393=LINE('',#514553,#117310); #78394=LINE('',#514554,#117311); #78395=LINE('',#514557,#117312); #78396=LINE('',#514559,#117313); #78397=LINE('',#514560,#117314); #78398=LINE('',#514563,#117315); #78399=LINE('',#514565,#117316); #78400=LINE('',#514566,#117317); #78401=LINE('',#514569,#117318); #78402=LINE('',#514571,#117319); #78403=LINE('',#514572,#117320); #78404=LINE('',#514575,#117321); #78405=LINE('',#514577,#117322); #78406=LINE('',#514578,#117323); #78407=LINE('',#514581,#117324); #78408=LINE('',#514583,#117325); #78409=LINE('',#514584,#117326); #78410=LINE('',#514587,#117327); #78411=LINE('',#514589,#117328); #78412=LINE('',#514590,#117329); #78413=LINE('',#514593,#117330); #78414=LINE('',#514595,#117331); #78415=LINE('',#514596,#117332); #78416=LINE('',#514599,#117333); #78417=LINE('',#514601,#117334); #78418=LINE('',#514602,#117335); #78419=LINE('',#514605,#117336); #78420=LINE('',#514607,#117337); #78421=LINE('',#514608,#117338); #78422=LINE('',#514611,#117339); #78423=LINE('',#514613,#117340); #78424=LINE('',#514614,#117341); #78425=LINE('',#514617,#117342); #78426=LINE('',#514619,#117343); #78427=LINE('',#514620,#117344); #78428=LINE('',#514622,#117345); #78429=LINE('',#514623,#117346); #78430=LINE('',#514627,#117347); #78431=LINE('',#514629,#117348); #78432=LINE('',#514631,#117349); #78433=LINE('',#514632,#117350); #78434=LINE('',#514635,#117351); #78435=LINE('',#514637,#117352); #78436=LINE('',#514638,#117353); #78437=LINE('',#514641,#117354); #78438=LINE('',#514643,#117355); #78439=LINE('',#514644,#117356); #78440=LINE('',#514647,#117357); #78441=LINE('',#514649,#117358); #78442=LINE('',#514650,#117359); #78443=LINE('',#514653,#117360); #78444=LINE('',#514655,#117361); #78445=LINE('',#514656,#117362); #78446=LINE('',#514659,#117363); #78447=LINE('',#514661,#117364); #78448=LINE('',#514662,#117365); #78449=LINE('',#514665,#117366); #78450=LINE('',#514667,#117367); #78451=LINE('',#514668,#117368); #78452=LINE('',#514671,#117369); #78453=LINE('',#514673,#117370); #78454=LINE('',#514674,#117371); #78455=LINE('',#514677,#117372); #78456=LINE('',#514679,#117373); #78457=LINE('',#514680,#117374); #78458=LINE('',#514683,#117375); #78459=LINE('',#514685,#117376); #78460=LINE('',#514686,#117377); #78461=LINE('',#514689,#117378); #78462=LINE('',#514691,#117379); #78463=LINE('',#514692,#117380); #78464=LINE('',#514695,#117381); #78465=LINE('',#514697,#117382); #78466=LINE('',#514698,#117383); #78467=LINE('',#514701,#117384); #78468=LINE('',#514703,#117385); #78469=LINE('',#514704,#117386); #78470=LINE('',#514707,#117387); #78471=LINE('',#514709,#117388); #78472=LINE('',#514710,#117389); #78473=LINE('',#514713,#117390); #78474=LINE('',#514715,#117391); #78475=LINE('',#514716,#117392); #78476=LINE('',#514719,#117393); #78477=LINE('',#514721,#117394); #78478=LINE('',#514722,#117395); #78479=LINE('',#514725,#117396); #78480=LINE('',#514727,#117397); #78481=LINE('',#514728,#117398); #78482=LINE('',#514731,#117399); #78483=LINE('',#514733,#117400); #78484=LINE('',#514734,#117401); #78485=LINE('',#514737,#117402); #78486=LINE('',#514739,#117403); #78487=LINE('',#514740,#117404); #78488=LINE('',#514743,#117405); #78489=LINE('',#514745,#117406); #78490=LINE('',#514746,#117407); #78491=LINE('',#514749,#117408); #78492=LINE('',#514751,#117409); #78493=LINE('',#514752,#117410); #78494=LINE('',#514755,#117411); #78495=LINE('',#514757,#117412); #78496=LINE('',#514758,#117413); #78497=LINE('',#514761,#117414); #78498=LINE('',#514763,#117415); #78499=LINE('',#514764,#117416); #78500=LINE('',#514767,#117417); #78501=LINE('',#514769,#117418); #78502=LINE('',#514770,#117419); #78503=LINE('',#514773,#117420); #78504=LINE('',#514775,#117421); #78505=LINE('',#514776,#117422); #78506=LINE('',#514779,#117423); #78507=LINE('',#514781,#117424); #78508=LINE('',#514782,#117425); #78509=LINE('',#514785,#117426); #78510=LINE('',#514787,#117427); #78511=LINE('',#514788,#117428); #78512=LINE('',#514791,#117429); #78513=LINE('',#514793,#117430); #78514=LINE('',#514794,#117431); #78515=LINE('',#514797,#117432); #78516=LINE('',#514799,#117433); #78517=LINE('',#514800,#117434); #78518=LINE('',#514803,#117435); #78519=LINE('',#514805,#117436); #78520=LINE('',#514806,#117437); #78521=LINE('',#514809,#117438); #78522=LINE('',#514811,#117439); #78523=LINE('',#514812,#117440); #78524=LINE('',#514815,#117441); #78525=LINE('',#514817,#117442); #78526=LINE('',#514818,#117443); #78527=LINE('',#514821,#117444); #78528=LINE('',#514823,#117445); #78529=LINE('',#514824,#117446); #78530=LINE('',#514827,#117447); #78531=LINE('',#514829,#117448); #78532=LINE('',#514830,#117449); #78533=LINE('',#514833,#117450); #78534=LINE('',#514835,#117451); #78535=LINE('',#514836,#117452); #78536=LINE('',#514839,#117453); #78537=LINE('',#514841,#117454); #78538=LINE('',#514842,#117455); #78539=LINE('',#514845,#117456); #78540=LINE('',#514847,#117457); #78541=LINE('',#514848,#117458); #78542=LINE('',#514851,#117459); #78543=LINE('',#514853,#117460); #78544=LINE('',#514854,#117461); #78545=LINE('',#514857,#117462); #78546=LINE('',#514859,#117463); #78547=LINE('',#514860,#117464); #78548=LINE('',#514863,#117465); #78549=LINE('',#514865,#117466); #78550=LINE('',#514866,#117467); #78551=LINE('',#514869,#117468); #78552=LINE('',#514871,#117469); #78553=LINE('',#514872,#117470); #78554=LINE('',#514875,#117471); #78555=LINE('',#514877,#117472); #78556=LINE('',#514878,#117473); #78557=LINE('',#514881,#117474); #78558=LINE('',#514883,#117475); #78559=LINE('',#514884,#117476); #78560=LINE('',#514887,#117477); #78561=LINE('',#514889,#117478); #78562=LINE('',#514890,#117479); #78563=LINE('',#514893,#117480); #78564=LINE('',#514895,#117481); #78565=LINE('',#514896,#117482); #78566=LINE('',#514899,#117483); #78567=LINE('',#514901,#117484); #78568=LINE('',#514902,#117485); #78569=LINE('',#514905,#117486); #78570=LINE('',#514907,#117487); #78571=LINE('',#514908,#117488); #78572=LINE('',#514911,#117489); #78573=LINE('',#514913,#117490); #78574=LINE('',#514914,#117491); #78575=LINE('',#514917,#117492); #78576=LINE('',#514919,#117493); #78577=LINE('',#514920,#117494); #78578=LINE('',#514923,#117495); #78579=LINE('',#514925,#117496); #78580=LINE('',#514926,#117497); #78581=LINE('',#514929,#117498); #78582=LINE('',#514931,#117499); #78583=LINE('',#514932,#117500); #78584=LINE('',#514935,#117501); #78585=LINE('',#514937,#117502); #78586=LINE('',#514938,#117503); #78587=LINE('',#514941,#117504); #78588=LINE('',#514943,#117505); #78589=LINE('',#514944,#117506); #78590=LINE('',#514947,#117507); #78591=LINE('',#514949,#117508); #78592=LINE('',#514950,#117509); #78593=LINE('',#514953,#117510); #78594=LINE('',#514955,#117511); #78595=LINE('',#514956,#117512); #78596=LINE('',#514959,#117513); #78597=LINE('',#514961,#117514); #78598=LINE('',#514962,#117515); #78599=LINE('',#514965,#117516); #78600=LINE('',#514967,#117517); #78601=LINE('',#514968,#117518); #78602=LINE('',#514971,#117519); #78603=LINE('',#514973,#117520); #78604=LINE('',#514974,#117521); #78605=LINE('',#514977,#117522); #78606=LINE('',#514979,#117523); #78607=LINE('',#514980,#117524); #78608=LINE('',#514983,#117525); #78609=LINE('',#514985,#117526); #78610=LINE('',#514986,#117527); #78611=LINE('',#514989,#117528); #78612=LINE('',#514991,#117529); #78613=LINE('',#514992,#117530); #78614=LINE('',#514995,#117531); #78615=LINE('',#514997,#117532); #78616=LINE('',#514998,#117533); #78617=LINE('',#515001,#117534); #78618=LINE('',#515003,#117535); #78619=LINE('',#515004,#117536); #78620=LINE('',#515007,#117537); #78621=LINE('',#515009,#117538); #78622=LINE('',#515010,#117539); #78623=LINE('',#515013,#117540); #78624=LINE('',#515015,#117541); #78625=LINE('',#515016,#117542); #78626=LINE('',#515019,#117543); #78627=LINE('',#515021,#117544); #78628=LINE('',#515022,#117545); #78629=LINE('',#515025,#117546); #78630=LINE('',#515027,#117547); #78631=LINE('',#515028,#117548); #78632=LINE('',#515031,#117549); #78633=LINE('',#515033,#117550); #78634=LINE('',#515034,#117551); #78635=LINE('',#515037,#117552); #78636=LINE('',#515039,#117553); #78637=LINE('',#515040,#117554); #78638=LINE('',#515043,#117555); #78639=LINE('',#515045,#117556); #78640=LINE('',#515046,#117557); #78641=LINE('',#515049,#117558); #78642=LINE('',#515051,#117559); #78643=LINE('',#515052,#117560); #78644=LINE('',#515055,#117561); #78645=LINE('',#515057,#117562); #78646=LINE('',#515058,#117563); #78647=LINE('',#515061,#117564); #78648=LINE('',#515063,#117565); #78649=LINE('',#515064,#117566); #78650=LINE('',#515067,#117567); #78651=LINE('',#515069,#117568); #78652=LINE('',#515070,#117569); #78653=LINE('',#515073,#117570); #78654=LINE('',#515075,#117571); #78655=LINE('',#515076,#117572); #78656=LINE('',#515079,#117573); #78657=LINE('',#515081,#117574); #78658=LINE('',#515082,#117575); #78659=LINE('',#515085,#117576); #78660=LINE('',#515087,#117577); #78661=LINE('',#515088,#117578); #78662=LINE('',#515091,#117579); #78663=LINE('',#515093,#117580); #78664=LINE('',#515094,#117581); #78665=LINE('',#515097,#117582); #78666=LINE('',#515099,#117583); #78667=LINE('',#515100,#117584); #78668=LINE('',#515103,#117585); #78669=LINE('',#515105,#117586); #78670=LINE('',#515106,#117587); #78671=LINE('',#515109,#117588); #78672=LINE('',#515111,#117589); #78673=LINE('',#515112,#117590); #78674=LINE('',#515115,#117591); #78675=LINE('',#515117,#117592); #78676=LINE('',#515118,#117593); #78677=LINE('',#515121,#117594); #78678=LINE('',#515123,#117595); #78679=LINE('',#515124,#117596); #78680=LINE('',#515127,#117597); #78681=LINE('',#515129,#117598); #78682=LINE('',#515130,#117599); #78683=LINE('',#515133,#117600); #78684=LINE('',#515135,#117601); #78685=LINE('',#515136,#117602); #78686=LINE('',#515139,#117603); #78687=LINE('',#515141,#117604); #78688=LINE('',#515142,#117605); #78689=LINE('',#515145,#117606); #78690=LINE('',#515147,#117607); #78691=LINE('',#515148,#117608); #78692=LINE('',#515151,#117609); #78693=LINE('',#515153,#117610); #78694=LINE('',#515154,#117611); #78695=LINE('',#515157,#117612); #78696=LINE('',#515159,#117613); #78697=LINE('',#515160,#117614); #78698=LINE('',#515163,#117615); #78699=LINE('',#515165,#117616); #78700=LINE('',#515166,#117617); #78701=LINE('',#515169,#117618); #78702=LINE('',#515171,#117619); #78703=LINE('',#515172,#117620); #78704=LINE('',#515175,#117621); #78705=LINE('',#515177,#117622); #78706=LINE('',#515178,#117623); #78707=LINE('',#515181,#117624); #78708=LINE('',#515183,#117625); #78709=LINE('',#515184,#117626); #78710=LINE('',#515187,#117627); #78711=LINE('',#515189,#117628); #78712=LINE('',#515190,#117629); #78713=LINE('',#515193,#117630); #78714=LINE('',#515195,#117631); #78715=LINE('',#515196,#117632); #78716=LINE('',#515199,#117633); #78717=LINE('',#515201,#117634); #78718=LINE('',#515202,#117635); #78719=LINE('',#515205,#117636); #78720=LINE('',#515207,#117637); #78721=LINE('',#515208,#117638); #78722=LINE('',#515211,#117639); #78723=LINE('',#515213,#117640); #78724=LINE('',#515214,#117641); #78725=LINE('',#515217,#117642); #78726=LINE('',#515219,#117643); #78727=LINE('',#515220,#117644); #78728=LINE('',#515223,#117645); #78729=LINE('',#515225,#117646); #78730=LINE('',#515226,#117647); #78731=LINE('',#515229,#117648); #78732=LINE('',#515231,#117649); #78733=LINE('',#515232,#117650); #78734=LINE('',#515235,#117651); #78735=LINE('',#515237,#117652); #78736=LINE('',#515238,#117653); #78737=LINE('',#515241,#117654); #78738=LINE('',#515243,#117655); #78739=LINE('',#515244,#117656); #78740=LINE('',#515247,#117657); #78741=LINE('',#515249,#117658); #78742=LINE('',#515250,#117659); #78743=LINE('',#515253,#117660); #78744=LINE('',#515255,#117661); #78745=LINE('',#515256,#117662); #78746=LINE('',#515259,#117663); #78747=LINE('',#515261,#117664); #78748=LINE('',#515262,#117665); #78749=LINE('',#515265,#117666); #78750=LINE('',#515267,#117667); #78751=LINE('',#515268,#117668); #78752=LINE('',#515271,#117669); #78753=LINE('',#515273,#117670); #78754=LINE('',#515274,#117671); #78755=LINE('',#515277,#117672); #78756=LINE('',#515279,#117673); #78757=LINE('',#515280,#117674); #78758=LINE('',#515283,#117675); #78759=LINE('',#515285,#117676); #78760=LINE('',#515286,#117677); #78761=LINE('',#515289,#117678); #78762=LINE('',#515291,#117679); #78763=LINE('',#515292,#117680); #78764=LINE('',#515295,#117681); #78765=LINE('',#515297,#117682); #78766=LINE('',#515298,#117683); #78767=LINE('',#515301,#117684); #78768=LINE('',#515303,#117685); #78769=LINE('',#515304,#117686); #78770=LINE('',#515307,#117687); #78771=LINE('',#515309,#117688); #78772=LINE('',#515310,#117689); #78773=LINE('',#515313,#117690); #78774=LINE('',#515315,#117691); #78775=LINE('',#515316,#117692); #78776=LINE('',#515319,#117693); #78777=LINE('',#515321,#117694); #78778=LINE('',#515322,#117695); #78779=LINE('',#515325,#117696); #78780=LINE('',#515327,#117697); #78781=LINE('',#515328,#117698); #78782=LINE('',#515331,#117699); #78783=LINE('',#515333,#117700); #78784=LINE('',#515334,#117701); #78785=LINE('',#515337,#117702); #78786=LINE('',#515339,#117703); #78787=LINE('',#515340,#117704); #78788=LINE('',#515343,#117705); #78789=LINE('',#515345,#117706); #78790=LINE('',#515346,#117707); #78791=LINE('',#515349,#117708); #78792=LINE('',#515351,#117709); #78793=LINE('',#515352,#117710); #78794=LINE('',#515355,#117711); #78795=LINE('',#515357,#117712); #78796=LINE('',#515358,#117713); #78797=LINE('',#515361,#117714); #78798=LINE('',#515363,#117715); #78799=LINE('',#515364,#117716); #78800=LINE('',#515367,#117717); #78801=LINE('',#515369,#117718); #78802=LINE('',#515370,#117719); #78803=LINE('',#515373,#117720); #78804=LINE('',#515375,#117721); #78805=LINE('',#515376,#117722); #78806=LINE('',#515379,#117723); #78807=LINE('',#515381,#117724); #78808=LINE('',#515382,#117725); #78809=LINE('',#515385,#117726); #78810=LINE('',#515387,#117727); #78811=LINE('',#515388,#117728); #78812=LINE('',#515391,#117729); #78813=LINE('',#515393,#117730); #78814=LINE('',#515394,#117731); #78815=LINE('',#515397,#117732); #78816=LINE('',#515399,#117733); #78817=LINE('',#515400,#117734); #78818=LINE('',#515403,#117735); #78819=LINE('',#515405,#117736); #78820=LINE('',#515406,#117737); #78821=LINE('',#515409,#117738); #78822=LINE('',#515411,#117739); #78823=LINE('',#515412,#117740); #78824=LINE('',#515415,#117741); #78825=LINE('',#515417,#117742); #78826=LINE('',#515418,#117743); #78827=LINE('',#515421,#117744); #78828=LINE('',#515423,#117745); #78829=LINE('',#515424,#117746); #78830=LINE('',#515427,#117747); #78831=LINE('',#515429,#117748); #78832=LINE('',#515430,#117749); #78833=LINE('',#515433,#117750); #78834=LINE('',#515435,#117751); #78835=LINE('',#515436,#117752); #78836=LINE('',#515439,#117753); #78837=LINE('',#515441,#117754); #78838=LINE('',#515442,#117755); #78839=LINE('',#515445,#117756); #78840=LINE('',#515447,#117757); #78841=LINE('',#515448,#117758); #78842=LINE('',#515451,#117759); #78843=LINE('',#515453,#117760); #78844=LINE('',#515454,#117761); #78845=LINE('',#515457,#117762); #78846=LINE('',#515459,#117763); #78847=LINE('',#515460,#117764); #78848=LINE('',#515463,#117765); #78849=LINE('',#515465,#117766); #78850=LINE('',#515466,#117767); #78851=LINE('',#515469,#117768); #78852=LINE('',#515471,#117769); #78853=LINE('',#515472,#117770); #78854=LINE('',#515475,#117771); #78855=LINE('',#515477,#117772); #78856=LINE('',#515478,#117773); #78857=LINE('',#515481,#117774); #78858=LINE('',#515483,#117775); #78859=LINE('',#515484,#117776); #78860=LINE('',#515487,#117777); #78861=LINE('',#515489,#117778); #78862=LINE('',#515490,#117779); #78863=LINE('',#515493,#117780); #78864=LINE('',#515495,#117781); #78865=LINE('',#515496,#117782); #78866=LINE('',#515499,#117783); #78867=LINE('',#515501,#117784); #78868=LINE('',#515502,#117785); #78869=LINE('',#515505,#117786); #78870=LINE('',#515507,#117787); #78871=LINE('',#515508,#117788); #78872=LINE('',#515511,#117789); #78873=LINE('',#515513,#117790); #78874=LINE('',#515514,#117791); #78875=LINE('',#515517,#117792); #78876=LINE('',#515519,#117793); #78877=LINE('',#515520,#117794); #78878=LINE('',#515523,#117795); #78879=LINE('',#515525,#117796); #78880=LINE('',#515526,#117797); #78881=LINE('',#515529,#117798); #78882=LINE('',#515531,#117799); #78883=LINE('',#515532,#117800); #78884=LINE('',#515535,#117801); #78885=LINE('',#515537,#117802); #78886=LINE('',#515538,#117803); #78887=LINE('',#515541,#117804); #78888=LINE('',#515543,#117805); #78889=LINE('',#515544,#117806); #78890=LINE('',#515547,#117807); #78891=LINE('',#515549,#117808); #78892=LINE('',#515550,#117809); #78893=LINE('',#515553,#117810); #78894=LINE('',#515555,#117811); #78895=LINE('',#515556,#117812); #78896=LINE('',#515559,#117813); #78897=LINE('',#515561,#117814); #78898=LINE('',#515562,#117815); #78899=LINE('',#515565,#117816); #78900=LINE('',#515567,#117817); #78901=LINE('',#515568,#117818); #78902=LINE('',#515571,#117819); #78903=LINE('',#515573,#117820); #78904=LINE('',#515574,#117821); #78905=LINE('',#515577,#117822); #78906=LINE('',#515579,#117823); #78907=LINE('',#515580,#117824); #78908=LINE('',#515583,#117825); #78909=LINE('',#515585,#117826); #78910=LINE('',#515586,#117827); #78911=LINE('',#515589,#117828); #78912=LINE('',#515591,#117829); #78913=LINE('',#515592,#117830); #78914=LINE('',#515595,#117831); #78915=LINE('',#515597,#117832); #78916=LINE('',#515598,#117833); #78917=LINE('',#515601,#117834); #78918=LINE('',#515603,#117835); #78919=LINE('',#515604,#117836); #78920=LINE('',#515607,#117837); #78921=LINE('',#515609,#117838); #78922=LINE('',#515610,#117839); #78923=LINE('',#515613,#117840); #78924=LINE('',#515615,#117841); #78925=LINE('',#515616,#117842); #78926=LINE('',#515619,#117843); #78927=LINE('',#515621,#117844); #78928=LINE('',#515622,#117845); #78929=LINE('',#515625,#117846); #78930=LINE('',#515627,#117847); #78931=LINE('',#515628,#117848); #78932=LINE('',#515631,#117849); #78933=LINE('',#515633,#117850); #78934=LINE('',#515634,#117851); #78935=LINE('',#515637,#117852); #78936=LINE('',#515639,#117853); #78937=LINE('',#515640,#117854); #78938=LINE('',#515643,#117855); #78939=LINE('',#515645,#117856); #78940=LINE('',#515646,#117857); #78941=LINE('',#515649,#117858); #78942=LINE('',#515651,#117859); #78943=LINE('',#515652,#117860); #78944=LINE('',#515655,#117861); #78945=LINE('',#515657,#117862); #78946=LINE('',#515658,#117863); #78947=LINE('',#515661,#117864); #78948=LINE('',#515663,#117865); #78949=LINE('',#515664,#117866); #78950=LINE('',#515667,#117867); #78951=LINE('',#515669,#117868); #78952=LINE('',#515670,#117869); #78953=LINE('',#515673,#117870); #78954=LINE('',#515675,#117871); #78955=LINE('',#515676,#117872); #78956=LINE('',#515679,#117873); #78957=LINE('',#515681,#117874); #78958=LINE('',#515682,#117875); #78959=LINE('',#515685,#117876); #78960=LINE('',#515687,#117877); #78961=LINE('',#515688,#117878); #78962=LINE('',#515691,#117879); #78963=LINE('',#515693,#117880); #78964=LINE('',#515694,#117881); #78965=LINE('',#515697,#117882); #78966=LINE('',#515699,#117883); #78967=LINE('',#515700,#117884); #78968=LINE('',#515703,#117885); #78969=LINE('',#515705,#117886); #78970=LINE('',#515706,#117887); #78971=LINE('',#515709,#117888); #78972=LINE('',#515711,#117889); #78973=LINE('',#515712,#117890); #78974=LINE('',#515715,#117891); #78975=LINE('',#515717,#117892); #78976=LINE('',#515718,#117893); #78977=LINE('',#515721,#117894); #78978=LINE('',#515723,#117895); #78979=LINE('',#515724,#117896); #78980=LINE('',#515727,#117897); #78981=LINE('',#515729,#117898); #78982=LINE('',#515730,#117899); #78983=LINE('',#515733,#117900); #78984=LINE('',#515735,#117901); #78985=LINE('',#515736,#117902); #78986=LINE('',#515739,#117903); #78987=LINE('',#515741,#117904); #78988=LINE('',#515742,#117905); #78989=LINE('',#515745,#117906); #78990=LINE('',#515747,#117907); #78991=LINE('',#515748,#117908); #78992=LINE('',#515751,#117909); #78993=LINE('',#515753,#117910); #78994=LINE('',#515754,#117911); #78995=LINE('',#515757,#117912); #78996=LINE('',#515759,#117913); #78997=LINE('',#515760,#117914); #78998=LINE('',#515763,#117915); #78999=LINE('',#515765,#117916); #79000=LINE('',#515766,#117917); #79001=LINE('',#515769,#117918); #79002=LINE('',#515771,#117919); #79003=LINE('',#515772,#117920); #79004=LINE('',#515775,#117921); #79005=LINE('',#515777,#117922); #79006=LINE('',#515778,#117923); #79007=LINE('',#515781,#117924); #79008=LINE('',#515783,#117925); #79009=LINE('',#515784,#117926); #79010=LINE('',#515787,#117927); #79011=LINE('',#515789,#117928); #79012=LINE('',#515790,#117929); #79013=LINE('',#515793,#117930); #79014=LINE('',#515795,#117931); #79015=LINE('',#515796,#117932); #79016=LINE('',#515799,#117933); #79017=LINE('',#515801,#117934); #79018=LINE('',#515802,#117935); #79019=LINE('',#515805,#117936); #79020=LINE('',#515807,#117937); #79021=LINE('',#515808,#117938); #79022=LINE('',#515811,#117939); #79023=LINE('',#515813,#117940); #79024=LINE('',#515814,#117941); #79025=LINE('',#515817,#117942); #79026=LINE('',#515819,#117943); #79027=LINE('',#515820,#117944); #79028=LINE('',#515823,#117945); #79029=LINE('',#515825,#117946); #79030=LINE('',#515826,#117947); #79031=LINE('',#515829,#117948); #79032=LINE('',#515831,#117949); #79033=LINE('',#515832,#117950); #79034=LINE('',#515835,#117951); #79035=LINE('',#515837,#117952); #79036=LINE('',#515838,#117953); #79037=LINE('',#515841,#117954); #79038=LINE('',#515843,#117955); #79039=LINE('',#515844,#117956); #79040=LINE('',#515847,#117957); #79041=LINE('',#515849,#117958); #79042=LINE('',#515850,#117959); #79043=LINE('',#515853,#117960); #79044=LINE('',#515855,#117961); #79045=LINE('',#515856,#117962); #79046=LINE('',#515859,#117963); #79047=LINE('',#515861,#117964); #79048=LINE('',#515862,#117965); #79049=LINE('',#515865,#117966); #79050=LINE('',#515867,#117967); #79051=LINE('',#515868,#117968); #79052=LINE('',#515871,#117969); #79053=LINE('',#515873,#117970); #79054=LINE('',#515874,#117971); #79055=LINE('',#515877,#117972); #79056=LINE('',#515879,#117973); #79057=LINE('',#515880,#117974); #79058=LINE('',#515883,#117975); #79059=LINE('',#515885,#117976); #79060=LINE('',#515886,#117977); #79061=LINE('',#515888,#117978); #79062=LINE('',#515889,#117979); #79063=LINE('',#515895,#117980); #79064=LINE('',#515897,#117981); #79065=LINE('',#515899,#117982); #79066=LINE('',#515900,#117983); #79067=LINE('',#515903,#117984); #79068=LINE('',#515905,#117985); #79069=LINE('',#515906,#117986); #79070=LINE('',#515909,#117987); #79071=LINE('',#515911,#117988); #79072=LINE('',#515912,#117989); #79073=LINE('',#515915,#117990); #79074=LINE('',#515917,#117991); #79075=LINE('',#515918,#117992); #79076=LINE('',#515921,#117993); #79077=LINE('',#515923,#117994); #79078=LINE('',#515924,#117995); #79079=LINE('',#515927,#117996); #79080=LINE('',#515929,#117997); #79081=LINE('',#515930,#117998); #79082=LINE('',#515933,#117999); #79083=LINE('',#515935,#118000); #79084=LINE('',#515936,#118001); #79085=LINE('',#515939,#118002); #79086=LINE('',#515941,#118003); #79087=LINE('',#515942,#118004); #79088=LINE('',#515945,#118005); #79089=LINE('',#515947,#118006); #79090=LINE('',#515948,#118007); #79091=LINE('',#515951,#118008); #79092=LINE('',#515953,#118009); #79093=LINE('',#515954,#118010); #79094=LINE('',#515957,#118011); #79095=LINE('',#515959,#118012); #79096=LINE('',#515960,#118013); #79097=LINE('',#515963,#118014); #79098=LINE('',#515965,#118015); #79099=LINE('',#515966,#118016); #79100=LINE('',#515969,#118017); #79101=LINE('',#515971,#118018); #79102=LINE('',#515972,#118019); #79103=LINE('',#515975,#118020); #79104=LINE('',#515977,#118021); #79105=LINE('',#515978,#118022); #79106=LINE('',#515981,#118023); #79107=LINE('',#515983,#118024); #79108=LINE('',#515984,#118025); #79109=LINE('',#515987,#118026); #79110=LINE('',#515989,#118027); #79111=LINE('',#515990,#118028); #79112=LINE('',#515993,#118029); #79113=LINE('',#515995,#118030); #79114=LINE('',#515996,#118031); #79115=LINE('',#515999,#118032); #79116=LINE('',#516001,#118033); #79117=LINE('',#516002,#118034); #79118=LINE('',#516005,#118035); #79119=LINE('',#516007,#118036); #79120=LINE('',#516008,#118037); #79121=LINE('',#516011,#118038); #79122=LINE('',#516013,#118039); #79123=LINE('',#516014,#118040); #79124=LINE('',#516016,#118041); #79125=LINE('',#516017,#118042); #79126=LINE('',#516023,#118043); #79127=LINE('',#516025,#118044); #79128=LINE('',#516027,#118045); #79129=LINE('',#516028,#118046); #79130=LINE('',#516031,#118047); #79131=LINE('',#516033,#118048); #79132=LINE('',#516034,#118049); #79133=LINE('',#516037,#118050); #79134=LINE('',#516039,#118051); #79135=LINE('',#516040,#118052); #79136=LINE('',#516043,#118053); #79137=LINE('',#516045,#118054); #79138=LINE('',#516046,#118055); #79139=LINE('',#516049,#118056); #79140=LINE('',#516051,#118057); #79141=LINE('',#516052,#118058); #79142=LINE('',#516055,#118059); #79143=LINE('',#516057,#118060); #79144=LINE('',#516058,#118061); #79145=LINE('',#516061,#118062); #79146=LINE('',#516063,#118063); #79147=LINE('',#516064,#118064); #79148=LINE('',#516067,#118065); #79149=LINE('',#516069,#118066); #79150=LINE('',#516070,#118067); #79151=LINE('',#516073,#118068); #79152=LINE('',#516075,#118069); #79153=LINE('',#516076,#118070); #79154=LINE('',#516079,#118071); #79155=LINE('',#516081,#118072); #79156=LINE('',#516082,#118073); #79157=LINE('',#516085,#118074); #79158=LINE('',#516087,#118075); #79159=LINE('',#516088,#118076); #79160=LINE('',#516091,#118077); #79161=LINE('',#516093,#118078); #79162=LINE('',#516094,#118079); #79163=LINE('',#516097,#118080); #79164=LINE('',#516099,#118081); #79165=LINE('',#516100,#118082); #79166=LINE('',#516103,#118083); #79167=LINE('',#516105,#118084); #79168=LINE('',#516106,#118085); #79169=LINE('',#516109,#118086); #79170=LINE('',#516111,#118087); #79171=LINE('',#516112,#118088); #79172=LINE('',#516115,#118089); #79173=LINE('',#516117,#118090); #79174=LINE('',#516118,#118091); #79175=LINE('',#516121,#118092); #79176=LINE('',#516123,#118093); #79177=LINE('',#516124,#118094); #79178=LINE('',#516127,#118095); #79179=LINE('',#516129,#118096); #79180=LINE('',#516130,#118097); #79181=LINE('',#516133,#118098); #79182=LINE('',#516135,#118099); #79183=LINE('',#516136,#118100); #79184=LINE('',#516138,#118101); #79185=LINE('',#516139,#118102); #79186=LINE('',#516146,#118103); #79187=LINE('',#516152,#118104); #79188=LINE('',#516158,#118105); #79189=LINE('',#516164,#118106); #79190=LINE('',#516170,#118107); #79191=LINE('',#516176,#118108); #79192=LINE('',#516182,#118109); #79193=LINE('',#516188,#118110); #79194=LINE('',#516194,#118111); #79195=LINE('',#516200,#118112); #79196=LINE('',#516206,#118113); #79197=LINE('',#516212,#118114); #79198=LINE('',#516218,#118115); #79199=LINE('',#516224,#118116); #79200=LINE('',#516230,#118117); #79201=LINE('',#516236,#118118); #79202=LINE('',#516242,#118119); #79203=LINE('',#516248,#118120); #79204=LINE('',#516254,#118121); #79205=LINE('',#516260,#118122); #79206=LINE('',#516266,#118123); #79207=LINE('',#516272,#118124); #79208=LINE('',#516278,#118125); #79209=LINE('',#516284,#118126); #79210=LINE('',#516290,#118127); #79211=LINE('',#516296,#118128); #79212=LINE('',#516302,#118129); #79213=LINE('',#516308,#118130); #79214=LINE('',#516314,#118131); #79215=LINE('',#516320,#118132); #79216=LINE('',#516326,#118133); #79217=LINE('',#516332,#118134); #79218=LINE('',#516338,#118135); #79219=LINE('',#516344,#118136); #79220=LINE('',#516350,#118137); #79221=LINE('',#516355,#118138); #79222=LINE('',#516357,#118139); #79223=LINE('',#516359,#118140); #79224=LINE('',#516360,#118141); #79225=LINE('',#516366,#118142); #79226=LINE('',#516369,#118143); #79227=LINE('',#516371,#118144); #79228=LINE('',#516372,#118145); #79229=LINE('',#516380,#118146); #79230=LINE('',#516386,#118147); #79231=LINE('',#516392,#118148); #79232=LINE('',#516398,#118149); #79233=LINE('',#516403,#118150); #79234=LINE('',#516405,#118151); #79235=LINE('',#516407,#118152); #79236=LINE('',#516408,#118153); #79237=LINE('',#516414,#118154); #79238=LINE('',#516417,#118155); #79239=LINE('',#516419,#118156); #79240=LINE('',#516420,#118157); #79241=LINE('',#516427,#118158); #79242=LINE('',#516429,#118159); #79243=LINE('',#516431,#118160); #79244=LINE('',#516432,#118161); #79245=LINE('',#516438,#118162); #79246=LINE('',#516441,#118163); #79247=LINE('',#516443,#118164); #79248=LINE('',#516444,#118165); #79249=LINE('',#516451,#118166); #79250=LINE('',#516453,#118167); #79251=LINE('',#516455,#118168); #79252=LINE('',#516456,#118169); #79253=LINE('',#516462,#118170); #79254=LINE('',#516465,#118171); #79255=LINE('',#516467,#118172); #79256=LINE('',#516468,#118173); #79257=LINE('',#516476,#118174); #79258=LINE('',#516482,#118175); #79259=LINE('',#516488,#118176); #79260=LINE('',#516494,#118177); #79261=LINE('',#516500,#118178); #79262=LINE('',#516506,#118179); #79263=LINE('',#516512,#118180); #79264=LINE('',#516518,#118181); #79265=LINE('',#516524,#118182); #79266=LINE('',#516530,#118183); #79267=LINE('',#516536,#118184); #79268=LINE('',#516542,#118185); #79269=LINE('',#516548,#118186); #79270=LINE('',#516554,#118187); #79271=LINE('',#516560,#118188); #79272=LINE('',#516566,#118189); #79273=LINE('',#516572,#118190); #79274=LINE('',#516578,#118191); #79275=LINE('',#516584,#118192); #79276=LINE('',#516589,#118193); #79277=LINE('',#516591,#118194); #79278=LINE('',#516593,#118195); #79279=LINE('',#516594,#118196); #79280=LINE('',#516597,#118197); #79281=LINE('',#516599,#118198); #79282=LINE('',#516600,#118199); #79283=LINE('',#516603,#118200); #79284=LINE('',#516605,#118201); #79285=LINE('',#516606,#118202); #79286=LINE('',#516609,#118203); #79287=LINE('',#516611,#118204); #79288=LINE('',#516612,#118205); #79289=LINE('',#516615,#118206); #79290=LINE('',#516617,#118207); #79291=LINE('',#516618,#118208); #79292=LINE('',#516621,#118209); #79293=LINE('',#516623,#118210); #79294=LINE('',#516624,#118211); #79295=LINE('',#516627,#118212); #79296=LINE('',#516629,#118213); #79297=LINE('',#516630,#118214); #79298=LINE('',#516632,#118215); #79299=LINE('',#516633,#118216); #79300=LINE('',#516637,#118217); #79301=LINE('',#516639,#118218); #79302=LINE('',#516641,#118219); #79303=LINE('',#516642,#118220); #79304=LINE('',#516645,#118221); #79305=LINE('',#516647,#118222); #79306=LINE('',#516648,#118223); #79307=LINE('',#516651,#118224); #79308=LINE('',#516653,#118225); #79309=LINE('',#516654,#118226); #79310=LINE('',#516657,#118227); #79311=LINE('',#516659,#118228); #79312=LINE('',#516660,#118229); #79313=LINE('',#516663,#118230); #79314=LINE('',#516665,#118231); #79315=LINE('',#516666,#118232); #79316=LINE('',#516669,#118233); #79317=LINE('',#516671,#118234); #79318=LINE('',#516672,#118235); #79319=LINE('',#516675,#118236); #79320=LINE('',#516677,#118237); #79321=LINE('',#516678,#118238); #79322=LINE('',#516681,#118239); #79323=LINE('',#516683,#118240); #79324=LINE('',#516684,#118241); #79325=LINE('',#516687,#118242); #79326=LINE('',#516689,#118243); #79327=LINE('',#516690,#118244); #79328=LINE('',#516693,#118245); #79329=LINE('',#516695,#118246); #79330=LINE('',#516696,#118247); #79331=LINE('',#516699,#118248); #79332=LINE('',#516701,#118249); #79333=LINE('',#516702,#118250); #79334=LINE('',#516705,#118251); #79335=LINE('',#516707,#118252); #79336=LINE('',#516708,#118253); #79337=LINE('',#516711,#118254); #79338=LINE('',#516713,#118255); #79339=LINE('',#516714,#118256); #79340=LINE('',#516717,#118257); #79341=LINE('',#516719,#118258); #79342=LINE('',#516720,#118259); #79343=LINE('',#516723,#118260); #79344=LINE('',#516725,#118261); #79345=LINE('',#516726,#118262); #79346=LINE('',#516728,#118263); #79347=LINE('',#516729,#118264); #79348=LINE('',#516733,#118265); #79349=LINE('',#516735,#118266); #79350=LINE('',#516737,#118267); #79351=LINE('',#516738,#118268); #79352=LINE('',#516741,#118269); #79353=LINE('',#516743,#118270); #79354=LINE('',#516744,#118271); #79355=LINE('',#516747,#118272); #79356=LINE('',#516749,#118273); #79357=LINE('',#516750,#118274); #79358=LINE('',#516753,#118275); #79359=LINE('',#516755,#118276); #79360=LINE('',#516756,#118277); #79361=LINE('',#516759,#118278); #79362=LINE('',#516761,#118279); #79363=LINE('',#516762,#118280); #79364=LINE('',#516765,#118281); #79365=LINE('',#516767,#118282); #79366=LINE('',#516768,#118283); #79367=LINE('',#516771,#118284); #79368=LINE('',#516773,#118285); #79369=LINE('',#516774,#118286); #79370=LINE('',#516777,#118287); #79371=LINE('',#516779,#118288); #79372=LINE('',#516780,#118289); #79373=LINE('',#516783,#118290); #79374=LINE('',#516785,#118291); #79375=LINE('',#516786,#118292); #79376=LINE('',#516789,#118293); #79377=LINE('',#516791,#118294); #79378=LINE('',#516792,#118295); #79379=LINE('',#516795,#118296); #79380=LINE('',#516797,#118297); #79381=LINE('',#516798,#118298); #79382=LINE('',#516801,#118299); #79383=LINE('',#516803,#118300); #79384=LINE('',#516804,#118301); #79385=LINE('',#516807,#118302); #79386=LINE('',#516809,#118303); #79387=LINE('',#516810,#118304); #79388=LINE('',#516813,#118305); #79389=LINE('',#516815,#118306); #79390=LINE('',#516816,#118307); #79391=LINE('',#516819,#118308); #79392=LINE('',#516821,#118309); #79393=LINE('',#516822,#118310); #79394=LINE('',#516824,#118311); #79395=LINE('',#516825,#118312); #79396=LINE('',#516829,#118313); #79397=LINE('',#516831,#118314); #79398=LINE('',#516833,#118315); #79399=LINE('',#516834,#118316); #79400=LINE('',#516837,#118317); #79401=LINE('',#516839,#118318); #79402=LINE('',#516840,#118319); #79403=LINE('',#516843,#118320); #79404=LINE('',#516845,#118321); #79405=LINE('',#516846,#118322); #79406=LINE('',#516849,#118323); #79407=LINE('',#516851,#118324); #79408=LINE('',#516852,#118325); #79409=LINE('',#516855,#118326); #79410=LINE('',#516857,#118327); #79411=LINE('',#516858,#118328); #79412=LINE('',#516861,#118329); #79413=LINE('',#516863,#118330); #79414=LINE('',#516864,#118331); #79415=LINE('',#516867,#118332); #79416=LINE('',#516869,#118333); #79417=LINE('',#516870,#118334); #79418=LINE('',#516873,#118335); #79419=LINE('',#516875,#118336); #79420=LINE('',#516876,#118337); #79421=LINE('',#516879,#118338); #79422=LINE('',#516881,#118339); #79423=LINE('',#516882,#118340); #79424=LINE('',#516885,#118341); #79425=LINE('',#516887,#118342); #79426=LINE('',#516888,#118343); #79427=LINE('',#516891,#118344); #79428=LINE('',#516893,#118345); #79429=LINE('',#516894,#118346); #79430=LINE('',#516897,#118347); #79431=LINE('',#516899,#118348); #79432=LINE('',#516900,#118349); #79433=LINE('',#516903,#118350); #79434=LINE('',#516905,#118351); #79435=LINE('',#516906,#118352); #79436=LINE('',#516909,#118353); #79437=LINE('',#516911,#118354); #79438=LINE('',#516912,#118355); #79439=LINE('',#516915,#118356); #79440=LINE('',#516917,#118357); #79441=LINE('',#516918,#118358); #79442=LINE('',#516921,#118359); #79443=LINE('',#516923,#118360); #79444=LINE('',#516924,#118361); #79445=LINE('',#516927,#118362); #79446=LINE('',#516929,#118363); #79447=LINE('',#516930,#118364); #79448=LINE('',#516933,#118365); #79449=LINE('',#516935,#118366); #79450=LINE('',#516936,#118367); #79451=LINE('',#516939,#118368); #79452=LINE('',#516941,#118369); #79453=LINE('',#516942,#118370); #79454=LINE('',#516945,#118371); #79455=LINE('',#516947,#118372); #79456=LINE('',#516948,#118373); #79457=LINE('',#516951,#118374); #79458=LINE('',#516953,#118375); #79459=LINE('',#516954,#118376); #79460=LINE('',#516957,#118377); #79461=LINE('',#516959,#118378); #79462=LINE('',#516960,#118379); #79463=LINE('',#516963,#118380); #79464=LINE('',#516965,#118381); #79465=LINE('',#516966,#118382); #79466=LINE('',#516969,#118383); #79467=LINE('',#516971,#118384); #79468=LINE('',#516972,#118385); #79469=LINE('',#516975,#118386); #79470=LINE('',#516977,#118387); #79471=LINE('',#516978,#118388); #79472=LINE('',#516981,#118389); #79473=LINE('',#516983,#118390); #79474=LINE('',#516984,#118391); #79475=LINE('',#516987,#118392); #79476=LINE('',#516989,#118393); #79477=LINE('',#516990,#118394); #79478=LINE('',#516993,#118395); #79479=LINE('',#516995,#118396); #79480=LINE('',#516996,#118397); #79481=LINE('',#516999,#118398); #79482=LINE('',#517001,#118399); #79483=LINE('',#517002,#118400); #79484=LINE('',#517005,#118401); #79485=LINE('',#517007,#118402); #79486=LINE('',#517008,#118403); #79487=LINE('',#517011,#118404); #79488=LINE('',#517013,#118405); #79489=LINE('',#517014,#118406); #79490=LINE('',#517017,#118407); #79491=LINE('',#517019,#118408); #79492=LINE('',#517020,#118409); #79493=LINE('',#517023,#118410); #79494=LINE('',#517025,#118411); #79495=LINE('',#517026,#118412); #79496=LINE('',#517029,#118413); #79497=LINE('',#517031,#118414); #79498=LINE('',#517032,#118415); #79499=LINE('',#517035,#118416); #79500=LINE('',#517037,#118417); #79501=LINE('',#517038,#118418); #79502=LINE('',#517040,#118419); #79503=LINE('',#517041,#118420); #79504=LINE('',#517045,#118421); #79505=LINE('',#517047,#118422); #79506=LINE('',#517049,#118423); #79507=LINE('',#517050,#118424); #79508=LINE('',#517053,#118425); #79509=LINE('',#517055,#118426); #79510=LINE('',#517056,#118427); #79511=LINE('',#517059,#118428); #79512=LINE('',#517061,#118429); #79513=LINE('',#517062,#118430); #79514=LINE('',#517065,#118431); #79515=LINE('',#517067,#118432); #79516=LINE('',#517068,#118433); #79517=LINE('',#517071,#118434); #79518=LINE('',#517073,#118435); #79519=LINE('',#517074,#118436); #79520=LINE('',#517077,#118437); #79521=LINE('',#517079,#118438); #79522=LINE('',#517080,#118439); #79523=LINE('',#517083,#118440); #79524=LINE('',#517085,#118441); #79525=LINE('',#517086,#118442); #79526=LINE('',#517089,#118443); #79527=LINE('',#517091,#118444); #79528=LINE('',#517092,#118445); #79529=LINE('',#517095,#118446); #79530=LINE('',#517097,#118447); #79531=LINE('',#517098,#118448); #79532=LINE('',#517101,#118449); #79533=LINE('',#517103,#118450); #79534=LINE('',#517104,#118451); #79535=LINE('',#517107,#118452); #79536=LINE('',#517109,#118453); #79537=LINE('',#517110,#118454); #79538=LINE('',#517113,#118455); #79539=LINE('',#517115,#118456); #79540=LINE('',#517116,#118457); #79541=LINE('',#517119,#118458); #79542=LINE('',#517121,#118459); #79543=LINE('',#517122,#118460); #79544=LINE('',#517125,#118461); #79545=LINE('',#517127,#118462); #79546=LINE('',#517128,#118463); #79547=LINE('',#517131,#118464); #79548=LINE('',#517133,#118465); #79549=LINE('',#517134,#118466); #79550=LINE('',#517137,#118467); #79551=LINE('',#517139,#118468); #79552=LINE('',#517140,#118469); #79553=LINE('',#517143,#118470); #79554=LINE('',#517145,#118471); #79555=LINE('',#517146,#118472); #79556=LINE('',#517149,#118473); #79557=LINE('',#517151,#118474); #79558=LINE('',#517152,#118475); #79559=LINE('',#517155,#118476); #79560=LINE('',#517157,#118477); #79561=LINE('',#517158,#118478); #79562=LINE('',#517161,#118479); #79563=LINE('',#517163,#118480); #79564=LINE('',#517164,#118481); #79565=LINE('',#517167,#118482); #79566=LINE('',#517169,#118483); #79567=LINE('',#517170,#118484); #79568=LINE('',#517173,#118485); #79569=LINE('',#517175,#118486); #79570=LINE('',#517176,#118487); #79571=LINE('',#517179,#118488); #79572=LINE('',#517181,#118489); #79573=LINE('',#517182,#118490); #79574=LINE('',#517185,#118491); #79575=LINE('',#517187,#118492); #79576=LINE('',#517188,#118493); #79577=LINE('',#517191,#118494); #79578=LINE('',#517193,#118495); #79579=LINE('',#517194,#118496); #79580=LINE('',#517197,#118497); #79581=LINE('',#517199,#118498); #79582=LINE('',#517200,#118499); #79583=LINE('',#517203,#118500); #79584=LINE('',#517205,#118501); #79585=LINE('',#517206,#118502); #79586=LINE('',#517209,#118503); #79587=LINE('',#517211,#118504); #79588=LINE('',#517212,#118505); #79589=LINE('',#517215,#118506); #79590=LINE('',#517217,#118507); #79591=LINE('',#517218,#118508); #79592=LINE('',#517221,#118509); #79593=LINE('',#517223,#118510); #79594=LINE('',#517224,#118511); #79595=LINE('',#517227,#118512); #79596=LINE('',#517229,#118513); #79597=LINE('',#517230,#118514); #79598=LINE('',#517233,#118515); #79599=LINE('',#517235,#118516); #79600=LINE('',#517236,#118517); #79601=LINE('',#517239,#118518); #79602=LINE('',#517241,#118519); #79603=LINE('',#517242,#118520); #79604=LINE('',#517245,#118521); #79605=LINE('',#517247,#118522); #79606=LINE('',#517248,#118523); #79607=LINE('',#517251,#118524); #79608=LINE('',#517253,#118525); #79609=LINE('',#517254,#118526); #79610=LINE('',#517256,#118527); #79611=LINE('',#517257,#118528); #79612=LINE('',#517261,#118529); #79613=LINE('',#517263,#118530); #79614=LINE('',#517265,#118531); #79615=LINE('',#517266,#118532); #79616=LINE('',#517269,#118533); #79617=LINE('',#517271,#118534); #79618=LINE('',#517272,#118535); #79619=LINE('',#517275,#118536); #79620=LINE('',#517277,#118537); #79621=LINE('',#517278,#118538); #79622=LINE('',#517281,#118539); #79623=LINE('',#517283,#118540); #79624=LINE('',#517284,#118541); #79625=LINE('',#517287,#118542); #79626=LINE('',#517289,#118543); #79627=LINE('',#517290,#118544); #79628=LINE('',#517293,#118545); #79629=LINE('',#517295,#118546); #79630=LINE('',#517296,#118547); #79631=LINE('',#517299,#118548); #79632=LINE('',#517301,#118549); #79633=LINE('',#517302,#118550); #79634=LINE('',#517305,#118551); #79635=LINE('',#517307,#118552); #79636=LINE('',#517308,#118553); #79637=LINE('',#517311,#118554); #79638=LINE('',#517313,#118555); #79639=LINE('',#517314,#118556); #79640=LINE('',#517317,#118557); #79641=LINE('',#517319,#118558); #79642=LINE('',#517320,#118559); #79643=LINE('',#517323,#118560); #79644=LINE('',#517325,#118561); #79645=LINE('',#517326,#118562); #79646=LINE('',#517329,#118563); #79647=LINE('',#517331,#118564); #79648=LINE('',#517332,#118565); #79649=LINE('',#517335,#118566); #79650=LINE('',#517337,#118567); #79651=LINE('',#517338,#118568); #79652=LINE('',#517341,#118569); #79653=LINE('',#517343,#118570); #79654=LINE('',#517344,#118571); #79655=LINE('',#517347,#118572); #79656=LINE('',#517349,#118573); #79657=LINE('',#517350,#118574); #79658=LINE('',#517353,#118575); #79659=LINE('',#517355,#118576); #79660=LINE('',#517356,#118577); #79661=LINE('',#517359,#118578); #79662=LINE('',#517361,#118579); #79663=LINE('',#517362,#118580); #79664=LINE('',#517365,#118581); #79665=LINE('',#517367,#118582); #79666=LINE('',#517368,#118583); #79667=LINE('',#517371,#118584); #79668=LINE('',#517373,#118585); #79669=LINE('',#517374,#118586); #79670=LINE('',#517377,#118587); #79671=LINE('',#517379,#118588); #79672=LINE('',#517380,#118589); #79673=LINE('',#517383,#118590); #79674=LINE('',#517385,#118591); #79675=LINE('',#517386,#118592); #79676=LINE('',#517389,#118593); #79677=LINE('',#517391,#118594); #79678=LINE('',#517392,#118595); #79679=LINE('',#517395,#118596); #79680=LINE('',#517397,#118597); #79681=LINE('',#517398,#118598); #79682=LINE('',#517401,#118599); #79683=LINE('',#517403,#118600); #79684=LINE('',#517404,#118601); #79685=LINE('',#517407,#118602); #79686=LINE('',#517409,#118603); #79687=LINE('',#517410,#118604); #79688=LINE('',#517413,#118605); #79689=LINE('',#517415,#118606); #79690=LINE('',#517416,#118607); #79691=LINE('',#517419,#118608); #79692=LINE('',#517421,#118609); #79693=LINE('',#517422,#118610); #79694=LINE('',#517425,#118611); #79695=LINE('',#517427,#118612); #79696=LINE('',#517428,#118613); #79697=LINE('',#517431,#118614); #79698=LINE('',#517433,#118615); #79699=LINE('',#517434,#118616); #79700=LINE('',#517437,#118617); #79701=LINE('',#517439,#118618); #79702=LINE('',#517440,#118619); #79703=LINE('',#517443,#118620); #79704=LINE('',#517445,#118621); #79705=LINE('',#517446,#118622); #79706=LINE('',#517449,#118623); #79707=LINE('',#517451,#118624); #79708=LINE('',#517452,#118625); #79709=LINE('',#517455,#118626); #79710=LINE('',#517457,#118627); #79711=LINE('',#517458,#118628); #79712=LINE('',#517461,#118629); #79713=LINE('',#517463,#118630); #79714=LINE('',#517464,#118631); #79715=LINE('',#517467,#118632); #79716=LINE('',#517469,#118633); #79717=LINE('',#517470,#118634); #79718=LINE('',#517473,#118635); #79719=LINE('',#517475,#118636); #79720=LINE('',#517476,#118637); #79721=LINE('',#517479,#118638); #79722=LINE('',#517481,#118639); #79723=LINE('',#517482,#118640); #79724=LINE('',#517485,#118641); #79725=LINE('',#517487,#118642); #79726=LINE('',#517488,#118643); #79727=LINE('',#517491,#118644); #79728=LINE('',#517493,#118645); #79729=LINE('',#517494,#118646); #79730=LINE('',#517497,#118647); #79731=LINE('',#517499,#118648); #79732=LINE('',#517500,#118649); #79733=LINE('',#517503,#118650); #79734=LINE('',#517505,#118651); #79735=LINE('',#517506,#118652); #79736=LINE('',#517509,#118653); #79737=LINE('',#517511,#118654); #79738=LINE('',#517512,#118655); #79739=LINE('',#517515,#118656); #79740=LINE('',#517517,#118657); #79741=LINE('',#517518,#118658); #79742=LINE('',#517521,#118659); #79743=LINE('',#517523,#118660); #79744=LINE('',#517524,#118661); #79745=LINE('',#517527,#118662); #79746=LINE('',#517529,#118663); #79747=LINE('',#517530,#118664); #79748=LINE('',#517533,#118665); #79749=LINE('',#517535,#118666); #79750=LINE('',#517536,#118667); #79751=LINE('',#517539,#118668); #79752=LINE('',#517541,#118669); #79753=LINE('',#517542,#118670); #79754=LINE('',#517545,#118671); #79755=LINE('',#517547,#118672); #79756=LINE('',#517548,#118673); #79757=LINE('',#517551,#118674); #79758=LINE('',#517553,#118675); #79759=LINE('',#517554,#118676); #79760=LINE('',#517557,#118677); #79761=LINE('',#517559,#118678); #79762=LINE('',#517560,#118679); #79763=LINE('',#517563,#118680); #79764=LINE('',#517565,#118681); #79765=LINE('',#517566,#118682); #79766=LINE('',#517569,#118683); #79767=LINE('',#517571,#118684); #79768=LINE('',#517572,#118685); #79769=LINE('',#517575,#118686); #79770=LINE('',#517577,#118687); #79771=LINE('',#517578,#118688); #79772=LINE('',#517581,#118689); #79773=LINE('',#517583,#118690); #79774=LINE('',#517584,#118691); #79775=LINE('',#517587,#118692); #79776=LINE('',#517589,#118693); #79777=LINE('',#517590,#118694); #79778=LINE('',#517593,#118695); #79779=LINE('',#517595,#118696); #79780=LINE('',#517596,#118697); #79781=LINE('',#517599,#118698); #79782=LINE('',#517601,#118699); #79783=LINE('',#517602,#118700); #79784=LINE('',#517605,#118701); #79785=LINE('',#517607,#118702); #79786=LINE('',#517608,#118703); #79787=LINE('',#517611,#118704); #79788=LINE('',#517613,#118705); #79789=LINE('',#517614,#118706); #79790=LINE('',#517617,#118707); #79791=LINE('',#517619,#118708); #79792=LINE('',#517620,#118709); #79793=LINE('',#517623,#118710); #79794=LINE('',#517625,#118711); #79795=LINE('',#517626,#118712); #79796=LINE('',#517629,#118713); #79797=LINE('',#517631,#118714); #79798=LINE('',#517632,#118715); #79799=LINE('',#517635,#118716); #79800=LINE('',#517637,#118717); #79801=LINE('',#517638,#118718); #79802=LINE('',#517641,#118719); #79803=LINE('',#517643,#118720); #79804=LINE('',#517644,#118721); #79805=LINE('',#517647,#118722); #79806=LINE('',#517649,#118723); #79807=LINE('',#517650,#118724); #79808=LINE('',#517653,#118725); #79809=LINE('',#517655,#118726); #79810=LINE('',#517656,#118727); #79811=LINE('',#517659,#118728); #79812=LINE('',#517661,#118729); #79813=LINE('',#517662,#118730); #79814=LINE('',#517665,#118731); #79815=LINE('',#517667,#118732); #79816=LINE('',#517668,#118733); #79817=LINE('',#517671,#118734); #79818=LINE('',#517673,#118735); #79819=LINE('',#517674,#118736); #79820=LINE('',#517677,#118737); #79821=LINE('',#517679,#118738); #79822=LINE('',#517680,#118739); #79823=LINE('',#517683,#118740); #79824=LINE('',#517685,#118741); #79825=LINE('',#517686,#118742); #79826=LINE('',#517689,#118743); #79827=LINE('',#517691,#118744); #79828=LINE('',#517692,#118745); #79829=LINE('',#517695,#118746); #79830=LINE('',#517697,#118747); #79831=LINE('',#517698,#118748); #79832=LINE('',#517701,#118749); #79833=LINE('',#517703,#118750); #79834=LINE('',#517704,#118751); #79835=LINE('',#517707,#118752); #79836=LINE('',#517709,#118753); #79837=LINE('',#517710,#118754); #79838=LINE('',#517713,#118755); #79839=LINE('',#517715,#118756); #79840=LINE('',#517716,#118757); #79841=LINE('',#517719,#118758); #79842=LINE('',#517721,#118759); #79843=LINE('',#517722,#118760); #79844=LINE('',#517725,#118761); #79845=LINE('',#517727,#118762); #79846=LINE('',#517728,#118763); #79847=LINE('',#517731,#118764); #79848=LINE('',#517733,#118765); #79849=LINE('',#517734,#118766); #79850=LINE('',#517737,#118767); #79851=LINE('',#517739,#118768); #79852=LINE('',#517740,#118769); #79853=LINE('',#517743,#118770); #79854=LINE('',#517745,#118771); #79855=LINE('',#517746,#118772); #79856=LINE('',#517749,#118773); #79857=LINE('',#517751,#118774); #79858=LINE('',#517752,#118775); #79859=LINE('',#517755,#118776); #79860=LINE('',#517757,#118777); #79861=LINE('',#517758,#118778); #79862=LINE('',#517761,#118779); #79863=LINE('',#517763,#118780); #79864=LINE('',#517764,#118781); #79865=LINE('',#517767,#118782); #79866=LINE('',#517769,#118783); #79867=LINE('',#517770,#118784); #79868=LINE('',#517773,#118785); #79869=LINE('',#517775,#118786); #79870=LINE('',#517776,#118787); #79871=LINE('',#517779,#118788); #79872=LINE('',#517781,#118789); #79873=LINE('',#517782,#118790); #79874=LINE('',#517785,#118791); #79875=LINE('',#517787,#118792); #79876=LINE('',#517788,#118793); #79877=LINE('',#517791,#118794); #79878=LINE('',#517793,#118795); #79879=LINE('',#517794,#118796); #79880=LINE('',#517797,#118797); #79881=LINE('',#517799,#118798); #79882=LINE('',#517800,#118799); #79883=LINE('',#517803,#118800); #79884=LINE('',#517805,#118801); #79885=LINE('',#517806,#118802); #79886=LINE('',#517809,#118803); #79887=LINE('',#517811,#118804); #79888=LINE('',#517812,#118805); #79889=LINE('',#517815,#118806); #79890=LINE('',#517817,#118807); #79891=LINE('',#517818,#118808); #79892=LINE('',#517821,#118809); #79893=LINE('',#517823,#118810); #79894=LINE('',#517824,#118811); #79895=LINE('',#517827,#118812); #79896=LINE('',#517829,#118813); #79897=LINE('',#517830,#118814); #79898=LINE('',#517833,#118815); #79899=LINE('',#517835,#118816); #79900=LINE('',#517836,#118817); #79901=LINE('',#517839,#118818); #79902=LINE('',#517841,#118819); #79903=LINE('',#517842,#118820); #79904=LINE('',#517845,#118821); #79905=LINE('',#517847,#118822); #79906=LINE('',#517848,#118823); #79907=LINE('',#517851,#118824); #79908=LINE('',#517853,#118825); #79909=LINE('',#517854,#118826); #79910=LINE('',#517857,#118827); #79911=LINE('',#517859,#118828); #79912=LINE('',#517860,#118829); #79913=LINE('',#517863,#118830); #79914=LINE('',#517865,#118831); #79915=LINE('',#517866,#118832); #79916=LINE('',#517869,#118833); #79917=LINE('',#517871,#118834); #79918=LINE('',#517872,#118835); #79919=LINE('',#517875,#118836); #79920=LINE('',#517877,#118837); #79921=LINE('',#517878,#118838); #79922=LINE('',#517881,#118839); #79923=LINE('',#517883,#118840); #79924=LINE('',#517884,#118841); #79925=LINE('',#517887,#118842); #79926=LINE('',#517889,#118843); #79927=LINE('',#517890,#118844); #79928=LINE('',#517893,#118845); #79929=LINE('',#517895,#118846); #79930=LINE('',#517896,#118847); #79931=LINE('',#517899,#118848); #79932=LINE('',#517901,#118849); #79933=LINE('',#517902,#118850); #79934=LINE('',#517905,#118851); #79935=LINE('',#517907,#118852); #79936=LINE('',#517908,#118853); #79937=LINE('',#517911,#118854); #79938=LINE('',#517913,#118855); #79939=LINE('',#517914,#118856); #79940=LINE('',#517917,#118857); #79941=LINE('',#517919,#118858); #79942=LINE('',#517920,#118859); #79943=LINE('',#517923,#118860); #79944=LINE('',#517925,#118861); #79945=LINE('',#517926,#118862); #79946=LINE('',#517929,#118863); #79947=LINE('',#517931,#118864); #79948=LINE('',#517932,#118865); #79949=LINE('',#517935,#118866); #79950=LINE('',#517937,#118867); #79951=LINE('',#517938,#118868); #79952=LINE('',#517941,#118869); #79953=LINE('',#517943,#118870); #79954=LINE('',#517944,#118871); #79955=LINE('',#517947,#118872); #79956=LINE('',#517949,#118873); #79957=LINE('',#517950,#118874); #79958=LINE('',#517953,#118875); #79959=LINE('',#517955,#118876); #79960=LINE('',#517956,#118877); #79961=LINE('',#517959,#118878); #79962=LINE('',#517961,#118879); #79963=LINE('',#517962,#118880); #79964=LINE('',#517965,#118881); #79965=LINE('',#517967,#118882); #79966=LINE('',#517968,#118883); #79967=LINE('',#517971,#118884); #79968=LINE('',#517973,#118885); #79969=LINE('',#517974,#118886); #79970=LINE('',#517977,#118887); #79971=LINE('',#517979,#118888); #79972=LINE('',#517980,#118889); #79973=LINE('',#517983,#118890); #79974=LINE('',#517985,#118891); #79975=LINE('',#517986,#118892); #79976=LINE('',#517989,#118893); #79977=LINE('',#517991,#118894); #79978=LINE('',#517992,#118895); #79979=LINE('',#517995,#118896); #79980=LINE('',#517997,#118897); #79981=LINE('',#517998,#118898); #79982=LINE('',#518001,#118899); #79983=LINE('',#518003,#118900); #79984=LINE('',#518004,#118901); #79985=LINE('',#518007,#118902); #79986=LINE('',#518009,#118903); #79987=LINE('',#518010,#118904); #79988=LINE('',#518013,#118905); #79989=LINE('',#518015,#118906); #79990=LINE('',#518016,#118907); #79991=LINE('',#518019,#118908); #79992=LINE('',#518021,#118909); #79993=LINE('',#518022,#118910); #79994=LINE('',#518025,#118911); #79995=LINE('',#518027,#118912); #79996=LINE('',#518028,#118913); #79997=LINE('',#518031,#118914); #79998=LINE('',#518033,#118915); #79999=LINE('',#518034,#118916); #80000=LINE('',#518037,#118917); #80001=LINE('',#518039,#118918); #80002=LINE('',#518040,#118919); #80003=LINE('',#518043,#118920); #80004=LINE('',#518045,#118921); #80005=LINE('',#518046,#118922); #80006=LINE('',#518049,#118923); #80007=LINE('',#518051,#118924); #80008=LINE('',#518052,#118925); #80009=LINE('',#518055,#118926); #80010=LINE('',#518057,#118927); #80011=LINE('',#518058,#118928); #80012=LINE('',#518061,#118929); #80013=LINE('',#518063,#118930); #80014=LINE('',#518064,#118931); #80015=LINE('',#518067,#118932); #80016=LINE('',#518069,#118933); #80017=LINE('',#518070,#118934); #80018=LINE('',#518073,#118935); #80019=LINE('',#518075,#118936); #80020=LINE('',#518076,#118937); #80021=LINE('',#518079,#118938); #80022=LINE('',#518081,#118939); #80023=LINE('',#518082,#118940); #80024=LINE('',#518085,#118941); #80025=LINE('',#518087,#118942); #80026=LINE('',#518088,#118943); #80027=LINE('',#518091,#118944); #80028=LINE('',#518093,#118945); #80029=LINE('',#518094,#118946); #80030=LINE('',#518097,#118947); #80031=LINE('',#518099,#118948); #80032=LINE('',#518100,#118949); #80033=LINE('',#518103,#118950); #80034=LINE('',#518105,#118951); #80035=LINE('',#518106,#118952); #80036=LINE('',#518109,#118953); #80037=LINE('',#518111,#118954); #80038=LINE('',#518112,#118955); #80039=LINE('',#518115,#118956); #80040=LINE('',#518117,#118957); #80041=LINE('',#518118,#118958); #80042=LINE('',#518121,#118959); #80043=LINE('',#518123,#118960); #80044=LINE('',#518124,#118961); #80045=LINE('',#518127,#118962); #80046=LINE('',#518129,#118963); #80047=LINE('',#518130,#118964); #80048=LINE('',#518133,#118965); #80049=LINE('',#518135,#118966); #80050=LINE('',#518136,#118967); #80051=LINE('',#518139,#118968); #80052=LINE('',#518141,#118969); #80053=LINE('',#518142,#118970); #80054=LINE('',#518145,#118971); #80055=LINE('',#518147,#118972); #80056=LINE('',#518148,#118973); #80057=LINE('',#518151,#118974); #80058=LINE('',#518153,#118975); #80059=LINE('',#518154,#118976); #80060=LINE('',#518157,#118977); #80061=LINE('',#518159,#118978); #80062=LINE('',#518160,#118979); #80063=LINE('',#518163,#118980); #80064=LINE('',#518165,#118981); #80065=LINE('',#518166,#118982); #80066=LINE('',#518169,#118983); #80067=LINE('',#518171,#118984); #80068=LINE('',#518172,#118985); #80069=LINE('',#518175,#118986); #80070=LINE('',#518177,#118987); #80071=LINE('',#518178,#118988); #80072=LINE('',#518181,#118989); #80073=LINE('',#518183,#118990); #80074=LINE('',#518184,#118991); #80075=LINE('',#518187,#118992); #80076=LINE('',#518189,#118993); #80077=LINE('',#518190,#118994); #80078=LINE('',#518193,#118995); #80079=LINE('',#518195,#118996); #80080=LINE('',#518196,#118997); #80081=LINE('',#518199,#118998); #80082=LINE('',#518201,#118999); #80083=LINE('',#518202,#119000); #80084=LINE('',#518205,#119001); #80085=LINE('',#518207,#119002); #80086=LINE('',#518208,#119003); #80087=LINE('',#518211,#119004); #80088=LINE('',#518213,#119005); #80089=LINE('',#518214,#119006); #80090=LINE('',#518217,#119007); #80091=LINE('',#518219,#119008); #80092=LINE('',#518220,#119009); #80093=LINE('',#518223,#119010); #80094=LINE('',#518225,#119011); #80095=LINE('',#518226,#119012); #80096=LINE('',#518229,#119013); #80097=LINE('',#518231,#119014); #80098=LINE('',#518232,#119015); #80099=LINE('',#518235,#119016); #80100=LINE('',#518237,#119017); #80101=LINE('',#518238,#119018); #80102=LINE('',#518241,#119019); #80103=LINE('',#518243,#119020); #80104=LINE('',#518244,#119021); #80105=LINE('',#518247,#119022); #80106=LINE('',#518249,#119023); #80107=LINE('',#518250,#119024); #80108=LINE('',#518253,#119025); #80109=LINE('',#518255,#119026); #80110=LINE('',#518256,#119027); #80111=LINE('',#518259,#119028); #80112=LINE('',#518261,#119029); #80113=LINE('',#518262,#119030); #80114=LINE('',#518265,#119031); #80115=LINE('',#518267,#119032); #80116=LINE('',#518268,#119033); #80117=LINE('',#518271,#119034); #80118=LINE('',#518273,#119035); #80119=LINE('',#518274,#119036); #80120=LINE('',#518277,#119037); #80121=LINE('',#518279,#119038); #80122=LINE('',#518280,#119039); #80123=LINE('',#518283,#119040); #80124=LINE('',#518285,#119041); #80125=LINE('',#518286,#119042); #80126=LINE('',#518289,#119043); #80127=LINE('',#518291,#119044); #80128=LINE('',#518292,#119045); #80129=LINE('',#518295,#119046); #80130=LINE('',#518297,#119047); #80131=LINE('',#518298,#119048); #80132=LINE('',#518301,#119049); #80133=LINE('',#518303,#119050); #80134=LINE('',#518304,#119051); #80135=LINE('',#518307,#119052); #80136=LINE('',#518309,#119053); #80137=LINE('',#518310,#119054); #80138=LINE('',#518313,#119055); #80139=LINE('',#518315,#119056); #80140=LINE('',#518316,#119057); #80141=LINE('',#518319,#119058); #80142=LINE('',#518321,#119059); #80143=LINE('',#518322,#119060); #80144=LINE('',#518325,#119061); #80145=LINE('',#518327,#119062); #80146=LINE('',#518328,#119063); #80147=LINE('',#518331,#119064); #80148=LINE('',#518333,#119065); #80149=LINE('',#518334,#119066); #80150=LINE('',#518337,#119067); #80151=LINE('',#518339,#119068); #80152=LINE('',#518340,#119069); #80153=LINE('',#518343,#119070); #80154=LINE('',#518345,#119071); #80155=LINE('',#518346,#119072); #80156=LINE('',#518349,#119073); #80157=LINE('',#518351,#119074); #80158=LINE('',#518352,#119075); #80159=LINE('',#518355,#119076); #80160=LINE('',#518357,#119077); #80161=LINE('',#518358,#119078); #80162=LINE('',#518361,#119079); #80163=LINE('',#518363,#119080); #80164=LINE('',#518364,#119081); #80165=LINE('',#518367,#119082); #80166=LINE('',#518369,#119083); #80167=LINE('',#518370,#119084); #80168=LINE('',#518373,#119085); #80169=LINE('',#518375,#119086); #80170=LINE('',#518376,#119087); #80171=LINE('',#518379,#119088); #80172=LINE('',#518381,#119089); #80173=LINE('',#518382,#119090); #80174=LINE('',#518385,#119091); #80175=LINE('',#518387,#119092); #80176=LINE('',#518388,#119093); #80177=LINE('',#518391,#119094); #80178=LINE('',#518393,#119095); #80179=LINE('',#518394,#119096); #80180=LINE('',#518397,#119097); #80181=LINE('',#518399,#119098); #80182=LINE('',#518400,#119099); #80183=LINE('',#518403,#119100); #80184=LINE('',#518405,#119101); #80185=LINE('',#518406,#119102); #80186=LINE('',#518409,#119103); #80187=LINE('',#518411,#119104); #80188=LINE('',#518412,#119105); #80189=LINE('',#518415,#119106); #80190=LINE('',#518417,#119107); #80191=LINE('',#518418,#119108); #80192=LINE('',#518421,#119109); #80193=LINE('',#518423,#119110); #80194=LINE('',#518424,#119111); #80195=LINE('',#518427,#119112); #80196=LINE('',#518429,#119113); #80197=LINE('',#518430,#119114); #80198=LINE('',#518433,#119115); #80199=LINE('',#518435,#119116); #80200=LINE('',#518436,#119117); #80201=LINE('',#518439,#119118); #80202=LINE('',#518441,#119119); #80203=LINE('',#518442,#119120); #80204=LINE('',#518445,#119121); #80205=LINE('',#518447,#119122); #80206=LINE('',#518448,#119123); #80207=LINE('',#518451,#119124); #80208=LINE('',#518453,#119125); #80209=LINE('',#518454,#119126); #80210=LINE('',#518457,#119127); #80211=LINE('',#518459,#119128); #80212=LINE('',#518460,#119129); #80213=LINE('',#518463,#119130); #80214=LINE('',#518465,#119131); #80215=LINE('',#518466,#119132); #80216=LINE('',#518469,#119133); #80217=LINE('',#518471,#119134); #80218=LINE('',#518472,#119135); #80219=LINE('',#518475,#119136); #80220=LINE('',#518477,#119137); #80221=LINE('',#518478,#119138); #80222=LINE('',#518481,#119139); #80223=LINE('',#518483,#119140); #80224=LINE('',#518484,#119141); #80225=LINE('',#518487,#119142); #80226=LINE('',#518489,#119143); #80227=LINE('',#518490,#119144); #80228=LINE('',#518493,#119145); #80229=LINE('',#518495,#119146); #80230=LINE('',#518496,#119147); #80231=LINE('',#518499,#119148); #80232=LINE('',#518501,#119149); #80233=LINE('',#518502,#119150); #80234=LINE('',#518505,#119151); #80235=LINE('',#518507,#119152); #80236=LINE('',#518508,#119153); #80237=LINE('',#518511,#119154); #80238=LINE('',#518513,#119155); #80239=LINE('',#518514,#119156); #80240=LINE('',#518517,#119157); #80241=LINE('',#518519,#119158); #80242=LINE('',#518520,#119159); #80243=LINE('',#518523,#119160); #80244=LINE('',#518525,#119161); #80245=LINE('',#518526,#119162); #80246=LINE('',#518529,#119163); #80247=LINE('',#518531,#119164); #80248=LINE('',#518532,#119165); #80249=LINE('',#518535,#119166); #80250=LINE('',#518537,#119167); #80251=LINE('',#518538,#119168); #80252=LINE('',#518541,#119169); #80253=LINE('',#518543,#119170); #80254=LINE('',#518544,#119171); #80255=LINE('',#518547,#119172); #80256=LINE('',#518549,#119173); #80257=LINE('',#518550,#119174); #80258=LINE('',#518553,#119175); #80259=LINE('',#518555,#119176); #80260=LINE('',#518556,#119177); #80261=LINE('',#518559,#119178); #80262=LINE('',#518561,#119179); #80263=LINE('',#518562,#119180); #80264=LINE('',#518565,#119181); #80265=LINE('',#518567,#119182); #80266=LINE('',#518568,#119183); #80267=LINE('',#518571,#119184); #80268=LINE('',#518573,#119185); #80269=LINE('',#518574,#119186); #80270=LINE('',#518577,#119187); #80271=LINE('',#518579,#119188); #80272=LINE('',#518580,#119189); #80273=LINE('',#518583,#119190); #80274=LINE('',#518585,#119191); #80275=LINE('',#518586,#119192); #80276=LINE('',#518589,#119193); #80277=LINE('',#518591,#119194); #80278=LINE('',#518592,#119195); #80279=LINE('',#518595,#119196); #80280=LINE('',#518597,#119197); #80281=LINE('',#518598,#119198); #80282=LINE('',#518601,#119199); #80283=LINE('',#518603,#119200); #80284=LINE('',#518604,#119201); #80285=LINE('',#518607,#119202); #80286=LINE('',#518609,#119203); #80287=LINE('',#518610,#119204); #80288=LINE('',#518613,#119205); #80289=LINE('',#518615,#119206); #80290=LINE('',#518616,#119207); #80291=LINE('',#518619,#119208); #80292=LINE('',#518621,#119209); #80293=LINE('',#518622,#119210); #80294=LINE('',#518625,#119211); #80295=LINE('',#518627,#119212); #80296=LINE('',#518628,#119213); #80297=LINE('',#518631,#119214); #80298=LINE('',#518633,#119215); #80299=LINE('',#518634,#119216); #80300=LINE('',#518637,#119217); #80301=LINE('',#518639,#119218); #80302=LINE('',#518640,#119219); #80303=LINE('',#518643,#119220); #80304=LINE('',#518645,#119221); #80305=LINE('',#518646,#119222); #80306=LINE('',#518649,#119223); #80307=LINE('',#518651,#119224); #80308=LINE('',#518652,#119225); #80309=LINE('',#518655,#119226); #80310=LINE('',#518657,#119227); #80311=LINE('',#518658,#119228); #80312=LINE('',#518661,#119229); #80313=LINE('',#518663,#119230); #80314=LINE('',#518664,#119231); #80315=LINE('',#518667,#119232); #80316=LINE('',#518669,#119233); #80317=LINE('',#518670,#119234); #80318=LINE('',#518673,#119235); #80319=LINE('',#518675,#119236); #80320=LINE('',#518676,#119237); #80321=LINE('',#518679,#119238); #80322=LINE('',#518681,#119239); #80323=LINE('',#518682,#119240); #80324=LINE('',#518685,#119241); #80325=LINE('',#518687,#119242); #80326=LINE('',#518688,#119243); #80327=LINE('',#518691,#119244); #80328=LINE('',#518693,#119245); #80329=LINE('',#518694,#119246); #80330=LINE('',#518697,#119247); #80331=LINE('',#518699,#119248); #80332=LINE('',#518700,#119249); #80333=LINE('',#518703,#119250); #80334=LINE('',#518705,#119251); #80335=LINE('',#518706,#119252); #80336=LINE('',#518709,#119253); #80337=LINE('',#518711,#119254); #80338=LINE('',#518712,#119255); #80339=LINE('',#518715,#119256); #80340=LINE('',#518717,#119257); #80341=LINE('',#518718,#119258); #80342=LINE('',#518721,#119259); #80343=LINE('',#518723,#119260); #80344=LINE('',#518724,#119261); #80345=LINE('',#518727,#119262); #80346=LINE('',#518729,#119263); #80347=LINE('',#518730,#119264); #80348=LINE('',#518733,#119265); #80349=LINE('',#518735,#119266); #80350=LINE('',#518736,#119267); #80351=LINE('',#518739,#119268); #80352=LINE('',#518741,#119269); #80353=LINE('',#518742,#119270); #80354=LINE('',#518745,#119271); #80355=LINE('',#518747,#119272); #80356=LINE('',#518748,#119273); #80357=LINE('',#518751,#119274); #80358=LINE('',#518753,#119275); #80359=LINE('',#518754,#119276); #80360=LINE('',#518757,#119277); #80361=LINE('',#518759,#119278); #80362=LINE('',#518760,#119279); #80363=LINE('',#518763,#119280); #80364=LINE('',#518765,#119281); #80365=LINE('',#518766,#119282); #80366=LINE('',#518769,#119283); #80367=LINE('',#518771,#119284); #80368=LINE('',#518772,#119285); #80369=LINE('',#518775,#119286); #80370=LINE('',#518777,#119287); #80371=LINE('',#518778,#119288); #80372=LINE('',#518781,#119289); #80373=LINE('',#518783,#119290); #80374=LINE('',#518784,#119291); #80375=LINE('',#518787,#119292); #80376=LINE('',#518789,#119293); #80377=LINE('',#518790,#119294); #80378=LINE('',#518793,#119295); #80379=LINE('',#518795,#119296); #80380=LINE('',#518796,#119297); #80381=LINE('',#518799,#119298); #80382=LINE('',#518801,#119299); #80383=LINE('',#518802,#119300); #80384=LINE('',#518805,#119301); #80385=LINE('',#518807,#119302); #80386=LINE('',#518808,#119303); #80387=LINE('',#518811,#119304); #80388=LINE('',#518813,#119305); #80389=LINE('',#518814,#119306); #80390=LINE('',#518817,#119307); #80391=LINE('',#518819,#119308); #80392=LINE('',#518820,#119309); #80393=LINE('',#518823,#119310); #80394=LINE('',#518825,#119311); #80395=LINE('',#518826,#119312); #80396=LINE('',#518829,#119313); #80397=LINE('',#518831,#119314); #80398=LINE('',#518832,#119315); #80399=LINE('',#518835,#119316); #80400=LINE('',#518837,#119317); #80401=LINE('',#518838,#119318); #80402=LINE('',#518841,#119319); #80403=LINE('',#518843,#119320); #80404=LINE('',#518844,#119321); #80405=LINE('',#518847,#119322); #80406=LINE('',#518849,#119323); #80407=LINE('',#518850,#119324); #80408=LINE('',#518853,#119325); #80409=LINE('',#518855,#119326); #80410=LINE('',#518856,#119327); #80411=LINE('',#518859,#119328); #80412=LINE('',#518861,#119329); #80413=LINE('',#518862,#119330); #80414=LINE('',#518865,#119331); #80415=LINE('',#518867,#119332); #80416=LINE('',#518868,#119333); #80417=LINE('',#518871,#119334); #80418=LINE('',#518873,#119335); #80419=LINE('',#518874,#119336); #80420=LINE('',#518877,#119337); #80421=LINE('',#518879,#119338); #80422=LINE('',#518880,#119339); #80423=LINE('',#518883,#119340); #80424=LINE('',#518885,#119341); #80425=LINE('',#518886,#119342); #80426=LINE('',#518889,#119343); #80427=LINE('',#518891,#119344); #80428=LINE('',#518892,#119345); #80429=LINE('',#518895,#119346); #80430=LINE('',#518897,#119347); #80431=LINE('',#518898,#119348); #80432=LINE('',#518901,#119349); #80433=LINE('',#518903,#119350); #80434=LINE('',#518904,#119351); #80435=LINE('',#518907,#119352); #80436=LINE('',#518909,#119353); #80437=LINE('',#518910,#119354); #80438=LINE('',#518913,#119355); #80439=LINE('',#518915,#119356); #80440=LINE('',#518916,#119357); #80441=LINE('',#518919,#119358); #80442=LINE('',#518921,#119359); #80443=LINE('',#518922,#119360); #80444=LINE('',#518925,#119361); #80445=LINE('',#518927,#119362); #80446=LINE('',#518928,#119363); #80447=LINE('',#518931,#119364); #80448=LINE('',#518933,#119365); #80449=LINE('',#518934,#119366); #80450=LINE('',#518937,#119367); #80451=LINE('',#518939,#119368); #80452=LINE('',#518940,#119369); #80453=LINE('',#518943,#119370); #80454=LINE('',#518945,#119371); #80455=LINE('',#518946,#119372); #80456=LINE('',#518949,#119373); #80457=LINE('',#518951,#119374); #80458=LINE('',#518952,#119375); #80459=LINE('',#518955,#119376); #80460=LINE('',#518957,#119377); #80461=LINE('',#518958,#119378); #80462=LINE('',#518961,#119379); #80463=LINE('',#518963,#119380); #80464=LINE('',#518964,#119381); #80465=LINE('',#518967,#119382); #80466=LINE('',#518969,#119383); #80467=LINE('',#518970,#119384); #80468=LINE('',#518973,#119385); #80469=LINE('',#518975,#119386); #80470=LINE('',#518976,#119387); #80471=LINE('',#518979,#119388); #80472=LINE('',#518981,#119389); #80473=LINE('',#518982,#119390); #80474=LINE('',#518985,#119391); #80475=LINE('',#518987,#119392); #80476=LINE('',#518988,#119393); #80477=LINE('',#518991,#119394); #80478=LINE('',#518993,#119395); #80479=LINE('',#518994,#119396); #80480=LINE('',#518997,#119397); #80481=LINE('',#518999,#119398); #80482=LINE('',#519000,#119399); #80483=LINE('',#519003,#119400); #80484=LINE('',#519005,#119401); #80485=LINE('',#519006,#119402); #80486=LINE('',#519009,#119403); #80487=LINE('',#519011,#119404); #80488=LINE('',#519012,#119405); #80489=LINE('',#519015,#119406); #80490=LINE('',#519017,#119407); #80491=LINE('',#519018,#119408); #80492=LINE('',#519021,#119409); #80493=LINE('',#519023,#119410); #80494=LINE('',#519024,#119411); #80495=LINE('',#519027,#119412); #80496=LINE('',#519029,#119413); #80497=LINE('',#519030,#119414); #80498=LINE('',#519033,#119415); #80499=LINE('',#519035,#119416); #80500=LINE('',#519036,#119417); #80501=LINE('',#519039,#119418); #80502=LINE('',#519041,#119419); #80503=LINE('',#519042,#119420); #80504=LINE('',#519045,#119421); #80505=LINE('',#519047,#119422); #80506=LINE('',#519048,#119423); #80507=LINE('',#519051,#119424); #80508=LINE('',#519053,#119425); #80509=LINE('',#519054,#119426); #80510=LINE('',#519057,#119427); #80511=LINE('',#519059,#119428); #80512=LINE('',#519060,#119429); #80513=LINE('',#519063,#119430); #80514=LINE('',#519065,#119431); #80515=LINE('',#519066,#119432); #80516=LINE('',#519069,#119433); #80517=LINE('',#519071,#119434); #80518=LINE('',#519072,#119435); #80519=LINE('',#519075,#119436); #80520=LINE('',#519077,#119437); #80521=LINE('',#519078,#119438); #80522=LINE('',#519081,#119439); #80523=LINE('',#519083,#119440); #80524=LINE('',#519084,#119441); #80525=LINE('',#519087,#119442); #80526=LINE('',#519089,#119443); #80527=LINE('',#519090,#119444); #80528=LINE('',#519093,#119445); #80529=LINE('',#519095,#119446); #80530=LINE('',#519096,#119447); #80531=LINE('',#519099,#119448); #80532=LINE('',#519101,#119449); #80533=LINE('',#519102,#119450); #80534=LINE('',#519105,#119451); #80535=LINE('',#519107,#119452); #80536=LINE('',#519108,#119453); #80537=LINE('',#519111,#119454); #80538=LINE('',#519113,#119455); #80539=LINE('',#519114,#119456); #80540=LINE('',#519117,#119457); #80541=LINE('',#519119,#119458); #80542=LINE('',#519120,#119459); #80543=LINE('',#519123,#119460); #80544=LINE('',#519125,#119461); #80545=LINE('',#519126,#119462); #80546=LINE('',#519129,#119463); #80547=LINE('',#519131,#119464); #80548=LINE('',#519132,#119465); #80549=LINE('',#519135,#119466); #80550=LINE('',#519137,#119467); #80551=LINE('',#519138,#119468); #80552=LINE('',#519141,#119469); #80553=LINE('',#519143,#119470); #80554=LINE('',#519144,#119471); #80555=LINE('',#519147,#119472); #80556=LINE('',#519149,#119473); #80557=LINE('',#519150,#119474); #80558=LINE('',#519153,#119475); #80559=LINE('',#519155,#119476); #80560=LINE('',#519156,#119477); #80561=LINE('',#519159,#119478); #80562=LINE('',#519161,#119479); #80563=LINE('',#519162,#119480); #80564=LINE('',#519165,#119481); #80565=LINE('',#519167,#119482); #80566=LINE('',#519168,#119483); #80567=LINE('',#519171,#119484); #80568=LINE('',#519173,#119485); #80569=LINE('',#519174,#119486); #80570=LINE('',#519177,#119487); #80571=LINE('',#519179,#119488); #80572=LINE('',#519180,#119489); #80573=LINE('',#519183,#119490); #80574=LINE('',#519185,#119491); #80575=LINE('',#519186,#119492); #80576=LINE('',#519189,#119493); #80577=LINE('',#519191,#119494); #80578=LINE('',#519192,#119495); #80579=LINE('',#519195,#119496); #80580=LINE('',#519197,#119497); #80581=LINE('',#519198,#119498); #80582=LINE('',#519201,#119499); #80583=LINE('',#519203,#119500); #80584=LINE('',#519204,#119501); #80585=LINE('',#519207,#119502); #80586=LINE('',#519209,#119503); #80587=LINE('',#519210,#119504); #80588=LINE('',#519213,#119505); #80589=LINE('',#519215,#119506); #80590=LINE('',#519216,#119507); #80591=LINE('',#519219,#119508); #80592=LINE('',#519221,#119509); #80593=LINE('',#519222,#119510); #80594=LINE('',#519225,#119511); #80595=LINE('',#519227,#119512); #80596=LINE('',#519228,#119513); #80597=LINE('',#519231,#119514); #80598=LINE('',#519233,#119515); #80599=LINE('',#519234,#119516); #80600=LINE('',#519237,#119517); #80601=LINE('',#519239,#119518); #80602=LINE('',#519240,#119519); #80603=LINE('',#519243,#119520); #80604=LINE('',#519245,#119521); #80605=LINE('',#519246,#119522); #80606=LINE('',#519249,#119523); #80607=LINE('',#519251,#119524); #80608=LINE('',#519252,#119525); #80609=LINE('',#519255,#119526); #80610=LINE('',#519257,#119527); #80611=LINE('',#519258,#119528); #80612=LINE('',#519261,#119529); #80613=LINE('',#519263,#119530); #80614=LINE('',#519264,#119531); #80615=LINE('',#519267,#119532); #80616=LINE('',#519269,#119533); #80617=LINE('',#519270,#119534); #80618=LINE('',#519273,#119535); #80619=LINE('',#519275,#119536); #80620=LINE('',#519276,#119537); #80621=LINE('',#519279,#119538); #80622=LINE('',#519281,#119539); #80623=LINE('',#519282,#119540); #80624=LINE('',#519285,#119541); #80625=LINE('',#519287,#119542); #80626=LINE('',#519288,#119543); #80627=LINE('',#519291,#119544); #80628=LINE('',#519293,#119545); #80629=LINE('',#519294,#119546); #80630=LINE('',#519297,#119547); #80631=LINE('',#519299,#119548); #80632=LINE('',#519300,#119549); #80633=LINE('',#519303,#119550); #80634=LINE('',#519305,#119551); #80635=LINE('',#519306,#119552); #80636=LINE('',#519309,#119553); #80637=LINE('',#519311,#119554); #80638=LINE('',#519312,#119555); #80639=LINE('',#519315,#119556); #80640=LINE('',#519317,#119557); #80641=LINE('',#519318,#119558); #80642=LINE('',#519321,#119559); #80643=LINE('',#519323,#119560); #80644=LINE('',#519324,#119561); #80645=LINE('',#519327,#119562); #80646=LINE('',#519329,#119563); #80647=LINE('',#519330,#119564); #80648=LINE('',#519333,#119565); #80649=LINE('',#519335,#119566); #80650=LINE('',#519336,#119567); #80651=LINE('',#519339,#119568); #80652=LINE('',#519341,#119569); #80653=LINE('',#519342,#119570); #80654=LINE('',#519345,#119571); #80655=LINE('',#519347,#119572); #80656=LINE('',#519348,#119573); #80657=LINE('',#519351,#119574); #80658=LINE('',#519353,#119575); #80659=LINE('',#519354,#119576); #80660=LINE('',#519357,#119577); #80661=LINE('',#519359,#119578); #80662=LINE('',#519360,#119579); #80663=LINE('',#519363,#119580); #80664=LINE('',#519365,#119581); #80665=LINE('',#519366,#119582); #80666=LINE('',#519369,#119583); #80667=LINE('',#519371,#119584); #80668=LINE('',#519372,#119585); #80669=LINE('',#519375,#119586); #80670=LINE('',#519377,#119587); #80671=LINE('',#519378,#119588); #80672=LINE('',#519381,#119589); #80673=LINE('',#519383,#119590); #80674=LINE('',#519384,#119591); #80675=LINE('',#519387,#119592); #80676=LINE('',#519389,#119593); #80677=LINE('',#519390,#119594); #80678=LINE('',#519393,#119595); #80679=LINE('',#519395,#119596); #80680=LINE('',#519396,#119597); #80681=LINE('',#519399,#119598); #80682=LINE('',#519401,#119599); #80683=LINE('',#519402,#119600); #80684=LINE('',#519405,#119601); #80685=LINE('',#519407,#119602); #80686=LINE('',#519408,#119603); #80687=LINE('',#519411,#119604); #80688=LINE('',#519413,#119605); #80689=LINE('',#519414,#119606); #80690=LINE('',#519417,#119607); #80691=LINE('',#519419,#119608); #80692=LINE('',#519420,#119609); #80693=LINE('',#519423,#119610); #80694=LINE('',#519425,#119611); #80695=LINE('',#519426,#119612); #80696=LINE('',#519429,#119613); #80697=LINE('',#519431,#119614); #80698=LINE('',#519432,#119615); #80699=LINE('',#519435,#119616); #80700=LINE('',#519437,#119617); #80701=LINE('',#519438,#119618); #80702=LINE('',#519441,#119619); #80703=LINE('',#519443,#119620); #80704=LINE('',#519444,#119621); #80705=LINE('',#519447,#119622); #80706=LINE('',#519449,#119623); #80707=LINE('',#519450,#119624); #80708=LINE('',#519453,#119625); #80709=LINE('',#519455,#119626); #80710=LINE('',#519456,#119627); #80711=LINE('',#519459,#119628); #80712=LINE('',#519461,#119629); #80713=LINE('',#519462,#119630); #80714=LINE('',#519465,#119631); #80715=LINE('',#519467,#119632); #80716=LINE('',#519468,#119633); #80717=LINE('',#519471,#119634); #80718=LINE('',#519473,#119635); #80719=LINE('',#519474,#119636); #80720=LINE('',#519477,#119637); #80721=LINE('',#519479,#119638); #80722=LINE('',#519480,#119639); #80723=LINE('',#519483,#119640); #80724=LINE('',#519485,#119641); #80725=LINE('',#519486,#119642); #80726=LINE('',#519489,#119643); #80727=LINE('',#519491,#119644); #80728=LINE('',#519492,#119645); #80729=LINE('',#519495,#119646); #80730=LINE('',#519497,#119647); #80731=LINE('',#519498,#119648); #80732=LINE('',#519501,#119649); #80733=LINE('',#519503,#119650); #80734=LINE('',#519504,#119651); #80735=LINE('',#519507,#119652); #80736=LINE('',#519509,#119653); #80737=LINE('',#519510,#119654); #80738=LINE('',#519513,#119655); #80739=LINE('',#519515,#119656); #80740=LINE('',#519516,#119657); #80741=LINE('',#519519,#119658); #80742=LINE('',#519521,#119659); #80743=LINE('',#519522,#119660); #80744=LINE('',#519525,#119661); #80745=LINE('',#519527,#119662); #80746=LINE('',#519528,#119663); #80747=LINE('',#519531,#119664); #80748=LINE('',#519533,#119665); #80749=LINE('',#519534,#119666); #80750=LINE('',#519537,#119667); #80751=LINE('',#519539,#119668); #80752=LINE('',#519540,#119669); #80753=LINE('',#519543,#119670); #80754=LINE('',#519545,#119671); #80755=LINE('',#519546,#119672); #80756=LINE('',#519549,#119673); #80757=LINE('',#519551,#119674); #80758=LINE('',#519552,#119675); #80759=LINE('',#519555,#119676); #80760=LINE('',#519557,#119677); #80761=LINE('',#519558,#119678); #80762=LINE('',#519561,#119679); #80763=LINE('',#519563,#119680); #80764=LINE('',#519564,#119681); #80765=LINE('',#519567,#119682); #80766=LINE('',#519569,#119683); #80767=LINE('',#519570,#119684); #80768=LINE('',#519573,#119685); #80769=LINE('',#519575,#119686); #80770=LINE('',#519576,#119687); #80771=LINE('',#519579,#119688); #80772=LINE('',#519581,#119689); #80773=LINE('',#519582,#119690); #80774=LINE('',#519585,#119691); #80775=LINE('',#519587,#119692); #80776=LINE('',#519588,#119693); #80777=LINE('',#519591,#119694); #80778=LINE('',#519593,#119695); #80779=LINE('',#519594,#119696); #80780=LINE('',#519597,#119697); #80781=LINE('',#519599,#119698); #80782=LINE('',#519600,#119699); #80783=LINE('',#519603,#119700); #80784=LINE('',#519605,#119701); #80785=LINE('',#519606,#119702); #80786=LINE('',#519609,#119703); #80787=LINE('',#519611,#119704); #80788=LINE('',#519612,#119705); #80789=LINE('',#519615,#119706); #80790=LINE('',#519617,#119707); #80791=LINE('',#519618,#119708); #80792=LINE('',#519621,#119709); #80793=LINE('',#519623,#119710); #80794=LINE('',#519624,#119711); #80795=LINE('',#519627,#119712); #80796=LINE('',#519629,#119713); #80797=LINE('',#519630,#119714); #80798=LINE('',#519633,#119715); #80799=LINE('',#519635,#119716); #80800=LINE('',#519636,#119717); #80801=LINE('',#519639,#119718); #80802=LINE('',#519641,#119719); #80803=LINE('',#519642,#119720); #80804=LINE('',#519645,#119721); #80805=LINE('',#519647,#119722); #80806=LINE('',#519648,#119723); #80807=LINE('',#519651,#119724); #80808=LINE('',#519653,#119725); #80809=LINE('',#519654,#119726); #80810=LINE('',#519657,#119727); #80811=LINE('',#519659,#119728); #80812=LINE('',#519660,#119729); #80813=LINE('',#519663,#119730); #80814=LINE('',#519665,#119731); #80815=LINE('',#519666,#119732); #80816=LINE('',#519669,#119733); #80817=LINE('',#519671,#119734); #80818=LINE('',#519672,#119735); #80819=LINE('',#519675,#119736); #80820=LINE('',#519677,#119737); #80821=LINE('',#519678,#119738); #80822=LINE('',#519681,#119739); #80823=LINE('',#519683,#119740); #80824=LINE('',#519684,#119741); #80825=LINE('',#519687,#119742); #80826=LINE('',#519689,#119743); #80827=LINE('',#519690,#119744); #80828=LINE('',#519693,#119745); #80829=LINE('',#519695,#119746); #80830=LINE('',#519696,#119747); #80831=LINE('',#519699,#119748); #80832=LINE('',#519701,#119749); #80833=LINE('',#519702,#119750); #80834=LINE('',#519705,#119751); #80835=LINE('',#519707,#119752); #80836=LINE('',#519708,#119753); #80837=LINE('',#519711,#119754); #80838=LINE('',#519713,#119755); #80839=LINE('',#519714,#119756); #80840=LINE('',#519717,#119757); #80841=LINE('',#519719,#119758); #80842=LINE('',#519720,#119759); #80843=LINE('',#519723,#119760); #80844=LINE('',#519725,#119761); #80845=LINE('',#519726,#119762); #80846=LINE('',#519729,#119763); #80847=LINE('',#519731,#119764); #80848=LINE('',#519732,#119765); #80849=LINE('',#519735,#119766); #80850=LINE('',#519737,#119767); #80851=LINE('',#519738,#119768); #80852=LINE('',#519741,#119769); #80853=LINE('',#519743,#119770); #80854=LINE('',#519744,#119771); #80855=LINE('',#519747,#119772); #80856=LINE('',#519749,#119773); #80857=LINE('',#519750,#119774); #80858=LINE('',#519753,#119775); #80859=LINE('',#519755,#119776); #80860=LINE('',#519756,#119777); #80861=LINE('',#519759,#119778); #80862=LINE('',#519761,#119779); #80863=LINE('',#519762,#119780); #80864=LINE('',#519765,#119781); #80865=LINE('',#519767,#119782); #80866=LINE('',#519768,#119783); #80867=LINE('',#519771,#119784); #80868=LINE('',#519773,#119785); #80869=LINE('',#519774,#119786); #80870=LINE('',#519777,#119787); #80871=LINE('',#519779,#119788); #80872=LINE('',#519780,#119789); #80873=LINE('',#519783,#119790); #80874=LINE('',#519785,#119791); #80875=LINE('',#519786,#119792); #80876=LINE('',#519789,#119793); #80877=LINE('',#519791,#119794); #80878=LINE('',#519792,#119795); #80879=LINE('',#519795,#119796); #80880=LINE('',#519797,#119797); #80881=LINE('',#519798,#119798); #80882=LINE('',#519801,#119799); #80883=LINE('',#519803,#119800); #80884=LINE('',#519804,#119801); #80885=LINE('',#519807,#119802); #80886=LINE('',#519809,#119803); #80887=LINE('',#519810,#119804); #80888=LINE('',#519813,#119805); #80889=LINE('',#519815,#119806); #80890=LINE('',#519816,#119807); #80891=LINE('',#519819,#119808); #80892=LINE('',#519821,#119809); #80893=LINE('',#519822,#119810); #80894=LINE('',#519825,#119811); #80895=LINE('',#519827,#119812); #80896=LINE('',#519828,#119813); #80897=LINE('',#519831,#119814); #80898=LINE('',#519833,#119815); #80899=LINE('',#519834,#119816); #80900=LINE('',#519837,#119817); #80901=LINE('',#519839,#119818); #80902=LINE('',#519840,#119819); #80903=LINE('',#519843,#119820); #80904=LINE('',#519845,#119821); #80905=LINE('',#519846,#119822); #80906=LINE('',#519849,#119823); #80907=LINE('',#519851,#119824); #80908=LINE('',#519852,#119825); #80909=LINE('',#519855,#119826); #80910=LINE('',#519857,#119827); #80911=LINE('',#519858,#119828); #80912=LINE('',#519861,#119829); #80913=LINE('',#519863,#119830); #80914=LINE('',#519864,#119831); #80915=LINE('',#519867,#119832); #80916=LINE('',#519869,#119833); #80917=LINE('',#519870,#119834); #80918=LINE('',#519873,#119835); #80919=LINE('',#519875,#119836); #80920=LINE('',#519876,#119837); #80921=LINE('',#519879,#119838); #80922=LINE('',#519881,#119839); #80923=LINE('',#519882,#119840); #80924=LINE('',#519885,#119841); #80925=LINE('',#519887,#119842); #80926=LINE('',#519888,#119843); #80927=LINE('',#519891,#119844); #80928=LINE('',#519893,#119845); #80929=LINE('',#519894,#119846); #80930=LINE('',#519897,#119847); #80931=LINE('',#519899,#119848); #80932=LINE('',#519900,#119849); #80933=LINE('',#519903,#119850); #80934=LINE('',#519905,#119851); #80935=LINE('',#519906,#119852); #80936=LINE('',#519909,#119853); #80937=LINE('',#519911,#119854); #80938=LINE('',#519912,#119855); #80939=LINE('',#519915,#119856); #80940=LINE('',#519917,#119857); #80941=LINE('',#519918,#119858); #80942=LINE('',#519921,#119859); #80943=LINE('',#519923,#119860); #80944=LINE('',#519924,#119861); #80945=LINE('',#519927,#119862); #80946=LINE('',#519929,#119863); #80947=LINE('',#519930,#119864); #80948=LINE('',#519933,#119865); #80949=LINE('',#519935,#119866); #80950=LINE('',#519936,#119867); #80951=LINE('',#519939,#119868); #80952=LINE('',#519941,#119869); #80953=LINE('',#519942,#119870); #80954=LINE('',#519945,#119871); #80955=LINE('',#519947,#119872); #80956=LINE('',#519948,#119873); #80957=LINE('',#519951,#119874); #80958=LINE('',#519953,#119875); #80959=LINE('',#519954,#119876); #80960=LINE('',#519957,#119877); #80961=LINE('',#519959,#119878); #80962=LINE('',#519960,#119879); #80963=LINE('',#519963,#119880); #80964=LINE('',#519965,#119881); #80965=LINE('',#519966,#119882); #80966=LINE('',#519969,#119883); #80967=LINE('',#519971,#119884); #80968=LINE('',#519972,#119885); #80969=LINE('',#519975,#119886); #80970=LINE('',#519977,#119887); #80971=LINE('',#519978,#119888); #80972=LINE('',#519981,#119889); #80973=LINE('',#519983,#119890); #80974=LINE('',#519984,#119891); #80975=LINE('',#519987,#119892); #80976=LINE('',#519989,#119893); #80977=LINE('',#519990,#119894); #80978=LINE('',#519993,#119895); #80979=LINE('',#519995,#119896); #80980=LINE('',#519996,#119897); #80981=LINE('',#519999,#119898); #80982=LINE('',#520001,#119899); #80983=LINE('',#520002,#119900); #80984=LINE('',#520005,#119901); #80985=LINE('',#520007,#119902); #80986=LINE('',#520008,#119903); #80987=LINE('',#520011,#119904); #80988=LINE('',#520013,#119905); #80989=LINE('',#520014,#119906); #80990=LINE('',#520017,#119907); #80991=LINE('',#520019,#119908); #80992=LINE('',#520020,#119909); #80993=LINE('',#520023,#119910); #80994=LINE('',#520025,#119911); #80995=LINE('',#520026,#119912); #80996=LINE('',#520029,#119913); #80997=LINE('',#520031,#119914); #80998=LINE('',#520032,#119915); #80999=LINE('',#520035,#119916); #81000=LINE('',#520037,#119917); #81001=LINE('',#520038,#119918); #81002=LINE('',#520041,#119919); #81003=LINE('',#520043,#119920); #81004=LINE('',#520044,#119921); #81005=LINE('',#520047,#119922); #81006=LINE('',#520049,#119923); #81007=LINE('',#520050,#119924); #81008=LINE('',#520053,#119925); #81009=LINE('',#520055,#119926); #81010=LINE('',#520056,#119927); #81011=LINE('',#520059,#119928); #81012=LINE('',#520061,#119929); #81013=LINE('',#520062,#119930); #81014=LINE('',#520065,#119931); #81015=LINE('',#520067,#119932); #81016=LINE('',#520068,#119933); #81017=LINE('',#520071,#119934); #81018=LINE('',#520073,#119935); #81019=LINE('',#520074,#119936); #81020=LINE('',#520077,#119937); #81021=LINE('',#520079,#119938); #81022=LINE('',#520080,#119939); #81023=LINE('',#520083,#119940); #81024=LINE('',#520085,#119941); #81025=LINE('',#520086,#119942); #81026=LINE('',#520089,#119943); #81027=LINE('',#520091,#119944); #81028=LINE('',#520092,#119945); #81029=LINE('',#520095,#119946); #81030=LINE('',#520097,#119947); #81031=LINE('',#520098,#119948); #81032=LINE('',#520101,#119949); #81033=LINE('',#520103,#119950); #81034=LINE('',#520104,#119951); #81035=LINE('',#520107,#119952); #81036=LINE('',#520109,#119953); #81037=LINE('',#520110,#119954); #81038=LINE('',#520113,#119955); #81039=LINE('',#520115,#119956); #81040=LINE('',#520116,#119957); #81041=LINE('',#520119,#119958); #81042=LINE('',#520121,#119959); #81043=LINE('',#520122,#119960); #81044=LINE('',#520125,#119961); #81045=LINE('',#520127,#119962); #81046=LINE('',#520128,#119963); #81047=LINE('',#520131,#119964); #81048=LINE('',#520133,#119965); #81049=LINE('',#520134,#119966); #81050=LINE('',#520137,#119967); #81051=LINE('',#520139,#119968); #81052=LINE('',#520140,#119969); #81053=LINE('',#520143,#119970); #81054=LINE('',#520145,#119971); #81055=LINE('',#520146,#119972); #81056=LINE('',#520149,#119973); #81057=LINE('',#520151,#119974); #81058=LINE('',#520152,#119975); #81059=LINE('',#520155,#119976); #81060=LINE('',#520157,#119977); #81061=LINE('',#520158,#119978); #81062=LINE('',#520161,#119979); #81063=LINE('',#520163,#119980); #81064=LINE('',#520164,#119981); #81065=LINE('',#520167,#119982); #81066=LINE('',#520169,#119983); #81067=LINE('',#520170,#119984); #81068=LINE('',#520173,#119985); #81069=LINE('',#520175,#119986); #81070=LINE('',#520176,#119987); #81071=LINE('',#520179,#119988); #81072=LINE('',#520181,#119989); #81073=LINE('',#520182,#119990); #81074=LINE('',#520185,#119991); #81075=LINE('',#520187,#119992); #81076=LINE('',#520188,#119993); #81077=LINE('',#520191,#119994); #81078=LINE('',#520193,#119995); #81079=LINE('',#520194,#119996); #81080=LINE('',#520197,#119997); #81081=LINE('',#520199,#119998); #81082=LINE('',#520200,#119999); #81083=LINE('',#520203,#120000); #81084=LINE('',#520205,#120001); #81085=LINE('',#520206,#120002); #81086=LINE('',#520209,#120003); #81087=LINE('',#520211,#120004); #81088=LINE('',#520212,#120005); #81089=LINE('',#520215,#120006); #81090=LINE('',#520217,#120007); #81091=LINE('',#520218,#120008); #81092=LINE('',#520221,#120009); #81093=LINE('',#520223,#120010); #81094=LINE('',#520224,#120011); #81095=LINE('',#520227,#120012); #81096=LINE('',#520229,#120013); #81097=LINE('',#520230,#120014); #81098=LINE('',#520233,#120015); #81099=LINE('',#520235,#120016); #81100=LINE('',#520236,#120017); #81101=LINE('',#520239,#120018); #81102=LINE('',#520241,#120019); #81103=LINE('',#520242,#120020); #81104=LINE('',#520245,#120021); #81105=LINE('',#520247,#120022); #81106=LINE('',#520248,#120023); #81107=LINE('',#520251,#120024); #81108=LINE('',#520253,#120025); #81109=LINE('',#520254,#120026); #81110=LINE('',#520257,#120027); #81111=LINE('',#520259,#120028); #81112=LINE('',#520260,#120029); #81113=LINE('',#520263,#120030); #81114=LINE('',#520265,#120031); #81115=LINE('',#520266,#120032); #81116=LINE('',#520269,#120033); #81117=LINE('',#520271,#120034); #81118=LINE('',#520272,#120035); #81119=LINE('',#520275,#120036); #81120=LINE('',#520277,#120037); #81121=LINE('',#520278,#120038); #81122=LINE('',#520281,#120039); #81123=LINE('',#520283,#120040); #81124=LINE('',#520284,#120041); #81125=LINE('',#520287,#120042); #81126=LINE('',#520289,#120043); #81127=LINE('',#520290,#120044); #81128=LINE('',#520293,#120045); #81129=LINE('',#520295,#120046); #81130=LINE('',#520296,#120047); #81131=LINE('',#520299,#120048); #81132=LINE('',#520301,#120049); #81133=LINE('',#520302,#120050); #81134=LINE('',#520305,#120051); #81135=LINE('',#520307,#120052); #81136=LINE('',#520308,#120053); #81137=LINE('',#520311,#120054); #81138=LINE('',#520313,#120055); #81139=LINE('',#520314,#120056); #81140=LINE('',#520317,#120057); #81141=LINE('',#520319,#120058); #81142=LINE('',#520320,#120059); #81143=LINE('',#520323,#120060); #81144=LINE('',#520325,#120061); #81145=LINE('',#520326,#120062); #81146=LINE('',#520329,#120063); #81147=LINE('',#520331,#120064); #81148=LINE('',#520332,#120065); #81149=LINE('',#520335,#120066); #81150=LINE('',#520337,#120067); #81151=LINE('',#520338,#120068); #81152=LINE('',#520341,#120069); #81153=LINE('',#520343,#120070); #81154=LINE('',#520344,#120071); #81155=LINE('',#520347,#120072); #81156=LINE('',#520349,#120073); #81157=LINE('',#520350,#120074); #81158=LINE('',#520353,#120075); #81159=LINE('',#520355,#120076); #81160=LINE('',#520356,#120077); #81161=LINE('',#520359,#120078); #81162=LINE('',#520361,#120079); #81163=LINE('',#520362,#120080); #81164=LINE('',#520365,#120081); #81165=LINE('',#520367,#120082); #81166=LINE('',#520368,#120083); #81167=LINE('',#520371,#120084); #81168=LINE('',#520373,#120085); #81169=LINE('',#520374,#120086); #81170=LINE('',#520377,#120087); #81171=LINE('',#520379,#120088); #81172=LINE('',#520380,#120089); #81173=LINE('',#520383,#120090); #81174=LINE('',#520385,#120091); #81175=LINE('',#520386,#120092); #81176=LINE('',#520389,#120093); #81177=LINE('',#520391,#120094); #81178=LINE('',#520392,#120095); #81179=LINE('',#520395,#120096); #81180=LINE('',#520397,#120097); #81181=LINE('',#520398,#120098); #81182=LINE('',#520401,#120099); #81183=LINE('',#520403,#120100); #81184=LINE('',#520404,#120101); #81185=LINE('',#520407,#120102); #81186=LINE('',#520409,#120103); #81187=LINE('',#520410,#120104); #81188=LINE('',#520413,#120105); #81189=LINE('',#520415,#120106); #81190=LINE('',#520416,#120107); #81191=LINE('',#520419,#120108); #81192=LINE('',#520421,#120109); #81193=LINE('',#520422,#120110); #81194=LINE('',#520425,#120111); #81195=LINE('',#520427,#120112); #81196=LINE('',#520428,#120113); #81197=LINE('',#520431,#120114); #81198=LINE('',#520433,#120115); #81199=LINE('',#520434,#120116); #81200=LINE('',#520437,#120117); #81201=LINE('',#520439,#120118); #81202=LINE('',#520440,#120119); #81203=LINE('',#520443,#120120); #81204=LINE('',#520445,#120121); #81205=LINE('',#520446,#120122); #81206=LINE('',#520449,#120123); #81207=LINE('',#520451,#120124); #81208=LINE('',#520452,#120125); #81209=LINE('',#520455,#120126); #81210=LINE('',#520457,#120127); #81211=LINE('',#520458,#120128); #81212=LINE('',#520461,#120129); #81213=LINE('',#520463,#120130); #81214=LINE('',#520464,#120131); #81215=LINE('',#520467,#120132); #81216=LINE('',#520469,#120133); #81217=LINE('',#520470,#120134); #81218=LINE('',#520473,#120135); #81219=LINE('',#520475,#120136); #81220=LINE('',#520476,#120137); #81221=LINE('',#520479,#120138); #81222=LINE('',#520481,#120139); #81223=LINE('',#520482,#120140); #81224=LINE('',#520485,#120141); #81225=LINE('',#520487,#120142); #81226=LINE('',#520488,#120143); #81227=LINE('',#520491,#120144); #81228=LINE('',#520493,#120145); #81229=LINE('',#520494,#120146); #81230=LINE('',#520497,#120147); #81231=LINE('',#520499,#120148); #81232=LINE('',#520500,#120149); #81233=LINE('',#520503,#120150); #81234=LINE('',#520505,#120151); #81235=LINE('',#520506,#120152); #81236=LINE('',#520509,#120153); #81237=LINE('',#520511,#120154); #81238=LINE('',#520512,#120155); #81239=LINE('',#520515,#120156); #81240=LINE('',#520517,#120157); #81241=LINE('',#520518,#120158); #81242=LINE('',#520521,#120159); #81243=LINE('',#520523,#120160); #81244=LINE('',#520524,#120161); #81245=LINE('',#520527,#120162); #81246=LINE('',#520529,#120163); #81247=LINE('',#520530,#120164); #81248=LINE('',#520533,#120165); #81249=LINE('',#520535,#120166); #81250=LINE('',#520536,#120167); #81251=LINE('',#520539,#120168); #81252=LINE('',#520541,#120169); #81253=LINE('',#520542,#120170); #81254=LINE('',#520545,#120171); #81255=LINE('',#520547,#120172); #81256=LINE('',#520548,#120173); #81257=LINE('',#520551,#120174); #81258=LINE('',#520553,#120175); #81259=LINE('',#520554,#120176); #81260=LINE('',#520557,#120177); #81261=LINE('',#520559,#120178); #81262=LINE('',#520560,#120179); #81263=LINE('',#520563,#120180); #81264=LINE('',#520565,#120181); #81265=LINE('',#520566,#120182); #81266=LINE('',#520569,#120183); #81267=LINE('',#520571,#120184); #81268=LINE('',#520572,#120185); #81269=LINE('',#520575,#120186); #81270=LINE('',#520577,#120187); #81271=LINE('',#520578,#120188); #81272=LINE('',#520581,#120189); #81273=LINE('',#520583,#120190); #81274=LINE('',#520584,#120191); #81275=LINE('',#520587,#120192); #81276=LINE('',#520589,#120193); #81277=LINE('',#520590,#120194); #81278=LINE('',#520593,#120195); #81279=LINE('',#520595,#120196); #81280=LINE('',#520596,#120197); #81281=LINE('',#520599,#120198); #81282=LINE('',#520601,#120199); #81283=LINE('',#520602,#120200); #81284=LINE('',#520605,#120201); #81285=LINE('',#520607,#120202); #81286=LINE('',#520608,#120203); #81287=LINE('',#520611,#120204); #81288=LINE('',#520613,#120205); #81289=LINE('',#520614,#120206); #81290=LINE('',#520617,#120207); #81291=LINE('',#520619,#120208); #81292=LINE('',#520620,#120209); #81293=LINE('',#520623,#120210); #81294=LINE('',#520625,#120211); #81295=LINE('',#520626,#120212); #81296=LINE('',#520629,#120213); #81297=LINE('',#520631,#120214); #81298=LINE('',#520632,#120215); #81299=LINE('',#520635,#120216); #81300=LINE('',#520637,#120217); #81301=LINE('',#520638,#120218); #81302=LINE('',#520641,#120219); #81303=LINE('',#520643,#120220); #81304=LINE('',#520644,#120221); #81305=LINE('',#520647,#120222); #81306=LINE('',#520649,#120223); #81307=LINE('',#520650,#120224); #81308=LINE('',#520653,#120225); #81309=LINE('',#520655,#120226); #81310=LINE('',#520656,#120227); #81311=LINE('',#520659,#120228); #81312=LINE('',#520661,#120229); #81313=LINE('',#520662,#120230); #81314=LINE('',#520665,#120231); #81315=LINE('',#520667,#120232); #81316=LINE('',#520668,#120233); #81317=LINE('',#520671,#120234); #81318=LINE('',#520673,#120235); #81319=LINE('',#520674,#120236); #81320=LINE('',#520677,#120237); #81321=LINE('',#520679,#120238); #81322=LINE('',#520680,#120239); #81323=LINE('',#520683,#120240); #81324=LINE('',#520685,#120241); #81325=LINE('',#520686,#120242); #81326=LINE('',#520689,#120243); #81327=LINE('',#520691,#120244); #81328=LINE('',#520692,#120245); #81329=LINE('',#520695,#120246); #81330=LINE('',#520697,#120247); #81331=LINE('',#520698,#120248); #81332=LINE('',#520701,#120249); #81333=LINE('',#520703,#120250); #81334=LINE('',#520704,#120251); #81335=LINE('',#520707,#120252); #81336=LINE('',#520709,#120253); #81337=LINE('',#520710,#120254); #81338=LINE('',#520713,#120255); #81339=LINE('',#520715,#120256); #81340=LINE('',#520716,#120257); #81341=LINE('',#520719,#120258); #81342=LINE('',#520721,#120259); #81343=LINE('',#520722,#120260); #81344=LINE('',#520724,#120261); #81345=LINE('',#520725,#120262); #81346=LINE('',#520729,#120263); #81347=LINE('',#520731,#120264); #81348=LINE('',#520733,#120265); #81349=LINE('',#520734,#120266); #81350=LINE('',#520737,#120267); #81351=LINE('',#520739,#120268); #81352=LINE('',#520740,#120269); #81353=LINE('',#520743,#120270); #81354=LINE('',#520745,#120271); #81355=LINE('',#520746,#120272); #81356=LINE('',#520749,#120273); #81357=LINE('',#520751,#120274); #81358=LINE('',#520752,#120275); #81359=LINE('',#520755,#120276); #81360=LINE('',#520757,#120277); #81361=LINE('',#520758,#120278); #81362=LINE('',#520761,#120279); #81363=LINE('',#520763,#120280); #81364=LINE('',#520764,#120281); #81365=LINE('',#520767,#120282); #81366=LINE('',#520769,#120283); #81367=LINE('',#520770,#120284); #81368=LINE('',#520773,#120285); #81369=LINE('',#520775,#120286); #81370=LINE('',#520776,#120287); #81371=LINE('',#520779,#120288); #81372=LINE('',#520781,#120289); #81373=LINE('',#520782,#120290); #81374=LINE('',#520785,#120291); #81375=LINE('',#520787,#120292); #81376=LINE('',#520788,#120293); #81377=LINE('',#520791,#120294); #81378=LINE('',#520793,#120295); #81379=LINE('',#520794,#120296); #81380=LINE('',#520797,#120297); #81381=LINE('',#520799,#120298); #81382=LINE('',#520800,#120299); #81383=LINE('',#520803,#120300); #81384=LINE('',#520805,#120301); #81385=LINE('',#520806,#120302); #81386=LINE('',#520809,#120303); #81387=LINE('',#520811,#120304); #81388=LINE('',#520812,#120305); #81389=LINE('',#520815,#120306); #81390=LINE('',#520817,#120307); #81391=LINE('',#520818,#120308); #81392=LINE('',#520821,#120309); #81393=LINE('',#520823,#120310); #81394=LINE('',#520824,#120311); #81395=LINE('',#520827,#120312); #81396=LINE('',#520829,#120313); #81397=LINE('',#520830,#120314); #81398=LINE('',#520833,#120315); #81399=LINE('',#520835,#120316); #81400=LINE('',#520836,#120317); #81401=LINE('',#520839,#120318); #81402=LINE('',#520841,#120319); #81403=LINE('',#520842,#120320); #81404=LINE('',#520845,#120321); #81405=LINE('',#520847,#120322); #81406=LINE('',#520848,#120323); #81407=LINE('',#520851,#120324); #81408=LINE('',#520853,#120325); #81409=LINE('',#520854,#120326); #81410=LINE('',#520857,#120327); #81411=LINE('',#520859,#120328); #81412=LINE('',#520860,#120329); #81413=LINE('',#520863,#120330); #81414=LINE('',#520865,#120331); #81415=LINE('',#520866,#120332); #81416=LINE('',#520869,#120333); #81417=LINE('',#520871,#120334); #81418=LINE('',#520872,#120335); #81419=LINE('',#520875,#120336); #81420=LINE('',#520877,#120337); #81421=LINE('',#520878,#120338); #81422=LINE('',#520881,#120339); #81423=LINE('',#520883,#120340); #81424=LINE('',#520884,#120341); #81425=LINE('',#520887,#120342); #81426=LINE('',#520889,#120343); #81427=LINE('',#520890,#120344); #81428=LINE('',#520893,#120345); #81429=LINE('',#520895,#120346); #81430=LINE('',#520896,#120347); #81431=LINE('',#520899,#120348); #81432=LINE('',#520901,#120349); #81433=LINE('',#520902,#120350); #81434=LINE('',#520905,#120351); #81435=LINE('',#520907,#120352); #81436=LINE('',#520908,#120353); #81437=LINE('',#520911,#120354); #81438=LINE('',#520913,#120355); #81439=LINE('',#520914,#120356); #81440=LINE('',#520917,#120357); #81441=LINE('',#520919,#120358); #81442=LINE('',#520920,#120359); #81443=LINE('',#520923,#120360); #81444=LINE('',#520925,#120361); #81445=LINE('',#520926,#120362); #81446=LINE('',#520929,#120363); #81447=LINE('',#520931,#120364); #81448=LINE('',#520932,#120365); #81449=LINE('',#520935,#120366); #81450=LINE('',#520937,#120367); #81451=LINE('',#520938,#120368); #81452=LINE('',#520941,#120369); #81453=LINE('',#520943,#120370); #81454=LINE('',#520944,#120371); #81455=LINE('',#520947,#120372); #81456=LINE('',#520949,#120373); #81457=LINE('',#520950,#120374); #81458=LINE('',#520953,#120375); #81459=LINE('',#520955,#120376); #81460=LINE('',#520956,#120377); #81461=LINE('',#520959,#120378); #81462=LINE('',#520961,#120379); #81463=LINE('',#520962,#120380); #81464=LINE('',#520965,#120381); #81465=LINE('',#520967,#120382); #81466=LINE('',#520968,#120383); #81467=LINE('',#520971,#120384); #81468=LINE('',#520973,#120385); #81469=LINE('',#520974,#120386); #81470=LINE('',#520977,#120387); #81471=LINE('',#520979,#120388); #81472=LINE('',#520980,#120389); #81473=LINE('',#520983,#120390); #81474=LINE('',#520985,#120391); #81475=LINE('',#520986,#120392); #81476=LINE('',#520989,#120393); #81477=LINE('',#520991,#120394); #81478=LINE('',#520992,#120395); #81479=LINE('',#520995,#120396); #81480=LINE('',#520997,#120397); #81481=LINE('',#520998,#120398); #81482=LINE('',#521001,#120399); #81483=LINE('',#521003,#120400); #81484=LINE('',#521004,#120401); #81485=LINE('',#521007,#120402); #81486=LINE('',#521009,#120403); #81487=LINE('',#521010,#120404); #81488=LINE('',#521013,#120405); #81489=LINE('',#521015,#120406); #81490=LINE('',#521016,#120407); #81491=LINE('',#521019,#120408); #81492=LINE('',#521021,#120409); #81493=LINE('',#521022,#120410); #81494=LINE('',#521025,#120411); #81495=LINE('',#521027,#120412); #81496=LINE('',#521028,#120413); #81497=LINE('',#521031,#120414); #81498=LINE('',#521033,#120415); #81499=LINE('',#521034,#120416); #81500=LINE('',#521037,#120417); #81501=LINE('',#521039,#120418); #81502=LINE('',#521040,#120419); #81503=LINE('',#521043,#120420); #81504=LINE('',#521045,#120421); #81505=LINE('',#521046,#120422); #81506=LINE('',#521049,#120423); #81507=LINE('',#521051,#120424); #81508=LINE('',#521052,#120425); #81509=LINE('',#521055,#120426); #81510=LINE('',#521057,#120427); #81511=LINE('',#521058,#120428); #81512=LINE('',#521061,#120429); #81513=LINE('',#521063,#120430); #81514=LINE('',#521064,#120431); #81515=LINE('',#521067,#120432); #81516=LINE('',#521069,#120433); #81517=LINE('',#521070,#120434); #81518=LINE('',#521073,#120435); #81519=LINE('',#521075,#120436); #81520=LINE('',#521076,#120437); #81521=LINE('',#521079,#120438); #81522=LINE('',#521081,#120439); #81523=LINE('',#521082,#120440); #81524=LINE('',#521085,#120441); #81525=LINE('',#521087,#120442); #81526=LINE('',#521088,#120443); #81527=LINE('',#521091,#120444); #81528=LINE('',#521093,#120445); #81529=LINE('',#521094,#120446); #81530=LINE('',#521097,#120447); #81531=LINE('',#521099,#120448); #81532=LINE('',#521100,#120449); #81533=LINE('',#521103,#120450); #81534=LINE('',#521105,#120451); #81535=LINE('',#521106,#120452); #81536=LINE('',#521109,#120453); #81537=LINE('',#521111,#120454); #81538=LINE('',#521112,#120455); #81539=LINE('',#521115,#120456); #81540=LINE('',#521117,#120457); #81541=LINE('',#521118,#120458); #81542=LINE('',#521121,#120459); #81543=LINE('',#521123,#120460); #81544=LINE('',#521124,#120461); #81545=LINE('',#521127,#120462); #81546=LINE('',#521129,#120463); #81547=LINE('',#521130,#120464); #81548=LINE('',#521133,#120465); #81549=LINE('',#521135,#120466); #81550=LINE('',#521136,#120467); #81551=LINE('',#521139,#120468); #81552=LINE('',#521141,#120469); #81553=LINE('',#521142,#120470); #81554=LINE('',#521145,#120471); #81555=LINE('',#521147,#120472); #81556=LINE('',#521148,#120473); #81557=LINE('',#521151,#120474); #81558=LINE('',#521153,#120475); #81559=LINE('',#521154,#120476); #81560=LINE('',#521157,#120477); #81561=LINE('',#521159,#120478); #81562=LINE('',#521160,#120479); #81563=LINE('',#521163,#120480); #81564=LINE('',#521165,#120481); #81565=LINE('',#521166,#120482); #81566=LINE('',#521169,#120483); #81567=LINE('',#521171,#120484); #81568=LINE('',#521172,#120485); #81569=LINE('',#521175,#120486); #81570=LINE('',#521177,#120487); #81571=LINE('',#521178,#120488); #81572=LINE('',#521181,#120489); #81573=LINE('',#521183,#120490); #81574=LINE('',#521184,#120491); #81575=LINE('',#521187,#120492); #81576=LINE('',#521189,#120493); #81577=LINE('',#521190,#120494); #81578=LINE('',#521193,#120495); #81579=LINE('',#521195,#120496); #81580=LINE('',#521196,#120497); #81581=LINE('',#521199,#120498); #81582=LINE('',#521201,#120499); #81583=LINE('',#521202,#120500); #81584=LINE('',#521205,#120501); #81585=LINE('',#521207,#120502); #81586=LINE('',#521208,#120503); #81587=LINE('',#521211,#120504); #81588=LINE('',#521213,#120505); #81589=LINE('',#521214,#120506); #81590=LINE('',#521217,#120507); #81591=LINE('',#521219,#120508); #81592=LINE('',#521220,#120509); #81593=LINE('',#521223,#120510); #81594=LINE('',#521225,#120511); #81595=LINE('',#521226,#120512); #81596=LINE('',#521229,#120513); #81597=LINE('',#521231,#120514); #81598=LINE('',#521232,#120515); #81599=LINE('',#521235,#120516); #81600=LINE('',#521237,#120517); #81601=LINE('',#521238,#120518); #81602=LINE('',#521241,#120519); #81603=LINE('',#521243,#120520); #81604=LINE('',#521244,#120521); #81605=LINE('',#521247,#120522); #81606=LINE('',#521249,#120523); #81607=LINE('',#521250,#120524); #81608=LINE('',#521253,#120525); #81609=LINE('',#521255,#120526); #81610=LINE('',#521256,#120527); #81611=LINE('',#521259,#120528); #81612=LINE('',#521261,#120529); #81613=LINE('',#521262,#120530); #81614=LINE('',#521265,#120531); #81615=LINE('',#521267,#120532); #81616=LINE('',#521268,#120533); #81617=LINE('',#521271,#120534); #81618=LINE('',#521273,#120535); #81619=LINE('',#521274,#120536); #81620=LINE('',#521277,#120537); #81621=LINE('',#521279,#120538); #81622=LINE('',#521280,#120539); #81623=LINE('',#521283,#120540); #81624=LINE('',#521285,#120541); #81625=LINE('',#521286,#120542); #81626=LINE('',#521289,#120543); #81627=LINE('',#521291,#120544); #81628=LINE('',#521292,#120545); #81629=LINE('',#521295,#120546); #81630=LINE('',#521297,#120547); #81631=LINE('',#521298,#120548); #81632=LINE('',#521301,#120549); #81633=LINE('',#521303,#120550); #81634=LINE('',#521304,#120551); #81635=LINE('',#521307,#120552); #81636=LINE('',#521309,#120553); #81637=LINE('',#521310,#120554); #81638=LINE('',#521313,#120555); #81639=LINE('',#521315,#120556); #81640=LINE('',#521316,#120557); #81641=LINE('',#521319,#120558); #81642=LINE('',#521321,#120559); #81643=LINE('',#521322,#120560); #81644=LINE('',#521325,#120561); #81645=LINE('',#521327,#120562); #81646=LINE('',#521328,#120563); #81647=LINE('',#521331,#120564); #81648=LINE('',#521333,#120565); #81649=LINE('',#521334,#120566); #81650=LINE('',#521337,#120567); #81651=LINE('',#521339,#120568); #81652=LINE('',#521340,#120569); #81653=LINE('',#521343,#120570); #81654=LINE('',#521345,#120571); #81655=LINE('',#521346,#120572); #81656=LINE('',#521349,#120573); #81657=LINE('',#521351,#120574); #81658=LINE('',#521352,#120575); #81659=LINE('',#521355,#120576); #81660=LINE('',#521357,#120577); #81661=LINE('',#521358,#120578); #81662=LINE('',#521361,#120579); #81663=LINE('',#521363,#120580); #81664=LINE('',#521364,#120581); #81665=LINE('',#521367,#120582); #81666=LINE('',#521369,#120583); #81667=LINE('',#521370,#120584); #81668=LINE('',#521373,#120585); #81669=LINE('',#521375,#120586); #81670=LINE('',#521376,#120587); #81671=LINE('',#521379,#120588); #81672=LINE('',#521381,#120589); #81673=LINE('',#521382,#120590); #81674=LINE('',#521385,#120591); #81675=LINE('',#521387,#120592); #81676=LINE('',#521388,#120593); #81677=LINE('',#521391,#120594); #81678=LINE('',#521393,#120595); #81679=LINE('',#521394,#120596); #81680=LINE('',#521397,#120597); #81681=LINE('',#521399,#120598); #81682=LINE('',#521400,#120599); #81683=LINE('',#521403,#120600); #81684=LINE('',#521405,#120601); #81685=LINE('',#521406,#120602); #81686=LINE('',#521409,#120603); #81687=LINE('',#521411,#120604); #81688=LINE('',#521412,#120605); #81689=LINE('',#521415,#120606); #81690=LINE('',#521417,#120607); #81691=LINE('',#521418,#120608); #81692=LINE('',#521421,#120609); #81693=LINE('',#521423,#120610); #81694=LINE('',#521424,#120611); #81695=LINE('',#521427,#120612); #81696=LINE('',#521429,#120613); #81697=LINE('',#521430,#120614); #81698=LINE('',#521433,#120615); #81699=LINE('',#521435,#120616); #81700=LINE('',#521436,#120617); #81701=LINE('',#521438,#120618); #81702=LINE('',#521439,#120619); #81703=LINE('',#521443,#120620); #81704=LINE('',#521445,#120621); #81705=LINE('',#521447,#120622); #81706=LINE('',#521448,#120623); #81707=LINE('',#521451,#120624); #81708=LINE('',#521453,#120625); #81709=LINE('',#521454,#120626); #81710=LINE('',#521457,#120627); #81711=LINE('',#521459,#120628); #81712=LINE('',#521460,#120629); #81713=LINE('',#521463,#120630); #81714=LINE('',#521465,#120631); #81715=LINE('',#521466,#120632); #81716=LINE('',#521469,#120633); #81717=LINE('',#521471,#120634); #81718=LINE('',#521472,#120635); #81719=LINE('',#521475,#120636); #81720=LINE('',#521477,#120637); #81721=LINE('',#521478,#120638); #81722=LINE('',#521481,#120639); #81723=LINE('',#521483,#120640); #81724=LINE('',#521484,#120641); #81725=LINE('',#521486,#120642); #81726=LINE('',#521487,#120643); #81727=LINE('',#521491,#120644); #81728=LINE('',#521493,#120645); #81729=LINE('',#521495,#120646); #81730=LINE('',#521496,#120647); #81731=LINE('',#521499,#120648); #81732=LINE('',#521501,#120649); #81733=LINE('',#521502,#120650); #81734=LINE('',#521505,#120651); #81735=LINE('',#521507,#120652); #81736=LINE('',#521508,#120653); #81737=LINE('',#521511,#120654); #81738=LINE('',#521513,#120655); #81739=LINE('',#521514,#120656); #81740=LINE('',#521517,#120657); #81741=LINE('',#521519,#120658); #81742=LINE('',#521520,#120659); #81743=LINE('',#521523,#120660); #81744=LINE('',#521525,#120661); #81745=LINE('',#521526,#120662); #81746=LINE('',#521529,#120663); #81747=LINE('',#521531,#120664); #81748=LINE('',#521532,#120665); #81749=LINE('',#521535,#120666); #81750=LINE('',#521537,#120667); #81751=LINE('',#521538,#120668); #81752=LINE('',#521541,#120669); #81753=LINE('',#521543,#120670); #81754=LINE('',#521544,#120671); #81755=LINE('',#521547,#120672); #81756=LINE('',#521549,#120673); #81757=LINE('',#521550,#120674); #81758=LINE('',#521553,#120675); #81759=LINE('',#521555,#120676); #81760=LINE('',#521556,#120677); #81761=LINE('',#521559,#120678); #81762=LINE('',#521561,#120679); #81763=LINE('',#521562,#120680); #81764=LINE('',#521565,#120681); #81765=LINE('',#521567,#120682); #81766=LINE('',#521568,#120683); #81767=LINE('',#521571,#120684); #81768=LINE('',#521573,#120685); #81769=LINE('',#521574,#120686); #81770=LINE('',#521577,#120687); #81771=LINE('',#521579,#120688); #81772=LINE('',#521580,#120689); #81773=LINE('',#521583,#120690); #81774=LINE('',#521585,#120691); #81775=LINE('',#521586,#120692); #81776=LINE('',#521589,#120693); #81777=LINE('',#521591,#120694); #81778=LINE('',#521592,#120695); #81779=LINE('',#521595,#120696); #81780=LINE('',#521597,#120697); #81781=LINE('',#521598,#120698); #81782=LINE('',#521601,#120699); #81783=LINE('',#521603,#120700); #81784=LINE('',#521604,#120701); #81785=LINE('',#521607,#120702); #81786=LINE('',#521609,#120703); #81787=LINE('',#521610,#120704); #81788=LINE('',#521613,#120705); #81789=LINE('',#521615,#120706); #81790=LINE('',#521616,#120707); #81791=LINE('',#521619,#120708); #81792=LINE('',#521621,#120709); #81793=LINE('',#521622,#120710); #81794=LINE('',#521625,#120711); #81795=LINE('',#521627,#120712); #81796=LINE('',#521628,#120713); #81797=LINE('',#521631,#120714); #81798=LINE('',#521633,#120715); #81799=LINE('',#521634,#120716); #81800=LINE('',#521637,#120717); #81801=LINE('',#521639,#120718); #81802=LINE('',#521640,#120719); #81803=LINE('',#521643,#120720); #81804=LINE('',#521645,#120721); #81805=LINE('',#521646,#120722); #81806=LINE('',#521649,#120723); #81807=LINE('',#521651,#120724); #81808=LINE('',#521652,#120725); #81809=LINE('',#521655,#120726); #81810=LINE('',#521657,#120727); #81811=LINE('',#521658,#120728); #81812=LINE('',#521661,#120729); #81813=LINE('',#521663,#120730); #81814=LINE('',#521664,#120731); #81815=LINE('',#521667,#120732); #81816=LINE('',#521669,#120733); #81817=LINE('',#521670,#120734); #81818=LINE('',#521673,#120735); #81819=LINE('',#521675,#120736); #81820=LINE('',#521676,#120737); #81821=LINE('',#521679,#120738); #81822=LINE('',#521681,#120739); #81823=LINE('',#521682,#120740); #81824=LINE('',#521685,#120741); #81825=LINE('',#521687,#120742); #81826=LINE('',#521688,#120743); #81827=LINE('',#521691,#120744); #81828=LINE('',#521693,#120745); #81829=LINE('',#521694,#120746); #81830=LINE('',#521697,#120747); #81831=LINE('',#521699,#120748); #81832=LINE('',#521700,#120749); #81833=LINE('',#521703,#120750); #81834=LINE('',#521705,#120751); #81835=LINE('',#521706,#120752); #81836=LINE('',#521709,#120753); #81837=LINE('',#521711,#120754); #81838=LINE('',#521712,#120755); #81839=LINE('',#521715,#120756); #81840=LINE('',#521717,#120757); #81841=LINE('',#521718,#120758); #81842=LINE('',#521721,#120759); #81843=LINE('',#521723,#120760); #81844=LINE('',#521724,#120761); #81845=LINE('',#521727,#120762); #81846=LINE('',#521729,#120763); #81847=LINE('',#521730,#120764); #81848=LINE('',#521733,#120765); #81849=LINE('',#521735,#120766); #81850=LINE('',#521736,#120767); #81851=LINE('',#521739,#120768); #81852=LINE('',#521741,#120769); #81853=LINE('',#521742,#120770); #81854=LINE('',#521745,#120771); #81855=LINE('',#521747,#120772); #81856=LINE('',#521748,#120773); #81857=LINE('',#521751,#120774); #81858=LINE('',#521753,#120775); #81859=LINE('',#521754,#120776); #81860=LINE('',#521757,#120777); #81861=LINE('',#521759,#120778); #81862=LINE('',#521760,#120779); #81863=LINE('',#521763,#120780); #81864=LINE('',#521765,#120781); #81865=LINE('',#521766,#120782); #81866=LINE('',#521769,#120783); #81867=LINE('',#521771,#120784); #81868=LINE('',#521772,#120785); #81869=LINE('',#521775,#120786); #81870=LINE('',#521777,#120787); #81871=LINE('',#521778,#120788); #81872=LINE('',#521781,#120789); #81873=LINE('',#521783,#120790); #81874=LINE('',#521784,#120791); #81875=LINE('',#521787,#120792); #81876=LINE('',#521789,#120793); #81877=LINE('',#521790,#120794); #81878=LINE('',#521793,#120795); #81879=LINE('',#521795,#120796); #81880=LINE('',#521796,#120797); #81881=LINE('',#521799,#120798); #81882=LINE('',#521801,#120799); #81883=LINE('',#521802,#120800); #81884=LINE('',#521805,#120801); #81885=LINE('',#521807,#120802); #81886=LINE('',#521808,#120803); #81887=LINE('',#521811,#120804); #81888=LINE('',#521813,#120805); #81889=LINE('',#521814,#120806); #81890=LINE('',#521817,#120807); #81891=LINE('',#521819,#120808); #81892=LINE('',#521820,#120809); #81893=LINE('',#521823,#120810); #81894=LINE('',#521825,#120811); #81895=LINE('',#521826,#120812); #81896=LINE('',#521829,#120813); #81897=LINE('',#521831,#120814); #81898=LINE('',#521832,#120815); #81899=LINE('',#521835,#120816); #81900=LINE('',#521837,#120817); #81901=LINE('',#521838,#120818); #81902=LINE('',#521841,#120819); #81903=LINE('',#521843,#120820); #81904=LINE('',#521844,#120821); #81905=LINE('',#521847,#120822); #81906=LINE('',#521849,#120823); #81907=LINE('',#521850,#120824); #81908=LINE('',#521853,#120825); #81909=LINE('',#521855,#120826); #81910=LINE('',#521856,#120827); #81911=LINE('',#521859,#120828); #81912=LINE('',#521861,#120829); #81913=LINE('',#521862,#120830); #81914=LINE('',#521865,#120831); #81915=LINE('',#521867,#120832); #81916=LINE('',#521868,#120833); #81917=LINE('',#521871,#120834); #81918=LINE('',#521873,#120835); #81919=LINE('',#521874,#120836); #81920=LINE('',#521877,#120837); #81921=LINE('',#521879,#120838); #81922=LINE('',#521880,#120839); #81923=LINE('',#521883,#120840); #81924=LINE('',#521885,#120841); #81925=LINE('',#521886,#120842); #81926=LINE('',#521889,#120843); #81927=LINE('',#521891,#120844); #81928=LINE('',#521892,#120845); #81929=LINE('',#521895,#120846); #81930=LINE('',#521897,#120847); #81931=LINE('',#521898,#120848); #81932=LINE('',#521901,#120849); #81933=LINE('',#521903,#120850); #81934=LINE('',#521904,#120851); #81935=LINE('',#521907,#120852); #81936=LINE('',#521909,#120853); #81937=LINE('',#521910,#120854); #81938=LINE('',#521913,#120855); #81939=LINE('',#521915,#120856); #81940=LINE('',#521916,#120857); #81941=LINE('',#521919,#120858); #81942=LINE('',#521921,#120859); #81943=LINE('',#521922,#120860); #81944=LINE('',#521925,#120861); #81945=LINE('',#521927,#120862); #81946=LINE('',#521928,#120863); #81947=LINE('',#521931,#120864); #81948=LINE('',#521933,#120865); #81949=LINE('',#521934,#120866); #81950=LINE('',#521937,#120867); #81951=LINE('',#521939,#120868); #81952=LINE('',#521940,#120869); #81953=LINE('',#521943,#120870); #81954=LINE('',#521945,#120871); #81955=LINE('',#521946,#120872); #81956=LINE('',#521949,#120873); #81957=LINE('',#521951,#120874); #81958=LINE('',#521952,#120875); #81959=LINE('',#521955,#120876); #81960=LINE('',#521957,#120877); #81961=LINE('',#521958,#120878); #81962=LINE('',#521961,#120879); #81963=LINE('',#521963,#120880); #81964=LINE('',#521964,#120881); #81965=LINE('',#521967,#120882); #81966=LINE('',#521969,#120883); #81967=LINE('',#521970,#120884); #81968=LINE('',#521973,#120885); #81969=LINE('',#521975,#120886); #81970=LINE('',#521976,#120887); #81971=LINE('',#521979,#120888); #81972=LINE('',#521981,#120889); #81973=LINE('',#521982,#120890); #81974=LINE('',#521985,#120891); #81975=LINE('',#521987,#120892); #81976=LINE('',#521988,#120893); #81977=LINE('',#521991,#120894); #81978=LINE('',#521993,#120895); #81979=LINE('',#521994,#120896); #81980=LINE('',#521997,#120897); #81981=LINE('',#521999,#120898); #81982=LINE('',#522000,#120899); #81983=LINE('',#522003,#120900); #81984=LINE('',#522005,#120901); #81985=LINE('',#522006,#120902); #81986=LINE('',#522009,#120903); #81987=LINE('',#522011,#120904); #81988=LINE('',#522012,#120905); #81989=LINE('',#522015,#120906); #81990=LINE('',#522017,#120907); #81991=LINE('',#522018,#120908); #81992=LINE('',#522021,#120909); #81993=LINE('',#522023,#120910); #81994=LINE('',#522024,#120911); #81995=LINE('',#522027,#120912); #81996=LINE('',#522029,#120913); #81997=LINE('',#522030,#120914); #81998=LINE('',#522033,#120915); #81999=LINE('',#522035,#120916); #82000=LINE('',#522036,#120917); #82001=LINE('',#522039,#120918); #82002=LINE('',#522041,#120919); #82003=LINE('',#522042,#120920); #82004=LINE('',#522045,#120921); #82005=LINE('',#522047,#120922); #82006=LINE('',#522048,#120923); #82007=LINE('',#522051,#120924); #82008=LINE('',#522053,#120925); #82009=LINE('',#522054,#120926); #82010=LINE('',#522057,#120927); #82011=LINE('',#522059,#120928); #82012=LINE('',#522060,#120929); #82013=LINE('',#522063,#120930); #82014=LINE('',#522065,#120931); #82015=LINE('',#522066,#120932); #82016=LINE('',#522069,#120933); #82017=LINE('',#522071,#120934); #82018=LINE('',#522072,#120935); #82019=LINE('',#522075,#120936); #82020=LINE('',#522077,#120937); #82021=LINE('',#522078,#120938); #82022=LINE('',#522081,#120939); #82023=LINE('',#522083,#120940); #82024=LINE('',#522084,#120941); #82025=LINE('',#522087,#120942); #82026=LINE('',#522089,#120943); #82027=LINE('',#522090,#120944); #82028=LINE('',#522093,#120945); #82029=LINE('',#522095,#120946); #82030=LINE('',#522096,#120947); #82031=LINE('',#522099,#120948); #82032=LINE('',#522101,#120949); #82033=LINE('',#522102,#120950); #82034=LINE('',#522105,#120951); #82035=LINE('',#522107,#120952); #82036=LINE('',#522108,#120953); #82037=LINE('',#522111,#120954); #82038=LINE('',#522113,#120955); #82039=LINE('',#522114,#120956); #82040=LINE('',#522117,#120957); #82041=LINE('',#522119,#120958); #82042=LINE('',#522120,#120959); #82043=LINE('',#522123,#120960); #82044=LINE('',#522125,#120961); #82045=LINE('',#522126,#120962); #82046=LINE('',#522129,#120963); #82047=LINE('',#522131,#120964); #82048=LINE('',#522132,#120965); #82049=LINE('',#522135,#120966); #82050=LINE('',#522137,#120967); #82051=LINE('',#522138,#120968); #82052=LINE('',#522141,#120969); #82053=LINE('',#522143,#120970); #82054=LINE('',#522144,#120971); #82055=LINE('',#522147,#120972); #82056=LINE('',#522149,#120973); #82057=LINE('',#522150,#120974); #82058=LINE('',#522153,#120975); #82059=LINE('',#522155,#120976); #82060=LINE('',#522156,#120977); #82061=LINE('',#522159,#120978); #82062=LINE('',#522161,#120979); #82063=LINE('',#522162,#120980); #82064=LINE('',#522165,#120981); #82065=LINE('',#522167,#120982); #82066=LINE('',#522168,#120983); #82067=LINE('',#522171,#120984); #82068=LINE('',#522173,#120985); #82069=LINE('',#522174,#120986); #82070=LINE('',#522177,#120987); #82071=LINE('',#522179,#120988); #82072=LINE('',#522180,#120989); #82073=LINE('',#522183,#120990); #82074=LINE('',#522185,#120991); #82075=LINE('',#522186,#120992); #82076=LINE('',#522189,#120993); #82077=LINE('',#522191,#120994); #82078=LINE('',#522192,#120995); #82079=LINE('',#522195,#120996); #82080=LINE('',#522197,#120997); #82081=LINE('',#522198,#120998); #82082=LINE('',#522201,#120999); #82083=LINE('',#522203,#121000); #82084=LINE('',#522204,#121001); #82085=LINE('',#522206,#121002); #82086=LINE('',#522207,#121003); #82087=LINE('',#522213,#121004); #82088=LINE('',#522215,#121005); #82089=LINE('',#522217,#121006); #82090=LINE('',#522218,#121007); #82091=LINE('',#522221,#121008); #82092=LINE('',#522223,#121009); #82093=LINE('',#522224,#121010); #82094=LINE('',#522227,#121011); #82095=LINE('',#522229,#121012); #82096=LINE('',#522230,#121013); #82097=LINE('',#522233,#121014); #82098=LINE('',#522235,#121015); #82099=LINE('',#522236,#121016); #82100=LINE('',#522239,#121017); #82101=LINE('',#522241,#121018); #82102=LINE('',#522242,#121019); #82103=LINE('',#522245,#121020); #82104=LINE('',#522247,#121021); #82105=LINE('',#522248,#121022); #82106=LINE('',#522251,#121023); #82107=LINE('',#522253,#121024); #82108=LINE('',#522254,#121025); #82109=LINE('',#522257,#121026); #82110=LINE('',#522259,#121027); #82111=LINE('',#522260,#121028); #82112=LINE('',#522263,#121029); #82113=LINE('',#522265,#121030); #82114=LINE('',#522266,#121031); #82115=LINE('',#522269,#121032); #82116=LINE('',#522271,#121033); #82117=LINE('',#522272,#121034); #82118=LINE('',#522275,#121035); #82119=LINE('',#522277,#121036); #82120=LINE('',#522278,#121037); #82121=LINE('',#522281,#121038); #82122=LINE('',#522283,#121039); #82123=LINE('',#522284,#121040); #82124=LINE('',#522287,#121041); #82125=LINE('',#522289,#121042); #82126=LINE('',#522290,#121043); #82127=LINE('',#522293,#121044); #82128=LINE('',#522295,#121045); #82129=LINE('',#522296,#121046); #82130=LINE('',#522299,#121047); #82131=LINE('',#522301,#121048); #82132=LINE('',#522302,#121049); #82133=LINE('',#522305,#121050); #82134=LINE('',#522307,#121051); #82135=LINE('',#522308,#121052); #82136=LINE('',#522311,#121053); #82137=LINE('',#522313,#121054); #82138=LINE('',#522314,#121055); #82139=LINE('',#522317,#121056); #82140=LINE('',#522319,#121057); #82141=LINE('',#522320,#121058); #82142=LINE('',#522323,#121059); #82143=LINE('',#522325,#121060); #82144=LINE('',#522326,#121061); #82145=LINE('',#522329,#121062); #82146=LINE('',#522331,#121063); #82147=LINE('',#522332,#121064); #82148=LINE('',#522334,#121065); #82149=LINE('',#522335,#121066); #82150=LINE('',#522341,#121067); #82151=LINE('',#522343,#121068); #82152=LINE('',#522345,#121069); #82153=LINE('',#522346,#121070); #82154=LINE('',#522349,#121071); #82155=LINE('',#522351,#121072); #82156=LINE('',#522352,#121073); #82157=LINE('',#522355,#121074); #82158=LINE('',#522357,#121075); #82159=LINE('',#522358,#121076); #82160=LINE('',#522361,#121077); #82161=LINE('',#522363,#121078); #82162=LINE('',#522364,#121079); #82163=LINE('',#522367,#121080); #82164=LINE('',#522369,#121081); #82165=LINE('',#522370,#121082); #82166=LINE('',#522373,#121083); #82167=LINE('',#522375,#121084); #82168=LINE('',#522376,#121085); #82169=LINE('',#522379,#121086); #82170=LINE('',#522381,#121087); #82171=LINE('',#522382,#121088); #82172=LINE('',#522385,#121089); #82173=LINE('',#522387,#121090); #82174=LINE('',#522388,#121091); #82175=LINE('',#522391,#121092); #82176=LINE('',#522393,#121093); #82177=LINE('',#522394,#121094); #82178=LINE('',#522397,#121095); #82179=LINE('',#522399,#121096); #82180=LINE('',#522400,#121097); #82181=LINE('',#522403,#121098); #82182=LINE('',#522405,#121099); #82183=LINE('',#522406,#121100); #82184=LINE('',#522409,#121101); #82185=LINE('',#522411,#121102); #82186=LINE('',#522412,#121103); #82187=LINE('',#522415,#121104); #82188=LINE('',#522417,#121105); #82189=LINE('',#522418,#121106); #82190=LINE('',#522421,#121107); #82191=LINE('',#522423,#121108); #82192=LINE('',#522424,#121109); #82193=LINE('',#522427,#121110); #82194=LINE('',#522429,#121111); #82195=LINE('',#522430,#121112); #82196=LINE('',#522433,#121113); #82197=LINE('',#522435,#121114); #82198=LINE('',#522436,#121115); #82199=LINE('',#522439,#121116); #82200=LINE('',#522441,#121117); #82201=LINE('',#522442,#121118); #82202=LINE('',#522445,#121119); #82203=LINE('',#522447,#121120); #82204=LINE('',#522448,#121121); #82205=LINE('',#522451,#121122); #82206=LINE('',#522453,#121123); #82207=LINE('',#522454,#121124); #82208=LINE('',#522457,#121125); #82209=LINE('',#522459,#121126); #82210=LINE('',#522460,#121127); #82211=LINE('',#522463,#121128); #82212=LINE('',#522465,#121129); #82213=LINE('',#522466,#121130); #82214=LINE('',#522469,#121131); #82215=LINE('',#522471,#121132); #82216=LINE('',#522472,#121133); #82217=LINE('',#522475,#121134); #82218=LINE('',#522477,#121135); #82219=LINE('',#522478,#121136); #82220=LINE('',#522481,#121137); #82221=LINE('',#522483,#121138); #82222=LINE('',#522484,#121139); #82223=LINE('',#522487,#121140); #82224=LINE('',#522489,#121141); #82225=LINE('',#522490,#121142); #82226=LINE('',#522492,#121143); #82227=LINE('',#522493,#121144); #82228=LINE('',#522499,#121145); #82229=LINE('',#522501,#121146); #82230=LINE('',#522503,#121147); #82231=LINE('',#522504,#121148); #82232=LINE('',#522507,#121149); #82233=LINE('',#522509,#121150); #82234=LINE('',#522510,#121151); #82235=LINE('',#522513,#121152); #82236=LINE('',#522515,#121153); #82237=LINE('',#522516,#121154); #82238=LINE('',#522519,#121155); #82239=LINE('',#522521,#121156); #82240=LINE('',#522522,#121157); #82241=LINE('',#522525,#121158); #82242=LINE('',#522527,#121159); #82243=LINE('',#522528,#121160); #82244=LINE('',#522531,#121161); #82245=LINE('',#522533,#121162); #82246=LINE('',#522534,#121163); #82247=LINE('',#522537,#121164); #82248=LINE('',#522539,#121165); #82249=LINE('',#522540,#121166); #82250=LINE('',#522543,#121167); #82251=LINE('',#522545,#121168); #82252=LINE('',#522546,#121169); #82253=LINE('',#522549,#121170); #82254=LINE('',#522551,#121171); #82255=LINE('',#522552,#121172); #82256=LINE('',#522555,#121173); #82257=LINE('',#522557,#121174); #82258=LINE('',#522558,#121175); #82259=LINE('',#522561,#121176); #82260=LINE('',#522563,#121177); #82261=LINE('',#522564,#121178); #82262=LINE('',#522567,#121179); #82263=LINE('',#522569,#121180); #82264=LINE('',#522570,#121181); #82265=LINE('',#522573,#121182); #82266=LINE('',#522575,#121183); #82267=LINE('',#522576,#121184); #82268=LINE('',#522579,#121185); #82269=LINE('',#522581,#121186); #82270=LINE('',#522582,#121187); #82271=LINE('',#522585,#121188); #82272=LINE('',#522587,#121189); #82273=LINE('',#522588,#121190); #82274=LINE('',#522591,#121191); #82275=LINE('',#522593,#121192); #82276=LINE('',#522594,#121193); #82277=LINE('',#522597,#121194); #82278=LINE('',#522599,#121195); #82279=LINE('',#522600,#121196); #82280=LINE('',#522603,#121197); #82281=LINE('',#522605,#121198); #82282=LINE('',#522606,#121199); #82283=LINE('',#522609,#121200); #82284=LINE('',#522611,#121201); #82285=LINE('',#522612,#121202); #82286=LINE('',#522614,#121203); #82287=LINE('',#522615,#121204); #82288=LINE('',#522621,#121205); #82289=LINE('',#522623,#121206); #82290=LINE('',#522625,#121207); #82291=LINE('',#522626,#121208); #82292=LINE('',#522629,#121209); #82293=LINE('',#522631,#121210); #82294=LINE('',#522632,#121211); #82295=LINE('',#522635,#121212); #82296=LINE('',#522637,#121213); #82297=LINE('',#522638,#121214); #82298=LINE('',#522641,#121215); #82299=LINE('',#522643,#121216); #82300=LINE('',#522644,#121217); #82301=LINE('',#522647,#121218); #82302=LINE('',#522649,#121219); #82303=LINE('',#522650,#121220); #82304=LINE('',#522653,#121221); #82305=LINE('',#522655,#121222); #82306=LINE('',#522656,#121223); #82307=LINE('',#522659,#121224); #82308=LINE('',#522661,#121225); #82309=LINE('',#522662,#121226); #82310=LINE('',#522665,#121227); #82311=LINE('',#522667,#121228); #82312=LINE('',#522668,#121229); #82313=LINE('',#522671,#121230); #82314=LINE('',#522673,#121231); #82315=LINE('',#522674,#121232); #82316=LINE('',#522677,#121233); #82317=LINE('',#522679,#121234); #82318=LINE('',#522680,#121235); #82319=LINE('',#522683,#121236); #82320=LINE('',#522685,#121237); #82321=LINE('',#522686,#121238); #82322=LINE('',#522689,#121239); #82323=LINE('',#522691,#121240); #82324=LINE('',#522692,#121241); #82325=LINE('',#522695,#121242); #82326=LINE('',#522697,#121243); #82327=LINE('',#522698,#121244); #82328=LINE('',#522701,#121245); #82329=LINE('',#522703,#121246); #82330=LINE('',#522704,#121247); #82331=LINE('',#522707,#121248); #82332=LINE('',#522709,#121249); #82333=LINE('',#522710,#121250); #82334=LINE('',#522713,#121251); #82335=LINE('',#522715,#121252); #82336=LINE('',#522716,#121253); #82337=LINE('',#522719,#121254); #82338=LINE('',#522721,#121255); #82339=LINE('',#522722,#121256); #82340=LINE('',#522725,#121257); #82341=LINE('',#522727,#121258); #82342=LINE('',#522728,#121259); #82343=LINE('',#522731,#121260); #82344=LINE('',#522733,#121261); #82345=LINE('',#522734,#121262); #82346=LINE('',#522737,#121263); #82347=LINE('',#522739,#121264); #82348=LINE('',#522740,#121265); #82349=LINE('',#522743,#121266); #82350=LINE('',#522745,#121267); #82351=LINE('',#522746,#121268); #82352=LINE('',#522749,#121269); #82353=LINE('',#522751,#121270); #82354=LINE('',#522752,#121271); #82355=LINE('',#522754,#121272); #82356=LINE('',#522755,#121273); #82357=LINE('',#522761,#121274); #82358=LINE('',#522763,#121275); #82359=LINE('',#522765,#121276); #82360=LINE('',#522766,#121277); #82361=LINE('',#522769,#121278); #82362=LINE('',#522771,#121279); #82363=LINE('',#522772,#121280); #82364=LINE('',#522775,#121281); #82365=LINE('',#522777,#121282); #82366=LINE('',#522778,#121283); #82367=LINE('',#522781,#121284); #82368=LINE('',#522783,#121285); #82369=LINE('',#522784,#121286); #82370=LINE('',#522787,#121287); #82371=LINE('',#522789,#121288); #82372=LINE('',#522790,#121289); #82373=LINE('',#522793,#121290); #82374=LINE('',#522795,#121291); #82375=LINE('',#522796,#121292); #82376=LINE('',#522799,#121293); #82377=LINE('',#522801,#121294); #82378=LINE('',#522802,#121295); #82379=LINE('',#522805,#121296); #82380=LINE('',#522807,#121297); #82381=LINE('',#522808,#121298); #82382=LINE('',#522811,#121299); #82383=LINE('',#522813,#121300); #82384=LINE('',#522814,#121301); #82385=LINE('',#522817,#121302); #82386=LINE('',#522819,#121303); #82387=LINE('',#522820,#121304); #82388=LINE('',#522823,#121305); #82389=LINE('',#522825,#121306); #82390=LINE('',#522826,#121307); #82391=LINE('',#522829,#121308); #82392=LINE('',#522831,#121309); #82393=LINE('',#522832,#121310); #82394=LINE('',#522835,#121311); #82395=LINE('',#522837,#121312); #82396=LINE('',#522838,#121313); #82397=LINE('',#522841,#121314); #82398=LINE('',#522843,#121315); #82399=LINE('',#522844,#121316); #82400=LINE('',#522847,#121317); #82401=LINE('',#522849,#121318); #82402=LINE('',#522850,#121319); #82403=LINE('',#522853,#121320); #82404=LINE('',#522855,#121321); #82405=LINE('',#522856,#121322); #82406=LINE('',#522859,#121323); #82407=LINE('',#522861,#121324); #82408=LINE('',#522862,#121325); #82409=LINE('',#522865,#121326); #82410=LINE('',#522867,#121327); #82411=LINE('',#522868,#121328); #82412=LINE('',#522871,#121329); #82413=LINE('',#522873,#121330); #82414=LINE('',#522874,#121331); #82415=LINE('',#522877,#121332); #82416=LINE('',#522879,#121333); #82417=LINE('',#522880,#121334); #82418=LINE('',#522882,#121335); #82419=LINE('',#522883,#121336); #82420=LINE('',#522889,#121337); #82421=LINE('',#522891,#121338); #82422=LINE('',#522893,#121339); #82423=LINE('',#522894,#121340); #82424=LINE('',#522897,#121341); #82425=LINE('',#522899,#121342); #82426=LINE('',#522900,#121343); #82427=LINE('',#522903,#121344); #82428=LINE('',#522905,#121345); #82429=LINE('',#522906,#121346); #82430=LINE('',#522909,#121347); #82431=LINE('',#522911,#121348); #82432=LINE('',#522912,#121349); #82433=LINE('',#522915,#121350); #82434=LINE('',#522917,#121351); #82435=LINE('',#522918,#121352); #82436=LINE('',#522921,#121353); #82437=LINE('',#522923,#121354); #82438=LINE('',#522924,#121355); #82439=LINE('',#522927,#121356); #82440=LINE('',#522929,#121357); #82441=LINE('',#522930,#121358); #82442=LINE('',#522933,#121359); #82443=LINE('',#522935,#121360); #82444=LINE('',#522936,#121361); #82445=LINE('',#522939,#121362); #82446=LINE('',#522941,#121363); #82447=LINE('',#522942,#121364); #82448=LINE('',#522945,#121365); #82449=LINE('',#522947,#121366); #82450=LINE('',#522948,#121367); #82451=LINE('',#522951,#121368); #82452=LINE('',#522953,#121369); #82453=LINE('',#522954,#121370); #82454=LINE('',#522957,#121371); #82455=LINE('',#522959,#121372); #82456=LINE('',#522960,#121373); #82457=LINE('',#522963,#121374); #82458=LINE('',#522965,#121375); #82459=LINE('',#522966,#121376); #82460=LINE('',#522969,#121377); #82461=LINE('',#522971,#121378); #82462=LINE('',#522972,#121379); #82463=LINE('',#522975,#121380); #82464=LINE('',#522977,#121381); #82465=LINE('',#522978,#121382); #82466=LINE('',#522981,#121383); #82467=LINE('',#522983,#121384); #82468=LINE('',#522984,#121385); #82469=LINE('',#522987,#121386); #82470=LINE('',#522989,#121387); #82471=LINE('',#522990,#121388); #82472=LINE('',#522993,#121389); #82473=LINE('',#522995,#121390); #82474=LINE('',#522996,#121391); #82475=LINE('',#522999,#121392); #82476=LINE('',#523001,#121393); #82477=LINE('',#523002,#121394); #82478=LINE('',#523005,#121395); #82479=LINE('',#523007,#121396); #82480=LINE('',#523008,#121397); #82481=LINE('',#523011,#121398); #82482=LINE('',#523013,#121399); #82483=LINE('',#523014,#121400); #82484=LINE('',#523017,#121401); #82485=LINE('',#523019,#121402); #82486=LINE('',#523020,#121403); #82487=LINE('',#523023,#121404); #82488=LINE('',#523025,#121405); #82489=LINE('',#523026,#121406); #82490=LINE('',#523029,#121407); #82491=LINE('',#523031,#121408); #82492=LINE('',#523032,#121409); #82493=LINE('',#523035,#121410); #82494=LINE('',#523037,#121411); #82495=LINE('',#523038,#121412); #82496=LINE('',#523041,#121413); #82497=LINE('',#523043,#121414); #82498=LINE('',#523044,#121415); #82499=LINE('',#523047,#121416); #82500=LINE('',#523049,#121417); #82501=LINE('',#523050,#121418); #82502=LINE('',#523053,#121419); #82503=LINE('',#523055,#121420); #82504=LINE('',#523056,#121421); #82505=LINE('',#523059,#121422); #82506=LINE('',#523061,#121423); #82507=LINE('',#523062,#121424); #82508=LINE('',#523064,#121425); #82509=LINE('',#523065,#121426); #82510=LINE('',#523071,#121427); #82511=LINE('',#523073,#121428); #82512=LINE('',#523075,#121429); #82513=LINE('',#523076,#121430); #82514=LINE('',#523079,#121431); #82515=LINE('',#523081,#121432); #82516=LINE('',#523082,#121433); #82517=LINE('',#523085,#121434); #82518=LINE('',#523087,#121435); #82519=LINE('',#523088,#121436); #82520=LINE('',#523091,#121437); #82521=LINE('',#523093,#121438); #82522=LINE('',#523094,#121439); #82523=LINE('',#523097,#121440); #82524=LINE('',#523099,#121441); #82525=LINE('',#523100,#121442); #82526=LINE('',#523103,#121443); #82527=LINE('',#523105,#121444); #82528=LINE('',#523106,#121445); #82529=LINE('',#523109,#121446); #82530=LINE('',#523111,#121447); #82531=LINE('',#523112,#121448); #82532=LINE('',#523115,#121449); #82533=LINE('',#523117,#121450); #82534=LINE('',#523118,#121451); #82535=LINE('',#523121,#121452); #82536=LINE('',#523123,#121453); #82537=LINE('',#523124,#121454); #82538=LINE('',#523127,#121455); #82539=LINE('',#523129,#121456); #82540=LINE('',#523130,#121457); #82541=LINE('',#523133,#121458); #82542=LINE('',#523135,#121459); #82543=LINE('',#523136,#121460); #82544=LINE('',#523139,#121461); #82545=LINE('',#523141,#121462); #82546=LINE('',#523142,#121463); #82547=LINE('',#523145,#121464); #82548=LINE('',#523147,#121465); #82549=LINE('',#523148,#121466); #82550=LINE('',#523151,#121467); #82551=LINE('',#523153,#121468); #82552=LINE('',#523154,#121469); #82553=LINE('',#523157,#121470); #82554=LINE('',#523159,#121471); #82555=LINE('',#523160,#121472); #82556=LINE('',#523163,#121473); #82557=LINE('',#523165,#121474); #82558=LINE('',#523166,#121475); #82559=LINE('',#523169,#121476); #82560=LINE('',#523171,#121477); #82561=LINE('',#523172,#121478); #82562=LINE('',#523175,#121479); #82563=LINE('',#523177,#121480); #82564=LINE('',#523178,#121481); #82565=LINE('',#523181,#121482); #82566=LINE('',#523183,#121483); #82567=LINE('',#523184,#121484); #82568=LINE('',#523186,#121485); #82569=LINE('',#523187,#121486); #82570=LINE('',#523193,#121487); #82571=LINE('',#523195,#121488); #82572=LINE('',#523197,#121489); #82573=LINE('',#523198,#121490); #82574=LINE('',#523201,#121491); #82575=LINE('',#523203,#121492); #82576=LINE('',#523204,#121493); #82577=LINE('',#523207,#121494); #82578=LINE('',#523209,#121495); #82579=LINE('',#523210,#121496); #82580=LINE('',#523213,#121497); #82581=LINE('',#523215,#121498); #82582=LINE('',#523216,#121499); #82583=LINE('',#523219,#121500); #82584=LINE('',#523221,#121501); #82585=LINE('',#523222,#121502); #82586=LINE('',#523225,#121503); #82587=LINE('',#523227,#121504); #82588=LINE('',#523228,#121505); #82589=LINE('',#523231,#121506); #82590=LINE('',#523233,#121507); #82591=LINE('',#523234,#121508); #82592=LINE('',#523237,#121509); #82593=LINE('',#523239,#121510); #82594=LINE('',#523240,#121511); #82595=LINE('',#523243,#121512); #82596=LINE('',#523245,#121513); #82597=LINE('',#523246,#121514); #82598=LINE('',#523249,#121515); #82599=LINE('',#523251,#121516); #82600=LINE('',#523252,#121517); #82601=LINE('',#523255,#121518); #82602=LINE('',#523257,#121519); #82603=LINE('',#523258,#121520); #82604=LINE('',#523261,#121521); #82605=LINE('',#523263,#121522); #82606=LINE('',#523264,#121523); #82607=LINE('',#523267,#121524); #82608=LINE('',#523269,#121525); #82609=LINE('',#523270,#121526); #82610=LINE('',#523273,#121527); #82611=LINE('',#523275,#121528); #82612=LINE('',#523276,#121529); #82613=LINE('',#523279,#121530); #82614=LINE('',#523281,#121531); #82615=LINE('',#523282,#121532); #82616=LINE('',#523285,#121533); #82617=LINE('',#523287,#121534); #82618=LINE('',#523288,#121535); #82619=LINE('',#523291,#121536); #82620=LINE('',#523293,#121537); #82621=LINE('',#523294,#121538); #82622=LINE('',#523297,#121539); #82623=LINE('',#523299,#121540); #82624=LINE('',#523300,#121541); #82625=LINE('',#523303,#121542); #82626=LINE('',#523305,#121543); #82627=LINE('',#523306,#121544); #82628=LINE('',#523309,#121545); #82629=LINE('',#523311,#121546); #82630=LINE('',#523312,#121547); #82631=LINE('',#523315,#121548); #82632=LINE('',#523317,#121549); #82633=LINE('',#523318,#121550); #82634=LINE('',#523321,#121551); #82635=LINE('',#523323,#121552); #82636=LINE('',#523324,#121553); #82637=LINE('',#523327,#121554); #82638=LINE('',#523329,#121555); #82639=LINE('',#523330,#121556); #82640=LINE('',#523333,#121557); #82641=LINE('',#523335,#121558); #82642=LINE('',#523336,#121559); #82643=LINE('',#523339,#121560); #82644=LINE('',#523341,#121561); #82645=LINE('',#523342,#121562); #82646=LINE('',#523345,#121563); #82647=LINE('',#523347,#121564); #82648=LINE('',#523348,#121565); #82649=LINE('',#523351,#121566); #82650=LINE('',#523353,#121567); #82651=LINE('',#523354,#121568); #82652=LINE('',#523357,#121569); #82653=LINE('',#523359,#121570); #82654=LINE('',#523360,#121571); #82655=LINE('',#523363,#121572); #82656=LINE('',#523365,#121573); #82657=LINE('',#523366,#121574); #82658=LINE('',#523369,#121575); #82659=LINE('',#523371,#121576); #82660=LINE('',#523372,#121577); #82661=LINE('',#523375,#121578); #82662=LINE('',#523377,#121579); #82663=LINE('',#523378,#121580); #82664=LINE('',#523381,#121581); #82665=LINE('',#523383,#121582); #82666=LINE('',#523384,#121583); #82667=LINE('',#523386,#121584); #82668=LINE('',#523387,#121585); #82669=LINE('',#523394,#121586); #82670=LINE('',#523400,#121587); #82671=LINE('',#523406,#121588); #82672=LINE('',#523411,#121589); #82673=LINE('',#523413,#121590); #82674=LINE('',#523415,#121591); #82675=LINE('',#523416,#121592); #82676=LINE('',#523419,#121593); #82677=LINE('',#523421,#121594); #82678=LINE('',#523422,#121595); #82679=LINE('',#523425,#121596); #82680=LINE('',#523427,#121597); #82681=LINE('',#523428,#121598); #82682=LINE('',#523431,#121599); #82683=LINE('',#523433,#121600); #82684=LINE('',#523434,#121601); #82685=LINE('',#523437,#121602); #82686=LINE('',#523439,#121603); #82687=LINE('',#523440,#121604); #82688=LINE('',#523443,#121605); #82689=LINE('',#523445,#121606); #82690=LINE('',#523446,#121607); #82691=LINE('',#523449,#121608); #82692=LINE('',#523451,#121609); #82693=LINE('',#523452,#121610); #82694=LINE('',#523455,#121611); #82695=LINE('',#523457,#121612); #82696=LINE('',#523458,#121613); #82697=LINE('',#523461,#121614); #82698=LINE('',#523463,#121615); #82699=LINE('',#523464,#121616); #82700=LINE('',#523467,#121617); #82701=LINE('',#523469,#121618); #82702=LINE('',#523470,#121619); #82703=LINE('',#523473,#121620); #82704=LINE('',#523475,#121621); #82705=LINE('',#523476,#121622); #82706=LINE('',#523479,#121623); #82707=LINE('',#523481,#121624); #82708=LINE('',#523482,#121625); #82709=LINE('',#523485,#121626); #82710=LINE('',#523487,#121627); #82711=LINE('',#523488,#121628); #82712=LINE('',#523491,#121629); #82713=LINE('',#523493,#121630); #82714=LINE('',#523494,#121631); #82715=LINE('',#523497,#121632); #82716=LINE('',#523499,#121633); #82717=LINE('',#523500,#121634); #82718=LINE('',#523503,#121635); #82719=LINE('',#523505,#121636); #82720=LINE('',#523506,#121637); #82721=LINE('',#523509,#121638); #82722=LINE('',#523511,#121639); #82723=LINE('',#523512,#121640); #82724=LINE('',#523515,#121641); #82725=LINE('',#523517,#121642); #82726=LINE('',#523518,#121643); #82727=LINE('',#523521,#121644); #82728=LINE('',#523523,#121645); #82729=LINE('',#523524,#121646); #82730=LINE('',#523527,#121647); #82731=LINE('',#523529,#121648); #82732=LINE('',#523530,#121649); #82733=LINE('',#523533,#121650); #82734=LINE('',#523535,#121651); #82735=LINE('',#523536,#121652); #82736=LINE('',#523539,#121653); #82737=LINE('',#523541,#121654); #82738=LINE('',#523542,#121655); #82739=LINE('',#523545,#121656); #82740=LINE('',#523547,#121657); #82741=LINE('',#523548,#121658); #82742=LINE('',#523551,#121659); #82743=LINE('',#523553,#121660); #82744=LINE('',#523554,#121661); #82745=LINE('',#523557,#121662); #82746=LINE('',#523559,#121663); #82747=LINE('',#523560,#121664); #82748=LINE('',#523563,#121665); #82749=LINE('',#523565,#121666); #82750=LINE('',#523566,#121667); #82751=LINE('',#523569,#121668); #82752=LINE('',#523571,#121669); #82753=LINE('',#523572,#121670); #82754=LINE('',#523575,#121671); #82755=LINE('',#523577,#121672); #82756=LINE('',#523578,#121673); #82757=LINE('',#523581,#121674); #82758=LINE('',#523583,#121675); #82759=LINE('',#523584,#121676); #82760=LINE('',#523587,#121677); #82761=LINE('',#523589,#121678); #82762=LINE('',#523590,#121679); #82763=LINE('',#523593,#121680); #82764=LINE('',#523595,#121681); #82765=LINE('',#523596,#121682); #82766=LINE('',#523599,#121683); #82767=LINE('',#523601,#121684); #82768=LINE('',#523602,#121685); #82769=LINE('',#523605,#121686); #82770=LINE('',#523607,#121687); #82771=LINE('',#523608,#121688); #82772=LINE('',#523611,#121689); #82773=LINE('',#523613,#121690); #82774=LINE('',#523614,#121691); #82775=LINE('',#523617,#121692); #82776=LINE('',#523619,#121693); #82777=LINE('',#523620,#121694); #82778=LINE('',#523623,#121695); #82779=LINE('',#523625,#121696); #82780=LINE('',#523626,#121697); #82781=LINE('',#523629,#121698); #82782=LINE('',#523631,#121699); #82783=LINE('',#523632,#121700); #82784=LINE('',#523635,#121701); #82785=LINE('',#523637,#121702); #82786=LINE('',#523638,#121703); #82787=LINE('',#523641,#121704); #82788=LINE('',#523643,#121705); #82789=LINE('',#523644,#121706); #82790=LINE('',#523647,#121707); #82791=LINE('',#523649,#121708); #82792=LINE('',#523650,#121709); #82793=LINE('',#523653,#121710); #82794=LINE('',#523655,#121711); #82795=LINE('',#523656,#121712); #82796=LINE('',#523659,#121713); #82797=LINE('',#523661,#121714); #82798=LINE('',#523662,#121715); #82799=LINE('',#523665,#121716); #82800=LINE('',#523667,#121717); #82801=LINE('',#523668,#121718); #82802=LINE('',#523671,#121719); #82803=LINE('',#523673,#121720); #82804=LINE('',#523674,#121721); #82805=LINE('',#523677,#121722); #82806=LINE('',#523679,#121723); #82807=LINE('',#523680,#121724); #82808=LINE('',#523683,#121725); #82809=LINE('',#523685,#121726); #82810=LINE('',#523686,#121727); #82811=LINE('',#523689,#121728); #82812=LINE('',#523691,#121729); #82813=LINE('',#523692,#121730); #82814=LINE('',#523695,#121731); #82815=LINE('',#523697,#121732); #82816=LINE('',#523698,#121733); #82817=LINE('',#523701,#121734); #82818=LINE('',#523703,#121735); #82819=LINE('',#523704,#121736); #82820=LINE('',#523707,#121737); #82821=LINE('',#523709,#121738); #82822=LINE('',#523710,#121739); #82823=LINE('',#523713,#121740); #82824=LINE('',#523715,#121741); #82825=LINE('',#523716,#121742); #82826=LINE('',#523719,#121743); #82827=LINE('',#523721,#121744); #82828=LINE('',#523722,#121745); #82829=LINE('',#523725,#121746); #82830=LINE('',#523727,#121747); #82831=LINE('',#523728,#121748); #82832=LINE('',#523731,#121749); #82833=LINE('',#523733,#121750); #82834=LINE('',#523734,#121751); #82835=LINE('',#523737,#121752); #82836=LINE('',#523739,#121753); #82837=LINE('',#523740,#121754); #82838=LINE('',#523743,#121755); #82839=LINE('',#523745,#121756); #82840=LINE('',#523746,#121757); #82841=LINE('',#523749,#121758); #82842=LINE('',#523751,#121759); #82843=LINE('',#523752,#121760); #82844=LINE('',#523755,#121761); #82845=LINE('',#523757,#121762); #82846=LINE('',#523758,#121763); #82847=LINE('',#523761,#121764); #82848=LINE('',#523763,#121765); #82849=LINE('',#523764,#121766); #82850=LINE('',#523767,#121767); #82851=LINE('',#523769,#121768); #82852=LINE('',#523770,#121769); #82853=LINE('',#523773,#121770); #82854=LINE('',#523775,#121771); #82855=LINE('',#523776,#121772); #82856=LINE('',#523779,#121773); #82857=LINE('',#523781,#121774); #82858=LINE('',#523782,#121775); #82859=LINE('',#523785,#121776); #82860=LINE('',#523787,#121777); #82861=LINE('',#523788,#121778); #82862=LINE('',#523791,#121779); #82863=LINE('',#523793,#121780); #82864=LINE('',#523794,#121781); #82865=LINE('',#523797,#121782); #82866=LINE('',#523799,#121783); #82867=LINE('',#523800,#121784); #82868=LINE('',#523803,#121785); #82869=LINE('',#523805,#121786); #82870=LINE('',#523806,#121787); #82871=LINE('',#523809,#121788); #82872=LINE('',#523811,#121789); #82873=LINE('',#523812,#121790); #82874=LINE('',#523815,#121791); #82875=LINE('',#523817,#121792); #82876=LINE('',#523818,#121793); #82877=LINE('',#523821,#121794); #82878=LINE('',#523823,#121795); #82879=LINE('',#523824,#121796); #82880=LINE('',#523827,#121797); #82881=LINE('',#523829,#121798); #82882=LINE('',#523830,#121799); #82883=LINE('',#523833,#121800); #82884=LINE('',#523835,#121801); #82885=LINE('',#523836,#121802); #82886=LINE('',#523839,#121803); #82887=LINE('',#523841,#121804); #82888=LINE('',#523842,#121805); #82889=LINE('',#523845,#121806); #82890=LINE('',#523847,#121807); #82891=LINE('',#523848,#121808); #82892=LINE('',#523851,#121809); #82893=LINE('',#523853,#121810); #82894=LINE('',#523854,#121811); #82895=LINE('',#523857,#121812); #82896=LINE('',#523859,#121813); #82897=LINE('',#523860,#121814); #82898=LINE('',#523863,#121815); #82899=LINE('',#523865,#121816); #82900=LINE('',#523866,#121817); #82901=LINE('',#523869,#121818); #82902=LINE('',#523871,#121819); #82903=LINE('',#523872,#121820); #82904=LINE('',#523875,#121821); #82905=LINE('',#523877,#121822); #82906=LINE('',#523878,#121823); #82907=LINE('',#523881,#121824); #82908=LINE('',#523883,#121825); #82909=LINE('',#523884,#121826); #82910=LINE('',#523887,#121827); #82911=LINE('',#523889,#121828); #82912=LINE('',#523890,#121829); #82913=LINE('',#523893,#121830); #82914=LINE('',#523895,#121831); #82915=LINE('',#523896,#121832); #82916=LINE('',#523899,#121833); #82917=LINE('',#523901,#121834); #82918=LINE('',#523902,#121835); #82919=LINE('',#523905,#121836); #82920=LINE('',#523907,#121837); #82921=LINE('',#523908,#121838); #82922=LINE('',#523911,#121839); #82923=LINE('',#523913,#121840); #82924=LINE('',#523914,#121841); #82925=LINE('',#523917,#121842); #82926=LINE('',#523919,#121843); #82927=LINE('',#523920,#121844); #82928=LINE('',#523923,#121845); #82929=LINE('',#523925,#121846); #82930=LINE('',#523926,#121847); #82931=LINE('',#523928,#121848); #82932=LINE('',#523929,#121849); #82933=LINE('',#523935,#121850); #82934=LINE('',#523937,#121851); #82935=LINE('',#523939,#121852); #82936=LINE('',#523940,#121853); #82937=LINE('',#523943,#121854); #82938=LINE('',#523945,#121855); #82939=LINE('',#523946,#121856); #82940=LINE('',#523949,#121857); #82941=LINE('',#523951,#121858); #82942=LINE('',#523952,#121859); #82943=LINE('',#523955,#121860); #82944=LINE('',#523957,#121861); #82945=LINE('',#523958,#121862); #82946=LINE('',#523961,#121863); #82947=LINE('',#523963,#121864); #82948=LINE('',#523964,#121865); #82949=LINE('',#523967,#121866); #82950=LINE('',#523969,#121867); #82951=LINE('',#523970,#121868); #82952=LINE('',#523973,#121869); #82953=LINE('',#523975,#121870); #82954=LINE('',#523976,#121871); #82955=LINE('',#523979,#121872); #82956=LINE('',#523981,#121873); #82957=LINE('',#523982,#121874); #82958=LINE('',#523985,#121875); #82959=LINE('',#523987,#121876); #82960=LINE('',#523988,#121877); #82961=LINE('',#523991,#121878); #82962=LINE('',#523993,#121879); #82963=LINE('',#523994,#121880); #82964=LINE('',#523997,#121881); #82965=LINE('',#523999,#121882); #82966=LINE('',#524000,#121883); #82967=LINE('',#524003,#121884); #82968=LINE('',#524005,#121885); #82969=LINE('',#524006,#121886); #82970=LINE('',#524009,#121887); #82971=LINE('',#524011,#121888); #82972=LINE('',#524012,#121889); #82973=LINE('',#524015,#121890); #82974=LINE('',#524017,#121891); #82975=LINE('',#524018,#121892); #82976=LINE('',#524021,#121893); #82977=LINE('',#524023,#121894); #82978=LINE('',#524024,#121895); #82979=LINE('',#524027,#121896); #82980=LINE('',#524029,#121897); #82981=LINE('',#524030,#121898); #82982=LINE('',#524033,#121899); #82983=LINE('',#524035,#121900); #82984=LINE('',#524036,#121901); #82985=LINE('',#524039,#121902); #82986=LINE('',#524041,#121903); #82987=LINE('',#524042,#121904); #82988=LINE('',#524045,#121905); #82989=LINE('',#524047,#121906); #82990=LINE('',#524048,#121907); #82991=LINE('',#524051,#121908); #82992=LINE('',#524053,#121909); #82993=LINE('',#524054,#121910); #82994=LINE('',#524057,#121911); #82995=LINE('',#524059,#121912); #82996=LINE('',#524060,#121913); #82997=LINE('',#524063,#121914); #82998=LINE('',#524065,#121915); #82999=LINE('',#524066,#121916); #83000=LINE('',#524069,#121917); #83001=LINE('',#524071,#121918); #83002=LINE('',#524072,#121919); #83003=LINE('',#524075,#121920); #83004=LINE('',#524077,#121921); #83005=LINE('',#524078,#121922); #83006=LINE('',#524081,#121923); #83007=LINE('',#524083,#121924); #83008=LINE('',#524084,#121925); #83009=LINE('',#524087,#121926); #83010=LINE('',#524089,#121927); #83011=LINE('',#524090,#121928); #83012=LINE('',#524093,#121929); #83013=LINE('',#524095,#121930); #83014=LINE('',#524096,#121931); #83015=LINE('',#524099,#121932); #83016=LINE('',#524101,#121933); #83017=LINE('',#524102,#121934); #83018=LINE('',#524104,#121935); #83019=LINE('',#524105,#121936); #83020=LINE('',#524111,#121937); #83021=LINE('',#524113,#121938); #83022=LINE('',#524115,#121939); #83023=LINE('',#524116,#121940); #83024=LINE('',#524119,#121941); #83025=LINE('',#524121,#121942); #83026=LINE('',#524122,#121943); #83027=LINE('',#524125,#121944); #83028=LINE('',#524127,#121945); #83029=LINE('',#524128,#121946); #83030=LINE('',#524131,#121947); #83031=LINE('',#524133,#121948); #83032=LINE('',#524134,#121949); #83033=LINE('',#524137,#121950); #83034=LINE('',#524139,#121951); #83035=LINE('',#524140,#121952); #83036=LINE('',#524143,#121953); #83037=LINE('',#524145,#121954); #83038=LINE('',#524146,#121955); #83039=LINE('',#524149,#121956); #83040=LINE('',#524151,#121957); #83041=LINE('',#524152,#121958); #83042=LINE('',#524155,#121959); #83043=LINE('',#524157,#121960); #83044=LINE('',#524158,#121961); #83045=LINE('',#524161,#121962); #83046=LINE('',#524163,#121963); #83047=LINE('',#524164,#121964); #83048=LINE('',#524167,#121965); #83049=LINE('',#524169,#121966); #83050=LINE('',#524170,#121967); #83051=LINE('',#524173,#121968); #83052=LINE('',#524175,#121969); #83053=LINE('',#524176,#121970); #83054=LINE('',#524179,#121971); #83055=LINE('',#524181,#121972); #83056=LINE('',#524182,#121973); #83057=LINE('',#524185,#121974); #83058=LINE('',#524187,#121975); #83059=LINE('',#524188,#121976); #83060=LINE('',#524191,#121977); #83061=LINE('',#524193,#121978); #83062=LINE('',#524194,#121979); #83063=LINE('',#524197,#121980); #83064=LINE('',#524199,#121981); #83065=LINE('',#524200,#121982); #83066=LINE('',#524203,#121983); #83067=LINE('',#524205,#121984); #83068=LINE('',#524206,#121985); #83069=LINE('',#524209,#121986); #83070=LINE('',#524211,#121987); #83071=LINE('',#524212,#121988); #83072=LINE('',#524215,#121989); #83073=LINE('',#524217,#121990); #83074=LINE('',#524218,#121991); #83075=LINE('',#524220,#121992); #83076=LINE('',#524221,#121993); #83077=LINE('',#524228,#121994); #83078=LINE('',#524234,#121995); #83079=LINE('',#524240,#121996); #83080=LINE('',#524246,#121997); #83081=LINE('',#524252,#121998); #83082=LINE('',#524258,#121999); #83083=LINE('',#524264,#122000); #83084=LINE('',#524270,#122001); #83085=LINE('',#524276,#122002); #83086=LINE('',#524282,#122003); #83087=LINE('',#524288,#122004); #83088=LINE('',#524294,#122005); #83089=LINE('',#524300,#122006); #83090=LINE('',#524306,#122007); #83091=LINE('',#524312,#122008); #83092=LINE('',#524318,#122009); #83093=LINE('',#524324,#122010); #83094=LINE('',#524330,#122011); #83095=LINE('',#524336,#122012); #83096=LINE('',#524342,#122013); #83097=LINE('',#524348,#122014); #83098=LINE('',#524354,#122015); #83099=LINE('',#524360,#122016); #83100=LINE('',#524366,#122017); #83101=LINE('',#524372,#122018); #83102=LINE('',#524378,#122019); #83103=LINE('',#524384,#122020); #83104=LINE('',#524390,#122021); #83105=LINE('',#524396,#122022); #83106=LINE('',#524402,#122023); #83107=LINE('',#524408,#122024); #83108=LINE('',#524414,#122025); #83109=LINE('',#524420,#122026); #83110=LINE('',#524426,#122027); #83111=LINE('',#524432,#122028); #83112=LINE('',#524438,#122029); #83113=LINE('',#524444,#122030); #83114=LINE('',#524450,#122031); #83115=LINE('',#524456,#122032); #83116=LINE('',#524462,#122033); #83117=LINE('',#524468,#122034); #83118=LINE('',#524474,#122035); #83119=LINE('',#524480,#122036); #83120=LINE('',#524486,#122037); #83121=LINE('',#524492,#122038); #83122=LINE('',#524498,#122039); #83123=LINE('',#524504,#122040); #83124=LINE('',#524510,#122041); #83125=LINE('',#524516,#122042); #83126=LINE('',#524521,#122043); #83127=LINE('',#524523,#122044); #83128=LINE('',#524525,#122045); #83129=LINE('',#524526,#122046); #83130=LINE('',#524529,#122047); #83131=LINE('',#524531,#122048); #83132=LINE('',#524532,#122049); #83133=LINE('',#524535,#122050); #83134=LINE('',#524537,#122051); #83135=LINE('',#524538,#122052); #83136=LINE('',#524541,#122053); #83137=LINE('',#524543,#122054); #83138=LINE('',#524544,#122055); #83139=LINE('',#524547,#122056); #83140=LINE('',#524549,#122057); #83141=LINE('',#524550,#122058); #83142=LINE('',#524553,#122059); #83143=LINE('',#524555,#122060); #83144=LINE('',#524556,#122061); #83145=LINE('',#524559,#122062); #83146=LINE('',#524561,#122063); #83147=LINE('',#524562,#122064); #83148=LINE('',#524565,#122065); #83149=LINE('',#524567,#122066); #83150=LINE('',#524568,#122067); #83151=LINE('',#524571,#122068); #83152=LINE('',#524573,#122069); #83153=LINE('',#524574,#122070); #83154=LINE('',#524577,#122071); #83155=LINE('',#524579,#122072); #83156=LINE('',#524580,#122073); #83157=LINE('',#524583,#122074); #83158=LINE('',#524585,#122075); #83159=LINE('',#524586,#122076); #83160=LINE('',#524589,#122077); #83161=LINE('',#524591,#122078); #83162=LINE('',#524592,#122079); #83163=LINE('',#524595,#122080); #83164=LINE('',#524597,#122081); #83165=LINE('',#524598,#122082); #83166=LINE('',#524601,#122083); #83167=LINE('',#524603,#122084); #83168=LINE('',#524604,#122085); #83169=LINE('',#524607,#122086); #83170=LINE('',#524609,#122087); #83171=LINE('',#524610,#122088); #83172=LINE('',#524613,#122089); #83173=LINE('',#524615,#122090); #83174=LINE('',#524616,#122091); #83175=LINE('',#524619,#122092); #83176=LINE('',#524621,#122093); #83177=LINE('',#524622,#122094); #83178=LINE('',#524625,#122095); #83179=LINE('',#524627,#122096); #83180=LINE('',#524628,#122097); #83181=LINE('',#524631,#122098); #83182=LINE('',#524633,#122099); #83183=LINE('',#524634,#122100); #83184=LINE('',#524637,#122101); #83185=LINE('',#524639,#122102); #83186=LINE('',#524640,#122103); #83187=LINE('',#524643,#122104); #83188=LINE('',#524645,#122105); #83189=LINE('',#524646,#122106); #83190=LINE('',#524649,#122107); #83191=LINE('',#524651,#122108); #83192=LINE('',#524652,#122109); #83193=LINE('',#524655,#122110); #83194=LINE('',#524657,#122111); #83195=LINE('',#524658,#122112); #83196=LINE('',#524661,#122113); #83197=LINE('',#524663,#122114); #83198=LINE('',#524664,#122115); #83199=LINE('',#524667,#122116); #83200=LINE('',#524669,#122117); #83201=LINE('',#524670,#122118); #83202=LINE('',#524673,#122119); #83203=LINE('',#524675,#122120); #83204=LINE('',#524676,#122121); #83205=LINE('',#524679,#122122); #83206=LINE('',#524681,#122123); #83207=LINE('',#524682,#122124); #83208=LINE('',#524685,#122125); #83209=LINE('',#524687,#122126); #83210=LINE('',#524688,#122127); #83211=LINE('',#524691,#122128); #83212=LINE('',#524693,#122129); #83213=LINE('',#524694,#122130); #83214=LINE('',#524697,#122131); #83215=LINE('',#524699,#122132); #83216=LINE('',#524700,#122133); #83217=LINE('',#524703,#122134); #83218=LINE('',#524705,#122135); #83219=LINE('',#524706,#122136); #83220=LINE('',#524709,#122137); #83221=LINE('',#524711,#122138); #83222=LINE('',#524712,#122139); #83223=LINE('',#524715,#122140); #83224=LINE('',#524717,#122141); #83225=LINE('',#524718,#122142); #83226=LINE('',#524721,#122143); #83227=LINE('',#524723,#122144); #83228=LINE('',#524724,#122145); #83229=LINE('',#524727,#122146); #83230=LINE('',#524729,#122147); #83231=LINE('',#524730,#122148); #83232=LINE('',#524733,#122149); #83233=LINE('',#524735,#122150); #83234=LINE('',#524736,#122151); #83235=LINE('',#524739,#122152); #83236=LINE('',#524741,#122153); #83237=LINE('',#524742,#122154); #83238=LINE('',#524745,#122155); #83239=LINE('',#524747,#122156); #83240=LINE('',#524748,#122157); #83241=LINE('',#524751,#122158); #83242=LINE('',#524753,#122159); #83243=LINE('',#524754,#122160); #83244=LINE('',#524757,#122161); #83245=LINE('',#524759,#122162); #83246=LINE('',#524760,#122163); #83247=LINE('',#524763,#122164); #83248=LINE('',#524765,#122165); #83249=LINE('',#524766,#122166); #83250=LINE('',#524769,#122167); #83251=LINE('',#524771,#122168); #83252=LINE('',#524772,#122169); #83253=LINE('',#524775,#122170); #83254=LINE('',#524777,#122171); #83255=LINE('',#524778,#122172); #83256=LINE('',#524781,#122173); #83257=LINE('',#524783,#122174); #83258=LINE('',#524784,#122175); #83259=LINE('',#524787,#122176); #83260=LINE('',#524789,#122177); #83261=LINE('',#524790,#122178); #83262=LINE('',#524793,#122179); #83263=LINE('',#524795,#122180); #83264=LINE('',#524796,#122181); #83265=LINE('',#524799,#122182); #83266=LINE('',#524801,#122183); #83267=LINE('',#524802,#122184); #83268=LINE('',#524805,#122185); #83269=LINE('',#524807,#122186); #83270=LINE('',#524808,#122187); #83271=LINE('',#524811,#122188); #83272=LINE('',#524813,#122189); #83273=LINE('',#524814,#122190); #83274=LINE('',#524817,#122191); #83275=LINE('',#524819,#122192); #83276=LINE('',#524820,#122193); #83277=LINE('',#524823,#122194); #83278=LINE('',#524825,#122195); #83279=LINE('',#524826,#122196); #83280=LINE('',#524829,#122197); #83281=LINE('',#524831,#122198); #83282=LINE('',#524832,#122199); #83283=LINE('',#524835,#122200); #83284=LINE('',#524837,#122201); #83285=LINE('',#524838,#122202); #83286=LINE('',#524841,#122203); #83287=LINE('',#524843,#122204); #83288=LINE('',#524844,#122205); #83289=LINE('',#524847,#122206); #83290=LINE('',#524849,#122207); #83291=LINE('',#524850,#122208); #83292=LINE('',#524853,#122209); #83293=LINE('',#524855,#122210); #83294=LINE('',#524856,#122211); #83295=LINE('',#524859,#122212); #83296=LINE('',#524861,#122213); #83297=LINE('',#524862,#122214); #83298=LINE('',#524865,#122215); #83299=LINE('',#524867,#122216); #83300=LINE('',#524868,#122217); #83301=LINE('',#524871,#122218); #83302=LINE('',#524873,#122219); #83303=LINE('',#524874,#122220); #83304=LINE('',#524877,#122221); #83305=LINE('',#524879,#122222); #83306=LINE('',#524880,#122223); #83307=LINE('',#524883,#122224); #83308=LINE('',#524885,#122225); #83309=LINE('',#524886,#122226); #83310=LINE('',#524889,#122227); #83311=LINE('',#524891,#122228); #83312=LINE('',#524892,#122229); #83313=LINE('',#524895,#122230); #83314=LINE('',#524897,#122231); #83315=LINE('',#524898,#122232); #83316=LINE('',#524901,#122233); #83317=LINE('',#524903,#122234); #83318=LINE('',#524904,#122235); #83319=LINE('',#524907,#122236); #83320=LINE('',#524909,#122237); #83321=LINE('',#524910,#122238); #83322=LINE('',#524913,#122239); #83323=LINE('',#524915,#122240); #83324=LINE('',#524916,#122241); #83325=LINE('',#524919,#122242); #83326=LINE('',#524921,#122243); #83327=LINE('',#524922,#122244); #83328=LINE('',#524925,#122245); #83329=LINE('',#524927,#122246); #83330=LINE('',#524928,#122247); #83331=LINE('',#524931,#122248); #83332=LINE('',#524933,#122249); #83333=LINE('',#524934,#122250); #83334=LINE('',#524937,#122251); #83335=LINE('',#524939,#122252); #83336=LINE('',#524940,#122253); #83337=LINE('',#524943,#122254); #83338=LINE('',#524945,#122255); #83339=LINE('',#524946,#122256); #83340=LINE('',#524949,#122257); #83341=LINE('',#524951,#122258); #83342=LINE('',#524952,#122259); #83343=LINE('',#524955,#122260); #83344=LINE('',#524957,#122261); #83345=LINE('',#524958,#122262); #83346=LINE('',#524961,#122263); #83347=LINE('',#524963,#122264); #83348=LINE('',#524964,#122265); #83349=LINE('',#524967,#122266); #83350=LINE('',#524969,#122267); #83351=LINE('',#524970,#122268); #83352=LINE('',#524973,#122269); #83353=LINE('',#524975,#122270); #83354=LINE('',#524976,#122271); #83355=LINE('',#524979,#122272); #83356=LINE('',#524981,#122273); #83357=LINE('',#524982,#122274); #83358=LINE('',#524985,#122275); #83359=LINE('',#524987,#122276); #83360=LINE('',#524988,#122277); #83361=LINE('',#524991,#122278); #83362=LINE('',#524993,#122279); #83363=LINE('',#524994,#122280); #83364=LINE('',#524997,#122281); #83365=LINE('',#524999,#122282); #83366=LINE('',#525000,#122283); #83367=LINE('',#525003,#122284); #83368=LINE('',#525005,#122285); #83369=LINE('',#525006,#122286); #83370=LINE('',#525009,#122287); #83371=LINE('',#525011,#122288); #83372=LINE('',#525012,#122289); #83373=LINE('',#525015,#122290); #83374=LINE('',#525017,#122291); #83375=LINE('',#525018,#122292); #83376=LINE('',#525021,#122293); #83377=LINE('',#525023,#122294); #83378=LINE('',#525024,#122295); #83379=LINE('',#525027,#122296); #83380=LINE('',#525029,#122297); #83381=LINE('',#525030,#122298); #83382=LINE('',#525033,#122299); #83383=LINE('',#525035,#122300); #83384=LINE('',#525036,#122301); #83385=LINE('',#525039,#122302); #83386=LINE('',#525041,#122303); #83387=LINE('',#525042,#122304); #83388=LINE('',#525045,#122305); #83389=LINE('',#525047,#122306); #83390=LINE('',#525048,#122307); #83391=LINE('',#525051,#122308); #83392=LINE('',#525053,#122309); #83393=LINE('',#525054,#122310); #83394=LINE('',#525057,#122311); #83395=LINE('',#525059,#122312); #83396=LINE('',#525060,#122313); #83397=LINE('',#525063,#122314); #83398=LINE('',#525065,#122315); #83399=LINE('',#525066,#122316); #83400=LINE('',#525069,#122317); #83401=LINE('',#525071,#122318); #83402=LINE('',#525072,#122319); #83403=LINE('',#525075,#122320); #83404=LINE('',#525077,#122321); #83405=LINE('',#525078,#122322); #83406=LINE('',#525081,#122323); #83407=LINE('',#525083,#122324); #83408=LINE('',#525084,#122325); #83409=LINE('',#525087,#122326); #83410=LINE('',#525089,#122327); #83411=LINE('',#525090,#122328); #83412=LINE('',#525093,#122329); #83413=LINE('',#525095,#122330); #83414=LINE('',#525096,#122331); #83415=LINE('',#525099,#122332); #83416=LINE('',#525101,#122333); #83417=LINE('',#525102,#122334); #83418=LINE('',#525105,#122335); #83419=LINE('',#525107,#122336); #83420=LINE('',#525108,#122337); #83421=LINE('',#525111,#122338); #83422=LINE('',#525113,#122339); #83423=LINE('',#525114,#122340); #83424=LINE('',#525117,#122341); #83425=LINE('',#525119,#122342); #83426=LINE('',#525120,#122343); #83427=LINE('',#525123,#122344); #83428=LINE('',#525125,#122345); #83429=LINE('',#525126,#122346); #83430=LINE('',#525129,#122347); #83431=LINE('',#525131,#122348); #83432=LINE('',#525132,#122349); #83433=LINE('',#525135,#122350); #83434=LINE('',#525137,#122351); #83435=LINE('',#525138,#122352); #83436=LINE('',#525141,#122353); #83437=LINE('',#525143,#122354); #83438=LINE('',#525144,#122355); #83439=LINE('',#525147,#122356); #83440=LINE('',#525149,#122357); #83441=LINE('',#525150,#122358); #83442=LINE('',#525153,#122359); #83443=LINE('',#525155,#122360); #83444=LINE('',#525156,#122361); #83445=LINE('',#525159,#122362); #83446=LINE('',#525161,#122363); #83447=LINE('',#525162,#122364); #83448=LINE('',#525165,#122365); #83449=LINE('',#525167,#122366); #83450=LINE('',#525168,#122367); #83451=LINE('',#525171,#122368); #83452=LINE('',#525173,#122369); #83453=LINE('',#525174,#122370); #83454=LINE('',#525177,#122371); #83455=LINE('',#525179,#122372); #83456=LINE('',#525180,#122373); #83457=LINE('',#525183,#122374); #83458=LINE('',#525185,#122375); #83459=LINE('',#525186,#122376); #83460=LINE('',#525189,#122377); #83461=LINE('',#525191,#122378); #83462=LINE('',#525192,#122379); #83463=LINE('',#525195,#122380); #83464=LINE('',#525197,#122381); #83465=LINE('',#525198,#122382); #83466=LINE('',#525201,#122383); #83467=LINE('',#525203,#122384); #83468=LINE('',#525204,#122385); #83469=LINE('',#525207,#122386); #83470=LINE('',#525209,#122387); #83471=LINE('',#525210,#122388); #83472=LINE('',#525213,#122389); #83473=LINE('',#525215,#122390); #83474=LINE('',#525216,#122391); #83475=LINE('',#525219,#122392); #83476=LINE('',#525221,#122393); #83477=LINE('',#525222,#122394); #83478=LINE('',#525225,#122395); #83479=LINE('',#525227,#122396); #83480=LINE('',#525228,#122397); #83481=LINE('',#525231,#122398); #83482=LINE('',#525233,#122399); #83483=LINE('',#525234,#122400); #83484=LINE('',#525237,#122401); #83485=LINE('',#525239,#122402); #83486=LINE('',#525240,#122403); #83487=LINE('',#525243,#122404); #83488=LINE('',#525245,#122405); #83489=LINE('',#525246,#122406); #83490=LINE('',#525249,#122407); #83491=LINE('',#525251,#122408); #83492=LINE('',#525252,#122409); #83493=LINE('',#525255,#122410); #83494=LINE('',#525257,#122411); #83495=LINE('',#525258,#122412); #83496=LINE('',#525261,#122413); #83497=LINE('',#525263,#122414); #83498=LINE('',#525264,#122415); #83499=LINE('',#525267,#122416); #83500=LINE('',#525269,#122417); #83501=LINE('',#525270,#122418); #83502=LINE('',#525273,#122419); #83503=LINE('',#525275,#122420); #83504=LINE('',#525276,#122421); #83505=LINE('',#525279,#122422); #83506=LINE('',#525281,#122423); #83507=LINE('',#525282,#122424); #83508=LINE('',#525285,#122425); #83509=LINE('',#525287,#122426); #83510=LINE('',#525288,#122427); #83511=LINE('',#525291,#122428); #83512=LINE('',#525293,#122429); #83513=LINE('',#525294,#122430); #83514=LINE('',#525297,#122431); #83515=LINE('',#525299,#122432); #83516=LINE('',#525300,#122433); #83517=LINE('',#525303,#122434); #83518=LINE('',#525305,#122435); #83519=LINE('',#525306,#122436); #83520=LINE('',#525309,#122437); #83521=LINE('',#525311,#122438); #83522=LINE('',#525312,#122439); #83523=LINE('',#525315,#122440); #83524=LINE('',#525317,#122441); #83525=LINE('',#525318,#122442); #83526=LINE('',#525321,#122443); #83527=LINE('',#525323,#122444); #83528=LINE('',#525324,#122445); #83529=LINE('',#525327,#122446); #83530=LINE('',#525329,#122447); #83531=LINE('',#525330,#122448); #83532=LINE('',#525333,#122449); #83533=LINE('',#525335,#122450); #83534=LINE('',#525336,#122451); #83535=LINE('',#525339,#122452); #83536=LINE('',#525341,#122453); #83537=LINE('',#525342,#122454); #83538=LINE('',#525345,#122455); #83539=LINE('',#525347,#122456); #83540=LINE('',#525348,#122457); #83541=LINE('',#525351,#122458); #83542=LINE('',#525353,#122459); #83543=LINE('',#525354,#122460); #83544=LINE('',#525357,#122461); #83545=LINE('',#525359,#122462); #83546=LINE('',#525360,#122463); #83547=LINE('',#525363,#122464); #83548=LINE('',#525365,#122465); #83549=LINE('',#525366,#122466); #83550=LINE('',#525369,#122467); #83551=LINE('',#525371,#122468); #83552=LINE('',#525372,#122469); #83553=LINE('',#525375,#122470); #83554=LINE('',#525377,#122471); #83555=LINE('',#525378,#122472); #83556=LINE('',#525381,#122473); #83557=LINE('',#525383,#122474); #83558=LINE('',#525384,#122475); #83559=LINE('',#525387,#122476); #83560=LINE('',#525389,#122477); #83561=LINE('',#525390,#122478); #83562=LINE('',#525393,#122479); #83563=LINE('',#525395,#122480); #83564=LINE('',#525396,#122481); #83565=LINE('',#525399,#122482); #83566=LINE('',#525401,#122483); #83567=LINE('',#525402,#122484); #83568=LINE('',#525405,#122485); #83569=LINE('',#525407,#122486); #83570=LINE('',#525408,#122487); #83571=LINE('',#525411,#122488); #83572=LINE('',#525413,#122489); #83573=LINE('',#525414,#122490); #83574=LINE('',#525417,#122491); #83575=LINE('',#525419,#122492); #83576=LINE('',#525420,#122493); #83577=LINE('',#525423,#122494); #83578=LINE('',#525425,#122495); #83579=LINE('',#525426,#122496); #83580=LINE('',#525429,#122497); #83581=LINE('',#525431,#122498); #83582=LINE('',#525432,#122499); #83583=LINE('',#525435,#122500); #83584=LINE('',#525437,#122501); #83585=LINE('',#525438,#122502); #83586=LINE('',#525441,#122503); #83587=LINE('',#525443,#122504); #83588=LINE('',#525444,#122505); #83589=LINE('',#525447,#122506); #83590=LINE('',#525449,#122507); #83591=LINE('',#525450,#122508); #83592=LINE('',#525453,#122509); #83593=LINE('',#525455,#122510); #83594=LINE('',#525456,#122511); #83595=LINE('',#525459,#122512); #83596=LINE('',#525461,#122513); #83597=LINE('',#525462,#122514); #83598=LINE('',#525465,#122515); #83599=LINE('',#525467,#122516); #83600=LINE('',#525468,#122517); #83601=LINE('',#525471,#122518); #83602=LINE('',#525473,#122519); #83603=LINE('',#525474,#122520); #83604=LINE('',#525477,#122521); #83605=LINE('',#525479,#122522); #83606=LINE('',#525480,#122523); #83607=LINE('',#525483,#122524); #83608=LINE('',#525485,#122525); #83609=LINE('',#525486,#122526); #83610=LINE('',#525489,#122527); #83611=LINE('',#525491,#122528); #83612=LINE('',#525492,#122529); #83613=LINE('',#525495,#122530); #83614=LINE('',#525497,#122531); #83615=LINE('',#525498,#122532); #83616=LINE('',#525501,#122533); #83617=LINE('',#525503,#122534); #83618=LINE('',#525504,#122535); #83619=LINE('',#525507,#122536); #83620=LINE('',#525509,#122537); #83621=LINE('',#525510,#122538); #83622=LINE('',#525513,#122539); #83623=LINE('',#525515,#122540); #83624=LINE('',#525516,#122541); #83625=LINE('',#525519,#122542); #83626=LINE('',#525521,#122543); #83627=LINE('',#525522,#122544); #83628=LINE('',#525525,#122545); #83629=LINE('',#525527,#122546); #83630=LINE('',#525528,#122547); #83631=LINE('',#525531,#122548); #83632=LINE('',#525533,#122549); #83633=LINE('',#525534,#122550); #83634=LINE('',#525537,#122551); #83635=LINE('',#525539,#122552); #83636=LINE('',#525540,#122553); #83637=LINE('',#525543,#122554); #83638=LINE('',#525545,#122555); #83639=LINE('',#525546,#122556); #83640=LINE('',#525549,#122557); #83641=LINE('',#525551,#122558); #83642=LINE('',#525552,#122559); #83643=LINE('',#525555,#122560); #83644=LINE('',#525557,#122561); #83645=LINE('',#525558,#122562); #83646=LINE('',#525561,#122563); #83647=LINE('',#525563,#122564); #83648=LINE('',#525564,#122565); #83649=LINE('',#525567,#122566); #83650=LINE('',#525569,#122567); #83651=LINE('',#525570,#122568); #83652=LINE('',#525573,#122569); #83653=LINE('',#525575,#122570); #83654=LINE('',#525576,#122571); #83655=LINE('',#525579,#122572); #83656=LINE('',#525581,#122573); #83657=LINE('',#525582,#122574); #83658=LINE('',#525585,#122575); #83659=LINE('',#525587,#122576); #83660=LINE('',#525588,#122577); #83661=LINE('',#525591,#122578); #83662=LINE('',#525593,#122579); #83663=LINE('',#525594,#122580); #83664=LINE('',#525597,#122581); #83665=LINE('',#525599,#122582); #83666=LINE('',#525600,#122583); #83667=LINE('',#525603,#122584); #83668=LINE('',#525605,#122585); #83669=LINE('',#525606,#122586); #83670=LINE('',#525609,#122587); #83671=LINE('',#525611,#122588); #83672=LINE('',#525612,#122589); #83673=LINE('',#525615,#122590); #83674=LINE('',#525617,#122591); #83675=LINE('',#525618,#122592); #83676=LINE('',#525621,#122593); #83677=LINE('',#525623,#122594); #83678=LINE('',#525624,#122595); #83679=LINE('',#525627,#122596); #83680=LINE('',#525629,#122597); #83681=LINE('',#525630,#122598); #83682=LINE('',#525633,#122599); #83683=LINE('',#525635,#122600); #83684=LINE('',#525636,#122601); #83685=LINE('',#525639,#122602); #83686=LINE('',#525641,#122603); #83687=LINE('',#525642,#122604); #83688=LINE('',#525645,#122605); #83689=LINE('',#525647,#122606); #83690=LINE('',#525648,#122607); #83691=LINE('',#525651,#122608); #83692=LINE('',#525653,#122609); #83693=LINE('',#525654,#122610); #83694=LINE('',#525657,#122611); #83695=LINE('',#525659,#122612); #83696=LINE('',#525660,#122613); #83697=LINE('',#525663,#122614); #83698=LINE('',#525665,#122615); #83699=LINE('',#525666,#122616); #83700=LINE('',#525669,#122617); #83701=LINE('',#525671,#122618); #83702=LINE('',#525672,#122619); #83703=LINE('',#525675,#122620); #83704=LINE('',#525677,#122621); #83705=LINE('',#525678,#122622); #83706=LINE('',#525681,#122623); #83707=LINE('',#525683,#122624); #83708=LINE('',#525684,#122625); #83709=LINE('',#525687,#122626); #83710=LINE('',#525689,#122627); #83711=LINE('',#525690,#122628); #83712=LINE('',#525693,#122629); #83713=LINE('',#525695,#122630); #83714=LINE('',#525696,#122631); #83715=LINE('',#525699,#122632); #83716=LINE('',#525701,#122633); #83717=LINE('',#525702,#122634); #83718=LINE('',#525705,#122635); #83719=LINE('',#525707,#122636); #83720=LINE('',#525708,#122637); #83721=LINE('',#525711,#122638); #83722=LINE('',#525713,#122639); #83723=LINE('',#525714,#122640); #83724=LINE('',#525717,#122641); #83725=LINE('',#525719,#122642); #83726=LINE('',#525720,#122643); #83727=LINE('',#525723,#122644); #83728=LINE('',#525725,#122645); #83729=LINE('',#525726,#122646); #83730=LINE('',#525729,#122647); #83731=LINE('',#525731,#122648); #83732=LINE('',#525732,#122649); #83733=LINE('',#525735,#122650); #83734=LINE('',#525737,#122651); #83735=LINE('',#525738,#122652); #83736=LINE('',#525741,#122653); #83737=LINE('',#525743,#122654); #83738=LINE('',#525744,#122655); #83739=LINE('',#525747,#122656); #83740=LINE('',#525749,#122657); #83741=LINE('',#525750,#122658); #83742=LINE('',#525753,#122659); #83743=LINE('',#525755,#122660); #83744=LINE('',#525756,#122661); #83745=LINE('',#525759,#122662); #83746=LINE('',#525761,#122663); #83747=LINE('',#525762,#122664); #83748=LINE('',#525765,#122665); #83749=LINE('',#525767,#122666); #83750=LINE('',#525768,#122667); #83751=LINE('',#525771,#122668); #83752=LINE('',#525773,#122669); #83753=LINE('',#525774,#122670); #83754=LINE('',#525777,#122671); #83755=LINE('',#525779,#122672); #83756=LINE('',#525780,#122673); #83757=LINE('',#525783,#122674); #83758=LINE('',#525785,#122675); #83759=LINE('',#525786,#122676); #83760=LINE('',#525789,#122677); #83761=LINE('',#525791,#122678); #83762=LINE('',#525792,#122679); #83763=LINE('',#525795,#122680); #83764=LINE('',#525797,#122681); #83765=LINE('',#525798,#122682); #83766=LINE('',#525801,#122683); #83767=LINE('',#525803,#122684); #83768=LINE('',#525804,#122685); #83769=LINE('',#525807,#122686); #83770=LINE('',#525809,#122687); #83771=LINE('',#525810,#122688); #83772=LINE('',#525813,#122689); #83773=LINE('',#525815,#122690); #83774=LINE('',#525816,#122691); #83775=LINE('',#525819,#122692); #83776=LINE('',#525821,#122693); #83777=LINE('',#525822,#122694); #83778=LINE('',#525825,#122695); #83779=LINE('',#525827,#122696); #83780=LINE('',#525828,#122697); #83781=LINE('',#525831,#122698); #83782=LINE('',#525833,#122699); #83783=LINE('',#525834,#122700); #83784=LINE('',#525837,#122701); #83785=LINE('',#525839,#122702); #83786=LINE('',#525840,#122703); #83787=LINE('',#525843,#122704); #83788=LINE('',#525845,#122705); #83789=LINE('',#525846,#122706); #83790=LINE('',#525849,#122707); #83791=LINE('',#525851,#122708); #83792=LINE('',#525852,#122709); #83793=LINE('',#525855,#122710); #83794=LINE('',#525857,#122711); #83795=LINE('',#525858,#122712); #83796=LINE('',#525861,#122713); #83797=LINE('',#525863,#122714); #83798=LINE('',#525864,#122715); #83799=LINE('',#525867,#122716); #83800=LINE('',#525869,#122717); #83801=LINE('',#525870,#122718); #83802=LINE('',#525873,#122719); #83803=LINE('',#525875,#122720); #83804=LINE('',#525876,#122721); #83805=LINE('',#525879,#122722); #83806=LINE('',#525881,#122723); #83807=LINE('',#525882,#122724); #83808=LINE('',#525885,#122725); #83809=LINE('',#525887,#122726); #83810=LINE('',#525888,#122727); #83811=LINE('',#525891,#122728); #83812=LINE('',#525893,#122729); #83813=LINE('',#525894,#122730); #83814=LINE('',#525897,#122731); #83815=LINE('',#525899,#122732); #83816=LINE('',#525900,#122733); #83817=LINE('',#525903,#122734); #83818=LINE('',#525905,#122735); #83819=LINE('',#525906,#122736); #83820=LINE('',#525909,#122737); #83821=LINE('',#525911,#122738); #83822=LINE('',#525912,#122739); #83823=LINE('',#525915,#122740); #83824=LINE('',#525917,#122741); #83825=LINE('',#525918,#122742); #83826=LINE('',#525921,#122743); #83827=LINE('',#525923,#122744); #83828=LINE('',#525924,#122745); #83829=LINE('',#525927,#122746); #83830=LINE('',#525929,#122747); #83831=LINE('',#525930,#122748); #83832=LINE('',#525933,#122749); #83833=LINE('',#525935,#122750); #83834=LINE('',#525936,#122751); #83835=LINE('',#525939,#122752); #83836=LINE('',#525941,#122753); #83837=LINE('',#525942,#122754); #83838=LINE('',#525945,#122755); #83839=LINE('',#525947,#122756); #83840=LINE('',#525948,#122757); #83841=LINE('',#525951,#122758); #83842=LINE('',#525953,#122759); #83843=LINE('',#525954,#122760); #83844=LINE('',#525957,#122761); #83845=LINE('',#525959,#122762); #83846=LINE('',#525960,#122763); #83847=LINE('',#525963,#122764); #83848=LINE('',#525965,#122765); #83849=LINE('',#525966,#122766); #83850=LINE('',#525969,#122767); #83851=LINE('',#525971,#122768); #83852=LINE('',#525972,#122769); #83853=LINE('',#525975,#122770); #83854=LINE('',#525977,#122771); #83855=LINE('',#525978,#122772); #83856=LINE('',#525981,#122773); #83857=LINE('',#525983,#122774); #83858=LINE('',#525984,#122775); #83859=LINE('',#525987,#122776); #83860=LINE('',#525989,#122777); #83861=LINE('',#525990,#122778); #83862=LINE('',#525993,#122779); #83863=LINE('',#525995,#122780); #83864=LINE('',#525996,#122781); #83865=LINE('',#525999,#122782); #83866=LINE('',#526001,#122783); #83867=LINE('',#526002,#122784); #83868=LINE('',#526005,#122785); #83869=LINE('',#526007,#122786); #83870=LINE('',#526008,#122787); #83871=LINE('',#526011,#122788); #83872=LINE('',#526013,#122789); #83873=LINE('',#526014,#122790); #83874=LINE('',#526017,#122791); #83875=LINE('',#526019,#122792); #83876=LINE('',#526020,#122793); #83877=LINE('',#526023,#122794); #83878=LINE('',#526025,#122795); #83879=LINE('',#526026,#122796); #83880=LINE('',#526029,#122797); #83881=LINE('',#526031,#122798); #83882=LINE('',#526032,#122799); #83883=LINE('',#526035,#122800); #83884=LINE('',#526037,#122801); #83885=LINE('',#526038,#122802); #83886=LINE('',#526041,#122803); #83887=LINE('',#526043,#122804); #83888=LINE('',#526044,#122805); #83889=LINE('',#526047,#122806); #83890=LINE('',#526049,#122807); #83891=LINE('',#526050,#122808); #83892=LINE('',#526053,#122809); #83893=LINE('',#526055,#122810); #83894=LINE('',#526056,#122811); #83895=LINE('',#526059,#122812); #83896=LINE('',#526061,#122813); #83897=LINE('',#526062,#122814); #83898=LINE('',#526065,#122815); #83899=LINE('',#526067,#122816); #83900=LINE('',#526068,#122817); #83901=LINE('',#526071,#122818); #83902=LINE('',#526073,#122819); #83903=LINE('',#526074,#122820); #83904=LINE('',#526077,#122821); #83905=LINE('',#526079,#122822); #83906=LINE('',#526080,#122823); #83907=LINE('',#526083,#122824); #83908=LINE('',#526085,#122825); #83909=LINE('',#526086,#122826); #83910=LINE('',#526089,#122827); #83911=LINE('',#526091,#122828); #83912=LINE('',#526092,#122829); #83913=LINE('',#526095,#122830); #83914=LINE('',#526097,#122831); #83915=LINE('',#526098,#122832); #83916=LINE('',#526101,#122833); #83917=LINE('',#526103,#122834); #83918=LINE('',#526104,#122835); #83919=LINE('',#526107,#122836); #83920=LINE('',#526109,#122837); #83921=LINE('',#526110,#122838); #83922=LINE('',#526113,#122839); #83923=LINE('',#526115,#122840); #83924=LINE('',#526116,#122841); #83925=LINE('',#526119,#122842); #83926=LINE('',#526121,#122843); #83927=LINE('',#526122,#122844); #83928=LINE('',#526125,#122845); #83929=LINE('',#526127,#122846); #83930=LINE('',#526128,#122847); #83931=LINE('',#526131,#122848); #83932=LINE('',#526133,#122849); #83933=LINE('',#526134,#122850); #83934=LINE('',#526137,#122851); #83935=LINE('',#526139,#122852); #83936=LINE('',#526140,#122853); #83937=LINE('',#526143,#122854); #83938=LINE('',#526145,#122855); #83939=LINE('',#526146,#122856); #83940=LINE('',#526149,#122857); #83941=LINE('',#526151,#122858); #83942=LINE('',#526152,#122859); #83943=LINE('',#526155,#122860); #83944=LINE('',#526157,#122861); #83945=LINE('',#526158,#122862); #83946=LINE('',#526161,#122863); #83947=LINE('',#526163,#122864); #83948=LINE('',#526164,#122865); #83949=LINE('',#526167,#122866); #83950=LINE('',#526169,#122867); #83951=LINE('',#526170,#122868); #83952=LINE('',#526173,#122869); #83953=LINE('',#526175,#122870); #83954=LINE('',#526176,#122871); #83955=LINE('',#526179,#122872); #83956=LINE('',#526181,#122873); #83957=LINE('',#526182,#122874); #83958=LINE('',#526185,#122875); #83959=LINE('',#526187,#122876); #83960=LINE('',#526188,#122877); #83961=LINE('',#526191,#122878); #83962=LINE('',#526193,#122879); #83963=LINE('',#526194,#122880); #83964=LINE('',#526197,#122881); #83965=LINE('',#526199,#122882); #83966=LINE('',#526200,#122883); #83967=LINE('',#526203,#122884); #83968=LINE('',#526205,#122885); #83969=LINE('',#526206,#122886); #83970=LINE('',#526209,#122887); #83971=LINE('',#526211,#122888); #83972=LINE('',#526212,#122889); #83973=LINE('',#526215,#122890); #83974=LINE('',#526217,#122891); #83975=LINE('',#526218,#122892); #83976=LINE('',#526221,#122893); #83977=LINE('',#526223,#122894); #83978=LINE('',#526224,#122895); #83979=LINE('',#526227,#122896); #83980=LINE('',#526229,#122897); #83981=LINE('',#526230,#122898); #83982=LINE('',#526233,#122899); #83983=LINE('',#526235,#122900); #83984=LINE('',#526236,#122901); #83985=LINE('',#526239,#122902); #83986=LINE('',#526241,#122903); #83987=LINE('',#526242,#122904); #83988=LINE('',#526245,#122905); #83989=LINE('',#526247,#122906); #83990=LINE('',#526248,#122907); #83991=LINE('',#526251,#122908); #83992=LINE('',#526253,#122909); #83993=LINE('',#526254,#122910); #83994=LINE('',#526257,#122911); #83995=LINE('',#526259,#122912); #83996=LINE('',#526260,#122913); #83997=LINE('',#526263,#122914); #83998=LINE('',#526265,#122915); #83999=LINE('',#526266,#122916); #84000=LINE('',#526269,#122917); #84001=LINE('',#526271,#122918); #84002=LINE('',#526272,#122919); #84003=LINE('',#526275,#122920); #84004=LINE('',#526277,#122921); #84005=LINE('',#526278,#122922); #84006=LINE('',#526281,#122923); #84007=LINE('',#526283,#122924); #84008=LINE('',#526284,#122925); #84009=LINE('',#526287,#122926); #84010=LINE('',#526289,#122927); #84011=LINE('',#526290,#122928); #84012=LINE('',#526292,#122929); #84013=LINE('',#526293,#122930); #84014=LINE('',#526299,#122931); #84015=LINE('',#526301,#122932); #84016=LINE('',#526303,#122933); #84017=LINE('',#526304,#122934); #84018=LINE('',#526307,#122935); #84019=LINE('',#526309,#122936); #84020=LINE('',#526310,#122937); #84021=LINE('',#526313,#122938); #84022=LINE('',#526315,#122939); #84023=LINE('',#526316,#122940); #84024=LINE('',#526319,#122941); #84025=LINE('',#526321,#122942); #84026=LINE('',#526322,#122943); #84027=LINE('',#526325,#122944); #84028=LINE('',#526327,#122945); #84029=LINE('',#526328,#122946); #84030=LINE('',#526331,#122947); #84031=LINE('',#526333,#122948); #84032=LINE('',#526334,#122949); #84033=LINE('',#526337,#122950); #84034=LINE('',#526339,#122951); #84035=LINE('',#526340,#122952); #84036=LINE('',#526343,#122953); #84037=LINE('',#526345,#122954); #84038=LINE('',#526346,#122955); #84039=LINE('',#526349,#122956); #84040=LINE('',#526351,#122957); #84041=LINE('',#526352,#122958); #84042=LINE('',#526355,#122959); #84043=LINE('',#526357,#122960); #84044=LINE('',#526358,#122961); #84045=LINE('',#526361,#122962); #84046=LINE('',#526363,#122963); #84047=LINE('',#526364,#122964); #84048=LINE('',#526367,#122965); #84049=LINE('',#526369,#122966); #84050=LINE('',#526370,#122967); #84051=LINE('',#526373,#122968); #84052=LINE('',#526375,#122969); #84053=LINE('',#526376,#122970); #84054=LINE('',#526379,#122971); #84055=LINE('',#526381,#122972); #84056=LINE('',#526382,#122973); #84057=LINE('',#526385,#122974); #84058=LINE('',#526387,#122975); #84059=LINE('',#526388,#122976); #84060=LINE('',#526391,#122977); #84061=LINE('',#526393,#122978); #84062=LINE('',#526394,#122979); #84063=LINE('',#526397,#122980); #84064=LINE('',#526399,#122981); #84065=LINE('',#526400,#122982); #84066=LINE('',#526403,#122983); #84067=LINE('',#526405,#122984); #84068=LINE('',#526406,#122985); #84069=LINE('',#526409,#122986); #84070=LINE('',#526411,#122987); #84071=LINE('',#526412,#122988); #84072=LINE('',#526415,#122989); #84073=LINE('',#526417,#122990); #84074=LINE('',#526418,#122991); #84075=LINE('',#526420,#122992); #84076=LINE('',#526421,#122993); #84077=LINE('',#526427,#122994); #84078=LINE('',#526429,#122995); #84079=LINE('',#526431,#122996); #84080=LINE('',#526432,#122997); #84081=LINE('',#526435,#122998); #84082=LINE('',#526437,#122999); #84083=LINE('',#526438,#123000); #84084=LINE('',#526441,#123001); #84085=LINE('',#526443,#123002); #84086=LINE('',#526444,#123003); #84087=LINE('',#526447,#123004); #84088=LINE('',#526449,#123005); #84089=LINE('',#526450,#123006); #84090=LINE('',#526453,#123007); #84091=LINE('',#526455,#123008); #84092=LINE('',#526456,#123009); #84093=LINE('',#526459,#123010); #84094=LINE('',#526461,#123011); #84095=LINE('',#526462,#123012); #84096=LINE('',#526465,#123013); #84097=LINE('',#526467,#123014); #84098=LINE('',#526468,#123015); #84099=LINE('',#526471,#123016); #84100=LINE('',#526473,#123017); #84101=LINE('',#526474,#123018); #84102=LINE('',#526477,#123019); #84103=LINE('',#526479,#123020); #84104=LINE('',#526480,#123021); #84105=LINE('',#526483,#123022); #84106=LINE('',#526485,#123023); #84107=LINE('',#526486,#123024); #84108=LINE('',#526489,#123025); #84109=LINE('',#526491,#123026); #84110=LINE('',#526492,#123027); #84111=LINE('',#526495,#123028); #84112=LINE('',#526497,#123029); #84113=LINE('',#526498,#123030); #84114=LINE('',#526501,#123031); #84115=LINE('',#526503,#123032); #84116=LINE('',#526504,#123033); #84117=LINE('',#526507,#123034); #84118=LINE('',#526509,#123035); #84119=LINE('',#526510,#123036); #84120=LINE('',#526513,#123037); #84121=LINE('',#526515,#123038); #84122=LINE('',#526516,#123039); #84123=LINE('',#526519,#123040); #84124=LINE('',#526521,#123041); #84125=LINE('',#526522,#123042); #84126=LINE('',#526525,#123043); #84127=LINE('',#526527,#123044); #84128=LINE('',#526528,#123045); #84129=LINE('',#526531,#123046); #84130=LINE('',#526533,#123047); #84131=LINE('',#526534,#123048); #84132=LINE('',#526537,#123049); #84133=LINE('',#526539,#123050); #84134=LINE('',#526540,#123051); #84135=LINE('',#526543,#123052); #84136=LINE('',#526545,#123053); #84137=LINE('',#526546,#123054); #84138=LINE('',#526548,#123055); #84139=LINE('',#526549,#123056); #84140=LINE('',#526555,#123057); #84141=LINE('',#526557,#123058); #84142=LINE('',#526559,#123059); #84143=LINE('',#526560,#123060); #84144=LINE('',#526563,#123061); #84145=LINE('',#526565,#123062); #84146=LINE('',#526566,#123063); #84147=LINE('',#526569,#123064); #84148=LINE('',#526571,#123065); #84149=LINE('',#526572,#123066); #84150=LINE('',#526575,#123067); #84151=LINE('',#526577,#123068); #84152=LINE('',#526578,#123069); #84153=LINE('',#526581,#123070); #84154=LINE('',#526583,#123071); #84155=LINE('',#526584,#123072); #84156=LINE('',#526587,#123073); #84157=LINE('',#526589,#123074); #84158=LINE('',#526590,#123075); #84159=LINE('',#526593,#123076); #84160=LINE('',#526595,#123077); #84161=LINE('',#526596,#123078); #84162=LINE('',#526599,#123079); #84163=LINE('',#526601,#123080); #84164=LINE('',#526602,#123081); #84165=LINE('',#526605,#123082); #84166=LINE('',#526607,#123083); #84167=LINE('',#526608,#123084); #84168=LINE('',#526611,#123085); #84169=LINE('',#526613,#123086); #84170=LINE('',#526614,#123087); #84171=LINE('',#526617,#123088); #84172=LINE('',#526619,#123089); #84173=LINE('',#526620,#123090); #84174=LINE('',#526623,#123091); #84175=LINE('',#526625,#123092); #84176=LINE('',#526626,#123093); #84177=LINE('',#526629,#123094); #84178=LINE('',#526631,#123095); #84179=LINE('',#526632,#123096); #84180=LINE('',#526635,#123097); #84181=LINE('',#526637,#123098); #84182=LINE('',#526638,#123099); #84183=LINE('',#526641,#123100); #84184=LINE('',#526643,#123101); #84185=LINE('',#526644,#123102); #84186=LINE('',#526647,#123103); #84187=LINE('',#526649,#123104); #84188=LINE('',#526650,#123105); #84189=LINE('',#526653,#123106); #84190=LINE('',#526655,#123107); #84191=LINE('',#526656,#123108); #84192=LINE('',#526659,#123109); #84193=LINE('',#526661,#123110); #84194=LINE('',#526662,#123111); #84195=LINE('',#526664,#123112); #84196=LINE('',#526665,#123113); #84197=LINE('',#526671,#123114); #84198=LINE('',#526673,#123115); #84199=LINE('',#526675,#123116); #84200=LINE('',#526676,#123117); #84201=LINE('',#526679,#123118); #84202=LINE('',#526681,#123119); #84203=LINE('',#526682,#123120); #84204=LINE('',#526685,#123121); #84205=LINE('',#526687,#123122); #84206=LINE('',#526688,#123123); #84207=LINE('',#526691,#123124); #84208=LINE('',#526693,#123125); #84209=LINE('',#526694,#123126); #84210=LINE('',#526697,#123127); #84211=LINE('',#526699,#123128); #84212=LINE('',#526700,#123129); #84213=LINE('',#526703,#123130); #84214=LINE('',#526705,#123131); #84215=LINE('',#526706,#123132); #84216=LINE('',#526709,#123133); #84217=LINE('',#526711,#123134); #84218=LINE('',#526712,#123135); #84219=LINE('',#526715,#123136); #84220=LINE('',#526717,#123137); #84221=LINE('',#526718,#123138); #84222=LINE('',#526721,#123139); #84223=LINE('',#526723,#123140); #84224=LINE('',#526724,#123141); #84225=LINE('',#526727,#123142); #84226=LINE('',#526729,#123143); #84227=LINE('',#526730,#123144); #84228=LINE('',#526733,#123145); #84229=LINE('',#526735,#123146); #84230=LINE('',#526736,#123147); #84231=LINE('',#526739,#123148); #84232=LINE('',#526741,#123149); #84233=LINE('',#526742,#123150); #84234=LINE('',#526745,#123151); #84235=LINE('',#526747,#123152); #84236=LINE('',#526748,#123153); #84237=LINE('',#526751,#123154); #84238=LINE('',#526753,#123155); #84239=LINE('',#526754,#123156); #84240=LINE('',#526757,#123157); #84241=LINE('',#526759,#123158); #84242=LINE('',#526760,#123159); #84243=LINE('',#526763,#123160); #84244=LINE('',#526765,#123161); #84245=LINE('',#526766,#123162); #84246=LINE('',#526769,#123163); #84247=LINE('',#526771,#123164); #84248=LINE('',#526772,#123165); #84249=LINE('',#526775,#123166); #84250=LINE('',#526777,#123167); #84251=LINE('',#526778,#123168); #84252=LINE('',#526780,#123169); #84253=LINE('',#526781,#123170); #84254=LINE('',#526787,#123171); #84255=LINE('',#526789,#123172); #84256=LINE('',#526791,#123173); #84257=LINE('',#526792,#123174); #84258=LINE('',#526795,#123175); #84259=LINE('',#526797,#123176); #84260=LINE('',#526798,#123177); #84261=LINE('',#526801,#123178); #84262=LINE('',#526803,#123179); #84263=LINE('',#526804,#123180); #84264=LINE('',#526807,#123181); #84265=LINE('',#526809,#123182); #84266=LINE('',#526810,#123183); #84267=LINE('',#526813,#123184); #84268=LINE('',#526815,#123185); #84269=LINE('',#526816,#123186); #84270=LINE('',#526819,#123187); #84271=LINE('',#526821,#123188); #84272=LINE('',#526822,#123189); #84273=LINE('',#526825,#123190); #84274=LINE('',#526827,#123191); #84275=LINE('',#526828,#123192); #84276=LINE('',#526831,#123193); #84277=LINE('',#526833,#123194); #84278=LINE('',#526834,#123195); #84279=LINE('',#526837,#123196); #84280=LINE('',#526839,#123197); #84281=LINE('',#526840,#123198); #84282=LINE('',#526843,#123199); #84283=LINE('',#526845,#123200); #84284=LINE('',#526846,#123201); #84285=LINE('',#526849,#123202); #84286=LINE('',#526851,#123203); #84287=LINE('',#526852,#123204); #84288=LINE('',#526855,#123205); #84289=LINE('',#526857,#123206); #84290=LINE('',#526858,#123207); #84291=LINE('',#526861,#123208); #84292=LINE('',#526863,#123209); #84293=LINE('',#526864,#123210); #84294=LINE('',#526867,#123211); #84295=LINE('',#526869,#123212); #84296=LINE('',#526870,#123213); #84297=LINE('',#526873,#123214); #84298=LINE('',#526875,#123215); #84299=LINE('',#526876,#123216); #84300=LINE('',#526879,#123217); #84301=LINE('',#526881,#123218); #84302=LINE('',#526882,#123219); #84303=LINE('',#526885,#123220); #84304=LINE('',#526887,#123221); #84305=LINE('',#526888,#123222); #84306=LINE('',#526891,#123223); #84307=LINE('',#526893,#123224); #84308=LINE('',#526894,#123225); #84309=LINE('',#526896,#123226); #84310=LINE('',#526897,#123227); #84311=LINE('',#526903,#123228); #84312=LINE('',#526905,#123229); #84313=LINE('',#526907,#123230); #84314=LINE('',#526908,#123231); #84315=LINE('',#526911,#123232); #84316=LINE('',#526913,#123233); #84317=LINE('',#526914,#123234); #84318=LINE('',#526917,#123235); #84319=LINE('',#526919,#123236); #84320=LINE('',#526920,#123237); #84321=LINE('',#526923,#123238); #84322=LINE('',#526925,#123239); #84323=LINE('',#526926,#123240); #84324=LINE('',#526929,#123241); #84325=LINE('',#526931,#123242); #84326=LINE('',#526932,#123243); #84327=LINE('',#526935,#123244); #84328=LINE('',#526937,#123245); #84329=LINE('',#526938,#123246); #84330=LINE('',#526941,#123247); #84331=LINE('',#526943,#123248); #84332=LINE('',#526944,#123249); #84333=LINE('',#526947,#123250); #84334=LINE('',#526949,#123251); #84335=LINE('',#526950,#123252); #84336=LINE('',#526953,#123253); #84337=LINE('',#526955,#123254); #84338=LINE('',#526956,#123255); #84339=LINE('',#526959,#123256); #84340=LINE('',#526961,#123257); #84341=LINE('',#526962,#123258); #84342=LINE('',#526965,#123259); #84343=LINE('',#526967,#123260); #84344=LINE('',#526968,#123261); #84345=LINE('',#526971,#123262); #84346=LINE('',#526973,#123263); #84347=LINE('',#526974,#123264); #84348=LINE('',#526977,#123265); #84349=LINE('',#526979,#123266); #84350=LINE('',#526980,#123267); #84351=LINE('',#526983,#123268); #84352=LINE('',#526985,#123269); #84353=LINE('',#526986,#123270); #84354=LINE('',#526989,#123271); #84355=LINE('',#526991,#123272); #84356=LINE('',#526992,#123273); #84357=LINE('',#526995,#123274); #84358=LINE('',#526997,#123275); #84359=LINE('',#526998,#123276); #84360=LINE('',#527001,#123277); #84361=LINE('',#527003,#123278); #84362=LINE('',#527004,#123279); #84363=LINE('',#527007,#123280); #84364=LINE('',#527009,#123281); #84365=LINE('',#527010,#123282); #84366=LINE('',#527013,#123283); #84367=LINE('',#527015,#123284); #84368=LINE('',#527016,#123285); #84369=LINE('',#527019,#123286); #84370=LINE('',#527021,#123287); #84371=LINE('',#527022,#123288); #84372=LINE('',#527025,#123289); #84373=LINE('',#527027,#123290); #84374=LINE('',#527028,#123291); #84375=LINE('',#527031,#123292); #84376=LINE('',#527033,#123293); #84377=LINE('',#527034,#123294); #84378=LINE('',#527037,#123295); #84379=LINE('',#527039,#123296); #84380=LINE('',#527040,#123297); #84381=LINE('',#527043,#123298); #84382=LINE('',#527045,#123299); #84383=LINE('',#527046,#123300); #84384=LINE('',#527049,#123301); #84385=LINE('',#527051,#123302); #84386=LINE('',#527052,#123303); #84387=LINE('',#527055,#123304); #84388=LINE('',#527057,#123305); #84389=LINE('',#527058,#123306); #84390=LINE('',#527061,#123307); #84391=LINE('',#527063,#123308); #84392=LINE('',#527064,#123309); #84393=LINE('',#527067,#123310); #84394=LINE('',#527069,#123311); #84395=LINE('',#527070,#123312); #84396=LINE('',#527073,#123313); #84397=LINE('',#527075,#123314); #84398=LINE('',#527076,#123315); #84399=LINE('',#527079,#123316); #84400=LINE('',#527081,#123317); #84401=LINE('',#527082,#123318); #84402=LINE('',#527085,#123319); #84403=LINE('',#527087,#123320); #84404=LINE('',#527088,#123321); #84405=LINE('',#527091,#123322); #84406=LINE('',#527093,#123323); #84407=LINE('',#527094,#123324); #84408=LINE('',#527097,#123325); #84409=LINE('',#527099,#123326); #84410=LINE('',#527100,#123327); #84411=LINE('',#527103,#123328); #84412=LINE('',#527105,#123329); #84413=LINE('',#527106,#123330); #84414=LINE('',#527109,#123331); #84415=LINE('',#527111,#123332); #84416=LINE('',#527112,#123333); #84417=LINE('',#527115,#123334); #84418=LINE('',#527117,#123335); #84419=LINE('',#527118,#123336); #84420=LINE('',#527120,#123337); #84421=LINE('',#527121,#123338); #84422=LINE('',#527127,#123339); #84423=LINE('',#527129,#123340); #84424=LINE('',#527131,#123341); #84425=LINE('',#527132,#123342); #84426=LINE('',#527135,#123343); #84427=LINE('',#527137,#123344); #84428=LINE('',#527138,#123345); #84429=LINE('',#527141,#123346); #84430=LINE('',#527143,#123347); #84431=LINE('',#527144,#123348); #84432=LINE('',#527147,#123349); #84433=LINE('',#527149,#123350); #84434=LINE('',#527150,#123351); #84435=LINE('',#527153,#123352); #84436=LINE('',#527155,#123353); #84437=LINE('',#527156,#123354); #84438=LINE('',#527159,#123355); #84439=LINE('',#527161,#123356); #84440=LINE('',#527162,#123357); #84441=LINE('',#527165,#123358); #84442=LINE('',#527167,#123359); #84443=LINE('',#527168,#123360); #84444=LINE('',#527171,#123361); #84445=LINE('',#527173,#123362); #84446=LINE('',#527174,#123363); #84447=LINE('',#527177,#123364); #84448=LINE('',#527179,#123365); #84449=LINE('',#527180,#123366); #84450=LINE('',#527183,#123367); #84451=LINE('',#527185,#123368); #84452=LINE('',#527186,#123369); #84453=LINE('',#527189,#123370); #84454=LINE('',#527191,#123371); #84455=LINE('',#527192,#123372); #84456=LINE('',#527195,#123373); #84457=LINE('',#527197,#123374); #84458=LINE('',#527198,#123375); #84459=LINE('',#527201,#123376); #84460=LINE('',#527203,#123377); #84461=LINE('',#527204,#123378); #84462=LINE('',#527207,#123379); #84463=LINE('',#527209,#123380); #84464=LINE('',#527210,#123381); #84465=LINE('',#527213,#123382); #84466=LINE('',#527215,#123383); #84467=LINE('',#527216,#123384); #84468=LINE('',#527219,#123385); #84469=LINE('',#527221,#123386); #84470=LINE('',#527222,#123387); #84471=LINE('',#527225,#123388); #84472=LINE('',#527227,#123389); #84473=LINE('',#527228,#123390); #84474=LINE('',#527231,#123391); #84475=LINE('',#527233,#123392); #84476=LINE('',#527234,#123393); #84477=LINE('',#527237,#123394); #84478=LINE('',#527239,#123395); #84479=LINE('',#527240,#123396); #84480=LINE('',#527242,#123397); #84481=LINE('',#527243,#123398); #84482=LINE('',#527249,#123399); #84483=LINE('',#527251,#123400); #84484=LINE('',#527253,#123401); #84485=LINE('',#527254,#123402); #84486=LINE('',#527257,#123403); #84487=LINE('',#527259,#123404); #84488=LINE('',#527260,#123405); #84489=LINE('',#527263,#123406); #84490=LINE('',#527265,#123407); #84491=LINE('',#527266,#123408); #84492=LINE('',#527269,#123409); #84493=LINE('',#527271,#123410); #84494=LINE('',#527272,#123411); #84495=LINE('',#527275,#123412); #84496=LINE('',#527277,#123413); #84497=LINE('',#527278,#123414); #84498=LINE('',#527281,#123415); #84499=LINE('',#527283,#123416); #84500=LINE('',#527284,#123417); #84501=LINE('',#527287,#123418); #84502=LINE('',#527289,#123419); #84503=LINE('',#527290,#123420); #84504=LINE('',#527293,#123421); #84505=LINE('',#527295,#123422); #84506=LINE('',#527296,#123423); #84507=LINE('',#527299,#123424); #84508=LINE('',#527301,#123425); #84509=LINE('',#527302,#123426); #84510=LINE('',#527305,#123427); #84511=LINE('',#527307,#123428); #84512=LINE('',#527308,#123429); #84513=LINE('',#527311,#123430); #84514=LINE('',#527313,#123431); #84515=LINE('',#527314,#123432); #84516=LINE('',#527317,#123433); #84517=LINE('',#527319,#123434); #84518=LINE('',#527320,#123435); #84519=LINE('',#527323,#123436); #84520=LINE('',#527325,#123437); #84521=LINE('',#527326,#123438); #84522=LINE('',#527329,#123439); #84523=LINE('',#527331,#123440); #84524=LINE('',#527332,#123441); #84525=LINE('',#527335,#123442); #84526=LINE('',#527337,#123443); #84527=LINE('',#527338,#123444); #84528=LINE('',#527341,#123445); #84529=LINE('',#527343,#123446); #84530=LINE('',#527344,#123447); #84531=LINE('',#527347,#123448); #84532=LINE('',#527349,#123449); #84533=LINE('',#527350,#123450); #84534=LINE('',#527353,#123451); #84535=LINE('',#527355,#123452); #84536=LINE('',#527356,#123453); #84537=LINE('',#527359,#123454); #84538=LINE('',#527361,#123455); #84539=LINE('',#527362,#123456); #84540=LINE('',#527365,#123457); #84541=LINE('',#527367,#123458); #84542=LINE('',#527368,#123459); #84543=LINE('',#527371,#123460); #84544=LINE('',#527373,#123461); #84545=LINE('',#527374,#123462); #84546=LINE('',#527377,#123463); #84547=LINE('',#527379,#123464); #84548=LINE('',#527380,#123465); #84549=LINE('',#527383,#123466); #84550=LINE('',#527385,#123467); #84551=LINE('',#527386,#123468); #84552=LINE('',#527389,#123469); #84553=LINE('',#527391,#123470); #84554=LINE('',#527392,#123471); #84555=LINE('',#527395,#123472); #84556=LINE('',#527397,#123473); #84557=LINE('',#527398,#123474); #84558=LINE('',#527401,#123475); #84559=LINE('',#527403,#123476); #84560=LINE('',#527404,#123477); #84561=LINE('',#527407,#123478); #84562=LINE('',#527409,#123479); #84563=LINE('',#527410,#123480); #84564=LINE('',#527413,#123481); #84565=LINE('',#527415,#123482); #84566=LINE('',#527416,#123483); #84567=LINE('',#527419,#123484); #84568=LINE('',#527421,#123485); #84569=LINE('',#527422,#123486); #84570=LINE('',#527425,#123487); #84571=LINE('',#527427,#123488); #84572=LINE('',#527428,#123489); #84573=LINE('',#527431,#123490); #84574=LINE('',#527433,#123491); #84575=LINE('',#527434,#123492); #84576=LINE('',#527437,#123493); #84577=LINE('',#527439,#123494); #84578=LINE('',#527440,#123495); #84579=LINE('',#527443,#123496); #84580=LINE('',#527445,#123497); #84581=LINE('',#527446,#123498); #84582=LINE('',#527449,#123499); #84583=LINE('',#527451,#123500); #84584=LINE('',#527452,#123501); #84585=LINE('',#527455,#123502); #84586=LINE('',#527457,#123503); #84587=LINE('',#527458,#123504); #84588=LINE('',#527461,#123505); #84589=LINE('',#527463,#123506); #84590=LINE('',#527464,#123507); #84591=LINE('',#527467,#123508); #84592=LINE('',#527469,#123509); #84593=LINE('',#527470,#123510); #84594=LINE('',#527473,#123511); #84595=LINE('',#527475,#123512); #84596=LINE('',#527476,#123513); #84597=LINE('',#527479,#123514); #84598=LINE('',#527481,#123515); #84599=LINE('',#527482,#123516); #84600=LINE('',#527485,#123517); #84601=LINE('',#527487,#123518); #84602=LINE('',#527488,#123519); #84603=LINE('',#527491,#123520); #84604=LINE('',#527493,#123521); #84605=LINE('',#527494,#123522); #84606=LINE('',#527497,#123523); #84607=LINE('',#527499,#123524); #84608=LINE('',#527500,#123525); #84609=LINE('',#527503,#123526); #84610=LINE('',#527505,#123527); #84611=LINE('',#527506,#123528); #84612=LINE('',#527509,#123529); #84613=LINE('',#527511,#123530); #84614=LINE('',#527512,#123531); #84615=LINE('',#527515,#123532); #84616=LINE('',#527517,#123533); #84617=LINE('',#527518,#123534); #84618=LINE('',#527521,#123535); #84619=LINE('',#527523,#123536); #84620=LINE('',#527524,#123537); #84621=LINE('',#527527,#123538); #84622=LINE('',#527529,#123539); #84623=LINE('',#527530,#123540); #84624=LINE('',#527532,#123541); #84625=LINE('',#527533,#123542); #84626=LINE('',#527539,#123543); #84627=LINE('',#527541,#123544); #84628=LINE('',#527543,#123545); #84629=LINE('',#527544,#123546); #84630=LINE('',#527547,#123547); #84631=LINE('',#527549,#123548); #84632=LINE('',#527550,#123549); #84633=LINE('',#527553,#123550); #84634=LINE('',#527555,#123551); #84635=LINE('',#527556,#123552); #84636=LINE('',#527559,#123553); #84637=LINE('',#527561,#123554); #84638=LINE('',#527562,#123555); #84639=LINE('',#527565,#123556); #84640=LINE('',#527567,#123557); #84641=LINE('',#527568,#123558); #84642=LINE('',#527571,#123559); #84643=LINE('',#527573,#123560); #84644=LINE('',#527574,#123561); #84645=LINE('',#527577,#123562); #84646=LINE('',#527579,#123563); #84647=LINE('',#527580,#123564); #84648=LINE('',#527583,#123565); #84649=LINE('',#527585,#123566); #84650=LINE('',#527586,#123567); #84651=LINE('',#527589,#123568); #84652=LINE('',#527591,#123569); #84653=LINE('',#527592,#123570); #84654=LINE('',#527595,#123571); #84655=LINE('',#527597,#123572); #84656=LINE('',#527598,#123573); #84657=LINE('',#527601,#123574); #84658=LINE('',#527603,#123575); #84659=LINE('',#527604,#123576); #84660=LINE('',#527607,#123577); #84661=LINE('',#527609,#123578); #84662=LINE('',#527610,#123579); #84663=LINE('',#527613,#123580); #84664=LINE('',#527615,#123581); #84665=LINE('',#527616,#123582); #84666=LINE('',#527619,#123583); #84667=LINE('',#527621,#123584); #84668=LINE('',#527622,#123585); #84669=LINE('',#527625,#123586); #84670=LINE('',#527627,#123587); #84671=LINE('',#527628,#123588); #84672=LINE('',#527631,#123589); #84673=LINE('',#527633,#123590); #84674=LINE('',#527634,#123591); #84675=LINE('',#527637,#123592); #84676=LINE('',#527639,#123593); #84677=LINE('',#527640,#123594); #84678=LINE('',#527643,#123595); #84679=LINE('',#527645,#123596); #84680=LINE('',#527646,#123597); #84681=LINE('',#527649,#123598); #84682=LINE('',#527651,#123599); #84683=LINE('',#527652,#123600); #84684=LINE('',#527654,#123601); #84685=LINE('',#527655,#123602); #84686=LINE('',#527661,#123603); #84687=LINE('',#527663,#123604); #84688=LINE('',#527665,#123605); #84689=LINE('',#527666,#123606); #84690=LINE('',#527669,#123607); #84691=LINE('',#527671,#123608); #84692=LINE('',#527672,#123609); #84693=LINE('',#527675,#123610); #84694=LINE('',#527677,#123611); #84695=LINE('',#527678,#123612); #84696=LINE('',#527681,#123613); #84697=LINE('',#527683,#123614); #84698=LINE('',#527684,#123615); #84699=LINE('',#527687,#123616); #84700=LINE('',#527689,#123617); #84701=LINE('',#527690,#123618); #84702=LINE('',#527693,#123619); #84703=LINE('',#527695,#123620); #84704=LINE('',#527696,#123621); #84705=LINE('',#527699,#123622); #84706=LINE('',#527701,#123623); #84707=LINE('',#527702,#123624); #84708=LINE('',#527705,#123625); #84709=LINE('',#527707,#123626); #84710=LINE('',#527708,#123627); #84711=LINE('',#527711,#123628); #84712=LINE('',#527713,#123629); #84713=LINE('',#527714,#123630); #84714=LINE('',#527717,#123631); #84715=LINE('',#527719,#123632); #84716=LINE('',#527720,#123633); #84717=LINE('',#527723,#123634); #84718=LINE('',#527725,#123635); #84719=LINE('',#527726,#123636); #84720=LINE('',#527729,#123637); #84721=LINE('',#527731,#123638); #84722=LINE('',#527732,#123639); #84723=LINE('',#527735,#123640); #84724=LINE('',#527737,#123641); #84725=LINE('',#527738,#123642); #84726=LINE('',#527741,#123643); #84727=LINE('',#527743,#123644); #84728=LINE('',#527744,#123645); #84729=LINE('',#527747,#123646); #84730=LINE('',#527749,#123647); #84731=LINE('',#527750,#123648); #84732=LINE('',#527753,#123649); #84733=LINE('',#527755,#123650); #84734=LINE('',#527756,#123651); #84735=LINE('',#527759,#123652); #84736=LINE('',#527761,#123653); #84737=LINE('',#527762,#123654); #84738=LINE('',#527765,#123655); #84739=LINE('',#527767,#123656); #84740=LINE('',#527768,#123657); #84741=LINE('',#527771,#123658); #84742=LINE('',#527773,#123659); #84743=LINE('',#527774,#123660); #84744=LINE('',#527777,#123661); #84745=LINE('',#527779,#123662); #84746=LINE('',#527780,#123663); #84747=LINE('',#527783,#123664); #84748=LINE('',#527785,#123665); #84749=LINE('',#527786,#123666); #84750=LINE('',#527789,#123667); #84751=LINE('',#527791,#123668); #84752=LINE('',#527792,#123669); #84753=LINE('',#527795,#123670); #84754=LINE('',#527797,#123671); #84755=LINE('',#527798,#123672); #84756=LINE('',#527801,#123673); #84757=LINE('',#527803,#123674); #84758=LINE('',#527804,#123675); #84759=LINE('',#527807,#123676); #84760=LINE('',#527809,#123677); #84761=LINE('',#527810,#123678); #84762=LINE('',#527813,#123679); #84763=LINE('',#527815,#123680); #84764=LINE('',#527816,#123681); #84765=LINE('',#527819,#123682); #84766=LINE('',#527821,#123683); #84767=LINE('',#527822,#123684); #84768=LINE('',#527825,#123685); #84769=LINE('',#527827,#123686); #84770=LINE('',#527828,#123687); #84771=LINE('',#527831,#123688); #84772=LINE('',#527833,#123689); #84773=LINE('',#527834,#123690); #84774=LINE('',#527837,#123691); #84775=LINE('',#527839,#123692); #84776=LINE('',#527840,#123693); #84777=LINE('',#527842,#123694); #84778=LINE('',#527843,#123695); #84779=LINE('',#527849,#123696); #84780=LINE('',#527851,#123697); #84781=LINE('',#527853,#123698); #84782=LINE('',#527854,#123699); #84783=LINE('',#527857,#123700); #84784=LINE('',#527859,#123701); #84785=LINE('',#527860,#123702); #84786=LINE('',#527863,#123703); #84787=LINE('',#527865,#123704); #84788=LINE('',#527866,#123705); #84789=LINE('',#527869,#123706); #84790=LINE('',#527871,#123707); #84791=LINE('',#527872,#123708); #84792=LINE('',#527875,#123709); #84793=LINE('',#527877,#123710); #84794=LINE('',#527878,#123711); #84795=LINE('',#527881,#123712); #84796=LINE('',#527883,#123713); #84797=LINE('',#527884,#123714); #84798=LINE('',#527887,#123715); #84799=LINE('',#527889,#123716); #84800=LINE('',#527890,#123717); #84801=LINE('',#527893,#123718); #84802=LINE('',#527895,#123719); #84803=LINE('',#527896,#123720); #84804=LINE('',#527899,#123721); #84805=LINE('',#527901,#123722); #84806=LINE('',#527902,#123723); #84807=LINE('',#527905,#123724); #84808=LINE('',#527907,#123725); #84809=LINE('',#527908,#123726); #84810=LINE('',#527911,#123727); #84811=LINE('',#527913,#123728); #84812=LINE('',#527914,#123729); #84813=LINE('',#527917,#123730); #84814=LINE('',#527919,#123731); #84815=LINE('',#527920,#123732); #84816=LINE('',#527923,#123733); #84817=LINE('',#527925,#123734); #84818=LINE('',#527926,#123735); #84819=LINE('',#527929,#123736); #84820=LINE('',#527931,#123737); #84821=LINE('',#527932,#123738); #84822=LINE('',#527935,#123739); #84823=LINE('',#527937,#123740); #84824=LINE('',#527938,#123741); #84825=LINE('',#527941,#123742); #84826=LINE('',#527943,#123743); #84827=LINE('',#527944,#123744); #84828=LINE('',#527947,#123745); #84829=LINE('',#527949,#123746); #84830=LINE('',#527950,#123747); #84831=LINE('',#527953,#123748); #84832=LINE('',#527955,#123749); #84833=LINE('',#527956,#123750); #84834=LINE('',#527959,#123751); #84835=LINE('',#527961,#123752); #84836=LINE('',#527962,#123753); #84837=LINE('',#527964,#123754); #84838=LINE('',#527965,#123755); #84839=LINE('',#527971,#123756); #84840=LINE('',#527973,#123757); #84841=LINE('',#527975,#123758); #84842=LINE('',#527976,#123759); #84843=LINE('',#527979,#123760); #84844=LINE('',#527981,#123761); #84845=LINE('',#527982,#123762); #84846=LINE('',#527985,#123763); #84847=LINE('',#527987,#123764); #84848=LINE('',#527988,#123765); #84849=LINE('',#527991,#123766); #84850=LINE('',#527993,#123767); #84851=LINE('',#527994,#123768); #84852=LINE('',#527997,#123769); #84853=LINE('',#527999,#123770); #84854=LINE('',#528000,#123771); #84855=LINE('',#528003,#123772); #84856=LINE('',#528005,#123773); #84857=LINE('',#528006,#123774); #84858=LINE('',#528009,#123775); #84859=LINE('',#528011,#123776); #84860=LINE('',#528012,#123777); #84861=LINE('',#528015,#123778); #84862=LINE('',#528017,#123779); #84863=LINE('',#528018,#123780); #84864=LINE('',#528021,#123781); #84865=LINE('',#528023,#123782); #84866=LINE('',#528024,#123783); #84867=LINE('',#528027,#123784); #84868=LINE('',#528029,#123785); #84869=LINE('',#528030,#123786); #84870=LINE('',#528033,#123787); #84871=LINE('',#528035,#123788); #84872=LINE('',#528036,#123789); #84873=LINE('',#528039,#123790); #84874=LINE('',#528041,#123791); #84875=LINE('',#528042,#123792); #84876=LINE('',#528045,#123793); #84877=LINE('',#528047,#123794); #84878=LINE('',#528048,#123795); #84879=LINE('',#528051,#123796); #84880=LINE('',#528053,#123797); #84881=LINE('',#528054,#123798); #84882=LINE('',#528057,#123799); #84883=LINE('',#528059,#123800); #84884=LINE('',#528060,#123801); #84885=LINE('',#528063,#123802); #84886=LINE('',#528065,#123803); #84887=LINE('',#528066,#123804); #84888=LINE('',#528069,#123805); #84889=LINE('',#528071,#123806); #84890=LINE('',#528072,#123807); #84891=LINE('',#528075,#123808); #84892=LINE('',#528077,#123809); #84893=LINE('',#528078,#123810); #84894=LINE('',#528081,#123811); #84895=LINE('',#528083,#123812); #84896=LINE('',#528084,#123813); #84897=LINE('',#528087,#123814); #84898=LINE('',#528089,#123815); #84899=LINE('',#528090,#123816); #84900=LINE('',#528093,#123817); #84901=LINE('',#528095,#123818); #84902=LINE('',#528096,#123819); #84903=LINE('',#528099,#123820); #84904=LINE('',#528101,#123821); #84905=LINE('',#528102,#123822); #84906=LINE('',#528105,#123823); #84907=LINE('',#528107,#123824); #84908=LINE('',#528108,#123825); #84909=LINE('',#528111,#123826); #84910=LINE('',#528113,#123827); #84911=LINE('',#528114,#123828); #84912=LINE('',#528117,#123829); #84913=LINE('',#528119,#123830); #84914=LINE('',#528120,#123831); #84915=LINE('',#528123,#123832); #84916=LINE('',#528125,#123833); #84917=LINE('',#528126,#123834); #84918=LINE('',#528129,#123835); #84919=LINE('',#528131,#123836); #84920=LINE('',#528132,#123837); #84921=LINE('',#528135,#123838); #84922=LINE('',#528137,#123839); #84923=LINE('',#528138,#123840); #84924=LINE('',#528141,#123841); #84925=LINE('',#528143,#123842); #84926=LINE('',#528144,#123843); #84927=LINE('',#528147,#123844); #84928=LINE('',#528149,#123845); #84929=LINE('',#528150,#123846); #84930=LINE('',#528152,#123847); #84931=LINE('',#528153,#123848); #84932=LINE('',#528159,#123849); #84933=LINE('',#528161,#123850); #84934=LINE('',#528163,#123851); #84935=LINE('',#528164,#123852); #84936=LINE('',#528167,#123853); #84937=LINE('',#528169,#123854); #84938=LINE('',#528170,#123855); #84939=LINE('',#528173,#123856); #84940=LINE('',#528175,#123857); #84941=LINE('',#528176,#123858); #84942=LINE('',#528179,#123859); #84943=LINE('',#528181,#123860); #84944=LINE('',#528182,#123861); #84945=LINE('',#528185,#123862); #84946=LINE('',#528187,#123863); #84947=LINE('',#528188,#123864); #84948=LINE('',#528191,#123865); #84949=LINE('',#528193,#123866); #84950=LINE('',#528194,#123867); #84951=LINE('',#528197,#123868); #84952=LINE('',#528199,#123869); #84953=LINE('',#528200,#123870); #84954=LINE('',#528203,#123871); #84955=LINE('',#528205,#123872); #84956=LINE('',#528206,#123873); #84957=LINE('',#528209,#123874); #84958=LINE('',#528211,#123875); #84959=LINE('',#528212,#123876); #84960=LINE('',#528215,#123877); #84961=LINE('',#528217,#123878); #84962=LINE('',#528218,#123879); #84963=LINE('',#528221,#123880); #84964=LINE('',#528223,#123881); #84965=LINE('',#528224,#123882); #84966=LINE('',#528227,#123883); #84967=LINE('',#528229,#123884); #84968=LINE('',#528230,#123885); #84969=LINE('',#528233,#123886); #84970=LINE('',#528235,#123887); #84971=LINE('',#528236,#123888); #84972=LINE('',#528239,#123889); #84973=LINE('',#528241,#123890); #84974=LINE('',#528242,#123891); #84975=LINE('',#528245,#123892); #84976=LINE('',#528247,#123893); #84977=LINE('',#528248,#123894); #84978=LINE('',#528251,#123895); #84979=LINE('',#528253,#123896); #84980=LINE('',#528254,#123897); #84981=LINE('',#528257,#123898); #84982=LINE('',#528259,#123899); #84983=LINE('',#528260,#123900); #84984=LINE('',#528263,#123901); #84985=LINE('',#528265,#123902); #84986=LINE('',#528266,#123903); #84987=LINE('',#528269,#123904); #84988=LINE('',#528271,#123905); #84989=LINE('',#528272,#123906); #84990=LINE('',#528275,#123907); #84991=LINE('',#528277,#123908); #84992=LINE('',#528278,#123909); #84993=LINE('',#528280,#123910); #84994=LINE('',#528281,#123911); #84995=LINE('',#528287,#123912); #84996=LINE('',#528289,#123913); #84997=LINE('',#528291,#123914); #84998=LINE('',#528292,#123915); #84999=LINE('',#528295,#123916); #85000=LINE('',#528297,#123917); #85001=LINE('',#528298,#123918); #85002=LINE('',#528301,#123919); #85003=LINE('',#528303,#123920); #85004=LINE('',#528304,#123921); #85005=LINE('',#528307,#123922); #85006=LINE('',#528309,#123923); #85007=LINE('',#528310,#123924); #85008=LINE('',#528313,#123925); #85009=LINE('',#528315,#123926); #85010=LINE('',#528316,#123927); #85011=LINE('',#528319,#123928); #85012=LINE('',#528321,#123929); #85013=LINE('',#528322,#123930); #85014=LINE('',#528325,#123931); #85015=LINE('',#528327,#123932); #85016=LINE('',#528328,#123933); #85017=LINE('',#528331,#123934); #85018=LINE('',#528333,#123935); #85019=LINE('',#528334,#123936); #85020=LINE('',#528337,#123937); #85021=LINE('',#528339,#123938); #85022=LINE('',#528340,#123939); #85023=LINE('',#528343,#123940); #85024=LINE('',#528345,#123941); #85025=LINE('',#528346,#123942); #85026=LINE('',#528349,#123943); #85027=LINE('',#528351,#123944); #85028=LINE('',#528352,#123945); #85029=LINE('',#528355,#123946); #85030=LINE('',#528357,#123947); #85031=LINE('',#528358,#123948); #85032=LINE('',#528361,#123949); #85033=LINE('',#528363,#123950); #85034=LINE('',#528364,#123951); #85035=LINE('',#528367,#123952); #85036=LINE('',#528369,#123953); #85037=LINE('',#528370,#123954); #85038=LINE('',#528373,#123955); #85039=LINE('',#528375,#123956); #85040=LINE('',#528376,#123957); #85041=LINE('',#528379,#123958); #85042=LINE('',#528381,#123959); #85043=LINE('',#528382,#123960); #85044=LINE('',#528385,#123961); #85045=LINE('',#528387,#123962); #85046=LINE('',#528388,#123963); #85047=LINE('',#528391,#123964); #85048=LINE('',#528393,#123965); #85049=LINE('',#528394,#123966); #85050=LINE('',#528397,#123967); #85051=LINE('',#528399,#123968); #85052=LINE('',#528400,#123969); #85053=LINE('',#528403,#123970); #85054=LINE('',#528405,#123971); #85055=LINE('',#528406,#123972); #85056=LINE('',#528409,#123973); #85057=LINE('',#528411,#123974); #85058=LINE('',#528412,#123975); #85059=LINE('',#528415,#123976); #85060=LINE('',#528417,#123977); #85061=LINE('',#528418,#123978); #85062=LINE('',#528421,#123979); #85063=LINE('',#528423,#123980); #85064=LINE('',#528424,#123981); #85065=LINE('',#528427,#123982); #85066=LINE('',#528429,#123983); #85067=LINE('',#528430,#123984); #85068=LINE('',#528433,#123985); #85069=LINE('',#528435,#123986); #85070=LINE('',#528436,#123987); #85071=LINE('',#528439,#123988); #85072=LINE('',#528441,#123989); #85073=LINE('',#528442,#123990); #85074=LINE('',#528445,#123991); #85075=LINE('',#528447,#123992); #85076=LINE('',#528448,#123993); #85077=LINE('',#528451,#123994); #85078=LINE('',#528453,#123995); #85079=LINE('',#528454,#123996); #85080=LINE('',#528457,#123997); #85081=LINE('',#528459,#123998); #85082=LINE('',#528460,#123999); #85083=LINE('',#528463,#124000); #85084=LINE('',#528465,#124001); #85085=LINE('',#528466,#124002); #85086=LINE('',#528469,#124003); #85087=LINE('',#528471,#124004); #85088=LINE('',#528472,#124005); #85089=LINE('',#528475,#124006); #85090=LINE('',#528477,#124007); #85091=LINE('',#528478,#124008); #85092=LINE('',#528481,#124009); #85093=LINE('',#528483,#124010); #85094=LINE('',#528484,#124011); #85095=LINE('',#528487,#124012); #85096=LINE('',#528489,#124013); #85097=LINE('',#528490,#124014); #85098=LINE('',#528493,#124015); #85099=LINE('',#528495,#124016); #85100=LINE('',#528496,#124017); #85101=LINE('',#528499,#124018); #85102=LINE('',#528501,#124019); #85103=LINE('',#528502,#124020); #85104=LINE('',#528505,#124021); #85105=LINE('',#528507,#124022); #85106=LINE('',#528508,#124023); #85107=LINE('',#528511,#124024); #85108=LINE('',#528513,#124025); #85109=LINE('',#528514,#124026); #85110=LINE('',#528517,#124027); #85111=LINE('',#528519,#124028); #85112=LINE('',#528520,#124029); #85113=LINE('',#528522,#124030); #85114=LINE('',#528523,#124031); #85115=LINE('',#528529,#124032); #85116=LINE('',#528531,#124033); #85117=LINE('',#528533,#124034); #85118=LINE('',#528534,#124035); #85119=LINE('',#528537,#124036); #85120=LINE('',#528539,#124037); #85121=LINE('',#528540,#124038); #85122=LINE('',#528543,#124039); #85123=LINE('',#528545,#124040); #85124=LINE('',#528546,#124041); #85125=LINE('',#528549,#124042); #85126=LINE('',#528551,#124043); #85127=LINE('',#528552,#124044); #85128=LINE('',#528555,#124045); #85129=LINE('',#528557,#124046); #85130=LINE('',#528558,#124047); #85131=LINE('',#528561,#124048); #85132=LINE('',#528563,#124049); #85133=LINE('',#528564,#124050); #85134=LINE('',#528567,#124051); #85135=LINE('',#528569,#124052); #85136=LINE('',#528570,#124053); #85137=LINE('',#528573,#124054); #85138=LINE('',#528575,#124055); #85139=LINE('',#528576,#124056); #85140=LINE('',#528579,#124057); #85141=LINE('',#528581,#124058); #85142=LINE('',#528582,#124059); #85143=LINE('',#528585,#124060); #85144=LINE('',#528587,#124061); #85145=LINE('',#528588,#124062); #85146=LINE('',#528591,#124063); #85147=LINE('',#528593,#124064); #85148=LINE('',#528594,#124065); #85149=LINE('',#528597,#124066); #85150=LINE('',#528599,#124067); #85151=LINE('',#528600,#124068); #85152=LINE('',#528603,#124069); #85153=LINE('',#528605,#124070); #85154=LINE('',#528606,#124071); #85155=LINE('',#528609,#124072); #85156=LINE('',#528611,#124073); #85157=LINE('',#528612,#124074); #85158=LINE('',#528615,#124075); #85159=LINE('',#528617,#124076); #85160=LINE('',#528618,#124077); #85161=LINE('',#528621,#124078); #85162=LINE('',#528623,#124079); #85163=LINE('',#528624,#124080); #85164=LINE('',#528627,#124081); #85165=LINE('',#528629,#124082); #85166=LINE('',#528630,#124083); #85167=LINE('',#528633,#124084); #85168=LINE('',#528635,#124085); #85169=LINE('',#528636,#124086); #85170=LINE('',#528639,#124087); #85171=LINE('',#528641,#124088); #85172=LINE('',#528642,#124089); #85173=LINE('',#528644,#124090); #85174=LINE('',#528645,#124091); #85175=LINE('',#528651,#124092); #85176=LINE('',#528653,#124093); #85177=LINE('',#528655,#124094); #85178=LINE('',#528656,#124095); #85179=LINE('',#528659,#124096); #85180=LINE('',#528661,#124097); #85181=LINE('',#528662,#124098); #85182=LINE('',#528665,#124099); #85183=LINE('',#528667,#124100); #85184=LINE('',#528668,#124101); #85185=LINE('',#528671,#124102); #85186=LINE('',#528673,#124103); #85187=LINE('',#528674,#124104); #85188=LINE('',#528677,#124105); #85189=LINE('',#528679,#124106); #85190=LINE('',#528680,#124107); #85191=LINE('',#528683,#124108); #85192=LINE('',#528685,#124109); #85193=LINE('',#528686,#124110); #85194=LINE('',#528689,#124111); #85195=LINE('',#528691,#124112); #85196=LINE('',#528692,#124113); #85197=LINE('',#528695,#124114); #85198=LINE('',#528697,#124115); #85199=LINE('',#528698,#124116); #85200=LINE('',#528701,#124117); #85201=LINE('',#528703,#124118); #85202=LINE('',#528704,#124119); #85203=LINE('',#528707,#124120); #85204=LINE('',#528709,#124121); #85205=LINE('',#528710,#124122); #85206=LINE('',#528713,#124123); #85207=LINE('',#528715,#124124); #85208=LINE('',#528716,#124125); #85209=LINE('',#528719,#124126); #85210=LINE('',#528721,#124127); #85211=LINE('',#528722,#124128); #85212=LINE('',#528725,#124129); #85213=LINE('',#528727,#124130); #85214=LINE('',#528728,#124131); #85215=LINE('',#528731,#124132); #85216=LINE('',#528733,#124133); #85217=LINE('',#528734,#124134); #85218=LINE('',#528737,#124135); #85219=LINE('',#528739,#124136); #85220=LINE('',#528740,#124137); #85221=LINE('',#528743,#124138); #85222=LINE('',#528745,#124139); #85223=LINE('',#528746,#124140); #85224=LINE('',#528749,#124141); #85225=LINE('',#528751,#124142); #85226=LINE('',#528752,#124143); #85227=LINE('',#528755,#124144); #85228=LINE('',#528757,#124145); #85229=LINE('',#528758,#124146); #85230=LINE('',#528761,#124147); #85231=LINE('',#528763,#124148); #85232=LINE('',#528764,#124149); #85233=LINE('',#528766,#124150); #85234=LINE('',#528767,#124151); #85235=LINE('',#528773,#124152); #85236=LINE('',#528775,#124153); #85237=LINE('',#528777,#124154); #85238=LINE('',#528778,#124155); #85239=LINE('',#528781,#124156); #85240=LINE('',#528783,#124157); #85241=LINE('',#528784,#124158); #85242=LINE('',#528787,#124159); #85243=LINE('',#528789,#124160); #85244=LINE('',#528790,#124161); #85245=LINE('',#528793,#124162); #85246=LINE('',#528795,#124163); #85247=LINE('',#528796,#124164); #85248=LINE('',#528799,#124165); #85249=LINE('',#528801,#124166); #85250=LINE('',#528802,#124167); #85251=LINE('',#528805,#124168); #85252=LINE('',#528807,#124169); #85253=LINE('',#528808,#124170); #85254=LINE('',#528811,#124171); #85255=LINE('',#528813,#124172); #85256=LINE('',#528814,#124173); #85257=LINE('',#528817,#124174); #85258=LINE('',#528819,#124175); #85259=LINE('',#528820,#124176); #85260=LINE('',#528823,#124177); #85261=LINE('',#528825,#124178); #85262=LINE('',#528826,#124179); #85263=LINE('',#528829,#124180); #85264=LINE('',#528831,#124181); #85265=LINE('',#528832,#124182); #85266=LINE('',#528835,#124183); #85267=LINE('',#528837,#124184); #85268=LINE('',#528838,#124185); #85269=LINE('',#528841,#124186); #85270=LINE('',#528843,#124187); #85271=LINE('',#528844,#124188); #85272=LINE('',#528847,#124189); #85273=LINE('',#528849,#124190); #85274=LINE('',#528850,#124191); #85275=LINE('',#528853,#124192); #85276=LINE('',#528855,#124193); #85277=LINE('',#528856,#124194); #85278=LINE('',#528859,#124195); #85279=LINE('',#528861,#124196); #85280=LINE('',#528862,#124197); #85281=LINE('',#528865,#124198); #85282=LINE('',#528867,#124199); #85283=LINE('',#528868,#124200); #85284=LINE('',#528871,#124201); #85285=LINE('',#528873,#124202); #85286=LINE('',#528874,#124203); #85287=LINE('',#528877,#124204); #85288=LINE('',#528879,#124205); #85289=LINE('',#528880,#124206); #85290=LINE('',#528883,#124207); #85291=LINE('',#528885,#124208); #85292=LINE('',#528886,#124209); #85293=LINE('',#528888,#124210); #85294=LINE('',#528889,#124211); #85295=LINE('',#528895,#124212); #85296=LINE('',#528897,#124213); #85297=LINE('',#528899,#124214); #85298=LINE('',#528900,#124215); #85299=LINE('',#528903,#124216); #85300=LINE('',#528905,#124217); #85301=LINE('',#528906,#124218); #85302=LINE('',#528909,#124219); #85303=LINE('',#528911,#124220); #85304=LINE('',#528912,#124221); #85305=LINE('',#528915,#124222); #85306=LINE('',#528917,#124223); #85307=LINE('',#528918,#124224); #85308=LINE('',#528921,#124225); #85309=LINE('',#528923,#124226); #85310=LINE('',#528924,#124227); #85311=LINE('',#528927,#124228); #85312=LINE('',#528929,#124229); #85313=LINE('',#528930,#124230); #85314=LINE('',#528933,#124231); #85315=LINE('',#528935,#124232); #85316=LINE('',#528936,#124233); #85317=LINE('',#528939,#124234); #85318=LINE('',#528941,#124235); #85319=LINE('',#528942,#124236); #85320=LINE('',#528945,#124237); #85321=LINE('',#528947,#124238); #85322=LINE('',#528948,#124239); #85323=LINE('',#528951,#124240); #85324=LINE('',#528953,#124241); #85325=LINE('',#528954,#124242); #85326=LINE('',#528957,#124243); #85327=LINE('',#528959,#124244); #85328=LINE('',#528960,#124245); #85329=LINE('',#528963,#124246); #85330=LINE('',#528965,#124247); #85331=LINE('',#528966,#124248); #85332=LINE('',#528969,#124249); #85333=LINE('',#528971,#124250); #85334=LINE('',#528972,#124251); #85335=LINE('',#528975,#124252); #85336=LINE('',#528977,#124253); #85337=LINE('',#528978,#124254); #85338=LINE('',#528981,#124255); #85339=LINE('',#528983,#124256); #85340=LINE('',#528984,#124257); #85341=LINE('',#528987,#124258); #85342=LINE('',#528989,#124259); #85343=LINE('',#528990,#124260); #85344=LINE('',#528993,#124261); #85345=LINE('',#528995,#124262); #85346=LINE('',#528996,#124263); #85347=LINE('',#528999,#124264); #85348=LINE('',#529001,#124265); #85349=LINE('',#529002,#124266); #85350=LINE('',#529005,#124267); #85351=LINE('',#529007,#124268); #85352=LINE('',#529008,#124269); #85353=LINE('',#529011,#124270); #85354=LINE('',#529013,#124271); #85355=LINE('',#529014,#124272); #85356=LINE('',#529017,#124273); #85357=LINE('',#529019,#124274); #85358=LINE('',#529020,#124275); #85359=LINE('',#529023,#124276); #85360=LINE('',#529025,#124277); #85361=LINE('',#529026,#124278); #85362=LINE('',#529029,#124279); #85363=LINE('',#529031,#124280); #85364=LINE('',#529032,#124281); #85365=LINE('',#529035,#124282); #85366=LINE('',#529037,#124283); #85367=LINE('',#529038,#124284); #85368=LINE('',#529041,#124285); #85369=LINE('',#529043,#124286); #85370=LINE('',#529044,#124287); #85371=LINE('',#529047,#124288); #85372=LINE('',#529049,#124289); #85373=LINE('',#529050,#124290); #85374=LINE('',#529053,#124291); #85375=LINE('',#529055,#124292); #85376=LINE('',#529056,#124293); #85377=LINE('',#529059,#124294); #85378=LINE('',#529061,#124295); #85379=LINE('',#529062,#124296); #85380=LINE('',#529065,#124297); #85381=LINE('',#529067,#124298); #85382=LINE('',#529068,#124299); #85383=LINE('',#529071,#124300); #85384=LINE('',#529073,#124301); #85385=LINE('',#529074,#124302); #85386=LINE('',#529077,#124303); #85387=LINE('',#529079,#124304); #85388=LINE('',#529080,#124305); #85389=LINE('',#529083,#124306); #85390=LINE('',#529085,#124307); #85391=LINE('',#529086,#124308); #85392=LINE('',#529089,#124309); #85393=LINE('',#529091,#124310); #85394=LINE('',#529092,#124311); #85395=LINE('',#529095,#124312); #85396=LINE('',#529097,#124313); #85397=LINE('',#529098,#124314); #85398=LINE('',#529101,#124315); #85399=LINE('',#529103,#124316); #85400=LINE('',#529104,#124317); #85401=LINE('',#529107,#124318); #85402=LINE('',#529109,#124319); #85403=LINE('',#529110,#124320); #85404=LINE('',#529113,#124321); #85405=LINE('',#529115,#124322); #85406=LINE('',#529116,#124323); #85407=LINE('',#529119,#124324); #85408=LINE('',#529121,#124325); #85409=LINE('',#529122,#124326); #85410=LINE('',#529125,#124327); #85411=LINE('',#529127,#124328); #85412=LINE('',#529128,#124329); #85413=LINE('',#529130,#124330); #85414=LINE('',#529131,#124331); #85415=LINE('',#529138,#124332); #85416=LINE('',#529143,#124333); #85417=LINE('',#529145,#124334); #85418=LINE('',#529147,#124335); #85419=LINE('',#529148,#124336); #85420=LINE('',#529154,#124337); #85421=LINE('',#529157,#124338); #85422=LINE('',#529159,#124339); #85423=LINE('',#529160,#124340); #85424=LINE('',#529167,#124341); #85425=LINE('',#529169,#124342); #85426=LINE('',#529171,#124343); #85427=LINE('',#529172,#124344); #85428=LINE('',#529175,#124345); #85429=LINE('',#529177,#124346); #85430=LINE('',#529178,#124347); #85431=LINE('',#529181,#124348); #85432=LINE('',#529183,#124349); #85433=LINE('',#529184,#124350); #85434=LINE('',#529187,#124351); #85435=LINE('',#529189,#124352); #85436=LINE('',#529190,#124353); #85437=LINE('',#529193,#124354); #85438=LINE('',#529195,#124355); #85439=LINE('',#529196,#124356); #85440=LINE('',#529199,#124357); #85441=LINE('',#529201,#124358); #85442=LINE('',#529202,#124359); #85443=LINE('',#529205,#124360); #85444=LINE('',#529207,#124361); #85445=LINE('',#529208,#124362); #85446=LINE('',#529211,#124363); #85447=LINE('',#529213,#124364); #85448=LINE('',#529214,#124365); #85449=LINE('',#529217,#124366); #85450=LINE('',#529219,#124367); #85451=LINE('',#529220,#124368); #85452=LINE('',#529223,#124369); #85453=LINE('',#529225,#124370); #85454=LINE('',#529226,#124371); #85455=LINE('',#529229,#124372); #85456=LINE('',#529231,#124373); #85457=LINE('',#529232,#124374); #85458=LINE('',#529235,#124375); #85459=LINE('',#529237,#124376); #85460=LINE('',#529238,#124377); #85461=LINE('',#529241,#124378); #85462=LINE('',#529243,#124379); #85463=LINE('',#529244,#124380); #85464=LINE('',#529247,#124381); #85465=LINE('',#529249,#124382); #85466=LINE('',#529250,#124383); #85467=LINE('',#529253,#124384); #85468=LINE('',#529255,#124385); #85469=LINE('',#529256,#124386); #85470=LINE('',#529259,#124387); #85471=LINE('',#529261,#124388); #85472=LINE('',#529262,#124389); #85473=LINE('',#529265,#124390); #85474=LINE('',#529267,#124391); #85475=LINE('',#529268,#124392); #85476=LINE('',#529271,#124393); #85477=LINE('',#529273,#124394); #85478=LINE('',#529274,#124395); #85479=LINE('',#529277,#124396); #85480=LINE('',#529279,#124397); #85481=LINE('',#529280,#124398); #85482=LINE('',#529283,#124399); #85483=LINE('',#529285,#124400); #85484=LINE('',#529286,#124401); #85485=LINE('',#529289,#124402); #85486=LINE('',#529291,#124403); #85487=LINE('',#529292,#124404); #85488=LINE('',#529295,#124405); #85489=LINE('',#529297,#124406); #85490=LINE('',#529298,#124407); #85491=LINE('',#529301,#124408); #85492=LINE('',#529303,#124409); #85493=LINE('',#529304,#124410); #85494=LINE('',#529307,#124411); #85495=LINE('',#529309,#124412); #85496=LINE('',#529310,#124413); #85497=LINE('',#529313,#124414); #85498=LINE('',#529315,#124415); #85499=LINE('',#529316,#124416); #85500=LINE('',#529319,#124417); #85501=LINE('',#529321,#124418); #85502=LINE('',#529322,#124419); #85503=LINE('',#529325,#124420); #85504=LINE('',#529327,#124421); #85505=LINE('',#529328,#124422); #85506=LINE('',#529331,#124423); #85507=LINE('',#529333,#124424); #85508=LINE('',#529334,#124425); #85509=LINE('',#529337,#124426); #85510=LINE('',#529339,#124427); #85511=LINE('',#529340,#124428); #85512=LINE('',#529343,#124429); #85513=LINE('',#529345,#124430); #85514=LINE('',#529346,#124431); #85515=LINE('',#529349,#124432); #85516=LINE('',#529351,#124433); #85517=LINE('',#529352,#124434); #85518=LINE('',#529355,#124435); #85519=LINE('',#529357,#124436); #85520=LINE('',#529358,#124437); #85521=LINE('',#529361,#124438); #85522=LINE('',#529363,#124439); #85523=LINE('',#529364,#124440); #85524=LINE('',#529367,#124441); #85525=LINE('',#529369,#124442); #85526=LINE('',#529370,#124443); #85527=LINE('',#529373,#124444); #85528=LINE('',#529375,#124445); #85529=LINE('',#529376,#124446); #85530=LINE('',#529379,#124447); #85531=LINE('',#529381,#124448); #85532=LINE('',#529382,#124449); #85533=LINE('',#529385,#124450); #85534=LINE('',#529387,#124451); #85535=LINE('',#529388,#124452); #85536=LINE('',#529391,#124453); #85537=LINE('',#529393,#124454); #85538=LINE('',#529394,#124455); #85539=LINE('',#529397,#124456); #85540=LINE('',#529399,#124457); #85541=LINE('',#529400,#124458); #85542=LINE('',#529403,#124459); #85543=LINE('',#529405,#124460); #85544=LINE('',#529406,#124461); #85545=LINE('',#529409,#124462); #85546=LINE('',#529411,#124463); #85547=LINE('',#529412,#124464); #85548=LINE('',#529415,#124465); #85549=LINE('',#529417,#124466); #85550=LINE('',#529418,#124467); #85551=LINE('',#529421,#124468); #85552=LINE('',#529423,#124469); #85553=LINE('',#529424,#124470); #85554=LINE('',#529427,#124471); #85555=LINE('',#529429,#124472); #85556=LINE('',#529430,#124473); #85557=LINE('',#529433,#124474); #85558=LINE('',#529435,#124475); #85559=LINE('',#529436,#124476); #85560=LINE('',#529439,#124477); #85561=LINE('',#529441,#124478); #85562=LINE('',#529442,#124479); #85563=LINE('',#529445,#124480); #85564=LINE('',#529447,#124481); #85565=LINE('',#529448,#124482); #85566=LINE('',#529451,#124483); #85567=LINE('',#529453,#124484); #85568=LINE('',#529454,#124485); #85569=LINE('',#529457,#124486); #85570=LINE('',#529459,#124487); #85571=LINE('',#529460,#124488); #85572=LINE('',#529463,#124489); #85573=LINE('',#529465,#124490); #85574=LINE('',#529466,#124491); #85575=LINE('',#529469,#124492); #85576=LINE('',#529471,#124493); #85577=LINE('',#529472,#124494); #85578=LINE('',#529475,#124495); #85579=LINE('',#529477,#124496); #85580=LINE('',#529478,#124497); #85581=LINE('',#529481,#124498); #85582=LINE('',#529483,#124499); #85583=LINE('',#529484,#124500); #85584=LINE('',#529487,#124501); #85585=LINE('',#529489,#124502); #85586=LINE('',#529490,#124503); #85587=LINE('',#529493,#124504); #85588=LINE('',#529495,#124505); #85589=LINE('',#529496,#124506); #85590=LINE('',#529499,#124507); #85591=LINE('',#529501,#124508); #85592=LINE('',#529502,#124509); #85593=LINE('',#529505,#124510); #85594=LINE('',#529507,#124511); #85595=LINE('',#529508,#124512); #85596=LINE('',#529511,#124513); #85597=LINE('',#529513,#124514); #85598=LINE('',#529514,#124515); #85599=LINE('',#529517,#124516); #85600=LINE('',#529519,#124517); #85601=LINE('',#529520,#124518); #85602=LINE('',#529523,#124519); #85603=LINE('',#529525,#124520); #85604=LINE('',#529526,#124521); #85605=LINE('',#529529,#124522); #85606=LINE('',#529531,#124523); #85607=LINE('',#529532,#124524); #85608=LINE('',#529535,#124525); #85609=LINE('',#529537,#124526); #85610=LINE('',#529538,#124527); #85611=LINE('',#529541,#124528); #85612=LINE('',#529543,#124529); #85613=LINE('',#529544,#124530); #85614=LINE('',#529547,#124531); #85615=LINE('',#529549,#124532); #85616=LINE('',#529550,#124533); #85617=LINE('',#529553,#124534); #85618=LINE('',#529555,#124535); #85619=LINE('',#529556,#124536); #85620=LINE('',#529559,#124537); #85621=LINE('',#529561,#124538); #85622=LINE('',#529562,#124539); #85623=LINE('',#529565,#124540); #85624=LINE('',#529567,#124541); #85625=LINE('',#529568,#124542); #85626=LINE('',#529571,#124543); #85627=LINE('',#529573,#124544); #85628=LINE('',#529574,#124545); #85629=LINE('',#529577,#124546); #85630=LINE('',#529579,#124547); #85631=LINE('',#529580,#124548); #85632=LINE('',#529583,#124549); #85633=LINE('',#529585,#124550); #85634=LINE('',#529586,#124551); #85635=LINE('',#529589,#124552); #85636=LINE('',#529591,#124553); #85637=LINE('',#529592,#124554); #85638=LINE('',#529595,#124555); #85639=LINE('',#529597,#124556); #85640=LINE('',#529598,#124557); #85641=LINE('',#529601,#124558); #85642=LINE('',#529603,#124559); #85643=LINE('',#529604,#124560); #85644=LINE('',#529607,#124561); #85645=LINE('',#529609,#124562); #85646=LINE('',#529610,#124563); #85647=LINE('',#529613,#124564); #85648=LINE('',#529615,#124565); #85649=LINE('',#529616,#124566); #85650=LINE('',#529619,#124567); #85651=LINE('',#529621,#124568); #85652=LINE('',#529622,#124569); #85653=LINE('',#529625,#124570); #85654=LINE('',#529627,#124571); #85655=LINE('',#529628,#124572); #85656=LINE('',#529631,#124573); #85657=LINE('',#529633,#124574); #85658=LINE('',#529634,#124575); #85659=LINE('',#529637,#124576); #85660=LINE('',#529639,#124577); #85661=LINE('',#529640,#124578); #85662=LINE('',#529643,#124579); #85663=LINE('',#529645,#124580); #85664=LINE('',#529646,#124581); #85665=LINE('',#529649,#124582); #85666=LINE('',#529651,#124583); #85667=LINE('',#529652,#124584); #85668=LINE('',#529655,#124585); #85669=LINE('',#529657,#124586); #85670=LINE('',#529658,#124587); #85671=LINE('',#529661,#124588); #85672=LINE('',#529663,#124589); #85673=LINE('',#529664,#124590); #85674=LINE('',#529667,#124591); #85675=LINE('',#529669,#124592); #85676=LINE('',#529670,#124593); #85677=LINE('',#529673,#124594); #85678=LINE('',#529675,#124595); #85679=LINE('',#529676,#124596); #85680=LINE('',#529679,#124597); #85681=LINE('',#529681,#124598); #85682=LINE('',#529682,#124599); #85683=LINE('',#529685,#124600); #85684=LINE('',#529687,#124601); #85685=LINE('',#529688,#124602); #85686=LINE('',#529691,#124603); #85687=LINE('',#529693,#124604); #85688=LINE('',#529694,#124605); #85689=LINE('',#529697,#124606); #85690=LINE('',#529699,#124607); #85691=LINE('',#529700,#124608); #85692=LINE('',#529703,#124609); #85693=LINE('',#529705,#124610); #85694=LINE('',#529706,#124611); #85695=LINE('',#529709,#124612); #85696=LINE('',#529711,#124613); #85697=LINE('',#529712,#124614); #85698=LINE('',#529715,#124615); #85699=LINE('',#529717,#124616); #85700=LINE('',#529718,#124617); #85701=LINE('',#529720,#124618); #85702=LINE('',#529721,#124619); #85703=LINE('',#529727,#124620); #85704=LINE('',#529729,#124621); #85705=LINE('',#529731,#124622); #85706=LINE('',#529732,#124623); #85707=LINE('',#529735,#124624); #85708=LINE('',#529737,#124625); #85709=LINE('',#529738,#124626); #85710=LINE('',#529741,#124627); #85711=LINE('',#529743,#124628); #85712=LINE('',#529744,#124629); #85713=LINE('',#529747,#124630); #85714=LINE('',#529749,#124631); #85715=LINE('',#529750,#124632); #85716=LINE('',#529753,#124633); #85717=LINE('',#529755,#124634); #85718=LINE('',#529756,#124635); #85719=LINE('',#529759,#124636); #85720=LINE('',#529761,#124637); #85721=LINE('',#529762,#124638); #85722=LINE('',#529765,#124639); #85723=LINE('',#529767,#124640); #85724=LINE('',#529768,#124641); #85725=LINE('',#529771,#124642); #85726=LINE('',#529773,#124643); #85727=LINE('',#529774,#124644); #85728=LINE('',#529777,#124645); #85729=LINE('',#529779,#124646); #85730=LINE('',#529780,#124647); #85731=LINE('',#529783,#124648); #85732=LINE('',#529785,#124649); #85733=LINE('',#529786,#124650); #85734=LINE('',#529789,#124651); #85735=LINE('',#529791,#124652); #85736=LINE('',#529792,#124653); #85737=LINE('',#529795,#124654); #85738=LINE('',#529797,#124655); #85739=LINE('',#529798,#124656); #85740=LINE('',#529801,#124657); #85741=LINE('',#529803,#124658); #85742=LINE('',#529804,#124659); #85743=LINE('',#529807,#124660); #85744=LINE('',#529809,#124661); #85745=LINE('',#529810,#124662); #85746=LINE('',#529813,#124663); #85747=LINE('',#529815,#124664); #85748=LINE('',#529816,#124665); #85749=LINE('',#529819,#124666); #85750=LINE('',#529821,#124667); #85751=LINE('',#529822,#124668); #85752=LINE('',#529825,#124669); #85753=LINE('',#529827,#124670); #85754=LINE('',#529828,#124671); #85755=LINE('',#529831,#124672); #85756=LINE('',#529833,#124673); #85757=LINE('',#529834,#124674); #85758=LINE('',#529837,#124675); #85759=LINE('',#529839,#124676); #85760=LINE('',#529840,#124677); #85761=LINE('',#529842,#124678); #85762=LINE('',#529843,#124679); #85763=LINE('',#529850,#124680); #85764=LINE('',#529856,#124681); #85765=LINE('',#529862,#124682); #85766=LINE('',#529868,#124683); #85767=LINE('',#529874,#124684); #85768=LINE('',#529880,#124685); #85769=LINE('',#529886,#124686); #85770=LINE('',#529892,#124687); #85771=LINE('',#529898,#124688); #85772=LINE('',#529904,#124689); #85773=LINE('',#529910,#124690); #85774=LINE('',#529916,#124691); #85775=LINE('',#529922,#124692); #85776=LINE('',#529928,#124693); #85777=LINE('',#529934,#124694); #85778=LINE('',#529939,#124695); #85779=LINE('',#529941,#124696); #85780=LINE('',#529943,#124697); #85781=LINE('',#529944,#124698); #85782=LINE('',#529947,#124699); #85783=LINE('',#529949,#124700); #85784=LINE('',#529950,#124701); #85785=LINE('',#529953,#124702); #85786=LINE('',#529955,#124703); #85787=LINE('',#529956,#124704); #85788=LINE('',#529959,#124705); #85789=LINE('',#529961,#124706); #85790=LINE('',#529962,#124707); #85791=LINE('',#529965,#124708); #85792=LINE('',#529967,#124709); #85793=LINE('',#529968,#124710); #85794=LINE('',#529971,#124711); #85795=LINE('',#529973,#124712); #85796=LINE('',#529974,#124713); #85797=LINE('',#529977,#124714); #85798=LINE('',#529979,#124715); #85799=LINE('',#529980,#124716); #85800=LINE('',#529983,#124717); #85801=LINE('',#529985,#124718); #85802=LINE('',#529986,#124719); #85803=LINE('',#529989,#124720); #85804=LINE('',#529991,#124721); #85805=LINE('',#529992,#124722); #85806=LINE('',#529995,#124723); #85807=LINE('',#529997,#124724); #85808=LINE('',#529998,#124725); #85809=LINE('',#530001,#124726); #85810=LINE('',#530003,#124727); #85811=LINE('',#530004,#124728); #85812=LINE('',#530007,#124729); #85813=LINE('',#530009,#124730); #85814=LINE('',#530010,#124731); #85815=LINE('',#530013,#124732); #85816=LINE('',#530015,#124733); #85817=LINE('',#530016,#124734); #85818=LINE('',#530019,#124735); #85819=LINE('',#530021,#124736); #85820=LINE('',#530022,#124737); #85821=LINE('',#530025,#124738); #85822=LINE('',#530027,#124739); #85823=LINE('',#530028,#124740); #85824=LINE('',#530031,#124741); #85825=LINE('',#530033,#124742); #85826=LINE('',#530034,#124743); #85827=LINE('',#530037,#124744); #85828=LINE('',#530039,#124745); #85829=LINE('',#530040,#124746); #85830=LINE('',#530043,#124747); #85831=LINE('',#530045,#124748); #85832=LINE('',#530046,#124749); #85833=LINE('',#530049,#124750); #85834=LINE('',#530051,#124751); #85835=LINE('',#530052,#124752); #85836=LINE('',#530055,#124753); #85837=LINE('',#530057,#124754); #85838=LINE('',#530058,#124755); #85839=LINE('',#530061,#124756); #85840=LINE('',#530063,#124757); #85841=LINE('',#530064,#124758); #85842=LINE('',#530067,#124759); #85843=LINE('',#530069,#124760); #85844=LINE('',#530070,#124761); #85845=LINE('',#530073,#124762); #85846=LINE('',#530075,#124763); #85847=LINE('',#530076,#124764); #85848=LINE('',#530079,#124765); #85849=LINE('',#530081,#124766); #85850=LINE('',#530082,#124767); #85851=LINE('',#530085,#124768); #85852=LINE('',#530087,#124769); #85853=LINE('',#530088,#124770); #85854=LINE('',#530091,#124771); #85855=LINE('',#530093,#124772); #85856=LINE('',#530094,#124773); #85857=LINE('',#530097,#124774); #85858=LINE('',#530099,#124775); #85859=LINE('',#530100,#124776); #85860=LINE('',#530103,#124777); #85861=LINE('',#530105,#124778); #85862=LINE('',#530106,#124779); #85863=LINE('',#530109,#124780); #85864=LINE('',#530111,#124781); #85865=LINE('',#530112,#124782); #85866=LINE('',#530115,#124783); #85867=LINE('',#530117,#124784); #85868=LINE('',#530118,#124785); #85869=LINE('',#530121,#124786); #85870=LINE('',#530123,#124787); #85871=LINE('',#530124,#124788); #85872=LINE('',#530127,#124789); #85873=LINE('',#530129,#124790); #85874=LINE('',#530130,#124791); #85875=LINE('',#530133,#124792); #85876=LINE('',#530135,#124793); #85877=LINE('',#530136,#124794); #85878=LINE('',#530139,#124795); #85879=LINE('',#530141,#124796); #85880=LINE('',#530142,#124797); #85881=LINE('',#530145,#124798); #85882=LINE('',#530147,#124799); #85883=LINE('',#530148,#124800); #85884=LINE('',#530151,#124801); #85885=LINE('',#530153,#124802); #85886=LINE('',#530154,#124803); #85887=LINE('',#530157,#124804); #85888=LINE('',#530159,#124805); #85889=LINE('',#530160,#124806); #85890=LINE('',#530163,#124807); #85891=LINE('',#530165,#124808); #85892=LINE('',#530166,#124809); #85893=LINE('',#530169,#124810); #85894=LINE('',#530171,#124811); #85895=LINE('',#530172,#124812); #85896=LINE('',#530175,#124813); #85897=LINE('',#530177,#124814); #85898=LINE('',#530178,#124815); #85899=LINE('',#530180,#124816); #85900=LINE('',#530181,#124817); #85901=LINE('',#530188,#124818); #85902=LINE('',#530193,#124819); #85903=LINE('',#530195,#124820); #85904=LINE('',#530197,#124821); #85905=LINE('',#530198,#124822); #85906=LINE('',#530201,#124823); #85907=LINE('',#530203,#124824); #85908=LINE('',#530204,#124825); #85909=LINE('',#530207,#124826); #85910=LINE('',#530209,#124827); #85911=LINE('',#530210,#124828); #85912=LINE('',#530213,#124829); #85913=LINE('',#530215,#124830); #85914=LINE('',#530216,#124831); #85915=LINE('',#530219,#124832); #85916=LINE('',#530221,#124833); #85917=LINE('',#530222,#124834); #85918=LINE('',#530228,#124835); #85919=LINE('',#530231,#124836); #85920=LINE('',#530233,#124837); #85921=LINE('',#530234,#124838); #85922=LINE('',#530237,#124839); #85923=LINE('',#530239,#124840); #85924=LINE('',#530240,#124841); #85925=LINE('',#530246,#124842); #85926=LINE('',#530249,#124843); #85927=LINE('',#530251,#124844); #85928=LINE('',#530252,#124845); #85929=LINE('',#530255,#124846); #85930=LINE('',#530257,#124847); #85931=LINE('',#530258,#124848); #85932=LINE('',#530261,#124849); #85933=LINE('',#530263,#124850); #85934=LINE('',#530264,#124851); #85935=LINE('',#530267,#124852); #85936=LINE('',#530269,#124853); #85937=LINE('',#530270,#124854); #85938=LINE('',#530273,#124855); #85939=LINE('',#530275,#124856); #85940=LINE('',#530276,#124857); #85941=LINE('',#530279,#124858); #85942=LINE('',#530281,#124859); #85943=LINE('',#530282,#124860); #85944=LINE('',#530285,#124861); #85945=LINE('',#530287,#124862); #85946=LINE('',#530288,#124863); #85947=LINE('',#530291,#124864); #85948=LINE('',#530293,#124865); #85949=LINE('',#530294,#124866); #85950=LINE('',#530297,#124867); #85951=LINE('',#530299,#124868); #85952=LINE('',#530300,#124869); #85953=LINE('',#530303,#124870); #85954=LINE('',#530305,#124871); #85955=LINE('',#530306,#124872); #85956=LINE('',#530309,#124873); #85957=LINE('',#530311,#124874); #85958=LINE('',#530312,#124875); #85959=LINE('',#530315,#124876); #85960=LINE('',#530317,#124877); #85961=LINE('',#530318,#124878); #85962=LINE('',#530321,#124879); #85963=LINE('',#530323,#124880); #85964=LINE('',#530324,#124881); #85965=LINE('',#530327,#124882); #85966=LINE('',#530329,#124883); #85967=LINE('',#530330,#124884); #85968=LINE('',#530333,#124885); #85969=LINE('',#530335,#124886); #85970=LINE('',#530336,#124887); #85971=LINE('',#530339,#124888); #85972=LINE('',#530341,#124889); #85973=LINE('',#530342,#124890); #85974=LINE('',#530348,#124891); #85975=LINE('',#530351,#124892); #85976=LINE('',#530353,#124893); #85977=LINE('',#530354,#124894); #85978=LINE('',#530360,#124895); #85979=LINE('',#530363,#124896); #85980=LINE('',#530365,#124897); #85981=LINE('',#530366,#124898); #85982=LINE('',#530372,#124899); #85983=LINE('',#530375,#124900); #85984=LINE('',#530377,#124901); #85985=LINE('',#530378,#124902); #85986=LINE('',#530381,#124903); #85987=LINE('',#530383,#124904); #85988=LINE('',#530384,#124905); #85989=LINE('',#530387,#124906); #85990=LINE('',#530389,#124907); #85991=LINE('',#530390,#124908); #85992=LINE('',#530396,#124909); #85993=LINE('',#530399,#124910); #85994=LINE('',#530401,#124911); #85995=LINE('',#530402,#124912); #85996=LINE('',#530408,#124913); #85997=LINE('',#530411,#124914); #85998=LINE('',#530413,#124915); #85999=LINE('',#530414,#124916); #86000=LINE('',#530417,#124917); #86001=LINE('',#530419,#124918); #86002=LINE('',#530420,#124919); #86003=LINE('',#530426,#124920); #86004=LINE('',#530429,#124921); #86005=LINE('',#530431,#124922); #86006=LINE('',#530432,#124923); #86007=LINE('',#530435,#124924); #86008=LINE('',#530437,#124925); #86009=LINE('',#530438,#124926); #86010=LINE('',#530440,#124927); #86011=LINE('',#530441,#124928); #86012=LINE('',#530448,#124929); #86013=LINE('',#530454,#124930); #86014=LINE('',#530460,#124931); #86015=LINE('',#530466,#124932); #86016=LINE('',#530472,#124933); #86017=LINE('',#530478,#124934); #86018=LINE('',#530484,#124935); #86019=LINE('',#530490,#124936); #86020=LINE('',#530496,#124937); #86021=LINE('',#530502,#124938); #86022=LINE('',#530508,#124939); #86023=LINE('',#530514,#124940); #86024=LINE('',#530520,#124941); #86025=LINE('',#530526,#124942); #86026=LINE('',#530532,#124943); #86027=LINE('',#530537,#124944); #86028=LINE('',#530539,#124945); #86029=LINE('',#530541,#124946); #86030=LINE('',#530542,#124947); #86031=LINE('',#530545,#124948); #86032=LINE('',#530547,#124949); #86033=LINE('',#530548,#124950); #86034=LINE('',#530551,#124951); #86035=LINE('',#530553,#124952); #86036=LINE('',#530554,#124953); #86037=LINE('',#530557,#124954); #86038=LINE('',#530559,#124955); #86039=LINE('',#530560,#124956); #86040=LINE('',#530563,#124957); #86041=LINE('',#530565,#124958); #86042=LINE('',#530566,#124959); #86043=LINE('',#530569,#124960); #86044=LINE('',#530571,#124961); #86045=LINE('',#530572,#124962); #86046=LINE('',#530575,#124963); #86047=LINE('',#530577,#124964); #86048=LINE('',#530578,#124965); #86049=LINE('',#530581,#124966); #86050=LINE('',#530583,#124967); #86051=LINE('',#530584,#124968); #86052=LINE('',#530587,#124969); #86053=LINE('',#530589,#124970); #86054=LINE('',#530590,#124971); #86055=LINE('',#530593,#124972); #86056=LINE('',#530595,#124973); #86057=LINE('',#530596,#124974); #86058=LINE('',#530599,#124975); #86059=LINE('',#530601,#124976); #86060=LINE('',#530602,#124977); #86061=LINE('',#530605,#124978); #86062=LINE('',#530607,#124979); #86063=LINE('',#530608,#124980); #86064=LINE('',#530611,#124981); #86065=LINE('',#530613,#124982); #86066=LINE('',#530614,#124983); #86067=LINE('',#530617,#124984); #86068=LINE('',#530619,#124985); #86069=LINE('',#530620,#124986); #86070=LINE('',#530623,#124987); #86071=LINE('',#530625,#124988); #86072=LINE('',#530626,#124989); #86073=LINE('',#530629,#124990); #86074=LINE('',#530631,#124991); #86075=LINE('',#530632,#124992); #86076=LINE('',#530635,#124993); #86077=LINE('',#530637,#124994); #86078=LINE('',#530638,#124995); #86079=LINE('',#530641,#124996); #86080=LINE('',#530643,#124997); #86081=LINE('',#530644,#124998); #86082=LINE('',#530647,#124999); #86083=LINE('',#530649,#125000); #86084=LINE('',#530650,#125001); #86085=LINE('',#530653,#125002); #86086=LINE('',#530655,#125003); #86087=LINE('',#530656,#125004); #86088=LINE('',#530659,#125005); #86089=LINE('',#530661,#125006); #86090=LINE('',#530662,#125007); #86091=LINE('',#530665,#125008); #86092=LINE('',#530667,#125009); #86093=LINE('',#530668,#125010); #86094=LINE('',#530671,#125011); #86095=LINE('',#530673,#125012); #86096=LINE('',#530674,#125013); #86097=LINE('',#530677,#125014); #86098=LINE('',#530679,#125015); #86099=LINE('',#530680,#125016); #86100=LINE('',#530683,#125017); #86101=LINE('',#530685,#125018); #86102=LINE('',#530686,#125019); #86103=LINE('',#530689,#125020); #86104=LINE('',#530691,#125021); #86105=LINE('',#530692,#125022); #86106=LINE('',#530695,#125023); #86107=LINE('',#530697,#125024); #86108=LINE('',#530698,#125025); #86109=LINE('',#530701,#125026); #86110=LINE('',#530703,#125027); #86111=LINE('',#530704,#125028); #86112=LINE('',#530707,#125029); #86113=LINE('',#530709,#125030); #86114=LINE('',#530710,#125031); #86115=LINE('',#530713,#125032); #86116=LINE('',#530715,#125033); #86117=LINE('',#530716,#125034); #86118=LINE('',#530719,#125035); #86119=LINE('',#530721,#125036); #86120=LINE('',#530722,#125037); #86121=LINE('',#530725,#125038); #86122=LINE('',#530727,#125039); #86123=LINE('',#530728,#125040); #86124=LINE('',#530731,#125041); #86125=LINE('',#530733,#125042); #86126=LINE('',#530734,#125043); #86127=LINE('',#530737,#125044); #86128=LINE('',#530739,#125045); #86129=LINE('',#530740,#125046); #86130=LINE('',#530743,#125047); #86131=LINE('',#530745,#125048); #86132=LINE('',#530746,#125049); #86133=LINE('',#530749,#125050); #86134=LINE('',#530751,#125051); #86135=LINE('',#530752,#125052); #86136=LINE('',#530755,#125053); #86137=LINE('',#530757,#125054); #86138=LINE('',#530758,#125055); #86139=LINE('',#530761,#125056); #86140=LINE('',#530763,#125057); #86141=LINE('',#530764,#125058); #86142=LINE('',#530767,#125059); #86143=LINE('',#530769,#125060); #86144=LINE('',#530770,#125061); #86145=LINE('',#530773,#125062); #86146=LINE('',#530775,#125063); #86147=LINE('',#530776,#125064); #86148=LINE('',#530779,#125065); #86149=LINE('',#530781,#125066); #86150=LINE('',#530782,#125067); #86151=LINE('',#530785,#125068); #86152=LINE('',#530787,#125069); #86153=LINE('',#530788,#125070); #86154=LINE('',#530791,#125071); #86155=LINE('',#530793,#125072); #86156=LINE('',#530794,#125073); #86157=LINE('',#530797,#125074); #86158=LINE('',#530799,#125075); #86159=LINE('',#530800,#125076); #86160=LINE('',#530803,#125077); #86161=LINE('',#530805,#125078); #86162=LINE('',#530806,#125079); #86163=LINE('',#530809,#125080); #86164=LINE('',#530811,#125081); #86165=LINE('',#530812,#125082); #86166=LINE('',#530815,#125083); #86167=LINE('',#530817,#125084); #86168=LINE('',#530818,#125085); #86169=LINE('',#530821,#125086); #86170=LINE('',#530823,#125087); #86171=LINE('',#530824,#125088); #86172=LINE('',#530826,#125089); #86173=LINE('',#530827,#125090); #86174=LINE('',#530834,#125091); #86175=LINE('',#530839,#125092); #86176=LINE('',#530841,#125093); #86177=LINE('',#530843,#125094); #86178=LINE('',#530844,#125095); #86179=LINE('',#530847,#125096); #86180=LINE('',#530849,#125097); #86181=LINE('',#530850,#125098); #86182=LINE('',#530853,#125099); #86183=LINE('',#530855,#125100); #86184=LINE('',#530856,#125101); #86185=LINE('',#530859,#125102); #86186=LINE('',#530861,#125103); #86187=LINE('',#530862,#125104); #86188=LINE('',#530865,#125105); #86189=LINE('',#530867,#125106); #86190=LINE('',#530868,#125107); #86191=LINE('',#530871,#125108); #86192=LINE('',#530873,#125109); #86193=LINE('',#530874,#125110); #86194=LINE('',#530880,#125111); #86195=LINE('',#530883,#125112); #86196=LINE('',#530885,#125113); #86197=LINE('',#530886,#125114); #86198=LINE('',#530889,#125115); #86199=LINE('',#530891,#125116); #86200=LINE('',#530892,#125117); #86201=LINE('',#530898,#125118); #86202=LINE('',#530901,#125119); #86203=LINE('',#530903,#125120); #86204=LINE('',#530904,#125121); #86205=LINE('',#530910,#125122); #86206=LINE('',#530913,#125123); #86207=LINE('',#530915,#125124); #86208=LINE('',#530916,#125125); #86209=LINE('',#530919,#125126); #86210=LINE('',#530921,#125127); #86211=LINE('',#530922,#125128); #86212=LINE('',#530925,#125129); #86213=LINE('',#530927,#125130); #86214=LINE('',#530928,#125131); #86215=LINE('',#530934,#125132); #86216=LINE('',#530937,#125133); #86217=LINE('',#530939,#125134); #86218=LINE('',#530940,#125135); #86219=LINE('',#530946,#125136); #86220=LINE('',#530949,#125137); #86221=LINE('',#530951,#125138); #86222=LINE('',#530952,#125139); #86223=LINE('',#530958,#125140); #86224=LINE('',#530961,#125141); #86225=LINE('',#530963,#125142); #86226=LINE('',#530964,#125143); #86227=LINE('',#530967,#125144); #86228=LINE('',#530969,#125145); #86229=LINE('',#530970,#125146); #86230=LINE('',#530973,#125147); #86231=LINE('',#530975,#125148); #86232=LINE('',#530976,#125149); #86233=LINE('',#530979,#125150); #86234=LINE('',#530981,#125151); #86235=LINE('',#530982,#125152); #86236=LINE('',#530985,#125153); #86237=LINE('',#530987,#125154); #86238=LINE('',#530988,#125155); #86239=LINE('',#530991,#125156); #86240=LINE('',#530993,#125157); #86241=LINE('',#530994,#125158); #86242=LINE('',#530997,#125159); #86243=LINE('',#530999,#125160); #86244=LINE('',#531000,#125161); #86245=LINE('',#531003,#125162); #86246=LINE('',#531005,#125163); #86247=LINE('',#531006,#125164); #86248=LINE('',#531009,#125165); #86249=LINE('',#531011,#125166); #86250=LINE('',#531012,#125167); #86251=LINE('',#531015,#125168); #86252=LINE('',#531017,#125169); #86253=LINE('',#531018,#125170); #86254=LINE('',#531021,#125171); #86255=LINE('',#531023,#125172); #86256=LINE('',#531024,#125173); #86257=LINE('',#531027,#125174); #86258=LINE('',#531029,#125175); #86259=LINE('',#531030,#125176); #86260=LINE('',#531033,#125177); #86261=LINE('',#531035,#125178); #86262=LINE('',#531036,#125179); #86263=LINE('',#531039,#125180); #86264=LINE('',#531041,#125181); #86265=LINE('',#531042,#125182); #86266=LINE('',#531045,#125183); #86267=LINE('',#531047,#125184); #86268=LINE('',#531048,#125185); #86269=LINE('',#531051,#125186); #86270=LINE('',#531053,#125187); #86271=LINE('',#531054,#125188); #86272=LINE('',#531060,#125189); #86273=LINE('',#531063,#125190); #86274=LINE('',#531065,#125191); #86275=LINE('',#531066,#125192); #86276=LINE('',#531069,#125193); #86277=LINE('',#531071,#125194); #86278=LINE('',#531072,#125195); #86279=LINE('',#531078,#125196); #86280=LINE('',#531081,#125197); #86281=LINE('',#531083,#125198); #86282=LINE('',#531084,#125199); #86283=LINE('',#531086,#125200); #86284=LINE('',#531087,#125201); #86285=LINE('',#531094,#125202); #86286=LINE('',#531100,#125203); #86287=LINE('',#531106,#125204); #86288=LINE('',#531112,#125205); #86289=LINE('',#531118,#125206); #86290=LINE('',#531124,#125207); #86291=LINE('',#531130,#125208); #86292=LINE('',#531136,#125209); #86293=LINE('',#531142,#125210); #86294=LINE('',#531148,#125211); #86295=LINE('',#531154,#125212); #86296=LINE('',#531160,#125213); #86297=LINE('',#531166,#125214); #86298=LINE('',#531172,#125215); #86299=LINE('',#531178,#125216); #86300=LINE('',#531184,#125217); #86301=LINE('',#531190,#125218); #86302=LINE('',#531196,#125219); #86303=LINE('',#531202,#125220); #86304=LINE('',#531208,#125221); #86305=LINE('',#531214,#125222); #86306=LINE('',#531220,#125223); #86307=LINE('',#531226,#125224); #86308=LINE('',#531232,#125225); #86309=LINE('',#531238,#125226); #86310=LINE('',#531244,#125227); #86311=LINE('',#531250,#125228); #86312=LINE('',#531256,#125229); #86313=LINE('',#531262,#125230); #86314=LINE('',#531268,#125231); #86315=LINE('',#531274,#125232); #86316=LINE('',#531279,#125233); #86317=LINE('',#531281,#125234); #86318=LINE('',#531283,#125235); #86319=LINE('',#531284,#125236); #86320=LINE('',#531290,#125237); #86321=LINE('',#531293,#125238); #86322=LINE('',#531295,#125239); #86323=LINE('',#531296,#125240); #86324=LINE('',#531299,#125241); #86325=LINE('',#531301,#125242); #86326=LINE('',#531302,#125243); #86327=LINE('',#531305,#125244); #86328=LINE('',#531307,#125245); #86329=LINE('',#531308,#125246); #86330=LINE('',#531314,#125247); #86331=LINE('',#531317,#125248); #86332=LINE('',#531319,#125249); #86333=LINE('',#531320,#125250); #86334=LINE('',#531326,#125251); #86335=LINE('',#531329,#125252); #86336=LINE('',#531331,#125253); #86337=LINE('',#531332,#125254); #86338=LINE('',#531335,#125255); #86339=LINE('',#531337,#125256); #86340=LINE('',#531338,#125257); #86341=LINE('',#531341,#125258); #86342=LINE('',#531343,#125259); #86343=LINE('',#531344,#125260); #86344=LINE('',#531347,#125261); #86345=LINE('',#531349,#125262); #86346=LINE('',#531350,#125263); #86347=LINE('',#531353,#125264); #86348=LINE('',#531355,#125265); #86349=LINE('',#531356,#125266); #86350=LINE('',#531359,#125267); #86351=LINE('',#531361,#125268); #86352=LINE('',#531362,#125269); #86353=LINE('',#531365,#125270); #86354=LINE('',#531367,#125271); #86355=LINE('',#531368,#125272); #86356=LINE('',#531371,#125273); #86357=LINE('',#531373,#125274); #86358=LINE('',#531374,#125275); #86359=LINE('',#531377,#125276); #86360=LINE('',#531379,#125277); #86361=LINE('',#531380,#125278); #86362=LINE('',#531383,#125279); #86363=LINE('',#531385,#125280); #86364=LINE('',#531386,#125281); #86365=LINE('',#531389,#125282); #86366=LINE('',#531391,#125283); #86367=LINE('',#531392,#125284); #86368=LINE('',#531395,#125285); #86369=LINE('',#531397,#125286); #86370=LINE('',#531398,#125287); #86371=LINE('',#531401,#125288); #86372=LINE('',#531403,#125289); #86373=LINE('',#531404,#125290); #86374=LINE('',#531407,#125291); #86375=LINE('',#531409,#125292); #86376=LINE('',#531410,#125293); #86377=LINE('',#531413,#125294); #86378=LINE('',#531415,#125295); #86379=LINE('',#531416,#125296); #86380=LINE('',#531419,#125297); #86381=LINE('',#531421,#125298); #86382=LINE('',#531422,#125299); #86383=LINE('',#531425,#125300); #86384=LINE('',#531427,#125301); #86385=LINE('',#531428,#125302); #86386=LINE('',#531431,#125303); #86387=LINE('',#531433,#125304); #86388=LINE('',#531434,#125305); #86389=LINE('',#531437,#125306); #86390=LINE('',#531439,#125307); #86391=LINE('',#531440,#125308); #86392=LINE('',#531443,#125309); #86393=LINE('',#531445,#125310); #86394=LINE('',#531446,#125311); #86395=LINE('',#531449,#125312); #86396=LINE('',#531451,#125313); #86397=LINE('',#531452,#125314); #86398=LINE('',#531455,#125315); #86399=LINE('',#531457,#125316); #86400=LINE('',#531458,#125317); #86401=LINE('',#531461,#125318); #86402=LINE('',#531463,#125319); #86403=LINE('',#531464,#125320); #86404=LINE('',#531467,#125321); #86405=LINE('',#531469,#125322); #86406=LINE('',#531470,#125323); #86407=LINE('',#531473,#125324); #86408=LINE('',#531475,#125325); #86409=LINE('',#531476,#125326); #86410=LINE('',#531479,#125327); #86411=LINE('',#531481,#125328); #86412=LINE('',#531482,#125329); #86413=LINE('',#531485,#125330); #86414=LINE('',#531487,#125331); #86415=LINE('',#531488,#125332); #86416=LINE('',#531491,#125333); #86417=LINE('',#531493,#125334); #86418=LINE('',#531494,#125335); #86419=LINE('',#531497,#125336); #86420=LINE('',#531499,#125337); #86421=LINE('',#531500,#125338); #86422=LINE('',#531503,#125339); #86423=LINE('',#531505,#125340); #86424=LINE('',#531506,#125341); #86425=LINE('',#531509,#125342); #86426=LINE('',#531511,#125343); #86427=LINE('',#531512,#125344); #86428=LINE('',#531515,#125345); #86429=LINE('',#531517,#125346); #86430=LINE('',#531518,#125347); #86431=LINE('',#531521,#125348); #86432=LINE('',#531523,#125349); #86433=LINE('',#531524,#125350); #86434=LINE('',#531527,#125351); #86435=LINE('',#531529,#125352); #86436=LINE('',#531530,#125353); #86437=LINE('',#531533,#125354); #86438=LINE('',#531535,#125355); #86439=LINE('',#531536,#125356); #86440=LINE('',#531539,#125357); #86441=LINE('',#531541,#125358); #86442=LINE('',#531542,#125359); #86443=LINE('',#531545,#125360); #86444=LINE('',#531547,#125361); #86445=LINE('',#531548,#125362); #86446=LINE('',#531551,#125363); #86447=LINE('',#531553,#125364); #86448=LINE('',#531554,#125365); #86449=LINE('',#531557,#125366); #86450=LINE('',#531559,#125367); #86451=LINE('',#531560,#125368); #86452=LINE('',#531563,#125369); #86453=LINE('',#531565,#125370); #86454=LINE('',#531566,#125371); #86455=LINE('',#531569,#125372); #86456=LINE('',#531571,#125373); #86457=LINE('',#531572,#125374); #86458=LINE('',#531575,#125375); #86459=LINE('',#531577,#125376); #86460=LINE('',#531578,#125377); #86461=LINE('',#531581,#125378); #86462=LINE('',#531583,#125379); #86463=LINE('',#531584,#125380); #86464=LINE('',#531587,#125381); #86465=LINE('',#531589,#125382); #86466=LINE('',#531590,#125383); #86467=LINE('',#531593,#125384); #86468=LINE('',#531595,#125385); #86469=LINE('',#531596,#125386); #86470=LINE('',#531599,#125387); #86471=LINE('',#531601,#125388); #86472=LINE('',#531602,#125389); #86473=LINE('',#531605,#125390); #86474=LINE('',#531607,#125391); #86475=LINE('',#531608,#125392); #86476=LINE('',#531611,#125393); #86477=LINE('',#531613,#125394); #86478=LINE('',#531614,#125395); #86479=LINE('',#531617,#125396); #86480=LINE('',#531619,#125397); #86481=LINE('',#531620,#125398); #86482=LINE('',#531623,#125399); #86483=LINE('',#531625,#125400); #86484=LINE('',#531626,#125401); #86485=LINE('',#531629,#125402); #86486=LINE('',#531631,#125403); #86487=LINE('',#531632,#125404); #86488=LINE('',#531635,#125405); #86489=LINE('',#531637,#125406); #86490=LINE('',#531638,#125407); #86491=LINE('',#531641,#125408); #86492=LINE('',#531643,#125409); #86493=LINE('',#531644,#125410); #86494=LINE('',#531647,#125411); #86495=LINE('',#531649,#125412); #86496=LINE('',#531650,#125413); #86497=LINE('',#531653,#125414); #86498=LINE('',#531655,#125415); #86499=LINE('',#531656,#125416); #86500=LINE('',#531659,#125417); #86501=LINE('',#531661,#125418); #86502=LINE('',#531662,#125419); #86503=LINE('',#531665,#125420); #86504=LINE('',#531667,#125421); #86505=LINE('',#531668,#125422); #86506=LINE('',#531671,#125423); #86507=LINE('',#531673,#125424); #86508=LINE('',#531674,#125425); #86509=LINE('',#531677,#125426); #86510=LINE('',#531679,#125427); #86511=LINE('',#531680,#125428); #86512=LINE('',#531683,#125429); #86513=LINE('',#531685,#125430); #86514=LINE('',#531686,#125431); #86515=LINE('',#531689,#125432); #86516=LINE('',#531691,#125433); #86517=LINE('',#531692,#125434); #86518=LINE('',#531695,#125435); #86519=LINE('',#531697,#125436); #86520=LINE('',#531698,#125437); #86521=LINE('',#531701,#125438); #86522=LINE('',#531703,#125439); #86523=LINE('',#531704,#125440); #86524=LINE('',#531707,#125441); #86525=LINE('',#531709,#125442); #86526=LINE('',#531710,#125443); #86527=LINE('',#531713,#125444); #86528=LINE('',#531715,#125445); #86529=LINE('',#531716,#125446); #86530=LINE('',#531719,#125447); #86531=LINE('',#531721,#125448); #86532=LINE('',#531722,#125449); #86533=LINE('',#531725,#125450); #86534=LINE('',#531727,#125451); #86535=LINE('',#531728,#125452); #86536=LINE('',#531731,#125453); #86537=LINE('',#531733,#125454); #86538=LINE('',#531734,#125455); #86539=LINE('',#531737,#125456); #86540=LINE('',#531739,#125457); #86541=LINE('',#531740,#125458); #86542=LINE('',#531743,#125459); #86543=LINE('',#531745,#125460); #86544=LINE('',#531746,#125461); #86545=LINE('',#531749,#125462); #86546=LINE('',#531751,#125463); #86547=LINE('',#531752,#125464); #86548=LINE('',#531755,#125465); #86549=LINE('',#531757,#125466); #86550=LINE('',#531758,#125467); #86551=LINE('',#531764,#125468); #86552=LINE('',#531767,#125469); #86553=LINE('',#531769,#125470); #86554=LINE('',#531770,#125471); #86555=LINE('',#531776,#125472); #86556=LINE('',#531779,#125473); #86557=LINE('',#531781,#125474); #86558=LINE('',#531782,#125475); #86559=LINE('',#531792,#125476); #86560=LINE('',#531797,#125477); #86561=LINE('',#531799,#125478); #86562=LINE('',#531801,#125479); #86563=LINE('',#531802,#125480); #86564=LINE('',#531805,#125481); #86565=LINE('',#531807,#125482); #86566=LINE('',#531808,#125483); #86567=LINE('',#531811,#125484); #86568=LINE('',#531813,#125485); #86569=LINE('',#531814,#125486); #86570=LINE('',#531817,#125487); #86571=LINE('',#531819,#125488); #86572=LINE('',#531820,#125489); #86573=LINE('',#531823,#125490); #86574=LINE('',#531825,#125491); #86575=LINE('',#531826,#125492); #86576=LINE('',#531829,#125493); #86577=LINE('',#531831,#125494); #86578=LINE('',#531832,#125495); #86579=LINE('',#531838,#125496); #86580=LINE('',#531841,#125497); #86581=LINE('',#531843,#125498); #86582=LINE('',#531844,#125499); #86583=LINE('',#531847,#125500); #86584=LINE('',#531849,#125501); #86585=LINE('',#531850,#125502); #86586=LINE('',#531856,#125503); #86587=LINE('',#531859,#125504); #86588=LINE('',#531861,#125505); #86589=LINE('',#531862,#125506); #86590=LINE('',#531868,#125507); #86591=LINE('',#531874,#125508); #86592=LINE('',#531877,#125509); #86593=LINE('',#531879,#125510); #86594=LINE('',#531880,#125511); #86595=LINE('',#531883,#125512); #86596=LINE('',#531885,#125513); #86597=LINE('',#531886,#125514); #86598=LINE('',#531892,#125515); #86599=LINE('',#531895,#125516); #86600=LINE('',#531897,#125517); #86601=LINE('',#531898,#125518); #86602=LINE('',#531901,#125519); #86603=LINE('',#531903,#125520); #86604=LINE('',#531904,#125521); #86605=LINE('',#531910,#125522); #86606=LINE('',#531913,#125523); #86607=LINE('',#531915,#125524); #86608=LINE('',#531916,#125525); #86609=LINE('',#531918,#125526); #86610=LINE('',#531919,#125527); #86611=LINE('',#531926,#125528); #86612=LINE('',#531932,#125529); #86613=LINE('',#531938,#125530); #86614=LINE('',#531944,#125531); #86615=LINE('',#531950,#125532); #86616=LINE('',#531956,#125533); #86617=LINE('',#531962,#125534); #86618=LINE('',#531968,#125535); #86619=LINE('',#531974,#125536); #86620=LINE('',#531980,#125537); #86621=LINE('',#531986,#125538); #86622=LINE('',#531991,#125539); #86623=LINE('',#531993,#125540); #86624=LINE('',#531995,#125541); #86625=LINE('',#531996,#125542); #86626=LINE('',#531999,#125543); #86627=LINE('',#532001,#125544); #86628=LINE('',#532002,#125545); #86629=LINE('',#532005,#125546); #86630=LINE('',#532007,#125547); #86631=LINE('',#532008,#125548); #86632=LINE('',#532011,#125549); #86633=LINE('',#532013,#125550); #86634=LINE('',#532014,#125551); #86635=LINE('',#532017,#125552); #86636=LINE('',#532019,#125553); #86637=LINE('',#532020,#125554); #86638=LINE('',#532023,#125555); #86639=LINE('',#532025,#125556); #86640=LINE('',#532026,#125557); #86641=LINE('',#532029,#125558); #86642=LINE('',#532031,#125559); #86643=LINE('',#532032,#125560); #86644=LINE('',#532035,#125561); #86645=LINE('',#532037,#125562); #86646=LINE('',#532038,#125563); #86647=LINE('',#532041,#125564); #86648=LINE('',#532043,#125565); #86649=LINE('',#532044,#125566); #86650=LINE('',#532047,#125567); #86651=LINE('',#532049,#125568); #86652=LINE('',#532050,#125569); #86653=LINE('',#532053,#125570); #86654=LINE('',#532055,#125571); #86655=LINE('',#532056,#125572); #86656=LINE('',#532059,#125573); #86657=LINE('',#532061,#125574); #86658=LINE('',#532062,#125575); #86659=LINE('',#532065,#125576); #86660=LINE('',#532067,#125577); #86661=LINE('',#532068,#125578); #86662=LINE('',#532071,#125579); #86663=LINE('',#532073,#125580); #86664=LINE('',#532074,#125581); #86665=LINE('',#532077,#125582); #86666=LINE('',#532079,#125583); #86667=LINE('',#532080,#125584); #86668=LINE('',#532083,#125585); #86669=LINE('',#532085,#125586); #86670=LINE('',#532086,#125587); #86671=LINE('',#532089,#125588); #86672=LINE('',#532091,#125589); #86673=LINE('',#532092,#125590); #86674=LINE('',#532095,#125591); #86675=LINE('',#532097,#125592); #86676=LINE('',#532098,#125593); #86677=LINE('',#532101,#125594); #86678=LINE('',#532103,#125595); #86679=LINE('',#532104,#125596); #86680=LINE('',#532107,#125597); #86681=LINE('',#532109,#125598); #86682=LINE('',#532110,#125599); #86683=LINE('',#532113,#125600); #86684=LINE('',#532115,#125601); #86685=LINE('',#532116,#125602); #86686=LINE('',#532119,#125603); #86687=LINE('',#532121,#125604); #86688=LINE('',#532122,#125605); #86689=LINE('',#532125,#125606); #86690=LINE('',#532127,#125607); #86691=LINE('',#532128,#125608); #86692=LINE('',#532131,#125609); #86693=LINE('',#532133,#125610); #86694=LINE('',#532134,#125611); #86695=LINE('',#532140,#125612); #86696=LINE('',#532143,#125613); #86697=LINE('',#532145,#125614); #86698=LINE('',#532146,#125615); #86699=LINE('',#532149,#125616); #86700=LINE('',#532151,#125617); #86701=LINE('',#532152,#125618); #86702=LINE('',#532158,#125619); #86703=LINE('',#532161,#125620); #86704=LINE('',#532163,#125621); #86705=LINE('',#532164,#125622); #86706=LINE('',#532167,#125623); #86707=LINE('',#532169,#125624); #86708=LINE('',#532170,#125625); #86709=LINE('',#532173,#125626); #86710=LINE('',#532175,#125627); #86711=LINE('',#532176,#125628); #86712=LINE('',#532179,#125629); #86713=LINE('',#532181,#125630); #86714=LINE('',#532182,#125631); #86715=LINE('',#532185,#125632); #86716=LINE('',#532187,#125633); #86717=LINE('',#532188,#125634); #86718=LINE('',#532191,#125635); #86719=LINE('',#532193,#125636); #86720=LINE('',#532194,#125637); #86721=LINE('',#532197,#125638); #86722=LINE('',#532199,#125639); #86723=LINE('',#532200,#125640); #86724=LINE('',#532203,#125641); #86725=LINE('',#532205,#125642); #86726=LINE('',#532206,#125643); #86727=LINE('',#532212,#125644); #86728=LINE('',#532215,#125645); #86729=LINE('',#532217,#125646); #86730=LINE('',#532218,#125647); #86731=LINE('',#532224,#125648); #86732=LINE('',#532227,#125649); #86733=LINE('',#532229,#125650); #86734=LINE('',#532230,#125651); #86735=LINE('',#532233,#125652); #86736=LINE('',#532235,#125653); #86737=LINE('',#532236,#125654); #86738=LINE('',#532239,#125655); #86739=LINE('',#532241,#125656); #86740=LINE('',#532242,#125657); #86741=LINE('',#532245,#125658); #86742=LINE('',#532247,#125659); #86743=LINE('',#532248,#125660); #86744=LINE('',#532251,#125661); #86745=LINE('',#532253,#125662); #86746=LINE('',#532254,#125663); #86747=LINE('',#532257,#125664); #86748=LINE('',#532259,#125665); #86749=LINE('',#532260,#125666); #86750=LINE('',#532263,#125667); #86751=LINE('',#532265,#125668); #86752=LINE('',#532266,#125669); #86753=LINE('',#532269,#125670); #86754=LINE('',#532271,#125671); #86755=LINE('',#532272,#125672); #86756=LINE('',#532275,#125673); #86757=LINE('',#532277,#125674); #86758=LINE('',#532278,#125675); #86759=LINE('',#532281,#125676); #86760=LINE('',#532283,#125677); #86761=LINE('',#532284,#125678); #86762=LINE('',#532287,#125679); #86763=LINE('',#532289,#125680); #86764=LINE('',#532290,#125681); #86765=LINE('',#532293,#125682); #86766=LINE('',#532295,#125683); #86767=LINE('',#532296,#125684); #86768=LINE('',#532299,#125685); #86769=LINE('',#532301,#125686); #86770=LINE('',#532302,#125687); #86771=LINE('',#532305,#125688); #86772=LINE('',#532307,#125689); #86773=LINE('',#532308,#125690); #86774=LINE('',#532311,#125691); #86775=LINE('',#532313,#125692); #86776=LINE('',#532314,#125693); #86777=LINE('',#532320,#125694); #86778=LINE('',#532323,#125695); #86779=LINE('',#532325,#125696); #86780=LINE('',#532326,#125697); #86781=LINE('',#532332,#125698); #86782=LINE('',#532335,#125699); #86783=LINE('',#532337,#125700); #86784=LINE('',#532338,#125701); #86785=LINE('',#532341,#125702); #86786=LINE('',#532343,#125703); #86787=LINE('',#532344,#125704); #86788=LINE('',#532347,#125705); #86789=LINE('',#532349,#125706); #86790=LINE('',#532350,#125707); #86791=LINE('',#532353,#125708); #86792=LINE('',#532355,#125709); #86793=LINE('',#532356,#125710); #86794=LINE('',#532359,#125711); #86795=LINE('',#532361,#125712); #86796=LINE('',#532362,#125713); #86797=LINE('',#532365,#125714); #86798=LINE('',#532367,#125715); #86799=LINE('',#532368,#125716); #86800=LINE('',#532371,#125717); #86801=LINE('',#532373,#125718); #86802=LINE('',#532374,#125719); #86803=LINE('',#532377,#125720); #86804=LINE('',#532379,#125721); #86805=LINE('',#532380,#125722); #86806=LINE('',#532383,#125723); #86807=LINE('',#532385,#125724); #86808=LINE('',#532386,#125725); #86809=LINE('',#532389,#125726); #86810=LINE('',#532391,#125727); #86811=LINE('',#532392,#125728); #86812=LINE('',#532395,#125729); #86813=LINE('',#532397,#125730); #86814=LINE('',#532398,#125731); #86815=LINE('',#532401,#125732); #86816=LINE('',#532403,#125733); #86817=LINE('',#532404,#125734); #86818=LINE('',#532407,#125735); #86819=LINE('',#532409,#125736); #86820=LINE('',#532410,#125737); #86821=LINE('',#532413,#125738); #86822=LINE('',#532415,#125739); #86823=LINE('',#532416,#125740); #86824=LINE('',#532419,#125741); #86825=LINE('',#532421,#125742); #86826=LINE('',#532422,#125743); #86827=LINE('',#532425,#125744); #86828=LINE('',#532427,#125745); #86829=LINE('',#532428,#125746); #86830=LINE('',#532431,#125747); #86831=LINE('',#532433,#125748); #86832=LINE('',#532434,#125749); #86833=LINE('',#532437,#125750); #86834=LINE('',#532439,#125751); #86835=LINE('',#532440,#125752); #86836=LINE('',#532443,#125753); #86837=LINE('',#532445,#125754); #86838=LINE('',#532446,#125755); #86839=LINE('',#532449,#125756); #86840=LINE('',#532451,#125757); #86841=LINE('',#532452,#125758); #86842=LINE('',#532455,#125759); #86843=LINE('',#532457,#125760); #86844=LINE('',#532458,#125761); #86845=LINE('',#532461,#125762); #86846=LINE('',#532463,#125763); #86847=LINE('',#532464,#125764); #86848=LINE('',#532467,#125765); #86849=LINE('',#532469,#125766); #86850=LINE('',#532470,#125767); #86851=LINE('',#532473,#125768); #86852=LINE('',#532475,#125769); #86853=LINE('',#532476,#125770); #86854=LINE('',#532479,#125771); #86855=LINE('',#532481,#125772); #86856=LINE('',#532482,#125773); #86857=LINE('',#532485,#125774); #86858=LINE('',#532487,#125775); #86859=LINE('',#532488,#125776); #86860=LINE('',#532491,#125777); #86861=LINE('',#532493,#125778); #86862=LINE('',#532494,#125779); #86863=LINE('',#532497,#125780); #86864=LINE('',#532499,#125781); #86865=LINE('',#532500,#125782); #86866=LINE('',#532503,#125783); #86867=LINE('',#532505,#125784); #86868=LINE('',#532506,#125785); #86869=LINE('',#532509,#125786); #86870=LINE('',#532511,#125787); #86871=LINE('',#532512,#125788); #86872=LINE('',#532515,#125789); #86873=LINE('',#532517,#125790); #86874=LINE('',#532518,#125791); #86875=LINE('',#532521,#125792); #86876=LINE('',#532523,#125793); #86877=LINE('',#532524,#125794); #86878=LINE('',#532527,#125795); #86879=LINE('',#532529,#125796); #86880=LINE('',#532530,#125797); #86881=LINE('',#532533,#125798); #86882=LINE('',#532535,#125799); #86883=LINE('',#532536,#125800); #86884=LINE('',#532539,#125801); #86885=LINE('',#532541,#125802); #86886=LINE('',#532542,#125803); #86887=LINE('',#532545,#125804); #86888=LINE('',#532547,#125805); #86889=LINE('',#532548,#125806); #86890=LINE('',#532551,#125807); #86891=LINE('',#532553,#125808); #86892=LINE('',#532554,#125809); #86893=LINE('',#532557,#125810); #86894=LINE('',#532559,#125811); #86895=LINE('',#532560,#125812); #86896=LINE('',#532563,#125813); #86897=LINE('',#532565,#125814); #86898=LINE('',#532566,#125815); #86899=LINE('',#532569,#125816); #86900=LINE('',#532571,#125817); #86901=LINE('',#532572,#125818); #86902=LINE('',#532575,#125819); #86903=LINE('',#532577,#125820); #86904=LINE('',#532578,#125821); #86905=LINE('',#532581,#125822); #86906=LINE('',#532583,#125823); #86907=LINE('',#532584,#125824); #86908=LINE('',#532587,#125825); #86909=LINE('',#532589,#125826); #86910=LINE('',#532590,#125827); #86911=LINE('',#532593,#125828); #86912=LINE('',#532595,#125829); #86913=LINE('',#532596,#125830); #86914=LINE('',#532599,#125831); #86915=LINE('',#532601,#125832); #86916=LINE('',#532602,#125833); #86917=LINE('',#532605,#125834); #86918=LINE('',#532607,#125835); #86919=LINE('',#532608,#125836); #86920=LINE('',#532611,#125837); #86921=LINE('',#532613,#125838); #86922=LINE('',#532614,#125839); #86923=LINE('',#532617,#125840); #86924=LINE('',#532619,#125841); #86925=LINE('',#532620,#125842); #86926=LINE('',#532623,#125843); #86927=LINE('',#532625,#125844); #86928=LINE('',#532626,#125845); #86929=LINE('',#532629,#125846); #86930=LINE('',#532631,#125847); #86931=LINE('',#532632,#125848); #86932=LINE('',#532635,#125849); #86933=LINE('',#532637,#125850); #86934=LINE('',#532638,#125851); #86935=LINE('',#532641,#125852); #86936=LINE('',#532643,#125853); #86937=LINE('',#532644,#125854); #86938=LINE('',#532647,#125855); #86939=LINE('',#532649,#125856); #86940=LINE('',#532650,#125857); #86941=LINE('',#532653,#125858); #86942=LINE('',#532655,#125859); #86943=LINE('',#532656,#125860); #86944=LINE('',#532659,#125861); #86945=LINE('',#532661,#125862); #86946=LINE('',#532662,#125863); #86947=LINE('',#532665,#125864); #86948=LINE('',#532667,#125865); #86949=LINE('',#532668,#125866); #86950=LINE('',#532671,#125867); #86951=LINE('',#532673,#125868); #86952=LINE('',#532674,#125869); #86953=LINE('',#532677,#125870); #86954=LINE('',#532679,#125871); #86955=LINE('',#532680,#125872); #86956=LINE('',#532683,#125873); #86957=LINE('',#532685,#125874); #86958=LINE('',#532686,#125875); #86959=LINE('',#532689,#125876); #86960=LINE('',#532691,#125877); #86961=LINE('',#532692,#125878); #86962=LINE('',#532695,#125879); #86963=LINE('',#532697,#125880); #86964=LINE('',#532698,#125881); #86965=LINE('',#532701,#125882); #86966=LINE('',#532703,#125883); #86967=LINE('',#532704,#125884); #86968=LINE('',#532707,#125885); #86969=LINE('',#532709,#125886); #86970=LINE('',#532710,#125887); #86971=LINE('',#532713,#125888); #86972=LINE('',#532715,#125889); #86973=LINE('',#532716,#125890); #86974=LINE('',#532719,#125891); #86975=LINE('',#532721,#125892); #86976=LINE('',#532722,#125893); #86977=LINE('',#532725,#125894); #86978=LINE('',#532727,#125895); #86979=LINE('',#532728,#125896); #86980=LINE('',#532730,#125897); #86981=LINE('',#532731,#125898); #86982=LINE('',#532738,#125899); #86983=LINE('',#532744,#125900); #86984=LINE('',#532750,#125901); #86985=LINE('',#532756,#125902); #86986=LINE('',#532762,#125903); #86987=LINE('',#532768,#125904); #86988=LINE('',#532774,#125905); #86989=LINE('',#532780,#125906); #86990=LINE('',#532786,#125907); #86991=LINE('',#532792,#125908); #86992=LINE('',#532798,#125909); #86993=LINE('',#532804,#125910); #86994=LINE('',#532810,#125911); #86995=LINE('',#532816,#125912); #86996=LINE('',#532822,#125913); #86997=LINE('',#532828,#125914); #86998=LINE('',#532834,#125915); #86999=LINE('',#532840,#125916); #87000=LINE('',#532846,#125917); #87001=LINE('',#532852,#125918); #87002=LINE('',#532858,#125919); #87003=LINE('',#532864,#125920); #87004=LINE('',#532870,#125921); #87005=LINE('',#532876,#125922); #87006=LINE('',#532882,#125923); #87007=LINE('',#532888,#125924); #87008=LINE('',#532894,#125925); #87009=LINE('',#532900,#125926); #87010=LINE('',#532906,#125927); #87011=LINE('',#532912,#125928); #87012=LINE('',#532918,#125929); #87013=LINE('',#532923,#125930); #87014=LINE('',#532925,#125931); #87015=LINE('',#532927,#125932); #87016=LINE('',#532928,#125933); #87017=LINE('',#532934,#125934); #87018=LINE('',#532937,#125935); #87019=LINE('',#532939,#125936); #87020=LINE('',#532940,#125937); #87021=LINE('',#532946,#125938); #87022=LINE('',#532949,#125939); #87023=LINE('',#532951,#125940); #87024=LINE('',#532952,#125941); #87025=LINE('',#532958,#125942); #87026=LINE('',#532961,#125943); #87027=LINE('',#532963,#125944); #87028=LINE('',#532964,#125945); #87029=LINE('',#532967,#125946); #87030=LINE('',#532969,#125947); #87031=LINE('',#532970,#125948); #87032=LINE('',#532973,#125949); #87033=LINE('',#532975,#125950); #87034=LINE('',#532976,#125951); #87035=LINE('',#532979,#125952); #87036=LINE('',#532981,#125953); #87037=LINE('',#532982,#125954); #87038=LINE('',#532985,#125955); #87039=LINE('',#532987,#125956); #87040=LINE('',#532988,#125957); #87041=LINE('',#532991,#125958); #87042=LINE('',#532993,#125959); #87043=LINE('',#532994,#125960); #87044=LINE('',#532997,#125961); #87045=LINE('',#532999,#125962); #87046=LINE('',#533000,#125963); #87047=LINE('',#533003,#125964); #87048=LINE('',#533005,#125965); #87049=LINE('',#533006,#125966); #87050=LINE('',#533009,#125967); #87051=LINE('',#533011,#125968); #87052=LINE('',#533012,#125969); #87053=LINE('',#533015,#125970); #87054=LINE('',#533017,#125971); #87055=LINE('',#533018,#125972); #87056=LINE('',#533021,#125973); #87057=LINE('',#533023,#125974); #87058=LINE('',#533024,#125975); #87059=LINE('',#533027,#125976); #87060=LINE('',#533029,#125977); #87061=LINE('',#533030,#125978); #87062=LINE('',#533033,#125979); #87063=LINE('',#533035,#125980); #87064=LINE('',#533036,#125981); #87065=LINE('',#533039,#125982); #87066=LINE('',#533041,#125983); #87067=LINE('',#533042,#125984); #87068=LINE('',#533045,#125985); #87069=LINE('',#533047,#125986); #87070=LINE('',#533048,#125987); #87071=LINE('',#533051,#125988); #87072=LINE('',#533053,#125989); #87073=LINE('',#533054,#125990); #87074=LINE('',#533057,#125991); #87075=LINE('',#533059,#125992); #87076=LINE('',#533060,#125993); #87077=LINE('',#533063,#125994); #87078=LINE('',#533065,#125995); #87079=LINE('',#533066,#125996); #87080=LINE('',#533069,#125997); #87081=LINE('',#533071,#125998); #87082=LINE('',#533072,#125999); #87083=LINE('',#533075,#126000); #87084=LINE('',#533077,#126001); #87085=LINE('',#533078,#126002); #87086=LINE('',#533081,#126003); #87087=LINE('',#533083,#126004); #87088=LINE('',#533084,#126005); #87089=LINE('',#533087,#126006); #87090=LINE('',#533089,#126007); #87091=LINE('',#533090,#126008); #87092=LINE('',#533093,#126009); #87093=LINE('',#533095,#126010); #87094=LINE('',#533096,#126011); #87095=LINE('',#533099,#126012); #87096=LINE('',#533101,#126013); #87097=LINE('',#533102,#126014); #87098=LINE('',#533105,#126015); #87099=LINE('',#533107,#126016); #87100=LINE('',#533108,#126017); #87101=LINE('',#533111,#126018); #87102=LINE('',#533113,#126019); #87103=LINE('',#533114,#126020); #87104=LINE('',#533117,#126021); #87105=LINE('',#533119,#126022); #87106=LINE('',#533120,#126023); #87107=LINE('',#533123,#126024); #87108=LINE('',#533125,#126025); #87109=LINE('',#533126,#126026); #87110=LINE('',#533129,#126027); #87111=LINE('',#533131,#126028); #87112=LINE('',#533132,#126029); #87113=LINE('',#533135,#126030); #87114=LINE('',#533137,#126031); #87115=LINE('',#533138,#126032); #87116=LINE('',#533141,#126033); #87117=LINE('',#533143,#126034); #87118=LINE('',#533144,#126035); #87119=LINE('',#533147,#126036); #87120=LINE('',#533149,#126037); #87121=LINE('',#533150,#126038); #87122=LINE('',#533153,#126039); #87123=LINE('',#533155,#126040); #87124=LINE('',#533156,#126041); #87125=LINE('',#533159,#126042); #87126=LINE('',#533161,#126043); #87127=LINE('',#533162,#126044); #87128=LINE('',#533165,#126045); #87129=LINE('',#533167,#126046); #87130=LINE('',#533168,#126047); #87131=LINE('',#533171,#126048); #87132=LINE('',#533173,#126049); #87133=LINE('',#533174,#126050); #87134=LINE('',#533177,#126051); #87135=LINE('',#533179,#126052); #87136=LINE('',#533180,#126053); #87137=LINE('',#533183,#126054); #87138=LINE('',#533185,#126055); #87139=LINE('',#533186,#126056); #87140=LINE('',#533189,#126057); #87141=LINE('',#533191,#126058); #87142=LINE('',#533192,#126059); #87143=LINE('',#533195,#126060); #87144=LINE('',#533197,#126061); #87145=LINE('',#533198,#126062); #87146=LINE('',#533201,#126063); #87147=LINE('',#533203,#126064); #87148=LINE('',#533204,#126065); #87149=LINE('',#533207,#126066); #87150=LINE('',#533209,#126067); #87151=LINE('',#533210,#126068); #87152=LINE('',#533213,#126069); #87153=LINE('',#533215,#126070); #87154=LINE('',#533216,#126071); #87155=LINE('',#533219,#126072); #87156=LINE('',#533221,#126073); #87157=LINE('',#533222,#126074); #87158=LINE('',#533225,#126075); #87159=LINE('',#533227,#126076); #87160=LINE('',#533228,#126077); #87161=LINE('',#533231,#126078); #87162=LINE('',#533233,#126079); #87163=LINE('',#533234,#126080); #87164=LINE('',#533237,#126081); #87165=LINE('',#533239,#126082); #87166=LINE('',#533240,#126083); #87167=LINE('',#533243,#126084); #87168=LINE('',#533245,#126085); #87169=LINE('',#533246,#126086); #87170=LINE('',#533249,#126087); #87171=LINE('',#533251,#126088); #87172=LINE('',#533252,#126089); #87173=LINE('',#533255,#126090); #87174=LINE('',#533257,#126091); #87175=LINE('',#533258,#126092); #87176=LINE('',#533261,#126093); #87177=LINE('',#533263,#126094); #87178=LINE('',#533264,#126095); #87179=LINE('',#533267,#126096); #87180=LINE('',#533269,#126097); #87181=LINE('',#533270,#126098); #87182=LINE('',#533273,#126099); #87183=LINE('',#533275,#126100); #87184=LINE('',#533276,#126101); #87185=LINE('',#533279,#126102); #87186=LINE('',#533281,#126103); #87187=LINE('',#533282,#126104); #87188=LINE('',#533285,#126105); #87189=LINE('',#533287,#126106); #87190=LINE('',#533288,#126107); #87191=LINE('',#533291,#126108); #87192=LINE('',#533293,#126109); #87193=LINE('',#533294,#126110); #87194=LINE('',#533297,#126111); #87195=LINE('',#533299,#126112); #87196=LINE('',#533300,#126113); #87197=LINE('',#533303,#126114); #87198=LINE('',#533305,#126115); #87199=LINE('',#533306,#126116); #87200=LINE('',#533309,#126117); #87201=LINE('',#533311,#126118); #87202=LINE('',#533312,#126119); #87203=LINE('',#533315,#126120); #87204=LINE('',#533317,#126121); #87205=LINE('',#533318,#126122); #87206=LINE('',#533321,#126123); #87207=LINE('',#533323,#126124); #87208=LINE('',#533324,#126125); #87209=LINE('',#533327,#126126); #87210=LINE('',#533329,#126127); #87211=LINE('',#533330,#126128); #87212=LINE('',#533333,#126129); #87213=LINE('',#533335,#126130); #87214=LINE('',#533336,#126131); #87215=LINE('',#533339,#126132); #87216=LINE('',#533341,#126133); #87217=LINE('',#533342,#126134); #87218=LINE('',#533345,#126135); #87219=LINE('',#533347,#126136); #87220=LINE('',#533348,#126137); #87221=LINE('',#533351,#126138); #87222=LINE('',#533353,#126139); #87223=LINE('',#533354,#126140); #87224=LINE('',#533357,#126141); #87225=LINE('',#533359,#126142); #87226=LINE('',#533360,#126143); #87227=LINE('',#533366,#126144); #87228=LINE('',#533369,#126145); #87229=LINE('',#533371,#126146); #87230=LINE('',#533372,#126147); #87231=LINE('',#533378,#126148); #87232=LINE('',#533381,#126149); #87233=LINE('',#533383,#126150); #87234=LINE('',#533384,#126151); #87235=LINE('',#533387,#126152); #87236=LINE('',#533389,#126153); #87237=LINE('',#533390,#126154); #87238=LINE('',#533393,#126155); #87239=LINE('',#533395,#126156); #87240=LINE('',#533396,#126157); #87241=LINE('',#533406,#126158); #87242=LINE('',#533411,#126159); #87243=LINE('',#533413,#126160); #87244=LINE('',#533415,#126161); #87245=LINE('',#533416,#126162); #87246=LINE('',#533419,#126163); #87247=LINE('',#533421,#126164); #87248=LINE('',#533422,#126165); #87249=LINE('',#533425,#126166); #87250=LINE('',#533427,#126167); #87251=LINE('',#533428,#126168); #87252=LINE('',#533431,#126169); #87253=LINE('',#533433,#126170); #87254=LINE('',#533434,#126171); #87255=LINE('',#533437,#126172); #87256=LINE('',#533439,#126173); #87257=LINE('',#533440,#126174); #87258=LINE('',#533446,#126175); #87259=LINE('',#533449,#126176); #87260=LINE('',#533451,#126177); #87261=LINE('',#533452,#126178); #87262=LINE('',#533455,#126179); #87263=LINE('',#533457,#126180); #87264=LINE('',#533458,#126181); #87265=LINE('',#533464,#126182); #87266=LINE('',#533467,#126183); #87267=LINE('',#533469,#126184); #87268=LINE('',#533470,#126185); #87269=LINE('',#533473,#126186); #87270=LINE('',#533475,#126187); #87271=LINE('',#533476,#126188); #87272=LINE('',#533482,#126189); #87273=LINE('',#533488,#126190); #87274=LINE('',#533491,#126191); #87275=LINE('',#533493,#126192); #87276=LINE('',#533494,#126193); #87277=LINE('',#533500,#126194); #87278=LINE('',#533503,#126195); #87279=LINE('',#533505,#126196); #87280=LINE('',#533506,#126197); #87281=LINE('',#533509,#126198); #87282=LINE('',#533511,#126199); #87283=LINE('',#533512,#126200); #87284=LINE('',#533518,#126201); #87285=LINE('',#533521,#126202); #87286=LINE('',#533523,#126203); #87287=LINE('',#533524,#126204); #87288=LINE('',#533527,#126205); #87289=LINE('',#533529,#126206); #87290=LINE('',#533530,#126207); #87291=LINE('',#533532,#126208); #87292=LINE('',#533533,#126209); #87293=LINE('',#533540,#126210); #87294=LINE('',#533546,#126211); #87295=LINE('',#533552,#126212); #87296=LINE('',#533558,#126213); #87297=LINE('',#533564,#126214); #87298=LINE('',#533570,#126215); #87299=LINE('',#533576,#126216); #87300=LINE('',#533582,#126217); #87301=LINE('',#533588,#126218); #87302=LINE('',#533594,#126219); #87303=LINE('',#533600,#126220); #87304=LINE('',#533606,#126221); #87305=LINE('',#533612,#126222); #87306=LINE('',#533618,#126223); #87307=LINE('',#533624,#126224); #87308=LINE('',#533630,#126225); #87309=LINE('',#533635,#126226); #87310=LINE('',#533637,#126227); #87311=LINE('',#533639,#126228); #87312=LINE('',#533640,#126229); #87313=LINE('',#533643,#126230); #87314=LINE('',#533645,#126231); #87315=LINE('',#533646,#126232); #87316=LINE('',#533649,#126233); #87317=LINE('',#533651,#126234); #87318=LINE('',#533652,#126235); #87319=LINE('',#533655,#126236); #87320=LINE('',#533657,#126237); #87321=LINE('',#533658,#126238); #87322=LINE('',#533661,#126239); #87323=LINE('',#533663,#126240); #87324=LINE('',#533664,#126241); #87325=LINE('',#533667,#126242); #87326=LINE('',#533669,#126243); #87327=LINE('',#533670,#126244); #87328=LINE('',#533673,#126245); #87329=LINE('',#533675,#126246); #87330=LINE('',#533676,#126247); #87331=LINE('',#533679,#126248); #87332=LINE('',#533681,#126249); #87333=LINE('',#533682,#126250); #87334=LINE('',#533685,#126251); #87335=LINE('',#533687,#126252); #87336=LINE('',#533688,#126253); #87337=LINE('',#533691,#126254); #87338=LINE('',#533693,#126255); #87339=LINE('',#533694,#126256); #87340=LINE('',#533697,#126257); #87341=LINE('',#533699,#126258); #87342=LINE('',#533700,#126259); #87343=LINE('',#533703,#126260); #87344=LINE('',#533705,#126261); #87345=LINE('',#533706,#126262); #87346=LINE('',#533709,#126263); #87347=LINE('',#533711,#126264); #87348=LINE('',#533712,#126265); #87349=LINE('',#533715,#126266); #87350=LINE('',#533717,#126267); #87351=LINE('',#533718,#126268); #87352=LINE('',#533721,#126269); #87353=LINE('',#533723,#126270); #87354=LINE('',#533724,#126271); #87355=LINE('',#533727,#126272); #87356=LINE('',#533729,#126273); #87357=LINE('',#533730,#126274); #87358=LINE('',#533733,#126275); #87359=LINE('',#533735,#126276); #87360=LINE('',#533736,#126277); #87361=LINE('',#533739,#126278); #87362=LINE('',#533741,#126279); #87363=LINE('',#533742,#126280); #87364=LINE('',#533745,#126281); #87365=LINE('',#533747,#126282); #87366=LINE('',#533748,#126283); #87367=LINE('',#533751,#126284); #87368=LINE('',#533753,#126285); #87369=LINE('',#533754,#126286); #87370=LINE('',#533757,#126287); #87371=LINE('',#533759,#126288); #87372=LINE('',#533760,#126289); #87373=LINE('',#533763,#126290); #87374=LINE('',#533765,#126291); #87375=LINE('',#533766,#126292); #87376=LINE('',#533769,#126293); #87377=LINE('',#533771,#126294); #87378=LINE('',#533772,#126295); #87379=LINE('',#533774,#126296); #87380=LINE('',#533775,#126297); #87381=LINE('',#533779,#126298); #87382=LINE('',#533781,#126299); #87383=LINE('',#533783,#126300); #87384=LINE('',#533784,#126301); #87385=LINE('',#533787,#126302); #87386=LINE('',#533789,#126303); #87387=LINE('',#533790,#126304); #87388=LINE('',#533793,#126305); #87389=LINE('',#533795,#126306); #87390=LINE('',#533796,#126307); #87391=LINE('',#533799,#126308); #87392=LINE('',#533801,#126309); #87393=LINE('',#533802,#126310); #87394=LINE('',#533805,#126311); #87395=LINE('',#533807,#126312); #87396=LINE('',#533808,#126313); #87397=LINE('',#533811,#126314); #87398=LINE('',#533813,#126315); #87399=LINE('',#533814,#126316); #87400=LINE('',#533817,#126317); #87401=LINE('',#533819,#126318); #87402=LINE('',#533820,#126319); #87403=LINE('',#533823,#126320); #87404=LINE('',#533825,#126321); #87405=LINE('',#533826,#126322); #87406=LINE('',#533829,#126323); #87407=LINE('',#533831,#126324); #87408=LINE('',#533832,#126325); #87409=LINE('',#533835,#126326); #87410=LINE('',#533837,#126327); #87411=LINE('',#533838,#126328); #87412=LINE('',#533841,#126329); #87413=LINE('',#533843,#126330); #87414=LINE('',#533844,#126331); #87415=LINE('',#533847,#126332); #87416=LINE('',#533849,#126333); #87417=LINE('',#533850,#126334); #87418=LINE('',#533853,#126335); #87419=LINE('',#533855,#126336); #87420=LINE('',#533856,#126337); #87421=LINE('',#533859,#126338); #87422=LINE('',#533861,#126339); #87423=LINE('',#533862,#126340); #87424=LINE('',#533865,#126341); #87425=LINE('',#533867,#126342); #87426=LINE('',#533868,#126343); #87427=LINE('',#533871,#126344); #87428=LINE('',#533873,#126345); #87429=LINE('',#533874,#126346); #87430=LINE('',#533877,#126347); #87431=LINE('',#533879,#126348); #87432=LINE('',#533880,#126349); #87433=LINE('',#533883,#126350); #87434=LINE('',#533885,#126351); #87435=LINE('',#533886,#126352); #87436=LINE('',#533889,#126353); #87437=LINE('',#533891,#126354); #87438=LINE('',#533892,#126355); #87439=LINE('',#533895,#126356); #87440=LINE('',#533897,#126357); #87441=LINE('',#533898,#126358); #87442=LINE('',#533901,#126359); #87443=LINE('',#533903,#126360); #87444=LINE('',#533904,#126361); #87445=LINE('',#533907,#126362); #87446=LINE('',#533909,#126363); #87447=LINE('',#533910,#126364); #87448=LINE('',#533913,#126365); #87449=LINE('',#533915,#126366); #87450=LINE('',#533916,#126367); #87451=LINE('',#533919,#126368); #87452=LINE('',#533921,#126369); #87453=LINE('',#533922,#126370); #87454=LINE('',#533925,#126371); #87455=LINE('',#533927,#126372); #87456=LINE('',#533928,#126373); #87457=LINE('',#533931,#126374); #87458=LINE('',#533933,#126375); #87459=LINE('',#533934,#126376); #87460=LINE('',#533937,#126377); #87461=LINE('',#533939,#126378); #87462=LINE('',#533940,#126379); #87463=LINE('',#533943,#126380); #87464=LINE('',#533945,#126381); #87465=LINE('',#533946,#126382); #87466=LINE('',#533949,#126383); #87467=LINE('',#533951,#126384); #87468=LINE('',#533952,#126385); #87469=LINE('',#533955,#126386); #87470=LINE('',#533957,#126387); #87471=LINE('',#533958,#126388); #87472=LINE('',#533961,#126389); #87473=LINE('',#533963,#126390); #87474=LINE('',#533964,#126391); #87475=LINE('',#533967,#126392); #87476=LINE('',#533969,#126393); #87477=LINE('',#533970,#126394); #87478=LINE('',#533973,#126395); #87479=LINE('',#533975,#126396); #87480=LINE('',#533976,#126397); #87481=LINE('',#533979,#126398); #87482=LINE('',#533981,#126399); #87483=LINE('',#533982,#126400); #87484=LINE('',#533985,#126401); #87485=LINE('',#533987,#126402); #87486=LINE('',#533988,#126403); #87487=LINE('',#533991,#126404); #87488=LINE('',#533993,#126405); #87489=LINE('',#533994,#126406); #87490=LINE('',#533997,#126407); #87491=LINE('',#533999,#126408); #87492=LINE('',#534000,#126409); #87493=LINE('',#534003,#126410); #87494=LINE('',#534005,#126411); #87495=LINE('',#534006,#126412); #87496=LINE('',#534009,#126413); #87497=LINE('',#534011,#126414); #87498=LINE('',#534012,#126415); #87499=LINE('',#534015,#126416); #87500=LINE('',#534017,#126417); #87501=LINE('',#534018,#126418); #87502=LINE('',#534021,#126419); #87503=LINE('',#534023,#126420); #87504=LINE('',#534024,#126421); #87505=LINE('',#534027,#126422); #87506=LINE('',#534029,#126423); #87507=LINE('',#534030,#126424); #87508=LINE('',#534033,#126425); #87509=LINE('',#534035,#126426); #87510=LINE('',#534036,#126427); #87511=LINE('',#534039,#126428); #87512=LINE('',#534041,#126429); #87513=LINE('',#534042,#126430); #87514=LINE('',#534045,#126431); #87515=LINE('',#534047,#126432); #87516=LINE('',#534048,#126433); #87517=LINE('',#534051,#126434); #87518=LINE('',#534053,#126435); #87519=LINE('',#534054,#126436); #87520=LINE('',#534057,#126437); #87521=LINE('',#534059,#126438); #87522=LINE('',#534060,#126439); #87523=LINE('',#534063,#126440); #87524=LINE('',#534065,#126441); #87525=LINE('',#534066,#126442); #87526=LINE('',#534069,#126443); #87527=LINE('',#534071,#126444); #87528=LINE('',#534072,#126445); #87529=LINE('',#534075,#126446); #87530=LINE('',#534077,#126447); #87531=LINE('',#534078,#126448); #87532=LINE('',#534081,#126449); #87533=LINE('',#534083,#126450); #87534=LINE('',#534084,#126451); #87535=LINE('',#534087,#126452); #87536=LINE('',#534089,#126453); #87537=LINE('',#534090,#126454); #87538=LINE('',#534093,#126455); #87539=LINE('',#534095,#126456); #87540=LINE('',#534096,#126457); #87541=LINE('',#534099,#126458); #87542=LINE('',#534101,#126459); #87543=LINE('',#534102,#126460); #87544=LINE('',#534105,#126461); #87545=LINE('',#534107,#126462); #87546=LINE('',#534108,#126463); #87547=LINE('',#534111,#126464); #87548=LINE('',#534113,#126465); #87549=LINE('',#534114,#126466); #87550=LINE('',#534117,#126467); #87551=LINE('',#534119,#126468); #87552=LINE('',#534120,#126469); #87553=LINE('',#534123,#126470); #87554=LINE('',#534125,#126471); #87555=LINE('',#534126,#126472); #87556=LINE('',#534129,#126473); #87557=LINE('',#534131,#126474); #87558=LINE('',#534132,#126475); #87559=LINE('',#534135,#126476); #87560=LINE('',#534137,#126477); #87561=LINE('',#534138,#126478); #87562=LINE('',#534141,#126479); #87563=LINE('',#534143,#126480); #87564=LINE('',#534144,#126481); #87565=LINE('',#534147,#126482); #87566=LINE('',#534149,#126483); #87567=LINE('',#534150,#126484); #87568=LINE('',#534153,#126485); #87569=LINE('',#534155,#126486); #87570=LINE('',#534156,#126487); #87571=LINE('',#534159,#126488); #87572=LINE('',#534161,#126489); #87573=LINE('',#534162,#126490); #87574=LINE('',#534165,#126491); #87575=LINE('',#534167,#126492); #87576=LINE('',#534168,#126493); #87577=LINE('',#534171,#126494); #87578=LINE('',#534173,#126495); #87579=LINE('',#534174,#126496); #87580=LINE('',#534177,#126497); #87581=LINE('',#534179,#126498); #87582=LINE('',#534180,#126499); #87583=LINE('',#534183,#126500); #87584=LINE('',#534185,#126501); #87585=LINE('',#534186,#126502); #87586=LINE('',#534189,#126503); #87587=LINE('',#534191,#126504); #87588=LINE('',#534192,#126505); #87589=LINE('',#534195,#126506); #87590=LINE('',#534197,#126507); #87591=LINE('',#534198,#126508); #87592=LINE('',#534201,#126509); #87593=LINE('',#534203,#126510); #87594=LINE('',#534204,#126511); #87595=LINE('',#534207,#126512); #87596=LINE('',#534209,#126513); #87597=LINE('',#534210,#126514); #87598=LINE('',#534213,#126515); #87599=LINE('',#534215,#126516); #87600=LINE('',#534216,#126517); #87601=LINE('',#534219,#126518); #87602=LINE('',#534221,#126519); #87603=LINE('',#534222,#126520); #87604=LINE('',#534225,#126521); #87605=LINE('',#534227,#126522); #87606=LINE('',#534228,#126523); #87607=LINE('',#534231,#126524); #87608=LINE('',#534233,#126525); #87609=LINE('',#534234,#126526); #87610=LINE('',#534237,#126527); #87611=LINE('',#534239,#126528); #87612=LINE('',#534240,#126529); #87613=LINE('',#534243,#126530); #87614=LINE('',#534245,#126531); #87615=LINE('',#534246,#126532); #87616=LINE('',#534249,#126533); #87617=LINE('',#534251,#126534); #87618=LINE('',#534252,#126535); #87619=LINE('',#534255,#126536); #87620=LINE('',#534257,#126537); #87621=LINE('',#534258,#126538); #87622=LINE('',#534261,#126539); #87623=LINE('',#534263,#126540); #87624=LINE('',#534264,#126541); #87625=LINE('',#534267,#126542); #87626=LINE('',#534269,#126543); #87627=LINE('',#534270,#126544); #87628=LINE('',#534273,#126545); #87629=LINE('',#534275,#126546); #87630=LINE('',#534276,#126547); #87631=LINE('',#534279,#126548); #87632=LINE('',#534281,#126549); #87633=LINE('',#534282,#126550); #87634=LINE('',#534285,#126551); #87635=LINE('',#534287,#126552); #87636=LINE('',#534288,#126553); #87637=LINE('',#534291,#126554); #87638=LINE('',#534293,#126555); #87639=LINE('',#534294,#126556); #87640=LINE('',#534297,#126557); #87641=LINE('',#534299,#126558); #87642=LINE('',#534300,#126559); #87643=LINE('',#534303,#126560); #87644=LINE('',#534305,#126561); #87645=LINE('',#534306,#126562); #87646=LINE('',#534309,#126563); #87647=LINE('',#534311,#126564); #87648=LINE('',#534312,#126565); #87649=LINE('',#534315,#126566); #87650=LINE('',#534317,#126567); #87651=LINE('',#534318,#126568); #87652=LINE('',#534321,#126569); #87653=LINE('',#534323,#126570); #87654=LINE('',#534324,#126571); #87655=LINE('',#534327,#126572); #87656=LINE('',#534329,#126573); #87657=LINE('',#534330,#126574); #87658=LINE('',#534333,#126575); #87659=LINE('',#534335,#126576); #87660=LINE('',#534336,#126577); #87661=LINE('',#534339,#126578); #87662=LINE('',#534341,#126579); #87663=LINE('',#534342,#126580); #87664=LINE('',#534345,#126581); #87665=LINE('',#534347,#126582); #87666=LINE('',#534348,#126583); #87667=LINE('',#534351,#126584); #87668=LINE('',#534353,#126585); #87669=LINE('',#534354,#126586); #87670=LINE('',#534357,#126587); #87671=LINE('',#534359,#126588); #87672=LINE('',#534360,#126589); #87673=LINE('',#534363,#126590); #87674=LINE('',#534365,#126591); #87675=LINE('',#534366,#126592); #87676=LINE('',#534369,#126593); #87677=LINE('',#534371,#126594); #87678=LINE('',#534372,#126595); #87679=LINE('',#534375,#126596); #87680=LINE('',#534377,#126597); #87681=LINE('',#534378,#126598); #87682=LINE('',#534381,#126599); #87683=LINE('',#534383,#126600); #87684=LINE('',#534384,#126601); #87685=LINE('',#534387,#126602); #87686=LINE('',#534389,#126603); #87687=LINE('',#534390,#126604); #87688=LINE('',#534393,#126605); #87689=LINE('',#534395,#126606); #87690=LINE('',#534396,#126607); #87691=LINE('',#534399,#126608); #87692=LINE('',#534401,#126609); #87693=LINE('',#534402,#126610); #87694=LINE('',#534405,#126611); #87695=LINE('',#534407,#126612); #87696=LINE('',#534408,#126613); #87697=LINE('',#534411,#126614); #87698=LINE('',#534413,#126615); #87699=LINE('',#534414,#126616); #87700=LINE('',#534417,#126617); #87701=LINE('',#534419,#126618); #87702=LINE('',#534420,#126619); #87703=LINE('',#534423,#126620); #87704=LINE('',#534425,#126621); #87705=LINE('',#534426,#126622); #87706=LINE('',#534429,#126623); #87707=LINE('',#534431,#126624); #87708=LINE('',#534432,#126625); #87709=LINE('',#534435,#126626); #87710=LINE('',#534437,#126627); #87711=LINE('',#534438,#126628); #87712=LINE('',#534441,#126629); #87713=LINE('',#534443,#126630); #87714=LINE('',#534444,#126631); #87715=LINE('',#534447,#126632); #87716=LINE('',#534449,#126633); #87717=LINE('',#534450,#126634); #87718=LINE('',#534453,#126635); #87719=LINE('',#534455,#126636); #87720=LINE('',#534456,#126637); #87721=LINE('',#534459,#126638); #87722=LINE('',#534461,#126639); #87723=LINE('',#534462,#126640); #87724=LINE('',#534465,#126641); #87725=LINE('',#534467,#126642); #87726=LINE('',#534468,#126643); #87727=LINE('',#534471,#126644); #87728=LINE('',#534473,#126645); #87729=LINE('',#534474,#126646); #87730=LINE('',#534477,#126647); #87731=LINE('',#534479,#126648); #87732=LINE('',#534480,#126649); #87733=LINE('',#534483,#126650); #87734=LINE('',#534485,#126651); #87735=LINE('',#534486,#126652); #87736=LINE('',#534489,#126653); #87737=LINE('',#534491,#126654); #87738=LINE('',#534492,#126655); #87739=LINE('',#534495,#126656); #87740=LINE('',#534497,#126657); #87741=LINE('',#534498,#126658); #87742=LINE('',#534501,#126659); #87743=LINE('',#534503,#126660); #87744=LINE('',#534504,#126661); #87745=LINE('',#534507,#126662); #87746=LINE('',#534509,#126663); #87747=LINE('',#534510,#126664); #87748=LINE('',#534513,#126665); #87749=LINE('',#534515,#126666); #87750=LINE('',#534516,#126667); #87751=LINE('',#534519,#126668); #87752=LINE('',#534521,#126669); #87753=LINE('',#534522,#126670); #87754=LINE('',#534525,#126671); #87755=LINE('',#534527,#126672); #87756=LINE('',#534528,#126673); #87757=LINE('',#534531,#126674); #87758=LINE('',#534533,#126675); #87759=LINE('',#534534,#126676); #87760=LINE('',#534537,#126677); #87761=LINE('',#534539,#126678); #87762=LINE('',#534540,#126679); #87763=LINE('',#534543,#126680); #87764=LINE('',#534545,#126681); #87765=LINE('',#534546,#126682); #87766=LINE('',#534549,#126683); #87767=LINE('',#534551,#126684); #87768=LINE('',#534552,#126685); #87769=LINE('',#534555,#126686); #87770=LINE('',#534557,#126687); #87771=LINE('',#534558,#126688); #87772=LINE('',#534561,#126689); #87773=LINE('',#534563,#126690); #87774=LINE('',#534564,#126691); #87775=LINE('',#534567,#126692); #87776=LINE('',#534569,#126693); #87777=LINE('',#534570,#126694); #87778=LINE('',#534573,#126695); #87779=LINE('',#534575,#126696); #87780=LINE('',#534576,#126697); #87781=LINE('',#534579,#126698); #87782=LINE('',#534581,#126699); #87783=LINE('',#534582,#126700); #87784=LINE('',#534585,#126701); #87785=LINE('',#534587,#126702); #87786=LINE('',#534588,#126703); #87787=LINE('',#534591,#126704); #87788=LINE('',#534593,#126705); #87789=LINE('',#534594,#126706); #87790=LINE('',#534597,#126707); #87791=LINE('',#534599,#126708); #87792=LINE('',#534600,#126709); #87793=LINE('',#534603,#126710); #87794=LINE('',#534605,#126711); #87795=LINE('',#534606,#126712); #87796=LINE('',#534609,#126713); #87797=LINE('',#534611,#126714); #87798=LINE('',#534612,#126715); #87799=LINE('',#534615,#126716); #87800=LINE('',#534617,#126717); #87801=LINE('',#534618,#126718); #87802=LINE('',#534621,#126719); #87803=LINE('',#534623,#126720); #87804=LINE('',#534624,#126721); #87805=LINE('',#534627,#126722); #87806=LINE('',#534629,#126723); #87807=LINE('',#534630,#126724); #87808=LINE('',#534633,#126725); #87809=LINE('',#534635,#126726); #87810=LINE('',#534636,#126727); #87811=LINE('',#534639,#126728); #87812=LINE('',#534641,#126729); #87813=LINE('',#534642,#126730); #87814=LINE('',#534645,#126731); #87815=LINE('',#534647,#126732); #87816=LINE('',#534648,#126733); #87817=LINE('',#534651,#126734); #87818=LINE('',#534653,#126735); #87819=LINE('',#534654,#126736); #87820=LINE('',#534657,#126737); #87821=LINE('',#534659,#126738); #87822=LINE('',#534660,#126739); #87823=LINE('',#534663,#126740); #87824=LINE('',#534665,#126741); #87825=LINE('',#534666,#126742); #87826=LINE('',#534669,#126743); #87827=LINE('',#534671,#126744); #87828=LINE('',#534672,#126745); #87829=LINE('',#534675,#126746); #87830=LINE('',#534677,#126747); #87831=LINE('',#534678,#126748); #87832=LINE('',#534681,#126749); #87833=LINE('',#534683,#126750); #87834=LINE('',#534684,#126751); #87835=LINE('',#534687,#126752); #87836=LINE('',#534689,#126753); #87837=LINE('',#534690,#126754); #87838=LINE('',#534693,#126755); #87839=LINE('',#534695,#126756); #87840=LINE('',#534696,#126757); #87841=LINE('',#534699,#126758); #87842=LINE('',#534701,#126759); #87843=LINE('',#534702,#126760); #87844=LINE('',#534705,#126761); #87845=LINE('',#534707,#126762); #87846=LINE('',#534708,#126763); #87847=LINE('',#534711,#126764); #87848=LINE('',#534713,#126765); #87849=LINE('',#534714,#126766); #87850=LINE('',#534717,#126767); #87851=LINE('',#534719,#126768); #87852=LINE('',#534720,#126769); #87853=LINE('',#534723,#126770); #87854=LINE('',#534725,#126771); #87855=LINE('',#534726,#126772); #87856=LINE('',#534729,#126773); #87857=LINE('',#534731,#126774); #87858=LINE('',#534732,#126775); #87859=LINE('',#534735,#126776); #87860=LINE('',#534737,#126777); #87861=LINE('',#534738,#126778); #87862=LINE('',#534741,#126779); #87863=LINE('',#534743,#126780); #87864=LINE('',#534744,#126781); #87865=LINE('',#534747,#126782); #87866=LINE('',#534749,#126783); #87867=LINE('',#534750,#126784); #87868=LINE('',#534753,#126785); #87869=LINE('',#534755,#126786); #87870=LINE('',#534756,#126787); #87871=LINE('',#534759,#126788); #87872=LINE('',#534761,#126789); #87873=LINE('',#534762,#126790); #87874=LINE('',#534765,#126791); #87875=LINE('',#534767,#126792); #87876=LINE('',#534768,#126793); #87877=LINE('',#534771,#126794); #87878=LINE('',#534773,#126795); #87879=LINE('',#534774,#126796); #87880=LINE('',#534777,#126797); #87881=LINE('',#534779,#126798); #87882=LINE('',#534780,#126799); #87883=LINE('',#534783,#126800); #87884=LINE('',#534785,#126801); #87885=LINE('',#534786,#126802); #87886=LINE('',#534789,#126803); #87887=LINE('',#534791,#126804); #87888=LINE('',#534792,#126805); #87889=LINE('',#534795,#126806); #87890=LINE('',#534797,#126807); #87891=LINE('',#534798,#126808); #87892=LINE('',#534801,#126809); #87893=LINE('',#534803,#126810); #87894=LINE('',#534804,#126811); #87895=LINE('',#534807,#126812); #87896=LINE('',#534809,#126813); #87897=LINE('',#534810,#126814); #87898=LINE('',#534813,#126815); #87899=LINE('',#534815,#126816); #87900=LINE('',#534816,#126817); #87901=LINE('',#534819,#126818); #87902=LINE('',#534821,#126819); #87903=LINE('',#534822,#126820); #87904=LINE('',#534825,#126821); #87905=LINE('',#534827,#126822); #87906=LINE('',#534828,#126823); #87907=LINE('',#534831,#126824); #87908=LINE('',#534833,#126825); #87909=LINE('',#534834,#126826); #87910=LINE('',#534837,#126827); #87911=LINE('',#534839,#126828); #87912=LINE('',#534840,#126829); #87913=LINE('',#534843,#126830); #87914=LINE('',#534845,#126831); #87915=LINE('',#534846,#126832); #87916=LINE('',#534849,#126833); #87917=LINE('',#534851,#126834); #87918=LINE('',#534852,#126835); #87919=LINE('',#534855,#126836); #87920=LINE('',#534857,#126837); #87921=LINE('',#534858,#126838); #87922=LINE('',#534861,#126839); #87923=LINE('',#534863,#126840); #87924=LINE('',#534864,#126841); #87925=LINE('',#534867,#126842); #87926=LINE('',#534869,#126843); #87927=LINE('',#534870,#126844); #87928=LINE('',#534873,#126845); #87929=LINE('',#534875,#126846); #87930=LINE('',#534876,#126847); #87931=LINE('',#534879,#126848); #87932=LINE('',#534881,#126849); #87933=LINE('',#534882,#126850); #87934=LINE('',#534885,#126851); #87935=LINE('',#534887,#126852); #87936=LINE('',#534888,#126853); #87937=LINE('',#534891,#126854); #87938=LINE('',#534893,#126855); #87939=LINE('',#534894,#126856); #87940=LINE('',#534897,#126857); #87941=LINE('',#534899,#126858); #87942=LINE('',#534900,#126859); #87943=LINE('',#534903,#126860); #87944=LINE('',#534905,#126861); #87945=LINE('',#534906,#126862); #87946=LINE('',#534909,#126863); #87947=LINE('',#534911,#126864); #87948=LINE('',#534912,#126865); #87949=LINE('',#534915,#126866); #87950=LINE('',#534917,#126867); #87951=LINE('',#534918,#126868); #87952=LINE('',#534921,#126869); #87953=LINE('',#534923,#126870); #87954=LINE('',#534924,#126871); #87955=LINE('',#534927,#126872); #87956=LINE('',#534929,#126873); #87957=LINE('',#534930,#126874); #87958=LINE('',#534933,#126875); #87959=LINE('',#534935,#126876); #87960=LINE('',#534936,#126877); #87961=LINE('',#534939,#126878); #87962=LINE('',#534941,#126879); #87963=LINE('',#534942,#126880); #87964=LINE('',#534945,#126881); #87965=LINE('',#534947,#126882); #87966=LINE('',#534948,#126883); #87967=LINE('',#534951,#126884); #87968=LINE('',#534953,#126885); #87969=LINE('',#534954,#126886); #87970=LINE('',#534957,#126887); #87971=LINE('',#534959,#126888); #87972=LINE('',#534960,#126889); #87973=LINE('',#534963,#126890); #87974=LINE('',#534965,#126891); #87975=LINE('',#534966,#126892); #87976=LINE('',#534969,#126893); #87977=LINE('',#534971,#126894); #87978=LINE('',#534972,#126895); #87979=LINE('',#534978,#126896); #87980=LINE('',#534981,#126897); #87981=LINE('',#534983,#126898); #87982=LINE('',#534984,#126899); #87983=LINE('',#534990,#126900); #87984=LINE('',#534993,#126901); #87985=LINE('',#534995,#126902); #87986=LINE('',#534996,#126903); #87987=LINE('',#534999,#126904); #87988=LINE('',#535001,#126905); #87989=LINE('',#535002,#126906); #87990=LINE('',#535005,#126907); #87991=LINE('',#535007,#126908); #87992=LINE('',#535008,#126909); #87993=LINE('',#535011,#126910); #87994=LINE('',#535013,#126911); #87995=LINE('',#535014,#126912); #87996=LINE('',#535017,#126913); #87997=LINE('',#535019,#126914); #87998=LINE('',#535020,#126915); #87999=LINE('',#535023,#126916); #88000=LINE('',#535025,#126917); #88001=LINE('',#535026,#126918); #88002=LINE('',#535029,#126919); #88003=LINE('',#535031,#126920); #88004=LINE('',#535032,#126921); #88005=LINE('',#535035,#126922); #88006=LINE('',#535037,#126923); #88007=LINE('',#535038,#126924); #88008=LINE('',#535044,#126925); #88009=LINE('',#535047,#126926); #88010=LINE('',#535049,#126927); #88011=LINE('',#535050,#126928); #88012=LINE('',#535053,#126929); #88013=LINE('',#535055,#126930); #88014=LINE('',#535056,#126931); #88015=LINE('',#535062,#126932); #88016=LINE('',#535065,#126933); #88017=LINE('',#535067,#126934); #88018=LINE('',#535068,#126935); #88019=LINE('',#535071,#126936); #88020=LINE('',#535073,#126937); #88021=LINE('',#535074,#126938); #88022=LINE('',#535077,#126939); #88023=LINE('',#535079,#126940); #88024=LINE('',#535080,#126941); #88025=LINE('',#535083,#126942); #88026=LINE('',#535085,#126943); #88027=LINE('',#535086,#126944); #88028=LINE('',#535089,#126945); #88029=LINE('',#535091,#126946); #88030=LINE('',#535092,#126947); #88031=LINE('',#535095,#126948); #88032=LINE('',#535097,#126949); #88033=LINE('',#535098,#126950); #88034=LINE('',#535101,#126951); #88035=LINE('',#535103,#126952); #88036=LINE('',#535104,#126953); #88037=LINE('',#535107,#126954); #88038=LINE('',#535109,#126955); #88039=LINE('',#535110,#126956); #88040=LINE('',#535113,#126957); #88041=LINE('',#535115,#126958); #88042=LINE('',#535116,#126959); #88043=LINE('',#535119,#126960); #88044=LINE('',#535121,#126961); #88045=LINE('',#535122,#126962); #88046=LINE('',#535125,#126963); #88047=LINE('',#535127,#126964); #88048=LINE('',#535128,#126965); #88049=LINE('',#535131,#126966); #88050=LINE('',#535133,#126967); #88051=LINE('',#535134,#126968); #88052=LINE('',#535137,#126969); #88053=LINE('',#535139,#126970); #88054=LINE('',#535140,#126971); #88055=LINE('',#535143,#126972); #88056=LINE('',#535145,#126973); #88057=LINE('',#535146,#126974); #88058=LINE('',#535149,#126975); #88059=LINE('',#535151,#126976); #88060=LINE('',#535152,#126977); #88061=LINE('',#535155,#126978); #88062=LINE('',#535157,#126979); #88063=LINE('',#535158,#126980); #88064=LINE('',#535161,#126981); #88065=LINE('',#535163,#126982); #88066=LINE('',#535164,#126983); #88067=LINE('',#535167,#126984); #88068=LINE('',#535169,#126985); #88069=LINE('',#535170,#126986); #88070=LINE('',#535173,#126987); #88071=LINE('',#535175,#126988); #88072=LINE('',#535176,#126989); #88073=LINE('',#535179,#126990); #88074=LINE('',#535181,#126991); #88075=LINE('',#535182,#126992); #88076=LINE('',#535185,#126993); #88077=LINE('',#535187,#126994); #88078=LINE('',#535188,#126995); #88079=LINE('',#535191,#126996); #88080=LINE('',#535193,#126997); #88081=LINE('',#535194,#126998); #88082=LINE('',#535197,#126999); #88083=LINE('',#535199,#127000); #88084=LINE('',#535200,#127001); #88085=LINE('',#535203,#127002); #88086=LINE('',#535205,#127003); #88087=LINE('',#535206,#127004); #88088=LINE('',#535209,#127005); #88089=LINE('',#535211,#127006); #88090=LINE('',#535212,#127007); #88091=LINE('',#535215,#127008); #88092=LINE('',#535217,#127009); #88093=LINE('',#535218,#127010); #88094=LINE('',#535221,#127011); #88095=LINE('',#535223,#127012); #88096=LINE('',#535224,#127013); #88097=LINE('',#535227,#127014); #88098=LINE('',#535229,#127015); #88099=LINE('',#535230,#127016); #88100=LINE('',#535233,#127017); #88101=LINE('',#535235,#127018); #88102=LINE('',#535236,#127019); #88103=LINE('',#535239,#127020); #88104=LINE('',#535241,#127021); #88105=LINE('',#535242,#127022); #88106=LINE('',#535245,#127023); #88107=LINE('',#535247,#127024); #88108=LINE('',#535248,#127025); #88109=LINE('',#535251,#127026); #88110=LINE('',#535253,#127027); #88111=LINE('',#535254,#127028); #88112=LINE('',#535257,#127029); #88113=LINE('',#535259,#127030); #88114=LINE('',#535260,#127031); #88115=LINE('',#535263,#127032); #88116=LINE('',#535265,#127033); #88117=LINE('',#535266,#127034); #88118=LINE('',#535269,#127035); #88119=LINE('',#535271,#127036); #88120=LINE('',#535272,#127037); #88121=LINE('',#535275,#127038); #88122=LINE('',#535277,#127039); #88123=LINE('',#535278,#127040); #88124=LINE('',#535281,#127041); #88125=LINE('',#535283,#127042); #88126=LINE('',#535284,#127043); #88127=LINE('',#535287,#127044); #88128=LINE('',#535289,#127045); #88129=LINE('',#535290,#127046); #88130=LINE('',#535293,#127047); #88131=LINE('',#535295,#127048); #88132=LINE('',#535296,#127049); #88133=LINE('',#535299,#127050); #88134=LINE('',#535301,#127051); #88135=LINE('',#535302,#127052); #88136=LINE('',#535305,#127053); #88137=LINE('',#535307,#127054); #88138=LINE('',#535308,#127055); #88139=LINE('',#535311,#127056); #88140=LINE('',#535313,#127057); #88141=LINE('',#535314,#127058); #88142=LINE('',#535317,#127059); #88143=LINE('',#535319,#127060); #88144=LINE('',#535320,#127061); #88145=LINE('',#535323,#127062); #88146=LINE('',#535325,#127063); #88147=LINE('',#535326,#127064); #88148=LINE('',#535329,#127065); #88149=LINE('',#535331,#127066); #88150=LINE('',#535332,#127067); #88151=LINE('',#535335,#127068); #88152=LINE('',#535337,#127069); #88153=LINE('',#535338,#127070); #88154=LINE('',#535341,#127071); #88155=LINE('',#535343,#127072); #88156=LINE('',#535344,#127073); #88157=LINE('',#535347,#127074); #88158=LINE('',#535349,#127075); #88159=LINE('',#535350,#127076); #88160=LINE('',#535353,#127077); #88161=LINE('',#535355,#127078); #88162=LINE('',#535356,#127079); #88163=LINE('',#535359,#127080); #88164=LINE('',#535361,#127081); #88165=LINE('',#535362,#127082); #88166=LINE('',#535365,#127083); #88167=LINE('',#535367,#127084); #88168=LINE('',#535368,#127085); #88169=LINE('',#535371,#127086); #88170=LINE('',#535373,#127087); #88171=LINE('',#535374,#127088); #88172=LINE('',#535377,#127089); #88173=LINE('',#535379,#127090); #88174=LINE('',#535380,#127091); #88175=LINE('',#535383,#127092); #88176=LINE('',#535385,#127093); #88177=LINE('',#535386,#127094); #88178=LINE('',#535389,#127095); #88179=LINE('',#535391,#127096); #88180=LINE('',#535392,#127097); #88181=LINE('',#535395,#127098); #88182=LINE('',#535397,#127099); #88183=LINE('',#535398,#127100); #88184=LINE('',#535401,#127101); #88185=LINE('',#535403,#127102); #88186=LINE('',#535404,#127103); #88187=LINE('',#535407,#127104); #88188=LINE('',#535409,#127105); #88189=LINE('',#535410,#127106); #88190=LINE('',#535413,#127107); #88191=LINE('',#535415,#127108); #88192=LINE('',#535416,#127109); #88193=LINE('',#535419,#127110); #88194=LINE('',#535421,#127111); #88195=LINE('',#535422,#127112); #88196=LINE('',#535425,#127113); #88197=LINE('',#535427,#127114); #88198=LINE('',#535428,#127115); #88199=LINE('',#535431,#127116); #88200=LINE('',#535433,#127117); #88201=LINE('',#535434,#127118); #88202=LINE('',#535437,#127119); #88203=LINE('',#535439,#127120); #88204=LINE('',#535440,#127121); #88205=LINE('',#535443,#127122); #88206=LINE('',#535445,#127123); #88207=LINE('',#535446,#127124); #88208=LINE('',#535449,#127125); #88209=LINE('',#535451,#127126); #88210=LINE('',#535452,#127127); #88211=LINE('',#535455,#127128); #88212=LINE('',#535457,#127129); #88213=LINE('',#535458,#127130); #88214=LINE('',#535461,#127131); #88215=LINE('',#535463,#127132); #88216=LINE('',#535464,#127133); #88217=LINE('',#535467,#127134); #88218=LINE('',#535469,#127135); #88219=LINE('',#535470,#127136); #88220=LINE('',#535473,#127137); #88221=LINE('',#535475,#127138); #88222=LINE('',#535476,#127139); #88223=LINE('',#535479,#127140); #88224=LINE('',#535481,#127141); #88225=LINE('',#535482,#127142); #88226=LINE('',#535485,#127143); #88227=LINE('',#535487,#127144); #88228=LINE('',#535488,#127145); #88229=LINE('',#535491,#127146); #88230=LINE('',#535493,#127147); #88231=LINE('',#535494,#127148); #88232=LINE('',#535497,#127149); #88233=LINE('',#535499,#127150); #88234=LINE('',#535500,#127151); #88235=LINE('',#535503,#127152); #88236=LINE('',#535505,#127153); #88237=LINE('',#535506,#127154); #88238=LINE('',#535509,#127155); #88239=LINE('',#535511,#127156); #88240=LINE('',#535512,#127157); #88241=LINE('',#535515,#127158); #88242=LINE('',#535517,#127159); #88243=LINE('',#535518,#127160); #88244=LINE('',#535521,#127161); #88245=LINE('',#535523,#127162); #88246=LINE('',#535524,#127163); #88247=LINE('',#535527,#127164); #88248=LINE('',#535529,#127165); #88249=LINE('',#535530,#127166); #88250=LINE('',#535533,#127167); #88251=LINE('',#535535,#127168); #88252=LINE('',#535536,#127169); #88253=LINE('',#535539,#127170); #88254=LINE('',#535541,#127171); #88255=LINE('',#535542,#127172); #88256=LINE('',#535545,#127173); #88257=LINE('',#535547,#127174); #88258=LINE('',#535548,#127175); #88259=LINE('',#535551,#127176); #88260=LINE('',#535553,#127177); #88261=LINE('',#535554,#127178); #88262=LINE('',#535557,#127179); #88263=LINE('',#535559,#127180); #88264=LINE('',#535560,#127181); #88265=LINE('',#535562,#127182); #88266=LINE('',#535563,#127183); #88267=LINE('',#535569,#127184); #88268=LINE('',#535571,#127185); #88269=LINE('',#535573,#127186); #88270=LINE('',#535574,#127187); #88271=LINE('',#535577,#127188); #88272=LINE('',#535579,#127189); #88273=LINE('',#535580,#127190); #88274=LINE('',#535583,#127191); #88275=LINE('',#535585,#127192); #88276=LINE('',#535586,#127193); #88277=LINE('',#535589,#127194); #88278=LINE('',#535591,#127195); #88279=LINE('',#535592,#127196); #88280=LINE('',#535595,#127197); #88281=LINE('',#535597,#127198); #88282=LINE('',#535598,#127199); #88283=LINE('',#535601,#127200); #88284=LINE('',#535603,#127201); #88285=LINE('',#535604,#127202); #88286=LINE('',#535607,#127203); #88287=LINE('',#535609,#127204); #88288=LINE('',#535610,#127205); #88289=LINE('',#535613,#127206); #88290=LINE('',#535615,#127207); #88291=LINE('',#535616,#127208); #88292=LINE('',#535619,#127209); #88293=LINE('',#535621,#127210); #88294=LINE('',#535622,#127211); #88295=LINE('',#535625,#127212); #88296=LINE('',#535627,#127213); #88297=LINE('',#535628,#127214); #88298=LINE('',#535631,#127215); #88299=LINE('',#535633,#127216); #88300=LINE('',#535634,#127217); #88301=LINE('',#535637,#127218); #88302=LINE('',#535639,#127219); #88303=LINE('',#535640,#127220); #88304=LINE('',#535643,#127221); #88305=LINE('',#535645,#127222); #88306=LINE('',#535646,#127223); #88307=LINE('',#535649,#127224); #88308=LINE('',#535651,#127225); #88309=LINE('',#535652,#127226); #88310=LINE('',#535655,#127227); #88311=LINE('',#535657,#127228); #88312=LINE('',#535658,#127229); #88313=LINE('',#535661,#127230); #88314=LINE('',#535663,#127231); #88315=LINE('',#535664,#127232); #88316=LINE('',#535667,#127233); #88317=LINE('',#535669,#127234); #88318=LINE('',#535670,#127235); #88319=LINE('',#535673,#127236); #88320=LINE('',#535675,#127237); #88321=LINE('',#535676,#127238); #88322=LINE('',#535679,#127239); #88323=LINE('',#535681,#127240); #88324=LINE('',#535682,#127241); #88325=LINE('',#535684,#127242); #88326=LINE('',#535685,#127243); #88327=LINE('',#535691,#127244); #88328=LINE('',#535693,#127245); #88329=LINE('',#535695,#127246); #88330=LINE('',#535696,#127247); #88331=LINE('',#535699,#127248); #88332=LINE('',#535701,#127249); #88333=LINE('',#535702,#127250); #88334=LINE('',#535705,#127251); #88335=LINE('',#535707,#127252); #88336=LINE('',#535708,#127253); #88337=LINE('',#535711,#127254); #88338=LINE('',#535713,#127255); #88339=LINE('',#535714,#127256); #88340=LINE('',#535717,#127257); #88341=LINE('',#535719,#127258); #88342=LINE('',#535720,#127259); #88343=LINE('',#535723,#127260); #88344=LINE('',#535725,#127261); #88345=LINE('',#535726,#127262); #88346=LINE('',#535729,#127263); #88347=LINE('',#535731,#127264); #88348=LINE('',#535732,#127265); #88349=LINE('',#535735,#127266); #88350=LINE('',#535737,#127267); #88351=LINE('',#535738,#127268); #88352=LINE('',#535741,#127269); #88353=LINE('',#535743,#127270); #88354=LINE('',#535744,#127271); #88355=LINE('',#535747,#127272); #88356=LINE('',#535749,#127273); #88357=LINE('',#535750,#127274); #88358=LINE('',#535753,#127275); #88359=LINE('',#535755,#127276); #88360=LINE('',#535756,#127277); #88361=LINE('',#535759,#127278); #88362=LINE('',#535761,#127279); #88363=LINE('',#535762,#127280); #88364=LINE('',#535765,#127281); #88365=LINE('',#535767,#127282); #88366=LINE('',#535768,#127283); #88367=LINE('',#535771,#127284); #88368=LINE('',#535773,#127285); #88369=LINE('',#535774,#127286); #88370=LINE('',#535777,#127287); #88371=LINE('',#535779,#127288); #88372=LINE('',#535780,#127289); #88373=LINE('',#535783,#127290); #88374=LINE('',#535785,#127291); #88375=LINE('',#535786,#127292); #88376=LINE('',#535789,#127293); #88377=LINE('',#535791,#127294); #88378=LINE('',#535792,#127295); #88379=LINE('',#535795,#127296); #88380=LINE('',#535797,#127297); #88381=LINE('',#535798,#127298); #88382=LINE('',#535801,#127299); #88383=LINE('',#535803,#127300); #88384=LINE('',#535804,#127301); #88385=LINE('',#535806,#127302); #88386=LINE('',#535807,#127303); #88387=LINE('',#535813,#127304); #88388=LINE('',#535815,#127305); #88389=LINE('',#535817,#127306); #88390=LINE('',#535818,#127307); #88391=LINE('',#535821,#127308); #88392=LINE('',#535823,#127309); #88393=LINE('',#535824,#127310); #88394=LINE('',#535827,#127311); #88395=LINE('',#535829,#127312); #88396=LINE('',#535830,#127313); #88397=LINE('',#535833,#127314); #88398=LINE('',#535835,#127315); #88399=LINE('',#535836,#127316); #88400=LINE('',#535839,#127317); #88401=LINE('',#535841,#127318); #88402=LINE('',#535842,#127319); #88403=LINE('',#535845,#127320); #88404=LINE('',#535847,#127321); #88405=LINE('',#535848,#127322); #88406=LINE('',#535851,#127323); #88407=LINE('',#535853,#127324); #88408=LINE('',#535854,#127325); #88409=LINE('',#535857,#127326); #88410=LINE('',#535859,#127327); #88411=LINE('',#535860,#127328); #88412=LINE('',#535863,#127329); #88413=LINE('',#535865,#127330); #88414=LINE('',#535866,#127331); #88415=LINE('',#535869,#127332); #88416=LINE('',#535871,#127333); #88417=LINE('',#535872,#127334); #88418=LINE('',#535875,#127335); #88419=LINE('',#535877,#127336); #88420=LINE('',#535878,#127337); #88421=LINE('',#535881,#127338); #88422=LINE('',#535883,#127339); #88423=LINE('',#535884,#127340); #88424=LINE('',#535887,#127341); #88425=LINE('',#535889,#127342); #88426=LINE('',#535890,#127343); #88427=LINE('',#535893,#127344); #88428=LINE('',#535895,#127345); #88429=LINE('',#535896,#127346); #88430=LINE('',#535899,#127347); #88431=LINE('',#535901,#127348); #88432=LINE('',#535902,#127349); #88433=LINE('',#535905,#127350); #88434=LINE('',#535907,#127351); #88435=LINE('',#535908,#127352); #88436=LINE('',#535911,#127353); #88437=LINE('',#535913,#127354); #88438=LINE('',#535914,#127355); #88439=LINE('',#535917,#127356); #88440=LINE('',#535919,#127357); #88441=LINE('',#535920,#127358); #88442=LINE('',#535923,#127359); #88443=LINE('',#535925,#127360); #88444=LINE('',#535926,#127361); #88445=LINE('',#535928,#127362); #88446=LINE('',#535929,#127363); #88447=LINE('',#535935,#127364); #88448=LINE('',#535937,#127365); #88449=LINE('',#535939,#127366); #88450=LINE('',#535940,#127367); #88451=LINE('',#535943,#127368); #88452=LINE('',#535945,#127369); #88453=LINE('',#535946,#127370); #88454=LINE('',#535949,#127371); #88455=LINE('',#535951,#127372); #88456=LINE('',#535952,#127373); #88457=LINE('',#535955,#127374); #88458=LINE('',#535957,#127375); #88459=LINE('',#535958,#127376); #88460=LINE('',#535961,#127377); #88461=LINE('',#535963,#127378); #88462=LINE('',#535964,#127379); #88463=LINE('',#535967,#127380); #88464=LINE('',#535969,#127381); #88465=LINE('',#535970,#127382); #88466=LINE('',#535973,#127383); #88467=LINE('',#535975,#127384); #88468=LINE('',#535976,#127385); #88469=LINE('',#535979,#127386); #88470=LINE('',#535981,#127387); #88471=LINE('',#535982,#127388); #88472=LINE('',#535985,#127389); #88473=LINE('',#535987,#127390); #88474=LINE('',#535988,#127391); #88475=LINE('',#535991,#127392); #88476=LINE('',#535993,#127393); #88477=LINE('',#535994,#127394); #88478=LINE('',#535997,#127395); #88479=LINE('',#535999,#127396); #88480=LINE('',#536000,#127397); #88481=LINE('',#536003,#127398); #88482=LINE('',#536005,#127399); #88483=LINE('',#536006,#127400); #88484=LINE('',#536009,#127401); #88485=LINE('',#536011,#127402); #88486=LINE('',#536012,#127403); #88487=LINE('',#536015,#127404); #88488=LINE('',#536017,#127405); #88489=LINE('',#536018,#127406); #88490=LINE('',#536021,#127407); #88491=LINE('',#536023,#127408); #88492=LINE('',#536024,#127409); #88493=LINE('',#536027,#127410); #88494=LINE('',#536029,#127411); #88495=LINE('',#536030,#127412); #88496=LINE('',#536033,#127413); #88497=LINE('',#536035,#127414); #88498=LINE('',#536036,#127415); #88499=LINE('',#536039,#127416); #88500=LINE('',#536041,#127417); #88501=LINE('',#536042,#127418); #88502=LINE('',#536045,#127419); #88503=LINE('',#536047,#127420); #88504=LINE('',#536048,#127421); #88505=LINE('',#536051,#127422); #88506=LINE('',#536053,#127423); #88507=LINE('',#536054,#127424); #88508=LINE('',#536057,#127425); #88509=LINE('',#536059,#127426); #88510=LINE('',#536060,#127427); #88511=LINE('',#536063,#127428); #88512=LINE('',#536065,#127429); #88513=LINE('',#536066,#127430); #88514=LINE('',#536068,#127431); #88515=LINE('',#536069,#127432); #88516=LINE('',#536075,#127433); #88517=LINE('',#536077,#127434); #88518=LINE('',#536079,#127435); #88519=LINE('',#536080,#127436); #88520=LINE('',#536083,#127437); #88521=LINE('',#536085,#127438); #88522=LINE('',#536086,#127439); #88523=LINE('',#536089,#127440); #88524=LINE('',#536091,#127441); #88525=LINE('',#536092,#127442); #88526=LINE('',#536095,#127443); #88527=LINE('',#536097,#127444); #88528=LINE('',#536098,#127445); #88529=LINE('',#536101,#127446); #88530=LINE('',#536103,#127447); #88531=LINE('',#536104,#127448); #88532=LINE('',#536107,#127449); #88533=LINE('',#536109,#127450); #88534=LINE('',#536110,#127451); #88535=LINE('',#536113,#127452); #88536=LINE('',#536115,#127453); #88537=LINE('',#536116,#127454); #88538=LINE('',#536119,#127455); #88539=LINE('',#536121,#127456); #88540=LINE('',#536122,#127457); #88541=LINE('',#536125,#127458); #88542=LINE('',#536127,#127459); #88543=LINE('',#536128,#127460); #88544=LINE('',#536131,#127461); #88545=LINE('',#536133,#127462); #88546=LINE('',#536134,#127463); #88547=LINE('',#536137,#127464); #88548=LINE('',#536139,#127465); #88549=LINE('',#536140,#127466); #88550=LINE('',#536143,#127467); #88551=LINE('',#536145,#127468); #88552=LINE('',#536146,#127469); #88553=LINE('',#536149,#127470); #88554=LINE('',#536151,#127471); #88555=LINE('',#536152,#127472); #88556=LINE('',#536155,#127473); #88557=LINE('',#536157,#127474); #88558=LINE('',#536158,#127475); #88559=LINE('',#536161,#127476); #88560=LINE('',#536163,#127477); #88561=LINE('',#536164,#127478); #88562=LINE('',#536167,#127479); #88563=LINE('',#536169,#127480); #88564=LINE('',#536170,#127481); #88565=LINE('',#536173,#127482); #88566=LINE('',#536175,#127483); #88567=LINE('',#536176,#127484); #88568=LINE('',#536179,#127485); #88569=LINE('',#536181,#127486); #88570=LINE('',#536182,#127487); #88571=LINE('',#536185,#127488); #88572=LINE('',#536187,#127489); #88573=LINE('',#536188,#127490); #88574=LINE('',#536190,#127491); #88575=LINE('',#536191,#127492); #88576=LINE('',#536197,#127493); #88577=LINE('',#536199,#127494); #88578=LINE('',#536201,#127495); #88579=LINE('',#536202,#127496); #88580=LINE('',#536205,#127497); #88581=LINE('',#536207,#127498); #88582=LINE('',#536208,#127499); #88583=LINE('',#536211,#127500); #88584=LINE('',#536213,#127501); #88585=LINE('',#536214,#127502); #88586=LINE('',#536217,#127503); #88587=LINE('',#536219,#127504); #88588=LINE('',#536220,#127505); #88589=LINE('',#536223,#127506); #88590=LINE('',#536225,#127507); #88591=LINE('',#536226,#127508); #88592=LINE('',#536229,#127509); #88593=LINE('',#536231,#127510); #88594=LINE('',#536232,#127511); #88595=LINE('',#536235,#127512); #88596=LINE('',#536237,#127513); #88597=LINE('',#536238,#127514); #88598=LINE('',#536241,#127515); #88599=LINE('',#536243,#127516); #88600=LINE('',#536244,#127517); #88601=LINE('',#536247,#127518); #88602=LINE('',#536249,#127519); #88603=LINE('',#536250,#127520); #88604=LINE('',#536253,#127521); #88605=LINE('',#536255,#127522); #88606=LINE('',#536256,#127523); #88607=LINE('',#536259,#127524); #88608=LINE('',#536261,#127525); #88609=LINE('',#536262,#127526); #88610=LINE('',#536265,#127527); #88611=LINE('',#536267,#127528); #88612=LINE('',#536268,#127529); #88613=LINE('',#536271,#127530); #88614=LINE('',#536273,#127531); #88615=LINE('',#536274,#127532); #88616=LINE('',#536277,#127533); #88617=LINE('',#536279,#127534); #88618=LINE('',#536280,#127535); #88619=LINE('',#536283,#127536); #88620=LINE('',#536285,#127537); #88621=LINE('',#536286,#127538); #88622=LINE('',#536289,#127539); #88623=LINE('',#536291,#127540); #88624=LINE('',#536292,#127541); #88625=LINE('',#536295,#127542); #88626=LINE('',#536297,#127543); #88627=LINE('',#536298,#127544); #88628=LINE('',#536301,#127545); #88629=LINE('',#536303,#127546); #88630=LINE('',#536304,#127547); #88631=LINE('',#536307,#127548); #88632=LINE('',#536309,#127549); #88633=LINE('',#536310,#127550); #88634=LINE('',#536313,#127551); #88635=LINE('',#536315,#127552); #88636=LINE('',#536316,#127553); #88637=LINE('',#536318,#127554); #88638=LINE('',#536319,#127555); #88639=LINE('',#536326,#127556); #88640=LINE('',#536331,#127557); #88641=LINE('',#536333,#127558); #88642=LINE('',#536335,#127559); #88643=LINE('',#536336,#127560); #88644=LINE('',#536342,#127561); #88645=LINE('',#536352,#127562); #88646=LINE('',#536357,#127563); #88647=LINE('',#536359,#127564); #88648=LINE('',#536361,#127565); #88649=LINE('',#536362,#127566); #88650=LINE('',#536368,#127567); #88651=LINE('',#536378,#127568); #88652=LINE('',#536383,#127569); #88653=LINE('',#536385,#127570); #88654=LINE('',#536387,#127571); #88655=LINE('',#536388,#127572); #88656=LINE('',#536394,#127573); #88657=LINE('',#536404,#127574); #88658=LINE('',#536409,#127575); #88659=LINE('',#536411,#127576); #88660=LINE('',#536413,#127577); #88661=LINE('',#536414,#127578); #88662=LINE('',#536420,#127579); #88663=LINE('',#536430,#127580); #88664=LINE('',#536435,#127581); #88665=LINE('',#536437,#127582); #88666=LINE('',#536439,#127583); #88667=LINE('',#536440,#127584); #88668=LINE('',#536443,#127585); #88669=LINE('',#536445,#127586); #88670=LINE('',#536446,#127587); #88671=LINE('',#536449,#127588); #88672=LINE('',#536451,#127589); #88673=LINE('',#536452,#127590); #88674=LINE('',#536454,#127591); #88675=LINE('',#536455,#127592); #88676=LINE('',#536462,#127593); #88677=LINE('',#536467,#127594); #88678=LINE('',#536469,#127595); #88679=LINE('',#536471,#127596); #88680=LINE('',#536472,#127597); #88681=LINE('',#536478,#127598); #88682=LINE('',#536488,#127599); #88683=LINE('',#536493,#127600); #88684=LINE('',#536495,#127601); #88685=LINE('',#536497,#127602); #88686=LINE('',#536498,#127603); #88687=LINE('',#536504,#127604); #88688=LINE('',#536514,#127605); #88689=LINE('',#536519,#127606); #88690=LINE('',#536521,#127607); #88691=LINE('',#536523,#127608); #88692=LINE('',#536524,#127609); #88693=LINE('',#536530,#127610); #88694=LINE('',#536540,#127611); #88695=LINE('',#536545,#127612); #88696=LINE('',#536547,#127613); #88697=LINE('',#536549,#127614); #88698=LINE('',#536550,#127615); #88699=LINE('',#536556,#127616); #88700=LINE('',#536565,#127617); #88701=LINE('',#536567,#127618); #88702=LINE('',#536569,#127619); #88703=LINE('',#536570,#127620); #88704=LINE('',#536576,#127621); #88705=LINE('',#536579,#127622); #88706=LINE('',#536581,#127623); #88707=LINE('',#536582,#127624); #88708=LINE('',#536589,#127625); #88709=LINE('',#536591,#127626); #88710=LINE('',#536593,#127627); #88711=LINE('',#536594,#127628); #88712=LINE('',#536597,#127629); #88713=LINE('',#536599,#127630); #88714=LINE('',#536600,#127631); #88715=LINE('',#536603,#127632); #88716=LINE('',#536605,#127633); #88717=LINE('',#536606,#127634); #88718=LINE('',#536609,#127635); #88719=LINE('',#536611,#127636); #88720=LINE('',#536612,#127637); #88721=LINE('',#536615,#127638); #88722=LINE('',#536617,#127639); #88723=LINE('',#536618,#127640); #88724=LINE('',#536621,#127641); #88725=LINE('',#536623,#127642); #88726=LINE('',#536624,#127643); #88727=LINE('',#536627,#127644); #88728=LINE('',#536629,#127645); #88729=LINE('',#536630,#127646); #88730=LINE('',#536633,#127647); #88731=LINE('',#536635,#127648); #88732=LINE('',#536636,#127649); #88733=LINE('',#536639,#127650); #88734=LINE('',#536641,#127651); #88735=LINE('',#536642,#127652); #88736=LINE('',#536645,#127653); #88737=LINE('',#536647,#127654); #88738=LINE('',#536648,#127655); #88739=LINE('',#536651,#127656); #88740=LINE('',#536653,#127657); #88741=LINE('',#536654,#127658); #88742=LINE('',#536657,#127659); #88743=LINE('',#536659,#127660); #88744=LINE('',#536660,#127661); #88745=LINE('',#536663,#127662); #88746=LINE('',#536665,#127663); #88747=LINE('',#536666,#127664); #88748=LINE('',#536669,#127665); #88749=LINE('',#536671,#127666); #88750=LINE('',#536672,#127667); #88751=LINE('',#536675,#127668); #88752=LINE('',#536677,#127669); #88753=LINE('',#536678,#127670); #88754=LINE('',#536681,#127671); #88755=LINE('',#536683,#127672); #88756=LINE('',#536684,#127673); #88757=LINE('',#536687,#127674); #88758=LINE('',#536689,#127675); #88759=LINE('',#536690,#127676); #88760=LINE('',#536693,#127677); #88761=LINE('',#536695,#127678); #88762=LINE('',#536696,#127679); #88763=LINE('',#536699,#127680); #88764=LINE('',#536701,#127681); #88765=LINE('',#536702,#127682); #88766=LINE('',#536705,#127683); #88767=LINE('',#536707,#127684); #88768=LINE('',#536708,#127685); #88769=LINE('',#536711,#127686); #88770=LINE('',#536713,#127687); #88771=LINE('',#536714,#127688); #88772=LINE('',#536717,#127689); #88773=LINE('',#536719,#127690); #88774=LINE('',#536720,#127691); #88775=LINE('',#536723,#127692); #88776=LINE('',#536725,#127693); #88777=LINE('',#536726,#127694); #88778=LINE('',#536729,#127695); #88779=LINE('',#536731,#127696); #88780=LINE('',#536732,#127697); #88781=LINE('',#536735,#127698); #88782=LINE('',#536737,#127699); #88783=LINE('',#536738,#127700); #88784=LINE('',#536741,#127701); #88785=LINE('',#536743,#127702); #88786=LINE('',#536744,#127703); #88787=LINE('',#536747,#127704); #88788=LINE('',#536749,#127705); #88789=LINE('',#536750,#127706); #88790=LINE('',#536753,#127707); #88791=LINE('',#536755,#127708); #88792=LINE('',#536756,#127709); #88793=LINE('',#536759,#127710); #88794=LINE('',#536761,#127711); #88795=LINE('',#536762,#127712); #88796=LINE('',#536765,#127713); #88797=LINE('',#536767,#127714); #88798=LINE('',#536768,#127715); #88799=LINE('',#536771,#127716); #88800=LINE('',#536773,#127717); #88801=LINE('',#536774,#127718); #88802=LINE('',#536777,#127719); #88803=LINE('',#536779,#127720); #88804=LINE('',#536780,#127721); #88805=LINE('',#536783,#127722); #88806=LINE('',#536785,#127723); #88807=LINE('',#536786,#127724); #88808=LINE('',#536789,#127725); #88809=LINE('',#536791,#127726); #88810=LINE('',#536792,#127727); #88811=LINE('',#536795,#127728); #88812=LINE('',#536797,#127729); #88813=LINE('',#536798,#127730); #88814=LINE('',#536801,#127731); #88815=LINE('',#536803,#127732); #88816=LINE('',#536804,#127733); #88817=LINE('',#536807,#127734); #88818=LINE('',#536809,#127735); #88819=LINE('',#536810,#127736); #88820=LINE('',#536813,#127737); #88821=LINE('',#536815,#127738); #88822=LINE('',#536816,#127739); #88823=LINE('',#536819,#127740); #88824=LINE('',#536821,#127741); #88825=LINE('',#536822,#127742); #88826=LINE('',#536825,#127743); #88827=LINE('',#536827,#127744); #88828=LINE('',#536828,#127745); #88829=LINE('',#536831,#127746); #88830=LINE('',#536833,#127747); #88831=LINE('',#536834,#127748); #88832=LINE('',#536837,#127749); #88833=LINE('',#536839,#127750); #88834=LINE('',#536840,#127751); #88835=LINE('',#536843,#127752); #88836=LINE('',#536845,#127753); #88837=LINE('',#536846,#127754); #88838=LINE('',#536849,#127755); #88839=LINE('',#536851,#127756); #88840=LINE('',#536852,#127757); #88841=LINE('',#536855,#127758); #88842=LINE('',#536857,#127759); #88843=LINE('',#536858,#127760); #88844=LINE('',#536861,#127761); #88845=LINE('',#536863,#127762); #88846=LINE('',#536864,#127763); #88847=LINE('',#536867,#127764); #88848=LINE('',#536869,#127765); #88849=LINE('',#536870,#127766); #88850=LINE('',#536873,#127767); #88851=LINE('',#536875,#127768); #88852=LINE('',#536876,#127769); #88853=LINE('',#536879,#127770); #88854=LINE('',#536881,#127771); #88855=LINE('',#536882,#127772); #88856=LINE('',#536885,#127773); #88857=LINE('',#536887,#127774); #88858=LINE('',#536888,#127775); #88859=LINE('',#536891,#127776); #88860=LINE('',#536893,#127777); #88861=LINE('',#536894,#127778); #88862=LINE('',#536897,#127779); #88863=LINE('',#536899,#127780); #88864=LINE('',#536900,#127781); #88865=LINE('',#536903,#127782); #88866=LINE('',#536905,#127783); #88867=LINE('',#536906,#127784); #88868=LINE('',#536909,#127785); #88869=LINE('',#536911,#127786); #88870=LINE('',#536912,#127787); #88871=LINE('',#536915,#127788); #88872=LINE('',#536917,#127789); #88873=LINE('',#536918,#127790); #88874=LINE('',#536920,#127791); #88875=LINE('',#536921,#127792); #88876=LINE('',#536927,#127793); #88877=LINE('',#536929,#127794); #88878=LINE('',#536931,#127795); #88879=LINE('',#536932,#127796); #88880=LINE('',#536938,#127797); #88881=LINE('',#536941,#127798); #88882=LINE('',#536943,#127799); #88883=LINE('',#536944,#127800); #88884=LINE('',#536951,#127801); #88885=LINE('',#536953,#127802); #88886=LINE('',#536955,#127803); #88887=LINE('',#536956,#127804); #88888=LINE('',#536959,#127805); #88889=LINE('',#536961,#127806); #88890=LINE('',#536962,#127807); #88891=LINE('',#536965,#127808); #88892=LINE('',#536967,#127809); #88893=LINE('',#536968,#127810); #88894=LINE('',#536971,#127811); #88895=LINE('',#536973,#127812); #88896=LINE('',#536974,#127813); #88897=LINE('',#536977,#127814); #88898=LINE('',#536979,#127815); #88899=LINE('',#536980,#127816); #88900=LINE('',#536983,#127817); #88901=LINE('',#536985,#127818); #88902=LINE('',#536986,#127819); #88903=LINE('',#536989,#127820); #88904=LINE('',#536991,#127821); #88905=LINE('',#536992,#127822); #88906=LINE('',#536995,#127823); #88907=LINE('',#536997,#127824); #88908=LINE('',#536998,#127825); #88909=LINE('',#537001,#127826); #88910=LINE('',#537003,#127827); #88911=LINE('',#537004,#127828); #88912=LINE('',#537007,#127829); #88913=LINE('',#537009,#127830); #88914=LINE('',#537010,#127831); #88915=LINE('',#537013,#127832); #88916=LINE('',#537015,#127833); #88917=LINE('',#537016,#127834); #88918=LINE('',#537019,#127835); #88919=LINE('',#537021,#127836); #88920=LINE('',#537022,#127837); #88921=LINE('',#537025,#127838); #88922=LINE('',#537027,#127839); #88923=LINE('',#537028,#127840); #88924=LINE('',#537031,#127841); #88925=LINE('',#537033,#127842); #88926=LINE('',#537034,#127843); #88927=LINE('',#537037,#127844); #88928=LINE('',#537039,#127845); #88929=LINE('',#537040,#127846); #88930=LINE('',#537043,#127847); #88931=LINE('',#537045,#127848); #88932=LINE('',#537046,#127849); #88933=LINE('',#537049,#127850); #88934=LINE('',#537051,#127851); #88935=LINE('',#537052,#127852); #88936=LINE('',#537055,#127853); #88937=LINE('',#537057,#127854); #88938=LINE('',#537058,#127855); #88939=LINE('',#537061,#127856); #88940=LINE('',#537063,#127857); #88941=LINE('',#537064,#127858); #88942=LINE('',#537067,#127859); #88943=LINE('',#537069,#127860); #88944=LINE('',#537070,#127861); #88945=LINE('',#537073,#127862); #88946=LINE('',#537075,#127863); #88947=LINE('',#537076,#127864); #88948=LINE('',#537079,#127865); #88949=LINE('',#537081,#127866); #88950=LINE('',#537082,#127867); #88951=LINE('',#537085,#127868); #88952=LINE('',#537087,#127869); #88953=LINE('',#537088,#127870); #88954=LINE('',#537091,#127871); #88955=LINE('',#537093,#127872); #88956=LINE('',#537094,#127873); #88957=LINE('',#537097,#127874); #88958=LINE('',#537099,#127875); #88959=LINE('',#537100,#127876); #88960=LINE('',#537103,#127877); #88961=LINE('',#537105,#127878); #88962=LINE('',#537106,#127879); #88963=LINE('',#537109,#127880); #88964=LINE('',#537111,#127881); #88965=LINE('',#537112,#127882); #88966=LINE('',#537115,#127883); #88967=LINE('',#537117,#127884); #88968=LINE('',#537118,#127885); #88969=LINE('',#537121,#127886); #88970=LINE('',#537123,#127887); #88971=LINE('',#537124,#127888); #88972=LINE('',#537127,#127889); #88973=LINE('',#537129,#127890); #88974=LINE('',#537130,#127891); #88975=LINE('',#537133,#127892); #88976=LINE('',#537135,#127893); #88977=LINE('',#537136,#127894); #88978=LINE('',#537139,#127895); #88979=LINE('',#537141,#127896); #88980=LINE('',#537142,#127897); #88981=LINE('',#537145,#127898); #88982=LINE('',#537147,#127899); #88983=LINE('',#537148,#127900); #88984=LINE('',#537151,#127901); #88985=LINE('',#537153,#127902); #88986=LINE('',#537154,#127903); #88987=LINE('',#537157,#127904); #88988=LINE('',#537159,#127905); #88989=LINE('',#537160,#127906); #88990=LINE('',#537163,#127907); #88991=LINE('',#537165,#127908); #88992=LINE('',#537166,#127909); #88993=LINE('',#537169,#127910); #88994=LINE('',#537171,#127911); #88995=LINE('',#537172,#127912); #88996=LINE('',#537175,#127913); #88997=LINE('',#537177,#127914); #88998=LINE('',#537178,#127915); #88999=LINE('',#537181,#127916); #89000=LINE('',#537183,#127917); #89001=LINE('',#537184,#127918); #89002=LINE('',#537187,#127919); #89003=LINE('',#537189,#127920); #89004=LINE('',#537190,#127921); #89005=LINE('',#537193,#127922); #89006=LINE('',#537195,#127923); #89007=LINE('',#537196,#127924); #89008=LINE('',#537199,#127925); #89009=LINE('',#537201,#127926); #89010=LINE('',#537202,#127927); #89011=LINE('',#537205,#127928); #89012=LINE('',#537207,#127929); #89013=LINE('',#537208,#127930); #89014=LINE('',#537211,#127931); #89015=LINE('',#537213,#127932); #89016=LINE('',#537214,#127933); #89017=LINE('',#537217,#127934); #89018=LINE('',#537219,#127935); #89019=LINE('',#537220,#127936); #89020=LINE('',#537223,#127937); #89021=LINE('',#537225,#127938); #89022=LINE('',#537226,#127939); #89023=LINE('',#537229,#127940); #89024=LINE('',#537231,#127941); #89025=LINE('',#537232,#127942); #89026=LINE('',#537235,#127943); #89027=LINE('',#537237,#127944); #89028=LINE('',#537238,#127945); #89029=LINE('',#537241,#127946); #89030=LINE('',#537243,#127947); #89031=LINE('',#537244,#127948); #89032=LINE('',#537247,#127949); #89033=LINE('',#537249,#127950); #89034=LINE('',#537250,#127951); #89035=LINE('',#537253,#127952); #89036=LINE('',#537255,#127953); #89037=LINE('',#537256,#127954); #89038=LINE('',#537259,#127955); #89039=LINE('',#537261,#127956); #89040=LINE('',#537262,#127957); #89041=LINE('',#537265,#127958); #89042=LINE('',#537267,#127959); #89043=LINE('',#537268,#127960); #89044=LINE('',#537271,#127961); #89045=LINE('',#537273,#127962); #89046=LINE('',#537274,#127963); #89047=LINE('',#537277,#127964); #89048=LINE('',#537279,#127965); #89049=LINE('',#537280,#127966); #89050=LINE('',#537283,#127967); #89051=LINE('',#537285,#127968); #89052=LINE('',#537286,#127969); #89053=LINE('',#537289,#127970); #89054=LINE('',#537291,#127971); #89055=LINE('',#537292,#127972); #89056=LINE('',#537295,#127973); #89057=LINE('',#537297,#127974); #89058=LINE('',#537298,#127975); #89059=LINE('',#537301,#127976); #89060=LINE('',#537303,#127977); #89061=LINE('',#537304,#127978); #89062=LINE('',#537307,#127979); #89063=LINE('',#537309,#127980); #89064=LINE('',#537310,#127981); #89065=LINE('',#537313,#127982); #89066=LINE('',#537315,#127983); #89067=LINE('',#537316,#127984); #89068=LINE('',#537319,#127985); #89069=LINE('',#537321,#127986); #89070=LINE('',#537322,#127987); #89071=LINE('',#537325,#127988); #89072=LINE('',#537327,#127989); #89073=LINE('',#537328,#127990); #89074=LINE('',#537331,#127991); #89075=LINE('',#537333,#127992); #89076=LINE('',#537334,#127993); #89077=LINE('',#537337,#127994); #89078=LINE('',#537339,#127995); #89079=LINE('',#537340,#127996); #89080=LINE('',#537343,#127997); #89081=LINE('',#537345,#127998); #89082=LINE('',#537346,#127999); #89083=LINE('',#537349,#128000); #89084=LINE('',#537351,#128001); #89085=LINE('',#537352,#128002); #89086=LINE('',#537355,#128003); #89087=LINE('',#537357,#128004); #89088=LINE('',#537358,#128005); #89089=LINE('',#537361,#128006); #89090=LINE('',#537363,#128007); #89091=LINE('',#537364,#128008); #89092=LINE('',#537367,#128009); #89093=LINE('',#537369,#128010); #89094=LINE('',#537370,#128011); #89095=LINE('',#537373,#128012); #89096=LINE('',#537375,#128013); #89097=LINE('',#537376,#128014); #89098=LINE('',#537378,#128015); #89099=LINE('',#537379,#128016); #89100=LINE('',#537385,#128017); #89101=LINE('',#537387,#128018); #89102=LINE('',#537389,#128019); #89103=LINE('',#537390,#128020); #89104=LINE('',#537396,#128021); #89105=LINE('',#537399,#128022); #89106=LINE('',#537401,#128023); #89107=LINE('',#537402,#128024); #89108=LINE('',#537409,#128025); #89109=LINE('',#537411,#128026); #89110=LINE('',#537413,#128027); #89111=LINE('',#537414,#128028); #89112=LINE('',#537417,#128029); #89113=LINE('',#537419,#128030); #89114=LINE('',#537420,#128031); #89115=LINE('',#537423,#128032); #89116=LINE('',#537425,#128033); #89117=LINE('',#537426,#128034); #89118=LINE('',#537429,#128035); #89119=LINE('',#537431,#128036); #89120=LINE('',#537432,#128037); #89121=LINE('',#537435,#128038); #89122=LINE('',#537437,#128039); #89123=LINE('',#537438,#128040); #89124=LINE('',#537441,#128041); #89125=LINE('',#537443,#128042); #89126=LINE('',#537444,#128043); #89127=LINE('',#537447,#128044); #89128=LINE('',#537449,#128045); #89129=LINE('',#537450,#128046); #89130=LINE('',#537453,#128047); #89131=LINE('',#537455,#128048); #89132=LINE('',#537456,#128049); #89133=LINE('',#537459,#128050); #89134=LINE('',#537461,#128051); #89135=LINE('',#537462,#128052); #89136=LINE('',#537465,#128053); #89137=LINE('',#537467,#128054); #89138=LINE('',#537468,#128055); #89139=LINE('',#537471,#128056); #89140=LINE('',#537473,#128057); #89141=LINE('',#537474,#128058); #89142=LINE('',#537477,#128059); #89143=LINE('',#537479,#128060); #89144=LINE('',#537480,#128061); #89145=LINE('',#537483,#128062); #89146=LINE('',#537485,#128063); #89147=LINE('',#537486,#128064); #89148=LINE('',#537489,#128065); #89149=LINE('',#537491,#128066); #89150=LINE('',#537492,#128067); #89151=LINE('',#537495,#128068); #89152=LINE('',#537497,#128069); #89153=LINE('',#537498,#128070); #89154=LINE('',#537501,#128071); #89155=LINE('',#537503,#128072); #89156=LINE('',#537504,#128073); #89157=LINE('',#537507,#128074); #89158=LINE('',#537509,#128075); #89159=LINE('',#537510,#128076); #89160=LINE('',#537513,#128077); #89161=LINE('',#537515,#128078); #89162=LINE('',#537516,#128079); #89163=LINE('',#537519,#128080); #89164=LINE('',#537521,#128081); #89165=LINE('',#537522,#128082); #89166=LINE('',#537525,#128083); #89167=LINE('',#537527,#128084); #89168=LINE('',#537528,#128085); #89169=LINE('',#537531,#128086); #89170=LINE('',#537533,#128087); #89171=LINE('',#537534,#128088); #89172=LINE('',#537537,#128089); #89173=LINE('',#537539,#128090); #89174=LINE('',#537540,#128091); #89175=LINE('',#537543,#128092); #89176=LINE('',#537545,#128093); #89177=LINE('',#537546,#128094); #89178=LINE('',#537549,#128095); #89179=LINE('',#537551,#128096); #89180=LINE('',#537552,#128097); #89181=LINE('',#537555,#128098); #89182=LINE('',#537557,#128099); #89183=LINE('',#537558,#128100); #89184=LINE('',#537561,#128101); #89185=LINE('',#537563,#128102); #89186=LINE('',#537564,#128103); #89187=LINE('',#537567,#128104); #89188=LINE('',#537569,#128105); #89189=LINE('',#537570,#128106); #89190=LINE('',#537573,#128107); #89191=LINE('',#537575,#128108); #89192=LINE('',#537576,#128109); #89193=LINE('',#537579,#128110); #89194=LINE('',#537581,#128111); #89195=LINE('',#537582,#128112); #89196=LINE('',#537585,#128113); #89197=LINE('',#537587,#128114); #89198=LINE('',#537588,#128115); #89199=LINE('',#537591,#128116); #89200=LINE('',#537593,#128117); #89201=LINE('',#537594,#128118); #89202=LINE('',#537597,#128119); #89203=LINE('',#537599,#128120); #89204=LINE('',#537600,#128121); #89205=LINE('',#537603,#128122); #89206=LINE('',#537605,#128123); #89207=LINE('',#537606,#128124); #89208=LINE('',#537609,#128125); #89209=LINE('',#537611,#128126); #89210=LINE('',#537612,#128127); #89211=LINE('',#537615,#128128); #89212=LINE('',#537617,#128129); #89213=LINE('',#537618,#128130); #89214=LINE('',#537621,#128131); #89215=LINE('',#537623,#128132); #89216=LINE('',#537624,#128133); #89217=LINE('',#537627,#128134); #89218=LINE('',#537629,#128135); #89219=LINE('',#537630,#128136); #89220=LINE('',#537633,#128137); #89221=LINE('',#537635,#128138); #89222=LINE('',#537636,#128139); #89223=LINE('',#537639,#128140); #89224=LINE('',#537641,#128141); #89225=LINE('',#537642,#128142); #89226=LINE('',#537645,#128143); #89227=LINE('',#537647,#128144); #89228=LINE('',#537648,#128145); #89229=LINE('',#537651,#128146); #89230=LINE('',#537653,#128147); #89231=LINE('',#537654,#128148); #89232=LINE('',#537657,#128149); #89233=LINE('',#537659,#128150); #89234=LINE('',#537660,#128151); #89235=LINE('',#537663,#128152); #89236=LINE('',#537665,#128153); #89237=LINE('',#537666,#128154); #89238=LINE('',#537669,#128155); #89239=LINE('',#537671,#128156); #89240=LINE('',#537672,#128157); #89241=LINE('',#537675,#128158); #89242=LINE('',#537677,#128159); #89243=LINE('',#537678,#128160); #89244=LINE('',#537681,#128161); #89245=LINE('',#537683,#128162); #89246=LINE('',#537684,#128163); #89247=LINE('',#537687,#128164); #89248=LINE('',#537689,#128165); #89249=LINE('',#537690,#128166); #89250=LINE('',#537693,#128167); #89251=LINE('',#537695,#128168); #89252=LINE('',#537696,#128169); #89253=LINE('',#537699,#128170); #89254=LINE('',#537701,#128171); #89255=LINE('',#537702,#128172); #89256=LINE('',#537705,#128173); #89257=LINE('',#537707,#128174); #89258=LINE('',#537708,#128175); #89259=LINE('',#537711,#128176); #89260=LINE('',#537713,#128177); #89261=LINE('',#537714,#128178); #89262=LINE('',#537717,#128179); #89263=LINE('',#537719,#128180); #89264=LINE('',#537720,#128181); #89265=LINE('',#537723,#128182); #89266=LINE('',#537725,#128183); #89267=LINE('',#537726,#128184); #89268=LINE('',#537729,#128185); #89269=LINE('',#537731,#128186); #89270=LINE('',#537732,#128187); #89271=LINE('',#537735,#128188); #89272=LINE('',#537737,#128189); #89273=LINE('',#537738,#128190); #89274=LINE('',#537740,#128191); #89275=LINE('',#537741,#128192); #89276=LINE('',#537748,#128193); #89277=LINE('',#537753,#128194); #89278=LINE('',#537755,#128195); #89279=LINE('',#537757,#128196); #89280=LINE('',#537758,#128197); #89281=LINE('',#537761,#128198); #89282=LINE('',#537763,#128199); #89283=LINE('',#537764,#128200); #89284=LINE('',#537767,#128201); #89285=LINE('',#537769,#128202); #89286=LINE('',#537770,#128203); #89287=LINE('',#537772,#128204); #89288=LINE('',#537773,#128205); #89289=LINE('',#537779,#128206); #89290=LINE('',#537781,#128207); #89291=LINE('',#537783,#128208); #89292=LINE('',#537784,#128209); #89293=LINE('',#537790,#128210); #89294=LINE('',#537793,#128211); #89295=LINE('',#537795,#128212); #89296=LINE('',#537796,#128213); #89297=LINE('',#537803,#128214); #89298=LINE('',#537805,#128215); #89299=LINE('',#537807,#128216); #89300=LINE('',#537808,#128217); #89301=LINE('',#537811,#128218); #89302=LINE('',#537813,#128219); #89303=LINE('',#537814,#128220); #89304=LINE('',#537817,#128221); #89305=LINE('',#537819,#128222); #89306=LINE('',#537820,#128223); #89307=LINE('',#537823,#128224); #89308=LINE('',#537825,#128225); #89309=LINE('',#537826,#128226); #89310=LINE('',#537829,#128227); #89311=LINE('',#537831,#128228); #89312=LINE('',#537832,#128229); #89313=LINE('',#537835,#128230); #89314=LINE('',#537837,#128231); #89315=LINE('',#537838,#128232); #89316=LINE('',#537841,#128233); #89317=LINE('',#537843,#128234); #89318=LINE('',#537844,#128235); #89319=LINE('',#537847,#128236); #89320=LINE('',#537849,#128237); #89321=LINE('',#537850,#128238); #89322=LINE('',#537853,#128239); #89323=LINE('',#537855,#128240); #89324=LINE('',#537856,#128241); #89325=LINE('',#537859,#128242); #89326=LINE('',#537861,#128243); #89327=LINE('',#537862,#128244); #89328=LINE('',#537865,#128245); #89329=LINE('',#537867,#128246); #89330=LINE('',#537868,#128247); #89331=LINE('',#537871,#128248); #89332=LINE('',#537873,#128249); #89333=LINE('',#537874,#128250); #89334=LINE('',#537877,#128251); #89335=LINE('',#537879,#128252); #89336=LINE('',#537880,#128253); #89337=LINE('',#537883,#128254); #89338=LINE('',#537885,#128255); #89339=LINE('',#537886,#128256); #89340=LINE('',#537889,#128257); #89341=LINE('',#537891,#128258); #89342=LINE('',#537892,#128259); #89343=LINE('',#537895,#128260); #89344=LINE('',#537897,#128261); #89345=LINE('',#537898,#128262); #89346=LINE('',#537901,#128263); #89347=LINE('',#537903,#128264); #89348=LINE('',#537904,#128265); #89349=LINE('',#537907,#128266); #89350=LINE('',#537909,#128267); #89351=LINE('',#537910,#128268); #89352=LINE('',#537913,#128269); #89353=LINE('',#537915,#128270); #89354=LINE('',#537916,#128271); #89355=LINE('',#537919,#128272); #89356=LINE('',#537921,#128273); #89357=LINE('',#537922,#128274); #89358=LINE('',#537925,#128275); #89359=LINE('',#537927,#128276); #89360=LINE('',#537928,#128277); #89361=LINE('',#537931,#128278); #89362=LINE('',#537933,#128279); #89363=LINE('',#537934,#128280); #89364=LINE('',#537937,#128281); #89365=LINE('',#537939,#128282); #89366=LINE('',#537940,#128283); #89367=LINE('',#537943,#128284); #89368=LINE('',#537945,#128285); #89369=LINE('',#537946,#128286); #89370=LINE('',#537949,#128287); #89371=LINE('',#537951,#128288); #89372=LINE('',#537952,#128289); #89373=LINE('',#537955,#128290); #89374=LINE('',#537957,#128291); #89375=LINE('',#537958,#128292); #89376=LINE('',#537961,#128293); #89377=LINE('',#537963,#128294); #89378=LINE('',#537964,#128295); #89379=LINE('',#537967,#128296); #89380=LINE('',#537969,#128297); #89381=LINE('',#537970,#128298); #89382=LINE('',#537973,#128299); #89383=LINE('',#537975,#128300); #89384=LINE('',#537976,#128301); #89385=LINE('',#537979,#128302); #89386=LINE('',#537981,#128303); #89387=LINE('',#537982,#128304); #89388=LINE('',#537985,#128305); #89389=LINE('',#537987,#128306); #89390=LINE('',#537988,#128307); #89391=LINE('',#537991,#128308); #89392=LINE('',#537993,#128309); #89393=LINE('',#537994,#128310); #89394=LINE('',#537997,#128311); #89395=LINE('',#537999,#128312); #89396=LINE('',#538000,#128313); #89397=LINE('',#538003,#128314); #89398=LINE('',#538005,#128315); #89399=LINE('',#538006,#128316); #89400=LINE('',#538009,#128317); #89401=LINE('',#538011,#128318); #89402=LINE('',#538012,#128319); #89403=LINE('',#538015,#128320); #89404=LINE('',#538017,#128321); #89405=LINE('',#538018,#128322); #89406=LINE('',#538021,#128323); #89407=LINE('',#538023,#128324); #89408=LINE('',#538024,#128325); #89409=LINE('',#538027,#128326); #89410=LINE('',#538029,#128327); #89411=LINE('',#538030,#128328); #89412=LINE('',#538033,#128329); #89413=LINE('',#538035,#128330); #89414=LINE('',#538036,#128331); #89415=LINE('',#538039,#128332); #89416=LINE('',#538041,#128333); #89417=LINE('',#538042,#128334); #89418=LINE('',#538045,#128335); #89419=LINE('',#538047,#128336); #89420=LINE('',#538048,#128337); #89421=LINE('',#538051,#128338); #89422=LINE('',#538053,#128339); #89423=LINE('',#538054,#128340); #89424=LINE('',#538057,#128341); #89425=LINE('',#538059,#128342); #89426=LINE('',#538060,#128343); #89427=LINE('',#538063,#128344); #89428=LINE('',#538065,#128345); #89429=LINE('',#538066,#128346); #89430=LINE('',#538069,#128347); #89431=LINE('',#538071,#128348); #89432=LINE('',#538072,#128349); #89433=LINE('',#538075,#128350); #89434=LINE('',#538077,#128351); #89435=LINE('',#538078,#128352); #89436=LINE('',#538081,#128353); #89437=LINE('',#538083,#128354); #89438=LINE('',#538084,#128355); #89439=LINE('',#538087,#128356); #89440=LINE('',#538089,#128357); #89441=LINE('',#538090,#128358); #89442=LINE('',#538093,#128359); #89443=LINE('',#538095,#128360); #89444=LINE('',#538096,#128361); #89445=LINE('',#538099,#128362); #89446=LINE('',#538101,#128363); #89447=LINE('',#538102,#128364); #89448=LINE('',#538105,#128365); #89449=LINE('',#538107,#128366); #89450=LINE('',#538108,#128367); #89451=LINE('',#538111,#128368); #89452=LINE('',#538113,#128369); #89453=LINE('',#538114,#128370); #89454=LINE('',#538117,#128371); #89455=LINE('',#538119,#128372); #89456=LINE('',#538120,#128373); #89457=LINE('',#538123,#128374); #89458=LINE('',#538125,#128375); #89459=LINE('',#538126,#128376); #89460=LINE('',#538129,#128377); #89461=LINE('',#538131,#128378); #89462=LINE('',#538132,#128379); #89463=LINE('',#538134,#128380); #89464=LINE('',#538135,#128381); #89465=LINE('',#538141,#128382); #89466=LINE('',#538143,#128383); #89467=LINE('',#538145,#128384); #89468=LINE('',#538146,#128385); #89469=LINE('',#538152,#128386); #89470=LINE('',#538155,#128387); #89471=LINE('',#538157,#128388); #89472=LINE('',#538158,#128389); #89473=LINE('',#538165,#128390); #89474=LINE('',#538167,#128391); #89475=LINE('',#538169,#128392); #89476=LINE('',#538170,#128393); #89477=LINE('',#538173,#128394); #89478=LINE('',#538175,#128395); #89479=LINE('',#538176,#128396); #89480=LINE('',#538179,#128397); #89481=LINE('',#538181,#128398); #89482=LINE('',#538182,#128399); #89483=LINE('',#538185,#128400); #89484=LINE('',#538187,#128401); #89485=LINE('',#538188,#128402); #89486=LINE('',#538191,#128403); #89487=LINE('',#538193,#128404); #89488=LINE('',#538194,#128405); #89489=LINE('',#538197,#128406); #89490=LINE('',#538199,#128407); #89491=LINE('',#538200,#128408); #89492=LINE('',#538203,#128409); #89493=LINE('',#538205,#128410); #89494=LINE('',#538206,#128411); #89495=LINE('',#538209,#128412); #89496=LINE('',#538211,#128413); #89497=LINE('',#538212,#128414); #89498=LINE('',#538215,#128415); #89499=LINE('',#538217,#128416); #89500=LINE('',#538218,#128417); #89501=LINE('',#538221,#128418); #89502=LINE('',#538223,#128419); #89503=LINE('',#538224,#128420); #89504=LINE('',#538227,#128421); #89505=LINE('',#538229,#128422); #89506=LINE('',#538230,#128423); #89507=LINE('',#538233,#128424); #89508=LINE('',#538235,#128425); #89509=LINE('',#538236,#128426); #89510=LINE('',#538239,#128427); #89511=LINE('',#538241,#128428); #89512=LINE('',#538242,#128429); #89513=LINE('',#538245,#128430); #89514=LINE('',#538247,#128431); #89515=LINE('',#538248,#128432); #89516=LINE('',#538251,#128433); #89517=LINE('',#538253,#128434); #89518=LINE('',#538254,#128435); #89519=LINE('',#538257,#128436); #89520=LINE('',#538259,#128437); #89521=LINE('',#538260,#128438); #89522=LINE('',#538263,#128439); #89523=LINE('',#538265,#128440); #89524=LINE('',#538266,#128441); #89525=LINE('',#538269,#128442); #89526=LINE('',#538271,#128443); #89527=LINE('',#538272,#128444); #89528=LINE('',#538275,#128445); #89529=LINE('',#538277,#128446); #89530=LINE('',#538278,#128447); #89531=LINE('',#538281,#128448); #89532=LINE('',#538283,#128449); #89533=LINE('',#538284,#128450); #89534=LINE('',#538287,#128451); #89535=LINE('',#538289,#128452); #89536=LINE('',#538290,#128453); #89537=LINE('',#538293,#128454); #89538=LINE('',#538295,#128455); #89539=LINE('',#538296,#128456); #89540=LINE('',#538299,#128457); #89541=LINE('',#538301,#128458); #89542=LINE('',#538302,#128459); #89543=LINE('',#538305,#128460); #89544=LINE('',#538307,#128461); #89545=LINE('',#538308,#128462); #89546=LINE('',#538311,#128463); #89547=LINE('',#538313,#128464); #89548=LINE('',#538314,#128465); #89549=LINE('',#538317,#128466); #89550=LINE('',#538319,#128467); #89551=LINE('',#538320,#128468); #89552=LINE('',#538323,#128469); #89553=LINE('',#538325,#128470); #89554=LINE('',#538326,#128471); #89555=LINE('',#538329,#128472); #89556=LINE('',#538331,#128473); #89557=LINE('',#538332,#128474); #89558=LINE('',#538335,#128475); #89559=LINE('',#538337,#128476); #89560=LINE('',#538338,#128477); #89561=LINE('',#538341,#128478); #89562=LINE('',#538343,#128479); #89563=LINE('',#538344,#128480); #89564=LINE('',#538347,#128481); #89565=LINE('',#538349,#128482); #89566=LINE('',#538350,#128483); #89567=LINE('',#538353,#128484); #89568=LINE('',#538355,#128485); #89569=LINE('',#538356,#128486); #89570=LINE('',#538359,#128487); #89571=LINE('',#538361,#128488); #89572=LINE('',#538362,#128489); #89573=LINE('',#538365,#128490); #89574=LINE('',#538367,#128491); #89575=LINE('',#538368,#128492); #89576=LINE('',#538371,#128493); #89577=LINE('',#538373,#128494); #89578=LINE('',#538374,#128495); #89579=LINE('',#538377,#128496); #89580=LINE('',#538379,#128497); #89581=LINE('',#538380,#128498); #89582=LINE('',#538383,#128499); #89583=LINE('',#538385,#128500); #89584=LINE('',#538386,#128501); #89585=LINE('',#538389,#128502); #89586=LINE('',#538391,#128503); #89587=LINE('',#538392,#128504); #89588=LINE('',#538395,#128505); #89589=LINE('',#538397,#128506); #89590=LINE('',#538398,#128507); #89591=LINE('',#538401,#128508); #89592=LINE('',#538403,#128509); #89593=LINE('',#538404,#128510); #89594=LINE('',#538407,#128511); #89595=LINE('',#538409,#128512); #89596=LINE('',#538410,#128513); #89597=LINE('',#538413,#128514); #89598=LINE('',#538415,#128515); #89599=LINE('',#538416,#128516); #89600=LINE('',#538419,#128517); #89601=LINE('',#538421,#128518); #89602=LINE('',#538422,#128519); #89603=LINE('',#538425,#128520); #89604=LINE('',#538427,#128521); #89605=LINE('',#538428,#128522); #89606=LINE('',#538431,#128523); #89607=LINE('',#538433,#128524); #89608=LINE('',#538434,#128525); #89609=LINE('',#538437,#128526); #89610=LINE('',#538439,#128527); #89611=LINE('',#538440,#128528); #89612=LINE('',#538443,#128529); #89613=LINE('',#538445,#128530); #89614=LINE('',#538446,#128531); #89615=LINE('',#538449,#128532); #89616=LINE('',#538451,#128533); #89617=LINE('',#538452,#128534); #89618=LINE('',#538455,#128535); #89619=LINE('',#538457,#128536); #89620=LINE('',#538458,#128537); #89621=LINE('',#538461,#128538); #89622=LINE('',#538463,#128539); #89623=LINE('',#538464,#128540); #89624=LINE('',#538467,#128541); #89625=LINE('',#538469,#128542); #89626=LINE('',#538470,#128543); #89627=LINE('',#538473,#128544); #89628=LINE('',#538475,#128545); #89629=LINE('',#538476,#128546); #89630=LINE('',#538479,#128547); #89631=LINE('',#538481,#128548); #89632=LINE('',#538482,#128549); #89633=LINE('',#538485,#128550); #89634=LINE('',#538487,#128551); #89635=LINE('',#538488,#128552); #89636=LINE('',#538491,#128553); #89637=LINE('',#538493,#128554); #89638=LINE('',#538494,#128555); #89639=LINE('',#538497,#128556); #89640=LINE('',#538499,#128557); #89641=LINE('',#538500,#128558); #89642=LINE('',#538503,#128559); #89643=LINE('',#538505,#128560); #89644=LINE('',#538506,#128561); #89645=LINE('',#538509,#128562); #89646=LINE('',#538511,#128563); #89647=LINE('',#538512,#128564); #89648=LINE('',#538515,#128565); #89649=LINE('',#538517,#128566); #89650=LINE('',#538518,#128567); #89651=LINE('',#538521,#128568); #89652=LINE('',#538523,#128569); #89653=LINE('',#538524,#128570); #89654=LINE('',#538527,#128571); #89655=LINE('',#538529,#128572); #89656=LINE('',#538530,#128573); #89657=LINE('',#538533,#128574); #89658=LINE('',#538535,#128575); #89659=LINE('',#538536,#128576); #89660=LINE('',#538539,#128577); #89661=LINE('',#538541,#128578); #89662=LINE('',#538542,#128579); #89663=LINE('',#538545,#128580); #89664=LINE('',#538547,#128581); #89665=LINE('',#538548,#128582); #89666=LINE('',#538551,#128583); #89667=LINE('',#538553,#128584); #89668=LINE('',#538554,#128585); #89669=LINE('',#538557,#128586); #89670=LINE('',#538559,#128587); #89671=LINE('',#538560,#128588); #89672=LINE('',#538563,#128589); #89673=LINE('',#538565,#128590); #89674=LINE('',#538566,#128591); #89675=LINE('',#538569,#128592); #89676=LINE('',#538571,#128593); #89677=LINE('',#538572,#128594); #89678=LINE('',#538575,#128595); #89679=LINE('',#538577,#128596); #89680=LINE('',#538578,#128597); #89681=LINE('',#538581,#128598); #89682=LINE('',#538583,#128599); #89683=LINE('',#538584,#128600); #89684=LINE('',#538587,#128601); #89685=LINE('',#538589,#128602); #89686=LINE('',#538590,#128603); #89687=LINE('',#538592,#128604); #89688=LINE('',#538593,#128605); #89689=LINE('',#538600,#128606); #89690=LINE('',#538605,#128607); #89691=LINE('',#538607,#128608); #89692=LINE('',#538609,#128609); #89693=LINE('',#538610,#128610); #89694=LINE('',#538616,#128611); #89695=LINE('',#538626,#128612); #89696=LINE('',#538631,#128613); #89697=LINE('',#538633,#128614); #89698=LINE('',#538635,#128615); #89699=LINE('',#538636,#128616); #89700=LINE('',#538642,#128617); #89701=LINE('',#538651,#128618); #89702=LINE('',#538653,#128619); #89703=LINE('',#538655,#128620); #89704=LINE('',#538656,#128621); #89705=LINE('',#538662,#128622); #89706=LINE('',#538665,#128623); #89707=LINE('',#538667,#128624); #89708=LINE('',#538668,#128625); #89709=LINE('',#538675,#128626); #89710=LINE('',#538677,#128627); #89711=LINE('',#538679,#128628); #89712=LINE('',#538680,#128629); #89713=LINE('',#538683,#128630); #89714=LINE('',#538685,#128631); #89715=LINE('',#538686,#128632); #89716=LINE('',#538689,#128633); #89717=LINE('',#538691,#128634); #89718=LINE('',#538692,#128635); #89719=LINE('',#538695,#128636); #89720=LINE('',#538697,#128637); #89721=LINE('',#538698,#128638); #89722=LINE('',#538701,#128639); #89723=LINE('',#538703,#128640); #89724=LINE('',#538704,#128641); #89725=LINE('',#538707,#128642); #89726=LINE('',#538709,#128643); #89727=LINE('',#538710,#128644); #89728=LINE('',#538713,#128645); #89729=LINE('',#538715,#128646); #89730=LINE('',#538716,#128647); #89731=LINE('',#538719,#128648); #89732=LINE('',#538721,#128649); #89733=LINE('',#538722,#128650); #89734=LINE('',#538725,#128651); #89735=LINE('',#538727,#128652); #89736=LINE('',#538728,#128653); #89737=LINE('',#538731,#128654); #89738=LINE('',#538733,#128655); #89739=LINE('',#538734,#128656); #89740=LINE('',#538737,#128657); #89741=LINE('',#538739,#128658); #89742=LINE('',#538740,#128659); #89743=LINE('',#538743,#128660); #89744=LINE('',#538745,#128661); #89745=LINE('',#538746,#128662); #89746=LINE('',#538749,#128663); #89747=LINE('',#538751,#128664); #89748=LINE('',#538752,#128665); #89749=LINE('',#538755,#128666); #89750=LINE('',#538757,#128667); #89751=LINE('',#538758,#128668); #89752=LINE('',#538761,#128669); #89753=LINE('',#538763,#128670); #89754=LINE('',#538764,#128671); #89755=LINE('',#538767,#128672); #89756=LINE('',#538769,#128673); #89757=LINE('',#538770,#128674); #89758=LINE('',#538773,#128675); #89759=LINE('',#538775,#128676); #89760=LINE('',#538776,#128677); #89761=LINE('',#538779,#128678); #89762=LINE('',#538781,#128679); #89763=LINE('',#538782,#128680); #89764=LINE('',#538785,#128681); #89765=LINE('',#538787,#128682); #89766=LINE('',#538788,#128683); #89767=LINE('',#538791,#128684); #89768=LINE('',#538793,#128685); #89769=LINE('',#538794,#128686); #89770=LINE('',#538797,#128687); #89771=LINE('',#538799,#128688); #89772=LINE('',#538800,#128689); #89773=LINE('',#538803,#128690); #89774=LINE('',#538805,#128691); #89775=LINE('',#538806,#128692); #89776=LINE('',#538809,#128693); #89777=LINE('',#538811,#128694); #89778=LINE('',#538812,#128695); #89779=LINE('',#538815,#128696); #89780=LINE('',#538817,#128697); #89781=LINE('',#538818,#128698); #89782=LINE('',#538821,#128699); #89783=LINE('',#538823,#128700); #89784=LINE('',#538824,#128701); #89785=LINE('',#538827,#128702); #89786=LINE('',#538829,#128703); #89787=LINE('',#538830,#128704); #89788=LINE('',#538833,#128705); #89789=LINE('',#538835,#128706); #89790=LINE('',#538836,#128707); #89791=LINE('',#538839,#128708); #89792=LINE('',#538841,#128709); #89793=LINE('',#538842,#128710); #89794=LINE('',#538845,#128711); #89795=LINE('',#538847,#128712); #89796=LINE('',#538848,#128713); #89797=LINE('',#538851,#128714); #89798=LINE('',#538853,#128715); #89799=LINE('',#538854,#128716); #89800=LINE('',#538857,#128717); #89801=LINE('',#538859,#128718); #89802=LINE('',#538860,#128719); #89803=LINE('',#538863,#128720); #89804=LINE('',#538865,#128721); #89805=LINE('',#538866,#128722); #89806=LINE('',#538869,#128723); #89807=LINE('',#538871,#128724); #89808=LINE('',#538872,#128725); #89809=LINE('',#538875,#128726); #89810=LINE('',#538877,#128727); #89811=LINE('',#538878,#128728); #89812=LINE('',#538881,#128729); #89813=LINE('',#538883,#128730); #89814=LINE('',#538884,#128731); #89815=LINE('',#538887,#128732); #89816=LINE('',#538889,#128733); #89817=LINE('',#538890,#128734); #89818=LINE('',#538893,#128735); #89819=LINE('',#538895,#128736); #89820=LINE('',#538896,#128737); #89821=LINE('',#538899,#128738); #89822=LINE('',#538901,#128739); #89823=LINE('',#538902,#128740); #89824=LINE('',#538905,#128741); #89825=LINE('',#538907,#128742); #89826=LINE('',#538908,#128743); #89827=LINE('',#538911,#128744); #89828=LINE('',#538913,#128745); #89829=LINE('',#538914,#128746); #89830=LINE('',#538917,#128747); #89831=LINE('',#538919,#128748); #89832=LINE('',#538920,#128749); #89833=LINE('',#538923,#128750); #89834=LINE('',#538925,#128751); #89835=LINE('',#538926,#128752); #89836=LINE('',#538929,#128753); #89837=LINE('',#538931,#128754); #89838=LINE('',#538932,#128755); #89839=LINE('',#538935,#128756); #89840=LINE('',#538937,#128757); #89841=LINE('',#538938,#128758); #89842=LINE('',#538941,#128759); #89843=LINE('',#538943,#128760); #89844=LINE('',#538944,#128761); #89845=LINE('',#538947,#128762); #89846=LINE('',#538949,#128763); #89847=LINE('',#538950,#128764); #89848=LINE('',#538953,#128765); #89849=LINE('',#538955,#128766); #89850=LINE('',#538956,#128767); #89851=LINE('',#538959,#128768); #89852=LINE('',#538961,#128769); #89853=LINE('',#538962,#128770); #89854=LINE('',#538965,#128771); #89855=LINE('',#538967,#128772); #89856=LINE('',#538968,#128773); #89857=LINE('',#538971,#128774); #89858=LINE('',#538973,#128775); #89859=LINE('',#538974,#128776); #89860=LINE('',#538977,#128777); #89861=LINE('',#538979,#128778); #89862=LINE('',#538980,#128779); #89863=LINE('',#538983,#128780); #89864=LINE('',#538985,#128781); #89865=LINE('',#538986,#128782); #89866=LINE('',#538989,#128783); #89867=LINE('',#538991,#128784); #89868=LINE('',#538992,#128785); #89869=LINE('',#538995,#128786); #89870=LINE('',#538997,#128787); #89871=LINE('',#538998,#128788); #89872=LINE('',#539001,#128789); #89873=LINE('',#539003,#128790); #89874=LINE('',#539004,#128791); #89875=LINE('',#539006,#128792); #89876=LINE('',#539007,#128793); #89877=LINE('',#539014,#128794); #89878=LINE('',#539019,#128795); #89879=LINE('',#539021,#128796); #89880=LINE('',#539023,#128797); #89881=LINE('',#539024,#128798); #89882=LINE('',#539030,#128799); #89883=LINE('',#539040,#128800); #89884=LINE('',#539045,#128801); #89885=LINE('',#539047,#128802); #89886=LINE('',#539049,#128803); #89887=LINE('',#539050,#128804); #89888=LINE('',#539056,#128805); #89889=LINE('',#539066,#128806); #89890=LINE('',#539071,#128807); #89891=LINE('',#539073,#128808); #89892=LINE('',#539075,#128809); #89893=LINE('',#539076,#128810); #89894=LINE('',#539082,#128811); #89895=LINE('',#539092,#128812); #89896=LINE('',#539097,#128813); #89897=LINE('',#539099,#128814); #89898=LINE('',#539101,#128815); #89899=LINE('',#539102,#128816); #89900=LINE('',#539105,#128817); #89901=LINE('',#539107,#128818); #89902=LINE('',#539108,#128819); #89903=LINE('',#539111,#128820); #89904=LINE('',#539113,#128821); #89905=LINE('',#539114,#128822); #89906=LINE('',#539116,#128823); #89907=LINE('',#539117,#128824); #89908=LINE('',#539124,#128825); #89909=LINE('',#539129,#128826); #89910=LINE('',#539131,#128827); #89911=LINE('',#539133,#128828); #89912=LINE('',#539134,#128829); #89913=LINE('',#539140,#128830); #89914=LINE('',#539149,#128831); #89915=LINE('',#539151,#128832); #89916=LINE('',#539153,#128833); #89917=LINE('',#539154,#128834); #89918=LINE('',#539157,#128835); #89919=LINE('',#539159,#128836); #89920=LINE('',#539160,#128837); #89921=LINE('',#539163,#128838); #89922=LINE('',#539165,#128839); #89923=LINE('',#539166,#128840); #89924=LINE('',#539168,#128841); #89925=LINE('',#539169,#128842); #89926=LINE('',#539175,#128843); #89927=LINE('',#539177,#128844); #89928=LINE('',#539179,#128845); #89929=LINE('',#539180,#128846); #89930=LINE('',#539183,#128847); #89931=LINE('',#539185,#128848); #89932=LINE('',#539186,#128849); #89933=LINE('',#539189,#128850); #89934=LINE('',#539191,#128851); #89935=LINE('',#539192,#128852); #89936=LINE('',#539194,#128853); #89937=LINE('',#539195,#128854); #89938=LINE('',#539201,#128855); #89939=LINE('',#539203,#128856); #89940=LINE('',#539205,#128857); #89941=LINE('',#539206,#128858); #89942=LINE('',#539209,#128859); #89943=LINE('',#539211,#128860); #89944=LINE('',#539212,#128861); #89945=LINE('',#539215,#128862); #89946=LINE('',#539217,#128863); #89947=LINE('',#539218,#128864); #89948=LINE('',#539220,#128865); #89949=LINE('',#539221,#128866); #89950=LINE('',#539227,#128867); #89951=LINE('',#539229,#128868); #89952=LINE('',#539231,#128869); #89953=LINE('',#539232,#128870); #89954=LINE('',#539235,#128871); #89955=LINE('',#539237,#128872); #89956=LINE('',#539238,#128873); #89957=LINE('',#539241,#128874); #89958=LINE('',#539243,#128875); #89959=LINE('',#539244,#128876); #89960=LINE('',#539246,#128877); #89961=LINE('',#539247,#128878); #89962=LINE('',#539253,#128879); #89963=LINE('',#539255,#128880); #89964=LINE('',#539257,#128881); #89965=LINE('',#539258,#128882); #89966=LINE('',#539261,#128883); #89967=LINE('',#539263,#128884); #89968=LINE('',#539264,#128885); #89969=LINE('',#539267,#128886); #89970=LINE('',#539269,#128887); #89971=LINE('',#539270,#128888); #89972=LINE('',#539272,#128889); #89973=LINE('',#539273,#128890); #89974=LINE('',#539279,#128891); #89975=LINE('',#539281,#128892); #89976=LINE('',#539283,#128893); #89977=LINE('',#539284,#128894); #89978=LINE('',#539287,#128895); #89979=LINE('',#539289,#128896); #89980=LINE('',#539290,#128897); #89981=LINE('',#539293,#128898); #89982=LINE('',#539295,#128899); #89983=LINE('',#539296,#128900); #89984=LINE('',#539298,#128901); #89985=LINE('',#539299,#128902); #89986=LINE('',#539305,#128903); #89987=LINE('',#539307,#128904); #89988=LINE('',#539309,#128905); #89989=LINE('',#539310,#128906); #89990=LINE('',#539313,#128907); #89991=LINE('',#539315,#128908); #89992=LINE('',#539316,#128909); #89993=LINE('',#539319,#128910); #89994=LINE('',#539321,#128911); #89995=LINE('',#539322,#128912); #89996=LINE('',#539324,#128913); #89997=LINE('',#539325,#128914); #89998=LINE('',#539331,#128915); #89999=LINE('',#539333,#128916); #90000=LINE('',#539335,#128917); #90001=LINE('',#539336,#128918); #90002=LINE('',#539339,#128919); #90003=LINE('',#539341,#128920); #90004=LINE('',#539342,#128921); #90005=LINE('',#539345,#128922); #90006=LINE('',#539347,#128923); #90007=LINE('',#539348,#128924); #90008=LINE('',#539350,#128925); #90009=LINE('',#539351,#128926); #90010=LINE('',#539357,#128927); #90011=LINE('',#539359,#128928); #90012=LINE('',#539361,#128929); #90013=LINE('',#539362,#128930); #90014=LINE('',#539365,#128931); #90015=LINE('',#539367,#128932); #90016=LINE('',#539368,#128933); #90017=LINE('',#539371,#128934); #90018=LINE('',#539373,#128935); #90019=LINE('',#539374,#128936); #90020=LINE('',#539376,#128937); #90021=LINE('',#539377,#128938); #90022=LINE('',#539383,#128939); #90023=LINE('',#539385,#128940); #90024=LINE('',#539387,#128941); #90025=LINE('',#539388,#128942); #90026=LINE('',#539391,#128943); #90027=LINE('',#539393,#128944); #90028=LINE('',#539394,#128945); #90029=LINE('',#539397,#128946); #90030=LINE('',#539399,#128947); #90031=LINE('',#539400,#128948); #90032=LINE('',#539402,#128949); #90033=LINE('',#539403,#128950); #90034=LINE('',#539409,#128951); #90035=LINE('',#539411,#128952); #90036=LINE('',#539413,#128953); #90037=LINE('',#539414,#128954); #90038=LINE('',#539417,#128955); #90039=LINE('',#539419,#128956); #90040=LINE('',#539420,#128957); #90041=LINE('',#539423,#128958); #90042=LINE('',#539425,#128959); #90043=LINE('',#539426,#128960); #90044=LINE('',#539428,#128961); #90045=LINE('',#539429,#128962); #90046=LINE('',#539435,#128963); #90047=LINE('',#539437,#128964); #90048=LINE('',#539439,#128965); #90049=LINE('',#539440,#128966); #90050=LINE('',#539443,#128967); #90051=LINE('',#539445,#128968); #90052=LINE('',#539446,#128969); #90053=LINE('',#539449,#128970); #90054=LINE('',#539451,#128971); #90055=LINE('',#539452,#128972); #90056=LINE('',#539454,#128973); #90057=LINE('',#539455,#128974); #90058=LINE('',#539461,#128975); #90059=LINE('',#539463,#128976); #90060=LINE('',#539465,#128977); #90061=LINE('',#539466,#128978); #90062=LINE('',#539469,#128979); #90063=LINE('',#539471,#128980); #90064=LINE('',#539472,#128981); #90065=LINE('',#539475,#128982); #90066=LINE('',#539477,#128983); #90067=LINE('',#539478,#128984); #90068=LINE('',#539480,#128985); #90069=LINE('',#539481,#128986); #90070=LINE('',#539488,#128987); #90071=LINE('',#539494,#128988); #90072=LINE('',#539500,#128989); #90073=LINE('',#539506,#128990); #90074=LINE('',#539512,#128991); #90075=LINE('',#539518,#128992); #90076=LINE('',#539523,#128993); #90077=LINE('',#539525,#128994); #90078=LINE('',#539527,#128995); #90079=LINE('',#539528,#128996); #90080=LINE('',#539531,#128997); #90081=LINE('',#539533,#128998); #90082=LINE('',#539534,#128999); #90083=LINE('',#539537,#129000); #90084=LINE('',#539539,#129001); #90085=LINE('',#539540,#129002); #90086=LINE('',#539542,#129003); #90087=LINE('',#539543,#129004); #90088=LINE('',#539549,#129005); #90089=LINE('',#539551,#129006); #90090=LINE('',#539553,#129007); #90091=LINE('',#539554,#129008); #90092=LINE('',#539557,#129009); #90093=LINE('',#539559,#129010); #90094=LINE('',#539560,#129011); #90095=LINE('',#539563,#129012); #90096=LINE('',#539565,#129013); #90097=LINE('',#539566,#129014); #90098=LINE('',#539568,#129015); #90099=LINE('',#539569,#129016); #90100=LINE('',#539575,#129017); #90101=LINE('',#539577,#129018); #90102=LINE('',#539579,#129019); #90103=LINE('',#539580,#129020); #90104=LINE('',#539583,#129021); #90105=LINE('',#539585,#129022); #90106=LINE('',#539586,#129023); #90107=LINE('',#539589,#129024); #90108=LINE('',#539591,#129025); #90109=LINE('',#539592,#129026); #90110=LINE('',#539594,#129027); #90111=LINE('',#539595,#129028); #90112=LINE('',#539601,#129029); #90113=LINE('',#539603,#129030); #90114=LINE('',#539605,#129031); #90115=LINE('',#539606,#129032); #90116=LINE('',#539609,#129033); #90117=LINE('',#539611,#129034); #90118=LINE('',#539612,#129035); #90119=LINE('',#539615,#129036); #90120=LINE('',#539617,#129037); #90121=LINE('',#539618,#129038); #90122=LINE('',#539620,#129039); #90123=LINE('',#539621,#129040); #90124=LINE('',#539627,#129041); #90125=LINE('',#539629,#129042); #90126=LINE('',#539631,#129043); #90127=LINE('',#539632,#129044); #90128=LINE('',#539635,#129045); #90129=LINE('',#539637,#129046); #90130=LINE('',#539638,#129047); #90131=LINE('',#539641,#129048); #90132=LINE('',#539643,#129049); #90133=LINE('',#539644,#129050); #90134=LINE('',#539646,#129051); #90135=LINE('',#539647,#129052); #90136=LINE('',#539653,#129053); #90137=LINE('',#539655,#129054); #90138=LINE('',#539657,#129055); #90139=LINE('',#539658,#129056); #90140=LINE('',#539661,#129057); #90141=LINE('',#539663,#129058); #90142=LINE('',#539664,#129059); #90143=LINE('',#539667,#129060); #90144=LINE('',#539669,#129061); #90145=LINE('',#539670,#129062); #90146=LINE('',#539672,#129063); #90147=LINE('',#539673,#129064); #90148=LINE('',#539679,#129065); #90149=LINE('',#539681,#129066); #90150=LINE('',#539683,#129067); #90151=LINE('',#539684,#129068); #90152=LINE('',#539687,#129069); #90153=LINE('',#539689,#129070); #90154=LINE('',#539690,#129071); #90155=LINE('',#539693,#129072); #90156=LINE('',#539695,#129073); #90157=LINE('',#539696,#129074); #90158=LINE('',#539698,#129075); #90159=LINE('',#539699,#129076); #90160=LINE('',#539705,#129077); #90161=LINE('',#539707,#129078); #90162=LINE('',#539709,#129079); #90163=LINE('',#539710,#129080); #90164=LINE('',#539713,#129081); #90165=LINE('',#539715,#129082); #90166=LINE('',#539716,#129083); #90167=LINE('',#539719,#129084); #90168=LINE('',#539721,#129085); #90169=LINE('',#539722,#129086); #90170=LINE('',#539724,#129087); #90171=LINE('',#539725,#129088); #90172=LINE('',#539731,#129089); #90173=LINE('',#539733,#129090); #90174=LINE('',#539735,#129091); #90175=LINE('',#539736,#129092); #90176=LINE('',#539739,#129093); #90177=LINE('',#539741,#129094); #90178=LINE('',#539742,#129095); #90179=LINE('',#539745,#129096); #90180=LINE('',#539747,#129097); #90181=LINE('',#539748,#129098); #90182=LINE('',#539750,#129099); #90183=LINE('',#539751,#129100); #90184=LINE('',#539757,#129101); #90185=LINE('',#539759,#129102); #90186=LINE('',#539761,#129103); #90187=LINE('',#539762,#129104); #90188=LINE('',#539765,#129105); #90189=LINE('',#539767,#129106); #90190=LINE('',#539768,#129107); #90191=LINE('',#539771,#129108); #90192=LINE('',#539773,#129109); #90193=LINE('',#539774,#129110); #90194=LINE('',#539776,#129111); #90195=LINE('',#539777,#129112); #90196=LINE('',#539783,#129113); #90197=LINE('',#539785,#129114); #90198=LINE('',#539787,#129115); #90199=LINE('',#539788,#129116); #90200=LINE('',#539791,#129117); #90201=LINE('',#539793,#129118); #90202=LINE('',#539794,#129119); #90203=LINE('',#539797,#129120); #90204=LINE('',#539799,#129121); #90205=LINE('',#539800,#129122); #90206=LINE('',#539802,#129123); #90207=LINE('',#539803,#129124); #90208=LINE('',#539809,#129125); #90209=LINE('',#539811,#129126); #90210=LINE('',#539813,#129127); #90211=LINE('',#539814,#129128); #90212=LINE('',#539817,#129129); #90213=LINE('',#539819,#129130); #90214=LINE('',#539820,#129131); #90215=LINE('',#539823,#129132); #90216=LINE('',#539825,#129133); #90217=LINE('',#539826,#129134); #90218=LINE('',#539828,#129135); #90219=LINE('',#539829,#129136); #90220=LINE('',#539835,#129137); #90221=LINE('',#539837,#129138); #90222=LINE('',#539839,#129139); #90223=LINE('',#539840,#129140); #90224=LINE('',#539843,#129141); #90225=LINE('',#539845,#129142); #90226=LINE('',#539846,#129143); #90227=LINE('',#539849,#129144); #90228=LINE('',#539851,#129145); #90229=LINE('',#539852,#129146); #90230=LINE('',#539854,#129147); #90231=LINE('',#539855,#129148); #90232=LINE('',#539861,#129149); #90233=LINE('',#539863,#129150); #90234=LINE('',#539865,#129151); #90235=LINE('',#539866,#129152); #90236=LINE('',#539869,#129153); #90237=LINE('',#539871,#129154); #90238=LINE('',#539872,#129155); #90239=LINE('',#539875,#129156); #90240=LINE('',#539877,#129157); #90241=LINE('',#539878,#129158); #90242=LINE('',#539880,#129159); #90243=LINE('',#539881,#129160); #90244=LINE('',#539887,#129161); #90245=LINE('',#539889,#129162); #90246=LINE('',#539891,#129163); #90247=LINE('',#539892,#129164); #90248=LINE('',#539895,#129165); #90249=LINE('',#539897,#129166); #90250=LINE('',#539898,#129167); #90251=LINE('',#539901,#129168); #90252=LINE('',#539903,#129169); #90253=LINE('',#539904,#129170); #90254=LINE('',#539906,#129171); #90255=LINE('',#539907,#129172); #90256=LINE('',#539913,#129173); #90257=LINE('',#539915,#129174); #90258=LINE('',#539917,#129175); #90259=LINE('',#539918,#129176); #90260=LINE('',#539921,#129177); #90261=LINE('',#539923,#129178); #90262=LINE('',#539924,#129179); #90263=LINE('',#539927,#129180); #90264=LINE('',#539929,#129181); #90265=LINE('',#539930,#129182); #90266=LINE('',#539932,#129183); #90267=LINE('',#539933,#129184); #90268=LINE('',#539939,#129185); #90269=LINE('',#539941,#129186); #90270=LINE('',#539943,#129187); #90271=LINE('',#539944,#129188); #90272=LINE('',#539947,#129189); #90273=LINE('',#539949,#129190); #90274=LINE('',#539950,#129191); #90275=LINE('',#539953,#129192); #90276=LINE('',#539955,#129193); #90277=LINE('',#539956,#129194); #90278=LINE('',#539958,#129195); #90279=LINE('',#539959,#129196); #90280=LINE('',#539965,#129197); #90281=LINE('',#539967,#129198); #90282=LINE('',#539969,#129199); #90283=LINE('',#539970,#129200); #90284=LINE('',#539973,#129201); #90285=LINE('',#539975,#129202); #90286=LINE('',#539976,#129203); #90287=LINE('',#539979,#129204); #90288=LINE('',#539981,#129205); #90289=LINE('',#539982,#129206); #90290=LINE('',#539984,#129207); #90291=LINE('',#539985,#129208); #90292=LINE('',#539991,#129209); #90293=LINE('',#539993,#129210); #90294=LINE('',#539995,#129211); #90295=LINE('',#539996,#129212); #90296=LINE('',#539999,#129213); #90297=LINE('',#540001,#129214); #90298=LINE('',#540002,#129215); #90299=LINE('',#540005,#129216); #90300=LINE('',#540007,#129217); #90301=LINE('',#540008,#129218); #90302=LINE('',#540010,#129219); #90303=LINE('',#540011,#129220); #90304=LINE('',#540017,#129221); #90305=LINE('',#540019,#129222); #90306=LINE('',#540021,#129223); #90307=LINE('',#540022,#129224); #90308=LINE('',#540025,#129225); #90309=LINE('',#540027,#129226); #90310=LINE('',#540028,#129227); #90311=LINE('',#540031,#129228); #90312=LINE('',#540033,#129229); #90313=LINE('',#540034,#129230); #90314=LINE('',#540036,#129231); #90315=LINE('',#540037,#129232); #90316=LINE('',#540043,#129233); #90317=LINE('',#540045,#129234); #90318=LINE('',#540047,#129235); #90319=LINE('',#540048,#129236); #90320=LINE('',#540051,#129237); #90321=LINE('',#540053,#129238); #90322=LINE('',#540054,#129239); #90323=LINE('',#540057,#129240); #90324=LINE('',#540059,#129241); #90325=LINE('',#540060,#129242); #90326=LINE('',#540062,#129243); #90327=LINE('',#540063,#129244); #90328=LINE('',#540069,#129245); #90329=LINE('',#540071,#129246); #90330=LINE('',#540073,#129247); #90331=LINE('',#540074,#129248); #90332=LINE('',#540077,#129249); #90333=LINE('',#540079,#129250); #90334=LINE('',#540080,#129251); #90335=LINE('',#540083,#129252); #90336=LINE('',#540085,#129253); #90337=LINE('',#540086,#129254); #90338=LINE('',#540088,#129255); #90339=LINE('',#540089,#129256); #90340=LINE('',#540095,#129257); #90341=LINE('',#540097,#129258); #90342=LINE('',#540099,#129259); #90343=LINE('',#540100,#129260); #90344=LINE('',#540103,#129261); #90345=LINE('',#540105,#129262); #90346=LINE('',#540106,#129263); #90347=LINE('',#540109,#129264); #90348=LINE('',#540111,#129265); #90349=LINE('',#540112,#129266); #90350=LINE('',#540114,#129267); #90351=LINE('',#540115,#129268); #90352=LINE('',#540121,#129269); #90353=LINE('',#540123,#129270); #90354=LINE('',#540125,#129271); #90355=LINE('',#540126,#129272); #90356=LINE('',#540129,#129273); #90357=LINE('',#540131,#129274); #90358=LINE('',#540132,#129275); #90359=LINE('',#540135,#129276); #90360=LINE('',#540137,#129277); #90361=LINE('',#540138,#129278); #90362=LINE('',#540140,#129279); #90363=LINE('',#540141,#129280); #90364=LINE('',#540147,#129281); #90365=LINE('',#540149,#129282); #90366=LINE('',#540151,#129283); #90367=LINE('',#540152,#129284); #90368=LINE('',#540155,#129285); #90369=LINE('',#540157,#129286); #90370=LINE('',#540158,#129287); #90371=LINE('',#540161,#129288); #90372=LINE('',#540163,#129289); #90373=LINE('',#540164,#129290); #90374=LINE('',#540166,#129291); #90375=LINE('',#540167,#129292); #90376=LINE('',#540173,#129293); #90377=LINE('',#540175,#129294); #90378=LINE('',#540177,#129295); #90379=LINE('',#540178,#129296); #90380=LINE('',#540181,#129297); #90381=LINE('',#540183,#129298); #90382=LINE('',#540184,#129299); #90383=LINE('',#540187,#129300); #90384=LINE('',#540189,#129301); #90385=LINE('',#540190,#129302); #90386=LINE('',#540192,#129303); #90387=LINE('',#540193,#129304); #90388=LINE('',#540199,#129305); #90389=LINE('',#540201,#129306); #90390=LINE('',#540203,#129307); #90391=LINE('',#540204,#129308); #90392=LINE('',#540207,#129309); #90393=LINE('',#540209,#129310); #90394=LINE('',#540210,#129311); #90395=LINE('',#540213,#129312); #90396=LINE('',#540215,#129313); #90397=LINE('',#540216,#129314); #90398=LINE('',#540218,#129315); #90399=LINE('',#540219,#129316); #90400=LINE('',#540226,#129317); #90401=LINE('',#540232,#129318); #90402=LINE('',#540238,#129319); #90403=LINE('',#540244,#129320); #90404=LINE('',#540250,#129321); #90405=LINE('',#540256,#129322); #90406=LINE('',#540261,#129323); #90407=LINE('',#540263,#129324); #90408=LINE('',#540265,#129325); #90409=LINE('',#540266,#129326); #90410=LINE('',#540269,#129327); #90411=LINE('',#540271,#129328); #90412=LINE('',#540272,#129329); #90413=LINE('',#540275,#129330); #90414=LINE('',#540277,#129331); #90415=LINE('',#540278,#129332); #90416=LINE('',#540280,#129333); #90417=LINE('',#540281,#129334); #90418=LINE('',#540287,#129335); #90419=LINE('',#540289,#129336); #90420=LINE('',#540291,#129337); #90421=LINE('',#540292,#129338); #90422=LINE('',#540295,#129339); #90423=LINE('',#540297,#129340); #90424=LINE('',#540298,#129341); #90425=LINE('',#540301,#129342); #90426=LINE('',#540303,#129343); #90427=LINE('',#540304,#129344); #90428=LINE('',#540306,#129345); #90429=LINE('',#540307,#129346); #90430=LINE('',#540313,#129347); #90431=LINE('',#540315,#129348); #90432=LINE('',#540317,#129349); #90433=LINE('',#540318,#129350); #90434=LINE('',#540321,#129351); #90435=LINE('',#540323,#129352); #90436=LINE('',#540324,#129353); #90437=LINE('',#540327,#129354); #90438=LINE('',#540329,#129355); #90439=LINE('',#540330,#129356); #90440=LINE('',#540332,#129357); #90441=LINE('',#540333,#129358); #90442=LINE('',#540339,#129359); #90443=LINE('',#540341,#129360); #90444=LINE('',#540343,#129361); #90445=LINE('',#540344,#129362); #90446=LINE('',#540347,#129363); #90447=LINE('',#540349,#129364); #90448=LINE('',#540350,#129365); #90449=LINE('',#540353,#129366); #90450=LINE('',#540355,#129367); #90451=LINE('',#540356,#129368); #90452=LINE('',#540358,#129369); #90453=LINE('',#540359,#129370); #90454=LINE('',#540365,#129371); #90455=LINE('',#540367,#129372); #90456=LINE('',#540369,#129373); #90457=LINE('',#540370,#129374); #90458=LINE('',#540373,#129375); #90459=LINE('',#540375,#129376); #90460=LINE('',#540376,#129377); #90461=LINE('',#540379,#129378); #90462=LINE('',#540381,#129379); #90463=LINE('',#540382,#129380); #90464=LINE('',#540384,#129381); #90465=LINE('',#540385,#129382); #90466=LINE('',#540391,#129383); #90467=LINE('',#540393,#129384); #90468=LINE('',#540395,#129385); #90469=LINE('',#540396,#129386); #90470=LINE('',#540399,#129387); #90471=LINE('',#540401,#129388); #90472=LINE('',#540402,#129389); #90473=LINE('',#540405,#129390); #90474=LINE('',#540407,#129391); #90475=LINE('',#540408,#129392); #90476=LINE('',#540410,#129393); #90477=LINE('',#540411,#129394); #90478=LINE('',#540417,#129395); #90479=LINE('',#540419,#129396); #90480=LINE('',#540421,#129397); #90481=LINE('',#540422,#129398); #90482=LINE('',#540425,#129399); #90483=LINE('',#540427,#129400); #90484=LINE('',#540428,#129401); #90485=LINE('',#540431,#129402); #90486=LINE('',#540433,#129403); #90487=LINE('',#540434,#129404); #90488=LINE('',#540436,#129405); #90489=LINE('',#540437,#129406); #90490=LINE('',#540444,#129407); #90491=LINE('',#540450,#129408); #90492=LINE('',#540456,#129409); #90493=LINE('',#540462,#129410); #90494=LINE('',#540468,#129411); #90495=LINE('',#540474,#129412); #90496=LINE('',#540479,#129413); #90497=LINE('',#540481,#129414); #90498=LINE('',#540483,#129415); #90499=LINE('',#540484,#129416); #90500=LINE('',#540487,#129417); #90501=LINE('',#540489,#129418); #90502=LINE('',#540490,#129419); #90503=LINE('',#540493,#129420); #90504=LINE('',#540495,#129421); #90505=LINE('',#540496,#129422); #90506=LINE('',#540498,#129423); #90507=LINE('',#540499,#129424); #90508=LINE('',#540505,#129425); #90509=LINE('',#540507,#129426); #90510=LINE('',#540509,#129427); #90511=LINE('',#540510,#129428); #90512=LINE('',#540513,#129429); #90513=LINE('',#540515,#129430); #90514=LINE('',#540516,#129431); #90515=LINE('',#540519,#129432); #90516=LINE('',#540521,#129433); #90517=LINE('',#540522,#129434); #90518=LINE('',#540524,#129435); #90519=LINE('',#540525,#129436); #90520=LINE('',#540531,#129437); #90521=LINE('',#540533,#129438); #90522=LINE('',#540535,#129439); #90523=LINE('',#540536,#129440); #90524=LINE('',#540539,#129441); #90525=LINE('',#540541,#129442); #90526=LINE('',#540542,#129443); #90527=LINE('',#540545,#129444); #90528=LINE('',#540547,#129445); #90529=LINE('',#540548,#129446); #90530=LINE('',#540550,#129447); #90531=LINE('',#540551,#129448); #90532=LINE('',#540558,#129449); #90533=LINE('',#540564,#129450); #90534=LINE('',#540570,#129451); #90535=LINE('',#540576,#129452); #90536=LINE('',#540582,#129453); #90537=LINE('',#540588,#129454); #90538=LINE('',#540594,#129455); #90539=LINE('',#540600,#129456); #90540=LINE('',#540606,#129457); #90541=LINE('',#540612,#129458); #90542=LINE('',#540618,#129459); #90543=LINE('',#540624,#129460); #90544=LINE('',#540630,#129461); #90545=LINE('',#540636,#129462); #90546=LINE('',#540642,#129463); #90547=LINE('',#540648,#129464); #90548=LINE('',#540654,#129465); #90549=LINE('',#540660,#129466); #90550=LINE('',#540666,#129467); #90551=LINE('',#540672,#129468); #90552=LINE('',#540678,#129469); #90553=LINE('',#540684,#129470); #90554=LINE('',#540690,#129471); #90555=LINE('',#540696,#129472); #90556=LINE('',#540702,#129473); #90557=LINE('',#540708,#129474); #90558=LINE('',#540714,#129475); #90559=LINE('',#540720,#129476); #90560=LINE('',#540726,#129477); #90561=LINE('',#540732,#129478); #90562=LINE('',#540738,#129479); #90563=LINE('',#540744,#129480); #90564=LINE('',#540750,#129481); #90565=LINE('',#540756,#129482); #90566=LINE('',#540762,#129483); #90567=LINE('',#540768,#129484); #90568=LINE('',#540774,#129485); #90569=LINE('',#540780,#129486); #90570=LINE('',#540786,#129487); #90571=LINE('',#540792,#129488); #90572=LINE('',#540798,#129489); #90573=LINE('',#540804,#129490); #90574=LINE('',#540810,#129491); #90575=LINE('',#540816,#129492); #90576=LINE('',#540822,#129493); #90577=LINE('',#540828,#129494); #90578=LINE('',#540834,#129495); #90579=LINE('',#540840,#129496); #90580=LINE('',#540846,#129497); #90581=LINE('',#540851,#129498); #90582=LINE('',#540853,#129499); #90583=LINE('',#540855,#129500); #90584=LINE('',#540856,#129501); #90585=LINE('',#540859,#129502); #90586=LINE('',#540861,#129503); #90587=LINE('',#540862,#129504); #90588=LINE('',#540865,#129505); #90589=LINE('',#540867,#129506); #90590=LINE('',#540868,#129507); #90591=LINE('',#540870,#129508); #90592=LINE('',#540871,#129509); #90593=LINE('',#540877,#129510); #90594=LINE('',#540879,#129511); #90595=LINE('',#540881,#129512); #90596=LINE('',#540882,#129513); #90597=LINE('',#540885,#129514); #90598=LINE('',#540887,#129515); #90599=LINE('',#540888,#129516); #90600=LINE('',#540891,#129517); #90601=LINE('',#540893,#129518); #90602=LINE('',#540894,#129519); #90603=LINE('',#540896,#129520); #90604=LINE('',#540897,#129521); #90605=LINE('',#540903,#129522); #90606=LINE('',#540905,#129523); #90607=LINE('',#540907,#129524); #90608=LINE('',#540908,#129525); #90609=LINE('',#540911,#129526); #90610=LINE('',#540913,#129527); #90611=LINE('',#540914,#129528); #90612=LINE('',#540917,#129529); #90613=LINE('',#540919,#129530); #90614=LINE('',#540920,#129531); #90615=LINE('',#540922,#129532); #90616=LINE('',#540923,#129533); #90617=LINE('',#540929,#129534); #90618=LINE('',#540931,#129535); #90619=LINE('',#540933,#129536); #90620=LINE('',#540934,#129537); #90621=LINE('',#540937,#129538); #90622=LINE('',#540939,#129539); #90623=LINE('',#540940,#129540); #90624=LINE('',#540943,#129541); #90625=LINE('',#540945,#129542); #90626=LINE('',#540946,#129543); #90627=LINE('',#540948,#129544); #90628=LINE('',#540949,#129545); #90629=LINE('',#540955,#129546); #90630=LINE('',#540957,#129547); #90631=LINE('',#540959,#129548); #90632=LINE('',#540960,#129549); #90633=LINE('',#540963,#129550); #90634=LINE('',#540965,#129551); #90635=LINE('',#540966,#129552); #90636=LINE('',#540969,#129553); #90637=LINE('',#540971,#129554); #90638=LINE('',#540972,#129555); #90639=LINE('',#540974,#129556); #90640=LINE('',#540975,#129557); #90641=LINE('',#540981,#129558); #90642=LINE('',#540983,#129559); #90643=LINE('',#540985,#129560); #90644=LINE('',#540986,#129561); #90645=LINE('',#540989,#129562); #90646=LINE('',#540991,#129563); #90647=LINE('',#540992,#129564); #90648=LINE('',#540995,#129565); #90649=LINE('',#540997,#129566); #90650=LINE('',#540998,#129567); #90651=LINE('',#541000,#129568); #90652=LINE('',#541001,#129569); #90653=LINE('',#541007,#129570); #90654=LINE('',#541009,#129571); #90655=LINE('',#541011,#129572); #90656=LINE('',#541012,#129573); #90657=LINE('',#541015,#129574); #90658=LINE('',#541017,#129575); #90659=LINE('',#541018,#129576); #90660=LINE('',#541021,#129577); #90661=LINE('',#541023,#129578); #90662=LINE('',#541024,#129579); #90663=LINE('',#541026,#129580); #90664=LINE('',#541027,#129581); #90665=LINE('',#541033,#129582); #90666=LINE('',#541035,#129583); #90667=LINE('',#541037,#129584); #90668=LINE('',#541038,#129585); #90669=LINE('',#541041,#129586); #90670=LINE('',#541043,#129587); #90671=LINE('',#541044,#129588); #90672=LINE('',#541047,#129589); #90673=LINE('',#541049,#129590); #90674=LINE('',#541050,#129591); #90675=LINE('',#541052,#129592); #90676=LINE('',#541053,#129593); #90677=LINE('',#541059,#129594); #90678=LINE('',#541061,#129595); #90679=LINE('',#541063,#129596); #90680=LINE('',#541064,#129597); #90681=LINE('',#541067,#129598); #90682=LINE('',#541069,#129599); #90683=LINE('',#541070,#129600); #90684=LINE('',#541073,#129601); #90685=LINE('',#541075,#129602); #90686=LINE('',#541076,#129603); #90687=LINE('',#541078,#129604); #90688=LINE('',#541079,#129605); #90689=LINE('',#541085,#129606); #90690=LINE('',#541087,#129607); #90691=LINE('',#541089,#129608); #90692=LINE('',#541090,#129609); #90693=LINE('',#541093,#129610); #90694=LINE('',#541095,#129611); #90695=LINE('',#541096,#129612); #90696=LINE('',#541099,#129613); #90697=LINE('',#541101,#129614); #90698=LINE('',#541102,#129615); #90699=LINE('',#541104,#129616); #90700=LINE('',#541105,#129617); #90701=LINE('',#541111,#129618); #90702=LINE('',#541113,#129619); #90703=LINE('',#541115,#129620); #90704=LINE('',#541116,#129621); #90705=LINE('',#541119,#129622); #90706=LINE('',#541121,#129623); #90707=LINE('',#541122,#129624); #90708=LINE('',#541125,#129625); #90709=LINE('',#541127,#129626); #90710=LINE('',#541128,#129627); #90711=LINE('',#541130,#129628); #90712=LINE('',#541131,#129629); #90713=LINE('',#541137,#129630); #90714=LINE('',#541139,#129631); #90715=LINE('',#541141,#129632); #90716=LINE('',#541142,#129633); #90717=LINE('',#541145,#129634); #90718=LINE('',#541147,#129635); #90719=LINE('',#541148,#129636); #90720=LINE('',#541151,#129637); #90721=LINE('',#541153,#129638); #90722=LINE('',#541154,#129639); #90723=LINE('',#541156,#129640); #90724=LINE('',#541157,#129641); #90725=LINE('',#541163,#129642); #90726=LINE('',#541165,#129643); #90727=LINE('',#541167,#129644); #90728=LINE('',#541168,#129645); #90729=LINE('',#541171,#129646); #90730=LINE('',#541173,#129647); #90731=LINE('',#541174,#129648); #90732=LINE('',#541177,#129649); #90733=LINE('',#541179,#129650); #90734=LINE('',#541180,#129651); #90735=LINE('',#541182,#129652); #90736=LINE('',#541183,#129653); #90737=LINE('',#541189,#129654); #90738=LINE('',#541191,#129655); #90739=LINE('',#541193,#129656); #90740=LINE('',#541194,#129657); #90741=LINE('',#541197,#129658); #90742=LINE('',#541199,#129659); #90743=LINE('',#541200,#129660); #90744=LINE('',#541203,#129661); #90745=LINE('',#541205,#129662); #90746=LINE('',#541206,#129663); #90747=LINE('',#541208,#129664); #90748=LINE('',#541209,#129665); #90749=LINE('',#541215,#129666); #90750=LINE('',#541217,#129667); #90751=LINE('',#541219,#129668); #90752=LINE('',#541220,#129669); #90753=LINE('',#541223,#129670); #90754=LINE('',#541225,#129671); #90755=LINE('',#541226,#129672); #90756=LINE('',#541229,#129673); #90757=LINE('',#541231,#129674); #90758=LINE('',#541232,#129675); #90759=LINE('',#541234,#129676); #90760=LINE('',#541235,#129677); #90761=LINE('',#541241,#129678); #90762=LINE('',#541243,#129679); #90763=LINE('',#541245,#129680); #90764=LINE('',#541246,#129681); #90765=LINE('',#541249,#129682); #90766=LINE('',#541251,#129683); #90767=LINE('',#541252,#129684); #90768=LINE('',#541255,#129685); #90769=LINE('',#541257,#129686); #90770=LINE('',#541258,#129687); #90771=LINE('',#541260,#129688); #90772=LINE('',#541261,#129689); #90773=LINE('',#541267,#129690); #90774=LINE('',#541269,#129691); #90775=LINE('',#541271,#129692); #90776=LINE('',#541272,#129693); #90777=LINE('',#541275,#129694); #90778=LINE('',#541277,#129695); #90779=LINE('',#541278,#129696); #90780=LINE('',#541281,#129697); #90781=LINE('',#541283,#129698); #90782=LINE('',#541284,#129699); #90783=LINE('',#541286,#129700); #90784=LINE('',#541287,#129701); #90785=LINE('',#541293,#129702); #90786=LINE('',#541295,#129703); #90787=LINE('',#541297,#129704); #90788=LINE('',#541298,#129705); #90789=LINE('',#541301,#129706); #90790=LINE('',#541303,#129707); #90791=LINE('',#541304,#129708); #90792=LINE('',#541307,#129709); #90793=LINE('',#541309,#129710); #90794=LINE('',#541310,#129711); #90795=LINE('',#541312,#129712); #90796=LINE('',#541313,#129713); #90797=LINE('',#541319,#129714); #90798=LINE('',#541321,#129715); #90799=LINE('',#541323,#129716); #90800=LINE('',#541324,#129717); #90801=LINE('',#541327,#129718); #90802=LINE('',#541329,#129719); #90803=LINE('',#541330,#129720); #90804=LINE('',#541333,#129721); #90805=LINE('',#541335,#129722); #90806=LINE('',#541336,#129723); #90807=LINE('',#541338,#129724); #90808=LINE('',#541339,#129725); #90809=LINE('',#541346,#129726); #90810=LINE('',#541351,#129727); #90811=LINE('',#541353,#129728); #90812=LINE('',#541355,#129729); #90813=LINE('',#541356,#129730); #90814=LINE('',#541359,#129731); #90815=LINE('',#541361,#129732); #90816=LINE('',#541362,#129733); #90817=LINE('',#541365,#129734); #90818=LINE('',#541367,#129735); #90819=LINE('',#541368,#129736); #90820=LINE('',#541370,#129737); #90821=LINE('',#541371,#129738); #90822=LINE('',#541377,#129739); #90823=LINE('',#541379,#129740); #90824=LINE('',#541381,#129741); #90825=LINE('',#541382,#129742); #90826=LINE('',#541385,#129743); #90827=LINE('',#541387,#129744); #90828=LINE('',#541388,#129745); #90829=LINE('',#541391,#129746); #90830=LINE('',#541393,#129747); #90831=LINE('',#541394,#129748); #90832=LINE('',#541396,#129749); #90833=LINE('',#541397,#129750); #90834=LINE('',#541403,#129751); #90835=LINE('',#541405,#129752); #90836=LINE('',#541407,#129753); #90837=LINE('',#541408,#129754); #90838=LINE('',#541411,#129755); #90839=LINE('',#541413,#129756); #90840=LINE('',#541414,#129757); #90841=LINE('',#541417,#129758); #90842=LINE('',#541419,#129759); #90843=LINE('',#541420,#129760); #90844=LINE('',#541422,#129761); #90845=LINE('',#541423,#129762); #90846=LINE('',#541430,#129763); #90847=LINE('',#541435,#129764); #90848=LINE('',#541437,#129765); #90849=LINE('',#541439,#129766); #90850=LINE('',#541440,#129767); #90851=LINE('',#541443,#129768); #90852=LINE('',#541445,#129769); #90853=LINE('',#541446,#129770); #90854=LINE('',#541449,#129771); #90855=LINE('',#541451,#129772); #90856=LINE('',#541452,#129773); #90857=LINE('',#541454,#129774); #90858=LINE('',#541455,#129775); #90859=LINE('',#541461,#129776); #90860=LINE('',#541463,#129777); #90861=LINE('',#541465,#129778); #90862=LINE('',#541466,#129779); #90863=LINE('',#541469,#129780); #90864=LINE('',#541471,#129781); #90865=LINE('',#541472,#129782); #90866=LINE('',#541475,#129783); #90867=LINE('',#541477,#129784); #90868=LINE('',#541478,#129785); #90869=LINE('',#541480,#129786); #90870=LINE('',#541481,#129787); #90871=LINE('',#541487,#129788); #90872=LINE('',#541489,#129789); #90873=LINE('',#541491,#129790); #90874=LINE('',#541492,#129791); #90875=LINE('',#541495,#129792); #90876=LINE('',#541497,#129793); #90877=LINE('',#541498,#129794); #90878=LINE('',#541501,#129795); #90879=LINE('',#541503,#129796); #90880=LINE('',#541504,#129797); #90881=LINE('',#541506,#129798); #90882=LINE('',#541507,#129799); #90883=LINE('',#541513,#129800); #90884=LINE('',#541515,#129801); #90885=LINE('',#541517,#129802); #90886=LINE('',#541518,#129803); #90887=LINE('',#541521,#129804); #90888=LINE('',#541523,#129805); #90889=LINE('',#541524,#129806); #90890=LINE('',#541527,#129807); #90891=LINE('',#541529,#129808); #90892=LINE('',#541530,#129809); #90893=LINE('',#541532,#129810); #90894=LINE('',#541533,#129811); #90895=LINE('',#541539,#129812); #90896=LINE('',#541541,#129813); #90897=LINE('',#541543,#129814); #90898=LINE('',#541544,#129815); #90899=LINE('',#541547,#129816); #90900=LINE('',#541549,#129817); #90901=LINE('',#541550,#129818); #90902=LINE('',#541553,#129819); #90903=LINE('',#541555,#129820); #90904=LINE('',#541556,#129821); #90905=LINE('',#541558,#129822); #90906=LINE('',#541559,#129823); #90907=LINE('',#541565,#129824); #90908=LINE('',#541567,#129825); #90909=LINE('',#541569,#129826); #90910=LINE('',#541570,#129827); #90911=LINE('',#541573,#129828); #90912=LINE('',#541575,#129829); #90913=LINE('',#541576,#129830); #90914=LINE('',#541579,#129831); #90915=LINE('',#541581,#129832); #90916=LINE('',#541582,#129833); #90917=LINE('',#541584,#129834); #90918=LINE('',#541585,#129835); #90919=LINE('',#541591,#129836); #90920=LINE('',#541593,#129837); #90921=LINE('',#541595,#129838); #90922=LINE('',#541596,#129839); #90923=LINE('',#541599,#129840); #90924=LINE('',#541601,#129841); #90925=LINE('',#541602,#129842); #90926=LINE('',#541605,#129843); #90927=LINE('',#541607,#129844); #90928=LINE('',#541608,#129845); #90929=LINE('',#541610,#129846); #90930=LINE('',#541611,#129847); #90931=LINE('',#541617,#129848); #90932=LINE('',#541619,#129849); #90933=LINE('',#541621,#129850); #90934=LINE('',#541622,#129851); #90935=LINE('',#541625,#129852); #90936=LINE('',#541627,#129853); #90937=LINE('',#541628,#129854); #90938=LINE('',#541631,#129855); #90939=LINE('',#541633,#129856); #90940=LINE('',#541634,#129857); #90941=LINE('',#541636,#129858); #90942=LINE('',#541637,#129859); #90943=LINE('',#541643,#129860); #90944=LINE('',#541645,#129861); #90945=LINE('',#541647,#129862); #90946=LINE('',#541648,#129863); #90947=LINE('',#541651,#129864); #90948=LINE('',#541653,#129865); #90949=LINE('',#541654,#129866); #90950=LINE('',#541657,#129867); #90951=LINE('',#541659,#129868); #90952=LINE('',#541660,#129869); #90953=LINE('',#541662,#129870); #90954=LINE('',#541663,#129871); #90955=LINE('',#541669,#129872); #90956=LINE('',#541671,#129873); #90957=LINE('',#541673,#129874); #90958=LINE('',#541674,#129875); #90959=LINE('',#541677,#129876); #90960=LINE('',#541679,#129877); #90961=LINE('',#541680,#129878); #90962=LINE('',#541683,#129879); #90963=LINE('',#541685,#129880); #90964=LINE('',#541686,#129881); #90965=LINE('',#541688,#129882); #90966=LINE('',#541689,#129883); #90967=LINE('',#541695,#129884); #90968=LINE('',#541697,#129885); #90969=LINE('',#541699,#129886); #90970=LINE('',#541700,#129887); #90971=LINE('',#541703,#129888); #90972=LINE('',#541705,#129889); #90973=LINE('',#541706,#129890); #90974=LINE('',#541709,#129891); #90975=LINE('',#541711,#129892); #90976=LINE('',#541712,#129893); #90977=LINE('',#541714,#129894); #90978=LINE('',#541715,#129895); #90979=LINE('',#541721,#129896); #90980=LINE('',#541723,#129897); #90981=LINE('',#541725,#129898); #90982=LINE('',#541726,#129899); #90983=LINE('',#541729,#129900); #90984=LINE('',#541731,#129901); #90985=LINE('',#541732,#129902); #90986=LINE('',#541735,#129903); #90987=LINE('',#541737,#129904); #90988=LINE('',#541738,#129905); #90989=LINE('',#541740,#129906); #90990=LINE('',#541741,#129907); #90991=LINE('',#541747,#129908); #90992=LINE('',#541751,#129909); #90993=LINE('',#541756,#129910); #90994=LINE('',#541760,#129911); #90995=LINE('',#541766,#129912); #90996=LINE('',#541771,#129913); #90997=LINE('',#541775,#129914); #90998=LINE('',#541780,#129915); #90999=LINE('',#541784,#129916); #91000=LINE('',#541789,#129917); #91001=LINE('',#541793,#129918); #91002=LINE('',#541798,#129919); #91003=LINE('',#541802,#129920); #91004=LINE('',#541807,#129921); #91005=LINE('',#541811,#129922); #91006=LINE('',#541816,#129923); #91007=LINE('',#541820,#129924); #91008=LINE('',#541824,#129925); #91009=LINE('',#541826,#129926); #91010=LINE('',#541827,#129927); #91011=LINE('',#541829,#129928); #91012=LINE('',#541830,#129929); #91013=LINE('',#541833,#129930); #91014=LINE('',#541835,#129931); #91015=LINE('',#541836,#129932); #91016=LINE('',#541838,#129933); #91017=LINE('',#541839,#129934); #91018=LINE('',#541841,#129935); #91019=LINE('',#541842,#129936); #91020=LINE('',#541844,#129937); #91021=LINE('',#541845,#129938); #91022=LINE('',#541847,#129939); #91023=LINE('',#541848,#129940); #91024=LINE('',#541850,#129941); #91025=LINE('',#541851,#129942); #91026=LINE('',#541853,#129943); #91027=LINE('',#541854,#129944); #91028=LINE('',#541856,#129945); #91029=LINE('',#541857,#129946); #91030=LINE('',#541864,#129947); #91031=LINE('',#541869,#129948); #91032=LINE('',#541871,#129949); #91033=LINE('',#541873,#129950); #91034=LINE('',#541874,#129951); #91035=LINE('',#541877,#129952); #91036=LINE('',#541879,#129953); #91037=LINE('',#541880,#129954); #91038=LINE('',#541883,#129955); #91039=LINE('',#541885,#129956); #91040=LINE('',#541886,#129957); #91041=LINE('',#541888,#129958); #91042=LINE('',#541889,#129959); #91043=LINE('',#541895,#129960); #91044=LINE('',#541897,#129961); #91045=LINE('',#541899,#129962); #91046=LINE('',#541900,#129963); #91047=LINE('',#541903,#129964); #91048=LINE('',#541905,#129965); #91049=LINE('',#541906,#129966); #91050=LINE('',#541909,#129967); #91051=LINE('',#541911,#129968); #91052=LINE('',#541912,#129969); #91053=LINE('',#541914,#129970); #91054=LINE('',#541915,#129971); #91055=LINE('',#541921,#129972); #91056=LINE('',#541923,#129973); #91057=LINE('',#541925,#129974); #91058=LINE('',#541926,#129975); #91059=LINE('',#541929,#129976); #91060=LINE('',#541931,#129977); #91061=LINE('',#541932,#129978); #91062=LINE('',#541935,#129979); #91063=LINE('',#541937,#129980); #91064=LINE('',#541938,#129981); #91065=LINE('',#541940,#129982); #91066=LINE('',#541941,#129983); #91067=LINE('',#541947,#129984); #91068=LINE('',#541949,#129985); #91069=LINE('',#541951,#129986); #91070=LINE('',#541952,#129987); #91071=LINE('',#541955,#129988); #91072=LINE('',#541957,#129989); #91073=LINE('',#541958,#129990); #91074=LINE('',#541961,#129991); #91075=LINE('',#541963,#129992); #91076=LINE('',#541964,#129993); #91077=LINE('',#541966,#129994); #91078=LINE('',#541967,#129995); #91079=LINE('',#541973,#129996); #91080=LINE('',#541975,#129997); #91081=LINE('',#541977,#129998); #91082=LINE('',#541978,#129999); #91083=LINE('',#541981,#130000); #91084=LINE('',#541983,#130001); #91085=LINE('',#541984,#130002); #91086=LINE('',#541987,#130003); #91087=LINE('',#541989,#130004); #91088=LINE('',#541990,#130005); #91089=LINE('',#541992,#130006); #91090=LINE('',#541993,#130007); #91091=LINE('',#541999,#130008); #91092=LINE('',#542001,#130009); #91093=LINE('',#542003,#130010); #91094=LINE('',#542004,#130011); #91095=LINE('',#542007,#130012); #91096=LINE('',#542009,#130013); #91097=LINE('',#542010,#130014); #91098=LINE('',#542013,#130015); #91099=LINE('',#542015,#130016); #91100=LINE('',#542016,#130017); #91101=LINE('',#542018,#130018); #91102=LINE('',#542019,#130019); #91103=LINE('',#542026,#130020); #91104=LINE('',#542031,#130021); #91105=LINE('',#542033,#130022); #91106=LINE('',#542035,#130023); #91107=LINE('',#542036,#130024); #91108=LINE('',#542039,#130025); #91109=LINE('',#542041,#130026); #91110=LINE('',#542042,#130027); #91111=LINE('',#542045,#130028); #91112=LINE('',#542047,#130029); #91113=LINE('',#542048,#130030); #91114=LINE('',#542050,#130031); #91115=LINE('',#542051,#130032); #91116=LINE('',#542058,#130033); #91117=LINE('',#542063,#130034); #91118=LINE('',#542065,#130035); #91119=LINE('',#542067,#130036); #91120=LINE('',#542068,#130037); #91121=LINE('',#542071,#130038); #91122=LINE('',#542073,#130039); #91123=LINE('',#542074,#130040); #91124=LINE('',#542077,#130041); #91125=LINE('',#542079,#130042); #91126=LINE('',#542080,#130043); #91127=LINE('',#542082,#130044); #91128=LINE('',#542083,#130045); #91129=LINE('',#542089,#130046); #91130=LINE('',#542091,#130047); #91131=LINE('',#542093,#130048); #91132=LINE('',#542094,#130049); #91133=LINE('',#542097,#130050); #91134=LINE('',#542099,#130051); #91135=LINE('',#542100,#130052); #91136=LINE('',#542103,#130053); #91137=LINE('',#542105,#130054); #91138=LINE('',#542106,#130055); #91139=LINE('',#542108,#130056); #91140=LINE('',#542109,#130057); #91141=LINE('',#542115,#130058); #91142=LINE('',#542117,#130059); #91143=LINE('',#542119,#130060); #91144=LINE('',#542120,#130061); #91145=LINE('',#542123,#130062); #91146=LINE('',#542125,#130063); #91147=LINE('',#542126,#130064); #91148=LINE('',#542129,#130065); #91149=LINE('',#542131,#130066); #91150=LINE('',#542132,#130067); #91151=LINE('',#542134,#130068); #91152=LINE('',#542135,#130069); #91153=LINE('',#542141,#130070); #91154=LINE('',#542143,#130071); #91155=LINE('',#542145,#130072); #91156=LINE('',#542146,#130073); #91157=LINE('',#542149,#130074); #91158=LINE('',#542151,#130075); #91159=LINE('',#542152,#130076); #91160=LINE('',#542155,#130077); #91161=LINE('',#542157,#130078); #91162=LINE('',#542158,#130079); #91163=LINE('',#542160,#130080); #91164=LINE('',#542161,#130081); #91165=LINE('',#542167,#130082); #91166=LINE('',#542169,#130083); #91167=LINE('',#542171,#130084); #91168=LINE('',#542172,#130085); #91169=LINE('',#542175,#130086); #91170=LINE('',#542177,#130087); #91171=LINE('',#542178,#130088); #91172=LINE('',#542181,#130089); #91173=LINE('',#542183,#130090); #91174=LINE('',#542184,#130091); #91175=LINE('',#542186,#130092); #91176=LINE('',#542187,#130093); #91177=LINE('',#542193,#130094); #91178=LINE('',#542195,#130095); #91179=LINE('',#542197,#130096); #91180=LINE('',#542198,#130097); #91181=LINE('',#542201,#130098); #91182=LINE('',#542203,#130099); #91183=LINE('',#542204,#130100); #91184=LINE('',#542207,#130101); #91185=LINE('',#542209,#130102); #91186=LINE('',#542210,#130103); #91187=LINE('',#542212,#130104); #91188=LINE('',#542213,#130105); #91189=LINE('',#542219,#130106); #91190=LINE('',#542221,#130107); #91191=LINE('',#542223,#130108); #91192=LINE('',#542224,#130109); #91193=LINE('',#542227,#130110); #91194=LINE('',#542229,#130111); #91195=LINE('',#542230,#130112); #91196=LINE('',#542233,#130113); #91197=LINE('',#542235,#130114); #91198=LINE('',#542236,#130115); #91199=LINE('',#542238,#130116); #91200=LINE('',#542239,#130117); #91201=LINE('',#542245,#130118); #91202=LINE('',#542247,#130119); #91203=LINE('',#542249,#130120); #91204=LINE('',#542250,#130121); #91205=LINE('',#542253,#130122); #91206=LINE('',#542255,#130123); #91207=LINE('',#542256,#130124); #91208=LINE('',#542259,#130125); #91209=LINE('',#542261,#130126); #91210=LINE('',#542262,#130127); #91211=LINE('',#542264,#130128); #91212=LINE('',#542265,#130129); #91213=LINE('',#542271,#130130); #91214=LINE('',#542273,#130131); #91215=LINE('',#542275,#130132); #91216=LINE('',#542276,#130133); #91217=LINE('',#542279,#130134); #91218=LINE('',#542281,#130135); #91219=LINE('',#542282,#130136); #91220=LINE('',#542285,#130137); #91221=LINE('',#542287,#130138); #91222=LINE('',#542288,#130139); #91223=LINE('',#542290,#130140); #91224=LINE('',#542291,#130141); #91225=LINE('',#542297,#130142); #91226=LINE('',#542299,#130143); #91227=LINE('',#542301,#130144); #91228=LINE('',#542302,#130145); #91229=LINE('',#542305,#130146); #91230=LINE('',#542307,#130147); #91231=LINE('',#542308,#130148); #91232=LINE('',#542311,#130149); #91233=LINE('',#542313,#130150); #91234=LINE('',#542314,#130151); #91235=LINE('',#542316,#130152); #91236=LINE('',#542317,#130153); #91237=LINE('',#542323,#130154); #91238=LINE('',#542325,#130155); #91239=LINE('',#542327,#130156); #91240=LINE('',#542328,#130157); #91241=LINE('',#542331,#130158); #91242=LINE('',#542333,#130159); #91243=LINE('',#542334,#130160); #91244=LINE('',#542337,#130161); #91245=LINE('',#542339,#130162); #91246=LINE('',#542340,#130163); #91247=LINE('',#542342,#130164); #91248=LINE('',#542343,#130165); #91249=LINE('',#542349,#130166); #91250=LINE('',#542351,#130167); #91251=LINE('',#542353,#130168); #91252=LINE('',#542354,#130169); #91253=LINE('',#542357,#130170); #91254=LINE('',#542359,#130171); #91255=LINE('',#542360,#130172); #91256=LINE('',#542363,#130173); #91257=LINE('',#542365,#130174); #91258=LINE('',#542366,#130175); #91259=LINE('',#542368,#130176); #91260=LINE('',#542369,#130177); #91261=LINE('',#542376,#130178); #91262=LINE('',#542382,#130179); #91263=LINE('',#542388,#130180); #91264=LINE('',#542394,#130181); #91265=LINE('',#542400,#130182); #91266=LINE('',#542406,#130183); #91267=LINE('',#542411,#130184); #91268=LINE('',#542413,#130185); #91269=LINE('',#542415,#130186); #91270=LINE('',#542416,#130187); #91271=LINE('',#542419,#130188); #91272=LINE('',#542421,#130189); #91273=LINE('',#542422,#130190); #91274=LINE('',#542425,#130191); #91275=LINE('',#542427,#130192); #91276=LINE('',#542428,#130193); #91277=LINE('',#542430,#130194); #91278=LINE('',#542431,#130195); #91279=LINE('',#542437,#130196); #91280=LINE('',#542439,#130197); #91281=LINE('',#542441,#130198); #91282=LINE('',#542442,#130199); #91283=LINE('',#542445,#130200); #91284=LINE('',#542447,#130201); #91285=LINE('',#542448,#130202); #91286=LINE('',#542451,#130203); #91287=LINE('',#542453,#130204); #91288=LINE('',#542454,#130205); #91289=LINE('',#542456,#130206); #91290=LINE('',#542457,#130207); #91291=LINE('',#542463,#130208); #91292=LINE('',#542465,#130209); #91293=LINE('',#542467,#130210); #91294=LINE('',#542468,#130211); #91295=LINE('',#542471,#130212); #91296=LINE('',#542473,#130213); #91297=LINE('',#542474,#130214); #91298=LINE('',#542477,#130215); #91299=LINE('',#542479,#130216); #91300=LINE('',#542480,#130217); #91301=LINE('',#542482,#130218); #91302=LINE('',#542483,#130219); #91303=LINE('',#542489,#130220); #91304=LINE('',#542491,#130221); #91305=LINE('',#542493,#130222); #91306=LINE('',#542494,#130223); #91307=LINE('',#542497,#130224); #91308=LINE('',#542499,#130225); #91309=LINE('',#542500,#130226); #91310=LINE('',#542503,#130227); #91311=LINE('',#542505,#130228); #91312=LINE('',#542506,#130229); #91313=LINE('',#542508,#130230); #91314=LINE('',#542509,#130231); #91315=LINE('',#542515,#130232); #91316=LINE('',#542519,#130233); #91317=LINE('',#542525,#130234); #91318=LINE('',#542530,#130235); #91319=LINE('',#542534,#130236); #91320=LINE('',#542539,#130237); #91321=LINE('',#542543,#130238); #91322=LINE('',#542548,#130239); #91323=LINE('',#542552,#130240); #91324=LINE('',#542558,#130241); #91325=LINE('',#542562,#130242); #91326=LINE('',#542564,#130243); #91327=LINE('',#542565,#130244); #91328=LINE('',#542568,#130245); #91329=LINE('',#542570,#130246); #91330=LINE('',#542571,#130247); #91331=LINE('',#542573,#130248); #91332=LINE('',#542574,#130249); #91333=LINE('',#542577,#130250); #91334=LINE('',#542579,#130251); #91335=LINE('',#542580,#130252); #91336=LINE('',#542583,#130253); #91337=LINE('',#542585,#130254); #91338=LINE('',#542586,#130255); #91339=LINE('',#542588,#130256); #91340=LINE('',#542589,#130257); #91341=LINE('',#542591,#130258); #91342=LINE('',#542592,#130259); #91343=LINE('',#542594,#130260); #91344=LINE('',#542596,#130261); #91345=LINE('',#542601,#130262); #91346=LINE('',#542603,#130263); #91347=LINE('',#542605,#130264); #91348=LINE('',#542606,#130265); #91349=LINE('',#542609,#130266); #91350=LINE('',#542611,#130267); #91351=LINE('',#542612,#130268); #91352=LINE('',#542615,#130269); #91353=LINE('',#542617,#130270); #91354=LINE('',#542618,#130271); #91355=LINE('',#542620,#130272); #91356=LINE('',#542621,#130273); #91357=LINE('',#542627,#130274); #91358=LINE('',#542629,#130275); #91359=LINE('',#542631,#130276); #91360=LINE('',#542632,#130277); #91361=LINE('',#542635,#130278); #91362=LINE('',#542637,#130279); #91363=LINE('',#542638,#130280); #91364=LINE('',#542641,#130281); #91365=LINE('',#542643,#130282); #91366=LINE('',#542644,#130283); #91367=LINE('',#542646,#130284); #91368=LINE('',#542647,#130285); #91369=LINE('',#542653,#130286); #91370=LINE('',#542655,#130287); #91371=LINE('',#542657,#130288); #91372=LINE('',#542658,#130289); #91373=LINE('',#542661,#130290); #91374=LINE('',#542663,#130291); #91375=LINE('',#542664,#130292); #91376=LINE('',#542667,#130293); #91377=LINE('',#542669,#130294); #91378=LINE('',#542670,#130295); #91379=LINE('',#542672,#130296); #91380=LINE('',#542673,#130297); #91381=LINE('',#542679,#130298); #91382=LINE('',#542681,#130299); #91383=LINE('',#542683,#130300); #91384=LINE('',#542684,#130301); #91385=LINE('',#542687,#130302); #91386=LINE('',#542689,#130303); #91387=LINE('',#542690,#130304); #91388=LINE('',#542693,#130305); #91389=LINE('',#542695,#130306); #91390=LINE('',#542696,#130307); #91391=LINE('',#542698,#130308); #91392=LINE('',#542699,#130309); #91393=LINE('',#542705,#130310); #91394=LINE('',#542707,#130311); #91395=LINE('',#542709,#130312); #91396=LINE('',#542710,#130313); #91397=LINE('',#542713,#130314); #91398=LINE('',#542715,#130315); #91399=LINE('',#542716,#130316); #91400=LINE('',#542719,#130317); #91401=LINE('',#542721,#130318); #91402=LINE('',#542722,#130319); #91403=LINE('',#542724,#130320); #91404=LINE('',#542725,#130321); #91405=LINE('',#542731,#130322); #91406=LINE('',#542733,#130323); #91407=LINE('',#542735,#130324); #91408=LINE('',#542736,#130325); #91409=LINE('',#542739,#130326); #91410=LINE('',#542741,#130327); #91411=LINE('',#542742,#130328); #91412=LINE('',#542745,#130329); #91413=LINE('',#542747,#130330); #91414=LINE('',#542748,#130331); #91415=LINE('',#542750,#130332); #91416=LINE('',#542751,#130333); #91417=LINE('',#542757,#130334); #91418=LINE('',#542759,#130335); #91419=LINE('',#542761,#130336); #91420=LINE('',#542762,#130337); #91421=LINE('',#542765,#130338); #91422=LINE('',#542767,#130339); #91423=LINE('',#542768,#130340); #91424=LINE('',#542771,#130341); #91425=LINE('',#542773,#130342); #91426=LINE('',#542774,#130343); #91427=LINE('',#542776,#130344); #91428=LINE('',#542777,#130345); #91429=LINE('',#542783,#130346); #91430=LINE('',#542785,#130347); #91431=LINE('',#542787,#130348); #91432=LINE('',#542788,#130349); #91433=LINE('',#542791,#130350); #91434=LINE('',#542793,#130351); #91435=LINE('',#542794,#130352); #91436=LINE('',#542797,#130353); #91437=LINE('',#542799,#130354); #91438=LINE('',#542800,#130355); #91439=LINE('',#542802,#130356); #91440=LINE('',#542803,#130357); #91441=LINE('',#542809,#130358); #91442=LINE('',#542811,#130359); #91443=LINE('',#542813,#130360); #91444=LINE('',#542814,#130361); #91445=LINE('',#542817,#130362); #91446=LINE('',#542819,#130363); #91447=LINE('',#542820,#130364); #91448=LINE('',#542823,#130365); #91449=LINE('',#542825,#130366); #91450=LINE('',#542826,#130367); #91451=LINE('',#542828,#130368); #91452=LINE('',#542829,#130369); #91453=LINE('',#542835,#130370); #91454=LINE('',#542837,#130371); #91455=LINE('',#542839,#130372); #91456=LINE('',#542840,#130373); #91457=LINE('',#542843,#130374); #91458=LINE('',#542845,#130375); #91459=LINE('',#542846,#130376); #91460=LINE('',#542849,#130377); #91461=LINE('',#542851,#130378); #91462=LINE('',#542852,#130379); #91463=LINE('',#542854,#130380); #91464=LINE('',#542855,#130381); #91465=LINE('',#542861,#130382); #91466=LINE('',#542863,#130383); #91467=LINE('',#542865,#130384); #91468=LINE('',#542866,#130385); #91469=LINE('',#542869,#130386); #91470=LINE('',#542871,#130387); #91471=LINE('',#542872,#130388); #91472=LINE('',#542875,#130389); #91473=LINE('',#542877,#130390); #91474=LINE('',#542878,#130391); #91475=LINE('',#542880,#130392); #91476=LINE('',#542881,#130393); #91477=LINE('',#542887,#130394); #91478=LINE('',#542889,#130395); #91479=LINE('',#542891,#130396); #91480=LINE('',#542892,#130397); #91481=LINE('',#542895,#130398); #91482=LINE('',#542897,#130399); #91483=LINE('',#542898,#130400); #91484=LINE('',#542901,#130401); #91485=LINE('',#542903,#130402); #91486=LINE('',#542904,#130403); #91487=LINE('',#542906,#130404); #91488=LINE('',#542907,#130405); #91489=LINE('',#542913,#130406); #91490=LINE('',#542915,#130407); #91491=LINE('',#542917,#130408); #91492=LINE('',#542918,#130409); #91493=LINE('',#542921,#130410); #91494=LINE('',#542923,#130411); #91495=LINE('',#542924,#130412); #91496=LINE('',#542927,#130413); #91497=LINE('',#542929,#130414); #91498=LINE('',#542930,#130415); #91499=LINE('',#542932,#130416); #91500=LINE('',#542933,#130417); #91501=LINE('',#542939,#130418); #91502=LINE('',#542941,#130419); #91503=LINE('',#542943,#130420); #91504=LINE('',#542944,#130421); #91505=LINE('',#542947,#130422); #91506=LINE('',#542949,#130423); #91507=LINE('',#542950,#130424); #91508=LINE('',#542953,#130425); #91509=LINE('',#542955,#130426); #91510=LINE('',#542956,#130427); #91511=LINE('',#542958,#130428); #91512=LINE('',#542959,#130429); #91513=LINE('',#542965,#130430); #91514=LINE('',#542967,#130431); #91515=LINE('',#542969,#130432); #91516=LINE('',#542970,#130433); #91517=LINE('',#542973,#130434); #91518=LINE('',#542975,#130435); #91519=LINE('',#542976,#130436); #91520=LINE('',#542979,#130437); #91521=LINE('',#542981,#130438); #91522=LINE('',#542982,#130439); #91523=LINE('',#542984,#130440); #91524=LINE('',#542985,#130441); #91525=LINE('',#542991,#130442); #91526=LINE('',#542993,#130443); #91527=LINE('',#542995,#130444); #91528=LINE('',#542996,#130445); #91529=LINE('',#542999,#130446); #91530=LINE('',#543001,#130447); #91531=LINE('',#543002,#130448); #91532=LINE('',#543005,#130449); #91533=LINE('',#543007,#130450); #91534=LINE('',#543008,#130451); #91535=LINE('',#543010,#130452); #91536=LINE('',#543011,#130453); #91537=LINE('',#543017,#130454); #91538=LINE('',#543019,#130455); #91539=LINE('',#543021,#130456); #91540=LINE('',#543022,#130457); #91541=LINE('',#543025,#130458); #91542=LINE('',#543027,#130459); #91543=LINE('',#543028,#130460); #91544=LINE('',#543031,#130461); #91545=LINE('',#543033,#130462); #91546=LINE('',#543034,#130463); #91547=LINE('',#543036,#130464); #91548=LINE('',#543037,#130465); #91549=LINE('',#543043,#130466); #91550=LINE('',#543045,#130467); #91551=LINE('',#543047,#130468); #91552=LINE('',#543048,#130469); #91553=LINE('',#543051,#130470); #91554=LINE('',#543053,#130471); #91555=LINE('',#543054,#130472); #91556=LINE('',#543057,#130473); #91557=LINE('',#543059,#130474); #91558=LINE('',#543060,#130475); #91559=LINE('',#543062,#130476); #91560=LINE('',#543063,#130477); #91561=LINE('',#543069,#130478); #91562=LINE('',#543071,#130479); #91563=LINE('',#543073,#130480); #91564=LINE('',#543074,#130481); #91565=LINE('',#543077,#130482); #91566=LINE('',#543079,#130483); #91567=LINE('',#543080,#130484); #91568=LINE('',#543083,#130485); #91569=LINE('',#543085,#130486); #91570=LINE('',#543086,#130487); #91571=LINE('',#543088,#130488); #91572=LINE('',#543089,#130489); #91573=LINE('',#543095,#130490); #91574=LINE('',#543097,#130491); #91575=LINE('',#543099,#130492); #91576=LINE('',#543100,#130493); #91577=LINE('',#543103,#130494); #91578=LINE('',#543105,#130495); #91579=LINE('',#543106,#130496); #91580=LINE('',#543109,#130497); #91581=LINE('',#543111,#130498); #91582=LINE('',#543112,#130499); #91583=LINE('',#543114,#130500); #91584=LINE('',#543115,#130501); #91585=LINE('',#543121,#130502); #91586=LINE('',#543123,#130503); #91587=LINE('',#543125,#130504); #91588=LINE('',#543126,#130505); #91589=LINE('',#543129,#130506); #91590=LINE('',#543131,#130507); #91591=LINE('',#543132,#130508); #91592=LINE('',#543135,#130509); #91593=LINE('',#543137,#130510); #91594=LINE('',#543138,#130511); #91595=LINE('',#543140,#130512); #91596=LINE('',#543141,#130513); #91597=LINE('',#543149,#130514); #91598=LINE('',#543154,#130515); #91599=LINE('',#543156,#130516); #91600=LINE('',#543158,#130517); #91601=LINE('',#543159,#130518); #91602=LINE('',#543165,#130519); #91603=LINE('',#543174,#130520); #91604=LINE('',#543176,#130521); #91605=LINE('',#543178,#130522); #91606=LINE('',#543179,#130523); #91607=LINE('',#543182,#130524); #91608=LINE('',#543184,#130525); #91609=LINE('',#543185,#130526); #91610=LINE('',#543188,#130527); #91611=LINE('',#543190,#130528); #91612=LINE('',#543191,#130529); #91613=LINE('',#543193,#130530); #91614=LINE('',#543194,#130531); #91615=LINE('',#543200,#130532); #91616=LINE('',#543202,#130533); #91617=LINE('',#543204,#130534); #91618=LINE('',#543205,#130535); #91619=LINE('',#543208,#130536); #91620=LINE('',#543210,#130537); #91621=LINE('',#543211,#130538); #91622=LINE('',#543214,#130539); #91623=LINE('',#543216,#130540); #91624=LINE('',#543217,#130541); #91625=LINE('',#543219,#130542); #91626=LINE('',#543220,#130543); #91627=LINE('',#543227,#130544); #91628=LINE('',#543233,#130545); #91629=LINE('',#543238,#130546); #91630=LINE('',#543240,#130547); #91631=LINE('',#543242,#130548); #91632=LINE('',#543243,#130549); #91633=LINE('',#543246,#130550); #91634=LINE('',#543248,#130551); #91635=LINE('',#543249,#130552); #91636=LINE('',#543252,#130553); #91637=LINE('',#543254,#130554); #91638=LINE('',#543255,#130555); #91639=LINE('',#543257,#130556); #91640=LINE('',#543258,#130557); #91641=LINE('',#543264,#130558); #91642=LINE('',#543266,#130559); #91643=LINE('',#543268,#130560); #91644=LINE('',#543269,#130561); #91645=LINE('',#543272,#130562); #91646=LINE('',#543274,#130563); #91647=LINE('',#543275,#130564); #91648=LINE('',#543278,#130565); #91649=LINE('',#543280,#130566); #91650=LINE('',#543281,#130567); #91651=LINE('',#543283,#130568); #91652=LINE('',#543284,#130569); #91653=LINE('',#543291,#130570); #91654=LINE('',#543297,#130571); #91655=LINE('',#543302,#130572); #91656=LINE('',#543304,#130573); #91657=LINE('',#543306,#130574); #91658=LINE('',#543307,#130575); #91659=LINE('',#543310,#130576); #91660=LINE('',#543312,#130577); #91661=LINE('',#543313,#130578); #91662=LINE('',#543316,#130579); #91663=LINE('',#543318,#130580); #91664=LINE('',#543319,#130581); #91665=LINE('',#543321,#130582); #91666=LINE('',#543322,#130583); #91667=LINE('',#543328,#130584); #91668=LINE('',#543330,#130585); #91669=LINE('',#543332,#130586); #91670=LINE('',#543333,#130587); #91671=LINE('',#543336,#130588); #91672=LINE('',#543338,#130589); #91673=LINE('',#543339,#130590); #91674=LINE('',#543342,#130591); #91675=LINE('',#543344,#130592); #91676=LINE('',#543345,#130593); #91677=LINE('',#543347,#130594); #91678=LINE('',#543348,#130595); #91679=LINE('',#543355,#130596); #91680=LINE('',#543361,#130597); #91681=LINE('',#543366,#130598); #91682=LINE('',#543368,#130599); #91683=LINE('',#543370,#130600); #91684=LINE('',#543371,#130601); #91685=LINE('',#543374,#130602); #91686=LINE('',#543376,#130603); #91687=LINE('',#543377,#130604); #91688=LINE('',#543380,#130605); #91689=LINE('',#543382,#130606); #91690=LINE('',#543383,#130607); #91691=LINE('',#543385,#130608); #91692=LINE('',#543386,#130609); #91693=LINE('',#543392,#130610); #91694=LINE('',#543394,#130611); #91695=LINE('',#543396,#130612); #91696=LINE('',#543397,#130613); #91697=LINE('',#543400,#130614); #91698=LINE('',#543402,#130615); #91699=LINE('',#543403,#130616); #91700=LINE('',#543406,#130617); #91701=LINE('',#543408,#130618); #91702=LINE('',#543409,#130619); #91703=LINE('',#543411,#130620); #91704=LINE('',#543412,#130621); #91705=LINE('',#543419,#130622); #91706=LINE('',#543425,#130623); #91707=LINE('',#543430,#130624); #91708=LINE('',#543432,#130625); #91709=LINE('',#543434,#130626); #91710=LINE('',#543435,#130627); #91711=LINE('',#543438,#130628); #91712=LINE('',#543440,#130629); #91713=LINE('',#543441,#130630); #91714=LINE('',#543444,#130631); #91715=LINE('',#543446,#130632); #91716=LINE('',#543447,#130633); #91717=LINE('',#543449,#130634); #91718=LINE('',#543450,#130635); #91719=LINE('',#543456,#130636); #91720=LINE('',#543458,#130637); #91721=LINE('',#543460,#130638); #91722=LINE('',#543461,#130639); #91723=LINE('',#543464,#130640); #91724=LINE('',#543466,#130641); #91725=LINE('',#543467,#130642); #91726=LINE('',#543470,#130643); #91727=LINE('',#543472,#130644); #91728=LINE('',#543473,#130645); #91729=LINE('',#543475,#130646); #91730=LINE('',#543476,#130647); #91731=LINE('',#543482,#130648); #91732=LINE('',#543484,#130649); #91733=LINE('',#543486,#130650); #91734=LINE('',#543487,#130651); #91735=LINE('',#543490,#130652); #91736=LINE('',#543492,#130653); #91737=LINE('',#543493,#130654); #91738=LINE('',#543496,#130655); #91739=LINE('',#543498,#130656); #91740=LINE('',#543499,#130657); #91741=LINE('',#543502,#130658); #91742=LINE('',#543504,#130659); #91743=LINE('',#543505,#130660); #91744=LINE('',#543508,#130661); #91745=LINE('',#543510,#130662); #91746=LINE('',#543511,#130663); #91747=LINE('',#543514,#130664); #91748=LINE('',#543516,#130665); #91749=LINE('',#543517,#130666); #91750=LINE('',#543523,#130667); #91751=LINE('',#543526,#130668); #91752=LINE('',#543528,#130669); #91753=LINE('',#543529,#130670); #91754=LINE('',#543532,#130671); #91755=LINE('',#543534,#130672); #91756=LINE('',#543535,#130673); #91757=LINE('',#543538,#130674); #91758=LINE('',#543540,#130675); #91759=LINE('',#543541,#130676); #91760=LINE('',#543544,#130677); #91761=LINE('',#543546,#130678); #91762=LINE('',#543547,#130679); #91763=LINE('',#543550,#130680); #91764=LINE('',#543552,#130681); #91765=LINE('',#543553,#130682); #91766=LINE('',#543559,#130683); #91767=LINE('',#543562,#130684); #91768=LINE('',#543564,#130685); #91769=LINE('',#543565,#130686); #91770=LINE('',#543568,#130687); #91771=LINE('',#543570,#130688); #91772=LINE('',#543571,#130689); #91773=LINE('',#543577,#130690); #91774=LINE('',#543580,#130691); #91775=LINE('',#543582,#130692); #91776=LINE('',#543583,#130693); #91777=LINE('',#543586,#130694); #91778=LINE('',#543588,#130695); #91779=LINE('',#543589,#130696); #91780=LINE('',#543592,#130697); #91781=LINE('',#543594,#130698); #91782=LINE('',#543595,#130699); #91783=LINE('',#543598,#130700); #91784=LINE('',#543600,#130701); #91785=LINE('',#543601,#130702); #91786=LINE('',#543604,#130703); #91787=LINE('',#543606,#130704); #91788=LINE('',#543607,#130705); #91789=LINE('',#543610,#130706); #91790=LINE('',#543612,#130707); #91791=LINE('',#543613,#130708); #91792=LINE('',#543616,#130709); #91793=LINE('',#543618,#130710); #91794=LINE('',#543619,#130711); #91795=LINE('',#543625,#130712); #91796=LINE('',#543628,#130713); #91797=LINE('',#543630,#130714); #91798=LINE('',#543631,#130715); #91799=LINE('',#543637,#130716); #91800=LINE('',#543640,#130717); #91801=LINE('',#543642,#130718); #91802=LINE('',#543643,#130719); #91803=LINE('',#543646,#130720); #91804=LINE('',#543648,#130721); #91805=LINE('',#543649,#130722); #91806=LINE('',#543652,#130723); #91807=LINE('',#543654,#130724); #91808=LINE('',#543655,#130725); #91809=LINE('',#543658,#130726); #91810=LINE('',#543660,#130727); #91811=LINE('',#543661,#130728); #91812=LINE('',#543664,#130729); #91813=LINE('',#543666,#130730); #91814=LINE('',#543667,#130731); #91815=LINE('',#543670,#130732); #91816=LINE('',#543672,#130733); #91817=LINE('',#543673,#130734); #91818=LINE('',#543676,#130735); #91819=LINE('',#543678,#130736); #91820=LINE('',#543679,#130737); #91821=LINE('',#543682,#130738); #91822=LINE('',#543684,#130739); #91823=LINE('',#543685,#130740); #91824=LINE('',#543691,#130741); #91825=LINE('',#543694,#130742); #91826=LINE('',#543696,#130743); #91827=LINE('',#543697,#130744); #91828=LINE('',#543703,#130745); #91829=LINE('',#543706,#130746); #91830=LINE('',#543708,#130747); #91831=LINE('',#543709,#130748); #91832=LINE('',#543712,#130749); #91833=LINE('',#543714,#130750); #91834=LINE('',#543715,#130751); #91835=LINE('',#543718,#130752); #91836=LINE('',#543720,#130753); #91837=LINE('',#543721,#130754); #91838=LINE('',#543727,#130755); #91839=LINE('',#543730,#130756); #91840=LINE('',#543732,#130757); #91841=LINE('',#543733,#130758); #91842=LINE('',#543739,#130759); #91843=LINE('',#543742,#130760); #91844=LINE('',#543744,#130761); #91845=LINE('',#543745,#130762); #91846=LINE('',#543748,#130763); #91847=LINE('',#543750,#130764); #91848=LINE('',#543751,#130765); #91849=LINE('',#543754,#130766); #91850=LINE('',#543756,#130767); #91851=LINE('',#543757,#130768); #91852=LINE('',#543760,#130769); #91853=LINE('',#543762,#130770); #91854=LINE('',#543763,#130771); #91855=LINE('',#543766,#130772); #91856=LINE('',#543768,#130773); #91857=LINE('',#543769,#130774); #91858=LINE('',#543775,#130775); #91859=LINE('',#543778,#130776); #91860=LINE('',#543780,#130777); #91861=LINE('',#543781,#130778); #91862=LINE('',#543784,#130779); #91863=LINE('',#543786,#130780); #91864=LINE('',#543787,#130781); #91865=LINE('',#543790,#130782); #91866=LINE('',#543792,#130783); #91867=LINE('',#543793,#130784); #91868=LINE('',#543796,#130785); #91869=LINE('',#543798,#130786); #91870=LINE('',#543799,#130787); #91871=LINE('',#543802,#130788); #91872=LINE('',#543804,#130789); #91873=LINE('',#543805,#130790); #91874=LINE('',#543808,#130791); #91875=LINE('',#543810,#130792); #91876=LINE('',#543811,#130793); #91877=LINE('',#543817,#130794); #91878=LINE('',#543820,#130795); #91879=LINE('',#543822,#130796); #91880=LINE('',#543823,#130797); #91881=LINE('',#543825,#130798); #91882=LINE('',#543826,#130799); #91883=LINE('',#543833,#130800); #91884=LINE('',#543839,#130801); #91885=LINE('',#543844,#130802); #91886=LINE('',#543846,#130803); #91887=LINE('',#543848,#130804); #91888=LINE('',#543849,#130805); #91889=LINE('',#543855,#130806); #91890=LINE('',#543861,#130807); #91891=LINE('',#543864,#130808); #91892=LINE('',#543866,#130809); #91893=LINE('',#543867,#130810); #91894=LINE('',#543873,#130811); #91895=LINE('',#543879,#130812); #91896=LINE('',#543882,#130813); #91897=LINE('',#543884,#130814); #91898=LINE('',#543885,#130815); #91899=LINE('',#543895,#130816); #91900=LINE('',#543900,#130817); #91901=LINE('',#543902,#130818); #91902=LINE('',#543904,#130819); #91903=LINE('',#543905,#130820); #91904=LINE('',#543911,#130821); #91905=LINE('',#543914,#130822); #91906=LINE('',#543916,#130823); #91907=LINE('',#543917,#130824); #91908=LINE('',#543920,#130825); #91909=LINE('',#543922,#130826); #91910=LINE('',#543923,#130827); #91911=LINE('',#543926,#130828); #91912=LINE('',#543928,#130829); #91913=LINE('',#543929,#130830); #91914=LINE('',#543932,#130831); #91915=LINE('',#543934,#130832); #91916=LINE('',#543935,#130833); #91917=LINE('',#543938,#130834); #91918=LINE('',#543940,#130835); #91919=LINE('',#543941,#130836); #91920=LINE('',#543944,#130837); #91921=LINE('',#543946,#130838); #91922=LINE('',#543947,#130839); #91923=LINE('',#543950,#130840); #91924=LINE('',#543952,#130841); #91925=LINE('',#543953,#130842); #91926=LINE('',#543963,#130843); #91927=LINE('',#543968,#130844); #91928=LINE('',#543970,#130845); #91929=LINE('',#543972,#130846); #91930=LINE('',#543973,#130847); #91931=LINE('',#543976,#130848); #91932=LINE('',#543978,#130849); #91933=LINE('',#543979,#130850); #91934=LINE('',#543982,#130851); #91935=LINE('',#543984,#130852); #91936=LINE('',#543985,#130853); #91937=LINE('',#543988,#130854); #91938=LINE('',#543990,#130855); #91939=LINE('',#543991,#130856); #91940=LINE('',#543994,#130857); #91941=LINE('',#543996,#130858); #91942=LINE('',#543997,#130859); #91943=LINE('',#544003,#130860); #91944=LINE('',#544006,#130861); #91945=LINE('',#544008,#130862); #91946=LINE('',#544009,#130863); #91947=LINE('',#544015,#130864); #91948=LINE('',#544021,#130865); #91949=LINE('',#544027,#130866); #91950=LINE('',#544030,#130867); #91951=LINE('',#544032,#130868); #91952=LINE('',#544033,#130869); #91953=LINE('',#544036,#130870); #91954=LINE('',#544038,#130871); #91955=LINE('',#544039,#130872); #91956=LINE('',#544041,#130873); #91957=LINE('',#544042,#130874); #91958=LINE('',#544049,#130875); #91959=LINE('',#544055,#130876); #91960=LINE('',#544060,#130877); #91961=LINE('',#544062,#130878); #91962=LINE('',#544064,#130879); #91963=LINE('',#544065,#130880); #91964=LINE('',#544071,#130881); #91965=LINE('',#544077,#130882); #91966=LINE('',#544080,#130883); #91967=LINE('',#544082,#130884); #91968=LINE('',#544083,#130885); #91969=LINE('',#544086,#130886); #91970=LINE('',#544088,#130887); #91971=LINE('',#544089,#130888); #91972=LINE('',#544092,#130889); #91973=LINE('',#544094,#130890); #91974=LINE('',#544095,#130891); #91975=LINE('',#544098,#130892); #91976=LINE('',#544100,#130893); #91977=LINE('',#544101,#130894); #91978=LINE('',#544104,#130895); #91979=LINE('',#544106,#130896); #91980=LINE('',#544107,#130897); #91981=LINE('',#544113,#130898); #91982=LINE('',#544116,#130899); #91983=LINE('',#544118,#130900); #91984=LINE('',#544119,#130901); #91985=LINE('',#544122,#130902); #91986=LINE('',#544124,#130903); #91987=LINE('',#544125,#130904); #91988=LINE('',#544131,#130905); #91989=LINE('',#544134,#130906); #91990=LINE('',#544136,#130907); #91991=LINE('',#544137,#130908); #91992=LINE('',#544143,#130909); #91993=LINE('',#544146,#130910); #91994=LINE('',#544148,#130911); #91995=LINE('',#544149,#130912); #91996=LINE('',#544152,#130913); #91997=LINE('',#544154,#130914); #91998=LINE('',#544155,#130915); #91999=LINE('',#544158,#130916); #92000=LINE('',#544160,#130917); #92001=LINE('',#544161,#130918); #92002=LINE('',#544167,#130919); #92003=LINE('',#544170,#130920); #92004=LINE('',#544172,#130921); #92005=LINE('',#544173,#130922); #92006=LINE('',#544179,#130923); #92007=LINE('',#544182,#130924); #92008=LINE('',#544184,#130925); #92009=LINE('',#544185,#130926); #92010=LINE('',#544191,#130927); #92011=LINE('',#544197,#130928); #92012=LINE('',#544200,#130929); #92013=LINE('',#544202,#130930); #92014=LINE('',#544203,#130931); #92015=LINE('',#544206,#130932); #92016=LINE('',#544208,#130933); #92017=LINE('',#544209,#130934); #92018=LINE('',#544212,#130935); #92019=LINE('',#544214,#130936); #92020=LINE('',#544215,#130937); #92021=LINE('',#544221,#130938); #92022=LINE('',#544224,#130939); #92023=LINE('',#544226,#130940); #92024=LINE('',#544227,#130941); #92025=LINE('',#544233,#130942); #92026=LINE('',#544236,#130943); #92027=LINE('',#544238,#130944); #92028=LINE('',#544239,#130945); #92029=LINE('',#544242,#130946); #92030=LINE('',#544244,#130947); #92031=LINE('',#544245,#130948); #92032=LINE('',#544248,#130949); #92033=LINE('',#544250,#130950); #92034=LINE('',#544251,#130951); #92035=LINE('',#544257,#130952); #92036=LINE('',#544260,#130953); #92037=LINE('',#544262,#130954); #92038=LINE('',#544263,#130955); #92039=LINE('',#544266,#130956); #92040=LINE('',#544268,#130957); #92041=LINE('',#544269,#130958); #92042=LINE('',#544275,#130959); #92043=LINE('',#544278,#130960); #92044=LINE('',#544280,#130961); #92045=LINE('',#544281,#130962); #92046=LINE('',#544287,#130963); #92047=LINE('',#544290,#130964); #92048=LINE('',#544292,#130965); #92049=LINE('',#544293,#130966); #92050=LINE('',#544299,#130967); #92051=LINE('',#544302,#130968); #92052=LINE('',#544304,#130969); #92053=LINE('',#544305,#130970); #92054=LINE('',#544311,#130971); #92055=LINE('',#544314,#130972); #92056=LINE('',#544316,#130973); #92057=LINE('',#544317,#130974); #92058=LINE('',#544327,#130975); #92059=LINE('',#544333,#130976); #92060=LINE('',#544338,#130977); #92061=LINE('',#544340,#130978); #92062=LINE('',#544342,#130979); #92063=LINE('',#544343,#130980); #92064=LINE('',#544349,#130981); #92065=LINE('',#544355,#130982); #92066=LINE('',#544358,#130983); #92067=LINE('',#544360,#130984); #92068=LINE('',#544361,#130985); #92069=LINE('',#544364,#130986); #92070=LINE('',#544366,#130987); #92071=LINE('',#544367,#130988); #92072=LINE('',#544373,#130989); #92073=LINE('',#544379,#130990); #92074=LINE('',#544382,#130991); #92075=LINE('',#544384,#130992); #92076=LINE('',#544385,#130993); #92077=LINE('',#544391,#130994); #92078=LINE('',#544394,#130995); #92079=LINE('',#544396,#130996); #92080=LINE('',#544397,#130997); #92081=LINE('',#544407,#130998); #92082=LINE('',#544412,#130999); #92083=LINE('',#544414,#131000); #92084=LINE('',#544416,#131001); #92085=LINE('',#544417,#131002); #92086=LINE('',#544420,#131003); #92087=LINE('',#544422,#131004); #92088=LINE('',#544423,#131005); #92089=LINE('',#544426,#131006); #92090=LINE('',#544428,#131007); #92091=LINE('',#544429,#131008); #92092=LINE('',#544432,#131009); #92093=LINE('',#544434,#131010); #92094=LINE('',#544435,#131011); #92095=LINE('',#544438,#131012); #92096=LINE('',#544440,#131013); #92097=LINE('',#544441,#131014); #92098=LINE('',#544447,#131015); #92099=LINE('',#544450,#131016); #92100=LINE('',#544452,#131017); #92101=LINE('',#544453,#131018); #92102=LINE('',#544459,#131019); #92103=LINE('',#544465,#131020); #92104=LINE('',#544471,#131021); #92105=LINE('',#544474,#131022); #92106=LINE('',#544476,#131023); #92107=LINE('',#544477,#131024); #92108=LINE('',#544483,#131025); #92109=LINE('',#544486,#131026); #92110=LINE('',#544488,#131027); #92111=LINE('',#544489,#131028); #92112=LINE('',#544492,#131029); #92113=LINE('',#544494,#131030); #92114=LINE('',#544495,#131031); #92115=LINE('',#544498,#131032); #92116=LINE('',#544500,#131033); #92117=LINE('',#544501,#131034); #92118=LINE('',#544504,#131035); #92119=LINE('',#544506,#131036); #92120=LINE('',#544507,#131037); #92121=LINE('',#544510,#131038); #92122=LINE('',#544512,#131039); #92123=LINE('',#544513,#131040); #92124=LINE('',#544516,#131041); #92125=LINE('',#544518,#131042); #92126=LINE('',#544519,#131043); #92127=LINE('',#544522,#131044); #92128=LINE('',#544524,#131045); #92129=LINE('',#544525,#131046); #92130=LINE('',#544528,#131047); #92131=LINE('',#544530,#131048); #92132=LINE('',#544531,#131049); #92133=LINE('',#544537,#131050); #92134=LINE('',#544540,#131051); #92135=LINE('',#544542,#131052); #92136=LINE('',#544543,#131053); #92137=LINE('',#544546,#131054); #92138=LINE('',#544548,#131055); #92139=LINE('',#544549,#131056); #92140=LINE('',#544551,#131057); #92141=LINE('',#544552,#131058); #92142=LINE('',#544559,#131059); #92143=LINE('',#544564,#131060); #92144=LINE('',#544566,#131061); #92145=LINE('',#544568,#131062); #92146=LINE('',#544569,#131063); #92147=LINE('',#544575,#131064); #92148=LINE('',#544581,#131065); #92149=LINE('',#544584,#131066); #92150=LINE('',#544586,#131067); #92151=LINE('',#544587,#131068); #92152=LINE('',#544593,#131069); #92153=LINE('',#544596,#131070); #92154=LINE('',#544598,#131071); #92155=LINE('',#544599,#131072); #92156=LINE('',#544602,#131073); #92157=LINE('',#544604,#131074); #92158=LINE('',#544605,#131075); #92159=LINE('',#544608,#131076); #92160=LINE('',#544610,#131077); #92161=LINE('',#544611,#131078); #92162=LINE('',#544614,#131079); #92163=LINE('',#544616,#131080); #92164=LINE('',#544617,#131081); #92165=LINE('',#544620,#131082); #92166=LINE('',#544622,#131083); #92167=LINE('',#544623,#131084); #92168=LINE('',#544626,#131085); #92169=LINE('',#544628,#131086); #92170=LINE('',#544629,#131087); #92171=LINE('',#544632,#131088); #92172=LINE('',#544634,#131089); #92173=LINE('',#544635,#131090); #92174=LINE('',#544638,#131091); #92175=LINE('',#544640,#131092); #92176=LINE('',#544641,#131093); #92177=LINE('',#544647,#131094); #92178=LINE('',#544650,#131095); #92179=LINE('',#544652,#131096); #92180=LINE('',#544653,#131097); #92181=LINE('',#544656,#131098); #92182=LINE('',#544658,#131099); #92183=LINE('',#544659,#131100); #92184=LINE('',#544662,#131101); #92185=LINE('',#544664,#131102); #92186=LINE('',#544665,#131103); #92187=LINE('',#544668,#131104); #92188=LINE('',#544670,#131105); #92189=LINE('',#544671,#131106); #92190=LINE('',#544674,#131107); #92191=LINE('',#544676,#131108); #92192=LINE('',#544677,#131109); #92193=LINE('',#544680,#131110); #92194=LINE('',#544682,#131111); #92195=LINE('',#544683,#131112); #92196=LINE('',#544686,#131113); #92197=LINE('',#544688,#131114); #92198=LINE('',#544689,#131115); #92199=LINE('',#544692,#131116); #92200=LINE('',#544694,#131117); #92201=LINE('',#544695,#131118); #92202=LINE('',#544701,#131119); #92203=LINE('',#544704,#131120); #92204=LINE('',#544706,#131121); #92205=LINE('',#544707,#131122); #92206=LINE('',#544713,#131123); #92207=LINE('',#544723,#131124); #92208=LINE('',#544729,#131125); #92209=LINE('',#544734,#131126); #92210=LINE('',#544736,#131127); #92211=LINE('',#544738,#131128); #92212=LINE('',#544739,#131129); #92213=LINE('',#544742,#131130); #92214=LINE('',#544744,#131131); #92215=LINE('',#544745,#131132); #92216=LINE('',#544751,#131133); #92217=LINE('',#544754,#131134); #92218=LINE('',#544756,#131135); #92219=LINE('',#544757,#131136); #92220=LINE('',#544760,#131137); #92221=LINE('',#544762,#131138); #92222=LINE('',#544763,#131139); #92223=LINE('',#544769,#131140); #92224=LINE('',#544775,#131141); #92225=LINE('',#544778,#131142); #92226=LINE('',#544780,#131143); #92227=LINE('',#544781,#131144); #92228=LINE('',#544787,#131145); #92229=LINE('',#544790,#131146); #92230=LINE('',#544792,#131147); #92231=LINE('',#544793,#131148); #92232=LINE('',#544796,#131149); #92233=LINE('',#544798,#131150); #92234=LINE('',#544799,#131151); #92235=LINE('',#544802,#131152); #92236=LINE('',#544804,#131153); #92237=LINE('',#544805,#131154); #92238=LINE('',#544811,#131155); #92239=LINE('',#544814,#131156); #92240=LINE('',#544816,#131157); #92241=LINE('',#544817,#131158); #92242=LINE('',#544820,#131159); #92243=LINE('',#544822,#131160); #92244=LINE('',#544823,#131161); #92245=LINE('',#544826,#131162); #92246=LINE('',#544828,#131163); #92247=LINE('',#544829,#131164); #92248=LINE('',#544832,#131165); #92249=LINE('',#544834,#131166); #92250=LINE('',#544835,#131167); #92251=LINE('',#544838,#131168); #92252=LINE('',#544840,#131169); #92253=LINE('',#544841,#131170); #92254=LINE('',#544847,#131171); #92255=LINE('',#544850,#131172); #92256=LINE('',#544852,#131173); #92257=LINE('',#544853,#131174); #92258=LINE('',#544856,#131175); #92259=LINE('',#544858,#131176); #92260=LINE('',#544859,#131177); #92261=LINE('',#544865,#131178); #92262=LINE('',#544868,#131179); #92263=LINE('',#544870,#131180); #92264=LINE('',#544871,#131181); #92265=LINE('',#544877,#131182); #92266=LINE('',#544880,#131183); #92267=LINE('',#544882,#131184); #92268=LINE('',#544883,#131185); #92269=LINE('',#544886,#131186); #92270=LINE('',#544888,#131187); #92271=LINE('',#544889,#131188); #92272=LINE('',#544892,#131189); #92273=LINE('',#544894,#131190); #92274=LINE('',#544895,#131191); #92275=LINE('',#544901,#131192); #92276=LINE('',#544904,#131193); #92277=LINE('',#544906,#131194); #92278=LINE('',#544907,#131195); #92279=LINE('',#544913,#131196); #92280=LINE('',#544916,#131197); #92281=LINE('',#544918,#131198); #92282=LINE('',#544919,#131199); #92283=LINE('',#544925,#131200); #92284=LINE('',#544928,#131201); #92285=LINE('',#544930,#131202); #92286=LINE('',#544931,#131203); #92287=LINE('',#544934,#131204); #92288=LINE('',#544936,#131205); #92289=LINE('',#544937,#131206); #92290=LINE('',#544943,#131207); #92291=LINE('',#544949,#131208); #92292=LINE('',#544952,#131209); #92293=LINE('',#544954,#131210); #92294=LINE('',#544955,#131211); #92295=LINE('',#544961,#131212); #92296=LINE('',#544964,#131213); #92297=LINE('',#544966,#131214); #92298=LINE('',#544967,#131215); #92299=LINE('',#544970,#131216); #92300=LINE('',#544972,#131217); #92301=LINE('',#544973,#131218); #92302=LINE('',#544976,#131219); #92303=LINE('',#544978,#131220); #92304=LINE('',#544979,#131221); #92305=LINE('',#544982,#131222); #92306=LINE('',#544984,#131223); #92307=LINE('',#544985,#131224); #92308=LINE('',#544991,#131225); #92309=LINE('',#544994,#131226); #92310=LINE('',#544996,#131227); #92311=LINE('',#544997,#131228); #92312=LINE('',#545003,#131229); #92313=LINE('',#545006,#131230); #92314=LINE('',#545008,#131231); #92315=LINE('',#545009,#131232); #92316=LINE('',#545012,#131233); #92317=LINE('',#545014,#131234); #92318=LINE('',#545015,#131235); #92319=LINE('',#545018,#131236); #92320=LINE('',#545020,#131237); #92321=LINE('',#545021,#131238); #92322=LINE('',#545027,#131239); #92323=LINE('',#545030,#131240); #92324=LINE('',#545032,#131241); #92325=LINE('',#545033,#131242); #92326=LINE('',#545036,#131243); #92327=LINE('',#545038,#131244); #92328=LINE('',#545039,#131245); #92329=LINE('',#545045,#131246); #92330=LINE('',#545048,#131247); #92331=LINE('',#545050,#131248); #92332=LINE('',#545051,#131249); #92333=LINE('',#545057,#131250); #92334=LINE('',#545060,#131251); #92335=LINE('',#545062,#131252); #92336=LINE('',#545063,#131253); #92337=LINE('',#545069,#131254); #92338=LINE('',#545072,#131255); #92339=LINE('',#545074,#131256); #92340=LINE('',#545075,#131257); #92341=LINE('',#545081,#131258); #92342=LINE('',#545084,#131259); #92343=LINE('',#545086,#131260); #92344=LINE('',#545087,#131261); #92345=LINE('',#545090,#131262); #92346=LINE('',#545092,#131263); #92347=LINE('',#545093,#131264); #92348=LINE('',#545103,#131265); #92349=LINE('',#545109,#131266); #92350=LINE('',#545114,#131267); #92351=LINE('',#545116,#131268); #92352=LINE('',#545118,#131269); #92353=LINE('',#545119,#131270); #92354=LINE('',#545125,#131271); #92355=LINE('',#545131,#131272); #92356=LINE('',#545134,#131273); #92357=LINE('',#545136,#131274); #92358=LINE('',#545137,#131275); #92359=LINE('',#545143,#131276); #92360=LINE('',#545149,#131277); #92361=LINE('',#545152,#131278); #92362=LINE('',#545154,#131279); #92363=LINE('',#545155,#131280); #92364=LINE('',#545165,#131281); #92365=LINE('',#545171,#131282); #92366=LINE('',#545176,#131283); #92367=LINE('',#545178,#131284); #92368=LINE('',#545180,#131285); #92369=LINE('',#545181,#131286); #92370=LINE('',#545187,#131287); #92371=LINE('',#545193,#131288); #92372=LINE('',#545196,#131289); #92373=LINE('',#545198,#131290); #92374=LINE('',#545199,#131291); #92375=LINE('',#545205,#131292); #92376=LINE('',#545211,#131293); #92377=LINE('',#545214,#131294); #92378=LINE('',#545216,#131295); #92379=LINE('',#545217,#131296); #92380=LINE('',#545227,#131297); #92381=LINE('',#545233,#131298); #92382=LINE('',#545238,#131299); #92383=LINE('',#545240,#131300); #92384=LINE('',#545242,#131301); #92385=LINE('',#545243,#131302); #92386=LINE('',#545249,#131303); #92387=LINE('',#545255,#131304); #92388=LINE('',#545258,#131305); #92389=LINE('',#545260,#131306); #92390=LINE('',#545261,#131307); #92391=LINE('',#545267,#131308); #92392=LINE('',#545273,#131309); #92393=LINE('',#545276,#131310); #92394=LINE('',#545278,#131311); #92395=LINE('',#545279,#131312); #92396=LINE('',#545289,#131313); #92397=LINE('',#545295,#131314); #92398=LINE('',#545300,#131315); #92399=LINE('',#545302,#131316); #92400=LINE('',#545304,#131317); #92401=LINE('',#545305,#131318); #92402=LINE('',#545311,#131319); #92403=LINE('',#545314,#131320); #92404=LINE('',#545316,#131321); #92405=LINE('',#545317,#131322); #92406=LINE('',#545320,#131323); #92407=LINE('',#545322,#131324); #92408=LINE('',#545323,#131325); #92409=LINE('',#545326,#131326); #92410=LINE('',#545328,#131327); #92411=LINE('',#545329,#131328); #92412=LINE('',#545335,#131329); #92413=LINE('',#545341,#131330); #92414=LINE('',#545344,#131331); #92415=LINE('',#545346,#131332); #92416=LINE('',#545347,#131333); #92417=LINE('',#545353,#131334); #92418=LINE('',#545356,#131335); #92419=LINE('',#545358,#131336); #92420=LINE('',#545359,#131337); #92421=LINE('',#545365,#131338); #92422=LINE('',#545368,#131339); #92423=LINE('',#545370,#131340); #92424=LINE('',#545371,#131341); #92425=LINE('',#545381,#131342); #92426=LINE('',#545386,#131343); #92427=LINE('',#545388,#131344); #92428=LINE('',#545390,#131345); #92429=LINE('',#545391,#131346); #92430=LINE('',#545394,#131347); #92431=LINE('',#545396,#131348); #92432=LINE('',#545397,#131349); #92433=LINE('',#545400,#131350); #92434=LINE('',#545402,#131351); #92435=LINE('',#545403,#131352); #92436=LINE('',#545405,#131353); #92437=LINE('',#545406,#131354); #92438=LINE('',#545413,#131355); #92439=LINE('',#545418,#131356); #92440=LINE('',#545420,#131357); #92441=LINE('',#545422,#131358); #92442=LINE('',#545423,#131359); #92443=LINE('',#545426,#131360); #92444=LINE('',#545428,#131361); #92445=LINE('',#545429,#131362); #92446=LINE('',#545432,#131363); #92447=LINE('',#545434,#131364); #92448=LINE('',#545435,#131365); #92449=LINE('',#545437,#131366); #92450=LINE('',#545438,#131367); #92451=LINE('',#545445,#131368); #92452=LINE('',#545450,#131369); #92453=LINE('',#545452,#131370); #92454=LINE('',#545454,#131371); #92455=LINE('',#545455,#131372); #92456=LINE('',#545458,#131373); #92457=LINE('',#545460,#131374); #92458=LINE('',#545461,#131375); #92459=LINE('',#545464,#131376); #92460=LINE('',#545466,#131377); #92461=LINE('',#545467,#131378); #92462=LINE('',#545469,#131379); #92463=LINE('',#545470,#131380); #92464=LINE('',#545477,#131381); #92465=LINE('',#545482,#131382); #92466=LINE('',#545484,#131383); #92467=LINE('',#545486,#131384); #92468=LINE('',#545487,#131385); #92469=LINE('',#545493,#131386); #92470=LINE('',#545496,#131387); #92471=LINE('',#545498,#131388); #92472=LINE('',#545499,#131389); #92473=LINE('',#545502,#131390); #92474=LINE('',#545504,#131391); #92475=LINE('',#545505,#131392); #92476=LINE('',#545508,#131393); #92477=LINE('',#545510,#131394); #92478=LINE('',#545511,#131395); #92479=LINE('',#545514,#131396); #92480=LINE('',#545516,#131397); #92481=LINE('',#545517,#131398); #92482=LINE('',#545520,#131399); #92483=LINE('',#545522,#131400); #92484=LINE('',#545523,#131401); #92485=LINE('',#545526,#131402); #92486=LINE('',#545528,#131403); #92487=LINE('',#545529,#131404); #92488=LINE('',#545532,#131405); #92489=LINE('',#545534,#131406); #92490=LINE('',#545535,#131407); #92491=LINE('',#545545,#131408); #92492=LINE('',#545551,#131409); #92493=LINE('',#545556,#131410); #92494=LINE('',#545558,#131411); #92495=LINE('',#545560,#131412); #92496=LINE('',#545561,#131413); #92497=LINE('',#545564,#131414); #92498=LINE('',#545566,#131415); #92499=LINE('',#545567,#131416); #92500=LINE('',#545573,#131417); #92501=LINE('',#545576,#131418); #92502=LINE('',#545578,#131419); #92503=LINE('',#545579,#131420); #92504=LINE('',#545582,#131421); #92505=LINE('',#545584,#131422); #92506=LINE('',#545585,#131423); #92507=LINE('',#545591,#131424); #92508=LINE('',#545594,#131425); #92509=LINE('',#545596,#131426); #92510=LINE('',#545597,#131427); #92511=LINE('',#545600,#131428); #92512=LINE('',#545602,#131429); #92513=LINE('',#545603,#131430); #92514=LINE('',#545609,#131431); #92515=LINE('',#545615,#131432); #92516=LINE('',#545618,#131433); #92517=LINE('',#545620,#131434); #92518=LINE('',#545621,#131435); #92519=LINE('',#545627,#131436); #92520=LINE('',#545630,#131437); #92521=LINE('',#545632,#131438); #92522=LINE('',#545633,#131439); #92523=LINE('',#545636,#131440); #92524=LINE('',#545638,#131441); #92525=LINE('',#545639,#131442); #92526=LINE('',#545645,#131443); #92527=LINE('',#545648,#131444); #92528=LINE('',#545650,#131445); #92529=LINE('',#545651,#131446); #92530=LINE('',#545654,#131447); #92531=LINE('',#545656,#131448); #92532=LINE('',#545657,#131449); #92533=LINE('',#545660,#131450); #92534=LINE('',#545662,#131451); #92535=LINE('',#545663,#131452); #92536=LINE('',#545666,#131453); #92537=LINE('',#545668,#131454); #92538=LINE('',#545669,#131455); #92539=LINE('',#545672,#131456); #92540=LINE('',#545674,#131457); #92541=LINE('',#545675,#131458); #92542=LINE('',#545678,#131459); #92543=LINE('',#545680,#131460); #92544=LINE('',#545681,#131461); #92545=LINE('',#545687,#131462); #92546=LINE('',#545690,#131463); #92547=LINE('',#545692,#131464); #92548=LINE('',#545693,#131465); #92549=LINE('',#545696,#131466); #92550=LINE('',#545698,#131467); #92551=LINE('',#545699,#131468); #92552=LINE('',#545705,#131469); #92553=LINE('',#545708,#131470); #92554=LINE('',#545710,#131471); #92555=LINE('',#545711,#131472); #92556=LINE('',#545717,#131473); #92557=LINE('',#545720,#131474); #92558=LINE('',#545722,#131475); #92559=LINE('',#545723,#131476); #92560=LINE('',#545726,#131477); #92561=LINE('',#545728,#131478); #92562=LINE('',#545729,#131479); #92563=LINE('',#545732,#131480); #92564=LINE('',#545734,#131481); #92565=LINE('',#545735,#131482); #92566=LINE('',#545741,#131483); #92567=LINE('',#545744,#131484); #92568=LINE('',#545746,#131485); #92569=LINE('',#545747,#131486); #92570=LINE('',#545753,#131487); #92571=LINE('',#545756,#131488); #92572=LINE('',#545758,#131489); #92573=LINE('',#545759,#131490); #92574=LINE('',#545762,#131491); #92575=LINE('',#545764,#131492); #92576=LINE('',#545765,#131493); #92577=LINE('',#545771,#131494); #92578=LINE('',#545774,#131495); #92579=LINE('',#545776,#131496); #92580=LINE('',#545777,#131497); #92581=LINE('',#545783,#131498); #92582=LINE('',#545789,#131499); #92583=LINE('',#545792,#131500); #92584=LINE('',#545794,#131501); #92585=LINE('',#545795,#131502); #92586=LINE('',#545798,#131503); #92587=LINE('',#545800,#131504); #92588=LINE('',#545801,#131505); #92589=LINE('',#545807,#131506); #92590=LINE('',#545810,#131507); #92591=LINE('',#545812,#131508); #92592=LINE('',#545813,#131509); #92593=LINE('',#545816,#131510); #92594=LINE('',#545818,#131511); #92595=LINE('',#545819,#131512); #92596=LINE('',#545822,#131513); #92597=LINE('',#545824,#131514); #92598=LINE('',#545825,#131515); #92599=LINE('',#545831,#131516); #92600=LINE('',#545834,#131517); #92601=LINE('',#545836,#131518); #92602=LINE('',#545837,#131519); #92603=LINE('',#545843,#131520); #92604=LINE('',#545846,#131521); #92605=LINE('',#545848,#131522); #92606=LINE('',#545849,#131523); #92607=LINE('',#545852,#131524); #92608=LINE('',#545854,#131525); #92609=LINE('',#545855,#131526); #92610=LINE('',#545858,#131527); #92611=LINE('',#545860,#131528); #92612=LINE('',#545861,#131529); #92613=LINE('',#545867,#131530); #92614=LINE('',#545870,#131531); #92615=LINE('',#545872,#131532); #92616=LINE('',#545873,#131533); #92617=LINE('',#545876,#131534); #92618=LINE('',#545878,#131535); #92619=LINE('',#545879,#131536); #92620=LINE('',#545885,#131537); #92621=LINE('',#545888,#131538); #92622=LINE('',#545890,#131539); #92623=LINE('',#545891,#131540); #92624=LINE('',#545897,#131541); #92625=LINE('',#545900,#131542); #92626=LINE('',#545902,#131543); #92627=LINE('',#545903,#131544); #92628=LINE('',#545909,#131545); #92629=LINE('',#545912,#131546); #92630=LINE('',#545914,#131547); #92631=LINE('',#545915,#131548); #92632=LINE('',#545925,#131549); #92633=LINE('',#545931,#131550); #92634=LINE('',#545936,#131551); #92635=LINE('',#545938,#131552); #92636=LINE('',#545940,#131553); #92637=LINE('',#545941,#131554); #92638=LINE('',#545947,#131555); #92639=LINE('',#545950,#131556); #92640=LINE('',#545952,#131557); #92641=LINE('',#545953,#131558); #92642=LINE('',#545959,#131559); #92643=LINE('',#545965,#131560); #92644=LINE('',#545968,#131561); #92645=LINE('',#545970,#131562); #92646=LINE('',#545971,#131563); #92647=LINE('',#545981,#131564); #92648=LINE('',#545986,#131565); #92649=LINE('',#545988,#131566); #92650=LINE('',#545990,#131567); #92651=LINE('',#545991,#131568); #92652=LINE('',#545997,#131569); #92653=LINE('',#546000,#131570); #92654=LINE('',#546002,#131571); #92655=LINE('',#546003,#131572); #92656=LINE('',#546006,#131573); #92657=LINE('',#546008,#131574); #92658=LINE('',#546009,#131575); #92659=LINE('',#546012,#131576); #92660=LINE('',#546014,#131577); #92661=LINE('',#546015,#131578); #92662=LINE('',#546018,#131579); #92663=LINE('',#546020,#131580); #92664=LINE('',#546021,#131581); #92665=LINE('',#546024,#131582); #92666=LINE('',#546026,#131583); #92667=LINE('',#546027,#131584); #92668=LINE('',#546030,#131585); #92669=LINE('',#546032,#131586); #92670=LINE('',#546033,#131587); #92671=LINE('',#546036,#131588); #92672=LINE('',#546038,#131589); #92673=LINE('',#546039,#131590); #92674=LINE('',#546049,#131591); #92675=LINE('',#546054,#131592); #92676=LINE('',#546056,#131593); #92677=LINE('',#546058,#131594); #92678=LINE('',#546059,#131595); #92679=LINE('',#546065,#131596); #92680=LINE('',#546068,#131597); #92681=LINE('',#546070,#131598); #92682=LINE('',#546071,#131599); #92683=LINE('',#546074,#131600); #92684=LINE('',#546076,#131601); #92685=LINE('',#546077,#131602); #92686=LINE('',#546080,#131603); #92687=LINE('',#546082,#131604); #92688=LINE('',#546083,#131605); #92689=LINE('',#546086,#131606); #92690=LINE('',#546088,#131607); #92691=LINE('',#546089,#131608); #92692=LINE('',#546092,#131609); #92693=LINE('',#546094,#131610); #92694=LINE('',#546095,#131611); #92695=LINE('',#546098,#131612); #92696=LINE('',#546100,#131613); #92697=LINE('',#546101,#131614); #92698=LINE('',#546104,#131615); #92699=LINE('',#546106,#131616); #92700=LINE('',#546107,#131617); #92701=LINE('',#546117,#131618); #92702=LINE('',#546122,#131619); #92703=LINE('',#546124,#131620); #92704=LINE('',#546126,#131621); #92705=LINE('',#546127,#131622); #92706=LINE('',#546133,#131623); #92707=LINE('',#546136,#131624); #92708=LINE('',#546138,#131625); #92709=LINE('',#546139,#131626); #92710=LINE('',#546145,#131627); #92711=LINE('',#546148,#131628); #92712=LINE('',#546150,#131629); #92713=LINE('',#546151,#131630); #92714=LINE('',#546157,#131631); #92715=LINE('',#546160,#131632); #92716=LINE('',#546162,#131633); #92717=LINE('',#546163,#131634); #92718=LINE('',#546166,#131635); #92719=LINE('',#546168,#131636); #92720=LINE('',#546169,#131637); #92721=LINE('',#546172,#131638); #92722=LINE('',#546174,#131639); #92723=LINE('',#546175,#131640); #92724=LINE('',#546178,#131641); #92725=LINE('',#546180,#131642); #92726=LINE('',#546181,#131643); #92727=LINE('',#546184,#131644); #92728=LINE('',#546186,#131645); #92729=LINE('',#546187,#131646); #92730=LINE('',#546190,#131647); #92731=LINE('',#546192,#131648); #92732=LINE('',#546193,#131649); #92733=LINE('',#546196,#131650); #92734=LINE('',#546198,#131651); #92735=LINE('',#546199,#131652); #92736=LINE('',#546202,#131653); #92737=LINE('',#546204,#131654); #92738=LINE('',#546205,#131655); #92739=LINE('',#546208,#131656); #92740=LINE('',#546210,#131657); #92741=LINE('',#546211,#131658); #92742=LINE('',#546217,#131659); #92743=LINE('',#546227,#131660); #92744=LINE('',#546232,#131661); #92745=LINE('',#546234,#131662); #92746=LINE('',#546236,#131663); #92747=LINE('',#546237,#131664); #92748=LINE('',#546240,#131665); #92749=LINE('',#546242,#131666); #92750=LINE('',#546243,#131667); #92751=LINE('',#546246,#131668); #92752=LINE('',#546248,#131669); #92753=LINE('',#546249,#131670); #92754=LINE('',#546252,#131671); #92755=LINE('',#546254,#131672); #92756=LINE('',#546255,#131673); #92757=LINE('',#546258,#131674); #92758=LINE('',#546260,#131675); #92759=LINE('',#546261,#131676); #92760=LINE('',#546264,#131677); #92761=LINE('',#546266,#131678); #92762=LINE('',#546267,#131679); #92763=LINE('',#546270,#131680); #92764=LINE('',#546272,#131681); #92765=LINE('',#546273,#131682); #92766=LINE('',#546276,#131683); #92767=LINE('',#546278,#131684); #92768=LINE('',#546279,#131685); #92769=LINE('',#546285,#131686); #92770=LINE('',#546291,#131687); #92771=LINE('',#546294,#131688); #92772=LINE('',#546296,#131689); #92773=LINE('',#546297,#131690); #92774=LINE('',#546303,#131691); #92775=LINE('',#546306,#131692); #92776=LINE('',#546308,#131693); #92777=LINE('',#546309,#131694); #92778=LINE('',#546315,#131695); #92779=LINE('',#546317,#131696); #92780=LINE('',#546318,#131697); #92781=LINE('',#546325,#131698); #92782=LINE('',#546330,#131699); #92783=LINE('',#546332,#131700); #92784=LINE('',#546334,#131701); #92785=LINE('',#546335,#131702); #92786=LINE('',#546341,#131703); #92787=LINE('',#546351,#131704); #92788=LINE('',#546356,#131705); #92789=LINE('',#546358,#131706); #92790=LINE('',#546360,#131707); #92791=LINE('',#546361,#131708); #92792=LINE('',#546364,#131709); #92793=LINE('',#546366,#131710); #92794=LINE('',#546367,#131711); #92795=LINE('',#546370,#131712); #92796=LINE('',#546372,#131713); #92797=LINE('',#546373,#131714); #92798=LINE('',#546376,#131715); #92799=LINE('',#546378,#131716); #92800=LINE('',#546379,#131717); #92801=LINE('',#546382,#131718); #92802=LINE('',#546384,#131719); #92803=LINE('',#546385,#131720); #92804=LINE('',#546388,#131721); #92805=LINE('',#546390,#131722); #92806=LINE('',#546391,#131723); #92807=LINE('',#546394,#131724); #92808=LINE('',#546396,#131725); #92809=LINE('',#546397,#131726); #92810=LINE('',#546403,#131727); #92811=LINE('',#546406,#131728); #92812=LINE('',#546408,#131729); #92813=LINE('',#546409,#131730); #92814=LINE('',#546412,#131731); #92815=LINE('',#546414,#131732); #92816=LINE('',#546415,#131733); #92817=LINE('',#546421,#131734); #92818=LINE('',#546427,#131735); #92819=LINE('',#546430,#131736); #92820=LINE('',#546432,#131737); #92821=LINE('',#546433,#131738); #92822=LINE('',#546439,#131739); #92823=LINE('',#546442,#131740); #92824=LINE('',#546444,#131741); #92825=LINE('',#546445,#131742); #92826=LINE('',#546448,#131743); #92827=LINE('',#546450,#131744); #92828=LINE('',#546451,#131745); #92829=LINE('',#546457,#131746); #92830=LINE('',#546460,#131747); #92831=LINE('',#546462,#131748); #92832=LINE('',#546463,#131749); #92833=LINE('',#546469,#131750); #92834=LINE('',#546472,#131751); #92835=LINE('',#546474,#131752); #92836=LINE('',#546475,#131753); #92837=LINE('',#546477,#131754); #92838=LINE('',#546478,#131755); #92839=LINE('',#546485,#131756); #92840=LINE('',#546490,#131757); #92841=LINE('',#546492,#131758); #92842=LINE('',#546494,#131759); #92843=LINE('',#546495,#131760); #92844=LINE('',#546498,#131761); #92845=LINE('',#546500,#131762); #92846=LINE('',#546501,#131763); #92847=LINE('',#546504,#131764); #92848=LINE('',#546506,#131765); #92849=LINE('',#546507,#131766); #92850=LINE('',#546510,#131767); #92851=LINE('',#546512,#131768); #92852=LINE('',#546513,#131769); #92853=LINE('',#546519,#131770); #92854=LINE('',#546522,#131771); #92855=LINE('',#546524,#131772); #92856=LINE('',#546525,#131773); #92857=LINE('',#546531,#131774); #92858=LINE('',#546534,#131775); #92859=LINE('',#546536,#131776); #92860=LINE('',#546537,#131777); #92861=LINE('',#546543,#131778); #92862=LINE('',#546549,#131779); #92863=LINE('',#546552,#131780); #92864=LINE('',#546554,#131781); #92865=LINE('',#546555,#131782); #92866=LINE('',#546558,#131783); #92867=LINE('',#546560,#131784); #92868=LINE('',#546561,#131785); #92869=LINE('',#546564,#131786); #92870=LINE('',#546566,#131787); #92871=LINE('',#546567,#131788); #92872=LINE('',#546570,#131789); #92873=LINE('',#546572,#131790); #92874=LINE('',#546573,#131791); #92875=LINE('',#546575,#131792); #92876=LINE('',#546576,#131793); #92877=LINE('',#546582,#131794); #92878=LINE('',#546584,#131795); #92879=LINE('',#546586,#131796); #92880=LINE('',#546587,#131797); #92881=LINE('',#546590,#131798); #92882=LINE('',#546592,#131799); #92883=LINE('',#546593,#131800); #92884=LINE('',#546596,#131801); #92885=LINE('',#546598,#131802); #92886=LINE('',#546599,#131803); #92887=LINE('',#546602,#131804); #92888=LINE('',#546604,#131805); #92889=LINE('',#546605,#131806); #92890=LINE('',#546608,#131807); #92891=LINE('',#546610,#131808); #92892=LINE('',#546611,#131809); #92893=LINE('',#546617,#131810); #92894=LINE('',#546620,#131811); #92895=LINE('',#546622,#131812); #92896=LINE('',#546623,#131813); #92897=LINE('',#546626,#131814); #92898=LINE('',#546628,#131815); #92899=LINE('',#546629,#131816); #92900=LINE('',#546632,#131817); #92901=LINE('',#546634,#131818); #92902=LINE('',#546635,#131819); #92903=LINE('',#546638,#131820); #92904=LINE('',#546640,#131821); #92905=LINE('',#546641,#131822); #92906=LINE('',#546644,#131823); #92907=LINE('',#546646,#131824); #92908=LINE('',#546647,#131825); #92909=LINE('',#546650,#131826); #92910=LINE('',#546652,#131827); #92911=LINE('',#546653,#131828); #92912=LINE('',#546656,#131829); #92913=LINE('',#546658,#131830); #92914=LINE('',#546659,#131831); #92915=LINE('',#546661,#131832); #92916=LINE('',#546662,#131833); #92917=LINE('',#546668,#131834); #92918=LINE('',#546670,#131835); #92919=LINE('',#546672,#131836); #92920=LINE('',#546673,#131837); #92921=LINE('',#546676,#131838); #92922=LINE('',#546678,#131839); #92923=LINE('',#546679,#131840); #92924=LINE('',#546682,#131841); #92925=LINE('',#546684,#131842); #92926=LINE('',#546685,#131843); #92927=LINE('',#546688,#131844); #92928=LINE('',#546690,#131845); #92929=LINE('',#546691,#131846); #92930=LINE('',#546694,#131847); #92931=LINE('',#546696,#131848); #92932=LINE('',#546697,#131849); #92933=LINE('',#546700,#131850); #92934=LINE('',#546702,#131851); #92935=LINE('',#546703,#131852); #92936=LINE('',#546706,#131853); #92937=LINE('',#546708,#131854); #92938=LINE('',#546709,#131855); #92939=LINE('',#546712,#131856); #92940=LINE('',#546714,#131857); #92941=LINE('',#546715,#131858); #92942=LINE('',#546718,#131859); #92943=LINE('',#546720,#131860); #92944=LINE('',#546721,#131861); #92945=LINE('',#546724,#131862); #92946=LINE('',#546726,#131863); #92947=LINE('',#546727,#131864); #92948=LINE('',#546730,#131865); #92949=LINE('',#546732,#131866); #92950=LINE('',#546733,#131867); #92951=LINE('',#546739,#131868); #92952=LINE('',#546742,#131869); #92953=LINE('',#546744,#131870); #92954=LINE('',#546745,#131871); #92955=LINE('',#546747,#131872); #92956=LINE('',#546748,#131873); #92957=LINE('',#546755,#131874); #92958=LINE('',#546760,#131875); #92959=LINE('',#546762,#131876); #92960=LINE('',#546764,#131877); #92961=LINE('',#546765,#131878); #92962=LINE('',#546768,#131879); #92963=LINE('',#546770,#131880); #92964=LINE('',#546771,#131881); #92965=LINE('',#546777,#131882); #92966=LINE('',#546780,#131883); #92967=LINE('',#546782,#131884); #92968=LINE('',#546783,#131885); #92969=LINE('',#546789,#131886); #92970=LINE('',#546792,#131887); #92971=LINE('',#546794,#131888); #92972=LINE('',#546795,#131889); #92973=LINE('',#546798,#131890); #92974=LINE('',#546800,#131891); #92975=LINE('',#546801,#131892); #92976=LINE('',#546807,#131893); #92977=LINE('',#546810,#131894); #92978=LINE('',#546812,#131895); #92979=LINE('',#546813,#131896); #92980=LINE('',#546816,#131897); #92981=LINE('',#546818,#131898); #92982=LINE('',#546819,#131899); #92983=LINE('',#546822,#131900); #92984=LINE('',#546824,#131901); #92985=LINE('',#546825,#131902); #92986=LINE('',#546828,#131903); #92987=LINE('',#546830,#131904); #92988=LINE('',#546831,#131905); #92989=LINE('',#546834,#131906); #92990=LINE('',#546836,#131907); #92991=LINE('',#546837,#131908); #92992=LINE('',#546840,#131909); #92993=LINE('',#546842,#131910); #92994=LINE('',#546843,#131911); #92995=LINE('',#546846,#131912); #92996=LINE('',#546848,#131913); #92997=LINE('',#546849,#131914); #92998=LINE('',#546852,#131915); #92999=LINE('',#546854,#131916); #93000=LINE('',#546855,#131917); #93001=LINE('',#546861,#131918); #93002=LINE('',#546864,#131919); #93003=LINE('',#546866,#131920); #93004=LINE('',#546867,#131921); #93005=LINE('',#546870,#131922); #93006=LINE('',#546872,#131923); #93007=LINE('',#546873,#131924); #93008=LINE('',#546876,#131925); #93009=LINE('',#546878,#131926); #93010=LINE('',#546879,#131927); #93011=LINE('',#546882,#131928); #93012=LINE('',#546884,#131929); #93013=LINE('',#546885,#131930); #93014=LINE('',#546891,#131931); #93015=LINE('',#546894,#131932); #93016=LINE('',#546896,#131933); #93017=LINE('',#546897,#131934); #93018=LINE('',#546900,#131935); #93019=LINE('',#546902,#131936); #93020=LINE('',#546903,#131937); #93021=LINE('',#546906,#131938); #93022=LINE('',#546908,#131939); #93023=LINE('',#546909,#131940); #93024=LINE('',#546912,#131941); #93025=LINE('',#546914,#131942); #93026=LINE('',#546915,#131943); #93027=LINE('',#546918,#131944); #93028=LINE('',#546920,#131945); #93029=LINE('',#546921,#131946); #93030=LINE('',#546924,#131947); #93031=LINE('',#546926,#131948); #93032=LINE('',#546927,#131949); #93033=LINE('',#546930,#131950); #93034=LINE('',#546932,#131951); #93035=LINE('',#546933,#131952); #93036=LINE('',#546936,#131953); #93037=LINE('',#546938,#131954); #93038=LINE('',#546939,#131955); #93039=LINE('',#546942,#131956); #93040=LINE('',#546944,#131957); #93041=LINE('',#546945,#131958); #93042=LINE('',#546948,#131959); #93043=LINE('',#546950,#131960); #93044=LINE('',#546951,#131961); #93045=LINE('',#546954,#131962); #93046=LINE('',#546956,#131963); #93047=LINE('',#546957,#131964); #93048=LINE('',#546960,#131965); #93049=LINE('',#546962,#131966); #93050=LINE('',#546963,#131967); #93051=LINE('',#546966,#131968); #93052=LINE('',#546968,#131969); #93053=LINE('',#546969,#131970); #93054=LINE('',#546972,#131971); #93055=LINE('',#546974,#131972); #93056=LINE('',#546975,#131973); #93057=LINE('',#546978,#131974); #93058=LINE('',#546980,#131975); #93059=LINE('',#546981,#131976); #93060=LINE('',#546984,#131977); #93061=LINE('',#546986,#131978); #93062=LINE('',#546987,#131979); #93063=LINE('',#546997,#131980); #93064=LINE('',#547003,#131981); #93065=LINE('',#547008,#131982); #93066=LINE('',#547010,#131983); #93067=LINE('',#547012,#131984); #93068=LINE('',#547013,#131985); #93069=LINE('',#547019,#131986); #93070=LINE('',#547022,#131987); #93071=LINE('',#547024,#131988); #93072=LINE('',#547025,#131989); #93073=LINE('',#547031,#131990); #93074=LINE('',#547037,#131991); #93075=LINE('',#547040,#131992); #93076=LINE('',#547042,#131993); #93077=LINE('',#547043,#131994); #93078=LINE('',#547053,#131995); #93079=LINE('',#547058,#131996); #93080=LINE('',#547060,#131997); #93081=LINE('',#547062,#131998); #93082=LINE('',#547063,#131999); #93083=LINE('',#547066,#132000); #93084=LINE('',#547068,#132001); #93085=LINE('',#547069,#132002); #93086=LINE('',#547072,#132003); #93087=LINE('',#547074,#132004); #93088=LINE('',#547075,#132005); #93089=LINE('',#547077,#132006); #93090=LINE('',#547078,#132007); #93091=LINE('',#547085,#132008); #93092=LINE('',#547091,#132009); #93093=LINE('',#547096,#132010); #93094=LINE('',#547098,#132011); #93095=LINE('',#547100,#132012); #93096=LINE('',#547101,#132013); #93097=LINE('',#547107,#132014); #93098=LINE('',#547110,#132015); #93099=LINE('',#547112,#132016); #93100=LINE('',#547113,#132017); #93101=LINE('',#547119,#132018); #93102=LINE('',#547122,#132019); #93103=LINE('',#547124,#132020); #93104=LINE('',#547125,#132021); #93105=LINE('',#547131,#132022); #93106=LINE('',#547134,#132023); #93107=LINE('',#547136,#132024); #93108=LINE('',#547137,#132025); #93109=LINE('',#547143,#132026); #93110=LINE('',#547146,#132027); #93111=LINE('',#547148,#132028); #93112=LINE('',#547149,#132029); #93113=LINE('',#547155,#132030); #93114=LINE('',#547158,#132031); #93115=LINE('',#547160,#132032); #93116=LINE('',#547161,#132033); #93117=LINE('',#547167,#132034); #93118=LINE('',#547170,#132035); #93119=LINE('',#547172,#132036); #93120=LINE('',#547173,#132037); #93121=LINE('',#547179,#132038); #93122=LINE('',#547185,#132039); #93123=LINE('',#547188,#132040); #93124=LINE('',#547190,#132041); #93125=LINE('',#547191,#132042); #93126=LINE('',#547194,#132043); #93127=LINE('',#547196,#132044); #93128=LINE('',#547197,#132045); #93129=LINE('',#547200,#132046); #93130=LINE('',#547202,#132047); #93131=LINE('',#547203,#132048); #93132=LINE('',#547206,#132049); #93133=LINE('',#547208,#132050); #93134=LINE('',#547209,#132051); #93135=LINE('',#547212,#132052); #93136=LINE('',#547214,#132053); #93137=LINE('',#547215,#132054); #93138=LINE('',#547218,#132055); #93139=LINE('',#547220,#132056); #93140=LINE('',#547221,#132057); #93141=LINE('',#547227,#132058); #93142=LINE('',#547237,#132059); #93143=LINE('',#547243,#132060); #93144=LINE('',#547248,#132061); #93145=LINE('',#547250,#132062); #93146=LINE('',#547252,#132063); #93147=LINE('',#547253,#132064); #93148=LINE('',#547259,#132065); #93149=LINE('',#547262,#132066); #93150=LINE('',#547264,#132067); #93151=LINE('',#547265,#132068); #93152=LINE('',#547271,#132069); #93153=LINE('',#547277,#132070); #93154=LINE('',#547280,#132071); #93155=LINE('',#547282,#132072); #93156=LINE('',#547283,#132073); #93157=LINE('',#547286,#132074); #93158=LINE('',#547288,#132075); #93159=LINE('',#547289,#132076); #93160=LINE('',#547299,#132077); #93161=LINE('',#547304,#132078); #93162=LINE('',#547306,#132079); #93163=LINE('',#547308,#132080); #93164=LINE('',#547309,#132081); #93165=LINE('',#547312,#132082); #93166=LINE('',#547314,#132083); #93167=LINE('',#547315,#132084); #93168=LINE('',#547318,#132085); #93169=LINE('',#547320,#132086); #93170=LINE('',#547321,#132087); #93171=LINE('',#547323,#132088); #93172=LINE('',#547324,#132089); #93173=LINE('',#547331,#132090); #93174=LINE('',#547337,#132091); #93175=LINE('',#547343,#132092); #93176=LINE('',#547349,#132093); #93177=LINE('',#547354,#132094); #93178=LINE('',#547356,#132095); #93179=LINE('',#547358,#132096); #93180=LINE('',#547359,#132097); #93181=LINE('',#547362,#132098); #93182=LINE('',#547364,#132099); #93183=LINE('',#547365,#132100); #93184=LINE('',#547368,#132101); #93185=LINE('',#547370,#132102); #93186=LINE('',#547371,#132103); #93187=LINE('',#547374,#132104); #93188=LINE('',#547376,#132105); #93189=LINE('',#547377,#132106); #93190=LINE('',#547380,#132107); #93191=LINE('',#547382,#132108); #93192=LINE('',#547383,#132109); #93193=LINE('',#547386,#132110); #93194=LINE('',#547388,#132111); #93195=LINE('',#547389,#132112); #93196=LINE('',#547392,#132113); #93197=LINE('',#547394,#132114); #93198=LINE('',#547395,#132115); #93199=LINE('',#547398,#132116); #93200=LINE('',#547400,#132117); #93201=LINE('',#547401,#132118); #93202=LINE('',#547404,#132119); #93203=LINE('',#547406,#132120); #93204=LINE('',#547407,#132121); #93205=LINE('',#547410,#132122); #93206=LINE('',#547412,#132123); #93207=LINE('',#547413,#132124); #93208=LINE('',#547416,#132125); #93209=LINE('',#547418,#132126); #93210=LINE('',#547419,#132127); #93211=LINE('',#547422,#132128); #93212=LINE('',#547424,#132129); #93213=LINE('',#547425,#132130); #93214=LINE('',#547428,#132131); #93215=LINE('',#547430,#132132); #93216=LINE('',#547431,#132133); #93217=LINE('',#547434,#132134); #93218=LINE('',#547436,#132135); #93219=LINE('',#547437,#132136); #93220=LINE('',#547440,#132137); #93221=LINE('',#547442,#132138); #93222=LINE('',#547443,#132139); #93223=LINE('',#547445,#132140); #93224=LINE('',#547446,#132141); #93225=LINE('',#547450,#132142); #93226=LINE('',#547452,#132143); #93227=LINE('',#547454,#132144); #93228=LINE('',#547455,#132145); #93229=LINE('',#547458,#132146); #93230=LINE('',#547460,#132147); #93231=LINE('',#547461,#132148); #93232=LINE('',#547464,#132149); #93233=LINE('',#547466,#132150); #93234=LINE('',#547467,#132151); #93235=LINE('',#547470,#132152); #93236=LINE('',#547472,#132153); #93237=LINE('',#547473,#132154); #93238=LINE('',#547476,#132155); #93239=LINE('',#547478,#132156); #93240=LINE('',#547479,#132157); #93241=LINE('',#547482,#132158); #93242=LINE('',#547484,#132159); #93243=LINE('',#547485,#132160); #93244=LINE('',#547488,#132161); #93245=LINE('',#547490,#132162); #93246=LINE('',#547491,#132163); #93247=LINE('',#547494,#132164); #93248=LINE('',#547496,#132165); #93249=LINE('',#547497,#132166); #93250=LINE('',#547500,#132167); #93251=LINE('',#547502,#132168); #93252=LINE('',#547503,#132169); #93253=LINE('',#547506,#132170); #93254=LINE('',#547508,#132171); #93255=LINE('',#547509,#132172); #93256=LINE('',#547512,#132173); #93257=LINE('',#547514,#132174); #93258=LINE('',#547515,#132175); #93259=LINE('',#547518,#132176); #93260=LINE('',#547520,#132177); #93261=LINE('',#547521,#132178); #93262=LINE('',#547524,#132179); #93263=LINE('',#547526,#132180); #93264=LINE('',#547527,#132181); #93265=LINE('',#547530,#132182); #93266=LINE('',#547532,#132183); #93267=LINE('',#547533,#132184); #93268=LINE('',#547536,#132185); #93269=LINE('',#547538,#132186); #93270=LINE('',#547539,#132187); #93271=LINE('',#547542,#132188); #93272=LINE('',#547544,#132189); #93273=LINE('',#547545,#132190); #93274=LINE('',#547548,#132191); #93275=LINE('',#547550,#132192); #93276=LINE('',#547551,#132193); #93277=LINE('',#547554,#132194); #93278=LINE('',#547556,#132195); #93279=LINE('',#547557,#132196); #93280=LINE('',#547560,#132197); #93281=LINE('',#547562,#132198); #93282=LINE('',#547563,#132199); #93283=LINE('',#547566,#132200); #93284=LINE('',#547568,#132201); #93285=LINE('',#547569,#132202); #93286=LINE('',#547572,#132203); #93287=LINE('',#547574,#132204); #93288=LINE('',#547575,#132205); #93289=LINE('',#547578,#132206); #93290=LINE('',#547580,#132207); #93291=LINE('',#547581,#132208); #93292=LINE('',#547584,#132209); #93293=LINE('',#547586,#132210); #93294=LINE('',#547587,#132211); #93295=LINE('',#547590,#132212); #93296=LINE('',#547592,#132213); #93297=LINE('',#547593,#132214); #93298=LINE('',#547596,#132215); #93299=LINE('',#547598,#132216); #93300=LINE('',#547599,#132217); #93301=LINE('',#547602,#132218); #93302=LINE('',#547604,#132219); #93303=LINE('',#547605,#132220); #93304=LINE('',#547608,#132221); #93305=LINE('',#547610,#132222); #93306=LINE('',#547611,#132223); #93307=LINE('',#547614,#132224); #93308=LINE('',#547616,#132225); #93309=LINE('',#547617,#132226); #93310=LINE('',#547620,#132227); #93311=LINE('',#547622,#132228); #93312=LINE('',#547623,#132229); #93313=LINE('',#547626,#132230); #93314=LINE('',#547628,#132231); #93315=LINE('',#547629,#132232); #93316=LINE('',#547632,#132233); #93317=LINE('',#547634,#132234); #93318=LINE('',#547635,#132235); #93319=LINE('',#547638,#132236); #93320=LINE('',#547640,#132237); #93321=LINE('',#547641,#132238); #93322=LINE('',#547644,#132239); #93323=LINE('',#547646,#132240); #93324=LINE('',#547647,#132241); #93325=LINE('',#547650,#132242); #93326=LINE('',#547652,#132243); #93327=LINE('',#547653,#132244); #93328=LINE('',#547656,#132245); #93329=LINE('',#547658,#132246); #93330=LINE('',#547659,#132247); #93331=LINE('',#547662,#132248); #93332=LINE('',#547664,#132249); #93333=LINE('',#547665,#132250); #93334=LINE('',#547668,#132251); #93335=LINE('',#547670,#132252); #93336=LINE('',#547671,#132253); #93337=LINE('',#547674,#132254); #93338=LINE('',#547676,#132255); #93339=LINE('',#547677,#132256); #93340=LINE('',#547680,#132257); #93341=LINE('',#547682,#132258); #93342=LINE('',#547683,#132259); #93343=LINE('',#547686,#132260); #93344=LINE('',#547688,#132261); #93345=LINE('',#547689,#132262); #93346=LINE('',#547692,#132263); #93347=LINE('',#547694,#132264); #93348=LINE('',#547695,#132265); #93349=LINE('',#547698,#132266); #93350=LINE('',#547700,#132267); #93351=LINE('',#547701,#132268); #93352=LINE('',#547704,#132269); #93353=LINE('',#547706,#132270); #93354=LINE('',#547707,#132271); #93355=LINE('',#547710,#132272); #93356=LINE('',#547712,#132273); #93357=LINE('',#547713,#132274); #93358=LINE('',#547716,#132275); #93359=LINE('',#547718,#132276); #93360=LINE('',#547719,#132277); #93361=LINE('',#547722,#132278); #93362=LINE('',#547724,#132279); #93363=LINE('',#547725,#132280); #93364=LINE('',#547728,#132281); #93365=LINE('',#547730,#132282); #93366=LINE('',#547731,#132283); #93367=LINE('',#547734,#132284); #93368=LINE('',#547736,#132285); #93369=LINE('',#547737,#132286); #93370=LINE('',#547740,#132287); #93371=LINE('',#547742,#132288); #93372=LINE('',#547743,#132289); #93373=LINE('',#547746,#132290); #93374=LINE('',#547748,#132291); #93375=LINE('',#547749,#132292); #93376=LINE('',#547752,#132293); #93377=LINE('',#547754,#132294); #93378=LINE('',#547755,#132295); #93379=LINE('',#547758,#132296); #93380=LINE('',#547760,#132297); #93381=LINE('',#547761,#132298); #93382=LINE('',#547764,#132299); #93383=LINE('',#547766,#132300); #93384=LINE('',#547767,#132301); #93385=LINE('',#547770,#132302); #93386=LINE('',#547772,#132303); #93387=LINE('',#547773,#132304); #93388=LINE('',#547779,#132305); #93389=LINE('',#547782,#132306); #93390=LINE('',#547784,#132307); #93391=LINE('',#547785,#132308); #93392=LINE('',#547788,#132309); #93393=LINE('',#547790,#132310); #93394=LINE('',#547791,#132311); #93395=LINE('',#547794,#132312); #93396=LINE('',#547796,#132313); #93397=LINE('',#547797,#132314); #93398=LINE('',#547803,#132315); #93399=LINE('',#547809,#132316); #93400=LINE('',#547812,#132317); #93401=LINE('',#547814,#132318); #93402=LINE('',#547815,#132319); #93403=LINE('',#547821,#132320); #93404=LINE('',#547824,#132321); #93405=LINE('',#547826,#132322); #93406=LINE('',#547827,#132323); #93407=LINE('',#547833,#132324); #93408=LINE('',#547836,#132325); #93409=LINE('',#547838,#132326); #93410=LINE('',#547839,#132327); #93411=LINE('',#547845,#132328); #93412=LINE('',#547848,#132329); #93413=LINE('',#547850,#132330); #93414=LINE('',#547851,#132331); #93415=LINE('',#547854,#132332); #93416=LINE('',#547856,#132333); #93417=LINE('',#547857,#132334); #93418=LINE('',#547860,#132335); #93419=LINE('',#547862,#132336); #93420=LINE('',#547863,#132337); #93421=LINE('',#547866,#132338); #93422=LINE('',#547868,#132339); #93423=LINE('',#547869,#132340); #93424=LINE('',#547872,#132341); #93425=LINE('',#547874,#132342); #93426=LINE('',#547875,#132343); #93427=LINE('',#547878,#132344); #93428=LINE('',#547880,#132345); #93429=LINE('',#547881,#132346); #93430=LINE('',#547884,#132347); #93431=LINE('',#547886,#132348); #93432=LINE('',#547887,#132349); #93433=LINE('',#547889,#132350); #93434=LINE('',#547890,#132351); #93435=LINE('',#547897,#132352); #93436=LINE('',#547902,#132353); #93437=LINE('',#547904,#132354); #93438=LINE('',#547906,#132355); #93439=LINE('',#547907,#132356); #93440=LINE('',#547910,#132357); #93441=LINE('',#547912,#132358); #93442=LINE('',#547913,#132359); #93443=LINE('',#547919,#132360); #93444=LINE('',#547922,#132361); #93445=LINE('',#547924,#132362); #93446=LINE('',#547925,#132363); #93447=LINE('',#547928,#132364); #93448=LINE('',#547930,#132365); #93449=LINE('',#547931,#132366); #93450=LINE('',#547934,#132367); #93451=LINE('',#547936,#132368); #93452=LINE('',#547937,#132369); #93453=LINE('',#547940,#132370); #93454=LINE('',#547942,#132371); #93455=LINE('',#547943,#132372); #93456=LINE('',#547946,#132373); #93457=LINE('',#547948,#132374); #93458=LINE('',#547949,#132375); #93459=LINE('',#547952,#132376); #93460=LINE('',#547954,#132377); #93461=LINE('',#547955,#132378); #93462=LINE('',#547958,#132379); #93463=LINE('',#547960,#132380); #93464=LINE('',#547961,#132381); #93465=LINE('',#547964,#132382); #93466=LINE('',#547966,#132383); #93467=LINE('',#547967,#132384); #93468=LINE('',#547970,#132385); #93469=LINE('',#547972,#132386); #93470=LINE('',#547973,#132387); #93471=LINE('',#547976,#132388); #93472=LINE('',#547978,#132389); #93473=LINE('',#547979,#132390); #93474=LINE('',#547982,#132391); #93475=LINE('',#547984,#132392); #93476=LINE('',#547985,#132393); #93477=LINE('',#547988,#132394); #93478=LINE('',#547990,#132395); #93479=LINE('',#547991,#132396); #93480=LINE('',#547994,#132397); #93481=LINE('',#547996,#132398); #93482=LINE('',#547997,#132399); #93483=LINE('',#548000,#132400); #93484=LINE('',#548002,#132401); #93485=LINE('',#548003,#132402); #93486=LINE('',#548006,#132403); #93487=LINE('',#548008,#132404); #93488=LINE('',#548009,#132405); #93489=LINE('',#548012,#132406); #93490=LINE('',#548014,#132407); #93491=LINE('',#548015,#132408); #93492=LINE('',#548018,#132409); #93493=LINE('',#548020,#132410); #93494=LINE('',#548021,#132411); #93495=LINE('',#548024,#132412); #93496=LINE('',#548026,#132413); #93497=LINE('',#548027,#132414); #93498=LINE('',#548030,#132415); #93499=LINE('',#548032,#132416); #93500=LINE('',#548033,#132417); #93501=LINE('',#548036,#132418); #93502=LINE('',#548038,#132419); #93503=LINE('',#548039,#132420); #93504=LINE('',#548045,#132421); #93505=LINE('',#548048,#132422); #93506=LINE('',#548050,#132423); #93507=LINE('',#548051,#132424); #93508=LINE('',#548054,#132425); #93509=LINE('',#548056,#132426); #93510=LINE('',#548057,#132427); #93511=LINE('',#548060,#132428); #93512=LINE('',#548062,#132429); #93513=LINE('',#548063,#132430); #93514=LINE('',#548069,#132431); #93515=LINE('',#548072,#132432); #93516=LINE('',#548074,#132433); #93517=LINE('',#548075,#132434); #93518=LINE('',#548078,#132435); #93519=LINE('',#548080,#132436); #93520=LINE('',#548081,#132437); #93521=LINE('',#548087,#132438); #93522=LINE('',#548090,#132439); #93523=LINE('',#548092,#132440); #93524=LINE('',#548093,#132441); #93525=LINE('',#548099,#132442); #93526=LINE('',#548105,#132443); #93527=LINE('',#548108,#132444); #93528=LINE('',#548110,#132445); #93529=LINE('',#548111,#132446); #93530=LINE('',#548114,#132447); #93531=LINE('',#548116,#132448); #93532=LINE('',#548117,#132449); #93533=LINE('',#548123,#132450); #93534=LINE('',#548126,#132451); #93535=LINE('',#548128,#132452); #93536=LINE('',#548129,#132453); #93537=LINE('',#548132,#132454); #93538=LINE('',#548134,#132455); #93539=LINE('',#548135,#132456); #93540=LINE('',#548141,#132457); #93541=LINE('',#548144,#132458); #93542=LINE('',#548146,#132459); #93543=LINE('',#548147,#132460); #93544=LINE('',#548153,#132461); #93545=LINE('',#548156,#132462); #93546=LINE('',#548158,#132463); #93547=LINE('',#548159,#132464); #93548=LINE('',#548162,#132465); #93549=LINE('',#548164,#132466); #93550=LINE('',#548165,#132467); #93551=LINE('',#548168,#132468); #93552=LINE('',#548170,#132469); #93553=LINE('',#548171,#132470); #93554=LINE('',#548174,#132471); #93555=LINE('',#548176,#132472); #93556=LINE('',#548177,#132473); #93557=LINE('',#548180,#132474); #93558=LINE('',#548182,#132475); #93559=LINE('',#548183,#132476); #93560=LINE('',#548193,#132477); #93561=LINE('',#548199,#132478); #93562=LINE('',#548205,#132479); #93563=LINE('',#548211,#132480); #93564=LINE('',#548217,#132481); #93565=LINE('',#548223,#132482); #93566=LINE('',#548229,#132483); #93567=LINE('',#548235,#132484); #93568=LINE('',#548241,#132485); #93569=LINE('',#548247,#132486); #93570=LINE('',#548253,#132487); #93571=LINE('',#548259,#132488); #93572=LINE('',#548264,#132489); #93573=LINE('',#548266,#132490); #93574=LINE('',#548268,#132491); #93575=LINE('',#548269,#132492); #93576=LINE('',#548272,#132493); #93577=LINE('',#548274,#132494); #93578=LINE('',#548275,#132495); #93579=LINE('',#548278,#132496); #93580=LINE('',#548280,#132497); #93581=LINE('',#548281,#132498); #93582=LINE('',#548284,#132499); #93583=LINE('',#548286,#132500); #93584=LINE('',#548287,#132501); #93585=LINE('',#548290,#132502); #93586=LINE('',#548292,#132503); #93587=LINE('',#548293,#132504); #93588=LINE('',#548296,#132505); #93589=LINE('',#548298,#132506); #93590=LINE('',#548299,#132507); #93591=LINE('',#548302,#132508); #93592=LINE('',#548304,#132509); #93593=LINE('',#548305,#132510); #93594=LINE('',#548308,#132511); #93595=LINE('',#548310,#132512); #93596=LINE('',#548311,#132513); #93597=LINE('',#548314,#132514); #93598=LINE('',#548316,#132515); #93599=LINE('',#548317,#132516); #93600=LINE('',#548320,#132517); #93601=LINE('',#548322,#132518); #93602=LINE('',#548323,#132519); #93603=LINE('',#548326,#132520); #93604=LINE('',#548328,#132521); #93605=LINE('',#548329,#132522); #93606=LINE('',#548332,#132523); #93607=LINE('',#548334,#132524); #93608=LINE('',#548335,#132525); #93609=LINE('',#548338,#132526); #93610=LINE('',#548340,#132527); #93611=LINE('',#548341,#132528); #93612=LINE('',#548344,#132529); #93613=LINE('',#548346,#132530); #93614=LINE('',#548347,#132531); #93615=LINE('',#548350,#132532); #93616=LINE('',#548352,#132533); #93617=LINE('',#548353,#132534); #93618=LINE('',#548356,#132535); #93619=LINE('',#548358,#132536); #93620=LINE('',#548359,#132537); #93621=LINE('',#548362,#132538); #93622=LINE('',#548364,#132539); #93623=LINE('',#548365,#132540); #93624=LINE('',#548368,#132541); #93625=LINE('',#548370,#132542); #93626=LINE('',#548371,#132543); #93627=LINE('',#548374,#132544); #93628=LINE('',#548376,#132545); #93629=LINE('',#548377,#132546); #93630=LINE('',#548380,#132547); #93631=LINE('',#548382,#132548); #93632=LINE('',#548383,#132549); #93633=LINE('',#548386,#132550); #93634=LINE('',#548388,#132551); #93635=LINE('',#548389,#132552); #93636=LINE('',#548392,#132553); #93637=LINE('',#548394,#132554); #93638=LINE('',#548395,#132555); #93639=LINE('',#548398,#132556); #93640=LINE('',#548400,#132557); #93641=LINE('',#548401,#132558); #93642=LINE('',#548404,#132559); #93643=LINE('',#548406,#132560); #93644=LINE('',#548407,#132561); #93645=LINE('',#548410,#132562); #93646=LINE('',#548412,#132563); #93647=LINE('',#548413,#132564); #93648=LINE('',#548416,#132565); #93649=LINE('',#548418,#132566); #93650=LINE('',#548419,#132567); #93651=LINE('',#548422,#132568); #93652=LINE('',#548424,#132569); #93653=LINE('',#548425,#132570); #93654=LINE('',#548428,#132571); #93655=LINE('',#548430,#132572); #93656=LINE('',#548431,#132573); #93657=LINE('',#548434,#132574); #93658=LINE('',#548436,#132575); #93659=LINE('',#548437,#132576); #93660=LINE('',#548440,#132577); #93661=LINE('',#548442,#132578); #93662=LINE('',#548443,#132579); #93663=LINE('',#548446,#132580); #93664=LINE('',#548448,#132581); #93665=LINE('',#548449,#132582); #93666=LINE('',#548452,#132583); #93667=LINE('',#548454,#132584); #93668=LINE('',#548455,#132585); #93669=LINE('',#548458,#132586); #93670=LINE('',#548460,#132587); #93671=LINE('',#548461,#132588); #93672=LINE('',#548464,#132589); #93673=LINE('',#548466,#132590); #93674=LINE('',#548467,#132591); #93675=LINE('',#548470,#132592); #93676=LINE('',#548472,#132593); #93677=LINE('',#548473,#132594); #93678=LINE('',#548476,#132595); #93679=LINE('',#548478,#132596); #93680=LINE('',#548479,#132597); #93681=LINE('',#548482,#132598); #93682=LINE('',#548484,#132599); #93683=LINE('',#548485,#132600); #93684=LINE('',#548488,#132601); #93685=LINE('',#548490,#132602); #93686=LINE('',#548491,#132603); #93687=LINE('',#548494,#132604); #93688=LINE('',#548496,#132605); #93689=LINE('',#548497,#132606); #93690=LINE('',#548500,#132607); #93691=LINE('',#548502,#132608); #93692=LINE('',#548503,#132609); #93693=LINE('',#548506,#132610); #93694=LINE('',#548508,#132611); #93695=LINE('',#548509,#132612); #93696=LINE('',#548512,#132613); #93697=LINE('',#548514,#132614); #93698=LINE('',#548515,#132615); #93699=LINE('',#548518,#132616); #93700=LINE('',#548520,#132617); #93701=LINE('',#548521,#132618); #93702=LINE('',#548524,#132619); #93703=LINE('',#548526,#132620); #93704=LINE('',#548527,#132621); #93705=LINE('',#548530,#132622); #93706=LINE('',#548532,#132623); #93707=LINE('',#548533,#132624); #93708=LINE('',#548536,#132625); #93709=LINE('',#548538,#132626); #93710=LINE('',#548539,#132627); #93711=LINE('',#548542,#132628); #93712=LINE('',#548544,#132629); #93713=LINE('',#548545,#132630); #93714=LINE('',#548548,#132631); #93715=LINE('',#548550,#132632); #93716=LINE('',#548551,#132633); #93717=LINE('',#548554,#132634); #93718=LINE('',#548556,#132635); #93719=LINE('',#548557,#132636); #93720=LINE('',#548560,#132637); #93721=LINE('',#548562,#132638); #93722=LINE('',#548563,#132639); #93723=LINE('',#548566,#132640); #93724=LINE('',#548568,#132641); #93725=LINE('',#548569,#132642); #93726=LINE('',#548572,#132643); #93727=LINE('',#548574,#132644); #93728=LINE('',#548575,#132645); #93729=LINE('',#548578,#132646); #93730=LINE('',#548580,#132647); #93731=LINE('',#548581,#132648); #93732=LINE('',#548584,#132649); #93733=LINE('',#548586,#132650); #93734=LINE('',#548587,#132651); #93735=LINE('',#548590,#132652); #93736=LINE('',#548592,#132653); #93737=LINE('',#548593,#132654); #93738=LINE('',#548596,#132655); #93739=LINE('',#548598,#132656); #93740=LINE('',#548599,#132657); #93741=LINE('',#548602,#132658); #93742=LINE('',#548604,#132659); #93743=LINE('',#548605,#132660); #93744=LINE('',#548608,#132661); #93745=LINE('',#548610,#132662); #93746=LINE('',#548611,#132663); #93747=LINE('',#548614,#132664); #93748=LINE('',#548616,#132665); #93749=LINE('',#548617,#132666); #93750=LINE('',#548620,#132667); #93751=LINE('',#548622,#132668); #93752=LINE('',#548623,#132669); #93753=LINE('',#548626,#132670); #93754=LINE('',#548628,#132671); #93755=LINE('',#548629,#132672); #93756=LINE('',#548632,#132673); #93757=LINE('',#548634,#132674); #93758=LINE('',#548635,#132675); #93759=LINE('',#548638,#132676); #93760=LINE('',#548640,#132677); #93761=LINE('',#548641,#132678); #93762=LINE('',#548644,#132679); #93763=LINE('',#548646,#132680); #93764=LINE('',#548647,#132681); #93765=LINE('',#548650,#132682); #93766=LINE('',#548652,#132683); #93767=LINE('',#548653,#132684); #93768=LINE('',#548656,#132685); #93769=LINE('',#548658,#132686); #93770=LINE('',#548659,#132687); #93771=LINE('',#548662,#132688); #93772=LINE('',#548664,#132689); #93773=LINE('',#548665,#132690); #93774=LINE('',#548668,#132691); #93775=LINE('',#548670,#132692); #93776=LINE('',#548671,#132693); #93777=LINE('',#548674,#132694); #93778=LINE('',#548676,#132695); #93779=LINE('',#548677,#132696); #93780=LINE('',#548680,#132697); #93781=LINE('',#548682,#132698); #93782=LINE('',#548683,#132699); #93783=LINE('',#548686,#132700); #93784=LINE('',#548688,#132701); #93785=LINE('',#548689,#132702); #93786=LINE('',#548692,#132703); #93787=LINE('',#548694,#132704); #93788=LINE('',#548695,#132705); #93789=LINE('',#548698,#132706); #93790=LINE('',#548700,#132707); #93791=LINE('',#548701,#132708); #93792=LINE('',#548704,#132709); #93793=LINE('',#548706,#132710); #93794=LINE('',#548707,#132711); #93795=LINE('',#548710,#132712); #93796=LINE('',#548712,#132713); #93797=LINE('',#548713,#132714); #93798=LINE('',#548716,#132715); #93799=LINE('',#548718,#132716); #93800=LINE('',#548719,#132717); #93801=LINE('',#548722,#132718); #93802=LINE('',#548724,#132719); #93803=LINE('',#548725,#132720); #93804=LINE('',#548728,#132721); #93805=LINE('',#548730,#132722); #93806=LINE('',#548731,#132723); #93807=LINE('',#548734,#132724); #93808=LINE('',#548736,#132725); #93809=LINE('',#548737,#132726); #93810=LINE('',#548740,#132727); #93811=LINE('',#548742,#132728); #93812=LINE('',#548743,#132729); #93813=LINE('',#548746,#132730); #93814=LINE('',#548748,#132731); #93815=LINE('',#548749,#132732); #93816=LINE('',#548752,#132733); #93817=LINE('',#548754,#132734); #93818=LINE('',#548755,#132735); #93819=LINE('',#548758,#132736); #93820=LINE('',#548760,#132737); #93821=LINE('',#548761,#132738); #93822=LINE('',#548764,#132739); #93823=LINE('',#548766,#132740); #93824=LINE('',#548767,#132741); #93825=LINE('',#548770,#132742); #93826=LINE('',#548772,#132743); #93827=LINE('',#548773,#132744); #93828=LINE('',#548776,#132745); #93829=LINE('',#548778,#132746); #93830=LINE('',#548779,#132747); #93831=LINE('',#548782,#132748); #93832=LINE('',#548784,#132749); #93833=LINE('',#548785,#132750); #93834=LINE('',#548788,#132751); #93835=LINE('',#548790,#132752); #93836=LINE('',#548791,#132753); #93837=LINE('',#548794,#132754); #93838=LINE('',#548796,#132755); #93839=LINE('',#548797,#132756); #93840=LINE('',#548800,#132757); #93841=LINE('',#548802,#132758); #93842=LINE('',#548803,#132759); #93843=LINE('',#548806,#132760); #93844=LINE('',#548808,#132761); #93845=LINE('',#548809,#132762); #93846=LINE('',#548812,#132763); #93847=LINE('',#548814,#132764); #93848=LINE('',#548815,#132765); #93849=LINE('',#548818,#132766); #93850=LINE('',#548820,#132767); #93851=LINE('',#548821,#132768); #93852=LINE('',#548824,#132769); #93853=LINE('',#548826,#132770); #93854=LINE('',#548827,#132771); #93855=LINE('',#548830,#132772); #93856=LINE('',#548832,#132773); #93857=LINE('',#548833,#132774); #93858=LINE('',#548836,#132775); #93859=LINE('',#548838,#132776); #93860=LINE('',#548839,#132777); #93861=LINE('',#548841,#132778); #93862=LINE('',#548842,#132779); #93863=LINE('',#548848,#132780); #93864=LINE('',#548850,#132781); #93865=LINE('',#548852,#132782); #93866=LINE('',#548853,#132783); #93867=LINE('',#548856,#132784); #93868=LINE('',#548858,#132785); #93869=LINE('',#548859,#132786); #93870=LINE('',#548862,#132787); #93871=LINE('',#548864,#132788); #93872=LINE('',#548865,#132789); #93873=LINE('',#548868,#132790); #93874=LINE('',#548870,#132791); #93875=LINE('',#548871,#132792); #93876=LINE('',#548874,#132793); #93877=LINE('',#548876,#132794); #93878=LINE('',#548877,#132795); #93879=LINE('',#548880,#132796); #93880=LINE('',#548882,#132797); #93881=LINE('',#548883,#132798); #93882=LINE('',#548886,#132799); #93883=LINE('',#548888,#132800); #93884=LINE('',#548889,#132801); #93885=LINE('',#548892,#132802); #93886=LINE('',#548894,#132803); #93887=LINE('',#548895,#132804); #93888=LINE('',#548898,#132805); #93889=LINE('',#548900,#132806); #93890=LINE('',#548901,#132807); #93891=LINE('',#548904,#132808); #93892=LINE('',#548906,#132809); #93893=LINE('',#548907,#132810); #93894=LINE('',#548910,#132811); #93895=LINE('',#548912,#132812); #93896=LINE('',#548913,#132813); #93897=LINE('',#548916,#132814); #93898=LINE('',#548918,#132815); #93899=LINE('',#548919,#132816); #93900=LINE('',#548922,#132817); #93901=LINE('',#548924,#132818); #93902=LINE('',#548925,#132819); #93903=LINE('',#548928,#132820); #93904=LINE('',#548930,#132821); #93905=LINE('',#548931,#132822); #93906=LINE('',#548934,#132823); #93907=LINE('',#548936,#132824); #93908=LINE('',#548937,#132825); #93909=LINE('',#548940,#132826); #93910=LINE('',#548942,#132827); #93911=LINE('',#548943,#132828); #93912=LINE('',#548946,#132829); #93913=LINE('',#548948,#132830); #93914=LINE('',#548949,#132831); #93915=LINE('',#548952,#132832); #93916=LINE('',#548954,#132833); #93917=LINE('',#548955,#132834); #93918=LINE('',#548958,#132835); #93919=LINE('',#548960,#132836); #93920=LINE('',#548961,#132837); #93921=LINE('',#548964,#132838); #93922=LINE('',#548966,#132839); #93923=LINE('',#548967,#132840); #93924=LINE('',#548969,#132841); #93925=LINE('',#548970,#132842); #93926=LINE('',#548976,#132843); #93927=LINE('',#548978,#132844); #93928=LINE('',#548980,#132845); #93929=LINE('',#548981,#132846); #93930=LINE('',#548984,#132847); #93931=LINE('',#548986,#132848); #93932=LINE('',#548987,#132849); #93933=LINE('',#548990,#132850); #93934=LINE('',#548992,#132851); #93935=LINE('',#548993,#132852); #93936=LINE('',#548996,#132853); #93937=LINE('',#548998,#132854); #93938=LINE('',#548999,#132855); #93939=LINE('',#549002,#132856); #93940=LINE('',#549004,#132857); #93941=LINE('',#549005,#132858); #93942=LINE('',#549008,#132859); #93943=LINE('',#549010,#132860); #93944=LINE('',#549011,#132861); #93945=LINE('',#549014,#132862); #93946=LINE('',#549016,#132863); #93947=LINE('',#549017,#132864); #93948=LINE('',#549020,#132865); #93949=LINE('',#549022,#132866); #93950=LINE('',#549023,#132867); #93951=LINE('',#549026,#132868); #93952=LINE('',#549028,#132869); #93953=LINE('',#549029,#132870); #93954=LINE('',#549032,#132871); #93955=LINE('',#549034,#132872); #93956=LINE('',#549035,#132873); #93957=LINE('',#549038,#132874); #93958=LINE('',#549040,#132875); #93959=LINE('',#549041,#132876); #93960=LINE('',#549044,#132877); #93961=LINE('',#549046,#132878); #93962=LINE('',#549047,#132879); #93963=LINE('',#549050,#132880); #93964=LINE('',#549052,#132881); #93965=LINE('',#549053,#132882); #93966=LINE('',#549056,#132883); #93967=LINE('',#549058,#132884); #93968=LINE('',#549059,#132885); #93969=LINE('',#549062,#132886); #93970=LINE('',#549064,#132887); #93971=LINE('',#549065,#132888); #93972=LINE('',#549068,#132889); #93973=LINE('',#549070,#132890); #93974=LINE('',#549071,#132891); #93975=LINE('',#549074,#132892); #93976=LINE('',#549076,#132893); #93977=LINE('',#549077,#132894); #93978=LINE('',#549080,#132895); #93979=LINE('',#549082,#132896); #93980=LINE('',#549083,#132897); #93981=LINE('',#549086,#132898); #93982=LINE('',#549088,#132899); #93983=LINE('',#549089,#132900); #93984=LINE('',#549091,#132901); #93985=LINE('',#549092,#132902); #93986=LINE('',#549098,#132903); #93987=LINE('',#549100,#132904); #93988=LINE('',#549102,#132905); #93989=LINE('',#549103,#132906); #93990=LINE('',#549106,#132907); #93991=LINE('',#549108,#132908); #93992=LINE('',#549109,#132909); #93993=LINE('',#549112,#132910); #93994=LINE('',#549114,#132911); #93995=LINE('',#549115,#132912); #93996=LINE('',#549118,#132913); #93997=LINE('',#549120,#132914); #93998=LINE('',#549121,#132915); #93999=LINE('',#549124,#132916); #94000=LINE('',#549126,#132917); #94001=LINE('',#549127,#132918); #94002=LINE('',#549130,#132919); #94003=LINE('',#549132,#132920); #94004=LINE('',#549133,#132921); #94005=LINE('',#549136,#132922); #94006=LINE('',#549138,#132923); #94007=LINE('',#549139,#132924); #94008=LINE('',#549142,#132925); #94009=LINE('',#549144,#132926); #94010=LINE('',#549145,#132927); #94011=LINE('',#549148,#132928); #94012=LINE('',#549150,#132929); #94013=LINE('',#549151,#132930); #94014=LINE('',#549154,#132931); #94015=LINE('',#549156,#132932); #94016=LINE('',#549157,#132933); #94017=LINE('',#549160,#132934); #94018=LINE('',#549162,#132935); #94019=LINE('',#549163,#132936); #94020=LINE('',#549166,#132937); #94021=LINE('',#549168,#132938); #94022=LINE('',#549169,#132939); #94023=LINE('',#549172,#132940); #94024=LINE('',#549174,#132941); #94025=LINE('',#549175,#132942); #94026=LINE('',#549178,#132943); #94027=LINE('',#549180,#132944); #94028=LINE('',#549181,#132945); #94029=LINE('',#549184,#132946); #94030=LINE('',#549186,#132947); #94031=LINE('',#549187,#132948); #94032=LINE('',#549190,#132949); #94033=LINE('',#549192,#132950); #94034=LINE('',#549193,#132951); #94035=LINE('',#549196,#132952); #94036=LINE('',#549198,#132953); #94037=LINE('',#549199,#132954); #94038=LINE('',#549202,#132955); #94039=LINE('',#549204,#132956); #94040=LINE('',#549205,#132957); #94041=LINE('',#549208,#132958); #94042=LINE('',#549210,#132959); #94043=LINE('',#549211,#132960); #94044=LINE('',#549214,#132961); #94045=LINE('',#549216,#132962); #94046=LINE('',#549217,#132963); #94047=LINE('',#549220,#132964); #94048=LINE('',#549222,#132965); #94049=LINE('',#549223,#132966); #94050=LINE('',#549226,#132967); #94051=LINE('',#549228,#132968); #94052=LINE('',#549229,#132969); #94053=LINE('',#549232,#132970); #94054=LINE('',#549234,#132971); #94055=LINE('',#549235,#132972); #94056=LINE('',#549237,#132973); #94057=LINE('',#549238,#132974); #94058=LINE('',#549244,#132975); #94059=LINE('',#549246,#132976); #94060=LINE('',#549248,#132977); #94061=LINE('',#549249,#132978); #94062=LINE('',#549252,#132979); #94063=LINE('',#549254,#132980); #94064=LINE('',#549255,#132981); #94065=LINE('',#549258,#132982); #94066=LINE('',#549260,#132983); #94067=LINE('',#549261,#132984); #94068=LINE('',#549264,#132985); #94069=LINE('',#549266,#132986); #94070=LINE('',#549267,#132987); #94071=LINE('',#549270,#132988); #94072=LINE('',#549272,#132989); #94073=LINE('',#549273,#132990); #94074=LINE('',#549276,#132991); #94075=LINE('',#549278,#132992); #94076=LINE('',#549279,#132993); #94077=LINE('',#549282,#132994); #94078=LINE('',#549284,#132995); #94079=LINE('',#549285,#132996); #94080=LINE('',#549288,#132997); #94081=LINE('',#549290,#132998); #94082=LINE('',#549291,#132999); #94083=LINE('',#549294,#133000); #94084=LINE('',#549296,#133001); #94085=LINE('',#549297,#133002); #94086=LINE('',#549300,#133003); #94087=LINE('',#549302,#133004); #94088=LINE('',#549303,#133005); #94089=LINE('',#549306,#133006); #94090=LINE('',#549308,#133007); #94091=LINE('',#549309,#133008); #94092=LINE('',#549312,#133009); #94093=LINE('',#549314,#133010); #94094=LINE('',#549315,#133011); #94095=LINE('',#549318,#133012); #94096=LINE('',#549320,#133013); #94097=LINE('',#549321,#133014); #94098=LINE('',#549324,#133015); #94099=LINE('',#549326,#133016); #94100=LINE('',#549327,#133017); #94101=LINE('',#549330,#133018); #94102=LINE('',#549332,#133019); #94103=LINE('',#549333,#133020); #94104=LINE('',#549336,#133021); #94105=LINE('',#549338,#133022); #94106=LINE('',#549339,#133023); #94107=LINE('',#549342,#133024); #94108=LINE('',#549344,#133025); #94109=LINE('',#549345,#133026); #94110=LINE('',#549348,#133027); #94111=LINE('',#549350,#133028); #94112=LINE('',#549351,#133029); #94113=LINE('',#549354,#133030); #94114=LINE('',#549356,#133031); #94115=LINE('',#549357,#133032); #94116=LINE('',#549360,#133033); #94117=LINE('',#549362,#133034); #94118=LINE('',#549363,#133035); #94119=LINE('',#549365,#133036); #94120=LINE('',#549366,#133037); #94121=LINE('',#549372,#133038); #94122=LINE('',#549374,#133039); #94123=LINE('',#549376,#133040); #94124=LINE('',#549377,#133041); #94125=LINE('',#549380,#133042); #94126=LINE('',#549382,#133043); #94127=LINE('',#549383,#133044); #94128=LINE('',#549386,#133045); #94129=LINE('',#549388,#133046); #94130=LINE('',#549389,#133047); #94131=LINE('',#549392,#133048); #94132=LINE('',#549394,#133049); #94133=LINE('',#549395,#133050); #94134=LINE('',#549398,#133051); #94135=LINE('',#549400,#133052); #94136=LINE('',#549401,#133053); #94137=LINE('',#549404,#133054); #94138=LINE('',#549406,#133055); #94139=LINE('',#549407,#133056); #94140=LINE('',#549410,#133057); #94141=LINE('',#549412,#133058); #94142=LINE('',#549413,#133059); #94143=LINE('',#549416,#133060); #94144=LINE('',#549418,#133061); #94145=LINE('',#549419,#133062); #94146=LINE('',#549422,#133063); #94147=LINE('',#549424,#133064); #94148=LINE('',#549425,#133065); #94149=LINE('',#549428,#133066); #94150=LINE('',#549430,#133067); #94151=LINE('',#549431,#133068); #94152=LINE('',#549434,#133069); #94153=LINE('',#549436,#133070); #94154=LINE('',#549437,#133071); #94155=LINE('',#549440,#133072); #94156=LINE('',#549442,#133073); #94157=LINE('',#549443,#133074); #94158=LINE('',#549446,#133075); #94159=LINE('',#549448,#133076); #94160=LINE('',#549449,#133077); #94161=LINE('',#549452,#133078); #94162=LINE('',#549454,#133079); #94163=LINE('',#549455,#133080); #94164=LINE('',#549458,#133081); #94165=LINE('',#549460,#133082); #94166=LINE('',#549461,#133083); #94167=LINE('',#549464,#133084); #94168=LINE('',#549466,#133085); #94169=LINE('',#549467,#133086); #94170=LINE('',#549470,#133087); #94171=LINE('',#549472,#133088); #94172=LINE('',#549473,#133089); #94173=LINE('',#549476,#133090); #94174=LINE('',#549478,#133091); #94175=LINE('',#549479,#133092); #94176=LINE('',#549482,#133093); #94177=LINE('',#549484,#133094); #94178=LINE('',#549485,#133095); #94179=LINE('',#549488,#133096); #94180=LINE('',#549490,#133097); #94181=LINE('',#549491,#133098); #94182=LINE('',#549494,#133099); #94183=LINE('',#549496,#133100); #94184=LINE('',#549497,#133101); #94185=LINE('',#549500,#133102); #94186=LINE('',#549502,#133103); #94187=LINE('',#549503,#133104); #94188=LINE('',#549506,#133105); #94189=LINE('',#549508,#133106); #94190=LINE('',#549509,#133107); #94191=LINE('',#549512,#133108); #94192=LINE('',#549514,#133109); #94193=LINE('',#549515,#133110); #94194=LINE('',#549518,#133111); #94195=LINE('',#549520,#133112); #94196=LINE('',#549521,#133113); #94197=LINE('',#549524,#133114); #94198=LINE('',#549526,#133115); #94199=LINE('',#549527,#133116); #94200=LINE('',#549530,#133117); #94201=LINE('',#549532,#133118); #94202=LINE('',#549533,#133119); #94203=LINE('',#549536,#133120); #94204=LINE('',#549538,#133121); #94205=LINE('',#549539,#133122); #94206=LINE('',#549542,#133123); #94207=LINE('',#549544,#133124); #94208=LINE('',#549545,#133125); #94209=LINE('',#549548,#133126); #94210=LINE('',#549550,#133127); #94211=LINE('',#549551,#133128); #94212=LINE('',#549554,#133129); #94213=LINE('',#549556,#133130); #94214=LINE('',#549557,#133131); #94215=LINE('',#549560,#133132); #94216=LINE('',#549562,#133133); #94217=LINE('',#549563,#133134); #94218=LINE('',#549566,#133135); #94219=LINE('',#549568,#133136); #94220=LINE('',#549569,#133137); #94221=LINE('',#549572,#133138); #94222=LINE('',#549574,#133139); #94223=LINE('',#549575,#133140); #94224=LINE('',#549577,#133141); #94225=LINE('',#549578,#133142); #94226=LINE('',#549584,#133143); #94227=LINE('',#549586,#133144); #94228=LINE('',#549588,#133145); #94229=LINE('',#549589,#133146); #94230=LINE('',#549592,#133147); #94231=LINE('',#549594,#133148); #94232=LINE('',#549595,#133149); #94233=LINE('',#549598,#133150); #94234=LINE('',#549600,#133151); #94235=LINE('',#549601,#133152); #94236=LINE('',#549604,#133153); #94237=LINE('',#549606,#133154); #94238=LINE('',#549607,#133155); #94239=LINE('',#549610,#133156); #94240=LINE('',#549612,#133157); #94241=LINE('',#549613,#133158); #94242=LINE('',#549616,#133159); #94243=LINE('',#549618,#133160); #94244=LINE('',#549619,#133161); #94245=LINE('',#549622,#133162); #94246=LINE('',#549624,#133163); #94247=LINE('',#549625,#133164); #94248=LINE('',#549628,#133165); #94249=LINE('',#549630,#133166); #94250=LINE('',#549631,#133167); #94251=LINE('',#549634,#133168); #94252=LINE('',#549636,#133169); #94253=LINE('',#549637,#133170); #94254=LINE('',#549640,#133171); #94255=LINE('',#549642,#133172); #94256=LINE('',#549643,#133173); #94257=LINE('',#549646,#133174); #94258=LINE('',#549648,#133175); #94259=LINE('',#549649,#133176); #94260=LINE('',#549652,#133177); #94261=LINE('',#549654,#133178); #94262=LINE('',#549655,#133179); #94263=LINE('',#549658,#133180); #94264=LINE('',#549660,#133181); #94265=LINE('',#549661,#133182); #94266=LINE('',#549664,#133183); #94267=LINE('',#549666,#133184); #94268=LINE('',#549667,#133185); #94269=LINE('',#549670,#133186); #94270=LINE('',#549672,#133187); #94271=LINE('',#549673,#133188); #94272=LINE('',#549676,#133189); #94273=LINE('',#549678,#133190); #94274=LINE('',#549679,#133191); #94275=LINE('',#549682,#133192); #94276=LINE('',#549684,#133193); #94277=LINE('',#549685,#133194); #94278=LINE('',#549688,#133195); #94279=LINE('',#549690,#133196); #94280=LINE('',#549691,#133197); #94281=LINE('',#549694,#133198); #94282=LINE('',#549696,#133199); #94283=LINE('',#549697,#133200); #94284=LINE('',#549700,#133201); #94285=LINE('',#549702,#133202); #94286=LINE('',#549703,#133203); #94287=LINE('',#549705,#133204); #94288=LINE('',#549706,#133205); #94289=LINE('',#549712,#133206); #94290=LINE('',#549714,#133207); #94291=LINE('',#549716,#133208); #94292=LINE('',#549717,#133209); #94293=LINE('',#549720,#133210); #94294=LINE('',#549722,#133211); #94295=LINE('',#549723,#133212); #94296=LINE('',#549726,#133213); #94297=LINE('',#549728,#133214); #94298=LINE('',#549729,#133215); #94299=LINE('',#549732,#133216); #94300=LINE('',#549734,#133217); #94301=LINE('',#549735,#133218); #94302=LINE('',#549738,#133219); #94303=LINE('',#549740,#133220); #94304=LINE('',#549741,#133221); #94305=LINE('',#549744,#133222); #94306=LINE('',#549746,#133223); #94307=LINE('',#549747,#133224); #94308=LINE('',#549750,#133225); #94309=LINE('',#549752,#133226); #94310=LINE('',#549753,#133227); #94311=LINE('',#549756,#133228); #94312=LINE('',#549758,#133229); #94313=LINE('',#549759,#133230); #94314=LINE('',#549762,#133231); #94315=LINE('',#549764,#133232); #94316=LINE('',#549765,#133233); #94317=LINE('',#549768,#133234); #94318=LINE('',#549770,#133235); #94319=LINE('',#549771,#133236); #94320=LINE('',#549774,#133237); #94321=LINE('',#549776,#133238); #94322=LINE('',#549777,#133239); #94323=LINE('',#549780,#133240); #94324=LINE('',#549782,#133241); #94325=LINE('',#549783,#133242); #94326=LINE('',#549786,#133243); #94327=LINE('',#549788,#133244); #94328=LINE('',#549789,#133245); #94329=LINE('',#549792,#133246); #94330=LINE('',#549794,#133247); #94331=LINE('',#549795,#133248); #94332=LINE('',#549798,#133249); #94333=LINE('',#549800,#133250); #94334=LINE('',#549801,#133251); #94335=LINE('',#549804,#133252); #94336=LINE('',#549806,#133253); #94337=LINE('',#549807,#133254); #94338=LINE('',#549810,#133255); #94339=LINE('',#549812,#133256); #94340=LINE('',#549813,#133257); #94341=LINE('',#549816,#133258); #94342=LINE('',#549818,#133259); #94343=LINE('',#549819,#133260); #94344=LINE('',#549822,#133261); #94345=LINE('',#549824,#133262); #94346=LINE('',#549825,#133263); #94347=LINE('',#549828,#133264); #94348=LINE('',#549830,#133265); #94349=LINE('',#549831,#133266); #94350=LINE('',#549834,#133267); #94351=LINE('',#549836,#133268); #94352=LINE('',#549837,#133269); #94353=LINE('',#549840,#133270); #94354=LINE('',#549842,#133271); #94355=LINE('',#549843,#133272); #94356=LINE('',#549846,#133273); #94357=LINE('',#549848,#133274); #94358=LINE('',#549849,#133275); #94359=LINE('',#549852,#133276); #94360=LINE('',#549854,#133277); #94361=LINE('',#549855,#133278); #94362=LINE('',#549858,#133279); #94363=LINE('',#549860,#133280); #94364=LINE('',#549861,#133281); #94365=LINE('',#549864,#133282); #94366=LINE('',#549866,#133283); #94367=LINE('',#549867,#133284); #94368=LINE('',#549870,#133285); #94369=LINE('',#549872,#133286); #94370=LINE('',#549873,#133287); #94371=LINE('',#549876,#133288); #94372=LINE('',#549878,#133289); #94373=LINE('',#549879,#133290); #94374=LINE('',#549882,#133291); #94375=LINE('',#549884,#133292); #94376=LINE('',#549885,#133293); #94377=LINE('',#549888,#133294); #94378=LINE('',#549890,#133295); #94379=LINE('',#549891,#133296); #94380=LINE('',#549893,#133297); #94381=LINE('',#549894,#133298); #94382=LINE('',#549900,#133299); #94383=LINE('',#549902,#133300); #94384=LINE('',#549904,#133301); #94385=LINE('',#549905,#133302); #94386=LINE('',#549908,#133303); #94387=LINE('',#549910,#133304); #94388=LINE('',#549911,#133305); #94389=LINE('',#549914,#133306); #94390=LINE('',#549916,#133307); #94391=LINE('',#549917,#133308); #94392=LINE('',#549920,#133309); #94393=LINE('',#549922,#133310); #94394=LINE('',#549923,#133311); #94395=LINE('',#549926,#133312); #94396=LINE('',#549928,#133313); #94397=LINE('',#549929,#133314); #94398=LINE('',#549932,#133315); #94399=LINE('',#549934,#133316); #94400=LINE('',#549935,#133317); #94401=LINE('',#549938,#133318); #94402=LINE('',#549940,#133319); #94403=LINE('',#549941,#133320); #94404=LINE('',#549944,#133321); #94405=LINE('',#549946,#133322); #94406=LINE('',#549947,#133323); #94407=LINE('',#549950,#133324); #94408=LINE('',#549952,#133325); #94409=LINE('',#549953,#133326); #94410=LINE('',#549956,#133327); #94411=LINE('',#549958,#133328); #94412=LINE('',#549959,#133329); #94413=LINE('',#549962,#133330); #94414=LINE('',#549964,#133331); #94415=LINE('',#549965,#133332); #94416=LINE('',#549968,#133333); #94417=LINE('',#549970,#133334); #94418=LINE('',#549971,#133335); #94419=LINE('',#549974,#133336); #94420=LINE('',#549976,#133337); #94421=LINE('',#549977,#133338); #94422=LINE('',#549980,#133339); #94423=LINE('',#549982,#133340); #94424=LINE('',#549983,#133341); #94425=LINE('',#549986,#133342); #94426=LINE('',#549988,#133343); #94427=LINE('',#549989,#133344); #94428=LINE('',#549992,#133345); #94429=LINE('',#549994,#133346); #94430=LINE('',#549995,#133347); #94431=LINE('',#549998,#133348); #94432=LINE('',#550000,#133349); #94433=LINE('',#550001,#133350); #94434=LINE('',#550004,#133351); #94435=LINE('',#550006,#133352); #94436=LINE('',#550007,#133353); #94437=LINE('',#550010,#133354); #94438=LINE('',#550012,#133355); #94439=LINE('',#550013,#133356); #94440=LINE('',#550016,#133357); #94441=LINE('',#550018,#133358); #94442=LINE('',#550019,#133359); #94443=LINE('',#550022,#133360); #94444=LINE('',#550024,#133361); #94445=LINE('',#550025,#133362); #94446=LINE('',#550027,#133363); #94447=LINE('',#550028,#133364); #94448=LINE('',#550034,#133365); #94449=LINE('',#550036,#133366); #94450=LINE('',#550038,#133367); #94451=LINE('',#550039,#133368); #94452=LINE('',#550042,#133369); #94453=LINE('',#550044,#133370); #94454=LINE('',#550045,#133371); #94455=LINE('',#550048,#133372); #94456=LINE('',#550050,#133373); #94457=LINE('',#550051,#133374); #94458=LINE('',#550054,#133375); #94459=LINE('',#550056,#133376); #94460=LINE('',#550057,#133377); #94461=LINE('',#550060,#133378); #94462=LINE('',#550062,#133379); #94463=LINE('',#550063,#133380); #94464=LINE('',#550066,#133381); #94465=LINE('',#550068,#133382); #94466=LINE('',#550069,#133383); #94467=LINE('',#550072,#133384); #94468=LINE('',#550074,#133385); #94469=LINE('',#550075,#133386); #94470=LINE('',#550078,#133387); #94471=LINE('',#550080,#133388); #94472=LINE('',#550081,#133389); #94473=LINE('',#550084,#133390); #94474=LINE('',#550086,#133391); #94475=LINE('',#550087,#133392); #94476=LINE('',#550090,#133393); #94477=LINE('',#550092,#133394); #94478=LINE('',#550093,#133395); #94479=LINE('',#550096,#133396); #94480=LINE('',#550098,#133397); #94481=LINE('',#550099,#133398); #94482=LINE('',#550102,#133399); #94483=LINE('',#550104,#133400); #94484=LINE('',#550105,#133401); #94485=LINE('',#550108,#133402); #94486=LINE('',#550110,#133403); #94487=LINE('',#550111,#133404); #94488=LINE('',#550114,#133405); #94489=LINE('',#550116,#133406); #94490=LINE('',#550117,#133407); #94491=LINE('',#550120,#133408); #94492=LINE('',#550122,#133409); #94493=LINE('',#550123,#133410); #94494=LINE('',#550126,#133411); #94495=LINE('',#550128,#133412); #94496=LINE('',#550129,#133413); #94497=LINE('',#550132,#133414); #94498=LINE('',#550134,#133415); #94499=LINE('',#550135,#133416); #94500=LINE('',#550138,#133417); #94501=LINE('',#550140,#133418); #94502=LINE('',#550141,#133419); #94503=LINE('',#550144,#133420); #94504=LINE('',#550146,#133421); #94505=LINE('',#550147,#133422); #94506=LINE('',#550149,#133423); #94507=LINE('',#550150,#133424); #94508=LINE('',#550157,#133425); #94509=LINE('',#550163,#133426); #94510=LINE('',#550169,#133427); #94511=LINE('',#550175,#133428); #94512=LINE('',#550181,#133429); #94513=LINE('',#550187,#133430); #94514=LINE('',#550193,#133431); #94515=LINE('',#550199,#133432); #94516=LINE('',#550205,#133433); #94517=LINE('',#550211,#133434); #94518=LINE('',#550217,#133435); #94519=LINE('',#550223,#133436); #94520=LINE('',#550229,#133437); #94521=LINE('',#550235,#133438); #94522=LINE('',#550241,#133439); #94523=LINE('',#550247,#133440); #94524=LINE('',#550253,#133441); #94525=LINE('',#550259,#133442); #94526=LINE('',#550265,#133443); #94527=LINE('',#550271,#133444); #94528=LINE('',#550277,#133445); #94529=LINE('',#550283,#133446); #94530=LINE('',#550289,#133447); #94531=LINE('',#550295,#133448); #94532=LINE('',#550301,#133449); #94533=LINE('',#550307,#133450); #94534=LINE('',#550313,#133451); #94535=LINE('',#550319,#133452); #94536=LINE('',#550325,#133453); #94537=LINE('',#550331,#133454); #94538=LINE('',#550337,#133455); #94539=LINE('',#550343,#133456); #94540=LINE('',#550349,#133457); #94541=LINE('',#550355,#133458); #94542=LINE('',#550361,#133459); #94543=LINE('',#550367,#133460); #94544=LINE('',#550373,#133461); #94545=LINE('',#550379,#133462); #94546=LINE('',#550385,#133463); #94547=LINE('',#550391,#133464); #94548=LINE('',#550397,#133465); #94549=LINE('',#550403,#133466); #94550=LINE('',#550409,#133467); #94551=LINE('',#550415,#133468); #94552=LINE('',#550421,#133469); #94553=LINE('',#550427,#133470); #94554=LINE('',#550433,#133471); #94555=LINE('',#550439,#133472); #94556=LINE('',#550445,#133473); #94557=LINE('',#550451,#133474); #94558=LINE('',#550457,#133475); #94559=LINE('',#550463,#133476); #94560=LINE('',#550469,#133477); #94561=LINE('',#550475,#133478); #94562=LINE('',#550481,#133479); #94563=LINE('',#550487,#133480); #94564=LINE('',#550493,#133481); #94565=LINE('',#550499,#133482); #94566=LINE('',#550505,#133483); #94567=LINE('',#550511,#133484); #94568=LINE('',#550517,#133485); #94569=LINE('',#550523,#133486); #94570=LINE('',#550529,#133487); #94571=LINE('',#550535,#133488); #94572=LINE('',#550540,#133489); #94573=LINE('',#550542,#133490); #94574=LINE('',#550544,#133491); #94575=LINE('',#550545,#133492); #94576=LINE('',#550551,#133493); #94577=LINE('',#550554,#133494); #94578=LINE('',#550556,#133495); #94579=LINE('',#550557,#133496); #94580=LINE('',#550564,#133497); #94581=LINE('',#550566,#133498); #94582=LINE('',#550568,#133499); #94583=LINE('',#550569,#133500); #94584=LINE('',#550575,#133501); #94585=LINE('',#550578,#133502); #94586=LINE('',#550580,#133503); #94587=LINE('',#550581,#133504); #94588=LINE('',#550589,#133505); #94589=LINE('',#550595,#133506); #94590=LINE('',#550600,#133507); #94591=LINE('',#550602,#133508); #94592=LINE('',#550604,#133509); #94593=LINE('',#550605,#133510); #94594=LINE('',#550611,#133511); #94595=LINE('',#550614,#133512); #94596=LINE('',#550616,#133513); #94597=LINE('',#550617,#133514); #94598=LINE('',#550625,#133515); #94599=LINE('',#550631,#133516); #94600=LINE('',#550637,#133517); #94601=LINE('',#550643,#133518); #94602=LINE('',#550649,#133519); #94603=LINE('',#550654,#133520); #94604=LINE('',#550656,#133521); #94605=LINE('',#550658,#133522); #94606=LINE('',#550659,#133523); #94607=LINE('',#550665,#133524); #94608=LINE('',#550668,#133525); #94609=LINE('',#550670,#133526); #94610=LINE('',#550671,#133527); #94611=LINE('',#550679,#133528); #94612=LINE('',#550684,#133529); #94613=LINE('',#550686,#133530); #94614=LINE('',#550688,#133531); #94615=LINE('',#550689,#133532); #94616=LINE('',#550695,#133533); #94617=LINE('',#550698,#133534); #94618=LINE('',#550700,#133535); #94619=LINE('',#550701,#133536); #94620=LINE('',#550708,#133537); #94621=LINE('',#550710,#133538); #94622=LINE('',#550712,#133539); #94623=LINE('',#550713,#133540); #94624=LINE('',#550719,#133541); #94625=LINE('',#550722,#133542); #94626=LINE('',#550724,#133543); #94627=LINE('',#550725,#133544); #94628=LINE('',#550733,#133545); #94629=LINE('',#550739,#133546); #94630=LINE('',#550745,#133547); #94631=LINE('',#550751,#133548); #94632=LINE('',#550757,#133549); #94633=LINE('',#550763,#133550); #94634=LINE('',#550769,#133551); #94635=LINE('',#550775,#133552); #94636=LINE('',#550781,#133553); #94637=LINE('',#550787,#133554); #94638=LINE('',#550793,#133555); #94639=LINE('',#550799,#133556); #94640=LINE('',#550805,#133557); #94641=LINE('',#550811,#133558); #94642=LINE('',#550817,#133559); #94643=LINE('',#550823,#133560); #94644=LINE('',#550829,#133561); #94645=LINE('',#550835,#133562); #94646=LINE('',#550841,#133563); #94647=LINE('',#550847,#133564); #94648=LINE('',#550853,#133565); #94649=LINE('',#550859,#133566); #94650=LINE('',#550865,#133567); #94651=LINE('',#550871,#133568); #94652=LINE('',#550877,#133569); #94653=LINE('',#550883,#133570); #94654=LINE('',#550889,#133571); #94655=LINE('',#550895,#133572); #94656=LINE('',#550901,#133573); #94657=LINE('',#550907,#133574); #94658=LINE('',#550913,#133575); #94659=LINE('',#550919,#133576); #94660=LINE('',#550925,#133577); #94661=LINE('',#550931,#133578); #94662=LINE('',#550937,#133579); #94663=LINE('',#550943,#133580); #94664=LINE('',#550949,#133581); #94665=LINE('',#550955,#133582); #94666=LINE('',#550961,#133583); #94667=LINE('',#550967,#133584); #94668=LINE('',#550973,#133585); #94669=LINE('',#550979,#133586); #94670=LINE('',#550985,#133587); #94671=LINE('',#550991,#133588); #94672=LINE('',#550997,#133589); #94673=LINE('',#551003,#133590); #94674=LINE('',#551009,#133591); #94675=LINE('',#551015,#133592); #94676=LINE('',#551021,#133593); #94677=LINE('',#551027,#133594); #94678=LINE('',#551033,#133595); #94679=LINE('',#551039,#133596); #94680=LINE('',#551044,#133597); #94681=LINE('',#551046,#133598); #94682=LINE('',#551048,#133599); #94683=LINE('',#551049,#133600); #94684=LINE('',#551052,#133601); #94685=LINE('',#551054,#133602); #94686=LINE('',#551055,#133603); #94687=LINE('',#551058,#133604); #94688=LINE('',#551060,#133605); #94689=LINE('',#551061,#133606); #94690=LINE('',#551064,#133607); #94691=LINE('',#551066,#133608); #94692=LINE('',#551067,#133609); #94693=LINE('',#551070,#133610); #94694=LINE('',#551072,#133611); #94695=LINE('',#551073,#133612); #94696=LINE('',#551076,#133613); #94697=LINE('',#551078,#133614); #94698=LINE('',#551079,#133615); #94699=LINE('',#551082,#133616); #94700=LINE('',#551084,#133617); #94701=LINE('',#551085,#133618); #94702=LINE('',#551088,#133619); #94703=LINE('',#551090,#133620); #94704=LINE('',#551091,#133621); #94705=LINE('',#551094,#133622); #94706=LINE('',#551096,#133623); #94707=LINE('',#551097,#133624); #94708=LINE('',#551100,#133625); #94709=LINE('',#551102,#133626); #94710=LINE('',#551103,#133627); #94711=LINE('',#551106,#133628); #94712=LINE('',#551108,#133629); #94713=LINE('',#551109,#133630); #94714=LINE('',#551112,#133631); #94715=LINE('',#551114,#133632); #94716=LINE('',#551115,#133633); #94717=LINE('',#551118,#133634); #94718=LINE('',#551120,#133635); #94719=LINE('',#551121,#133636); #94720=LINE('',#551124,#133637); #94721=LINE('',#551126,#133638); #94722=LINE('',#551127,#133639); #94723=LINE('',#551130,#133640); #94724=LINE('',#551132,#133641); #94725=LINE('',#551133,#133642); #94726=LINE('',#551136,#133643); #94727=LINE('',#551138,#133644); #94728=LINE('',#551139,#133645); #94729=LINE('',#551142,#133646); #94730=LINE('',#551144,#133647); #94731=LINE('',#551145,#133648); #94732=LINE('',#551148,#133649); #94733=LINE('',#551150,#133650); #94734=LINE('',#551151,#133651); #94735=LINE('',#551154,#133652); #94736=LINE('',#551156,#133653); #94737=LINE('',#551157,#133654); #94738=LINE('',#551160,#133655); #94739=LINE('',#551162,#133656); #94740=LINE('',#551163,#133657); #94741=LINE('',#551166,#133658); #94742=LINE('',#551168,#133659); #94743=LINE('',#551169,#133660); #94744=LINE('',#551172,#133661); #94745=LINE('',#551174,#133662); #94746=LINE('',#551175,#133663); #94747=LINE('',#551178,#133664); #94748=LINE('',#551180,#133665); #94749=LINE('',#551181,#133666); #94750=LINE('',#551184,#133667); #94751=LINE('',#551186,#133668); #94752=LINE('',#551187,#133669); #94753=LINE('',#551190,#133670); #94754=LINE('',#551192,#133671); #94755=LINE('',#551193,#133672); #94756=LINE('',#551196,#133673); #94757=LINE('',#551198,#133674); #94758=LINE('',#551199,#133675); #94759=LINE('',#551202,#133676); #94760=LINE('',#551204,#133677); #94761=LINE('',#551205,#133678); #94762=LINE('',#551208,#133679); #94763=LINE('',#551210,#133680); #94764=LINE('',#551211,#133681); #94765=LINE('',#551214,#133682); #94766=LINE('',#551216,#133683); #94767=LINE('',#551217,#133684); #94768=LINE('',#551220,#133685); #94769=LINE('',#551222,#133686); #94770=LINE('',#551223,#133687); #94771=LINE('',#551226,#133688); #94772=LINE('',#551228,#133689); #94773=LINE('',#551229,#133690); #94774=LINE('',#551232,#133691); #94775=LINE('',#551234,#133692); #94776=LINE('',#551235,#133693); #94777=LINE('',#551238,#133694); #94778=LINE('',#551240,#133695); #94779=LINE('',#551241,#133696); #94780=LINE('',#551244,#133697); #94781=LINE('',#551246,#133698); #94782=LINE('',#551247,#133699); #94783=LINE('',#551250,#133700); #94784=LINE('',#551252,#133701); #94785=LINE('',#551253,#133702); #94786=LINE('',#551256,#133703); #94787=LINE('',#551258,#133704); #94788=LINE('',#551259,#133705); #94789=LINE('',#551262,#133706); #94790=LINE('',#551264,#133707); #94791=LINE('',#551265,#133708); #94792=LINE('',#551268,#133709); #94793=LINE('',#551270,#133710); #94794=LINE('',#551271,#133711); #94795=LINE('',#551274,#133712); #94796=LINE('',#551276,#133713); #94797=LINE('',#551277,#133714); #94798=LINE('',#551280,#133715); #94799=LINE('',#551282,#133716); #94800=LINE('',#551283,#133717); #94801=LINE('',#551286,#133718); #94802=LINE('',#551288,#133719); #94803=LINE('',#551289,#133720); #94804=LINE('',#551292,#133721); #94805=LINE('',#551294,#133722); #94806=LINE('',#551295,#133723); #94807=LINE('',#551298,#133724); #94808=LINE('',#551300,#133725); #94809=LINE('',#551301,#133726); #94810=LINE('',#551304,#133727); #94811=LINE('',#551306,#133728); #94812=LINE('',#551307,#133729); #94813=LINE('',#551310,#133730); #94814=LINE('',#551312,#133731); #94815=LINE('',#551313,#133732); #94816=LINE('',#551316,#133733); #94817=LINE('',#551318,#133734); #94818=LINE('',#551319,#133735); #94819=LINE('',#551322,#133736); #94820=LINE('',#551324,#133737); #94821=LINE('',#551325,#133738); #94822=LINE('',#551328,#133739); #94823=LINE('',#551330,#133740); #94824=LINE('',#551331,#133741); #94825=LINE('',#551334,#133742); #94826=LINE('',#551336,#133743); #94827=LINE('',#551337,#133744); #94828=LINE('',#551340,#133745); #94829=LINE('',#551342,#133746); #94830=LINE('',#551343,#133747); #94831=LINE('',#551346,#133748); #94832=LINE('',#551348,#133749); #94833=LINE('',#551349,#133750); #94834=LINE('',#551352,#133751); #94835=LINE('',#551354,#133752); #94836=LINE('',#551355,#133753); #94837=LINE('',#551358,#133754); #94838=LINE('',#551360,#133755); #94839=LINE('',#551361,#133756); #94840=LINE('',#551364,#133757); #94841=LINE('',#551366,#133758); #94842=LINE('',#551367,#133759); #94843=LINE('',#551370,#133760); #94844=LINE('',#551372,#133761); #94845=LINE('',#551373,#133762); #94846=LINE('',#551376,#133763); #94847=LINE('',#551378,#133764); #94848=LINE('',#551379,#133765); #94849=LINE('',#551382,#133766); #94850=LINE('',#551384,#133767); #94851=LINE('',#551385,#133768); #94852=LINE('',#551388,#133769); #94853=LINE('',#551390,#133770); #94854=LINE('',#551391,#133771); #94855=LINE('',#551394,#133772); #94856=LINE('',#551396,#133773); #94857=LINE('',#551397,#133774); #94858=LINE('',#551400,#133775); #94859=LINE('',#551402,#133776); #94860=LINE('',#551403,#133777); #94861=LINE('',#551406,#133778); #94862=LINE('',#551408,#133779); #94863=LINE('',#551409,#133780); #94864=LINE('',#551412,#133781); #94865=LINE('',#551414,#133782); #94866=LINE('',#551415,#133783); #94867=LINE('',#551418,#133784); #94868=LINE('',#551420,#133785); #94869=LINE('',#551421,#133786); #94870=LINE('',#551424,#133787); #94871=LINE('',#551426,#133788); #94872=LINE('',#551427,#133789); #94873=LINE('',#551430,#133790); #94874=LINE('',#551432,#133791); #94875=LINE('',#551433,#133792); #94876=LINE('',#551436,#133793); #94877=LINE('',#551438,#133794); #94878=LINE('',#551439,#133795); #94879=LINE('',#551442,#133796); #94880=LINE('',#551444,#133797); #94881=LINE('',#551445,#133798); #94882=LINE('',#551448,#133799); #94883=LINE('',#551450,#133800); #94884=LINE('',#551451,#133801); #94885=LINE('',#551454,#133802); #94886=LINE('',#551456,#133803); #94887=LINE('',#551457,#133804); #94888=LINE('',#551460,#133805); #94889=LINE('',#551462,#133806); #94890=LINE('',#551463,#133807); #94891=LINE('',#551466,#133808); #94892=LINE('',#551468,#133809); #94893=LINE('',#551469,#133810); #94894=LINE('',#551472,#133811); #94895=LINE('',#551474,#133812); #94896=LINE('',#551475,#133813); #94897=LINE('',#551478,#133814); #94898=LINE('',#551480,#133815); #94899=LINE('',#551481,#133816); #94900=LINE('',#551484,#133817); #94901=LINE('',#551486,#133818); #94902=LINE('',#551487,#133819); #94903=LINE('',#551490,#133820); #94904=LINE('',#551492,#133821); #94905=LINE('',#551493,#133822); #94906=LINE('',#551496,#133823); #94907=LINE('',#551498,#133824); #94908=LINE('',#551499,#133825); #94909=LINE('',#551502,#133826); #94910=LINE('',#551504,#133827); #94911=LINE('',#551505,#133828); #94912=LINE('',#551508,#133829); #94913=LINE('',#551510,#133830); #94914=LINE('',#551511,#133831); #94915=LINE('',#551514,#133832); #94916=LINE('',#551516,#133833); #94917=LINE('',#551517,#133834); #94918=LINE('',#551520,#133835); #94919=LINE('',#551522,#133836); #94920=LINE('',#551523,#133837); #94921=LINE('',#551526,#133838); #94922=LINE('',#551528,#133839); #94923=LINE('',#551529,#133840); #94924=LINE('',#551532,#133841); #94925=LINE('',#551534,#133842); #94926=LINE('',#551535,#133843); #94927=LINE('',#551538,#133844); #94928=LINE('',#551540,#133845); #94929=LINE('',#551541,#133846); #94930=LINE('',#551544,#133847); #94931=LINE('',#551546,#133848); #94932=LINE('',#551547,#133849); #94933=LINE('',#551550,#133850); #94934=LINE('',#551552,#133851); #94935=LINE('',#551553,#133852); #94936=LINE('',#551556,#133853); #94937=LINE('',#551558,#133854); #94938=LINE('',#551559,#133855); #94939=LINE('',#551562,#133856); #94940=LINE('',#551564,#133857); #94941=LINE('',#551565,#133858); #94942=LINE('',#551568,#133859); #94943=LINE('',#551570,#133860); #94944=LINE('',#551571,#133861); #94945=LINE('',#551574,#133862); #94946=LINE('',#551576,#133863); #94947=LINE('',#551577,#133864); #94948=LINE('',#551580,#133865); #94949=LINE('',#551582,#133866); #94950=LINE('',#551583,#133867); #94951=LINE('',#551586,#133868); #94952=LINE('',#551588,#133869); #94953=LINE('',#551589,#133870); #94954=LINE('',#551592,#133871); #94955=LINE('',#551594,#133872); #94956=LINE('',#551595,#133873); #94957=LINE('',#551598,#133874); #94958=LINE('',#551600,#133875); #94959=LINE('',#551601,#133876); #94960=LINE('',#551604,#133877); #94961=LINE('',#551606,#133878); #94962=LINE('',#551607,#133879); #94963=LINE('',#551610,#133880); #94964=LINE('',#551612,#133881); #94965=LINE('',#551613,#133882); #94966=LINE('',#551616,#133883); #94967=LINE('',#551618,#133884); #94968=LINE('',#551619,#133885); #94969=LINE('',#551622,#133886); #94970=LINE('',#551624,#133887); #94971=LINE('',#551625,#133888); #94972=LINE('',#551628,#133889); #94973=LINE('',#551630,#133890); #94974=LINE('',#551631,#133891); #94975=LINE('',#551634,#133892); #94976=LINE('',#551636,#133893); #94977=LINE('',#551637,#133894); #94978=LINE('',#551640,#133895); #94979=LINE('',#551642,#133896); #94980=LINE('',#551643,#133897); #94981=LINE('',#551646,#133898); #94982=LINE('',#551648,#133899); #94983=LINE('',#551649,#133900); #94984=LINE('',#551652,#133901); #94985=LINE('',#551654,#133902); #94986=LINE('',#551655,#133903); #94987=LINE('',#551658,#133904); #94988=LINE('',#551660,#133905); #94989=LINE('',#551661,#133906); #94990=LINE('',#551664,#133907); #94991=LINE('',#551666,#133908); #94992=LINE('',#551667,#133909); #94993=LINE('',#551670,#133910); #94994=LINE('',#551672,#133911); #94995=LINE('',#551673,#133912); #94996=LINE('',#551676,#133913); #94997=LINE('',#551678,#133914); #94998=LINE('',#551679,#133915); #94999=LINE('',#551682,#133916); #95000=LINE('',#551684,#133917); #95001=LINE('',#551685,#133918); #95002=LINE('',#551688,#133919); #95003=LINE('',#551690,#133920); #95004=LINE('',#551691,#133921); #95005=LINE('',#551694,#133922); #95006=LINE('',#551696,#133923); #95007=LINE('',#551697,#133924); #95008=LINE('',#551700,#133925); #95009=LINE('',#551702,#133926); #95010=LINE('',#551703,#133927); #95011=LINE('',#551706,#133928); #95012=LINE('',#551708,#133929); #95013=LINE('',#551709,#133930); #95014=LINE('',#551712,#133931); #95015=LINE('',#551714,#133932); #95016=LINE('',#551715,#133933); #95017=LINE('',#551718,#133934); #95018=LINE('',#551720,#133935); #95019=LINE('',#551721,#133936); #95020=LINE('',#551724,#133937); #95021=LINE('',#551726,#133938); #95022=LINE('',#551727,#133939); #95023=LINE('',#551730,#133940); #95024=LINE('',#551732,#133941); #95025=LINE('',#551733,#133942); #95026=LINE('',#551736,#133943); #95027=LINE('',#551738,#133944); #95028=LINE('',#551739,#133945); #95029=LINE('',#551742,#133946); #95030=LINE('',#551744,#133947); #95031=LINE('',#551745,#133948); #95032=LINE('',#551748,#133949); #95033=LINE('',#551750,#133950); #95034=LINE('',#551751,#133951); #95035=LINE('',#551754,#133952); #95036=LINE('',#551756,#133953); #95037=LINE('',#551757,#133954); #95038=LINE('',#551760,#133955); #95039=LINE('',#551762,#133956); #95040=LINE('',#551763,#133957); #95041=LINE('',#551766,#133958); #95042=LINE('',#551768,#133959); #95043=LINE('',#551769,#133960); #95044=LINE('',#551772,#133961); #95045=LINE('',#551774,#133962); #95046=LINE('',#551775,#133963); #95047=LINE('',#551778,#133964); #95048=LINE('',#551780,#133965); #95049=LINE('',#551781,#133966); #95050=LINE('',#551784,#133967); #95051=LINE('',#551786,#133968); #95052=LINE('',#551787,#133969); #95053=LINE('',#551790,#133970); #95054=LINE('',#551792,#133971); #95055=LINE('',#551793,#133972); #95056=LINE('',#551796,#133973); #95057=LINE('',#551798,#133974); #95058=LINE('',#551799,#133975); #95059=LINE('',#551802,#133976); #95060=LINE('',#551804,#133977); #95061=LINE('',#551805,#133978); #95062=LINE('',#551808,#133979); #95063=LINE('',#551810,#133980); #95064=LINE('',#551811,#133981); #95065=LINE('',#551814,#133982); #95066=LINE('',#551816,#133983); #95067=LINE('',#551817,#133984); #95068=LINE('',#551820,#133985); #95069=LINE('',#551822,#133986); #95070=LINE('',#551823,#133987); #95071=LINE('',#551826,#133988); #95072=LINE('',#551828,#133989); #95073=LINE('',#551829,#133990); #95074=LINE('',#551831,#133991); #95075=LINE('',#551832,#133992); #95076=LINE('',#551836,#133993); #95077=LINE('',#551838,#133994); #95078=LINE('',#551840,#133995); #95079=LINE('',#551841,#133996); #95080=LINE('',#551844,#133997); #95081=LINE('',#551846,#133998); #95082=LINE('',#551847,#133999); #95083=LINE('',#551850,#134000); #95084=LINE('',#551852,#134001); #95085=LINE('',#551853,#134002); #95086=LINE('',#551856,#134003); #95087=LINE('',#551858,#134004); #95088=LINE('',#551859,#134005); #95089=LINE('',#551862,#134006); #95090=LINE('',#551864,#134007); #95091=LINE('',#551865,#134008); #95092=LINE('',#551868,#134009); #95093=LINE('',#551870,#134010); #95094=LINE('',#551871,#134011); #95095=LINE('',#551874,#134012); #95096=LINE('',#551876,#134013); #95097=LINE('',#551877,#134014); #95098=LINE('',#551879,#134015); #95099=LINE('',#551880,#134016); #95100=LINE('',#551884,#134017); #95101=LINE('',#551886,#134018); #95102=LINE('',#551888,#134019); #95103=LINE('',#551889,#134020); #95104=LINE('',#551892,#134021); #95105=LINE('',#551894,#134022); #95106=LINE('',#551895,#134023); #95107=LINE('',#551898,#134024); #95108=LINE('',#551900,#134025); #95109=LINE('',#551901,#134026); #95110=LINE('',#551904,#134027); #95111=LINE('',#551906,#134028); #95112=LINE('',#551907,#134029); #95113=LINE('',#551910,#134030); #95114=LINE('',#551912,#134031); #95115=LINE('',#551913,#134032); #95116=LINE('',#551916,#134033); #95117=LINE('',#551918,#134034); #95118=LINE('',#551919,#134035); #95119=LINE('',#551922,#134036); #95120=LINE('',#551924,#134037); #95121=LINE('',#551925,#134038); #95122=LINE('',#551927,#134039); #95123=LINE('',#551928,#134040); #95124=LINE('',#551932,#134041); #95125=LINE('',#551934,#134042); #95126=LINE('',#551936,#134043); #95127=LINE('',#551937,#134044); #95128=LINE('',#551940,#134045); #95129=LINE('',#551942,#134046); #95130=LINE('',#551943,#134047); #95131=LINE('',#551946,#134048); #95132=LINE('',#551948,#134049); #95133=LINE('',#551949,#134050); #95134=LINE('',#551952,#134051); #95135=LINE('',#551954,#134052); #95136=LINE('',#551955,#134053); #95137=LINE('',#551958,#134054); #95138=LINE('',#551960,#134055); #95139=LINE('',#551961,#134056); #95140=LINE('',#551964,#134057); #95141=LINE('',#551966,#134058); #95142=LINE('',#551967,#134059); #95143=LINE('',#551970,#134060); #95144=LINE('',#551972,#134061); #95145=LINE('',#551973,#134062); #95146=LINE('',#551975,#134063); #95147=LINE('',#551976,#134064); #95148=LINE('',#551980,#134065); #95149=LINE('',#551982,#134066); #95150=LINE('',#551984,#134067); #95151=LINE('',#551985,#134068); #95152=LINE('',#551988,#134069); #95153=LINE('',#551990,#134070); #95154=LINE('',#551991,#134071); #95155=LINE('',#551994,#134072); #95156=LINE('',#551996,#134073); #95157=LINE('',#551997,#134074); #95158=LINE('',#552000,#134075); #95159=LINE('',#552002,#134076); #95160=LINE('',#552003,#134077); #95161=LINE('',#552006,#134078); #95162=LINE('',#552008,#134079); #95163=LINE('',#552009,#134080); #95164=LINE('',#552012,#134081); #95165=LINE('',#552014,#134082); #95166=LINE('',#552015,#134083); #95167=LINE('',#552018,#134084); #95168=LINE('',#552020,#134085); #95169=LINE('',#552021,#134086); #95170=LINE('',#552024,#134087); #95171=LINE('',#552026,#134088); #95172=LINE('',#552027,#134089); #95173=LINE('',#552030,#134090); #95174=LINE('',#552032,#134091); #95175=LINE('',#552033,#134092); #95176=LINE('',#552036,#134093); #95177=LINE('',#552038,#134094); #95178=LINE('',#552039,#134095); #95179=LINE('',#552042,#134096); #95180=LINE('',#552044,#134097); #95181=LINE('',#552045,#134098); #95182=LINE('',#552048,#134099); #95183=LINE('',#552050,#134100); #95184=LINE('',#552051,#134101); #95185=LINE('',#552054,#134102); #95186=LINE('',#552056,#134103); #95187=LINE('',#552057,#134104); #95188=LINE('',#552060,#134105); #95189=LINE('',#552062,#134106); #95190=LINE('',#552063,#134107); #95191=LINE('',#552066,#134108); #95192=LINE('',#552068,#134109); #95193=LINE('',#552069,#134110); #95194=LINE('',#552072,#134111); #95195=LINE('',#552074,#134112); #95196=LINE('',#552075,#134113); #95197=LINE('',#552078,#134114); #95198=LINE('',#552080,#134115); #95199=LINE('',#552081,#134116); #95200=LINE('',#552084,#134117); #95201=LINE('',#552086,#134118); #95202=LINE('',#552087,#134119); #95203=LINE('',#552090,#134120); #95204=LINE('',#552092,#134121); #95205=LINE('',#552093,#134122); #95206=LINE('',#552096,#134123); #95207=LINE('',#552098,#134124); #95208=LINE('',#552099,#134125); #95209=LINE('',#552102,#134126); #95210=LINE('',#552104,#134127); #95211=LINE('',#552105,#134128); #95212=LINE('',#552108,#134129); #95213=LINE('',#552110,#134130); #95214=LINE('',#552111,#134131); #95215=LINE('',#552114,#134132); #95216=LINE('',#552116,#134133); #95217=LINE('',#552117,#134134); #95218=LINE('',#552120,#134135); #95219=LINE('',#552122,#134136); #95220=LINE('',#552123,#134137); #95221=LINE('',#552126,#134138); #95222=LINE('',#552128,#134139); #95223=LINE('',#552129,#134140); #95224=LINE('',#552132,#134141); #95225=LINE('',#552134,#134142); #95226=LINE('',#552135,#134143); #95227=LINE('',#552138,#134144); #95228=LINE('',#552140,#134145); #95229=LINE('',#552141,#134146); #95230=LINE('',#552144,#134147); #95231=LINE('',#552146,#134148); #95232=LINE('',#552147,#134149); #95233=LINE('',#552150,#134150); #95234=LINE('',#552152,#134151); #95235=LINE('',#552153,#134152); #95236=LINE('',#552156,#134153); #95237=LINE('',#552158,#134154); #95238=LINE('',#552159,#134155); #95239=LINE('',#552162,#134156); #95240=LINE('',#552164,#134157); #95241=LINE('',#552165,#134158); #95242=LINE('',#552168,#134159); #95243=LINE('',#552170,#134160); #95244=LINE('',#552171,#134161); #95245=LINE('',#552174,#134162); #95246=LINE('',#552176,#134163); #95247=LINE('',#552177,#134164); #95248=LINE('',#552179,#134165); #95249=LINE('',#552180,#134166); #95250=LINE('',#552184,#134167); #95251=LINE('',#552186,#134168); #95252=LINE('',#552188,#134169); #95253=LINE('',#552189,#134170); #95254=LINE('',#552192,#134171); #95255=LINE('',#552194,#134172); #95256=LINE('',#552195,#134173); #95257=LINE('',#552198,#134174); #95258=LINE('',#552200,#134175); #95259=LINE('',#552201,#134176); #95260=LINE('',#552204,#134177); #95261=LINE('',#552206,#134178); #95262=LINE('',#552207,#134179); #95263=LINE('',#552210,#134180); #95264=LINE('',#552212,#134181); #95265=LINE('',#552213,#134182); #95266=LINE('',#552216,#134183); #95267=LINE('',#552218,#134184); #95268=LINE('',#552219,#134185); #95269=LINE('',#552222,#134186); #95270=LINE('',#552224,#134187); #95271=LINE('',#552225,#134188); #95272=LINE('',#552228,#134189); #95273=LINE('',#552230,#134190); #95274=LINE('',#552231,#134191); #95275=LINE('',#552234,#134192); #95276=LINE('',#552236,#134193); #95277=LINE('',#552237,#134194); #95278=LINE('',#552240,#134195); #95279=LINE('',#552242,#134196); #95280=LINE('',#552243,#134197); #95281=LINE('',#552246,#134198); #95282=LINE('',#552248,#134199); #95283=LINE('',#552249,#134200); #95284=LINE('',#552252,#134201); #95285=LINE('',#552254,#134202); #95286=LINE('',#552255,#134203); #95287=LINE('',#552258,#134204); #95288=LINE('',#552260,#134205); #95289=LINE('',#552261,#134206); #95290=LINE('',#552264,#134207); #95291=LINE('',#552266,#134208); #95292=LINE('',#552267,#134209); #95293=LINE('',#552270,#134210); #95294=LINE('',#552272,#134211); #95295=LINE('',#552273,#134212); #95296=LINE('',#552276,#134213); #95297=LINE('',#552278,#134214); #95298=LINE('',#552279,#134215); #95299=LINE('',#552282,#134216); #95300=LINE('',#552284,#134217); #95301=LINE('',#552285,#134218); #95302=LINE('',#552288,#134219); #95303=LINE('',#552290,#134220); #95304=LINE('',#552291,#134221); #95305=LINE('',#552294,#134222); #95306=LINE('',#552296,#134223); #95307=LINE('',#552297,#134224); #95308=LINE('',#552300,#134225); #95309=LINE('',#552302,#134226); #95310=LINE('',#552303,#134227); #95311=LINE('',#552306,#134228); #95312=LINE('',#552308,#134229); #95313=LINE('',#552309,#134230); #95314=LINE('',#552312,#134231); #95315=LINE('',#552314,#134232); #95316=LINE('',#552315,#134233); #95317=LINE('',#552318,#134234); #95318=LINE('',#552320,#134235); #95319=LINE('',#552321,#134236); #95320=LINE('',#552324,#134237); #95321=LINE('',#552326,#134238); #95322=LINE('',#552327,#134239); #95323=LINE('',#552330,#134240); #95324=LINE('',#552332,#134241); #95325=LINE('',#552333,#134242); #95326=LINE('',#552336,#134243); #95327=LINE('',#552338,#134244); #95328=LINE('',#552339,#134245); #95329=LINE('',#552342,#134246); #95330=LINE('',#552344,#134247); #95331=LINE('',#552345,#134248); #95332=LINE('',#552348,#134249); #95333=LINE('',#552350,#134250); #95334=LINE('',#552351,#134251); #95335=LINE('',#552354,#134252); #95336=LINE('',#552356,#134253); #95337=LINE('',#552357,#134254); #95338=LINE('',#552360,#134255); #95339=LINE('',#552362,#134256); #95340=LINE('',#552363,#134257); #95341=LINE('',#552366,#134258); #95342=LINE('',#552368,#134259); #95343=LINE('',#552369,#134260); #95344=LINE('',#552372,#134261); #95345=LINE('',#552374,#134262); #95346=LINE('',#552375,#134263); #95347=LINE('',#552378,#134264); #95348=LINE('',#552380,#134265); #95349=LINE('',#552381,#134266); #95350=LINE('',#552383,#134267); #95351=LINE('',#552384,#134268); #95352=LINE('',#552388,#134269); #95353=LINE('',#552390,#134270); #95354=LINE('',#552392,#134271); #95355=LINE('',#552393,#134272); #95356=LINE('',#552396,#134273); #95357=LINE('',#552398,#134274); #95358=LINE('',#552399,#134275); #95359=LINE('',#552402,#134276); #95360=LINE('',#552404,#134277); #95361=LINE('',#552405,#134278); #95362=LINE('',#552408,#134279); #95363=LINE('',#552410,#134280); #95364=LINE('',#552411,#134281); #95365=LINE('',#552414,#134282); #95366=LINE('',#552416,#134283); #95367=LINE('',#552417,#134284); #95368=LINE('',#552420,#134285); #95369=LINE('',#552422,#134286); #95370=LINE('',#552423,#134287); #95371=LINE('',#552426,#134288); #95372=LINE('',#552428,#134289); #95373=LINE('',#552429,#134290); #95374=LINE('',#552432,#134291); #95375=LINE('',#552434,#134292); #95376=LINE('',#552435,#134293); #95377=LINE('',#552438,#134294); #95378=LINE('',#552440,#134295); #95379=LINE('',#552441,#134296); #95380=LINE('',#552444,#134297); #95381=LINE('',#552446,#134298); #95382=LINE('',#552447,#134299); #95383=LINE('',#552450,#134300); #95384=LINE('',#552452,#134301); #95385=LINE('',#552453,#134302); #95386=LINE('',#552456,#134303); #95387=LINE('',#552458,#134304); #95388=LINE('',#552459,#134305); #95389=LINE('',#552462,#134306); #95390=LINE('',#552464,#134307); #95391=LINE('',#552465,#134308); #95392=LINE('',#552468,#134309); #95393=LINE('',#552470,#134310); #95394=LINE('',#552471,#134311); #95395=LINE('',#552474,#134312); #95396=LINE('',#552476,#134313); #95397=LINE('',#552477,#134314); #95398=LINE('',#552480,#134315); #95399=LINE('',#552482,#134316); #95400=LINE('',#552483,#134317); #95401=LINE('',#552486,#134318); #95402=LINE('',#552488,#134319); #95403=LINE('',#552489,#134320); #95404=LINE('',#552492,#134321); #95405=LINE('',#552494,#134322); #95406=LINE('',#552495,#134323); #95407=LINE('',#552498,#134324); #95408=LINE('',#552500,#134325); #95409=LINE('',#552501,#134326); #95410=LINE('',#552504,#134327); #95411=LINE('',#552506,#134328); #95412=LINE('',#552507,#134329); #95413=LINE('',#552510,#134330); #95414=LINE('',#552512,#134331); #95415=LINE('',#552513,#134332); #95416=LINE('',#552516,#134333); #95417=LINE('',#552518,#134334); #95418=LINE('',#552519,#134335); #95419=LINE('',#552522,#134336); #95420=LINE('',#552524,#134337); #95421=LINE('',#552525,#134338); #95422=LINE('',#552528,#134339); #95423=LINE('',#552530,#134340); #95424=LINE('',#552531,#134341); #95425=LINE('',#552534,#134342); #95426=LINE('',#552536,#134343); #95427=LINE('',#552537,#134344); #95428=LINE('',#552540,#134345); #95429=LINE('',#552542,#134346); #95430=LINE('',#552543,#134347); #95431=LINE('',#552546,#134348); #95432=LINE('',#552548,#134349); #95433=LINE('',#552549,#134350); #95434=LINE('',#552552,#134351); #95435=LINE('',#552554,#134352); #95436=LINE('',#552555,#134353); #95437=LINE('',#552558,#134354); #95438=LINE('',#552560,#134355); #95439=LINE('',#552561,#134356); #95440=LINE('',#552564,#134357); #95441=LINE('',#552566,#134358); #95442=LINE('',#552567,#134359); #95443=LINE('',#552570,#134360); #95444=LINE('',#552572,#134361); #95445=LINE('',#552573,#134362); #95446=LINE('',#552576,#134363); #95447=LINE('',#552578,#134364); #95448=LINE('',#552579,#134365); #95449=LINE('',#552582,#134366); #95450=LINE('',#552584,#134367); #95451=LINE('',#552585,#134368); #95452=LINE('',#552588,#134369); #95453=LINE('',#552590,#134370); #95454=LINE('',#552591,#134371); #95455=LINE('',#552594,#134372); #95456=LINE('',#552596,#134373); #95457=LINE('',#552597,#134374); #95458=LINE('',#552600,#134375); #95459=LINE('',#552602,#134376); #95460=LINE('',#552603,#134377); #95461=LINE('',#552606,#134378); #95462=LINE('',#552608,#134379); #95463=LINE('',#552609,#134380); #95464=LINE('',#552612,#134381); #95465=LINE('',#552614,#134382); #95466=LINE('',#552615,#134383); #95467=LINE('',#552618,#134384); #95468=LINE('',#552620,#134385); #95469=LINE('',#552621,#134386); #95470=LINE('',#552623,#134387); #95471=LINE('',#552624,#134388); #95472=LINE('',#552628,#134389); #95473=LINE('',#552630,#134390); #95474=LINE('',#552632,#134391); #95475=LINE('',#552633,#134392); #95476=LINE('',#552636,#134393); #95477=LINE('',#552638,#134394); #95478=LINE('',#552639,#134395); #95479=LINE('',#552642,#134396); #95480=LINE('',#552644,#134397); #95481=LINE('',#552645,#134398); #95482=LINE('',#552648,#134399); #95483=LINE('',#552650,#134400); #95484=LINE('',#552651,#134401); #95485=LINE('',#552654,#134402); #95486=LINE('',#552656,#134403); #95487=LINE('',#552657,#134404); #95488=LINE('',#552660,#134405); #95489=LINE('',#552662,#134406); #95490=LINE('',#552663,#134407); #95491=LINE('',#552666,#134408); #95492=LINE('',#552668,#134409); #95493=LINE('',#552669,#134410); #95494=LINE('',#552672,#134411); #95495=LINE('',#552674,#134412); #95496=LINE('',#552675,#134413); #95497=LINE('',#552678,#134414); #95498=LINE('',#552680,#134415); #95499=LINE('',#552681,#134416); #95500=LINE('',#552684,#134417); #95501=LINE('',#552686,#134418); #95502=LINE('',#552687,#134419); #95503=LINE('',#552690,#134420); #95504=LINE('',#552692,#134421); #95505=LINE('',#552693,#134422); #95506=LINE('',#552696,#134423); #95507=LINE('',#552698,#134424); #95508=LINE('',#552699,#134425); #95509=LINE('',#552702,#134426); #95510=LINE('',#552704,#134427); #95511=LINE('',#552705,#134428); #95512=LINE('',#552708,#134429); #95513=LINE('',#552710,#134430); #95514=LINE('',#552711,#134431); #95515=LINE('',#552714,#134432); #95516=LINE('',#552716,#134433); #95517=LINE('',#552717,#134434); #95518=LINE('',#552720,#134435); #95519=LINE('',#552722,#134436); #95520=LINE('',#552723,#134437); #95521=LINE('',#552726,#134438); #95522=LINE('',#552728,#134439); #95523=LINE('',#552729,#134440); #95524=LINE('',#552732,#134441); #95525=LINE('',#552734,#134442); #95526=LINE('',#552735,#134443); #95527=LINE('',#552738,#134444); #95528=LINE('',#552740,#134445); #95529=LINE('',#552741,#134446); #95530=LINE('',#552744,#134447); #95531=LINE('',#552746,#134448); #95532=LINE('',#552747,#134449); #95533=LINE('',#552750,#134450); #95534=LINE('',#552752,#134451); #95535=LINE('',#552753,#134452); #95536=LINE('',#552756,#134453); #95537=LINE('',#552758,#134454); #95538=LINE('',#552759,#134455); #95539=LINE('',#552762,#134456); #95540=LINE('',#552764,#134457); #95541=LINE('',#552765,#134458); #95542=LINE('',#552768,#134459); #95543=LINE('',#552770,#134460); #95544=LINE('',#552771,#134461); #95545=LINE('',#552774,#134462); #95546=LINE('',#552776,#134463); #95547=LINE('',#552777,#134464); #95548=LINE('',#552780,#134465); #95549=LINE('',#552782,#134466); #95550=LINE('',#552783,#134467); #95551=LINE('',#552786,#134468); #95552=LINE('',#552788,#134469); #95553=LINE('',#552789,#134470); #95554=LINE('',#552792,#134471); #95555=LINE('',#552794,#134472); #95556=LINE('',#552795,#134473); #95557=LINE('',#552798,#134474); #95558=LINE('',#552800,#134475); #95559=LINE('',#552801,#134476); #95560=LINE('',#552804,#134477); #95561=LINE('',#552806,#134478); #95562=LINE('',#552807,#134479); #95563=LINE('',#552810,#134480); #95564=LINE('',#552812,#134481); #95565=LINE('',#552813,#134482); #95566=LINE('',#552816,#134483); #95567=LINE('',#552818,#134484); #95568=LINE('',#552819,#134485); #95569=LINE('',#552822,#134486); #95570=LINE('',#552824,#134487); #95571=LINE('',#552825,#134488); #95572=LINE('',#552828,#134489); #95573=LINE('',#552830,#134490); #95574=LINE('',#552831,#134491); #95575=LINE('',#552834,#134492); #95576=LINE('',#552836,#134493); #95577=LINE('',#552837,#134494); #95578=LINE('',#552840,#134495); #95579=LINE('',#552842,#134496); #95580=LINE('',#552843,#134497); #95581=LINE('',#552846,#134498); #95582=LINE('',#552848,#134499); #95583=LINE('',#552849,#134500); #95584=LINE('',#552851,#134501); #95585=LINE('',#552852,#134502); #95586=LINE('',#552856,#134503); #95587=LINE('',#552858,#134504); #95588=LINE('',#552860,#134505); #95589=LINE('',#552861,#134506); #95590=LINE('',#552864,#134507); #95591=LINE('',#552866,#134508); #95592=LINE('',#552867,#134509); #95593=LINE('',#552870,#134510); #95594=LINE('',#552872,#134511); #95595=LINE('',#552873,#134512); #95596=LINE('',#552876,#134513); #95597=LINE('',#552878,#134514); #95598=LINE('',#552879,#134515); #95599=LINE('',#552882,#134516); #95600=LINE('',#552884,#134517); #95601=LINE('',#552885,#134518); #95602=LINE('',#552888,#134519); #95603=LINE('',#552890,#134520); #95604=LINE('',#552891,#134521); #95605=LINE('',#552894,#134522); #95606=LINE('',#552896,#134523); #95607=LINE('',#552897,#134524); #95608=LINE('',#552900,#134525); #95609=LINE('',#552902,#134526); #95610=LINE('',#552903,#134527); #95611=LINE('',#552906,#134528); #95612=LINE('',#552908,#134529); #95613=LINE('',#552909,#134530); #95614=LINE('',#552912,#134531); #95615=LINE('',#552914,#134532); #95616=LINE('',#552915,#134533); #95617=LINE('',#552918,#134534); #95618=LINE('',#552920,#134535); #95619=LINE('',#552921,#134536); #95620=LINE('',#552924,#134537); #95621=LINE('',#552926,#134538); #95622=LINE('',#552927,#134539); #95623=LINE('',#552930,#134540); #95624=LINE('',#552932,#134541); #95625=LINE('',#552933,#134542); #95626=LINE('',#552936,#134543); #95627=LINE('',#552938,#134544); #95628=LINE('',#552939,#134545); #95629=LINE('',#552942,#134546); #95630=LINE('',#552944,#134547); #95631=LINE('',#552945,#134548); #95632=LINE('',#552948,#134549); #95633=LINE('',#552950,#134550); #95634=LINE('',#552951,#134551); #95635=LINE('',#552954,#134552); #95636=LINE('',#552956,#134553); #95637=LINE('',#552957,#134554); #95638=LINE('',#552960,#134555); #95639=LINE('',#552962,#134556); #95640=LINE('',#552963,#134557); #95641=LINE('',#552966,#134558); #95642=LINE('',#552968,#134559); #95643=LINE('',#552969,#134560); #95644=LINE('',#552972,#134561); #95645=LINE('',#552974,#134562); #95646=LINE('',#552975,#134563); #95647=LINE('',#552978,#134564); #95648=LINE('',#552980,#134565); #95649=LINE('',#552981,#134566); #95650=LINE('',#552984,#134567); #95651=LINE('',#552986,#134568); #95652=LINE('',#552987,#134569); #95653=LINE('',#552990,#134570); #95654=LINE('',#552992,#134571); #95655=LINE('',#552993,#134572); #95656=LINE('',#552995,#134573); #95657=LINE('',#552996,#134574); #95658=LINE('',#553000,#134575); #95659=LINE('',#553002,#134576); #95660=LINE('',#553004,#134577); #95661=LINE('',#553005,#134578); #95662=LINE('',#553008,#134579); #95663=LINE('',#553010,#134580); #95664=LINE('',#553011,#134581); #95665=LINE('',#553014,#134582); #95666=LINE('',#553016,#134583); #95667=LINE('',#553017,#134584); #95668=LINE('',#553020,#134585); #95669=LINE('',#553022,#134586); #95670=LINE('',#553023,#134587); #95671=LINE('',#553026,#134588); #95672=LINE('',#553028,#134589); #95673=LINE('',#553029,#134590); #95674=LINE('',#553032,#134591); #95675=LINE('',#553034,#134592); #95676=LINE('',#553035,#134593); #95677=LINE('',#553038,#134594); #95678=LINE('',#553040,#134595); #95679=LINE('',#553041,#134596); #95680=LINE('',#553044,#134597); #95681=LINE('',#553046,#134598); #95682=LINE('',#553047,#134599); #95683=LINE('',#553050,#134600); #95684=LINE('',#553052,#134601); #95685=LINE('',#553053,#134602); #95686=LINE('',#553056,#134603); #95687=LINE('',#553058,#134604); #95688=LINE('',#553059,#134605); #95689=LINE('',#553062,#134606); #95690=LINE('',#553064,#134607); #95691=LINE('',#553065,#134608); #95692=LINE('',#553068,#134609); #95693=LINE('',#553070,#134610); #95694=LINE('',#553071,#134611); #95695=LINE('',#553074,#134612); #95696=LINE('',#553076,#134613); #95697=LINE('',#553077,#134614); #95698=LINE('',#553080,#134615); #95699=LINE('',#553082,#134616); #95700=LINE('',#553083,#134617); #95701=LINE('',#553086,#134618); #95702=LINE('',#553088,#134619); #95703=LINE('',#553089,#134620); #95704=LINE('',#553092,#134621); #95705=LINE('',#553094,#134622); #95706=LINE('',#553095,#134623); #95707=LINE('',#553098,#134624); #95708=LINE('',#553100,#134625); #95709=LINE('',#553101,#134626); #95710=LINE('',#553104,#134627); #95711=LINE('',#553106,#134628); #95712=LINE('',#553107,#134629); #95713=LINE('',#553110,#134630); #95714=LINE('',#553112,#134631); #95715=LINE('',#553113,#134632); #95716=LINE('',#553116,#134633); #95717=LINE('',#553118,#134634); #95718=LINE('',#553119,#134635); #95719=LINE('',#553122,#134636); #95720=LINE('',#553124,#134637); #95721=LINE('',#553125,#134638); #95722=LINE('',#553128,#134639); #95723=LINE('',#553130,#134640); #95724=LINE('',#553131,#134641); #95725=LINE('',#553134,#134642); #95726=LINE('',#553136,#134643); #95727=LINE('',#553137,#134644); #95728=LINE('',#553140,#134645); #95729=LINE('',#553142,#134646); #95730=LINE('',#553143,#134647); #95731=LINE('',#553146,#134648); #95732=LINE('',#553148,#134649); #95733=LINE('',#553149,#134650); #95734=LINE('',#553152,#134651); #95735=LINE('',#553154,#134652); #95736=LINE('',#553155,#134653); #95737=LINE('',#553158,#134654); #95738=LINE('',#553160,#134655); #95739=LINE('',#553161,#134656); #95740=LINE('',#553164,#134657); #95741=LINE('',#553166,#134658); #95742=LINE('',#553167,#134659); #95743=LINE('',#553170,#134660); #95744=LINE('',#553172,#134661); #95745=LINE('',#553173,#134662); #95746=LINE('',#553176,#134663); #95747=LINE('',#553178,#134664); #95748=LINE('',#553179,#134665); #95749=LINE('',#553182,#134666); #95750=LINE('',#553184,#134667); #95751=LINE('',#553185,#134668); #95752=LINE('',#553188,#134669); #95753=LINE('',#553190,#134670); #95754=LINE('',#553191,#134671); #95755=LINE('',#553194,#134672); #95756=LINE('',#553196,#134673); #95757=LINE('',#553197,#134674); #95758=LINE('',#553200,#134675); #95759=LINE('',#553202,#134676); #95760=LINE('',#553203,#134677); #95761=LINE('',#553206,#134678); #95762=LINE('',#553208,#134679); #95763=LINE('',#553209,#134680); #95764=LINE('',#553212,#134681); #95765=LINE('',#553214,#134682); #95766=LINE('',#553215,#134683); #95767=LINE('',#553218,#134684); #95768=LINE('',#553220,#134685); #95769=LINE('',#553221,#134686); #95770=LINE('',#553224,#134687); #95771=LINE('',#553226,#134688); #95772=LINE('',#553227,#134689); #95773=LINE('',#553230,#134690); #95774=LINE('',#553232,#134691); #95775=LINE('',#553233,#134692); #95776=LINE('',#553236,#134693); #95777=LINE('',#553238,#134694); #95778=LINE('',#553239,#134695); #95779=LINE('',#553242,#134696); #95780=LINE('',#553244,#134697); #95781=LINE('',#553245,#134698); #95782=LINE('',#553248,#134699); #95783=LINE('',#553250,#134700); #95784=LINE('',#553251,#134701); #95785=LINE('',#553254,#134702); #95786=LINE('',#553256,#134703); #95787=LINE('',#553257,#134704); #95788=LINE('',#553260,#134705); #95789=LINE('',#553262,#134706); #95790=LINE('',#553263,#134707); #95791=LINE('',#553266,#134708); #95792=LINE('',#553268,#134709); #95793=LINE('',#553269,#134710); #95794=LINE('',#553272,#134711); #95795=LINE('',#553274,#134712); #95796=LINE('',#553275,#134713); #95797=LINE('',#553278,#134714); #95798=LINE('',#553280,#134715); #95799=LINE('',#553281,#134716); #95800=LINE('',#553284,#134717); #95801=LINE('',#553286,#134718); #95802=LINE('',#553287,#134719); #95803=LINE('',#553290,#134720); #95804=LINE('',#553292,#134721); #95805=LINE('',#553293,#134722); #95806=LINE('',#553296,#134723); #95807=LINE('',#553298,#134724); #95808=LINE('',#553299,#134725); #95809=LINE('',#553302,#134726); #95810=LINE('',#553304,#134727); #95811=LINE('',#553305,#134728); #95812=LINE('',#553308,#134729); #95813=LINE('',#553310,#134730); #95814=LINE('',#553311,#134731); #95815=LINE('',#553314,#134732); #95816=LINE('',#553316,#134733); #95817=LINE('',#553317,#134734); #95818=LINE('',#553320,#134735); #95819=LINE('',#553322,#134736); #95820=LINE('',#553323,#134737); #95821=LINE('',#553326,#134738); #95822=LINE('',#553328,#134739); #95823=LINE('',#553329,#134740); #95824=LINE('',#553332,#134741); #95825=LINE('',#553334,#134742); #95826=LINE('',#553335,#134743); #95827=LINE('',#553338,#134744); #95828=LINE('',#553340,#134745); #95829=LINE('',#553341,#134746); #95830=LINE('',#553344,#134747); #95831=LINE('',#553346,#134748); #95832=LINE('',#553347,#134749); #95833=LINE('',#553350,#134750); #95834=LINE('',#553352,#134751); #95835=LINE('',#553353,#134752); #95836=LINE('',#553356,#134753); #95837=LINE('',#553358,#134754); #95838=LINE('',#553359,#134755); #95839=LINE('',#553362,#134756); #95840=LINE('',#553364,#134757); #95841=LINE('',#553365,#134758); #95842=LINE('',#553368,#134759); #95843=LINE('',#553370,#134760); #95844=LINE('',#553371,#134761); #95845=LINE('',#553374,#134762); #95846=LINE('',#553376,#134763); #95847=LINE('',#553377,#134764); #95848=LINE('',#553380,#134765); #95849=LINE('',#553382,#134766); #95850=LINE('',#553383,#134767); #95851=LINE('',#553386,#134768); #95852=LINE('',#553388,#134769); #95853=LINE('',#553389,#134770); #95854=LINE('',#553392,#134771); #95855=LINE('',#553394,#134772); #95856=LINE('',#553395,#134773); #95857=LINE('',#553398,#134774); #95858=LINE('',#553400,#134775); #95859=LINE('',#553401,#134776); #95860=LINE('',#553404,#134777); #95861=LINE('',#553406,#134778); #95862=LINE('',#553407,#134779); #95863=LINE('',#553410,#134780); #95864=LINE('',#553412,#134781); #95865=LINE('',#553413,#134782); #95866=LINE('',#553416,#134783); #95867=LINE('',#553418,#134784); #95868=LINE('',#553419,#134785); #95869=LINE('',#553422,#134786); #95870=LINE('',#553424,#134787); #95871=LINE('',#553425,#134788); #95872=LINE('',#553428,#134789); #95873=LINE('',#553430,#134790); #95874=LINE('',#553431,#134791); #95875=LINE('',#553434,#134792); #95876=LINE('',#553436,#134793); #95877=LINE('',#553437,#134794); #95878=LINE('',#553440,#134795); #95879=LINE('',#553442,#134796); #95880=LINE('',#553443,#134797); #95881=LINE('',#553446,#134798); #95882=LINE('',#553448,#134799); #95883=LINE('',#553449,#134800); #95884=LINE('',#553452,#134801); #95885=LINE('',#553454,#134802); #95886=LINE('',#553455,#134803); #95887=LINE('',#553458,#134804); #95888=LINE('',#553460,#134805); #95889=LINE('',#553461,#134806); #95890=LINE('',#553464,#134807); #95891=LINE('',#553466,#134808); #95892=LINE('',#553467,#134809); #95893=LINE('',#553470,#134810); #95894=LINE('',#553472,#134811); #95895=LINE('',#553473,#134812); #95896=LINE('',#553476,#134813); #95897=LINE('',#553478,#134814); #95898=LINE('',#553479,#134815); #95899=LINE('',#553482,#134816); #95900=LINE('',#553484,#134817); #95901=LINE('',#553485,#134818); #95902=LINE('',#553488,#134819); #95903=LINE('',#553490,#134820); #95904=LINE('',#553491,#134821); #95905=LINE('',#553494,#134822); #95906=LINE('',#553496,#134823); #95907=LINE('',#553497,#134824); #95908=LINE('',#553500,#134825); #95909=LINE('',#553502,#134826); #95910=LINE('',#553503,#134827); #95911=LINE('',#553506,#134828); #95912=LINE('',#553508,#134829); #95913=LINE('',#553509,#134830); #95914=LINE('',#553512,#134831); #95915=LINE('',#553514,#134832); #95916=LINE('',#553515,#134833); #95917=LINE('',#553518,#134834); #95918=LINE('',#553520,#134835); #95919=LINE('',#553521,#134836); #95920=LINE('',#553524,#134837); #95921=LINE('',#553526,#134838); #95922=LINE('',#553527,#134839); #95923=LINE('',#553530,#134840); #95924=LINE('',#553532,#134841); #95925=LINE('',#553533,#134842); #95926=LINE('',#553536,#134843); #95927=LINE('',#553538,#134844); #95928=LINE('',#553539,#134845); #95929=LINE('',#553542,#134846); #95930=LINE('',#553544,#134847); #95931=LINE('',#553545,#134848); #95932=LINE('',#553548,#134849); #95933=LINE('',#553550,#134850); #95934=LINE('',#553551,#134851); #95935=LINE('',#553554,#134852); #95936=LINE('',#553556,#134853); #95937=LINE('',#553557,#134854); #95938=LINE('',#553560,#134855); #95939=LINE('',#553562,#134856); #95940=LINE('',#553563,#134857); #95941=LINE('',#553566,#134858); #95942=LINE('',#553568,#134859); #95943=LINE('',#553569,#134860); #95944=LINE('',#553572,#134861); #95945=LINE('',#553574,#134862); #95946=LINE('',#553575,#134863); #95947=LINE('',#553578,#134864); #95948=LINE('',#553580,#134865); #95949=LINE('',#553581,#134866); #95950=LINE('',#553584,#134867); #95951=LINE('',#553586,#134868); #95952=LINE('',#553587,#134869); #95953=LINE('',#553590,#134870); #95954=LINE('',#553592,#134871); #95955=LINE('',#553593,#134872); #95956=LINE('',#553596,#134873); #95957=LINE('',#553598,#134874); #95958=LINE('',#553599,#134875); #95959=LINE('',#553602,#134876); #95960=LINE('',#553604,#134877); #95961=LINE('',#553605,#134878); #95962=LINE('',#553608,#134879); #95963=LINE('',#553610,#134880); #95964=LINE('',#553611,#134881); #95965=LINE('',#553614,#134882); #95966=LINE('',#553616,#134883); #95967=LINE('',#553617,#134884); #95968=LINE('',#553620,#134885); #95969=LINE('',#553622,#134886); #95970=LINE('',#553623,#134887); #95971=LINE('',#553626,#134888); #95972=LINE('',#553628,#134889); #95973=LINE('',#553629,#134890); #95974=LINE('',#553632,#134891); #95975=LINE('',#553634,#134892); #95976=LINE('',#553635,#134893); #95977=LINE('',#553638,#134894); #95978=LINE('',#553640,#134895); #95979=LINE('',#553641,#134896); #95980=LINE('',#553644,#134897); #95981=LINE('',#553646,#134898); #95982=LINE('',#553647,#134899); #95983=LINE('',#553650,#134900); #95984=LINE('',#553652,#134901); #95985=LINE('',#553653,#134902); #95986=LINE('',#553656,#134903); #95987=LINE('',#553658,#134904); #95988=LINE('',#553659,#134905); #95989=LINE('',#553662,#134906); #95990=LINE('',#553664,#134907); #95991=LINE('',#553665,#134908); #95992=LINE('',#553668,#134909); #95993=LINE('',#553670,#134910); #95994=LINE('',#553671,#134911); #95995=LINE('',#553674,#134912); #95996=LINE('',#553676,#134913); #95997=LINE('',#553677,#134914); #95998=LINE('',#553680,#134915); #95999=LINE('',#553682,#134916); #96000=LINE('',#553683,#134917); #96001=LINE('',#553686,#134918); #96002=LINE('',#553688,#134919); #96003=LINE('',#553689,#134920); #96004=LINE('',#553692,#134921); #96005=LINE('',#553694,#134922); #96006=LINE('',#553695,#134923); #96007=LINE('',#553698,#134924); #96008=LINE('',#553700,#134925); #96009=LINE('',#553701,#134926); #96010=LINE('',#553704,#134927); #96011=LINE('',#553706,#134928); #96012=LINE('',#553707,#134929); #96013=LINE('',#553710,#134930); #96014=LINE('',#553712,#134931); #96015=LINE('',#553713,#134932); #96016=LINE('',#553716,#134933); #96017=LINE('',#553718,#134934); #96018=LINE('',#553719,#134935); #96019=LINE('',#553722,#134936); #96020=LINE('',#553724,#134937); #96021=LINE('',#553725,#134938); #96022=LINE('',#553728,#134939); #96023=LINE('',#553730,#134940); #96024=LINE('',#553731,#134941); #96025=LINE('',#553734,#134942); #96026=LINE('',#553736,#134943); #96027=LINE('',#553737,#134944); #96028=LINE('',#553740,#134945); #96029=LINE('',#553742,#134946); #96030=LINE('',#553743,#134947); #96031=LINE('',#553746,#134948); #96032=LINE('',#553748,#134949); #96033=LINE('',#553749,#134950); #96034=LINE('',#553752,#134951); #96035=LINE('',#553754,#134952); #96036=LINE('',#553755,#134953); #96037=LINE('',#553758,#134954); #96038=LINE('',#553760,#134955); #96039=LINE('',#553761,#134956); #96040=LINE('',#553764,#134957); #96041=LINE('',#553766,#134958); #96042=LINE('',#553767,#134959); #96043=LINE('',#553770,#134960); #96044=LINE('',#553772,#134961); #96045=LINE('',#553773,#134962); #96046=LINE('',#553776,#134963); #96047=LINE('',#553778,#134964); #96048=LINE('',#553779,#134965); #96049=LINE('',#553782,#134966); #96050=LINE('',#553784,#134967); #96051=LINE('',#553785,#134968); #96052=LINE('',#553788,#134969); #96053=LINE('',#553790,#134970); #96054=LINE('',#553791,#134971); #96055=LINE('',#553794,#134972); #96056=LINE('',#553796,#134973); #96057=LINE('',#553797,#134974); #96058=LINE('',#553800,#134975); #96059=LINE('',#553802,#134976); #96060=LINE('',#553803,#134977); #96061=LINE('',#553806,#134978); #96062=LINE('',#553808,#134979); #96063=LINE('',#553809,#134980); #96064=LINE('',#553812,#134981); #96065=LINE('',#553814,#134982); #96066=LINE('',#553815,#134983); #96067=LINE('',#553818,#134984); #96068=LINE('',#553820,#134985); #96069=LINE('',#553821,#134986); #96070=LINE('',#553824,#134987); #96071=LINE('',#553826,#134988); #96072=LINE('',#553827,#134989); #96073=LINE('',#553830,#134990); #96074=LINE('',#553832,#134991); #96075=LINE('',#553833,#134992); #96076=LINE('',#553836,#134993); #96077=LINE('',#553838,#134994); #96078=LINE('',#553839,#134995); #96079=LINE('',#553842,#134996); #96080=LINE('',#553844,#134997); #96081=LINE('',#553845,#134998); #96082=LINE('',#553848,#134999); #96083=LINE('',#553850,#135000); #96084=LINE('',#553851,#135001); #96085=LINE('',#553854,#135002); #96086=LINE('',#553856,#135003); #96087=LINE('',#553857,#135004); #96088=LINE('',#553860,#135005); #96089=LINE('',#553862,#135006); #96090=LINE('',#553863,#135007); #96091=LINE('',#553866,#135008); #96092=LINE('',#553868,#135009); #96093=LINE('',#553869,#135010); #96094=LINE('',#553872,#135011); #96095=LINE('',#553874,#135012); #96096=LINE('',#553875,#135013); #96097=LINE('',#553878,#135014); #96098=LINE('',#553880,#135015); #96099=LINE('',#553881,#135016); #96100=LINE('',#553884,#135017); #96101=LINE('',#553886,#135018); #96102=LINE('',#553887,#135019); #96103=LINE('',#553890,#135020); #96104=LINE('',#553892,#135021); #96105=LINE('',#553893,#135022); #96106=LINE('',#553896,#135023); #96107=LINE('',#553898,#135024); #96108=LINE('',#553899,#135025); #96109=LINE('',#553902,#135026); #96110=LINE('',#553904,#135027); #96111=LINE('',#553905,#135028); #96112=LINE('',#553908,#135029); #96113=LINE('',#553910,#135030); #96114=LINE('',#553911,#135031); #96115=LINE('',#553914,#135032); #96116=LINE('',#553916,#135033); #96117=LINE('',#553917,#135034); #96118=LINE('',#553920,#135035); #96119=LINE('',#553922,#135036); #96120=LINE('',#553923,#135037); #96121=LINE('',#553926,#135038); #96122=LINE('',#553928,#135039); #96123=LINE('',#553929,#135040); #96124=LINE('',#553932,#135041); #96125=LINE('',#553934,#135042); #96126=LINE('',#553935,#135043); #96127=LINE('',#553938,#135044); #96128=LINE('',#553940,#135045); #96129=LINE('',#553941,#135046); #96130=LINE('',#553944,#135047); #96131=LINE('',#553946,#135048); #96132=LINE('',#553947,#135049); #96133=LINE('',#553950,#135050); #96134=LINE('',#553952,#135051); #96135=LINE('',#553953,#135052); #96136=LINE('',#553956,#135053); #96137=LINE('',#553958,#135054); #96138=LINE('',#553959,#135055); #96139=LINE('',#553962,#135056); #96140=LINE('',#553964,#135057); #96141=LINE('',#553965,#135058); #96142=LINE('',#553968,#135059); #96143=LINE('',#553970,#135060); #96144=LINE('',#553971,#135061); #96145=LINE('',#553974,#135062); #96146=LINE('',#553976,#135063); #96147=LINE('',#553977,#135064); #96148=LINE('',#553980,#135065); #96149=LINE('',#553982,#135066); #96150=LINE('',#553983,#135067); #96151=LINE('',#553986,#135068); #96152=LINE('',#553988,#135069); #96153=LINE('',#553989,#135070); #96154=LINE('',#553992,#135071); #96155=LINE('',#553994,#135072); #96156=LINE('',#553995,#135073); #96157=LINE('',#553998,#135074); #96158=LINE('',#554000,#135075); #96159=LINE('',#554001,#135076); #96160=LINE('',#554004,#135077); #96161=LINE('',#554006,#135078); #96162=LINE('',#554007,#135079); #96163=LINE('',#554010,#135080); #96164=LINE('',#554012,#135081); #96165=LINE('',#554013,#135082); #96166=LINE('',#554016,#135083); #96167=LINE('',#554018,#135084); #96168=LINE('',#554019,#135085); #96169=LINE('',#554022,#135086); #96170=LINE('',#554024,#135087); #96171=LINE('',#554025,#135088); #96172=LINE('',#554028,#135089); #96173=LINE('',#554030,#135090); #96174=LINE('',#554031,#135091); #96175=LINE('',#554034,#135092); #96176=LINE('',#554036,#135093); #96177=LINE('',#554037,#135094); #96178=LINE('',#554040,#135095); #96179=LINE('',#554042,#135096); #96180=LINE('',#554043,#135097); #96181=LINE('',#554046,#135098); #96182=LINE('',#554048,#135099); #96183=LINE('',#554049,#135100); #96184=LINE('',#554052,#135101); #96185=LINE('',#554054,#135102); #96186=LINE('',#554055,#135103); #96187=LINE('',#554058,#135104); #96188=LINE('',#554060,#135105); #96189=LINE('',#554061,#135106); #96190=LINE('',#554064,#135107); #96191=LINE('',#554066,#135108); #96192=LINE('',#554067,#135109); #96193=LINE('',#554070,#135110); #96194=LINE('',#554072,#135111); #96195=LINE('',#554073,#135112); #96196=LINE('',#554076,#135113); #96197=LINE('',#554078,#135114); #96198=LINE('',#554079,#135115); #96199=LINE('',#554082,#135116); #96200=LINE('',#554084,#135117); #96201=LINE('',#554085,#135118); #96202=LINE('',#554088,#135119); #96203=LINE('',#554090,#135120); #96204=LINE('',#554091,#135121); #96205=LINE('',#554094,#135122); #96206=LINE('',#554096,#135123); #96207=LINE('',#554097,#135124); #96208=LINE('',#554100,#135125); #96209=LINE('',#554102,#135126); #96210=LINE('',#554103,#135127); #96211=LINE('',#554106,#135128); #96212=LINE('',#554108,#135129); #96213=LINE('',#554109,#135130); #96214=LINE('',#554112,#135131); #96215=LINE('',#554114,#135132); #96216=LINE('',#554115,#135133); #96217=LINE('',#554118,#135134); #96218=LINE('',#554120,#135135); #96219=LINE('',#554121,#135136); #96220=LINE('',#554124,#135137); #96221=LINE('',#554126,#135138); #96222=LINE('',#554127,#135139); #96223=LINE('',#554130,#135140); #96224=LINE('',#554132,#135141); #96225=LINE('',#554133,#135142); #96226=LINE('',#554136,#135143); #96227=LINE('',#554138,#135144); #96228=LINE('',#554139,#135145); #96229=LINE('',#554142,#135146); #96230=LINE('',#554144,#135147); #96231=LINE('',#554145,#135148); #96232=LINE('',#554148,#135149); #96233=LINE('',#554150,#135150); #96234=LINE('',#554151,#135151); #96235=LINE('',#554154,#135152); #96236=LINE('',#554156,#135153); #96237=LINE('',#554157,#135154); #96238=LINE('',#554160,#135155); #96239=LINE('',#554162,#135156); #96240=LINE('',#554163,#135157); #96241=LINE('',#554166,#135158); #96242=LINE('',#554168,#135159); #96243=LINE('',#554169,#135160); #96244=LINE('',#554172,#135161); #96245=LINE('',#554174,#135162); #96246=LINE('',#554175,#135163); #96247=LINE('',#554178,#135164); #96248=LINE('',#554180,#135165); #96249=LINE('',#554181,#135166); #96250=LINE('',#554184,#135167); #96251=LINE('',#554186,#135168); #96252=LINE('',#554187,#135169); #96253=LINE('',#554190,#135170); #96254=LINE('',#554192,#135171); #96255=LINE('',#554193,#135172); #96256=LINE('',#554196,#135173); #96257=LINE('',#554198,#135174); #96258=LINE('',#554199,#135175); #96259=LINE('',#554202,#135176); #96260=LINE('',#554204,#135177); #96261=LINE('',#554205,#135178); #96262=LINE('',#554208,#135179); #96263=LINE('',#554210,#135180); #96264=LINE('',#554211,#135181); #96265=LINE('',#554214,#135182); #96266=LINE('',#554216,#135183); #96267=LINE('',#554217,#135184); #96268=LINE('',#554220,#135185); #96269=LINE('',#554222,#135186); #96270=LINE('',#554223,#135187); #96271=LINE('',#554226,#135188); #96272=LINE('',#554228,#135189); #96273=LINE('',#554229,#135190); #96274=LINE('',#554232,#135191); #96275=LINE('',#554234,#135192); #96276=LINE('',#554235,#135193); #96277=LINE('',#554238,#135194); #96278=LINE('',#554240,#135195); #96279=LINE('',#554241,#135196); #96280=LINE('',#554244,#135197); #96281=LINE('',#554246,#135198); #96282=LINE('',#554247,#135199); #96283=LINE('',#554250,#135200); #96284=LINE('',#554252,#135201); #96285=LINE('',#554253,#135202); #96286=LINE('',#554256,#135203); #96287=LINE('',#554258,#135204); #96288=LINE('',#554259,#135205); #96289=LINE('',#554262,#135206); #96290=LINE('',#554264,#135207); #96291=LINE('',#554265,#135208); #96292=LINE('',#554268,#135209); #96293=LINE('',#554270,#135210); #96294=LINE('',#554271,#135211); #96295=LINE('',#554274,#135212); #96296=LINE('',#554276,#135213); #96297=LINE('',#554277,#135214); #96298=LINE('',#554280,#135215); #96299=LINE('',#554282,#135216); #96300=LINE('',#554283,#135217); #96301=LINE('',#554286,#135218); #96302=LINE('',#554288,#135219); #96303=LINE('',#554289,#135220); #96304=LINE('',#554292,#135221); #96305=LINE('',#554294,#135222); #96306=LINE('',#554295,#135223); #96307=LINE('',#554298,#135224); #96308=LINE('',#554300,#135225); #96309=LINE('',#554301,#135226); #96310=LINE('',#554304,#135227); #96311=LINE('',#554306,#135228); #96312=LINE('',#554307,#135229); #96313=LINE('',#554310,#135230); #96314=LINE('',#554312,#135231); #96315=LINE('',#554313,#135232); #96316=LINE('',#554316,#135233); #96317=LINE('',#554318,#135234); #96318=LINE('',#554319,#135235); #96319=LINE('',#554322,#135236); #96320=LINE('',#554324,#135237); #96321=LINE('',#554325,#135238); #96322=LINE('',#554328,#135239); #96323=LINE('',#554330,#135240); #96324=LINE('',#554331,#135241); #96325=LINE('',#554334,#135242); #96326=LINE('',#554336,#135243); #96327=LINE('',#554337,#135244); #96328=LINE('',#554340,#135245); #96329=LINE('',#554342,#135246); #96330=LINE('',#554343,#135247); #96331=LINE('',#554346,#135248); #96332=LINE('',#554348,#135249); #96333=LINE('',#554349,#135250); #96334=LINE('',#554352,#135251); #96335=LINE('',#554354,#135252); #96336=LINE('',#554355,#135253); #96337=LINE('',#554358,#135254); #96338=LINE('',#554360,#135255); #96339=LINE('',#554361,#135256); #96340=LINE('',#554364,#135257); #96341=LINE('',#554366,#135258); #96342=LINE('',#554367,#135259); #96343=LINE('',#554370,#135260); #96344=LINE('',#554372,#135261); #96345=LINE('',#554373,#135262); #96346=LINE('',#554376,#135263); #96347=LINE('',#554378,#135264); #96348=LINE('',#554379,#135265); #96349=LINE('',#554382,#135266); #96350=LINE('',#554384,#135267); #96351=LINE('',#554385,#135268); #96352=LINE('',#554388,#135269); #96353=LINE('',#554390,#135270); #96354=LINE('',#554391,#135271); #96355=LINE('',#554394,#135272); #96356=LINE('',#554396,#135273); #96357=LINE('',#554397,#135274); #96358=LINE('',#554400,#135275); #96359=LINE('',#554402,#135276); #96360=LINE('',#554403,#135277); #96361=LINE('',#554406,#135278); #96362=LINE('',#554408,#135279); #96363=LINE('',#554409,#135280); #96364=LINE('',#554412,#135281); #96365=LINE('',#554414,#135282); #96366=LINE('',#554415,#135283); #96367=LINE('',#554418,#135284); #96368=LINE('',#554420,#135285); #96369=LINE('',#554421,#135286); #96370=LINE('',#554424,#135287); #96371=LINE('',#554426,#135288); #96372=LINE('',#554427,#135289); #96373=LINE('',#554430,#135290); #96374=LINE('',#554432,#135291); #96375=LINE('',#554433,#135292); #96376=LINE('',#554436,#135293); #96377=LINE('',#554438,#135294); #96378=LINE('',#554439,#135295); #96379=LINE('',#554442,#135296); #96380=LINE('',#554444,#135297); #96381=LINE('',#554445,#135298); #96382=LINE('',#554448,#135299); #96383=LINE('',#554450,#135300); #96384=LINE('',#554451,#135301); #96385=LINE('',#554454,#135302); #96386=LINE('',#554456,#135303); #96387=LINE('',#554457,#135304); #96388=LINE('',#554460,#135305); #96389=LINE('',#554462,#135306); #96390=LINE('',#554463,#135307); #96391=LINE('',#554466,#135308); #96392=LINE('',#554468,#135309); #96393=LINE('',#554469,#135310); #96394=LINE('',#554472,#135311); #96395=LINE('',#554474,#135312); #96396=LINE('',#554475,#135313); #96397=LINE('',#554478,#135314); #96398=LINE('',#554480,#135315); #96399=LINE('',#554481,#135316); #96400=LINE('',#554484,#135317); #96401=LINE('',#554486,#135318); #96402=LINE('',#554487,#135319); #96403=LINE('',#554490,#135320); #96404=LINE('',#554492,#135321); #96405=LINE('',#554493,#135322); #96406=LINE('',#554496,#135323); #96407=LINE('',#554498,#135324); #96408=LINE('',#554499,#135325); #96409=LINE('',#554502,#135326); #96410=LINE('',#554504,#135327); #96411=LINE('',#554505,#135328); #96412=LINE('',#554508,#135329); #96413=LINE('',#554510,#135330); #96414=LINE('',#554511,#135331); #96415=LINE('',#554514,#135332); #96416=LINE('',#554516,#135333); #96417=LINE('',#554517,#135334); #96418=LINE('',#554520,#135335); #96419=LINE('',#554522,#135336); #96420=LINE('',#554523,#135337); #96421=LINE('',#554526,#135338); #96422=LINE('',#554528,#135339); #96423=LINE('',#554529,#135340); #96424=LINE('',#554532,#135341); #96425=LINE('',#554534,#135342); #96426=LINE('',#554535,#135343); #96427=LINE('',#554538,#135344); #96428=LINE('',#554540,#135345); #96429=LINE('',#554541,#135346); #96430=LINE('',#554544,#135347); #96431=LINE('',#554546,#135348); #96432=LINE('',#554547,#135349); #96433=LINE('',#554550,#135350); #96434=LINE('',#554552,#135351); #96435=LINE('',#554553,#135352); #96436=LINE('',#554556,#135353); #96437=LINE('',#554558,#135354); #96438=LINE('',#554559,#135355); #96439=LINE('',#554562,#135356); #96440=LINE('',#554564,#135357); #96441=LINE('',#554565,#135358); #96442=LINE('',#554568,#135359); #96443=LINE('',#554570,#135360); #96444=LINE('',#554571,#135361); #96445=LINE('',#554574,#135362); #96446=LINE('',#554576,#135363); #96447=LINE('',#554577,#135364); #96448=LINE('',#554580,#135365); #96449=LINE('',#554582,#135366); #96450=LINE('',#554583,#135367); #96451=LINE('',#554586,#135368); #96452=LINE('',#554588,#135369); #96453=LINE('',#554589,#135370); #96454=LINE('',#554592,#135371); #96455=LINE('',#554594,#135372); #96456=LINE('',#554595,#135373); #96457=LINE('',#554598,#135374); #96458=LINE('',#554600,#135375); #96459=LINE('',#554601,#135376); #96460=LINE('',#554604,#135377); #96461=LINE('',#554606,#135378); #96462=LINE('',#554607,#135379); #96463=LINE('',#554610,#135380); #96464=LINE('',#554612,#135381); #96465=LINE('',#554613,#135382); #96466=LINE('',#554616,#135383); #96467=LINE('',#554618,#135384); #96468=LINE('',#554619,#135385); #96469=LINE('',#554622,#135386); #96470=LINE('',#554624,#135387); #96471=LINE('',#554625,#135388); #96472=LINE('',#554628,#135389); #96473=LINE('',#554630,#135390); #96474=LINE('',#554631,#135391); #96475=LINE('',#554634,#135392); #96476=LINE('',#554636,#135393); #96477=LINE('',#554637,#135394); #96478=LINE('',#554640,#135395); #96479=LINE('',#554642,#135396); #96480=LINE('',#554643,#135397); #96481=LINE('',#554646,#135398); #96482=LINE('',#554648,#135399); #96483=LINE('',#554649,#135400); #96484=LINE('',#554652,#135401); #96485=LINE('',#554654,#135402); #96486=LINE('',#554655,#135403); #96487=LINE('',#554658,#135404); #96488=LINE('',#554660,#135405); #96489=LINE('',#554661,#135406); #96490=LINE('',#554664,#135407); #96491=LINE('',#554666,#135408); #96492=LINE('',#554667,#135409); #96493=LINE('',#554670,#135410); #96494=LINE('',#554672,#135411); #96495=LINE('',#554673,#135412); #96496=LINE('',#554676,#135413); #96497=LINE('',#554678,#135414); #96498=LINE('',#554679,#135415); #96499=LINE('',#554682,#135416); #96500=LINE('',#554684,#135417); #96501=LINE('',#554685,#135418); #96502=LINE('',#554688,#135419); #96503=LINE('',#554690,#135420); #96504=LINE('',#554691,#135421); #96505=LINE('',#554694,#135422); #96506=LINE('',#554696,#135423); #96507=LINE('',#554697,#135424); #96508=LINE('',#554700,#135425); #96509=LINE('',#554702,#135426); #96510=LINE('',#554703,#135427); #96511=LINE('',#554706,#135428); #96512=LINE('',#554708,#135429); #96513=LINE('',#554709,#135430); #96514=LINE('',#554712,#135431); #96515=LINE('',#554714,#135432); #96516=LINE('',#554715,#135433); #96517=LINE('',#554718,#135434); #96518=LINE('',#554720,#135435); #96519=LINE('',#554721,#135436); #96520=LINE('',#554724,#135437); #96521=LINE('',#554726,#135438); #96522=LINE('',#554727,#135439); #96523=LINE('',#554730,#135440); #96524=LINE('',#554732,#135441); #96525=LINE('',#554733,#135442); #96526=LINE('',#554736,#135443); #96527=LINE('',#554738,#135444); #96528=LINE('',#554739,#135445); #96529=LINE('',#554742,#135446); #96530=LINE('',#554744,#135447); #96531=LINE('',#554745,#135448); #96532=LINE('',#554748,#135449); #96533=LINE('',#554750,#135450); #96534=LINE('',#554751,#135451); #96535=LINE('',#554754,#135452); #96536=LINE('',#554756,#135453); #96537=LINE('',#554757,#135454); #96538=LINE('',#554760,#135455); #96539=LINE('',#554762,#135456); #96540=LINE('',#554763,#135457); #96541=LINE('',#554766,#135458); #96542=LINE('',#554768,#135459); #96543=LINE('',#554769,#135460); #96544=LINE('',#554772,#135461); #96545=LINE('',#554774,#135462); #96546=LINE('',#554775,#135463); #96547=LINE('',#554778,#135464); #96548=LINE('',#554780,#135465); #96549=LINE('',#554781,#135466); #96550=LINE('',#554784,#135467); #96551=LINE('',#554786,#135468); #96552=LINE('',#554787,#135469); #96553=LINE('',#554790,#135470); #96554=LINE('',#554792,#135471); #96555=LINE('',#554793,#135472); #96556=LINE('',#554796,#135473); #96557=LINE('',#554798,#135474); #96558=LINE('',#554799,#135475); #96559=LINE('',#554802,#135476); #96560=LINE('',#554804,#135477); #96561=LINE('',#554805,#135478); #96562=LINE('',#554808,#135479); #96563=LINE('',#554810,#135480); #96564=LINE('',#554811,#135481); #96565=LINE('',#554814,#135482); #96566=LINE('',#554816,#135483); #96567=LINE('',#554817,#135484); #96568=LINE('',#554820,#135485); #96569=LINE('',#554822,#135486); #96570=LINE('',#554823,#135487); #96571=LINE('',#554826,#135488); #96572=LINE('',#554828,#135489); #96573=LINE('',#554829,#135490); #96574=LINE('',#554832,#135491); #96575=LINE('',#554834,#135492); #96576=LINE('',#554835,#135493); #96577=LINE('',#554838,#135494); #96578=LINE('',#554840,#135495); #96579=LINE('',#554841,#135496); #96580=LINE('',#554844,#135497); #96581=LINE('',#554846,#135498); #96582=LINE('',#554847,#135499); #96583=LINE('',#554850,#135500); #96584=LINE('',#554852,#135501); #96585=LINE('',#554853,#135502); #96586=LINE('',#554856,#135503); #96587=LINE('',#554858,#135504); #96588=LINE('',#554859,#135505); #96589=LINE('',#554862,#135506); #96590=LINE('',#554864,#135507); #96591=LINE('',#554865,#135508); #96592=LINE('',#554868,#135509); #96593=LINE('',#554870,#135510); #96594=LINE('',#554871,#135511); #96595=LINE('',#554874,#135512); #96596=LINE('',#554876,#135513); #96597=LINE('',#554877,#135514); #96598=LINE('',#554880,#135515); #96599=LINE('',#554882,#135516); #96600=LINE('',#554883,#135517); #96601=LINE('',#554886,#135518); #96602=LINE('',#554888,#135519); #96603=LINE('',#554889,#135520); #96604=LINE('',#554892,#135521); #96605=LINE('',#554894,#135522); #96606=LINE('',#554895,#135523); #96607=LINE('',#554898,#135524); #96608=LINE('',#554900,#135525); #96609=LINE('',#554901,#135526); #96610=LINE('',#554904,#135527); #96611=LINE('',#554906,#135528); #96612=LINE('',#554907,#135529); #96613=LINE('',#554910,#135530); #96614=LINE('',#554912,#135531); #96615=LINE('',#554913,#135532); #96616=LINE('',#554916,#135533); #96617=LINE('',#554918,#135534); #96618=LINE('',#554919,#135535); #96619=LINE('',#554922,#135536); #96620=LINE('',#554924,#135537); #96621=LINE('',#554925,#135538); #96622=LINE('',#554928,#135539); #96623=LINE('',#554930,#135540); #96624=LINE('',#554931,#135541); #96625=LINE('',#554934,#135542); #96626=LINE('',#554936,#135543); #96627=LINE('',#554937,#135544); #96628=LINE('',#554940,#135545); #96629=LINE('',#554942,#135546); #96630=LINE('',#554943,#135547); #96631=LINE('',#554946,#135548); #96632=LINE('',#554948,#135549); #96633=LINE('',#554949,#135550); #96634=LINE('',#554952,#135551); #96635=LINE('',#554954,#135552); #96636=LINE('',#554955,#135553); #96637=LINE('',#554958,#135554); #96638=LINE('',#554960,#135555); #96639=LINE('',#554961,#135556); #96640=LINE('',#554964,#135557); #96641=LINE('',#554966,#135558); #96642=LINE('',#554967,#135559); #96643=LINE('',#554970,#135560); #96644=LINE('',#554972,#135561); #96645=LINE('',#554973,#135562); #96646=LINE('',#554976,#135563); #96647=LINE('',#554978,#135564); #96648=LINE('',#554979,#135565); #96649=LINE('',#554982,#135566); #96650=LINE('',#554984,#135567); #96651=LINE('',#554985,#135568); #96652=LINE('',#554988,#135569); #96653=LINE('',#554990,#135570); #96654=LINE('',#554991,#135571); #96655=LINE('',#554994,#135572); #96656=LINE('',#554996,#135573); #96657=LINE('',#554997,#135574); #96658=LINE('',#555000,#135575); #96659=LINE('',#555002,#135576); #96660=LINE('',#555003,#135577); #96661=LINE('',#555006,#135578); #96662=LINE('',#555008,#135579); #96663=LINE('',#555009,#135580); #96664=LINE('',#555012,#135581); #96665=LINE('',#555014,#135582); #96666=LINE('',#555015,#135583); #96667=LINE('',#555018,#135584); #96668=LINE('',#555020,#135585); #96669=LINE('',#555021,#135586); #96670=LINE('',#555024,#135587); #96671=LINE('',#555026,#135588); #96672=LINE('',#555027,#135589); #96673=LINE('',#555030,#135590); #96674=LINE('',#555032,#135591); #96675=LINE('',#555033,#135592); #96676=LINE('',#555036,#135593); #96677=LINE('',#555038,#135594); #96678=LINE('',#555039,#135595); #96679=LINE('',#555042,#135596); #96680=LINE('',#555044,#135597); #96681=LINE('',#555045,#135598); #96682=LINE('',#555048,#135599); #96683=LINE('',#555050,#135600); #96684=LINE('',#555051,#135601); #96685=LINE('',#555054,#135602); #96686=LINE('',#555056,#135603); #96687=LINE('',#555057,#135604); #96688=LINE('',#555060,#135605); #96689=LINE('',#555062,#135606); #96690=LINE('',#555063,#135607); #96691=LINE('',#555066,#135608); #96692=LINE('',#555068,#135609); #96693=LINE('',#555069,#135610); #96694=LINE('',#555072,#135611); #96695=LINE('',#555074,#135612); #96696=LINE('',#555075,#135613); #96697=LINE('',#555078,#135614); #96698=LINE('',#555080,#135615); #96699=LINE('',#555081,#135616); #96700=LINE('',#555084,#135617); #96701=LINE('',#555086,#135618); #96702=LINE('',#555087,#135619); #96703=LINE('',#555090,#135620); #96704=LINE('',#555092,#135621); #96705=LINE('',#555093,#135622); #96706=LINE('',#555096,#135623); #96707=LINE('',#555098,#135624); #96708=LINE('',#555099,#135625); #96709=LINE('',#555102,#135626); #96710=LINE('',#555104,#135627); #96711=LINE('',#555105,#135628); #96712=LINE('',#555108,#135629); #96713=LINE('',#555110,#135630); #96714=LINE('',#555111,#135631); #96715=LINE('',#555114,#135632); #96716=LINE('',#555116,#135633); #96717=LINE('',#555117,#135634); #96718=LINE('',#555120,#135635); #96719=LINE('',#555122,#135636); #96720=LINE('',#555123,#135637); #96721=LINE('',#555126,#135638); #96722=LINE('',#555128,#135639); #96723=LINE('',#555129,#135640); #96724=LINE('',#555132,#135641); #96725=LINE('',#555134,#135642); #96726=LINE('',#555135,#135643); #96727=LINE('',#555138,#135644); #96728=LINE('',#555140,#135645); #96729=LINE('',#555141,#135646); #96730=LINE('',#555144,#135647); #96731=LINE('',#555146,#135648); #96732=LINE('',#555147,#135649); #96733=LINE('',#555150,#135650); #96734=LINE('',#555152,#135651); #96735=LINE('',#555153,#135652); #96736=LINE('',#555156,#135653); #96737=LINE('',#555158,#135654); #96738=LINE('',#555159,#135655); #96739=LINE('',#555162,#135656); #96740=LINE('',#555164,#135657); #96741=LINE('',#555165,#135658); #96742=LINE('',#555168,#135659); #96743=LINE('',#555170,#135660); #96744=LINE('',#555171,#135661); #96745=LINE('',#555174,#135662); #96746=LINE('',#555176,#135663); #96747=LINE('',#555177,#135664); #96748=LINE('',#555180,#135665); #96749=LINE('',#555182,#135666); #96750=LINE('',#555183,#135667); #96751=LINE('',#555186,#135668); #96752=LINE('',#555188,#135669); #96753=LINE('',#555189,#135670); #96754=LINE('',#555192,#135671); #96755=LINE('',#555194,#135672); #96756=LINE('',#555195,#135673); #96757=LINE('',#555198,#135674); #96758=LINE('',#555200,#135675); #96759=LINE('',#555201,#135676); #96760=LINE('',#555204,#135677); #96761=LINE('',#555206,#135678); #96762=LINE('',#555207,#135679); #96763=LINE('',#555210,#135680); #96764=LINE('',#555212,#135681); #96765=LINE('',#555213,#135682); #96766=LINE('',#555216,#135683); #96767=LINE('',#555218,#135684); #96768=LINE('',#555219,#135685); #96769=LINE('',#555222,#135686); #96770=LINE('',#555224,#135687); #96771=LINE('',#555225,#135688); #96772=LINE('',#555228,#135689); #96773=LINE('',#555230,#135690); #96774=LINE('',#555231,#135691); #96775=LINE('',#555234,#135692); #96776=LINE('',#555236,#135693); #96777=LINE('',#555237,#135694); #96778=LINE('',#555240,#135695); #96779=LINE('',#555242,#135696); #96780=LINE('',#555243,#135697); #96781=LINE('',#555246,#135698); #96782=LINE('',#555248,#135699); #96783=LINE('',#555249,#135700); #96784=LINE('',#555252,#135701); #96785=LINE('',#555254,#135702); #96786=LINE('',#555255,#135703); #96787=LINE('',#555258,#135704); #96788=LINE('',#555260,#135705); #96789=LINE('',#555261,#135706); #96790=LINE('',#555264,#135707); #96791=LINE('',#555266,#135708); #96792=LINE('',#555267,#135709); #96793=LINE('',#555270,#135710); #96794=LINE('',#555272,#135711); #96795=LINE('',#555273,#135712); #96796=LINE('',#555276,#135713); #96797=LINE('',#555278,#135714); #96798=LINE('',#555279,#135715); #96799=LINE('',#555282,#135716); #96800=LINE('',#555284,#135717); #96801=LINE('',#555285,#135718); #96802=LINE('',#555288,#135719); #96803=LINE('',#555290,#135720); #96804=LINE('',#555291,#135721); #96805=LINE('',#555294,#135722); #96806=LINE('',#555296,#135723); #96807=LINE('',#555297,#135724); #96808=LINE('',#555300,#135725); #96809=LINE('',#555302,#135726); #96810=LINE('',#555303,#135727); #96811=LINE('',#555306,#135728); #96812=LINE('',#555308,#135729); #96813=LINE('',#555309,#135730); #96814=LINE('',#555312,#135731); #96815=LINE('',#555314,#135732); #96816=LINE('',#555315,#135733); #96817=LINE('',#555318,#135734); #96818=LINE('',#555320,#135735); #96819=LINE('',#555321,#135736); #96820=LINE('',#555324,#135737); #96821=LINE('',#555326,#135738); #96822=LINE('',#555327,#135739); #96823=LINE('',#555330,#135740); #96824=LINE('',#555332,#135741); #96825=LINE('',#555333,#135742); #96826=LINE('',#555336,#135743); #96827=LINE('',#555338,#135744); #96828=LINE('',#555339,#135745); #96829=LINE('',#555342,#135746); #96830=LINE('',#555344,#135747); #96831=LINE('',#555345,#135748); #96832=LINE('',#555348,#135749); #96833=LINE('',#555350,#135750); #96834=LINE('',#555351,#135751); #96835=LINE('',#555354,#135752); #96836=LINE('',#555356,#135753); #96837=LINE('',#555357,#135754); #96838=LINE('',#555360,#135755); #96839=LINE('',#555362,#135756); #96840=LINE('',#555363,#135757); #96841=LINE('',#555366,#135758); #96842=LINE('',#555368,#135759); #96843=LINE('',#555369,#135760); #96844=LINE('',#555372,#135761); #96845=LINE('',#555374,#135762); #96846=LINE('',#555375,#135763); #96847=LINE('',#555378,#135764); #96848=LINE('',#555380,#135765); #96849=LINE('',#555381,#135766); #96850=LINE('',#555384,#135767); #96851=LINE('',#555386,#135768); #96852=LINE('',#555387,#135769); #96853=LINE('',#555390,#135770); #96854=LINE('',#555392,#135771); #96855=LINE('',#555393,#135772); #96856=LINE('',#555396,#135773); #96857=LINE('',#555398,#135774); #96858=LINE('',#555399,#135775); #96859=LINE('',#555402,#135776); #96860=LINE('',#555404,#135777); #96861=LINE('',#555405,#135778); #96862=LINE('',#555408,#135779); #96863=LINE('',#555410,#135780); #96864=LINE('',#555411,#135781); #96865=LINE('',#555414,#135782); #96866=LINE('',#555416,#135783); #96867=LINE('',#555417,#135784); #96868=LINE('',#555420,#135785); #96869=LINE('',#555422,#135786); #96870=LINE('',#555423,#135787); #96871=LINE('',#555426,#135788); #96872=LINE('',#555428,#135789); #96873=LINE('',#555429,#135790); #96874=LINE('',#555432,#135791); #96875=LINE('',#555434,#135792); #96876=LINE('',#555435,#135793); #96877=LINE('',#555438,#135794); #96878=LINE('',#555440,#135795); #96879=LINE('',#555441,#135796); #96880=LINE('',#555444,#135797); #96881=LINE('',#555446,#135798); #96882=LINE('',#555447,#135799); #96883=LINE('',#555450,#135800); #96884=LINE('',#555452,#135801); #96885=LINE('',#555453,#135802); #96886=LINE('',#555456,#135803); #96887=LINE('',#555458,#135804); #96888=LINE('',#555459,#135805); #96889=LINE('',#555462,#135806); #96890=LINE('',#555464,#135807); #96891=LINE('',#555465,#135808); #96892=LINE('',#555468,#135809); #96893=LINE('',#555470,#135810); #96894=LINE('',#555471,#135811); #96895=LINE('',#555474,#135812); #96896=LINE('',#555476,#135813); #96897=LINE('',#555477,#135814); #96898=LINE('',#555480,#135815); #96899=LINE('',#555482,#135816); #96900=LINE('',#555483,#135817); #96901=LINE('',#555486,#135818); #96902=LINE('',#555488,#135819); #96903=LINE('',#555489,#135820); #96904=LINE('',#555492,#135821); #96905=LINE('',#555494,#135822); #96906=LINE('',#555495,#135823); #96907=LINE('',#555498,#135824); #96908=LINE('',#555500,#135825); #96909=LINE('',#555501,#135826); #96910=LINE('',#555504,#135827); #96911=LINE('',#555506,#135828); #96912=LINE('',#555507,#135829); #96913=LINE('',#555510,#135830); #96914=LINE('',#555512,#135831); #96915=LINE('',#555513,#135832); #96916=LINE('',#555516,#135833); #96917=LINE('',#555518,#135834); #96918=LINE('',#555519,#135835); #96919=LINE('',#555522,#135836); #96920=LINE('',#555524,#135837); #96921=LINE('',#555525,#135838); #96922=LINE('',#555528,#135839); #96923=LINE('',#555530,#135840); #96924=LINE('',#555531,#135841); #96925=LINE('',#555534,#135842); #96926=LINE('',#555536,#135843); #96927=LINE('',#555537,#135844); #96928=LINE('',#555540,#135845); #96929=LINE('',#555542,#135846); #96930=LINE('',#555543,#135847); #96931=LINE('',#555546,#135848); #96932=LINE('',#555548,#135849); #96933=LINE('',#555549,#135850); #96934=LINE('',#555552,#135851); #96935=LINE('',#555554,#135852); #96936=LINE('',#555555,#135853); #96937=LINE('',#555558,#135854); #96938=LINE('',#555560,#135855); #96939=LINE('',#555561,#135856); #96940=LINE('',#555564,#135857); #96941=LINE('',#555566,#135858); #96942=LINE('',#555567,#135859); #96943=LINE('',#555570,#135860); #96944=LINE('',#555572,#135861); #96945=LINE('',#555573,#135862); #96946=LINE('',#555576,#135863); #96947=LINE('',#555578,#135864); #96948=LINE('',#555579,#135865); #96949=LINE('',#555582,#135866); #96950=LINE('',#555584,#135867); #96951=LINE('',#555585,#135868); #96952=LINE('',#555588,#135869); #96953=LINE('',#555590,#135870); #96954=LINE('',#555591,#135871); #96955=LINE('',#555594,#135872); #96956=LINE('',#555596,#135873); #96957=LINE('',#555597,#135874); #96958=LINE('',#555600,#135875); #96959=LINE('',#555602,#135876); #96960=LINE('',#555603,#135877); #96961=LINE('',#555606,#135878); #96962=LINE('',#555608,#135879); #96963=LINE('',#555609,#135880); #96964=LINE('',#555612,#135881); #96965=LINE('',#555614,#135882); #96966=LINE('',#555615,#135883); #96967=LINE('',#555618,#135884); #96968=LINE('',#555620,#135885); #96969=LINE('',#555621,#135886); #96970=LINE('',#555624,#135887); #96971=LINE('',#555626,#135888); #96972=LINE('',#555627,#135889); #96973=LINE('',#555630,#135890); #96974=LINE('',#555632,#135891); #96975=LINE('',#555633,#135892); #96976=LINE('',#555636,#135893); #96977=LINE('',#555638,#135894); #96978=LINE('',#555639,#135895); #96979=LINE('',#555642,#135896); #96980=LINE('',#555644,#135897); #96981=LINE('',#555645,#135898); #96982=LINE('',#555648,#135899); #96983=LINE('',#555650,#135900); #96984=LINE('',#555651,#135901); #96985=LINE('',#555654,#135902); #96986=LINE('',#555656,#135903); #96987=LINE('',#555657,#135904); #96988=LINE('',#555660,#135905); #96989=LINE('',#555662,#135906); #96990=LINE('',#555663,#135907); #96991=LINE('',#555666,#135908); #96992=LINE('',#555668,#135909); #96993=LINE('',#555669,#135910); #96994=LINE('',#555672,#135911); #96995=LINE('',#555674,#135912); #96996=LINE('',#555675,#135913); #96997=LINE('',#555678,#135914); #96998=LINE('',#555680,#135915); #96999=LINE('',#555681,#135916); #97000=LINE('',#555684,#135917); #97001=LINE('',#555686,#135918); #97002=LINE('',#555687,#135919); #97003=LINE('',#555690,#135920); #97004=LINE('',#555692,#135921); #97005=LINE('',#555693,#135922); #97006=LINE('',#555696,#135923); #97007=LINE('',#555698,#135924); #97008=LINE('',#555699,#135925); #97009=LINE('',#555702,#135926); #97010=LINE('',#555704,#135927); #97011=LINE('',#555705,#135928); #97012=LINE('',#555708,#135929); #97013=LINE('',#555710,#135930); #97014=LINE('',#555711,#135931); #97015=LINE('',#555714,#135932); #97016=LINE('',#555716,#135933); #97017=LINE('',#555717,#135934); #97018=LINE('',#555720,#135935); #97019=LINE('',#555722,#135936); #97020=LINE('',#555723,#135937); #97021=LINE('',#555726,#135938); #97022=LINE('',#555728,#135939); #97023=LINE('',#555729,#135940); #97024=LINE('',#555732,#135941); #97025=LINE('',#555734,#135942); #97026=LINE('',#555735,#135943); #97027=LINE('',#555738,#135944); #97028=LINE('',#555740,#135945); #97029=LINE('',#555741,#135946); #97030=LINE('',#555744,#135947); #97031=LINE('',#555746,#135948); #97032=LINE('',#555747,#135949); #97033=LINE('',#555750,#135950); #97034=LINE('',#555752,#135951); #97035=LINE('',#555753,#135952); #97036=LINE('',#555756,#135953); #97037=LINE('',#555758,#135954); #97038=LINE('',#555759,#135955); #97039=LINE('',#555762,#135956); #97040=LINE('',#555764,#135957); #97041=LINE('',#555765,#135958); #97042=LINE('',#555768,#135959); #97043=LINE('',#555770,#135960); #97044=LINE('',#555771,#135961); #97045=LINE('',#555774,#135962); #97046=LINE('',#555776,#135963); #97047=LINE('',#555777,#135964); #97048=LINE('',#555780,#135965); #97049=LINE('',#555782,#135966); #97050=LINE('',#555783,#135967); #97051=LINE('',#555786,#135968); #97052=LINE('',#555788,#135969); #97053=LINE('',#555789,#135970); #97054=LINE('',#555792,#135971); #97055=LINE('',#555794,#135972); #97056=LINE('',#555795,#135973); #97057=LINE('',#555798,#135974); #97058=LINE('',#555800,#135975); #97059=LINE('',#555801,#135976); #97060=LINE('',#555804,#135977); #97061=LINE('',#555806,#135978); #97062=LINE('',#555807,#135979); #97063=LINE('',#555810,#135980); #97064=LINE('',#555812,#135981); #97065=LINE('',#555813,#135982); #97066=LINE('',#555816,#135983); #97067=LINE('',#555818,#135984); #97068=LINE('',#555819,#135985); #97069=LINE('',#555822,#135986); #97070=LINE('',#555824,#135987); #97071=LINE('',#555825,#135988); #97072=LINE('',#555828,#135989); #97073=LINE('',#555830,#135990); #97074=LINE('',#555831,#135991); #97075=LINE('',#555834,#135992); #97076=LINE('',#555836,#135993); #97077=LINE('',#555837,#135994); #97078=LINE('',#555840,#135995); #97079=LINE('',#555842,#135996); #97080=LINE('',#555843,#135997); #97081=LINE('',#555846,#135998); #97082=LINE('',#555848,#135999); #97083=LINE('',#555849,#136000); #97084=LINE('',#555852,#136001); #97085=LINE('',#555854,#136002); #97086=LINE('',#555855,#136003); #97087=LINE('',#555858,#136004); #97088=LINE('',#555860,#136005); #97089=LINE('',#555861,#136006); #97090=LINE('',#555864,#136007); #97091=LINE('',#555866,#136008); #97092=LINE('',#555867,#136009); #97093=LINE('',#555870,#136010); #97094=LINE('',#555872,#136011); #97095=LINE('',#555873,#136012); #97096=LINE('',#555876,#136013); #97097=LINE('',#555878,#136014); #97098=LINE('',#555879,#136015); #97099=LINE('',#555882,#136016); #97100=LINE('',#555884,#136017); #97101=LINE('',#555885,#136018); #97102=LINE('',#555888,#136019); #97103=LINE('',#555890,#136020); #97104=LINE('',#555891,#136021); #97105=LINE('',#555894,#136022); #97106=LINE('',#555896,#136023); #97107=LINE('',#555897,#136024); #97108=LINE('',#555900,#136025); #97109=LINE('',#555902,#136026); #97110=LINE('',#555903,#136027); #97111=LINE('',#555906,#136028); #97112=LINE('',#555908,#136029); #97113=LINE('',#555909,#136030); #97114=LINE('',#555912,#136031); #97115=LINE('',#555914,#136032); #97116=LINE('',#555915,#136033); #97117=LINE('',#555918,#136034); #97118=LINE('',#555920,#136035); #97119=LINE('',#555921,#136036); #97120=LINE('',#555924,#136037); #97121=LINE('',#555926,#136038); #97122=LINE('',#555927,#136039); #97123=LINE('',#555930,#136040); #97124=LINE('',#555932,#136041); #97125=LINE('',#555933,#136042); #97126=LINE('',#555936,#136043); #97127=LINE('',#555938,#136044); #97128=LINE('',#555939,#136045); #97129=LINE('',#555942,#136046); #97130=LINE('',#555944,#136047); #97131=LINE('',#555945,#136048); #97132=LINE('',#555948,#136049); #97133=LINE('',#555950,#136050); #97134=LINE('',#555951,#136051); #97135=LINE('',#555954,#136052); #97136=LINE('',#555956,#136053); #97137=LINE('',#555957,#136054); #97138=LINE('',#555960,#136055); #97139=LINE('',#555962,#136056); #97140=LINE('',#555963,#136057); #97141=LINE('',#555966,#136058); #97142=LINE('',#555968,#136059); #97143=LINE('',#555969,#136060); #97144=LINE('',#555972,#136061); #97145=LINE('',#555974,#136062); #97146=LINE('',#555975,#136063); #97147=LINE('',#555978,#136064); #97148=LINE('',#555980,#136065); #97149=LINE('',#555981,#136066); #97150=LINE('',#555984,#136067); #97151=LINE('',#555986,#136068); #97152=LINE('',#555987,#136069); #97153=LINE('',#555990,#136070); #97154=LINE('',#555992,#136071); #97155=LINE('',#555993,#136072); #97156=LINE('',#555996,#136073); #97157=LINE('',#555998,#136074); #97158=LINE('',#555999,#136075); #97159=LINE('',#556002,#136076); #97160=LINE('',#556004,#136077); #97161=LINE('',#556005,#136078); #97162=LINE('',#556008,#136079); #97163=LINE('',#556010,#136080); #97164=LINE('',#556011,#136081); #97165=LINE('',#556014,#136082); #97166=LINE('',#556016,#136083); #97167=LINE('',#556017,#136084); #97168=LINE('',#556020,#136085); #97169=LINE('',#556022,#136086); #97170=LINE('',#556023,#136087); #97171=LINE('',#556026,#136088); #97172=LINE('',#556028,#136089); #97173=LINE('',#556029,#136090); #97174=LINE('',#556032,#136091); #97175=LINE('',#556034,#136092); #97176=LINE('',#556035,#136093); #97177=LINE('',#556038,#136094); #97178=LINE('',#556040,#136095); #97179=LINE('',#556041,#136096); #97180=LINE('',#556044,#136097); #97181=LINE('',#556046,#136098); #97182=LINE('',#556047,#136099); #97183=LINE('',#556050,#136100); #97184=LINE('',#556052,#136101); #97185=LINE('',#556053,#136102); #97186=LINE('',#556056,#136103); #97187=LINE('',#556058,#136104); #97188=LINE('',#556059,#136105); #97189=LINE('',#556062,#136106); #97190=LINE('',#556064,#136107); #97191=LINE('',#556065,#136108); #97192=LINE('',#556068,#136109); #97193=LINE('',#556070,#136110); #97194=LINE('',#556071,#136111); #97195=LINE('',#556074,#136112); #97196=LINE('',#556076,#136113); #97197=LINE('',#556077,#136114); #97198=LINE('',#556080,#136115); #97199=LINE('',#556082,#136116); #97200=LINE('',#556083,#136117); #97201=LINE('',#556086,#136118); #97202=LINE('',#556088,#136119); #97203=LINE('',#556089,#136120); #97204=LINE('',#556092,#136121); #97205=LINE('',#556094,#136122); #97206=LINE('',#556095,#136123); #97207=LINE('',#556098,#136124); #97208=LINE('',#556100,#136125); #97209=LINE('',#556101,#136126); #97210=LINE('',#556104,#136127); #97211=LINE('',#556106,#136128); #97212=LINE('',#556107,#136129); #97213=LINE('',#556110,#136130); #97214=LINE('',#556112,#136131); #97215=LINE('',#556113,#136132); #97216=LINE('',#556116,#136133); #97217=LINE('',#556118,#136134); #97218=LINE('',#556119,#136135); #97219=LINE('',#556122,#136136); #97220=LINE('',#556124,#136137); #97221=LINE('',#556125,#136138); #97222=LINE('',#556128,#136139); #97223=LINE('',#556130,#136140); #97224=LINE('',#556131,#136141); #97225=LINE('',#556134,#136142); #97226=LINE('',#556136,#136143); #97227=LINE('',#556137,#136144); #97228=LINE('',#556140,#136145); #97229=LINE('',#556142,#136146); #97230=LINE('',#556143,#136147); #97231=LINE('',#556146,#136148); #97232=LINE('',#556148,#136149); #97233=LINE('',#556149,#136150); #97234=LINE('',#556152,#136151); #97235=LINE('',#556154,#136152); #97236=LINE('',#556155,#136153); #97237=LINE('',#556158,#136154); #97238=LINE('',#556160,#136155); #97239=LINE('',#556161,#136156); #97240=LINE('',#556164,#136157); #97241=LINE('',#556166,#136158); #97242=LINE('',#556167,#136159); #97243=LINE('',#556170,#136160); #97244=LINE('',#556172,#136161); #97245=LINE('',#556173,#136162); #97246=LINE('',#556176,#136163); #97247=LINE('',#556178,#136164); #97248=LINE('',#556179,#136165); #97249=LINE('',#556182,#136166); #97250=LINE('',#556184,#136167); #97251=LINE('',#556185,#136168); #97252=LINE('',#556188,#136169); #97253=LINE('',#556190,#136170); #97254=LINE('',#556191,#136171); #97255=LINE('',#556194,#136172); #97256=LINE('',#556196,#136173); #97257=LINE('',#556197,#136174); #97258=LINE('',#556200,#136175); #97259=LINE('',#556202,#136176); #97260=LINE('',#556203,#136177); #97261=LINE('',#556206,#136178); #97262=LINE('',#556208,#136179); #97263=LINE('',#556209,#136180); #97264=LINE('',#556212,#136181); #97265=LINE('',#556214,#136182); #97266=LINE('',#556215,#136183); #97267=LINE('',#556218,#136184); #97268=LINE('',#556220,#136185); #97269=LINE('',#556221,#136186); #97270=LINE('',#556224,#136187); #97271=LINE('',#556226,#136188); #97272=LINE('',#556227,#136189); #97273=LINE('',#556230,#136190); #97274=LINE('',#556232,#136191); #97275=LINE('',#556233,#136192); #97276=LINE('',#556236,#136193); #97277=LINE('',#556238,#136194); #97278=LINE('',#556239,#136195); #97279=LINE('',#556242,#136196); #97280=LINE('',#556244,#136197); #97281=LINE('',#556245,#136198); #97282=LINE('',#556248,#136199); #97283=LINE('',#556250,#136200); #97284=LINE('',#556251,#136201); #97285=LINE('',#556254,#136202); #97286=LINE('',#556256,#136203); #97287=LINE('',#556257,#136204); #97288=LINE('',#556260,#136205); #97289=LINE('',#556262,#136206); #97290=LINE('',#556263,#136207); #97291=LINE('',#556266,#136208); #97292=LINE('',#556268,#136209); #97293=LINE('',#556269,#136210); #97294=LINE('',#556272,#136211); #97295=LINE('',#556274,#136212); #97296=LINE('',#556275,#136213); #97297=LINE('',#556278,#136214); #97298=LINE('',#556280,#136215); #97299=LINE('',#556281,#136216); #97300=LINE('',#556284,#136217); #97301=LINE('',#556286,#136218); #97302=LINE('',#556287,#136219); #97303=LINE('',#556290,#136220); #97304=LINE('',#556292,#136221); #97305=LINE('',#556293,#136222); #97306=LINE('',#556296,#136223); #97307=LINE('',#556298,#136224); #97308=LINE('',#556299,#136225); #97309=LINE('',#556302,#136226); #97310=LINE('',#556304,#136227); #97311=LINE('',#556305,#136228); #97312=LINE('',#556308,#136229); #97313=LINE('',#556310,#136230); #97314=LINE('',#556311,#136231); #97315=LINE('',#556314,#136232); #97316=LINE('',#556316,#136233); #97317=LINE('',#556317,#136234); #97318=LINE('',#556320,#136235); #97319=LINE('',#556322,#136236); #97320=LINE('',#556323,#136237); #97321=LINE('',#556326,#136238); #97322=LINE('',#556328,#136239); #97323=LINE('',#556329,#136240); #97324=LINE('',#556332,#136241); #97325=LINE('',#556334,#136242); #97326=LINE('',#556335,#136243); #97327=LINE('',#556338,#136244); #97328=LINE('',#556340,#136245); #97329=LINE('',#556341,#136246); #97330=LINE('',#556344,#136247); #97331=LINE('',#556346,#136248); #97332=LINE('',#556347,#136249); #97333=LINE('',#556350,#136250); #97334=LINE('',#556352,#136251); #97335=LINE('',#556353,#136252); #97336=LINE('',#556356,#136253); #97337=LINE('',#556358,#136254); #97338=LINE('',#556359,#136255); #97339=LINE('',#556362,#136256); #97340=LINE('',#556364,#136257); #97341=LINE('',#556365,#136258); #97342=LINE('',#556368,#136259); #97343=LINE('',#556370,#136260); #97344=LINE('',#556371,#136261); #97345=LINE('',#556374,#136262); #97346=LINE('',#556376,#136263); #97347=LINE('',#556377,#136264); #97348=LINE('',#556380,#136265); #97349=LINE('',#556382,#136266); #97350=LINE('',#556383,#136267); #97351=LINE('',#556386,#136268); #97352=LINE('',#556388,#136269); #97353=LINE('',#556389,#136270); #97354=LINE('',#556392,#136271); #97355=LINE('',#556394,#136272); #97356=LINE('',#556395,#136273); #97357=LINE('',#556398,#136274); #97358=LINE('',#556400,#136275); #97359=LINE('',#556401,#136276); #97360=LINE('',#556404,#136277); #97361=LINE('',#556406,#136278); #97362=LINE('',#556407,#136279); #97363=LINE('',#556410,#136280); #97364=LINE('',#556412,#136281); #97365=LINE('',#556413,#136282); #97366=LINE('',#556416,#136283); #97367=LINE('',#556418,#136284); #97368=LINE('',#556419,#136285); #97369=LINE('',#556422,#136286); #97370=LINE('',#556424,#136287); #97371=LINE('',#556425,#136288); #97372=LINE('',#556428,#136289); #97373=LINE('',#556430,#136290); #97374=LINE('',#556431,#136291); #97375=LINE('',#556434,#136292); #97376=LINE('',#556436,#136293); #97377=LINE('',#556437,#136294); #97378=LINE('',#556440,#136295); #97379=LINE('',#556442,#136296); #97380=LINE('',#556443,#136297); #97381=LINE('',#556446,#136298); #97382=LINE('',#556448,#136299); #97383=LINE('',#556449,#136300); #97384=LINE('',#556452,#136301); #97385=LINE('',#556454,#136302); #97386=LINE('',#556455,#136303); #97387=LINE('',#556458,#136304); #97388=LINE('',#556460,#136305); #97389=LINE('',#556461,#136306); #97390=LINE('',#556464,#136307); #97391=LINE('',#556466,#136308); #97392=LINE('',#556467,#136309); #97393=LINE('',#556470,#136310); #97394=LINE('',#556472,#136311); #97395=LINE('',#556473,#136312); #97396=LINE('',#556476,#136313); #97397=LINE('',#556478,#136314); #97398=LINE('',#556479,#136315); #97399=LINE('',#556482,#136316); #97400=LINE('',#556484,#136317); #97401=LINE('',#556485,#136318); #97402=LINE('',#556488,#136319); #97403=LINE('',#556490,#136320); #97404=LINE('',#556491,#136321); #97405=LINE('',#556494,#136322); #97406=LINE('',#556496,#136323); #97407=LINE('',#556497,#136324); #97408=LINE('',#556500,#136325); #97409=LINE('',#556502,#136326); #97410=LINE('',#556503,#136327); #97411=LINE('',#556506,#136328); #97412=LINE('',#556508,#136329); #97413=LINE('',#556509,#136330); #97414=LINE('',#556512,#136331); #97415=LINE('',#556514,#136332); #97416=LINE('',#556515,#136333); #97417=LINE('',#556518,#136334); #97418=LINE('',#556520,#136335); #97419=LINE('',#556521,#136336); #97420=LINE('',#556524,#136337); #97421=LINE('',#556526,#136338); #97422=LINE('',#556527,#136339); #97423=LINE('',#556530,#136340); #97424=LINE('',#556532,#136341); #97425=LINE('',#556533,#136342); #97426=LINE('',#556536,#136343); #97427=LINE('',#556538,#136344); #97428=LINE('',#556539,#136345); #97429=LINE('',#556542,#136346); #97430=LINE('',#556544,#136347); #97431=LINE('',#556545,#136348); #97432=LINE('',#556548,#136349); #97433=LINE('',#556550,#136350); #97434=LINE('',#556551,#136351); #97435=LINE('',#556554,#136352); #97436=LINE('',#556556,#136353); #97437=LINE('',#556557,#136354); #97438=LINE('',#556560,#136355); #97439=LINE('',#556562,#136356); #97440=LINE('',#556563,#136357); #97441=LINE('',#556566,#136358); #97442=LINE('',#556568,#136359); #97443=LINE('',#556569,#136360); #97444=LINE('',#556572,#136361); #97445=LINE('',#556574,#136362); #97446=LINE('',#556575,#136363); #97447=LINE('',#556578,#136364); #97448=LINE('',#556580,#136365); #97449=LINE('',#556581,#136366); #97450=LINE('',#556584,#136367); #97451=LINE('',#556586,#136368); #97452=LINE('',#556587,#136369); #97453=LINE('',#556590,#136370); #97454=LINE('',#556592,#136371); #97455=LINE('',#556593,#136372); #97456=LINE('',#556596,#136373); #97457=LINE('',#556598,#136374); #97458=LINE('',#556599,#136375); #97459=LINE('',#556602,#136376); #97460=LINE('',#556604,#136377); #97461=LINE('',#556605,#136378); #97462=LINE('',#556608,#136379); #97463=LINE('',#556610,#136380); #97464=LINE('',#556611,#136381); #97465=LINE('',#556614,#136382); #97466=LINE('',#556616,#136383); #97467=LINE('',#556617,#136384); #97468=LINE('',#556620,#136385); #97469=LINE('',#556622,#136386); #97470=LINE('',#556623,#136387); #97471=LINE('',#556626,#136388); #97472=LINE('',#556628,#136389); #97473=LINE('',#556629,#136390); #97474=LINE('',#556632,#136391); #97475=LINE('',#556634,#136392); #97476=LINE('',#556635,#136393); #97477=LINE('',#556638,#136394); #97478=LINE('',#556640,#136395); #97479=LINE('',#556641,#136396); #97480=LINE('',#556644,#136397); #97481=LINE('',#556646,#136398); #97482=LINE('',#556647,#136399); #97483=LINE('',#556650,#136400); #97484=LINE('',#556652,#136401); #97485=LINE('',#556653,#136402); #97486=LINE('',#556656,#136403); #97487=LINE('',#556658,#136404); #97488=LINE('',#556659,#136405); #97489=LINE('',#556662,#136406); #97490=LINE('',#556664,#136407); #97491=LINE('',#556665,#136408); #97492=LINE('',#556668,#136409); #97493=LINE('',#556670,#136410); #97494=LINE('',#556671,#136411); #97495=LINE('',#556674,#136412); #97496=LINE('',#556676,#136413); #97497=LINE('',#556677,#136414); #97498=LINE('',#556680,#136415); #97499=LINE('',#556682,#136416); #97500=LINE('',#556683,#136417); #97501=LINE('',#556686,#136418); #97502=LINE('',#556688,#136419); #97503=LINE('',#556689,#136420); #97504=LINE('',#556692,#136421); #97505=LINE('',#556694,#136422); #97506=LINE('',#556695,#136423); #97507=LINE('',#556698,#136424); #97508=LINE('',#556700,#136425); #97509=LINE('',#556701,#136426); #97510=LINE('',#556704,#136427); #97511=LINE('',#556706,#136428); #97512=LINE('',#556707,#136429); #97513=LINE('',#556710,#136430); #97514=LINE('',#556712,#136431); #97515=LINE('',#556713,#136432); #97516=LINE('',#556716,#136433); #97517=LINE('',#556718,#136434); #97518=LINE('',#556719,#136435); #97519=LINE('',#556722,#136436); #97520=LINE('',#556724,#136437); #97521=LINE('',#556725,#136438); #97522=LINE('',#556728,#136439); #97523=LINE('',#556730,#136440); #97524=LINE('',#556731,#136441); #97525=LINE('',#556734,#136442); #97526=LINE('',#556736,#136443); #97527=LINE('',#556737,#136444); #97528=LINE('',#556740,#136445); #97529=LINE('',#556742,#136446); #97530=LINE('',#556743,#136447); #97531=LINE('',#556746,#136448); #97532=LINE('',#556748,#136449); #97533=LINE('',#556749,#136450); #97534=LINE('',#556752,#136451); #97535=LINE('',#556754,#136452); #97536=LINE('',#556755,#136453); #97537=LINE('',#556758,#136454); #97538=LINE('',#556760,#136455); #97539=LINE('',#556761,#136456); #97540=LINE('',#556764,#136457); #97541=LINE('',#556766,#136458); #97542=LINE('',#556767,#136459); #97543=LINE('',#556770,#136460); #97544=LINE('',#556772,#136461); #97545=LINE('',#556773,#136462); #97546=LINE('',#556776,#136463); #97547=LINE('',#556778,#136464); #97548=LINE('',#556779,#136465); #97549=LINE('',#556782,#136466); #97550=LINE('',#556784,#136467); #97551=LINE('',#556785,#136468); #97552=LINE('',#556788,#136469); #97553=LINE('',#556790,#136470); #97554=LINE('',#556791,#136471); #97555=LINE('',#556794,#136472); #97556=LINE('',#556796,#136473); #97557=LINE('',#556797,#136474); #97558=LINE('',#556800,#136475); #97559=LINE('',#556802,#136476); #97560=LINE('',#556803,#136477); #97561=LINE('',#556806,#136478); #97562=LINE('',#556808,#136479); #97563=LINE('',#556809,#136480); #97564=LINE('',#556812,#136481); #97565=LINE('',#556814,#136482); #97566=LINE('',#556815,#136483); #97567=LINE('',#556818,#136484); #97568=LINE('',#556820,#136485); #97569=LINE('',#556821,#136486); #97570=LINE('',#556824,#136487); #97571=LINE('',#556826,#136488); #97572=LINE('',#556827,#136489); #97573=LINE('',#556830,#136490); #97574=LINE('',#556832,#136491); #97575=LINE('',#556833,#136492); #97576=LINE('',#556836,#136493); #97577=LINE('',#556838,#136494); #97578=LINE('',#556839,#136495); #97579=LINE('',#556842,#136496); #97580=LINE('',#556844,#136497); #97581=LINE('',#556845,#136498); #97582=LINE('',#556848,#136499); #97583=LINE('',#556850,#136500); #97584=LINE('',#556851,#136501); #97585=LINE('',#556854,#136502); #97586=LINE('',#556856,#136503); #97587=LINE('',#556857,#136504); #97588=LINE('',#556860,#136505); #97589=LINE('',#556862,#136506); #97590=LINE('',#556863,#136507); #97591=LINE('',#556866,#136508); #97592=LINE('',#556868,#136509); #97593=LINE('',#556869,#136510); #97594=LINE('',#556871,#136511); #97595=LINE('',#556872,#136512); #97596=LINE('',#556876,#136513); #97597=LINE('',#556878,#136514); #97598=LINE('',#556880,#136515); #97599=LINE('',#556881,#136516); #97600=LINE('',#556884,#136517); #97601=LINE('',#556886,#136518); #97602=LINE('',#556887,#136519); #97603=LINE('',#556890,#136520); #97604=LINE('',#556892,#136521); #97605=LINE('',#556893,#136522); #97606=LINE('',#556896,#136523); #97607=LINE('',#556898,#136524); #97608=LINE('',#556899,#136525); #97609=LINE('',#556902,#136526); #97610=LINE('',#556904,#136527); #97611=LINE('',#556905,#136528); #97612=LINE('',#556908,#136529); #97613=LINE('',#556910,#136530); #97614=LINE('',#556911,#136531); #97615=LINE('',#556914,#136532); #97616=LINE('',#556916,#136533); #97617=LINE('',#556917,#136534); #97618=LINE('',#556920,#136535); #97619=LINE('',#556922,#136536); #97620=LINE('',#556923,#136537); #97621=LINE('',#556926,#136538); #97622=LINE('',#556928,#136539); #97623=LINE('',#556929,#136540); #97624=LINE('',#556932,#136541); #97625=LINE('',#556934,#136542); #97626=LINE('',#556935,#136543); #97627=LINE('',#556938,#136544); #97628=LINE('',#556940,#136545); #97629=LINE('',#556941,#136546); #97630=LINE('',#556944,#136547); #97631=LINE('',#556946,#136548); #97632=LINE('',#556947,#136549); #97633=LINE('',#556950,#136550); #97634=LINE('',#556952,#136551); #97635=LINE('',#556953,#136552); #97636=LINE('',#556956,#136553); #97637=LINE('',#556958,#136554); #97638=LINE('',#556959,#136555); #97639=LINE('',#556962,#136556); #97640=LINE('',#556964,#136557); #97641=LINE('',#556965,#136558); #97642=LINE('',#556968,#136559); #97643=LINE('',#556970,#136560); #97644=LINE('',#556971,#136561); #97645=LINE('',#556974,#136562); #97646=LINE('',#556976,#136563); #97647=LINE('',#556977,#136564); #97648=LINE('',#556980,#136565); #97649=LINE('',#556982,#136566); #97650=LINE('',#556983,#136567); #97651=LINE('',#556986,#136568); #97652=LINE('',#556988,#136569); #97653=LINE('',#556989,#136570); #97654=LINE('',#556992,#136571); #97655=LINE('',#556994,#136572); #97656=LINE('',#556995,#136573); #97657=LINE('',#556998,#136574); #97658=LINE('',#557000,#136575); #97659=LINE('',#557001,#136576); #97660=LINE('',#557004,#136577); #97661=LINE('',#557006,#136578); #97662=LINE('',#557007,#136579); #97663=LINE('',#557010,#136580); #97664=LINE('',#557012,#136581); #97665=LINE('',#557013,#136582); #97666=LINE('',#557016,#136583); #97667=LINE('',#557018,#136584); #97668=LINE('',#557019,#136585); #97669=LINE('',#557022,#136586); #97670=LINE('',#557024,#136587); #97671=LINE('',#557025,#136588); #97672=LINE('',#557028,#136589); #97673=LINE('',#557030,#136590); #97674=LINE('',#557031,#136591); #97675=LINE('',#557034,#136592); #97676=LINE('',#557036,#136593); #97677=LINE('',#557037,#136594); #97678=LINE('',#557040,#136595); #97679=LINE('',#557042,#136596); #97680=LINE('',#557043,#136597); #97681=LINE('',#557046,#136598); #97682=LINE('',#557048,#136599); #97683=LINE('',#557049,#136600); #97684=LINE('',#557052,#136601); #97685=LINE('',#557054,#136602); #97686=LINE('',#557055,#136603); #97687=LINE('',#557058,#136604); #97688=LINE('',#557060,#136605); #97689=LINE('',#557061,#136606); #97690=LINE('',#557064,#136607); #97691=LINE('',#557066,#136608); #97692=LINE('',#557067,#136609); #97693=LINE('',#557070,#136610); #97694=LINE('',#557072,#136611); #97695=LINE('',#557073,#136612); #97696=LINE('',#557076,#136613); #97697=LINE('',#557078,#136614); #97698=LINE('',#557079,#136615); #97699=LINE('',#557082,#136616); #97700=LINE('',#557084,#136617); #97701=LINE('',#557085,#136618); #97702=LINE('',#557088,#136619); #97703=LINE('',#557090,#136620); #97704=LINE('',#557091,#136621); #97705=LINE('',#557094,#136622); #97706=LINE('',#557096,#136623); #97707=LINE('',#557097,#136624); #97708=LINE('',#557100,#136625); #97709=LINE('',#557102,#136626); #97710=LINE('',#557103,#136627); #97711=LINE('',#557106,#136628); #97712=LINE('',#557108,#136629); #97713=LINE('',#557109,#136630); #97714=LINE('',#557112,#136631); #97715=LINE('',#557114,#136632); #97716=LINE('',#557115,#136633); #97717=LINE('',#557118,#136634); #97718=LINE('',#557120,#136635); #97719=LINE('',#557121,#136636); #97720=LINE('',#557124,#136637); #97721=LINE('',#557126,#136638); #97722=LINE('',#557127,#136639); #97723=LINE('',#557130,#136640); #97724=LINE('',#557132,#136641); #97725=LINE('',#557133,#136642); #97726=LINE('',#557136,#136643); #97727=LINE('',#557138,#136644); #97728=LINE('',#557139,#136645); #97729=LINE('',#557142,#136646); #97730=LINE('',#557144,#136647); #97731=LINE('',#557145,#136648); #97732=LINE('',#557148,#136649); #97733=LINE('',#557150,#136650); #97734=LINE('',#557151,#136651); #97735=LINE('',#557154,#136652); #97736=LINE('',#557156,#136653); #97737=LINE('',#557157,#136654); #97738=LINE('',#557160,#136655); #97739=LINE('',#557162,#136656); #97740=LINE('',#557163,#136657); #97741=LINE('',#557166,#136658); #97742=LINE('',#557168,#136659); #97743=LINE('',#557169,#136660); #97744=LINE('',#557172,#136661); #97745=LINE('',#557174,#136662); #97746=LINE('',#557175,#136663); #97747=LINE('',#557178,#136664); #97748=LINE('',#557180,#136665); #97749=LINE('',#557181,#136666); #97750=LINE('',#557184,#136667); #97751=LINE('',#557186,#136668); #97752=LINE('',#557187,#136669); #97753=LINE('',#557190,#136670); #97754=LINE('',#557192,#136671); #97755=LINE('',#557193,#136672); #97756=LINE('',#557196,#136673); #97757=LINE('',#557198,#136674); #97758=LINE('',#557199,#136675); #97759=LINE('',#557202,#136676); #97760=LINE('',#557204,#136677); #97761=LINE('',#557205,#136678); #97762=LINE('',#557208,#136679); #97763=LINE('',#557210,#136680); #97764=LINE('',#557211,#136681); #97765=LINE('',#557214,#136682); #97766=LINE('',#557216,#136683); #97767=LINE('',#557217,#136684); #97768=LINE('',#557220,#136685); #97769=LINE('',#557222,#136686); #97770=LINE('',#557223,#136687); #97771=LINE('',#557226,#136688); #97772=LINE('',#557228,#136689); #97773=LINE('',#557229,#136690); #97774=LINE('',#557232,#136691); #97775=LINE('',#557234,#136692); #97776=LINE('',#557235,#136693); #97777=LINE('',#557238,#136694); #97778=LINE('',#557240,#136695); #97779=LINE('',#557241,#136696); #97780=LINE('',#557244,#136697); #97781=LINE('',#557246,#136698); #97782=LINE('',#557247,#136699); #97783=LINE('',#557250,#136700); #97784=LINE('',#557252,#136701); #97785=LINE('',#557253,#136702); #97786=LINE('',#557256,#136703); #97787=LINE('',#557258,#136704); #97788=LINE('',#557259,#136705); #97789=LINE('',#557262,#136706); #97790=LINE('',#557264,#136707); #97791=LINE('',#557265,#136708); #97792=LINE('',#557268,#136709); #97793=LINE('',#557270,#136710); #97794=LINE('',#557271,#136711); #97795=LINE('',#557274,#136712); #97796=LINE('',#557276,#136713); #97797=LINE('',#557277,#136714); #97798=LINE('',#557280,#136715); #97799=LINE('',#557282,#136716); #97800=LINE('',#557283,#136717); #97801=LINE('',#557286,#136718); #97802=LINE('',#557288,#136719); #97803=LINE('',#557289,#136720); #97804=LINE('',#557292,#136721); #97805=LINE('',#557294,#136722); #97806=LINE('',#557295,#136723); #97807=LINE('',#557298,#136724); #97808=LINE('',#557300,#136725); #97809=LINE('',#557301,#136726); #97810=LINE('',#557304,#136727); #97811=LINE('',#557306,#136728); #97812=LINE('',#557307,#136729); #97813=LINE('',#557310,#136730); #97814=LINE('',#557312,#136731); #97815=LINE('',#557313,#136732); #97816=LINE('',#557316,#136733); #97817=LINE('',#557318,#136734); #97818=LINE('',#557319,#136735); #97819=LINE('',#557322,#136736); #97820=LINE('',#557324,#136737); #97821=LINE('',#557325,#136738); #97822=LINE('',#557328,#136739); #97823=LINE('',#557330,#136740); #97824=LINE('',#557331,#136741); #97825=LINE('',#557334,#136742); #97826=LINE('',#557336,#136743); #97827=LINE('',#557337,#136744); #97828=LINE('',#557340,#136745); #97829=LINE('',#557342,#136746); #97830=LINE('',#557343,#136747); #97831=LINE('',#557346,#136748); #97832=LINE('',#557348,#136749); #97833=LINE('',#557349,#136750); #97834=LINE('',#557352,#136751); #97835=LINE('',#557354,#136752); #97836=LINE('',#557355,#136753); #97837=LINE('',#557358,#136754); #97838=LINE('',#557360,#136755); #97839=LINE('',#557361,#136756); #97840=LINE('',#557364,#136757); #97841=LINE('',#557366,#136758); #97842=LINE('',#557367,#136759); #97843=LINE('',#557370,#136760); #97844=LINE('',#557372,#136761); #97845=LINE('',#557373,#136762); #97846=LINE('',#557376,#136763); #97847=LINE('',#557378,#136764); #97848=LINE('',#557379,#136765); #97849=LINE('',#557382,#136766); #97850=LINE('',#557384,#136767); #97851=LINE('',#557385,#136768); #97852=LINE('',#557388,#136769); #97853=LINE('',#557390,#136770); #97854=LINE('',#557391,#136771); #97855=LINE('',#557394,#136772); #97856=LINE('',#557396,#136773); #97857=LINE('',#557397,#136774); #97858=LINE('',#557400,#136775); #97859=LINE('',#557402,#136776); #97860=LINE('',#557403,#136777); #97861=LINE('',#557406,#136778); #97862=LINE('',#557408,#136779); #97863=LINE('',#557409,#136780); #97864=LINE('',#557412,#136781); #97865=LINE('',#557414,#136782); #97866=LINE('',#557415,#136783); #97867=LINE('',#557418,#136784); #97868=LINE('',#557420,#136785); #97869=LINE('',#557421,#136786); #97870=LINE('',#557424,#136787); #97871=LINE('',#557426,#136788); #97872=LINE('',#557427,#136789); #97873=LINE('',#557430,#136790); #97874=LINE('',#557432,#136791); #97875=LINE('',#557433,#136792); #97876=LINE('',#557436,#136793); #97877=LINE('',#557438,#136794); #97878=LINE('',#557439,#136795); #97879=LINE('',#557442,#136796); #97880=LINE('',#557444,#136797); #97881=LINE('',#557445,#136798); #97882=LINE('',#557448,#136799); #97883=LINE('',#557450,#136800); #97884=LINE('',#557451,#136801); #97885=LINE('',#557454,#136802); #97886=LINE('',#557456,#136803); #97887=LINE('',#557457,#136804); #97888=LINE('',#557460,#136805); #97889=LINE('',#557462,#136806); #97890=LINE('',#557463,#136807); #97891=LINE('',#557466,#136808); #97892=LINE('',#557468,#136809); #97893=LINE('',#557469,#136810); #97894=LINE('',#557471,#136811); #97895=LINE('',#557472,#136812); #97896=LINE('',#557476,#136813); #97897=LINE('',#557478,#136814); #97898=LINE('',#557480,#136815); #97899=LINE('',#557481,#136816); #97900=LINE('',#557484,#136817); #97901=LINE('',#557486,#136818); #97902=LINE('',#557487,#136819); #97903=LINE('',#557490,#136820); #97904=LINE('',#557492,#136821); #97905=LINE('',#557493,#136822); #97906=LINE('',#557496,#136823); #97907=LINE('',#557498,#136824); #97908=LINE('',#557499,#136825); #97909=LINE('',#557502,#136826); #97910=LINE('',#557504,#136827); #97911=LINE('',#557505,#136828); #97912=LINE('',#557508,#136829); #97913=LINE('',#557510,#136830); #97914=LINE('',#557511,#136831); #97915=LINE('',#557514,#136832); #97916=LINE('',#557516,#136833); #97917=LINE('',#557517,#136834); #97918=LINE('',#557520,#136835); #97919=LINE('',#557522,#136836); #97920=LINE('',#557523,#136837); #97921=LINE('',#557526,#136838); #97922=LINE('',#557528,#136839); #97923=LINE('',#557529,#136840); #97924=LINE('',#557532,#136841); #97925=LINE('',#557534,#136842); #97926=LINE('',#557535,#136843); #97927=LINE('',#557538,#136844); #97928=LINE('',#557540,#136845); #97929=LINE('',#557541,#136846); #97930=LINE('',#557544,#136847); #97931=LINE('',#557546,#136848); #97932=LINE('',#557547,#136849); #97933=LINE('',#557550,#136850); #97934=LINE('',#557552,#136851); #97935=LINE('',#557553,#136852); #97936=LINE('',#557556,#136853); #97937=LINE('',#557558,#136854); #97938=LINE('',#557559,#136855); #97939=LINE('',#557562,#136856); #97940=LINE('',#557564,#136857); #97941=LINE('',#557565,#136858); #97942=LINE('',#557568,#136859); #97943=LINE('',#557570,#136860); #97944=LINE('',#557571,#136861); #97945=LINE('',#557574,#136862); #97946=LINE('',#557576,#136863); #97947=LINE('',#557577,#136864); #97948=LINE('',#557580,#136865); #97949=LINE('',#557582,#136866); #97950=LINE('',#557583,#136867); #97951=LINE('',#557586,#136868); #97952=LINE('',#557588,#136869); #97953=LINE('',#557589,#136870); #97954=LINE('',#557592,#136871); #97955=LINE('',#557594,#136872); #97956=LINE('',#557595,#136873); #97957=LINE('',#557598,#136874); #97958=LINE('',#557600,#136875); #97959=LINE('',#557601,#136876); #97960=LINE('',#557604,#136877); #97961=LINE('',#557606,#136878); #97962=LINE('',#557607,#136879); #97963=LINE('',#557610,#136880); #97964=LINE('',#557612,#136881); #97965=LINE('',#557613,#136882); #97966=LINE('',#557616,#136883); #97967=LINE('',#557618,#136884); #97968=LINE('',#557619,#136885); #97969=LINE('',#557622,#136886); #97970=LINE('',#557624,#136887); #97971=LINE('',#557625,#136888); #97972=LINE('',#557628,#136889); #97973=LINE('',#557630,#136890); #97974=LINE('',#557631,#136891); #97975=LINE('',#557634,#136892); #97976=LINE('',#557636,#136893); #97977=LINE('',#557637,#136894); #97978=LINE('',#557640,#136895); #97979=LINE('',#557642,#136896); #97980=LINE('',#557643,#136897); #97981=LINE('',#557646,#136898); #97982=LINE('',#557648,#136899); #97983=LINE('',#557649,#136900); #97984=LINE('',#557652,#136901); #97985=LINE('',#557654,#136902); #97986=LINE('',#557655,#136903); #97987=LINE('',#557658,#136904); #97988=LINE('',#557660,#136905); #97989=LINE('',#557661,#136906); #97990=LINE('',#557664,#136907); #97991=LINE('',#557666,#136908); #97992=LINE('',#557667,#136909); #97993=LINE('',#557670,#136910); #97994=LINE('',#557672,#136911); #97995=LINE('',#557673,#136912); #97996=LINE('',#557676,#136913); #97997=LINE('',#557678,#136914); #97998=LINE('',#557679,#136915); #97999=LINE('',#557682,#136916); #98000=LINE('',#557684,#136917); #98001=LINE('',#557685,#136918); #98002=LINE('',#557688,#136919); #98003=LINE('',#557690,#136920); #98004=LINE('',#557691,#136921); #98005=LINE('',#557694,#136922); #98006=LINE('',#557696,#136923); #98007=LINE('',#557697,#136924); #98008=LINE('',#557700,#136925); #98009=LINE('',#557702,#136926); #98010=LINE('',#557703,#136927); #98011=LINE('',#557706,#136928); #98012=LINE('',#557708,#136929); #98013=LINE('',#557709,#136930); #98014=LINE('',#557712,#136931); #98015=LINE('',#557714,#136932); #98016=LINE('',#557715,#136933); #98017=LINE('',#557718,#136934); #98018=LINE('',#557720,#136935); #98019=LINE('',#557721,#136936); #98020=LINE('',#557724,#136937); #98021=LINE('',#557726,#136938); #98022=LINE('',#557727,#136939); #98023=LINE('',#557730,#136940); #98024=LINE('',#557732,#136941); #98025=LINE('',#557733,#136942); #98026=LINE('',#557736,#136943); #98027=LINE('',#557738,#136944); #98028=LINE('',#557739,#136945); #98029=LINE('',#557742,#136946); #98030=LINE('',#557744,#136947); #98031=LINE('',#557745,#136948); #98032=LINE('',#557748,#136949); #98033=LINE('',#557750,#136950); #98034=LINE('',#557751,#136951); #98035=LINE('',#557754,#136952); #98036=LINE('',#557756,#136953); #98037=LINE('',#557757,#136954); #98038=LINE('',#557760,#136955); #98039=LINE('',#557762,#136956); #98040=LINE('',#557763,#136957); #98041=LINE('',#557766,#136958); #98042=LINE('',#557768,#136959); #98043=LINE('',#557769,#136960); #98044=LINE('',#557772,#136961); #98045=LINE('',#557774,#136962); #98046=LINE('',#557775,#136963); #98047=LINE('',#557778,#136964); #98048=LINE('',#557780,#136965); #98049=LINE('',#557781,#136966); #98050=LINE('',#557784,#136967); #98051=LINE('',#557786,#136968); #98052=LINE('',#557787,#136969); #98053=LINE('',#557790,#136970); #98054=LINE('',#557792,#136971); #98055=LINE('',#557793,#136972); #98056=LINE('',#557796,#136973); #98057=LINE('',#557798,#136974); #98058=LINE('',#557799,#136975); #98059=LINE('',#557802,#136976); #98060=LINE('',#557804,#136977); #98061=LINE('',#557805,#136978); #98062=LINE('',#557808,#136979); #98063=LINE('',#557810,#136980); #98064=LINE('',#557811,#136981); #98065=LINE('',#557814,#136982); #98066=LINE('',#557816,#136983); #98067=LINE('',#557817,#136984); #98068=LINE('',#557820,#136985); #98069=LINE('',#557822,#136986); #98070=LINE('',#557823,#136987); #98071=LINE('',#557826,#136988); #98072=LINE('',#557828,#136989); #98073=LINE('',#557829,#136990); #98074=LINE('',#557832,#136991); #98075=LINE('',#557834,#136992); #98076=LINE('',#557835,#136993); #98077=LINE('',#557838,#136994); #98078=LINE('',#557840,#136995); #98079=LINE('',#557841,#136996); #98080=LINE('',#557844,#136997); #98081=LINE('',#557846,#136998); #98082=LINE('',#557847,#136999); #98083=LINE('',#557850,#137000); #98084=LINE('',#557852,#137001); #98085=LINE('',#557853,#137002); #98086=LINE('',#557856,#137003); #98087=LINE('',#557858,#137004); #98088=LINE('',#557859,#137005); #98089=LINE('',#557862,#137006); #98090=LINE('',#557864,#137007); #98091=LINE('',#557865,#137008); #98092=LINE('',#557868,#137009); #98093=LINE('',#557870,#137010); #98094=LINE('',#557871,#137011); #98095=LINE('',#557874,#137012); #98096=LINE('',#557876,#137013); #98097=LINE('',#557877,#137014); #98098=LINE('',#557880,#137015); #98099=LINE('',#557882,#137016); #98100=LINE('',#557883,#137017); #98101=LINE('',#557886,#137018); #98102=LINE('',#557888,#137019); #98103=LINE('',#557889,#137020); #98104=LINE('',#557892,#137021); #98105=LINE('',#557894,#137022); #98106=LINE('',#557895,#137023); #98107=LINE('',#557898,#137024); #98108=LINE('',#557900,#137025); #98109=LINE('',#557901,#137026); #98110=LINE('',#557904,#137027); #98111=LINE('',#557906,#137028); #98112=LINE('',#557907,#137029); #98113=LINE('',#557910,#137030); #98114=LINE('',#557912,#137031); #98115=LINE('',#557913,#137032); #98116=LINE('',#557916,#137033); #98117=LINE('',#557918,#137034); #98118=LINE('',#557919,#137035); #98119=LINE('',#557922,#137036); #98120=LINE('',#557924,#137037); #98121=LINE('',#557925,#137038); #98122=LINE('',#557928,#137039); #98123=LINE('',#557930,#137040); #98124=LINE('',#557931,#137041); #98125=LINE('',#557934,#137042); #98126=LINE('',#557936,#137043); #98127=LINE('',#557937,#137044); #98128=LINE('',#557940,#137045); #98129=LINE('',#557942,#137046); #98130=LINE('',#557943,#137047); #98131=LINE('',#557946,#137048); #98132=LINE('',#557948,#137049); #98133=LINE('',#557949,#137050); #98134=LINE('',#557952,#137051); #98135=LINE('',#557954,#137052); #98136=LINE('',#557955,#137053); #98137=LINE('',#557958,#137054); #98138=LINE('',#557960,#137055); #98139=LINE('',#557961,#137056); #98140=LINE('',#557964,#137057); #98141=LINE('',#557966,#137058); #98142=LINE('',#557967,#137059); #98143=LINE('',#557970,#137060); #98144=LINE('',#557972,#137061); #98145=LINE('',#557973,#137062); #98146=LINE('',#557976,#137063); #98147=LINE('',#557978,#137064); #98148=LINE('',#557979,#137065); #98149=LINE('',#557982,#137066); #98150=LINE('',#557984,#137067); #98151=LINE('',#557985,#137068); #98152=LINE('',#557988,#137069); #98153=LINE('',#557990,#137070); #98154=LINE('',#557991,#137071); #98155=LINE('',#557994,#137072); #98156=LINE('',#557996,#137073); #98157=LINE('',#557997,#137074); #98158=LINE('',#558000,#137075); #98159=LINE('',#558002,#137076); #98160=LINE('',#558003,#137077); #98161=LINE('',#558006,#137078); #98162=LINE('',#558008,#137079); #98163=LINE('',#558009,#137080); #98164=LINE('',#558012,#137081); #98165=LINE('',#558014,#137082); #98166=LINE('',#558015,#137083); #98167=LINE('',#558018,#137084); #98168=LINE('',#558020,#137085); #98169=LINE('',#558021,#137086); #98170=LINE('',#558024,#137087); #98171=LINE('',#558026,#137088); #98172=LINE('',#558027,#137089); #98173=LINE('',#558030,#137090); #98174=LINE('',#558032,#137091); #98175=LINE('',#558033,#137092); #98176=LINE('',#558036,#137093); #98177=LINE('',#558038,#137094); #98178=LINE('',#558039,#137095); #98179=LINE('',#558042,#137096); #98180=LINE('',#558044,#137097); #98181=LINE('',#558045,#137098); #98182=LINE('',#558048,#137099); #98183=LINE('',#558050,#137100); #98184=LINE('',#558051,#137101); #98185=LINE('',#558054,#137102); #98186=LINE('',#558056,#137103); #98187=LINE('',#558057,#137104); #98188=LINE('',#558060,#137105); #98189=LINE('',#558062,#137106); #98190=LINE('',#558063,#137107); #98191=LINE('',#558066,#137108); #98192=LINE('',#558068,#137109); #98193=LINE('',#558069,#137110); #98194=LINE('',#558072,#137111); #98195=LINE('',#558074,#137112); #98196=LINE('',#558075,#137113); #98197=LINE('',#558078,#137114); #98198=LINE('',#558080,#137115); #98199=LINE('',#558081,#137116); #98200=LINE('',#558084,#137117); #98201=LINE('',#558086,#137118); #98202=LINE('',#558087,#137119); #98203=LINE('',#558090,#137120); #98204=LINE('',#558092,#137121); #98205=LINE('',#558093,#137122); #98206=LINE('',#558096,#137123); #98207=LINE('',#558098,#137124); #98208=LINE('',#558099,#137125); #98209=LINE('',#558102,#137126); #98210=LINE('',#558104,#137127); #98211=LINE('',#558105,#137128); #98212=LINE('',#558108,#137129); #98213=LINE('',#558110,#137130); #98214=LINE('',#558111,#137131); #98215=LINE('',#558114,#137132); #98216=LINE('',#558116,#137133); #98217=LINE('',#558117,#137134); #98218=LINE('',#558120,#137135); #98219=LINE('',#558122,#137136); #98220=LINE('',#558123,#137137); #98221=LINE('',#558126,#137138); #98222=LINE('',#558128,#137139); #98223=LINE('',#558129,#137140); #98224=LINE('',#558132,#137141); #98225=LINE('',#558134,#137142); #98226=LINE('',#558135,#137143); #98227=LINE('',#558138,#137144); #98228=LINE('',#558140,#137145); #98229=LINE('',#558141,#137146); #98230=LINE('',#558144,#137147); #98231=LINE('',#558146,#137148); #98232=LINE('',#558147,#137149); #98233=LINE('',#558150,#137150); #98234=LINE('',#558152,#137151); #98235=LINE('',#558153,#137152); #98236=LINE('',#558156,#137153); #98237=LINE('',#558158,#137154); #98238=LINE('',#558159,#137155); #98239=LINE('',#558162,#137156); #98240=LINE('',#558164,#137157); #98241=LINE('',#558165,#137158); #98242=LINE('',#558168,#137159); #98243=LINE('',#558170,#137160); #98244=LINE('',#558171,#137161); #98245=LINE('',#558174,#137162); #98246=LINE('',#558176,#137163); #98247=LINE('',#558177,#137164); #98248=LINE('',#558180,#137165); #98249=LINE('',#558182,#137166); #98250=LINE('',#558183,#137167); #98251=LINE('',#558186,#137168); #98252=LINE('',#558188,#137169); #98253=LINE('',#558189,#137170); #98254=LINE('',#558192,#137171); #98255=LINE('',#558194,#137172); #98256=LINE('',#558195,#137173); #98257=LINE('',#558198,#137174); #98258=LINE('',#558200,#137175); #98259=LINE('',#558201,#137176); #98260=LINE('',#558204,#137177); #98261=LINE('',#558206,#137178); #98262=LINE('',#558207,#137179); #98263=LINE('',#558210,#137180); #98264=LINE('',#558212,#137181); #98265=LINE('',#558213,#137182); #98266=LINE('',#558216,#137183); #98267=LINE('',#558218,#137184); #98268=LINE('',#558219,#137185); #98269=LINE('',#558222,#137186); #98270=LINE('',#558224,#137187); #98271=LINE('',#558225,#137188); #98272=LINE('',#558228,#137189); #98273=LINE('',#558230,#137190); #98274=LINE('',#558231,#137191); #98275=LINE('',#558234,#137192); #98276=LINE('',#558236,#137193); #98277=LINE('',#558237,#137194); #98278=LINE('',#558240,#137195); #98279=LINE('',#558242,#137196); #98280=LINE('',#558243,#137197); #98281=LINE('',#558246,#137198); #98282=LINE('',#558248,#137199); #98283=LINE('',#558249,#137200); #98284=LINE('',#558252,#137201); #98285=LINE('',#558254,#137202); #98286=LINE('',#558255,#137203); #98287=LINE('',#558258,#137204); #98288=LINE('',#558260,#137205); #98289=LINE('',#558261,#137206); #98290=LINE('',#558264,#137207); #98291=LINE('',#558266,#137208); #98292=LINE('',#558267,#137209); #98293=LINE('',#558270,#137210); #98294=LINE('',#558272,#137211); #98295=LINE('',#558273,#137212); #98296=LINE('',#558276,#137213); #98297=LINE('',#558278,#137214); #98298=LINE('',#558279,#137215); #98299=LINE('',#558282,#137216); #98300=LINE('',#558284,#137217); #98301=LINE('',#558285,#137218); #98302=LINE('',#558288,#137219); #98303=LINE('',#558290,#137220); #98304=LINE('',#558291,#137221); #98305=LINE('',#558294,#137222); #98306=LINE('',#558296,#137223); #98307=LINE('',#558297,#137224); #98308=LINE('',#558300,#137225); #98309=LINE('',#558302,#137226); #98310=LINE('',#558303,#137227); #98311=LINE('',#558306,#137228); #98312=LINE('',#558308,#137229); #98313=LINE('',#558309,#137230); #98314=LINE('',#558312,#137231); #98315=LINE('',#558314,#137232); #98316=LINE('',#558315,#137233); #98317=LINE('',#558318,#137234); #98318=LINE('',#558320,#137235); #98319=LINE('',#558321,#137236); #98320=LINE('',#558324,#137237); #98321=LINE('',#558326,#137238); #98322=LINE('',#558327,#137239); #98323=LINE('',#558330,#137240); #98324=LINE('',#558332,#137241); #98325=LINE('',#558333,#137242); #98326=LINE('',#558336,#137243); #98327=LINE('',#558338,#137244); #98328=LINE('',#558339,#137245); #98329=LINE('',#558342,#137246); #98330=LINE('',#558344,#137247); #98331=LINE('',#558345,#137248); #98332=LINE('',#558348,#137249); #98333=LINE('',#558350,#137250); #98334=LINE('',#558351,#137251); #98335=LINE('',#558354,#137252); #98336=LINE('',#558356,#137253); #98337=LINE('',#558357,#137254); #98338=LINE('',#558360,#137255); #98339=LINE('',#558362,#137256); #98340=LINE('',#558363,#137257); #98341=LINE('',#558366,#137258); #98342=LINE('',#558368,#137259); #98343=LINE('',#558369,#137260); #98344=LINE('',#558372,#137261); #98345=LINE('',#558374,#137262); #98346=LINE('',#558375,#137263); #98347=LINE('',#558378,#137264); #98348=LINE('',#558380,#137265); #98349=LINE('',#558381,#137266); #98350=LINE('',#558384,#137267); #98351=LINE('',#558386,#137268); #98352=LINE('',#558387,#137269); #98353=LINE('',#558390,#137270); #98354=LINE('',#558392,#137271); #98355=LINE('',#558393,#137272); #98356=LINE('',#558396,#137273); #98357=LINE('',#558398,#137274); #98358=LINE('',#558399,#137275); #98359=LINE('',#558402,#137276); #98360=LINE('',#558404,#137277); #98361=LINE('',#558405,#137278); #98362=LINE('',#558408,#137279); #98363=LINE('',#558410,#137280); #98364=LINE('',#558411,#137281); #98365=LINE('',#558414,#137282); #98366=LINE('',#558416,#137283); #98367=LINE('',#558417,#137284); #98368=LINE('',#558420,#137285); #98369=LINE('',#558422,#137286); #98370=LINE('',#558423,#137287); #98371=LINE('',#558426,#137288); #98372=LINE('',#558428,#137289); #98373=LINE('',#558429,#137290); #98374=LINE('',#558432,#137291); #98375=LINE('',#558434,#137292); #98376=LINE('',#558435,#137293); #98377=LINE('',#558438,#137294); #98378=LINE('',#558440,#137295); #98379=LINE('',#558441,#137296); #98380=LINE('',#558444,#137297); #98381=LINE('',#558446,#137298); #98382=LINE('',#558447,#137299); #98383=LINE('',#558450,#137300); #98384=LINE('',#558452,#137301); #98385=LINE('',#558453,#137302); #98386=LINE('',#558456,#137303); #98387=LINE('',#558458,#137304); #98388=LINE('',#558459,#137305); #98389=LINE('',#558462,#137306); #98390=LINE('',#558464,#137307); #98391=LINE('',#558465,#137308); #98392=LINE('',#558468,#137309); #98393=LINE('',#558470,#137310); #98394=LINE('',#558471,#137311); #98395=LINE('',#558474,#137312); #98396=LINE('',#558476,#137313); #98397=LINE('',#558477,#137314); #98398=LINE('',#558480,#137315); #98399=LINE('',#558482,#137316); #98400=LINE('',#558483,#137317); #98401=LINE('',#558486,#137318); #98402=LINE('',#558488,#137319); #98403=LINE('',#558489,#137320); #98404=LINE('',#558492,#137321); #98405=LINE('',#558494,#137322); #98406=LINE('',#558495,#137323); #98407=LINE('',#558498,#137324); #98408=LINE('',#558500,#137325); #98409=LINE('',#558501,#137326); #98410=LINE('',#558504,#137327); #98411=LINE('',#558506,#137328); #98412=LINE('',#558507,#137329); #98413=LINE('',#558510,#137330); #98414=LINE('',#558512,#137331); #98415=LINE('',#558513,#137332); #98416=LINE('',#558516,#137333); #98417=LINE('',#558518,#137334); #98418=LINE('',#558519,#137335); #98419=LINE('',#558522,#137336); #98420=LINE('',#558524,#137337); #98421=LINE('',#558525,#137338); #98422=LINE('',#558528,#137339); #98423=LINE('',#558530,#137340); #98424=LINE('',#558531,#137341); #98425=LINE('',#558534,#137342); #98426=LINE('',#558536,#137343); #98427=LINE('',#558537,#137344); #98428=LINE('',#558540,#137345); #98429=LINE('',#558542,#137346); #98430=LINE('',#558543,#137347); #98431=LINE('',#558546,#137348); #98432=LINE('',#558548,#137349); #98433=LINE('',#558549,#137350); #98434=LINE('',#558552,#137351); #98435=LINE('',#558554,#137352); #98436=LINE('',#558555,#137353); #98437=LINE('',#558558,#137354); #98438=LINE('',#558560,#137355); #98439=LINE('',#558561,#137356); #98440=LINE('',#558564,#137357); #98441=LINE('',#558566,#137358); #98442=LINE('',#558567,#137359); #98443=LINE('',#558570,#137360); #98444=LINE('',#558572,#137361); #98445=LINE('',#558573,#137362); #98446=LINE('',#558576,#137363); #98447=LINE('',#558578,#137364); #98448=LINE('',#558579,#137365); #98449=LINE('',#558582,#137366); #98450=LINE('',#558584,#137367); #98451=LINE('',#558585,#137368); #98452=LINE('',#558588,#137369); #98453=LINE('',#558590,#137370); #98454=LINE('',#558591,#137371); #98455=LINE('',#558594,#137372); #98456=LINE('',#558596,#137373); #98457=LINE('',#558597,#137374); #98458=LINE('',#558600,#137375); #98459=LINE('',#558602,#137376); #98460=LINE('',#558603,#137377); #98461=LINE('',#558606,#137378); #98462=LINE('',#558608,#137379); #98463=LINE('',#558609,#137380); #98464=LINE('',#558612,#137381); #98465=LINE('',#558614,#137382); #98466=LINE('',#558615,#137383); #98467=LINE('',#558618,#137384); #98468=LINE('',#558620,#137385); #98469=LINE('',#558621,#137386); #98470=LINE('',#558624,#137387); #98471=LINE('',#558626,#137388); #98472=LINE('',#558627,#137389); #98473=LINE('',#558630,#137390); #98474=LINE('',#558632,#137391); #98475=LINE('',#558633,#137392); #98476=LINE('',#558636,#137393); #98477=LINE('',#558638,#137394); #98478=LINE('',#558639,#137395); #98479=LINE('',#558642,#137396); #98480=LINE('',#558644,#137397); #98481=LINE('',#558645,#137398); #98482=LINE('',#558648,#137399); #98483=LINE('',#558650,#137400); #98484=LINE('',#558651,#137401); #98485=LINE('',#558654,#137402); #98486=LINE('',#558656,#137403); #98487=LINE('',#558657,#137404); #98488=LINE('',#558660,#137405); #98489=LINE('',#558662,#137406); #98490=LINE('',#558663,#137407); #98491=LINE('',#558666,#137408); #98492=LINE('',#558668,#137409); #98493=LINE('',#558669,#137410); #98494=LINE('',#558672,#137411); #98495=LINE('',#558674,#137412); #98496=LINE('',#558675,#137413); #98497=LINE('',#558678,#137414); #98498=LINE('',#558680,#137415); #98499=LINE('',#558681,#137416); #98500=LINE('',#558684,#137417); #98501=LINE('',#558686,#137418); #98502=LINE('',#558687,#137419); #98503=LINE('',#558690,#137420); #98504=LINE('',#558692,#137421); #98505=LINE('',#558693,#137422); #98506=LINE('',#558696,#137423); #98507=LINE('',#558698,#137424); #98508=LINE('',#558699,#137425); #98509=LINE('',#558702,#137426); #98510=LINE('',#558704,#137427); #98511=LINE('',#558705,#137428); #98512=LINE('',#558708,#137429); #98513=LINE('',#558710,#137430); #98514=LINE('',#558711,#137431); #98515=LINE('',#558714,#137432); #98516=LINE('',#558716,#137433); #98517=LINE('',#558717,#137434); #98518=LINE('',#558720,#137435); #98519=LINE('',#558722,#137436); #98520=LINE('',#558723,#137437); #98521=LINE('',#558726,#137438); #98522=LINE('',#558728,#137439); #98523=LINE('',#558729,#137440); #98524=LINE('',#558732,#137441); #98525=LINE('',#558734,#137442); #98526=LINE('',#558735,#137443); #98527=LINE('',#558738,#137444); #98528=LINE('',#558740,#137445); #98529=LINE('',#558741,#137446); #98530=LINE('',#558744,#137447); #98531=LINE('',#558746,#137448); #98532=LINE('',#558747,#137449); #98533=LINE('',#558750,#137450); #98534=LINE('',#558752,#137451); #98535=LINE('',#558753,#137452); #98536=LINE('',#558756,#137453); #98537=LINE('',#558758,#137454); #98538=LINE('',#558759,#137455); #98539=LINE('',#558762,#137456); #98540=LINE('',#558764,#137457); #98541=LINE('',#558765,#137458); #98542=LINE('',#558768,#137459); #98543=LINE('',#558770,#137460); #98544=LINE('',#558771,#137461); #98545=LINE('',#558774,#137462); #98546=LINE('',#558776,#137463); #98547=LINE('',#558777,#137464); #98548=LINE('',#558780,#137465); #98549=LINE('',#558782,#137466); #98550=LINE('',#558783,#137467); #98551=LINE('',#558786,#137468); #98552=LINE('',#558788,#137469); #98553=LINE('',#558789,#137470); #98554=LINE('',#558792,#137471); #98555=LINE('',#558794,#137472); #98556=LINE('',#558795,#137473); #98557=LINE('',#558798,#137474); #98558=LINE('',#558800,#137475); #98559=LINE('',#558801,#137476); #98560=LINE('',#558804,#137477); #98561=LINE('',#558806,#137478); #98562=LINE('',#558807,#137479); #98563=LINE('',#558810,#137480); #98564=LINE('',#558812,#137481); #98565=LINE('',#558813,#137482); #98566=LINE('',#558816,#137483); #98567=LINE('',#558818,#137484); #98568=LINE('',#558819,#137485); #98569=LINE('',#558822,#137486); #98570=LINE('',#558824,#137487); #98571=LINE('',#558825,#137488); #98572=LINE('',#558828,#137489); #98573=LINE('',#558830,#137490); #98574=LINE('',#558831,#137491); #98575=LINE('',#558834,#137492); #98576=LINE('',#558836,#137493); #98577=LINE('',#558837,#137494); #98578=LINE('',#558840,#137495); #98579=LINE('',#558842,#137496); #98580=LINE('',#558843,#137497); #98581=LINE('',#558846,#137498); #98582=LINE('',#558848,#137499); #98583=LINE('',#558849,#137500); #98584=LINE('',#558852,#137501); #98585=LINE('',#558854,#137502); #98586=LINE('',#558855,#137503); #98587=LINE('',#558858,#137504); #98588=LINE('',#558860,#137505); #98589=LINE('',#558861,#137506); #98590=LINE('',#558864,#137507); #98591=LINE('',#558866,#137508); #98592=LINE('',#558867,#137509); #98593=LINE('',#558870,#137510); #98594=LINE('',#558872,#137511); #98595=LINE('',#558873,#137512); #98596=LINE('',#558876,#137513); #98597=LINE('',#558878,#137514); #98598=LINE('',#558879,#137515); #98599=LINE('',#558882,#137516); #98600=LINE('',#558884,#137517); #98601=LINE('',#558885,#137518); #98602=LINE('',#558888,#137519); #98603=LINE('',#558890,#137520); #98604=LINE('',#558891,#137521); #98605=LINE('',#558894,#137522); #98606=LINE('',#558896,#137523); #98607=LINE('',#558897,#137524); #98608=LINE('',#558900,#137525); #98609=LINE('',#558902,#137526); #98610=LINE('',#558903,#137527); #98611=LINE('',#558906,#137528); #98612=LINE('',#558908,#137529); #98613=LINE('',#558909,#137530); #98614=LINE('',#558912,#137531); #98615=LINE('',#558914,#137532); #98616=LINE('',#558915,#137533); #98617=LINE('',#558918,#137534); #98618=LINE('',#558920,#137535); #98619=LINE('',#558921,#137536); #98620=LINE('',#558924,#137537); #98621=LINE('',#558926,#137538); #98622=LINE('',#558927,#137539); #98623=LINE('',#558930,#137540); #98624=LINE('',#558932,#137541); #98625=LINE('',#558933,#137542); #98626=LINE('',#558936,#137543); #98627=LINE('',#558938,#137544); #98628=LINE('',#558939,#137545); #98629=LINE('',#558942,#137546); #98630=LINE('',#558944,#137547); #98631=LINE('',#558945,#137548); #98632=LINE('',#558948,#137549); #98633=LINE('',#558950,#137550); #98634=LINE('',#558951,#137551); #98635=LINE('',#558954,#137552); #98636=LINE('',#558956,#137553); #98637=LINE('',#558957,#137554); #98638=LINE('',#558960,#137555); #98639=LINE('',#558962,#137556); #98640=LINE('',#558963,#137557); #98641=LINE('',#558966,#137558); #98642=LINE('',#558968,#137559); #98643=LINE('',#558969,#137560); #98644=LINE('',#558972,#137561); #98645=LINE('',#558974,#137562); #98646=LINE('',#558975,#137563); #98647=LINE('',#558977,#137564); #98648=LINE('',#558978,#137565); #98649=LINE('',#558982,#137566); #98650=LINE('',#558984,#137567); #98651=LINE('',#558986,#137568); #98652=LINE('',#558987,#137569); #98653=LINE('',#558990,#137570); #98654=LINE('',#558992,#137571); #98655=LINE('',#558993,#137572); #98656=LINE('',#558996,#137573); #98657=LINE('',#558998,#137574); #98658=LINE('',#558999,#137575); #98659=LINE('',#559002,#137576); #98660=LINE('',#559004,#137577); #98661=LINE('',#559005,#137578); #98662=LINE('',#559008,#137579); #98663=LINE('',#559010,#137580); #98664=LINE('',#559011,#137581); #98665=LINE('',#559014,#137582); #98666=LINE('',#559016,#137583); #98667=LINE('',#559017,#137584); #98668=LINE('',#559020,#137585); #98669=LINE('',#559022,#137586); #98670=LINE('',#559023,#137587); #98671=LINE('',#559026,#137588); #98672=LINE('',#559028,#137589); #98673=LINE('',#559029,#137590); #98674=LINE('',#559032,#137591); #98675=LINE('',#559034,#137592); #98676=LINE('',#559035,#137593); #98677=LINE('',#559038,#137594); #98678=LINE('',#559040,#137595); #98679=LINE('',#559041,#137596); #98680=LINE('',#559044,#137597); #98681=LINE('',#559046,#137598); #98682=LINE('',#559047,#137599); #98683=LINE('',#559050,#137600); #98684=LINE('',#559052,#137601); #98685=LINE('',#559053,#137602); #98686=LINE('',#559056,#137603); #98687=LINE('',#559058,#137604); #98688=LINE('',#559059,#137605); #98689=LINE('',#559062,#137606); #98690=LINE('',#559064,#137607); #98691=LINE('',#559065,#137608); #98692=LINE('',#559068,#137609); #98693=LINE('',#559070,#137610); #98694=LINE('',#559071,#137611); #98695=LINE('',#559074,#137612); #98696=LINE('',#559076,#137613); #98697=LINE('',#559077,#137614); #98698=LINE('',#559080,#137615); #98699=LINE('',#559082,#137616); #98700=LINE('',#559083,#137617); #98701=LINE('',#559086,#137618); #98702=LINE('',#559088,#137619); #98703=LINE('',#559089,#137620); #98704=LINE('',#559092,#137621); #98705=LINE('',#559094,#137622); #98706=LINE('',#559095,#137623); #98707=LINE('',#559098,#137624); #98708=LINE('',#559100,#137625); #98709=LINE('',#559101,#137626); #98710=LINE('',#559104,#137627); #98711=LINE('',#559106,#137628); #98712=LINE('',#559107,#137629); #98713=LINE('',#559110,#137630); #98714=LINE('',#559112,#137631); #98715=LINE('',#559113,#137632); #98716=LINE('',#559116,#137633); #98717=LINE('',#559118,#137634); #98718=LINE('',#559119,#137635); #98719=LINE('',#559122,#137636); #98720=LINE('',#559124,#137637); #98721=LINE('',#559125,#137638); #98722=LINE('',#559128,#137639); #98723=LINE('',#559130,#137640); #98724=LINE('',#559131,#137641); #98725=LINE('',#559134,#137642); #98726=LINE('',#559136,#137643); #98727=LINE('',#559137,#137644); #98728=LINE('',#559140,#137645); #98729=LINE('',#559142,#137646); #98730=LINE('',#559143,#137647); #98731=LINE('',#559146,#137648); #98732=LINE('',#559148,#137649); #98733=LINE('',#559149,#137650); #98734=LINE('',#559152,#137651); #98735=LINE('',#559154,#137652); #98736=LINE('',#559155,#137653); #98737=LINE('',#559157,#137654); #98738=LINE('',#559158,#137655); #98739=LINE('',#559162,#137656); #98740=LINE('',#559164,#137657); #98741=LINE('',#559166,#137658); #98742=LINE('',#559167,#137659); #98743=LINE('',#559170,#137660); #98744=LINE('',#559172,#137661); #98745=LINE('',#559173,#137662); #98746=LINE('',#559176,#137663); #98747=LINE('',#559178,#137664); #98748=LINE('',#559179,#137665); #98749=LINE('',#559182,#137666); #98750=LINE('',#559184,#137667); #98751=LINE('',#559185,#137668); #98752=LINE('',#559188,#137669); #98753=LINE('',#559190,#137670); #98754=LINE('',#559191,#137671); #98755=LINE('',#559194,#137672); #98756=LINE('',#559196,#137673); #98757=LINE('',#559197,#137674); #98758=LINE('',#559200,#137675); #98759=LINE('',#559202,#137676); #98760=LINE('',#559203,#137677); #98761=LINE('',#559206,#137678); #98762=LINE('',#559208,#137679); #98763=LINE('',#559209,#137680); #98764=LINE('',#559212,#137681); #98765=LINE('',#559214,#137682); #98766=LINE('',#559215,#137683); #98767=LINE('',#559218,#137684); #98768=LINE('',#559220,#137685); #98769=LINE('',#559221,#137686); #98770=LINE('',#559224,#137687); #98771=LINE('',#559226,#137688); #98772=LINE('',#559227,#137689); #98773=LINE('',#559230,#137690); #98774=LINE('',#559232,#137691); #98775=LINE('',#559233,#137692); #98776=LINE('',#559236,#137693); #98777=LINE('',#559238,#137694); #98778=LINE('',#559239,#137695); #98779=LINE('',#559242,#137696); #98780=LINE('',#559244,#137697); #98781=LINE('',#559245,#137698); #98782=LINE('',#559248,#137699); #98783=LINE('',#559250,#137700); #98784=LINE('',#559251,#137701); #98785=LINE('',#559254,#137702); #98786=LINE('',#559256,#137703); #98787=LINE('',#559257,#137704); #98788=LINE('',#559260,#137705); #98789=LINE('',#559262,#137706); #98790=LINE('',#559263,#137707); #98791=LINE('',#559266,#137708); #98792=LINE('',#559268,#137709); #98793=LINE('',#559269,#137710); #98794=LINE('',#559272,#137711); #98795=LINE('',#559274,#137712); #98796=LINE('',#559275,#137713); #98797=LINE('',#559278,#137714); #98798=LINE('',#559280,#137715); #98799=LINE('',#559281,#137716); #98800=LINE('',#559284,#137717); #98801=LINE('',#559286,#137718); #98802=LINE('',#559287,#137719); #98803=LINE('',#559290,#137720); #98804=LINE('',#559292,#137721); #98805=LINE('',#559293,#137722); #98806=LINE('',#559296,#137723); #98807=LINE('',#559298,#137724); #98808=LINE('',#559299,#137725); #98809=LINE('',#559302,#137726); #98810=LINE('',#559304,#137727); #98811=LINE('',#559305,#137728); #98812=LINE('',#559308,#137729); #98813=LINE('',#559310,#137730); #98814=LINE('',#559311,#137731); #98815=LINE('',#559314,#137732); #98816=LINE('',#559316,#137733); #98817=LINE('',#559317,#137734); #98818=LINE('',#559320,#137735); #98819=LINE('',#559322,#137736); #98820=LINE('',#559323,#137737); #98821=LINE('',#559326,#137738); #98822=LINE('',#559328,#137739); #98823=LINE('',#559329,#137740); #98824=LINE('',#559332,#137741); #98825=LINE('',#559334,#137742); #98826=LINE('',#559335,#137743); #98827=LINE('',#559337,#137744); #98828=LINE('',#559338,#137745); #98829=LINE('',#559342,#137746); #98830=LINE('',#559344,#137747); #98831=LINE('',#559346,#137748); #98832=LINE('',#559347,#137749); #98833=LINE('',#559350,#137750); #98834=LINE('',#559352,#137751); #98835=LINE('',#559353,#137752); #98836=LINE('',#559356,#137753); #98837=LINE('',#559358,#137754); #98838=LINE('',#559359,#137755); #98839=LINE('',#559362,#137756); #98840=LINE('',#559364,#137757); #98841=LINE('',#559365,#137758); #98842=LINE('',#559368,#137759); #98843=LINE('',#559370,#137760); #98844=LINE('',#559371,#137761); #98845=LINE('',#559374,#137762); #98846=LINE('',#559376,#137763); #98847=LINE('',#559377,#137764); #98848=LINE('',#559380,#137765); #98849=LINE('',#559382,#137766); #98850=LINE('',#559383,#137767); #98851=LINE('',#559386,#137768); #98852=LINE('',#559388,#137769); #98853=LINE('',#559389,#137770); #98854=LINE('',#559392,#137771); #98855=LINE('',#559394,#137772); #98856=LINE('',#559395,#137773); #98857=LINE('',#559398,#137774); #98858=LINE('',#559400,#137775); #98859=LINE('',#559401,#137776); #98860=LINE('',#559404,#137777); #98861=LINE('',#559406,#137778); #98862=LINE('',#559407,#137779); #98863=LINE('',#559410,#137780); #98864=LINE('',#559412,#137781); #98865=LINE('',#559413,#137782); #98866=LINE('',#559416,#137783); #98867=LINE('',#559418,#137784); #98868=LINE('',#559419,#137785); #98869=LINE('',#559422,#137786); #98870=LINE('',#559424,#137787); #98871=LINE('',#559425,#137788); #98872=LINE('',#559428,#137789); #98873=LINE('',#559430,#137790); #98874=LINE('',#559431,#137791); #98875=LINE('',#559434,#137792); #98876=LINE('',#559436,#137793); #98877=LINE('',#559437,#137794); #98878=LINE('',#559440,#137795); #98879=LINE('',#559442,#137796); #98880=LINE('',#559443,#137797); #98881=LINE('',#559446,#137798); #98882=LINE('',#559448,#137799); #98883=LINE('',#559449,#137800); #98884=LINE('',#559452,#137801); #98885=LINE('',#559454,#137802); #98886=LINE('',#559455,#137803); #98887=LINE('',#559458,#137804); #98888=LINE('',#559460,#137805); #98889=LINE('',#559461,#137806); #98890=LINE('',#559464,#137807); #98891=LINE('',#559466,#137808); #98892=LINE('',#559467,#137809); #98893=LINE('',#559470,#137810); #98894=LINE('',#559472,#137811); #98895=LINE('',#559473,#137812); #98896=LINE('',#559476,#137813); #98897=LINE('',#559478,#137814); #98898=LINE('',#559479,#137815); #98899=LINE('',#559482,#137816); #98900=LINE('',#559484,#137817); #98901=LINE('',#559485,#137818); #98902=LINE('',#559488,#137819); #98903=LINE('',#559490,#137820); #98904=LINE('',#559491,#137821); #98905=LINE('',#559494,#137822); #98906=LINE('',#559496,#137823); #98907=LINE('',#559497,#137824); #98908=LINE('',#559500,#137825); #98909=LINE('',#559502,#137826); #98910=LINE('',#559503,#137827); #98911=LINE('',#559506,#137828); #98912=LINE('',#559508,#137829); #98913=LINE('',#559509,#137830); #98914=LINE('',#559512,#137831); #98915=LINE('',#559514,#137832); #98916=LINE('',#559515,#137833); #98917=LINE('',#559517,#137834); #98918=LINE('',#559518,#137835); #98919=LINE('',#559522,#137836); #98920=LINE('',#559524,#137837); #98921=LINE('',#559526,#137838); #98922=LINE('',#559527,#137839); #98923=LINE('',#559530,#137840); #98924=LINE('',#559532,#137841); #98925=LINE('',#559533,#137842); #98926=LINE('',#559536,#137843); #98927=LINE('',#559538,#137844); #98928=LINE('',#559539,#137845); #98929=LINE('',#559542,#137846); #98930=LINE('',#559544,#137847); #98931=LINE('',#559545,#137848); #98932=LINE('',#559548,#137849); #98933=LINE('',#559550,#137850); #98934=LINE('',#559551,#137851); #98935=LINE('',#559554,#137852); #98936=LINE('',#559556,#137853); #98937=LINE('',#559557,#137854); #98938=LINE('',#559560,#137855); #98939=LINE('',#559562,#137856); #98940=LINE('',#559563,#137857); #98941=LINE('',#559566,#137858); #98942=LINE('',#559568,#137859); #98943=LINE('',#559569,#137860); #98944=LINE('',#559572,#137861); #98945=LINE('',#559574,#137862); #98946=LINE('',#559575,#137863); #98947=LINE('',#559578,#137864); #98948=LINE('',#559580,#137865); #98949=LINE('',#559581,#137866); #98950=LINE('',#559584,#137867); #98951=LINE('',#559586,#137868); #98952=LINE('',#559587,#137869); #98953=LINE('',#559590,#137870); #98954=LINE('',#559592,#137871); #98955=LINE('',#559593,#137872); #98956=LINE('',#559596,#137873); #98957=LINE('',#559598,#137874); #98958=LINE('',#559599,#137875); #98959=LINE('',#559602,#137876); #98960=LINE('',#559604,#137877); #98961=LINE('',#559605,#137878); #98962=LINE('',#559608,#137879); #98963=LINE('',#559610,#137880); #98964=LINE('',#559611,#137881); #98965=LINE('',#559614,#137882); #98966=LINE('',#559616,#137883); #98967=LINE('',#559617,#137884); #98968=LINE('',#559620,#137885); #98969=LINE('',#559622,#137886); #98970=LINE('',#559623,#137887); #98971=LINE('',#559626,#137888); #98972=LINE('',#559628,#137889); #98973=LINE('',#559629,#137890); #98974=LINE('',#559632,#137891); #98975=LINE('',#559634,#137892); #98976=LINE('',#559635,#137893); #98977=LINE('',#559638,#137894); #98978=LINE('',#559640,#137895); #98979=LINE('',#559641,#137896); #98980=LINE('',#559644,#137897); #98981=LINE('',#559646,#137898); #98982=LINE('',#559647,#137899); #98983=LINE('',#559650,#137900); #98984=LINE('',#559652,#137901); #98985=LINE('',#559653,#137902); #98986=LINE('',#559656,#137903); #98987=LINE('',#559658,#137904); #98988=LINE('',#559659,#137905); #98989=LINE('',#559662,#137906); #98990=LINE('',#559664,#137907); #98991=LINE('',#559665,#137908); #98992=LINE('',#559668,#137909); #98993=LINE('',#559670,#137910); #98994=LINE('',#559671,#137911); #98995=LINE('',#559674,#137912); #98996=LINE('',#559676,#137913); #98997=LINE('',#559677,#137914); #98998=LINE('',#559680,#137915); #98999=LINE('',#559682,#137916); #99000=LINE('',#559683,#137917); #99001=LINE('',#559686,#137918); #99002=LINE('',#559688,#137919); #99003=LINE('',#559689,#137920); #99004=LINE('',#559692,#137921); #99005=LINE('',#559694,#137922); #99006=LINE('',#559695,#137923); #99007=LINE('',#559698,#137924); #99008=LINE('',#559700,#137925); #99009=LINE('',#559701,#137926); #99010=LINE('',#559704,#137927); #99011=LINE('',#559706,#137928); #99012=LINE('',#559707,#137929); #99013=LINE('',#559710,#137930); #99014=LINE('',#559712,#137931); #99015=LINE('',#559713,#137932); #99016=LINE('',#559716,#137933); #99017=LINE('',#559718,#137934); #99018=LINE('',#559719,#137935); #99019=LINE('',#559722,#137936); #99020=LINE('',#559724,#137937); #99021=LINE('',#559725,#137938); #99022=LINE('',#559728,#137939); #99023=LINE('',#559730,#137940); #99024=LINE('',#559731,#137941); #99025=LINE('',#559734,#137942); #99026=LINE('',#559736,#137943); #99027=LINE('',#559737,#137944); #99028=LINE('',#559740,#137945); #99029=LINE('',#559742,#137946); #99030=LINE('',#559743,#137947); #99031=LINE('',#559746,#137948); #99032=LINE('',#559748,#137949); #99033=LINE('',#559749,#137950); #99034=LINE('',#559752,#137951); #99035=LINE('',#559754,#137952); #99036=LINE('',#559755,#137953); #99037=LINE('',#559758,#137954); #99038=LINE('',#559760,#137955); #99039=LINE('',#559761,#137956); #99040=LINE('',#559764,#137957); #99041=LINE('',#559766,#137958); #99042=LINE('',#559767,#137959); #99043=LINE('',#559770,#137960); #99044=LINE('',#559772,#137961); #99045=LINE('',#559773,#137962); #99046=LINE('',#559776,#137963); #99047=LINE('',#559778,#137964); #99048=LINE('',#559779,#137965); #99049=LINE('',#559782,#137966); #99050=LINE('',#559784,#137967); #99051=LINE('',#559785,#137968); #99052=LINE('',#559788,#137969); #99053=LINE('',#559790,#137970); #99054=LINE('',#559791,#137971); #99055=LINE('',#559794,#137972); #99056=LINE('',#559796,#137973); #99057=LINE('',#559797,#137974); #99058=LINE('',#559800,#137975); #99059=LINE('',#559802,#137976); #99060=LINE('',#559803,#137977); #99061=LINE('',#559806,#137978); #99062=LINE('',#559808,#137979); #99063=LINE('',#559809,#137980); #99064=LINE('',#559812,#137981); #99065=LINE('',#559814,#137982); #99066=LINE('',#559815,#137983); #99067=LINE('',#559818,#137984); #99068=LINE('',#559820,#137985); #99069=LINE('',#559821,#137986); #99070=LINE('',#559824,#137987); #99071=LINE('',#559826,#137988); #99072=LINE('',#559827,#137989); #99073=LINE('',#559830,#137990); #99074=LINE('',#559832,#137991); #99075=LINE('',#559833,#137992); #99076=LINE('',#559836,#137993); #99077=LINE('',#559838,#137994); #99078=LINE('',#559839,#137995); #99079=LINE('',#559842,#137996); #99080=LINE('',#559844,#137997); #99081=LINE('',#559845,#137998); #99082=LINE('',#559848,#137999); #99083=LINE('',#559850,#138000); #99084=LINE('',#559851,#138001); #99085=LINE('',#559854,#138002); #99086=LINE('',#559856,#138003); #99087=LINE('',#559857,#138004); #99088=LINE('',#559860,#138005); #99089=LINE('',#559862,#138006); #99090=LINE('',#559863,#138007); #99091=LINE('',#559866,#138008); #99092=LINE('',#559868,#138009); #99093=LINE('',#559869,#138010); #99094=LINE('',#559872,#138011); #99095=LINE('',#559874,#138012); #99096=LINE('',#559875,#138013); #99097=LINE('',#559878,#138014); #99098=LINE('',#559880,#138015); #99099=LINE('',#559881,#138016); #99100=LINE('',#559884,#138017); #99101=LINE('',#559886,#138018); #99102=LINE('',#559887,#138019); #99103=LINE('',#559890,#138020); #99104=LINE('',#559892,#138021); #99105=LINE('',#559893,#138022); #99106=LINE('',#559896,#138023); #99107=LINE('',#559898,#138024); #99108=LINE('',#559899,#138025); #99109=LINE('',#559902,#138026); #99110=LINE('',#559904,#138027); #99111=LINE('',#559905,#138028); #99112=LINE('',#559908,#138029); #99113=LINE('',#559910,#138030); #99114=LINE('',#559911,#138031); #99115=LINE('',#559914,#138032); #99116=LINE('',#559916,#138033); #99117=LINE('',#559917,#138034); #99118=LINE('',#559920,#138035); #99119=LINE('',#559922,#138036); #99120=LINE('',#559923,#138037); #99121=LINE('',#559926,#138038); #99122=LINE('',#559928,#138039); #99123=LINE('',#559929,#138040); #99124=LINE('',#559932,#138041); #99125=LINE('',#559934,#138042); #99126=LINE('',#559935,#138043); #99127=LINE('',#559938,#138044); #99128=LINE('',#559940,#138045); #99129=LINE('',#559941,#138046); #99130=LINE('',#559944,#138047); #99131=LINE('',#559946,#138048); #99132=LINE('',#559947,#138049); #99133=LINE('',#559950,#138050); #99134=LINE('',#559952,#138051); #99135=LINE('',#559953,#138052); #99136=LINE('',#559956,#138053); #99137=LINE('',#559958,#138054); #99138=LINE('',#559959,#138055); #99139=LINE('',#559962,#138056); #99140=LINE('',#559964,#138057); #99141=LINE('',#559965,#138058); #99142=LINE('',#559968,#138059); #99143=LINE('',#559970,#138060); #99144=LINE('',#559971,#138061); #99145=LINE('',#559974,#138062); #99146=LINE('',#559976,#138063); #99147=LINE('',#559977,#138064); #99148=LINE('',#559980,#138065); #99149=LINE('',#559982,#138066); #99150=LINE('',#559983,#138067); #99151=LINE('',#559986,#138068); #99152=LINE('',#559988,#138069); #99153=LINE('',#559989,#138070); #99154=LINE('',#559992,#138071); #99155=LINE('',#559994,#138072); #99156=LINE('',#559995,#138073); #99157=LINE('',#559998,#138074); #99158=LINE('',#560000,#138075); #99159=LINE('',#560001,#138076); #99160=LINE('',#560004,#138077); #99161=LINE('',#560006,#138078); #99162=LINE('',#560007,#138079); #99163=LINE('',#560010,#138080); #99164=LINE('',#560012,#138081); #99165=LINE('',#560013,#138082); #99166=LINE('',#560016,#138083); #99167=LINE('',#560018,#138084); #99168=LINE('',#560019,#138085); #99169=LINE('',#560022,#138086); #99170=LINE('',#560024,#138087); #99171=LINE('',#560025,#138088); #99172=LINE('',#560028,#138089); #99173=LINE('',#560030,#138090); #99174=LINE('',#560031,#138091); #99175=LINE('',#560034,#138092); #99176=LINE('',#560036,#138093); #99177=LINE('',#560037,#138094); #99178=LINE('',#560040,#138095); #99179=LINE('',#560042,#138096); #99180=LINE('',#560043,#138097); #99181=LINE('',#560046,#138098); #99182=LINE('',#560048,#138099); #99183=LINE('',#560049,#138100); #99184=LINE('',#560052,#138101); #99185=LINE('',#560054,#138102); #99186=LINE('',#560055,#138103); #99187=LINE('',#560058,#138104); #99188=LINE('',#560060,#138105); #99189=LINE('',#560061,#138106); #99190=LINE('',#560064,#138107); #99191=LINE('',#560066,#138108); #99192=LINE('',#560067,#138109); #99193=LINE('',#560070,#138110); #99194=LINE('',#560072,#138111); #99195=LINE('',#560073,#138112); #99196=LINE('',#560076,#138113); #99197=LINE('',#560078,#138114); #99198=LINE('',#560079,#138115); #99199=LINE('',#560082,#138116); #99200=LINE('',#560084,#138117); #99201=LINE('',#560085,#138118); #99202=LINE('',#560088,#138119); #99203=LINE('',#560090,#138120); #99204=LINE('',#560091,#138121); #99205=LINE('',#560094,#138122); #99206=LINE('',#560096,#138123); #99207=LINE('',#560097,#138124); #99208=LINE('',#560100,#138125); #99209=LINE('',#560102,#138126); #99210=LINE('',#560103,#138127); #99211=LINE('',#560106,#138128); #99212=LINE('',#560108,#138129); #99213=LINE('',#560109,#138130); #99214=LINE('',#560112,#138131); #99215=LINE('',#560114,#138132); #99216=LINE('',#560115,#138133); #99217=LINE('',#560118,#138134); #99218=LINE('',#560120,#138135); #99219=LINE('',#560121,#138136); #99220=LINE('',#560124,#138137); #99221=LINE('',#560126,#138138); #99222=LINE('',#560127,#138139); #99223=LINE('',#560130,#138140); #99224=LINE('',#560132,#138141); #99225=LINE('',#560133,#138142); #99226=LINE('',#560136,#138143); #99227=LINE('',#560138,#138144); #99228=LINE('',#560139,#138145); #99229=LINE('',#560142,#138146); #99230=LINE('',#560144,#138147); #99231=LINE('',#560145,#138148); #99232=LINE('',#560148,#138149); #99233=LINE('',#560150,#138150); #99234=LINE('',#560151,#138151); #99235=LINE('',#560154,#138152); #99236=LINE('',#560156,#138153); #99237=LINE('',#560157,#138154); #99238=LINE('',#560160,#138155); #99239=LINE('',#560162,#138156); #99240=LINE('',#560163,#138157); #99241=LINE('',#560166,#138158); #99242=LINE('',#560168,#138159); #99243=LINE('',#560169,#138160); #99244=LINE('',#560172,#138161); #99245=LINE('',#560174,#138162); #99246=LINE('',#560175,#138163); #99247=LINE('',#560178,#138164); #99248=LINE('',#560180,#138165); #99249=LINE('',#560181,#138166); #99250=LINE('',#560184,#138167); #99251=LINE('',#560186,#138168); #99252=LINE('',#560187,#138169); #99253=LINE('',#560190,#138170); #99254=LINE('',#560192,#138171); #99255=LINE('',#560193,#138172); #99256=LINE('',#560196,#138173); #99257=LINE('',#560198,#138174); #99258=LINE('',#560199,#138175); #99259=LINE('',#560202,#138176); #99260=LINE('',#560204,#138177); #99261=LINE('',#560205,#138178); #99262=LINE('',#560208,#138179); #99263=LINE('',#560210,#138180); #99264=LINE('',#560211,#138181); #99265=LINE('',#560214,#138182); #99266=LINE('',#560216,#138183); #99267=LINE('',#560217,#138184); #99268=LINE('',#560220,#138185); #99269=LINE('',#560222,#138186); #99270=LINE('',#560223,#138187); #99271=LINE('',#560226,#138188); #99272=LINE('',#560228,#138189); #99273=LINE('',#560229,#138190); #99274=LINE('',#560232,#138191); #99275=LINE('',#560234,#138192); #99276=LINE('',#560235,#138193); #99277=LINE('',#560237,#138194); #99278=LINE('',#560238,#138195); #99279=LINE('',#560244,#138196); #99280=LINE('',#560246,#138197); #99281=LINE('',#560248,#138198); #99282=LINE('',#560249,#138199); #99283=LINE('',#560252,#138200); #99284=LINE('',#560254,#138201); #99285=LINE('',#560255,#138202); #99286=LINE('',#560258,#138203); #99287=LINE('',#560260,#138204); #99288=LINE('',#560261,#138205); #99289=LINE('',#560264,#138206); #99290=LINE('',#560266,#138207); #99291=LINE('',#560267,#138208); #99292=LINE('',#560270,#138209); #99293=LINE('',#560272,#138210); #99294=LINE('',#560273,#138211); #99295=LINE('',#560276,#138212); #99296=LINE('',#560278,#138213); #99297=LINE('',#560279,#138214); #99298=LINE('',#560282,#138215); #99299=LINE('',#560284,#138216); #99300=LINE('',#560285,#138217); #99301=LINE('',#560288,#138218); #99302=LINE('',#560290,#138219); #99303=LINE('',#560291,#138220); #99304=LINE('',#560294,#138221); #99305=LINE('',#560296,#138222); #99306=LINE('',#560297,#138223); #99307=LINE('',#560300,#138224); #99308=LINE('',#560302,#138225); #99309=LINE('',#560303,#138226); #99310=LINE('',#560306,#138227); #99311=LINE('',#560308,#138228); #99312=LINE('',#560309,#138229); #99313=LINE('',#560312,#138230); #99314=LINE('',#560314,#138231); #99315=LINE('',#560315,#138232); #99316=LINE('',#560318,#138233); #99317=LINE('',#560320,#138234); #99318=LINE('',#560321,#138235); #99319=LINE('',#560324,#138236); #99320=LINE('',#560326,#138237); #99321=LINE('',#560327,#138238); #99322=LINE('',#560330,#138239); #99323=LINE('',#560332,#138240); #99324=LINE('',#560333,#138241); #99325=LINE('',#560336,#138242); #99326=LINE('',#560338,#138243); #99327=LINE('',#560339,#138244); #99328=LINE('',#560342,#138245); #99329=LINE('',#560344,#138246); #99330=LINE('',#560345,#138247); #99331=LINE('',#560348,#138248); #99332=LINE('',#560350,#138249); #99333=LINE('',#560351,#138250); #99334=LINE('',#560354,#138251); #99335=LINE('',#560356,#138252); #99336=LINE('',#560357,#138253); #99337=LINE('',#560360,#138254); #99338=LINE('',#560362,#138255); #99339=LINE('',#560363,#138256); #99340=LINE('',#560366,#138257); #99341=LINE('',#560368,#138258); #99342=LINE('',#560369,#138259); #99343=LINE('',#560372,#138260); #99344=LINE('',#560374,#138261); #99345=LINE('',#560375,#138262); #99346=LINE('',#560378,#138263); #99347=LINE('',#560380,#138264); #99348=LINE('',#560381,#138265); #99349=LINE('',#560384,#138266); #99350=LINE('',#560386,#138267); #99351=LINE('',#560387,#138268); #99352=LINE('',#560390,#138269); #99353=LINE('',#560392,#138270); #99354=LINE('',#560393,#138271); #99355=LINE('',#560396,#138272); #99356=LINE('',#560398,#138273); #99357=LINE('',#560399,#138274); #99358=LINE('',#560401,#138275); #99359=LINE('',#560402,#138276); #99360=LINE('',#560408,#138277); #99361=LINE('',#560410,#138278); #99362=LINE('',#560412,#138279); #99363=LINE('',#560413,#138280); #99364=LINE('',#560416,#138281); #99365=LINE('',#560418,#138282); #99366=LINE('',#560419,#138283); #99367=LINE('',#560422,#138284); #99368=LINE('',#560424,#138285); #99369=LINE('',#560425,#138286); #99370=LINE('',#560428,#138287); #99371=LINE('',#560430,#138288); #99372=LINE('',#560431,#138289); #99373=LINE('',#560434,#138290); #99374=LINE('',#560436,#138291); #99375=LINE('',#560437,#138292); #99376=LINE('',#560440,#138293); #99377=LINE('',#560442,#138294); #99378=LINE('',#560443,#138295); #99379=LINE('',#560446,#138296); #99380=LINE('',#560448,#138297); #99381=LINE('',#560449,#138298); #99382=LINE('',#560452,#138299); #99383=LINE('',#560454,#138300); #99384=LINE('',#560455,#138301); #99385=LINE('',#560458,#138302); #99386=LINE('',#560460,#138303); #99387=LINE('',#560461,#138304); #99388=LINE('',#560464,#138305); #99389=LINE('',#560466,#138306); #99390=LINE('',#560467,#138307); #99391=LINE('',#560470,#138308); #99392=LINE('',#560472,#138309); #99393=LINE('',#560473,#138310); #99394=LINE('',#560476,#138311); #99395=LINE('',#560478,#138312); #99396=LINE('',#560479,#138313); #99397=LINE('',#560482,#138314); #99398=LINE('',#560484,#138315); #99399=LINE('',#560485,#138316); #99400=LINE('',#560488,#138317); #99401=LINE('',#560490,#138318); #99402=LINE('',#560491,#138319); #99403=LINE('',#560494,#138320); #99404=LINE('',#560496,#138321); #99405=LINE('',#560497,#138322); #99406=LINE('',#560500,#138323); #99407=LINE('',#560502,#138324); #99408=LINE('',#560503,#138325); #99409=LINE('',#560506,#138326); #99410=LINE('',#560508,#138327); #99411=LINE('',#560509,#138328); #99412=LINE('',#560512,#138329); #99413=LINE('',#560514,#138330); #99414=LINE('',#560515,#138331); #99415=LINE('',#560518,#138332); #99416=LINE('',#560520,#138333); #99417=LINE('',#560521,#138334); #99418=LINE('',#560524,#138335); #99419=LINE('',#560526,#138336); #99420=LINE('',#560527,#138337); #99421=LINE('',#560529,#138338); #99422=LINE('',#560530,#138339); #99423=LINE('',#560536,#138340); #99424=LINE('',#560538,#138341); #99425=LINE('',#560540,#138342); #99426=LINE('',#560541,#138343); #99427=LINE('',#560544,#138344); #99428=LINE('',#560546,#138345); #99429=LINE('',#560547,#138346); #99430=LINE('',#560550,#138347); #99431=LINE('',#560552,#138348); #99432=LINE('',#560553,#138349); #99433=LINE('',#560556,#138350); #99434=LINE('',#560558,#138351); #99435=LINE('',#560559,#138352); #99436=LINE('',#560562,#138353); #99437=LINE('',#560564,#138354); #99438=LINE('',#560565,#138355); #99439=LINE('',#560568,#138356); #99440=LINE('',#560570,#138357); #99441=LINE('',#560571,#138358); #99442=LINE('',#560574,#138359); #99443=LINE('',#560576,#138360); #99444=LINE('',#560577,#138361); #99445=LINE('',#560580,#138362); #99446=LINE('',#560582,#138363); #99447=LINE('',#560583,#138364); #99448=LINE('',#560586,#138365); #99449=LINE('',#560588,#138366); #99450=LINE('',#560589,#138367); #99451=LINE('',#560592,#138368); #99452=LINE('',#560594,#138369); #99453=LINE('',#560595,#138370); #99454=LINE('',#560598,#138371); #99455=LINE('',#560600,#138372); #99456=LINE('',#560601,#138373); #99457=LINE('',#560604,#138374); #99458=LINE('',#560606,#138375); #99459=LINE('',#560607,#138376); #99460=LINE('',#560610,#138377); #99461=LINE('',#560612,#138378); #99462=LINE('',#560613,#138379); #99463=LINE('',#560616,#138380); #99464=LINE('',#560618,#138381); #99465=LINE('',#560619,#138382); #99466=LINE('',#560622,#138383); #99467=LINE('',#560624,#138384); #99468=LINE('',#560625,#138385); #99469=LINE('',#560628,#138386); #99470=LINE('',#560630,#138387); #99471=LINE('',#560631,#138388); #99472=LINE('',#560634,#138389); #99473=LINE('',#560636,#138390); #99474=LINE('',#560637,#138391); #99475=LINE('',#560640,#138392); #99476=LINE('',#560642,#138393); #99477=LINE('',#560643,#138394); #99478=LINE('',#560646,#138395); #99479=LINE('',#560648,#138396); #99480=LINE('',#560649,#138397); #99481=LINE('',#560651,#138398); #99482=LINE('',#560652,#138399); #99483=LINE('',#560658,#138400); #99484=LINE('',#560660,#138401); #99485=LINE('',#560662,#138402); #99486=LINE('',#560663,#138403); #99487=LINE('',#560666,#138404); #99488=LINE('',#560668,#138405); #99489=LINE('',#560669,#138406); #99490=LINE('',#560672,#138407); #99491=LINE('',#560674,#138408); #99492=LINE('',#560675,#138409); #99493=LINE('',#560678,#138410); #99494=LINE('',#560680,#138411); #99495=LINE('',#560681,#138412); #99496=LINE('',#560684,#138413); #99497=LINE('',#560686,#138414); #99498=LINE('',#560687,#138415); #99499=LINE('',#560690,#138416); #99500=LINE('',#560692,#138417); #99501=LINE('',#560693,#138418); #99502=LINE('',#560696,#138419); #99503=LINE('',#560698,#138420); #99504=LINE('',#560699,#138421); #99505=LINE('',#560702,#138422); #99506=LINE('',#560704,#138423); #99507=LINE('',#560705,#138424); #99508=LINE('',#560708,#138425); #99509=LINE('',#560710,#138426); #99510=LINE('',#560711,#138427); #99511=LINE('',#560714,#138428); #99512=LINE('',#560716,#138429); #99513=LINE('',#560717,#138430); #99514=LINE('',#560720,#138431); #99515=LINE('',#560722,#138432); #99516=LINE('',#560723,#138433); #99517=LINE('',#560726,#138434); #99518=LINE('',#560728,#138435); #99519=LINE('',#560729,#138436); #99520=LINE('',#560732,#138437); #99521=LINE('',#560734,#138438); #99522=LINE('',#560735,#138439); #99523=LINE('',#560738,#138440); #99524=LINE('',#560740,#138441); #99525=LINE('',#560741,#138442); #99526=LINE('',#560744,#138443); #99527=LINE('',#560746,#138444); #99528=LINE('',#560747,#138445); #99529=LINE('',#560750,#138446); #99530=LINE('',#560752,#138447); #99531=LINE('',#560753,#138448); #99532=LINE('',#560756,#138449); #99533=LINE('',#560758,#138450); #99534=LINE('',#560759,#138451); #99535=LINE('',#560762,#138452); #99536=LINE('',#560764,#138453); #99537=LINE('',#560765,#138454); #99538=LINE('',#560768,#138455); #99539=LINE('',#560770,#138456); #99540=LINE('',#560771,#138457); #99541=LINE('',#560774,#138458); #99542=LINE('',#560776,#138459); #99543=LINE('',#560777,#138460); #99544=LINE('',#560780,#138461); #99545=LINE('',#560782,#138462); #99546=LINE('',#560783,#138463); #99547=LINE('',#560786,#138464); #99548=LINE('',#560788,#138465); #99549=LINE('',#560789,#138466); #99550=LINE('',#560792,#138467); #99551=LINE('',#560794,#138468); #99552=LINE('',#560795,#138469); #99553=LINE('',#560798,#138470); #99554=LINE('',#560800,#138471); #99555=LINE('',#560801,#138472); #99556=LINE('',#560804,#138473); #99557=LINE('',#560806,#138474); #99558=LINE('',#560807,#138475); #99559=LINE('',#560810,#138476); #99560=LINE('',#560812,#138477); #99561=LINE('',#560813,#138478); #99562=LINE('',#560816,#138479); #99563=LINE('',#560818,#138480); #99564=LINE('',#560819,#138481); #99565=LINE('',#560822,#138482); #99566=LINE('',#560824,#138483); #99567=LINE('',#560825,#138484); #99568=LINE('',#560828,#138485); #99569=LINE('',#560830,#138486); #99570=LINE('',#560831,#138487); #99571=LINE('',#560834,#138488); #99572=LINE('',#560836,#138489); #99573=LINE('',#560837,#138490); #99574=LINE('',#560840,#138491); #99575=LINE('',#560842,#138492); #99576=LINE('',#560843,#138493); #99577=LINE('',#560846,#138494); #99578=LINE('',#560848,#138495); #99579=LINE('',#560849,#138496); #99580=LINE('',#560852,#138497); #99581=LINE('',#560854,#138498); #99582=LINE('',#560855,#138499); #99583=LINE('',#560858,#138500); #99584=LINE('',#560860,#138501); #99585=LINE('',#560861,#138502); #99586=LINE('',#560864,#138503); #99587=LINE('',#560866,#138504); #99588=LINE('',#560867,#138505); #99589=LINE('',#560870,#138506); #99590=LINE('',#560872,#138507); #99591=LINE('',#560873,#138508); #99592=LINE('',#560876,#138509); #99593=LINE('',#560878,#138510); #99594=LINE('',#560879,#138511); #99595=LINE('',#560882,#138512); #99596=LINE('',#560884,#138513); #99597=LINE('',#560885,#138514); #99598=LINE('',#560888,#138515); #99599=LINE('',#560890,#138516); #99600=LINE('',#560891,#138517); #99601=LINE('',#560894,#138518); #99602=LINE('',#560896,#138519); #99603=LINE('',#560897,#138520); #99604=LINE('',#560900,#138521); #99605=LINE('',#560902,#138522); #99606=LINE('',#560903,#138523); #99607=LINE('',#560906,#138524); #99608=LINE('',#560908,#138525); #99609=LINE('',#560909,#138526); #99610=LINE('',#560912,#138527); #99611=LINE('',#560914,#138528); #99612=LINE('',#560915,#138529); #99613=LINE('',#560918,#138530); #99614=LINE('',#560920,#138531); #99615=LINE('',#560921,#138532); #99616=LINE('',#560924,#138533); #99617=LINE('',#560926,#138534); #99618=LINE('',#560927,#138535); #99619=LINE('',#560930,#138536); #99620=LINE('',#560932,#138537); #99621=LINE('',#560933,#138538); #99622=LINE('',#560935,#138539); #99623=LINE('',#560936,#138540); #99624=LINE('',#560942,#138541); #99625=LINE('',#560944,#138542); #99626=LINE('',#560946,#138543); #99627=LINE('',#560947,#138544); #99628=LINE('',#560950,#138545); #99629=LINE('',#560952,#138546); #99630=LINE('',#560953,#138547); #99631=LINE('',#560956,#138548); #99632=LINE('',#560958,#138549); #99633=LINE('',#560959,#138550); #99634=LINE('',#560962,#138551); #99635=LINE('',#560964,#138552); #99636=LINE('',#560965,#138553); #99637=LINE('',#560968,#138554); #99638=LINE('',#560970,#138555); #99639=LINE('',#560971,#138556); #99640=LINE('',#560974,#138557); #99641=LINE('',#560976,#138558); #99642=LINE('',#560977,#138559); #99643=LINE('',#560980,#138560); #99644=LINE('',#560982,#138561); #99645=LINE('',#560983,#138562); #99646=LINE('',#560986,#138563); #99647=LINE('',#560988,#138564); #99648=LINE('',#560989,#138565); #99649=LINE('',#560992,#138566); #99650=LINE('',#560994,#138567); #99651=LINE('',#560995,#138568); #99652=LINE('',#560998,#138569); #99653=LINE('',#561000,#138570); #99654=LINE('',#561001,#138571); #99655=LINE('',#561004,#138572); #99656=LINE('',#561006,#138573); #99657=LINE('',#561007,#138574); #99658=LINE('',#561010,#138575); #99659=LINE('',#561012,#138576); #99660=LINE('',#561013,#138577); #99661=LINE('',#561016,#138578); #99662=LINE('',#561018,#138579); #99663=LINE('',#561019,#138580); #99664=LINE('',#561022,#138581); #99665=LINE('',#561024,#138582); #99666=LINE('',#561025,#138583); #99667=LINE('',#561028,#138584); #99668=LINE('',#561030,#138585); #99669=LINE('',#561031,#138586); #99670=LINE('',#561034,#138587); #99671=LINE('',#561036,#138588); #99672=LINE('',#561037,#138589); #99673=LINE('',#561040,#138590); #99674=LINE('',#561042,#138591); #99675=LINE('',#561043,#138592); #99676=LINE('',#561046,#138593); #99677=LINE('',#561048,#138594); #99678=LINE('',#561049,#138595); #99679=LINE('',#561052,#138596); #99680=LINE('',#561054,#138597); #99681=LINE('',#561055,#138598); #99682=LINE('',#561058,#138599); #99683=LINE('',#561060,#138600); #99684=LINE('',#561061,#138601); #99685=LINE('',#561064,#138602); #99686=LINE('',#561066,#138603); #99687=LINE('',#561067,#138604); #99688=LINE('',#561070,#138605); #99689=LINE('',#561072,#138606); #99690=LINE('',#561073,#138607); #99691=LINE('',#561076,#138608); #99692=LINE('',#561078,#138609); #99693=LINE('',#561079,#138610); #99694=LINE('',#561082,#138611); #99695=LINE('',#561084,#138612); #99696=LINE('',#561085,#138613); #99697=LINE('',#561088,#138614); #99698=LINE('',#561090,#138615); #99699=LINE('',#561091,#138616); #99700=LINE('',#561094,#138617); #99701=LINE('',#561096,#138618); #99702=LINE('',#561097,#138619); #99703=LINE('',#561100,#138620); #99704=LINE('',#561102,#138621); #99705=LINE('',#561103,#138622); #99706=LINE('',#561106,#138623); #99707=LINE('',#561108,#138624); #99708=LINE('',#561109,#138625); #99709=LINE('',#561112,#138626); #99710=LINE('',#561114,#138627); #99711=LINE('',#561115,#138628); #99712=LINE('',#561118,#138629); #99713=LINE('',#561120,#138630); #99714=LINE('',#561121,#138631); #99715=LINE('',#561124,#138632); #99716=LINE('',#561126,#138633); #99717=LINE('',#561127,#138634); #99718=LINE('',#561130,#138635); #99719=LINE('',#561132,#138636); #99720=LINE('',#561133,#138637); #99721=LINE('',#561136,#138638); #99722=LINE('',#561138,#138639); #99723=LINE('',#561139,#138640); #99724=LINE('',#561141,#138641); #99725=LINE('',#561142,#138642); #99726=LINE('',#561148,#138643); #99727=LINE('',#561150,#138644); #99728=LINE('',#561152,#138645); #99729=LINE('',#561153,#138646); #99730=LINE('',#561156,#138647); #99731=LINE('',#561158,#138648); #99732=LINE('',#561159,#138649); #99733=LINE('',#561162,#138650); #99734=LINE('',#561164,#138651); #99735=LINE('',#561165,#138652); #99736=LINE('',#561168,#138653); #99737=LINE('',#561170,#138654); #99738=LINE('',#561171,#138655); #99739=LINE('',#561174,#138656); #99740=LINE('',#561176,#138657); #99741=LINE('',#561177,#138658); #99742=LINE('',#561180,#138659); #99743=LINE('',#561182,#138660); #99744=LINE('',#561183,#138661); #99745=LINE('',#561186,#138662); #99746=LINE('',#561188,#138663); #99747=LINE('',#561189,#138664); #99748=LINE('',#561192,#138665); #99749=LINE('',#561194,#138666); #99750=LINE('',#561195,#138667); #99751=LINE('',#561198,#138668); #99752=LINE('',#561200,#138669); #99753=LINE('',#561201,#138670); #99754=LINE('',#561204,#138671); #99755=LINE('',#561206,#138672); #99756=LINE('',#561207,#138673); #99757=LINE('',#561210,#138674); #99758=LINE('',#561212,#138675); #99759=LINE('',#561213,#138676); #99760=LINE('',#561216,#138677); #99761=LINE('',#561218,#138678); #99762=LINE('',#561219,#138679); #99763=LINE('',#561222,#138680); #99764=LINE('',#561224,#138681); #99765=LINE('',#561225,#138682); #99766=LINE('',#561228,#138683); #99767=LINE('',#561230,#138684); #99768=LINE('',#561231,#138685); #99769=LINE('',#561234,#138686); #99770=LINE('',#561236,#138687); #99771=LINE('',#561237,#138688); #99772=LINE('',#561240,#138689); #99773=LINE('',#561242,#138690); #99774=LINE('',#561243,#138691); #99775=LINE('',#561246,#138692); #99776=LINE('',#561248,#138693); #99777=LINE('',#561249,#138694); #99778=LINE('',#561252,#138695); #99779=LINE('',#561254,#138696); #99780=LINE('',#561255,#138697); #99781=LINE('',#561258,#138698); #99782=LINE('',#561260,#138699); #99783=LINE('',#561261,#138700); #99784=LINE('',#561264,#138701); #99785=LINE('',#561266,#138702); #99786=LINE('',#561267,#138703); #99787=LINE('',#561270,#138704); #99788=LINE('',#561272,#138705); #99789=LINE('',#561273,#138706); #99790=LINE('',#561275,#138707); #99791=LINE('',#561276,#138708); #99792=LINE('',#561282,#138709); #99793=LINE('',#561284,#138710); #99794=LINE('',#561286,#138711); #99795=LINE('',#561287,#138712); #99796=LINE('',#561290,#138713); #99797=LINE('',#561292,#138714); #99798=LINE('',#561293,#138715); #99799=LINE('',#561296,#138716); #99800=LINE('',#561298,#138717); #99801=LINE('',#561299,#138718); #99802=LINE('',#561302,#138719); #99803=LINE('',#561304,#138720); #99804=LINE('',#561305,#138721); #99805=LINE('',#561308,#138722); #99806=LINE('',#561310,#138723); #99807=LINE('',#561311,#138724); #99808=LINE('',#561314,#138725); #99809=LINE('',#561316,#138726); #99810=LINE('',#561317,#138727); #99811=LINE('',#561320,#138728); #99812=LINE('',#561322,#138729); #99813=LINE('',#561323,#138730); #99814=LINE('',#561326,#138731); #99815=LINE('',#561328,#138732); #99816=LINE('',#561329,#138733); #99817=LINE('',#561332,#138734); #99818=LINE('',#561334,#138735); #99819=LINE('',#561335,#138736); #99820=LINE('',#561338,#138737); #99821=LINE('',#561340,#138738); #99822=LINE('',#561341,#138739); #99823=LINE('',#561344,#138740); #99824=LINE('',#561346,#138741); #99825=LINE('',#561347,#138742); #99826=LINE('',#561350,#138743); #99827=LINE('',#561352,#138744); #99828=LINE('',#561353,#138745); #99829=LINE('',#561356,#138746); #99830=LINE('',#561358,#138747); #99831=LINE('',#561359,#138748); #99832=LINE('',#561362,#138749); #99833=LINE('',#561364,#138750); #99834=LINE('',#561365,#138751); #99835=LINE('',#561368,#138752); #99836=LINE('',#561370,#138753); #99837=LINE('',#561371,#138754); #99838=LINE('',#561374,#138755); #99839=LINE('',#561376,#138756); #99840=LINE('',#561377,#138757); #99841=LINE('',#561380,#138758); #99842=LINE('',#561382,#138759); #99843=LINE('',#561383,#138760); #99844=LINE('',#561386,#138761); #99845=LINE('',#561388,#138762); #99846=LINE('',#561389,#138763); #99847=LINE('',#561392,#138764); #99848=LINE('',#561394,#138765); #99849=LINE('',#561395,#138766); #99850=LINE('',#561397,#138767); #99851=LINE('',#561398,#138768); #99852=LINE('',#561404,#138769); #99853=LINE('',#561406,#138770); #99854=LINE('',#561408,#138771); #99855=LINE('',#561409,#138772); #99856=LINE('',#561412,#138773); #99857=LINE('',#561414,#138774); #99858=LINE('',#561415,#138775); #99859=LINE('',#561418,#138776); #99860=LINE('',#561420,#138777); #99861=LINE('',#561421,#138778); #99862=LINE('',#561424,#138779); #99863=LINE('',#561426,#138780); #99864=LINE('',#561427,#138781); #99865=LINE('',#561430,#138782); #99866=LINE('',#561432,#138783); #99867=LINE('',#561433,#138784); #99868=LINE('',#561436,#138785); #99869=LINE('',#561438,#138786); #99870=LINE('',#561439,#138787); #99871=LINE('',#561442,#138788); #99872=LINE('',#561444,#138789); #99873=LINE('',#561445,#138790); #99874=LINE('',#561448,#138791); #99875=LINE('',#561450,#138792); #99876=LINE('',#561451,#138793); #99877=LINE('',#561454,#138794); #99878=LINE('',#561456,#138795); #99879=LINE('',#561457,#138796); #99880=LINE('',#561460,#138797); #99881=LINE('',#561462,#138798); #99882=LINE('',#561463,#138799); #99883=LINE('',#561466,#138800); #99884=LINE('',#561468,#138801); #99885=LINE('',#561469,#138802); #99886=LINE('',#561472,#138803); #99887=LINE('',#561474,#138804); #99888=LINE('',#561475,#138805); #99889=LINE('',#561478,#138806); #99890=LINE('',#561480,#138807); #99891=LINE('',#561481,#138808); #99892=LINE('',#561484,#138809); #99893=LINE('',#561486,#138810); #99894=LINE('',#561487,#138811); #99895=LINE('',#561490,#138812); #99896=LINE('',#561492,#138813); #99897=LINE('',#561493,#138814); #99898=LINE('',#561496,#138815); #99899=LINE('',#561498,#138816); #99900=LINE('',#561499,#138817); #99901=LINE('',#561502,#138818); #99902=LINE('',#561504,#138819); #99903=LINE('',#561505,#138820); #99904=LINE('',#561508,#138821); #99905=LINE('',#561510,#138822); #99906=LINE('',#561511,#138823); #99907=LINE('',#561514,#138824); #99908=LINE('',#561516,#138825); #99909=LINE('',#561517,#138826); #99910=LINE('',#561520,#138827); #99911=LINE('',#561522,#138828); #99912=LINE('',#561523,#138829); #99913=LINE('',#561526,#138830); #99914=LINE('',#561528,#138831); #99915=LINE('',#561529,#138832); #99916=LINE('',#561532,#138833); #99917=LINE('',#561534,#138834); #99918=LINE('',#561535,#138835); #99919=LINE('',#561538,#138836); #99920=LINE('',#561540,#138837); #99921=LINE('',#561541,#138838); #99922=LINE('',#561544,#138839); #99923=LINE('',#561546,#138840); #99924=LINE('',#561547,#138841); #99925=LINE('',#561550,#138842); #99926=LINE('',#561552,#138843); #99927=LINE('',#561553,#138844); #99928=LINE('',#561556,#138845); #99929=LINE('',#561558,#138846); #99930=LINE('',#561559,#138847); #99931=LINE('',#561562,#138848); #99932=LINE('',#561564,#138849); #99933=LINE('',#561565,#138850); #99934=LINE('',#561568,#138851); #99935=LINE('',#561570,#138852); #99936=LINE('',#561571,#138853); #99937=LINE('',#561574,#138854); #99938=LINE('',#561576,#138855); #99939=LINE('',#561577,#138856); #99940=LINE('',#561580,#138857); #99941=LINE('',#561582,#138858); #99942=LINE('',#561583,#138859); #99943=LINE('',#561586,#138860); #99944=LINE('',#561588,#138861); #99945=LINE('',#561589,#138862); #99946=LINE('',#561592,#138863); #99947=LINE('',#561594,#138864); #99948=LINE('',#561595,#138865); #99949=LINE('',#561598,#138866); #99950=LINE('',#561600,#138867); #99951=LINE('',#561601,#138868); #99952=LINE('',#561604,#138869); #99953=LINE('',#561606,#138870); #99954=LINE('',#561607,#138871); #99955=LINE('',#561610,#138872); #99956=LINE('',#561612,#138873); #99957=LINE('',#561613,#138874); #99958=LINE('',#561616,#138875); #99959=LINE('',#561618,#138876); #99960=LINE('',#561619,#138877); #99961=LINE('',#561622,#138878); #99962=LINE('',#561624,#138879); #99963=LINE('',#561625,#138880); #99964=LINE('',#561628,#138881); #99965=LINE('',#561630,#138882); #99966=LINE('',#561631,#138883); #99967=LINE('',#561634,#138884); #99968=LINE('',#561636,#138885); #99969=LINE('',#561637,#138886); #99970=LINE('',#561640,#138887); #99971=LINE('',#561642,#138888); #99972=LINE('',#561643,#138889); #99973=LINE('',#561646,#138890); #99974=LINE('',#561648,#138891); #99975=LINE('',#561649,#138892); #99976=LINE('',#561652,#138893); #99977=LINE('',#561654,#138894); #99978=LINE('',#561655,#138895); #99979=LINE('',#561658,#138896); #99980=LINE('',#561660,#138897); #99981=LINE('',#561661,#138898); #99982=LINE('',#561664,#138899); #99983=LINE('',#561666,#138900); #99984=LINE('',#561667,#138901); #99985=LINE('',#561670,#138902); #99986=LINE('',#561672,#138903); #99987=LINE('',#561673,#138904); #99988=LINE('',#561676,#138905); #99989=LINE('',#561678,#138906); #99990=LINE('',#561679,#138907); #99991=LINE('',#561682,#138908); #99992=LINE('',#561684,#138909); #99993=LINE('',#561685,#138910); #99994=LINE('',#561688,#138911); #99995=LINE('',#561690,#138912); #99996=LINE('',#561691,#138913); #99997=LINE('',#561694,#138914); #99998=LINE('',#561696,#138915); #99999=LINE('',#561697,#138916); #100000=LINE('',#561700,#138917); #100001=LINE('',#561702,#138918); #100002=LINE('',#561703,#138919); #100003=LINE('',#561706,#138920); #100004=LINE('',#561708,#138921); #100005=LINE('',#561709,#138922); #100006=LINE('',#561712,#138923); #100007=LINE('',#561714,#138924); #100008=LINE('',#561715,#138925); #100009=LINE('',#561718,#138926); #100010=LINE('',#561720,#138927); #100011=LINE('',#561721,#138928); #100012=LINE('',#561724,#138929); #100013=LINE('',#561726,#138930); #100014=LINE('',#561727,#138931); #100015=LINE('',#561730,#138932); #100016=LINE('',#561732,#138933); #100017=LINE('',#561733,#138934); #100018=LINE('',#561736,#138935); #100019=LINE('',#561738,#138936); #100020=LINE('',#561739,#138937); #100021=LINE('',#561742,#138938); #100022=LINE('',#561744,#138939); #100023=LINE('',#561745,#138940); #100024=LINE('',#561748,#138941); #100025=LINE('',#561750,#138942); #100026=LINE('',#561751,#138943); #100027=LINE('',#561754,#138944); #100028=LINE('',#561756,#138945); #100029=LINE('',#561757,#138946); #100030=LINE('',#561760,#138947); #100031=LINE('',#561762,#138948); #100032=LINE('',#561763,#138949); #100033=LINE('',#561766,#138950); #100034=LINE('',#561768,#138951); #100035=LINE('',#561769,#138952); #100036=LINE('',#561772,#138953); #100037=LINE('',#561774,#138954); #100038=LINE('',#561775,#138955); #100039=LINE('',#561778,#138956); #100040=LINE('',#561780,#138957); #100041=LINE('',#561781,#138958); #100042=LINE('',#561784,#138959); #100043=LINE('',#561786,#138960); #100044=LINE('',#561787,#138961); #100045=LINE('',#561790,#138962); #100046=LINE('',#561792,#138963); #100047=LINE('',#561793,#138964); #100048=LINE('',#561796,#138965); #100049=LINE('',#561798,#138966); #100050=LINE('',#561799,#138967); #100051=LINE('',#561802,#138968); #100052=LINE('',#561804,#138969); #100053=LINE('',#561805,#138970); #100054=LINE('',#561808,#138971); #100055=LINE('',#561810,#138972); #100056=LINE('',#561811,#138973); #100057=LINE('',#561814,#138974); #100058=LINE('',#561816,#138975); #100059=LINE('',#561817,#138976); #100060=LINE('',#561820,#138977); #100061=LINE('',#561822,#138978); #100062=LINE('',#561823,#138979); #100063=LINE('',#561826,#138980); #100064=LINE('',#561828,#138981); #100065=LINE('',#561829,#138982); #100066=LINE('',#561831,#138983); #100067=LINE('',#561832,#138984); #100068=LINE('',#561838,#138985); #100069=LINE('',#561840,#138986); #100070=LINE('',#561842,#138987); #100071=LINE('',#561843,#138988); #100072=LINE('',#561846,#138989); #100073=LINE('',#561848,#138990); #100074=LINE('',#561849,#138991); #100075=LINE('',#561852,#138992); #100076=LINE('',#561854,#138993); #100077=LINE('',#561855,#138994); #100078=LINE('',#561858,#138995); #100079=LINE('',#561860,#138996); #100080=LINE('',#561861,#138997); #100081=LINE('',#561864,#138998); #100082=LINE('',#561866,#138999); #100083=LINE('',#561867,#139000); #100084=LINE('',#561870,#139001); #100085=LINE('',#561872,#139002); #100086=LINE('',#561873,#139003); #100087=LINE('',#561876,#139004); #100088=LINE('',#561878,#139005); #100089=LINE('',#561879,#139006); #100090=LINE('',#561882,#139007); #100091=LINE('',#561884,#139008); #100092=LINE('',#561885,#139009); #100093=LINE('',#561888,#139010); #100094=LINE('',#561890,#139011); #100095=LINE('',#561891,#139012); #100096=LINE('',#561894,#139013); #100097=LINE('',#561896,#139014); #100098=LINE('',#561897,#139015); #100099=LINE('',#561900,#139016); #100100=LINE('',#561902,#139017); #100101=LINE('',#561903,#139018); #100102=LINE('',#561906,#139019); #100103=LINE('',#561908,#139020); #100104=LINE('',#561909,#139021); #100105=LINE('',#561912,#139022); #100106=LINE('',#561914,#139023); #100107=LINE('',#561915,#139024); #100108=LINE('',#561918,#139025); #100109=LINE('',#561920,#139026); #100110=LINE('',#561921,#139027); #100111=LINE('',#561924,#139028); #100112=LINE('',#561926,#139029); #100113=LINE('',#561927,#139030); #100114=LINE('',#561930,#139031); #100115=LINE('',#561932,#139032); #100116=LINE('',#561933,#139033); #100117=LINE('',#561936,#139034); #100118=LINE('',#561938,#139035); #100119=LINE('',#561939,#139036); #100120=LINE('',#561942,#139037); #100121=LINE('',#561944,#139038); #100122=LINE('',#561945,#139039); #100123=LINE('',#561948,#139040); #100124=LINE('',#561950,#139041); #100125=LINE('',#561951,#139042); #100126=LINE('',#561954,#139043); #100127=LINE('',#561956,#139044); #100128=LINE('',#561957,#139045); #100129=LINE('',#561960,#139046); #100130=LINE('',#561962,#139047); #100131=LINE('',#561963,#139048); #100132=LINE('',#561966,#139049); #100133=LINE('',#561968,#139050); #100134=LINE('',#561969,#139051); #100135=LINE('',#561972,#139052); #100136=LINE('',#561974,#139053); #100137=LINE('',#561975,#139054); #100138=LINE('',#561978,#139055); #100139=LINE('',#561980,#139056); #100140=LINE('',#561981,#139057); #100141=LINE('',#561984,#139058); #100142=LINE('',#561986,#139059); #100143=LINE('',#561987,#139060); #100144=LINE('',#561990,#139061); #100145=LINE('',#561992,#139062); #100146=LINE('',#561993,#139063); #100147=LINE('',#561996,#139064); #100148=LINE('',#561998,#139065); #100149=LINE('',#561999,#139066); #100150=LINE('',#562001,#139067); #100151=LINE('',#562002,#139068); #100152=LINE('',#562009,#139069); #100153=LINE('',#562015,#139070); #100154=LINE('',#562021,#139071); #100155=LINE('',#562027,#139072); #100156=LINE('',#562033,#139073); #100157=LINE('',#562039,#139074); #100158=LINE('',#562045,#139075); #100159=LINE('',#562051,#139076); #100160=LINE('',#562057,#139077); #100161=LINE('',#562063,#139078); #100162=LINE('',#562069,#139079); #100163=LINE('',#562075,#139080); #100164=LINE('',#562081,#139081); #100165=LINE('',#562087,#139082); #100166=LINE('',#562093,#139083); #100167=LINE('',#562099,#139084); #100168=LINE('',#562104,#139085); #100169=LINE('',#562106,#139086); #100170=LINE('',#562108,#139087); #100171=LINE('',#562109,#139088); #100172=LINE('',#562112,#139089); #100173=LINE('',#562114,#139090); #100174=LINE('',#562115,#139091); #100175=LINE('',#562118,#139092); #100176=LINE('',#562120,#139093); #100177=LINE('',#562121,#139094); #100178=LINE('',#562124,#139095); #100179=LINE('',#562126,#139096); #100180=LINE('',#562127,#139097); #100181=LINE('',#562130,#139098); #100182=LINE('',#562132,#139099); #100183=LINE('',#562133,#139100); #100184=LINE('',#562136,#139101); #100185=LINE('',#562138,#139102); #100186=LINE('',#562139,#139103); #100187=LINE('',#562142,#139104); #100188=LINE('',#562144,#139105); #100189=LINE('',#562145,#139106); #100190=LINE('',#562148,#139107); #100191=LINE('',#562150,#139108); #100192=LINE('',#562151,#139109); #100193=LINE('',#562154,#139110); #100194=LINE('',#562156,#139111); #100195=LINE('',#562157,#139112); #100196=LINE('',#562160,#139113); #100197=LINE('',#562162,#139114); #100198=LINE('',#562163,#139115); #100199=LINE('',#562166,#139116); #100200=LINE('',#562168,#139117); #100201=LINE('',#562169,#139118); #100202=LINE('',#562172,#139119); #100203=LINE('',#562174,#139120); #100204=LINE('',#562175,#139121); #100205=LINE('',#562178,#139122); #100206=LINE('',#562180,#139123); #100207=LINE('',#562181,#139124); #100208=LINE('',#562184,#139125); #100209=LINE('',#562186,#139126); #100210=LINE('',#562187,#139127); #100211=LINE('',#562190,#139128); #100212=LINE('',#562192,#139129); #100213=LINE('',#562193,#139130); #100214=LINE('',#562196,#139131); #100215=LINE('',#562198,#139132); #100216=LINE('',#562199,#139133); #100217=LINE('',#562202,#139134); #100218=LINE('',#562204,#139135); #100219=LINE('',#562205,#139136); #100220=LINE('',#562208,#139137); #100221=LINE('',#562210,#139138); #100222=LINE('',#562211,#139139); #100223=LINE('',#562214,#139140); #100224=LINE('',#562216,#139141); #100225=LINE('',#562217,#139142); #100226=LINE('',#562220,#139143); #100227=LINE('',#562222,#139144); #100228=LINE('',#562223,#139145); #100229=LINE('',#562226,#139146); #100230=LINE('',#562228,#139147); #100231=LINE('',#562229,#139148); #100232=LINE('',#562232,#139149); #100233=LINE('',#562234,#139150); #100234=LINE('',#562235,#139151); #100235=LINE('',#562238,#139152); #100236=LINE('',#562240,#139153); #100237=LINE('',#562241,#139154); #100238=LINE('',#562244,#139155); #100239=LINE('',#562246,#139156); #100240=LINE('',#562247,#139157); #100241=LINE('',#562250,#139158); #100242=LINE('',#562252,#139159); #100243=LINE('',#562253,#139160); #100244=LINE('',#562256,#139161); #100245=LINE('',#562258,#139162); #100246=LINE('',#562259,#139163); #100247=LINE('',#562262,#139164); #100248=LINE('',#562264,#139165); #100249=LINE('',#562265,#139166); #100250=LINE('',#562268,#139167); #100251=LINE('',#562270,#139168); #100252=LINE('',#562271,#139169); #100253=LINE('',#562274,#139170); #100254=LINE('',#562276,#139171); #100255=LINE('',#562277,#139172); #100256=LINE('',#562280,#139173); #100257=LINE('',#562282,#139174); #100258=LINE('',#562283,#139175); #100259=LINE('',#562286,#139176); #100260=LINE('',#562288,#139177); #100261=LINE('',#562289,#139178); #100262=LINE('',#562292,#139179); #100263=LINE('',#562294,#139180); #100264=LINE('',#562295,#139181); #100265=LINE('',#562298,#139182); #100266=LINE('',#562300,#139183); #100267=LINE('',#562301,#139184); #100268=LINE('',#562304,#139185); #100269=LINE('',#562306,#139186); #100270=LINE('',#562307,#139187); #100271=LINE('',#562310,#139188); #100272=LINE('',#562312,#139189); #100273=LINE('',#562313,#139190); #100274=LINE('',#562316,#139191); #100275=LINE('',#562318,#139192); #100276=LINE('',#562319,#139193); #100277=LINE('',#562322,#139194); #100278=LINE('',#562324,#139195); #100279=LINE('',#562325,#139196); #100280=LINE('',#562328,#139197); #100281=LINE('',#562330,#139198); #100282=LINE('',#562331,#139199); #100283=LINE('',#562334,#139200); #100284=LINE('',#562336,#139201); #100285=LINE('',#562337,#139202); #100286=LINE('',#562340,#139203); #100287=LINE('',#562342,#139204); #100288=LINE('',#562343,#139205); #100289=LINE('',#562346,#139206); #100290=LINE('',#562348,#139207); #100291=LINE('',#562349,#139208); #100292=LINE('',#562352,#139209); #100293=LINE('',#562354,#139210); #100294=LINE('',#562355,#139211); #100295=LINE('',#562358,#139212); #100296=LINE('',#562360,#139213); #100297=LINE('',#562361,#139214); #100298=LINE('',#562364,#139215); #100299=LINE('',#562366,#139216); #100300=LINE('',#562367,#139217); #100301=LINE('',#562370,#139218); #100302=LINE('',#562372,#139219); #100303=LINE('',#562373,#139220); #100304=LINE('',#562376,#139221); #100305=LINE('',#562378,#139222); #100306=LINE('',#562379,#139223); #100307=LINE('',#562382,#139224); #100308=LINE('',#562384,#139225); #100309=LINE('',#562385,#139226); #100310=LINE('',#562388,#139227); #100311=LINE('',#562390,#139228); #100312=LINE('',#562391,#139229); #100313=LINE('',#562394,#139230); #100314=LINE('',#562396,#139231); #100315=LINE('',#562397,#139232); #100316=LINE('',#562400,#139233); #100317=LINE('',#562402,#139234); #100318=LINE('',#562403,#139235); #100319=LINE('',#562406,#139236); #100320=LINE('',#562408,#139237); #100321=LINE('',#562409,#139238); #100322=LINE('',#562412,#139239); #100323=LINE('',#562414,#139240); #100324=LINE('',#562415,#139241); #100325=LINE('',#562418,#139242); #100326=LINE('',#562420,#139243); #100327=LINE('',#562421,#139244); #100328=LINE('',#562424,#139245); #100329=LINE('',#562426,#139246); #100330=LINE('',#562427,#139247); #100331=LINE('',#562430,#139248); #100332=LINE('',#562432,#139249); #100333=LINE('',#562433,#139250); #100334=LINE('',#562436,#139251); #100335=LINE('',#562438,#139252); #100336=LINE('',#562439,#139253); #100337=LINE('',#562442,#139254); #100338=LINE('',#562444,#139255); #100339=LINE('',#562445,#139256); #100340=LINE('',#562448,#139257); #100341=LINE('',#562450,#139258); #100342=LINE('',#562451,#139259); #100343=LINE('',#562454,#139260); #100344=LINE('',#562456,#139261); #100345=LINE('',#562457,#139262); #100346=LINE('',#562460,#139263); #100347=LINE('',#562462,#139264); #100348=LINE('',#562463,#139265); #100349=LINE('',#562466,#139266); #100350=LINE('',#562468,#139267); #100351=LINE('',#562469,#139268); #100352=LINE('',#562472,#139269); #100353=LINE('',#562474,#139270); #100354=LINE('',#562475,#139271); #100355=LINE('',#562478,#139272); #100356=LINE('',#562480,#139273); #100357=LINE('',#562481,#139274); #100358=LINE('',#562484,#139275); #100359=LINE('',#562486,#139276); #100360=LINE('',#562487,#139277); #100361=LINE('',#562490,#139278); #100362=LINE('',#562492,#139279); #100363=LINE('',#562493,#139280); #100364=LINE('',#562496,#139281); #100365=LINE('',#562498,#139282); #100366=LINE('',#562499,#139283); #100367=LINE('',#562502,#139284); #100368=LINE('',#562504,#139285); #100369=LINE('',#562505,#139286); #100370=LINE('',#562508,#139287); #100371=LINE('',#562510,#139288); #100372=LINE('',#562511,#139289); #100373=LINE('',#562514,#139290); #100374=LINE('',#562516,#139291); #100375=LINE('',#562517,#139292); #100376=LINE('',#562520,#139293); #100377=LINE('',#562522,#139294); #100378=LINE('',#562523,#139295); #100379=LINE('',#562526,#139296); #100380=LINE('',#562528,#139297); #100381=LINE('',#562529,#139298); #100382=LINE('',#562532,#139299); #100383=LINE('',#562534,#139300); #100384=LINE('',#562535,#139301); #100385=LINE('',#562538,#139302); #100386=LINE('',#562540,#139303); #100387=LINE('',#562541,#139304); #100388=LINE('',#562543,#139305); #100389=LINE('',#562544,#139306); #100390=LINE('',#562551,#139307); #100391=LINE('',#562557,#139308); #100392=LINE('',#562563,#139309); #100393=LINE('',#562569,#139310); #100394=LINE('',#562575,#139311); #100395=LINE('',#562581,#139312); #100396=LINE('',#562587,#139313); #100397=LINE('',#562593,#139314); #100398=LINE('',#562599,#139315); #100399=LINE('',#562605,#139316); #100400=LINE('',#562611,#139317); #100401=LINE('',#562617,#139318); #100402=LINE('',#562623,#139319); #100403=LINE('',#562629,#139320); #100404=LINE('',#562635,#139321); #100405=LINE('',#562641,#139322); #100406=LINE('',#562646,#139323); #100407=LINE('',#562648,#139324); #100408=LINE('',#562650,#139325); #100409=LINE('',#562651,#139326); #100410=LINE('',#562654,#139327); #100411=LINE('',#562656,#139328); #100412=LINE('',#562657,#139329); #100413=LINE('',#562660,#139330); #100414=LINE('',#562662,#139331); #100415=LINE('',#562663,#139332); #100416=LINE('',#562666,#139333); #100417=LINE('',#562668,#139334); #100418=LINE('',#562669,#139335); #100419=LINE('',#562672,#139336); #100420=LINE('',#562674,#139337); #100421=LINE('',#562675,#139338); #100422=LINE('',#562678,#139339); #100423=LINE('',#562680,#139340); #100424=LINE('',#562681,#139341); #100425=LINE('',#562684,#139342); #100426=LINE('',#562686,#139343); #100427=LINE('',#562687,#139344); #100428=LINE('',#562690,#139345); #100429=LINE('',#562692,#139346); #100430=LINE('',#562693,#139347); #100431=LINE('',#562696,#139348); #100432=LINE('',#562698,#139349); #100433=LINE('',#562699,#139350); #100434=LINE('',#562702,#139351); #100435=LINE('',#562704,#139352); #100436=LINE('',#562705,#139353); #100437=LINE('',#562708,#139354); #100438=LINE('',#562710,#139355); #100439=LINE('',#562711,#139356); #100440=LINE('',#562714,#139357); #100441=LINE('',#562716,#139358); #100442=LINE('',#562717,#139359); #100443=LINE('',#562720,#139360); #100444=LINE('',#562722,#139361); #100445=LINE('',#562723,#139362); #100446=LINE('',#562726,#139363); #100447=LINE('',#562728,#139364); #100448=LINE('',#562729,#139365); #100449=LINE('',#562732,#139366); #100450=LINE('',#562734,#139367); #100451=LINE('',#562735,#139368); #100452=LINE('',#562738,#139369); #100453=LINE('',#562740,#139370); #100454=LINE('',#562741,#139371); #100455=LINE('',#562744,#139372); #100456=LINE('',#562746,#139373); #100457=LINE('',#562747,#139374); #100458=LINE('',#562750,#139375); #100459=LINE('',#562752,#139376); #100460=LINE('',#562753,#139377); #100461=LINE('',#562756,#139378); #100462=LINE('',#562758,#139379); #100463=LINE('',#562759,#139380); #100464=LINE('',#562762,#139381); #100465=LINE('',#562764,#139382); #100466=LINE('',#562765,#139383); #100467=LINE('',#562768,#139384); #100468=LINE('',#562770,#139385); #100469=LINE('',#562771,#139386); #100470=LINE('',#562774,#139387); #100471=LINE('',#562776,#139388); #100472=LINE('',#562777,#139389); #100473=LINE('',#562780,#139390); #100474=LINE('',#562782,#139391); #100475=LINE('',#562783,#139392); #100476=LINE('',#562786,#139393); #100477=LINE('',#562788,#139394); #100478=LINE('',#562789,#139395); #100479=LINE('',#562792,#139396); #100480=LINE('',#562794,#139397); #100481=LINE('',#562795,#139398); #100482=LINE('',#562798,#139399); #100483=LINE('',#562800,#139400); #100484=LINE('',#562801,#139401); #100485=LINE('',#562804,#139402); #100486=LINE('',#562806,#139403); #100487=LINE('',#562807,#139404); #100488=LINE('',#562810,#139405); #100489=LINE('',#562812,#139406); #100490=LINE('',#562813,#139407); #100491=LINE('',#562816,#139408); #100492=LINE('',#562818,#139409); #100493=LINE('',#562819,#139410); #100494=LINE('',#562822,#139411); #100495=LINE('',#562824,#139412); #100496=LINE('',#562825,#139413); #100497=LINE('',#562828,#139414); #100498=LINE('',#562830,#139415); #100499=LINE('',#562831,#139416); #100500=LINE('',#562834,#139417); #100501=LINE('',#562836,#139418); #100502=LINE('',#562837,#139419); #100503=LINE('',#562840,#139420); #100504=LINE('',#562842,#139421); #100505=LINE('',#562843,#139422); #100506=LINE('',#562846,#139423); #100507=LINE('',#562848,#139424); #100508=LINE('',#562849,#139425); #100509=LINE('',#562852,#139426); #100510=LINE('',#562854,#139427); #100511=LINE('',#562855,#139428); #100512=LINE('',#562858,#139429); #100513=LINE('',#562860,#139430); #100514=LINE('',#562861,#139431); #100515=LINE('',#562864,#139432); #100516=LINE('',#562866,#139433); #100517=LINE('',#562867,#139434); #100518=LINE('',#562870,#139435); #100519=LINE('',#562872,#139436); #100520=LINE('',#562873,#139437); #100521=LINE('',#562876,#139438); #100522=LINE('',#562878,#139439); #100523=LINE('',#562879,#139440); #100524=LINE('',#562882,#139441); #100525=LINE('',#562884,#139442); #100526=LINE('',#562885,#139443); #100527=LINE('',#562888,#139444); #100528=LINE('',#562890,#139445); #100529=LINE('',#562891,#139446); #100530=LINE('',#562894,#139447); #100531=LINE('',#562896,#139448); #100532=LINE('',#562897,#139449); #100533=LINE('',#562900,#139450); #100534=LINE('',#562902,#139451); #100535=LINE('',#562903,#139452); #100536=LINE('',#562906,#139453); #100537=LINE('',#562908,#139454); #100538=LINE('',#562909,#139455); #100539=LINE('',#562912,#139456); #100540=LINE('',#562914,#139457); #100541=LINE('',#562915,#139458); #100542=LINE('',#562918,#139459); #100543=LINE('',#562920,#139460); #100544=LINE('',#562921,#139461); #100545=LINE('',#562924,#139462); #100546=LINE('',#562926,#139463); #100547=LINE('',#562927,#139464); #100548=LINE('',#562930,#139465); #100549=LINE('',#562932,#139466); #100550=LINE('',#562933,#139467); #100551=LINE('',#562936,#139468); #100552=LINE('',#562938,#139469); #100553=LINE('',#562939,#139470); #100554=LINE('',#562942,#139471); #100555=LINE('',#562944,#139472); #100556=LINE('',#562945,#139473); #100557=LINE('',#562948,#139474); #100558=LINE('',#562950,#139475); #100559=LINE('',#562951,#139476); #100560=LINE('',#562954,#139477); #100561=LINE('',#562956,#139478); #100562=LINE('',#562957,#139479); #100563=LINE('',#562960,#139480); #100564=LINE('',#562962,#139481); #100565=LINE('',#562963,#139482); #100566=LINE('',#562966,#139483); #100567=LINE('',#562968,#139484); #100568=LINE('',#562969,#139485); #100569=LINE('',#562972,#139486); #100570=LINE('',#562974,#139487); #100571=LINE('',#562975,#139488); #100572=LINE('',#562978,#139489); #100573=LINE('',#562980,#139490); #100574=LINE('',#562981,#139491); #100575=LINE('',#562984,#139492); #100576=LINE('',#562986,#139493); #100577=LINE('',#562987,#139494); #100578=LINE('',#562990,#139495); #100579=LINE('',#562992,#139496); #100580=LINE('',#562993,#139497); #100581=LINE('',#562996,#139498); #100582=LINE('',#562998,#139499); #100583=LINE('',#562999,#139500); #100584=LINE('',#563002,#139501); #100585=LINE('',#563004,#139502); #100586=LINE('',#563005,#139503); #100587=LINE('',#563008,#139504); #100588=LINE('',#563010,#139505); #100589=LINE('',#563011,#139506); #100590=LINE('',#563014,#139507); #100591=LINE('',#563016,#139508); #100592=LINE('',#563017,#139509); #100593=LINE('',#563020,#139510); #100594=LINE('',#563022,#139511); #100595=LINE('',#563023,#139512); #100596=LINE('',#563026,#139513); #100597=LINE('',#563028,#139514); #100598=LINE('',#563029,#139515); #100599=LINE('',#563032,#139516); #100600=LINE('',#563034,#139517); #100601=LINE('',#563035,#139518); #100602=LINE('',#563038,#139519); #100603=LINE('',#563040,#139520); #100604=LINE('',#563041,#139521); #100605=LINE('',#563044,#139522); #100606=LINE('',#563046,#139523); #100607=LINE('',#563047,#139524); #100608=LINE('',#563050,#139525); #100609=LINE('',#563052,#139526); #100610=LINE('',#563053,#139527); #100611=LINE('',#563056,#139528); #100612=LINE('',#563058,#139529); #100613=LINE('',#563059,#139530); #100614=LINE('',#563061,#139531); #100615=LINE('',#563062,#139532); #100616=LINE('',#563069,#139533); #100617=LINE('',#563075,#139534); #100618=LINE('',#563081,#139535); #100619=LINE('',#563087,#139536); #100620=LINE('',#563093,#139537); #100621=LINE('',#563099,#139538); #100622=LINE('',#563105,#139539); #100623=LINE('',#563111,#139540); #100624=LINE('',#563117,#139541); #100625=LINE('',#563123,#139542); #100626=LINE('',#563129,#139543); #100627=LINE('',#563135,#139544); #100628=LINE('',#563141,#139545); #100629=LINE('',#563147,#139546); #100630=LINE('',#563153,#139547); #100631=LINE('',#563159,#139548); #100632=LINE('',#563165,#139549); #100633=LINE('',#563171,#139550); #100634=LINE('',#563177,#139551); #100635=LINE('',#563183,#139552); #100636=LINE('',#563189,#139553); #100637=LINE('',#563195,#139554); #100638=LINE('',#563201,#139555); #100639=LINE('',#563207,#139556); #100640=LINE('',#563213,#139557); #100641=LINE('',#563219,#139558); #100642=LINE('',#563225,#139559); #100643=LINE('',#563231,#139560); #100644=LINE('',#563237,#139561); #100645=LINE('',#563243,#139562); #100646=LINE('',#563248,#139563); #100647=LINE('',#563250,#139564); #100648=LINE('',#563252,#139565); #100649=LINE('',#563253,#139566); #100650=LINE('',#563256,#139567); #100651=LINE('',#563258,#139568); #100652=LINE('',#563259,#139569); #100653=LINE('',#563262,#139570); #100654=LINE('',#563264,#139571); #100655=LINE('',#563265,#139572); #100656=LINE('',#563268,#139573); #100657=LINE('',#563270,#139574); #100658=LINE('',#563271,#139575); #100659=LINE('',#563274,#139576); #100660=LINE('',#563276,#139577); #100661=LINE('',#563277,#139578); #100662=LINE('',#563280,#139579); #100663=LINE('',#563282,#139580); #100664=LINE('',#563283,#139581); #100665=LINE('',#563286,#139582); #100666=LINE('',#563288,#139583); #100667=LINE('',#563289,#139584); #100668=LINE('',#563292,#139585); #100669=LINE('',#563294,#139586); #100670=LINE('',#563295,#139587); #100671=LINE('',#563298,#139588); #100672=LINE('',#563300,#139589); #100673=LINE('',#563301,#139590); #100674=LINE('',#563304,#139591); #100675=LINE('',#563306,#139592); #100676=LINE('',#563307,#139593); #100677=LINE('',#563310,#139594); #100678=LINE('',#563312,#139595); #100679=LINE('',#563313,#139596); #100680=LINE('',#563316,#139597); #100681=LINE('',#563318,#139598); #100682=LINE('',#563319,#139599); #100683=LINE('',#563322,#139600); #100684=LINE('',#563324,#139601); #100685=LINE('',#563325,#139602); #100686=LINE('',#563328,#139603); #100687=LINE('',#563330,#139604); #100688=LINE('',#563331,#139605); #100689=LINE('',#563334,#139606); #100690=LINE('',#563336,#139607); #100691=LINE('',#563337,#139608); #100692=LINE('',#563340,#139609); #100693=LINE('',#563342,#139610); #100694=LINE('',#563343,#139611); #100695=LINE('',#563346,#139612); #100696=LINE('',#563348,#139613); #100697=LINE('',#563349,#139614); #100698=LINE('',#563352,#139615); #100699=LINE('',#563354,#139616); #100700=LINE('',#563355,#139617); #100701=LINE('',#563358,#139618); #100702=LINE('',#563360,#139619); #100703=LINE('',#563361,#139620); #100704=LINE('',#563364,#139621); #100705=LINE('',#563366,#139622); #100706=LINE('',#563367,#139623); #100707=LINE('',#563370,#139624); #100708=LINE('',#563372,#139625); #100709=LINE('',#563373,#139626); #100710=LINE('',#563376,#139627); #100711=LINE('',#563378,#139628); #100712=LINE('',#563379,#139629); #100713=LINE('',#563382,#139630); #100714=LINE('',#563384,#139631); #100715=LINE('',#563385,#139632); #100716=LINE('',#563388,#139633); #100717=LINE('',#563390,#139634); #100718=LINE('',#563391,#139635); #100719=LINE('',#563394,#139636); #100720=LINE('',#563396,#139637); #100721=LINE('',#563397,#139638); #100722=LINE('',#563400,#139639); #100723=LINE('',#563402,#139640); #100724=LINE('',#563403,#139641); #100725=LINE('',#563406,#139642); #100726=LINE('',#563408,#139643); #100727=LINE('',#563409,#139644); #100728=LINE('',#563412,#139645); #100729=LINE('',#563414,#139646); #100730=LINE('',#563415,#139647); #100731=LINE('',#563418,#139648); #100732=LINE('',#563420,#139649); #100733=LINE('',#563421,#139650); #100734=LINE('',#563424,#139651); #100735=LINE('',#563426,#139652); #100736=LINE('',#563427,#139653); #100737=LINE('',#563430,#139654); #100738=LINE('',#563432,#139655); #100739=LINE('',#563433,#139656); #100740=LINE('',#563436,#139657); #100741=LINE('',#563438,#139658); #100742=LINE('',#563439,#139659); #100743=LINE('',#563442,#139660); #100744=LINE('',#563444,#139661); #100745=LINE('',#563445,#139662); #100746=LINE('',#563448,#139663); #100747=LINE('',#563450,#139664); #100748=LINE('',#563451,#139665); #100749=LINE('',#563454,#139666); #100750=LINE('',#563456,#139667); #100751=LINE('',#563457,#139668); #100752=LINE('',#563460,#139669); #100753=LINE('',#563462,#139670); #100754=LINE('',#563463,#139671); #100755=LINE('',#563466,#139672); #100756=LINE('',#563468,#139673); #100757=LINE('',#563469,#139674); #100758=LINE('',#563472,#139675); #100759=LINE('',#563474,#139676); #100760=LINE('',#563475,#139677); #100761=LINE('',#563478,#139678); #100762=LINE('',#563480,#139679); #100763=LINE('',#563481,#139680); #100764=LINE('',#563484,#139681); #100765=LINE('',#563486,#139682); #100766=LINE('',#563487,#139683); #100767=LINE('',#563490,#139684); #100768=LINE('',#563492,#139685); #100769=LINE('',#563493,#139686); #100770=LINE('',#563496,#139687); #100771=LINE('',#563498,#139688); #100772=LINE('',#563499,#139689); #100773=LINE('',#563502,#139690); #100774=LINE('',#563504,#139691); #100775=LINE('',#563505,#139692); #100776=LINE('',#563508,#139693); #100777=LINE('',#563510,#139694); #100778=LINE('',#563511,#139695); #100779=LINE('',#563513,#139696); #100780=LINE('',#563514,#139697); #100781=LINE('',#563521,#139698); #100782=LINE('',#563527,#139699); #100783=LINE('',#563532,#139700); #100784=LINE('',#563534,#139701); #100785=LINE('',#563536,#139702); #100786=LINE('',#563537,#139703); #100787=LINE('',#563543,#139704); #100788=LINE('',#563546,#139705); #100789=LINE('',#563548,#139706); #100790=LINE('',#563549,#139707); #100791=LINE('',#563555,#139708); #100792=LINE('',#563561,#139709); #100793=LINE('',#563564,#139710); #100794=LINE('',#563566,#139711); #100795=LINE('',#563567,#139712); #100796=LINE('',#563577,#139713); #100797=LINE('',#563583,#139714); #100798=LINE('',#563589,#139715); #100799=LINE('',#563595,#139716); #100800=LINE('',#563601,#139717); #100801=LINE('',#563607,#139718); #100802=LINE('',#563613,#139719); #100803=LINE('',#563619,#139720); #100804=LINE('',#563625,#139721); #100805=LINE('',#563631,#139722); #100806=LINE('',#563636,#139723); #100807=LINE('',#563638,#139724); #100808=LINE('',#563640,#139725); #100809=LINE('',#563641,#139726); #100810=LINE('',#563644,#139727); #100811=LINE('',#563646,#139728); #100812=LINE('',#563647,#139729); #100813=LINE('',#563650,#139730); #100814=LINE('',#563652,#139731); #100815=LINE('',#563653,#139732); #100816=LINE('',#563656,#139733); #100817=LINE('',#563658,#139734); #100818=LINE('',#563659,#139735); #100819=LINE('',#563662,#139736); #100820=LINE('',#563664,#139737); #100821=LINE('',#563665,#139738); #100822=LINE('',#563668,#139739); #100823=LINE('',#563670,#139740); #100824=LINE('',#563671,#139741); #100825=LINE('',#563674,#139742); #100826=LINE('',#563676,#139743); #100827=LINE('',#563677,#139744); #100828=LINE('',#563680,#139745); #100829=LINE('',#563682,#139746); #100830=LINE('',#563683,#139747); #100831=LINE('',#563686,#139748); #100832=LINE('',#563688,#139749); #100833=LINE('',#563689,#139750); #100834=LINE('',#563692,#139751); #100835=LINE('',#563694,#139752); #100836=LINE('',#563695,#139753); #100837=LINE('',#563698,#139754); #100838=LINE('',#563700,#139755); #100839=LINE('',#563701,#139756); #100840=LINE('',#563704,#139757); #100841=LINE('',#563706,#139758); #100842=LINE('',#563707,#139759); #100843=LINE('',#563710,#139760); #100844=LINE('',#563712,#139761); #100845=LINE('',#563713,#139762); #100846=LINE('',#563716,#139763); #100847=LINE('',#563718,#139764); #100848=LINE('',#563719,#139765); #100849=LINE('',#563722,#139766); #100850=LINE('',#563724,#139767); #100851=LINE('',#563725,#139768); #100852=LINE('',#563728,#139769); #100853=LINE('',#563730,#139770); #100854=LINE('',#563731,#139771); #100855=LINE('',#563734,#139772); #100856=LINE('',#563736,#139773); #100857=LINE('',#563737,#139774); #100858=LINE('',#563740,#139775); #100859=LINE('',#563742,#139776); #100860=LINE('',#563743,#139777); #100861=LINE('',#563746,#139778); #100862=LINE('',#563748,#139779); #100863=LINE('',#563749,#139780); #100864=LINE('',#563752,#139781); #100865=LINE('',#563754,#139782); #100866=LINE('',#563755,#139783); #100867=LINE('',#563758,#139784); #100868=LINE('',#563760,#139785); #100869=LINE('',#563761,#139786); #100870=LINE('',#563764,#139787); #100871=LINE('',#563766,#139788); #100872=LINE('',#563767,#139789); #100873=LINE('',#563770,#139790); #100874=LINE('',#563772,#139791); #100875=LINE('',#563773,#139792); #100876=LINE('',#563775,#139793); #100877=LINE('',#563776,#139794); #100878=LINE('',#563783,#139795); #100879=LINE('',#563788,#139796); #100880=LINE('',#563790,#139797); #100881=LINE('',#563792,#139798); #100882=LINE('',#563793,#139799); #100883=LINE('',#563799,#139800); #100884=LINE('',#563809,#139801); #100885=LINE('',#563815,#139802); #100886=LINE('',#563821,#139803); #100887=LINE('',#563827,#139804); #100888=LINE('',#563833,#139805); #100889=LINE('',#563839,#139806); #100890=LINE('',#563845,#139807); #100891=LINE('',#563851,#139808); #100892=LINE('',#563857,#139809); #100893=LINE('',#563863,#139810); #100894=LINE('',#563869,#139811); #100895=LINE('',#563875,#139812); #100896=LINE('',#563881,#139813); #100897=LINE('',#563887,#139814); #100898=LINE('',#563893,#139815); #100899=LINE('',#563899,#139816); #100900=LINE('',#563905,#139817); #100901=LINE('',#563911,#139818); #100902=LINE('',#563917,#139819); #100903=LINE('',#563923,#139820); #100904=LINE('',#563929,#139821); #100905=LINE('',#563935,#139822); #100906=LINE('',#563941,#139823); #100907=LINE('',#563947,#139824); #100908=LINE('',#563953,#139825); #100909=LINE('',#563959,#139826); #100910=LINE('',#563965,#139827); #100911=LINE('',#563971,#139828); #100912=LINE('',#563977,#139829); #100913=LINE('',#563983,#139830); #100914=LINE('',#563988,#139831); #100915=LINE('',#563990,#139832); #100916=LINE('',#563992,#139833); #100917=LINE('',#563993,#139834); #100918=LINE('',#563996,#139835); #100919=LINE('',#563998,#139836); #100920=LINE('',#563999,#139837); #100921=LINE('',#564002,#139838); #100922=LINE('',#564004,#139839); #100923=LINE('',#564005,#139840); #100924=LINE('',#564008,#139841); #100925=LINE('',#564010,#139842); #100926=LINE('',#564011,#139843); #100927=LINE('',#564014,#139844); #100928=LINE('',#564016,#139845); #100929=LINE('',#564017,#139846); #100930=LINE('',#564020,#139847); #100931=LINE('',#564022,#139848); #100932=LINE('',#564023,#139849); #100933=LINE('',#564026,#139850); #100934=LINE('',#564028,#139851); #100935=LINE('',#564029,#139852); #100936=LINE('',#564032,#139853); #100937=LINE('',#564034,#139854); #100938=LINE('',#564035,#139855); #100939=LINE('',#564038,#139856); #100940=LINE('',#564040,#139857); #100941=LINE('',#564041,#139858); #100942=LINE('',#564044,#139859); #100943=LINE('',#564046,#139860); #100944=LINE('',#564047,#139861); #100945=LINE('',#564050,#139862); #100946=LINE('',#564052,#139863); #100947=LINE('',#564053,#139864); #100948=LINE('',#564056,#139865); #100949=LINE('',#564058,#139866); #100950=LINE('',#564059,#139867); #100951=LINE('',#564062,#139868); #100952=LINE('',#564064,#139869); #100953=LINE('',#564065,#139870); #100954=LINE('',#564068,#139871); #100955=LINE('',#564070,#139872); #100956=LINE('',#564071,#139873); #100957=LINE('',#564074,#139874); #100958=LINE('',#564076,#139875); #100959=LINE('',#564077,#139876); #100960=LINE('',#564080,#139877); #100961=LINE('',#564082,#139878); #100962=LINE('',#564083,#139879); #100963=LINE('',#564086,#139880); #100964=LINE('',#564088,#139881); #100965=LINE('',#564089,#139882); #100966=LINE('',#564092,#139883); #100967=LINE('',#564094,#139884); #100968=LINE('',#564095,#139885); #100969=LINE('',#564098,#139886); #100970=LINE('',#564100,#139887); #100971=LINE('',#564101,#139888); #100972=LINE('',#564104,#139889); #100973=LINE('',#564106,#139890); #100974=LINE('',#564107,#139891); #100975=LINE('',#564110,#139892); #100976=LINE('',#564112,#139893); #100977=LINE('',#564113,#139894); #100978=LINE('',#564116,#139895); #100979=LINE('',#564118,#139896); #100980=LINE('',#564119,#139897); #100981=LINE('',#564122,#139898); #100982=LINE('',#564124,#139899); #100983=LINE('',#564125,#139900); #100984=LINE('',#564128,#139901); #100985=LINE('',#564130,#139902); #100986=LINE('',#564131,#139903); #100987=LINE('',#564134,#139904); #100988=LINE('',#564136,#139905); #100989=LINE('',#564137,#139906); #100990=LINE('',#564140,#139907); #100991=LINE('',#564142,#139908); #100992=LINE('',#564143,#139909); #100993=LINE('',#564146,#139910); #100994=LINE('',#564148,#139911); #100995=LINE('',#564149,#139912); #100996=LINE('',#564152,#139913); #100997=LINE('',#564154,#139914); #100998=LINE('',#564155,#139915); #100999=LINE('',#564158,#139916); #101000=LINE('',#564160,#139917); #101001=LINE('',#564161,#139918); #101002=LINE('',#564164,#139919); #101003=LINE('',#564166,#139920); #101004=LINE('',#564167,#139921); #101005=LINE('',#564170,#139922); #101006=LINE('',#564172,#139923); #101007=LINE('',#564173,#139924); #101008=LINE('',#564176,#139925); #101009=LINE('',#564178,#139926); #101010=LINE('',#564179,#139927); #101011=LINE('',#564182,#139928); #101012=LINE('',#564184,#139929); #101013=LINE('',#564185,#139930); #101014=LINE('',#564188,#139931); #101015=LINE('',#564190,#139932); #101016=LINE('',#564191,#139933); #101017=LINE('',#564194,#139934); #101018=LINE('',#564196,#139935); #101019=LINE('',#564197,#139936); #101020=LINE('',#564200,#139937); #101021=LINE('',#564202,#139938); #101022=LINE('',#564203,#139939); #101023=LINE('',#564206,#139940); #101024=LINE('',#564208,#139941); #101025=LINE('',#564209,#139942); #101026=LINE('',#564212,#139943); #101027=LINE('',#564214,#139944); #101028=LINE('',#564215,#139945); #101029=LINE('',#564218,#139946); #101030=LINE('',#564220,#139947); #101031=LINE('',#564221,#139948); #101032=LINE('',#564224,#139949); #101033=LINE('',#564226,#139950); #101034=LINE('',#564227,#139951); #101035=LINE('',#564230,#139952); #101036=LINE('',#564232,#139953); #101037=LINE('',#564233,#139954); #101038=LINE('',#564236,#139955); #101039=LINE('',#564238,#139956); #101040=LINE('',#564239,#139957); #101041=LINE('',#564242,#139958); #101042=LINE('',#564244,#139959); #101043=LINE('',#564245,#139960); #101044=LINE('',#564248,#139961); #101045=LINE('',#564250,#139962); #101046=LINE('',#564251,#139963); #101047=LINE('',#564253,#139964); #101048=LINE('',#564254,#139965); #101049=LINE('',#564261,#139966); #101050=LINE('',#564267,#139967); #101051=LINE('',#564272,#139968); #101052=LINE('',#564274,#139969); #101053=LINE('',#564276,#139970); #101054=LINE('',#564277,#139971); #101055=LINE('',#564283,#139972); #101056=LINE('',#564286,#139973); #101057=LINE('',#564288,#139974); #101058=LINE('',#564289,#139975); #101059=LINE('',#564295,#139976); #101060=LINE('',#564301,#139977); #101061=LINE('',#564304,#139978); #101062=LINE('',#564306,#139979); #101063=LINE('',#564307,#139980); #101064=LINE('',#564317,#139981); #101065=LINE('',#564322,#139982); #101066=LINE('',#564324,#139983); #101067=LINE('',#564326,#139984); #101068=LINE('',#564327,#139985); #101069=LINE('',#564333,#139986); #101070=LINE('',#564343,#139987); #101071=LINE('',#564349,#139988); #101072=LINE('',#564355,#139989); #101073=LINE('',#564361,#139990); #101074=LINE('',#564367,#139991); #101075=LINE('',#564373,#139992); #101076=LINE('',#564379,#139993); #101077=LINE('',#564385,#139994); #101078=LINE('',#564391,#139995); #101079=LINE('',#564397,#139996); #101080=LINE('',#564403,#139997); #101081=LINE('',#564409,#139998); #101082=LINE('',#564415,#139999); #101083=LINE('',#564421,#140000); #101084=LINE('',#564427,#140001); #101085=LINE('',#564432,#140002); #101086=LINE('',#564434,#140003); #101087=LINE('',#564436,#140004); #101088=LINE('',#564437,#140005); #101089=LINE('',#564440,#140006); #101090=LINE('',#564442,#140007); #101091=LINE('',#564443,#140008); #101092=LINE('',#564446,#140009); #101093=LINE('',#564448,#140010); #101094=LINE('',#564449,#140011); #101095=LINE('',#564452,#140012); #101096=LINE('',#564454,#140013); #101097=LINE('',#564455,#140014); #101098=LINE('',#564458,#140015); #101099=LINE('',#564460,#140016); #101100=LINE('',#564461,#140017); #101101=LINE('',#564464,#140018); #101102=LINE('',#564466,#140019); #101103=LINE('',#564467,#140020); #101104=LINE('',#564470,#140021); #101105=LINE('',#564472,#140022); #101106=LINE('',#564473,#140023); #101107=LINE('',#564476,#140024); #101108=LINE('',#564478,#140025); #101109=LINE('',#564479,#140026); #101110=LINE('',#564482,#140027); #101111=LINE('',#564484,#140028); #101112=LINE('',#564485,#140029); #101113=LINE('',#564488,#140030); #101114=LINE('',#564490,#140031); #101115=LINE('',#564491,#140032); #101116=LINE('',#564494,#140033); #101117=LINE('',#564496,#140034); #101118=LINE('',#564497,#140035); #101119=LINE('',#564500,#140036); #101120=LINE('',#564502,#140037); #101121=LINE('',#564503,#140038); #101122=LINE('',#564506,#140039); #101123=LINE('',#564508,#140040); #101124=LINE('',#564509,#140041); #101125=LINE('',#564512,#140042); #101126=LINE('',#564514,#140043); #101127=LINE('',#564515,#140044); #101128=LINE('',#564518,#140045); #101129=LINE('',#564520,#140046); #101130=LINE('',#564521,#140047); #101131=LINE('',#564524,#140048); #101132=LINE('',#564526,#140049); #101133=LINE('',#564527,#140050); #101134=LINE('',#564530,#140051); #101135=LINE('',#564532,#140052); #101136=LINE('',#564533,#140053); #101137=LINE('',#564536,#140054); #101138=LINE('',#564538,#140055); #101139=LINE('',#564539,#140056); #101140=LINE('',#564542,#140057); #101141=LINE('',#564544,#140058); #101142=LINE('',#564545,#140059); #101143=LINE('',#564548,#140060); #101144=LINE('',#564550,#140061); #101145=LINE('',#564551,#140062); #101146=LINE('',#564554,#140063); #101147=LINE('',#564556,#140064); #101148=LINE('',#564557,#140065); #101149=LINE('',#564560,#140066); #101150=LINE('',#564562,#140067); #101151=LINE('',#564563,#140068); #101152=LINE('',#564566,#140069); #101153=LINE('',#564568,#140070); #101154=LINE('',#564569,#140071); #101155=LINE('',#564572,#140072); #101156=LINE('',#564574,#140073); #101157=LINE('',#564575,#140074); #101158=LINE('',#564578,#140075); #101159=LINE('',#564580,#140076); #101160=LINE('',#564581,#140077); #101161=LINE('',#564584,#140078); #101162=LINE('',#564586,#140079); #101163=LINE('',#564587,#140080); #101164=LINE('',#564590,#140081); #101165=LINE('',#564592,#140082); #101166=LINE('',#564593,#140083); #101167=LINE('',#564596,#140084); #101168=LINE('',#564598,#140085); #101169=LINE('',#564599,#140086); #101170=LINE('',#564602,#140087); #101171=LINE('',#564604,#140088); #101172=LINE('',#564605,#140089); #101173=LINE('',#564608,#140090); #101174=LINE('',#564610,#140091); #101175=LINE('',#564611,#140092); #101176=LINE('',#564614,#140093); #101177=LINE('',#564616,#140094); #101178=LINE('',#564617,#140095); #101179=LINE('',#564620,#140096); #101180=LINE('',#564622,#140097); #101181=LINE('',#564623,#140098); #101182=LINE('',#564626,#140099); #101183=LINE('',#564628,#140100); #101184=LINE('',#564629,#140101); #101185=LINE('',#564632,#140102); #101186=LINE('',#564634,#140103); #101187=LINE('',#564635,#140104); #101188=LINE('',#564638,#140105); #101189=LINE('',#564640,#140106); #101190=LINE('',#564641,#140107); #101191=LINE('',#564644,#140108); #101192=LINE('',#564646,#140109); #101193=LINE('',#564647,#140110); #101194=LINE('',#564650,#140111); #101195=LINE('',#564652,#140112); #101196=LINE('',#564653,#140113); #101197=LINE('',#564656,#140114); #101198=LINE('',#564658,#140115); #101199=LINE('',#564659,#140116); #101200=LINE('',#564662,#140117); #101201=LINE('',#564664,#140118); #101202=LINE('',#564665,#140119); #101203=LINE('',#564668,#140120); #101204=LINE('',#564670,#140121); #101205=LINE('',#564671,#140122); #101206=LINE('',#564674,#140123); #101207=LINE('',#564676,#140124); #101208=LINE('',#564677,#140125); #101209=LINE('',#564680,#140126); #101210=LINE('',#564682,#140127); #101211=LINE('',#564683,#140128); #101212=LINE('',#564686,#140129); #101213=LINE('',#564688,#140130); #101214=LINE('',#564689,#140131); #101215=LINE('',#564692,#140132); #101216=LINE('',#564694,#140133); #101217=LINE('',#564695,#140134); #101218=LINE('',#564698,#140135); #101219=LINE('',#564700,#140136); #101220=LINE('',#564701,#140137); #101221=LINE('',#564704,#140138); #101222=LINE('',#564706,#140139); #101223=LINE('',#564707,#140140); #101224=LINE('',#564710,#140141); #101225=LINE('',#564712,#140142); #101226=LINE('',#564713,#140143); #101227=LINE('',#564716,#140144); #101228=LINE('',#564718,#140145); #101229=LINE('',#564719,#140146); #101230=LINE('',#564722,#140147); #101231=LINE('',#564724,#140148); #101232=LINE('',#564725,#140149); #101233=LINE('',#564728,#140150); #101234=LINE('',#564730,#140151); #101235=LINE('',#564731,#140152); #101236=LINE('',#564734,#140153); #101237=LINE('',#564736,#140154); #101238=LINE('',#564737,#140155); #101239=LINE('',#564740,#140156); #101240=LINE('',#564742,#140157); #101241=LINE('',#564743,#140158); #101242=LINE('',#564746,#140159); #101243=LINE('',#564748,#140160); #101244=LINE('',#564749,#140161); #101245=LINE('',#564752,#140162); #101246=LINE('',#564754,#140163); #101247=LINE('',#564755,#140164); #101248=LINE('',#564758,#140165); #101249=LINE('',#564760,#140166); #101250=LINE('',#564761,#140167); #101251=LINE('',#564764,#140168); #101252=LINE('',#564766,#140169); #101253=LINE('',#564767,#140170); #101254=LINE('',#564770,#140171); #101255=LINE('',#564772,#140172); #101256=LINE('',#564773,#140173); #101257=LINE('',#564776,#140174); #101258=LINE('',#564778,#140175); #101259=LINE('',#564779,#140176); #101260=LINE('',#564782,#140177); #101261=LINE('',#564784,#140178); #101262=LINE('',#564785,#140179); #101263=LINE('',#564788,#140180); #101264=LINE('',#564790,#140181); #101265=LINE('',#564791,#140182); #101266=LINE('',#564794,#140183); #101267=LINE('',#564796,#140184); #101268=LINE('',#564797,#140185); #101269=LINE('',#564800,#140186); #101270=LINE('',#564802,#140187); #101271=LINE('',#564803,#140188); #101272=LINE('',#564806,#140189); #101273=LINE('',#564808,#140190); #101274=LINE('',#564809,#140191); #101275=LINE('',#564812,#140192); #101276=LINE('',#564814,#140193); #101277=LINE('',#564815,#140194); #101278=LINE('',#564818,#140195); #101279=LINE('',#564820,#140196); #101280=LINE('',#564821,#140197); #101281=LINE('',#564824,#140198); #101282=LINE('',#564826,#140199); #101283=LINE('',#564827,#140200); #101284=LINE('',#564830,#140201); #101285=LINE('',#564832,#140202); #101286=LINE('',#564833,#140203); #101287=LINE('',#564836,#140204); #101288=LINE('',#564838,#140205); #101289=LINE('',#564839,#140206); #101290=LINE('',#564842,#140207); #101291=LINE('',#564844,#140208); #101292=LINE('',#564845,#140209); #101293=LINE('',#564848,#140210); #101294=LINE('',#564850,#140211); #101295=LINE('',#564851,#140212); #101296=LINE('',#564854,#140213); #101297=LINE('',#564856,#140214); #101298=LINE('',#564857,#140215); #101299=LINE('',#564859,#140216); #101300=LINE('',#564860,#140217); #101301=LINE('',#564864,#140218); #101302=LINE('',#564866,#140219); #101303=LINE('',#564868,#140220); #101304=LINE('',#564869,#140221); #101305=LINE('',#564872,#140222); #101306=LINE('',#564874,#140223); #101307=LINE('',#564875,#140224); #101308=LINE('',#564878,#140225); #101309=LINE('',#564880,#140226); #101310=LINE('',#564881,#140227); #101311=LINE('',#564884,#140228); #101312=LINE('',#564886,#140229); #101313=LINE('',#564887,#140230); #101314=LINE('',#564890,#140231); #101315=LINE('',#564892,#140232); #101316=LINE('',#564893,#140233); #101317=LINE('',#564896,#140234); #101318=LINE('',#564898,#140235); #101319=LINE('',#564899,#140236); #101320=LINE('',#564902,#140237); #101321=LINE('',#564904,#140238); #101322=LINE('',#564905,#140239); #101323=LINE('',#564908,#140240); #101324=LINE('',#564910,#140241); #101325=LINE('',#564911,#140242); #101326=LINE('',#564914,#140243); #101327=LINE('',#564916,#140244); #101328=LINE('',#564917,#140245); #101329=LINE('',#564920,#140246); #101330=LINE('',#564922,#140247); #101331=LINE('',#564923,#140248); #101332=LINE('',#564926,#140249); #101333=LINE('',#564928,#140250); #101334=LINE('',#564929,#140251); #101335=LINE('',#564932,#140252); #101336=LINE('',#564934,#140253); #101337=LINE('',#564935,#140254); #101338=LINE('',#564938,#140255); #101339=LINE('',#564940,#140256); #101340=LINE('',#564941,#140257); #101341=LINE('',#564944,#140258); #101342=LINE('',#564946,#140259); #101343=LINE('',#564947,#140260); #101344=LINE('',#564950,#140261); #101345=LINE('',#564952,#140262); #101346=LINE('',#564953,#140263); #101347=LINE('',#564956,#140264); #101348=LINE('',#564958,#140265); #101349=LINE('',#564959,#140266); #101350=LINE('',#564962,#140267); #101351=LINE('',#564964,#140268); #101352=LINE('',#564965,#140269); #101353=LINE('',#564968,#140270); #101354=LINE('',#564970,#140271); #101355=LINE('',#564971,#140272); #101356=LINE('',#564974,#140273); #101357=LINE('',#564976,#140274); #101358=LINE('',#564977,#140275); #101359=LINE('',#564980,#140276); #101360=LINE('',#564982,#140277); #101361=LINE('',#564983,#140278); #101362=LINE('',#564986,#140279); #101363=LINE('',#564988,#140280); #101364=LINE('',#564989,#140281); #101365=LINE('',#564992,#140282); #101366=LINE('',#564994,#140283); #101367=LINE('',#564995,#140284); #101368=LINE('',#564998,#140285); #101369=LINE('',#565000,#140286); #101370=LINE('',#565001,#140287); #101371=LINE('',#565004,#140288); #101372=LINE('',#565006,#140289); #101373=LINE('',#565007,#140290); #101374=LINE('',#565010,#140291); #101375=LINE('',#565012,#140292); #101376=LINE('',#565013,#140293); #101377=LINE('',#565016,#140294); #101378=LINE('',#565018,#140295); #101379=LINE('',#565019,#140296); #101380=LINE('',#565022,#140297); #101381=LINE('',#565024,#140298); #101382=LINE('',#565025,#140299); #101383=LINE('',#565028,#140300); #101384=LINE('',#565030,#140301); #101385=LINE('',#565031,#140302); #101386=LINE('',#565034,#140303); #101387=LINE('',#565036,#140304); #101388=LINE('',#565037,#140305); #101389=LINE('',#565040,#140306); #101390=LINE('',#565042,#140307); #101391=LINE('',#565043,#140308); #101392=LINE('',#565046,#140309); #101393=LINE('',#565048,#140310); #101394=LINE('',#565049,#140311); #101395=LINE('',#565052,#140312); #101396=LINE('',#565054,#140313); #101397=LINE('',#565055,#140314); #101398=LINE('',#565058,#140315); #101399=LINE('',#565060,#140316); #101400=LINE('',#565061,#140317); #101401=LINE('',#565064,#140318); #101402=LINE('',#565066,#140319); #101403=LINE('',#565067,#140320); #101404=LINE('',#565070,#140321); #101405=LINE('',#565072,#140322); #101406=LINE('',#565073,#140323); #101407=LINE('',#565076,#140324); #101408=LINE('',#565078,#140325); #101409=LINE('',#565079,#140326); #101410=LINE('',#565082,#140327); #101411=LINE('',#565084,#140328); #101412=LINE('',#565085,#140329); #101413=LINE('',#565088,#140330); #101414=LINE('',#565090,#140331); #101415=LINE('',#565091,#140332); #101416=LINE('',#565094,#140333); #101417=LINE('',#565096,#140334); #101418=LINE('',#565097,#140335); #101419=LINE('',#565100,#140336); #101420=LINE('',#565102,#140337); #101421=LINE('',#565103,#140338); #101422=LINE('',#565106,#140339); #101423=LINE('',#565108,#140340); #101424=LINE('',#565109,#140341); #101425=LINE('',#565112,#140342); #101426=LINE('',#565114,#140343); #101427=LINE('',#565115,#140344); #101428=LINE('',#565118,#140345); #101429=LINE('',#565120,#140346); #101430=LINE('',#565121,#140347); #101431=LINE('',#565124,#140348); #101432=LINE('',#565126,#140349); #101433=LINE('',#565127,#140350); #101434=LINE('',#565130,#140351); #101435=LINE('',#565132,#140352); #101436=LINE('',#565133,#140353); #101437=LINE('',#565136,#140354); #101438=LINE('',#565138,#140355); #101439=LINE('',#565139,#140356); #101440=LINE('',#565142,#140357); #101441=LINE('',#565144,#140358); #101442=LINE('',#565145,#140359); #101443=LINE('',#565148,#140360); #101444=LINE('',#565150,#140361); #101445=LINE('',#565151,#140362); #101446=LINE('',#565154,#140363); #101447=LINE('',#565156,#140364); #101448=LINE('',#565157,#140365); #101449=LINE('',#565160,#140366); #101450=LINE('',#565162,#140367); #101451=LINE('',#565163,#140368); #101452=LINE('',#565166,#140369); #101453=LINE('',#565168,#140370); #101454=LINE('',#565169,#140371); #101455=LINE('',#565172,#140372); #101456=LINE('',#565174,#140373); #101457=LINE('',#565175,#140374); #101458=LINE('',#565178,#140375); #101459=LINE('',#565180,#140376); #101460=LINE('',#565181,#140377); #101461=LINE('',#565184,#140378); #101462=LINE('',#565186,#140379); #101463=LINE('',#565187,#140380); #101464=LINE('',#565190,#140381); #101465=LINE('',#565192,#140382); #101466=LINE('',#565193,#140383); #101467=LINE('',#565196,#140384); #101468=LINE('',#565198,#140385); #101469=LINE('',#565199,#140386); #101470=LINE('',#565202,#140387); #101471=LINE('',#565204,#140388); #101472=LINE('',#565205,#140389); #101473=LINE('',#565208,#140390); #101474=LINE('',#565210,#140391); #101475=LINE('',#565211,#140392); #101476=LINE('',#565214,#140393); #101477=LINE('',#565216,#140394); #101478=LINE('',#565217,#140395); #101479=LINE('',#565220,#140396); #101480=LINE('',#565222,#140397); #101481=LINE('',#565223,#140398); #101482=LINE('',#565226,#140399); #101483=LINE('',#565228,#140400); #101484=LINE('',#565229,#140401); #101485=LINE('',#565232,#140402); #101486=LINE('',#565234,#140403); #101487=LINE('',#565235,#140404); #101488=LINE('',#565238,#140405); #101489=LINE('',#565240,#140406); #101490=LINE('',#565241,#140407); #101491=LINE('',#565244,#140408); #101492=LINE('',#565246,#140409); #101493=LINE('',#565247,#140410); #101494=LINE('',#565250,#140411); #101495=LINE('',#565252,#140412); #101496=LINE('',#565253,#140413); #101497=LINE('',#565256,#140414); #101498=LINE('',#565258,#140415); #101499=LINE('',#565259,#140416); #101500=LINE('',#565262,#140417); #101501=LINE('',#565264,#140418); #101502=LINE('',#565265,#140419); #101503=LINE('',#565268,#140420); #101504=LINE('',#565270,#140421); #101505=LINE('',#565271,#140422); #101506=LINE('',#565274,#140423); #101507=LINE('',#565276,#140424); #101508=LINE('',#565277,#140425); #101509=LINE('',#565280,#140426); #101510=LINE('',#565282,#140427); #101511=LINE('',#565283,#140428); #101512=LINE('',#565286,#140429); #101513=LINE('',#565288,#140430); #101514=LINE('',#565289,#140431); #101515=LINE('',#565292,#140432); #101516=LINE('',#565294,#140433); #101517=LINE('',#565295,#140434); #101518=LINE('',#565298,#140435); #101519=LINE('',#565300,#140436); #101520=LINE('',#565301,#140437); #101521=LINE('',#565304,#140438); #101522=LINE('',#565306,#140439); #101523=LINE('',#565307,#140440); #101524=LINE('',#565310,#140441); #101525=LINE('',#565312,#140442); #101526=LINE('',#565313,#140443); #101527=LINE('',#565316,#140444); #101528=LINE('',#565318,#140445); #101529=LINE('',#565319,#140446); #101530=LINE('',#565322,#140447); #101531=LINE('',#565324,#140448); #101532=LINE('',#565325,#140449); #101533=LINE('',#565328,#140450); #101534=LINE('',#565330,#140451); #101535=LINE('',#565331,#140452); #101536=LINE('',#565334,#140453); #101537=LINE('',#565336,#140454); #101538=LINE('',#565337,#140455); #101539=LINE('',#565340,#140456); #101540=LINE('',#565342,#140457); #101541=LINE('',#565343,#140458); #101542=LINE('',#565346,#140459); #101543=LINE('',#565348,#140460); #101544=LINE('',#565349,#140461); #101545=LINE('',#565352,#140462); #101546=LINE('',#565354,#140463); #101547=LINE('',#565355,#140464); #101548=LINE('',#565358,#140465); #101549=LINE('',#565360,#140466); #101550=LINE('',#565361,#140467); #101551=LINE('',#565364,#140468); #101552=LINE('',#565366,#140469); #101553=LINE('',#565367,#140470); #101554=LINE('',#565370,#140471); #101555=LINE('',#565372,#140472); #101556=LINE('',#565373,#140473); #101557=LINE('',#565376,#140474); #101558=LINE('',#565378,#140475); #101559=LINE('',#565379,#140476); #101560=LINE('',#565382,#140477); #101561=LINE('',#565384,#140478); #101562=LINE('',#565385,#140479); #101563=LINE('',#565388,#140480); #101564=LINE('',#565390,#140481); #101565=LINE('',#565391,#140482); #101566=LINE('',#565394,#140483); #101567=LINE('',#565396,#140484); #101568=LINE('',#565397,#140485); #101569=LINE('',#565400,#140486); #101570=LINE('',#565402,#140487); #101571=LINE('',#565403,#140488); #101572=LINE('',#565406,#140489); #101573=LINE('',#565408,#140490); #101574=LINE('',#565409,#140491); #101575=LINE('',#565412,#140492); #101576=LINE('',#565414,#140493); #101577=LINE('',#565415,#140494); #101578=LINE('',#565418,#140495); #101579=LINE('',#565420,#140496); #101580=LINE('',#565421,#140497); #101581=LINE('',#565424,#140498); #101582=LINE('',#565426,#140499); #101583=LINE('',#565427,#140500); #101584=LINE('',#565429,#140501); #101585=LINE('',#565430,#140502); #101586=LINE('',#565437,#140503); #101587=LINE('',#565442,#140504); #101588=LINE('',#565444,#140505); #101589=LINE('',#565446,#140506); #101590=LINE('',#565447,#140507); #101591=LINE('',#565453,#140508); #101592=LINE('',#565463,#140509); #101593=LINE('',#565468,#140510); #101594=LINE('',#565470,#140511); #101595=LINE('',#565472,#140512); #101596=LINE('',#565473,#140513); #101597=LINE('',#565479,#140514); #101598=LINE('',#565489,#140515); #101599=LINE('',#565494,#140516); #101600=LINE('',#565496,#140517); #101601=LINE('',#565498,#140518); #101602=LINE('',#565499,#140519); #101603=LINE('',#565505,#140520); #101604=LINE('',#565515,#140521); #101605=LINE('',#565520,#140522); #101606=LINE('',#565522,#140523); #101607=LINE('',#565524,#140524); #101608=LINE('',#565525,#140525); #101609=LINE('',#565531,#140526); #101610=LINE('',#565541,#140527); #101611=LINE('',#565546,#140528); #101612=LINE('',#565548,#140529); #101613=LINE('',#565550,#140530); #101614=LINE('',#565551,#140531); #101615=LINE('',#565554,#140532); #101616=LINE('',#565556,#140533); #101617=LINE('',#565557,#140534); #101618=LINE('',#565560,#140535); #101619=LINE('',#565562,#140536); #101620=LINE('',#565563,#140537); #101621=LINE('',#565565,#140538); #101622=LINE('',#565566,#140539); #101623=LINE('',#565573,#140540); #101624=LINE('',#565578,#140541); #101625=LINE('',#565580,#140542); #101626=LINE('',#565582,#140543); #101627=LINE('',#565583,#140544); #101628=LINE('',#565589,#140545); #101629=LINE('',#565599,#140546); #101630=LINE('',#565604,#140547); #101631=LINE('',#565606,#140548); #101632=LINE('',#565608,#140549); #101633=LINE('',#565609,#140550); #101634=LINE('',#565615,#140551); #101635=LINE('',#565625,#140552); #101636=LINE('',#565630,#140553); #101637=LINE('',#565632,#140554); #101638=LINE('',#565634,#140555); #101639=LINE('',#565635,#140556); #101640=LINE('',#565641,#140557); #101641=LINE('',#565651,#140558); #101642=LINE('',#565656,#140559); #101643=LINE('',#565658,#140560); #101644=LINE('',#565660,#140561); #101645=LINE('',#565661,#140562); #101646=LINE('',#565667,#140563); #101647=LINE('',#565676,#140564); #101648=LINE('',#565678,#140565); #101649=LINE('',#565680,#140566); #101650=LINE('',#565681,#140567); #101651=LINE('',#565687,#140568); #101652=LINE('',#565690,#140569); #101653=LINE('',#565692,#140570); #101654=LINE('',#565693,#140571); #101655=LINE('',#565700,#140572); #101656=LINE('',#565702,#140573); #101657=LINE('',#565704,#140574); #101658=LINE('',#565705,#140575); #101659=LINE('',#565708,#140576); #101660=LINE('',#565710,#140577); #101661=LINE('',#565711,#140578); #101662=LINE('',#565714,#140579); #101663=LINE('',#565716,#140580); #101664=LINE('',#565717,#140581); #101665=LINE('',#565720,#140582); #101666=LINE('',#565722,#140583); #101667=LINE('',#565723,#140584); #101668=LINE('',#565726,#140585); #101669=LINE('',#565728,#140586); #101670=LINE('',#565729,#140587); #101671=LINE('',#565732,#140588); #101672=LINE('',#565734,#140589); #101673=LINE('',#565735,#140590); #101674=LINE('',#565738,#140591); #101675=LINE('',#565740,#140592); #101676=LINE('',#565741,#140593); #101677=LINE('',#565744,#140594); #101678=LINE('',#565746,#140595); #101679=LINE('',#565747,#140596); #101680=LINE('',#565750,#140597); #101681=LINE('',#565752,#140598); #101682=LINE('',#565753,#140599); #101683=LINE('',#565756,#140600); #101684=LINE('',#565758,#140601); #101685=LINE('',#565759,#140602); #101686=LINE('',#565762,#140603); #101687=LINE('',#565764,#140604); #101688=LINE('',#565765,#140605); #101689=LINE('',#565768,#140606); #101690=LINE('',#565770,#140607); #101691=LINE('',#565771,#140608); #101692=LINE('',#565774,#140609); #101693=LINE('',#565776,#140610); #101694=LINE('',#565777,#140611); #101695=LINE('',#565780,#140612); #101696=LINE('',#565782,#140613); #101697=LINE('',#565783,#140614); #101698=LINE('',#565786,#140615); #101699=LINE('',#565788,#140616); #101700=LINE('',#565789,#140617); #101701=LINE('',#565792,#140618); #101702=LINE('',#565794,#140619); #101703=LINE('',#565795,#140620); #101704=LINE('',#565798,#140621); #101705=LINE('',#565800,#140622); #101706=LINE('',#565801,#140623); #101707=LINE('',#565804,#140624); #101708=LINE('',#565806,#140625); #101709=LINE('',#565807,#140626); #101710=LINE('',#565810,#140627); #101711=LINE('',#565812,#140628); #101712=LINE('',#565813,#140629); #101713=LINE('',#565816,#140630); #101714=LINE('',#565818,#140631); #101715=LINE('',#565819,#140632); #101716=LINE('',#565822,#140633); #101717=LINE('',#565824,#140634); #101718=LINE('',#565825,#140635); #101719=LINE('',#565828,#140636); #101720=LINE('',#565830,#140637); #101721=LINE('',#565831,#140638); #101722=LINE('',#565834,#140639); #101723=LINE('',#565836,#140640); #101724=LINE('',#565837,#140641); #101725=LINE('',#565840,#140642); #101726=LINE('',#565842,#140643); #101727=LINE('',#565843,#140644); #101728=LINE('',#565846,#140645); #101729=LINE('',#565848,#140646); #101730=LINE('',#565849,#140647); #101731=LINE('',#565852,#140648); #101732=LINE('',#565854,#140649); #101733=LINE('',#565855,#140650); #101734=LINE('',#565858,#140651); #101735=LINE('',#565860,#140652); #101736=LINE('',#565861,#140653); #101737=LINE('',#565864,#140654); #101738=LINE('',#565866,#140655); #101739=LINE('',#565867,#140656); #101740=LINE('',#565870,#140657); #101741=LINE('',#565872,#140658); #101742=LINE('',#565873,#140659); #101743=LINE('',#565876,#140660); #101744=LINE('',#565878,#140661); #101745=LINE('',#565879,#140662); #101746=LINE('',#565882,#140663); #101747=LINE('',#565884,#140664); #101748=LINE('',#565885,#140665); #101749=LINE('',#565888,#140666); #101750=LINE('',#565890,#140667); #101751=LINE('',#565891,#140668); #101752=LINE('',#565894,#140669); #101753=LINE('',#565896,#140670); #101754=LINE('',#565897,#140671); #101755=LINE('',#565900,#140672); #101756=LINE('',#565902,#140673); #101757=LINE('',#565903,#140674); #101758=LINE('',#565906,#140675); #101759=LINE('',#565908,#140676); #101760=LINE('',#565909,#140677); #101761=LINE('',#565912,#140678); #101762=LINE('',#565914,#140679); #101763=LINE('',#565915,#140680); #101764=LINE('',#565918,#140681); #101765=LINE('',#565920,#140682); #101766=LINE('',#565921,#140683); #101767=LINE('',#565924,#140684); #101768=LINE('',#565926,#140685); #101769=LINE('',#565927,#140686); #101770=LINE('',#565930,#140687); #101771=LINE('',#565932,#140688); #101772=LINE('',#565933,#140689); #101773=LINE('',#565936,#140690); #101774=LINE('',#565938,#140691); #101775=LINE('',#565939,#140692); #101776=LINE('',#565942,#140693); #101777=LINE('',#565944,#140694); #101778=LINE('',#565945,#140695); #101779=LINE('',#565948,#140696); #101780=LINE('',#565950,#140697); #101781=LINE('',#565951,#140698); #101782=LINE('',#565954,#140699); #101783=LINE('',#565956,#140700); #101784=LINE('',#565957,#140701); #101785=LINE('',#565960,#140702); #101786=LINE('',#565962,#140703); #101787=LINE('',#565963,#140704); #101788=LINE('',#565966,#140705); #101789=LINE('',#565968,#140706); #101790=LINE('',#565969,#140707); #101791=LINE('',#565972,#140708); #101792=LINE('',#565974,#140709); #101793=LINE('',#565975,#140710); #101794=LINE('',#565978,#140711); #101795=LINE('',#565980,#140712); #101796=LINE('',#565981,#140713); #101797=LINE('',#565984,#140714); #101798=LINE('',#565986,#140715); #101799=LINE('',#565987,#140716); #101800=LINE('',#565990,#140717); #101801=LINE('',#565992,#140718); #101802=LINE('',#565993,#140719); #101803=LINE('',#565996,#140720); #101804=LINE('',#565998,#140721); #101805=LINE('',#565999,#140722); #101806=LINE('',#566002,#140723); #101807=LINE('',#566004,#140724); #101808=LINE('',#566005,#140725); #101809=LINE('',#566008,#140726); #101810=LINE('',#566010,#140727); #101811=LINE('',#566011,#140728); #101812=LINE('',#566014,#140729); #101813=LINE('',#566016,#140730); #101814=LINE('',#566017,#140731); #101815=LINE('',#566020,#140732); #101816=LINE('',#566022,#140733); #101817=LINE('',#566023,#140734); #101818=LINE('',#566026,#140735); #101819=LINE('',#566028,#140736); #101820=LINE('',#566029,#140737); #101821=LINE('',#566031,#140738); #101822=LINE('',#566032,#140739); #101823=LINE('',#566038,#140740); #101824=LINE('',#566040,#140741); #101825=LINE('',#566042,#140742); #101826=LINE('',#566043,#140743); #101827=LINE('',#566049,#140744); #101828=LINE('',#566052,#140745); #101829=LINE('',#566054,#140746); #101830=LINE('',#566055,#140747); #101831=LINE('',#566062,#140748); #101832=LINE('',#566064,#140749); #101833=LINE('',#566066,#140750); #101834=LINE('',#566067,#140751); #101835=LINE('',#566070,#140752); #101836=LINE('',#566072,#140753); #101837=LINE('',#566073,#140754); #101838=LINE('',#566076,#140755); #101839=LINE('',#566078,#140756); #101840=LINE('',#566079,#140757); #101841=LINE('',#566082,#140758); #101842=LINE('',#566084,#140759); #101843=LINE('',#566085,#140760); #101844=LINE('',#566088,#140761); #101845=LINE('',#566090,#140762); #101846=LINE('',#566091,#140763); #101847=LINE('',#566094,#140764); #101848=LINE('',#566096,#140765); #101849=LINE('',#566097,#140766); #101850=LINE('',#566100,#140767); #101851=LINE('',#566102,#140768); #101852=LINE('',#566103,#140769); #101853=LINE('',#566106,#140770); #101854=LINE('',#566108,#140771); #101855=LINE('',#566109,#140772); #101856=LINE('',#566112,#140773); #101857=LINE('',#566114,#140774); #101858=LINE('',#566115,#140775); #101859=LINE('',#566118,#140776); #101860=LINE('',#566120,#140777); #101861=LINE('',#566121,#140778); #101862=LINE('',#566124,#140779); #101863=LINE('',#566126,#140780); #101864=LINE('',#566127,#140781); #101865=LINE('',#566130,#140782); #101866=LINE('',#566132,#140783); #101867=LINE('',#566133,#140784); #101868=LINE('',#566136,#140785); #101869=LINE('',#566138,#140786); #101870=LINE('',#566139,#140787); #101871=LINE('',#566142,#140788); #101872=LINE('',#566144,#140789); #101873=LINE('',#566145,#140790); #101874=LINE('',#566148,#140791); #101875=LINE('',#566150,#140792); #101876=LINE('',#566151,#140793); #101877=LINE('',#566154,#140794); #101878=LINE('',#566156,#140795); #101879=LINE('',#566157,#140796); #101880=LINE('',#566160,#140797); #101881=LINE('',#566162,#140798); #101882=LINE('',#566163,#140799); #101883=LINE('',#566166,#140800); #101884=LINE('',#566168,#140801); #101885=LINE('',#566169,#140802); #101886=LINE('',#566172,#140803); #101887=LINE('',#566174,#140804); #101888=LINE('',#566175,#140805); #101889=LINE('',#566178,#140806); #101890=LINE('',#566180,#140807); #101891=LINE('',#566181,#140808); #101892=LINE('',#566184,#140809); #101893=LINE('',#566186,#140810); #101894=LINE('',#566187,#140811); #101895=LINE('',#566190,#140812); #101896=LINE('',#566192,#140813); #101897=LINE('',#566193,#140814); #101898=LINE('',#566196,#140815); #101899=LINE('',#566198,#140816); #101900=LINE('',#566199,#140817); #101901=LINE('',#566202,#140818); #101902=LINE('',#566204,#140819); #101903=LINE('',#566205,#140820); #101904=LINE('',#566208,#140821); #101905=LINE('',#566210,#140822); #101906=LINE('',#566211,#140823); #101907=LINE('',#566214,#140824); #101908=LINE('',#566216,#140825); #101909=LINE('',#566217,#140826); #101910=LINE('',#566220,#140827); #101911=LINE('',#566222,#140828); #101912=LINE('',#566223,#140829); #101913=LINE('',#566226,#140830); #101914=LINE('',#566228,#140831); #101915=LINE('',#566229,#140832); #101916=LINE('',#566232,#140833); #101917=LINE('',#566234,#140834); #101918=LINE('',#566235,#140835); #101919=LINE('',#566238,#140836); #101920=LINE('',#566240,#140837); #101921=LINE('',#566241,#140838); #101922=LINE('',#566244,#140839); #101923=LINE('',#566246,#140840); #101924=LINE('',#566247,#140841); #101925=LINE('',#566250,#140842); #101926=LINE('',#566252,#140843); #101927=LINE('',#566253,#140844); #101928=LINE('',#566256,#140845); #101929=LINE('',#566258,#140846); #101930=LINE('',#566259,#140847); #101931=LINE('',#566262,#140848); #101932=LINE('',#566264,#140849); #101933=LINE('',#566265,#140850); #101934=LINE('',#566268,#140851); #101935=LINE('',#566270,#140852); #101936=LINE('',#566271,#140853); #101937=LINE('',#566274,#140854); #101938=LINE('',#566276,#140855); #101939=LINE('',#566277,#140856); #101940=LINE('',#566280,#140857); #101941=LINE('',#566282,#140858); #101942=LINE('',#566283,#140859); #101943=LINE('',#566286,#140860); #101944=LINE('',#566288,#140861); #101945=LINE('',#566289,#140862); #101946=LINE('',#566292,#140863); #101947=LINE('',#566294,#140864); #101948=LINE('',#566295,#140865); #101949=LINE('',#566298,#140866); #101950=LINE('',#566300,#140867); #101951=LINE('',#566301,#140868); #101952=LINE('',#566304,#140869); #101953=LINE('',#566306,#140870); #101954=LINE('',#566307,#140871); #101955=LINE('',#566310,#140872); #101956=LINE('',#566312,#140873); #101957=LINE('',#566313,#140874); #101958=LINE('',#566316,#140875); #101959=LINE('',#566318,#140876); #101960=LINE('',#566319,#140877); #101961=LINE('',#566322,#140878); #101962=LINE('',#566324,#140879); #101963=LINE('',#566325,#140880); #101964=LINE('',#566328,#140881); #101965=LINE('',#566330,#140882); #101966=LINE('',#566331,#140883); #101967=LINE('',#566334,#140884); #101968=LINE('',#566336,#140885); #101969=LINE('',#566337,#140886); #101970=LINE('',#566340,#140887); #101971=LINE('',#566342,#140888); #101972=LINE('',#566343,#140889); #101973=LINE('',#566346,#140890); #101974=LINE('',#566348,#140891); #101975=LINE('',#566349,#140892); #101976=LINE('',#566352,#140893); #101977=LINE('',#566354,#140894); #101978=LINE('',#566355,#140895); #101979=LINE('',#566358,#140896); #101980=LINE('',#566360,#140897); #101981=LINE('',#566361,#140898); #101982=LINE('',#566364,#140899); #101983=LINE('',#566366,#140900); #101984=LINE('',#566367,#140901); #101985=LINE('',#566370,#140902); #101986=LINE('',#566372,#140903); #101987=LINE('',#566373,#140904); #101988=LINE('',#566376,#140905); #101989=LINE('',#566378,#140906); #101990=LINE('',#566379,#140907); #101991=LINE('',#566382,#140908); #101992=LINE('',#566384,#140909); #101993=LINE('',#566385,#140910); #101994=LINE('',#566388,#140911); #101995=LINE('',#566390,#140912); #101996=LINE('',#566391,#140913); #101997=LINE('',#566394,#140914); #101998=LINE('',#566396,#140915); #101999=LINE('',#566397,#140916); #102000=LINE('',#566400,#140917); #102001=LINE('',#566402,#140918); #102002=LINE('',#566403,#140919); #102003=LINE('',#566406,#140920); #102004=LINE('',#566408,#140921); #102005=LINE('',#566409,#140922); #102006=LINE('',#566412,#140923); #102007=LINE('',#566414,#140924); #102008=LINE('',#566415,#140925); #102009=LINE('',#566418,#140926); #102010=LINE('',#566420,#140927); #102011=LINE('',#566421,#140928); #102012=LINE('',#566424,#140929); #102013=LINE('',#566426,#140930); #102014=LINE('',#566427,#140931); #102015=LINE('',#566430,#140932); #102016=LINE('',#566432,#140933); #102017=LINE('',#566433,#140934); #102018=LINE('',#566436,#140935); #102019=LINE('',#566438,#140936); #102020=LINE('',#566439,#140937); #102021=LINE('',#566442,#140938); #102022=LINE('',#566444,#140939); #102023=LINE('',#566445,#140940); #102024=LINE('',#566448,#140941); #102025=LINE('',#566450,#140942); #102026=LINE('',#566451,#140943); #102027=LINE('',#566454,#140944); #102028=LINE('',#566456,#140945); #102029=LINE('',#566457,#140946); #102030=LINE('',#566460,#140947); #102031=LINE('',#566462,#140948); #102032=LINE('',#566463,#140949); #102033=LINE('',#566466,#140950); #102034=LINE('',#566468,#140951); #102035=LINE('',#566469,#140952); #102036=LINE('',#566472,#140953); #102037=LINE('',#566474,#140954); #102038=LINE('',#566475,#140955); #102039=LINE('',#566478,#140956); #102040=LINE('',#566480,#140957); #102041=LINE('',#566481,#140958); #102042=LINE('',#566484,#140959); #102043=LINE('',#566486,#140960); #102044=LINE('',#566487,#140961); #102045=LINE('',#566489,#140962); #102046=LINE('',#566490,#140963); #102047=LINE('',#566496,#140964); #102048=LINE('',#566498,#140965); #102049=LINE('',#566500,#140966); #102050=LINE('',#566501,#140967); #102051=LINE('',#566507,#140968); #102052=LINE('',#566510,#140969); #102053=LINE('',#566512,#140970); #102054=LINE('',#566513,#140971); #102055=LINE('',#566520,#140972); #102056=LINE('',#566522,#140973); #102057=LINE('',#566524,#140974); #102058=LINE('',#566525,#140975); #102059=LINE('',#566528,#140976); #102060=LINE('',#566530,#140977); #102061=LINE('',#566531,#140978); #102062=LINE('',#566534,#140979); #102063=LINE('',#566536,#140980); #102064=LINE('',#566537,#140981); #102065=LINE('',#566540,#140982); #102066=LINE('',#566542,#140983); #102067=LINE('',#566543,#140984); #102068=LINE('',#566546,#140985); #102069=LINE('',#566548,#140986); #102070=LINE('',#566549,#140987); #102071=LINE('',#566552,#140988); #102072=LINE('',#566554,#140989); #102073=LINE('',#566555,#140990); #102074=LINE('',#566558,#140991); #102075=LINE('',#566560,#140992); #102076=LINE('',#566561,#140993); #102077=LINE('',#566564,#140994); #102078=LINE('',#566566,#140995); #102079=LINE('',#566567,#140996); #102080=LINE('',#566570,#140997); #102081=LINE('',#566572,#140998); #102082=LINE('',#566573,#140999); #102083=LINE('',#566576,#141000); #102084=LINE('',#566578,#141001); #102085=LINE('',#566579,#141002); #102086=LINE('',#566582,#141003); #102087=LINE('',#566584,#141004); #102088=LINE('',#566585,#141005); #102089=LINE('',#566588,#141006); #102090=LINE('',#566590,#141007); #102091=LINE('',#566591,#141008); #102092=LINE('',#566594,#141009); #102093=LINE('',#566596,#141010); #102094=LINE('',#566597,#141011); #102095=LINE('',#566600,#141012); #102096=LINE('',#566602,#141013); #102097=LINE('',#566603,#141014); #102098=LINE('',#566606,#141015); #102099=LINE('',#566608,#141016); #102100=LINE('',#566609,#141017); #102101=LINE('',#566612,#141018); #102102=LINE('',#566614,#141019); #102103=LINE('',#566615,#141020); #102104=LINE('',#566618,#141021); #102105=LINE('',#566620,#141022); #102106=LINE('',#566621,#141023); #102107=LINE('',#566624,#141024); #102108=LINE('',#566626,#141025); #102109=LINE('',#566627,#141026); #102110=LINE('',#566630,#141027); #102111=LINE('',#566632,#141028); #102112=LINE('',#566633,#141029); #102113=LINE('',#566636,#141030); #102114=LINE('',#566638,#141031); #102115=LINE('',#566639,#141032); #102116=LINE('',#566642,#141033); #102117=LINE('',#566644,#141034); #102118=LINE('',#566645,#141035); #102119=LINE('',#566648,#141036); #102120=LINE('',#566650,#141037); #102121=LINE('',#566651,#141038); #102122=LINE('',#566654,#141039); #102123=LINE('',#566656,#141040); #102124=LINE('',#566657,#141041); #102125=LINE('',#566660,#141042); #102126=LINE('',#566662,#141043); #102127=LINE('',#566663,#141044); #102128=LINE('',#566666,#141045); #102129=LINE('',#566668,#141046); #102130=LINE('',#566669,#141047); #102131=LINE('',#566672,#141048); #102132=LINE('',#566674,#141049); #102133=LINE('',#566675,#141050); #102134=LINE('',#566678,#141051); #102135=LINE('',#566680,#141052); #102136=LINE('',#566681,#141053); #102137=LINE('',#566684,#141054); #102138=LINE('',#566686,#141055); #102139=LINE('',#566687,#141056); #102140=LINE('',#566690,#141057); #102141=LINE('',#566692,#141058); #102142=LINE('',#566693,#141059); #102143=LINE('',#566696,#141060); #102144=LINE('',#566698,#141061); #102145=LINE('',#566699,#141062); #102146=LINE('',#566702,#141063); #102147=LINE('',#566704,#141064); #102148=LINE('',#566705,#141065); #102149=LINE('',#566708,#141066); #102150=LINE('',#566710,#141067); #102151=LINE('',#566711,#141068); #102152=LINE('',#566714,#141069); #102153=LINE('',#566716,#141070); #102154=LINE('',#566717,#141071); #102155=LINE('',#566720,#141072); #102156=LINE('',#566722,#141073); #102157=LINE('',#566723,#141074); #102158=LINE('',#566726,#141075); #102159=LINE('',#566728,#141076); #102160=LINE('',#566729,#141077); #102161=LINE('',#566732,#141078); #102162=LINE('',#566734,#141079); #102163=LINE('',#566735,#141080); #102164=LINE('',#566738,#141081); #102165=LINE('',#566740,#141082); #102166=LINE('',#566741,#141083); #102167=LINE('',#566744,#141084); #102168=LINE('',#566746,#141085); #102169=LINE('',#566747,#141086); #102170=LINE('',#566750,#141087); #102171=LINE('',#566752,#141088); #102172=LINE('',#566753,#141089); #102173=LINE('',#566756,#141090); #102174=LINE('',#566758,#141091); #102175=LINE('',#566759,#141092); #102176=LINE('',#566762,#141093); #102177=LINE('',#566764,#141094); #102178=LINE('',#566765,#141095); #102179=LINE('',#566768,#141096); #102180=LINE('',#566770,#141097); #102181=LINE('',#566771,#141098); #102182=LINE('',#566774,#141099); #102183=LINE('',#566776,#141100); #102184=LINE('',#566777,#141101); #102185=LINE('',#566780,#141102); #102186=LINE('',#566782,#141103); #102187=LINE('',#566783,#141104); #102188=LINE('',#566786,#141105); #102189=LINE('',#566788,#141106); #102190=LINE('',#566789,#141107); #102191=LINE('',#566792,#141108); #102192=LINE('',#566794,#141109); #102193=LINE('',#566795,#141110); #102194=LINE('',#566798,#141111); #102195=LINE('',#566800,#141112); #102196=LINE('',#566801,#141113); #102197=LINE('',#566804,#141114); #102198=LINE('',#566806,#141115); #102199=LINE('',#566807,#141116); #102200=LINE('',#566810,#141117); #102201=LINE('',#566812,#141118); #102202=LINE('',#566813,#141119); #102203=LINE('',#566816,#141120); #102204=LINE('',#566818,#141121); #102205=LINE('',#566819,#141122); #102206=LINE('',#566822,#141123); #102207=LINE('',#566824,#141124); #102208=LINE('',#566825,#141125); #102209=LINE('',#566828,#141126); #102210=LINE('',#566830,#141127); #102211=LINE('',#566831,#141128); #102212=LINE('',#566834,#141129); #102213=LINE('',#566836,#141130); #102214=LINE('',#566837,#141131); #102215=LINE('',#566840,#141132); #102216=LINE('',#566842,#141133); #102217=LINE('',#566843,#141134); #102218=LINE('',#566846,#141135); #102219=LINE('',#566848,#141136); #102220=LINE('',#566849,#141137); #102221=LINE('',#566851,#141138); #102222=LINE('',#566852,#141139); #102223=LINE('',#566859,#141140); #102224=LINE('',#566864,#141141); #102225=LINE('',#566866,#141142); #102226=LINE('',#566868,#141143); #102227=LINE('',#566869,#141144); #102228=LINE('',#566872,#141145); #102229=LINE('',#566874,#141146); #102230=LINE('',#566875,#141147); #102231=LINE('',#566878,#141148); #102232=LINE('',#566880,#141149); #102233=LINE('',#566881,#141150); #102234=LINE('',#566883,#141151); #102235=LINE('',#566884,#141152); #102236=LINE('',#566890,#141153); #102237=LINE('',#566892,#141154); #102238=LINE('',#566894,#141155); #102239=LINE('',#566895,#141156); #102240=LINE('',#566901,#141157); #102241=LINE('',#566904,#141158); #102242=LINE('',#566906,#141159); #102243=LINE('',#566907,#141160); #102244=LINE('',#566914,#141161); #102245=LINE('',#566916,#141162); #102246=LINE('',#566918,#141163); #102247=LINE('',#566919,#141164); #102248=LINE('',#566922,#141165); #102249=LINE('',#566924,#141166); #102250=LINE('',#566925,#141167); #102251=LINE('',#566928,#141168); #102252=LINE('',#566930,#141169); #102253=LINE('',#566931,#141170); #102254=LINE('',#566934,#141171); #102255=LINE('',#566936,#141172); #102256=LINE('',#566937,#141173); #102257=LINE('',#566940,#141174); #102258=LINE('',#566942,#141175); #102259=LINE('',#566943,#141176); #102260=LINE('',#566946,#141177); #102261=LINE('',#566948,#141178); #102262=LINE('',#566949,#141179); #102263=LINE('',#566952,#141180); #102264=LINE('',#566954,#141181); #102265=LINE('',#566955,#141182); #102266=LINE('',#566958,#141183); #102267=LINE('',#566960,#141184); #102268=LINE('',#566961,#141185); #102269=LINE('',#566964,#141186); #102270=LINE('',#566966,#141187); #102271=LINE('',#566967,#141188); #102272=LINE('',#566970,#141189); #102273=LINE('',#566972,#141190); #102274=LINE('',#566973,#141191); #102275=LINE('',#566976,#141192); #102276=LINE('',#566978,#141193); #102277=LINE('',#566979,#141194); #102278=LINE('',#566982,#141195); #102279=LINE('',#566984,#141196); #102280=LINE('',#566985,#141197); #102281=LINE('',#566988,#141198); #102282=LINE('',#566990,#141199); #102283=LINE('',#566991,#141200); #102284=LINE('',#566994,#141201); #102285=LINE('',#566996,#141202); #102286=LINE('',#566997,#141203); #102287=LINE('',#567000,#141204); #102288=LINE('',#567002,#141205); #102289=LINE('',#567003,#141206); #102290=LINE('',#567006,#141207); #102291=LINE('',#567008,#141208); #102292=LINE('',#567009,#141209); #102293=LINE('',#567012,#141210); #102294=LINE('',#567014,#141211); #102295=LINE('',#567015,#141212); #102296=LINE('',#567018,#141213); #102297=LINE('',#567020,#141214); #102298=LINE('',#567021,#141215); #102299=LINE('',#567024,#141216); #102300=LINE('',#567026,#141217); #102301=LINE('',#567027,#141218); #102302=LINE('',#567030,#141219); #102303=LINE('',#567032,#141220); #102304=LINE('',#567033,#141221); #102305=LINE('',#567036,#141222); #102306=LINE('',#567038,#141223); #102307=LINE('',#567039,#141224); #102308=LINE('',#567042,#141225); #102309=LINE('',#567044,#141226); #102310=LINE('',#567045,#141227); #102311=LINE('',#567048,#141228); #102312=LINE('',#567050,#141229); #102313=LINE('',#567051,#141230); #102314=LINE('',#567054,#141231); #102315=LINE('',#567056,#141232); #102316=LINE('',#567057,#141233); #102317=LINE('',#567060,#141234); #102318=LINE('',#567062,#141235); #102319=LINE('',#567063,#141236); #102320=LINE('',#567066,#141237); #102321=LINE('',#567068,#141238); #102322=LINE('',#567069,#141239); #102323=LINE('',#567072,#141240); #102324=LINE('',#567074,#141241); #102325=LINE('',#567075,#141242); #102326=LINE('',#567078,#141243); #102327=LINE('',#567080,#141244); #102328=LINE('',#567081,#141245); #102329=LINE('',#567084,#141246); #102330=LINE('',#567086,#141247); #102331=LINE('',#567087,#141248); #102332=LINE('',#567090,#141249); #102333=LINE('',#567092,#141250); #102334=LINE('',#567093,#141251); #102335=LINE('',#567096,#141252); #102336=LINE('',#567098,#141253); #102337=LINE('',#567099,#141254); #102338=LINE('',#567102,#141255); #102339=LINE('',#567104,#141256); #102340=LINE('',#567105,#141257); #102341=LINE('',#567108,#141258); #102342=LINE('',#567110,#141259); #102343=LINE('',#567111,#141260); #102344=LINE('',#567114,#141261); #102345=LINE('',#567116,#141262); #102346=LINE('',#567117,#141263); #102347=LINE('',#567120,#141264); #102348=LINE('',#567122,#141265); #102349=LINE('',#567123,#141266); #102350=LINE('',#567126,#141267); #102351=LINE('',#567128,#141268); #102352=LINE('',#567129,#141269); #102353=LINE('',#567132,#141270); #102354=LINE('',#567134,#141271); #102355=LINE('',#567135,#141272); #102356=LINE('',#567138,#141273); #102357=LINE('',#567140,#141274); #102358=LINE('',#567141,#141275); #102359=LINE('',#567144,#141276); #102360=LINE('',#567146,#141277); #102361=LINE('',#567147,#141278); #102362=LINE('',#567150,#141279); #102363=LINE('',#567152,#141280); #102364=LINE('',#567153,#141281); #102365=LINE('',#567156,#141282); #102366=LINE('',#567158,#141283); #102367=LINE('',#567159,#141284); #102368=LINE('',#567162,#141285); #102369=LINE('',#567164,#141286); #102370=LINE('',#567165,#141287); #102371=LINE('',#567168,#141288); #102372=LINE('',#567170,#141289); #102373=LINE('',#567171,#141290); #102374=LINE('',#567174,#141291); #102375=LINE('',#567176,#141292); #102376=LINE('',#567177,#141293); #102377=LINE('',#567180,#141294); #102378=LINE('',#567182,#141295); #102379=LINE('',#567183,#141296); #102380=LINE('',#567186,#141297); #102381=LINE('',#567188,#141298); #102382=LINE('',#567189,#141299); #102383=LINE('',#567192,#141300); #102384=LINE('',#567194,#141301); #102385=LINE('',#567195,#141302); #102386=LINE('',#567198,#141303); #102387=LINE('',#567200,#141304); #102388=LINE('',#567201,#141305); #102389=LINE('',#567204,#141306); #102390=LINE('',#567206,#141307); #102391=LINE('',#567207,#141308); #102392=LINE('',#567210,#141309); #102393=LINE('',#567212,#141310); #102394=LINE('',#567213,#141311); #102395=LINE('',#567216,#141312); #102396=LINE('',#567218,#141313); #102397=LINE('',#567219,#141314); #102398=LINE('',#567222,#141315); #102399=LINE('',#567224,#141316); #102400=LINE('',#567225,#141317); #102401=LINE('',#567228,#141318); #102402=LINE('',#567230,#141319); #102403=LINE('',#567231,#141320); #102404=LINE('',#567234,#141321); #102405=LINE('',#567236,#141322); #102406=LINE('',#567237,#141323); #102407=LINE('',#567240,#141324); #102408=LINE('',#567242,#141325); #102409=LINE('',#567243,#141326); #102410=LINE('',#567245,#141327); #102411=LINE('',#567246,#141328); #102412=LINE('',#567252,#141329); #102413=LINE('',#567254,#141330); #102414=LINE('',#567256,#141331); #102415=LINE('',#567257,#141332); #102416=LINE('',#567263,#141333); #102417=LINE('',#567266,#141334); #102418=LINE('',#567268,#141335); #102419=LINE('',#567269,#141336); #102420=LINE('',#567276,#141337); #102421=LINE('',#567278,#141338); #102422=LINE('',#567280,#141339); #102423=LINE('',#567281,#141340); #102424=LINE('',#567284,#141341); #102425=LINE('',#567286,#141342); #102426=LINE('',#567287,#141343); #102427=LINE('',#567290,#141344); #102428=LINE('',#567292,#141345); #102429=LINE('',#567293,#141346); #102430=LINE('',#567296,#141347); #102431=LINE('',#567298,#141348); #102432=LINE('',#567299,#141349); #102433=LINE('',#567302,#141350); #102434=LINE('',#567304,#141351); #102435=LINE('',#567305,#141352); #102436=LINE('',#567308,#141353); #102437=LINE('',#567310,#141354); #102438=LINE('',#567311,#141355); #102439=LINE('',#567314,#141356); #102440=LINE('',#567316,#141357); #102441=LINE('',#567317,#141358); #102442=LINE('',#567320,#141359); #102443=LINE('',#567322,#141360); #102444=LINE('',#567323,#141361); #102445=LINE('',#567326,#141362); #102446=LINE('',#567328,#141363); #102447=LINE('',#567329,#141364); #102448=LINE('',#567332,#141365); #102449=LINE('',#567334,#141366); #102450=LINE('',#567335,#141367); #102451=LINE('',#567338,#141368); #102452=LINE('',#567340,#141369); #102453=LINE('',#567341,#141370); #102454=LINE('',#567344,#141371); #102455=LINE('',#567346,#141372); #102456=LINE('',#567347,#141373); #102457=LINE('',#567350,#141374); #102458=LINE('',#567352,#141375); #102459=LINE('',#567353,#141376); #102460=LINE('',#567356,#141377); #102461=LINE('',#567358,#141378); #102462=LINE('',#567359,#141379); #102463=LINE('',#567362,#141380); #102464=LINE('',#567364,#141381); #102465=LINE('',#567365,#141382); #102466=LINE('',#567368,#141383); #102467=LINE('',#567370,#141384); #102468=LINE('',#567371,#141385); #102469=LINE('',#567374,#141386); #102470=LINE('',#567376,#141387); #102471=LINE('',#567377,#141388); #102472=LINE('',#567380,#141389); #102473=LINE('',#567382,#141390); #102474=LINE('',#567383,#141391); #102475=LINE('',#567386,#141392); #102476=LINE('',#567388,#141393); #102477=LINE('',#567389,#141394); #102478=LINE('',#567392,#141395); #102479=LINE('',#567394,#141396); #102480=LINE('',#567395,#141397); #102481=LINE('',#567398,#141398); #102482=LINE('',#567400,#141399); #102483=LINE('',#567401,#141400); #102484=LINE('',#567404,#141401); #102485=LINE('',#567406,#141402); #102486=LINE('',#567407,#141403); #102487=LINE('',#567410,#141404); #102488=LINE('',#567412,#141405); #102489=LINE('',#567413,#141406); #102490=LINE('',#567416,#141407); #102491=LINE('',#567418,#141408); #102492=LINE('',#567419,#141409); #102493=LINE('',#567422,#141410); #102494=LINE('',#567424,#141411); #102495=LINE('',#567425,#141412); #102496=LINE('',#567428,#141413); #102497=LINE('',#567430,#141414); #102498=LINE('',#567431,#141415); #102499=LINE('',#567434,#141416); #102500=LINE('',#567436,#141417); #102501=LINE('',#567437,#141418); #102502=LINE('',#567440,#141419); #102503=LINE('',#567442,#141420); #102504=LINE('',#567443,#141421); #102505=LINE('',#567446,#141422); #102506=LINE('',#567448,#141423); #102507=LINE('',#567449,#141424); #102508=LINE('',#567452,#141425); #102509=LINE('',#567454,#141426); #102510=LINE('',#567455,#141427); #102511=LINE('',#567458,#141428); #102512=LINE('',#567460,#141429); #102513=LINE('',#567461,#141430); #102514=LINE('',#567464,#141431); #102515=LINE('',#567466,#141432); #102516=LINE('',#567467,#141433); #102517=LINE('',#567470,#141434); #102518=LINE('',#567472,#141435); #102519=LINE('',#567473,#141436); #102520=LINE('',#567476,#141437); #102521=LINE('',#567478,#141438); #102522=LINE('',#567479,#141439); #102523=LINE('',#567482,#141440); #102524=LINE('',#567484,#141441); #102525=LINE('',#567485,#141442); #102526=LINE('',#567488,#141443); #102527=LINE('',#567490,#141444); #102528=LINE('',#567491,#141445); #102529=LINE('',#567494,#141446); #102530=LINE('',#567496,#141447); #102531=LINE('',#567497,#141448); #102532=LINE('',#567500,#141449); #102533=LINE('',#567502,#141450); #102534=LINE('',#567503,#141451); #102535=LINE('',#567506,#141452); #102536=LINE('',#567508,#141453); #102537=LINE('',#567509,#141454); #102538=LINE('',#567512,#141455); #102539=LINE('',#567514,#141456); #102540=LINE('',#567515,#141457); #102541=LINE('',#567518,#141458); #102542=LINE('',#567520,#141459); #102543=LINE('',#567521,#141460); #102544=LINE('',#567524,#141461); #102545=LINE('',#567526,#141462); #102546=LINE('',#567527,#141463); #102547=LINE('',#567530,#141464); #102548=LINE('',#567532,#141465); #102549=LINE('',#567533,#141466); #102550=LINE('',#567536,#141467); #102551=LINE('',#567538,#141468); #102552=LINE('',#567539,#141469); #102553=LINE('',#567542,#141470); #102554=LINE('',#567544,#141471); #102555=LINE('',#567545,#141472); #102556=LINE('',#567548,#141473); #102557=LINE('',#567550,#141474); #102558=LINE('',#567551,#141475); #102559=LINE('',#567554,#141476); #102560=LINE('',#567556,#141477); #102561=LINE('',#567557,#141478); #102562=LINE('',#567560,#141479); #102563=LINE('',#567562,#141480); #102564=LINE('',#567563,#141481); #102565=LINE('',#567566,#141482); #102566=LINE('',#567568,#141483); #102567=LINE('',#567569,#141484); #102568=LINE('',#567572,#141485); #102569=LINE('',#567574,#141486); #102570=LINE('',#567575,#141487); #102571=LINE('',#567578,#141488); #102572=LINE('',#567580,#141489); #102573=LINE('',#567581,#141490); #102574=LINE('',#567584,#141491); #102575=LINE('',#567586,#141492); #102576=LINE('',#567587,#141493); #102577=LINE('',#567590,#141494); #102578=LINE('',#567592,#141495); #102579=LINE('',#567593,#141496); #102580=LINE('',#567596,#141497); #102581=LINE('',#567598,#141498); #102582=LINE('',#567599,#141499); #102583=LINE('',#567602,#141500); #102584=LINE('',#567604,#141501); #102585=LINE('',#567605,#141502); #102586=LINE('',#567608,#141503); #102587=LINE('',#567610,#141504); #102588=LINE('',#567611,#141505); #102589=LINE('',#567614,#141506); #102590=LINE('',#567616,#141507); #102591=LINE('',#567617,#141508); #102592=LINE('',#567620,#141509); #102593=LINE('',#567622,#141510); #102594=LINE('',#567623,#141511); #102595=LINE('',#567626,#141512); #102596=LINE('',#567628,#141513); #102597=LINE('',#567629,#141514); #102598=LINE('',#567632,#141515); #102599=LINE('',#567634,#141516); #102600=LINE('',#567635,#141517); #102601=LINE('',#567638,#141518); #102602=LINE('',#567640,#141519); #102603=LINE('',#567641,#141520); #102604=LINE('',#567644,#141521); #102605=LINE('',#567646,#141522); #102606=LINE('',#567647,#141523); #102607=LINE('',#567650,#141524); #102608=LINE('',#567652,#141525); #102609=LINE('',#567653,#141526); #102610=LINE('',#567656,#141527); #102611=LINE('',#567658,#141528); #102612=LINE('',#567659,#141529); #102613=LINE('',#567662,#141530); #102614=LINE('',#567664,#141531); #102615=LINE('',#567665,#141532); #102616=LINE('',#567668,#141533); #102617=LINE('',#567670,#141534); #102618=LINE('',#567671,#141535); #102619=LINE('',#567674,#141536); #102620=LINE('',#567676,#141537); #102621=LINE('',#567677,#141538); #102622=LINE('',#567680,#141539); #102623=LINE('',#567682,#141540); #102624=LINE('',#567683,#141541); #102625=LINE('',#567686,#141542); #102626=LINE('',#567688,#141543); #102627=LINE('',#567689,#141544); #102628=LINE('',#567692,#141545); #102629=LINE('',#567694,#141546); #102630=LINE('',#567695,#141547); #102631=LINE('',#567698,#141548); #102632=LINE('',#567700,#141549); #102633=LINE('',#567701,#141550); #102634=LINE('',#567703,#141551); #102635=LINE('',#567704,#141552); #102636=LINE('',#567711,#141553); #102637=LINE('',#567716,#141554); #102638=LINE('',#567718,#141555); #102639=LINE('',#567720,#141556); #102640=LINE('',#567721,#141557); #102641=LINE('',#567727,#141558); #102642=LINE('',#567737,#141559); #102643=LINE('',#567742,#141560); #102644=LINE('',#567744,#141561); #102645=LINE('',#567746,#141562); #102646=LINE('',#567747,#141563); #102647=LINE('',#567753,#141564); #102648=LINE('',#567762,#141565); #102649=LINE('',#567764,#141566); #102650=LINE('',#567766,#141567); #102651=LINE('',#567767,#141568); #102652=LINE('',#567773,#141569); #102653=LINE('',#567776,#141570); #102654=LINE('',#567778,#141571); #102655=LINE('',#567779,#141572); #102656=LINE('',#567786,#141573); #102657=LINE('',#567788,#141574); #102658=LINE('',#567790,#141575); #102659=LINE('',#567791,#141576); #102660=LINE('',#567794,#141577); #102661=LINE('',#567796,#141578); #102662=LINE('',#567797,#141579); #102663=LINE('',#567800,#141580); #102664=LINE('',#567802,#141581); #102665=LINE('',#567803,#141582); #102666=LINE('',#567806,#141583); #102667=LINE('',#567808,#141584); #102668=LINE('',#567809,#141585); #102669=LINE('',#567812,#141586); #102670=LINE('',#567814,#141587); #102671=LINE('',#567815,#141588); #102672=LINE('',#567818,#141589); #102673=LINE('',#567820,#141590); #102674=LINE('',#567821,#141591); #102675=LINE('',#567824,#141592); #102676=LINE('',#567826,#141593); #102677=LINE('',#567827,#141594); #102678=LINE('',#567830,#141595); #102679=LINE('',#567832,#141596); #102680=LINE('',#567833,#141597); #102681=LINE('',#567836,#141598); #102682=LINE('',#567838,#141599); #102683=LINE('',#567839,#141600); #102684=LINE('',#567842,#141601); #102685=LINE('',#567844,#141602); #102686=LINE('',#567845,#141603); #102687=LINE('',#567848,#141604); #102688=LINE('',#567850,#141605); #102689=LINE('',#567851,#141606); #102690=LINE('',#567854,#141607); #102691=LINE('',#567856,#141608); #102692=LINE('',#567857,#141609); #102693=LINE('',#567860,#141610); #102694=LINE('',#567862,#141611); #102695=LINE('',#567863,#141612); #102696=LINE('',#567866,#141613); #102697=LINE('',#567868,#141614); #102698=LINE('',#567869,#141615); #102699=LINE('',#567872,#141616); #102700=LINE('',#567874,#141617); #102701=LINE('',#567875,#141618); #102702=LINE('',#567878,#141619); #102703=LINE('',#567880,#141620); #102704=LINE('',#567881,#141621); #102705=LINE('',#567884,#141622); #102706=LINE('',#567886,#141623); #102707=LINE('',#567887,#141624); #102708=LINE('',#567890,#141625); #102709=LINE('',#567892,#141626); #102710=LINE('',#567893,#141627); #102711=LINE('',#567896,#141628); #102712=LINE('',#567898,#141629); #102713=LINE('',#567899,#141630); #102714=LINE('',#567902,#141631); #102715=LINE('',#567904,#141632); #102716=LINE('',#567905,#141633); #102717=LINE('',#567908,#141634); #102718=LINE('',#567910,#141635); #102719=LINE('',#567911,#141636); #102720=LINE('',#567914,#141637); #102721=LINE('',#567916,#141638); #102722=LINE('',#567917,#141639); #102723=LINE('',#567920,#141640); #102724=LINE('',#567922,#141641); #102725=LINE('',#567923,#141642); #102726=LINE('',#567926,#141643); #102727=LINE('',#567928,#141644); #102728=LINE('',#567929,#141645); #102729=LINE('',#567932,#141646); #102730=LINE('',#567934,#141647); #102731=LINE('',#567935,#141648); #102732=LINE('',#567938,#141649); #102733=LINE('',#567940,#141650); #102734=LINE('',#567941,#141651); #102735=LINE('',#567944,#141652); #102736=LINE('',#567946,#141653); #102737=LINE('',#567947,#141654); #102738=LINE('',#567950,#141655); #102739=LINE('',#567952,#141656); #102740=LINE('',#567953,#141657); #102741=LINE('',#567956,#141658); #102742=LINE('',#567958,#141659); #102743=LINE('',#567959,#141660); #102744=LINE('',#567962,#141661); #102745=LINE('',#567964,#141662); #102746=LINE('',#567965,#141663); #102747=LINE('',#567968,#141664); #102748=LINE('',#567970,#141665); #102749=LINE('',#567971,#141666); #102750=LINE('',#567974,#141667); #102751=LINE('',#567976,#141668); #102752=LINE('',#567977,#141669); #102753=LINE('',#567980,#141670); #102754=LINE('',#567982,#141671); #102755=LINE('',#567983,#141672); #102756=LINE('',#567986,#141673); #102757=LINE('',#567988,#141674); #102758=LINE('',#567989,#141675); #102759=LINE('',#567992,#141676); #102760=LINE('',#567994,#141677); #102761=LINE('',#567995,#141678); #102762=LINE('',#567998,#141679); #102763=LINE('',#568000,#141680); #102764=LINE('',#568001,#141681); #102765=LINE('',#568004,#141682); #102766=LINE('',#568006,#141683); #102767=LINE('',#568007,#141684); #102768=LINE('',#568010,#141685); #102769=LINE('',#568012,#141686); #102770=LINE('',#568013,#141687); #102771=LINE('',#568016,#141688); #102772=LINE('',#568018,#141689); #102773=LINE('',#568019,#141690); #102774=LINE('',#568022,#141691); #102775=LINE('',#568024,#141692); #102776=LINE('',#568025,#141693); #102777=LINE('',#568028,#141694); #102778=LINE('',#568030,#141695); #102779=LINE('',#568031,#141696); #102780=LINE('',#568034,#141697); #102781=LINE('',#568036,#141698); #102782=LINE('',#568037,#141699); #102783=LINE('',#568040,#141700); #102784=LINE('',#568042,#141701); #102785=LINE('',#568043,#141702); #102786=LINE('',#568046,#141703); #102787=LINE('',#568048,#141704); #102788=LINE('',#568049,#141705); #102789=LINE('',#568052,#141706); #102790=LINE('',#568054,#141707); #102791=LINE('',#568055,#141708); #102792=LINE('',#568058,#141709); #102793=LINE('',#568060,#141710); #102794=LINE('',#568061,#141711); #102795=LINE('',#568064,#141712); #102796=LINE('',#568066,#141713); #102797=LINE('',#568067,#141714); #102798=LINE('',#568070,#141715); #102799=LINE('',#568072,#141716); #102800=LINE('',#568073,#141717); #102801=LINE('',#568076,#141718); #102802=LINE('',#568078,#141719); #102803=LINE('',#568079,#141720); #102804=LINE('',#568082,#141721); #102805=LINE('',#568084,#141722); #102806=LINE('',#568085,#141723); #102807=LINE('',#568088,#141724); #102808=LINE('',#568090,#141725); #102809=LINE('',#568091,#141726); #102810=LINE('',#568094,#141727); #102811=LINE('',#568096,#141728); #102812=LINE('',#568097,#141729); #102813=LINE('',#568100,#141730); #102814=LINE('',#568102,#141731); #102815=LINE('',#568103,#141732); #102816=LINE('',#568106,#141733); #102817=LINE('',#568108,#141734); #102818=LINE('',#568109,#141735); #102819=LINE('',#568112,#141736); #102820=LINE('',#568114,#141737); #102821=LINE('',#568115,#141738); #102822=LINE('',#568117,#141739); #102823=LINE('',#568118,#141740); #102824=LINE('',#568125,#141741); #102825=LINE('',#568130,#141742); #102826=LINE('',#568132,#141743); #102827=LINE('',#568134,#141744); #102828=LINE('',#568135,#141745); #102829=LINE('',#568141,#141746); #102830=LINE('',#568151,#141747); #102831=LINE('',#568156,#141748); #102832=LINE('',#568158,#141749); #102833=LINE('',#568160,#141750); #102834=LINE('',#568161,#141751); #102835=LINE('',#568167,#141752); #102836=LINE('',#568177,#141753); #102837=LINE('',#568182,#141754); #102838=LINE('',#568184,#141755); #102839=LINE('',#568186,#141756); #102840=LINE('',#568187,#141757); #102841=LINE('',#568193,#141758); #102842=LINE('',#568203,#141759); #102843=LINE('',#568208,#141760); #102844=LINE('',#568210,#141761); #102845=LINE('',#568212,#141762); #102846=LINE('',#568213,#141763); #102847=LINE('',#568216,#141764); #102848=LINE('',#568218,#141765); #102849=LINE('',#568219,#141766); #102850=LINE('',#568222,#141767); #102851=LINE('',#568224,#141768); #102852=LINE('',#568225,#141769); #102853=LINE('',#568227,#141770); #102854=LINE('',#568228,#141771); #102855=LINE('',#568235,#141772); #102856=LINE('',#568240,#141773); #102857=LINE('',#568242,#141774); #102858=LINE('',#568244,#141775); #102859=LINE('',#568245,#141776); #102860=LINE('',#568251,#141777); #102861=LINE('',#568260,#141778); #102862=LINE('',#568262,#141779); #102863=LINE('',#568264,#141780); #102864=LINE('',#568265,#141781); #102865=LINE('',#568268,#141782); #102866=LINE('',#568270,#141783); #102867=LINE('',#568271,#141784); #102868=LINE('',#568274,#141785); #102869=LINE('',#568276,#141786); #102870=LINE('',#568277,#141787); #102871=LINE('',#568279,#141788); #102872=LINE('',#568280,#141789); #102873=LINE('',#568286,#141790); #102874=LINE('',#568288,#141791); #102875=LINE('',#568290,#141792); #102876=LINE('',#568291,#141793); #102877=LINE('',#568294,#141794); #102878=LINE('',#568296,#141795); #102879=LINE('',#568297,#141796); #102880=LINE('',#568300,#141797); #102881=LINE('',#568302,#141798); #102882=LINE('',#568303,#141799); #102883=LINE('',#568305,#141800); #102884=LINE('',#568306,#141801); #102885=LINE('',#568312,#141802); #102886=LINE('',#568314,#141803); #102887=LINE('',#568316,#141804); #102888=LINE('',#568317,#141805); #102889=LINE('',#568320,#141806); #102890=LINE('',#568322,#141807); #102891=LINE('',#568323,#141808); #102892=LINE('',#568326,#141809); #102893=LINE('',#568328,#141810); #102894=LINE('',#568329,#141811); #102895=LINE('',#568331,#141812); #102896=LINE('',#568332,#141813); #102897=LINE('',#568338,#141814); #102898=LINE('',#568340,#141815); #102899=LINE('',#568342,#141816); #102900=LINE('',#568343,#141817); #102901=LINE('',#568346,#141818); #102902=LINE('',#568348,#141819); #102903=LINE('',#568349,#141820); #102904=LINE('',#568352,#141821); #102905=LINE('',#568354,#141822); #102906=LINE('',#568355,#141823); #102907=LINE('',#568357,#141824); #102908=LINE('',#568358,#141825); #102909=LINE('',#568364,#141826); #102910=LINE('',#568366,#141827); #102911=LINE('',#568368,#141828); #102912=LINE('',#568369,#141829); #102913=LINE('',#568372,#141830); #102914=LINE('',#568374,#141831); #102915=LINE('',#568375,#141832); #102916=LINE('',#568378,#141833); #102917=LINE('',#568380,#141834); #102918=LINE('',#568381,#141835); #102919=LINE('',#568383,#141836); #102920=LINE('',#568384,#141837); #102921=LINE('',#568390,#141838); #102922=LINE('',#568392,#141839); #102923=LINE('',#568394,#141840); #102924=LINE('',#568395,#141841); #102925=LINE('',#568398,#141842); #102926=LINE('',#568400,#141843); #102927=LINE('',#568401,#141844); #102928=LINE('',#568404,#141845); #102929=LINE('',#568406,#141846); #102930=LINE('',#568407,#141847); #102931=LINE('',#568409,#141848); #102932=LINE('',#568410,#141849); #102933=LINE('',#568416,#141850); #102934=LINE('',#568418,#141851); #102935=LINE('',#568420,#141852); #102936=LINE('',#568421,#141853); #102937=LINE('',#568424,#141854); #102938=LINE('',#568426,#141855); #102939=LINE('',#568427,#141856); #102940=LINE('',#568430,#141857); #102941=LINE('',#568432,#141858); #102942=LINE('',#568433,#141859); #102943=LINE('',#568435,#141860); #102944=LINE('',#568436,#141861); #102945=LINE('',#568442,#141862); #102946=LINE('',#568444,#141863); #102947=LINE('',#568446,#141864); #102948=LINE('',#568447,#141865); #102949=LINE('',#568450,#141866); #102950=LINE('',#568452,#141867); #102951=LINE('',#568453,#141868); #102952=LINE('',#568456,#141869); #102953=LINE('',#568458,#141870); #102954=LINE('',#568459,#141871); #102955=LINE('',#568461,#141872); #102956=LINE('',#568462,#141873); #102957=LINE('',#568468,#141874); #102958=LINE('',#568470,#141875); #102959=LINE('',#568472,#141876); #102960=LINE('',#568473,#141877); #102961=LINE('',#568476,#141878); #102962=LINE('',#568478,#141879); #102963=LINE('',#568479,#141880); #102964=LINE('',#568482,#141881); #102965=LINE('',#568484,#141882); #102966=LINE('',#568485,#141883); #102967=LINE('',#568487,#141884); #102968=LINE('',#568488,#141885); #102969=LINE('',#568494,#141886); #102970=LINE('',#568496,#141887); #102971=LINE('',#568498,#141888); #102972=LINE('',#568499,#141889); #102973=LINE('',#568502,#141890); #102974=LINE('',#568504,#141891); #102975=LINE('',#568505,#141892); #102976=LINE('',#568508,#141893); #102977=LINE('',#568510,#141894); #102978=LINE('',#568511,#141895); #102979=LINE('',#568513,#141896); #102980=LINE('',#568514,#141897); #102981=LINE('',#568520,#141898); #102982=LINE('',#568522,#141899); #102983=LINE('',#568524,#141900); #102984=LINE('',#568525,#141901); #102985=LINE('',#568528,#141902); #102986=LINE('',#568530,#141903); #102987=LINE('',#568531,#141904); #102988=LINE('',#568534,#141905); #102989=LINE('',#568536,#141906); #102990=LINE('',#568537,#141907); #102991=LINE('',#568539,#141908); #102992=LINE('',#568540,#141909); #102993=LINE('',#568546,#141910); #102994=LINE('',#568548,#141911); #102995=LINE('',#568550,#141912); #102996=LINE('',#568551,#141913); #102997=LINE('',#568554,#141914); #102998=LINE('',#568556,#141915); #102999=LINE('',#568557,#141916); #103000=LINE('',#568560,#141917); #103001=LINE('',#568562,#141918); #103002=LINE('',#568563,#141919); #103003=LINE('',#568565,#141920); #103004=LINE('',#568566,#141921); #103005=LINE('',#568572,#141922); #103006=LINE('',#568574,#141923); #103007=LINE('',#568576,#141924); #103008=LINE('',#568577,#141925); #103009=LINE('',#568580,#141926); #103010=LINE('',#568582,#141927); #103011=LINE('',#568583,#141928); #103012=LINE('',#568586,#141929); #103013=LINE('',#568588,#141930); #103014=LINE('',#568589,#141931); #103015=LINE('',#568591,#141932); #103016=LINE('',#568592,#141933); #103017=LINE('',#568598,#141934); #103018=LINE('',#568600,#141935); #103019=LINE('',#568602,#141936); #103020=LINE('',#568603,#141937); #103021=LINE('',#568606,#141938); #103022=LINE('',#568608,#141939); #103023=LINE('',#568609,#141940); #103024=LINE('',#568612,#141941); #103025=LINE('',#568614,#141942); #103026=LINE('',#568615,#141943); #103027=LINE('',#568617,#141944); #103028=LINE('',#568618,#141945); #103029=LINE('',#568624,#141946); #103030=LINE('',#568626,#141947); #103031=LINE('',#568628,#141948); #103032=LINE('',#568629,#141949); #103033=LINE('',#568632,#141950); #103034=LINE('',#568634,#141951); #103035=LINE('',#568635,#141952); #103036=LINE('',#568638,#141953); #103037=LINE('',#568640,#141954); #103038=LINE('',#568641,#141955); #103039=LINE('',#568643,#141956); #103040=LINE('',#568644,#141957); #103041=LINE('',#568650,#141958); #103042=LINE('',#568652,#141959); #103043=LINE('',#568654,#141960); #103044=LINE('',#568655,#141961); #103045=LINE('',#568658,#141962); #103046=LINE('',#568660,#141963); #103047=LINE('',#568661,#141964); #103048=LINE('',#568664,#141965); #103049=LINE('',#568666,#141966); #103050=LINE('',#568667,#141967); #103051=LINE('',#568669,#141968); #103052=LINE('',#568670,#141969); #103053=LINE('',#568676,#141970); #103054=LINE('',#568678,#141971); #103055=LINE('',#568680,#141972); #103056=LINE('',#568681,#141973); #103057=LINE('',#568684,#141974); #103058=LINE('',#568686,#141975); #103059=LINE('',#568687,#141976); #103060=LINE('',#568690,#141977); #103061=LINE('',#568692,#141978); #103062=LINE('',#568693,#141979); #103063=LINE('',#568695,#141980); #103064=LINE('',#568696,#141981); #103065=LINE('',#568702,#141982); #103066=LINE('',#568704,#141983); #103067=LINE('',#568706,#141984); #103068=LINE('',#568707,#141985); #103069=LINE('',#568710,#141986); #103070=LINE('',#568712,#141987); #103071=LINE('',#568713,#141988); #103072=LINE('',#568716,#141989); #103073=LINE('',#568718,#141990); #103074=LINE('',#568719,#141991); #103075=LINE('',#568721,#141992); #103076=LINE('',#568722,#141993); #103077=LINE('',#568728,#141994); #103078=LINE('',#568730,#141995); #103079=LINE('',#568732,#141996); #103080=LINE('',#568733,#141997); #103081=LINE('',#568736,#141998); #103082=LINE('',#568738,#141999); #103083=LINE('',#568739,#142000); #103084=LINE('',#568742,#142001); #103085=LINE('',#568744,#142002); #103086=LINE('',#568745,#142003); #103087=LINE('',#568747,#142004); #103088=LINE('',#568748,#142005); #103089=LINE('',#568754,#142006); #103090=LINE('',#568756,#142007); #103091=LINE('',#568758,#142008); #103092=LINE('',#568759,#142009); #103093=LINE('',#568762,#142010); #103094=LINE('',#568764,#142011); #103095=LINE('',#568765,#142012); #103096=LINE('',#568768,#142013); #103097=LINE('',#568770,#142014); #103098=LINE('',#568771,#142015); #103099=LINE('',#568773,#142016); #103100=LINE('',#568774,#142017); #103101=LINE('',#568780,#142018); #103102=LINE('',#568782,#142019); #103103=LINE('',#568784,#142020); #103104=LINE('',#568785,#142021); #103105=LINE('',#568788,#142022); #103106=LINE('',#568790,#142023); #103107=LINE('',#568791,#142024); #103108=LINE('',#568794,#142025); #103109=LINE('',#568796,#142026); #103110=LINE('',#568797,#142027); #103111=LINE('',#568799,#142028); #103112=LINE('',#568800,#142029); #103113=LINE('',#568806,#142030); #103114=LINE('',#568808,#142031); #103115=LINE('',#568810,#142032); #103116=LINE('',#568811,#142033); #103117=LINE('',#568814,#142034); #103118=LINE('',#568816,#142035); #103119=LINE('',#568817,#142036); #103120=LINE('',#568820,#142037); #103121=LINE('',#568822,#142038); #103122=LINE('',#568823,#142039); #103123=LINE('',#568825,#142040); #103124=LINE('',#568826,#142041); #103125=LINE('',#568832,#142042); #103126=LINE('',#568834,#142043); #103127=LINE('',#568836,#142044); #103128=LINE('',#568837,#142045); #103129=LINE('',#568840,#142046); #103130=LINE('',#568842,#142047); #103131=LINE('',#568843,#142048); #103132=LINE('',#568846,#142049); #103133=LINE('',#568848,#142050); #103134=LINE('',#568849,#142051); #103135=LINE('',#568851,#142052); #103136=LINE('',#568852,#142053); #103137=LINE('',#568859,#142054); #103138=LINE('',#568865,#142055); #103139=LINE('',#568870,#142056); #103140=LINE('',#568872,#142057); #103141=LINE('',#568874,#142058); #103142=LINE('',#568875,#142059); #103143=LINE('',#568878,#142060); #103144=LINE('',#568880,#142061); #103145=LINE('',#568881,#142062); #103146=LINE('',#568884,#142063); #103147=LINE('',#568886,#142064); #103148=LINE('',#568887,#142065); #103149=LINE('',#568889,#142066); #103150=LINE('',#568890,#142067); #103151=LINE('',#568896,#142068); #103152=LINE('',#568898,#142069); #103153=LINE('',#568900,#142070); #103154=LINE('',#568901,#142071); #103155=LINE('',#568904,#142072); #103156=LINE('',#568906,#142073); #103157=LINE('',#568907,#142074); #103158=LINE('',#568910,#142075); #103159=LINE('',#568912,#142076); #103160=LINE('',#568913,#142077); #103161=LINE('',#568915,#142078); #103162=LINE('',#568916,#142079); #103163=LINE('',#568923,#142080); #103164=LINE('',#568929,#142081); #103165=LINE('',#568934,#142082); #103166=LINE('',#568936,#142083); #103167=LINE('',#568938,#142084); #103168=LINE('',#568939,#142085); #103169=LINE('',#568942,#142086); #103170=LINE('',#568944,#142087); #103171=LINE('',#568945,#142088); #103172=LINE('',#568948,#142089); #103173=LINE('',#568950,#142090); #103174=LINE('',#568951,#142091); #103175=LINE('',#568953,#142092); #103176=LINE('',#568954,#142093); #103177=LINE('',#568960,#142094); #103178=LINE('',#568962,#142095); #103179=LINE('',#568964,#142096); #103180=LINE('',#568965,#142097); #103181=LINE('',#568968,#142098); #103182=LINE('',#568970,#142099); #103183=LINE('',#568971,#142100); #103184=LINE('',#568974,#142101); #103185=LINE('',#568976,#142102); #103186=LINE('',#568977,#142103); #103187=LINE('',#568979,#142104); #103188=LINE('',#568980,#142105); #103189=LINE('',#568986,#142106); #103190=LINE('',#568988,#142107); #103191=LINE('',#568990,#142108); #103192=LINE('',#568991,#142109); #103193=LINE('',#568994,#142110); #103194=LINE('',#568996,#142111); #103195=LINE('',#568997,#142112); #103196=LINE('',#569000,#142113); #103197=LINE('',#569002,#142114); #103198=LINE('',#569003,#142115); #103199=LINE('',#569005,#142116); #103200=LINE('',#569006,#142117); #103201=LINE('',#569012,#142118); #103202=LINE('',#569014,#142119); #103203=LINE('',#569016,#142120); #103204=LINE('',#569017,#142121); #103205=LINE('',#569020,#142122); #103206=LINE('',#569022,#142123); #103207=LINE('',#569023,#142124); #103208=LINE('',#569026,#142125); #103209=LINE('',#569028,#142126); #103210=LINE('',#569029,#142127); #103211=LINE('',#569031,#142128); #103212=LINE('',#569032,#142129); #103213=LINE('',#569038,#142130); #103214=LINE('',#569040,#142131); #103215=LINE('',#569042,#142132); #103216=LINE('',#569043,#142133); #103217=LINE('',#569046,#142134); #103218=LINE('',#569048,#142135); #103219=LINE('',#569049,#142136); #103220=LINE('',#569052,#142137); #103221=LINE('',#569054,#142138); #103222=LINE('',#569055,#142139); #103223=LINE('',#569057,#142140); #103224=LINE('',#569058,#142141); #103225=LINE('',#569064,#142142); #103226=LINE('',#569066,#142143); #103227=LINE('',#569068,#142144); #103228=LINE('',#569069,#142145); #103229=LINE('',#569072,#142146); #103230=LINE('',#569074,#142147); #103231=LINE('',#569075,#142148); #103232=LINE('',#569078,#142149); #103233=LINE('',#569080,#142150); #103234=LINE('',#569081,#142151); #103235=LINE('',#569083,#142152); #103236=LINE('',#569084,#142153); #103237=LINE('',#569090,#142154); #103238=LINE('',#569092,#142155); #103239=LINE('',#569094,#142156); #103240=LINE('',#569095,#142157); #103241=LINE('',#569098,#142158); #103242=LINE('',#569100,#142159); #103243=LINE('',#569101,#142160); #103244=LINE('',#569104,#142161); #103245=LINE('',#569106,#142162); #103246=LINE('',#569107,#142163); #103247=LINE('',#569109,#142164); #103248=LINE('',#569110,#142165); #103249=LINE('',#569116,#142166); #103250=LINE('',#569118,#142167); #103251=LINE('',#569120,#142168); #103252=LINE('',#569121,#142169); #103253=LINE('',#569124,#142170); #103254=LINE('',#569126,#142171); #103255=LINE('',#569127,#142172); #103256=LINE('',#569130,#142173); #103257=LINE('',#569132,#142174); #103258=LINE('',#569133,#142175); #103259=LINE('',#569135,#142176); #103260=LINE('',#569136,#142177); #103261=LINE('',#569142,#142178); #103262=LINE('',#569144,#142179); #103263=LINE('',#569146,#142180); #103264=LINE('',#569147,#142181); #103265=LINE('',#569150,#142182); #103266=LINE('',#569152,#142183); #103267=LINE('',#569153,#142184); #103268=LINE('',#569156,#142185); #103269=LINE('',#569158,#142186); #103270=LINE('',#569159,#142187); #103271=LINE('',#569161,#142188); #103272=LINE('',#569162,#142189); #103273=LINE('',#569168,#142190); #103274=LINE('',#569170,#142191); #103275=LINE('',#569172,#142192); #103276=LINE('',#569173,#142193); #103277=LINE('',#569176,#142194); #103278=LINE('',#569178,#142195); #103279=LINE('',#569179,#142196); #103280=LINE('',#569182,#142197); #103281=LINE('',#569184,#142198); #103282=LINE('',#569185,#142199); #103283=LINE('',#569187,#142200); #103284=LINE('',#569188,#142201); #103285=LINE('',#569194,#142202); #103286=LINE('',#569196,#142203); #103287=LINE('',#569198,#142204); #103288=LINE('',#569199,#142205); #103289=LINE('',#569202,#142206); #103290=LINE('',#569204,#142207); #103291=LINE('',#569205,#142208); #103292=LINE('',#569208,#142209); #103293=LINE('',#569210,#142210); #103294=LINE('',#569211,#142211); #103295=LINE('',#569213,#142212); #103296=LINE('',#569214,#142213); #103297=LINE('',#569220,#142214); #103298=LINE('',#569222,#142215); #103299=LINE('',#569224,#142216); #103300=LINE('',#569225,#142217); #103301=LINE('',#569228,#142218); #103302=LINE('',#569230,#142219); #103303=LINE('',#569231,#142220); #103304=LINE('',#569234,#142221); #103305=LINE('',#569236,#142222); #103306=LINE('',#569237,#142223); #103307=LINE('',#569239,#142224); #103308=LINE('',#569240,#142225); #103309=LINE('',#569246,#142226); #103310=LINE('',#569248,#142227); #103311=LINE('',#569250,#142228); #103312=LINE('',#569251,#142229); #103313=LINE('',#569254,#142230); #103314=LINE('',#569256,#142231); #103315=LINE('',#569257,#142232); #103316=LINE('',#569260,#142233); #103317=LINE('',#569262,#142234); #103318=LINE('',#569263,#142235); #103319=LINE('',#569265,#142236); #103320=LINE('',#569266,#142237); #103321=LINE('',#569272,#142238); #103322=LINE('',#569274,#142239); #103323=LINE('',#569276,#142240); #103324=LINE('',#569277,#142241); #103325=LINE('',#569280,#142242); #103326=LINE('',#569282,#142243); #103327=LINE('',#569283,#142244); #103328=LINE('',#569286,#142245); #103329=LINE('',#569288,#142246); #103330=LINE('',#569289,#142247); #103331=LINE('',#569291,#142248); #103332=LINE('',#569292,#142249); #103333=LINE('',#569298,#142250); #103334=LINE('',#569300,#142251); #103335=LINE('',#569302,#142252); #103336=LINE('',#569303,#142253); #103337=LINE('',#569306,#142254); #103338=LINE('',#569308,#142255); #103339=LINE('',#569309,#142256); #103340=LINE('',#569312,#142257); #103341=LINE('',#569314,#142258); #103342=LINE('',#569315,#142259); #103343=LINE('',#569317,#142260); #103344=LINE('',#569318,#142261); #103345=LINE('',#569324,#142262); #103346=LINE('',#569326,#142263); #103347=LINE('',#569328,#142264); #103348=LINE('',#569329,#142265); #103349=LINE('',#569332,#142266); #103350=LINE('',#569334,#142267); #103351=LINE('',#569335,#142268); #103352=LINE('',#569338,#142269); #103353=LINE('',#569340,#142270); #103354=LINE('',#569341,#142271); #103355=LINE('',#569343,#142272); #103356=LINE('',#569344,#142273); #103357=LINE('',#569350,#142274); #103358=LINE('',#569352,#142275); #103359=LINE('',#569354,#142276); #103360=LINE('',#569355,#142277); #103361=LINE('',#569358,#142278); #103362=LINE('',#569360,#142279); #103363=LINE('',#569361,#142280); #103364=LINE('',#569364,#142281); #103365=LINE('',#569366,#142282); #103366=LINE('',#569367,#142283); #103367=LINE('',#569369,#142284); #103368=LINE('',#569370,#142285); #103369=LINE('',#569377,#142286); #103370=LINE('',#569382,#142287); #103371=LINE('',#569384,#142288); #103372=LINE('',#569386,#142289); #103373=LINE('',#569387,#142290); #103374=LINE('',#569390,#142291); #103375=LINE('',#569392,#142292); #103376=LINE('',#569393,#142293); #103377=LINE('',#569396,#142294); #103378=LINE('',#569398,#142295); #103379=LINE('',#569399,#142296); #103380=LINE('',#569401,#142297); #103381=LINE('',#569402,#142298); #103382=LINE('',#569408,#142299); #103383=LINE('',#569410,#142300); #103384=LINE('',#569412,#142301); #103385=LINE('',#569413,#142302); #103386=LINE('',#569416,#142303); #103387=LINE('',#569418,#142304); #103388=LINE('',#569419,#142305); #103389=LINE('',#569422,#142306); #103390=LINE('',#569424,#142307); #103391=LINE('',#569425,#142308); #103392=LINE('',#569427,#142309); #103393=LINE('',#569428,#142310); #103394=LINE('',#569434,#142311); #103395=LINE('',#569436,#142312); #103396=LINE('',#569438,#142313); #103397=LINE('',#569439,#142314); #103398=LINE('',#569442,#142315); #103399=LINE('',#569444,#142316); #103400=LINE('',#569445,#142317); #103401=LINE('',#569448,#142318); #103402=LINE('',#569450,#142319); #103403=LINE('',#569451,#142320); #103404=LINE('',#569453,#142321); #103405=LINE('',#569454,#142322); #103406=LINE('',#569460,#142323); #103407=LINE('',#569462,#142324); #103408=LINE('',#569464,#142325); #103409=LINE('',#569465,#142326); #103410=LINE('',#569468,#142327); #103411=LINE('',#569470,#142328); #103412=LINE('',#569471,#142329); #103413=LINE('',#569474,#142330); #103414=LINE('',#569476,#142331); #103415=LINE('',#569477,#142332); #103416=LINE('',#569479,#142333); #103417=LINE('',#569480,#142334); #103418=LINE('',#569486,#142335); #103419=LINE('',#569488,#142336); #103420=LINE('',#569490,#142337); #103421=LINE('',#569491,#142338); #103422=LINE('',#569494,#142339); #103423=LINE('',#569496,#142340); #103424=LINE('',#569497,#142341); #103425=LINE('',#569500,#142342); #103426=LINE('',#569502,#142343); #103427=LINE('',#569503,#142344); #103428=LINE('',#569505,#142345); #103429=LINE('',#569506,#142346); #103430=LINE('',#569513,#142347); #103431=LINE('',#569519,#142348); #103432=LINE('',#569525,#142349); #103433=LINE('',#569531,#142350); #103434=LINE('',#569537,#142351); #103435=LINE('',#569543,#142352); #103436=LINE('',#569549,#142353); #103437=LINE('',#569555,#142354); #103438=LINE('',#569561,#142355); #103439=LINE('',#569567,#142356); #103440=LINE('',#569573,#142357); #103441=LINE('',#569579,#142358); #103442=LINE('',#569585,#142359); #103443=LINE('',#569591,#142360); #103444=LINE('',#569597,#142361); #103445=LINE('',#569602,#142362); #103446=LINE('',#569604,#142363); #103447=LINE('',#569606,#142364); #103448=LINE('',#569607,#142365); #103449=LINE('',#569610,#142366); #103450=LINE('',#569612,#142367); #103451=LINE('',#569613,#142368); #103452=LINE('',#569616,#142369); #103453=LINE('',#569618,#142370); #103454=LINE('',#569619,#142371); #103455=LINE('',#569621,#142372); #103456=LINE('',#569622,#142373); #103457=LINE('',#569628,#142374); #103458=LINE('',#569630,#142375); #103459=LINE('',#569632,#142376); #103460=LINE('',#569633,#142377); #103461=LINE('',#569636,#142378); #103462=LINE('',#569638,#142379); #103463=LINE('',#569639,#142380); #103464=LINE('',#569642,#142381); #103465=LINE('',#569644,#142382); #103466=LINE('',#569645,#142383); #103467=LINE('',#569647,#142384); #103468=LINE('',#569648,#142385); #103469=LINE('',#569654,#142386); #103470=LINE('',#569656,#142387); #103471=LINE('',#569658,#142388); #103472=LINE('',#569659,#142389); #103473=LINE('',#569662,#142390); #103474=LINE('',#569664,#142391); #103475=LINE('',#569665,#142392); #103476=LINE('',#569668,#142393); #103477=LINE('',#569670,#142394); #103478=LINE('',#569671,#142395); #103479=LINE('',#569673,#142396); #103480=LINE('',#569674,#142397); #103481=LINE('',#569680,#142398); #103482=LINE('',#569682,#142399); #103483=LINE('',#569684,#142400); #103484=LINE('',#569685,#142401); #103485=LINE('',#569688,#142402); #103486=LINE('',#569690,#142403); #103487=LINE('',#569691,#142404); #103488=LINE('',#569694,#142405); #103489=LINE('',#569696,#142406); #103490=LINE('',#569697,#142407); #103491=LINE('',#569699,#142408); #103492=LINE('',#569700,#142409); #103493=LINE('',#569707,#142410); #103494=LINE('',#569712,#142411); #103495=LINE('',#569714,#142412); #103496=LINE('',#569716,#142413); #103497=LINE('',#569717,#142414); #103498=LINE('',#569720,#142415); #103499=LINE('',#569722,#142416); #103500=LINE('',#569723,#142417); #103501=LINE('',#569726,#142418); #103502=LINE('',#569728,#142419); #103503=LINE('',#569729,#142420); #103504=LINE('',#569731,#142421); #103505=LINE('',#569732,#142422); #103506=LINE('',#569738,#142423); #103507=LINE('',#569740,#142424); #103508=LINE('',#569742,#142425); #103509=LINE('',#569743,#142426); #103510=LINE('',#569746,#142427); #103511=LINE('',#569748,#142428); #103512=LINE('',#569749,#142429); #103513=LINE('',#569752,#142430); #103514=LINE('',#569754,#142431); #103515=LINE('',#569755,#142432); #103516=LINE('',#569757,#142433); #103517=LINE('',#569758,#142434); #103518=LINE('',#569764,#142435); #103519=LINE('',#569766,#142436); #103520=LINE('',#569768,#142437); #103521=LINE('',#569769,#142438); #103522=LINE('',#569772,#142439); #103523=LINE('',#569774,#142440); #103524=LINE('',#569775,#142441); #103525=LINE('',#569778,#142442); #103526=LINE('',#569780,#142443); #103527=LINE('',#569781,#142444); #103528=LINE('',#569783,#142445); #103529=LINE('',#569784,#142446); #103530=LINE('',#569790,#142447); #103531=LINE('',#569792,#142448); #103532=LINE('',#569794,#142449); #103533=LINE('',#569795,#142450); #103534=LINE('',#569798,#142451); #103535=LINE('',#569800,#142452); #103536=LINE('',#569801,#142453); #103537=LINE('',#569804,#142454); #103538=LINE('',#569806,#142455); #103539=LINE('',#569807,#142456); #103540=LINE('',#569809,#142457); #103541=LINE('',#569810,#142458); #103542=LINE('',#569816,#142459); #103543=LINE('',#569818,#142460); #103544=LINE('',#569820,#142461); #103545=LINE('',#569821,#142462); #103546=LINE('',#569824,#142463); #103547=LINE('',#569826,#142464); #103548=LINE('',#569827,#142465); #103549=LINE('',#569830,#142466); #103550=LINE('',#569832,#142467); #103551=LINE('',#569833,#142468); #103552=LINE('',#569835,#142469); #103553=LINE('',#569836,#142470); #103554=LINE('',#569843,#142471); #103555=LINE('',#569849,#142472); #103556=LINE('',#569855,#142473); #103557=LINE('',#569861,#142474); #103558=LINE('',#569867,#142475); #103559=LINE('',#569873,#142476); #103560=LINE('',#569879,#142477); #103561=LINE('',#569885,#142478); #103562=LINE('',#569891,#142479); #103563=LINE('',#569897,#142480); #103564=LINE('',#569903,#142481); #103565=LINE('',#569909,#142482); #103566=LINE('',#569915,#142483); #103567=LINE('',#569921,#142484); #103568=LINE('',#569927,#142485); #103569=LINE('',#569932,#142486); #103570=LINE('',#569934,#142487); #103571=LINE('',#569936,#142488); #103572=LINE('',#569937,#142489); #103573=LINE('',#569940,#142490); #103574=LINE('',#569942,#142491); #103575=LINE('',#569943,#142492); #103576=LINE('',#569946,#142493); #103577=LINE('',#569948,#142494); #103578=LINE('',#569949,#142495); #103579=LINE('',#569951,#142496); #103580=LINE('',#569952,#142497); #103581=LINE('',#569958,#142498); #103582=LINE('',#569960,#142499); #103583=LINE('',#569962,#142500); #103584=LINE('',#569963,#142501); #103585=LINE('',#569966,#142502); #103586=LINE('',#569968,#142503); #103587=LINE('',#569969,#142504); #103588=LINE('',#569972,#142505); #103589=LINE('',#569974,#142506); #103590=LINE('',#569975,#142507); #103591=LINE('',#569977,#142508); #103592=LINE('',#569978,#142509); #103593=LINE('',#569984,#142510); #103594=LINE('',#569986,#142511); #103595=LINE('',#569988,#142512); #103596=LINE('',#569989,#142513); #103597=LINE('',#569992,#142514); #103598=LINE('',#569994,#142515); #103599=LINE('',#569995,#142516); #103600=LINE('',#569998,#142517); #103601=LINE('',#570000,#142518); #103602=LINE('',#570001,#142519); #103603=LINE('',#570003,#142520); #103604=LINE('',#570004,#142521); #103605=LINE('',#570010,#142522); #103606=LINE('',#570012,#142523); #103607=LINE('',#570014,#142524); #103608=LINE('',#570015,#142525); #103609=LINE('',#570018,#142526); #103610=LINE('',#570020,#142527); #103611=LINE('',#570021,#142528); #103612=LINE('',#570024,#142529); #103613=LINE('',#570026,#142530); #103614=LINE('',#570027,#142531); #103615=LINE('',#570029,#142532); #103616=LINE('',#570030,#142533); #103617=LINE('',#570037,#142534); #103618=LINE('',#570042,#142535); #103619=LINE('',#570044,#142536); #103620=LINE('',#570046,#142537); #103621=LINE('',#570047,#142538); #103622=LINE('',#570050,#142539); #103623=LINE('',#570052,#142540); #103624=LINE('',#570053,#142541); #103625=LINE('',#570056,#142542); #103626=LINE('',#570058,#142543); #103627=LINE('',#570059,#142544); #103628=LINE('',#570061,#142545); #103629=LINE('',#570062,#142546); #103630=LINE('',#570069,#142547); #103631=LINE('',#570074,#142548); #103632=LINE('',#570076,#142549); #103633=LINE('',#570078,#142550); #103634=LINE('',#570079,#142551); #103635=LINE('',#570082,#142552); #103636=LINE('',#570084,#142553); #103637=LINE('',#570085,#142554); #103638=LINE('',#570088,#142555); #103639=LINE('',#570090,#142556); #103640=LINE('',#570091,#142557); #103641=LINE('',#570093,#142558); #103642=LINE('',#570094,#142559); #103643=LINE('',#570101,#142560); #103644=LINE('',#570106,#142561); #103645=LINE('',#570108,#142562); #103646=LINE('',#570110,#142563); #103647=LINE('',#570111,#142564); #103648=LINE('',#570114,#142565); #103649=LINE('',#570116,#142566); #103650=LINE('',#570117,#142567); #103651=LINE('',#570120,#142568); #103652=LINE('',#570122,#142569); #103653=LINE('',#570123,#142570); #103654=LINE('',#570125,#142571); #103655=LINE('',#570126,#142572); #103656=LINE('',#570133,#142573); #103657=LINE('',#570139,#142574); #103658=LINE('',#570145,#142575); #103659=LINE('',#570151,#142576); #103660=LINE('',#570157,#142577); #103661=LINE('',#570163,#142578); #103662=LINE('',#570169,#142579); #103663=LINE('',#570175,#142580); #103664=LINE('',#570181,#142581); #103665=LINE('',#570187,#142582); #103666=LINE('',#570193,#142583); #103667=LINE('',#570199,#142584); #103668=LINE('',#570205,#142585); #103669=LINE('',#570211,#142586); #103670=LINE('',#570217,#142587); #103671=LINE('',#570222,#142588); #103672=LINE('',#570224,#142589); #103673=LINE('',#570226,#142590); #103674=LINE('',#570227,#142591); #103675=LINE('',#570230,#142592); #103676=LINE('',#570232,#142593); #103677=LINE('',#570233,#142594); #103678=LINE('',#570236,#142595); #103679=LINE('',#570238,#142596); #103680=LINE('',#570239,#142597); #103681=LINE('',#570241,#142598); #103682=LINE('',#570242,#142599); #103683=LINE('',#570248,#142600); #103684=LINE('',#570250,#142601); #103685=LINE('',#570252,#142602); #103686=LINE('',#570253,#142603); #103687=LINE('',#570256,#142604); #103688=LINE('',#570258,#142605); #103689=LINE('',#570259,#142606); #103690=LINE('',#570262,#142607); #103691=LINE('',#570264,#142608); #103692=LINE('',#570265,#142609); #103693=LINE('',#570267,#142610); #103694=LINE('',#570268,#142611); #103695=LINE('',#570274,#142612); #103696=LINE('',#570276,#142613); #103697=LINE('',#570278,#142614); #103698=LINE('',#570279,#142615); #103699=LINE('',#570282,#142616); #103700=LINE('',#570284,#142617); #103701=LINE('',#570285,#142618); #103702=LINE('',#570288,#142619); #103703=LINE('',#570290,#142620); #103704=LINE('',#570291,#142621); #103705=LINE('',#570293,#142622); #103706=LINE('',#570294,#142623); #103707=LINE('',#570300,#142624); #103708=LINE('',#570302,#142625); #103709=LINE('',#570304,#142626); #103710=LINE('',#570305,#142627); #103711=LINE('',#570308,#142628); #103712=LINE('',#570310,#142629); #103713=LINE('',#570311,#142630); #103714=LINE('',#570314,#142631); #103715=LINE('',#570316,#142632); #103716=LINE('',#570317,#142633); #103717=LINE('',#570319,#142634); #103718=LINE('',#570320,#142635); #103719=LINE('',#570326,#142636); #103720=LINE('',#570328,#142637); #103721=LINE('',#570330,#142638); #103722=LINE('',#570331,#142639); #103723=LINE('',#570334,#142640); #103724=LINE('',#570336,#142641); #103725=LINE('',#570337,#142642); #103726=LINE('',#570340,#142643); #103727=LINE('',#570342,#142644); #103728=LINE('',#570343,#142645); #103729=LINE('',#570345,#142646); #103730=LINE('',#570346,#142647); #103731=LINE('',#570352,#142648); #103732=LINE('',#570354,#142649); #103733=LINE('',#570356,#142650); #103734=LINE('',#570357,#142651); #103735=LINE('',#570360,#142652); #103736=LINE('',#570362,#142653); #103737=LINE('',#570363,#142654); #103738=LINE('',#570366,#142655); #103739=LINE('',#570368,#142656); #103740=LINE('',#570369,#142657); #103741=LINE('',#570371,#142658); #103742=LINE('',#570372,#142659); #103743=LINE('',#570378,#142660); #103744=LINE('',#570380,#142661); #103745=LINE('',#570382,#142662); #103746=LINE('',#570383,#142663); #103747=LINE('',#570386,#142664); #103748=LINE('',#570388,#142665); #103749=LINE('',#570389,#142666); #103750=LINE('',#570392,#142667); #103751=LINE('',#570394,#142668); #103752=LINE('',#570395,#142669); #103753=LINE('',#570397,#142670); #103754=LINE('',#570398,#142671); #103755=LINE('',#570404,#142672); #103756=LINE('',#570406,#142673); #103757=LINE('',#570408,#142674); #103758=LINE('',#570409,#142675); #103759=LINE('',#570412,#142676); #103760=LINE('',#570414,#142677); #103761=LINE('',#570415,#142678); #103762=LINE('',#570418,#142679); #103763=LINE('',#570420,#142680); #103764=LINE('',#570421,#142681); #103765=LINE('',#570423,#142682); #103766=LINE('',#570424,#142683); #103767=LINE('',#570430,#142684); #103768=LINE('',#570432,#142685); #103769=LINE('',#570434,#142686); #103770=LINE('',#570435,#142687); #103771=LINE('',#570438,#142688); #103772=LINE('',#570440,#142689); #103773=LINE('',#570441,#142690); #103774=LINE('',#570444,#142691); #103775=LINE('',#570446,#142692); #103776=LINE('',#570447,#142693); #103777=LINE('',#570449,#142694); #103778=LINE('',#570450,#142695); #103779=LINE('',#570456,#142696); #103780=LINE('',#570458,#142697); #103781=LINE('',#570460,#142698); #103782=LINE('',#570461,#142699); #103783=LINE('',#570464,#142700); #103784=LINE('',#570466,#142701); #103785=LINE('',#570467,#142702); #103786=LINE('',#570470,#142703); #103787=LINE('',#570472,#142704); #103788=LINE('',#570473,#142705); #103789=LINE('',#570475,#142706); #103790=LINE('',#570476,#142707); #103791=LINE('',#570482,#142708); #103792=LINE('',#570484,#142709); #103793=LINE('',#570486,#142710); #103794=LINE('',#570487,#142711); #103795=LINE('',#570490,#142712); #103796=LINE('',#570492,#142713); #103797=LINE('',#570493,#142714); #103798=LINE('',#570496,#142715); #103799=LINE('',#570498,#142716); #103800=LINE('',#570499,#142717); #103801=LINE('',#570501,#142718); #103802=LINE('',#570502,#142719); #103803=LINE('',#570508,#142720); #103804=LINE('',#570510,#142721); #103805=LINE('',#570512,#142722); #103806=LINE('',#570513,#142723); #103807=LINE('',#570516,#142724); #103808=LINE('',#570518,#142725); #103809=LINE('',#570519,#142726); #103810=LINE('',#570522,#142727); #103811=LINE('',#570524,#142728); #103812=LINE('',#570525,#142729); #103813=LINE('',#570527,#142730); #103814=LINE('',#570528,#142731); #103815=LINE('',#570534,#142732); #103816=LINE('',#570536,#142733); #103817=LINE('',#570538,#142734); #103818=LINE('',#570539,#142735); #103819=LINE('',#570542,#142736); #103820=LINE('',#570544,#142737); #103821=LINE('',#570545,#142738); #103822=LINE('',#570548,#142739); #103823=LINE('',#570550,#142740); #103824=LINE('',#570551,#142741); #103825=LINE('',#570553,#142742); #103826=LINE('',#570554,#142743); #103827=LINE('',#570560,#142744); #103828=LINE('',#570562,#142745); #103829=LINE('',#570564,#142746); #103830=LINE('',#570565,#142747); #103831=LINE('',#570568,#142748); #103832=LINE('',#570570,#142749); #103833=LINE('',#570571,#142750); #103834=LINE('',#570574,#142751); #103835=LINE('',#570576,#142752); #103836=LINE('',#570577,#142753); #103837=LINE('',#570579,#142754); #103838=LINE('',#570580,#142755); #103839=LINE('',#570586,#142756); #103840=LINE('',#570588,#142757); #103841=LINE('',#570590,#142758); #103842=LINE('',#570591,#142759); #103843=LINE('',#570594,#142760); #103844=LINE('',#570596,#142761); #103845=LINE('',#570597,#142762); #103846=LINE('',#570600,#142763); #103847=LINE('',#570602,#142764); #103848=LINE('',#570603,#142765); #103849=LINE('',#570605,#142766); #103850=LINE('',#570606,#142767); #103851=LINE('',#570612,#142768); #103852=LINE('',#570614,#142769); #103853=LINE('',#570616,#142770); #103854=LINE('',#570617,#142771); #103855=LINE('',#570620,#142772); #103856=LINE('',#570622,#142773); #103857=LINE('',#570623,#142774); #103858=LINE('',#570626,#142775); #103859=LINE('',#570628,#142776); #103860=LINE('',#570629,#142777); #103861=LINE('',#570631,#142778); #103862=LINE('',#570632,#142779); #103863=LINE('',#570638,#142780); #103864=LINE('',#570640,#142781); #103865=LINE('',#570642,#142782); #103866=LINE('',#570643,#142783); #103867=LINE('',#570646,#142784); #103868=LINE('',#570648,#142785); #103869=LINE('',#570649,#142786); #103870=LINE('',#570652,#142787); #103871=LINE('',#570654,#142788); #103872=LINE('',#570655,#142789); #103873=LINE('',#570657,#142790); #103874=LINE('',#570658,#142791); #103875=LINE('',#570664,#142792); #103876=LINE('',#570666,#142793); #103877=LINE('',#570668,#142794); #103878=LINE('',#570669,#142795); #103879=LINE('',#570672,#142796); #103880=LINE('',#570674,#142797); #103881=LINE('',#570675,#142798); #103882=LINE('',#570678,#142799); #103883=LINE('',#570680,#142800); #103884=LINE('',#570681,#142801); #103885=LINE('',#570683,#142802); #103886=LINE('',#570684,#142803); #103887=LINE('',#570690,#142804); #103888=LINE('',#570692,#142805); #103889=LINE('',#570694,#142806); #103890=LINE('',#570695,#142807); #103891=LINE('',#570698,#142808); #103892=LINE('',#570700,#142809); #103893=LINE('',#570701,#142810); #103894=LINE('',#570704,#142811); #103895=LINE('',#570706,#142812); #103896=LINE('',#570707,#142813); #103897=LINE('',#570709,#142814); #103898=LINE('',#570710,#142815); #103899=LINE('',#570716,#142816); #103900=LINE('',#570718,#142817); #103901=LINE('',#570720,#142818); #103902=LINE('',#570721,#142819); #103903=LINE('',#570724,#142820); #103904=LINE('',#570726,#142821); #103905=LINE('',#570727,#142822); #103906=LINE('',#570730,#142823); #103907=LINE('',#570732,#142824); #103908=LINE('',#570733,#142825); #103909=LINE('',#570735,#142826); #103910=LINE('',#570736,#142827); #103911=LINE('',#570742,#142828); #103912=LINE('',#570744,#142829); #103913=LINE('',#570746,#142830); #103914=LINE('',#570747,#142831); #103915=LINE('',#570750,#142832); #103916=LINE('',#570752,#142833); #103917=LINE('',#570753,#142834); #103918=LINE('',#570756,#142835); #103919=LINE('',#570758,#142836); #103920=LINE('',#570759,#142837); #103921=LINE('',#570761,#142838); #103922=LINE('',#570762,#142839); #103923=LINE('',#570769,#142840); #103924=LINE('',#570775,#142841); #103925=LINE('',#570781,#142842); #103926=LINE('',#570787,#142843); #103927=LINE('',#570793,#142844); #103928=LINE('',#570799,#142845); #103929=LINE('',#570805,#142846); #103930=LINE('',#570811,#142847); #103931=LINE('',#570817,#142848); #103932=LINE('',#570823,#142849); #103933=LINE('',#570829,#142850); #103934=LINE('',#570835,#142851); #103935=LINE('',#570841,#142852); #103936=LINE('',#570847,#142853); #103937=LINE('',#570853,#142854); #103938=LINE('',#570858,#142855); #103939=LINE('',#570860,#142856); #103940=LINE('',#570862,#142857); #103941=LINE('',#570863,#142858); #103942=LINE('',#570866,#142859); #103943=LINE('',#570868,#142860); #103944=LINE('',#570869,#142861); #103945=LINE('',#570872,#142862); #103946=LINE('',#570874,#142863); #103947=LINE('',#570875,#142864); #103948=LINE('',#570877,#142865); #103949=LINE('',#570878,#142866); #103950=LINE('',#570884,#142867); #103951=LINE('',#570886,#142868); #103952=LINE('',#570888,#142869); #103953=LINE('',#570889,#142870); #103954=LINE('',#570892,#142871); #103955=LINE('',#570894,#142872); #103956=LINE('',#570895,#142873); #103957=LINE('',#570898,#142874); #103958=LINE('',#570900,#142875); #103959=LINE('',#570901,#142876); #103960=LINE('',#570903,#142877); #103961=LINE('',#570904,#142878); #103962=LINE('',#570910,#142879); #103963=LINE('',#570912,#142880); #103964=LINE('',#570914,#142881); #103965=LINE('',#570915,#142882); #103966=LINE('',#570918,#142883); #103967=LINE('',#570920,#142884); #103968=LINE('',#570921,#142885); #103969=LINE('',#570924,#142886); #103970=LINE('',#570926,#142887); #103971=LINE('',#570927,#142888); #103972=LINE('',#570929,#142889); #103973=LINE('',#570930,#142890); #103974=LINE('',#570936,#142891); #103975=LINE('',#570938,#142892); #103976=LINE('',#570940,#142893); #103977=LINE('',#570941,#142894); #103978=LINE('',#570944,#142895); #103979=LINE('',#570946,#142896); #103980=LINE('',#570947,#142897); #103981=LINE('',#570950,#142898); #103982=LINE('',#570952,#142899); #103983=LINE('',#570953,#142900); #103984=LINE('',#570955,#142901); #103985=LINE('',#570956,#142902); #103986=LINE('',#570962,#142903); #103987=LINE('',#570964,#142904); #103988=LINE('',#570966,#142905); #103989=LINE('',#570967,#142906); #103990=LINE('',#570970,#142907); #103991=LINE('',#570972,#142908); #103992=LINE('',#570973,#142909); #103993=LINE('',#570976,#142910); #103994=LINE('',#570978,#142911); #103995=LINE('',#570979,#142912); #103996=LINE('',#570981,#142913); #103997=LINE('',#570982,#142914); #103998=LINE('',#570988,#142915); #103999=LINE('',#570990,#142916); #104000=LINE('',#570992,#142917); #104001=LINE('',#570993,#142918); #104002=LINE('',#570996,#142919); #104003=LINE('',#570998,#142920); #104004=LINE('',#570999,#142921); #104005=LINE('',#571002,#142922); #104006=LINE('',#571004,#142923); #104007=LINE('',#571005,#142924); #104008=LINE('',#571007,#142925); #104009=LINE('',#571008,#142926); #104010=LINE('',#571014,#142927); #104011=LINE('',#571016,#142928); #104012=LINE('',#571018,#142929); #104013=LINE('',#571019,#142930); #104014=LINE('',#571022,#142931); #104015=LINE('',#571024,#142932); #104016=LINE('',#571025,#142933); #104017=LINE('',#571028,#142934); #104018=LINE('',#571030,#142935); #104019=LINE('',#571031,#142936); #104020=LINE('',#571033,#142937); #104021=LINE('',#571034,#142938); #104022=LINE('',#571041,#142939); #104023=LINE('',#571046,#142940); #104024=LINE('',#571048,#142941); #104025=LINE('',#571050,#142942); #104026=LINE('',#571051,#142943); #104027=LINE('',#571054,#142944); #104028=LINE('',#571056,#142945); #104029=LINE('',#571057,#142946); #104030=LINE('',#571060,#142947); #104031=LINE('',#571062,#142948); #104032=LINE('',#571063,#142949); #104033=LINE('',#571065,#142950); #104034=LINE('',#571066,#142951); #104035=LINE('',#571073,#142952); #104036=LINE('',#571079,#142953); #104037=LINE('',#571084,#142954); #104038=LINE('',#571086,#142955); #104039=LINE('',#571088,#142956); #104040=LINE('',#571089,#142957); #104041=LINE('',#571092,#142958); #104042=LINE('',#571094,#142959); #104043=LINE('',#571095,#142960); #104044=LINE('',#571098,#142961); #104045=LINE('',#571100,#142962); #104046=LINE('',#571101,#142963); #104047=LINE('',#571103,#142964); #104048=LINE('',#571104,#142965); #104049=LINE('',#571111,#142966); #104050=LINE('',#571117,#142967); #104051=LINE('',#571122,#142968); #104052=LINE('',#571124,#142969); #104053=LINE('',#571126,#142970); #104054=LINE('',#571127,#142971); #104055=LINE('',#571130,#142972); #104056=LINE('',#571132,#142973); #104057=LINE('',#571133,#142974); #104058=LINE('',#571136,#142975); #104059=LINE('',#571138,#142976); #104060=LINE('',#571139,#142977); #104061=LINE('',#571141,#142978); #104062=LINE('',#571142,#142979); #104063=LINE('',#571149,#142980); #104064=LINE('',#571154,#142981); #104065=LINE('',#571156,#142982); #104066=LINE('',#571158,#142983); #104067=LINE('',#571159,#142984); #104068=LINE('',#571162,#142985); #104069=LINE('',#571164,#142986); #104070=LINE('',#571165,#142987); #104071=LINE('',#571168,#142988); #104072=LINE('',#571170,#142989); #104073=LINE('',#571171,#142990); #104074=LINE('',#571173,#142991); #104075=LINE('',#571174,#142992); #104076=LINE('',#571182,#142993); #104077=LINE('',#571188,#142994); #104078=LINE('',#571194,#142995); #104079=LINE('',#571200,#142996); #104080=LINE('',#571206,#142997); #104081=LINE('',#571212,#142998); #104082=LINE('',#571218,#142999); #104083=LINE('',#571224,#143000); #104084=LINE('',#571230,#143001); #104085=LINE('',#571236,#143002); #104086=LINE('',#571242,#143003); #104087=LINE('',#571248,#143004); #104088=LINE('',#571254,#143005); #104089=LINE('',#571260,#143006); #104090=LINE('',#571266,#143007); #104091=LINE('',#571272,#143008); #104092=LINE('',#571278,#143009); #104093=LINE('',#571284,#143010); #104094=LINE('',#571290,#143011); #104095=LINE('',#571296,#143012); #104096=LINE('',#571302,#143013); #104097=LINE('',#571308,#143014); #104098=LINE('',#571314,#143015); #104099=LINE('',#571320,#143016); #104100=LINE('',#571326,#143017); #104101=LINE('',#571332,#143018); #104102=LINE('',#571338,#143019); #104103=LINE('',#571344,#143020); #104104=LINE('',#571350,#143021); #104105=LINE('',#571356,#143022); #104106=LINE('',#571362,#143023); #104107=LINE('',#571368,#143024); #104108=LINE('',#571374,#143025); #104109=LINE('',#571380,#143026); #104110=LINE('',#571386,#143027); #104111=LINE('',#571392,#143028); #104112=LINE('',#571398,#143029); #104113=LINE('',#571404,#143030); #104114=LINE('',#571410,#143031); #104115=LINE('',#571416,#143032); #104116=LINE('',#571422,#143033); #104117=LINE('',#571428,#143034); #104118=LINE('',#571434,#143035); #104119=LINE('',#571440,#143036); #104120=LINE('',#571446,#143037); #104121=LINE('',#571452,#143038); #104122=LINE('',#571458,#143039); #104123=LINE('',#571464,#143040); #104124=LINE('',#571470,#143041); #104125=LINE('',#571476,#143042); #104126=LINE('',#571482,#143043); #104127=LINE('',#571488,#143044); #104128=LINE('',#571494,#143045); #104129=LINE('',#571500,#143046); #104130=LINE('',#571506,#143047); #104131=LINE('',#571512,#143048); #104132=LINE('',#571518,#143049); #104133=LINE('',#571524,#143050); #104134=LINE('',#571530,#143051); #104135=LINE('',#571536,#143052); #104136=LINE('',#571542,#143053); #104137=LINE('',#571548,#143054); #104138=LINE('',#571554,#143055); #104139=LINE('',#571560,#143056); #104140=LINE('',#571566,#143057); #104141=LINE('',#571572,#143058); #104142=LINE('',#571578,#143059); #104143=LINE('',#571584,#143060); #104144=LINE('',#571590,#143061); #104145=LINE('',#571596,#143062); #104146=LINE('',#571602,#143063); #104147=LINE('',#571608,#143064); #104148=LINE('',#571614,#143065); #104149=LINE('',#571620,#143066); #104150=LINE('',#571626,#143067); #104151=LINE('',#571632,#143068); #104152=LINE('',#571638,#143069); #104153=LINE('',#571644,#143070); #104154=LINE('',#571650,#143071); #104155=LINE('',#571656,#143072); #104156=LINE('',#571662,#143073); #104157=LINE('',#571668,#143074); #104158=LINE('',#571674,#143075); #104159=LINE('',#571680,#143076); #104160=LINE('',#571686,#143077); #104161=LINE('',#571692,#143078); #104162=LINE('',#571698,#143079); #104163=LINE('',#571704,#143080); #104164=LINE('',#571710,#143081); #104165=LINE('',#571716,#143082); #104166=LINE('',#571722,#143083); #104167=LINE('',#571728,#143084); #104168=LINE('',#571734,#143085); #104169=LINE('',#571740,#143086); #104170=LINE('',#571746,#143087); #104171=LINE('',#571752,#143088); #104172=LINE('',#571758,#143089); #104173=LINE('',#571764,#143090); #104174=LINE('',#571770,#143091); #104175=LINE('',#571776,#143092); #104176=LINE('',#571782,#143093); #104177=LINE('',#571788,#143094); #104178=LINE('',#571794,#143095); #104179=LINE('',#571800,#143096); #104180=LINE('',#571806,#143097); #104181=LINE('',#571812,#143098); #104182=LINE('',#571818,#143099); #104183=LINE('',#571824,#143100); #104184=LINE('',#571830,#143101); #104185=LINE('',#571836,#143102); #104186=LINE('',#571842,#143103); #104187=LINE('',#571848,#143104); #104188=LINE('',#571854,#143105); #104189=LINE('',#571860,#143106); #104190=LINE('',#571866,#143107); #104191=LINE('',#571872,#143108); #104192=LINE('',#571878,#143109); #104193=LINE('',#571884,#143110); #104194=LINE('',#571890,#143111); #104195=LINE('',#571896,#143112); #104196=LINE('',#571902,#143113); #104197=LINE('',#571908,#143114); #104198=LINE('',#571914,#143115); #104199=LINE('',#571920,#143116); #104200=LINE('',#571926,#143117); #104201=LINE('',#571932,#143118); #104202=LINE('',#571938,#143119); #104203=LINE('',#571944,#143120); #104204=LINE('',#571950,#143121); #104205=LINE('',#571956,#143122); #104206=LINE('',#571962,#143123); #104207=LINE('',#571968,#143124); #104208=LINE('',#571974,#143125); #104209=LINE('',#571980,#143126); #104210=LINE('',#571986,#143127); #104211=LINE('',#571992,#143128); #104212=LINE('',#571998,#143129); #104213=LINE('',#572004,#143130); #104214=LINE('',#572010,#143131); #104215=LINE('',#572016,#143132); #104216=LINE('',#572022,#143133); #104217=LINE('',#572028,#143134); #104218=LINE('',#572034,#143135); #104219=LINE('',#572040,#143136); #104220=LINE('',#572046,#143137); #104221=LINE('',#572052,#143138); #104222=LINE('',#572058,#143139); #104223=LINE('',#572064,#143140); #104224=LINE('',#572070,#143141); #104225=LINE('',#572076,#143142); #104226=LINE('',#572082,#143143); #104227=LINE('',#572088,#143144); #104228=LINE('',#572094,#143145); #104229=LINE('',#572100,#143146); #104230=LINE('',#572106,#143147); #104231=LINE('',#572112,#143148); #104232=LINE('',#572118,#143149); #104233=LINE('',#572124,#143150); #104234=LINE('',#572130,#143151); #104235=LINE('',#572136,#143152); #104236=LINE('',#572142,#143153); #104237=LINE('',#572148,#143154); #104238=LINE('',#572154,#143155); #104239=LINE('',#572159,#143156); #104240=LINE('',#572161,#143157); #104241=LINE('',#572163,#143158); #104242=LINE('',#572164,#143159); #104243=LINE('',#572170,#143160); #104244=LINE('',#572173,#143161); #104245=LINE('',#572175,#143162); #104246=LINE('',#572176,#143163); #104247=LINE('',#572184,#143164); #104248=LINE('',#572189,#143165); #104249=LINE('',#572191,#143166); #104250=LINE('',#572193,#143167); #104251=LINE('',#572194,#143168); #104252=LINE('',#572200,#143169); #104253=LINE('',#572203,#143170); #104254=LINE('',#572205,#143171); #104255=LINE('',#572206,#143172); #104256=LINE('',#572214,#143173); #104257=LINE('',#572220,#143174); #104258=LINE('',#572226,#143175); #104259=LINE('',#572231,#143176); #104260=LINE('',#572233,#143177); #104261=LINE('',#572235,#143178); #104262=LINE('',#572236,#143179); #104263=LINE('',#572242,#143180); #104264=LINE('',#572245,#143181); #104265=LINE('',#572247,#143182); #104266=LINE('',#572248,#143183); #104267=LINE('',#572256,#143184); #104268=LINE('',#572262,#143185); #104269=LINE('',#572268,#143186); #104270=LINE('',#572274,#143187); #104271=LINE('',#572280,#143188); #104272=LINE('',#572286,#143189); #104273=LINE('',#572292,#143190); #104274=LINE('',#572298,#143191); #104275=LINE('',#572304,#143192); #104276=LINE('',#572310,#143193); #104277=LINE('',#572315,#143194); #104278=LINE('',#572317,#143195); #104279=LINE('',#572319,#143196); #104280=LINE('',#572320,#143197); #104281=LINE('',#572326,#143198); #104282=LINE('',#572329,#143199); #104283=LINE('',#572331,#143200); #104284=LINE('',#572332,#143201); #104285=LINE('',#572340,#143202); #104286=LINE('',#572345,#143203); #104287=LINE('',#572347,#143204); #104288=LINE('',#572349,#143205); #104289=LINE('',#572350,#143206); #104290=LINE('',#572356,#143207); #104291=LINE('',#572359,#143208); #104292=LINE('',#572361,#143209); #104293=LINE('',#572362,#143210); #104294=LINE('',#572370,#143211); #104295=LINE('',#572375,#143212); #104296=LINE('',#572377,#143213); #104297=LINE('',#572379,#143214); #104298=LINE('',#572380,#143215); #104299=LINE('',#572386,#143216); #104300=LINE('',#572389,#143217); #104301=LINE('',#572391,#143218); #104302=LINE('',#572392,#143219); #104303=LINE('',#572400,#143220); #104304=LINE('',#572406,#143221); #104305=LINE('',#572412,#143222); #104306=LINE('',#572418,#143223); #104307=LINE('',#572424,#143224); #104308=LINE('',#572430,#143225); #104309=LINE('',#572436,#143226); #104310=LINE('',#572442,#143227); #104311=LINE('',#572448,#143228); #104312=LINE('',#572454,#143229); #104313=LINE('',#572460,#143230); #104314=LINE('',#572466,#143231); #104315=LINE('',#572472,#143232); #104316=LINE('',#572478,#143233); #104317=LINE('',#572484,#143234); #104318=LINE('',#572490,#143235); #104319=LINE('',#572496,#143236); #104320=LINE('',#572502,#143237); #104321=LINE('',#572508,#143238); #104322=LINE('',#572514,#143239); #104323=LINE('',#572520,#143240); #104324=LINE('',#572526,#143241); #104325=LINE('',#572532,#143242); #104326=LINE('',#572538,#143243); #104327=LINE('',#572544,#143244); #104328=LINE('',#572550,#143245); #104329=LINE('',#572556,#143246); #104330=LINE('',#572562,#143247); #104331=LINE('',#572568,#143248); #104332=LINE('',#572574,#143249); #104333=LINE('',#572580,#143250); #104334=LINE('',#572586,#143251); #104335=LINE('',#572592,#143252); #104336=LINE('',#572598,#143253); #104337=LINE('',#572604,#143254); #104338=LINE('',#572610,#143255); #104339=LINE('',#572616,#143256); #104340=LINE('',#572622,#143257); #104341=LINE('',#572628,#143258); #104342=LINE('',#572634,#143259); #104343=LINE('',#572640,#143260); #104344=LINE('',#572646,#143261); #104345=LINE('',#572652,#143262); #104346=LINE('',#572658,#143263); #104347=LINE('',#572664,#143264); #104348=LINE('',#572670,#143265); #104349=LINE('',#572676,#143266); #104350=LINE('',#572682,#143267); #104351=LINE('',#572688,#143268); #104352=LINE('',#572694,#143269); #104353=LINE('',#572700,#143270); #104354=LINE('',#572706,#143271); #104355=LINE('',#572712,#143272); #104356=LINE('',#572718,#143273); #104357=LINE('',#572724,#143274); #104358=LINE('',#572730,#143275); #104359=LINE('',#572736,#143276); #104360=LINE('',#572742,#143277); #104361=LINE('',#572748,#143278); #104362=LINE('',#572754,#143279); #104363=LINE('',#572760,#143280); #104364=LINE('',#572766,#143281); #104365=LINE('',#572772,#143282); #104366=LINE('',#572778,#143283); #104367=LINE('',#572784,#143284); #104368=LINE('',#572790,#143285); #104369=LINE('',#572796,#143286); #104370=LINE('',#572802,#143287); #104371=LINE('',#572808,#143288); #104372=LINE('',#572814,#143289); #104373=LINE('',#572820,#143290); #104374=LINE('',#572826,#143291); #104375=LINE('',#572832,#143292); #104376=LINE('',#572838,#143293); #104377=LINE('',#572844,#143294); #104378=LINE('',#572850,#143295); #104379=LINE('',#572856,#143296); #104380=LINE('',#572862,#143297); #104381=LINE('',#572868,#143298); #104382=LINE('',#572874,#143299); #104383=LINE('',#572880,#143300); #104384=LINE('',#572886,#143301); #104385=LINE('',#572892,#143302); #104386=LINE('',#572898,#143303); #104387=LINE('',#572904,#143304); #104388=LINE('',#572910,#143305); #104389=LINE('',#572916,#143306); #104390=LINE('',#572922,#143307); #104391=LINE('',#572928,#143308); #104392=LINE('',#572934,#143309); #104393=LINE('',#572940,#143310); #104394=LINE('',#572946,#143311); #104395=LINE('',#572952,#143312); #104396=LINE('',#572958,#143313); #104397=LINE('',#572964,#143314); #104398=LINE('',#572970,#143315); #104399=LINE('',#572976,#143316); #104400=LINE('',#572982,#143317); #104401=LINE('',#572988,#143318); #104402=LINE('',#572994,#143319); #104403=LINE('',#573000,#143320); #104404=LINE('',#573006,#143321); #104405=LINE('',#573012,#143322); #104406=LINE('',#573018,#143323); #104407=LINE('',#573024,#143324); #104408=LINE('',#573030,#143325); #104409=LINE('',#573036,#143326); #104410=LINE('',#573042,#143327); #104411=LINE('',#573048,#143328); #104412=LINE('',#573054,#143329); #104413=LINE('',#573060,#143330); #104414=LINE('',#573066,#143331); #104415=LINE('',#573072,#143332); #104416=LINE('',#573078,#143333); #104417=LINE('',#573084,#143334); #104418=LINE('',#573090,#143335); #104419=LINE('',#573096,#143336); #104420=LINE('',#573102,#143337); #104421=LINE('',#573108,#143338); #104422=LINE('',#573114,#143339); #104423=LINE('',#573120,#143340); #104424=LINE('',#573126,#143341); #104425=LINE('',#573132,#143342); #104426=LINE('',#573138,#143343); #104427=LINE('',#573144,#143344); #104428=LINE('',#573150,#143345); #104429=LINE('',#573156,#143346); #104430=LINE('',#573162,#143347); #104431=LINE('',#573168,#143348); #104432=LINE('',#573174,#143349); #104433=LINE('',#573180,#143350); #104434=LINE('',#573186,#143351); #104435=LINE('',#573192,#143352); #104436=LINE('',#573201,#143353); #104437=LINE('',#573207,#143354); #104438=LINE('',#573213,#143355); #104439=LINE('',#573219,#143356); #104440=LINE('',#573225,#143357); #104441=LINE('',#573231,#143358); #104442=LINE('',#573237,#143359); #104443=LINE('',#573243,#143360); #104444=LINE('',#573249,#143361); #104445=LINE('',#573255,#143362); #104446=LINE('',#573261,#143363); #104447=LINE('',#573267,#143364); #104448=LINE('',#573273,#143365); #104449=LINE('',#573279,#143366); #104450=LINE('',#573285,#143367); #104451=LINE('',#573291,#143368); #104452=LINE('',#573297,#143369); #104453=LINE('',#573303,#143370); #104454=LINE('',#573309,#143371); #104455=LINE('',#573315,#143372); #104456=LINE('',#573321,#143373); #104457=LINE('',#573327,#143374); #104458=LINE('',#573333,#143375); #104459=LINE('',#573339,#143376); #104460=LINE('',#573345,#143377); #104461=LINE('',#573351,#143378); #104462=LINE('',#573357,#143379); #104463=LINE('',#573363,#143380); #104464=LINE('',#573369,#143381); #104465=LINE('',#573375,#143382); #104466=LINE('',#573381,#143383); #104467=LINE('',#573387,#143384); #104468=LINE('',#573393,#143385); #104469=LINE('',#573399,#143386); #104470=LINE('',#573405,#143387); #104471=LINE('',#573411,#143388); #104472=LINE('',#573417,#143389); #104473=LINE('',#573423,#143390); #104474=LINE('',#573429,#143391); #104475=LINE('',#573435,#143392); #104476=LINE('',#573441,#143393); #104477=LINE('',#573447,#143394); #104478=LINE('',#573453,#143395); #104479=LINE('',#573459,#143396); #104480=LINE('',#573465,#143397); #104481=LINE('',#573471,#143398); #104482=LINE('',#573477,#143399); #104483=LINE('',#573483,#143400); #104484=LINE('',#573489,#143401); #104485=LINE('',#573495,#143402); #104486=LINE('',#573501,#143403); #104487=LINE('',#573507,#143404); #104488=LINE('',#573513,#143405); #104489=LINE('',#573519,#143406); #104490=LINE('',#573525,#143407); #104491=LINE('',#573531,#143408); #104492=LINE('',#573537,#143409); #104493=LINE('',#573543,#143410); #104494=LINE('',#573549,#143411); #104495=LINE('',#573555,#143412); #104496=LINE('',#573561,#143413); #104497=LINE('',#573567,#143414); #104498=LINE('',#573573,#143415); #104499=LINE('',#573579,#143416); #104500=LINE('',#573585,#143417); #104501=LINE('',#573591,#143418); #104502=LINE('',#573597,#143419); #104503=LINE('',#573603,#143420); #104504=LINE('',#573609,#143421); #104505=LINE('',#573615,#143422); #104506=LINE('',#573621,#143423); #104507=LINE('',#573627,#143424); #104508=LINE('',#573633,#143425); #104509=LINE('',#573639,#143426); #104510=LINE('',#573645,#143427); #104511=LINE('',#573651,#143428); #104512=LINE('',#573657,#143429); #104513=LINE('',#573663,#143430); #104514=LINE('',#573669,#143431); #104515=LINE('',#573675,#143432); #104516=LINE('',#573681,#143433); #104517=LINE('',#573687,#143434); #104518=LINE('',#573693,#143435); #104519=LINE('',#573699,#143436); #104520=LINE('',#573705,#143437); #104521=LINE('',#573711,#143438); #104522=LINE('',#573717,#143439); #104523=LINE('',#573723,#143440); #104524=LINE('',#573729,#143441); #104525=LINE('',#573735,#143442); #104526=LINE('',#573741,#143443); #104527=LINE('',#573747,#143444); #104528=LINE('',#573753,#143445); #104529=LINE('',#573759,#143446); #104530=LINE('',#573765,#143447); #104531=LINE('',#573771,#143448); #104532=LINE('',#573777,#143449); #104533=LINE('',#573783,#143450); #104534=LINE('',#573789,#143451); #104535=LINE('',#573795,#143452); #104536=LINE('',#573801,#143453); #104537=LINE('',#573807,#143454); #104538=LINE('',#573813,#143455); #104539=LINE('',#573819,#143456); #104540=LINE('',#573825,#143457); #104541=LINE('',#573831,#143458); #104542=LINE('',#573837,#143459); #104543=LINE('',#573843,#143460); #104544=LINE('',#573849,#143461); #104545=LINE('',#573855,#143462); #104546=LINE('',#573861,#143463); #104547=LINE('',#573867,#143464); #104548=LINE('',#573873,#143465); #104549=LINE('',#573879,#143466); #104550=LINE('',#573885,#143467); #104551=LINE('',#573891,#143468); #104552=LINE('',#573897,#143469); #104553=LINE('',#573903,#143470); #104554=LINE('',#573909,#143471); #104555=LINE('',#573915,#143472); #104556=LINE('',#573921,#143473); #104557=LINE('',#573927,#143474); #104558=LINE('',#573933,#143475); #104559=LINE('',#573939,#143476); #104560=LINE('',#573945,#143477); #104561=LINE('',#573951,#143478); #104562=LINE('',#573957,#143479); #104563=LINE('',#573963,#143480); #104564=LINE('',#573969,#143481); #104565=LINE('',#573975,#143482); #104566=LINE('',#573981,#143483); #104567=LINE('',#573987,#143484); #104568=LINE('',#573993,#143485); #104569=LINE('',#573999,#143486); #104570=LINE('',#574005,#143487); #104571=LINE('',#574011,#143488); #104572=LINE('',#574017,#143489); #104573=LINE('',#574023,#143490); #104574=LINE('',#574029,#143491); #104575=LINE('',#574035,#143492); #104576=LINE('',#574041,#143493); #104577=LINE('',#574047,#143494); #104578=LINE('',#574053,#143495); #104579=LINE('',#574059,#143496); #104580=LINE('',#574065,#143497); #104581=LINE('',#574071,#143498); #104582=LINE('',#574077,#143499); #104583=LINE('',#574083,#143500); #104584=LINE('',#574089,#143501); #104585=LINE('',#574095,#143502); #104586=LINE('',#574101,#143503); #104587=LINE('',#574107,#143504); #104588=LINE('',#574113,#143505); #104589=LINE('',#574119,#143506); #104590=LINE('',#574125,#143507); #104591=LINE('',#574131,#143508); #104592=LINE('',#574137,#143509); #104593=LINE('',#574143,#143510); #104594=LINE('',#574149,#143511); #104595=LINE('',#574155,#143512); #104596=LINE('',#574161,#143513); #104597=LINE('',#574167,#143514); #104598=LINE('',#574173,#143515); #104599=LINE('',#574178,#143516); #104600=LINE('',#574180,#143517); #104601=LINE('',#574182,#143518); #104602=LINE('',#574183,#143519); #104603=LINE('',#574189,#143520); #104604=LINE('',#574192,#143521); #104605=LINE('',#574194,#143522); #104606=LINE('',#574195,#143523); #104607=LINE('',#574203,#143524); #104608=LINE('',#574208,#143525); #104609=LINE('',#574210,#143526); #104610=LINE('',#574212,#143527); #104611=LINE('',#574213,#143528); #104612=LINE('',#574219,#143529); #104613=LINE('',#574222,#143530); #104614=LINE('',#574224,#143531); #104615=LINE('',#574225,#143532); #104616=LINE('',#574233,#143533); #104617=LINE('',#574239,#143534); #104618=LINE('',#574245,#143535); #104619=LINE('',#574250,#143536); #104620=LINE('',#574252,#143537); #104621=LINE('',#574254,#143538); #104622=LINE('',#574255,#143539); #104623=LINE('',#574261,#143540); #104624=LINE('',#574264,#143541); #104625=LINE('',#574266,#143542); #104626=LINE('',#574267,#143543); #104627=LINE('',#574275,#143544); #104628=LINE('',#574281,#143545); #104629=LINE('',#574287,#143546); #104630=LINE('',#574293,#143547); #104631=LINE('',#574299,#143548); #104632=LINE('',#574305,#143549); #104633=LINE('',#574311,#143550); #104634=LINE('',#574317,#143551); #104635=LINE('',#574323,#143552); #104636=LINE('',#574329,#143553); #104637=LINE('',#574334,#143554); #104638=LINE('',#574336,#143555); #104639=LINE('',#574338,#143556); #104640=LINE('',#574339,#143557); #104641=LINE('',#574345,#143558); #104642=LINE('',#574348,#143559); #104643=LINE('',#574350,#143560); #104644=LINE('',#574351,#143561); #104645=LINE('',#574359,#143562); #104646=LINE('',#574364,#143563); #104647=LINE('',#574366,#143564); #104648=LINE('',#574368,#143565); #104649=LINE('',#574369,#143566); #104650=LINE('',#574375,#143567); #104651=LINE('',#574378,#143568); #104652=LINE('',#574380,#143569); #104653=LINE('',#574381,#143570); #104654=LINE('',#574389,#143571); #104655=LINE('',#574394,#143572); #104656=LINE('',#574396,#143573); #104657=LINE('',#574398,#143574); #104658=LINE('',#574399,#143575); #104659=LINE('',#574405,#143576); #104660=LINE('',#574408,#143577); #104661=LINE('',#574410,#143578); #104662=LINE('',#574411,#143579); #104663=LINE('',#574419,#143580); #104664=LINE('',#574425,#143581); #104665=LINE('',#574431,#143582); #104666=LINE('',#574437,#143583); #104667=LINE('',#574443,#143584); #104668=LINE('',#574449,#143585); #104669=LINE('',#574455,#143586); #104670=LINE('',#574461,#143587); #104671=LINE('',#574467,#143588); #104672=LINE('',#574473,#143589); #104673=LINE('',#574479,#143590); #104674=LINE('',#574485,#143591); #104675=LINE('',#574491,#143592); #104676=LINE('',#574497,#143593); #104677=LINE('',#574503,#143594); #104678=LINE('',#574509,#143595); #104679=LINE('',#574515,#143596); #104680=LINE('',#574521,#143597); #104681=LINE('',#574527,#143598); #104682=LINE('',#574533,#143599); #104683=LINE('',#574539,#143600); #104684=LINE('',#574545,#143601); #104685=LINE('',#574551,#143602); #104686=LINE('',#574557,#143603); #104687=LINE('',#574563,#143604); #104688=LINE('',#574569,#143605); #104689=LINE('',#574575,#143606); #104690=LINE('',#574581,#143607); #104691=LINE('',#574587,#143608); #104692=LINE('',#574593,#143609); #104693=LINE('',#574599,#143610); #104694=LINE('',#574605,#143611); #104695=LINE('',#574611,#143612); #104696=LINE('',#574617,#143613); #104697=LINE('',#574623,#143614); #104698=LINE('',#574629,#143615); #104699=LINE('',#574635,#143616); #104700=LINE('',#574641,#143617); #104701=LINE('',#574647,#143618); #104702=LINE('',#574653,#143619); #104703=LINE('',#574659,#143620); #104704=LINE('',#574665,#143621); #104705=LINE('',#574671,#143622); #104706=LINE('',#574677,#143623); #104707=LINE('',#574683,#143624); #104708=LINE('',#574689,#143625); #104709=LINE('',#574695,#143626); #104710=LINE('',#574701,#143627); #104711=LINE('',#574707,#143628); #104712=LINE('',#574713,#143629); #104713=LINE('',#574719,#143630); #104714=LINE('',#574725,#143631); #104715=LINE('',#574731,#143632); #104716=LINE('',#574737,#143633); #104717=LINE('',#574743,#143634); #104718=LINE('',#574749,#143635); #104719=LINE('',#574755,#143636); #104720=LINE('',#574761,#143637); #104721=LINE('',#574767,#143638); #104722=LINE('',#574773,#143639); #104723=LINE('',#574779,#143640); #104724=LINE('',#574785,#143641); #104725=LINE('',#574791,#143642); #104726=LINE('',#574797,#143643); #104727=LINE('',#574803,#143644); #104728=LINE('',#574809,#143645); #104729=LINE('',#574815,#143646); #104730=LINE('',#574821,#143647); #104731=LINE('',#574827,#143648); #104732=LINE('',#574833,#143649); #104733=LINE('',#574839,#143650); #104734=LINE('',#574845,#143651); #104735=LINE('',#574851,#143652); #104736=LINE('',#574857,#143653); #104737=LINE('',#574863,#143654); #104738=LINE('',#574869,#143655); #104739=LINE('',#574875,#143656); #104740=LINE('',#574881,#143657); #104741=LINE('',#574887,#143658); #104742=LINE('',#574893,#143659); #104743=LINE('',#574899,#143660); #104744=LINE('',#574905,#143661); #104745=LINE('',#574911,#143662); #104746=LINE('',#574917,#143663); #104747=LINE('',#574923,#143664); #104748=LINE('',#574929,#143665); #104749=LINE('',#574935,#143666); #104750=LINE('',#574941,#143667); #104751=LINE('',#574947,#143668); #104752=LINE('',#574953,#143669); #104753=LINE('',#574959,#143670); #104754=LINE('',#574965,#143671); #104755=LINE('',#574971,#143672); #104756=LINE('',#574977,#143673); #104757=LINE('',#574983,#143674); #104758=LINE('',#574989,#143675); #104759=LINE('',#574995,#143676); #104760=LINE('',#575001,#143677); #104761=LINE('',#575007,#143678); #104762=LINE('',#575013,#143679); #104763=LINE('',#575019,#143680); #104764=LINE('',#575025,#143681); #104765=LINE('',#575031,#143682); #104766=LINE('',#575037,#143683); #104767=LINE('',#575043,#143684); #104768=LINE('',#575049,#143685); #104769=LINE('',#575055,#143686); #104770=LINE('',#575061,#143687); #104771=LINE('',#575067,#143688); #104772=LINE('',#575073,#143689); #104773=LINE('',#575079,#143690); #104774=LINE('',#575085,#143691); #104775=LINE('',#575091,#143692); #104776=LINE('',#575097,#143693); #104777=LINE('',#575103,#143694); #104778=LINE('',#575109,#143695); #104779=LINE('',#575115,#143696); #104780=LINE('',#575121,#143697); #104781=LINE('',#575127,#143698); #104782=LINE('',#575133,#143699); #104783=LINE('',#575139,#143700); #104784=LINE('',#575145,#143701); #104785=LINE('',#575151,#143702); #104786=LINE('',#575157,#143703); #104787=LINE('',#575163,#143704); #104788=LINE('',#575169,#143705); #104789=LINE('',#575175,#143706); #104790=LINE('',#575181,#143707); #104791=LINE('',#575187,#143708); #104792=LINE('',#575193,#143709); #104793=LINE('',#575199,#143710); #104794=LINE('',#575205,#143711); #104795=LINE('',#575211,#143712); #104796=LINE('',#575221,#143713); #104797=LINE('',#575223,#143714); #104798=LINE('',#575225,#143715); #104799=LINE('',#575226,#143716); #104800=LINE('',#575229,#143717); #104801=LINE('',#575231,#143718); #104802=LINE('',#575232,#143719); #104803=LINE('',#575235,#143720); #104804=LINE('',#575237,#143721); #104805=LINE('',#575239,#143722); #104806=LINE('',#575241,#143723); #104807=LINE('',#575243,#143724); #104808=LINE('',#575245,#143725); #104809=LINE('',#575247,#143726); #104810=LINE('',#575249,#143727); #104811=LINE('',#575251,#143728); #104812=LINE('',#575253,#143729); #104813=LINE('',#575255,#143730); #104814=LINE('',#575257,#143731); #104815=LINE('',#575259,#143732); #104816=LINE('',#575261,#143733); #104817=LINE('',#575263,#143734); #104818=LINE('',#575265,#143735); #104819=LINE('',#575267,#143736); #104820=LINE('',#575269,#143737); #104821=LINE('',#575271,#143738); #104822=LINE('',#575273,#143739); #104823=LINE('',#575275,#143740); #104824=LINE('',#575277,#143741); #104825=LINE('',#575279,#143742); #104826=LINE('',#575280,#143743); #104827=LINE('',#575283,#143744); #104828=LINE('',#575284,#143745); #104829=LINE('',#575287,#143746); #104830=LINE('',#575289,#143747); #104831=LINE('',#575290,#143748); #104832=LINE('',#575293,#143749); #104833=LINE('',#575295,#143750); #104834=LINE('',#575297,#143751); #104835=LINE('',#575299,#143752); #104836=LINE('',#575300,#143753); #104837=LINE('',#575303,#143754); #104838=LINE('',#575304,#143755); #104839=LINE('',#575306,#143756); #104840=LINE('',#575308,#143757); #104841=LINE('',#575309,#143758); #104842=LINE('',#575312,#143759); #104843=LINE('',#575314,#143760); #104844=LINE('',#575316,#143761); #104845=LINE('',#575317,#143762); #104846=LINE('',#575320,#143763); #104847=LINE('',#575322,#143764); #104848=LINE('',#575324,#143765); #104849=LINE('',#575325,#143766); #104850=LINE('',#575328,#143767); #104851=LINE('',#575330,#143768); #104852=LINE('',#575332,#143769); #104853=LINE('',#575333,#143770); #104854=LINE('',#575336,#143771); #104855=LINE('',#575338,#143772); #104856=LINE('',#575340,#143773); #104857=LINE('',#575341,#143774); #104858=LINE('',#575345,#143775); #104859=LINE('',#575346,#143776); #104860=LINE('',#575347,#143777); #104861=LINE('',#575350,#143778); #104862=LINE('',#575352,#143779); #104863=LINE('',#575354,#143780); #104864=LINE('',#575355,#143781); #104865=LINE('',#575359,#143782); #104866=LINE('',#575360,#143783); #104867=LINE('',#575361,#143784); #104868=LINE('',#575364,#143785); #104869=LINE('',#575366,#143786); #104870=LINE('',#575368,#143787); #104871=LINE('',#575369,#143788); #104872=LINE('',#575373,#143789); #104873=LINE('',#575374,#143790); #104874=LINE('',#575375,#143791); #104875=LINE('',#575378,#143792); #104876=LINE('',#575380,#143793); #104877=LINE('',#575382,#143794); #104878=LINE('',#575383,#143795); #104879=LINE('',#575387,#143796); #104880=LINE('',#575388,#143797); #104881=LINE('',#575389,#143798); #104882=LINE('',#575393,#143799); #104883=LINE('',#575394,#143800); #104884=LINE('',#575395,#143801); #104885=LINE('',#575399,#143802); #104886=LINE('',#575400,#143803); #104887=LINE('',#575401,#143804); #104888=LINE('',#575404,#143805); #104889=LINE('',#575406,#143806); #104890=LINE('',#575408,#143807); #104891=LINE('',#575409,#143808); #104892=LINE('',#575412,#143809); #104893=LINE('',#575414,#143810); #104894=LINE('',#575415,#143811); #104895=LINE('',#575418,#143812); #104896=LINE('',#575420,#143813); #104897=LINE('',#575421,#143814); #104898=LINE('',#575422,#143815); #104899=LINE('',#575423,#143816); #104900=LINE('',#575424,#143817); #104901=LINE('',#575425,#143818); #104902=LINE('',#575427,#143819); #104903=LINE('',#575429,#143820); #104904=LINE('',#575430,#143821); #104905=LINE('',#575431,#143822); #104906=LINE('',#575432,#143823); #104907=LINE('',#575434,#143824); #104908=LINE('',#575435,#143825); #104909=LINE('',#575440,#143826); #104910=LINE('',#575442,#143827); #104911=LINE('',#575444,#143828); #104912=LINE('',#575445,#143829); #104913=LINE('',#575447,#143830); #104914=LINE('',#575449,#143831); #104915=LINE('',#575451,#143832); #104916=LINE('',#575458,#143833); #104917=LINE('',#575460,#143834); #104918=LINE('',#575462,#143835); #104919=LINE('',#575463,#143836); #104920=LINE('',#575466,#143837); #104921=LINE('',#575468,#143838); #104922=LINE('',#575469,#143839); #104923=LINE('',#575472,#143840); #104924=LINE('',#575474,#143841); #104925=LINE('',#575475,#143842); #104926=LINE('',#575477,#143843); #104927=LINE('',#575479,#143844); #104928=LINE('',#575482,#143845); #104929=LINE('',#575484,#143846); #104930=LINE('',#575485,#143847); #104931=LINE('',#575488,#143848); #104932=LINE('',#575490,#143849); #104933=LINE('',#575491,#143850); #104934=LINE('',#575493,#143851); #104935=LINE('',#575495,#143852); #104936=LINE('',#575499,#143853); #104937=LINE('',#575501,#143854); #104938=LINE('',#575503,#143855); #104939=LINE('',#575504,#143856); #104940=LINE('',#575506,#143857); #104941=LINE('',#575507,#143858); #104942=LINE('',#575509,#143859); #104943=LINE('',#575511,#143860); #104944=LINE('',#575514,#143861); #104945=LINE('',#575515,#143862); #104946=LINE('',#575517,#143863); #104947=LINE('',#575519,#143864); #104948=LINE('',#575525,#143865); #104949=LINE('',#575527,#143866); #104950=LINE('',#575532,#143867); #104951=LINE('',#575534,#143868); #104952=LINE('',#575536,#143869); #104953=LINE('',#575537,#143870); #104954=LINE('',#575540,#143871); #104955=LINE('',#575542,#143872); #104956=LINE('',#575543,#143873); #104957=LINE('',#575546,#143874); #104958=LINE('',#575548,#143875); #104959=LINE('',#575549,#143876); #104960=LINE('',#575551,#143877); #104961=LINE('',#575553,#143878); #104962=LINE('',#575556,#143879); #104963=LINE('',#575558,#143880); #104964=LINE('',#575559,#143881); #104965=LINE('',#575562,#143882); #104966=LINE('',#575564,#143883); #104967=LINE('',#575565,#143884); #104968=LINE('',#575567,#143885); #104969=LINE('',#575569,#143886); #104970=LINE('',#575573,#143887); #104971=LINE('',#575575,#143888); #104972=LINE('',#575577,#143889); #104973=LINE('',#575578,#143890); #104974=LINE('',#575582,#143891); #104975=LINE('',#575584,#143892); #104976=LINE('',#575586,#143893); #104977=LINE('',#575587,#143894); #104978=LINE('',#575590,#143895); #104979=LINE('',#575592,#143896); #104980=LINE('',#575593,#143897); #104981=LINE('',#575596,#143898); #104982=LINE('',#575598,#143899); #104983=LINE('',#575599,#143900); #104984=LINE('',#575601,#143901); #104985=LINE('',#575603,#143902); #104986=LINE('',#575606,#143903); #104987=LINE('',#575608,#143904); #104988=LINE('',#575609,#143905); #104989=LINE('',#575612,#143906); #104990=LINE('',#575614,#143907); #104991=LINE('',#575615,#143908); #104992=LINE('',#575617,#143909); #104993=LINE('',#575619,#143910); #104994=LINE('',#575623,#143911); #104995=LINE('',#575625,#143912); #104996=LINE('',#575627,#143913); #104997=LINE('',#575628,#143914); #104998=LINE('',#575632,#143915); #104999=LINE('',#575634,#143916); #105000=LINE('',#575636,#143917); #105001=LINE('',#575637,#143918); #105002=LINE('',#575640,#143919); #105003=LINE('',#575642,#143920); #105004=LINE('',#575643,#143921); #105005=LINE('',#575646,#143922); #105006=LINE('',#575648,#143923); #105007=LINE('',#575649,#143924); #105008=LINE('',#575651,#143925); #105009=LINE('',#575653,#143926); #105010=LINE('',#575656,#143927); #105011=LINE('',#575658,#143928); #105012=LINE('',#575659,#143929); #105013=LINE('',#575662,#143930); #105014=LINE('',#575664,#143931); #105015=LINE('',#575665,#143932); #105016=LINE('',#575667,#143933); #105017=LINE('',#575669,#143934); #105018=LINE('',#575673,#143935); #105019=LINE('',#575675,#143936); #105020=LINE('',#575677,#143937); #105021=LINE('',#575678,#143938); #105022=LINE('',#575680,#143939); #105023=LINE('',#575681,#143940); #105024=LINE('',#575683,#143941); #105025=LINE('',#575685,#143942); #105026=LINE('',#575688,#143943); #105027=LINE('',#575689,#143944); #105028=LINE('',#575691,#143945); #105029=LINE('',#575693,#143946); #105030=LINE('',#575696,#143947); #105031=LINE('',#575697,#143948); #105032=LINE('',#575699,#143949); #105033=LINE('',#575701,#143950); #105034=LINE('',#575704,#143951); #105035=LINE('',#575705,#143952); #105036=LINE('',#575707,#143953); #105037=LINE('',#575709,#143954); #105038=LINE('',#575712,#143955); #105039=LINE('',#575713,#143956); #105040=LINE('',#575715,#143957); #105041=LINE('',#575717,#143958); #105042=LINE('',#575720,#143959); #105043=LINE('',#575721,#143960); #105044=LINE('',#575723,#143961); #105045=LINE('',#575725,#143962); #105046=LINE('',#575769,#143963); #105047=LINE('',#575770,#143964); #105048=LINE('',#575786,#143965); #105049=LINE('',#575787,#143966); #105050=LINE('',#575789,#143967); #105051=LINE('',#575790,#143968); #105052=LINE('',#575799,#143969); #105053=LINE('',#575800,#143970); #105054=LINE('',#575802,#143971); #105055=LINE('',#575803,#143972); #105056=LINE('',#575805,#143973); #105057=LINE('',#575806,#143974); #105058=LINE('',#575808,#143975); #105059=LINE('',#575809,#143976); #105060=LINE('',#575811,#143977); #105061=LINE('',#575812,#143978); #105062=LINE('',#575814,#143979); #105063=LINE('',#575815,#143980); #105064=LINE('',#575817,#143981); #105065=LINE('',#575818,#143982); #105066=LINE('',#575820,#143983); #105067=LINE('',#575821,#143984); #105068=LINE('',#575823,#143985); #105069=LINE('',#575824,#143986); #105070=LINE('',#575867,#143987); #105071=LINE('',#575868,#143988); #105072=LINE('',#575884,#143989); #105073=LINE('',#575885,#143990); #105074=LINE('',#575887,#143991); #105075=LINE('',#575888,#143992); #105076=LINE('',#575897,#143993); #105077=LINE('',#575898,#143994); #105078=LINE('',#575900,#143995); #105079=LINE('',#575901,#143996); #105080=LINE('',#575903,#143997); #105081=LINE('',#575904,#143998); #105082=LINE('',#575906,#143999); #105083=LINE('',#575907,#144000); #105084=LINE('',#575909,#144001); #105085=LINE('',#575910,#144002); #105086=LINE('',#575912,#144003); #105087=LINE('',#575913,#144004); #105088=LINE('',#575915,#144005); #105089=LINE('',#575916,#144006); #105090=LINE('',#575918,#144007); #105091=LINE('',#575919,#144008); #105092=LINE('',#575921,#144009); #105093=LINE('',#575922,#144010); #105094=LINE('',#575932,#144011); #105095=LINE('',#575934,#144012); #105096=LINE('',#575936,#144013); #105097=LINE('',#575937,#144014); #105098=LINE('',#575940,#144015); #105099=LINE('',#575942,#144016); #105100=LINE('',#575943,#144017); #105101=LINE('',#575946,#144018); #105102=LINE('',#575948,#144019); #105103=LINE('',#575949,#144020); #105104=LINE('',#575951,#144021); #105105=LINE('',#575952,#144022); #105106=LINE('',#575958,#144023); #105107=LINE('',#575960,#144024); #105108=LINE('',#575962,#144025); #105109=LINE('',#575963,#144026); #105110=LINE('',#575967,#144027); #105111=LINE('',#575969,#144028); #105112=LINE('',#575971,#144029); #105113=LINE('',#575972,#144030); #105114=LINE('',#575974,#144031); #105115=LINE('',#575975,#144032); #105116=LINE('',#575977,#144033); #105117=LINE('',#575979,#144034); #105118=LINE('',#575984,#144035); #105119=LINE('',#575986,#144036); #105120=LINE('',#575988,#144037); #105121=LINE('',#575989,#144038); #105122=LINE('',#575992,#144039); #105123=LINE('',#575994,#144040); #105124=LINE('',#575995,#144041); #105125=LINE('',#575998,#144042); #105126=LINE('',#576000,#144043); #105127=LINE('',#576001,#144044); #105128=LINE('',#576003,#144045); #105129=LINE('',#576004,#144046); #105130=LINE('',#576039,#144047); #105131=LINE('',#576041,#144048); #105132=LINE('',#576043,#144049); #105133=LINE('',#576045,#144050); #105134=LINE('',#576047,#144051); #105135=LINE('',#576049,#144052); #105136=LINE('',#576051,#144053); #105137=LINE('',#576053,#144054); #105138=LINE('',#576055,#144055); #105139=LINE('',#576057,#144056); #105140=LINE('',#576059,#144057); #105141=LINE('',#576061,#144058); #105142=LINE('',#576063,#144059); #105143=LINE('',#576065,#144060); #105144=LINE('',#576067,#144061); #105145=LINE('',#576068,#144062); #105146=LINE('',#576072,#144063); #105147=LINE('',#576074,#144064); #105148=LINE('',#576076,#144065); #105149=LINE('',#576077,#144066); #105150=LINE('',#576083,#144067); #105151=LINE('',#576087,#144068); #105152=LINE('',#576091,#144069); #105153=LINE('',#576094,#144070); #105154=LINE('',#576100,#144071); #105155=LINE('',#576104,#144072); #105156=LINE('',#576108,#144073); #105157=LINE('',#576111,#144074); #105158=LINE('',#576113,#144075); #105159=LINE('',#576114,#144076); #105160=LINE('',#576116,#144077); #105161=LINE('',#576117,#144078); #105162=LINE('',#576121,#144079); #105163=LINE('',#576123,#144080); #105164=LINE('',#576125,#144081); #105165=LINE('',#576127,#144082); #105166=LINE('',#576129,#144083); #105167=LINE('',#576131,#144084); #105168=LINE('',#576133,#144085); #105169=LINE('',#576135,#144086); #105170=LINE('',#576137,#144087); #105171=LINE('',#576139,#144088); #105172=LINE('',#576141,#144089); #105173=LINE('',#576143,#144090); #105174=LINE('',#576145,#144091); #105175=LINE('',#576147,#144092); #105176=LINE('',#576149,#144093); #105177=LINE('',#576150,#144094); #105178=LINE('',#576153,#144095); #105179=LINE('',#576155,#144096); #105180=LINE('',#576156,#144097); #105181=LINE('',#576160,#144098); #105182=LINE('',#576162,#144099); #105183=LINE('',#576164,#144100); #105184=LINE('',#576166,#144101); #105185=LINE('',#576168,#144102); #105186=LINE('',#576170,#144103); #105187=LINE('',#576172,#144104); #105188=LINE('',#576174,#144105); #105189=LINE('',#576176,#144106); #105190=LINE('',#576178,#144107); #105191=LINE('',#576180,#144108); #105192=LINE('',#576182,#144109); #105193=LINE('',#576184,#144110); #105194=LINE('',#576186,#144111); #105195=LINE('',#576188,#144112); #105196=LINE('',#576190,#144113); #105197=LINE('',#576192,#144114); #105198=LINE('',#576194,#144115); #105199=LINE('',#576195,#144116); #105200=LINE('',#576198,#144117); #105201=LINE('',#576202,#144118); #105202=LINE('',#576204,#144119); #105203=LINE('',#576205,#144120); #105204=LINE('',#576210,#144121); #105205=LINE('',#576212,#144122); #105206=LINE('',#576214,#144123); #105207=LINE('',#576215,#144124); #105208=LINE('',#576225,#144125); #105209=LINE('',#576228,#144126); #105210=LINE('',#576238,#144127); #105211=LINE('',#576240,#144128); #105212=LINE('',#576242,#144129); #105213=LINE('',#576243,#144130); #105214=LINE('',#576247,#144131); #105215=LINE('',#576249,#144132); #105216=LINE('',#576251,#144133); #105217=LINE('',#576252,#144134); #105218=LINE('',#576256,#144135); #105219=LINE('',#576258,#144136); #105220=LINE('',#576260,#144137); #105221=LINE('',#576261,#144138); #105222=LINE('',#576265,#144139); #105223=LINE('',#576267,#144140); #105224=LINE('',#576269,#144141); #105225=LINE('',#576270,#144142); #105226=LINE('',#576274,#144143); #105227=LINE('',#576276,#144144); #105228=LINE('',#576278,#144145); #105229=LINE('',#576279,#144146); #105230=LINE('',#576283,#144147); #105231=LINE('',#576285,#144148); #105232=LINE('',#576287,#144149); #105233=LINE('',#576288,#144150); #105234=LINE('',#576292,#144151); #105235=LINE('',#576294,#144152); #105236=LINE('',#576296,#144153); #105237=LINE('',#576297,#144154); #105238=LINE('',#576301,#144155); #105239=LINE('',#576303,#144156); #105240=LINE('',#576305,#144157); #105241=LINE('',#576306,#144158); #105242=LINE('',#576310,#144159); #105243=LINE('',#576312,#144160); #105244=LINE('',#576314,#144161); #105245=LINE('',#576315,#144162); #105246=LINE('',#576319,#144163); #105247=LINE('',#576321,#144164); #105248=LINE('',#576323,#144165); #105249=LINE('',#576324,#144166); #105250=LINE('',#576328,#144167); #105251=LINE('',#576330,#144168); #105252=LINE('',#576332,#144169); #105253=LINE('',#576333,#144170); #105254=LINE('',#576337,#144171); #105255=LINE('',#576339,#144172); #105256=LINE('',#576341,#144173); #105257=LINE('',#576342,#144174); #105258=LINE('',#576345,#144175); #105259=LINE('',#576347,#144176); #105260=LINE('',#576348,#144177); #105261=LINE('',#576351,#144178); #105262=LINE('',#576353,#144179); #105263=LINE('',#576354,#144180); #105264=LINE('',#576358,#144181); #105265=LINE('',#576360,#144182); #105266=LINE('',#576362,#144183); #105267=LINE('',#576363,#144184); #105268=LINE('',#576365,#144185); #105269=LINE('',#576366,#144186); #105270=LINE('',#576369,#144187); #105271=LINE('',#576371,#144188); #105272=LINE('',#576372,#144189); #105273=LINE('',#576375,#144190); #105274=LINE('',#576377,#144191); #105275=LINE('',#576378,#144192); #105276=LINE('',#576382,#144193); #105277=LINE('',#576384,#144194); #105278=LINE('',#576386,#144195); #105279=LINE('',#576387,#144196); #105280=LINE('',#576389,#144197); #105281=LINE('',#576390,#144198); #105282=LINE('',#576393,#144199); #105283=LINE('',#576395,#144200); #105284=LINE('',#576396,#144201); #105285=LINE('',#576399,#144202); #105286=LINE('',#576401,#144203); #105287=LINE('',#576402,#144204); #105288=LINE('',#576406,#144205); #105289=LINE('',#576408,#144206); #105290=LINE('',#576410,#144207); #105291=LINE('',#576411,#144208); #105292=LINE('',#576413,#144209); #105293=LINE('',#576414,#144210); #105294=LINE('',#576417,#144211); #105295=LINE('',#576419,#144212); #105296=LINE('',#576420,#144213); #105297=LINE('',#576423,#144214); #105298=LINE('',#576425,#144215); #105299=LINE('',#576426,#144216); #105300=LINE('',#576430,#144217); #105301=LINE('',#576432,#144218); #105302=LINE('',#576434,#144219); #105303=LINE('',#576435,#144220); #105304=LINE('',#576437,#144221); #105305=LINE('',#576438,#144222); #105306=LINE('',#576441,#144223); #105307=LINE('',#576443,#144224); #105308=LINE('',#576444,#144225); #105309=LINE('',#576447,#144226); #105310=LINE('',#576449,#144227); #105311=LINE('',#576450,#144228); #105312=LINE('',#576454,#144229); #105313=LINE('',#576456,#144230); #105314=LINE('',#576458,#144231); #105315=LINE('',#576459,#144232); #105316=LINE('',#576461,#144233); #105317=LINE('',#576462,#144234); #105318=LINE('',#576465,#144235); #105319=LINE('',#576467,#144236); #105320=LINE('',#576468,#144237); #105321=LINE('',#576471,#144238); #105322=LINE('',#576473,#144239); #105323=LINE('',#576474,#144240); #105324=LINE('',#576478,#144241); #105325=LINE('',#576480,#144242); #105326=LINE('',#576482,#144243); #105327=LINE('',#576483,#144244); #105328=LINE('',#576485,#144245); #105329=LINE('',#576486,#144246); #105330=LINE('',#576489,#144247); #105331=LINE('',#576491,#144248); #105332=LINE('',#576492,#144249); #105333=LINE('',#576495,#144250); #105334=LINE('',#576497,#144251); #105335=LINE('',#576498,#144252); #105336=LINE('',#576501,#144253); #105337=LINE('',#576503,#144254); #105338=LINE('',#576504,#144255); #105339=LINE('',#576507,#144256); #105340=LINE('',#576509,#144257); #105341=LINE('',#576510,#144258); #105342=LINE('',#576514,#144259); #105343=LINE('',#576516,#144260); #105344=LINE('',#576518,#144261); #105345=LINE('',#576519,#144262); #105346=LINE('',#576521,#144263); #105347=LINE('',#576522,#144264); #105348=LINE('',#576525,#144265); #105349=LINE('',#576527,#144266); #105350=LINE('',#576528,#144267); #105351=LINE('',#576531,#144268); #105352=LINE('',#576533,#144269); #105353=LINE('',#576534,#144270); #105354=LINE('',#576538,#144271); #105355=LINE('',#576540,#144272); #105356=LINE('',#576542,#144273); #105357=LINE('',#576543,#144274); #105358=LINE('',#576545,#144275); #105359=LINE('',#576546,#144276); #105360=LINE('',#576549,#144277); #105361=LINE('',#576551,#144278); #105362=LINE('',#576552,#144279); #105363=LINE('',#576555,#144280); #105364=LINE('',#576557,#144281); #105365=LINE('',#576558,#144282); #105366=LINE('',#576562,#144283); #105367=LINE('',#576564,#144284); #105368=LINE('',#576566,#144285); #105369=LINE('',#576567,#144286); #105370=LINE('',#576569,#144287); #105371=LINE('',#576570,#144288); #105372=LINE('',#576573,#144289); #105373=LINE('',#576575,#144290); #105374=LINE('',#576576,#144291); #105375=LINE('',#576579,#144292); #105376=LINE('',#576581,#144293); #105377=LINE('',#576582,#144294); #105378=LINE('',#576586,#144295); #105379=LINE('',#576588,#144296); #105380=LINE('',#576590,#144297); #105381=LINE('',#576591,#144298); #105382=LINE('',#576593,#144299); #105383=LINE('',#576594,#144300); #105384=LINE('',#576597,#144301); #105385=LINE('',#576599,#144302); #105386=LINE('',#576600,#144303); #105387=LINE('',#576603,#144304); #105388=LINE('',#576605,#144305); #105389=LINE('',#576606,#144306); #105390=LINE('',#576610,#144307); #105391=LINE('',#576612,#144308); #105392=LINE('',#576614,#144309); #105393=LINE('',#576615,#144310); #105394=LINE('',#576617,#144311); #105395=LINE('',#576618,#144312); #105396=LINE('',#576621,#144313); #105397=LINE('',#576623,#144314); #105398=LINE('',#576624,#144315); #105399=LINE('',#576627,#144316); #105400=LINE('',#576629,#144317); #105401=LINE('',#576630,#144318); #105402=LINE('',#576634,#144319); #105403=LINE('',#576636,#144320); #105404=LINE('',#576637,#144321); #105405=LINE('',#576638,#144322); #105406=LINE('',#576641,#144323); #105407=LINE('',#576643,#144324); #105408=LINE('',#576645,#144325); #105409=LINE('',#576647,#144326); #105410=LINE('',#576648,#144327); #105411=LINE('',#576651,#144328); #105412=LINE('',#576652,#144329); #105413=LINE('',#576653,#144330); #105414=LINE('',#576655,#144331); #105415=LINE('',#576656,#144332); #105416=LINE('',#576657,#144333); #105417=LINE('',#576658,#144334); #105418=LINE('',#576659,#144335); #105419=LINE('',#576660,#144336); #105420=LINE('',#576661,#144337); #105421=LINE('',#576662,#144338); #105422=LINE('',#576663,#144339); #105423=LINE('',#576664,#144340); #105424=LINE('',#576665,#144341); #105425=LINE('',#576666,#144342); #105426=LINE('',#576667,#144343); #105427=LINE('',#576670,#144344); #105428=LINE('',#576672,#144345); #105429=LINE('',#576674,#144346); #105430=LINE('',#576676,#144347); #105431=LINE('',#576677,#144348); #105432=LINE('',#576680,#144349); #105433=LINE('',#576682,#144350); #105434=LINE('',#576684,#144351); #105435=LINE('',#576686,#144352); #105436=LINE('',#576687,#144353); #105437=LINE('',#576691,#144354); #105438=LINE('',#576695,#144355); #105439=LINE('',#576699,#144356); #105440=LINE('',#576701,#144357); #105441=LINE('',#576702,#144358); #105442=LINE('',#576706,#144359); #105443=LINE('',#576708,#144360); #105444=LINE('',#576710,#144361); #105445=LINE('',#576711,#144362); #105446=LINE('',#576715,#144363); #105447=LINE('',#576717,#144364); #105448=LINE('',#576719,#144365); #105449=LINE('',#576721,#144366); #105450=LINE('',#576723,#144367); #105451=LINE('',#576725,#144368); #105452=LINE('',#576727,#144369); #105453=LINE('',#576729,#144370); #105454=LINE('',#576731,#144371); #105455=LINE('',#576733,#144372); #105456=LINE('',#576735,#144373); #105457=LINE('',#576737,#144374); #105458=LINE('',#576739,#144375); #105459=LINE('',#576740,#144376); #105460=LINE('',#576744,#144377); #105461=LINE('',#576746,#144378); #105462=LINE('',#576748,#144379); #105463=LINE('',#576749,#144380); #105464=LINE('',#576752,#144381); #105465=LINE('',#576754,#144382); #105466=LINE('',#576755,#144383); #105467=LINE('',#576759,#144384); #105468=LINE('',#576761,#144385); #105469=LINE('',#576763,#144386); #105470=LINE('',#576767,#144387); #105471=LINE('',#576771,#144388); #105472=LINE('',#576773,#144389); #105473=LINE('',#576775,#144390); #105474=LINE('',#576779,#144391); #105475=LINE('',#576780,#144392); #105476=LINE('',#576782,#144393); #105477=LINE('',#576783,#144394); #105478=LINE('',#576786,#144395); #105479=LINE('',#576787,#144396); #105480=LINE('',#576789,#144397); #105481=LINE('',#576791,#144398); #105482=LINE('',#576793,#144399); #105483=LINE('',#576797,#144400); #105484=LINE('',#576802,#144401); #105485=LINE('',#576804,#144402); #105486=LINE('',#576806,#144403); #105487=LINE('',#576807,#144404); #105488=LINE('',#576809,#144405); #105489=LINE('',#576810,#144406); #105490=LINE('',#576812,#144407); #105491=LINE('',#576813,#144408); #105492=LINE('',#576815,#144409); #105493=LINE('',#576816,#144410); #105494=LINE('',#576821,#144411); #105495=LINE('',#576825,#144412); #105496=LINE('',#576827,#144413); #105497=LINE('',#576828,#144414); #105498=LINE('',#576829,#144415); #105499=LINE('',#576835,#144416); #105500=LINE('',#576838,#144417); #105501=LINE('',#576839,#144418); #105502=LINE('',#576840,#144419); #105503=LINE('',#576843,#144420); #105504=LINE('',#576844,#144421); #105505=LINE('',#576846,#144422); #105506=LINE('',#576848,#144423); #105507=LINE('',#576850,#144424); #105508=LINE('',#576851,#144425); #105509=LINE('',#576853,#144426); #105510=LINE('',#576855,#144427); #105511=LINE('',#576857,#144428); #105512=LINE('',#576858,#144429); #105513=LINE('',#576863,#144430); #105514=LINE('',#576867,#144431); #105515=LINE('',#576871,#144432); #105516=LINE('',#576873,#144433); #105517=LINE('',#576874,#144434); #105518=LINE('',#576878,#144435); #105519=LINE('',#576881,#144436); #105520=LINE('',#576885,#144437); #105521=LINE('',#576887,#144438); #105522=LINE('',#576889,#144439); #105523=LINE('',#576890,#144440); #105524=LINE('',#576892,#144441); #105525=LINE('',#576894,#144442); #105526=LINE('',#576895,#144443); #105527=LINE('',#576899,#144444); #105528=LINE('',#576903,#144445); #105529=LINE('',#576907,#144446); #105530=LINE('',#576911,#144447); #105531=LINE('',#576915,#144448); #105532=LINE('',#576917,#144449); #105533=LINE('',#576919,#144450); #105534=LINE('',#576920,#144451); #105535=LINE('',#576923,#144452); #105536=LINE('',#576925,#144453); #105537=LINE('',#576926,#144454); #105538=LINE('',#576929,#144455); #105539=LINE('',#576931,#144456); #105540=LINE('',#576932,#144457); #105541=LINE('',#576938,#144458); #105542=LINE('',#576941,#144459); #105543=LINE('',#576943,#144460); #105544=LINE('',#576944,#144461); #105545=LINE('',#576947,#144462); #105546=LINE('',#576949,#144463); #105547=LINE('',#576950,#144464); #105548=LINE('',#576954,#144465); #105549=LINE('',#576956,#144466); #105550=LINE('',#576958,#144467); #105551=LINE('',#576959,#144468); #105552=LINE('',#576963,#144469); #105553=LINE('',#576965,#144470); #105554=LINE('',#576967,#144471); #105555=LINE('',#576968,#144472); #105556=LINE('',#576971,#144473); #105557=LINE('',#576973,#144474); #105558=LINE('',#576974,#144475); #105559=LINE('',#576980,#144476); #105560=LINE('',#576982,#144477); #105561=LINE('',#576983,#144478); #105562=LINE('',#576986,#144479); #105563=LINE('',#576988,#144480); #105564=LINE('',#576989,#144481); #105565=LINE('',#576991,#144482); #105566=LINE('',#576992,#144483); #105567=LINE('',#576994,#144484); #105568=LINE('',#576996,#144485); #105569=LINE('',#576998,#144486); #105570=LINE('',#577000,#144487); #105571=LINE('',#577002,#144488); #105572=LINE('',#577004,#144489); #105573=LINE('',#577007,#144490); #105574=LINE('',#577009,#144491); #105575=LINE('',#577011,#144492); #105576=LINE('',#577014,#144493); #105577=LINE('',#577025,#144494); #105578=LINE('',#577027,#144495); #105579=LINE('',#577030,#144496); #105580=LINE('',#577032,#144497); #105581=LINE('',#577035,#144498); #105582=LINE('',#577038,#144499); #105583=LINE('',#577040,#144500); #105584=LINE('',#577041,#144501); #105585=LINE('',#577042,#144502); #105586=LINE('',#577044,#144503); #105587=LINE('',#577048,#144504); #105588=LINE('',#577050,#144505); #105589=LINE('',#577052,#144506); #105590=LINE('',#577053,#144507); #105591=LINE('',#577055,#144508); #105592=LINE('',#577056,#144509); #105593=LINE('',#577060,#144510); #105594=LINE('',#577062,#144511); #105595=LINE('',#577064,#144512); #105596=LINE('',#577065,#144513); #105597=LINE('',#577067,#144514); #105598=LINE('',#577068,#144515); #105599=LINE('',#577070,#144516); #105600=LINE('',#577073,#144517); #105601=LINE('',#577075,#144518); #105602=LINE('',#577076,#144519); #105603=LINE('',#577077,#144520); #105604=LINE('',#577079,#144521); #105605=LINE('',#577082,#144522); #105606=LINE('',#577084,#144523); #105607=LINE('',#577087,#144524); #105608=LINE('',#577090,#144525); #105609=LINE('',#577091,#144526); #105610=LINE('',#577094,#144527); #105611=LINE('',#577096,#144528); #105612=LINE('',#577097,#144529); #105613=LINE('',#577099,#144530); #105614=LINE('',#577100,#144531); #105615=LINE('',#577102,#144532); #105616=LINE('',#577104,#144533); #105617=LINE('',#577112,#144534); #105618=LINE('',#577119,#144535); #105619=LINE('',#577125,#144536); #105620=LINE('',#577127,#144537); #105621=LINE('',#577131,#144538); #105622=LINE('',#577132,#144539); #105623=LINE('',#577147,#144540); #105624=LINE('',#577150,#144541); #105625=LINE('',#577152,#144542); #105626=LINE('',#577155,#144543); #105627=LINE('',#577156,#144544); #105628=LINE('',#577157,#144545); #105629=LINE('',#577158,#144546); #105630=LINE('',#577159,#144547); #105631=LINE('',#577160,#144548); #105632=LINE('',#577161,#144549); #105633=LINE('',#577162,#144550); #105634=LINE('',#577163,#144551); #105635=LINE('',#577164,#144552); #105636=LINE('',#577165,#144553); #105637=LINE('',#577166,#144554); #105638=LINE('',#577168,#144555); #105639=LINE('',#577171,#144556); #105640=LINE('',#577174,#144557); #105641=LINE('',#577177,#144558); #105642=LINE('',#577180,#144559); #105643=LINE('',#577183,#144560); #105644=LINE('',#577186,#144561); #105645=LINE('',#577189,#144562); #105646=LINE('',#577192,#144563); #105647=LINE('',#577195,#144564); #105648=LINE('',#577198,#144565); #105649=LINE('',#577201,#144566); #105650=LINE('',#577206,#144567); #105651=LINE('',#577208,#144568); #105652=LINE('',#577209,#144569); #105653=LINE('',#577211,#144570); #105654=LINE('',#577212,#144571); #105655=LINE('',#577215,#144572); #105656=LINE('',#577216,#144573); #105657=LINE('',#577218,#144574); #105658=LINE('',#577220,#144575); #105659=LINE('',#577222,#144576); #105660=LINE('',#577223,#144577); #105661=LINE('',#577225,#144578); #105662=LINE('',#577226,#144579); #105663=LINE('',#577228,#144580); #105664=LINE('',#577229,#144581); #105665=LINE('',#577231,#144582); #105666=LINE('',#577234,#144583); #105667=LINE('',#577236,#144584); #105668=LINE('',#577237,#144585); #105669=LINE('',#577239,#144586); #105670=LINE('',#577241,#144587); #105671=LINE('',#577243,#144588); #105672=LINE('',#577245,#144589); #105673=LINE('',#577246,#144590); #105674=LINE('',#577249,#144591); #105675=LINE('',#577251,#144592); #105676=LINE('',#577253,#144593); #105677=LINE('',#577255,#144594); #105678=LINE('',#577257,#144595); #105679=LINE('',#577259,#144596); #105680=LINE('',#577261,#144597); #105681=LINE('',#577263,#144598); #105682=LINE('',#577265,#144599); #105683=LINE('',#577267,#144600); #105684=LINE('',#577269,#144601); #105685=LINE('',#577271,#144602); #105686=LINE('',#577273,#144603); #105687=LINE('',#577275,#144604); #105688=LINE('',#577276,#144605); #105689=LINE('',#577279,#144606); #105690=LINE('',#577280,#144607); #105691=LINE('',#577283,#144608); #105692=LINE('',#577284,#144609); #105693=LINE('',#577286,#144610); #105694=LINE('',#577287,#144611); #105695=LINE('',#577291,#144612); #105696=LINE('',#577292,#144613); #105697=LINE('',#577295,#144614); #105698=LINE('',#577296,#144615); #105699=LINE('',#577298,#144616); #105700=LINE('',#577299,#144617); #105701=LINE('',#577303,#144618); #105702=LINE('',#577304,#144619); #105703=LINE('',#577307,#144620); #105704=LINE('',#577308,#144621); #105705=LINE('',#577311,#144622); #105706=LINE('',#577312,#144623); #105707=LINE('',#577315,#144624); #105708=LINE('',#577316,#144625); #105709=LINE('',#577319,#144626); #105710=LINE('',#577320,#144627); #105711=LINE('',#577323,#144628); #105712=LINE('',#577324,#144629); #105713=LINE('',#577327,#144630); #105714=LINE('',#577328,#144631); #105715=LINE('',#577331,#144632); #105716=LINE('',#577332,#144633); #105717=LINE('',#577335,#144634); #105718=LINE('',#577336,#144635); #105719=LINE('',#577339,#144636); #105720=LINE('',#577340,#144637); #105721=LINE('',#577343,#144638); #105722=LINE('',#577344,#144639); #105723=LINE('',#577347,#144640); #105724=LINE('',#577348,#144641); #105725=LINE('',#577351,#144642); #105726=LINE('',#577352,#144643); #105727=LINE('',#577355,#144644); #105728=LINE('',#577356,#144645); #105729=LINE('',#577358,#144646); #105730=LINE('',#577361,#144647); #105731=LINE('',#577362,#144648); #105732=LINE('',#577365,#144649); #105733=LINE('',#577366,#144650); #105734=LINE('',#577369,#144651); #105735=LINE('',#577370,#144652); #105736=LINE('',#577373,#144653); #105737=LINE('',#577374,#144654); #105738=LINE('',#577377,#144655); #105739=LINE('',#577378,#144656); #105740=LINE('',#577381,#144657); #105741=LINE('',#577382,#144658); #105742=LINE('',#577385,#144659); #105743=LINE('',#577386,#144660); #105744=LINE('',#577389,#144661); #105745=LINE('',#577390,#144662); #105746=LINE('',#577393,#144663); #105747=LINE('',#577394,#144664); #105748=LINE('',#577397,#144665); #105749=LINE('',#577398,#144666); #105750=LINE('',#577401,#144667); #105751=LINE('',#577402,#144668); #105752=LINE('',#577405,#144669); #105753=LINE('',#577406,#144670); #105754=LINE('',#577409,#144671); #105755=LINE('',#577410,#144672); #105756=LINE('',#577413,#144673); #105757=LINE('',#577414,#144674); #105758=LINE('',#577416,#144675); #105759=LINE('',#577419,#144676); #105760=LINE('',#577421,#144677); #105761=LINE('',#577424,#144678); #105762=LINE('',#577426,#144679); #105763=LINE('',#577430,#144680); #105764=LINE('',#577437,#144681); #105765=LINE('',#577439,#144682); #105766=LINE('',#577441,#144683); #105767=LINE('',#577442,#144684); #105768=LINE('',#577445,#144685); #105769=LINE('',#577449,#144686); #105770=LINE('',#577453,#144687); #105771=LINE('',#577455,#144688); #105772=LINE('',#577457,#144689); #105773=LINE('',#577461,#144690); #105774=LINE('',#577464,#144691); #105775=LINE('',#577468,#144692); #105776=LINE('',#577470,#144693); #105777=LINE('',#577472,#144694); #105778=LINE('',#577476,#144695); #105779=LINE('',#577480,#144696); #105780=LINE('',#577482,#144697); #105781=LINE('',#577484,#144698); #105782=LINE('',#577488,#144699); #105783=LINE('',#577492,#144700); #105784=LINE('',#577494,#144701); #105785=LINE('',#577495,#144702); #105786=LINE('',#577499,#144703); #105787=LINE('',#577501,#144704); #105788=LINE('',#577502,#144705); #105789=LINE('',#577504,#144706); #105790=LINE('',#577505,#144707); #105791=LINE('',#577509,#144708); #105792=LINE('',#577510,#144709); #105793=LINE('',#577511,#144710); #105794=LINE('',#577514,#144711); #105795=LINE('',#577515,#144712); #105796=LINE('',#577517,#144713); #105797=LINE('',#577518,#144714); #105798=LINE('',#577520,#144715); #105799=LINE('',#577521,#144716); #105800=LINE('',#577523,#144717); #105801=LINE('',#577524,#144718); #105802=LINE('',#577526,#144719); #105803=LINE('',#577527,#144720); #105804=LINE('',#577529,#144721); #105805=LINE('',#577531,#144722); #105806=LINE('',#577533,#144723); #105807=LINE('',#577536,#144724); #105808=LINE('',#577538,#144725); #105809=LINE('',#577540,#144726); #105810=LINE('',#577548,#144727); #105811=LINE('',#577550,#144728); #105812=LINE('',#577552,#144729); #105813=LINE('',#577553,#144730); #105814=LINE('',#577556,#144731); #105815=LINE('',#577560,#144732); #105816=LINE('',#577564,#144733); #105817=LINE('',#577566,#144734); #105818=LINE('',#577568,#144735); #105819=LINE('',#577572,#144736); #105820=LINE('',#577575,#144737); #105821=LINE('',#577579,#144738); #105822=LINE('',#577581,#144739); #105823=LINE('',#577583,#144740); #105824=LINE('',#577587,#144741); #105825=LINE('',#577591,#144742); #105826=LINE('',#577593,#144743); #105827=LINE('',#577595,#144744); #105828=LINE('',#577599,#144745); #105829=LINE('',#577603,#144746); #105830=LINE('',#577605,#144747); #105831=LINE('',#577606,#144748); #105832=LINE('',#577610,#144749); #105833=LINE('',#577612,#144750); #105834=LINE('',#577613,#144751); #105835=LINE('',#577615,#144752); #105836=LINE('',#577616,#144753); #105837=LINE('',#577620,#144754); #105838=LINE('',#577621,#144755); #105839=LINE('',#577622,#144756); #105840=LINE('',#577625,#144757); #105841=LINE('',#577626,#144758); #105842=LINE('',#577628,#144759); #105843=LINE('',#577629,#144760); #105844=LINE('',#577631,#144761); #105845=LINE('',#577632,#144762); #105846=LINE('',#577634,#144763); #105847=LINE('',#577635,#144764); #105848=LINE('',#577637,#144765); #105849=LINE('',#577638,#144766); #105850=LINE('',#577640,#144767); #105851=LINE('',#577642,#144768); #105852=LINE('',#577644,#144769); #105853=LINE('',#577647,#144770); #105854=LINE('',#577649,#144771); #105855=LINE('',#577651,#144772); #105856=LINE('',#577659,#144773); #105857=LINE('',#577661,#144774); #105858=LINE('',#577663,#144775); #105859=LINE('',#577664,#144776); #105860=LINE('',#577667,#144777); #105861=LINE('',#577671,#144778); #105862=LINE('',#577675,#144779); #105863=LINE('',#577677,#144780); #105864=LINE('',#577679,#144781); #105865=LINE('',#577683,#144782); #105866=LINE('',#577686,#144783); #105867=LINE('',#577690,#144784); #105868=LINE('',#577692,#144785); #105869=LINE('',#577694,#144786); #105870=LINE('',#577698,#144787); #105871=LINE('',#577702,#144788); #105872=LINE('',#577704,#144789); #105873=LINE('',#577706,#144790); #105874=LINE('',#577710,#144791); #105875=LINE('',#577714,#144792); #105876=LINE('',#577716,#144793); #105877=LINE('',#577717,#144794); #105878=LINE('',#577721,#144795); #105879=LINE('',#577723,#144796); #105880=LINE('',#577724,#144797); #105881=LINE('',#577726,#144798); #105882=LINE('',#577727,#144799); #105883=LINE('',#577731,#144800); #105884=LINE('',#577732,#144801); #105885=LINE('',#577733,#144802); #105886=LINE('',#577736,#144803); #105887=LINE('',#577737,#144804); #105888=LINE('',#577739,#144805); #105889=LINE('',#577740,#144806); #105890=LINE('',#577742,#144807); #105891=LINE('',#577743,#144808); #105892=LINE('',#577745,#144809); #105893=LINE('',#577746,#144810); #105894=LINE('',#577748,#144811); #105895=LINE('',#577749,#144812); #105896=LINE('',#577751,#144813); #105897=LINE('',#577753,#144814); #105898=LINE('',#577755,#144815); #105899=LINE('',#577758,#144816); #105900=LINE('',#577760,#144817); #105901=LINE('',#577762,#144818); #105902=LINE('',#577770,#144819); #105903=LINE('',#577772,#144820); #105904=LINE('',#577774,#144821); #105905=LINE('',#577775,#144822); #105906=LINE('',#577778,#144823); #105907=LINE('',#577782,#144824); #105908=LINE('',#577786,#144825); #105909=LINE('',#577788,#144826); #105910=LINE('',#577790,#144827); #105911=LINE('',#577794,#144828); #105912=LINE('',#577797,#144829); #105913=LINE('',#577801,#144830); #105914=LINE('',#577803,#144831); #105915=LINE('',#577805,#144832); #105916=LINE('',#577809,#144833); #105917=LINE('',#577813,#144834); #105918=LINE('',#577815,#144835); #105919=LINE('',#577817,#144836); #105920=LINE('',#577821,#144837); #105921=LINE('',#577825,#144838); #105922=LINE('',#577827,#144839); #105923=LINE('',#577828,#144840); #105924=LINE('',#577832,#144841); #105925=LINE('',#577834,#144842); #105926=LINE('',#577835,#144843); #105927=LINE('',#577837,#144844); #105928=LINE('',#577838,#144845); #105929=LINE('',#577842,#144846); #105930=LINE('',#577843,#144847); #105931=LINE('',#577844,#144848); #105932=LINE('',#577847,#144849); #105933=LINE('',#577848,#144850); #105934=LINE('',#577850,#144851); #105935=LINE('',#577851,#144852); #105936=LINE('',#577853,#144853); #105937=LINE('',#577854,#144854); #105938=LINE('',#577856,#144855); #105939=LINE('',#577857,#144856); #105940=LINE('',#577859,#144857); #105941=LINE('',#577860,#144858); #105942=LINE('',#577862,#144859); #105943=LINE('',#577864,#144860); #105944=LINE('',#577866,#144861); #105945=LINE('',#577869,#144862); #105946=LINE('',#577871,#144863); #105947=LINE('',#577873,#144864); #105948=LINE('',#577881,#144865); #105949=LINE('',#577883,#144866); #105950=LINE('',#577885,#144867); #105951=LINE('',#577886,#144868); #105952=LINE('',#577889,#144869); #105953=LINE('',#577893,#144870); #105954=LINE('',#577897,#144871); #105955=LINE('',#577899,#144872); #105956=LINE('',#577901,#144873); #105957=LINE('',#577905,#144874); #105958=LINE('',#577908,#144875); #105959=LINE('',#577912,#144876); #105960=LINE('',#577914,#144877); #105961=LINE('',#577916,#144878); #105962=LINE('',#577920,#144879); #105963=LINE('',#577924,#144880); #105964=LINE('',#577926,#144881); #105965=LINE('',#577928,#144882); #105966=LINE('',#577932,#144883); #105967=LINE('',#577936,#144884); #105968=LINE('',#577938,#144885); #105969=LINE('',#577939,#144886); #105970=LINE('',#577943,#144887); #105971=LINE('',#577945,#144888); #105972=LINE('',#577946,#144889); #105973=LINE('',#577948,#144890); #105974=LINE('',#577949,#144891); #105975=LINE('',#577953,#144892); #105976=LINE('',#577954,#144893); #105977=LINE('',#577955,#144894); #105978=LINE('',#577958,#144895); #105979=LINE('',#577959,#144896); #105980=LINE('',#577961,#144897); #105981=LINE('',#577962,#144898); #105982=LINE('',#577964,#144899); #105983=LINE('',#577965,#144900); #105984=LINE('',#577967,#144901); #105985=LINE('',#577968,#144902); #105986=LINE('',#577970,#144903); #105987=LINE('',#577971,#144904); #105988=LINE('',#577973,#144905); #105989=LINE('',#577975,#144906); #105990=LINE('',#577977,#144907); #105991=LINE('',#577980,#144908); #105992=LINE('',#577982,#144909); #105993=LINE('',#577984,#144910); #105994=LINE('',#577992,#144911); #105995=LINE('',#577994,#144912); #105996=LINE('',#577996,#144913); #105997=LINE('',#577997,#144914); #105998=LINE('',#578000,#144915); #105999=LINE('',#578004,#144916); #106000=LINE('',#578008,#144917); #106001=LINE('',#578010,#144918); #106002=LINE('',#578012,#144919); #106003=LINE('',#578016,#144920); #106004=LINE('',#578019,#144921); #106005=LINE('',#578023,#144922); #106006=LINE('',#578025,#144923); #106007=LINE('',#578027,#144924); #106008=LINE('',#578031,#144925); #106009=LINE('',#578035,#144926); #106010=LINE('',#578037,#144927); #106011=LINE('',#578039,#144928); #106012=LINE('',#578043,#144929); #106013=LINE('',#578047,#144930); #106014=LINE('',#578049,#144931); #106015=LINE('',#578050,#144932); #106016=LINE('',#578054,#144933); #106017=LINE('',#578056,#144934); #106018=LINE('',#578057,#144935); #106019=LINE('',#578059,#144936); #106020=LINE('',#578060,#144937); #106021=LINE('',#578064,#144938); #106022=LINE('',#578065,#144939); #106023=LINE('',#578066,#144940); #106024=LINE('',#578069,#144941); #106025=LINE('',#578070,#144942); #106026=LINE('',#578072,#144943); #106027=LINE('',#578073,#144944); #106028=LINE('',#578075,#144945); #106029=LINE('',#578076,#144946); #106030=LINE('',#578078,#144947); #106031=LINE('',#578079,#144948); #106032=LINE('',#578081,#144949); #106033=LINE('',#578082,#144950); #106034=LINE('',#578084,#144951); #106035=LINE('',#578086,#144952); #106036=LINE('',#578088,#144953); #106037=LINE('',#578091,#144954); #106038=LINE('',#578093,#144955); #106039=LINE('',#578095,#144956); #106040=LINE('',#578103,#144957); #106041=LINE('',#578105,#144958); #106042=LINE('',#578107,#144959); #106043=LINE('',#578108,#144960); #106044=LINE('',#578111,#144961); #106045=LINE('',#578115,#144962); #106046=LINE('',#578119,#144963); #106047=LINE('',#578121,#144964); #106048=LINE('',#578123,#144965); #106049=LINE('',#578127,#144966); #106050=LINE('',#578130,#144967); #106051=LINE('',#578134,#144968); #106052=LINE('',#578136,#144969); #106053=LINE('',#578138,#144970); #106054=LINE('',#578142,#144971); #106055=LINE('',#578146,#144972); #106056=LINE('',#578148,#144973); #106057=LINE('',#578150,#144974); #106058=LINE('',#578154,#144975); #106059=LINE('',#578158,#144976); #106060=LINE('',#578160,#144977); #106061=LINE('',#578161,#144978); #106062=LINE('',#578165,#144979); #106063=LINE('',#578167,#144980); #106064=LINE('',#578168,#144981); #106065=LINE('',#578170,#144982); #106066=LINE('',#578171,#144983); #106067=LINE('',#578175,#144984); #106068=LINE('',#578176,#144985); #106069=LINE('',#578177,#144986); #106070=LINE('',#578180,#144987); #106071=LINE('',#578181,#144988); #106072=LINE('',#578183,#144989); #106073=LINE('',#578184,#144990); #106074=LINE('',#578186,#144991); #106075=LINE('',#578187,#144992); #106076=LINE('',#578189,#144993); #106077=LINE('',#578190,#144994); #106078=LINE('',#578192,#144995); #106079=LINE('',#578193,#144996); #106080=LINE('',#578195,#144997); #106081=LINE('',#578197,#144998); #106082=LINE('',#578199,#144999); #106083=LINE('',#578202,#145000); #106084=LINE('',#578204,#145001); #106085=LINE('',#578206,#145002); #106086=LINE('',#578214,#145003); #106087=LINE('',#578216,#145004); #106088=LINE('',#578218,#145005); #106089=LINE('',#578219,#145006); #106090=LINE('',#578222,#145007); #106091=LINE('',#578224,#145008); #106092=LINE('',#578228,#145009); #106093=LINE('',#578232,#145010); #106094=LINE('',#578234,#145011); #106095=LINE('',#578236,#145012); #106096=LINE('',#578240,#145013); #106097=LINE('',#578245,#145014); #106098=LINE('',#578249,#145015); #106099=LINE('',#578253,#145016); #106100=LINE('',#578255,#145017); #106101=LINE('',#578257,#145018); #106102=LINE('',#578261,#145019); #106103=LINE('',#578265,#145020); #106104=LINE('',#578266,#145021); #106105=LINE('',#578269,#145022); #106106=LINE('',#578271,#145023); #106107=LINE('',#578272,#145024); #106108=LINE('',#578276,#145025); #106109=LINE('',#578278,#145026); #106110=LINE('',#578279,#145027); #106111=LINE('',#578281,#145028); #106112=LINE('',#578282,#145029); #106113=LINE('',#578286,#145030); #106114=LINE('',#578287,#145031); #106115=LINE('',#578288,#145032); #106116=LINE('',#578291,#145033); #106117=LINE('',#578293,#145034); #106118=LINE('',#578294,#145035); #106119=LINE('',#578295,#145036); #106120=LINE('',#578297,#145037); #106121=LINE('',#578298,#145038); #106122=LINE('',#578300,#145039); #106123=LINE('',#578301,#145040); #106124=LINE('',#578303,#145041); #106125=LINE('',#578304,#145042); #106126=LINE('',#578306,#145043); #106127=LINE('',#578308,#145044); #106128=LINE('',#578310,#145045); #106129=LINE('',#578313,#145046); #106130=LINE('',#578315,#145047); #106131=LINE('',#578317,#145048); #106132=LINE('',#578325,#145049); #106133=LINE('',#578327,#145050); #106134=LINE('',#578329,#145051); #106135=LINE('',#578330,#145052); #106136=LINE('',#578333,#145053); #106137=LINE('',#578335,#145054); #106138=LINE('',#578339,#145055); #106139=LINE('',#578343,#145056); #106140=LINE('',#578345,#145057); #106141=LINE('',#578347,#145058); #106142=LINE('',#578351,#145059); #106143=LINE('',#578356,#145060); #106144=LINE('',#578360,#145061); #106145=LINE('',#578364,#145062); #106146=LINE('',#578366,#145063); #106147=LINE('',#578368,#145064); #106148=LINE('',#578372,#145065); #106149=LINE('',#578376,#145066); #106150=LINE('',#578377,#145067); #106151=LINE('',#578380,#145068); #106152=LINE('',#578382,#145069); #106153=LINE('',#578383,#145070); #106154=LINE('',#578387,#145071); #106155=LINE('',#578389,#145072); #106156=LINE('',#578390,#145073); #106157=LINE('',#578392,#145074); #106158=LINE('',#578393,#145075); #106159=LINE('',#578397,#145076); #106160=LINE('',#578398,#145077); #106161=LINE('',#578399,#145078); #106162=LINE('',#578402,#145079); #106163=LINE('',#578404,#145080); #106164=LINE('',#578405,#145081); #106165=LINE('',#578406,#145082); #106166=LINE('',#578408,#145083); #106167=LINE('',#578409,#145084); #106168=LINE('',#578411,#145085); #106169=LINE('',#578412,#145086); #106170=LINE('',#578414,#145087); #106171=LINE('',#578415,#145088); #106172=LINE('',#578417,#145089); #106173=LINE('',#578419,#145090); #106174=LINE('',#578421,#145091); #106175=LINE('',#578424,#145092); #106176=LINE('',#578426,#145093); #106177=LINE('',#578428,#145094); #106178=LINE('',#578436,#145095); #106179=LINE('',#578438,#145096); #106180=LINE('',#578440,#145097); #106181=LINE('',#578441,#145098); #106182=LINE('',#578444,#145099); #106183=LINE('',#578446,#145100); #106184=LINE('',#578450,#145101); #106185=LINE('',#578454,#145102); #106186=LINE('',#578456,#145103); #106187=LINE('',#578458,#145104); #106188=LINE('',#578462,#145105); #106189=LINE('',#578467,#145106); #106190=LINE('',#578471,#145107); #106191=LINE('',#578475,#145108); #106192=LINE('',#578477,#145109); #106193=LINE('',#578479,#145110); #106194=LINE('',#578483,#145111); #106195=LINE('',#578487,#145112); #106196=LINE('',#578488,#145113); #106197=LINE('',#578491,#145114); #106198=LINE('',#578493,#145115); #106199=LINE('',#578494,#145116); #106200=LINE('',#578498,#145117); #106201=LINE('',#578500,#145118); #106202=LINE('',#578501,#145119); #106203=LINE('',#578503,#145120); #106204=LINE('',#578504,#145121); #106205=LINE('',#578508,#145122); #106206=LINE('',#578509,#145123); #106207=LINE('',#578510,#145124); #106208=LINE('',#578513,#145125); #106209=LINE('',#578515,#145126); #106210=LINE('',#578516,#145127); #106211=LINE('',#578517,#145128); #106212=LINE('',#578519,#145129); #106213=LINE('',#578520,#145130); #106214=LINE('',#578522,#145131); #106215=LINE('',#578523,#145132); #106216=LINE('',#578525,#145133); #106217=LINE('',#578526,#145134); #106218=LINE('',#578528,#145135); #106219=LINE('',#578530,#145136); #106220=LINE('',#578532,#145137); #106221=LINE('',#578535,#145138); #106222=LINE('',#578537,#145139); #106223=LINE('',#578539,#145140); #106224=LINE('',#578547,#145141); #106225=LINE('',#578549,#145142); #106226=LINE('',#578551,#145143); #106227=LINE('',#578552,#145144); #106228=LINE('',#578555,#145145); #106229=LINE('',#578557,#145146); #106230=LINE('',#578561,#145147); #106231=LINE('',#578565,#145148); #106232=LINE('',#578567,#145149); #106233=LINE('',#578569,#145150); #106234=LINE('',#578573,#145151); #106235=LINE('',#578578,#145152); #106236=LINE('',#578582,#145153); #106237=LINE('',#578586,#145154); #106238=LINE('',#578588,#145155); #106239=LINE('',#578590,#145156); #106240=LINE('',#578594,#145157); #106241=LINE('',#578598,#145158); #106242=LINE('',#578599,#145159); #106243=LINE('',#578602,#145160); #106244=LINE('',#578604,#145161); #106245=LINE('',#578605,#145162); #106246=LINE('',#578609,#145163); #106247=LINE('',#578611,#145164); #106248=LINE('',#578612,#145165); #106249=LINE('',#578614,#145166); #106250=LINE('',#578615,#145167); #106251=LINE('',#578619,#145168); #106252=LINE('',#578620,#145169); #106253=LINE('',#578621,#145170); #106254=LINE('',#578624,#145171); #106255=LINE('',#578626,#145172); #106256=LINE('',#578627,#145173); #106257=LINE('',#578628,#145174); #106258=LINE('',#578630,#145175); #106259=LINE('',#578631,#145176); #106260=LINE('',#578633,#145177); #106261=LINE('',#578634,#145178); #106262=LINE('',#578636,#145179); #106263=LINE('',#578637,#145180); #106264=LINE('',#578639,#145181); #106265=LINE('',#578641,#145182); #106266=LINE('',#578643,#145183); #106267=LINE('',#578646,#145184); #106268=LINE('',#578648,#145185); #106269=LINE('',#578650,#145186); #106270=LINE('',#578658,#145187); #106271=LINE('',#578660,#145188); #106272=LINE('',#578662,#145189); #106273=LINE('',#578663,#145190); #106274=LINE('',#578666,#145191); #106275=LINE('',#578668,#145192); #106276=LINE('',#578672,#145193); #106277=LINE('',#578676,#145194); #106278=LINE('',#578678,#145195); #106279=LINE('',#578680,#145196); #106280=LINE('',#578684,#145197); #106281=LINE('',#578689,#145198); #106282=LINE('',#578693,#145199); #106283=LINE('',#578697,#145200); #106284=LINE('',#578699,#145201); #106285=LINE('',#578701,#145202); #106286=LINE('',#578705,#145203); #106287=LINE('',#578709,#145204); #106288=LINE('',#578710,#145205); #106289=LINE('',#578713,#145206); #106290=LINE('',#578715,#145207); #106291=LINE('',#578716,#145208); #106292=LINE('',#578720,#145209); #106293=LINE('',#578722,#145210); #106294=LINE('',#578723,#145211); #106295=LINE('',#578725,#145212); #106296=LINE('',#578726,#145213); #106297=LINE('',#578730,#145214); #106298=LINE('',#578731,#145215); #106299=LINE('',#578732,#145216); #106300=LINE('',#578735,#145217); #106301=LINE('',#578737,#145218); #106302=LINE('',#578738,#145219); #106303=LINE('',#578739,#145220); #106304=LINE('',#578741,#145221); #106305=LINE('',#578742,#145222); #106306=LINE('',#578744,#145223); #106307=LINE('',#578745,#145224); #106308=LINE('',#578747,#145225); #106309=LINE('',#578748,#145226); #106310=LINE('',#578750,#145227); #106311=LINE('',#578752,#145228); #106312=LINE('',#578754,#145229); #106313=LINE('',#578757,#145230); #106314=LINE('',#578759,#145231); #106315=LINE('',#578761,#145232); #106316=LINE('',#578769,#145233); #106317=LINE('',#578771,#145234); #106318=LINE('',#578773,#145235); #106319=LINE('',#578774,#145236); #106320=LINE('',#578777,#145237); #106321=LINE('',#578779,#145238); #106322=LINE('',#578783,#145239); #106323=LINE('',#578787,#145240); #106324=LINE('',#578789,#145241); #106325=LINE('',#578791,#145242); #106326=LINE('',#578795,#145243); #106327=LINE('',#578800,#145244); #106328=LINE('',#578804,#145245); #106329=LINE('',#578808,#145246); #106330=LINE('',#578810,#145247); #106331=LINE('',#578812,#145248); #106332=LINE('',#578816,#145249); #106333=LINE('',#578820,#145250); #106334=LINE('',#578821,#145251); #106335=LINE('',#578824,#145252); #106336=LINE('',#578826,#145253); #106337=LINE('',#578827,#145254); #106338=LINE('',#578831,#145255); #106339=LINE('',#578833,#145256); #106340=LINE('',#578834,#145257); #106341=LINE('',#578836,#145258); #106342=LINE('',#578837,#145259); #106343=LINE('',#578841,#145260); #106344=LINE('',#578842,#145261); #106345=LINE('',#578843,#145262); #106346=LINE('',#578846,#145263); #106347=LINE('',#578848,#145264); #106348=LINE('',#578849,#145265); #106349=LINE('',#578850,#145266); #106350=LINE('',#578852,#145267); #106351=LINE('',#578853,#145268); #106352=LINE('',#578855,#145269); #106353=LINE('',#578856,#145270); #106354=LINE('',#578858,#145271); #106355=LINE('',#578859,#145272); #106356=LINE('',#578861,#145273); #106357=LINE('',#578863,#145274); #106358=LINE('',#578865,#145275); #106359=LINE('',#578868,#145276); #106360=LINE('',#578870,#145277); #106361=LINE('',#578872,#145278); #106362=LINE('',#578880,#145279); #106363=LINE('',#578882,#145280); #106364=LINE('',#578884,#145281); #106365=LINE('',#578885,#145282); #106366=LINE('',#578888,#145283); #106367=LINE('',#578890,#145284); #106368=LINE('',#578894,#145285); #106369=LINE('',#578898,#145286); #106370=LINE('',#578900,#145287); #106371=LINE('',#578902,#145288); #106372=LINE('',#578906,#145289); #106373=LINE('',#578911,#145290); #106374=LINE('',#578915,#145291); #106375=LINE('',#578919,#145292); #106376=LINE('',#578921,#145293); #106377=LINE('',#578923,#145294); #106378=LINE('',#578927,#145295); #106379=LINE('',#578931,#145296); #106380=LINE('',#578932,#145297); #106381=LINE('',#578935,#145298); #106382=LINE('',#578937,#145299); #106383=LINE('',#578938,#145300); #106384=LINE('',#578942,#145301); #106385=LINE('',#578944,#145302); #106386=LINE('',#578945,#145303); #106387=LINE('',#578947,#145304); #106388=LINE('',#578948,#145305); #106389=LINE('',#578952,#145306); #106390=LINE('',#578953,#145307); #106391=LINE('',#578954,#145308); #106392=LINE('',#578957,#145309); #106393=LINE('',#578959,#145310); #106394=LINE('',#578960,#145311); #106395=LINE('',#578961,#145312); #106396=LINE('',#578963,#145313); #106397=LINE('',#578964,#145314); #106398=LINE('',#578966,#145315); #106399=LINE('',#578967,#145316); #106400=LINE('',#578969,#145317); #106401=LINE('',#578970,#145318); #106402=LINE('',#578972,#145319); #106403=LINE('',#578974,#145320); #106404=LINE('',#578976,#145321); #106405=LINE('',#578979,#145322); #106406=LINE('',#578981,#145323); #106407=LINE('',#578983,#145324); #106408=LINE('',#578991,#145325); #106409=LINE('',#578993,#145326); #106410=LINE('',#578995,#145327); #106411=LINE('',#578996,#145328); #106412=LINE('',#578999,#145329); #106413=LINE('',#579001,#145330); #106414=LINE('',#579002,#145331); #106415=LINE('',#579005,#145332); #106416=LINE('',#579007,#145333); #106417=LINE('',#579008,#145334); #106418=LINE('',#579011,#145335); #106419=LINE('',#579013,#145336); #106420=LINE('',#579014,#145337); #106421=LINE('',#579019,#145338); #106422=LINE('',#579023,#145339); #106423=LINE('',#579025,#145340); #106424=LINE('',#579026,#145341); #106425=LINE('',#579029,#145342); #106426=LINE('',#579031,#145343); #106427=LINE('',#579032,#145344); #106428=LINE('',#579035,#145345); #106429=LINE('',#579037,#145346); #106430=LINE('',#579038,#145347); #106431=LINE('',#579043,#145348); #106432=LINE('',#579047,#145349); #106433=LINE('',#579049,#145350); #106434=LINE('',#579050,#145351); #106435=LINE('',#579055,#145352); #106436=LINE('',#579061,#145353); #106437=LINE('',#579065,#145354); #106438=LINE('',#579067,#145355); #106439=LINE('',#579068,#145356); #106440=LINE('',#579073,#145357); #106441=LINE('',#579077,#145358); #106442=LINE('',#579079,#145359); #106443=LINE('',#579080,#145360); #106444=LINE('',#579085,#145361); #106445=LINE('',#579089,#145362); #106446=LINE('',#579091,#145363); #106447=LINE('',#579092,#145364); #106448=LINE('',#579095,#145365); #106449=LINE('',#579097,#145366); #106450=LINE('',#579098,#145367); #106451=LINE('',#579101,#145368); #106452=LINE('',#579103,#145369); #106453=LINE('',#579104,#145370); #106454=LINE('',#579107,#145371); #106455=LINE('',#579109,#145372); #106456=LINE('',#579110,#145373); #106457=LINE('',#579113,#145374); #106458=LINE('',#579115,#145375); #106459=LINE('',#579116,#145376); #106460=LINE('',#579119,#145377); #106461=LINE('',#579121,#145378); #106462=LINE('',#579122,#145379); #106463=LINE('',#579125,#145380); #106464=LINE('',#579127,#145381); #106465=LINE('',#579128,#145382); #106466=LINE('',#579133,#145383); #106467=LINE('',#579137,#145384); #106468=LINE('',#579139,#145385); #106469=LINE('',#579140,#145386); #106470=LINE('',#579145,#145387); #106471=LINE('',#579149,#145388); #106472=LINE('',#579151,#145389); #106473=LINE('',#579152,#145390); #106474=LINE('',#579157,#145391); #106475=LINE('',#579163,#145392); #106476=LINE('',#579167,#145393); #106477=LINE('',#579169,#145394); #106478=LINE('',#579170,#145395); #106479=LINE('',#579175,#145396); #106480=LINE('',#579179,#145397); #106481=LINE('',#579181,#145398); #106482=LINE('',#579182,#145399); #106483=LINE('',#579185,#145400); #106484=LINE('',#579187,#145401); #106485=LINE('',#579188,#145402); #106486=LINE('',#579191,#145403); #106487=LINE('',#579193,#145404); #106488=LINE('',#579194,#145405); #106489=LINE('',#579199,#145406); #106490=LINE('',#579202,#145407); #106491=LINE('',#579203,#145408); #106492=LINE('',#579210,#145409); #106493=LINE('',#579212,#145410); #106494=LINE('',#579214,#145411); #106495=LINE('',#579215,#145412); #106496=LINE('',#579219,#145413); #106497=LINE('',#579221,#145414); #106498=LINE('',#579223,#145415); #106499=LINE('',#579224,#145416); #106500=LINE('',#579230,#145417); #106501=LINE('',#579234,#145418); #106502=LINE('',#579238,#145419); #106503=LINE('',#579242,#145420); #106504=LINE('',#579246,#145421); #106505=LINE('',#579250,#145422); #106506=LINE('',#579254,#145423); #106507=LINE('',#579258,#145424); #106508=LINE('',#579260,#145425); #106509=LINE('',#579262,#145426); #106510=LINE('',#579264,#145427); #106511=LINE('',#579268,#145428); #106512=LINE('',#579270,#145429); #106513=LINE('',#579274,#145430); #106514=LINE('',#579278,#145431); #106515=LINE('',#579284,#145432); #106516=LINE('',#579288,#145433); #106517=LINE('',#579292,#145434); #106518=LINE('',#579296,#145435); #106519=LINE('',#579298,#145436); #106520=LINE('',#579302,#145437); #106521=LINE('',#579303,#145438); #106522=LINE('',#579306,#145439); #106523=LINE('',#579308,#145440); #106524=LINE('',#579310,#145441); #106525=LINE('',#579312,#145442); #106526=LINE('',#579314,#145443); #106527=LINE('',#579316,#145444); #106528=LINE('',#579318,#145445); #106529=LINE('',#579319,#145446); #106530=LINE('',#579323,#145447); #106531=LINE('',#579327,#145448); #106532=LINE('',#579329,#145449); #106533=LINE('',#579333,#145450); #106534=LINE('',#579335,#145451); #106535=LINE('',#579339,#145452); #106536=LINE('',#579343,#145453); #106537=LINE('',#579347,#145454); #106538=LINE('',#579353,#145455); #106539=LINE('',#579357,#145456); #106540=LINE('',#579361,#145457); #106541=LINE('',#579363,#145458); #106542=LINE('',#579367,#145459); #106543=LINE('',#579369,#145460); #106544=LINE('',#579371,#145461); #106545=LINE('',#579373,#145462); #106546=LINE('',#579377,#145463); #106547=LINE('',#579381,#145464); #106548=LINE('',#579385,#145465); #106549=LINE('',#579389,#145466); #106550=LINE('',#579393,#145467); #106551=LINE('',#579397,#145468); #106552=LINE('',#579401,#145469); #106553=LINE('',#579403,#145470); #106554=LINE('',#579405,#145471); #106555=LINE('',#579407,#145472); #106556=LINE('',#579409,#145473); #106557=LINE('',#579411,#145474); #106558=LINE('',#579413,#145475); #106559=LINE('',#579414,#145476); #106560=LINE('',#579416,#145477); #106561=LINE('',#579417,#145478); #106562=LINE('',#579419,#145479); #106563=LINE('',#579421,#145480); #106564=LINE('',#579422,#145481); #106565=LINE('',#579428,#145482); #106566=LINE('',#579430,#145483); #106567=LINE('',#579433,#145484); #106568=LINE('',#579439,#145485); #106569=LINE('',#579441,#145486); #106570=LINE('',#579444,#145487); #106571=LINE('',#579449,#145488); #106572=LINE('',#579451,#145489); #106573=LINE('',#579454,#145490); #106574=LINE('',#579456,#145491); #106575=LINE('',#579458,#145492); #106576=LINE('',#579460,#145493); #106577=LINE('',#579462,#145494); #106578=LINE('',#579463,#145495); #106579=LINE('',#579466,#145496); #106580=LINE('',#579468,#145497); #106581=LINE('',#579470,#145498); #106582=LINE('',#579472,#145499); #106583=LINE('',#579474,#145500); #106584=LINE('',#579476,#145501); #106585=LINE('',#579478,#145502); #106586=LINE('',#579479,#145503); #106587=LINE('',#579482,#145504); #106588=LINE('',#579484,#145505); #106589=LINE('',#579486,#145506); #106590=LINE('',#579488,#145507); #106591=LINE('',#579490,#145508); #106592=LINE('',#579492,#145509); #106593=LINE('',#579494,#145510); #106594=LINE('',#579495,#145511); #106595=LINE('',#579499,#145512); #106596=LINE('',#579503,#145513); #106597=LINE('',#579509,#145514); #106598=LINE('',#579513,#145515); #106599=LINE('',#579517,#145516); #106600=LINE('',#579521,#145517); #106601=LINE('',#579525,#145518); #106602=LINE('',#579527,#145519); #106603=LINE('',#579529,#145520); #106604=LINE('',#579535,#145521); #106605=LINE('',#579537,#145522); #106606=LINE('',#579539,#145523); #106607=LINE('',#579541,#145524); #106608=LINE('',#579543,#145525); #106609=LINE('',#579547,#145526); #106610=LINE('',#579551,#145527); #106611=LINE('',#579555,#145528); #106612=LINE('',#579559,#145529); #106613=LINE('',#579563,#145530); #106614=LINE('',#579571,#145531); #106615=LINE('',#579575,#145532); #106616=LINE('',#579579,#145533); #106617=LINE('',#579580,#145534); #106618=LINE('',#579583,#145535); #106619=LINE('',#579585,#145536); #106620=LINE('',#579587,#145537); #106621=LINE('',#579588,#145538); #106622=LINE('',#579592,#145539); #106623=LINE('',#579596,#145540); #106624=LINE('',#579598,#145541); #106625=LINE('',#579600,#145542); #106626=LINE('',#579604,#145543); #106627=LINE('',#579605,#145544); #106628=LINE('',#579610,#145545); #106629=LINE('',#579614,#145546); #106630=LINE('',#579618,#145547); #106631=LINE('',#579622,#145548); #106632=LINE('',#579628,#145549); #106633=LINE('',#579632,#145550); #106634=LINE('',#579636,#145551); #106635=LINE('',#579638,#145552); #106636=LINE('',#579642,#145553); #106637=LINE('',#579644,#145554); #106638=LINE('',#579646,#145555); #106639=LINE('',#579648,#145556); #106640=LINE('',#579652,#145557); #106641=LINE('',#579656,#145558); #106642=LINE('',#579660,#145559); #106643=LINE('',#579665,#145560); #106644=LINE('',#579669,#145561); #106645=LINE('',#579673,#145562); #106646=LINE('',#579675,#145563); #106647=LINE('',#579678,#145564); #106648=LINE('',#579680,#145565); #106649=LINE('',#579682,#145566); #106650=LINE('',#579684,#145567); #106651=LINE('',#579686,#145568); #106652=LINE('',#579688,#145569); #106653=LINE('',#579690,#145570); #106654=LINE('',#579691,#145571); #106655=LINE('',#579694,#145572); #106656=LINE('',#579696,#145573); #106657=LINE('',#579697,#145574); #106658=LINE('',#579700,#145575); #106659=LINE('',#579702,#145576); #106660=LINE('',#579703,#145577); #106661=LINE('',#579706,#145578); #106662=LINE('',#579708,#145579); #106663=LINE('',#579709,#145580); #106664=LINE('',#579712,#145581); #106665=LINE('',#579714,#145582); #106666=LINE('',#579715,#145583); #106667=LINE('',#579725,#145584); #106668=LINE('',#579727,#145585); #106669=LINE('',#579728,#145586); #106670=LINE('',#579731,#145587); #106671=LINE('',#579735,#145588); #106672=LINE('',#579736,#145589); #106673=LINE('',#579741,#145590); #106674=LINE('',#579743,#145591); #106675=LINE('',#579745,#145592); #106676=LINE('',#579747,#145593); #106677=LINE('',#579753,#145594); #106678=LINE('',#579755,#145595); #106679=LINE('',#579757,#145596); #106680=LINE('',#579761,#145597); #106681=LINE('',#579765,#145598); #106682=LINE('',#579769,#145599); #106683=LINE('',#579773,#145600); #106684=LINE('',#579779,#145601); #106685=LINE('',#579783,#145602); #106686=LINE('',#579785,#145603); #106687=LINE('',#579787,#145604); #106688=LINE('',#579791,#145605); #106689=LINE('',#579795,#145606); #106690=LINE('',#579803,#145607); #106691=LINE('',#579807,#145608); #106692=LINE('',#579811,#145609); #106693=LINE('',#579815,#145610); #106694=LINE('',#579819,#145611); #106695=LINE('',#579822,#145612); #106696=LINE('',#579825,#145613); #106697=LINE('',#579827,#145614); #106698=LINE('',#579829,#145615); #106699=LINE('',#579830,#145616); #106700=LINE('',#579833,#145617); #106701=LINE('',#579834,#145618); #106702=LINE('',#579835,#145619); #106703=LINE('',#579837,#145620); #106704=LINE('',#579838,#145621); #106705=LINE('',#579840,#145622); #106706=LINE('',#579841,#145623); #106707=LINE('',#579844,#145624); #106708=LINE('',#579846,#145625); #106709=LINE('',#579847,#145626); #106710=LINE('',#579850,#145627); #106711=LINE('',#579852,#145628); #106712=LINE('',#579853,#145629); #106713=LINE('',#579857,#145630); #106714=LINE('',#579859,#145631); #106715=LINE('',#579861,#145632); #106716=LINE('',#579863,#145633); #106717=LINE('',#579865,#145634); #106718=LINE('',#579869,#145635); #106719=LINE('',#579873,#145636); #106720=LINE('',#579877,#145637); #106721=LINE('',#579881,#145638); #106722=LINE('',#579885,#145639); #106723=LINE('',#579893,#145640); #106724=LINE('',#579897,#145641); #106725=LINE('',#579901,#145642); #106726=LINE('',#579903,#145643); #106727=LINE('',#579905,#145644); #106728=LINE('',#579909,#145645); #106729=LINE('',#579915,#145646); #106730=LINE('',#579919,#145647); #106731=LINE('',#579923,#145648); #106732=LINE('',#579927,#145649); #106733=LINE('',#579931,#145650); #106734=LINE('',#579932,#145651); #106735=LINE('',#579935,#145652); #106736=LINE('',#579937,#145653); #106737=LINE('',#579939,#145654); #106738=LINE('',#579943,#145655); #106739=LINE('',#579949,#145656); #106740=LINE('',#579951,#145657); #106741=LINE('',#579957,#145658); #106742=LINE('',#579960,#145659); #106743=LINE('',#579964,#145660); #106744=LINE('',#579968,#145661); #106745=LINE('',#579972,#145662); #106746=LINE('',#579977,#145663); #106747=LINE('',#579981,#145664); #106748=LINE('',#579985,#145665); #106749=LINE('',#579989,#145666); #106750=LINE('',#579991,#145667); #106751=LINE('',#579993,#145668); #106752=LINE('',#579995,#145669); #106753=LINE('',#579999,#145670); #106754=LINE('',#580001,#145671); #106755=LINE('',#580005,#145672); #106756=LINE('',#580009,#145673); #106757=LINE('',#580015,#145674); #106758=LINE('',#580019,#145675); #106759=LINE('',#580023,#145676); #106760=LINE('',#580026,#145677); #106761=LINE('',#580028,#145678); #106762=LINE('',#580029,#145679); #106763=LINE('',#580031,#145680); #106764=LINE('',#580033,#145681); #106765=LINE('',#580034,#145682); #106766=LINE('',#580062,#145683); #106767=LINE('',#580072,#145684); #106768=LINE('',#580092,#145685); #106769=LINE('',#580093,#145686); #106770=LINE('',#580095,#145687); #106771=LINE('',#580097,#145688); #106772=LINE('',#580098,#145689); #106773=LINE('',#580101,#145690); #106774=LINE('',#580104,#145691); #106775=LINE('',#580108,#145692); #106776=LINE('',#580109,#145693); #106777=LINE('',#580112,#145694); #106778=LINE('',#580116,#145695); #106779=LINE('',#580120,#145696); #106780=LINE('',#580124,#145697); #106781=LINE('',#580127,#145698); #106782=LINE('',#580131,#145699); #106783=LINE('',#580134,#145700); #106784=LINE('',#580159,#145701); #106785=LINE('',#580161,#145702); #106786=LINE('',#580163,#145703); #106787=LINE('',#580167,#145704); #106788=LINE('',#580171,#145705); #106789=LINE('',#580175,#145706); #106790=LINE('',#580179,#145707); #106791=LINE('',#580185,#145708); #106792=LINE('',#580188,#145709); #106793=LINE('',#580190,#145710); #106794=LINE('',#580194,#145711); #106795=LINE('',#580198,#145712); #106796=LINE('',#580206,#145713); #106797=LINE('',#580210,#145714); #106798=LINE('',#580214,#145715); #106799=LINE('',#580218,#145716); #106800=LINE('',#580222,#145717); #106801=LINE('',#580225,#145718); #106802=LINE('',#580228,#145719); #106803=LINE('',#580230,#145720); #106804=LINE('',#580232,#145721); #106805=LINE('',#580236,#145722); #106806=LINE('',#580239,#145723); #106807=LINE('',#580248,#145724); #106808=LINE('',#580250,#145725); #106809=LINE('',#580252,#145726); #106810=LINE('',#580255,#145727); #106811=LINE('',#580257,#145728); #106812=LINE('',#580258,#145729); #106813=LINE('',#580260,#145730); #106814=LINE('',#580262,#145731); #106815=LINE('',#580263,#145732); #106816=LINE('',#580265,#145733); #106817=LINE('',#580267,#145734); #106818=LINE('',#580268,#145735); #106819=LINE('',#580270,#145736); #106820=LINE('',#580272,#145737); #106821=LINE('',#580273,#145738); #106822=LINE('',#580302,#145739); #106823=LINE('',#580303,#145740); #106824=LINE('',#580306,#145741); #106825=LINE('',#580307,#145742); #106826=LINE('',#580309,#145743); #106827=LINE('',#580310,#145744); #106828=LINE('',#580312,#145745); #106829=LINE('',#580317,#145746); #106830=LINE('',#580319,#145747); #106831=LINE('',#580321,#145748); #106832=LINE('',#580323,#145749); #106833=LINE('',#580325,#145750); #106834=LINE('',#580327,#145751); #106835=LINE('',#580329,#145752); #106836=LINE('',#580331,#145753); #106837=LINE('',#580335,#145754); #106838=LINE('',#580336,#145755); #106839=LINE('',#580338,#145756); #106840=LINE('',#580340,#145757); #106841=LINE('',#580343,#145758); #106842=LINE('',#580344,#145759); #106843=LINE('',#580346,#145760); #106844=LINE('',#580349,#145761); #106845=LINE('',#580352,#145762); #106846=LINE('',#580353,#145763); #106847=LINE('',#580355,#145764); #106848=LINE('',#580356,#145765); #106849=LINE('',#580358,#145766); #106850=LINE('',#580359,#145767); #106851=LINE('',#580361,#145768); #106852=LINE('',#580363,#145769); #106853=LINE('',#580365,#145770); #106854=LINE('',#580367,#145771); #106855=LINE('',#580369,#145772); #106856=LINE('',#580371,#145773); #106857=LINE('',#580373,#145774); #106858=LINE('',#580375,#145775); #106859=LINE('',#580378,#145776); #106860=LINE('',#580379,#145777); #106861=LINE('',#580381,#145778); #106862=LINE('',#580382,#145779); #106863=LINE('',#580384,#145780); #106864=LINE('',#580386,#145781); #106865=LINE('',#580388,#145782); #106866=LINE('',#580390,#145783); #106867=LINE('',#580392,#145784); #106868=LINE('',#580394,#145785); #106869=LINE('',#580396,#145786); #106870=LINE('',#580398,#145787); #106871=LINE('',#580401,#145788); #106872=LINE('',#580402,#145789); #106873=LINE('',#580404,#145790); #106874=LINE('',#580406,#145791); #106875=LINE('',#580408,#145792); #106876=LINE('',#580410,#145793); #106877=LINE('',#580412,#145794); #106878=LINE('',#580414,#145795); #106879=LINE('',#580416,#145796); #106880=LINE('',#580418,#145797); #106881=LINE('',#580420,#145798); #106882=LINE('',#580422,#145799); #106883=LINE('',#580424,#145800); #106884=LINE('',#580426,#145801); #106885=LINE('',#580428,#145802); #106886=LINE('',#580430,#145803); #106887=LINE('',#580432,#145804); #106888=LINE('',#580434,#145805); #106889=LINE('',#580436,#145806); #106890=LINE('',#580439,#145807); #106891=LINE('',#580440,#145808); #106892=LINE('',#580442,#145809); #106893=LINE('',#580443,#145810); #106894=LINE('',#580445,#145811); #106895=LINE('',#580447,#145812); #106896=LINE('',#580449,#145813); #106897=LINE('',#580451,#145814); #106898=LINE('',#580453,#145815); #106899=LINE('',#580455,#145816); #106900=LINE('',#580457,#145817); #106901=LINE('',#580459,#145818); #106902=LINE('',#580461,#145819); #106903=LINE('',#580463,#145820); #106904=LINE('',#580465,#145821); #106905=LINE('',#580471,#145822); #106906=LINE('',#580473,#145823); #106907=LINE('',#580475,#145824); #106908=LINE('',#580477,#145825); #106909=LINE('',#580479,#145826); #106910=LINE('',#580481,#145827); #106911=LINE('',#580483,#145828); #106912=LINE('',#580485,#145829); #106913=LINE('',#580488,#145830); #106914=LINE('',#580489,#145831); #106915=LINE('',#580492,#145832); #106916=LINE('',#580493,#145833); #106917=LINE('',#580499,#145834); #106918=LINE('',#580503,#145835); #106919=LINE('',#580507,#145836); #106920=LINE('',#580512,#145837); #106921=LINE('',#580516,#145838); #106922=LINE('',#580520,#145839); #106923=LINE('',#580525,#145840); #106924=LINE('',#580529,#145841); #106925=LINE('',#580533,#145842); #106926=LINE('',#580538,#145843); #106927=LINE('',#580542,#145844); #106928=LINE('',#580546,#145845); #106929=LINE('',#580550,#145846); #106930=LINE('',#580552,#145847); #106931=LINE('',#580554,#145848); #106932=LINE('',#580556,#145849); #106933=LINE('',#580558,#145850); #106934=LINE('',#580560,#145851); #106935=LINE('',#580563,#145852); #106936=LINE('',#580565,#145853); #106937=LINE('',#580567,#145854); #106938=LINE('',#580569,#145855); #106939=LINE('',#580571,#145856); #106940=LINE('',#580573,#145857); #106941=LINE('',#580576,#145858); #106942=LINE('',#580578,#145859); #106943=LINE('',#580579,#145860); #106944=LINE('',#580582,#145861); #106945=LINE('',#580587,#145862); #106946=LINE('',#580591,#145863); #106947=LINE('',#580595,#145864); #106948=LINE('',#580600,#145865); #106949=LINE('',#580604,#145866); #106950=LINE('',#580608,#145867); #106951=LINE('',#580613,#145868); #106952=LINE('',#580617,#145869); #106953=LINE('',#580621,#145870); #106954=LINE('',#580626,#145871); #106955=LINE('',#580630,#145872); #106956=LINE('',#580634,#145873); #106957=LINE('',#580638,#145874); #106958=LINE('',#580640,#145875); #106959=LINE('',#580642,#145876); #106960=LINE('',#580644,#145877); #106961=LINE('',#580646,#145878); #106962=LINE('',#580648,#145879); #106963=LINE('',#580651,#145880); #106964=LINE('',#580653,#145881); #106965=LINE('',#580655,#145882); #106966=LINE('',#580657,#145883); #106967=LINE('',#580659,#145884); #106968=LINE('',#580661,#145885); #106969=LINE('',#580666,#145886); #106970=LINE('',#580668,#145887); #106971=LINE('',#580670,#145888); #106972=LINE('',#580671,#145889); #106973=LINE('',#580677,#145890); #106974=LINE('',#580680,#145891); #106975=LINE('',#580682,#145892); #106976=LINE('',#580683,#145893); #106977=LINE('',#580689,#145894); #106978=LINE('',#580694,#145895); #106979=LINE('',#580696,#145896); #106980=LINE('',#580698,#145897); #106981=LINE('',#580754,#145898); #106982=LINE('',#580760,#145899); #106983=LINE('',#580761,#145900); #106984=LINE('',#580762,#145901); #106985=LINE('',#580774,#145902); #106986=LINE('',#580776,#145903); #106987=LINE('',#580777,#145904); #106988=LINE('',#580780,#145905); #106989=LINE('',#580782,#145906); #106990=LINE('',#580783,#145907); #106991=LINE('',#580785,#145908); #106992=LINE('',#580786,#145909); #106993=LINE('',#580790,#145910); #106994=LINE('',#580791,#145911); #106995=LINE('',#580793,#145912); #106996=LINE('',#580794,#145913); #106997=LINE('',#580796,#145914); #106998=LINE('',#580798,#145915); #106999=LINE('',#580800,#145916); #107000=LINE('',#580802,#145917); #107001=LINE('',#580804,#145918); #107002=LINE('',#580806,#145919); #107003=LINE('',#580808,#145920); #107004=LINE('',#580810,#145921); #107005=LINE('',#580812,#145922); #107006=LINE('',#580814,#145923); #107007=LINE('',#580816,#145924); #107008=LINE('',#580818,#145925); #107009=LINE('',#580821,#145926); #107010=LINE('',#580822,#145927); #107011=LINE('',#580824,#145928); #107012=LINE('',#580826,#145929); #107013=LINE('',#580828,#145930); #107014=LINE('',#580830,#145931); #107015=LINE('',#580832,#145932); #107016=LINE('',#580834,#145933); #107017=LINE('',#580836,#145934); #107018=LINE('',#580838,#145935); #107019=LINE('',#580840,#145936); #107020=LINE('',#580842,#145937); #107021=LINE('',#580845,#145938); #107022=LINE('',#580846,#145939); #107023=LINE('',#580848,#145940); #107024=LINE('',#580849,#145941); #107025=LINE('',#580851,#145942); #107026=LINE('',#580853,#145943); #107027=LINE('',#580860,#145944); #107028=LINE('',#580862,#145945); #107029=LINE('',#580863,#145946); #107030=LINE('',#580866,#145947); #107031=LINE('',#580871,#145948); #107032=LINE('',#580875,#145949); #107033=LINE('',#580879,#145950); #107034=LINE('',#580884,#145951); #107035=LINE('',#580888,#145952); #107036=LINE('',#580892,#145953); #107037=LINE('',#580897,#145954); #107038=LINE('',#580901,#145955); #107039=LINE('',#580905,#145956); #107040=LINE('',#580910,#145957); #107041=LINE('',#580914,#145958); #107042=LINE('',#580918,#145959); #107043=LINE('',#580922,#145960); #107044=LINE('',#580924,#145961); #107045=LINE('',#580926,#145962); #107046=LINE('',#580928,#145963); #107047=LINE('',#580930,#145964); #107048=LINE('',#580932,#145965); #107049=LINE('',#580935,#145966); #107050=LINE('',#580937,#145967); #107051=LINE('',#580939,#145968); #107052=LINE('',#580941,#145969); #107053=LINE('',#580943,#145970); #107054=LINE('',#580945,#145971); #107055=LINE('',#580948,#145972); #107056=LINE('',#580949,#145973); #107057=LINE('',#580952,#145974); #107058=LINE('',#580953,#145975); #107059=LINE('',#580959,#145976); #107060=LINE('',#580963,#145977); #107061=LINE('',#580967,#145978); #107062=LINE('',#580972,#145979); #107063=LINE('',#580976,#145980); #107064=LINE('',#580980,#145981); #107065=LINE('',#580985,#145982); #107066=LINE('',#580989,#145983); #107067=LINE('',#580993,#145984); #107068=LINE('',#580998,#145985); #107069=LINE('',#581002,#145986); #107070=LINE('',#581006,#145987); #107071=LINE('',#581010,#145988); #107072=LINE('',#581012,#145989); #107073=LINE('',#581014,#145990); #107074=LINE('',#581016,#145991); #107075=LINE('',#581018,#145992); #107076=LINE('',#581020,#145993); #107077=LINE('',#581023,#145994); #107078=LINE('',#581025,#145995); #107079=LINE('',#581027,#145996); #107080=LINE('',#581029,#145997); #107081=LINE('',#581031,#145998); #107082=LINE('',#581033,#145999); #107083=LINE('',#581040,#146000); #107084=LINE('',#581043,#146001); #107085=LINE('',#581046,#146002); #107086=LINE('',#581048,#146003); #107087=LINE('',#581049,#146004); #107088=LINE('',#581056,#146005); #107089=LINE('',#581057,#146006); #107090=LINE('',#581058,#146007); #107091=LINE('',#581063,#146008); #107092=LINE('',#581065,#146009); #107093=LINE('',#581068,#146010); #107094=LINE('',#581070,#146011); #107095=LINE('',#581127,#146012); #107096=LINE('',#581132,#146013); #107097=LINE('',#581136,#146014); #107098=LINE('',#581137,#146015); #107099=LINE('',#581146,#146016); #107100=LINE('',#581148,#146017); #107101=LINE('',#581149,#146018); #107102=LINE('',#581152,#146019); #107103=LINE('',#581154,#146020); #107104=LINE('',#581155,#146021); #107105=LINE('',#581157,#146022); #107106=LINE('',#581158,#146023); #107107=LINE('',#581164,#146024); #107108=LINE('',#581166,#146025); #107109=LINE('',#581168,#146026); #107110=LINE('',#581169,#146027); #107111=LINE('',#581174,#146028); #107112=LINE('',#581179,#146029); #107113=LINE('',#581181,#146030); #107114=LINE('',#581183,#146031); #107115=LINE('',#581184,#146032); #107116=LINE('',#581190,#146033); #107117=LINE('',#581196,#146034); #107118=LINE('',#581202,#146035); #107119=LINE('',#581205,#146036); #107120=LINE('',#581207,#146037); #107121=LINE('',#581208,#146038); #107122=LINE('',#581214,#146039); #107123=LINE('',#581220,#146040); #107124=LINE('',#581226,#146041); #107125=LINE('',#581229,#146042); #107126=LINE('',#581231,#146043); #107127=LINE('',#581232,#146044); #107128=LINE('',#581238,#146045); #107129=LINE('',#581241,#146046); #107130=LINE('',#581243,#146047); #107131=LINE('',#581244,#146048); #107132=LINE('',#581251,#146049); #107133=LINE('',#581253,#146050); #107134=LINE('',#581255,#146051); #107135=LINE('',#581256,#146052); #107136=LINE('',#581261,#146053); #107137=LINE('',#581267,#146054); #107138=LINE('',#581273,#146055); #107139=LINE('',#581277,#146056); #107140=LINE('',#581279,#146057); #107141=LINE('',#581280,#146058); #107142=LINE('',#581285,#146059); #107143=LINE('',#581291,#146060); #107144=LINE('',#581297,#146061); #107145=LINE('',#581301,#146062); #107146=LINE('',#581303,#146063); #107147=LINE('',#581304,#146064); #107148=LINE('',#581309,#146065); #107149=LINE('',#581313,#146066); #107150=LINE('',#581315,#146067); #107151=LINE('',#581316,#146068); #107152=LINE('',#581323,#146069); #107153=LINE('',#581325,#146070); #107154=LINE('',#581327,#146071); #107155=LINE('',#581328,#146072); #107156=LINE('',#581332,#146073); #107157=LINE('',#581345,#146074); #107158=LINE('',#581352,#146075); #107159=LINE('',#581354,#146076); #107160=LINE('',#581382,#146077); #107161=LINE('',#581432,#146078); #107162=LINE('',#581434,#146079); #107163=LINE('',#581438,#146080); #107164=LINE('',#581441,#146081); #107165=LINE('',#581443,#146082); #107166=LINE('',#581447,#146083); #107167=LINE('',#581451,#146084); #107168=LINE('',#581452,#146085); #107169=LINE('',#581455,#146086); #107170=LINE('',#581456,#146087); #107171=LINE('',#581460,#146088); #107172=LINE('',#581462,#146089); #107173=LINE('',#581464,#146090); #107174=LINE('',#581465,#146091); #107175=LINE('',#581467,#146092); #107176=LINE('',#581469,#146093); #107177=LINE('',#581473,#146094); #107178=LINE('',#581489,#146095); #107179=LINE('',#581520,#146096); #107180=LINE('',#581542,#146097); #107181=LINE('',#581544,#146098); #107182=LINE('',#581545,#146099); #107183=LINE('',#581559,#146100); #107184=LINE('',#581578,#146101); #107185=LINE('',#581581,#146102); #107186=LINE('',#581586,#146103); #107187=LINE('',#581588,#146104); #107188=LINE('',#581590,#146105); #107189=LINE('',#581591,#146106); #107190=LINE('',#581595,#146107); #107191=LINE('',#581597,#146108); #107192=LINE('',#581599,#146109); #107193=LINE('',#581600,#146110); #107194=LINE('',#581604,#146111); #107195=LINE('',#581608,#146112); #107196=LINE('',#581610,#146113); #107197=LINE('',#581612,#146114); #107198=LINE('',#581613,#146115); #107199=LINE('',#581618,#146116); #107200=LINE('',#581624,#146117); #107201=LINE('',#581632,#146118); #107202=LINE('',#581635,#146119); #107203=LINE('',#581637,#146120); #107204=LINE('',#581638,#146121); #107205=LINE('',#581643,#146122); #107206=LINE('',#581647,#146123); #107207=LINE('',#581649,#146124); #107208=LINE('',#581650,#146125); #107209=LINE('',#581655,#146126); #107210=LINE('',#581659,#146127); #107211=LINE('',#581661,#146128); #107212=LINE('',#581662,#146129); #107213=LINE('',#581667,#146130); #107214=LINE('',#581677,#146131); #107215=LINE('',#581682,#146132); #107216=LINE('',#581688,#146133); #107217=LINE('',#581692,#146134); #107218=LINE('',#581694,#146135); #107219=LINE('',#581695,#146136); #107220=LINE('',#581700,#146137); #107221=LINE('',#581710,#146138); #107222=LINE('',#581715,#146139); #107223=LINE('',#581721,#146140); #107224=LINE('',#581725,#146141); #107225=LINE('',#581727,#146142); #107226=LINE('',#581728,#146143); #107227=LINE('',#581733,#146144); #107228=LINE('',#581737,#146145); #107229=LINE('',#581739,#146146); #107230=LINE('',#581740,#146147); #107231=LINE('',#581745,#146148); #107232=LINE('',#581748,#146149); #107233=LINE('',#581750,#146150); #107234=LINE('',#581753,#146151); #107235=LINE('',#581759,#146152); #107236=LINE('',#581764,#146153); #107237=LINE('',#581770,#146154); #107238=LINE('',#581774,#146155); #107239=LINE('',#581776,#146156); #107240=LINE('',#581777,#146157); #107241=LINE('',#581781,#146158); #107242=LINE('',#581783,#146159); #107243=LINE('',#581785,#146160); #107244=LINE('',#581786,#146161); #107245=LINE('',#581791,#146162); #107246=LINE('',#581798,#146163); #107247=LINE('',#581801,#146164); #107248=LINE('',#581805,#146165); #107249=LINE('',#581808,#146166); #107250=LINE('',#581813,#146167); #107251=LINE('',#581814,#146168); #107252=LINE('',#581816,#146169); #107253=LINE('',#581821,#146170); #107254=LINE('',#581823,#146171); #107255=LINE('',#581827,#146172); #107256=LINE('',#581829,#146173); #107257=LINE('',#581830,#146174); #107258=LINE('',#581833,#146175); #107259=LINE('',#581834,#146176); #107260=LINE('',#581839,#146177); #107261=LINE('',#581856,#146178); #107262=LINE('',#581862,#146179); #107263=LINE('',#581949,#146180); #107264=LINE('',#581961,#146181); #107265=LINE('',#581980,#146182); #107266=LINE('',#581982,#146183); #107267=LINE('',#581984,#146184); #107268=LINE('',#581986,#146185); #107269=LINE('',#581988,#146186); #107270=LINE('',#581990,#146187); #107271=LINE('',#581993,#146188); #107272=LINE('',#581995,#146189); #107273=LINE('',#581997,#146190); #107274=LINE('',#581999,#146191); #107275=LINE('',#582001,#146192); #107276=LINE('',#582003,#146193); #107277=LINE('',#582006,#146194); #107278=LINE('',#582008,#146195); #107279=LINE('',#582010,#146196); #107280=LINE('',#582012,#146197); #107281=LINE('',#582014,#146198); #107282=LINE('',#582016,#146199); #107283=LINE('',#582019,#146200); #107284=LINE('',#582021,#146201); #107285=LINE('',#582023,#146202); #107286=LINE('',#582025,#146203); #107287=LINE('',#582027,#146204); #107288=LINE('',#582029,#146205); #107289=LINE('',#582035,#146206); #107290=LINE('',#582037,#146207); #107291=LINE('',#582039,#146208); #107292=LINE('',#582040,#146209); #107293=LINE('',#582043,#146210); #107294=LINE('',#582047,#146211); #107295=LINE('',#582051,#146212); #107296=LINE('',#582053,#146213); #107297=LINE('',#582055,#146214); #107298=LINE('',#582059,#146215); #107299=LINE('',#582062,#146216); #107300=LINE('',#582066,#146217); #107301=LINE('',#582068,#146218); #107302=LINE('',#582070,#146219); #107303=LINE('',#582074,#146220); #107304=LINE('',#582078,#146221); #107305=LINE('',#582080,#146222); #107306=LINE('',#582082,#146223); #107307=LINE('',#582086,#146224); #107308=LINE('',#582090,#146225); #107309=LINE('',#582092,#146226); #107310=LINE('',#582093,#146227); #107311=LINE('',#582097,#146228); #107312=LINE('',#582099,#146229); #107313=LINE('',#582100,#146230); #107314=LINE('',#582102,#146231); #107315=LINE('',#582103,#146232); #107316=LINE('',#582107,#146233); #107317=LINE('',#582108,#146234); #107318=LINE('',#582109,#146235); #107319=LINE('',#582112,#146236); #107320=LINE('',#582113,#146237); #107321=LINE('',#582115,#146238); #107322=LINE('',#582116,#146239); #107323=LINE('',#582118,#146240); #107324=LINE('',#582119,#146241); #107325=LINE('',#582121,#146242); #107326=LINE('',#582122,#146243); #107327=LINE('',#582124,#146244); #107328=LINE('',#582125,#146245); #107329=LINE('',#582127,#146246); #107330=LINE('',#582129,#146247); #107331=LINE('',#582131,#146248); #107332=LINE('',#582134,#146249); #107333=LINE('',#582136,#146250); #107334=LINE('',#582138,#146251); #107335=LINE('',#582169,#146252); #107336=LINE('',#582171,#146253); #107337=LINE('',#582173,#146254); #107338=LINE('',#582175,#146255); #107339=LINE('',#582177,#146256); #107340=LINE('',#582179,#146257); #107341=LINE('',#582181,#146258); #107342=LINE('',#582183,#146259); #107343=LINE('',#582185,#146260); #107344=LINE('',#582187,#146261); #107345=LINE('',#582189,#146262); #107346=LINE('',#582190,#146263); #107347=LINE('',#582194,#146264); #107348=LINE('',#582196,#146265); #107349=LINE('',#582198,#146266); #107350=LINE('',#582199,#146267); #107351=LINE('',#582203,#146268); #107352=LINE('',#582205,#146269); #107353=LINE('',#582207,#146270); #107354=LINE('',#582208,#146271); #107355=LINE('',#582212,#146272); #107356=LINE('',#582214,#146273); #107357=LINE('',#582216,#146274); #107358=LINE('',#582217,#146275); #107359=LINE('',#582220,#146276); #107360=LINE('',#582222,#146277); #107361=LINE('',#582224,#146278); #107362=LINE('',#582225,#146279); #107363=LINE('',#582229,#146280); #107364=LINE('',#582231,#146281); #107365=LINE('',#582233,#146282); #107366=LINE('',#582234,#146283); #107367=LINE('',#582237,#146284); #107368=LINE('',#582239,#146285); #107369=LINE('',#582241,#146286); #107370=LINE('',#582242,#146287); #107371=LINE('',#582246,#146288); #107372=LINE('',#582248,#146289); #107373=LINE('',#582250,#146290); #107374=LINE('',#582251,#146291); #107375=LINE('',#582254,#146292); #107376=LINE('',#582256,#146293); #107377=LINE('',#582258,#146294); #107378=LINE('',#582259,#146295); #107379=LINE('',#582262,#146296); #107380=LINE('',#582264,#146297); #107381=LINE('',#582266,#146298); #107382=LINE('',#582267,#146299); #107383=LINE('',#582270,#146300); #107384=LINE('',#582271,#146301); #107385=LINE('',#582273,#146302); #107386=LINE('',#582274,#146303); #107387=LINE('',#582277,#146304); #107388=LINE('',#582279,#146305); #107389=LINE('',#582280,#146306); #107390=LINE('',#582281,#146307); #107391=LINE('',#582284,#146308); #107392=LINE('',#582285,#146309); #107393=LINE('',#582288,#146310); #107394=LINE('',#582289,#146311); #107395=LINE('',#582291,#146312); #107396=LINE('',#582292,#146313); #107397=LINE('',#582295,#146314); #107398=LINE('',#582296,#146315); #107399=LINE('',#582297,#146316); #107400=LINE('',#582300,#146317); #107401=LINE('',#582301,#146318); #107402=LINE('',#582305,#146319); #107403=LINE('',#582307,#146320); #107404=LINE('',#582309,#146321); #107405=LINE('',#582311,#146322); #107406=LINE('',#582313,#146323); #107407=LINE('',#582314,#146324); #107408=LINE('',#582315,#146325); #107409=LINE('',#582319,#146326); #107410=LINE('',#582322,#146327); #107411=LINE('',#582323,#146328); #107412=LINE('',#582324,#146329); #107413=LINE('',#582327,#146330); #107414=LINE('',#582331,#146331); #107415=LINE('',#582332,#146332); #107416=LINE('',#582334,#146333); #107417=LINE('',#582335,#146334); #107418=LINE('',#582341,#146335); #107419=LINE('',#582345,#146336); #107420=LINE('',#582347,#146337); #107421=LINE('',#582349,#146338); #107422=LINE('',#582350,#146339); #107423=LINE('',#582351,#146340); #107424=LINE('',#582352,#146341); #107425=LINE('',#582353,#146342); #107426=LINE('',#582355,#146343); #107427=LINE('',#582357,#146344); #107428=LINE('',#582359,#146345); #107429=LINE('',#582360,#146346); #107430=LINE('',#582367,#146347); #107431=LINE('',#582371,#146348); #107432=LINE('',#582373,#146349); #107433=LINE('',#582375,#146350); #107434=LINE('',#582376,#146351); #107435=LINE('',#582378,#146352); #107436=LINE('',#582379,#146353); #107437=LINE('',#582381,#146354); #107438=LINE('',#582382,#146355); #107439=LINE('',#582384,#146356); #107440=LINE('',#582386,#146357); #107441=LINE('',#582388,#146358); #107442=LINE('',#582389,#146359); #107443=LINE('',#582392,#146360); #107444=LINE('',#582394,#146361); #107445=LINE('',#582396,#146362); #107446=LINE('',#582398,#146363); #107447=LINE('',#582402,#146364); #107448=LINE('',#582403,#146365); #107449=LINE('',#582407,#146366); #107450=LINE('',#582408,#146367); #107451=LINE('',#582411,#146368); #107452=LINE('',#582413,#146369); #107453=LINE('',#582415,#146370); #107454=LINE('',#582417,#146371); #107455=LINE('',#582419,#146372); #107456=LINE('',#582421,#146373); #107457=LINE('',#582423,#146374); #107458=LINE('',#582425,#146375); #107459=LINE('',#582427,#146376); #107460=LINE('',#582429,#146377); #107461=LINE('',#582431,#146378); #107462=LINE('',#582439,#146379); #107463=LINE('',#582441,#146380); #107464=LINE('',#582442,#146381); #107465=LINE('',#582445,#146382); #107466=LINE('',#582446,#146383); #107467=LINE('',#582449,#146384); #107468=LINE('',#582450,#146385); #107469=LINE('',#582452,#146386); #107470=LINE('',#582456,#146387); #107471=LINE('',#582458,#146388); #107472=LINE('',#582460,#146389); #107473=LINE('',#582461,#146390); #107474=LINE('',#582463,#146391); #107475=LINE('',#582464,#146392); #107476=LINE('',#582466,#146393); #107477=LINE('',#582468,#146394); #107478=LINE('',#582472,#146395); #107479=LINE('',#582474,#146396); #107480=LINE('',#582475,#146397); #107481=LINE('',#582478,#146398); #107482=LINE('',#582479,#146399); #107483=LINE('',#582482,#146400); #107484=LINE('',#582483,#146401); #107485=LINE('',#582485,#146402); #107486=LINE('',#582489,#146403); #107487=LINE('',#582491,#146404); #107488=LINE('',#582493,#146405); #107489=LINE('',#582494,#146406); #107490=LINE('',#582496,#146407); #107491=LINE('',#582497,#146408); #107492=LINE('',#582499,#146409); #107493=LINE('',#582501,#146410); #107494=LINE('',#582505,#146411); #107495=LINE('',#582507,#146412); #107496=LINE('',#582508,#146413); #107497=LINE('',#582512,#146414); #107498=LINE('',#582514,#146415); #107499=LINE('',#582516,#146416); #107500=LINE('',#582517,#146417); #107501=LINE('',#582520,#146418); #107502=LINE('',#582522,#146419); #107503=LINE('',#582523,#146420); #107504=LINE('',#582525,#146421); #107505=LINE('',#582527,#146422); #107506=LINE('',#582529,#146423); #107507=LINE('',#582530,#146424); #107508=LINE('',#582532,#146425); #107509=LINE('',#582534,#146426); #107510=LINE('',#582538,#146427); #107511=LINE('',#582540,#146428); #107512=LINE('',#582541,#146429); #107513=LINE('',#582545,#146430); #107514=LINE('',#582547,#146431); #107515=LINE('',#582549,#146432); #107516=LINE('',#582550,#146433); #107517=LINE('',#582553,#146434); #107518=LINE('',#582555,#146435); #107519=LINE('',#582556,#146436); #107520=LINE('',#582558,#146437); #107521=LINE('',#582560,#146438); #107522=LINE('',#582562,#146439); #107523=LINE('',#582563,#146440); #107524=LINE('',#582565,#146441); #107525=LINE('',#582567,#146442); #107526=LINE('',#582570,#146443); #107527=LINE('',#582571,#146444); #107528=LINE('',#582573,#146445); #107529=LINE('',#582575,#146446); #107530=LINE('',#582576,#146447); #107531=LINE('',#582578,#146448); #107532=LINE('',#582582,#146449); #107533=LINE('',#582584,#146450); #107534=LINE('',#582626,#146451); #107535=LINE('',#582627,#146452); #107536=LINE('',#582643,#146453); #107537=LINE('',#582644,#146454); #107538=LINE('',#582646,#146455); #107539=LINE('',#582647,#146456); #107540=LINE('',#582656,#146457); #107541=LINE('',#582657,#146458); #107542=LINE('',#582659,#146459); #107543=LINE('',#582660,#146460); #107544=LINE('',#582662,#146461); #107545=LINE('',#582663,#146462); #107546=LINE('',#582665,#146463); #107547=LINE('',#582666,#146464); #107548=LINE('',#582668,#146465); #107549=LINE('',#582669,#146466); #107550=LINE('',#582671,#146467); #107551=LINE('',#582672,#146468); #107552=LINE('',#582674,#146469); #107553=LINE('',#582675,#146470); #107554=LINE('',#582677,#146471); #107555=LINE('',#582678,#146472); #107556=LINE('',#582680,#146473); #107557=LINE('',#582681,#146474); #107558=LINE('',#582724,#146475); #107559=LINE('',#582725,#146476); #107560=LINE('',#582741,#146477); #107561=LINE('',#582742,#146478); #107562=LINE('',#582744,#146479); #107563=LINE('',#582745,#146480); #107564=LINE('',#582754,#146481); #107565=LINE('',#582755,#146482); #107566=LINE('',#582757,#146483); #107567=LINE('',#582758,#146484); #107568=LINE('',#582760,#146485); #107569=LINE('',#582761,#146486); #107570=LINE('',#582763,#146487); #107571=LINE('',#582764,#146488); #107572=LINE('',#582766,#146489); #107573=LINE('',#582767,#146490); #107574=LINE('',#582769,#146491); #107575=LINE('',#582770,#146492); #107576=LINE('',#582772,#146493); #107577=LINE('',#582773,#146494); #107578=LINE('',#582775,#146495); #107579=LINE('',#582776,#146496); #107580=LINE('',#582778,#146497); #107581=LINE('',#582779,#146498); #107582=LINE('',#582789,#146499); #107583=LINE('',#582791,#146500); #107584=LINE('',#582793,#146501); #107585=LINE('',#582794,#146502); #107586=LINE('',#582797,#146503); #107587=LINE('',#582799,#146504); #107588=LINE('',#582800,#146505); #107589=LINE('',#582803,#146506); #107590=LINE('',#582805,#146507); #107591=LINE('',#582806,#146508); #107592=LINE('',#582808,#146509); #107593=LINE('',#582809,#146510); #107594=LINE('',#582873,#146511); #107595=LINE('',#582874,#146512); #107596=LINE('',#582890,#146513); #107597=LINE('',#582891,#146514); #107598=LINE('',#582893,#146515); #107599=LINE('',#582894,#146516); #107600=LINE('',#582903,#146517); #107601=LINE('',#582904,#146518); #107602=LINE('',#582906,#146519); #107603=LINE('',#582907,#146520); #107604=LINE('',#582909,#146521); #107605=LINE('',#582910,#146522); #107606=LINE('',#582912,#146523); #107607=LINE('',#582913,#146524); #107608=LINE('',#582915,#146525); #107609=LINE('',#582916,#146526); #107610=LINE('',#582918,#146527); #107611=LINE('',#582919,#146528); #107612=LINE('',#582921,#146529); #107613=LINE('',#582922,#146530); #107614=LINE('',#582924,#146531); #107615=LINE('',#582925,#146532); #107616=LINE('',#582927,#146533); #107617=LINE('',#582928,#146534); #107618=LINE('',#582971,#146535); #107619=LINE('',#582972,#146536); #107620=LINE('',#582988,#146537); #107621=LINE('',#582989,#146538); #107622=LINE('',#582991,#146539); #107623=LINE('',#582992,#146540); #107624=LINE('',#583001,#146541); #107625=LINE('',#583002,#146542); #107626=LINE('',#583004,#146543); #107627=LINE('',#583005,#146544); #107628=LINE('',#583007,#146545); #107629=LINE('',#583008,#146546); #107630=LINE('',#583010,#146547); #107631=LINE('',#583011,#146548); #107632=LINE('',#583013,#146549); #107633=LINE('',#583014,#146550); #107634=LINE('',#583016,#146551); #107635=LINE('',#583017,#146552); #107636=LINE('',#583019,#146553); #107637=LINE('',#583020,#146554); #107638=LINE('',#583022,#146555); #107639=LINE('',#583023,#146556); #107640=LINE('',#583025,#146557); #107641=LINE('',#583026,#146558); #107642=LINE('',#583036,#146559); #107643=LINE('',#583038,#146560); #107644=LINE('',#583040,#146561); #107645=LINE('',#583041,#146562); #107646=LINE('',#583044,#146563); #107647=LINE('',#583046,#146564); #107648=LINE('',#583047,#146565); #107649=LINE('',#583050,#146566); #107650=LINE('',#583052,#146567); #107651=LINE('',#583053,#146568); #107652=LINE('',#583055,#146569); #107653=LINE('',#583056,#146570); #107654=LINE('',#583098,#146571); #107655=LINE('',#583099,#146572); #107656=LINE('',#583115,#146573); #107657=LINE('',#583116,#146574); #107658=LINE('',#583118,#146575); #107659=LINE('',#583119,#146576); #107660=LINE('',#583128,#146577); #107661=LINE('',#583129,#146578); #107662=LINE('',#583131,#146579); #107663=LINE('',#583132,#146580); #107664=LINE('',#583134,#146581); #107665=LINE('',#583135,#146582); #107666=LINE('',#583137,#146583); #107667=LINE('',#583138,#146584); #107668=LINE('',#583140,#146585); #107669=LINE('',#583141,#146586); #107670=LINE('',#583143,#146587); #107671=LINE('',#583144,#146588); #107672=LINE('',#583146,#146589); #107673=LINE('',#583147,#146590); #107674=LINE('',#583149,#146591); #107675=LINE('',#583150,#146592); #107676=LINE('',#583152,#146593); #107677=LINE('',#583153,#146594); #107678=LINE('',#583196,#146595); #107679=LINE('',#583197,#146596); #107680=LINE('',#583213,#146597); #107681=LINE('',#583214,#146598); #107682=LINE('',#583216,#146599); #107683=LINE('',#583217,#146600); #107684=LINE('',#583226,#146601); #107685=LINE('',#583227,#146602); #107686=LINE('',#583229,#146603); #107687=LINE('',#583230,#146604); #107688=LINE('',#583232,#146605); #107689=LINE('',#583233,#146606); #107690=LINE('',#583235,#146607); #107691=LINE('',#583236,#146608); #107692=LINE('',#583238,#146609); #107693=LINE('',#583239,#146610); #107694=LINE('',#583241,#146611); #107695=LINE('',#583242,#146612); #107696=LINE('',#583244,#146613); #107697=LINE('',#583245,#146614); #107698=LINE('',#583247,#146615); #107699=LINE('',#583248,#146616); #107700=LINE('',#583250,#146617); #107701=LINE('',#583251,#146618); #107702=LINE('',#583261,#146619); #107703=LINE('',#583263,#146620); #107704=LINE('',#583265,#146621); #107705=LINE('',#583266,#146622); #107706=LINE('',#583269,#146623); #107707=LINE('',#583271,#146624); #107708=LINE('',#583272,#146625); #107709=LINE('',#583275,#146626); #107710=LINE('',#583277,#146627); #107711=LINE('',#583278,#146628); #107712=LINE('',#583280,#146629); #107713=LINE('',#583281,#146630); #107714=LINE('',#583291,#146631); #107715=LINE('',#583304,#146632); #107716=LINE('',#583320,#146633); #107717=LINE('',#583335,#146634); #107718=LINE('',#583339,#146635); #107719=LINE('',#583343,#146636); #107720=LINE('',#583351,#146637); #107721=LINE('',#583355,#146638); #107722=LINE('',#583358,#146639); #107723=LINE('',#583371,#146640); #107724=LINE('',#583379,#146641); #107725=LINE('',#583387,#146642); #107726=LINE('',#583403,#146643); #107727=LINE('',#583411,#146644); #107728=LINE('',#583419,#146645); #107729=LINE('',#583428,#146646); #107730=LINE('',#583436,#146647); #107731=LINE('',#583443,#146648); #107732=LINE('',#583445,#146649); #107733=LINE('',#583447,#146650); #107734=LINE('',#583448,#146651); #107735=LINE('',#583453,#146652); #107736=LINE('',#583457,#146653); #107737=LINE('',#583461,#146654); #107738=LINE('',#583464,#146655); #107739=LINE('',#583469,#146656); #107740=LINE('',#583471,#146657); #107741=LINE('',#583473,#146658); #107742=LINE('',#583474,#146659); #107743=LINE('',#583479,#146660); #107744=LINE('',#583483,#146661); #107745=LINE('',#583487,#146662); #107746=LINE('',#583490,#146663); #107747=LINE('',#583495,#146664); #107748=LINE('',#583497,#146665); #107749=LINE('',#583499,#146666); #107750=LINE('',#583500,#146667); #107751=LINE('',#583505,#146668); #107752=LINE('',#583509,#146669); #107753=LINE('',#583513,#146670); #107754=LINE('',#583516,#146671); #107755=LINE('',#583521,#146672); #107756=LINE('',#583523,#146673); #107757=LINE('',#583525,#146674); #107758=LINE('',#583526,#146675); #107759=LINE('',#583531,#146676); #107760=LINE('',#583535,#146677); #107761=LINE('',#583539,#146678); #107762=LINE('',#583542,#146679); #107763=LINE('',#583547,#146680); #107764=LINE('',#583549,#146681); #107765=LINE('',#583551,#146682); #107766=LINE('',#583552,#146683); #107767=LINE('',#583557,#146684); #107768=LINE('',#583561,#146685); #107769=LINE('',#583565,#146686); #107770=LINE('',#583568,#146687); #107771=LINE('',#583573,#146688); #107772=LINE('',#583575,#146689); #107773=LINE('',#583577,#146690); #107774=LINE('',#583578,#146691); #107775=LINE('',#583583,#146692); #107776=LINE('',#583587,#146693); #107777=LINE('',#583591,#146694); #107778=LINE('',#583594,#146695); #107779=LINE('',#583599,#146696); #107780=LINE('',#583601,#146697); #107781=LINE('',#583603,#146698); #107782=LINE('',#583604,#146699); #107783=LINE('',#583609,#146700); #107784=LINE('',#583613,#146701); #107785=LINE('',#583617,#146702); #107786=LINE('',#583620,#146703); #107787=LINE('',#583625,#146704); #107788=LINE('',#583627,#146705); #107789=LINE('',#583629,#146706); #107790=LINE('',#583630,#146707); #107791=LINE('',#583635,#146708); #107792=LINE('',#583639,#146709); #107793=LINE('',#583643,#146710); #107794=LINE('',#583646,#146711); #107795=LINE('',#583651,#146712); #107796=LINE('',#583653,#146713); #107797=LINE('',#583655,#146714); #107798=LINE('',#583656,#146715); #107799=LINE('',#583661,#146716); #107800=LINE('',#583665,#146717); #107801=LINE('',#583669,#146718); #107802=LINE('',#583672,#146719); #107803=LINE('',#583681,#146720); #107804=LINE('',#583687,#146721); #107805=LINE('',#583689,#146722); #107806=LINE('',#583691,#146723); #107807=LINE('',#583692,#146724); #107808=LINE('',#583695,#146725); #107809=LINE('',#583697,#146726); #107810=LINE('',#583698,#146727); #107811=LINE('',#583701,#146728); #107812=LINE('',#583703,#146729); #107813=LINE('',#583704,#146730); #107814=LINE('',#583706,#146731); #107815=LINE('',#583707,#146732); #107816=LINE('',#583710,#146733); #107817=LINE('',#583712,#146734); #107818=LINE('',#583713,#146735); #107819=LINE('',#583716,#146736); #107820=LINE('',#583717,#146737); #107821=LINE('',#583721,#146738); #107822=LINE('',#583722,#146739); #107823=LINE('',#583724,#146740); #107824=LINE('',#583729,#146741); #107825=LINE('',#583731,#146742); #107826=LINE('',#583733,#146743); #107827=LINE('',#583734,#146744); #107828=LINE('',#583737,#146745); #107829=LINE('',#583739,#146746); #107830=LINE('',#583740,#146747); #107831=LINE('',#583743,#146748); #107832=LINE('',#583745,#146749); #107833=LINE('',#583746,#146750); #107834=LINE('',#583748,#146751); #107835=LINE('',#583749,#146752); #107836=LINE('',#583755,#146753); #107837=LINE('',#583757,#146754); #107838=LINE('',#583759,#146755); #107839=LINE('',#583760,#146756); #107840=LINE('',#583763,#146757); #107841=LINE('',#583765,#146758); #107842=LINE('',#583766,#146759); #107843=LINE('',#583772,#146760); #107844=LINE('',#583775,#146761); #107845=LINE('',#583777,#146762); #107846=LINE('',#583778,#146763); #107847=LINE('',#583784,#146764); #107848=LINE('',#583786,#146765); #107849=LINE('',#583787,#146766); #107850=LINE('',#583793,#146767); #107851=LINE('',#583795,#146768); #107852=LINE('',#583797,#146769); #107853=LINE('',#583798,#146770); #107854=LINE('',#583801,#146771); #107855=LINE('',#583803,#146772); #107856=LINE('',#583804,#146773); #107857=LINE('',#583809,#146774); #107858=LINE('',#583813,#146775); #107859=LINE('',#583815,#146776); #107860=LINE('',#583816,#146777); #107861=LINE('',#583821,#146778); #107862=LINE('',#583824,#146779); #107863=LINE('',#583825,#146780); #107864=LINE('',#583831,#146781); #107865=LINE('',#583833,#146782); #107866=LINE('',#583835,#146783); #107867=LINE('',#583836,#146784); #107868=LINE('',#583839,#146785); #107869=LINE('',#583841,#146786); #107870=LINE('',#583842,#146787); #107871=LINE('',#583848,#146788); #107872=LINE('',#583851,#146789); #107873=LINE('',#583853,#146790); #107874=LINE('',#583854,#146791); #107875=LINE('',#583860,#146792); #107876=LINE('',#583862,#146793); #107877=LINE('',#583863,#146794); #107878=LINE('',#583869,#146795); #107879=LINE('',#583871,#146796); #107880=LINE('',#583873,#146797); #107881=LINE('',#583874,#146798); #107882=LINE('',#583877,#146799); #107883=LINE('',#583879,#146800); #107884=LINE('',#583880,#146801); #107885=LINE('',#583886,#146802); #107886=LINE('',#583889,#146803); #107887=LINE('',#583891,#146804); #107888=LINE('',#583892,#146805); #107889=LINE('',#583898,#146806); #107890=LINE('',#583900,#146807); #107891=LINE('',#583901,#146808); #107892=LINE('',#583907,#146809); #107893=LINE('',#583909,#146810); #107894=LINE('',#583911,#146811); #107895=LINE('',#583912,#146812); #107896=LINE('',#583915,#146813); #107897=LINE('',#583917,#146814); #107898=LINE('',#583918,#146815); #107899=LINE('',#583924,#146816); #107900=LINE('',#583927,#146817); #107901=LINE('',#583929,#146818); #107902=LINE('',#583930,#146819); #107903=LINE('',#583936,#146820); #107904=LINE('',#583938,#146821); #107905=LINE('',#583939,#146822); #107906=LINE('',#583945,#146823); #107907=LINE('',#583947,#146824); #107908=LINE('',#583949,#146825); #107909=LINE('',#583950,#146826); #107910=LINE('',#583953,#146827); #107911=LINE('',#583955,#146828); #107912=LINE('',#583956,#146829); #107913=LINE('',#583961,#146830); #107914=LINE('',#583965,#146831); #107915=LINE('',#583967,#146832); #107916=LINE('',#583968,#146833); #107917=LINE('',#583973,#146834); #107918=LINE('',#583976,#146835); #107919=LINE('',#583977,#146836); #107920=LINE('',#583983,#146837); #107921=LINE('',#583985,#146838); #107922=LINE('',#583987,#146839); #107923=LINE('',#583988,#146840); #107924=LINE('',#583991,#146841); #107925=LINE('',#583993,#146842); #107926=LINE('',#583994,#146843); #107927=LINE('',#583999,#146844); #107928=LINE('',#584003,#146845); #107929=LINE('',#584005,#146846); #107930=LINE('',#584006,#146847); #107931=LINE('',#584011,#146848); #107932=LINE('',#584014,#146849); #107933=LINE('',#584015,#146850); #107934=LINE('',#584021,#146851); #107935=LINE('',#584023,#146852); #107936=LINE('',#584025,#146853); #107937=LINE('',#584026,#146854); #107938=LINE('',#584029,#146855); #107939=LINE('',#584031,#146856); #107940=LINE('',#584032,#146857); #107941=LINE('',#584037,#146858); #107942=LINE('',#584041,#146859); #107943=LINE('',#584043,#146860); #107944=LINE('',#584044,#146861); #107945=LINE('',#584049,#146862); #107946=LINE('',#584052,#146863); #107947=LINE('',#584053,#146864); #107948=LINE('',#584059,#146865); #107949=LINE('',#584061,#146866); #107950=LINE('',#584063,#146867); #107951=LINE('',#584064,#146868); #107952=LINE('',#584067,#146869); #107953=LINE('',#584069,#146870); #107954=LINE('',#584070,#146871); #107955=LINE('',#584073,#146872); #107956=LINE('',#584075,#146873); #107957=LINE('',#584076,#146874); #107958=LINE('',#584078,#146875); #107959=LINE('',#584079,#146876); #107960=LINE('',#584085,#146877); #107961=LINE('',#584087,#146878); #107962=LINE('',#584089,#146879); #107963=LINE('',#584090,#146880); #107964=LINE('',#584093,#146881); #107965=LINE('',#584095,#146882); #107966=LINE('',#584096,#146883); #107967=LINE('',#584099,#146884); #107968=LINE('',#584101,#146885); #107969=LINE('',#584102,#146886); #107970=LINE('',#584104,#146887); #107971=LINE('',#584105,#146888); #107972=LINE('',#584111,#146889); #107973=LINE('',#584113,#146890); #107974=LINE('',#584115,#146891); #107975=LINE('',#584116,#146892); #107976=LINE('',#584119,#146893); #107977=LINE('',#584121,#146894); #107978=LINE('',#584122,#146895); #107979=LINE('',#584125,#146896); #107980=LINE('',#584127,#146897); #107981=LINE('',#584128,#146898); #107982=LINE('',#584130,#146899); #107983=LINE('',#584131,#146900); #107984=LINE('',#584137,#146901); #107985=LINE('',#584139,#146902); #107986=LINE('',#584141,#146903); #107987=LINE('',#584142,#146904); #107988=LINE('',#584145,#146905); #107989=LINE('',#584147,#146906); #107990=LINE('',#584148,#146907); #107991=LINE('',#584151,#146908); #107992=LINE('',#584153,#146909); #107993=LINE('',#584154,#146910); #107994=LINE('',#584156,#146911); #107995=LINE('',#584157,#146912); #107996=LINE('',#584163,#146913); #107997=LINE('',#584165,#146914); #107998=LINE('',#584167,#146915); #107999=LINE('',#584168,#146916); #108000=LINE('',#584171,#146917); #108001=LINE('',#584173,#146918); #108002=LINE('',#584174,#146919); #108003=LINE('',#584177,#146920); #108004=LINE('',#584179,#146921); #108005=LINE('',#584180,#146922); #108006=LINE('',#584182,#146923); #108007=LINE('',#584183,#146924); #108008=LINE('',#584189,#146925); #108009=LINE('',#584191,#146926); #108010=LINE('',#584193,#146927); #108011=LINE('',#584194,#146928); #108012=LINE('',#584197,#146929); #108013=LINE('',#584199,#146930); #108014=LINE('',#584200,#146931); #108015=LINE('',#584203,#146932); #108016=LINE('',#584205,#146933); #108017=LINE('',#584206,#146934); #108018=LINE('',#584208,#146935); #108019=LINE('',#584209,#146936); #108020=LINE('',#584215,#146937); #108021=LINE('',#584217,#146938); #108022=LINE('',#584219,#146939); #108023=LINE('',#584220,#146940); #108024=LINE('',#584223,#146941); #108025=LINE('',#584225,#146942); #108026=LINE('',#584226,#146943); #108027=LINE('',#584229,#146944); #108028=LINE('',#584231,#146945); #108029=LINE('',#584232,#146946); #108030=LINE('',#584234,#146947); #108031=LINE('',#584235,#146948); #108032=LINE('',#584241,#146949); #108033=LINE('',#584243,#146950); #108034=LINE('',#584245,#146951); #108035=LINE('',#584246,#146952); #108036=LINE('',#584249,#146953); #108037=LINE('',#584251,#146954); #108038=LINE('',#584252,#146955); #108039=LINE('',#584255,#146956); #108040=LINE('',#584257,#146957); #108041=LINE('',#584258,#146958); #108042=LINE('',#584260,#146959); #108043=LINE('',#584261,#146960); #108044=LINE('',#584271,#146961); #108045=LINE('',#584277,#146962); #108046=LINE('',#584279,#146963); #108047=LINE('',#584281,#146964); #108048=LINE('',#584282,#146965); #108049=LINE('',#584285,#146966); #108050=LINE('',#584287,#146967); #108051=LINE('',#584288,#146968); #108052=LINE('',#584291,#146969); #108053=LINE('',#584293,#146970); #108054=LINE('',#584294,#146971); #108055=LINE('',#584296,#146972); #108056=LINE('',#584297,#146973); #108057=LINE('',#584300,#146974); #108058=LINE('',#584302,#146975); #108059=LINE('',#584303,#146976); #108060=LINE('',#584306,#146977); #108061=LINE('',#584307,#146978); #108062=LINE('',#584311,#146979); #108063=LINE('',#584312,#146980); #108064=LINE('',#584314,#146981); #108065=LINE('',#584319,#146982); #108066=LINE('',#584321,#146983); #108067=LINE('',#584323,#146984); #108068=LINE('',#584324,#146985); #108069=LINE('',#584327,#146986); #108070=LINE('',#584329,#146987); #108071=LINE('',#584330,#146988); #108072=LINE('',#584333,#146989); #108073=LINE('',#584335,#146990); #108074=LINE('',#584336,#146991); #108075=LINE('',#584338,#146992); #108076=LINE('',#584339,#146993); #108077=LINE('',#584345,#146994); #108078=LINE('',#584347,#146995); #108079=LINE('',#584349,#146996); #108080=LINE('',#584350,#146997); #108081=LINE('',#584353,#146998); #108082=LINE('',#584355,#146999); #108083=LINE('',#584356,#147000); #108084=LINE('',#584362,#147001); #108085=LINE('',#584365,#147002); #108086=LINE('',#584367,#147003); #108087=LINE('',#584368,#147004); #108088=LINE('',#584374,#147005); #108089=LINE('',#584376,#147006); #108090=LINE('',#584377,#147007); #108091=LINE('',#584383,#147008); #108092=LINE('',#584385,#147009); #108093=LINE('',#584387,#147010); #108094=LINE('',#584388,#147011); #108095=LINE('',#584391,#147012); #108096=LINE('',#584393,#147013); #108097=LINE('',#584394,#147014); #108098=LINE('',#584399,#147015); #108099=LINE('',#584403,#147016); #108100=LINE('',#584405,#147017); #108101=LINE('',#584406,#147018); #108102=LINE('',#584411,#147019); #108103=LINE('',#584414,#147020); #108104=LINE('',#584415,#147021); #108105=LINE('',#584421,#147022); #108106=LINE('',#584423,#147023); #108107=LINE('',#584425,#147024); #108108=LINE('',#584426,#147025); #108109=LINE('',#584429,#147026); #108110=LINE('',#584431,#147027); #108111=LINE('',#584432,#147028); #108112=LINE('',#584438,#147029); #108113=LINE('',#584441,#147030); #108114=LINE('',#584443,#147031); #108115=LINE('',#584444,#147032); #108116=LINE('',#584450,#147033); #108117=LINE('',#584452,#147034); #108118=LINE('',#584453,#147035); #108119=LINE('',#584459,#147036); #108120=LINE('',#584461,#147037); #108121=LINE('',#584463,#147038); #108122=LINE('',#584464,#147039); #108123=LINE('',#584467,#147040); #108124=LINE('',#584469,#147041); #108125=LINE('',#584470,#147042); #108126=LINE('',#584476,#147043); #108127=LINE('',#584479,#147044); #108128=LINE('',#584481,#147045); #108129=LINE('',#584482,#147046); #108130=LINE('',#584488,#147047); #108131=LINE('',#584490,#147048); #108132=LINE('',#584491,#147049); #108133=LINE('',#584497,#147050); #108134=LINE('',#584499,#147051); #108135=LINE('',#584501,#147052); #108136=LINE('',#584502,#147053); #108137=LINE('',#584505,#147054); #108138=LINE('',#584507,#147055); #108139=LINE('',#584508,#147056); #108140=LINE('',#584514,#147057); #108141=LINE('',#584517,#147058); #108142=LINE('',#584519,#147059); #108143=LINE('',#584520,#147060); #108144=LINE('',#584526,#147061); #108145=LINE('',#584528,#147062); #108146=LINE('',#584529,#147063); #108147=LINE('',#584535,#147064); #108148=LINE('',#584537,#147065); #108149=LINE('',#584539,#147066); #108150=LINE('',#584540,#147067); #108151=LINE('',#584543,#147068); #108152=LINE('',#584545,#147069); #108153=LINE('',#584546,#147070); #108154=LINE('',#584551,#147071); #108155=LINE('',#584555,#147072); #108156=LINE('',#584557,#147073); #108157=LINE('',#584558,#147074); #108158=LINE('',#584563,#147075); #108159=LINE('',#584566,#147076); #108160=LINE('',#584567,#147077); #108161=LINE('',#584573,#147078); #108162=LINE('',#584575,#147079); #108163=LINE('',#584577,#147080); #108164=LINE('',#584578,#147081); #108165=LINE('',#584581,#147082); #108166=LINE('',#584583,#147083); #108167=LINE('',#584584,#147084); #108168=LINE('',#584589,#147085); #108169=LINE('',#584593,#147086); #108170=LINE('',#584595,#147087); #108171=LINE('',#584596,#147088); #108172=LINE('',#584601,#147089); #108173=LINE('',#584604,#147090); #108174=LINE('',#584605,#147091); #108175=LINE('',#584611,#147092); #108176=LINE('',#584613,#147093); #108177=LINE('',#584615,#147094); #108178=LINE('',#584616,#147095); #108179=LINE('',#584619,#147096); #108180=LINE('',#584621,#147097); #108181=LINE('',#584622,#147098); #108182=LINE('',#584627,#147099); #108183=LINE('',#584631,#147100); #108184=LINE('',#584633,#147101); #108185=LINE('',#584634,#147102); #108186=LINE('',#584639,#147103); #108187=LINE('',#584642,#147104); #108188=LINE('',#584643,#147105); #108189=LINE('',#584649,#147106); #108190=LINE('',#584651,#147107); #108191=LINE('',#584653,#147108); #108192=LINE('',#584654,#147109); #108193=LINE('',#584657,#147110); #108194=LINE('',#584659,#147111); #108195=LINE('',#584660,#147112); #108196=LINE('',#584663,#147113); #108197=LINE('',#584665,#147114); #108198=LINE('',#584666,#147115); #108199=LINE('',#584668,#147116); #108200=LINE('',#584669,#147117); #108201=LINE('',#584675,#147118); #108202=LINE('',#584677,#147119); #108203=LINE('',#584679,#147120); #108204=LINE('',#584680,#147121); #108205=LINE('',#584683,#147122); #108206=LINE('',#584685,#147123); #108207=LINE('',#584686,#147124); #108208=LINE('',#584689,#147125); #108209=LINE('',#584691,#147126); #108210=LINE('',#584692,#147127); #108211=LINE('',#584694,#147128); #108212=LINE('',#584695,#147129); #108213=LINE('',#584701,#147130); #108214=LINE('',#584703,#147131); #108215=LINE('',#584705,#147132); #108216=LINE('',#584706,#147133); #108217=LINE('',#584709,#147134); #108218=LINE('',#584711,#147135); #108219=LINE('',#584712,#147136); #108220=LINE('',#584715,#147137); #108221=LINE('',#584717,#147138); #108222=LINE('',#584718,#147139); #108223=LINE('',#584720,#147140); #108224=LINE('',#584721,#147141); #108225=LINE('',#584727,#147142); #108226=LINE('',#584729,#147143); #108227=LINE('',#584731,#147144); #108228=LINE('',#584732,#147145); #108229=LINE('',#584735,#147146); #108230=LINE('',#584737,#147147); #108231=LINE('',#584738,#147148); #108232=LINE('',#584741,#147149); #108233=LINE('',#584743,#147150); #108234=LINE('',#584744,#147151); #108235=LINE('',#584746,#147152); #108236=LINE('',#584747,#147153); #108237=LINE('',#584753,#147154); #108238=LINE('',#584755,#147155); #108239=LINE('',#584757,#147156); #108240=LINE('',#584758,#147157); #108241=LINE('',#584761,#147158); #108242=LINE('',#584763,#147159); #108243=LINE('',#584764,#147160); #108244=LINE('',#584767,#147161); #108245=LINE('',#584769,#147162); #108246=LINE('',#584770,#147163); #108247=LINE('',#584772,#147164); #108248=LINE('',#584773,#147165); #108249=LINE('',#584779,#147166); #108250=LINE('',#584781,#147167); #108251=LINE('',#584783,#147168); #108252=LINE('',#584784,#147169); #108253=LINE('',#584787,#147170); #108254=LINE('',#584789,#147171); #108255=LINE('',#584790,#147172); #108256=LINE('',#584793,#147173); #108257=LINE('',#584795,#147174); #108258=LINE('',#584796,#147175); #108259=LINE('',#584798,#147176); #108260=LINE('',#584799,#147177); #108261=LINE('',#584805,#147178); #108262=LINE('',#584807,#147179); #108263=LINE('',#584809,#147180); #108264=LINE('',#584810,#147181); #108265=LINE('',#584813,#147182); #108266=LINE('',#584815,#147183); #108267=LINE('',#584816,#147184); #108268=LINE('',#584819,#147185); #108269=LINE('',#584821,#147186); #108270=LINE('',#584822,#147187); #108271=LINE('',#584824,#147188); #108272=LINE('',#584825,#147189); #108273=LINE('',#584831,#147190); #108274=LINE('',#584833,#147191); #108275=LINE('',#584835,#147192); #108276=LINE('',#584836,#147193); #108277=LINE('',#584839,#147194); #108278=LINE('',#584841,#147195); #108279=LINE('',#584842,#147196); #108280=LINE('',#584845,#147197); #108281=LINE('',#584847,#147198); #108282=LINE('',#584848,#147199); #108283=LINE('',#584850,#147200); #108284=LINE('',#584851,#147201); #108285=LINE('',#584860,#147202); #108286=LINE('',#584862,#147203); #108287=LINE('',#584864,#147204); #108288=LINE('',#584865,#147205); #108289=LINE('',#584868,#147206); #108290=LINE('',#584870,#147207); #108291=LINE('',#584871,#147208); #108292=LINE('',#584874,#147209); #108293=LINE('',#584876,#147210); #108294=LINE('',#584877,#147211); #108295=LINE('',#584879,#147212); #108296=LINE('',#584880,#147213); #108297=LINE('',#584883,#147214); #108298=LINE('',#584885,#147215); #108299=LINE('',#584886,#147216); #108300=LINE('',#584889,#147217); #108301=LINE('',#584890,#147218); #108302=LINE('',#584894,#147219); #108303=LINE('',#584895,#147220); #108304=LINE('',#584897,#147221); #108305=LINE('',#584902,#147222); #108306=LINE('',#584904,#147223); #108307=LINE('',#584906,#147224); #108308=LINE('',#584907,#147225); #108309=LINE('',#584910,#147226); #108310=LINE('',#584912,#147227); #108311=LINE('',#584913,#147228); #108312=LINE('',#584916,#147229); #108313=LINE('',#584918,#147230); #108314=LINE('',#584919,#147231); #108315=LINE('',#584921,#147232); #108316=LINE('',#584922,#147233); #108317=LINE('',#584928,#147234); #108318=LINE('',#584930,#147235); #108319=LINE('',#584932,#147236); #108320=LINE('',#584933,#147237); #108321=LINE('',#584936,#147238); #108322=LINE('',#584938,#147239); #108323=LINE('',#584939,#147240); #108324=LINE('',#584942,#147241); #108325=LINE('',#584944,#147242); #108326=LINE('',#584945,#147243); #108327=LINE('',#584947,#147244); #108328=LINE('',#584948,#147245); #108329=LINE('',#584954,#147246); #108330=LINE('',#584956,#147247); #108331=LINE('',#584958,#147248); #108332=LINE('',#584959,#147249); #108333=LINE('',#584962,#147250); #108334=LINE('',#584964,#147251); #108335=LINE('',#584965,#147252); #108336=LINE('',#584968,#147253); #108337=LINE('',#584970,#147254); #108338=LINE('',#584971,#147255); #108339=LINE('',#584973,#147256); #108340=LINE('',#584974,#147257); #108341=LINE('',#584980,#147258); #108342=LINE('',#584982,#147259); #108343=LINE('',#584984,#147260); #108344=LINE('',#584985,#147261); #108345=LINE('',#584988,#147262); #108346=LINE('',#584990,#147263); #108347=LINE('',#584991,#147264); #108348=LINE('',#584994,#147265); #108349=LINE('',#584996,#147266); #108350=LINE('',#584997,#147267); #108351=LINE('',#584999,#147268); #108352=LINE('',#585000,#147269); #108353=LINE('',#585010,#147270); #108354=LINE('',#585016,#147271); #108355=LINE('',#585023,#147272); #108356=LINE('',#585076,#147273); #108357=LINE('',#585130,#147274); #108358=LINE('',#585157,#147275); #108359=LINE('',#585202,#147276); #108360=LINE('',#585253,#147277); #108361=LINE('',#586054,#147278); #108362=LINE('',#586056,#147279); #108363=LINE('',#586058,#147280); #108364=LINE('',#586060,#147281); #108365=LINE('',#586062,#147282); #108366=LINE('',#586063,#147283); #108367=LINE('',#586065,#147284); #108368=LINE('',#586066,#147285); #108369=LINE('',#586068,#147286); #108370=LINE('',#586070,#147287); #108371=LINE('',#586072,#147288); #108372=LINE('',#586074,#147289); #108373=LINE('',#586079,#147290); #108374=LINE('',#586103,#147291); #108375=LINE('',#586151,#147292); #108376=LINE('',#586199,#147293); #108377=LINE('',#586247,#147294); #108378=LINE('',#586301,#147295); #108379=LINE('',#586349,#147296); #108380=LINE('',#586397,#147297); #108381=LINE('',#586407,#147298); #108382=LINE('',#586432,#147299); #108383=LINE('',#586456,#147300); #108384=LINE('',#586481,#147301); #108385=LINE('',#586506,#147302); #108386=LINE('',#586530,#147303); #108387=LINE('',#586554,#147304); #108388=LINE('',#586556,#147305); #108389=LINE('',#586558,#147306); #108390=LINE('',#586559,#147307); #108391=LINE('',#586563,#147308); #108392=LINE('',#586565,#147309); #108393=LINE('',#586567,#147310); #108394=LINE('',#586568,#147311); #108395=LINE('',#586572,#147312); #108396=LINE('',#586574,#147313); #108397=LINE('',#586576,#147314); #108398=LINE('',#586577,#147315); #108399=LINE('',#586581,#147316); #108400=LINE('',#586583,#147317); #108401=LINE('',#586585,#147318); #108402=LINE('',#586586,#147319); #108403=LINE('',#586590,#147320); #108404=LINE('',#586592,#147321); #108405=LINE('',#586594,#147322); #108406=LINE('',#586595,#147323); #108407=LINE('',#586599,#147324); #108408=LINE('',#586601,#147325); #108409=LINE('',#586603,#147326); #108410=LINE('',#586604,#147327); #108411=LINE('',#586608,#147328); #108412=LINE('',#586610,#147329); #108413=LINE('',#586612,#147330); #108414=LINE('',#586613,#147331); #108415=LINE('',#586632,#147332); #108416=LINE('',#586648,#147333); #108417=LINE('',#586654,#147334); #108418=LINE('',#586657,#147335); #108419=LINE('',#586659,#147336); #108420=LINE('',#586660,#147337); #108421=LINE('',#586662,#147338); #108422=LINE('',#586663,#147339); #108423=LINE('',#586666,#147340); #108424=LINE('',#586672,#147341); #108425=LINE('',#586674,#147342); #108426=LINE('',#586676,#147343); #108427=LINE('',#586678,#147344); #108428=LINE('',#586680,#147345); #108429=LINE('',#586683,#147346); #108430=LINE('',#586686,#147347); #108431=LINE('',#586688,#147348); #108432=LINE('',#586689,#147349); #108433=LINE('',#586708,#147350); #108434=LINE('',#586724,#147351); #108435=LINE('',#586730,#147352); #108436=LINE('',#586732,#147353); #108437=LINE('',#586733,#147354); #108438=LINE('',#586735,#147355); #108439=LINE('',#586739,#147356); #108440=LINE('',#586741,#147357); #108441=LINE('',#586746,#147358); #108442=LINE('',#586749,#147359); #108443=LINE('',#586751,#147360); #108444=LINE('',#586758,#147361); #108445=LINE('',#586760,#147362); #108446=LINE('',#586765,#147363); #108447=LINE('',#586768,#147364); #108448=LINE('',#586770,#147365); #108449=LINE('',#586777,#147366); #108450=LINE('',#586779,#147367); #108451=LINE('',#586784,#147368); #108452=LINE('',#586787,#147369); #108453=LINE('',#586789,#147370); #108454=LINE('',#586798,#147371); #108455=LINE('',#586801,#147372); #108456=LINE('',#586808,#147373); #108457=LINE('',#586810,#147374); #108458=LINE('',#586815,#147375); #108459=LINE('',#586818,#147376); #108460=LINE('',#586820,#147377); #108461=LINE('',#586827,#147378); #108462=LINE('',#586829,#147379); #108463=LINE('',#586834,#147380); #108464=LINE('',#586837,#147381); #108465=LINE('',#586839,#147382); #108466=LINE('',#586846,#147383); #108467=LINE('',#586848,#147384); #108468=LINE('',#586853,#147385); #108469=LINE('',#586856,#147386); #108470=LINE('',#586858,#147387); #108471=LINE('',#586865,#147388); #108472=LINE('',#586867,#147389); #108473=LINE('',#586872,#147390); #108474=LINE('',#586875,#147391); #108475=LINE('',#586877,#147392); #108476=LINE('',#586921,#147393); #108477=LINE('',#586923,#147394); #108478=LINE('',#586928,#147395); #108479=LINE('',#586931,#147396); #108480=LINE('',#586933,#147397); #108481=LINE('',#586940,#147398); #108482=LINE('',#586942,#147399); #108483=LINE('',#586947,#147400); #108484=LINE('',#586950,#147401); #108485=LINE('',#586952,#147402); #108486=LINE('',#586959,#147403); #108487=LINE('',#586961,#147404); #108488=LINE('',#586966,#147405); #108489=LINE('',#586969,#147406); #108490=LINE('',#586971,#147407); #108491=LINE('',#586978,#147408); #108492=LINE('',#586980,#147409); #108493=LINE('',#586985,#147410); #108494=LINE('',#586988,#147411); #108495=LINE('',#586990,#147412); #108496=LINE('',#587055,#147413); #108497=LINE('',#587057,#147414); #108498=LINE('',#587061,#147415); #108499=LINE('',#587062,#147416); #108500=LINE('',#587063,#147417); #108501=LINE('',#587066,#147418); #108502=LINE('',#587067,#147419); #108503=LINE('',#587071,#147420); #108504=LINE('',#587072,#147421); #108505=LINE('',#587073,#147422); #108506=LINE('',#587076,#147423); #108507=LINE('',#587077,#147424); #108508=LINE('',#587081,#147425); #108509=LINE('',#587082,#147426); #108510=LINE('',#587083,#147427); #108511=LINE('',#587086,#147428); #108512=LINE('',#587087,#147429); #108513=LINE('',#587107,#147430); #108514=LINE('',#587111,#147431); #108515=LINE('',#587113,#147432); #108516=LINE('',#587115,#147433); #108517=LINE('',#587116,#147434); #108518=LINE('',#587120,#147435); #108519=LINE('',#587122,#147436); #108520=LINE('',#587124,#147437); #108521=LINE('',#587125,#147438); #108522=LINE('',#587130,#147439); #108523=LINE('',#587137,#147440); #108524=LINE('',#587140,#147441); #108525=LINE('',#587144,#147442); #108526=LINE('',#587146,#147443); #108527=LINE('',#587150,#147444); #108528=LINE('',#587154,#147445); #108529=LINE('',#587156,#147446); #108530=LINE('',#587159,#147447); #108531=LINE('',#587162,#147448); #108532=LINE('',#587163,#147449); #108533=LINE('',#587164,#147450); #108534=LINE('',#587167,#147451); #108535=LINE('',#587169,#147452); #108536=LINE('',#587170,#147453); #108537=LINE('',#587173,#147454); #108538=LINE('',#587175,#147455); #108539=LINE('',#587176,#147456); #108540=LINE('',#587179,#147457); #108541=LINE('',#587180,#147458); #108542=LINE('',#587184,#147459); #108543=LINE('',#587187,#147460); #108544=LINE('',#587188,#147461); #108545=LINE('',#587194,#147462); #108546=LINE('',#587197,#147463); #108547=LINE('',#587198,#147464); #108548=LINE('',#587200,#147465); #108549=LINE('',#587204,#147466); #108550=LINE('',#587208,#147467); #108551=LINE('',#587210,#147468); #108552=LINE('',#587214,#147469); #108553=LINE('',#587216,#147470); #108554=LINE('',#587220,#147471); #108555=LINE('',#587224,#147472); #108556=LINE('',#587226,#147473); #108557=LINE('',#587229,#147474); #108558=LINE('',#587232,#147475); #108559=LINE('',#587234,#147476); #108560=LINE('',#587235,#147477); #108561=LINE('',#587238,#147478); #108562=LINE('',#587240,#147479); #108563=LINE('',#587244,#147480); #108564=LINE('',#587246,#147481); #108565=LINE('',#587250,#147482); #108566=LINE('',#587254,#147483); #108567=LINE('',#587256,#147484); #108568=LINE('',#587259,#147485); #108569=LINE('',#587260,#147486); #108570=LINE('',#587262,#147487); #108571=LINE('',#587264,#147488); #108572=LINE('',#587266,#147489); #108573=LINE('',#587267,#147490); #108574=LINE('',#587269,#147491); #108575=LINE('',#587271,#147492); #108576=LINE('',#587273,#147493); #108577=LINE('',#587277,#147494); #108578=LINE('',#587281,#147495); #108579=LINE('',#587283,#147496); #108580=LINE('',#587285,#147497); #108581=LINE('',#587286,#147498); #108582=LINE('',#587292,#147499); #108583=LINE('',#587296,#147500); #108584=LINE('',#587300,#147501); #108585=LINE('',#587304,#147502); #108586=LINE('',#587306,#147503); #108587=LINE('',#587307,#147504); #108588=LINE('',#587310,#147505); #108589=LINE('',#587314,#147506); #108590=LINE('',#587316,#147507); #108591=LINE('',#587320,#147508); #108592=LINE('',#587324,#147509); #108593=LINE('',#587326,#147510); #108594=LINE('',#587329,#147511); #108595=LINE('',#587332,#147512); #108596=LINE('',#587333,#147513); #108597=LINE('',#587334,#147514); #108598=LINE('',#587339,#147515); #108599=LINE('',#587340,#147516); #108600=LINE('',#587343,#147517); #108601=LINE('',#587347,#147518); #108602=LINE('',#587348,#147519); #108603=LINE('',#587350,#147520); #108604=LINE('',#587354,#147521); #108605=LINE('',#587356,#147522); #108606=LINE('',#587359,#147523); #108607=LINE('',#587361,#147524); #108608=LINE('',#587363,#147525); #108609=LINE('',#587365,#147526); #108610=LINE('',#587367,#147527); #108611=LINE('',#587368,#147528); #108612=LINE('',#587370,#147529); #108613=LINE('',#587372,#147530); #108614=LINE('',#587378,#147531); #108615=LINE('',#587380,#147532); #108616=LINE('',#587382,#147533); #108617=LINE('',#587383,#147534); #108618=LINE('',#587387,#147535); #108619=LINE('',#587389,#147536); #108620=LINE('',#587391,#147537); #108621=LINE('',#587392,#147538); #108622=LINE('',#587397,#147539); #108623=LINE('',#587404,#147540); #108624=LINE('',#587407,#147541); #108625=LINE('',#587411,#147542); #108626=LINE('',#587413,#147543); #108627=LINE('',#587417,#147544); #108628=LINE('',#587421,#147545); #108629=LINE('',#587423,#147546); #108630=LINE('',#587426,#147547); #108631=LINE('',#587429,#147548); #108632=LINE('',#587430,#147549); #108633=LINE('',#587431,#147550); #108634=LINE('',#587434,#147551); #108635=LINE('',#587436,#147552); #108636=LINE('',#587437,#147553); #108637=LINE('',#587440,#147554); #108638=LINE('',#587442,#147555); #108639=LINE('',#587443,#147556); #108640=LINE('',#587446,#147557); #108641=LINE('',#587447,#147558); #108642=LINE('',#587451,#147559); #108643=LINE('',#587454,#147560); #108644=LINE('',#587455,#147561); #108645=LINE('',#587461,#147562); #108646=LINE('',#587464,#147563); #108647=LINE('',#587465,#147564); #108648=LINE('',#587467,#147565); #108649=LINE('',#587471,#147566); #108650=LINE('',#587475,#147567); #108651=LINE('',#587477,#147568); #108652=LINE('',#587481,#147569); #108653=LINE('',#587483,#147570); #108654=LINE('',#587487,#147571); #108655=LINE('',#587491,#147572); #108656=LINE('',#587493,#147573); #108657=LINE('',#587496,#147574); #108658=LINE('',#587499,#147575); #108659=LINE('',#587501,#147576); #108660=LINE('',#587502,#147577); #108661=LINE('',#587505,#147578); #108662=LINE('',#587507,#147579); #108663=LINE('',#587511,#147580); #108664=LINE('',#587513,#147581); #108665=LINE('',#587517,#147582); #108666=LINE('',#587521,#147583); #108667=LINE('',#587523,#147584); #108668=LINE('',#587526,#147585); #108669=LINE('',#587527,#147586); #108670=LINE('',#587529,#147587); #108671=LINE('',#587530,#147588); #108672=LINE('',#587532,#147589); #108673=LINE('',#587533,#147590); #108674=LINE('',#587535,#147591); #108675=LINE('',#587538,#147592); #108676=LINE('',#587541,#147593); #108677=LINE('',#587543,#147594); #108678=LINE('',#587547,#147595); #108679=LINE('',#587562,#147596); #108680=LINE('',#587566,#147597); #108681=LINE('',#587567,#147598); #108682=LINE('',#587570,#147599); #108683=LINE('',#587572,#147600); #108684=LINE('',#587574,#147601); #108685=LINE('',#587576,#147602); #108686=LINE('',#587578,#147603); #108687=LINE('',#587580,#147604); #108688=LINE('',#587581,#147605); #108689=LINE('',#587582,#147606); #108690=LINE('',#587585,#147607); #108691=LINE('',#587587,#147608); #108692=LINE('',#587589,#147609); #108693=LINE('',#587593,#147610); #108694=LINE('',#587609,#147611); #108695=LINE('',#587613,#147612); #108696=LINE('',#587616,#147613); #108697=LINE('',#587617,#147614); #108698=LINE('',#587622,#147615); #108699=LINE('',#587626,#147616); #108700=LINE('',#587629,#147617); #108701=LINE('',#587633,#147618); #108702=LINE('',#587635,#147619); #108703=LINE('',#587637,#147620); #108704=LINE('',#587639,#147621); #108705=LINE('',#587641,#147622); #108706=LINE('',#587643,#147623); #108707=LINE('',#587645,#147624); #108708=LINE('',#587647,#147625); #108709=LINE('',#587648,#147626); #108710=LINE('',#588446,#147627); #108711=LINE('',#588448,#147628); #108712=LINE('',#588450,#147629); #108713=LINE('',#588452,#147630); #108714=LINE('',#588454,#147631); #108715=LINE('',#588455,#147632); #108716=LINE('',#588458,#147633); #108717=LINE('',#588460,#147634); #108718=LINE('',#588461,#147635); #108719=LINE('',#588464,#147636); #108720=LINE('',#588466,#147637); #108721=LINE('',#588467,#147638); #108722=LINE('',#588470,#147639); #108723=LINE('',#588471,#147640); #108724=LINE('',#588474,#147641); #108725=LINE('',#588475,#147642); #108726=LINE('',#588476,#147643); #108727=LINE('',#588480,#147644); #108728=LINE('',#588482,#147645); #108729=LINE('',#588484,#147646); #108730=LINE('',#588486,#147647); #108731=LINE('',#588488,#147648); #108732=LINE('',#588490,#147649); #108733=LINE('',#588492,#147650); #108734=LINE('',#588494,#147651); #108735=LINE('',#588495,#147652); #108736=LINE('',#588500,#147653); #108737=LINE('',#588502,#147654); #108738=LINE('',#588504,#147655); #108739=LINE('',#588505,#147656); #108740=LINE('',#588508,#147657); #108741=LINE('',#588510,#147658); #108742=LINE('',#588511,#147659); #108743=LINE('',#588515,#147660); #108744=LINE('',#588517,#147661); #108745=LINE('',#588519,#147662); #108746=LINE('',#588520,#147663); #108747=LINE('',#588524,#147664); #108748=LINE('',#588526,#147665); #108749=LINE('',#588528,#147666); #108750=LINE('',#588529,#147667); #108751=LINE('',#588532,#147668); #108752=LINE('',#588534,#147669); #108753=LINE('',#588535,#147670); #108754=LINE('',#588539,#147671); #108755=LINE('',#588541,#147672); #108756=LINE('',#588543,#147673); #108757=LINE('',#588544,#147674); #108758=LINE('',#588549,#147675); #108759=LINE('',#588554,#147676); #108760=LINE('',#588555,#147677); #108761=LINE('',#588556,#147678); #108762=LINE('',#588561,#147679); #108763=LINE('',#588563,#147680); #108764=LINE('',#588567,#147681); #108765=LINE('',#588568,#147682); #108766=LINE('',#588571,#147683); #108767=LINE('',#588573,#147684); #108768=LINE('',#588574,#147685); #108769=LINE('',#588577,#147686); #108770=LINE('',#588578,#147687); #108771=LINE('',#588581,#147688); #108772=LINE('',#588582,#147689); #108773=LINE('',#588588,#147690); #108774=LINE('',#588590,#147691); #108775=LINE('',#588592,#147692); #108776=LINE('',#588593,#147693); #108777=LINE('',#588598,#147694); #108778=LINE('',#588603,#147695); #108779=LINE('',#588604,#147696); #108780=LINE('',#588605,#147697); #108781=LINE('',#588609,#147698); #108782=LINE('',#588611,#147699); #108783=LINE('',#588613,#147700); #108784=LINE('',#588614,#147701); #108785=LINE('',#588616,#147702); #108786=LINE('',#588617,#147703); #108787=LINE('',#588621,#147704); #108788=LINE('',#588623,#147705); #108789=LINE('',#588625,#147706); #108790=LINE('',#588626,#147707); #108791=LINE('',#588631,#147708); #108792=LINE('',#588632,#147709); #108793=LINE('',#588633,#147710); #108794=LINE('',#588639,#147711); #108795=LINE('',#588642,#147712); #108796=LINE('',#588646,#147713); #108797=LINE('',#588647,#147714); #108798=LINE('',#588651,#147715); #108799=LINE('',#588655,#147716); #108800=LINE('',#588657,#147717); #108801=LINE('',#588659,#147718); #108802=LINE('',#588661,#147719); #108803=LINE('',#588662,#147720); #108804=LINE('',#588664,#147721); #108805=LINE('',#588665,#147722); #108806=LINE('',#588669,#147723); #108807=LINE('',#588670,#147724); #108808=LINE('',#588671,#147725); #108809=LINE('',#588674,#147726); #108810=LINE('',#588675,#147727); #108811=LINE('',#588676,#147728); #108812=LINE('',#588682,#147729); #108813=LINE('',#588685,#147730); #108814=LINE('',#588687,#147731); #108815=LINE('',#588689,#147732); #108816=LINE('',#588690,#147733); #108817=LINE('',#588692,#147734); #108818=LINE('',#588693,#147735); #108819=LINE('',#588698,#147736); #108820=LINE('',#588700,#147737); #108821=LINE('',#588701,#147738); #108822=LINE('',#588703,#147739); #108823=LINE('',#588705,#147740); #108824=LINE('',#588708,#147741); #108825=LINE('',#588710,#147742); #108826=LINE('',#588714,#147743); #108827=LINE('',#588716,#147744); #108828=LINE('',#588718,#147745); #108829=LINE('',#588719,#147746); #108830=LINE('',#588725,#147747); #108831=LINE('',#588728,#147748); #108832=LINE('',#588730,#147749); #108833=LINE('',#588731,#147750); #108834=LINE('',#588737,#147751); #108835=LINE('',#588740,#147752); #108836=LINE('',#588742,#147753); #108837=LINE('',#588743,#147754); #108838=LINE('',#588746,#147755); #108839=LINE('',#588747,#147756); #108840=LINE('',#588748,#147757); #108841=LINE('',#588751,#147758); #108842=LINE('',#588752,#147759); #108843=LINE('',#588756,#147760); #108844=LINE('',#588757,#147761); #108845=LINE('',#588758,#147762); #108846=LINE('',#588761,#147763); #108847=LINE('',#588762,#147764); #108848=LINE('',#588765,#147765); #108849=LINE('',#588766,#147766); #108850=LINE('',#588770,#147767); #108851=LINE('',#588773,#147768); #108852=LINE('',#588774,#147769); #108853=LINE('',#588779,#147770); #108854=LINE('',#588780,#147771); #108855=LINE('',#588782,#147772); #108856=LINE('',#588787,#147773); #108857=LINE('',#588788,#147774); #108858=LINE('',#588790,#147775); #108859=LINE('',#588791,#147776); #108860=LINE('',#588795,#147777); #108861=LINE('',#588796,#147778); #108862=LINE('',#588798,#147779); #108863=LINE('',#588800,#147780); #108864=LINE('',#588801,#147781); #108865=LINE('',#588802,#147782); #108866=LINE('',#588810,#147783); #108867=LINE('',#588814,#147784); #108868=LINE('',#588816,#147785); #108869=LINE('',#588818,#147786); #108870=LINE('',#588820,#147787); #108871=LINE('',#588822,#147788); #108872=LINE('',#588825,#147789); #108873=LINE('',#588826,#147790); #108874=LINE('',#588828,#147791); #108875=LINE('',#588829,#147792); #108876=LINE('',#588835,#147793); #108877=LINE('',#588836,#147794); #108878=LINE('',#588843,#147795); #108879=LINE('',#588844,#147796); #108880=LINE('',#588846,#147797); #108881=LINE('',#588850,#147798); #108882=LINE('',#588854,#147799); #108883=LINE('',#588855,#147800); #108884=LINE('',#588857,#147801); #108885=LINE('',#588859,#147802); #108886=LINE('',#588861,#147803); #108887=LINE('',#588862,#147804); #108888=LINE('',#588869,#147805); #108889=LINE('',#588871,#147806); #108890=LINE('',#588873,#147807); #108891=LINE('',#588875,#147808); #108892=LINE('',#588877,#147809); #108893=LINE('',#588879,#147810); #108894=LINE('',#588881,#147811); #108895=LINE('',#588883,#147812); #108896=LINE('',#588885,#147813); #108897=LINE('',#588888,#147814); #108898=LINE('',#588903,#147815); #108899=LINE('',#588907,#147816); #108900=LINE('',#588909,#147817); #108901=LINE('',#588911,#147818); #108902=LINE('',#588915,#147819); #108903=LINE('',#588929,#147820); #108904=LINE('',#588931,#147821); #108905=LINE('',#588933,#147822); #108906=LINE('',#588935,#147823); #108907=LINE('',#588937,#147824); #108908=LINE('',#588939,#147825); #108909=LINE('',#588941,#147826); #108910=LINE('',#588942,#147827); #108911=LINE('',#588945,#147828); #108912=LINE('',#588949,#147829); #108913=LINE('',#588953,#147830); #108914=LINE('',#588955,#147831); #108915=LINE('',#588957,#147832); #108916=LINE('',#588961,#147833); #108917=LINE('',#588964,#147834); #108918=LINE('',#588966,#147835); #108919=LINE('',#588968,#147836); #108920=LINE('',#588972,#147837); #108921=LINE('',#588974,#147838); #108922=LINE('',#588976,#147839); #108923=LINE('',#588978,#147840); #108924=LINE('',#588980,#147841); #108925=LINE('',#588982,#147842); #108926=LINE('',#588984,#147843); #108927=LINE('',#588986,#147844); #108928=LINE('',#588988,#147845); #108929=LINE('',#588992,#147846); #108930=LINE('',#588994,#147847); #108931=LINE('',#588996,#147848); #108932=LINE('',#588997,#147849); #108933=LINE('',#589001,#147850); #108934=LINE('',#589003,#147851); #108935=LINE('',#589005,#147852); #108936=LINE('',#589006,#147853); #108937=LINE('',#589010,#147854); #108938=LINE('',#589013,#147855); #108939=LINE('',#589016,#147856); #108940=LINE('',#589019,#147857); #108941=LINE('',#589024,#147858); #108942=LINE('',#589029,#147859); #108943=LINE('',#589033,#147860); #108944=LINE('',#589035,#147861); #108945=LINE('',#589038,#147862); #108946=LINE('',#589040,#147863); #108947=LINE('',#589041,#147864); #108948=LINE('',#589045,#147865); #108949=LINE('',#589047,#147866); #108950=LINE('',#589049,#147867); #108951=LINE('',#589050,#147868); #108952=LINE('',#589054,#147869); #108953=LINE('',#589056,#147870); #108954=LINE('',#589058,#147871); #108955=LINE('',#589059,#147872); #108956=LINE('',#589063,#147873); #108957=LINE('',#589066,#147874); #108958=LINE('',#589070,#147875); #108959=LINE('',#589076,#147876); #108960=LINE('',#589081,#147877); #108961=LINE('',#589085,#147878); #108962=LINE('',#589087,#147879); #108963=LINE('',#589092,#147880); #108964=LINE('',#589095,#147881); #108965=LINE('',#589097,#147882); #108966=LINE('',#589098,#147883); #108967=LINE('',#589103,#147884); #108968=LINE('',#589105,#147885); #108969=LINE('',#589107,#147886); #108970=LINE('',#589113,#147887); #108971=LINE('',#589117,#147888); #108972=LINE('',#589119,#147889); #108973=LINE('',#589120,#147890); #108974=LINE('',#589123,#147891); #108975=LINE('',#589125,#147892); #108976=LINE('',#589129,#147893); #108977=LINE('',#589130,#147894); #108978=LINE('',#589132,#147895); #108979=LINE('',#589133,#147896); #108980=LINE('',#589138,#147897); #108981=LINE('',#589139,#147898); #108982=LINE('',#589144,#147899); #108983=LINE('',#589147,#147900); #108984=LINE('',#589148,#147901); #108985=LINE('',#589149,#147902); #108986=LINE('',#589155,#147903); #108987=LINE('',#589158,#147904); #108988=LINE('',#589161,#147905); #108989=LINE('',#589163,#147906); #108990=LINE('',#589165,#147907); #108991=LINE('',#589169,#147908); #108992=LINE('',#589171,#147909); #108993=LINE('',#589172,#147910); #108994=LINE('',#589175,#147911); #108995=LINE('',#589178,#147912); #108996=LINE('',#589179,#147913); #108997=LINE('',#589182,#147914); #108998=LINE('',#589187,#147915); #108999=LINE('',#589188,#147916); #109000=LINE('',#589191,#147917); #109001=LINE('',#589193,#147918); #109002=LINE('',#589194,#147919); #109003=LINE('',#589196,#147920); #109004=LINE('',#589197,#147921); #109005=LINE('',#589200,#147922); #109006=LINE('',#589202,#147923); #109007=LINE('',#589205,#147924); #109008=LINE('',#589208,#147925); #109009=LINE('',#589211,#147926); #109010=LINE('',#589213,#147927); #109011=LINE('',#589215,#147928); #109012=LINE('',#589218,#147929); #109013=LINE('',#589221,#147930); #109014=LINE('',#589223,#147931); #109015=LINE('',#589225,#147932); #109016=LINE('',#589227,#147933); #109017=LINE('',#589229,#147934); #109018=LINE('',#589242,#147935); #109019=LINE('',#589256,#147936); #109020=LINE('',#589265,#147937); #109021=LINE('',#589268,#147938); #109022=LINE('',#589274,#147939); #109023=LINE('',#589277,#147940); #109024=LINE('',#589281,#147941); #109025=LINE('',#589285,#147942); #109026=LINE('',#589290,#147943); #109027=LINE('',#589294,#147944); #109028=LINE('',#589299,#147945); #109029=LINE('',#589306,#147946); #109030=LINE('',#589309,#147947); #109031=LINE('',#589310,#147948); #109032=LINE('',#589311,#147949); #109033=LINE('',#589312,#147950); #109034=LINE('',#589314,#147951); #109035=LINE('',#589317,#147952); #109036=LINE('',#589319,#147953); #109037=LINE('',#589320,#147954); #109038=LINE('',#589325,#147955); #109039=LINE('',#589328,#147956); #109040=LINE('',#589329,#147957); #109041=LINE('',#589331,#147958); #109042=LINE('',#589333,#147959); #109043=LINE('',#589335,#147960); #109044=LINE('',#589337,#147961); #109045=LINE('',#589339,#147962); #109046=LINE('',#589343,#147963); #109047=LINE('',#589344,#147964); #109048=LINE('',#589345,#147965); #109049=LINE('',#589349,#147966); #109050=LINE('',#589353,#147967); #109051=LINE('',#589354,#147968); #109052=LINE('',#589356,#147969); #109053=LINE('',#589358,#147970); #109054=LINE('',#589360,#147971); #109055=LINE('',#589363,#147972); #109056=LINE('',#589365,#147973); #109057=LINE('',#589367,#147974); #109058=LINE('',#589374,#147975); #109059=LINE('',#589377,#147976); #109060=LINE('',#589383,#147977); #109061=LINE('',#589386,#147978); #109062=LINE('',#589390,#147979); #109063=LINE('',#589394,#147980); #109064=LINE('',#589399,#147981); #109065=LINE('',#589403,#147982); #109066=LINE('',#589409,#147983); #109067=LINE('',#589415,#147984); #109068=LINE('',#589418,#147985); #109069=LINE('',#589419,#147986); #109070=LINE('',#589420,#147987); #109071=LINE('',#589421,#147988); #109072=LINE('',#589423,#147989); #109073=LINE('',#589427,#147990); #109074=LINE('',#589428,#147991); #109075=LINE('',#589429,#147992); #109076=LINE('',#589432,#147993); #109077=LINE('',#589434,#147994); #109078=LINE('',#589435,#147995); #109079=LINE('',#589437,#147996); #109080=LINE('',#589439,#147997); #109081=LINE('',#589441,#147998); #109082=LINE('',#589443,#147999); #109083=LINE('',#589445,#148000); #109084=LINE('',#589448,#148001); #109085=LINE('',#589450,#148002); #109086=LINE('',#589451,#148003); #109087=LINE('',#589455,#148004); #109088=LINE('',#589456,#148005); #109089=LINE('',#589457,#148006); #109090=LINE('',#589459,#148007); #109091=LINE('',#589461,#148008); #109092=LINE('',#589465,#148009); #109093=LINE('',#589468,#148010); #109094=LINE('',#589470,#148011); #109095=LINE('',#589472,#148012); #109096=LINE('',#589485,#148013); #109097=LINE('',#589491,#148014); #109098=LINE('',#589493,#148015); #109099=LINE('',#589495,#148016); #109100=LINE('',#589496,#148017); #109101=LINE('',#589499,#148018); #109102=LINE('',#589501,#148019); #109103=LINE('',#589502,#148020); #109104=LINE('',#589505,#148021); #109105=LINE('',#589507,#148022); #109106=LINE('',#589508,#148023); #109107=LINE('',#589510,#148024); #109108=LINE('',#589511,#148025); #109109=LINE('',#589514,#148026); #109110=LINE('',#589516,#148027); #109111=LINE('',#589517,#148028); #109112=LINE('',#589520,#148029); #109113=LINE('',#589521,#148030); #109114=LINE('',#589525,#148031); #109115=LINE('',#589526,#148032); #109116=LINE('',#589528,#148033); #109117=LINE('',#589535,#148034); #109118=LINE('',#589537,#148035); #109119=LINE('',#589538,#148036); #109120=LINE('',#589541,#148037); #109121=LINE('',#589544,#148038); #109122=LINE('',#589548,#148039); #109123=LINE('',#589550,#148040); #109124=LINE('',#589552,#148041); #109125=LINE('',#589553,#148042); #109126=LINE('',#589555,#148043); #109127=LINE('',#589556,#148044); #109128=LINE('',#589559,#148045); #109129=LINE('',#589561,#148046); #109130=LINE('',#589562,#148047); #109131=LINE('',#589564,#148048); #109132=LINE('',#589565,#148049); #109133=LINE('',#589573,#148050); #109134=LINE('',#589575,#148051); #109135=LINE('',#589576,#148052); #109136=LINE('',#589579,#148053); #109137=LINE('',#589582,#148054); #109138=LINE('',#589586,#148055); #109139=LINE('',#589588,#148056); #109140=LINE('',#589590,#148057); #109141=LINE('',#589591,#148058); #109142=LINE('',#589593,#148059); #109143=LINE('',#589594,#148060); #109144=LINE('',#589597,#148061); #109145=LINE('',#589599,#148062); #109146=LINE('',#589600,#148063); #109147=LINE('',#589602,#148064); #109148=LINE('',#589603,#148065); #109149=LINE('',#589611,#148066); #109150=LINE('',#589613,#148067); #109151=LINE('',#589614,#148068); #109152=LINE('',#589617,#148069); #109153=LINE('',#589620,#148070); #109154=LINE('',#589624,#148071); #109155=LINE('',#589626,#148072); #109156=LINE('',#589628,#148073); #109157=LINE('',#589629,#148074); #109158=LINE('',#589631,#148075); #109159=LINE('',#589632,#148076); #109160=LINE('',#589635,#148077); #109161=LINE('',#589637,#148078); #109162=LINE('',#589638,#148079); #109163=LINE('',#589640,#148080); #109164=LINE('',#589641,#148081); #109165=LINE('',#589649,#148082); #109166=LINE('',#589651,#148083); #109167=LINE('',#589652,#148084); #109168=LINE('',#589655,#148085); #109169=LINE('',#589658,#148086); #109170=LINE('',#589662,#148087); #109171=LINE('',#589664,#148088); #109172=LINE('',#589666,#148089); #109173=LINE('',#589667,#148090); #109174=LINE('',#589669,#148091); #109175=LINE('',#589670,#148092); #109176=LINE('',#589673,#148093); #109177=LINE('',#589675,#148094); #109178=LINE('',#589676,#148095); #109179=LINE('',#589678,#148096); #109180=LINE('',#589679,#148097); #109181=LINE('',#589687,#148098); #109182=LINE('',#589689,#148099); #109183=LINE('',#589690,#148100); #109184=LINE('',#589693,#148101); #109185=LINE('',#589696,#148102); #109186=LINE('',#589700,#148103); #109187=LINE('',#589702,#148104); #109188=LINE('',#589704,#148105); #109189=LINE('',#589705,#148106); #109190=LINE('',#589707,#148107); #109191=LINE('',#589708,#148108); #109192=LINE('',#589711,#148109); #109193=LINE('',#589713,#148110); #109194=LINE('',#589714,#148111); #109195=LINE('',#589716,#148112); #109196=LINE('',#589717,#148113); #109197=LINE('',#589725,#148114); #109198=LINE('',#589727,#148115); #109199=LINE('',#589728,#148116); #109200=LINE('',#589731,#148117); #109201=LINE('',#589734,#148118); #109202=LINE('',#589738,#148119); #109203=LINE('',#589740,#148120); #109204=LINE('',#589742,#148121); #109205=LINE('',#589743,#148122); #109206=LINE('',#589745,#148123); #109207=LINE('',#589746,#148124); #109208=LINE('',#589749,#148125); #109209=LINE('',#589751,#148126); #109210=LINE('',#589752,#148127); #109211=LINE('',#589754,#148128); #109212=LINE('',#589755,#148129); #109213=LINE('',#589763,#148130); #109214=LINE('',#589765,#148131); #109215=LINE('',#589766,#148132); #109216=LINE('',#589769,#148133); #109217=LINE('',#589772,#148134); #109218=LINE('',#589776,#148135); #109219=LINE('',#589778,#148136); #109220=LINE('',#589780,#148137); #109221=LINE('',#589781,#148138); #109222=LINE('',#589783,#148139); #109223=LINE('',#589784,#148140); #109224=LINE('',#589787,#148141); #109225=LINE('',#589789,#148142); #109226=LINE('',#589790,#148143); #109227=LINE('',#589792,#148144); #109228=LINE('',#589793,#148145); #109229=LINE('',#589801,#148146); #109230=LINE('',#589803,#148147); #109231=LINE('',#589804,#148148); #109232=LINE('',#589807,#148149); #109233=LINE('',#589810,#148150); #109234=LINE('',#589814,#148151); #109235=LINE('',#589816,#148152); #109236=LINE('',#589818,#148153); #109237=LINE('',#589819,#148154); #109238=LINE('',#589821,#148155); #109239=LINE('',#589822,#148156); #109240=LINE('',#589825,#148157); #109241=LINE('',#589827,#148158); #109242=LINE('',#589828,#148159); #109243=LINE('',#589830,#148160); #109244=LINE('',#589831,#148161); #109245=LINE('',#589839,#148162); #109246=LINE('',#589841,#148163); #109247=LINE('',#589842,#148164); #109248=LINE('',#589845,#148165); #109249=LINE('',#589848,#148166); #109250=LINE('',#589852,#148167); #109251=LINE('',#589854,#148168); #109252=LINE('',#589856,#148169); #109253=LINE('',#589857,#148170); #109254=LINE('',#589859,#148171); #109255=LINE('',#589860,#148172); #109256=LINE('',#589863,#148173); #109257=LINE('',#589865,#148174); #109258=LINE('',#589866,#148175); #109259=LINE('',#589868,#148176); #109260=LINE('',#589869,#148177); #109261=LINE('',#589877,#148178); #109262=LINE('',#589879,#148179); #109263=LINE('',#589880,#148180); #109264=LINE('',#589883,#148181); #109265=LINE('',#589886,#148182); #109266=LINE('',#589890,#148183); #109267=LINE('',#589892,#148184); #109268=LINE('',#589894,#148185); #109269=LINE('',#589895,#148186); #109270=LINE('',#589897,#148187); #109271=LINE('',#589898,#148188); #109272=LINE('',#589901,#148189); #109273=LINE('',#589903,#148190); #109274=LINE('',#589904,#148191); #109275=LINE('',#589906,#148192); #109276=LINE('',#589907,#148193); #109277=LINE('',#589915,#148194); #109278=LINE('',#589917,#148195); #109279=LINE('',#589918,#148196); #109280=LINE('',#589921,#148197); #109281=LINE('',#589924,#148198); #109282=LINE('',#589928,#148199); #109283=LINE('',#589930,#148200); #109284=LINE('',#589932,#148201); #109285=LINE('',#589933,#148202); #109286=LINE('',#589935,#148203); #109287=LINE('',#589936,#148204); #109288=LINE('',#589939,#148205); #109289=LINE('',#589941,#148206); #109290=LINE('',#589942,#148207); #109291=LINE('',#589944,#148208); #109292=LINE('',#589945,#148209); #109293=LINE('',#589953,#148210); #109294=LINE('',#589955,#148211); #109295=LINE('',#589956,#148212); #109296=LINE('',#589959,#148213); #109297=LINE('',#589962,#148214); #109298=LINE('',#589966,#148215); #109299=LINE('',#589968,#148216); #109300=LINE('',#589970,#148217); #109301=LINE('',#589971,#148218); #109302=LINE('',#589973,#148219); #109303=LINE('',#589974,#148220); #109304=LINE('',#589977,#148221); #109305=LINE('',#589979,#148222); #109306=LINE('',#589980,#148223); #109307=LINE('',#589982,#148224); #109308=LINE('',#589983,#148225); #109309=LINE('',#589991,#148226); #109310=LINE('',#589993,#148227); #109311=LINE('',#589994,#148228); #109312=LINE('',#589997,#148229); #109313=LINE('',#590000,#148230); #109314=LINE('',#590004,#148231); #109315=LINE('',#590006,#148232); #109316=LINE('',#590008,#148233); #109317=LINE('',#590009,#148234); #109318=LINE('',#590011,#148235); #109319=LINE('',#590012,#148236); #109320=LINE('',#590015,#148237); #109321=LINE('',#590017,#148238); #109322=LINE('',#590018,#148239); #109323=LINE('',#590020,#148240); #109324=LINE('',#590021,#148241); #109325=LINE('',#590029,#148242); #109326=LINE('',#590031,#148243); #109327=LINE('',#590032,#148244); #109328=LINE('',#590035,#148245); #109329=LINE('',#590038,#148246); #109330=LINE('',#590042,#148247); #109331=LINE('',#590044,#148248); #109332=LINE('',#590046,#148249); #109333=LINE('',#590047,#148250); #109334=LINE('',#590049,#148251); #109335=LINE('',#590050,#148252); #109336=LINE('',#590053,#148253); #109337=LINE('',#590055,#148254); #109338=LINE('',#590056,#148255); #109339=LINE('',#590058,#148256); #109340=LINE('',#590059,#148257); #109341=LINE('',#590067,#148258); #109342=LINE('',#590069,#148259); #109343=LINE('',#590070,#148260); #109344=LINE('',#590073,#148261); #109345=LINE('',#590076,#148262); #109346=LINE('',#590080,#148263); #109347=LINE('',#590082,#148264); #109348=LINE('',#590084,#148265); #109349=LINE('',#590085,#148266); #109350=LINE('',#590087,#148267); #109351=LINE('',#590088,#148268); #109352=LINE('',#590091,#148269); #109353=LINE('',#590093,#148270); #109354=LINE('',#590094,#148271); #109355=LINE('',#590096,#148272); #109356=LINE('',#590097,#148273); #109357=LINE('',#590105,#148274); #109358=LINE('',#590107,#148275); #109359=LINE('',#590108,#148276); #109360=LINE('',#590111,#148277); #109361=LINE('',#590114,#148278); #109362=LINE('',#590118,#148279); #109363=LINE('',#590120,#148280); #109364=LINE('',#590122,#148281); #109365=LINE('',#590123,#148282); #109366=LINE('',#590125,#148283); #109367=LINE('',#590126,#148284); #109368=LINE('',#590129,#148285); #109369=LINE('',#590131,#148286); #109370=LINE('',#590132,#148287); #109371=LINE('',#590134,#148288); #109372=LINE('',#590135,#148289); #109373=LINE('',#590143,#148290); #109374=LINE('',#590145,#148291); #109375=LINE('',#590146,#148292); #109376=LINE('',#590149,#148293); #109377=LINE('',#590152,#148294); #109378=LINE('',#590156,#148295); #109379=LINE('',#590158,#148296); #109380=LINE('',#590160,#148297); #109381=LINE('',#590161,#148298); #109382=LINE('',#590163,#148299); #109383=LINE('',#590164,#148300); #109384=LINE('',#590167,#148301); #109385=LINE('',#590169,#148302); #109386=LINE('',#590170,#148303); #109387=LINE('',#590172,#148304); #109388=LINE('',#590173,#148305); #109389=LINE('',#590181,#148306); #109390=LINE('',#590183,#148307); #109391=LINE('',#590184,#148308); #109392=LINE('',#590187,#148309); #109393=LINE('',#590190,#148310); #109394=LINE('',#590194,#148311); #109395=LINE('',#590196,#148312); #109396=LINE('',#590198,#148313); #109397=LINE('',#590199,#148314); #109398=LINE('',#590201,#148315); #109399=LINE('',#590202,#148316); #109400=LINE('',#590205,#148317); #109401=LINE('',#590207,#148318); #109402=LINE('',#590208,#148319); #109403=LINE('',#590210,#148320); #109404=LINE('',#590211,#148321); #109405=LINE('',#590219,#148322); #109406=LINE('',#590221,#148323); #109407=LINE('',#590222,#148324); #109408=LINE('',#590225,#148325); #109409=LINE('',#590228,#148326); #109410=LINE('',#590232,#148327); #109411=LINE('',#590234,#148328); #109412=LINE('',#590236,#148329); #109413=LINE('',#590237,#148330); #109414=LINE('',#590239,#148331); #109415=LINE('',#590240,#148332); #109416=LINE('',#590243,#148333); #109417=LINE('',#590245,#148334); #109418=LINE('',#590246,#148335); #109419=LINE('',#590248,#148336); #109420=LINE('',#590249,#148337); #109421=LINE('',#590257,#148338); #109422=LINE('',#590259,#148339); #109423=LINE('',#590260,#148340); #109424=LINE('',#590263,#148341); #109425=LINE('',#590266,#148342); #109426=LINE('',#590270,#148343); #109427=LINE('',#590272,#148344); #109428=LINE('',#590274,#148345); #109429=LINE('',#590275,#148346); #109430=LINE('',#590277,#148347); #109431=LINE('',#590278,#148348); #109432=LINE('',#590281,#148349); #109433=LINE('',#590283,#148350); #109434=LINE('',#590284,#148351); #109435=LINE('',#590286,#148352); #109436=LINE('',#590287,#148353); #109437=LINE('',#590295,#148354); #109438=LINE('',#590297,#148355); #109439=LINE('',#590298,#148356); #109440=LINE('',#590301,#148357); #109441=LINE('',#590304,#148358); #109442=LINE('',#590308,#148359); #109443=LINE('',#590310,#148360); #109444=LINE('',#590312,#148361); #109445=LINE('',#590313,#148362); #109446=LINE('',#590315,#148363); #109447=LINE('',#590316,#148364); #109448=LINE('',#590319,#148365); #109449=LINE('',#590321,#148366); #109450=LINE('',#590322,#148367); #109451=LINE('',#590324,#148368); #109452=LINE('',#590325,#148369); #109453=LINE('',#590333,#148370); #109454=LINE('',#590335,#148371); #109455=LINE('',#590336,#148372); #109456=LINE('',#590339,#148373); #109457=LINE('',#590342,#148374); #109458=LINE('',#590346,#148375); #109459=LINE('',#590348,#148376); #109460=LINE('',#590350,#148377); #109461=LINE('',#590351,#148378); #109462=LINE('',#590353,#148379); #109463=LINE('',#590354,#148380); #109464=LINE('',#590357,#148381); #109465=LINE('',#590359,#148382); #109466=LINE('',#590360,#148383); #109467=LINE('',#590362,#148384); #109468=LINE('',#590363,#148385); #109469=LINE('',#590371,#148386); #109470=LINE('',#590373,#148387); #109471=LINE('',#590374,#148388); #109472=LINE('',#590377,#148389); #109473=LINE('',#590380,#148390); #109474=LINE('',#590384,#148391); #109475=LINE('',#590386,#148392); #109476=LINE('',#590388,#148393); #109477=LINE('',#590389,#148394); #109478=LINE('',#590391,#148395); #109479=LINE('',#590392,#148396); #109480=LINE('',#590395,#148397); #109481=LINE('',#590397,#148398); #109482=LINE('',#590398,#148399); #109483=LINE('',#590400,#148400); #109484=LINE('',#590401,#148401); #109485=LINE('',#590409,#148402); #109486=LINE('',#590411,#148403); #109487=LINE('',#590412,#148404); #109488=LINE('',#590415,#148405); #109489=LINE('',#590418,#148406); #109490=LINE('',#590422,#148407); #109491=LINE('',#590424,#148408); #109492=LINE('',#590426,#148409); #109493=LINE('',#590427,#148410); #109494=LINE('',#590429,#148411); #109495=LINE('',#590430,#148412); #109496=LINE('',#590433,#148413); #109497=LINE('',#590435,#148414); #109498=LINE('',#590436,#148415); #109499=LINE('',#590438,#148416); #109500=LINE('',#590439,#148417); #109501=LINE('',#590447,#148418); #109502=LINE('',#590449,#148419); #109503=LINE('',#590450,#148420); #109504=LINE('',#590455,#148421); #109505=LINE('',#590456,#148422); #109506=LINE('',#590459,#148423); #109507=LINE('',#590461,#148424); #109508=LINE('',#590462,#148425); #109509=LINE('',#590465,#148426); #109510=LINE('',#590467,#148427); #109511=LINE('',#590468,#148428); #109512=LINE('',#590471,#148429); #109513=LINE('',#590473,#148430); #109514=LINE('',#590474,#148431); #109515=LINE('',#590476,#148432); #109516=LINE('',#590477,#148433); #109517=LINE('',#590485,#148434); #109518=LINE('',#590487,#148435); #109519=LINE('',#590488,#148436); #109520=LINE('',#590493,#148437); #109521=LINE('',#590494,#148438); #109522=LINE('',#590497,#148439); #109523=LINE('',#590499,#148440); #109524=LINE('',#590500,#148441); #109525=LINE('',#590503,#148442); #109526=LINE('',#590505,#148443); #109527=LINE('',#590506,#148444); #109528=LINE('',#590509,#148445); #109529=LINE('',#590511,#148446); #109530=LINE('',#590512,#148447); #109531=LINE('',#590514,#148448); #109532=LINE('',#590515,#148449); #109533=LINE('',#590523,#148450); #109534=LINE('',#590525,#148451); #109535=LINE('',#590526,#148452); #109536=LINE('',#590531,#148453); #109537=LINE('',#590532,#148454); #109538=LINE('',#590535,#148455); #109539=LINE('',#590537,#148456); #109540=LINE('',#590538,#148457); #109541=LINE('',#590541,#148458); #109542=LINE('',#590543,#148459); #109543=LINE('',#590544,#148460); #109544=LINE('',#590547,#148461); #109545=LINE('',#590549,#148462); #109546=LINE('',#590550,#148463); #109547=LINE('',#590552,#148464); #109548=LINE('',#590553,#148465); #109549=LINE('',#590561,#148466); #109550=LINE('',#590563,#148467); #109551=LINE('',#590564,#148468); #109552=LINE('',#590569,#148469); #109553=LINE('',#590570,#148470); #109554=LINE('',#590573,#148471); #109555=LINE('',#590575,#148472); #109556=LINE('',#590576,#148473); #109557=LINE('',#590579,#148474); #109558=LINE('',#590581,#148475); #109559=LINE('',#590582,#148476); #109560=LINE('',#590585,#148477); #109561=LINE('',#590587,#148478); #109562=LINE('',#590588,#148479); #109563=LINE('',#590590,#148480); #109564=LINE('',#590591,#148481); #109565=LINE('',#590599,#148482); #109566=LINE('',#590601,#148483); #109567=LINE('',#590602,#148484); #109568=LINE('',#590607,#148485); #109569=LINE('',#590608,#148486); #109570=LINE('',#590611,#148487); #109571=LINE('',#590613,#148488); #109572=LINE('',#590614,#148489); #109573=LINE('',#590617,#148490); #109574=LINE('',#590619,#148491); #109575=LINE('',#590620,#148492); #109576=LINE('',#590623,#148493); #109577=LINE('',#590625,#148494); #109578=LINE('',#590626,#148495); #109579=LINE('',#590628,#148496); #109580=LINE('',#590629,#148497); #109581=LINE('',#590637,#148498); #109582=LINE('',#590639,#148499); #109583=LINE('',#590640,#148500); #109584=LINE('',#590645,#148501); #109585=LINE('',#590646,#148502); #109586=LINE('',#590649,#148503); #109587=LINE('',#590651,#148504); #109588=LINE('',#590652,#148505); #109589=LINE('',#590655,#148506); #109590=LINE('',#590657,#148507); #109591=LINE('',#590658,#148508); #109592=LINE('',#590661,#148509); #109593=LINE('',#590663,#148510); #109594=LINE('',#590664,#148511); #109595=LINE('',#590666,#148512); #109596=LINE('',#590667,#148513); #109597=LINE('',#590675,#148514); #109598=LINE('',#590677,#148515); #109599=LINE('',#590678,#148516); #109600=LINE('',#590683,#148517); #109601=LINE('',#590684,#148518); #109602=LINE('',#590687,#148519); #109603=LINE('',#590689,#148520); #109604=LINE('',#590690,#148521); #109605=LINE('',#590693,#148522); #109606=LINE('',#590695,#148523); #109607=LINE('',#590696,#148524); #109608=LINE('',#590699,#148525); #109609=LINE('',#590701,#148526); #109610=LINE('',#590702,#148527); #109611=LINE('',#590704,#148528); #109612=LINE('',#590705,#148529); #109613=LINE('',#590713,#148530); #109614=LINE('',#590715,#148531); #109615=LINE('',#590716,#148532); #109616=LINE('',#590721,#148533); #109617=LINE('',#590722,#148534); #109618=LINE('',#590725,#148535); #109619=LINE('',#590727,#148536); #109620=LINE('',#590728,#148537); #109621=LINE('',#590731,#148538); #109622=LINE('',#590733,#148539); #109623=LINE('',#590734,#148540); #109624=LINE('',#590737,#148541); #109625=LINE('',#590739,#148542); #109626=LINE('',#590740,#148543); #109627=LINE('',#590742,#148544); #109628=LINE('',#590743,#148545); #109629=LINE('',#590751,#148546); #109630=LINE('',#590753,#148547); #109631=LINE('',#590754,#148548); #109632=LINE('',#590759,#148549); #109633=LINE('',#590760,#148550); #109634=LINE('',#590763,#148551); #109635=LINE('',#590765,#148552); #109636=LINE('',#590766,#148553); #109637=LINE('',#590769,#148554); #109638=LINE('',#590771,#148555); #109639=LINE('',#590772,#148556); #109640=LINE('',#590775,#148557); #109641=LINE('',#590777,#148558); #109642=LINE('',#590778,#148559); #109643=LINE('',#590780,#148560); #109644=LINE('',#590781,#148561); #109645=LINE('',#590789,#148562); #109646=LINE('',#590791,#148563); #109647=LINE('',#590792,#148564); #109648=LINE('',#590797,#148565); #109649=LINE('',#590798,#148566); #109650=LINE('',#590801,#148567); #109651=LINE('',#590803,#148568); #109652=LINE('',#590804,#148569); #109653=LINE('',#590807,#148570); #109654=LINE('',#590809,#148571); #109655=LINE('',#590810,#148572); #109656=LINE('',#590813,#148573); #109657=LINE('',#590815,#148574); #109658=LINE('',#590816,#148575); #109659=LINE('',#590818,#148576); #109660=LINE('',#590819,#148577); #109661=LINE('',#590827,#148578); #109662=LINE('',#590829,#148579); #109663=LINE('',#590830,#148580); #109664=LINE('',#590835,#148581); #109665=LINE('',#590836,#148582); #109666=LINE('',#590839,#148583); #109667=LINE('',#590841,#148584); #109668=LINE('',#590842,#148585); #109669=LINE('',#590845,#148586); #109670=LINE('',#590847,#148587); #109671=LINE('',#590848,#148588); #109672=LINE('',#590851,#148589); #109673=LINE('',#590853,#148590); #109674=LINE('',#590854,#148591); #109675=LINE('',#590856,#148592); #109676=LINE('',#590857,#148593); #109677=LINE('',#590865,#148594); #109678=LINE('',#590867,#148595); #109679=LINE('',#590868,#148596); #109680=LINE('',#590873,#148597); #109681=LINE('',#590874,#148598); #109682=LINE('',#590877,#148599); #109683=LINE('',#590879,#148600); #109684=LINE('',#590880,#148601); #109685=LINE('',#590883,#148602); #109686=LINE('',#590885,#148603); #109687=LINE('',#590886,#148604); #109688=LINE('',#590889,#148605); #109689=LINE('',#590891,#148606); #109690=LINE('',#590892,#148607); #109691=LINE('',#590894,#148608); #109692=LINE('',#590895,#148609); #109693=LINE('',#590903,#148610); #109694=LINE('',#590905,#148611); #109695=LINE('',#590906,#148612); #109696=LINE('',#590911,#148613); #109697=LINE('',#590912,#148614); #109698=LINE('',#590915,#148615); #109699=LINE('',#590917,#148616); #109700=LINE('',#590918,#148617); #109701=LINE('',#590921,#148618); #109702=LINE('',#590923,#148619); #109703=LINE('',#590924,#148620); #109704=LINE('',#590927,#148621); #109705=LINE('',#590929,#148622); #109706=LINE('',#590930,#148623); #109707=LINE('',#590932,#148624); #109708=LINE('',#590933,#148625); #109709=LINE('',#590941,#148626); #109710=LINE('',#590943,#148627); #109711=LINE('',#590944,#148628); #109712=LINE('',#590949,#148629); #109713=LINE('',#590950,#148630); #109714=LINE('',#590953,#148631); #109715=LINE('',#590955,#148632); #109716=LINE('',#590956,#148633); #109717=LINE('',#590959,#148634); #109718=LINE('',#590961,#148635); #109719=LINE('',#590962,#148636); #109720=LINE('',#590965,#148637); #109721=LINE('',#590967,#148638); #109722=LINE('',#590968,#148639); #109723=LINE('',#590970,#148640); #109724=LINE('',#590971,#148641); #109725=LINE('',#590979,#148642); #109726=LINE('',#590981,#148643); #109727=LINE('',#590982,#148644); #109728=LINE('',#590987,#148645); #109729=LINE('',#590988,#148646); #109730=LINE('',#590991,#148647); #109731=LINE('',#590993,#148648); #109732=LINE('',#590994,#148649); #109733=LINE('',#590997,#148650); #109734=LINE('',#590999,#148651); #109735=LINE('',#591000,#148652); #109736=LINE('',#591003,#148653); #109737=LINE('',#591005,#148654); #109738=LINE('',#591006,#148655); #109739=LINE('',#591008,#148656); #109740=LINE('',#591009,#148657); #109741=LINE('',#591017,#148658); #109742=LINE('',#591019,#148659); #109743=LINE('',#591020,#148660); #109744=LINE('',#591025,#148661); #109745=LINE('',#591026,#148662); #109746=LINE('',#591029,#148663); #109747=LINE('',#591031,#148664); #109748=LINE('',#591032,#148665); #109749=LINE('',#591035,#148666); #109750=LINE('',#591037,#148667); #109751=LINE('',#591038,#148668); #109752=LINE('',#591041,#148669); #109753=LINE('',#591043,#148670); #109754=LINE('',#591044,#148671); #109755=LINE('',#591046,#148672); #109756=LINE('',#591047,#148673); #109757=LINE('',#591055,#148674); #109758=LINE('',#591057,#148675); #109759=LINE('',#591058,#148676); #109760=LINE('',#591063,#148677); #109761=LINE('',#591064,#148678); #109762=LINE('',#591067,#148679); #109763=LINE('',#591069,#148680); #109764=LINE('',#591070,#148681); #109765=LINE('',#591073,#148682); #109766=LINE('',#591075,#148683); #109767=LINE('',#591076,#148684); #109768=LINE('',#591079,#148685); #109769=LINE('',#591081,#148686); #109770=LINE('',#591082,#148687); #109771=LINE('',#591084,#148688); #109772=LINE('',#591085,#148689); #109773=LINE('',#591093,#148690); #109774=LINE('',#591095,#148691); #109775=LINE('',#591096,#148692); #109776=LINE('',#591101,#148693); #109777=LINE('',#591102,#148694); #109778=LINE('',#591105,#148695); #109779=LINE('',#591107,#148696); #109780=LINE('',#591108,#148697); #109781=LINE('',#591111,#148698); #109782=LINE('',#591113,#148699); #109783=LINE('',#591114,#148700); #109784=LINE('',#591117,#148701); #109785=LINE('',#591119,#148702); #109786=LINE('',#591120,#148703); #109787=LINE('',#591122,#148704); #109788=LINE('',#591123,#148705); #109789=LINE('',#591131,#148706); #109790=LINE('',#591133,#148707); #109791=LINE('',#591134,#148708); #109792=LINE('',#591139,#148709); #109793=LINE('',#591140,#148710); #109794=LINE('',#591143,#148711); #109795=LINE('',#591145,#148712); #109796=LINE('',#591146,#148713); #109797=LINE('',#591149,#148714); #109798=LINE('',#591151,#148715); #109799=LINE('',#591152,#148716); #109800=LINE('',#591155,#148717); #109801=LINE('',#591157,#148718); #109802=LINE('',#591158,#148719); #109803=LINE('',#591160,#148720); #109804=LINE('',#591161,#148721); #109805=LINE('',#591169,#148722); #109806=LINE('',#591171,#148723); #109807=LINE('',#591172,#148724); #109808=LINE('',#591177,#148725); #109809=LINE('',#591178,#148726); #109810=LINE('',#591181,#148727); #109811=LINE('',#591183,#148728); #109812=LINE('',#591184,#148729); #109813=LINE('',#591187,#148730); #109814=LINE('',#591189,#148731); #109815=LINE('',#591190,#148732); #109816=LINE('',#591193,#148733); #109817=LINE('',#591195,#148734); #109818=LINE('',#591196,#148735); #109819=LINE('',#591198,#148736); #109820=LINE('',#591199,#148737); #109821=LINE('',#591207,#148738); #109822=LINE('',#591209,#148739); #109823=LINE('',#591210,#148740); #109824=LINE('',#591215,#148741); #109825=LINE('',#591216,#148742); #109826=LINE('',#591219,#148743); #109827=LINE('',#591221,#148744); #109828=LINE('',#591222,#148745); #109829=LINE('',#591225,#148746); #109830=LINE('',#591227,#148747); #109831=LINE('',#591228,#148748); #109832=LINE('',#591231,#148749); #109833=LINE('',#591233,#148750); #109834=LINE('',#591234,#148751); #109835=LINE('',#591236,#148752); #109836=LINE('',#591237,#148753); #109837=LINE('',#591245,#148754); #109838=LINE('',#591247,#148755); #109839=LINE('',#591248,#148756); #109840=LINE('',#591253,#148757); #109841=LINE('',#591254,#148758); #109842=LINE('',#591257,#148759); #109843=LINE('',#591259,#148760); #109844=LINE('',#591260,#148761); #109845=LINE('',#591263,#148762); #109846=LINE('',#591265,#148763); #109847=LINE('',#591266,#148764); #109848=LINE('',#591269,#148765); #109849=LINE('',#591271,#148766); #109850=LINE('',#591272,#148767); #109851=LINE('',#591274,#148768); #109852=LINE('',#591275,#148769); #109853=LINE('',#591283,#148770); #109854=LINE('',#591285,#148771); #109855=LINE('',#591286,#148772); #109856=LINE('',#591291,#148773); #109857=LINE('',#591292,#148774); #109858=LINE('',#591295,#148775); #109859=LINE('',#591297,#148776); #109860=LINE('',#591298,#148777); #109861=LINE('',#591301,#148778); #109862=LINE('',#591303,#148779); #109863=LINE('',#591304,#148780); #109864=LINE('',#591307,#148781); #109865=LINE('',#591309,#148782); #109866=LINE('',#591310,#148783); #109867=LINE('',#591312,#148784); #109868=LINE('',#591313,#148785); #109869=LINE('',#591321,#148786); #109870=LINE('',#591323,#148787); #109871=LINE('',#591324,#148788); #109872=LINE('',#591329,#148789); #109873=LINE('',#591330,#148790); #109874=LINE('',#591333,#148791); #109875=LINE('',#591335,#148792); #109876=LINE('',#591336,#148793); #109877=LINE('',#591339,#148794); #109878=LINE('',#591341,#148795); #109879=LINE('',#591342,#148796); #109880=LINE('',#591345,#148797); #109881=LINE('',#591347,#148798); #109882=LINE('',#591348,#148799); #109883=LINE('',#591350,#148800); #109884=LINE('',#591351,#148801); #109885=LINE('',#591357,#148802); #109886=LINE('',#591359,#148803); #109887=LINE('',#591361,#148804); #109888=LINE('',#591362,#148805); #109889=LINE('',#591365,#148806); #109890=LINE('',#591367,#148807); #109891=LINE('',#591368,#148808); #109892=LINE('',#591371,#148809); #109893=LINE('',#591373,#148810); #109894=LINE('',#591374,#148811); #109895=LINE('',#591376,#148812); #109896=LINE('',#591377,#148813); #109897=LINE('',#591419,#148814); #109898=LINE('',#591420,#148815); #109899=LINE('',#591436,#148816); #109900=LINE('',#591437,#148817); #109901=LINE('',#591439,#148818); #109902=LINE('',#591440,#148819); #109903=LINE('',#591449,#148820); #109904=LINE('',#591450,#148821); #109905=LINE('',#591452,#148822); #109906=LINE('',#591453,#148823); #109907=LINE('',#591455,#148824); #109908=LINE('',#591456,#148825); #109909=LINE('',#591458,#148826); #109910=LINE('',#591459,#148827); #109911=LINE('',#591461,#148828); #109912=LINE('',#591462,#148829); #109913=LINE('',#591464,#148830); #109914=LINE('',#591465,#148831); #109915=LINE('',#591467,#148832); #109916=LINE('',#591468,#148833); #109917=LINE('',#591470,#148834); #109918=LINE('',#591471,#148835); #109919=LINE('',#591473,#148836); #109920=LINE('',#591474,#148837); #109921=LINE('',#591517,#148838); #109922=LINE('',#591518,#148839); #109923=LINE('',#591534,#148840); #109924=LINE('',#591535,#148841); #109925=LINE('',#591537,#148842); #109926=LINE('',#591538,#148843); #109927=LINE('',#591547,#148844); #109928=LINE('',#591548,#148845); #109929=LINE('',#591550,#148846); #109930=LINE('',#591551,#148847); #109931=LINE('',#591553,#148848); #109932=LINE('',#591554,#148849); #109933=LINE('',#591556,#148850); #109934=LINE('',#591557,#148851); #109935=LINE('',#591559,#148852); #109936=LINE('',#591560,#148853); #109937=LINE('',#591562,#148854); #109938=LINE('',#591563,#148855); #109939=LINE('',#591565,#148856); #109940=LINE('',#591566,#148857); #109941=LINE('',#591568,#148858); #109942=LINE('',#591569,#148859); #109943=LINE('',#591571,#148860); #109944=LINE('',#591572,#148861); #109945=LINE('',#591582,#148862); #109946=LINE('',#591584,#148863); #109947=LINE('',#591586,#148864); #109948=LINE('',#591587,#148865); #109949=LINE('',#591590,#148866); #109950=LINE('',#591592,#148867); #109951=LINE('',#591593,#148868); #109952=LINE('',#591596,#148869); #109953=LINE('',#591598,#148870); #109954=LINE('',#591599,#148871); #109955=LINE('',#591601,#148872); #109956=LINE('',#591602,#148873); #109957=LINE('',#591611,#148874); #109958=LINE('',#591613,#148875); #109959=LINE('',#591615,#148876); #109960=LINE('',#591616,#148877); #109961=LINE('',#591619,#148878); #109962=LINE('',#591621,#148879); #109963=LINE('',#591622,#148880); #109964=LINE('',#591625,#148881); #109965=LINE('',#591627,#148882); #109966=LINE('',#591628,#148883); #109967=LINE('',#591630,#148884); #109968=LINE('',#591631,#148885); #109969=LINE('',#591634,#148886); #109970=LINE('',#591636,#148887); #109971=LINE('',#591637,#148888); #109972=LINE('',#591640,#148889); #109973=LINE('',#591641,#148890); #109974=LINE('',#591645,#148891); #109975=LINE('',#591646,#148892); #109976=LINE('',#591648,#148893); #109977=LINE('',#591653,#148894); #109978=LINE('',#591655,#148895); #109979=LINE('',#591657,#148896); #109980=LINE('',#591658,#148897); #109981=LINE('',#591661,#148898); #109982=LINE('',#591663,#148899); #109983=LINE('',#591664,#148900); #109984=LINE('',#591667,#148901); #109985=LINE('',#591669,#148902); #109986=LINE('',#591670,#148903); #109987=LINE('',#591672,#148904); #109988=LINE('',#591673,#148905); #109989=LINE('',#591679,#148906); #109990=LINE('',#591681,#148907); #109991=LINE('',#591683,#148908); #109992=LINE('',#591684,#148909); #109993=LINE('',#591687,#148910); #109994=LINE('',#591689,#148911); #109995=LINE('',#591690,#148912); #109996=LINE('',#591693,#148913); #109997=LINE('',#591695,#148914); #109998=LINE('',#591696,#148915); #109999=LINE('',#591698,#148916); #110000=LINE('',#591699,#148917); #110001=LINE('',#591705,#148918); #110002=LINE('',#591707,#148919); #110003=LINE('',#591709,#148920); #110004=LINE('',#591710,#148921); #110005=LINE('',#591713,#148922); #110006=LINE('',#591715,#148923); #110007=LINE('',#591716,#148924); #110008=LINE('',#591719,#148925); #110009=LINE('',#591721,#148926); #110010=LINE('',#591722,#148927); #110011=LINE('',#591724,#148928); #110012=LINE('',#591725,#148929); #110013=LINE('',#591731,#148930); #110014=LINE('',#591733,#148931); #110015=LINE('',#591735,#148932); #110016=LINE('',#591736,#148933); #110017=LINE('',#591739,#148934); #110018=LINE('',#591741,#148935); #110019=LINE('',#591742,#148936); #110020=LINE('',#591745,#148937); #110021=LINE('',#591747,#148938); #110022=LINE('',#591748,#148939); #110023=LINE('',#591750,#148940); #110024=LINE('',#591751,#148941); #110025=LINE('',#591757,#148942); #110026=LINE('',#591759,#148943); #110027=LINE('',#591761,#148944); #110028=LINE('',#591762,#148945); #110029=LINE('',#591765,#148946); #110030=LINE('',#591767,#148947); #110031=LINE('',#591768,#148948); #110032=LINE('',#591771,#148949); #110033=LINE('',#591773,#148950); #110034=LINE('',#591774,#148951); #110035=LINE('',#591776,#148952); #110036=LINE('',#591777,#148953); #110037=LINE('',#591787,#148954); #110038=LINE('',#591793,#148955); #110039=LINE('',#591795,#148956); #110040=LINE('',#591797,#148957); #110041=LINE('',#591798,#148958); #110042=LINE('',#591801,#148959); #110043=LINE('',#591803,#148960); #110044=LINE('',#591804,#148961); #110045=LINE('',#591807,#148962); #110046=LINE('',#591809,#148963); #110047=LINE('',#591810,#148964); #110048=LINE('',#591812,#148965); #110049=LINE('',#591813,#148966); #110050=LINE('',#591816,#148967); #110051=LINE('',#591818,#148968); #110052=LINE('',#591819,#148969); #110053=LINE('',#591822,#148970); #110054=LINE('',#591823,#148971); #110055=LINE('',#591827,#148972); #110056=LINE('',#591829,#148973); #110057=LINE('',#591831,#148974); #110058=LINE('',#591832,#148975); #110059=LINE('',#591835,#148976); #110060=LINE('',#591836,#148977); #110061=LINE('',#591838,#148978); #110062=LINE('',#591840,#148979); #110063=LINE('',#591841,#148980); #110064=LINE('',#591843,#148981); #110065=LINE('',#591845,#148982); #110066=LINE('',#591853,#148983); #110067=LINE('',#591857,#148984); #110068=LINE('',#591859,#148985); #110069=LINE('',#591861,#148986); #110070=LINE('',#591865,#148987); #110071=LINE('',#591869,#148988); #110072=LINE('',#591871,#148989); #110073=LINE('',#591872,#148990); #110074=LINE('',#591875,#148991); #110075=LINE('',#591877,#148992); #110076=LINE('',#591878,#148993); #110077=LINE('',#591882,#148994); #110078=LINE('',#591885,#148995); #110079=LINE('',#591886,#148996); #110080=LINE('',#591889,#148997); #110081=LINE('',#591890,#148998); #110082=LINE('',#591893,#148999); #110083=LINE('',#591894,#149000); #110084=LINE('',#591898,#149001); #110085=LINE('',#591901,#149002); #110086=LINE('',#591902,#149003); #110087=LINE('',#591906,#149004); #110088=LINE('',#591909,#149005); #110089=LINE('',#591910,#149006); #110090=LINE('',#591913,#149007); #110091=LINE('',#591914,#149008); #110092=LINE('',#591917,#149009); #110093=LINE('',#591918,#149010); #110094=LINE('',#591927,#149011); #110095=LINE('',#591929,#149012); #110096=LINE('',#591931,#149013); #110097=LINE('',#591935,#149014); #110098=LINE('',#591939,#149015); #110099=LINE('',#591941,#149016); #110100=LINE('',#591943,#149017); #110101=LINE('',#591946,#149018); #110102=LINE('',#591949,#149019); #110103=LINE('',#591951,#149020); #110104=LINE('',#591952,#149021); #110105=LINE('',#591955,#149022); #110106=LINE('',#591959,#149023); #110107=LINE('',#591960,#149024); #110108=LINE('',#591963,#149025); #110109=LINE('',#591964,#149026); #110110=LINE('',#591967,#149027); #110111=LINE('',#591968,#149028); #110112=LINE('',#591971,#149029); #110113=LINE('',#591975,#149030); #110114=LINE('',#591976,#149031); #110115=LINE('',#591979,#149032); #110116=LINE('',#591983,#149033); #110117=LINE('',#591984,#149034); #110118=LINE('',#591987,#149035); #110119=LINE('',#591988,#149036); #110120=LINE('',#591991,#149037); #110121=LINE('',#591992,#149038); #110122=LINE('',#592001,#149039); #110123=LINE('',#592005,#149040); #110124=LINE('',#592007,#149041); #110125=LINE('',#592009,#149042); #110126=LINE('',#592013,#149043); #110127=LINE('',#592017,#149044); #110128=LINE('',#592019,#149045); #110129=LINE('',#592020,#149046); #110130=LINE('',#592023,#149047); #110131=LINE('',#592025,#149048); #110132=LINE('',#592026,#149049); #110133=LINE('',#592030,#149050); #110134=LINE('',#592033,#149051); #110135=LINE('',#592034,#149052); #110136=LINE('',#592037,#149053); #110137=LINE('',#592038,#149054); #110138=LINE('',#592041,#149055); #110139=LINE('',#592042,#149056); #110140=LINE('',#592046,#149057); #110141=LINE('',#592049,#149058); #110142=LINE('',#592050,#149059); #110143=LINE('',#592054,#149060); #110144=LINE('',#592057,#149061); #110145=LINE('',#592058,#149062); #110146=LINE('',#592061,#149063); #110147=LINE('',#592062,#149064); #110148=LINE('',#592065,#149065); #110149=LINE('',#592066,#149066); #110150=LINE('',#592075,#149067); #110151=LINE('',#592079,#149068); #110152=LINE('',#592081,#149069); #110153=LINE('',#592083,#149070); #110154=LINE('',#592087,#149071); #110155=LINE('',#592091,#149072); #110156=LINE('',#592093,#149073); #110157=LINE('',#592094,#149074); #110158=LINE('',#592097,#149075); #110159=LINE('',#592099,#149076); #110160=LINE('',#592100,#149077); #110161=LINE('',#592104,#149078); #110162=LINE('',#592107,#149079); #110163=LINE('',#592108,#149080); #110164=LINE('',#592111,#149081); #110165=LINE('',#592112,#149082); #110166=LINE('',#592115,#149083); #110167=LINE('',#592116,#149084); #110168=LINE('',#592120,#149085); #110169=LINE('',#592123,#149086); #110170=LINE('',#592124,#149087); #110171=LINE('',#592128,#149088); #110172=LINE('',#592131,#149089); #110173=LINE('',#592132,#149090); #110174=LINE('',#592135,#149091); #110175=LINE('',#592136,#149092); #110176=LINE('',#592139,#149093); #110177=LINE('',#592140,#149094); #110178=LINE('',#592149,#149095); #110179=LINE('',#592153,#149096); #110180=LINE('',#592155,#149097); #110181=LINE('',#592157,#149098); #110182=LINE('',#592161,#149099); #110183=LINE('',#592165,#149100); #110184=LINE('',#592167,#149101); #110185=LINE('',#592168,#149102); #110186=LINE('',#592171,#149103); #110187=LINE('',#592173,#149104); #110188=LINE('',#592174,#149105); #110189=LINE('',#592178,#149106); #110190=LINE('',#592181,#149107); #110191=LINE('',#592182,#149108); #110192=LINE('',#592185,#149109); #110193=LINE('',#592186,#149110); #110194=LINE('',#592189,#149111); #110195=LINE('',#592190,#149112); #110196=LINE('',#592194,#149113); #110197=LINE('',#592197,#149114); #110198=LINE('',#592198,#149115); #110199=LINE('',#592202,#149116); #110200=LINE('',#592205,#149117); #110201=LINE('',#592206,#149118); #110202=LINE('',#592209,#149119); #110203=LINE('',#592210,#149120); #110204=LINE('',#592213,#149121); #110205=LINE('',#592214,#149122); #110206=LINE('',#592223,#149123); #110207=LINE('',#592225,#149124); #110208=LINE('',#592227,#149125); #110209=LINE('',#592231,#149126); #110210=LINE('',#592235,#149127); #110211=LINE('',#592237,#149128); #110212=LINE('',#592239,#149129); #110213=LINE('',#592242,#149130); #110214=LINE('',#592245,#149131); #110215=LINE('',#592247,#149132); #110216=LINE('',#592248,#149133); #110217=LINE('',#592251,#149134); #110218=LINE('',#592255,#149135); #110219=LINE('',#592256,#149136); #110220=LINE('',#592259,#149137); #110221=LINE('',#592260,#149138); #110222=LINE('',#592263,#149139); #110223=LINE('',#592264,#149140); #110224=LINE('',#592267,#149141); #110225=LINE('',#592271,#149142); #110226=LINE('',#592272,#149143); #110227=LINE('',#592275,#149144); #110228=LINE('',#592279,#149145); #110229=LINE('',#592280,#149146); #110230=LINE('',#592283,#149147); #110231=LINE('',#592284,#149148); #110232=LINE('',#592287,#149149); #110233=LINE('',#592288,#149150); #110234=LINE('',#592297,#149151); #110235=LINE('',#592299,#149152); #110236=LINE('',#592301,#149153); #110237=LINE('',#592305,#149154); #110238=LINE('',#592309,#149155); #110239=LINE('',#592311,#149156); #110240=LINE('',#592313,#149157); #110241=LINE('',#592316,#149158); #110242=LINE('',#592319,#149159); #110243=LINE('',#592321,#149160); #110244=LINE('',#592322,#149161); #110245=LINE('',#592325,#149162); #110246=LINE('',#592329,#149163); #110247=LINE('',#592330,#149164); #110248=LINE('',#592333,#149165); #110249=LINE('',#592334,#149166); #110250=LINE('',#592337,#149167); #110251=LINE('',#592338,#149168); #110252=LINE('',#592341,#149169); #110253=LINE('',#592345,#149170); #110254=LINE('',#592346,#149171); #110255=LINE('',#592349,#149172); #110256=LINE('',#592353,#149173); #110257=LINE('',#592354,#149174); #110258=LINE('',#592357,#149175); #110259=LINE('',#592358,#149176); #110260=LINE('',#592361,#149177); #110261=LINE('',#592362,#149178); #110262=LINE('',#592371,#149179); #110263=LINE('',#592373,#149180); #110264=LINE('',#592375,#149181); #110265=LINE('',#592379,#149182); #110266=LINE('',#592383,#149183); #110267=LINE('',#592385,#149184); #110268=LINE('',#592387,#149185); #110269=LINE('',#592390,#149186); #110270=LINE('',#592393,#149187); #110271=LINE('',#592395,#149188); #110272=LINE('',#592396,#149189); #110273=LINE('',#592399,#149190); #110274=LINE('',#592403,#149191); #110275=LINE('',#592404,#149192); #110276=LINE('',#592407,#149193); #110277=LINE('',#592408,#149194); #110278=LINE('',#592411,#149195); #110279=LINE('',#592412,#149196); #110280=LINE('',#592415,#149197); #110281=LINE('',#592419,#149198); #110282=LINE('',#592420,#149199); #110283=LINE('',#592423,#149200); #110284=LINE('',#592427,#149201); #110285=LINE('',#592428,#149202); #110286=LINE('',#592431,#149203); #110287=LINE('',#592432,#149204); #110288=LINE('',#592435,#149205); #110289=LINE('',#592436,#149206); #110290=LINE('',#592447,#149207); #110291=LINE('',#592453,#149208); #110292=LINE('',#592455,#149209); #110293=LINE('',#592457,#149210); #110294=LINE('',#592458,#149211); #110295=LINE('',#592461,#149212); #110296=LINE('',#592463,#149213); #110297=LINE('',#592464,#149214); #110298=LINE('',#592467,#149215); #110299=LINE('',#592469,#149216); #110300=LINE('',#592470,#149217); #110301=LINE('',#592472,#149218); #110302=LINE('',#592473,#149219); #110303=LINE('',#592476,#149220); #110304=LINE('',#592478,#149221); #110305=LINE('',#592479,#149222); #110306=LINE('',#592482,#149223); #110307=LINE('',#592483,#149224); #110308=LINE('',#592487,#149225); #110309=LINE('',#592489,#149226); #110310=LINE('',#592491,#149227); #110311=LINE('',#592492,#149228); #110312=LINE('',#592495,#149229); #110313=LINE('',#592496,#149230); #110314=LINE('',#592498,#149231); #110315=LINE('',#592500,#149232); #110316=LINE('',#592501,#149233); #110317=LINE('',#592503,#149234); #110318=LINE('',#592505,#149235); #110319=LINE('',#592513,#149236); #110320=LINE('',#592515,#149237); #110321=LINE('',#592517,#149238); #110322=LINE('',#592521,#149239); #110323=LINE('',#592525,#149240); #110324=LINE('',#592527,#149241); #110325=LINE('',#592529,#149242); #110326=LINE('',#592532,#149243); #110327=LINE('',#592535,#149244); #110328=LINE('',#592537,#149245); #110329=LINE('',#592538,#149246); #110330=LINE('',#592541,#149247); #110331=LINE('',#592542,#149248); #110332=LINE('',#592545,#149249); #110333=LINE('',#592546,#149250); #110334=LINE('',#592550,#149251); #110335=LINE('',#592553,#149252); #110336=LINE('',#592554,#149253); #110337=LINE('',#592558,#149254); #110338=LINE('',#592561,#149255); #110339=LINE('',#592562,#149256); #110340=LINE('',#592565,#149257); #110341=LINE('',#592566,#149258); #110342=LINE('',#592569,#149259); #110343=LINE('',#592570,#149260); #110344=LINE('',#592574,#149261); #110345=LINE('',#592577,#149262); #110346=LINE('',#592578,#149263); #110347=LINE('',#592587,#149264); #110348=LINE('',#592589,#149265); #110349=LINE('',#592591,#149266); #110350=LINE('',#592595,#149267); #110351=LINE('',#592599,#149268); #110352=LINE('',#592601,#149269); #110353=LINE('',#592603,#149270); #110354=LINE('',#592606,#149271); #110355=LINE('',#592609,#149272); #110356=LINE('',#592611,#149273); #110357=LINE('',#592612,#149274); #110358=LINE('',#592615,#149275); #110359=LINE('',#592616,#149276); #110360=LINE('',#592619,#149277); #110361=LINE('',#592620,#149278); #110362=LINE('',#592624,#149279); #110363=LINE('',#592627,#149280); #110364=LINE('',#592628,#149281); #110365=LINE('',#592632,#149282); #110366=LINE('',#592635,#149283); #110367=LINE('',#592636,#149284); #110368=LINE('',#592639,#149285); #110369=LINE('',#592640,#149286); #110370=LINE('',#592643,#149287); #110371=LINE('',#592644,#149288); #110372=LINE('',#592648,#149289); #110373=LINE('',#592651,#149290); #110374=LINE('',#592652,#149291); #110375=LINE('',#592661,#149292); #110376=LINE('',#592663,#149293); #110377=LINE('',#592665,#149294); #110378=LINE('',#592669,#149295); #110379=LINE('',#592673,#149296); #110380=LINE('',#592675,#149297); #110381=LINE('',#592677,#149298); #110382=LINE('',#592680,#149299); #110383=LINE('',#592683,#149300); #110384=LINE('',#592685,#149301); #110385=LINE('',#592686,#149302); #110386=LINE('',#592689,#149303); #110387=LINE('',#592690,#149304); #110388=LINE('',#592693,#149305); #110389=LINE('',#592694,#149306); #110390=LINE('',#592698,#149307); #110391=LINE('',#592701,#149308); #110392=LINE('',#592702,#149309); #110393=LINE('',#592706,#149310); #110394=LINE('',#592709,#149311); #110395=LINE('',#592710,#149312); #110396=LINE('',#592713,#149313); #110397=LINE('',#592714,#149314); #110398=LINE('',#592717,#149315); #110399=LINE('',#592718,#149316); #110400=LINE('',#592722,#149317); #110401=LINE('',#592725,#149318); #110402=LINE('',#592726,#149319); #110403=LINE('',#592735,#149320); #110404=LINE('',#592737,#149321); #110405=LINE('',#592739,#149322); #110406=LINE('',#592743,#149323); #110407=LINE('',#592747,#149324); #110408=LINE('',#592749,#149325); #110409=LINE('',#592751,#149326); #110410=LINE('',#592754,#149327); #110411=LINE('',#592757,#149328); #110412=LINE('',#592759,#149329); #110413=LINE('',#592760,#149330); #110414=LINE('',#592763,#149331); #110415=LINE('',#592764,#149332); #110416=LINE('',#592767,#149333); #110417=LINE('',#592768,#149334); #110418=LINE('',#592772,#149335); #110419=LINE('',#592775,#149336); #110420=LINE('',#592776,#149337); #110421=LINE('',#592780,#149338); #110422=LINE('',#592783,#149339); #110423=LINE('',#592784,#149340); #110424=LINE('',#592787,#149341); #110425=LINE('',#592788,#149342); #110426=LINE('',#592791,#149343); #110427=LINE('',#592792,#149344); #110428=LINE('',#592796,#149345); #110429=LINE('',#592799,#149346); #110430=LINE('',#592800,#149347); #110431=LINE('',#592809,#149348); #110432=LINE('',#592811,#149349); #110433=LINE('',#592813,#149350); #110434=LINE('',#592817,#149351); #110435=LINE('',#592821,#149352); #110436=LINE('',#592823,#149353); #110437=LINE('',#592825,#149354); #110438=LINE('',#592828,#149355); #110439=LINE('',#592831,#149356); #110440=LINE('',#592833,#149357); #110441=LINE('',#592834,#149358); #110442=LINE('',#592837,#149359); #110443=LINE('',#592838,#149360); #110444=LINE('',#592841,#149361); #110445=LINE('',#592842,#149362); #110446=LINE('',#592846,#149363); #110447=LINE('',#592849,#149364); #110448=LINE('',#592850,#149365); #110449=LINE('',#592854,#149366); #110450=LINE('',#592857,#149367); #110451=LINE('',#592858,#149368); #110452=LINE('',#592861,#149369); #110453=LINE('',#592862,#149370); #110454=LINE('',#592865,#149371); #110455=LINE('',#592866,#149372); #110456=LINE('',#592870,#149373); #110457=LINE('',#592873,#149374); #110458=LINE('',#592874,#149375); #110459=LINE('',#592917,#149376); #110460=LINE('',#592918,#149377); #110461=LINE('',#592934,#149378); #110462=LINE('',#592935,#149379); #110463=LINE('',#592937,#149380); #110464=LINE('',#592938,#149381); #110465=LINE('',#592947,#149382); #110466=LINE('',#592948,#149383); #110467=LINE('',#592950,#149384); #110468=LINE('',#592951,#149385); #110469=LINE('',#592953,#149386); #110470=LINE('',#592954,#149387); #110471=LINE('',#592956,#149388); #110472=LINE('',#592957,#149389); #110473=LINE('',#592959,#149390); #110474=LINE('',#592960,#149391); #110475=LINE('',#592962,#149392); #110476=LINE('',#592963,#149393); #110477=LINE('',#592965,#149394); #110478=LINE('',#592966,#149395); #110479=LINE('',#592968,#149396); #110480=LINE('',#592969,#149397); #110481=LINE('',#592971,#149398); #110482=LINE('',#592972,#149399); #110483=LINE('',#593015,#149400); #110484=LINE('',#593016,#149401); #110485=LINE('',#593032,#149402); #110486=LINE('',#593033,#149403); #110487=LINE('',#593035,#149404); #110488=LINE('',#593036,#149405); #110489=LINE('',#593045,#149406); #110490=LINE('',#593046,#149407); #110491=LINE('',#593048,#149408); #110492=LINE('',#593049,#149409); #110493=LINE('',#593051,#149410); #110494=LINE('',#593052,#149411); #110495=LINE('',#593054,#149412); #110496=LINE('',#593055,#149413); #110497=LINE('',#593057,#149414); #110498=LINE('',#593058,#149415); #110499=LINE('',#593060,#149416); #110500=LINE('',#593061,#149417); #110501=LINE('',#593063,#149418); #110502=LINE('',#593064,#149419); #110503=LINE('',#593066,#149420); #110504=LINE('',#593067,#149421); #110505=LINE('',#593069,#149422); #110506=LINE('',#593070,#149423); #110507=LINE('',#593080,#149424); #110508=LINE('',#593082,#149425); #110509=LINE('',#593084,#149426); #110510=LINE('',#593085,#149427); #110511=LINE('',#593088,#149428); #110512=LINE('',#593090,#149429); #110513=LINE('',#593091,#149430); #110514=LINE('',#593094,#149431); #110515=LINE('',#593096,#149432); #110516=LINE('',#593097,#149433); #110517=LINE('',#593099,#149434); #110518=LINE('',#593100,#149435); #110519=LINE('',#593184,#149436); #110520=LINE('',#593190,#149437); #110521=LINE('',#593195,#149438); #110522=LINE('',#593197,#149439); #110523=LINE('',#593199,#149440); #110524=LINE('',#593200,#149441); #110525=LINE('',#593203,#149442); #110526=LINE('',#593205,#149443); #110527=LINE('',#593206,#149444); #110528=LINE('',#593209,#149445); #110529=LINE('',#593211,#149446); #110530=LINE('',#593212,#149447); #110531=LINE('',#593215,#149448); #110532=LINE('',#593217,#149449); #110533=LINE('',#593218,#149450); #110534=LINE('',#593221,#149451); #110535=LINE('',#593223,#149452); #110536=LINE('',#593224,#149453); #110537=LINE('',#593227,#149454); #110538=LINE('',#593229,#149455); #110539=LINE('',#593230,#149456); #110540=LINE('',#593233,#149457); #110541=LINE('',#593235,#149458); #110542=LINE('',#593236,#149459); #110543=LINE('',#593239,#149460); #110544=LINE('',#593241,#149461); #110545=LINE('',#593242,#149462); #110546=LINE('',#593245,#149463); #110547=LINE('',#593247,#149464); #110548=LINE('',#593248,#149465); #110549=LINE('',#593251,#149466); #110550=LINE('',#593253,#149467); #110551=LINE('',#593254,#149468); #110552=LINE('',#593257,#149469); #110553=LINE('',#593259,#149470); #110554=LINE('',#593260,#149471); #110555=LINE('',#593262,#149472); #110556=LINE('',#593263,#149473); #110557=LINE('',#593271,#149474); #110558=LINE('',#593277,#149475); #110559=LINE('',#593282,#149476); #110560=LINE('',#593284,#149477); #110561=LINE('',#593286,#149478); #110562=LINE('',#593287,#149479); #110563=LINE('',#593290,#149480); #110564=LINE('',#593292,#149481); #110565=LINE('',#593293,#149482); #110566=LINE('',#593296,#149483); #110567=LINE('',#593298,#149484); #110568=LINE('',#593299,#149485); #110569=LINE('',#593302,#149486); #110570=LINE('',#593304,#149487); #110571=LINE('',#593305,#149488); #110572=LINE('',#593308,#149489); #110573=LINE('',#593310,#149490); #110574=LINE('',#593311,#149491); #110575=LINE('',#593314,#149492); #110576=LINE('',#593316,#149493); #110577=LINE('',#593317,#149494); #110578=LINE('',#593320,#149495); #110579=LINE('',#593322,#149496); #110580=LINE('',#593323,#149497); #110581=LINE('',#593326,#149498); #110582=LINE('',#593328,#149499); #110583=LINE('',#593329,#149500); #110584=LINE('',#593332,#149501); #110585=LINE('',#593334,#149502); #110586=LINE('',#593335,#149503); #110587=LINE('',#593338,#149504); #110588=LINE('',#593340,#149505); #110589=LINE('',#593341,#149506); #110590=LINE('',#593344,#149507); #110591=LINE('',#593346,#149508); #110592=LINE('',#593347,#149509); #110593=LINE('',#593349,#149510); #110594=LINE('',#593350,#149511); #110595=LINE('',#593358,#149512); #110596=LINE('',#593364,#149513); #110597=LINE('',#593369,#149514); #110598=LINE('',#593371,#149515); #110599=LINE('',#593373,#149516); #110600=LINE('',#593374,#149517); #110601=LINE('',#593377,#149518); #110602=LINE('',#593379,#149519); #110603=LINE('',#593380,#149520); #110604=LINE('',#593383,#149521); #110605=LINE('',#593385,#149522); #110606=LINE('',#593386,#149523); #110607=LINE('',#593389,#149524); #110608=LINE('',#593391,#149525); #110609=LINE('',#593392,#149526); #110610=LINE('',#593395,#149527); #110611=LINE('',#593397,#149528); #110612=LINE('',#593398,#149529); #110613=LINE('',#593401,#149530); #110614=LINE('',#593403,#149531); #110615=LINE('',#593404,#149532); #110616=LINE('',#593407,#149533); #110617=LINE('',#593409,#149534); #110618=LINE('',#593410,#149535); #110619=LINE('',#593413,#149536); #110620=LINE('',#593415,#149537); #110621=LINE('',#593416,#149538); #110622=LINE('',#593419,#149539); #110623=LINE('',#593421,#149540); #110624=LINE('',#593422,#149541); #110625=LINE('',#593425,#149542); #110626=LINE('',#593427,#149543); #110627=LINE('',#593428,#149544); #110628=LINE('',#593431,#149545); #110629=LINE('',#593433,#149546); #110630=LINE('',#593434,#149547); #110631=LINE('',#593436,#149548); #110632=LINE('',#593437,#149549); #110633=LINE('',#593443,#149550); #110634=LINE('',#593445,#149551); #110635=LINE('',#593447,#149552); #110636=LINE('',#593448,#149553); #110637=LINE('',#593451,#149554); #110638=LINE('',#593453,#149555); #110639=LINE('',#593454,#149556); #110640=LINE('',#593457,#149557); #110641=LINE('',#593458,#149558); #110642=LINE('',#593461,#149559); #110643=LINE('',#593462,#149560); #110644=LINE('',#593464,#149561); #110645=LINE('',#593473,#149562); #110646=LINE('',#593480,#149563); #110647=LINE('',#593482,#149564); #110648=LINE('',#593483,#149565); #110649=LINE('',#593488,#149566); #110650=LINE('',#593492,#149567); #110651=LINE('',#593494,#149568); #110652=LINE('',#593495,#149569); #110653=LINE('',#593498,#149570); #110654=LINE('',#593499,#149571); #110655=LINE('',#593502,#149572); #110656=LINE('',#593503,#149573); #110657=LINE('',#593510,#149574); #110658=LINE('',#593517,#149575); #110659=LINE('',#593523,#149576); #110660=LINE('',#593526,#149577); #110661=LINE('',#593529,#149578); #110662=LINE('',#593533,#149579); #110663=LINE('',#593537,#149580); #110664=LINE('',#593541,#149581); #110665=LINE('',#593545,#149582); #110666=LINE('',#593549,#149583); #110667=LINE('',#593552,#149584); #110668=LINE('',#593562,#149585); #110669=LINE('',#593572,#149586); #110670=LINE('',#593579,#149587); #110671=LINE('',#593589,#149588); #110672=LINE('',#593599,#149589); #110673=LINE('',#593612,#149590); #110674=LINE('',#593631,#149591); #110675=LINE('',#593636,#149592); #110676=LINE('',#593643,#149593); #110677=LINE('',#593667,#149594); #110678=LINE('',#593669,#149595); #110679=LINE('',#593687,#149596); #110680=LINE('',#593689,#149597); #110681=LINE('',#593690,#149598); #110682=LINE('',#593693,#149599); #110683=LINE('',#593699,#149600); #110684=LINE('',#593701,#149601); #110685=LINE('',#593707,#149602); #110686=LINE('',#593708,#149603); #110687=LINE('',#593714,#149604); #110688=LINE('',#593718,#149605); #110689=LINE('',#593720,#149606); #110690=LINE('',#593721,#149607); #110691=LINE('',#593736,#149608); #110692=LINE('',#593753,#149609); #110693=LINE('',#593768,#149610); #110694=LINE('',#593785,#149611); #110695=LINE('',#593791,#149612); #110696=LINE('',#593792,#149613); #110697=LINE('',#593795,#149614); #110698=LINE('',#593807,#149615); #110699=LINE('',#593810,#149616); #110700=LINE('',#593813,#149617); #110701=LINE('',#593814,#149618); #110702=LINE('',#593817,#149619); #110703=LINE('',#593818,#149620); #110704=LINE('',#593831,#149621); #110705=LINE('',#593834,#149622); #110706=LINE('',#593849,#149623); #110707=LINE('',#593851,#149624); #110708=LINE('',#593855,#149625); #110709=LINE('',#593867,#149626); #110710=LINE('',#593870,#149627); #110711=LINE('',#593882,#149628); #110712=LINE('',#593885,#149629); #110713=LINE('',#593886,#149630); #110714=LINE('',#593888,#149631); #110715=LINE('',#593889,#149632); #110716=LINE('',#593891,#149633); #110717=LINE('',#593892,#149634); #110718=LINE('',#593905,#149635); #110719=LINE('',#593918,#149636); #110720=LINE('',#593920,#149637); #110721=LINE('',#593922,#149638); #110722=LINE('',#593923,#149639); #110723=LINE('',#593924,#149640); #110724=LINE('',#593927,#149641); #110725=LINE('',#593943,#149642); #110726=LINE('',#593947,#149643); #110727=LINE('',#593952,#149644); #110728=LINE('',#593956,#149645); #110729=LINE('',#593961,#149646); #110730=LINE('',#593969,#149647); #110731=LINE('',#593973,#149648); #110732=LINE('',#593982,#149649); #110733=LINE('',#593985,#149650); #110734=LINE('',#593988,#149651); #110735=LINE('',#593991,#149652); #110736=LINE('',#593993,#149653); #110737=LINE('',#593994,#149654); #110738=LINE('',#593998,#149655); #110739=LINE('',#594001,#149656); #110740=LINE('',#594011,#149657); #110741=LINE('',#594017,#149658); #110742=LINE('',#594020,#149659); #110743=LINE('',#594023,#149660); #110744=LINE('',#594025,#149661); #110745=LINE('',#594030,#149662); #110746=LINE('',#594034,#149663); #110747=LINE('',#594036,#149664); #110748=LINE('',#594038,#149665); #110749=LINE('',#594039,#149666); #110750=LINE('',#594042,#149667); #110751=LINE('',#594043,#149668); #110752=LINE('',#594051,#149669); #110753=LINE('',#594055,#149670); #110754=LINE('',#594057,#149671); #110755=LINE('',#594059,#149672); #110756=LINE('',#594060,#149673); #110757=LINE('',#594065,#149674); #110758=LINE('',#594070,#149675); #110759=LINE('',#594072,#149676); #110760=LINE('',#594074,#149677); #110761=LINE('',#594075,#149678); #110762=LINE('',#594078,#149679); #110763=LINE('',#594080,#149680); #110764=LINE('',#594081,#149681); #110765=LINE('',#594084,#149682); #110766=LINE('',#594086,#149683); #110767=LINE('',#594087,#149684); #110768=LINE('',#594089,#149685); #110769=LINE('',#594090,#149686); #110770=LINE('',#594093,#149687); #110771=LINE('',#594095,#149688); #110772=LINE('',#594096,#149689); #110773=LINE('',#594104,#149690); #110774=LINE('',#594109,#149691); #110775=LINE('',#594113,#149692); #110776=LINE('',#594120,#149693); #110777=LINE('',#594124,#149694); #110778=LINE('',#594127,#149695); #110779=LINE('',#594131,#149696); #110780=LINE('',#594135,#149697); #110781=LINE('',#594141,#149698); #110782=LINE('',#594152,#149699); #110783=LINE('',#594156,#149700); #110784=LINE('',#594160,#149701); #110785=LINE('',#594164,#149702); #110786=LINE('',#594168,#149703); #110787=LINE('',#594173,#149704); #110788=LINE('',#594186,#149705); #110789=LINE('',#594188,#149706); #110790=LINE('',#594189,#149707); #110791=LINE('',#594215,#149708); #110792=LINE('',#594218,#149709); #110793=LINE('',#594221,#149710); #110794=LINE('',#594223,#149711); #110795=LINE('',#594239,#149712); #110796=LINE('',#594243,#149713); #110797=LINE('',#594249,#149714); #110798=LINE('',#594251,#149715); #110799=LINE('',#594253,#149716); #110800=LINE('',#594254,#149717); #110801=LINE('',#594257,#149718); #110802=LINE('',#594258,#149719); #110803=LINE('',#594262,#149720); #110804=LINE('',#594264,#149721); #110805=LINE('',#594267,#149722); #110806=LINE('',#594268,#149723); #110807=LINE('',#594269,#149724); #110808=LINE('',#594271,#149725); #110809=LINE('',#594274,#149726); #110810=LINE('',#594275,#149727); #110811=LINE('',#594281,#149728); #110812=LINE('',#594285,#149729); #110813=LINE('',#594288,#149730); #110814=LINE('',#594289,#149731); #110815=LINE('',#594291,#149732); #110816=LINE('',#594293,#149733); #110817=LINE('',#594300,#149734); #110818=LINE('',#594301,#149735); #110819=LINE('',#594305,#149736); #110820=LINE('',#594306,#149737); #110821=LINE('',#594308,#149738); #110822=LINE('',#594309,#149739); #110823=LINE('',#594313,#149740); #110824=LINE('',#594318,#149741); #110825=LINE('',#594319,#149742); #110826=LINE('',#594323,#149743); #110827=LINE('',#594324,#149744); #110828=LINE('',#594326,#149745); #110829=LINE('',#594327,#149746); #110830=LINE('',#594330,#149747); #110831=LINE('',#594334,#149748); #110832=LINE('',#594337,#149749); #110833=LINE('',#594340,#149750); #110834=LINE('',#594343,#149751); #110835=LINE('',#594347,#149752); #110836=LINE('',#594348,#149753); #110837=LINE('',#594350,#149754); #110838=LINE('',#594351,#149755); #110839=LINE('',#594355,#149756); #110840=LINE('',#594359,#149757); #110841=LINE('',#594361,#149758); #110842=LINE('',#594362,#149759); #110843=LINE('',#594363,#149760); #110844=LINE('',#594365,#149761); #110845=LINE('',#594369,#149762); #110846=LINE('',#594371,#149763); #110847=LINE('',#594372,#149764); #110848=LINE('',#594375,#149765); #110849=LINE('',#594377,#149766); #110850=LINE('',#594378,#149767); #110851=LINE('',#594382,#149768); #110852=LINE('',#594383,#149769); #110853=LINE('',#594385,#149770); #110854=LINE('',#594386,#149771); #110855=LINE('',#594389,#149772); #110856=LINE('',#594390,#149773); #110857=LINE('',#594393,#149774); #110858=LINE('',#594395,#149775); #110859=LINE('',#594396,#149776); #110860=LINE('',#594398,#149777); #110861=LINE('',#594399,#149778); #110862=LINE('',#594404,#149779); #110863=LINE('',#594406,#149780); #110864=LINE('',#594408,#149781); #110865=LINE('',#594409,#149782); #110866=LINE('',#594411,#149783); #110867=LINE('',#594412,#149784); #110868=LINE('',#594415,#149785); #110869=LINE('',#594416,#149786); #110870=LINE('',#594419,#149787); #110871=LINE('',#594420,#149788); #110872=LINE('',#594422,#149789); #110873=LINE('',#594425,#149790); #110874=LINE('',#594427,#149791); #110875=LINE('',#594428,#149792); #110876=LINE('',#594431,#149793); #110877=LINE('',#594433,#149794); #110878=LINE('',#594434,#149795); #110879=LINE('',#594437,#149796); #110880=LINE('',#594439,#149797); #110881=LINE('',#594440,#149798); #110882=LINE('',#594443,#149799); #110883=LINE('',#594445,#149800); #110884=LINE('',#594447,#149801); #110885=LINE('',#594448,#149802); #110886=LINE('',#594451,#149803); #110887=LINE('',#594452,#149804); #110888=LINE('',#594455,#149805); #110889=LINE('',#594457,#149806); #110890=LINE('',#594458,#149807); #110891=LINE('',#594461,#149808); #110892=LINE('',#594463,#149809); #110893=LINE('',#594464,#149810); #110894=LINE('',#594467,#149811); #110895=LINE('',#594469,#149812); #110896=LINE('',#594470,#149813); #110897=LINE('',#594474,#149814); #110898=LINE('',#594476,#149815); #110899=LINE('',#594478,#149816); #110900=LINE('',#594479,#149817); #110901=LINE('',#594481,#149818); #110902=LINE('',#594482,#149819); #110903=LINE('',#594486,#149820); #110904=LINE('',#594488,#149821); #110905=LINE('',#594490,#149822); #110906=LINE('',#594491,#149823); #110907=LINE('',#594493,#149824); #110908=LINE('',#594495,#149825); #110909=LINE('',#594498,#149826); #110910=LINE('',#594502,#149827); #110911=LINE('',#594504,#149828); #110912=LINE('',#594506,#149829); #110913=LINE('',#594507,#149830); #110914=LINE('',#594509,#149831); #110915=LINE('',#594510,#149832); #110916=LINE('',#594514,#149833); #110917=LINE('',#594516,#149834); #110918=LINE('',#594518,#149835); #110919=LINE('',#594519,#149836); #110920=LINE('',#594521,#149837); #110921=LINE('',#594523,#149838); #110922=LINE('',#594528,#149839); #110923=LINE('',#594532,#149840); #110924=LINE('',#594536,#149841); #110925=LINE('',#594538,#149842); #110926=LINE('',#594539,#149843); #110927=LINE('',#594542,#149844); #110928=LINE('',#594544,#149845); #110929=LINE('',#594545,#149846); #110930=LINE('',#594549,#149847); #110931=LINE('',#594551,#149848); #110932=LINE('',#594553,#149849); #110933=LINE('',#594555,#149850); #110934=LINE('',#594557,#149851); #110935=LINE('',#594559,#149852); #110936=LINE('',#594561,#149853); #110937=LINE('',#594562,#149854); #110938=LINE('',#594564,#149855); #110939=LINE('',#594565,#149856); #110940=LINE('',#594569,#149857); #110941=LINE('',#594571,#149858); #110942=LINE('',#594573,#149859); #110943=LINE('',#594575,#149860); #110944=LINE('',#594577,#149861); #110945=LINE('',#594579,#149862); #110946=LINE('',#594581,#149863); #110947=LINE('',#594582,#149864); #110948=LINE('',#594589,#149865); #110949=LINE('',#594590,#149866); #110950=LINE('',#594593,#149867); #110951=LINE('',#594595,#149868); #110952=LINE('',#594596,#149869); #110953=LINE('',#594604,#149870); #110954=LINE('',#594611,#149871); #110955=LINE('',#594613,#149872); #110956=LINE('',#594616,#149873); #110957=LINE('',#594622,#149874); #110958=LINE('',#594624,#149875); #110959=LINE('',#594626,#149876); #110960=LINE('',#594628,#149877); #110961=LINE('',#594629,#149878); #110962=LINE('',#594634,#149879); #110963=LINE('',#594640,#149880); #110964=LINE('',#594643,#149881); #110965=LINE('',#594644,#149882); #110966=LINE('',#594645,#149883); #110967=LINE('',#594652,#149884); #110968=LINE('',#594654,#149885); #110969=LINE('',#594656,#149886); #110970=LINE('',#594657,#149887); #110971=LINE('',#594662,#149888); #110972=LINE('',#594667,#149889); #110973=LINE('',#594669,#149890); #110974=LINE('',#594671,#149891); #110975=LINE('',#594672,#149892); #110976=LINE('',#594675,#149893); #110977=LINE('',#594677,#149894); #110978=LINE('',#594678,#149895); #110979=LINE('',#594681,#149896); #110980=LINE('',#594683,#149897); #110981=LINE('',#594684,#149898); #110982=LINE('',#594690,#149899); #110983=LINE('',#594692,#149900); #110984=LINE('',#594693,#149901); #110985=LINE('',#594695,#149902); #110986=LINE('',#594696,#149903); #110987=LINE('',#594700,#149904); #110988=LINE('',#594702,#149905); #110989=LINE('',#594704,#149906); #110990=LINE('',#594705,#149907); #110991=LINE('',#594708,#149908); #110992=LINE('',#594710,#149909); #110993=LINE('',#594711,#149910); #110994=LINE('',#594714,#149911); #110995=LINE('',#594716,#149912); #110996=LINE('',#594717,#149913); #110997=LINE('',#594719,#149914); #110998=LINE('',#594720,#149915); #110999=LINE('',#594725,#149916); #111000=LINE('',#594730,#149917); #111001=LINE('',#594732,#149918); #111002=LINE('',#594734,#149919); #111003=LINE('',#594735,#149920); #111004=LINE('',#594741,#149921); #111005=LINE('',#594744,#149922); #111006=LINE('',#594746,#149923); #111007=LINE('',#594747,#149924); #111008=LINE('',#595093,#149925); #111009=LINE('',#595097,#149926); #111010=LINE('',#595101,#149927); #111011=LINE('',#595105,#149928); #111012=LINE('',#595124,#149929); #111013=LINE('',#595127,#149930); #111014=LINE('',#595158,#149931); #111015=LINE('',#595201,#149932); #111016=LINE('',#595232,#149933); #111017=LINE('',#595253,#149934); #111018=LINE('',#595284,#149935); #111019=LINE('',#595327,#149936); #111020=LINE('',#595361,#149937); #111021=LINE('',#595366,#149938); #111022=LINE('',#595372,#149939); #111023=LINE('',#595376,#149940); #111024=LINE('',#595381,#149941); #111025=LINE('',#595389,#149942); #111026=LINE('',#595397,#149943); #111027=LINE('',#595405,#149944); #111028=VECTOR('',#377028,15.); #111029=VECTOR('',#377033,1.); #111030=VECTOR('',#377036,1.); #111031=VECTOR('',#377041,1.015950799887); #111032=VECTOR('',#377042,5.5); #111033=VECTOR('',#377043,1.015950799887); #111034=VECTOR('',#377044,1.); #111035=VECTOR('',#377045,1.015950799887); #111036=VECTOR('',#377046,8.499999999999); #111037=VECTOR('',#377049,2.67665519286001); #111038=VECTOR('',#377050,24.); #111039=VECTOR('',#377051,3.69260599274501); #111040=VECTOR('',#377052,5.); #111041=VECTOR('',#377053,1.015950799886); #111042=VECTOR('',#377058,1.08712152522); #111043=VECTOR('',#377061,24.); #111044=VECTOR('',#377062,0.9000000000001); #111045=VECTOR('',#377063,8.499999999999); #111046=VECTOR('',#377064,1.08712152522); #111047=VECTOR('',#377065,1.); #111048=VECTOR('',#377066,1.08712152522); #111049=VECTOR('',#377067,5.5); #111050=VECTOR('',#377068,1.08712152522); #111051=VECTOR('',#377069,5.); #111052=VECTOR('',#377070,1.98712152522); #111053=VECTOR('',#377073,24.); #111054=VECTOR('',#377074,20.); #111055=VECTOR('',#377075,20.); #111056=VECTOR('',#377078,1.98712152522); #111057=VECTOR('',#377079,5.); #111058=VECTOR('',#377080,1.08712152522); #111059=VECTOR('',#377081,1.); #111060=VECTOR('',#377082,1.08712152522); #111061=VECTOR('',#377083,5.5); #111062=VECTOR('',#377084,1.08712152522); #111063=VECTOR('',#377085,1.); #111064=VECTOR('',#377086,1.08712152522); #111065=VECTOR('',#377087,8.499999999999); #111066=VECTOR('',#377088,0.9); #111067=VECTOR('',#377091,0.2908730975177); #111068=VECTOR('',#377094,30.4); #111069=VECTOR('',#377097,40.); #111070=VECTOR('',#377100,40.); #111071=VECTOR('',#377103,40.); #111072=VECTOR('',#377106,7.10015414345); #111073=VECTOR('',#377109,1.49447484924296); #111074=VECTOR('',#377110,2.58191695754); #111075=VECTOR('',#377113,0.3180194846598); #111076=VECTOR('',#377116,2.45792810717101); #111077=VECTOR('',#377123,2.45792810717201); #111078=VECTOR('',#377126,2.67665519285401); #111079=VECTOR('',#377129,0.9000000000001); #111080=VECTOR('',#377130,20.); #111081=VECTOR('',#377131,0.9000000000002); #111082=VECTOR('',#377134,2.67665519285901); #111083=VECTOR('',#377137,2.45792810717501); #111084=VECTOR('',#377144,2.45792810717201); #111085=VECTOR('',#377151,2.67665519285901); #111086=VECTOR('',#377154,2.45792810717501); #111087=VECTOR('',#377161,2.45792810717201); #111088=VECTOR('',#377164,2.67665519286101); #111089=VECTOR('',#377167,0.9); #111090=VECTOR('',#377168,20.); #111091=VECTOR('',#377169,0.9000000000002); #111092=VECTOR('',#377172,2.67665519285901); #111093=VECTOR('',#377175,2.45792810717001); #111094=VECTOR('',#377182,2.45792810717201); #111095=VECTOR('',#377185,2.67665519285401); #111096=VECTOR('',#377188,0.9000000000001); #111097=VECTOR('',#377189,20.); #111098=VECTOR('',#377190,1.98966602864); #111099=VECTOR('',#377191,10.); #111100=VECTOR('',#377194,1.37782338782495); #111101=VECTOR('',#377195,1.94133306814501); #111102=VECTOR('',#377210,0.29087309752); #111103=VECTOR('',#377211,4.); #111104=VECTOR('',#377212,4.); #111105=VECTOR('',#377215,30.4); #111106=VECTOR('',#377222,1.75127292461301); #111107=VECTOR('',#377223,0.318019484659); #111108=VECTOR('',#377226,2.581916957544); #111109=VECTOR('',#377227,1.49447484923896); #111110=VECTOR('',#377230,7.10015414345); #111111=VECTOR('',#377233,40.); #111112=VECTOR('',#377236,40.); #111113=VECTOR('',#377239,40.); #111114=VECTOR('',#377248,19.91983935678); #111115=VECTOR('',#377255,19.91983935678); #111116=VECTOR('',#377262,19.91983935678); #111117=VECTOR('',#377269,19.91983935678); #111118=VECTOR('',#377280,4.); #111119=VECTOR('',#377281,4.); #111120=VECTOR('',#377284,4.); #111121=VECTOR('',#377287,4.); #111122=VECTOR('',#377290,4.); #111123=VECTOR('',#377293,4.); #111124=VECTOR('',#377296,4.); #111125=VECTOR('',#377299,4.); #111126=VECTOR('',#377302,4.); #111127=VECTOR('',#377305,4.); #111128=VECTOR('',#377308,4.); #111129=VECTOR('',#377311,4.); #111130=VECTOR('',#377314,4.); #111131=VECTOR('',#377317,4.); #111132=VECTOR('',#377320,4.); #111133=VECTOR('',#377323,8.499999999999); #111134=VECTOR('',#377324,1.015950799886); #111135=VECTOR('',#377325,1.); #111136=VECTOR('',#377326,1.015950799886); #111137=VECTOR('',#377327,5.5); #111138=VECTOR('',#377328,1.015950799886); #111139=VECTOR('',#377329,1.); #111140=VECTOR('',#377330,1.015950799886); #111141=VECTOR('',#377331,5.); #111142=VECTOR('',#377332,1.94133306812487); #111143=VECTOR('',#377333,4.); #111144=VECTOR('',#377334,1.75127292461801); #111145=VECTOR('',#377335,4.); #111146=VECTOR('',#377336,24.); #111147=VECTOR('',#377337,4.000000003721); #111148=VECTOR('',#377338,2.999999986976); #111149=VECTOR('',#377341,10.); #111150=VECTOR('',#377348,10.); #111151=VECTOR('',#377349,24.); #111152=VECTOR('',#377350,1.98712152522); #111153=VECTOR('',#377351,5.); #111154=VECTOR('',#377352,1.08712152522); #111155=VECTOR('',#377353,1.); #111156=VECTOR('',#377354,1.08712152522); #111157=VECTOR('',#377355,5.5); #111158=VECTOR('',#377356,1.08712152522); #111159=VECTOR('',#377357,1.); #111160=VECTOR('',#377358,10.); #111161=VECTOR('',#377393,30.4); #111162=VECTOR('',#377396,0.29087309752); #111163=VECTOR('',#377401,20.); #111164=VECTOR('',#377402,1.98712152522); #111165=VECTOR('',#377405,3.69260599274501); #111166=VECTOR('',#377408,3.46492395550001); #111167=VECTOR('',#377411,3.46492395547901); #111168=VECTOR('',#377414,3.69260599274801); #111169=VECTOR('',#377417,1.987121525221); #111170=VECTOR('',#377418,20.); #111171=VECTOR('',#377419,1.98712152522); #111172=VECTOR('',#377422,3.69260599274601); #111173=VECTOR('',#377425,3.46492395547401); #111174=VECTOR('',#377428,3.46492395548201); #111175=VECTOR('',#377431,3.69260599274101); #111176=VECTOR('',#377438,3.46492395548101); #111177=VECTOR('',#377441,3.46492395548201); #111178=VECTOR('',#377444,3.69260599274001); #111179=VECTOR('',#377447,1.98712152522); #111180=VECTOR('',#377448,20.); #111181=VECTOR('',#377449,1.987121525221); #111182=VECTOR('',#377452,3.69260599274501); #111183=VECTOR('',#377455,3.46492395548201); #111184=VECTOR('',#377458,3.46492395548177); #111185=VECTOR('',#377461,0.3180194846593); #111186=VECTOR('',#377464,2.581916957544); #111187=VECTOR('',#377465,1.49447484923896); #111188=VECTOR('',#377468,7.10015414345); #111189=VECTOR('',#377471,40.); #111190=VECTOR('',#377474,40.); #111191=VECTOR('',#377477,40.); #111192=VECTOR('',#377490,30.4); #111193=VECTOR('',#377491,4.); #111194=VECTOR('',#377492,4.); #111195=VECTOR('',#377495,0.2908730975177); #111196=VECTOR('',#377500,40.); #111197=VECTOR('',#377503,40.); #111198=VECTOR('',#377506,40.); #111199=VECTOR('',#377509,7.10015414345); #111200=VECTOR('',#377512,1.49447484924296); #111201=VECTOR('',#377513,2.58191695754); #111202=VECTOR('',#377516,0.3180194846596); #111203=VECTOR('',#377527,19.91983935678); #111204=VECTOR('',#377534,19.91983935678); #111205=VECTOR('',#377541,19.91983935678); #111206=VECTOR('',#377548,19.91983935678); #111207=VECTOR('',#377559,4.); #111208=VECTOR('',#377560,4.); #111209=VECTOR('',#377563,4.); #111210=VECTOR('',#377570,4.); #111211=VECTOR('',#377573,4.); #111212=VECTOR('',#377576,4.); #111213=VECTOR('',#377579,4.); #111214=VECTOR('',#377582,4.); #111215=VECTOR('',#377585,4.); #111216=VECTOR('',#377588,4.); #111217=VECTOR('',#377591,4.); #111218=VECTOR('',#377594,4.); #111219=VECTOR('',#377597,4.); #111220=VECTOR('',#377600,4.); #111221=VECTOR('',#377603,4.); #111222=VECTOR('',#377650,23.8); #111223=VECTOR('',#377653,2.999999999997); #111224=VECTOR('',#377656,2.999999993021); #111225=VECTOR('',#377679,15.); #111226=VECTOR('',#377810,1.37782337886904); #111227=VECTOR('',#377813,10.); #111228=VECTOR('',#377852,10.); #111229=VECTOR('',#377853,10.); #111230=VECTOR('',#377856,1.250891983182); #111231=VECTOR('',#377861,1.08322563416697); #111232=VECTOR('',#377912,2.25); #111233=VECTOR('',#377915,2.25); #111234=VECTOR('',#377918,2.25); #111235=VECTOR('',#377921,2.25); #111236=VECTOR('',#377924,24.); #111237=VECTOR('',#377927,1.); #111238=VECTOR('',#377928,1.006995848311); #111239=VECTOR('',#377929,5.5); #111240=VECTOR('',#377930,1.00699584831); #111241=VECTOR('',#377931,1.); #111242=VECTOR('',#377932,1.00699584831); #111243=VECTOR('',#377933,8.499999999999); #111244=VECTOR('',#377934,5.); #111245=VECTOR('',#377935,1.006995848311); #111246=VECTOR('',#377938,1.); #111247=VECTOR('',#377945,5.5); #111248=VECTOR('',#377946,1.006995848308); #111249=VECTOR('',#377947,1.006995848308); #111250=VECTOR('',#377948,5.); #111251=VECTOR('',#377949,24.); #111252=VECTOR('',#377950,8.499999999999); #111253=VECTOR('',#377951,1.006995848308); #111254=VECTOR('',#377952,1.); #111255=VECTOR('',#377953,1.006995848308); #111256=VECTOR('',#377984,24.); #111257=VECTOR('',#377987,1.); #111258=VECTOR('',#377988,1.015950799887); #111259=VECTOR('',#377989,5.5); #111260=VECTOR('',#377990,1.015950799887); #111261=VECTOR('',#377991,1.); #111262=VECTOR('',#377992,1.015950799887); #111263=VECTOR('',#377993,8.499999999999); #111264=VECTOR('',#377996,5.); #111265=VECTOR('',#377997,1.015950799887); #111266=VECTOR('',#378002,1.); #111267=VECTOR('',#378007,1.08712152522); #111268=VECTOR('',#378012,5.5); #111269=VECTOR('',#378019,1.08712152522); #111270=VECTOR('',#378022,1.); #111271=VECTOR('',#378027,1.08712152522); #111272=VECTOR('',#378032,8.499999999999); #111273=VECTOR('',#378039,24.); #111274=VECTOR('',#378040,1.08712152522); #111275=VECTOR('',#378041,5.); #111276=VECTOR('',#378044,24.); #111277=VECTOR('',#378047,5.); #111278=VECTOR('',#378048,1.087121525221); #111279=VECTOR('',#378049,1.); #111280=VECTOR('',#378050,1.087121525221); #111281=VECTOR('',#378051,5.5); #111282=VECTOR('',#378052,1.08712152522); #111283=VECTOR('',#378053,1.); #111284=VECTOR('',#378054,1.08712152522); #111285=VECTOR('',#378055,8.499999999999); #111286=VECTOR('',#378064,5.5); #111287=VECTOR('',#378065,1.015950799886); #111288=VECTOR('',#378066,1.); #111289=VECTOR('',#378067,1.015950799887); #111290=VECTOR('',#378068,5.); #111291=VECTOR('',#378069,24.); #111292=VECTOR('',#378070,8.5); #111293=VECTOR('',#378071,1.015950799886); #111294=VECTOR('',#378072,1.); #111295=VECTOR('',#378073,1.015950799886); #111296=VECTOR('',#378110,24.); #111297=VECTOR('',#378113,1.); #111298=VECTOR('',#378114,1.006995848311); #111299=VECTOR('',#378115,5.5); #111300=VECTOR('',#378116,1.00699584830993); #111301=VECTOR('',#378117,1.); #111302=VECTOR('',#378118,1.00699584831); #111303=VECTOR('',#378119,8.5); #111304=VECTOR('',#378120,5.); #111305=VECTOR('',#378121,1.006995848311); #111306=VECTOR('',#378124,1.); #111307=VECTOR('',#378131,5.5); #111308=VECTOR('',#378132,1.006995848311); #111309=VECTOR('',#378133,1.00699584831); #111310=VECTOR('',#378134,5.); #111311=VECTOR('',#378135,24.); #111312=VECTOR('',#378136,8.499999999998); #111313=VECTOR('',#378137,1.006995848311); #111314=VECTOR('',#378138,1.); #111315=VECTOR('',#378139,1.006995848311); #111316=VECTOR('',#378192,5.); #111317=VECTOR('',#378197,5.5); #111318=VECTOR('',#378198,1.01595079988693); #111319=VECTOR('',#378199,1.); #111320=VECTOR('',#378200,1.015950799887); #111321=VECTOR('',#378201,24.); #111322=VECTOR('',#378204,8.499999999999); #111323=VECTOR('',#378205,1.015950799887); #111324=VECTOR('',#378206,1.); #111325=VECTOR('',#378207,1.015950799887); #111326=VECTOR('',#378244,24.); #111327=VECTOR('',#378247,1.); #111328=VECTOR('',#378248,1.00699584831); #111329=VECTOR('',#378249,5.5); #111330=VECTOR('',#378250,1.006995848311); #111331=VECTOR('',#378251,1.); #111332=VECTOR('',#378252,1.00699584830993); #111333=VECTOR('',#378253,8.5); #111334=VECTOR('',#378254,5.); #111335=VECTOR('',#378255,1.00699584831); #111336=VECTOR('',#378258,1.); #111337=VECTOR('',#378265,5.5); #111338=VECTOR('',#378266,1.006995848311); #111339=VECTOR('',#378267,1.006995848311); #111340=VECTOR('',#378268,5.); #111341=VECTOR('',#378269,24.); #111342=VECTOR('',#378270,8.499999999999); #111343=VECTOR('',#378271,1.006995848309); #111344=VECTOR('',#378272,1.); #111345=VECTOR('',#378273,1.006995848311); #111346=VECTOR('',#378304,24.); #111347=VECTOR('',#378307,1.); #111348=VECTOR('',#378308,1.015950799886); #111349=VECTOR('',#378309,5.5); #111350=VECTOR('',#378310,1.015950799886); #111351=VECTOR('',#378311,1.); #111352=VECTOR('',#378312,1.015950799886); #111353=VECTOR('',#378313,8.499999999999); #111354=VECTOR('',#378316,5.); #111355=VECTOR('',#378317,1.015950799886); #111356=VECTOR('',#378322,1.); #111357=VECTOR('',#378327,1.08712152522); #111358=VECTOR('',#378332,5.5); #111359=VECTOR('',#378339,1.08712152522); #111360=VECTOR('',#378342,1.); #111361=VECTOR('',#378347,1.087121525221); #111362=VECTOR('',#378352,8.499999999999); #111363=VECTOR('',#378359,24.); #111364=VECTOR('',#378360,1.08712152522); #111365=VECTOR('',#378361,5.); #111366=VECTOR('',#378364,24.); #111367=VECTOR('',#378367,5.); #111368=VECTOR('',#378368,1.087121525221); #111369=VECTOR('',#378369,1.); #111370=VECTOR('',#378370,1.087121525221); #111371=VECTOR('',#378371,5.5); #111372=VECTOR('',#378372,1.087121525221); #111373=VECTOR('',#378373,1.); #111374=VECTOR('',#378374,1.08712152522); #111375=VECTOR('',#378375,8.5); #111376=VECTOR('',#378384,5.5); #111377=VECTOR('',#378385,1.015950799887); #111378=VECTOR('',#378386,1.); #111379=VECTOR('',#378387,1.015950799887); #111380=VECTOR('',#378388,5.); #111381=VECTOR('',#378389,24.); #111382=VECTOR('',#378390,8.499999999999); #111383=VECTOR('',#378391,1.015950799887); #111384=VECTOR('',#378392,1.); #111385=VECTOR('',#378393,1.015950799887); #111386=VECTOR('',#378430,24.); #111387=VECTOR('',#378433,1.); #111388=VECTOR('',#378434,1.006995848308); #111389=VECTOR('',#378435,5.5); #111390=VECTOR('',#378436,1.006995848308); #111391=VECTOR('',#378437,1.); #111392=VECTOR('',#378438,1.006995848308); #111393=VECTOR('',#378439,8.499999999999); #111394=VECTOR('',#378440,5.); #111395=VECTOR('',#378441,1.006995848308); #111396=VECTOR('',#378444,1.); #111397=VECTOR('',#378451,5.5); #111398=VECTOR('',#378452,1.006995848311); #111399=VECTOR('',#378453,1.00699584831); #111400=VECTOR('',#378454,5.); #111401=VECTOR('',#378455,24.); #111402=VECTOR('',#378456,8.499999999999); #111403=VECTOR('',#378457,1.006995848308); #111404=VECTOR('',#378458,1.); #111405=VECTOR('',#378459,1.006995848311); #111406=VECTOR('',#378490,24.); #111407=VECTOR('',#378493,1.); #111408=VECTOR('',#378494,1.015950799887); #111409=VECTOR('',#378495,5.5); #111410=VECTOR('',#378496,1.015950799887); #111411=VECTOR('',#378497,1.); #111412=VECTOR('',#378498,1.015950799887); #111413=VECTOR('',#378499,8.499999999999); #111414=VECTOR('',#378502,5.); #111415=VECTOR('',#378503,1.015950799887); #111416=VECTOR('',#378508,1.); #111417=VECTOR('',#378513,1.08712152522); #111418=VECTOR('',#378518,5.5); #111419=VECTOR('',#378525,1.08712152522); #111420=VECTOR('',#378528,1.); #111421=VECTOR('',#378533,1.08712152522); #111422=VECTOR('',#378538,8.499999999999); #111423=VECTOR('',#378545,24.); #111424=VECTOR('',#378546,1.08712152522); #111425=VECTOR('',#378547,5.); #111426=VECTOR('',#378576,4.); #111427=VECTOR('',#378583,2.25); #111428=VECTOR('',#378586,2.25); #111429=VECTOR('',#378589,2.25); #111430=VECTOR('',#378592,2.25); #111431=VECTOR('',#378631,8.91832209613391); #111432=VECTOR('',#378634,12.98758303364); #111433=VECTOR('',#378645,8.91832209622134); #111434=VECTOR('',#378652,10.0944578340998); #111435=VECTOR('',#378653,10.); #111436=VECTOR('',#378656,10.2815691463304); #111437=VECTOR('',#378661,10.0944578333006); #111438=VECTOR('',#378664,10.); #111439=VECTOR('',#378671,8.91832202022105); #111440=VECTOR('',#378672,10.09445783334); #111441=VECTOR('',#378679,8.91832209616085); #111442=VECTOR('',#378680,10.0944578344499); #111443=VECTOR('',#378681,10.); #111444=VECTOR('',#378686,10.2815669638202); #111445=VECTOR('',#378689,10.0944578335303); #111446=VECTOR('',#378690,10.); #111447=VECTOR('',#378697,8.91832209616905); #111448=VECTOR('',#378700,10.2815689651098); #111449=VECTOR('',#378727,10.2815679632596); #111450=VECTOR('',#378742,10.2815662326499); #111451=VECTOR('',#378759,10.); #111452=VECTOR('',#378760,10.); #111453=VECTOR('',#378761,10.); #111454=VECTOR('',#378766,10.); #111455=VECTOR('',#378767,10.); #111456=VECTOR('',#378772,10.); #111457=VECTOR('',#378773,10.); #111458=VECTOR('',#378774,10.); #111459=VECTOR('',#378779,10.); #111460=VECTOR('',#378782,10.); #111461=VECTOR('',#378785,10.); #111462=VECTOR('',#378790,10.); #111463=VECTOR('',#378797,10.); #111464=VECTOR('',#378798,10.); #111465=VECTOR('',#378799,10.); #111466=VECTOR('',#378802,10.); #111467=VECTOR('',#378807,10.); #111468=VECTOR('',#378808,10.); #111469=VECTOR('',#378809,10.); #111470=VECTOR('',#378810,10.); #111471=VECTOR('',#378811,10.); #111472=VECTOR('',#378812,10.); #111473=VECTOR('',#378815,10.); #111474=VECTOR('',#378816,10.); #111475=VECTOR('',#378817,10.); #111476=VECTOR('',#378818,10.); #111477=VECTOR('',#378821,10.); #111478=VECTOR('',#378822,10.); #111479=VECTOR('',#378823,10.); #111480=VECTOR('',#378824,10.); #111481=VECTOR('',#378827,10.); #111482=VECTOR('',#378828,10.); #111483=VECTOR('',#378831,10.); #111484=VECTOR('',#378832,10.); #111485=VECTOR('',#378833,10.); #111486=VECTOR('',#378834,10.); #111487=VECTOR('',#378835,10.); #111488=VECTOR('',#378838,10.); #111489=VECTOR('',#378839,10.); #111490=VECTOR('',#378840,10.); #111491=VECTOR('',#378841,10.); #111492=VECTOR('',#378842,10.); #111493=VECTOR('',#378845,10.); #111494=VECTOR('',#378846,10.); #111495=VECTOR('',#378847,10.); #111496=VECTOR('',#378852,10.); #111497=VECTOR('',#378855,10.); #111498=VECTOR('',#378860,10.); #111499=VECTOR('',#378863,10.); #111500=VECTOR('',#378866,10.); #111501=VECTOR('',#378871,10.); #111502=VECTOR('',#378872,10.); #111503=VECTOR('',#378873,10.); #111504=VECTOR('',#378878,10.); #111505=VECTOR('',#378881,10.); #111506=VECTOR('',#378884,10.); #111507=VECTOR('',#378885,10.); #111508=VECTOR('',#378886,10.); #111509=VECTOR('',#378887,10.); #111510=VECTOR('',#378888,10.); #111511=VECTOR('',#378891,10.); #111512=VECTOR('',#378892,10.); #111513=VECTOR('',#378893,10.); #111514=VECTOR('',#378894,10.); #111515=VECTOR('',#378897,10.); #111516=VECTOR('',#378900,10.); #111517=VECTOR('',#378901,10.); #111518=VECTOR('',#378904,10.); #111519=VECTOR('',#378907,10.); #111520=VECTOR('',#378908,10.); #111521=VECTOR('',#378909,10.); #111522=VECTOR('',#378910,10.); #111523=VECTOR('',#378913,10.); #111524=VECTOR('',#378916,10.); #111525=VECTOR('',#378919,10.); #111526=VECTOR('',#378924,10.); #111527=VECTOR('',#378927,10.); #111528=VECTOR('',#378930,10.); #111529=VECTOR('',#378931,10.); #111530=VECTOR('',#378932,10.); #111531=VECTOR('',#378933,10.); #111532=VECTOR('',#378934,10.); #111533=VECTOR('',#378935,10.); #111534=VECTOR('',#378936,10.); #111535=VECTOR('',#378939,10.); #111536=VECTOR('',#378940,10.); #111537=VECTOR('',#378941,10.); #111538=VECTOR('',#378942,10.); #111539=VECTOR('',#378945,10.); #111540=VECTOR('',#378948,10.); #111541=VECTOR('',#378949,10.); #111542=VECTOR('',#378954,10.); #111543=VECTOR('',#378957,10.); #111544=VECTOR('',#378960,10.); #111545=VECTOR('',#378961,10.); #111546=VECTOR('',#378962,10.); #111547=VECTOR('',#378963,10.); #111548=VECTOR('',#378964,10.); #111549=VECTOR('',#378965,10.); #111550=VECTOR('',#378968,10.); #111551=VECTOR('',#378969,10.); #111552=VECTOR('',#378970,10.); #111553=VECTOR('',#378971,10.); #111554=VECTOR('',#378974,10.); #111555=VECTOR('',#378977,10.); #111556=VECTOR('',#378978,10.); #111557=VECTOR('',#378979,10.); #111558=VECTOR('',#378982,10.); #111559=VECTOR('',#378985,10.); #111560=VECTOR('',#378988,10.); #111561=VECTOR('',#378991,10.); #111562=VECTOR('',#378992,10.); #111563=VECTOR('',#378997,10.); #111564=VECTOR('',#379000,10.); #111565=VECTOR('',#379005,10.); #111566=VECTOR('',#379008,10.); #111567=VECTOR('',#379009,10.); #111568=VECTOR('',#379012,10.); #111569=VECTOR('',#379013,10.); #111570=VECTOR('',#379014,10.); #111571=VECTOR('',#379017,10.); #111572=VECTOR('',#379018,10.); #111573=VECTOR('',#379021,10.); #111574=VECTOR('',#379022,10.); #111575=VECTOR('',#379023,10.); #111576=VECTOR('',#379026,10.); #111577=VECTOR('',#379029,10.); #111578=VECTOR('',#379030,10.); #111579=VECTOR('',#379031,10.); #111580=VECTOR('',#379036,10.); #111581=VECTOR('',#379039,10.); #111582=VECTOR('',#379042,10.); #111583=VECTOR('',#379045,10.); #111584=VECTOR('',#379052,10.); #111585=VECTOR('',#379053,10.); #111586=VECTOR('',#379058,10.); #111587=VECTOR('',#379063,10.); #111588=VECTOR('',#379064,10.); #111589=VECTOR('',#379069,10.); #111590=VECTOR('',#379070,10.); #111591=VECTOR('',#379071,10.); #111592=VECTOR('',#379074,10.); #111593=VECTOR('',#379075,10.); #111594=VECTOR('',#379076,10.); #111595=VECTOR('',#379079,10.); #111596=VECTOR('',#379080,10.); #111597=VECTOR('',#379081,10.); #111598=VECTOR('',#379082,10.); #111599=VECTOR('',#379085,10.); #111600=VECTOR('',#379086,10.); #111601=VECTOR('',#379087,10.); #111602=VECTOR('',#379092,10.); #111603=VECTOR('',#379093,10.); #111604=VECTOR('',#379094,10.); #111605=VECTOR('',#379101,10.); #111606=VECTOR('',#379104,10.); #111607=VECTOR('',#379107,10.); #111608=VECTOR('',#379110,10.); #111609=VECTOR('',#379113,10.); #111610=VECTOR('',#379114,10.); #111611=VECTOR('',#379117,10.); #111612=VECTOR('',#379124,10.); #111613=VECTOR('',#379125,10.); #111614=VECTOR('',#379130,10.); #111615=VECTOR('',#379135,10.); #111616=VECTOR('',#379138,10.); #111617=VECTOR('',#379143,10.); #111618=VECTOR('',#379146,10.); #111619=VECTOR('',#379147,10.); #111620=VECTOR('',#379148,10.); #111621=VECTOR('',#379153,10.); #111622=VECTOR('',#379154,10.); #111623=VECTOR('',#379157,10.); #111624=VECTOR('',#379158,10.); #111625=VECTOR('',#379161,10.); #111626=VECTOR('',#379168,10.); #111627=VECTOR('',#379169,10.); #111628=VECTOR('',#379170,10.); #111629=VECTOR('',#379171,10.); #111630=VECTOR('',#379172,10.); #111631=VECTOR('',#379177,10.); #111632=VECTOR('',#379182,10.); #111633=VECTOR('',#379189,10.); #111634=VECTOR('',#379192,10.); #111635=VECTOR('',#379193,10.); #111636=VECTOR('',#379198,10.); #111637=VECTOR('',#379199,10.); #111638=VECTOR('',#379202,10.); #111639=VECTOR('',#379203,10.); #111640=VECTOR('',#379206,10.); #111641=VECTOR('',#379213,10.); #111642=VECTOR('',#379214,10.); #111643=VECTOR('',#379217,10.); #111644=VECTOR('',#379218,10.); #111645=VECTOR('',#379221,10.); #111646=VECTOR('',#379228,10.); #111647=VECTOR('',#379233,10.); #111648=VECTOR('',#379234,10.); #111649=VECTOR('',#379235,10.); #111650=VECTOR('',#379238,10.); #111651=VECTOR('',#379239,10.); #111652=VECTOR('',#379240,10.); #111653=VECTOR('',#379241,10.); #111654=VECTOR('',#379242,10.); #111655=VECTOR('',#379245,10.); #111656=VECTOR('',#379246,10.); #111657=VECTOR('',#379249,10.); #111658=VECTOR('',#379250,10.); #111659=VECTOR('',#379253,10.); #111660=VECTOR('',#379254,10.); #111661=VECTOR('',#379269,10.); #111662=VECTOR('',#379270,10.); #111663=VECTOR('',#379273,10.); #111664=VECTOR('',#379276,10.); #111665=VECTOR('',#379277,10.); #111666=VECTOR('',#379278,10.); #111667=VECTOR('',#379279,10.); #111668=VECTOR('',#379282,10.); #111669=VECTOR('',#379283,10.); #111670=VECTOR('',#379284,10.); #111671=VECTOR('',#379287,10.); #111672=VECTOR('',#379288,10.); #111673=VECTOR('',#379291,10.); #111674=VECTOR('',#379292,10.); #111675=VECTOR('',#379293,10.); #111676=VECTOR('',#379296,10.); #111677=VECTOR('',#379297,10.); #111678=VECTOR('',#379298,10.); #111679=VECTOR('',#379299,10.); #111680=VECTOR('',#379302,10.); #111681=VECTOR('',#379303,10.); #111682=VECTOR('',#379306,10.); #111683=VECTOR('',#379307,10.); #111684=VECTOR('',#379308,10.); #111685=VECTOR('',#379311,10.); #111686=VECTOR('',#379312,10.); #111687=VECTOR('',#379325,10.75); #111688=VECTOR('',#379344,10.75); #111689=VECTOR('',#379347,10.75); #111690=VECTOR('',#379356,10.); #111691=VECTOR('',#379357,10.); #111692=VECTOR('',#379358,10.); #111693=VECTOR('',#379359,10.); #111694=VECTOR('',#379362,10.); #111695=VECTOR('',#379363,10.); #111696=VECTOR('',#379364,10.); #111697=VECTOR('',#379367,10.); #111698=VECTOR('',#379368,10.); #111699=VECTOR('',#379369,10.); #111700=VECTOR('',#379372,10.); #111701=VECTOR('',#379373,10.); #111702=VECTOR('',#379374,10.); #111703=VECTOR('',#379375,10.); #111704=VECTOR('',#379376,10.); #111705=VECTOR('',#379379,10.); #111706=VECTOR('',#379380,10.); #111707=VECTOR('',#379381,10.); #111708=VECTOR('',#379382,10.); #111709=VECTOR('',#379383,10.); #111710=VECTOR('',#379386,10.); #111711=VECTOR('',#379389,10.); #111712=VECTOR('',#379392,10.); #111713=VECTOR('',#379395,10.); #111714=VECTOR('',#379398,10.); #111715=VECTOR('',#379405,10.); #111716=VECTOR('',#379406,10.); #111717=VECTOR('',#379407,10.); #111718=VECTOR('',#379410,10.); #111719=VECTOR('',#379411,10.); #111720=VECTOR('',#379414,10.); #111721=VECTOR('',#379415,10.); #111722=VECTOR('',#379416,10.); #111723=VECTOR('',#379419,10.); #111724=VECTOR('',#379420,10.); #111725=VECTOR('',#379425,10.); #111726=VECTOR('',#379430,10.); #111727=VECTOR('',#379433,10.); #111728=VECTOR('',#379436,10.); #111729=VECTOR('',#379439,10.); #111730=VECTOR('',#379444,10.); #111731=VECTOR('',#379445,10.); #111732=VECTOR('',#379448,10.); #111733=VECTOR('',#379453,10.); #111734=VECTOR('',#379454,10.); #111735=VECTOR('',#379457,10.); #111736=VECTOR('',#379460,10.); #111737=VECTOR('',#379465,10.); #111738=VECTOR('',#379474,10.); #111739=VECTOR('',#379475,10.); #111740=VECTOR('',#379476,10.); #111741=VECTOR('',#379477,10.); #111742=VECTOR('',#379480,10.); #111743=VECTOR('',#379481,10.); #111744=VECTOR('',#379482,10.); #111745=VECTOR('',#379485,10.); #111746=VECTOR('',#379488,10.); #111747=VECTOR('',#379491,10.); #111748=VECTOR('',#379494,10.); #111749=VECTOR('',#379495,10.); #111750=VECTOR('',#379496,10.); #111751=VECTOR('',#379497,10.); #111752=VECTOR('',#379498,10.); #111753=VECTOR('',#379499,10.); #111754=VECTOR('',#379502,10.); #111755=VECTOR('',#379503,10.); #111756=VECTOR('',#379504,10.); #111757=VECTOR('',#379507,10.); #111758=VECTOR('',#379508,10.); #111759=VECTOR('',#379511,10.); #111760=VECTOR('',#379512,10.); #111761=VECTOR('',#379513,10.); #111762=VECTOR('',#379516,10.); #111763=VECTOR('',#379519,10.); #111764=VECTOR('',#379522,10.); #111765=VECTOR('',#379523,10.); #111766=VECTOR('',#379528,10.); #111767=VECTOR('',#379531,10.); #111768=VECTOR('',#379532,10.); #111769=VECTOR('',#379537,10.); #111770=VECTOR('',#379540,10.); #111771=VECTOR('',#379541,10.); #111772=VECTOR('',#379546,10.); #111773=VECTOR('',#379549,10.); #111774=VECTOR('',#379554,10.); #111775=VECTOR('',#379555,10.); #111776=VECTOR('',#379556,10.); #111777=VECTOR('',#379557,10.); #111778=VECTOR('',#379562,31.2842712474619); #111779=VECTOR('',#379569,33.2842712474619); #111780=VECTOR('',#379574,10.); #111781=VECTOR('',#379579,10.); #111782=VECTOR('',#379580,10.); #111783=VECTOR('',#379581,10.); #111784=VECTOR('',#379584,10.); #111785=VECTOR('',#379591,10.); #111786=VECTOR('',#379592,10.); #111787=VECTOR('',#379593,10.); #111788=VECTOR('',#379596,10.); #111789=VECTOR('',#379603,10.); #111790=VECTOR('',#379604,10.); #111791=VECTOR('',#379605,10.); #111792=VECTOR('',#379618,10.); #111793=VECTOR('',#379621,10.); #111794=VECTOR('',#379624,10.); #111795=VECTOR('',#379631,10.); #111796=VECTOR('',#379636,10.); #111797=VECTOR('',#379637,10.); #111798=VECTOR('',#379640,10.); #111799=VECTOR('',#379645,10.); #111800=VECTOR('',#379646,10.); #111801=VECTOR('',#379649,10.); #111802=VECTOR('',#379654,10.); #111803=VECTOR('',#379655,10.); #111804=VECTOR('',#379664,10.); #111805=VECTOR('',#379669,10.); #111806=VECTOR('',#379670,10.); #111807=VECTOR('',#379671,10.); #111808=VECTOR('',#379674,10.); #111809=VECTOR('',#379681,10.); #111810=VECTOR('',#379682,10.); #111811=VECTOR('',#379683,10.); #111812=VECTOR('',#379686,10.); #111813=VECTOR('',#379693,10.); #111814=VECTOR('',#379694,10.); #111815=VECTOR('',#379695,10.); #111816=VECTOR('',#379708,10.); #111817=VECTOR('',#379711,10.); #111818=VECTOR('',#379714,10.); #111819=VECTOR('',#379721,10.); #111820=VECTOR('',#379726,10.); #111821=VECTOR('',#379727,10.); #111822=VECTOR('',#379730,10.); #111823=VECTOR('',#379735,10.); #111824=VECTOR('',#379736,10.); #111825=VECTOR('',#379739,10.); #111826=VECTOR('',#379744,10.); #111827=VECTOR('',#379745,10.); #111828=VECTOR('',#379754,10.); #111829=VECTOR('',#379759,10.); #111830=VECTOR('',#379760,10.); #111831=VECTOR('',#379761,10.); #111832=VECTOR('',#379764,10.); #111833=VECTOR('',#379771,10.); #111834=VECTOR('',#379772,10.); #111835=VECTOR('',#379773,10.); #111836=VECTOR('',#379776,10.); #111837=VECTOR('',#379783,10.); #111838=VECTOR('',#379784,10.); #111839=VECTOR('',#379785,10.); #111840=VECTOR('',#379798,10.); #111841=VECTOR('',#379801,10.); #111842=VECTOR('',#379804,10.); #111843=VECTOR('',#379811,10.); #111844=VECTOR('',#379816,10.); #111845=VECTOR('',#379817,10.); #111846=VECTOR('',#379820,10.); #111847=VECTOR('',#379825,10.); #111848=VECTOR('',#379826,10.); #111849=VECTOR('',#379829,10.); #111850=VECTOR('',#379834,10.); #111851=VECTOR('',#379835,10.); #111852=VECTOR('',#379844,10.); #111853=VECTOR('',#379849,10.); #111854=VECTOR('',#379850,10.); #111855=VECTOR('',#379851,10.); #111856=VECTOR('',#379854,10.); #111857=VECTOR('',#379861,10.); #111858=VECTOR('',#379862,10.); #111859=VECTOR('',#379863,10.); #111860=VECTOR('',#379866,10.); #111861=VECTOR('',#379873,10.); #111862=VECTOR('',#379874,10.); #111863=VECTOR('',#379875,10.); #111864=VECTOR('',#379888,10.); #111865=VECTOR('',#379891,10.); #111866=VECTOR('',#379894,10.); #111867=VECTOR('',#379901,10.); #111868=VECTOR('',#379906,10.); #111869=VECTOR('',#379907,10.); #111870=VECTOR('',#379910,10.); #111871=VECTOR('',#379915,10.); #111872=VECTOR('',#379916,10.); #111873=VECTOR('',#379919,10.); #111874=VECTOR('',#379924,10.); #111875=VECTOR('',#379925,10.); #111876=VECTOR('',#379932,10.); #111877=VECTOR('',#379933,10.); #111878=VECTOR('',#379936,10.); #111879=VECTOR('',#379939,10.); #111880=VECTOR('',#379940,10.); #111881=VECTOR('',#379943,10.); #111882=VECTOR('',#379946,10.); #111883=VECTOR('',#379947,10.); #111884=VECTOR('',#379950,10.); #111885=VECTOR('',#379953,10.); #111886=VECTOR('',#379954,10.); #111887=VECTOR('',#379957,10.); #111888=VECTOR('',#379960,10.); #111889=VECTOR('',#379961,10.); #111890=VECTOR('',#379964,10.); #111891=VECTOR('',#379967,10.); #111892=VECTOR('',#379970,10.); #111893=VECTOR('',#379973,10.); #111894=VECTOR('',#379976,10.); #111895=VECTOR('',#379979,10.); #111896=VECTOR('',#379980,10.); #111897=VECTOR('',#379985,10.); #111898=VECTOR('',#379988,10.); #111899=VECTOR('',#379989,10.); #111900=VECTOR('',#379992,10.); #111901=VECTOR('',#379995,10.); #111902=VECTOR('',#379996,10.); #111903=VECTOR('',#380001,10.); #111904=VECTOR('',#380004,10.); #111905=VECTOR('',#380007,10.); #111906=VECTOR('',#380008,10.); #111907=VECTOR('',#380013,10.); #111908=VECTOR('',#380022,10.); #111909=VECTOR('',#380025,10.); #111910=VECTOR('',#380034,10.); #111911=VECTOR('',#380037,10.); #111912=VECTOR('',#380038,10.); #111913=VECTOR('',#380041,10.); #111914=VECTOR('',#380042,10.); #111915=VECTOR('',#380045,10.); #111916=VECTOR('',#380046,10.); #111917=VECTOR('',#380049,10.); #111918=VECTOR('',#380050,10.); #111919=VECTOR('',#380053,10.); #111920=VECTOR('',#380054,10.); #111921=VECTOR('',#380057,10.); #111922=VECTOR('',#380058,10.); #111923=VECTOR('',#380061,10.); #111924=VECTOR('',#380062,10.); #111925=VECTOR('',#380065,10.); #111926=VECTOR('',#380066,10.); #111927=VECTOR('',#380069,10.); #111928=VECTOR('',#380070,31.2842712474619); #111929=VECTOR('',#380073,10.); #111930=VECTOR('',#380074,10.); #111931=VECTOR('',#380077,10.); #111932=VECTOR('',#380080,10.); #111933=VECTOR('',#380081,10.); #111934=VECTOR('',#380084,10.); #111935=VECTOR('',#380085,10.); #111936=VECTOR('',#380088,10.); #111937=VECTOR('',#380089,10.); #111938=VECTOR('',#380092,10.); #111939=VECTOR('',#380093,10.); #111940=VECTOR('',#380096,10.); #111941=VECTOR('',#380097,10.); #111942=VECTOR('',#380100,10.); #111943=VECTOR('',#380101,10.); #111944=VECTOR('',#380104,10.); #111945=VECTOR('',#380113,10.); #111946=VECTOR('',#380116,10.); #111947=VECTOR('',#380117,10.); #111948=VECTOR('',#380118,10.); #111949=VECTOR('',#380129,10.); #111950=VECTOR('',#380130,10.); #111951=VECTOR('',#380133,10.); #111952=VECTOR('',#380138,10.); #111953=VECTOR('',#380143,10.); #111954=VECTOR('',#380166,10.); #111955=VECTOR('',#380169,10.); #111956=VECTOR('',#380170,10.); #111957=VECTOR('',#380171,10.); #111958=VECTOR('',#380174,10.); #111959=VECTOR('',#380175,10.); #111960=VECTOR('',#380182,10.); #111961=VECTOR('',#380183,10.); #111962=VECTOR('',#380186,10.); #111963=VECTOR('',#380187,10.); #111964=VECTOR('',#380188,10.); #111965=VECTOR('',#380189,10.); #111966=VECTOR('',#380194,2.3); #111967=VECTOR('',#380205,2.3); #111968=VECTOR('',#380216,2.3); #111969=VECTOR('',#380227,2.3); #111970=VECTOR('',#380236,1.7); #111971=VECTOR('',#380243,1.7); #111972=VECTOR('',#380250,1.7); #111973=VECTOR('',#380257,1.7); #111974=VECTOR('',#380268,30.2842712474619); #111975=VECTOR('',#380271,10.); #111976=VECTOR('',#380272,10.); #111977=VECTOR('',#380273,10.); #111978=VECTOR('',#380274,10.); #111979=VECTOR('',#380277,10.); #111980=VECTOR('',#380278,10.); #111981=VECTOR('',#380281,10.); #111982=VECTOR('',#380282,10.); #111983=VECTOR('',#380285,10.); #111984=VECTOR('',#380286,10.); #111985=VECTOR('',#380289,10.); #111986=VECTOR('',#380290,10.); #111987=VECTOR('',#380291,10.); #111988=VECTOR('',#380292,10.); #111989=VECTOR('',#380295,10.); #111990=VECTOR('',#380296,10.); #111991=VECTOR('',#380297,10.); #111992=VECTOR('',#380300,10.); #111993=VECTOR('',#380303,35.2842712474619); #111994=VECTOR('',#380304,10.); #111995=VECTOR('',#380305,35.2842712474619); #111996=VECTOR('',#380308,10.); #111997=VECTOR('',#380309,10.); #111998=VECTOR('',#380310,10.); #111999=VECTOR('',#380311,10.); #112000=VECTOR('',#380312,10.); #112001=VECTOR('',#380313,10.); #112002=VECTOR('',#380314,10.); #112003=VECTOR('',#380315,10.); #112004=VECTOR('',#380316,10.); #112005=VECTOR('',#380317,10.); #112006=VECTOR('',#380318,10.); #112007=VECTOR('',#380319,10.); #112008=VECTOR('',#380320,10.); #112009=VECTOR('',#380321,10.); #112010=VECTOR('',#380322,10.); #112011=VECTOR('',#380323,10.); #112012=VECTOR('',#380324,10.); #112013=VECTOR('',#380325,10.); #112014=VECTOR('',#380326,10.); #112015=VECTOR('',#380327,10.); #112016=VECTOR('',#380328,10.); #112017=VECTOR('',#380329,10.); #112018=VECTOR('',#380330,10.); #112019=VECTOR('',#380331,10.); #112020=VECTOR('',#380332,10.); #112021=VECTOR('',#380333,10.); #112022=VECTOR('',#380334,10.); #112023=VECTOR('',#380335,10.); #112024=VECTOR('',#380336,10.); #112025=VECTOR('',#380341,10.); #112026=VECTOR('',#380342,10.); #112027=VECTOR('',#380343,10.); #112028=VECTOR('',#380344,10.); #112029=VECTOR('',#380347,10.); #112030=VECTOR('',#380348,10.); #112031=VECTOR('',#380351,10.); #112032=VECTOR('',#380352,10.); #112033=VECTOR('',#380355,10.); #112034=VECTOR('',#380356,10.); #112035=VECTOR('',#380359,10.); #112036=VECTOR('',#380360,10.); #112037=VECTOR('',#380361,10.); #112038=VECTOR('',#380364,10.); #112039=VECTOR('',#380365,10.); #112040=VECTOR('',#380368,10.); #112041=VECTOR('',#380373,10.); #112042=VECTOR('',#380374,10.); #112043=VECTOR('',#380375,10.); #112044=VECTOR('',#380376,10.); #112045=VECTOR('',#380379,10.); #112046=VECTOR('',#380380,10.); #112047=VECTOR('',#380383,10.); #112048=VECTOR('',#380384,10.); #112049=VECTOR('',#380387,10.); #112050=VECTOR('',#380388,10.); #112051=VECTOR('',#380391,10.); #112052=VECTOR('',#380392,10.); #112053=VECTOR('',#380393,10.); #112054=VECTOR('',#380396,10.); #112055=VECTOR('',#380397,10.); #112056=VECTOR('',#380400,10.); #112057=VECTOR('',#380405,10.); #112058=VECTOR('',#380406,10.); #112059=VECTOR('',#380407,10.); #112060=VECTOR('',#380408,10.); #112061=VECTOR('',#380411,10.); #112062=VECTOR('',#380412,10.); #112063=VECTOR('',#380415,10.); #112064=VECTOR('',#380416,10.); #112065=VECTOR('',#380419,10.); #112066=VECTOR('',#380420,10.); #112067=VECTOR('',#380423,10.); #112068=VECTOR('',#380424,10.); #112069=VECTOR('',#380425,10.); #112070=VECTOR('',#380428,10.); #112071=VECTOR('',#380429,10.); #112072=VECTOR('',#380432,10.); #112073=VECTOR('',#380437,10.); #112074=VECTOR('',#380438,10.); #112075=VECTOR('',#380439,10.); #112076=VECTOR('',#380440,10.); #112077=VECTOR('',#380443,10.); #112078=VECTOR('',#380444,10.); #112079=VECTOR('',#380447,10.); #112080=VECTOR('',#380448,10.); #112081=VECTOR('',#380451,10.); #112082=VECTOR('',#380452,10.); #112083=VECTOR('',#380455,10.); #112084=VECTOR('',#380456,10.); #112085=VECTOR('',#380457,10.); #112086=VECTOR('',#380460,10.); #112087=VECTOR('',#380461,10.); #112088=VECTOR('',#380464,10.); #112089=VECTOR('',#380469,10.); #112090=VECTOR('',#380470,10.); #112091=VECTOR('',#380471,10.); #112092=VECTOR('',#380472,10.); #112093=VECTOR('',#380475,10.); #112094=VECTOR('',#380476,10.); #112095=VECTOR('',#380479,10.); #112096=VECTOR('',#380480,10.); #112097=VECTOR('',#380483,10.); #112098=VECTOR('',#380484,10.); #112099=VECTOR('',#380487,10.); #112100=VECTOR('',#380488,10.); #112101=VECTOR('',#380489,10.); #112102=VECTOR('',#380492,10.); #112103=VECTOR('',#380493,10.); #112104=VECTOR('',#380496,10.); #112105=VECTOR('',#380501,10.); #112106=VECTOR('',#380502,10.); #112107=VECTOR('',#380503,10.); #112108=VECTOR('',#380504,10.); #112109=VECTOR('',#380507,10.); #112110=VECTOR('',#380508,10.); #112111=VECTOR('',#380511,10.); #112112=VECTOR('',#380512,10.); #112113=VECTOR('',#380515,10.); #112114=VECTOR('',#380516,10.); #112115=VECTOR('',#380519,10.); #112116=VECTOR('',#380520,10.); #112117=VECTOR('',#380521,10.); #112118=VECTOR('',#380524,10.); #112119=VECTOR('',#380525,10.); #112120=VECTOR('',#380528,10.); #112121=VECTOR('',#380533,10.); #112122=VECTOR('',#380534,10.); #112123=VECTOR('',#380535,10.); #112124=VECTOR('',#380536,10.); #112125=VECTOR('',#380539,10.); #112126=VECTOR('',#380540,10.); #112127=VECTOR('',#380543,10.); #112128=VECTOR('',#380544,10.); #112129=VECTOR('',#380547,10.); #112130=VECTOR('',#380548,10.); #112131=VECTOR('',#380551,10.); #112132=VECTOR('',#380552,10.); #112133=VECTOR('',#380553,10.); #112134=VECTOR('',#380556,10.); #112135=VECTOR('',#380557,10.); #112136=VECTOR('',#380560,10.); #112137=VECTOR('',#380565,10.); #112138=VECTOR('',#380566,10.); #112139=VECTOR('',#380567,10.); #112140=VECTOR('',#380568,10.); #112141=VECTOR('',#380571,10.); #112142=VECTOR('',#380572,10.); #112143=VECTOR('',#380575,10.); #112144=VECTOR('',#380576,10.); #112145=VECTOR('',#380579,10.); #112146=VECTOR('',#380580,10.); #112147=VECTOR('',#380583,10.); #112148=VECTOR('',#380584,10.); #112149=VECTOR('',#380585,10.); #112150=VECTOR('',#380588,10.); #112151=VECTOR('',#380589,10.); #112152=VECTOR('',#380592,10.); #112153=VECTOR('',#380597,10.); #112154=VECTOR('',#380598,10.); #112155=VECTOR('',#380599,10.); #112156=VECTOR('',#380600,10.); #112157=VECTOR('',#380603,10.); #112158=VECTOR('',#380604,10.); #112159=VECTOR('',#380607,10.); #112160=VECTOR('',#380608,10.); #112161=VECTOR('',#380611,10.); #112162=VECTOR('',#380612,10.); #112163=VECTOR('',#380615,10.); #112164=VECTOR('',#380616,10.); #112165=VECTOR('',#380617,10.); #112166=VECTOR('',#380620,10.); #112167=VECTOR('',#380621,10.); #112168=VECTOR('',#380624,10.); #112169=VECTOR('',#380629,10.); #112170=VECTOR('',#380630,10.); #112171=VECTOR('',#380631,10.); #112172=VECTOR('',#380632,10.); #112173=VECTOR('',#380635,10.); #112174=VECTOR('',#380636,10.); #112175=VECTOR('',#380639,10.); #112176=VECTOR('',#380640,10.); #112177=VECTOR('',#380643,10.); #112178=VECTOR('',#380644,10.); #112179=VECTOR('',#380647,10.); #112180=VECTOR('',#380648,10.); #112181=VECTOR('',#380649,10.); #112182=VECTOR('',#380652,10.); #112183=VECTOR('',#380653,10.); #112184=VECTOR('',#380656,10.); #112185=VECTOR('',#380661,10.); #112186=VECTOR('',#380662,10.); #112187=VECTOR('',#380663,10.); #112188=VECTOR('',#380664,10.); #112189=VECTOR('',#380667,10.); #112190=VECTOR('',#380668,10.); #112191=VECTOR('',#380671,10.); #112192=VECTOR('',#380672,10.); #112193=VECTOR('',#380675,10.); #112194=VECTOR('',#380676,10.); #112195=VECTOR('',#380679,10.); #112196=VECTOR('',#380680,10.); #112197=VECTOR('',#380681,10.); #112198=VECTOR('',#380684,10.); #112199=VECTOR('',#380685,10.); #112200=VECTOR('',#380688,10.); #112201=VECTOR('',#380693,10.); #112202=VECTOR('',#380694,10.); #112203=VECTOR('',#380695,10.); #112204=VECTOR('',#380696,10.); #112205=VECTOR('',#380699,10.); #112206=VECTOR('',#380700,10.); #112207=VECTOR('',#380703,10.); #112208=VECTOR('',#380704,10.); #112209=VECTOR('',#380707,10.); #112210=VECTOR('',#380708,10.); #112211=VECTOR('',#380711,10.); #112212=VECTOR('',#380712,10.); #112213=VECTOR('',#380713,10.); #112214=VECTOR('',#380716,10.); #112215=VECTOR('',#380717,10.); #112216=VECTOR('',#380720,10.); #112217=VECTOR('',#380725,10.); #112218=VECTOR('',#380726,10.); #112219=VECTOR('',#380727,10.); #112220=VECTOR('',#380728,10.); #112221=VECTOR('',#380731,10.); #112222=VECTOR('',#380732,10.); #112223=VECTOR('',#380735,10.); #112224=VECTOR('',#380736,10.); #112225=VECTOR('',#380739,10.); #112226=VECTOR('',#380740,10.); #112227=VECTOR('',#380743,10.); #112228=VECTOR('',#380744,10.); #112229=VECTOR('',#380745,10.); #112230=VECTOR('',#380748,10.); #112231=VECTOR('',#380749,10.); #112232=VECTOR('',#380752,10.); #112233=VECTOR('',#380757,10.); #112234=VECTOR('',#380758,10.); #112235=VECTOR('',#380759,10.); #112236=VECTOR('',#380760,10.); #112237=VECTOR('',#380763,10.); #112238=VECTOR('',#380764,10.); #112239=VECTOR('',#380767,10.); #112240=VECTOR('',#380768,10.); #112241=VECTOR('',#380771,10.); #112242=VECTOR('',#380772,10.); #112243=VECTOR('',#380775,10.); #112244=VECTOR('',#380776,10.); #112245=VECTOR('',#380777,10.); #112246=VECTOR('',#380780,10.); #112247=VECTOR('',#380781,10.); #112248=VECTOR('',#380784,10.); #112249=VECTOR('',#380789,10.); #112250=VECTOR('',#380790,10.); #112251=VECTOR('',#380791,10.); #112252=VECTOR('',#380792,10.); #112253=VECTOR('',#380795,10.); #112254=VECTOR('',#380796,10.); #112255=VECTOR('',#380799,10.); #112256=VECTOR('',#380800,10.); #112257=VECTOR('',#380803,10.); #112258=VECTOR('',#380804,10.); #112259=VECTOR('',#380807,10.); #112260=VECTOR('',#380808,10.); #112261=VECTOR('',#380809,10.); #112262=VECTOR('',#380812,10.); #112263=VECTOR('',#380813,10.); #112264=VECTOR('',#380816,10.); #112265=VECTOR('',#380821,10.); #112266=VECTOR('',#380828,10.); #112267=VECTOR('',#380829,10.); #112268=VECTOR('',#380834,10.); #112269=VECTOR('',#380835,10.); #112270=VECTOR('',#380838,10.); #112271=VECTOR('',#380843,10.); #112272=VECTOR('',#380846,10.); #112273=VECTOR('',#380851,10.); #112274=VECTOR('',#380854,10.); #112275=VECTOR('',#380857,10.); #112276=VECTOR('',#380860,10.); #112277=VECTOR('',#380863,10.); #112278=VECTOR('',#380866,10.); #112279=VECTOR('',#380869,10.); #112280=VECTOR('',#380872,10.); #112281=VECTOR('',#380877,10.); #112282=VECTOR('',#380884,33.6592712474619); #112283=VECTOR('',#380891,33.7842712474619); #112284=VECTOR('',#380912,1.7); #112285=VECTOR('',#380919,1.7); #112286=VECTOR('',#380926,1.7); #112287=VECTOR('',#380933,1.7); #112288=VECTOR('',#380938,3.); #112289=VECTOR('',#380945,3.); #112290=VECTOR('',#380952,3.); #112291=VECTOR('',#380959,3.); #112292=VECTOR('',#380966,25.8000000000002); #112293=VECTOR('',#380971,35.2842712474619); #112294=VECTOR('',#380978,29.5); #112295=VECTOR('',#380981,10.); #112296=VECTOR('',#380988,31.2342712474619); #112297=VECTOR('',#380993,33.2842712474619); #112298=VECTOR('',#380998,10.); #112299=VECTOR('',#380999,10.); #112300=VECTOR('',#381000,10.); #112301=VECTOR('',#381001,10.); #112302=VECTOR('',#381008,10.); #112303=VECTOR('',#381009,10.); #112304=VECTOR('',#381010,10.); #112305=VECTOR('',#381011,10.); #112306=VECTOR('',#381014,10.); #112307=VECTOR('',#381015,10.); #112308=VECTOR('',#381016,10.); #112309=VECTOR('',#381017,10.); #112310=VECTOR('',#381020,10.); #112311=VECTOR('',#381021,10.); #112312=VECTOR('',#381022,10.); #112313=VECTOR('',#381023,10.); #112314=VECTOR('',#381024,10.); #112315=VECTOR('',#381025,10.); #112316=VECTOR('',#381026,10.); #112317=VECTOR('',#381029,10.); #112318=VECTOR('',#381030,10.); #112319=VECTOR('',#381031,10.); #112320=VECTOR('',#381034,10.); #112321=VECTOR('',#381035,10.); #112322=VECTOR('',#381036,10.); #112323=VECTOR('',#381037,10.); #112324=VECTOR('',#381040,10.); #112325=VECTOR('',#381041,10.); #112326=VECTOR('',#381042,10.); #112327=VECTOR('',#381043,10.); #112328=VECTOR('',#381044,10.); #112329=VECTOR('',#381045,10.); #112330=VECTOR('',#381050,10.); #112331=VECTOR('',#381051,10.); #112332=VECTOR('',#381052,10.); #112333=VECTOR('',#381061,10.); #112334=VECTOR('',#381062,10.); #112335=VECTOR('',#381063,10.); #112336=VECTOR('',#381066,10.); #112337=VECTOR('',#381067,10.); #112338=VECTOR('',#381068,10.); #112339=VECTOR('',#381069,10.); #112340=VECTOR('',#381070,10.); #112341=VECTOR('',#381073,10.); #112342=VECTOR('',#381074,10.); #112343=VECTOR('',#381075,10.); #112344=VECTOR('',#381078,10.); #112345=VECTOR('',#381079,10.); #112346=VECTOR('',#381082,10.); #112347=VECTOR('',#381083,10.); #112348=VECTOR('',#381084,10.); #112349=VECTOR('',#381089,10.); #112350=VECTOR('',#381094,10.); #112351=VECTOR('',#381097,10.); #112352=VECTOR('',#381102,10.); #112353=VECTOR('',#381103,10.); #112354=VECTOR('',#381104,10.); #112355=VECTOR('',#381107,10.); #112356=VECTOR('',#381110,10.); #112357=VECTOR('',#381113,10.); #112358=VECTOR('',#381114,10.); #112359=VECTOR('',#381117,10.); #112360=VECTOR('',#381118,10.); #112361=VECTOR('',#381121,10.); #112362=VECTOR('',#381122,10.); #112363=VECTOR('',#381123,10.); #112364=VECTOR('',#381124,10.); #112365=VECTOR('',#381125,10.); #112366=VECTOR('',#381126,10.); #112367=VECTOR('',#381129,10.); #112368=VECTOR('',#381130,10.); #112369=VECTOR('',#381131,10.); #112370=VECTOR('',#381132,10.); #112371=VECTOR('',#381133,10.); #112372=VECTOR('',#381134,10.); #112373=VECTOR('',#381137,10.); #112374=VECTOR('',#381138,10.); #112375=VECTOR('',#381139,10.); #112376=VECTOR('',#381140,10.); #112377=VECTOR('',#381141,10.); #112378=VECTOR('',#381142,10.); #112379=VECTOR('',#381147,10.); #112380=VECTOR('',#381152,10.); #112381=VECTOR('',#381153,10.); #112382=VECTOR('',#381154,10.); #112383=VECTOR('',#381155,10.); #112384=VECTOR('',#381160,10.); #112385=VECTOR('',#381169,10.); #112386=VECTOR('',#381176,10.); #112387=VECTOR('',#381179,10.); #112388=VECTOR('',#381180,10.); #112389=VECTOR('',#381181,10.); #112390=VECTOR('',#381182,10.); #112391=VECTOR('',#381187,10.); #112392=VECTOR('',#381196,10.); #112393=VECTOR('',#381203,10.); #112394=VECTOR('',#381208,10.); #112395=VECTOR('',#381211,10.); #112396=VECTOR('',#381218,10.); #112397=VECTOR('',#381221,10.); #112398=VECTOR('',#381222,10.); #112399=VECTOR('',#381227,10.); #112400=VECTOR('',#381234,10.); #112401=VECTOR('',#381237,10.); #112402=VECTOR('',#381240,10.); #112403=VECTOR('',#381241,10.); #112404=VECTOR('',#381246,10.); #112405=VECTOR('',#381249,10.); #112406=VECTOR('',#381252,10.); #112407=VECTOR('',#381253,10.); #112408=VECTOR('',#381254,10.); #112409=VECTOR('',#381261,10.); #112410=VECTOR('',#381264,10.); #112411=VECTOR('',#381267,10.); #112412=VECTOR('',#381268,10.); #112413=VECTOR('',#381275,10.); #112414=VECTOR('',#381278,10.); #112415=VECTOR('',#381321,10.); #112416=VECTOR('',#381324,10.); #112417=VECTOR('',#381325,10.); #112418=VECTOR('',#381332,10.); #112419=VECTOR('',#381337,10.); #112420=VECTOR('',#381340,10.); #112421=VECTOR('',#381347,10.); #112422=VECTOR('',#381348,10.); #112423=VECTOR('',#381351,10.); #112424=VECTOR('',#381354,10.); #112425=VECTOR('',#381355,10.); #112426=VECTOR('',#381358,10.); #112427=VECTOR('',#381361,10.); #112428=VECTOR('',#381364,29.5); #112429=VECTOR('',#381365,10.); #112430=VECTOR('',#381386,31.75); #112431=VECTOR('',#381397,10.); #112432=VECTOR('',#381400,10.); #112433=VECTOR('',#381403,10.); #112434=VECTOR('',#381404,10.); #112435=VECTOR('',#381407,10.); #112436=VECTOR('',#381412,10.); #112437=VECTOR('',#381413,10.); #112438=VECTOR('',#381416,10.); #112439=VECTOR('',#381421,10.); #112440=VECTOR('',#381422,10.); #112441=VECTOR('',#381425,10.); #112442=VECTOR('',#381428,10.); #112443=VECTOR('',#381429,10.); #112444=VECTOR('',#381432,10.); #112445=VECTOR('',#381449,0.325); #112446=VECTOR('',#381456,0.325); #112447=VECTOR('',#381463,0.600000000000001); #112448=VECTOR('',#381470,0.175000000000001); #112449=VECTOR('',#381477,0.175000000000001); #112450=VECTOR('',#381484,0.175000000000001); #112451=VECTOR('',#381491,0.175000000000001); #112452=VECTOR('',#381498,0.175000000000001); #112453=VECTOR('',#381505,0.175000000000001); #112454=VECTOR('',#381512,0.175000000000001); #112455=VECTOR('',#381519,0.175000000000001); #112456=VECTOR('',#381526,0.175000000000001); #112457=VECTOR('',#381533,0.175000000000001); #112458=VECTOR('',#381540,0.175000000000001); #112459=VECTOR('',#381547,0.175000000000001); #112460=VECTOR('',#381554,0.175000000000001); #112461=VECTOR('',#381561,0.175000000000001); #112462=VECTOR('',#381568,0.175000000000001); #112463=VECTOR('',#381575,0.5); #112464=VECTOR('',#381582,0.175000000000001); #112465=VECTOR('',#381589,0.175000000000001); #112466=VECTOR('',#381596,0.175000000000001); #112467=VECTOR('',#381603,0.175000000000001); #112468=VECTOR('',#381610,0.175000000000001); #112469=VECTOR('',#381617,0.175000000000001); #112470=VECTOR('',#381624,0.175000000000001); #112471=VECTOR('',#381631,0.175000000000001); #112472=VECTOR('',#381638,0.175000000000001); #112473=VECTOR('',#381645,0.175000000000001); #112474=VECTOR('',#381652,0.175000000000001); #112475=VECTOR('',#381659,0.175000000000001); #112476=VECTOR('',#381666,0.175000000000001); #112477=VECTOR('',#381673,0.175000000000001); #112478=VECTOR('',#381680,0.175000000000001); #112479=VECTOR('',#381687,0.550000000000002); #112480=VECTOR('',#381694,0.175000000000001); #112481=VECTOR('',#381701,0.175000000000001); #112482=VECTOR('',#381708,0.175000000000001); #112483=VECTOR('',#381715,0.175000000000001); #112484=VECTOR('',#381722,0.175000000000001); #112485=VECTOR('',#381729,0.175000000000001); #112486=VECTOR('',#381736,0.175000000000001); #112487=VECTOR('',#381743,0.175000000000001); #112488=VECTOR('',#381750,0.175000000000001); #112489=VECTOR('',#381757,0.175000000000001); #112490=VECTOR('',#381764,0.175000000000001); #112491=VECTOR('',#381771,0.175000000000001); #112492=VECTOR('',#381778,0.175000000000001); #112493=VECTOR('',#381785,0.175000000000001); #112494=VECTOR('',#381792,0.175000000000001); #112495=VECTOR('',#381799,0.5); #112496=VECTOR('',#381806,0.175000000000001); #112497=VECTOR('',#381813,0.175000000000001); #112498=VECTOR('',#381820,0.175000000000001); #112499=VECTOR('',#381827,0.175000000000001); #112500=VECTOR('',#381834,0.175000000000001); #112501=VECTOR('',#381841,0.175000000000001); #112502=VECTOR('',#381848,0.175000000000001); #112503=VECTOR('',#381855,0.175000000000001); #112504=VECTOR('',#381862,0.175000000000001); #112505=VECTOR('',#381869,0.175000000000001); #112506=VECTOR('',#381876,0.175000000000001); #112507=VECTOR('',#381883,0.175000000000001); #112508=VECTOR('',#381890,0.175000000000001); #112509=VECTOR('',#381897,0.175000000000001); #112510=VECTOR('',#381904,0.175000000000001); #112511=VECTOR('',#381911,0.600000000000001); #112512=VECTOR('',#381918,0.175000000000001); #112513=VECTOR('',#381925,0.175000000000001); #112514=VECTOR('',#381932,0.175000000000001); #112515=VECTOR('',#381939,0.175000000000001); #112516=VECTOR('',#381946,0.175000000000001); #112517=VECTOR('',#381953,0.175); #112518=VECTOR('',#381960,0.175000000000001); #112519=VECTOR('',#381967,0.175000000000001); #112520=VECTOR('',#381974,0.175000000000001); #112521=VECTOR('',#381981,0.175000000000001); #112522=VECTOR('',#381988,0.175000000000001); #112523=VECTOR('',#381995,0.175000000000001); #112524=VECTOR('',#382002,0.175000000000001); #112525=VECTOR('',#382009,0.175); #112526=VECTOR('',#382016,0.175000000000001); #112527=VECTOR('',#382023,0.175000000000001); #112528=VECTOR('',#382030,0.175000000000001); #112529=VECTOR('',#382037,0.175000000000001); #112530=VECTOR('',#382044,0.175000000000001); #112531=VECTOR('',#382051,0.175000000000001); #112532=VECTOR('',#382058,0.175000000000001); #112533=VECTOR('',#382065,0.175000000000001); #112534=VECTOR('',#382072,0.175000000000001); #112535=VECTOR('',#382079,0.175000000000001); #112536=VECTOR('',#382086,0.175000000000001); #112537=VECTOR('',#382093,0.175000000000001); #112538=VECTOR('',#382100,0.175000000000001); #112539=VECTOR('',#382107,0.175000000000001); #112540=VECTOR('',#382114,0.175000000000001); #112541=VECTOR('',#382121,0.175000000000001); #112542=VECTOR('',#382128,0.175000000000001); #112543=VECTOR('',#382135,0.499999999999998); #112544=VECTOR('',#382142,0.175000000000001); #112545=VECTOR('',#382149,0.175000000000001); #112546=VECTOR('',#382156,0.175000000000001); #112547=VECTOR('',#382163,0.175000000000001); #112548=VECTOR('',#382170,0.175000000000001); #112549=VECTOR('',#382177,0.175000000000001); #112550=VECTOR('',#382184,0.175000000000001); #112551=VECTOR('',#382191,0.175000000000001); #112552=VECTOR('',#382198,0.175000000000001); #112553=VECTOR('',#382205,0.175000000000001); #112554=VECTOR('',#382212,0.175000000000001); #112555=VECTOR('',#382219,0.175000000000001); #112556=VECTOR('',#382226,0.175000000000001); #112557=VECTOR('',#382233,0.175000000000001); #112558=VECTOR('',#382240,0.175000000000001); #112559=VECTOR('',#382247,0.499999999999998); #112560=VECTOR('',#382254,0.175000000000001); #112561=VECTOR('',#382261,0.175000000000001); #112562=VECTOR('',#382268,0.175000000000001); #112563=VECTOR('',#382275,0.175000000000001); #112564=VECTOR('',#382282,0.175000000000001); #112565=VECTOR('',#382289,0.175000000000001); #112566=VECTOR('',#382296,0.175000000000001); #112567=VECTOR('',#382303,0.175000000000001); #112568=VECTOR('',#382310,0.175000000000001); #112569=VECTOR('',#382317,0.175000000000001); #112570=VECTOR('',#382324,0.175000000000001); #112571=VECTOR('',#382331,0.175000000000001); #112572=VECTOR('',#382338,0.175000000000001); #112573=VECTOR('',#382345,0.175000000000001); #112574=VECTOR('',#382352,0.175000000000001); #112575=VECTOR('',#382359,0.550000000000002); #112576=VECTOR('',#382366,0.150000000000001); #112577=VECTOR('',#382373,0.175); #112578=VECTOR('',#382380,0.150000000000001); #112579=VECTOR('',#382387,0.175000000000001); #112580=VECTOR('',#382394,0.175000000000001); #112581=VECTOR('',#382401,0.175); #112582=VECTOR('',#382408,0.150000000000001); #112583=VECTOR('',#382415,0.175000000000001); #112584=VECTOR('',#382422,0.175000000000001); #112585=VECTOR('',#382429,0.175); #112586=VECTOR('',#382436,0.175000000000001); #112587=VECTOR('',#382443,0.175000000000001); #112588=VECTOR('',#382450,0.175000000000001); #112589=VECTOR('',#382457,0.175); #112590=VECTOR('',#382464,0.175000000000001); #112591=VECTOR('',#382471,0.175000000000001); #112592=VECTOR('',#382478,0.175000000000001); #112593=VECTOR('',#382485,0.175); #112594=VECTOR('',#382492,0.175000000000001); #112595=VECTOR('',#382499,0.175000000000001); #112596=VECTOR('',#382506,0.175000000000001); #112597=VECTOR('',#382513,0.175000000000001); #112598=VECTOR('',#382520,0.175000000000001); #112599=VECTOR('',#382527,0.175000000000001); #112600=VECTOR('',#382534,0.175000000000001); #112601=VECTOR('',#382541,0.175); #112602=VECTOR('',#382548,0.175000000000001); #112603=VECTOR('',#382555,0.175000000000001); #112604=VECTOR('',#382562,0.175000000000001); #112605=VECTOR('',#382569,0.175); #112606=VECTOR('',#382576,0.175000000000001); #112607=VECTOR('',#382583,0.499999999999998); #112608=VECTOR('',#382588,10.); #112609=VECTOR('',#382589,10.); #112610=VECTOR('',#382590,10.); #112611=VECTOR('',#382591,10.); #112612=VECTOR('',#382598,10.); #112613=VECTOR('',#382601,10.); #112614=VECTOR('',#382602,10.); #112615=VECTOR('',#382603,10.); #112616=VECTOR('',#382614,0.175000000000001); #112617=VECTOR('',#382619,10.); #112618=VECTOR('',#382620,10.); #112619=VECTOR('',#382621,10.); #112620=VECTOR('',#382622,10.); #112621=VECTOR('',#382629,10.); #112622=VECTOR('',#382632,10.); #112623=VECTOR('',#382633,10.); #112624=VECTOR('',#382634,10.); #112625=VECTOR('',#382645,0.175000000000001); #112626=VECTOR('',#382652,0.150000000000001); #112627=VECTOR('',#382659,0.175000000000001); #112628=VECTOR('',#382664,10.); #112629=VECTOR('',#382665,10.); #112630=VECTOR('',#382666,10.); #112631=VECTOR('',#382667,10.); #112632=VECTOR('',#382674,10.); #112633=VECTOR('',#382677,10.); #112634=VECTOR('',#382678,10.); #112635=VECTOR('',#382679,10.); #112636=VECTOR('',#382690,0.175000000000001); #112637=VECTOR('',#382697,0.150000000000001); #112638=VECTOR('',#382704,0.175000000000001); #112639=VECTOR('',#382711,0.150000000000001); #112640=VECTOR('',#382718,0.175000000000001); #112641=VECTOR('',#382725,0.150000000000001); #112642=VECTOR('',#382732,0.175000000000001); #112643=VECTOR('',#382739,0.150000000000001); #112644=VECTOR('',#382746,0.175000000000001); #112645=VECTOR('',#382753,0.175000000000001); #112646=VECTOR('',#382758,10.); #112647=VECTOR('',#382759,10.); #112648=VECTOR('',#382760,10.); #112649=VECTOR('',#382761,10.); #112650=VECTOR('',#382768,10.); #112651=VECTOR('',#382771,10.); #112652=VECTOR('',#382772,10.); #112653=VECTOR('',#382773,10.); #112654=VECTOR('',#382784,0.175000000000001); #112655=VECTOR('',#382789,10.); #112656=VECTOR('',#382790,10.); #112657=VECTOR('',#382791,10.); #112658=VECTOR('',#382792,10.); #112659=VECTOR('',#382799,10.); #112660=VECTOR('',#382802,10.); #112661=VECTOR('',#382803,10.); #112662=VECTOR('',#382804,10.); #112663=VECTOR('',#382815,0.175000000000001); #112664=VECTOR('',#382820,10.); #112665=VECTOR('',#382821,10.); #112666=VECTOR('',#382822,10.); #112667=VECTOR('',#382823,10.); #112668=VECTOR('',#382830,10.); #112669=VECTOR('',#382833,10.); #112670=VECTOR('',#382834,10.); #112671=VECTOR('',#382835,10.); #112672=VECTOR('',#382846,0.175000000000001); #112673=VECTOR('',#382853,0.175000000000001); #112674=VECTOR('',#382860,0.175000000000001); #112675=VECTOR('',#382867,0.175000000000001); #112676=VECTOR('',#382874,0.600000000000001); #112677=VECTOR('',#382881,0.175000000000001); #112678=VECTOR('',#382888,0.175000000000001); #112679=VECTOR('',#382895,0.175000000000001); #112680=VECTOR('',#382902,0.175000000000001); #112681=VECTOR('',#382909,0.175000000000001); #112682=VECTOR('',#382916,0.175000000000001); #112683=VECTOR('',#382923,0.175000000000001); #112684=VECTOR('',#382930,0.175000000000001); #112685=VECTOR('',#382937,0.175000000000001); #112686=VECTOR('',#382944,0.175000000000001); #112687=VECTOR('',#382951,0.175000000000001); #112688=VECTOR('',#382958,0.175000000000001); #112689=VECTOR('',#382965,0.175000000000001); #112690=VECTOR('',#382972,0.175000000000001); #112691=VECTOR('',#382979,0.175000000000001); #112692=VECTOR('',#382986,0.499999999999998); #112693=VECTOR('',#382993,0.175000000000001); #112694=VECTOR('',#383000,0.175000000000001); #112695=VECTOR('',#383007,0.175000000000001); #112696=VECTOR('',#383014,0.175000000000001); #112697=VECTOR('',#383021,0.175000000000001); #112698=VECTOR('',#383028,0.175000000000001); #112699=VECTOR('',#383035,0.175000000000001); #112700=VECTOR('',#383042,0.175000000000001); #112701=VECTOR('',#383049,0.175000000000001); #112702=VECTOR('',#383056,0.175000000000001); #112703=VECTOR('',#383063,0.175000000000001); #112704=VECTOR('',#383070,0.175000000000001); #112705=VECTOR('',#383077,0.175000000000001); #112706=VECTOR('',#383084,0.175000000000001); #112707=VECTOR('',#383091,0.175000000000001); #112708=VECTOR('',#383098,0.549999999999997); #112709=VECTOR('',#383105,0.175000000000001); #112710=VECTOR('',#383112,0.175000000000001); #112711=VECTOR('',#383119,0.175000000000001); #112712=VECTOR('',#383126,0.175000000000001); #112713=VECTOR('',#383133,0.175000000000001); #112714=VECTOR('',#383140,0.175000000000001); #112715=VECTOR('',#383147,0.175000000000001); #112716=VECTOR('',#383154,0.175000000000001); #112717=VECTOR('',#383161,0.175000000000001); #112718=VECTOR('',#383168,0.175000000000001); #112719=VECTOR('',#383175,0.175000000000001); #112720=VECTOR('',#383182,0.175000000000001); #112721=VECTOR('',#383189,0.175000000000001); #112722=VECTOR('',#383196,0.175000000000001); #112723=VECTOR('',#383203,0.175000000000001); #112724=VECTOR('',#383210,0.499999999999998); #112725=VECTOR('',#383217,0.175000000000001); #112726=VECTOR('',#383224,0.175000000000001); #112727=VECTOR('',#383231,0.175000000000001); #112728=VECTOR('',#383238,0.175000000000001); #112729=VECTOR('',#383245,0.175000000000001); #112730=VECTOR('',#383252,0.175000000000001); #112731=VECTOR('',#383259,0.175000000000001); #112732=VECTOR('',#383266,0.175000000000001); #112733=VECTOR('',#383273,0.175000000000001); #112734=VECTOR('',#383280,0.175000000000001); #112735=VECTOR('',#383287,0.175000000000001); #112736=VECTOR('',#383294,0.175000000000001); #112737=VECTOR('',#383301,0.175000000000001); #112738=VECTOR('',#383308,0.175000000000001); #112739=VECTOR('',#383315,0.175000000000001); #112740=VECTOR('',#383322,0.600000000000001); #112741=VECTOR('',#383329,0.175000000000001); #112742=VECTOR('',#383336,0.175000000000001); #112743=VECTOR('',#383343,0.175000000000001); #112744=VECTOR('',#383350,0.175000000000001); #112745=VECTOR('',#383357,0.175000000000001); #112746=VECTOR('',#383364,0.175000000000001); #112747=VECTOR('',#383371,0.175000000000001); #112748=VECTOR('',#383378,0.175000000000001); #112749=VECTOR('',#383385,0.175000000000001); #112750=VECTOR('',#383392,0.175000000000001); #112751=VECTOR('',#383399,0.175000000000001); #112752=VECTOR('',#383406,0.175000000000001); #112753=VECTOR('',#383413,0.175000000000001); #112754=VECTOR('',#383420,0.175000000000001); #112755=VECTOR('',#383427,0.175000000000001); #112756=VECTOR('',#383434,0.499999999999998); #112757=VECTOR('',#383441,0.175000000000001); #112758=VECTOR('',#383448,0.175000000000001); #112759=VECTOR('',#383455,0.175000000000001); #112760=VECTOR('',#383462,0.175000000000001); #112761=VECTOR('',#383469,0.175000000000001); #112762=VECTOR('',#383476,0.175000000000001); #112763=VECTOR('',#383483,0.175000000000001); #112764=VECTOR('',#383490,0.175000000000001); #112765=VECTOR('',#383497,0.175000000000001); #112766=VECTOR('',#383504,0.175000000000001); #112767=VECTOR('',#383511,0.175000000000001); #112768=VECTOR('',#383518,0.175000000000001); #112769=VECTOR('',#383525,0.175000000000001); #112770=VECTOR('',#383532,0.175000000000001); #112771=VECTOR('',#383539,0.175000000000001); #112772=VECTOR('',#383546,0.549999999999997); #112773=VECTOR('',#383553,0.175000000000001); #112774=VECTOR('',#383560,0.175000000000001); #112775=VECTOR('',#383567,0.175000000000001); #112776=VECTOR('',#383574,0.175000000000001); #112777=VECTOR('',#383581,0.175000000000001); #112778=VECTOR('',#383588,0.175000000000001); #112779=VECTOR('',#383595,0.175000000000001); #112780=VECTOR('',#383602,0.175000000000001); #112781=VECTOR('',#383609,0.175000000000001); #112782=VECTOR('',#383616,0.175000000000001); #112783=VECTOR('',#383623,0.175000000000001); #112784=VECTOR('',#383630,0.175000000000001); #112785=VECTOR('',#383637,0.175000000000001); #112786=VECTOR('',#383644,0.175000000000001); #112787=VECTOR('',#383651,0.175000000000001); #112788=VECTOR('',#383658,0.499999999999998); #112789=VECTOR('',#383665,0.175000000000001); #112790=VECTOR('',#383672,0.175000000000001); #112791=VECTOR('',#383679,0.175000000000001); #112792=VECTOR('',#383686,0.175000000000001); #112793=VECTOR('',#383693,0.175000000000001); #112794=VECTOR('',#383700,0.175000000000001); #112795=VECTOR('',#383707,0.175000000000001); #112796=VECTOR('',#383714,0.175000000000001); #112797=VECTOR('',#383721,0.175000000000001); #112798=VECTOR('',#383728,0.175000000000001); #112799=VECTOR('',#383735,0.175000000000001); #112800=VECTOR('',#383742,0.175000000000001); #112801=VECTOR('',#383749,0.175000000000001); #112802=VECTOR('',#383756,0.175000000000001); #112803=VECTOR('',#383763,0.175000000000001); #112804=VECTOR('',#383770,34.); #112805=VECTOR('',#383781,0.14); #112806=VECTOR('',#383788,0.175000000000001); #112807=VECTOR('',#383799,0.14); #112808=VECTOR('',#383806,0.175000000000001); #112809=VECTOR('',#383817,0.14); #112810=VECTOR('',#383824,0.175000000000001); #112811=VECTOR('',#383835,0.139999999999998); #112812=VECTOR('',#383842,0.175000000000001); #112813=VECTOR('',#383853,0.139999999999998); #112814=VECTOR('',#383860,0.175000000000001); #112815=VECTOR('',#383871,0.14); #112816=VECTOR('',#383878,0.175000000000001); #112817=VECTOR('',#383889,0.140000000000002); #112818=VECTOR('',#383896,0.175000000000001); #112819=VECTOR('',#383907,0.139999999999998); #112820=VECTOR('',#383914,0.175000000000001); #112821=VECTOR('',#383925,0.139999999999998); #112822=VECTOR('',#383932,0.175000000000001); #112823=VECTOR('',#383943,0.14); #112824=VECTOR('',#383950,0.175000000000001); #112825=VECTOR('',#383961,0.139999999999998); #112826=VECTOR('',#383968,0.175000000000001); #112827=VECTOR('',#383979,0.139999999999998); #112828=VECTOR('',#383986,0.175000000000001); #112829=VECTOR('',#383997,0.140000000000002); #112830=VECTOR('',#384004,0.175000000000001); #112831=VECTOR('',#384015,0.14); #112832=VECTOR('',#384022,0.175000000000001); #112833=VECTOR('',#384033,0.139999999999998); #112834=VECTOR('',#384040,0.175000000000001); #112835=VECTOR('',#384051,0.465); #112836=VECTOR('',#384058,0.499999999999998); #112837=VECTOR('',#384069,0.139999999999998); #112838=VECTOR('',#384076,0.175000000000001); #112839=VECTOR('',#384087,0.14); #112840=VECTOR('',#384094,0.175000000000001); #112841=VECTOR('',#384105,0.139999999999998); #112842=VECTOR('',#384112,0.175000000000001); #112843=VECTOR('',#384123,0.139999999999998); #112844=VECTOR('',#384130,0.175000000000001); #112845=VECTOR('',#384141,0.139999999999998); #112846=VECTOR('',#384148,0.175000000000001); #112847=VECTOR('',#384159,0.14); #112848=VECTOR('',#384166,0.175000000000001); #112849=VECTOR('',#384177,0.139999999999998); #112850=VECTOR('',#384184,0.175000000000001); #112851=VECTOR('',#384195,0.139999999999998); #112852=VECTOR('',#384202,0.175000000000001); #112853=VECTOR('',#384213,0.139999999999998); #112854=VECTOR('',#384220,0.175000000000001); #112855=VECTOR('',#384231,0.14); #112856=VECTOR('',#384238,0.175000000000001); #112857=VECTOR('',#384249,0.139999999999998); #112858=VECTOR('',#384256,0.175000000000001); #112859=VECTOR('',#384267,0.139999999999998); #112860=VECTOR('',#384274,0.175000000000001); #112861=VECTOR('',#384285,0.139999999999998); #112862=VECTOR('',#384292,0.175000000000001); #112863=VECTOR('',#384303,0.14); #112864=VECTOR('',#384310,0.175000000000001); #112865=VECTOR('',#384321,0.139999999999998); #112866=VECTOR('',#384328,0.175000000000001); #112867=VECTOR('',#384339,0.514999999999999); #112868=VECTOR('',#384346,0.549999999999997); #112869=VECTOR('',#384357,0.139999999999998); #112870=VECTOR('',#384364,0.175000000000001); #112871=VECTOR('',#384375,0.140000000000002); #112872=VECTOR('',#384382,0.175000000000001); #112873=VECTOR('',#384393,0.139999999999998); #112874=VECTOR('',#384400,0.175000000000001); #112875=VECTOR('',#384411,0.139999999999998); #112876=VECTOR('',#384418,0.175000000000001); #112877=VECTOR('',#384429,0.140000000000002); #112878=VECTOR('',#384436,0.175000000000001); #112879=VECTOR('',#384447,0.140000000000002); #112880=VECTOR('',#384454,0.175000000000001); #112881=VECTOR('',#384465,0.139999999999998); #112882=VECTOR('',#384472,0.175000000000001); #112883=VECTOR('',#384483,0.139999999999998); #112884=VECTOR('',#384490,0.175000000000001); #112885=VECTOR('',#384501,0.140000000000002); #112886=VECTOR('',#384508,0.175000000000001); #112887=VECTOR('',#384519,0.140000000000002); #112888=VECTOR('',#384526,0.175000000000001); #112889=VECTOR('',#384537,0.140000000000002); #112890=VECTOR('',#384544,0.175000000000001); #112891=VECTOR('',#384555,0.139999999999998); #112892=VECTOR('',#384562,0.175000000000001); #112893=VECTOR('',#384573,0.140000000000002); #112894=VECTOR('',#384580,0.175000000000001); #112895=VECTOR('',#384591,0.140000000000002); #112896=VECTOR('',#384598,0.175000000000001); #112897=VECTOR('',#384609,0.140000000000002); #112898=VECTOR('',#384616,0.175000000000001); #112899=VECTOR('',#384627,0.465); #112900=VECTOR('',#384634,0.499999999999998); #112901=VECTOR('',#384645,0.139999999999998); #112902=VECTOR('',#384652,0.175000000000001); #112903=VECTOR('',#384663,0.140000000000002); #112904=VECTOR('',#384670,0.175000000000001); #112905=VECTOR('',#384681,0.139999999999998); #112906=VECTOR('',#384688,0.175000000000001); #112907=VECTOR('',#384699,0.139999999999998); #112908=VECTOR('',#384706,0.175000000000001); #112909=VECTOR('',#384717,0.139999999999998); #112910=VECTOR('',#384724,0.175000000000001); #112911=VECTOR('',#384735,0.140000000000002); #112912=VECTOR('',#384742,0.175000000000001); #112913=VECTOR('',#384753,0.139999999999998); #112914=VECTOR('',#384760,0.175000000000001); #112915=VECTOR('',#384771,0.139999999999998); #112916=VECTOR('',#384778,0.175000000000001); #112917=VECTOR('',#384789,0.139999999999998); #112918=VECTOR('',#384796,0.175000000000001); #112919=VECTOR('',#384807,0.140000000000002); #112920=VECTOR('',#384814,0.175000000000001); #112921=VECTOR('',#384825,0.139999999999998); #112922=VECTOR('',#384832,0.175000000000001); #112923=VECTOR('',#384843,0.139999999999998); #112924=VECTOR('',#384850,0.175000000000001); #112925=VECTOR('',#384861,0.139999999999998); #112926=VECTOR('',#384868,0.175000000000001); #112927=VECTOR('',#384879,0.14); #112928=VECTOR('',#384886,0.175000000000001); #112929=VECTOR('',#384897,0.139999999999998); #112930=VECTOR('',#384904,0.175000000000001); #112931=VECTOR('',#384915,0.564999999999998); #112932=VECTOR('',#384922,0.600000000000001); #112933=VECTOR('',#384933,0.140000000000002); #112934=VECTOR('',#384940,0.175000000000001); #112935=VECTOR('',#384951,0.140000000000002); #112936=VECTOR('',#384958,0.175000000000001); #112937=VECTOR('',#384969,0.140000000000002); #112938=VECTOR('',#384976,0.175000000000001); #112939=VECTOR('',#384987,0.139999999999998); #112940=VECTOR('',#384994,0.175000000000001); #112941=VECTOR('',#385005,0.140000000000002); #112942=VECTOR('',#385012,0.175000000000001); #112943=VECTOR('',#385023,0.140000000000002); #112944=VECTOR('',#385030,0.175000000000001); #112945=VECTOR('',#385041,0.140000000000002); #112946=VECTOR('',#385048,0.175000000000001); #112947=VECTOR('',#385059,0.139999999999998); #112948=VECTOR('',#385066,0.175000000000001); #112949=VECTOR('',#385077,0.140000000000002); #112950=VECTOR('',#385084,0.175000000000001); #112951=VECTOR('',#385095,0.140000000000002); #112952=VECTOR('',#385102,0.175000000000001); #112953=VECTOR('',#385113,0.140000000000002); #112954=VECTOR('',#385120,0.175000000000001); #112955=VECTOR('',#385131,0.139999999999998); #112956=VECTOR('',#385138,0.175000000000001); #112957=VECTOR('',#385149,0.140000000000002); #112958=VECTOR('',#385156,0.175000000000001); #112959=VECTOR('',#385167,0.140000000000002); #112960=VECTOR('',#385174,0.175000000000001); #112961=VECTOR('',#385185,0.140000000000002); #112962=VECTOR('',#385192,0.175000000000001); #112963=VECTOR('',#385203,0.465); #112964=VECTOR('',#385210,0.499999999999998); #112965=VECTOR('',#385221,0.140000000000002); #112966=VECTOR('',#385228,0.175000000000001); #112967=VECTOR('',#385239,0.140000000000002); #112968=VECTOR('',#385246,0.175000000000001); #112969=VECTOR('',#385257,0.140000000000002); #112970=VECTOR('',#385264,0.175000000000001); #112971=VECTOR('',#385275,0.139999999999998); #112972=VECTOR('',#385282,0.175000000000001); #112973=VECTOR('',#385293,0.140000000000002); #112974=VECTOR('',#385300,0.175000000000001); #112975=VECTOR('',#385311,0.140000000000002); #112976=VECTOR('',#385318,0.175000000000001); #112977=VECTOR('',#385329,0.140000000000002); #112978=VECTOR('',#385336,0.175000000000001); #112979=VECTOR('',#385347,0.139999999999998); #112980=VECTOR('',#385354,0.175000000000001); #112981=VECTOR('',#385365,0.140000000000002); #112982=VECTOR('',#385372,0.175000000000001); #112983=VECTOR('',#385383,0.140000000000002); #112984=VECTOR('',#385390,0.175000000000001); #112985=VECTOR('',#385401,0.140000000000002); #112986=VECTOR('',#385408,0.175000000000001); #112987=VECTOR('',#385419,0.139999999999998); #112988=VECTOR('',#385426,0.175000000000001); #112989=VECTOR('',#385437,0.140000000000002); #112990=VECTOR('',#385444,0.175000000000001); #112991=VECTOR('',#385455,0.140000000000002); #112992=VECTOR('',#385462,0.175000000000001); #112993=VECTOR('',#385473,0.140000000000002); #112994=VECTOR('',#385480,0.175000000000001); #112995=VECTOR('',#385491,0.514999999999999); #112996=VECTOR('',#385498,0.549999999999997); #112997=VECTOR('',#385509,0.140000000000002); #112998=VECTOR('',#385516,0.175000000000001); #112999=VECTOR('',#385527,0.140000000000002); #113000=VECTOR('',#385534,0.175000000000001); #113001=VECTOR('',#385545,0.140000000000002); #113002=VECTOR('',#385552,0.175000000000001); #113003=VECTOR('',#385563,0.139999999999998); #113004=VECTOR('',#385570,0.175000000000001); #113005=VECTOR('',#385581,0.140000000000002); #113006=VECTOR('',#385588,0.175000000000001); #113007=VECTOR('',#385599,0.139999999999998); #113008=VECTOR('',#385606,0.175000000000001); #113009=VECTOR('',#385617,0.140000000000002); #113010=VECTOR('',#385624,0.175000000000001); #113011=VECTOR('',#385635,0.139999999999998); #113012=VECTOR('',#385642,0.175000000000001); #113013=VECTOR('',#385653,0.140000000000002); #113014=VECTOR('',#385660,0.175000000000001); #113015=VECTOR('',#385671,0.139999999999998); #113016=VECTOR('',#385678,0.175000000000001); #113017=VECTOR('',#385689,0.140000000000002); #113018=VECTOR('',#385696,0.175000000000001); #113019=VECTOR('',#385707,0.139999999999998); #113020=VECTOR('',#385714,0.175000000000001); #113021=VECTOR('',#385725,0.140000000000002); #113022=VECTOR('',#385732,0.175000000000001); #113023=VECTOR('',#385743,0.139999999999998); #113024=VECTOR('',#385750,0.175000000000001); #113025=VECTOR('',#385761,0.140000000000002); #113026=VECTOR('',#385768,0.175000000000001); #113027=VECTOR('',#385779,0.465); #113028=VECTOR('',#385786,0.499999999999998); #113029=VECTOR('',#385797,0.140000000000002); #113030=VECTOR('',#385804,0.175000000000001); #113031=VECTOR('',#385815,0.140000000000002); #113032=VECTOR('',#385822,0.175000000000001); #113033=VECTOR('',#385833,0.140000000000002); #113034=VECTOR('',#385840,0.175000000000001); #113035=VECTOR('',#385851,0.139999999999998); #113036=VECTOR('',#385858,0.175000000000001); #113037=VECTOR('',#385869,0.140000000000002); #113038=VECTOR('',#385876,0.175000000000001); #113039=VECTOR('',#385887,0.140000000000002); #113040=VECTOR('',#385894,0.175000000000001); #113041=VECTOR('',#385905,0.140000000000002); #113042=VECTOR('',#385912,0.175000000000001); #113043=VECTOR('',#385923,0.139999999999998); #113044=VECTOR('',#385930,0.175000000000001); #113045=VECTOR('',#385941,0.140000000000002); #113046=VECTOR('',#385948,0.175000000000001); #113047=VECTOR('',#385959,0.140000000000002); #113048=VECTOR('',#385966,0.175000000000001); #113049=VECTOR('',#385977,0.140000000000002); #113050=VECTOR('',#385984,0.175000000000001); #113051=VECTOR('',#385995,0.139999999999998); #113052=VECTOR('',#386002,0.175000000000001); #113053=VECTOR('',#386013,0.140000000000002); #113054=VECTOR('',#386020,0.175000000000001); #113055=VECTOR('',#386031,0.140000000000002); #113056=VECTOR('',#386038,0.175000000000001); #113057=VECTOR('',#386049,0.140000000000002); #113058=VECTOR('',#386056,0.175000000000001); #113059=VECTOR('',#386067,0.564999999999998); #113060=VECTOR('',#386074,0.600000000000001); #113061=VECTOR('',#386085,0.14); #113062=VECTOR('',#386092,0.175000000000001); #113063=VECTOR('',#386103,0.139999999999998); #113064=VECTOR('',#386110,0.175000000000001); #113065=VECTOR('',#386121,0.140000000000002); #113066=VECTOR('',#386128,0.175000000000001); #113067=VECTOR('',#386139,0.139999999999998); #113068=VECTOR('',#386146,0.175000000000001); #113069=VECTOR('',#386155,10.); #113070=VECTOR('',#386156,10.); #113071=VECTOR('',#386157,10.); #113072=VECTOR('',#386158,10.); #113073=VECTOR('',#386165,10.); #113074=VECTOR('',#386168,10.); #113075=VECTOR('',#386169,10.); #113076=VECTOR('',#386170,10.); #113077=VECTOR('',#386179,10.); #113078=VECTOR('',#386180,10.); #113079=VECTOR('',#386181,10.); #113080=VECTOR('',#386182,10.); #113081=VECTOR('',#386189,10.); #113082=VECTOR('',#386192,10.); #113083=VECTOR('',#386193,10.); #113084=VECTOR('',#386194,10.); #113085=VECTOR('',#386209,0.139999999999998); #113086=VECTOR('',#386216,0.175000000000001); #113087=VECTOR('',#386225,10.); #113088=VECTOR('',#386226,10.); #113089=VECTOR('',#386227,10.); #113090=VECTOR('',#386228,10.); #113091=VECTOR('',#386235,10.); #113092=VECTOR('',#386238,10.); #113093=VECTOR('',#386239,10.); #113094=VECTOR('',#386240,10.); #113095=VECTOR('',#386249,10.); #113096=VECTOR('',#386250,10.); #113097=VECTOR('',#386251,10.); #113098=VECTOR('',#386252,10.); #113099=VECTOR('',#386259,10.); #113100=VECTOR('',#386262,10.); #113101=VECTOR('',#386263,10.); #113102=VECTOR('',#386264,10.); #113103=VECTOR('',#386279,0.139999999999998); #113104=VECTOR('',#386286,0.175000000000001); #113105=VECTOR('',#386295,10.); #113106=VECTOR('',#386296,10.); #113107=VECTOR('',#386297,10.); #113108=VECTOR('',#386298,10.); #113109=VECTOR('',#386305,10.); #113110=VECTOR('',#386308,10.); #113111=VECTOR('',#386309,10.); #113112=VECTOR('',#386310,10.); #113113=VECTOR('',#386319,10.); #113114=VECTOR('',#386320,10.); #113115=VECTOR('',#386321,10.); #113116=VECTOR('',#386322,10.); #113117=VECTOR('',#386329,10.); #113118=VECTOR('',#386332,10.); #113119=VECTOR('',#386333,10.); #113120=VECTOR('',#386334,10.); #113121=VECTOR('',#386349,0.140000000000002); #113122=VECTOR('',#386356,0.175000000000001); #113123=VECTOR('',#386367,0.139999999999998); #113124=VECTOR('',#386374,0.175000000000001); #113125=VECTOR('',#386385,0.114999999999998); #113126=VECTOR('',#386392,0.150000000000001); #113127=VECTOR('',#386403,0.139999999999998); #113128=VECTOR('',#386410,0.175000000000001); #113129=VECTOR('',#386421,0.114999999999998); #113130=VECTOR('',#386428,0.150000000000001); #113131=VECTOR('',#386439,0.139999999999998); #113132=VECTOR('',#386446,0.175000000000001); #113133=VECTOR('',#386457,0.114999999999998); #113134=VECTOR('',#386464,0.150000000000001); #113135=VECTOR('',#386475,0.139999999999998); #113136=VECTOR('',#386482,0.175000000000001); #113137=VECTOR('',#386493,0.114999999999998); #113138=VECTOR('',#386500,0.150000000000001); #113139=VECTOR('',#386511,0.139999999999998); #113140=VECTOR('',#386518,0.175000000000001); #113141=VECTOR('',#386527,10.); #113142=VECTOR('',#386528,10.); #113143=VECTOR('',#386529,10.); #113144=VECTOR('',#386530,10.); #113145=VECTOR('',#386537,10.); #113146=VECTOR('',#386540,10.); #113147=VECTOR('',#386541,10.); #113148=VECTOR('',#386542,10.); #113149=VECTOR('',#386551,10.); #113150=VECTOR('',#386552,10.); #113151=VECTOR('',#386553,10.); #113152=VECTOR('',#386554,10.); #113153=VECTOR('',#386561,10.); #113154=VECTOR('',#386564,10.); #113155=VECTOR('',#386565,10.); #113156=VECTOR('',#386566,10.); #113157=VECTOR('',#386581,0.139999999999998); #113158=VECTOR('',#386588,0.175000000000001); #113159=VECTOR('',#386599,0.114999999999998); #113160=VECTOR('',#386606,0.150000000000001); #113161=VECTOR('',#386617,0.139999999999998); #113162=VECTOR('',#386624,0.175000000000001); #113163=VECTOR('',#386633,10.); #113164=VECTOR('',#386634,10.); #113165=VECTOR('',#386635,10.); #113166=VECTOR('',#386636,10.); #113167=VECTOR('',#386643,10.); #113168=VECTOR('',#386646,10.); #113169=VECTOR('',#386647,10.); #113170=VECTOR('',#386648,10.); #113171=VECTOR('',#386657,10.); #113172=VECTOR('',#386658,10.); #113173=VECTOR('',#386659,10.); #113174=VECTOR('',#386660,10.); #113175=VECTOR('',#386667,10.); #113176=VECTOR('',#386670,10.); #113177=VECTOR('',#386671,10.); #113178=VECTOR('',#386672,10.); #113179=VECTOR('',#386687,0.139999999999998); #113180=VECTOR('',#386694,0.175000000000001); #113181=VECTOR('',#386703,10.); #113182=VECTOR('',#386704,10.); #113183=VECTOR('',#386705,10.); #113184=VECTOR('',#386706,10.); #113185=VECTOR('',#386713,10.); #113186=VECTOR('',#386716,10.); #113187=VECTOR('',#386717,10.); #113188=VECTOR('',#386718,10.); #113189=VECTOR('',#386727,10.); #113190=VECTOR('',#386728,10.); #113191=VECTOR('',#386729,10.); #113192=VECTOR('',#386730,10.); #113193=VECTOR('',#386737,10.); #113194=VECTOR('',#386740,10.); #113195=VECTOR('',#386741,10.); #113196=VECTOR('',#386742,10.); #113197=VECTOR('',#386757,0.465); #113198=VECTOR('',#386764,0.499999999999998); #113199=VECTOR('',#386775,0.139999999999998); #113200=VECTOR('',#386782,0.175000000000001); #113201=VECTOR('',#386793,0.14); #113202=VECTOR('',#386800,0.175); #113203=VECTOR('',#386811,0.139999999999998); #113204=VECTOR('',#386818,0.175000000000001); #113205=VECTOR('',#386829,0.139999999999998); #113206=VECTOR('',#386836,0.175000000000001); #113207=VECTOR('',#386847,0.139999999999998); #113208=VECTOR('',#386854,0.175000000000001); #113209=VECTOR('',#386865,0.14); #113210=VECTOR('',#386872,0.175); #113211=VECTOR('',#386883,0.139999999999998); #113212=VECTOR('',#386890,0.175000000000001); #113213=VECTOR('',#386901,0.139999999999998); #113214=VECTOR('',#386908,0.175000000000001); #113215=VECTOR('',#386919,0.139999999999998); #113216=VECTOR('',#386926,0.175000000000001); #113217=VECTOR('',#386937,0.14); #113218=VECTOR('',#386944,0.175000000000001); #113219=VECTOR('',#386955,0.139999999999998); #113220=VECTOR('',#386962,0.175000000000001); #113221=VECTOR('',#386973,0.139999999999998); #113222=VECTOR('',#386980,0.175000000000001); #113223=VECTOR('',#386991,0.139999999999998); #113224=VECTOR('',#386998,0.175000000000001); #113225=VECTOR('',#387009,0.14); #113226=VECTOR('',#387016,0.175); #113227=VECTOR('',#387027,0.139999999999998); #113228=VECTOR('',#387034,0.175000000000001); #113229=VECTOR('',#387045,0.139999999999998); #113230=VECTOR('',#387052,0.175000000000001); #113231=VECTOR('',#387063,0.140000000000002); #113232=VECTOR('',#387070,0.175000000000001); #113233=VECTOR('',#387081,0.14); #113234=VECTOR('',#387088,0.175); #113235=VECTOR('',#387099,0.139999999999998); #113236=VECTOR('',#387106,0.175000000000001); #113237=VECTOR('',#387117,0.139999999999998); #113238=VECTOR('',#387124,0.175000000000001); #113239=VECTOR('',#387135,0.139999999999998); #113240=VECTOR('',#387142,0.175000000000001); #113241=VECTOR('',#387153,0.14); #113242=VECTOR('',#387160,0.175); #113243=VECTOR('',#387171,0.139999999999998); #113244=VECTOR('',#387178,0.175000000000001); #113245=VECTOR('',#387189,0.139999999999998); #113246=VECTOR('',#387196,0.175000000000001); #113247=VECTOR('',#387207,0.114999999999998); #113248=VECTOR('',#387214,0.150000000000001); #113249=VECTOR('',#387225,0.14); #113250=VECTOR('',#387232,0.175); #113251=VECTOR('',#387243,0.140000000000002); #113252=VECTOR('',#387250,0.175000000000001); #113253=VECTOR('',#387261,0.139999999999998); #113254=VECTOR('',#387268,0.175000000000001); #113255=VECTOR('',#387279,0.114999999999998); #113256=VECTOR('',#387286,0.150000000000001); #113257=VECTOR('',#387297,0.14); #113258=VECTOR('',#387304,0.175); #113259=VECTOR('',#387315,0.114999999999998); #113260=VECTOR('',#387322,0.150000000000001); #113261=VECTOR('',#387333,0.514999999999999); #113262=VECTOR('',#387340,0.550000000000002); #113263=VECTOR('',#387351,0.139999999999998); #113264=VECTOR('',#387358,0.175000000000001); #113265=VECTOR('',#387369,0.140000000000002); #113266=VECTOR('',#387376,0.175000000000001); #113267=VECTOR('',#387387,0.139999999999998); #113268=VECTOR('',#387394,0.175000000000001); #113269=VECTOR('',#387405,0.139999999999998); #113270=VECTOR('',#387412,0.175000000000001); #113271=VECTOR('',#387423,0.139999999999998); #113272=VECTOR('',#387430,0.175000000000001); #113273=VECTOR('',#387441,0.140000000000002); #113274=VECTOR('',#387448,0.175000000000001); #113275=VECTOR('',#387459,0.139999999999998); #113276=VECTOR('',#387466,0.175000000000001); #113277=VECTOR('',#387477,0.139999999999998); #113278=VECTOR('',#387484,0.175000000000001); #113279=VECTOR('',#387495,0.139999999999998); #113280=VECTOR('',#387502,0.175000000000001); #113281=VECTOR('',#387513,0.140000000000002); #113282=VECTOR('',#387520,0.175000000000001); #113283=VECTOR('',#387531,0.139999999999998); #113284=VECTOR('',#387538,0.175000000000001); #113285=VECTOR('',#387549,0.139999999999998); #113286=VECTOR('',#387556,0.175000000000001); #113287=VECTOR('',#387567,0.139999999999998); #113288=VECTOR('',#387574,0.175000000000001); #113289=VECTOR('',#387585,0.140000000000002); #113290=VECTOR('',#387592,0.175000000000001); #113291=VECTOR('',#387603,0.139999999999998); #113292=VECTOR('',#387610,0.175000000000001); #113293=VECTOR('',#387621,0.465); #113294=VECTOR('',#387628,0.499999999999998); #113295=VECTOR('',#387639,0.139999999999998); #113296=VECTOR('',#387646,0.175000000000001); #113297=VECTOR('',#387657,0.140000000000002); #113298=VECTOR('',#387664,0.175000000000001); #113299=VECTOR('',#387675,0.139999999999998); #113300=VECTOR('',#387682,0.175000000000001); #113301=VECTOR('',#387693,0.139999999999998); #113302=VECTOR('',#387700,0.175000000000001); #113303=VECTOR('',#387711,0.14); #113304=VECTOR('',#387718,0.175000000000001); #113305=VECTOR('',#387729,0.140000000000002); #113306=VECTOR('',#387736,0.175000000000001); #113307=VECTOR('',#387747,0.139999999999998); #113308=VECTOR('',#387754,0.175000000000001); #113309=VECTOR('',#387765,0.139999999999998); #113310=VECTOR('',#387772,0.175000000000001); #113311=VECTOR('',#387783,0.139999999999998); #113312=VECTOR('',#387790,0.175000000000001); #113313=VECTOR('',#387801,0.140000000000002); #113314=VECTOR('',#387808,0.175000000000001); #113315=VECTOR('',#387819,0.139999999999998); #113316=VECTOR('',#387826,0.175000000000001); #113317=VECTOR('',#387837,0.139999999999998); #113318=VECTOR('',#387844,0.175000000000001); #113319=VECTOR('',#387855,0.139999999999998); #113320=VECTOR('',#387862,0.175000000000001); #113321=VECTOR('',#387873,0.140000000000002); #113322=VECTOR('',#387880,0.175000000000001); #113323=VECTOR('',#387891,0.139999999999998); #113324=VECTOR('',#387898,0.175000000000001); #113325=VECTOR('',#387909,0.465); #113326=VECTOR('',#387916,0.499999999999998); #113327=VECTOR('',#387927,0.14); #113328=VECTOR('',#387934,0.175000000000001); #113329=VECTOR('',#387945,0.140000000000002); #113330=VECTOR('',#387952,0.175000000000001); #113331=VECTOR('',#387963,0.14); #113332=VECTOR('',#387970,0.175000000000001); #113333=VECTOR('',#387981,0.139999999999998); #113334=VECTOR('',#387988,0.175000000000001); #113335=VECTOR('',#387999,0.14); #113336=VECTOR('',#388006,0.175000000000001); #113337=VECTOR('',#388017,0.140000000000002); #113338=VECTOR('',#388024,0.175000000000001); #113339=VECTOR('',#388035,0.14); #113340=VECTOR('',#388042,0.175000000000001); #113341=VECTOR('',#388053,0.139999999999998); #113342=VECTOR('',#388060,0.175000000000001); #113343=VECTOR('',#388071,0.14); #113344=VECTOR('',#388078,0.175000000000001); #113345=VECTOR('',#388089,0.140000000000002); #113346=VECTOR('',#388096,0.175000000000001); #113347=VECTOR('',#388107,0.14); #113348=VECTOR('',#388114,0.175000000000001); #113349=VECTOR('',#388125,0.139999999999998); #113350=VECTOR('',#388132,0.175000000000001); #113351=VECTOR('',#388143,0.14); #113352=VECTOR('',#388150,0.175000000000001); #113353=VECTOR('',#388161,0.140000000000002); #113354=VECTOR('',#388168,0.175000000000001); #113355=VECTOR('',#388179,0.14); #113356=VECTOR('',#388186,0.175000000000001); #113357=VECTOR('',#388197,0.139999999999998); #113358=VECTOR('',#388204,0.175000000000001); #113359=VECTOR('',#388215,0.14); #113360=VECTOR('',#388222,0.175000000000001); #113361=VECTOR('',#388233,0.14); #113362=VECTOR('',#388240,0.175); #113363=VECTOR('',#388251,0.14); #113364=VECTOR('',#388258,0.175000000000001); #113365=VECTOR('',#388269,0.139999999999998); #113366=VECTOR('',#388276,0.175000000000001); #113367=VECTOR('',#388287,0.14); #113368=VECTOR('',#388294,0.175000000000001); #113369=VECTOR('',#388305,0.14); #113370=VECTOR('',#388312,0.175000000000001); #113371=VECTOR('',#388323,0.14); #113372=VECTOR('',#388330,0.175000000000001); #113373=VECTOR('',#388341,0.139999999999998); #113374=VECTOR('',#388348,0.175000000000001); #113375=VECTOR('',#388359,0.139999999999998); #113376=VECTOR('',#388366,0.175000000000001); #113377=VECTOR('',#388377,0.14); #113378=VECTOR('',#388384,0.175); #113379=VECTOR('',#388395,0.139999999999998); #113380=VECTOR('',#388402,0.175000000000001); #113381=VECTOR('',#388413,0.139999999999998); #113382=VECTOR('',#388420,0.175000000000001); #113383=VECTOR('',#388431,0.139999999999998); #113384=VECTOR('',#388438,0.175000000000001); #113385=VECTOR('',#388449,0.14); #113386=VECTOR('',#388456,0.175000000000001); #113387=VECTOR('',#388467,0.139999999999998); #113388=VECTOR('',#388474,0.175000000000001); #113389=VECTOR('',#388485,0.565000000000002); #113390=VECTOR('',#388492,0.600000000000001); #113391=VECTOR('',#388503,0.140000000000002); #113392=VECTOR('',#388510,0.175000000000001); #113393=VECTOR('',#388521,0.139999999999998); #113394=VECTOR('',#388528,0.175000000000001); #113395=VECTOR('',#388539,0.140000000000002); #113396=VECTOR('',#388546,0.175000000000001); #113397=VECTOR('',#388557,0.139999999999998); #113398=VECTOR('',#388564,0.175000000000001); #113399=VECTOR('',#388575,0.140000000000002); #113400=VECTOR('',#388582,0.175000000000001); #113401=VECTOR('',#388593,0.139999999999998); #113402=VECTOR('',#388600,0.175000000000001); #113403=VECTOR('',#388611,0.140000000000002); #113404=VECTOR('',#388618,0.175000000000001); #113405=VECTOR('',#388629,0.139999999999998); #113406=VECTOR('',#388636,0.175000000000001); #113407=VECTOR('',#388647,0.140000000000002); #113408=VECTOR('',#388654,0.175000000000001); #113409=VECTOR('',#388665,0.139999999999998); #113410=VECTOR('',#388672,0.175000000000001); #113411=VECTOR('',#388683,0.139999999999998); #113412=VECTOR('',#388690,0.175000000000001); #113413=VECTOR('',#388701,0.139999999999998); #113414=VECTOR('',#388708,0.175000000000001); #113415=VECTOR('',#388719,0.139999999999998); #113416=VECTOR('',#388726,0.175000000000001); #113417=VECTOR('',#388737,0.139999999999998); #113418=VECTOR('',#388744,0.175000000000001); #113419=VECTOR('',#388755,0.140000000000002); #113420=VECTOR('',#388762,0.175000000000001); #113421=VECTOR('',#388773,0.465); #113422=VECTOR('',#388780,0.5); #113423=VECTOR('',#388791,0.14); #113424=VECTOR('',#388798,0.175000000000001); #113425=VECTOR('',#388809,0.139999999999998); #113426=VECTOR('',#388816,0.175000000000001); #113427=VECTOR('',#388827,0.14); #113428=VECTOR('',#388834,0.175000000000001); #113429=VECTOR('',#388845,0.139999999999998); #113430=VECTOR('',#388852,0.175000000000001); #113431=VECTOR('',#388863,0.14); #113432=VECTOR('',#388870,0.175000000000001); #113433=VECTOR('',#388881,0.139999999999998); #113434=VECTOR('',#388888,0.175000000000001); #113435=VECTOR('',#388899,0.14); #113436=VECTOR('',#388906,0.175000000000001); #113437=VECTOR('',#388917,0.139999999999998); #113438=VECTOR('',#388924,0.175000000000001); #113439=VECTOR('',#388935,0.14); #113440=VECTOR('',#388942,0.175000000000001); #113441=VECTOR('',#388953,0.139999999999998); #113442=VECTOR('',#388960,0.175000000000001); #113443=VECTOR('',#388971,0.14); #113444=VECTOR('',#388978,0.175000000000001); #113445=VECTOR('',#388989,0.139999999999998); #113446=VECTOR('',#388996,0.175000000000001); #113447=VECTOR('',#389007,0.14); #113448=VECTOR('',#389014,0.175000000000001); #113449=VECTOR('',#389025,0.139999999999998); #113450=VECTOR('',#389032,0.175000000000001); #113451=VECTOR('',#389043,0.14); #113452=VECTOR('',#389050,0.175000000000001); #113453=VECTOR('',#389061,0.514999999999999); #113454=VECTOR('',#389068,0.550000000000002); #113455=VECTOR('',#389079,0.140000000000002); #113456=VECTOR('',#389086,0.175000000000001); #113457=VECTOR('',#389097,0.139999999999998); #113458=VECTOR('',#389104,0.175000000000001); #113459=VECTOR('',#389115,0.140000000000002); #113460=VECTOR('',#389122,0.175000000000001); #113461=VECTOR('',#389133,0.139999999999998); #113462=VECTOR('',#389140,0.175000000000001); #113463=VECTOR('',#389151,0.140000000000002); #113464=VECTOR('',#389158,0.175000000000001); #113465=VECTOR('',#389169,0.139999999999998); #113466=VECTOR('',#389176,0.175000000000001); #113467=VECTOR('',#389187,0.140000000000002); #113468=VECTOR('',#389194,0.175000000000001); #113469=VECTOR('',#389205,0.139999999999998); #113470=VECTOR('',#389212,0.175000000000001); #113471=VECTOR('',#389223,0.140000000000002); #113472=VECTOR('',#389230,0.175000000000001); #113473=VECTOR('',#389241,0.139999999999998); #113474=VECTOR('',#389248,0.175000000000001); #113475=VECTOR('',#389259,0.140000000000002); #113476=VECTOR('',#389266,0.175000000000001); #113477=VECTOR('',#389277,0.139999999999998); #113478=VECTOR('',#389284,0.175000000000001); #113479=VECTOR('',#389295,0.140000000000002); #113480=VECTOR('',#389302,0.175000000000001); #113481=VECTOR('',#389313,0.139999999999998); #113482=VECTOR('',#389320,0.175000000000001); #113483=VECTOR('',#389331,0.140000000000002); #113484=VECTOR('',#389338,0.175000000000001); #113485=VECTOR('',#389349,0.465); #113486=VECTOR('',#389356,0.5); #113487=VECTOR('',#389367,0.140000000000002); #113488=VECTOR('',#389374,0.175000000000001); #113489=VECTOR('',#389385,0.139999999999998); #113490=VECTOR('',#389392,0.175000000000001); #113491=VECTOR('',#389403,0.140000000000002); #113492=VECTOR('',#389410,0.175000000000001); #113493=VECTOR('',#389421,0.139999999999998); #113494=VECTOR('',#389428,0.175000000000001); #113495=VECTOR('',#389439,0.140000000000002); #113496=VECTOR('',#389446,0.175000000000001); #113497=VECTOR('',#389457,0.139999999999998); #113498=VECTOR('',#389464,0.175000000000001); #113499=VECTOR('',#389475,0.140000000000002); #113500=VECTOR('',#389482,0.175000000000001); #113501=VECTOR('',#389493,0.139999999999998); #113502=VECTOR('',#389500,0.175000000000001); #113503=VECTOR('',#389511,0.140000000000002); #113504=VECTOR('',#389518,0.175000000000001); #113505=VECTOR('',#389529,0.139999999999998); #113506=VECTOR('',#389536,0.175000000000001); #113507=VECTOR('',#389547,0.140000000000002); #113508=VECTOR('',#389554,0.175000000000001); #113509=VECTOR('',#389565,0.139999999999998); #113510=VECTOR('',#389572,0.175000000000001); #113511=VECTOR('',#389583,0.140000000000002); #113512=VECTOR('',#389590,0.175000000000001); #113513=VECTOR('',#389601,0.140000000000002); #113514=VECTOR('',#389608,0.175000000000001); #113515=VECTOR('',#389619,0.140000000000002); #113516=VECTOR('',#389626,0.175000000000001); #113517=VECTOR('',#389637,0.564999999999998); #113518=VECTOR('',#389644,0.600000000000001); #113519=VECTOR('',#389657,0.564999999999998); #113520=VECTOR('',#389662,10.); #113521=VECTOR('',#389663,10.); #113522=VECTOR('',#389664,10.); #113523=VECTOR('',#389665,10.); #113524=VECTOR('',#389672,10.); #113525=VECTOR('',#389685,10.); #113526=VECTOR('',#389686,10.); #113527=VECTOR('',#389687,10.); #113528=VECTOR('',#389688,10.); #113529=VECTOR('',#389691,10.); #113530=VECTOR('',#389692,10.); #113531=VECTOR('',#389693,10.); #113532=VECTOR('',#389696,10.); #113533=VECTOR('',#389697,10.); #113534=VECTOR('',#389698,10.); #113535=VECTOR('',#389701,10.); #113536=VECTOR('',#389702,10.); #113537=VECTOR('',#389709,10.); #113538=VECTOR('',#389710,10.); #113539=VECTOR('',#389711,10.); #113540=VECTOR('',#389712,10.); #113541=VECTOR('',#389715,10.); #113542=VECTOR('',#389716,10.); #113543=VECTOR('',#389717,10.); #113544=VECTOR('',#389720,10.); #113545=VECTOR('',#389721,10.); #113546=VECTOR('',#389722,10.); #113547=VECTOR('',#389725,10.); #113548=VECTOR('',#389726,10.); #113549=VECTOR('',#389733,10.); #113550=VECTOR('',#389734,10.); #113551=VECTOR('',#389735,10.); #113552=VECTOR('',#389736,10.); #113553=VECTOR('',#389739,10.); #113554=VECTOR('',#389740,10.); #113555=VECTOR('',#389741,10.); #113556=VECTOR('',#389744,10.); #113557=VECTOR('',#389745,10.); #113558=VECTOR('',#389746,10.); #113559=VECTOR('',#389749,10.); #113560=VECTOR('',#389750,10.); #113561=VECTOR('',#389757,10.); #113562=VECTOR('',#389758,10.); #113563=VECTOR('',#389759,10.); #113564=VECTOR('',#389760,10.); #113565=VECTOR('',#389763,10.); #113566=VECTOR('',#389764,10.); #113567=VECTOR('',#389765,10.); #113568=VECTOR('',#389768,10.); #113569=VECTOR('',#389769,10.); #113570=VECTOR('',#389770,10.); #113571=VECTOR('',#389773,10.); #113572=VECTOR('',#389774,10.); #113573=VECTOR('',#389781,10.); #113574=VECTOR('',#389782,10.); #113575=VECTOR('',#389783,10.); #113576=VECTOR('',#389784,10.); #113577=VECTOR('',#389787,10.); #113578=VECTOR('',#389788,10.); #113579=VECTOR('',#389789,10.); #113580=VECTOR('',#389792,10.); #113581=VECTOR('',#389793,10.); #113582=VECTOR('',#389794,10.); #113583=VECTOR('',#389797,10.); #113584=VECTOR('',#389798,10.); #113585=VECTOR('',#389805,10.); #113586=VECTOR('',#389806,10.); #113587=VECTOR('',#389807,10.); #113588=VECTOR('',#389808,10.); #113589=VECTOR('',#389811,10.); #113590=VECTOR('',#389812,10.); #113591=VECTOR('',#389813,10.); #113592=VECTOR('',#389816,10.); #113593=VECTOR('',#389817,10.); #113594=VECTOR('',#389818,10.); #113595=VECTOR('',#389821,10.); #113596=VECTOR('',#389822,10.); #113597=VECTOR('',#389829,10.); #113598=VECTOR('',#389830,10.); #113599=VECTOR('',#389831,10.); #113600=VECTOR('',#389832,10.); #113601=VECTOR('',#389835,10.); #113602=VECTOR('',#389836,10.); #113603=VECTOR('',#389837,10.); #113604=VECTOR('',#389840,10.); #113605=VECTOR('',#389841,10.); #113606=VECTOR('',#389842,10.); #113607=VECTOR('',#389845,10.); #113608=VECTOR('',#389846,10.); #113609=VECTOR('',#389853,10.); #113610=VECTOR('',#389854,10.); #113611=VECTOR('',#389855,10.); #113612=VECTOR('',#389856,10.); #113613=VECTOR('',#389859,10.); #113614=VECTOR('',#389860,10.); #113615=VECTOR('',#389861,10.); #113616=VECTOR('',#389864,10.); #113617=VECTOR('',#389865,10.); #113618=VECTOR('',#389866,10.); #113619=VECTOR('',#389869,10.); #113620=VECTOR('',#389870,10.); #113621=VECTOR('',#389877,10.); #113622=VECTOR('',#389878,10.); #113623=VECTOR('',#389879,10.); #113624=VECTOR('',#389880,10.); #113625=VECTOR('',#389883,10.); #113626=VECTOR('',#389884,10.); #113627=VECTOR('',#389885,10.); #113628=VECTOR('',#389888,10.); #113629=VECTOR('',#389889,10.); #113630=VECTOR('',#389890,10.); #113631=VECTOR('',#389893,10.); #113632=VECTOR('',#389894,10.); #113633=VECTOR('',#389901,10.); #113634=VECTOR('',#389902,10.); #113635=VECTOR('',#389903,10.); #113636=VECTOR('',#389904,10.); #113637=VECTOR('',#389907,10.); #113638=VECTOR('',#389908,10.); #113639=VECTOR('',#389909,10.); #113640=VECTOR('',#389912,10.); #113641=VECTOR('',#389913,10.); #113642=VECTOR('',#389914,10.); #113643=VECTOR('',#389917,10.); #113644=VECTOR('',#389918,10.); #113645=VECTOR('',#389927,0.565000000000002); #113646=VECTOR('',#389932,10.); #113647=VECTOR('',#389933,10.); #113648=VECTOR('',#389934,10.); #113649=VECTOR('',#389935,10.); #113650=VECTOR('',#389942,10.); #113651=VECTOR('',#389957,0.140000000000002); #113652=VECTOR('',#389964,0.140000000000002); #113653=VECTOR('',#389969,10.); #113654=VECTOR('',#389970,10.); #113655=VECTOR('',#389971,10.); #113656=VECTOR('',#389972,10.); #113657=VECTOR('',#389979,10.); #113658=VECTOR('',#389986,10.); #113659=VECTOR('',#389989,10.); #113660=VECTOR('',#389990,10.); #113661=VECTOR('',#389991,10.); #113662=VECTOR('',#389998,10.); #113663=VECTOR('',#390005,10.); #113664=VECTOR('',#390008,10.); #113665=VECTOR('',#390009,10.); #113666=VECTOR('',#390010,10.); #113667=VECTOR('',#390025,0.514999999999999); #113668=VECTOR('',#390030,10.); #113669=VECTOR('',#390031,10.); #113670=VECTOR('',#390032,10.); #113671=VECTOR('',#390033,10.); #113672=VECTOR('',#390040,10.); #113673=VECTOR('',#390055,0.465); #113674=VECTOR('',#390060,10.); #113675=VECTOR('',#390061,10.); #113676=VECTOR('',#390062,10.); #113677=VECTOR('',#390063,10.); #113678=VECTOR('',#390070,10.); #113679=VECTOR('',#390085,0.139999999999998); #113680=VECTOR('',#390090,10.); #113681=VECTOR('',#390091,10.); #113682=VECTOR('',#390092,10.); #113683=VECTOR('',#390093,10.); #113684=VECTOR('',#390100,10.); #113685=VECTOR('',#390103,10.); #113686=VECTOR('',#390104,10.); #113687=VECTOR('',#390105,10.); #113688=VECTOR('',#390112,10.); #113689=VECTOR('',#390115,10.); #113690=VECTOR('',#390116,10.); #113691=VECTOR('',#390117,10.); #113692=VECTOR('',#390120,10.); #113693=VECTOR('',#390121,10.); #113694=VECTOR('',#390122,10.); #113695=VECTOR('',#390125,10.); #113696=VECTOR('',#390126,10.); #113697=VECTOR('',#390127,10.); #113698=VECTOR('',#390130,10.); #113699=VECTOR('',#390131,10.); #113700=VECTOR('',#390132,10.); #113701=VECTOR('',#390135,10.); #113702=VECTOR('',#390136,10.); #113703=VECTOR('',#390137,10.); #113704=VECTOR('',#390140,10.); #113705=VECTOR('',#390141,10.); #113706=VECTOR('',#390142,10.); #113707=VECTOR('',#390145,10.); #113708=VECTOR('',#390146,10.); #113709=VECTOR('',#390147,10.); #113710=VECTOR('',#390154,10.); #113711=VECTOR('',#390169,0.139999999999998); #113712=VECTOR('',#390174,10.); #113713=VECTOR('',#390175,10.); #113714=VECTOR('',#390176,10.); #113715=VECTOR('',#390177,10.); #113716=VECTOR('',#390184,10.); #113717=VECTOR('',#390187,10.); #113718=VECTOR('',#390188,10.); #113719=VECTOR('',#390189,10.); #113720=VECTOR('',#390192,10.); #113721=VECTOR('',#390193,10.); #113722=VECTOR('',#390194,10.); #113723=VECTOR('',#390197,10.); #113724=VECTOR('',#390198,10.); #113725=VECTOR('',#390199,10.); #113726=VECTOR('',#390202,10.); #113727=VECTOR('',#390203,10.); #113728=VECTOR('',#390204,10.); #113729=VECTOR('',#390207,10.); #113730=VECTOR('',#390208,10.); #113731=VECTOR('',#390209,10.); #113732=VECTOR('',#390212,10.); #113733=VECTOR('',#390213,10.); #113734=VECTOR('',#390214,10.); #113735=VECTOR('',#390217,10.); #113736=VECTOR('',#390218,10.); #113737=VECTOR('',#390219,10.); #113738=VECTOR('',#390232,10.); #113739=VECTOR('',#390233,10.); #113740=VECTOR('',#390234,10.); #113741=VECTOR('',#390235,10.); #113742=VECTOR('',#390238,10.); #113743=VECTOR('',#390239,10.); #113744=VECTOR('',#390240,10.); #113745=VECTOR('',#390243,10.); #113746=VECTOR('',#390244,10.); #113747=VECTOR('',#390245,10.); #113748=VECTOR('',#390248,10.); #113749=VECTOR('',#390249,10.); #113750=VECTOR('',#390250,10.); #113751=VECTOR('',#390253,10.); #113752=VECTOR('',#390254,10.); #113753=VECTOR('',#390255,10.); #113754=VECTOR('',#390258,10.); #113755=VECTOR('',#390259,10.); #113756=VECTOR('',#390260,10.); #113757=VECTOR('',#390263,10.); #113758=VECTOR('',#390264,10.); #113759=VECTOR('',#390265,10.); #113760=VECTOR('',#390268,10.); #113761=VECTOR('',#390269,10.); #113762=VECTOR('',#390270,10.); #113763=VECTOR('',#390277,10.); #113764=VECTOR('',#390280,10.); #113765=VECTOR('',#390281,10.); #113766=VECTOR('',#390282,10.); #113767=VECTOR('',#390289,10.); #113768=VECTOR('',#390292,10.); #113769=VECTOR('',#390293,10.); #113770=VECTOR('',#390294,10.); #113771=VECTOR('',#390297,10.); #113772=VECTOR('',#390298,10.); #113773=VECTOR('',#390299,10.); #113774=VECTOR('',#390302,10.); #113775=VECTOR('',#390303,10.); #113776=VECTOR('',#390304,10.); #113777=VECTOR('',#390307,10.); #113778=VECTOR('',#390308,10.); #113779=VECTOR('',#390309,10.); #113780=VECTOR('',#390312,10.); #113781=VECTOR('',#390313,10.); #113782=VECTOR('',#390314,10.); #113783=VECTOR('',#390317,10.); #113784=VECTOR('',#390318,10.); #113785=VECTOR('',#390319,10.); #113786=VECTOR('',#390322,10.); #113787=VECTOR('',#390323,10.); #113788=VECTOR('',#390324,10.); #113789=VECTOR('',#390331,10.); #113790=VECTOR('',#390334,10.); #113791=VECTOR('',#390335,10.); #113792=VECTOR('',#390342,10.); #113793=VECTOR('',#390343,10.); #113794=VECTOR('',#390344,10.); #113795=VECTOR('',#390345,10.); #113796=VECTOR('',#390348,10.); #113797=VECTOR('',#390349,10.); #113798=VECTOR('',#390350,10.); #113799=VECTOR('',#390353,10.); #113800=VECTOR('',#390354,10.); #113801=VECTOR('',#390355,10.); #113802=VECTOR('',#390358,10.); #113803=VECTOR('',#390359,10.); #113804=VECTOR('',#390360,10.); #113805=VECTOR('',#390363,10.); #113806=VECTOR('',#390364,10.); #113807=VECTOR('',#390365,10.); #113808=VECTOR('',#390372,10.); #113809=VECTOR('',#390375,10.); #113810=VECTOR('',#390376,10.); #113811=VECTOR('',#390377,10.); #113812=VECTOR('',#390380,10.); #113813=VECTOR('',#390381,10.); #113814=VECTOR('',#390382,10.); #113815=VECTOR('',#390385,10.); #113816=VECTOR('',#390386,10.); #113817=VECTOR('',#390387,10.); #113818=VECTOR('',#390390,10.); #113819=VECTOR('',#390391,10.); #113820=VECTOR('',#390392,10.); #113821=VECTOR('',#390395,10.); #113822=VECTOR('',#390396,10.); #113823=VECTOR('',#390397,10.); #113824=VECTOR('',#390400,10.); #113825=VECTOR('',#390401,10.); #113826=VECTOR('',#390402,10.); #113827=VECTOR('',#390405,10.); #113828=VECTOR('',#390406,10.); #113829=VECTOR('',#390407,10.); #113830=VECTOR('',#390414,10.); #113831=VECTOR('',#390417,10.); #113832=VECTOR('',#390418,10.); #113833=VECTOR('',#390419,10.); #113834=VECTOR('',#390422,10.); #113835=VECTOR('',#390423,10.); #113836=VECTOR('',#390424,10.); #113837=VECTOR('',#390431,10.); #113838=VECTOR('',#390434,10.); #113839=VECTOR('',#390435,10.); #113840=VECTOR('',#390436,10.); #113841=VECTOR('',#390439,10.); #113842=VECTOR('',#390440,10.); #113843=VECTOR('',#390441,10.); #113844=VECTOR('',#390444,10.); #113845=VECTOR('',#390445,10.); #113846=VECTOR('',#390446,10.); #113847=VECTOR('',#390449,10.); #113848=VECTOR('',#390450,10.); #113849=VECTOR('',#390451,10.); #113850=VECTOR('',#390454,10.); #113851=VECTOR('',#390455,10.); #113852=VECTOR('',#390456,10.); #113853=VECTOR('',#390459,10.); #113854=VECTOR('',#390460,10.); #113855=VECTOR('',#390461,10.); #113856=VECTOR('',#390464,10.); #113857=VECTOR('',#390465,10.); #113858=VECTOR('',#390466,10.); #113859=VECTOR('',#390473,10.); #113860=VECTOR('',#390476,10.); #113861=VECTOR('',#390477,10.); #113862=VECTOR('',#390478,10.); #113863=VECTOR('',#390481,10.); #113864=VECTOR('',#390482,10.); #113865=VECTOR('',#390483,10.); #113866=VECTOR('',#390486,10.); #113867=VECTOR('',#390487,10.); #113868=VECTOR('',#390488,10.); #113869=VECTOR('',#390491,10.); #113870=VECTOR('',#390492,10.); #113871=VECTOR('',#390493,10.); #113872=VECTOR('',#390496,10.); #113873=VECTOR('',#390497,10.); #113874=VECTOR('',#390498,10.); #113875=VECTOR('',#390505,10.); #113876=VECTOR('',#390508,10.); #113877=VECTOR('',#390509,10.); #113878=VECTOR('',#390510,10.); #113879=VECTOR('',#390517,10.); #113880=VECTOR('',#390520,10.); #113881=VECTOR('',#390521,10.); #113882=VECTOR('',#390522,10.); #113883=VECTOR('',#390525,10.); #113884=VECTOR('',#390526,10.); #113885=VECTOR('',#390527,10.); #113886=VECTOR('',#390530,10.); #113887=VECTOR('',#390531,10.); #113888=VECTOR('',#390538,10.); #113889=VECTOR('',#390539,10.); #113890=VECTOR('',#390540,10.); #113891=VECTOR('',#390541,10.); #113892=VECTOR('',#390544,10.); #113893=VECTOR('',#390545,10.); #113894=VECTOR('',#390546,10.); #113895=VECTOR('',#390549,10.); #113896=VECTOR('',#390550,10.); #113897=VECTOR('',#390551,10.); #113898=VECTOR('',#390554,10.); #113899=VECTOR('',#390555,10.); #113900=VECTOR('',#390556,10.); #113901=VECTOR('',#390559,10.); #113902=VECTOR('',#390560,10.); #113903=VECTOR('',#390561,10.); #113904=VECTOR('',#390564,10.); #113905=VECTOR('',#390565,10.); #113906=VECTOR('',#390566,10.); #113907=VECTOR('',#390573,10.); #113908=VECTOR('',#390576,10.); #113909=VECTOR('',#390577,10.); #113910=VECTOR('',#390578,10.); #113911=VECTOR('',#390585,10.); #113912=VECTOR('',#390588,10.); #113913=VECTOR('',#390589,10.); #113914=VECTOR('',#390590,10.); #113915=VECTOR('',#390593,10.); #113916=VECTOR('',#390594,10.); #113917=VECTOR('',#390595,10.); #113918=VECTOR('',#390598,10.); #113919=VECTOR('',#390599,10.); #113920=VECTOR('',#390600,10.); #113921=VECTOR('',#390603,10.); #113922=VECTOR('',#390604,10.); #113923=VECTOR('',#390605,10.); #113924=VECTOR('',#390608,10.); #113925=VECTOR('',#390609,10.); #113926=VECTOR('',#390610,10.); #113927=VECTOR('',#390617,10.); #113928=VECTOR('',#390620,10.); #113929=VECTOR('',#390621,10.); #113930=VECTOR('',#390622,10.); #113931=VECTOR('',#390625,10.); #113932=VECTOR('',#390626,10.); #113933=VECTOR('',#390627,10.); #113934=VECTOR('',#390630,10.); #113935=VECTOR('',#390631,10.); #113936=VECTOR('',#390632,10.); #113937=VECTOR('',#390635,10.); #113938=VECTOR('',#390636,10.); #113939=VECTOR('',#390637,10.); #113940=VECTOR('',#390640,10.); #113941=VECTOR('',#390641,10.); #113942=VECTOR('',#390642,10.); #113943=VECTOR('',#390645,10.); #113944=VECTOR('',#390646,10.); #113945=VECTOR('',#390647,10.); #113946=VECTOR('',#390650,10.); #113947=VECTOR('',#390651,10.); #113948=VECTOR('',#390652,10.); #113949=VECTOR('',#390659,10.); #113950=VECTOR('',#390662,10.); #113951=VECTOR('',#390663,10.); #113952=VECTOR('',#390664,10.); #113953=VECTOR('',#390667,10.); #113954=VECTOR('',#390668,10.); #113955=VECTOR('',#390669,10.); #113956=VECTOR('',#390676,10.); #113957=VECTOR('',#390679,10.); #113958=VECTOR('',#390680,10.); #113959=VECTOR('',#390681,10.); #113960=VECTOR('',#390684,10.); #113961=VECTOR('',#390685,10.); #113962=VECTOR('',#390686,10.); #113963=VECTOR('',#390689,10.); #113964=VECTOR('',#390690,10.); #113965=VECTOR('',#390691,10.); #113966=VECTOR('',#390694,10.); #113967=VECTOR('',#390695,10.); #113968=VECTOR('',#390696,10.); #113969=VECTOR('',#390699,10.); #113970=VECTOR('',#390700,10.); #113971=VECTOR('',#390701,10.); #113972=VECTOR('',#390704,10.); #113973=VECTOR('',#390705,10.); #113974=VECTOR('',#390706,10.); #113975=VECTOR('',#390709,10.); #113976=VECTOR('',#390710,10.); #113977=VECTOR('',#390711,10.); #113978=VECTOR('',#390718,10.); #113979=VECTOR('',#390721,10.); #113980=VECTOR('',#390722,10.); #113981=VECTOR('',#390723,10.); #113982=VECTOR('',#390726,10.); #113983=VECTOR('',#390727,10.); #113984=VECTOR('',#390736,0.139999999999998); #113985=VECTOR('',#390741,10.); #113986=VECTOR('',#390742,10.); #113987=VECTOR('',#390743,10.); #113988=VECTOR('',#390744,10.); #113989=VECTOR('',#390751,10.); #113990=VECTOR('',#390754,10.); #113991=VECTOR('',#390755,10.); #113992=VECTOR('',#390756,10.); #113993=VECTOR('',#390759,10.); #113994=VECTOR('',#390760,10.); #113995=VECTOR('',#390761,10.); #113996=VECTOR('',#390768,10.); #113997=VECTOR('',#390771,10.); #113998=VECTOR('',#390772,10.); #113999=VECTOR('',#390773,10.); #114000=VECTOR('',#390776,10.); #114001=VECTOR('',#390777,10.); #114002=VECTOR('',#390778,10.); #114003=VECTOR('',#390785,10.); #114004=VECTOR('',#390788,10.); #114005=VECTOR('',#390789,10.); #114006=VECTOR('',#390790,10.); #114007=VECTOR('',#390793,10.); #114008=VECTOR('',#390794,10.); #114009=VECTOR('',#390795,10.); #114010=VECTOR('',#390798,10.); #114011=VECTOR('',#390799,10.); #114012=VECTOR('',#390800,10.); #114013=VECTOR('',#390803,10.); #114014=VECTOR('',#390804,10.); #114015=VECTOR('',#390805,10.); #114016=VECTOR('',#390808,10.); #114017=VECTOR('',#390809,10.); #114018=VECTOR('',#390810,10.); #114019=VECTOR('',#390813,10.); #114020=VECTOR('',#390814,10.); #114021=VECTOR('',#390815,10.); #114022=VECTOR('',#390818,10.); #114023=VECTOR('',#390819,10.); #114024=VECTOR('',#390820,10.); #114025=VECTOR('',#390823,10.); #114026=VECTOR('',#390824,10.); #114027=VECTOR('',#390825,10.); #114028=VECTOR('',#390832,10.); #114029=VECTOR('',#390835,10.); #114030=VECTOR('',#390836,10.); #114031=VECTOR('',#390837,10.); #114032=VECTOR('',#390840,10.); #114033=VECTOR('',#390841,10.); #114034=VECTOR('',#390842,10.); #114035=VECTOR('',#390849,10.); #114036=VECTOR('',#390852,10.); #114037=VECTOR('',#390853,10.); #114038=VECTOR('',#390854,10.); #114039=VECTOR('',#390869,0.139999999999998); #114040=VECTOR('',#390874,10.); #114041=VECTOR('',#390875,10.); #114042=VECTOR('',#390876,10.); #114043=VECTOR('',#390877,10.); #114044=VECTOR('',#390884,10.); #114045=VECTOR('',#390887,10.); #114046=VECTOR('',#390888,10.); #114047=VECTOR('',#390889,10.); #114048=VECTOR('',#390892,10.); #114049=VECTOR('',#390893,10.); #114050=VECTOR('',#390894,10.); #114051=VECTOR('',#390901,10.); #114052=VECTOR('',#390904,10.); #114053=VECTOR('',#390905,10.); #114054=VECTOR('',#390906,10.); #114055=VECTOR('',#390909,10.); #114056=VECTOR('',#390910,10.); #114057=VECTOR('',#390911,10.); #114058=VECTOR('',#390914,10.); #114059=VECTOR('',#390915,10.); #114060=VECTOR('',#390916,10.); #114061=VECTOR('',#390919,10.); #114062=VECTOR('',#390920,10.); #114063=VECTOR('',#390921,10.); #114064=VECTOR('',#390924,10.); #114065=VECTOR('',#390925,10.); #114066=VECTOR('',#390926,10.); #114067=VECTOR('',#390929,10.); #114068=VECTOR('',#390930,10.); #114069=VECTOR('',#390931,10.); #114070=VECTOR('',#390934,10.); #114071=VECTOR('',#390935,10.); #114072=VECTOR('',#390936,10.); #114073=VECTOR('',#390939,10.); #114074=VECTOR('',#390940,10.); #114075=VECTOR('',#390941,10.); #114076=VECTOR('',#390948,10.); #114077=VECTOR('',#390951,10.); #114078=VECTOR('',#390952,10.); #114079=VECTOR('',#390953,10.); #114080=VECTOR('',#390968,0.139999999999998); #114081=VECTOR('',#390973,10.); #114082=VECTOR('',#390974,10.); #114083=VECTOR('',#390975,10.); #114084=VECTOR('',#390976,10.); #114085=VECTOR('',#390983,10.); #114086=VECTOR('',#390986,10.); #114087=VECTOR('',#390987,10.); #114088=VECTOR('',#390988,10.); #114089=VECTOR('',#390991,10.); #114090=VECTOR('',#390992,10.); #114091=VECTOR('',#390993,10.); #114092=VECTOR('',#390996,10.); #114093=VECTOR('',#390997,10.); #114094=VECTOR('',#390998,10.); #114095=VECTOR('',#391001,10.); #114096=VECTOR('',#391002,10.); #114097=VECTOR('',#391003,10.); #114098=VECTOR('',#391006,10.); #114099=VECTOR('',#391007,10.); #114100=VECTOR('',#391008,10.); #114101=VECTOR('',#391011,10.); #114102=VECTOR('',#391012,10.); #114103=VECTOR('',#391013,10.); #114104=VECTOR('',#391016,10.); #114105=VECTOR('',#391017,10.); #114106=VECTOR('',#391018,10.); #114107=VECTOR('',#391025,10.); #114108=VECTOR('',#391028,10.); #114109=VECTOR('',#391029,10.); #114110=VECTOR('',#391030,10.); #114111=VECTOR('',#391045,0.139999999999998); #114112=VECTOR('',#391050,10.); #114113=VECTOR('',#391051,10.); #114114=VECTOR('',#391052,10.); #114115=VECTOR('',#391053,10.); #114116=VECTOR('',#391060,10.); #114117=VECTOR('',#391063,10.); #114118=VECTOR('',#391064,10.); #114119=VECTOR('',#391065,10.); #114120=VECTOR('',#391072,10.); #114121=VECTOR('',#391075,10.); #114122=VECTOR('',#391076,10.); #114123=VECTOR('',#391077,10.); #114124=VECTOR('',#391080,10.); #114125=VECTOR('',#391081,10.); #114126=VECTOR('',#391082,10.); #114127=VECTOR('',#391085,10.); #114128=VECTOR('',#391086,10.); #114129=VECTOR('',#391087,10.); #114130=VECTOR('',#391090,10.); #114131=VECTOR('',#391091,10.); #114132=VECTOR('',#391092,10.); #114133=VECTOR('',#391095,10.); #114134=VECTOR('',#391096,10.); #114135=VECTOR('',#391097,10.); #114136=VECTOR('',#391100,10.); #114137=VECTOR('',#391101,10.); #114138=VECTOR('',#391102,10.); #114139=VECTOR('',#391105,10.); #114140=VECTOR('',#391106,10.); #114141=VECTOR('',#391107,10.); #114142=VECTOR('',#391110,10.); #114143=VECTOR('',#391111,10.); #114144=VECTOR('',#391112,10.); #114145=VECTOR('',#391115,10.); #114146=VECTOR('',#391116,10.); #114147=VECTOR('',#391117,10.); #114148=VECTOR('',#391132,0.140000000000002); #114149=VECTOR('',#391139,0.140000000000002); #114150=VECTOR('',#391144,10.); #114151=VECTOR('',#391145,10.); #114152=VECTOR('',#391146,10.); #114153=VECTOR('',#391147,10.); #114154=VECTOR('',#391154,10.); #114155=VECTOR('',#391161,10.); #114156=VECTOR('',#391164,10.); #114157=VECTOR('',#391165,10.); #114158=VECTOR('',#391166,10.); #114159=VECTOR('',#391173,10.); #114160=VECTOR('',#391180,10.); #114161=VECTOR('',#391183,10.); #114162=VECTOR('',#391184,10.); #114163=VECTOR('',#391185,10.); #114164=VECTOR('',#391200,0.139999999999998); #114165=VECTOR('',#391205,10.); #114166=VECTOR('',#391206,10.); #114167=VECTOR('',#391207,10.); #114168=VECTOR('',#391208,10.); #114169=VECTOR('',#391215,10.); #114170=VECTOR('',#391218,10.); #114171=VECTOR('',#391219,10.); #114172=VECTOR('',#391220,10.); #114173=VECTOR('',#391223,10.); #114174=VECTOR('',#391224,10.); #114175=VECTOR('',#391225,10.); #114176=VECTOR('',#391232,10.); #114177=VECTOR('',#391235,10.); #114178=VECTOR('',#391236,10.); #114179=VECTOR('',#391237,10.); #114180=VECTOR('',#391240,10.); #114181=VECTOR('',#391241,10.); #114182=VECTOR('',#391242,10.); #114183=VECTOR('',#391245,10.); #114184=VECTOR('',#391246,10.); #114185=VECTOR('',#391247,10.); #114186=VECTOR('',#391250,10.); #114187=VECTOR('',#391251,10.); #114188=VECTOR('',#391252,10.); #114189=VECTOR('',#391255,10.); #114190=VECTOR('',#391256,10.); #114191=VECTOR('',#391257,10.); #114192=VECTOR('',#391260,10.); #114193=VECTOR('',#391261,10.); #114194=VECTOR('',#391262,10.); #114195=VECTOR('',#391265,10.); #114196=VECTOR('',#391266,10.); #114197=VECTOR('',#391267,10.); #114198=VECTOR('',#391270,10.); #114199=VECTOR('',#391271,10.); #114200=VECTOR('',#391272,10.); #114201=VECTOR('',#391279,10.); #114202=VECTOR('',#391282,10.); #114203=VECTOR('',#391283,10.); #114204=VECTOR('',#391284,10.); #114205=VECTOR('',#391299,0.139999999999998); #114206=VECTOR('',#391304,10.); #114207=VECTOR('',#391305,10.); #114208=VECTOR('',#391306,10.); #114209=VECTOR('',#391307,10.); #114210=VECTOR('',#391314,10.); #114211=VECTOR('',#391317,10.); #114212=VECTOR('',#391318,10.); #114213=VECTOR('',#391319,10.); #114214=VECTOR('',#391322,10.); #114215=VECTOR('',#391323,10.); #114216=VECTOR('',#391324,10.); #114217=VECTOR('',#391331,10.); #114218=VECTOR('',#391334,10.); #114219=VECTOR('',#391335,10.); #114220=VECTOR('',#391336,10.); #114221=VECTOR('',#391339,10.); #114222=VECTOR('',#391340,10.); #114223=VECTOR('',#391341,10.); #114224=VECTOR('',#391348,10.); #114225=VECTOR('',#391351,10.); #114226=VECTOR('',#391352,10.); #114227=VECTOR('',#391353,10.); #114228=VECTOR('',#391356,10.); #114229=VECTOR('',#391357,10.); #114230=VECTOR('',#391358,10.); #114231=VECTOR('',#391361,10.); #114232=VECTOR('',#391362,10.); #114233=VECTOR('',#391363,10.); #114234=VECTOR('',#391366,10.); #114235=VECTOR('',#391367,10.); #114236=VECTOR('',#391368,10.); #114237=VECTOR('',#391371,10.); #114238=VECTOR('',#391372,10.); #114239=VECTOR('',#391373,10.); #114240=VECTOR('',#391376,10.); #114241=VECTOR('',#391377,10.); #114242=VECTOR('',#391378,10.); #114243=VECTOR('',#391381,10.); #114244=VECTOR('',#391382,10.); #114245=VECTOR('',#391383,10.); #114246=VECTOR('',#391386,10.); #114247=VECTOR('',#391387,10.); #114248=VECTOR('',#391388,10.); #114249=VECTOR('',#391395,10.); #114250=VECTOR('',#391398,10.); #114251=VECTOR('',#391399,10.); #114252=VECTOR('',#391400,10.); #114253=VECTOR('',#391403,10.); #114254=VECTOR('',#391404,10.); #114255=VECTOR('',#391405,10.); #114256=VECTOR('',#391412,10.); #114257=VECTOR('',#391415,10.); #114258=VECTOR('',#391416,10.); #114259=VECTOR('',#391417,10.); #114260=VECTOR('',#391430,10.); #114261=VECTOR('',#391431,10.); #114262=VECTOR('',#391432,10.); #114263=VECTOR('',#391433,10.); #114264=VECTOR('',#391436,10.); #114265=VECTOR('',#391437,10.); #114266=VECTOR('',#391438,10.); #114267=VECTOR('',#391441,10.); #114268=VECTOR('',#391442,10.); #114269=VECTOR('',#391443,10.); #114270=VECTOR('',#391446,10.); #114271=VECTOR('',#391447,10.); #114272=VECTOR('',#391448,10.); #114273=VECTOR('',#391455,10.); #114274=VECTOR('',#391458,10.); #114275=VECTOR('',#391459,10.); #114276=VECTOR('',#391460,10.); #114277=VECTOR('',#391467,10.); #114278=VECTOR('',#391470,10.); #114279=VECTOR('',#391471,10.); #114280=VECTOR('',#391472,10.); #114281=VECTOR('',#391475,10.); #114282=VECTOR('',#391476,10.); #114283=VECTOR('',#391477,10.); #114284=VECTOR('',#391480,10.); #114285=VECTOR('',#391481,10.); #114286=VECTOR('',#391482,10.); #114287=VECTOR('',#391489,10.); #114288=VECTOR('',#391492,10.); #114289=VECTOR('',#391493,10.); #114290=VECTOR('',#391494,10.); #114291=VECTOR('',#391497,10.); #114292=VECTOR('',#391498,10.); #114293=VECTOR('',#391499,10.); #114294=VECTOR('',#391502,10.); #114295=VECTOR('',#391503,10.); #114296=VECTOR('',#391504,10.); #114297=VECTOR('',#391507,10.); #114298=VECTOR('',#391508,10.); #114299=VECTOR('',#391509,10.); #114300=VECTOR('',#391512,10.); #114301=VECTOR('',#391513,10.); #114302=VECTOR('',#391514,10.); #114303=VECTOR('',#391517,10.); #114304=VECTOR('',#391518,10.); #114305=VECTOR('',#391519,10.); #114306=VECTOR('',#391522,10.); #114307=VECTOR('',#391523,10.); #114308=VECTOR('',#391524,10.); #114309=VECTOR('',#391531,10.); #114310=VECTOR('',#391534,10.); #114311=VECTOR('',#391535,10.); #114312=VECTOR('',#391536,10.); #114313=VECTOR('',#391543,10.); #114314=VECTOR('',#391546,10.); #114315=VECTOR('',#391547,10.); #114316=VECTOR('',#391548,10.); #114317=VECTOR('',#391551,10.); #114318=VECTOR('',#391552,10.); #114319=VECTOR('',#391553,10.); #114320=VECTOR('',#391556,10.); #114321=VECTOR('',#391557,10.); #114322=VECTOR('',#391558,10.); #114323=VECTOR('',#391561,10.); #114324=VECTOR('',#391562,10.); #114325=VECTOR('',#391563,10.); #114326=VECTOR('',#391566,10.); #114327=VECTOR('',#391567,10.); #114328=VECTOR('',#391576,0.139999999999998); #114329=VECTOR('',#391581,10.); #114330=VECTOR('',#391582,10.); #114331=VECTOR('',#391583,10.); #114332=VECTOR('',#391584,10.); #114333=VECTOR('',#391591,10.); #114334=VECTOR('',#391594,10.); #114335=VECTOR('',#391595,10.); #114336=VECTOR('',#391596,10.); #114337=VECTOR('',#391599,10.); #114338=VECTOR('',#391600,10.); #114339=VECTOR('',#391601,10.); #114340=VECTOR('',#391608,10.); #114341=VECTOR('',#391611,10.); #114342=VECTOR('',#391612,10.); #114343=VECTOR('',#391613,10.); #114344=VECTOR('',#391620,10.); #114345=VECTOR('',#391623,10.); #114346=VECTOR('',#391624,10.); #114347=VECTOR('',#391625,10.); #114348=VECTOR('',#391632,10.); #114349=VECTOR('',#391635,10.); #114350=VECTOR('',#391636,10.); #114351=VECTOR('',#391637,10.); #114352=VECTOR('',#391640,10.); #114353=VECTOR('',#391641,10.); #114354=VECTOR('',#391642,10.); #114355=VECTOR('',#391645,10.); #114356=VECTOR('',#391646,10.); #114357=VECTOR('',#391647,10.); #114358=VECTOR('',#391650,10.); #114359=VECTOR('',#391651,10.); #114360=VECTOR('',#391652,10.); #114361=VECTOR('',#391655,10.); #114362=VECTOR('',#391656,10.); #114363=VECTOR('',#391657,10.); #114364=VECTOR('',#391660,10.); #114365=VECTOR('',#391661,10.); #114366=VECTOR('',#391662,10.); #114367=VECTOR('',#391665,10.); #114368=VECTOR('',#391666,10.); #114369=VECTOR('',#391667,10.); #114370=VECTOR('',#391674,10.); #114371=VECTOR('',#391677,10.); #114372=VECTOR('',#391678,10.); #114373=VECTOR('',#391679,10.); #114374=VECTOR('',#391682,10.); #114375=VECTOR('',#391683,10.); #114376=VECTOR('',#391684,10.); #114377=VECTOR('',#391687,10.); #114378=VECTOR('',#391688,10.); #114379=VECTOR('',#391689,10.); #114380=VECTOR('',#391692,10.); #114381=VECTOR('',#391693,10.); #114382=VECTOR('',#391694,10.); #114383=VECTOR('',#391701,10.); #114384=VECTOR('',#391704,10.); #114385=VECTOR('',#391705,10.); #114386=VECTOR('',#391706,10.); #114387=VECTOR('',#391721,0.139999999999998); #114388=VECTOR('',#391726,10.); #114389=VECTOR('',#391727,10.); #114390=VECTOR('',#391728,10.); #114391=VECTOR('',#391729,10.); #114392=VECTOR('',#391736,10.); #114393=VECTOR('',#391739,10.); #114394=VECTOR('',#391740,10.); #114395=VECTOR('',#391741,10.); #114396=VECTOR('',#391744,10.); #114397=VECTOR('',#391745,10.); #114398=VECTOR('',#391746,10.); #114399=VECTOR('',#391749,10.); #114400=VECTOR('',#391750,10.); #114401=VECTOR('',#391751,10.); #114402=VECTOR('',#391754,10.); #114403=VECTOR('',#391755,10.); #114404=VECTOR('',#391756,10.); #114405=VECTOR('',#391759,10.); #114406=VECTOR('',#391760,10.); #114407=VECTOR('',#391761,10.); #114408=VECTOR('',#391764,10.); #114409=VECTOR('',#391765,10.); #114410=VECTOR('',#391766,10.); #114411=VECTOR('',#391769,10.); #114412=VECTOR('',#391770,10.); #114413=VECTOR('',#391771,10.); #114414=VECTOR('',#391778,10.); #114415=VECTOR('',#391791,10.); #114416=VECTOR('',#391792,10.); #114417=VECTOR('',#391793,10.); #114418=VECTOR('',#391794,10.); #114419=VECTOR('',#391797,10.); #114420=VECTOR('',#391798,10.); #114421=VECTOR('',#391799,10.); #114422=VECTOR('',#391802,10.); #114423=VECTOR('',#391803,10.); #114424=VECTOR('',#391804,10.); #114425=VECTOR('',#391807,10.); #114426=VECTOR('',#391808,10.); #114427=VECTOR('',#391809,10.); #114428=VECTOR('',#391812,10.); #114429=VECTOR('',#391813,10.); #114430=VECTOR('',#391814,10.); #114431=VECTOR('',#391821,10.); #114432=VECTOR('',#391824,10.); #114433=VECTOR('',#391825,10.); #114434=VECTOR('',#391826,10.); #114435=VECTOR('',#391829,10.); #114436=VECTOR('',#391830,10.); #114437=VECTOR('',#391831,10.); #114438=VECTOR('',#391834,10.); #114439=VECTOR('',#391835,10.); #114440=VECTOR('',#391836,10.); #114441=VECTOR('',#391843,10.); #114442=VECTOR('',#391846,10.); #114443=VECTOR('',#391847,10.); #114444=VECTOR('',#391848,10.); #114445=VECTOR('',#391855,10.); #114446=VECTOR('',#391858,10.); #114447=VECTOR('',#391859,10.); #114448=VECTOR('',#391860,10.); #114449=VECTOR('',#391867,10.); #114450=VECTOR('',#391870,10.); #114451=VECTOR('',#391871,10.); #114452=VECTOR('',#391872,10.); #114453=VECTOR('',#391875,10.); #114454=VECTOR('',#391876,10.); #114455=VECTOR('',#391877,10.); #114456=VECTOR('',#391884,10.); #114457=VECTOR('',#391887,10.); #114458=VECTOR('',#391888,10.); #114459=VECTOR('',#391889,10.); #114460=VECTOR('',#391892,10.); #114461=VECTOR('',#391893,10.); #114462=VECTOR('',#391894,10.); #114463=VECTOR('',#391897,10.); #114464=VECTOR('',#391898,10.); #114465=VECTOR('',#391899,10.); #114466=VECTOR('',#391902,10.); #114467=VECTOR('',#391903,10.); #114468=VECTOR('',#391904,10.); #114469=VECTOR('',#391907,10.); #114470=VECTOR('',#391908,10.); #114471=VECTOR('',#391909,10.); #114472=VECTOR('',#391912,10.); #114473=VECTOR('',#391913,10.); #114474=VECTOR('',#391914,10.); #114475=VECTOR('',#391917,10.); #114476=VECTOR('',#391918,10.); #114477=VECTOR('',#391919,10.); #114478=VECTOR('',#391926,10.); #114479=VECTOR('',#391929,10.); #114480=VECTOR('',#391930,10.); #114481=VECTOR('',#391931,10.); #114482=VECTOR('',#391934,10.); #114483=VECTOR('',#391935,10.); #114484=VECTOR('',#391936,10.); #114485=VECTOR('',#391943,10.); #114486=VECTOR('',#391946,10.); #114487=VECTOR('',#391947,10.); #114488=VECTOR('',#391948,10.); #114489=VECTOR('',#391951,10.); #114490=VECTOR('',#391952,10.); #114491=VECTOR('',#391953,10.); #114492=VECTOR('',#391956,10.); #114493=VECTOR('',#391957,10.); #114494=VECTOR('',#391958,10.); #114495=VECTOR('',#391961,10.); #114496=VECTOR('',#391962,10.); #114497=VECTOR('',#391963,10.); #114498=VECTOR('',#391970,10.); #114499=VECTOR('',#391973,10.); #114500=VECTOR('',#391974,10.); #114501=VECTOR('',#391975,10.); #114502=VECTOR('',#391982,10.); #114503=VECTOR('',#391985,10.); #114504=VECTOR('',#391986,10.); #114505=VECTOR('',#391987,10.); #114506=VECTOR('',#391990,10.); #114507=VECTOR('',#391991,10.); #114508=VECTOR('',#391992,10.); #114509=VECTOR('',#391995,10.); #114510=VECTOR('',#391996,10.); #114511=VECTOR('',#392003,10.); #114512=VECTOR('',#392004,10.); #114513=VECTOR('',#392005,10.); #114514=VECTOR('',#392006,10.); #114515=VECTOR('',#392009,10.); #114516=VECTOR('',#392010,10.); #114517=VECTOR('',#392011,10.); #114518=VECTOR('',#392014,10.); #114519=VECTOR('',#392015,10.); #114520=VECTOR('',#392016,10.); #114521=VECTOR('',#392019,10.); #114522=VECTOR('',#392020,10.); #114523=VECTOR('',#392021,10.); #114524=VECTOR('',#392024,10.); #114525=VECTOR('',#392025,10.); #114526=VECTOR('',#392026,10.); #114527=VECTOR('',#392029,10.); #114528=VECTOR('',#392030,10.); #114529=VECTOR('',#392031,10.); #114530=VECTOR('',#392038,10.); #114531=VECTOR('',#392041,10.); #114532=VECTOR('',#392042,10.); #114533=VECTOR('',#392043,10.); #114534=VECTOR('',#392050,10.); #114535=VECTOR('',#392053,10.); #114536=VECTOR('',#392054,10.); #114537=VECTOR('',#392055,10.); #114538=VECTOR('',#392058,10.); #114539=VECTOR('',#392059,10.); #114540=VECTOR('',#392060,10.); #114541=VECTOR('',#392063,10.); #114542=VECTOR('',#392064,10.); #114543=VECTOR('',#392065,10.); #114544=VECTOR('',#392068,10.); #114545=VECTOR('',#392069,10.); #114546=VECTOR('',#392070,10.); #114547=VECTOR('',#392077,10.); #114548=VECTOR('',#392080,10.); #114549=VECTOR('',#392081,10.); #114550=VECTOR('',#392082,10.); #114551=VECTOR('',#392085,10.); #114552=VECTOR('',#392086,10.); #114553=VECTOR('',#392087,10.); #114554=VECTOR('',#392094,10.); #114555=VECTOR('',#392097,10.); #114556=VECTOR('',#392098,10.); #114557=VECTOR('',#392099,10.); #114558=VECTOR('',#392102,10.); #114559=VECTOR('',#392103,10.); #114560=VECTOR('',#392104,10.); #114561=VECTOR('',#392107,10.); #114562=VECTOR('',#392108,10.); #114563=VECTOR('',#392109,10.); #114564=VECTOR('',#392112,10.); #114565=VECTOR('',#392113,10.); #114566=VECTOR('',#392114,10.); #114567=VECTOR('',#392117,10.); #114568=VECTOR('',#392118,10.); #114569=VECTOR('',#392119,10.); #114570=VECTOR('',#392122,10.); #114571=VECTOR('',#392123,10.); #114572=VECTOR('',#392124,10.); #114573=VECTOR('',#392127,10.); #114574=VECTOR('',#392128,10.); #114575=VECTOR('',#392129,10.); #114576=VECTOR('',#392136,10.); #114577=VECTOR('',#392139,10.); #114578=VECTOR('',#392140,10.); #114579=VECTOR('',#392141,10.); #114580=VECTOR('',#392144,10.); #114581=VECTOR('',#392145,10.); #114582=VECTOR('',#392146,10.); #114583=VECTOR('',#392153,10.); #114584=VECTOR('',#392156,10.); #114585=VECTOR('',#392157,10.); #114586=VECTOR('',#392158,10.); #114587=VECTOR('',#392165,10.); #114588=VECTOR('',#392168,10.); #114589=VECTOR('',#392169,10.); #114590=VECTOR('',#392170,10.); #114591=VECTOR('',#392177,10.); #114592=VECTOR('',#392180,10.); #114593=VECTOR('',#392181,10.); #114594=VECTOR('',#392182,10.); #114595=VECTOR('',#392185,10.); #114596=VECTOR('',#392186,10.); #114597=VECTOR('',#392187,10.); #114598=VECTOR('',#392190,10.); #114599=VECTOR('',#392191,10.); #114600=VECTOR('',#392192,10.); #114601=VECTOR('',#392199,10.); #114602=VECTOR('',#392202,10.); #114603=VECTOR('',#392203,10.); #114604=VECTOR('',#392204,10.); #114605=VECTOR('',#392207,10.); #114606=VECTOR('',#392208,10.); #114607=VECTOR('',#392215,10.); #114608=VECTOR('',#392216,10.); #114609=VECTOR('',#392217,10.); #114610=VECTOR('',#392218,10.); #114611=VECTOR('',#392221,10.); #114612=VECTOR('',#392222,10.); #114613=VECTOR('',#392223,10.); #114614=VECTOR('',#392226,10.); #114615=VECTOR('',#392227,10.); #114616=VECTOR('',#392228,10.); #114617=VECTOR('',#392231,10.); #114618=VECTOR('',#392232,10.); #114619=VECTOR('',#392233,10.); #114620=VECTOR('',#392240,10.); #114621=VECTOR('',#392243,10.); #114622=VECTOR('',#392244,10.); #114623=VECTOR('',#392245,10.); #114624=VECTOR('',#392248,10.); #114625=VECTOR('',#392249,10.); #114626=VECTOR('',#392250,10.); #114627=VECTOR('',#392257,10.); #114628=VECTOR('',#392260,10.); #114629=VECTOR('',#392261,10.); #114630=VECTOR('',#392262,10.); #114631=VECTOR('',#392265,10.); #114632=VECTOR('',#392266,10.); #114633=VECTOR('',#392267,10.); #114634=VECTOR('',#392270,10.); #114635=VECTOR('',#392271,10.); #114636=VECTOR('',#392272,10.); #114637=VECTOR('',#392275,10.); #114638=VECTOR('',#392276,10.); #114639=VECTOR('',#392277,10.); #114640=VECTOR('',#392280,10.); #114641=VECTOR('',#392281,10.); #114642=VECTOR('',#392282,10.); #114643=VECTOR('',#392285,10.); #114644=VECTOR('',#392286,10.); #114645=VECTOR('',#392287,10.); #114646=VECTOR('',#392290,10.); #114647=VECTOR('',#392291,10.); #114648=VECTOR('',#392292,10.); #114649=VECTOR('',#392299,10.); #114650=VECTOR('',#392302,10.); #114651=VECTOR('',#392303,10.); #114652=VECTOR('',#392304,10.); #114653=VECTOR('',#392307,10.); #114654=VECTOR('',#392308,10.); #114655=VECTOR('',#392309,10.); #114656=VECTOR('',#392312,10.); #114657=VECTOR('',#392313,10.); #114658=VECTOR('',#392314,10.); #114659=VECTOR('',#392317,10.); #114660=VECTOR('',#392318,10.); #114661=VECTOR('',#392327,0.139999999999998); #114662=VECTOR('',#392332,10.); #114663=VECTOR('',#392333,10.); #114664=VECTOR('',#392334,10.); #114665=VECTOR('',#392335,10.); #114666=VECTOR('',#392338,10.); #114667=VECTOR('',#392339,10.); #114668=VECTOR('',#392340,10.); #114669=VECTOR('',#392347,10.); #114670=VECTOR('',#392350,10.); #114671=VECTOR('',#392351,10.); #114672=VECTOR('',#392352,10.); #114673=VECTOR('',#392355,10.); #114674=VECTOR('',#392356,10.); #114675=VECTOR('',#392357,10.); #114676=VECTOR('',#392360,10.); #114677=VECTOR('',#392361,10.); #114678=VECTOR('',#392362,10.); #114679=VECTOR('',#392369,10.); #114680=VECTOR('',#392372,10.); #114681=VECTOR('',#392373,10.); #114682=VECTOR('',#392374,10.); #114683=VECTOR('',#392377,10.); #114684=VECTOR('',#392378,10.); #114685=VECTOR('',#392379,10.); #114686=VECTOR('',#392386,10.); #114687=VECTOR('',#392393,10.); #114688=VECTOR('',#392396,10.); #114689=VECTOR('',#392397,10.); #114690=VECTOR('',#392398,10.); #114691=VECTOR('',#392405,10.); #114692=VECTOR('',#392408,10.); #114693=VECTOR('',#392409,10.); #114694=VECTOR('',#392410,10.); #114695=VECTOR('',#392413,10.); #114696=VECTOR('',#392414,10.); #114697=VECTOR('',#392415,10.); #114698=VECTOR('',#392422,10.); #114699=VECTOR('',#392425,10.); #114700=VECTOR('',#392426,10.); #114701=VECTOR('',#392427,10.); #114702=VECTOR('',#392430,10.); #114703=VECTOR('',#392431,10.); #114704=VECTOR('',#392432,10.); #114705=VECTOR('',#392435,10.); #114706=VECTOR('',#392436,10.); #114707=VECTOR('',#392437,10.); #114708=VECTOR('',#392440,10.); #114709=VECTOR('',#392441,10.); #114710=VECTOR('',#392442,10.); #114711=VECTOR('',#392445,10.); #114712=VECTOR('',#392446,10.); #114713=VECTOR('',#392455,0.139999999999998); #114714=VECTOR('',#392460,10.); #114715=VECTOR('',#392461,10.); #114716=VECTOR('',#392462,10.); #114717=VECTOR('',#392463,10.); #114718=VECTOR('',#392470,10.); #114719=VECTOR('',#392473,10.); #114720=VECTOR('',#392474,10.); #114721=VECTOR('',#392475,10.); #114722=VECTOR('',#392478,10.); #114723=VECTOR('',#392479,10.); #114724=VECTOR('',#392480,10.); #114725=VECTOR('',#392487,10.); #114726=VECTOR('',#392490,10.); #114727=VECTOR('',#392491,10.); #114728=VECTOR('',#392492,10.); #114729=VECTOR('',#392495,10.); #114730=VECTOR('',#392496,10.); #114731=VECTOR('',#392497,10.); #114732=VECTOR('',#392500,10.); #114733=VECTOR('',#392501,10.); #114734=VECTOR('',#392502,10.); #114735=VECTOR('',#392505,10.); #114736=VECTOR('',#392506,10.); #114737=VECTOR('',#392507,10.); #114738=VECTOR('',#392510,10.); #114739=VECTOR('',#392511,10.); #114740=VECTOR('',#392512,10.); #114741=VECTOR('',#392515,10.); #114742=VECTOR('',#392516,10.); #114743=VECTOR('',#392517,10.); #114744=VECTOR('',#392520,10.); #114745=VECTOR('',#392521,10.); #114746=VECTOR('',#392522,10.); #114747=VECTOR('',#392525,10.); #114748=VECTOR('',#392526,10.); #114749=VECTOR('',#392527,10.); #114750=VECTOR('',#392534,10.); #114751=VECTOR('',#392537,10.); #114752=VECTOR('',#392538,10.); #114753=VECTOR('',#392539,10.); #114754=VECTOR('',#392554,0.139999999999998); #114755=VECTOR('',#392559,10.); #114756=VECTOR('',#392560,10.); #114757=VECTOR('',#392561,10.); #114758=VECTOR('',#392562,10.); #114759=VECTOR('',#392569,10.); #114760=VECTOR('',#392576,10.); #114761=VECTOR('',#392579,10.); #114762=VECTOR('',#392580,10.); #114763=VECTOR('',#392581,10.); #114764=VECTOR('',#392584,10.); #114765=VECTOR('',#392585,10.); #114766=VECTOR('',#392586,10.); #114767=VECTOR('',#392589,10.); #114768=VECTOR('',#392590,10.); #114769=VECTOR('',#392591,10.); #114770=VECTOR('',#392594,10.); #114771=VECTOR('',#392595,10.); #114772=VECTOR('',#392596,10.); #114773=VECTOR('',#392599,10.); #114774=VECTOR('',#392600,10.); #114775=VECTOR('',#392601,10.); #114776=VECTOR('',#392604,10.); #114777=VECTOR('',#392605,10.); #114778=VECTOR('',#392606,10.); #114779=VECTOR('',#392609,10.); #114780=VECTOR('',#392610,10.); #114781=VECTOR('',#392611,10.); #114782=VECTOR('',#392618,10.); #114783=VECTOR('',#392621,10.); #114784=VECTOR('',#392622,10.); #114785=VECTOR('',#392623,10.); #114786=VECTOR('',#392638,0.139999999999998); #114787=VECTOR('',#392643,10.); #114788=VECTOR('',#392644,10.); #114789=VECTOR('',#392645,10.); #114790=VECTOR('',#392646,10.); #114791=VECTOR('',#392649,10.); #114792=VECTOR('',#392650,10.); #114793=VECTOR('',#392651,10.); #114794=VECTOR('',#392654,10.); #114795=VECTOR('',#392655,10.); #114796=VECTOR('',#392656,10.); #114797=VECTOR('',#392659,10.); #114798=VECTOR('',#392660,10.); #114799=VECTOR('',#392661,10.); #114800=VECTOR('',#392664,10.); #114801=VECTOR('',#392665,10.); #114802=VECTOR('',#392666,10.); #114803=VECTOR('',#392673,10.); #114804=VECTOR('',#392676,10.); #114805=VECTOR('',#392677,10.); #114806=VECTOR('',#392678,10.); #114807=VECTOR('',#392681,10.); #114808=VECTOR('',#392682,10.); #114809=VECTOR('',#392683,10.); #114810=VECTOR('',#392690,10.); #114811=VECTOR('',#392693,10.); #114812=VECTOR('',#392694,10.); #114813=VECTOR('',#392695,10.); #114814=VECTOR('',#392702,10.); #114815=VECTOR('',#392709,10.); #114816=VECTOR('',#392712,10.); #114817=VECTOR('',#392713,10.); #114818=VECTOR('',#392714,10.); #114819=VECTOR('',#392717,10.); #114820=VECTOR('',#392718,10.); #114821=VECTOR('',#392719,10.); #114822=VECTOR('',#392726,10.); #114823=VECTOR('',#392729,10.); #114824=VECTOR('',#392730,10.); #114825=VECTOR('',#392731,10.); #114826=VECTOR('',#392734,10.); #114827=VECTOR('',#392735,10.); #114828=VECTOR('',#392736,10.); #114829=VECTOR('',#392739,10.); #114830=VECTOR('',#392740,10.); #114831=VECTOR('',#392749,0.139999999999998); #114832=VECTOR('',#392754,10.); #114833=VECTOR('',#392755,10.); #114834=VECTOR('',#392756,10.); #114835=VECTOR('',#392757,10.); #114836=VECTOR('',#392764,10.); #114837=VECTOR('',#392767,10.); #114838=VECTOR('',#392768,10.); #114839=VECTOR('',#392769,10.); #114840=VECTOR('',#392776,10.); #114841=VECTOR('',#392779,10.); #114842=VECTOR('',#392780,10.); #114843=VECTOR('',#392781,10.); #114844=VECTOR('',#392784,10.); #114845=VECTOR('',#392785,10.); #114846=VECTOR('',#392786,10.); #114847=VECTOR('',#392789,10.); #114848=VECTOR('',#392790,10.); #114849=VECTOR('',#392791,10.); #114850=VECTOR('',#392794,10.); #114851=VECTOR('',#392795,10.); #114852=VECTOR('',#392796,10.); #114853=VECTOR('',#392799,10.); #114854=VECTOR('',#392800,10.); #114855=VECTOR('',#392801,10.); #114856=VECTOR('',#392804,10.); #114857=VECTOR('',#392805,10.); #114858=VECTOR('',#392806,10.); #114859=VECTOR('',#392809,10.); #114860=VECTOR('',#392810,10.); #114861=VECTOR('',#392811,10.); #114862=VECTOR('',#392818,10.); #114863=VECTOR('',#392833,0.139999999999998); #114864=VECTOR('',#392838,10.); #114865=VECTOR('',#392839,10.); #114866=VECTOR('',#392840,10.); #114867=VECTOR('',#392841,10.); #114868=VECTOR('',#392844,10.); #114869=VECTOR('',#392845,10.); #114870=VECTOR('',#392846,10.); #114871=VECTOR('',#392849,10.); #114872=VECTOR('',#392850,10.); #114873=VECTOR('',#392851,10.); #114874=VECTOR('',#392854,10.); #114875=VECTOR('',#392855,10.); #114876=VECTOR('',#392856,10.); #114877=VECTOR('',#392859,10.); #114878=VECTOR('',#392860,10.); #114879=VECTOR('',#392861,10.); #114880=VECTOR('',#392864,10.); #114881=VECTOR('',#392865,10.); #114882=VECTOR('',#392866,10.); #114883=VECTOR('',#392873,10.); #114884=VECTOR('',#392876,10.); #114885=VECTOR('',#392877,10.); #114886=VECTOR('',#392878,10.); #114887=VECTOR('',#392881,10.); #114888=VECTOR('',#392882,10.); #114889=VECTOR('',#392883,10.); #114890=VECTOR('',#392890,10.); #114891=VECTOR('',#392897,10.); #114892=VECTOR('',#392900,10.); #114893=VECTOR('',#392901,10.); #114894=VECTOR('',#392902,10.); #114895=VECTOR('',#392909,10.); #114896=VECTOR('',#392912,10.); #114897=VECTOR('',#392913,10.); #114898=VECTOR('',#392914,10.); #114899=VECTOR('',#392917,10.); #114900=VECTOR('',#392918,10.); #114901=VECTOR('',#392919,10.); #114902=VECTOR('',#392926,10.); #114903=VECTOR('',#392929,10.); #114904=VECTOR('',#392930,10.); #114905=VECTOR('',#392931,10.); #114906=VECTOR('',#392934,10.); #114907=VECTOR('',#392935,10.); #114908=VECTOR('',#392944,0.139999999999998); #114909=VECTOR('',#392949,10.); #114910=VECTOR('',#392950,10.); #114911=VECTOR('',#392951,10.); #114912=VECTOR('',#392952,10.); #114913=VECTOR('',#392959,10.); #114914=VECTOR('',#392962,10.); #114915=VECTOR('',#392963,10.); #114916=VECTOR('',#392964,10.); #114917=VECTOR('',#392967,10.); #114918=VECTOR('',#392968,10.); #114919=VECTOR('',#392969,10.); #114920=VECTOR('',#392972,10.); #114921=VECTOR('',#392973,10.); #114922=VECTOR('',#392974,10.); #114923=VECTOR('',#392977,10.); #114924=VECTOR('',#392978,10.); #114925=VECTOR('',#392979,10.); #114926=VECTOR('',#392982,10.); #114927=VECTOR('',#392983,10.); #114928=VECTOR('',#392984,10.); #114929=VECTOR('',#392987,10.); #114930=VECTOR('',#392988,10.); #114931=VECTOR('',#392989,10.); #114932=VECTOR('',#392992,10.); #114933=VECTOR('',#392993,10.); #114934=VECTOR('',#392994,10.); #114935=VECTOR('',#393001,10.); #114936=VECTOR('',#393016,0.140000000000002); #114937=VECTOR('',#393021,10.); #114938=VECTOR('',#393022,10.); #114939=VECTOR('',#393023,10.); #114940=VECTOR('',#393024,10.); #114941=VECTOR('',#393031,10.); #114942=VECTOR('',#393038,10.); #114943=VECTOR('',#393041,10.); #114944=VECTOR('',#393042,10.); #114945=VECTOR('',#393043,10.); #114946=VECTOR('',#393046,10.); #114947=VECTOR('',#393047,10.); #114948=VECTOR('',#393048,10.); #114949=VECTOR('',#393051,10.); #114950=VECTOR('',#393052,10.); #114951=VECTOR('',#393053,10.); #114952=VECTOR('',#393056,10.); #114953=VECTOR('',#393057,10.); #114954=VECTOR('',#393058,10.); #114955=VECTOR('',#393061,10.); #114956=VECTOR('',#393062,10.); #114957=VECTOR('',#393063,10.); #114958=VECTOR('',#393066,10.); #114959=VECTOR('',#393067,10.); #114960=VECTOR('',#393068,10.); #114961=VECTOR('',#393071,10.); #114962=VECTOR('',#393072,10.); #114963=VECTOR('',#393073,10.); #114964=VECTOR('',#393088,0.139999999999998); #114965=VECTOR('',#393093,10.); #114966=VECTOR('',#393094,10.); #114967=VECTOR('',#393095,10.); #114968=VECTOR('',#393096,10.); #114969=VECTOR('',#393099,10.); #114970=VECTOR('',#393100,10.); #114971=VECTOR('',#393101,10.); #114972=VECTOR('',#393104,10.); #114973=VECTOR('',#393105,10.); #114974=VECTOR('',#393106,10.); #114975=VECTOR('',#393109,10.); #114976=VECTOR('',#393110,10.); #114977=VECTOR('',#393111,10.); #114978=VECTOR('',#393114,10.); #114979=VECTOR('',#393115,10.); #114980=VECTOR('',#393116,10.); #114981=VECTOR('',#393123,10.); #114982=VECTOR('',#393126,10.); #114983=VECTOR('',#393127,10.); #114984=VECTOR('',#393128,10.); #114985=VECTOR('',#393131,10.); #114986=VECTOR('',#393132,10.); #114987=VECTOR('',#393133,10.); #114988=VECTOR('',#393136,10.); #114989=VECTOR('',#393137,10.); #114990=VECTOR('',#393138,10.); #114991=VECTOR('',#393141,10.); #114992=VECTOR('',#393142,10.); #114993=VECTOR('',#393143,10.); #114994=VECTOR('',#393146,10.); #114995=VECTOR('',#393147,10.); #114996=VECTOR('',#393148,10.); #114997=VECTOR('',#393155,10.); #114998=VECTOR('',#393162,10.); #114999=VECTOR('',#393165,10.); #115000=VECTOR('',#393166,10.); #115001=VECTOR('',#393167,10.); #115002=VECTOR('',#393174,10.); #115003=VECTOR('',#393177,10.); #115004=VECTOR('',#393178,10.); #115005=VECTOR('',#393179,10.); #115006=VECTOR('',#393186,10.); #115007=VECTOR('',#393189,10.); #115008=VECTOR('',#393190,10.); #115009=VECTOR('',#393191,10.); #115010=VECTOR('',#393198,10.); #115011=VECTOR('',#393201,10.); #115012=VECTOR('',#393202,10.); #115013=VECTOR('',#393203,10.); #115014=VECTOR('',#393210,10.); #115015=VECTOR('',#393213,10.); #115016=VECTOR('',#393214,10.); #115017=VECTOR('',#393215,10.); #115018=VECTOR('',#393218,10.); #115019=VECTOR('',#393219,10.); #115020=VECTOR('',#393228,0.139999999999998); #115021=VECTOR('',#393233,10.); #115022=VECTOR('',#393234,10.); #115023=VECTOR('',#393235,10.); #115024=VECTOR('',#393236,10.); #115025=VECTOR('',#393239,10.); #115026=VECTOR('',#393240,10.); #115027=VECTOR('',#393241,10.); #115028=VECTOR('',#393244,10.); #115029=VECTOR('',#393245,10.); #115030=VECTOR('',#393246,10.); #115031=VECTOR('',#393249,10.); #115032=VECTOR('',#393250,10.); #115033=VECTOR('',#393251,10.); #115034=VECTOR('',#393254,10.); #115035=VECTOR('',#393255,10.); #115036=VECTOR('',#393256,10.); #115037=VECTOR('',#393259,10.); #115038=VECTOR('',#393260,10.); #115039=VECTOR('',#393261,10.); #115040=VECTOR('',#393264,10.); #115041=VECTOR('',#393265,10.); #115042=VECTOR('',#393266,10.); #115043=VECTOR('',#393269,10.); #115044=VECTOR('',#393270,10.); #115045=VECTOR('',#393271,10.); #115046=VECTOR('',#393278,10.); #115047=VECTOR('',#393285,10.); #115048=VECTOR('',#393288,10.); #115049=VECTOR('',#393289,10.); #115050=VECTOR('',#393290,10.); #115051=VECTOR('',#393297,10.); #115052=VECTOR('',#393300,10.); #115053=VECTOR('',#393301,10.); #115054=VECTOR('',#393302,10.); #115055=VECTOR('',#393309,10.); #115056=VECTOR('',#393312,10.); #115057=VECTOR('',#393313,10.); #115058=VECTOR('',#393314,10.); #115059=VECTOR('',#393321,10.); #115060=VECTOR('',#393324,10.); #115061=VECTOR('',#393325,10.); #115062=VECTOR('',#393334,0.140000000000002); #115063=VECTOR('',#393339,10.); #115064=VECTOR('',#393340,10.); #115065=VECTOR('',#393341,10.); #115066=VECTOR('',#393342,10.); #115067=VECTOR('',#393345,10.); #115068=VECTOR('',#393346,10.); #115069=VECTOR('',#393347,10.); #115070=VECTOR('',#393350,10.); #115071=VECTOR('',#393351,10.); #115072=VECTOR('',#393352,10.); #115073=VECTOR('',#393355,10.); #115074=VECTOR('',#393356,10.); #115075=VECTOR('',#393357,10.); #115076=VECTOR('',#393360,10.); #115077=VECTOR('',#393361,10.); #115078=VECTOR('',#393362,10.); #115079=VECTOR('',#393369,10.); #115080=VECTOR('',#393372,10.); #115081=VECTOR('',#393373,10.); #115082=VECTOR('',#393374,10.); #115083=VECTOR('',#393381,10.); #115084=VECTOR('',#393384,10.); #115085=VECTOR('',#393385,10.); #115086=VECTOR('',#393386,10.); #115087=VECTOR('',#393393,10.); #115088=VECTOR('',#393396,10.); #115089=VECTOR('',#393397,10.); #115090=VECTOR('',#393398,10.); #115091=VECTOR('',#393405,10.); #115092=VECTOR('',#393408,10.); #115093=VECTOR('',#393409,10.); #115094=VECTOR('',#393410,10.); #115095=VECTOR('',#393417,10.); #115096=VECTOR('',#393424,10.); #115097=VECTOR('',#393427,10.); #115098=VECTOR('',#393428,10.); #115099=VECTOR('',#393429,10.); #115100=VECTOR('',#393432,10.); #115101=VECTOR('',#393433,10.); #115102=VECTOR('',#393434,10.); #115103=VECTOR('',#393437,10.); #115104=VECTOR('',#393438,10.); #115105=VECTOR('',#393439,10.); #115106=VECTOR('',#393442,10.); #115107=VECTOR('',#393443,10.); #115108=VECTOR('',#393444,10.); #115109=VECTOR('',#393447,10.); #115110=VECTOR('',#393448,10.); #115111=VECTOR('',#393449,10.); #115112=VECTOR('',#393456,10.); #115113=VECTOR('',#393459,10.); #115114=VECTOR('',#393460,10.); #115115=VECTOR('',#393461,10.); #115116=VECTOR('',#393464,10.); #115117=VECTOR('',#393465,10.); #115118=VECTOR('',#393472,10.); #115119=VECTOR('',#393473,10.); #115120=VECTOR('',#393474,10.); #115121=VECTOR('',#393475,10.); #115122=VECTOR('',#393478,10.); #115123=VECTOR('',#393479,10.); #115124=VECTOR('',#393480,10.); #115125=VECTOR('',#393483,10.); #115126=VECTOR('',#393484,10.); #115127=VECTOR('',#393485,10.); #115128=VECTOR('',#393488,10.); #115129=VECTOR('',#393489,10.); #115130=VECTOR('',#393490,10.); #115131=VECTOR('',#393497,10.); #115132=VECTOR('',#393500,10.); #115133=VECTOR('',#393501,10.); #115134=VECTOR('',#393502,10.); #115135=VECTOR('',#393509,10.); #115136=VECTOR('',#393512,10.); #115137=VECTOR('',#393513,10.); #115138=VECTOR('',#393514,10.); #115139=VECTOR('',#393517,10.); #115140=VECTOR('',#393518,10.); #115141=VECTOR('',#393519,10.); #115142=VECTOR('',#393522,10.); #115143=VECTOR('',#393523,10.); #115144=VECTOR('',#393524,10.); #115145=VECTOR('',#393527,10.); #115146=VECTOR('',#393528,10.); #115147=VECTOR('',#393529,10.); #115148=VECTOR('',#393532,10.); #115149=VECTOR('',#393533,10.); #115150=VECTOR('',#393534,10.); #115151=VECTOR('',#393537,10.); #115152=VECTOR('',#393538,10.); #115153=VECTOR('',#393539,10.); #115154=VECTOR('',#393542,10.); #115155=VECTOR('',#393543,10.); #115156=VECTOR('',#393544,10.); #115157=VECTOR('',#393547,10.); #115158=VECTOR('',#393548,10.); #115159=VECTOR('',#393549,10.); #115160=VECTOR('',#393552,10.); #115161=VECTOR('',#393553,10.); #115162=VECTOR('',#393554,10.); #115163=VECTOR('',#393561,10.); #115164=VECTOR('',#393564,10.); #115165=VECTOR('',#393565,10.); #115166=VECTOR('',#393566,10.); #115167=VECTOR('',#393573,10.); #115168=VECTOR('',#393576,10.); #115169=VECTOR('',#393577,10.); #115170=VECTOR('',#393578,10.); #115171=VECTOR('',#393581,10.); #115172=VECTOR('',#393582,10.); #115173=VECTOR('',#393583,10.); #115174=VECTOR('',#393586,10.); #115175=VECTOR('',#393587,10.); #115176=VECTOR('',#393588,10.); #115177=VECTOR('',#393591,10.); #115178=VECTOR('',#393592,10.); #115179=VECTOR('',#393593,10.); #115180=VECTOR('',#393596,10.); #115181=VECTOR('',#393597,10.); #115182=VECTOR('',#393606,0.139999999999998); #115183=VECTOR('',#393611,10.); #115184=VECTOR('',#393612,10.); #115185=VECTOR('',#393613,10.); #115186=VECTOR('',#393614,10.); #115187=VECTOR('',#393617,10.); #115188=VECTOR('',#393618,10.); #115189=VECTOR('',#393619,10.); #115190=VECTOR('',#393622,10.); #115191=VECTOR('',#393623,10.); #115192=VECTOR('',#393624,10.); #115193=VECTOR('',#393627,10.); #115194=VECTOR('',#393628,10.); #115195=VECTOR('',#393637,0.139999999999998); #115196=VECTOR('',#393642,10.); #115197=VECTOR('',#393643,10.); #115198=VECTOR('',#393644,10.); #115199=VECTOR('',#393645,10.); #115200=VECTOR('',#393652,10.); #115201=VECTOR('',#393655,10.); #115202=VECTOR('',#393656,10.); #115203=VECTOR('',#393657,10.); #115204=VECTOR('',#393664,10.); #115205=VECTOR('',#393667,10.); #115206=VECTOR('',#393668,10.); #115207=VECTOR('',#393669,10.); #115208=VECTOR('',#393672,10.); #115209=VECTOR('',#393673,10.); #115210=VECTOR('',#393674,10.); #115211=VECTOR('',#393677,10.); #115212=VECTOR('',#393678,10.); #115213=VECTOR('',#393679,10.); #115214=VECTOR('',#393682,10.); #115215=VECTOR('',#393683,10.); #115216=VECTOR('',#393684,10.); #115217=VECTOR('',#393691,10.); #115218=VECTOR('',#393694,10.); #115219=VECTOR('',#393695,10.); #115220=VECTOR('',#393696,10.); #115221=VECTOR('',#393699,10.); #115222=VECTOR('',#393700,10.); #115223=VECTOR('',#393701,10.); #115224=VECTOR('',#393704,10.); #115225=VECTOR('',#393705,10.); #115226=VECTOR('',#393706,10.); #115227=VECTOR('',#393709,10.); #115228=VECTOR('',#393710,10.); #115229=VECTOR('',#393711,10.); #115230=VECTOR('',#393714,10.); #115231=VECTOR('',#393715,10.); #115232=VECTOR('',#393716,10.); #115233=VECTOR('',#393719,10.); #115234=VECTOR('',#393720,10.); #115235=VECTOR('',#393721,10.); #115236=VECTOR('',#393724,10.); #115237=VECTOR('',#393725,10.); #115238=VECTOR('',#393726,10.); #115239=VECTOR('',#393733,10.); #115240=VECTOR('',#393736,10.); #115241=VECTOR('',#393737,10.); #115242=VECTOR('',#393738,10.); #115243=VECTOR('',#393745,10.); #115244=VECTOR('',#393748,10.); #115245=VECTOR('',#393749,10.); #115246=VECTOR('',#393750,10.); #115247=VECTOR('',#393757,10.); #115248=VECTOR('',#393760,10.); #115249=VECTOR('',#393761,10.); #115250=VECTOR('',#393762,10.); #115251=VECTOR('',#393765,10.); #115252=VECTOR('',#393766,10.); #115253=VECTOR('',#393767,10.); #115254=VECTOR('',#393782,0.139999999999998); #115255=VECTOR('',#393787,10.); #115256=VECTOR('',#393788,10.); #115257=VECTOR('',#393789,10.); #115258=VECTOR('',#393790,10.); #115259=VECTOR('',#393793,10.); #115260=VECTOR('',#393794,10.); #115261=VECTOR('',#393795,10.); #115262=VECTOR('',#393798,10.); #115263=VECTOR('',#393799,10.); #115264=VECTOR('',#393800,10.); #115265=VECTOR('',#393803,10.); #115266=VECTOR('',#393804,10.); #115267=VECTOR('',#393805,10.); #115268=VECTOR('',#393808,10.); #115269=VECTOR('',#393809,10.); #115270=VECTOR('',#393810,10.); #115271=VECTOR('',#393813,10.); #115272=VECTOR('',#393814,10.); #115273=VECTOR('',#393815,10.); #115274=VECTOR('',#393818,10.); #115275=VECTOR('',#393819,10.); #115276=VECTOR('',#393820,10.); #115277=VECTOR('',#393827,10.); #115278=VECTOR('',#393830,10.); #115279=VECTOR('',#393831,10.); #115280=VECTOR('',#393832,10.); #115281=VECTOR('',#393839,10.); #115282=VECTOR('',#393846,10.); #115283=VECTOR('',#393849,10.); #115284=VECTOR('',#393850,10.); #115285=VECTOR('',#393851,10.); #115286=VECTOR('',#393854,10.); #115287=VECTOR('',#393855,10.); #115288=VECTOR('',#393856,10.); #115289=VECTOR('',#393863,10.); #115290=VECTOR('',#393866,10.); #115291=VECTOR('',#393867,10.); #115292=VECTOR('',#393876,0.140000000000002); #115293=VECTOR('',#393881,10.); #115294=VECTOR('',#393882,10.); #115295=VECTOR('',#393883,10.); #115296=VECTOR('',#393884,10.); #115297=VECTOR('',#393887,10.); #115298=VECTOR('',#393888,10.); #115299=VECTOR('',#393889,10.); #115300=VECTOR('',#393892,10.); #115301=VECTOR('',#393893,10.); #115302=VECTOR('',#393894,10.); #115303=VECTOR('',#393897,10.); #115304=VECTOR('',#393898,10.); #115305=VECTOR('',#393899,10.); #115306=VECTOR('',#393902,10.); #115307=VECTOR('',#393903,10.); #115308=VECTOR('',#393904,10.); #115309=VECTOR('',#393907,10.); #115310=VECTOR('',#393908,10.); #115311=VECTOR('',#393909,10.); #115312=VECTOR('',#393912,10.); #115313=VECTOR('',#393913,10.); #115314=VECTOR('',#393914,10.); #115315=VECTOR('',#393917,10.); #115316=VECTOR('',#393918,10.); #115317=VECTOR('',#393919,10.); #115318=VECTOR('',#393922,10.); #115319=VECTOR('',#393923,10.); #115320=VECTOR('',#393924,10.); #115321=VECTOR('',#393927,10.); #115322=VECTOR('',#393928,10.); #115323=VECTOR('',#393929,10.); #115324=VECTOR('',#393936,10.); #115325=VECTOR('',#393939,10.); #115326=VECTOR('',#393940,10.); #115327=VECTOR('',#393941,10.); #115328=VECTOR('',#393948,10.); #115329=VECTOR('',#393951,10.); #115330=VECTOR('',#393952,10.); #115331=VECTOR('',#393953,10.); #115332=VECTOR('',#393956,10.); #115333=VECTOR('',#393957,10.); #115334=VECTOR('',#393958,10.); #115335=VECTOR('',#393961,10.); #115336=VECTOR('',#393962,10.); #115337=VECTOR('',#393963,10.); #115338=VECTOR('',#393970,10.); #115339=VECTOR('',#393973,10.); #115340=VECTOR('',#393974,10.); #115341=VECTOR('',#393975,10.); #115342=VECTOR('',#393982,10.); #115343=VECTOR('',#393985,10.); #115344=VECTOR('',#393986,10.); #115345=VECTOR('',#393987,10.); #115346=VECTOR('',#393990,10.); #115347=VECTOR('',#393991,10.); #115348=VECTOR('',#393992,10.); #115349=VECTOR('',#393995,10.); #115350=VECTOR('',#393996,10.); #115351=VECTOR('',#393997,10.); #115352=VECTOR('',#394004,10.); #115353=VECTOR('',#394011,10.); #115354=VECTOR('',#394014,10.); #115355=VECTOR('',#394015,10.); #115356=VECTOR('',#394016,10.); #115357=VECTOR('',#394023,10.); #115358=VECTOR('',#394026,10.); #115359=VECTOR('',#394027,10.); #115360=VECTOR('',#394028,10.); #115361=VECTOR('',#394035,10.); #115362=VECTOR('',#394038,10.); #115363=VECTOR('',#394039,10.); #115364=VECTOR('',#394040,10.); #115365=VECTOR('',#394043,10.); #115366=VECTOR('',#394044,10.); #115367=VECTOR('',#394045,10.); #115368=VECTOR('',#394048,10.); #115369=VECTOR('',#394049,10.); #115370=VECTOR('',#394050,10.); #115371=VECTOR('',#394057,10.); #115372=VECTOR('',#394060,10.); #115373=VECTOR('',#394061,10.); #115374=VECTOR('',#394062,10.); #115375=VECTOR('',#394069,10.); #115376=VECTOR('',#394072,10.); #115377=VECTOR('',#394073,10.); #115378=VECTOR('',#394074,10.); #115379=VECTOR('',#394077,10.); #115380=VECTOR('',#394078,10.); #115381=VECTOR('',#394079,10.); #115382=VECTOR('',#394082,10.); #115383=VECTOR('',#394083,10.); #115384=VECTOR('',#394084,10.); #115385=VECTOR('',#394087,10.); #115386=VECTOR('',#394088,10.); #115387=VECTOR('',#394089,10.); #115388=VECTOR('',#394092,10.); #115389=VECTOR('',#394093,10.); #115390=VECTOR('',#394094,10.); #115391=VECTOR('',#394097,10.); #115392=VECTOR('',#394098,10.); #115393=VECTOR('',#394099,10.); #115394=VECTOR('',#394102,10.); #115395=VECTOR('',#394103,10.); #115396=VECTOR('',#394104,10.); #115397=VECTOR('',#394107,10.); #115398=VECTOR('',#394108,10.); #115399=VECTOR('',#394109,10.); #115400=VECTOR('',#394112,10.); #115401=VECTOR('',#394113,10.); #115402=VECTOR('',#394122,0.140000000000002); #115403=VECTOR('',#394127,10.); #115404=VECTOR('',#394128,10.); #115405=VECTOR('',#394129,10.); #115406=VECTOR('',#394130,10.); #115407=VECTOR('',#394133,10.); #115408=VECTOR('',#394134,10.); #115409=VECTOR('',#394135,10.); #115410=VECTOR('',#394138,10.); #115411=VECTOR('',#394139,10.); #115412=VECTOR('',#394140,10.); #115413=VECTOR('',#394143,10.); #115414=VECTOR('',#394144,10.); #115415=VECTOR('',#394145,10.); #115416=VECTOR('',#394148,10.); #115417=VECTOR('',#394149,10.); #115418=VECTOR('',#394150,10.); #115419=VECTOR('',#394157,10.); #115420=VECTOR('',#394160,10.); #115421=VECTOR('',#394161,10.); #115422=VECTOR('',#394162,10.); #115423=VECTOR('',#394165,10.); #115424=VECTOR('',#394166,10.); #115425=VECTOR('',#394167,10.); #115426=VECTOR('',#394174,10.); #115427=VECTOR('',#394177,10.); #115428=VECTOR('',#394178,10.); #115429=VECTOR('',#394179,10.); #115430=VECTOR('',#394182,10.); #115431=VECTOR('',#394183,10.); #115432=VECTOR('',#394184,10.); #115433=VECTOR('',#394191,10.); #115434=VECTOR('',#394198,10.); #115435=VECTOR('',#394201,10.); #115436=VECTOR('',#394202,10.); #115437=VECTOR('',#394203,10.); #115438=VECTOR('',#394210,10.); #115439=VECTOR('',#394213,10.); #115440=VECTOR('',#394214,10.); #115441=VECTOR('',#394215,10.); #115442=VECTOR('',#394218,10.); #115443=VECTOR('',#394219,10.); #115444=VECTOR('',#394220,10.); #115445=VECTOR('',#394227,10.); #115446=VECTOR('',#394230,10.); #115447=VECTOR('',#394231,10.); #115448=VECTOR('',#394232,10.); #115449=VECTOR('',#394235,10.); #115450=VECTOR('',#394236,10.); #115451=VECTOR('',#394237,10.); #115452=VECTOR('',#394240,10.); #115453=VECTOR('',#394241,10.); #115454=VECTOR('',#394250,0.139999999999998); #115455=VECTOR('',#394255,10.); #115456=VECTOR('',#394256,10.); #115457=VECTOR('',#394257,10.); #115458=VECTOR('',#394258,10.); #115459=VECTOR('',#394261,10.); #115460=VECTOR('',#394262,10.); #115461=VECTOR('',#394263,10.); #115462=VECTOR('',#394266,10.); #115463=VECTOR('',#394267,10.); #115464=VECTOR('',#394268,10.); #115465=VECTOR('',#394271,10.); #115466=VECTOR('',#394272,10.); #115467=VECTOR('',#394281,0.139999999999998); #115468=VECTOR('',#394286,10.); #115469=VECTOR('',#394287,10.); #115470=VECTOR('',#394288,10.); #115471=VECTOR('',#394289,10.); #115472=VECTOR('',#394292,10.); #115473=VECTOR('',#394293,10.); #115474=VECTOR('',#394294,10.); #115475=VECTOR('',#394297,10.); #115476=VECTOR('',#394298,10.); #115477=VECTOR('',#394299,10.); #115478=VECTOR('',#394302,10.); #115479=VECTOR('',#394303,10.); #115480=VECTOR('',#394312,0.139999999999998); #115481=VECTOR('',#394317,10.); #115482=VECTOR('',#394318,10.); #115483=VECTOR('',#394319,10.); #115484=VECTOR('',#394320,10.); #115485=VECTOR('',#394327,10.); #115486=VECTOR('',#394330,10.); #115487=VECTOR('',#394331,10.); #115488=VECTOR('',#394332,10.); #115489=VECTOR('',#394339,10.); #115490=VECTOR('',#394342,10.); #115491=VECTOR('',#394343,10.); #115492=VECTOR('',#394344,10.); #115493=VECTOR('',#394347,10.); #115494=VECTOR('',#394348,10.); #115495=VECTOR('',#394349,10.); #115496=VECTOR('',#394352,10.); #115497=VECTOR('',#394353,10.); #115498=VECTOR('',#394354,10.); #115499=VECTOR('',#394357,10.); #115500=VECTOR('',#394358,10.); #115501=VECTOR('',#394359,10.); #115502=VECTOR('',#394362,10.); #115503=VECTOR('',#394363,10.); #115504=VECTOR('',#394364,10.); #115505=VECTOR('',#394367,10.); #115506=VECTOR('',#394368,10.); #115507=VECTOR('',#394369,10.); #115508=VECTOR('',#394372,10.); #115509=VECTOR('',#394373,10.); #115510=VECTOR('',#394374,10.); #115511=VECTOR('',#394377,10.); #115512=VECTOR('',#394378,10.); #115513=VECTOR('',#394379,10.); #115514=VECTOR('',#394382,10.); #115515=VECTOR('',#394383,10.); #115516=VECTOR('',#394384,10.); #115517=VECTOR('',#394399,0.465); #115518=VECTOR('',#394404,10.); #115519=VECTOR('',#394405,10.); #115520=VECTOR('',#394406,10.); #115521=VECTOR('',#394407,10.); #115522=VECTOR('',#394414,10.); #115523=VECTOR('',#394429,0.139999999999998); #115524=VECTOR('',#394436,0.465); #115525=VECTOR('',#394441,10.); #115526=VECTOR('',#394442,10.); #115527=VECTOR('',#394443,10.); #115528=VECTOR('',#394444,10.); #115529=VECTOR('',#394451,10.); #115530=VECTOR('',#394454,10.); #115531=VECTOR('',#394455,10.); #115532=VECTOR('',#394456,10.); #115533=VECTOR('',#394463,10.); #115534=VECTOR('',#394470,10.); #115535=VECTOR('',#394473,10.); #115536=VECTOR('',#394474,10.); #115537=VECTOR('',#394475,10.); #115538=VECTOR('',#394490,0.465); #115539=VECTOR('',#394495,10.); #115540=VECTOR('',#394496,10.); #115541=VECTOR('',#394497,10.); #115542=VECTOR('',#394498,10.); #115543=VECTOR('',#394505,10.); #115544=VECTOR('',#394520,0.564999999999998); #115545=VECTOR('',#394525,10.); #115546=VECTOR('',#394526,10.); #115547=VECTOR('',#394527,10.); #115548=VECTOR('',#394528,10.); #115549=VECTOR('',#394531,10.); #115550=VECTOR('',#394532,10.); #115551=VECTOR('',#394533,10.); #115552=VECTOR('',#394536,10.); #115553=VECTOR('',#394537,10.); #115554=VECTOR('',#394538,10.); #115555=VECTOR('',#394541,10.); #115556=VECTOR('',#394542,10.); #115557=VECTOR('',#394551,0.139999999999998); #115558=VECTOR('',#394556,10.); #115559=VECTOR('',#394557,10.); #115560=VECTOR('',#394558,10.); #115561=VECTOR('',#394559,10.); #115562=VECTOR('',#394562,10.); #115563=VECTOR('',#394563,10.); #115564=VECTOR('',#394564,10.); #115565=VECTOR('',#394567,10.); #115566=VECTOR('',#394568,10.); #115567=VECTOR('',#394569,10.); #115568=VECTOR('',#394572,10.); #115569=VECTOR('',#394573,10.); #115570=VECTOR('',#394574,10.); #115571=VECTOR('',#394577,10.); #115572=VECTOR('',#394578,10.); #115573=VECTOR('',#394579,10.); #115574=VECTOR('',#394582,10.); #115575=VECTOR('',#394583,10.); #115576=VECTOR('',#394584,10.); #115577=VECTOR('',#394587,10.); #115578=VECTOR('',#394588,10.); #115579=VECTOR('',#394589,10.); #115580=VECTOR('',#394592,10.); #115581=VECTOR('',#394593,10.); #115582=VECTOR('',#394594,10.); #115583=VECTOR('',#394597,10.); #115584=VECTOR('',#394598,10.); #115585=VECTOR('',#394599,10.); #115586=VECTOR('',#394602,10.); #115587=VECTOR('',#394603,10.); #115588=VECTOR('',#394604,10.); #115589=VECTOR('',#394607,10.); #115590=VECTOR('',#394608,10.); #115591=VECTOR('',#394609,10.); #115592=VECTOR('',#394612,10.); #115593=VECTOR('',#394613,10.); #115594=VECTOR('',#394614,10.); #115595=VECTOR('',#394621,10.); #115596=VECTOR('',#394624,10.); #115597=VECTOR('',#394625,10.); #115598=VECTOR('',#394626,10.); #115599=VECTOR('',#394633,10.); #115600=VECTOR('',#394636,10.); #115601=VECTOR('',#394637,10.); #115602=VECTOR('',#394638,10.); #115603=VECTOR('',#394641,10.); #115604=VECTOR('',#394642,10.); #115605=VECTOR('',#394643,10.); #115606=VECTOR('',#394646,10.); #115607=VECTOR('',#394647,10.); #115608=VECTOR('',#394648,10.); #115609=VECTOR('',#394655,10.); #115610=VECTOR('',#394658,10.); #115611=VECTOR('',#394659,10.); #115612=VECTOR('',#394660,10.); #115613=VECTOR('',#394667,10.); #115614=VECTOR('',#394670,10.); #115615=VECTOR('',#394671,10.); #115616=VECTOR('',#394672,10.); #115617=VECTOR('',#394679,10.); #115618=VECTOR('',#394686,10.); #115619=VECTOR('',#394689,10.); #115620=VECTOR('',#394690,10.); #115621=VECTOR('',#394691,10.); #115622=VECTOR('',#394694,10.); #115623=VECTOR('',#394695,10.); #115624=VECTOR('',#394696,10.); #115625=VECTOR('',#394699,10.); #115626=VECTOR('',#394700,10.); #115627=VECTOR('',#394701,10.); #115628=VECTOR('',#394708,10.); #115629=VECTOR('',#394711,10.); #115630=VECTOR('',#394712,10.); #115631=VECTOR('',#394713,10.); #115632=VECTOR('',#394720,10.); #115633=VECTOR('',#394723,10.); #115634=VECTOR('',#394724,10.); #115635=VECTOR('',#394725,10.); #115636=VECTOR('',#394728,10.); #115637=VECTOR('',#394729,10.); #115638=VECTOR('',#394730,10.); #115639=VECTOR('',#394733,10.); #115640=VECTOR('',#394734,10.); #115641=VECTOR('',#394735,10.); #115642=VECTOR('',#394742,10.); #115643=VECTOR('',#394745,10.); #115644=VECTOR('',#394746,10.); #115645=VECTOR('',#394747,10.); #115646=VECTOR('',#394754,10.); #115647=VECTOR('',#394757,10.); #115648=VECTOR('',#394758,10.); #115649=VECTOR('',#394759,10.); #115650=VECTOR('',#394762,10.); #115651=VECTOR('',#394763,10.); #115652=VECTOR('',#394764,10.); #115653=VECTOR('',#394767,10.); #115654=VECTOR('',#394768,10.); #115655=VECTOR('',#394769,10.); #115656=VECTOR('',#394772,10.); #115657=VECTOR('',#394773,10.); #115658=VECTOR('',#394774,10.); #115659=VECTOR('',#394777,10.); #115660=VECTOR('',#394778,10.); #115661=VECTOR('',#394779,10.); #115662=VECTOR('',#394782,10.); #115663=VECTOR('',#394783,10.); #115664=VECTOR('',#394784,10.); #115665=VECTOR('',#394787,10.); #115666=VECTOR('',#394788,10.); #115667=VECTOR('',#394797,0.139999999999998); #115668=VECTOR('',#394802,10.); #115669=VECTOR('',#394803,10.); #115670=VECTOR('',#394804,10.); #115671=VECTOR('',#394805,10.); #115672=VECTOR('',#394808,10.); #115673=VECTOR('',#394809,10.); #115674=VECTOR('',#394810,10.); #115675=VECTOR('',#394813,10.); #115676=VECTOR('',#394814,10.); #115677=VECTOR('',#394815,10.); #115678=VECTOR('',#394818,10.); #115679=VECTOR('',#394819,10.); #115680=VECTOR('',#394820,10.); #115681=VECTOR('',#394827,10.); #115682=VECTOR('',#394830,10.); #115683=VECTOR('',#394831,10.); #115684=VECTOR('',#394832,10.); #115685=VECTOR('',#394839,10.); #115686=VECTOR('',#394842,10.); #115687=VECTOR('',#394843,10.); #115688=VECTOR('',#394844,10.); #115689=VECTOR('',#394847,10.); #115690=VECTOR('',#394848,10.); #115691=VECTOR('',#394849,10.); #115692=VECTOR('',#394856,10.); #115693=VECTOR('',#394859,10.); #115694=VECTOR('',#394860,10.); #115695=VECTOR('',#394861,10.); #115696=VECTOR('',#394868,10.); #115697=VECTOR('',#394875,10.); #115698=VECTOR('',#394878,10.); #115699=VECTOR('',#394879,10.); #115700=VECTOR('',#394880,10.); #115701=VECTOR('',#394883,10.); #115702=VECTOR('',#394884,10.); #115703=VECTOR('',#394885,10.); #115704=VECTOR('',#394892,10.); #115705=VECTOR('',#394895,10.); #115706=VECTOR('',#394896,10.); #115707=VECTOR('',#394897,10.); #115708=VECTOR('',#394900,10.); #115709=VECTOR('',#394901,10.); #115710=VECTOR('',#394902,10.); #115711=VECTOR('',#394905,10.); #115712=VECTOR('',#394906,10.); #115713=VECTOR('',#394907,10.); #115714=VECTOR('',#394910,10.); #115715=VECTOR('',#394911,10.); #115716=VECTOR('',#394912,10.); #115717=VECTOR('',#394915,10.); #115718=VECTOR('',#394916,10.); #115719=VECTOR('',#394923,10.); #115720=VECTOR('',#394924,10.); #115721=VECTOR('',#394925,10.); #115722=VECTOR('',#394926,10.); #115723=VECTOR('',#394929,10.); #115724=VECTOR('',#394930,10.); #115725=VECTOR('',#394931,10.); #115726=VECTOR('',#394934,10.); #115727=VECTOR('',#394935,10.); #115728=VECTOR('',#394936,10.); #115729=VECTOR('',#394939,10.); #115730=VECTOR('',#394940,10.); #115731=VECTOR('',#394941,10.); #115732=VECTOR('',#394944,10.); #115733=VECTOR('',#394945,10.); #115734=VECTOR('',#394946,10.); #115735=VECTOR('',#394949,10.); #115736=VECTOR('',#394950,10.); #115737=VECTOR('',#394951,10.); #115738=VECTOR('',#394954,10.); #115739=VECTOR('',#394955,10.); #115740=VECTOR('',#394956,10.); #115741=VECTOR('',#394959,10.); #115742=VECTOR('',#394960,10.); #115743=VECTOR('',#394961,10.); #115744=VECTOR('',#394964,10.); #115745=VECTOR('',#394965,10.); #115746=VECTOR('',#394966,10.); #115747=VECTOR('',#394969,10.); #115748=VECTOR('',#394970,10.); #115749=VECTOR('',#394971,10.); #115750=VECTOR('',#394974,10.); #115751=VECTOR('',#394975,10.); #115752=VECTOR('',#394976,10.); #115753=VECTOR('',#394979,10.); #115754=VECTOR('',#394980,10.); #115755=VECTOR('',#394987,10.); #115756=VECTOR('',#394988,10.); #115757=VECTOR('',#394989,10.); #115758=VECTOR('',#394990,10.); #115759=VECTOR('',#394993,10.); #115760=VECTOR('',#394994,10.); #115761=VECTOR('',#394995,10.); #115762=VECTOR('',#394998,10.); #115763=VECTOR('',#394999,10.); #115764=VECTOR('',#395000,10.); #115765=VECTOR('',#395003,10.); #115766=VECTOR('',#395004,10.); #115767=VECTOR('',#395005,10.); #115768=VECTOR('',#395008,10.); #115769=VECTOR('',#395009,10.); #115770=VECTOR('',#395010,10.); #115771=VECTOR('',#395013,10.); #115772=VECTOR('',#395014,10.); #115773=VECTOR('',#395015,10.); #115774=VECTOR('',#395018,10.); #115775=VECTOR('',#395019,10.); #115776=VECTOR('',#395020,10.); #115777=VECTOR('',#395023,10.); #115778=VECTOR('',#395024,10.); #115779=VECTOR('',#395025,10.); #115780=VECTOR('',#395028,10.); #115781=VECTOR('',#395029,10.); #115782=VECTOR('',#395030,10.); #115783=VECTOR('',#395033,10.); #115784=VECTOR('',#395034,10.); #115785=VECTOR('',#395035,10.); #115786=VECTOR('',#395038,10.); #115787=VECTOR('',#395039,10.); #115788=VECTOR('',#395040,10.); #115789=VECTOR('',#395043,10.); #115790=VECTOR('',#395044,10.); #115791=VECTOR('',#395053,0.140000000000002); #115792=VECTOR('',#395058,10.); #115793=VECTOR('',#395059,10.); #115794=VECTOR('',#395060,10.); #115795=VECTOR('',#395061,10.); #115796=VECTOR('',#395064,10.); #115797=VECTOR('',#395065,10.); #115798=VECTOR('',#395066,10.); #115799=VECTOR('',#395069,10.); #115800=VECTOR('',#395070,10.); #115801=VECTOR('',#395071,10.); #115802=VECTOR('',#395074,10.); #115803=VECTOR('',#395075,10.); #115804=VECTOR('',#395076,10.); #115805=VECTOR('',#395083,10.); #115806=VECTOR('',#395086,10.); #115807=VECTOR('',#395087,10.); #115808=VECTOR('',#395088,10.); #115809=VECTOR('',#395095,10.); #115810=VECTOR('',#395098,10.); #115811=VECTOR('',#395099,10.); #115812=VECTOR('',#395100,10.); #115813=VECTOR('',#395107,10.); #115814=VECTOR('',#395110,10.); #115815=VECTOR('',#395111,10.); #115816=VECTOR('',#395112,10.); #115817=VECTOR('',#395119,10.); #115818=VECTOR('',#395126,10.); #115819=VECTOR('',#395129,10.); #115820=VECTOR('',#395130,10.); #115821=VECTOR('',#395131,10.); #115822=VECTOR('',#395134,10.); #115823=VECTOR('',#395135,10.); #115824=VECTOR('',#395136,10.); #115825=VECTOR('',#395139,10.); #115826=VECTOR('',#395140,10.); #115827=VECTOR('',#395141,10.); #115828=VECTOR('',#395144,10.); #115829=VECTOR('',#395145,10.); #115830=VECTOR('',#395146,10.); #115831=VECTOR('',#395149,10.); #115832=VECTOR('',#395150,10.); #115833=VECTOR('',#395159,0.139999999999998); #115834=VECTOR('',#395164,10.); #115835=VECTOR('',#395165,10.); #115836=VECTOR('',#395166,10.); #115837=VECTOR('',#395167,10.); #115838=VECTOR('',#395174,10.); #115839=VECTOR('',#395177,10.); #115840=VECTOR('',#395178,10.); #115841=VECTOR('',#395179,10.); #115842=VECTOR('',#395186,10.); #115843=VECTOR('',#395189,10.); #115844=VECTOR('',#395190,10.); #115845=VECTOR('',#395191,10.); #115846=VECTOR('',#395198,10.); #115847=VECTOR('',#395201,10.); #115848=VECTOR('',#395202,10.); #115849=VECTOR('',#395203,10.); #115850=VECTOR('',#395206,10.); #115851=VECTOR('',#395207,10.); #115852=VECTOR('',#395208,10.); #115853=VECTOR('',#395211,10.); #115854=VECTOR('',#395212,10.); #115855=VECTOR('',#395213,10.); #115856=VECTOR('',#395216,10.); #115857=VECTOR('',#395217,10.); #115858=VECTOR('',#395218,10.); #115859=VECTOR('',#395221,10.); #115860=VECTOR('',#395222,10.); #115861=VECTOR('',#395223,10.); #115862=VECTOR('',#395226,10.); #115863=VECTOR('',#395227,10.); #115864=VECTOR('',#395228,10.); #115865=VECTOR('',#395231,10.); #115866=VECTOR('',#395232,10.); #115867=VECTOR('',#395233,10.); #115868=VECTOR('',#395236,10.); #115869=VECTOR('',#395237,10.); #115870=VECTOR('',#395238,10.); #115871=VECTOR('',#395241,10.); #115872=VECTOR('',#395242,10.); #115873=VECTOR('',#395243,10.); #115874=VECTOR('',#395250,10.); #115875=VECTOR('',#395253,10.); #115876=VECTOR('',#395254,10.); #115877=VECTOR('',#395255,10.); #115878=VECTOR('',#395262,10.); #115879=VECTOR('',#395265,10.); #115880=VECTOR('',#395266,10.); #115881=VECTOR('',#395267,10.); #115882=VECTOR('',#395270,10.); #115883=VECTOR('',#395271,10.); #115884=VECTOR('',#395272,10.); #115885=VECTOR('',#395275,10.); #115886=VECTOR('',#395276,10.); #115887=VECTOR('',#395277,10.); #115888=VECTOR('',#395292,0.139999999999998); #115889=VECTOR('',#395297,10.); #115890=VECTOR('',#395298,10.); #115891=VECTOR('',#395299,10.); #115892=VECTOR('',#395300,10.); #115893=VECTOR('',#395307,10.); #115894=VECTOR('',#395314,10.); #115895=VECTOR('',#395317,10.); #115896=VECTOR('',#395318,10.); #115897=VECTOR('',#395319,10.); #115898=VECTOR('',#395322,10.); #115899=VECTOR('',#395323,10.); #115900=VECTOR('',#395324,10.); #115901=VECTOR('',#395327,10.); #115902=VECTOR('',#395328,10.); #115903=VECTOR('',#395329,10.); #115904=VECTOR('',#395332,10.); #115905=VECTOR('',#395333,10.); #115906=VECTOR('',#395334,10.); #115907=VECTOR('',#395337,10.); #115908=VECTOR('',#395338,10.); #115909=VECTOR('',#395339,10.); #115910=VECTOR('',#395342,10.); #115911=VECTOR('',#395343,10.); #115912=VECTOR('',#395344,10.); #115913=VECTOR('',#395351,10.); #115914=VECTOR('',#395354,10.); #115915=VECTOR('',#395355,10.); #115916=VECTOR('',#395356,10.); #115917=VECTOR('',#395369,10.); #115918=VECTOR('',#395370,10.); #115919=VECTOR('',#395371,10.); #115920=VECTOR('',#395372,10.); #115921=VECTOR('',#395375,10.); #115922=VECTOR('',#395376,10.); #115923=VECTOR('',#395377,10.); #115924=VECTOR('',#395380,10.); #115925=VECTOR('',#395381,10.); #115926=VECTOR('',#395382,10.); #115927=VECTOR('',#395385,10.); #115928=VECTOR('',#395386,10.); #115929=VECTOR('',#395387,10.); #115930=VECTOR('',#395394,10.); #115931=VECTOR('',#395397,10.); #115932=VECTOR('',#395398,10.); #115933=VECTOR('',#395399,10.); #115934=VECTOR('',#395406,10.); #115935=VECTOR('',#395409,10.); #115936=VECTOR('',#395410,10.); #115937=VECTOR('',#395411,10.); #115938=VECTOR('',#395414,10.); #115939=VECTOR('',#395415,10.); #115940=VECTOR('',#395416,10.); #115941=VECTOR('',#395419,10.); #115942=VECTOR('',#395420,10.); #115943=VECTOR('',#395421,10.); #115944=VECTOR('',#395428,10.); #115945=VECTOR('',#395431,10.); #115946=VECTOR('',#395432,10.); #115947=VECTOR('',#395433,10.); #115948=VECTOR('',#395440,10.); #115949=VECTOR('',#395443,10.); #115950=VECTOR('',#395444,10.); #115951=VECTOR('',#395445,10.); #115952=VECTOR('',#395448,10.); #115953=VECTOR('',#395449,10.); #115954=VECTOR('',#395450,10.); #115955=VECTOR('',#395453,10.); #115956=VECTOR('',#395454,10.); #115957=VECTOR('',#395455,10.); #115958=VECTOR('',#395458,10.); #115959=VECTOR('',#395459,10.); #115960=VECTOR('',#395460,10.); #115961=VECTOR('',#395463,10.); #115962=VECTOR('',#395464,10.); #115963=VECTOR('',#395465,10.); #115964=VECTOR('',#395468,10.); #115965=VECTOR('',#395469,10.); #115966=VECTOR('',#395470,10.); #115967=VECTOR('',#395473,10.); #115968=VECTOR('',#395474,10.); #115969=VECTOR('',#395475,10.); #115970=VECTOR('',#395478,10.); #115971=VECTOR('',#395479,10.); #115972=VECTOR('',#395480,10.); #115973=VECTOR('',#395483,10.); #115974=VECTOR('',#395484,10.); #115975=VECTOR('',#395485,10.); #115976=VECTOR('',#395492,10.); #115977=VECTOR('',#395495,10.); #115978=VECTOR('',#395496,10.); #115979=VECTOR('',#395497,10.); #115980=VECTOR('',#395504,10.); #115981=VECTOR('',#395507,10.); #115982=VECTOR('',#395508,10.); #115983=VECTOR('',#395509,10.); #115984=VECTOR('',#395512,10.); #115985=VECTOR('',#395513,10.); #115986=VECTOR('',#395514,10.); #115987=VECTOR('',#395517,10.); #115988=VECTOR('',#395518,10.); #115989=VECTOR('',#395519,10.); #115990=VECTOR('',#395526,10.); #115991=VECTOR('',#395529,10.); #115992=VECTOR('',#395530,10.); #115993=VECTOR('',#395531,10.); #115994=VECTOR('',#395538,10.); #115995=VECTOR('',#395541,10.); #115996=VECTOR('',#395542,10.); #115997=VECTOR('',#395543,10.); #115998=VECTOR('',#395546,10.); #115999=VECTOR('',#395547,10.); #116000=VECTOR('',#395548,10.); #116001=VECTOR('',#395551,10.); #116002=VECTOR('',#395552,10.); #116003=VECTOR('',#395553,10.); #116004=VECTOR('',#395556,10.); #116005=VECTOR('',#395557,10.); #116006=VECTOR('',#395558,10.); #116007=VECTOR('',#395561,10.); #116008=VECTOR('',#395562,10.); #116009=VECTOR('',#395571,0.139999999999998); #116010=VECTOR('',#395576,10.); #116011=VECTOR('',#395577,10.); #116012=VECTOR('',#395578,10.); #116013=VECTOR('',#395579,10.); #116014=VECTOR('',#395586,10.); #116015=VECTOR('',#395589,10.); #116016=VECTOR('',#395590,10.); #116017=VECTOR('',#395591,10.); #116018=VECTOR('',#395594,10.); #116019=VECTOR('',#395595,10.); #116020=VECTOR('',#395596,10.); #116021=VECTOR('',#395599,10.); #116022=VECTOR('',#395600,10.); #116023=VECTOR('',#395601,10.); #116024=VECTOR('',#395604,10.); #116025=VECTOR('',#395605,10.); #116026=VECTOR('',#395606,10.); #116027=VECTOR('',#395609,10.); #116028=VECTOR('',#395610,10.); #116029=VECTOR('',#395611,10.); #116030=VECTOR('',#395614,10.); #116031=VECTOR('',#395615,10.); #116032=VECTOR('',#395616,10.); #116033=VECTOR('',#395619,10.); #116034=VECTOR('',#395620,10.); #116035=VECTOR('',#395621,10.); #116036=VECTOR('',#395636,0.139999999999998); #116037=VECTOR('',#395643,0.139999999999998); #116038=VECTOR('',#395648,10.); #116039=VECTOR('',#395649,10.); #116040=VECTOR('',#395650,10.); #116041=VECTOR('',#395651,10.); #116042=VECTOR('',#395654,10.); #116043=VECTOR('',#395655,10.); #116044=VECTOR('',#395656,10.); #116045=VECTOR('',#395663,10.); #116046=VECTOR('',#395670,10.); #116047=VECTOR('',#395673,10.); #116048=VECTOR('',#395674,10.); #116049=VECTOR('',#395675,10.); #116050=VECTOR('',#395678,10.); #116051=VECTOR('',#395679,10.); #116052=VECTOR('',#395680,10.); #116053=VECTOR('',#395687,10.); #116054=VECTOR('',#395694,10.); #116055=VECTOR('',#395697,10.); #116056=VECTOR('',#395698,10.); #116057=VECTOR('',#395699,10.); #116058=VECTOR('',#395702,10.); #116059=VECTOR('',#395703,10.); #116060=VECTOR('',#395704,10.); #116061=VECTOR('',#395707,10.); #116062=VECTOR('',#395708,10.); #116063=VECTOR('',#395709,10.); #116064=VECTOR('',#395712,10.); #116065=VECTOR('',#395713,10.); #116066=VECTOR('',#395714,10.); #116067=VECTOR('',#395717,10.); #116068=VECTOR('',#395718,10.); #116069=VECTOR('',#395719,10.); #116070=VECTOR('',#395722,10.); #116071=VECTOR('',#395723,10.); #116072=VECTOR('',#395724,10.); #116073=VECTOR('',#395727,10.); #116074=VECTOR('',#395728,10.); #116075=VECTOR('',#395729,10.); #116076=VECTOR('',#395732,10.); #116077=VECTOR('',#395733,10.); #116078=VECTOR('',#395734,10.); #116079=VECTOR('',#395737,10.); #116080=VECTOR('',#395738,10.); #116081=VECTOR('',#395739,10.); #116082=VECTOR('',#395742,10.); #116083=VECTOR('',#395743,10.); #116084=VECTOR('',#395744,10.); #116085=VECTOR('',#395751,10.); #116086=VECTOR('',#395754,10.); #116087=VECTOR('',#395755,10.); #116088=VECTOR('',#395756,10.); #116089=VECTOR('',#395769,10.); #116090=VECTOR('',#395770,10.); #116091=VECTOR('',#395771,10.); #116092=VECTOR('',#395772,10.); #116093=VECTOR('',#395775,10.); #116094=VECTOR('',#395776,10.); #116095=VECTOR('',#395777,10.); #116096=VECTOR('',#395780,10.); #116097=VECTOR('',#395781,10.); #116098=VECTOR('',#395782,10.); #116099=VECTOR('',#395785,10.); #116100=VECTOR('',#395786,10.); #116101=VECTOR('',#395787,10.); #116102=VECTOR('',#395794,10.); #116103=VECTOR('',#395797,10.); #116104=VECTOR('',#395798,10.); #116105=VECTOR('',#395799,10.); #116106=VECTOR('',#395802,10.); #116107=VECTOR('',#395803,10.); #116108=VECTOR('',#395804,10.); #116109=VECTOR('',#395807,10.); #116110=VECTOR('',#395808,10.); #116111=VECTOR('',#395809,10.); #116112=VECTOR('',#395812,10.); #116113=VECTOR('',#395813,10.); #116114=VECTOR('',#395814,10.); #116115=VECTOR('',#395817,10.); #116116=VECTOR('',#395818,10.); #116117=VECTOR('',#395819,10.); #116118=VECTOR('',#395822,10.); #116119=VECTOR('',#395823,10.); #116120=VECTOR('',#395824,10.); #116121=VECTOR('',#395827,10.); #116122=VECTOR('',#395828,10.); #116123=VECTOR('',#395829,10.); #116124=VECTOR('',#395832,10.); #116125=VECTOR('',#395833,10.); #116126=VECTOR('',#395834,10.); #116127=VECTOR('',#395837,10.); #116128=VECTOR('',#395838,10.); #116129=VECTOR('',#395847,0.14); #116130=VECTOR('',#395854,0.14); #116131=VECTOR('',#395861,0.14); #116132=VECTOR('',#395868,0.14); #116133=VECTOR('',#395875,0.14); #116134=VECTOR('',#395882,0.14); #116135=VECTOR('',#395889,0.14); #116136=VECTOR('',#395896,0.14); #116137=VECTOR('',#395903,0.465); #116138=VECTOR('',#395910,0.514999999999999); #116139=VECTOR('',#395917,0.14); #116140=VECTOR('',#395922,10.); #116141=VECTOR('',#395923,10.); #116142=VECTOR('',#395924,10.); #116143=VECTOR('',#395925,10.); #116144=VECTOR('',#395928,10.); #116145=VECTOR('',#395929,10.); #116146=VECTOR('',#395930,10.); #116147=VECTOR('',#395933,10.); #116148=VECTOR('',#395934,10.); #116149=VECTOR('',#395935,10.); #116150=VECTOR('',#395938,10.); #116151=VECTOR('',#395939,10.); #116152=VECTOR('',#395940,10.); #116153=VECTOR('',#395947,10.); #116154=VECTOR('',#395950,10.); #116155=VECTOR('',#395951,10.); #116156=VECTOR('',#395952,10.); #116157=VECTOR('',#395959,10.); #116158=VECTOR('',#395962,10.); #116159=VECTOR('',#395963,10.); #116160=VECTOR('',#395964,10.); #116161=VECTOR('',#395967,10.); #116162=VECTOR('',#395968,10.); #116163=VECTOR('',#395969,10.); #116164=VECTOR('',#395972,10.); #116165=VECTOR('',#395973,10.); #116166=VECTOR('',#395974,10.); #116167=VECTOR('',#395977,10.); #116168=VECTOR('',#395978,10.); #116169=VECTOR('',#395979,10.); #116170=VECTOR('',#395982,10.); #116171=VECTOR('',#395983,10.); #116172=VECTOR('',#395984,10.); #116173=VECTOR('',#395987,10.); #116174=VECTOR('',#395988,10.); #116175=VECTOR('',#395989,10.); #116176=VECTOR('',#395992,10.); #116177=VECTOR('',#395993,10.); #116178=VECTOR('',#395994,10.); #116179=VECTOR('',#395997,10.); #116180=VECTOR('',#395998,10.); #116181=VECTOR('',#395999,10.); #116182=VECTOR('',#396002,10.); #116183=VECTOR('',#396003,10.); #116184=VECTOR('',#396004,10.); #116185=VECTOR('',#396007,10.); #116186=VECTOR('',#396008,10.); #116187=VECTOR('',#396009,10.); #116188=VECTOR('',#396012,10.); #116189=VECTOR('',#396013,10.); #116190=VECTOR('',#396014,10.); #116191=VECTOR('',#396017,10.); #116192=VECTOR('',#396018,10.); #116193=VECTOR('',#396019,10.); #116194=VECTOR('',#396022,10.); #116195=VECTOR('',#396023,10.); #116196=VECTOR('',#396024,10.); #116197=VECTOR('',#396027,10.); #116198=VECTOR('',#396028,10.); #116199=VECTOR('',#396029,10.); #116200=VECTOR('',#396032,10.); #116201=VECTOR('',#396033,10.); #116202=VECTOR('',#396034,10.); #116203=VECTOR('',#396037,10.); #116204=VECTOR('',#396038,10.); #116205=VECTOR('',#396039,10.); #116206=VECTOR('',#396042,10.); #116207=VECTOR('',#396043,10.); #116208=VECTOR('',#396044,10.); #116209=VECTOR('',#396047,10.); #116210=VECTOR('',#396048,10.); #116211=VECTOR('',#396049,10.); #116212=VECTOR('',#396052,10.); #116213=VECTOR('',#396053,10.); #116214=VECTOR('',#396054,10.); #116215=VECTOR('',#396057,10.); #116216=VECTOR('',#396058,10.); #116217=VECTOR('',#396059,10.); #116218=VECTOR('',#396062,10.); #116219=VECTOR('',#396063,10.); #116220=VECTOR('',#396064,10.); #116221=VECTOR('',#396067,10.); #116222=VECTOR('',#396068,10.); #116223=VECTOR('',#396069,10.); #116224=VECTOR('',#396072,10.); #116225=VECTOR('',#396073,10.); #116226=VECTOR('',#396074,10.); #116227=VECTOR('',#396077,10.); #116228=VECTOR('',#396078,10.); #116229=VECTOR('',#396079,10.); #116230=VECTOR('',#396082,10.); #116231=VECTOR('',#396083,10.); #116232=VECTOR('',#396084,10.); #116233=VECTOR('',#396087,10.); #116234=VECTOR('',#396088,10.); #116235=VECTOR('',#396089,10.); #116236=VECTOR('',#396092,10.); #116237=VECTOR('',#396093,10.); #116238=VECTOR('',#396094,10.); #116239=VECTOR('',#396097,10.); #116240=VECTOR('',#396098,10.); #116241=VECTOR('',#396099,10.); #116242=VECTOR('',#396102,10.); #116243=VECTOR('',#396103,10.); #116244=VECTOR('',#396104,10.); #116245=VECTOR('',#396107,10.); #116246=VECTOR('',#396108,10.); #116247=VECTOR('',#396109,10.); #116248=VECTOR('',#396112,10.); #116249=VECTOR('',#396113,10.); #116250=VECTOR('',#396114,10.); #116251=VECTOR('',#396117,10.); #116252=VECTOR('',#396118,10.); #116253=VECTOR('',#396119,10.); #116254=VECTOR('',#396122,10.); #116255=VECTOR('',#396123,10.); #116256=VECTOR('',#396124,10.); #116257=VECTOR('',#396127,10.); #116258=VECTOR('',#396128,10.); #116259=VECTOR('',#396129,10.); #116260=VECTOR('',#396132,10.); #116261=VECTOR('',#396133,10.); #116262=VECTOR('',#396134,10.); #116263=VECTOR('',#396137,10.); #116264=VECTOR('',#396138,10.); #116265=VECTOR('',#396139,10.); #116266=VECTOR('',#396142,10.); #116267=VECTOR('',#396143,10.); #116268=VECTOR('',#396144,10.); #116269=VECTOR('',#396147,10.); #116270=VECTOR('',#396148,10.); #116271=VECTOR('',#396149,10.); #116272=VECTOR('',#396152,10.); #116273=VECTOR('',#396153,10.); #116274=VECTOR('',#396154,10.); #116275=VECTOR('',#396157,10.); #116276=VECTOR('',#396158,10.); #116277=VECTOR('',#396159,10.); #116278=VECTOR('',#396162,10.); #116279=VECTOR('',#396163,10.); #116280=VECTOR('',#396164,10.); #116281=VECTOR('',#396167,10.); #116282=VECTOR('',#396168,10.); #116283=VECTOR('',#396169,10.); #116284=VECTOR('',#396172,10.); #116285=VECTOR('',#396173,10.); #116286=VECTOR('',#396174,10.); #116287=VECTOR('',#396177,10.); #116288=VECTOR('',#396178,10.); #116289=VECTOR('',#396179,10.); #116290=VECTOR('',#396182,10.); #116291=VECTOR('',#396183,10.); #116292=VECTOR('',#396184,10.); #116293=VECTOR('',#396187,10.); #116294=VECTOR('',#396188,10.); #116295=VECTOR('',#396189,10.); #116296=VECTOR('',#396192,10.); #116297=VECTOR('',#396193,10.); #116298=VECTOR('',#396194,10.); #116299=VECTOR('',#396197,10.); #116300=VECTOR('',#396198,10.); #116301=VECTOR('',#396199,10.); #116302=VECTOR('',#396202,10.); #116303=VECTOR('',#396203,10.); #116304=VECTOR('',#396204,10.); #116305=VECTOR('',#396207,10.); #116306=VECTOR('',#396208,10.); #116307=VECTOR('',#396209,10.); #116308=VECTOR('',#396212,10.); #116309=VECTOR('',#396213,10.); #116310=VECTOR('',#396214,10.); #116311=VECTOR('',#396217,10.); #116312=VECTOR('',#396218,10.); #116313=VECTOR('',#396219,10.); #116314=VECTOR('',#396222,10.); #116315=VECTOR('',#396223,10.); #116316=VECTOR('',#396224,10.); #116317=VECTOR('',#396227,10.); #116318=VECTOR('',#396228,10.); #116319=VECTOR('',#396229,10.); #116320=VECTOR('',#396232,10.); #116321=VECTOR('',#396233,10.); #116322=VECTOR('',#396234,10.); #116323=VECTOR('',#396237,10.); #116324=VECTOR('',#396238,10.); #116325=VECTOR('',#396239,10.); #116326=VECTOR('',#396242,10.); #116327=VECTOR('',#396243,10.); #116328=VECTOR('',#396244,10.); #116329=VECTOR('',#396247,10.); #116330=VECTOR('',#396248,10.); #116331=VECTOR('',#396249,10.); #116332=VECTOR('',#396252,10.); #116333=VECTOR('',#396253,10.); #116334=VECTOR('',#396254,10.); #116335=VECTOR('',#396257,10.); #116336=VECTOR('',#396258,10.); #116337=VECTOR('',#396259,10.); #116338=VECTOR('',#396262,10.); #116339=VECTOR('',#396263,10.); #116340=VECTOR('',#396264,10.); #116341=VECTOR('',#396267,10.); #116342=VECTOR('',#396268,10.); #116343=VECTOR('',#396269,10.); #116344=VECTOR('',#396272,10.); #116345=VECTOR('',#396273,10.); #116346=VECTOR('',#396274,10.); #116347=VECTOR('',#396277,10.); #116348=VECTOR('',#396278,10.); #116349=VECTOR('',#396279,10.); #116350=VECTOR('',#396282,10.); #116351=VECTOR('',#396283,10.); #116352=VECTOR('',#396284,10.); #116353=VECTOR('',#396287,10.); #116354=VECTOR('',#396288,10.); #116355=VECTOR('',#396289,10.); #116356=VECTOR('',#396292,10.); #116357=VECTOR('',#396293,10.); #116358=VECTOR('',#396294,10.); #116359=VECTOR('',#396297,10.); #116360=VECTOR('',#396298,10.); #116361=VECTOR('',#396299,10.); #116362=VECTOR('',#396302,10.); #116363=VECTOR('',#396303,10.); #116364=VECTOR('',#396304,10.); #116365=VECTOR('',#396307,10.); #116366=VECTOR('',#396308,10.); #116367=VECTOR('',#396309,10.); #116368=VECTOR('',#396312,10.); #116369=VECTOR('',#396313,10.); #116370=VECTOR('',#396314,10.); #116371=VECTOR('',#396317,10.); #116372=VECTOR('',#396318,10.); #116373=VECTOR('',#396319,10.); #116374=VECTOR('',#396322,10.); #116375=VECTOR('',#396323,10.); #116376=VECTOR('',#396324,10.); #116377=VECTOR('',#396327,10.); #116378=VECTOR('',#396328,10.); #116379=VECTOR('',#396329,10.); #116380=VECTOR('',#396332,10.); #116381=VECTOR('',#396333,10.); #116382=VECTOR('',#396334,10.); #116383=VECTOR('',#396337,10.); #116384=VECTOR('',#396338,10.); #116385=VECTOR('',#396339,10.); #116386=VECTOR('',#396342,10.); #116387=VECTOR('',#396343,10.); #116388=VECTOR('',#396344,10.); #116389=VECTOR('',#396347,10.); #116390=VECTOR('',#396348,10.); #116391=VECTOR('',#396349,10.); #116392=VECTOR('',#396352,10.); #116393=VECTOR('',#396353,10.); #116394=VECTOR('',#396354,10.); #116395=VECTOR('',#396357,10.); #116396=VECTOR('',#396358,10.); #116397=VECTOR('',#396359,10.); #116398=VECTOR('',#396362,10.); #116399=VECTOR('',#396363,10.); #116400=VECTOR('',#396364,10.); #116401=VECTOR('',#396367,10.); #116402=VECTOR('',#396368,10.); #116403=VECTOR('',#396369,10.); #116404=VECTOR('',#396372,10.); #116405=VECTOR('',#396373,10.); #116406=VECTOR('',#396374,10.); #116407=VECTOR('',#396377,10.); #116408=VECTOR('',#396378,10.); #116409=VECTOR('',#396379,10.); #116410=VECTOR('',#396382,10.); #116411=VECTOR('',#396383,10.); #116412=VECTOR('',#396384,10.); #116413=VECTOR('',#396387,10.); #116414=VECTOR('',#396388,10.); #116415=VECTOR('',#396389,10.); #116416=VECTOR('',#396392,10.); #116417=VECTOR('',#396393,10.); #116418=VECTOR('',#396394,10.); #116419=VECTOR('',#396397,10.); #116420=VECTOR('',#396398,10.); #116421=VECTOR('',#396399,10.); #116422=VECTOR('',#396402,10.); #116423=VECTOR('',#396403,10.); #116424=VECTOR('',#396404,10.); #116425=VECTOR('',#396407,10.); #116426=VECTOR('',#396408,10.); #116427=VECTOR('',#396409,10.); #116428=VECTOR('',#396412,10.); #116429=VECTOR('',#396413,10.); #116430=VECTOR('',#396414,10.); #116431=VECTOR('',#396417,10.); #116432=VECTOR('',#396418,10.); #116433=VECTOR('',#396419,10.); #116434=VECTOR('',#396422,10.); #116435=VECTOR('',#396423,10.); #116436=VECTOR('',#396424,10.); #116437=VECTOR('',#396427,10.); #116438=VECTOR('',#396428,10.); #116439=VECTOR('',#396429,10.); #116440=VECTOR('',#396432,10.); #116441=VECTOR('',#396433,10.); #116442=VECTOR('',#396434,10.); #116443=VECTOR('',#396437,10.); #116444=VECTOR('',#396438,10.); #116445=VECTOR('',#396439,10.); #116446=VECTOR('',#396442,10.); #116447=VECTOR('',#396443,10.); #116448=VECTOR('',#396444,10.); #116449=VECTOR('',#396447,10.); #116450=VECTOR('',#396448,10.); #116451=VECTOR('',#396449,10.); #116452=VECTOR('',#396452,10.); #116453=VECTOR('',#396453,10.); #116454=VECTOR('',#396454,10.); #116455=VECTOR('',#396457,10.); #116456=VECTOR('',#396458,10.); #116457=VECTOR('',#396459,10.); #116458=VECTOR('',#396462,10.); #116459=VECTOR('',#396463,10.); #116460=VECTOR('',#396464,10.); #116461=VECTOR('',#396467,10.); #116462=VECTOR('',#396468,10.); #116463=VECTOR('',#396469,10.); #116464=VECTOR('',#396472,10.); #116465=VECTOR('',#396473,10.); #116466=VECTOR('',#396474,10.); #116467=VECTOR('',#396477,10.); #116468=VECTOR('',#396478,10.); #116469=VECTOR('',#396479,10.); #116470=VECTOR('',#396482,10.); #116471=VECTOR('',#396483,10.); #116472=VECTOR('',#396484,10.); #116473=VECTOR('',#396487,10.); #116474=VECTOR('',#396488,10.); #116475=VECTOR('',#396489,10.); #116476=VECTOR('',#396492,10.); #116477=VECTOR('',#396493,10.); #116478=VECTOR('',#396494,10.); #116479=VECTOR('',#396497,10.); #116480=VECTOR('',#396498,10.); #116481=VECTOR('',#396499,10.); #116482=VECTOR('',#396502,10.); #116483=VECTOR('',#396503,10.); #116484=VECTOR('',#396504,10.); #116485=VECTOR('',#396507,10.); #116486=VECTOR('',#396508,10.); #116487=VECTOR('',#396509,10.); #116488=VECTOR('',#396512,10.); #116489=VECTOR('',#396513,10.); #116490=VECTOR('',#396514,10.); #116491=VECTOR('',#396517,10.); #116492=VECTOR('',#396518,10.); #116493=VECTOR('',#396519,10.); #116494=VECTOR('',#396522,10.); #116495=VECTOR('',#396523,10.); #116496=VECTOR('',#396524,10.); #116497=VECTOR('',#396527,10.); #116498=VECTOR('',#396528,10.); #116499=VECTOR('',#396529,10.); #116500=VECTOR('',#396532,10.); #116501=VECTOR('',#396533,10.); #116502=VECTOR('',#396534,10.); #116503=VECTOR('',#396537,10.); #116504=VECTOR('',#396538,10.); #116505=VECTOR('',#396539,10.); #116506=VECTOR('',#396542,10.); #116507=VECTOR('',#396543,10.); #116508=VECTOR('',#396544,10.); #116509=VECTOR('',#396547,10.); #116510=VECTOR('',#396548,10.); #116511=VECTOR('',#396549,10.); #116512=VECTOR('',#396556,10.); #116513=VECTOR('',#396559,10.); #116514=VECTOR('',#396560,10.); #116515=VECTOR('',#396561,10.); #116516=VECTOR('',#396568,10.); #116517=VECTOR('',#396571,10.); #116518=VECTOR('',#396572,10.); #116519=VECTOR('',#396573,10.); #116520=VECTOR('',#396576,10.); #116521=VECTOR('',#396577,10.); #116522=VECTOR('',#396578,10.); #116523=VECTOR('',#396581,10.); #116524=VECTOR('',#396582,10.); #116525=VECTOR('',#396583,10.); #116526=VECTOR('',#396586,10.); #116527=VECTOR('',#396587,10.); #116528=VECTOR('',#396588,10.); #116529=VECTOR('',#396591,10.); #116530=VECTOR('',#396592,10.); #116531=VECTOR('',#396601,0.465); #116532=VECTOR('',#396606,10.); #116533=VECTOR('',#396607,10.); #116534=VECTOR('',#396608,10.); #116535=VECTOR('',#396609,10.); #116536=VECTOR('',#396616,10.); #116537=VECTOR('',#396629,10.); #116538=VECTOR('',#396630,10.); #116539=VECTOR('',#396631,10.); #116540=VECTOR('',#396632,10.); #116541=VECTOR('',#396635,10.); #116542=VECTOR('',#396636,10.); #116543=VECTOR('',#396637,10.); #116544=VECTOR('',#396640,10.); #116545=VECTOR('',#396641,10.); #116546=VECTOR('',#396642,10.); #116547=VECTOR('',#396645,10.); #116548=VECTOR('',#396646,10.); #116549=VECTOR('',#396647,10.); #116550=VECTOR('',#396650,10.); #116551=VECTOR('',#396651,10.); #116552=VECTOR('',#396652,10.); #116553=VECTOR('',#396655,10.); #116554=VECTOR('',#396656,10.); #116555=VECTOR('',#396657,10.); #116556=VECTOR('',#396660,10.); #116557=VECTOR('',#396661,10.); #116558=VECTOR('',#396662,10.); #116559=VECTOR('',#396665,10.); #116560=VECTOR('',#396666,10.); #116561=VECTOR('',#396667,10.); #116562=VECTOR('',#396670,10.); #116563=VECTOR('',#396671,10.); #116564=VECTOR('',#396672,10.); #116565=VECTOR('',#396675,10.); #116566=VECTOR('',#396676,10.); #116567=VECTOR('',#396677,10.); #116568=VECTOR('',#396680,10.); #116569=VECTOR('',#396681,10.); #116570=VECTOR('',#396682,10.); #116571=VECTOR('',#396685,10.); #116572=VECTOR('',#396686,10.); #116573=VECTOR('',#396687,10.); #116574=VECTOR('',#396690,10.); #116575=VECTOR('',#396691,10.); #116576=VECTOR('',#396692,10.); #116577=VECTOR('',#396695,10.); #116578=VECTOR('',#396696,10.); #116579=VECTOR('',#396697,10.); #116580=VECTOR('',#396700,10.); #116581=VECTOR('',#396701,10.); #116582=VECTOR('',#396702,10.); #116583=VECTOR('',#396705,10.); #116584=VECTOR('',#396706,10.); #116585=VECTOR('',#396707,10.); #116586=VECTOR('',#396710,10.); #116587=VECTOR('',#396711,10.); #116588=VECTOR('',#396712,10.); #116589=VECTOR('',#396715,10.); #116590=VECTOR('',#396716,10.); #116591=VECTOR('',#396717,10.); #116592=VECTOR('',#396720,10.); #116593=VECTOR('',#396721,10.); #116594=VECTOR('',#396722,10.); #116595=VECTOR('',#396725,10.); #116596=VECTOR('',#396726,10.); #116597=VECTOR('',#396733,10.); #116598=VECTOR('',#396734,10.); #116599=VECTOR('',#396735,10.); #116600=VECTOR('',#396736,10.); #116601=VECTOR('',#396739,10.); #116602=VECTOR('',#396740,10.); #116603=VECTOR('',#396741,10.); #116604=VECTOR('',#396744,10.); #116605=VECTOR('',#396745,10.); #116606=VECTOR('',#396746,10.); #116607=VECTOR('',#396749,10.); #116608=VECTOR('',#396750,10.); #116609=VECTOR('',#396751,10.); #116610=VECTOR('',#396754,10.); #116611=VECTOR('',#396755,10.); #116612=VECTOR('',#396756,10.); #116613=VECTOR('',#396759,10.); #116614=VECTOR('',#396760,10.); #116615=VECTOR('',#396761,10.); #116616=VECTOR('',#396764,10.); #116617=VECTOR('',#396765,10.); #116618=VECTOR('',#396766,10.); #116619=VECTOR('',#396769,10.); #116620=VECTOR('',#396770,10.); #116621=VECTOR('',#396771,10.); #116622=VECTOR('',#396774,10.); #116623=VECTOR('',#396775,10.); #116624=VECTOR('',#396776,10.); #116625=VECTOR('',#396779,10.); #116626=VECTOR('',#396780,10.); #116627=VECTOR('',#396781,10.); #116628=VECTOR('',#396784,10.); #116629=VECTOR('',#396785,10.); #116630=VECTOR('',#396786,10.); #116631=VECTOR('',#396789,10.); #116632=VECTOR('',#396790,10.); #116633=VECTOR('',#396791,10.); #116634=VECTOR('',#396794,10.); #116635=VECTOR('',#396795,10.); #116636=VECTOR('',#396796,10.); #116637=VECTOR('',#396799,10.); #116638=VECTOR('',#396800,10.); #116639=VECTOR('',#396801,10.); #116640=VECTOR('',#396804,10.); #116641=VECTOR('',#396805,10.); #116642=VECTOR('',#396806,10.); #116643=VECTOR('',#396809,10.); #116644=VECTOR('',#396810,10.); #116645=VECTOR('',#396811,10.); #116646=VECTOR('',#396814,10.); #116647=VECTOR('',#396815,10.); #116648=VECTOR('',#396816,10.); #116649=VECTOR('',#396819,10.); #116650=VECTOR('',#396820,10.); #116651=VECTOR('',#396821,10.); #116652=VECTOR('',#396824,10.); #116653=VECTOR('',#396825,10.); #116654=VECTOR('',#396826,10.); #116655=VECTOR('',#396829,10.); #116656=VECTOR('',#396830,10.); #116657=VECTOR('',#396831,10.); #116658=VECTOR('',#396834,10.); #116659=VECTOR('',#396835,10.); #116660=VECTOR('',#396842,10.); #116661=VECTOR('',#396843,10.); #116662=VECTOR('',#396844,10.); #116663=VECTOR('',#396845,10.); #116664=VECTOR('',#396848,10.); #116665=VECTOR('',#396849,10.); #116666=VECTOR('',#396850,10.); #116667=VECTOR('',#396853,10.); #116668=VECTOR('',#396854,10.); #116669=VECTOR('',#396855,10.); #116670=VECTOR('',#396858,10.); #116671=VECTOR('',#396859,10.); #116672=VECTOR('',#396860,10.); #116673=VECTOR('',#396863,10.); #116674=VECTOR('',#396864,10.); #116675=VECTOR('',#396865,10.); #116676=VECTOR('',#396868,10.); #116677=VECTOR('',#396869,10.); #116678=VECTOR('',#396870,10.); #116679=VECTOR('',#396873,10.); #116680=VECTOR('',#396874,10.); #116681=VECTOR('',#396875,10.); #116682=VECTOR('',#396878,10.); #116683=VECTOR('',#396879,10.); #116684=VECTOR('',#396880,10.); #116685=VECTOR('',#396883,10.); #116686=VECTOR('',#396884,10.); #116687=VECTOR('',#396885,10.); #116688=VECTOR('',#396888,10.); #116689=VECTOR('',#396889,10.); #116690=VECTOR('',#396890,10.); #116691=VECTOR('',#396893,10.); #116692=VECTOR('',#396894,10.); #116693=VECTOR('',#396895,10.); #116694=VECTOR('',#396898,10.); #116695=VECTOR('',#396899,10.); #116696=VECTOR('',#396900,10.); #116697=VECTOR('',#396903,10.); #116698=VECTOR('',#396904,10.); #116699=VECTOR('',#396905,10.); #116700=VECTOR('',#396908,10.); #116701=VECTOR('',#396909,10.); #116702=VECTOR('',#396910,10.); #116703=VECTOR('',#396913,10.); #116704=VECTOR('',#396914,10.); #116705=VECTOR('',#396915,10.); #116706=VECTOR('',#396918,10.); #116707=VECTOR('',#396919,10.); #116708=VECTOR('',#396920,10.); #116709=VECTOR('',#396923,10.); #116710=VECTOR('',#396924,10.); #116711=VECTOR('',#396925,10.); #116712=VECTOR('',#396928,10.); #116713=VECTOR('',#396929,10.); #116714=VECTOR('',#396930,10.); #116715=VECTOR('',#396933,10.); #116716=VECTOR('',#396934,10.); #116717=VECTOR('',#396935,10.); #116718=VECTOR('',#396938,10.); #116719=VECTOR('',#396939,10.); #116720=VECTOR('',#396946,10.); #116721=VECTOR('',#396947,10.); #116722=VECTOR('',#396948,10.); #116723=VECTOR('',#396949,10.); #116724=VECTOR('',#396952,10.); #116725=VECTOR('',#396953,10.); #116726=VECTOR('',#396954,10.); #116727=VECTOR('',#396957,10.); #116728=VECTOR('',#396958,10.); #116729=VECTOR('',#396959,10.); #116730=VECTOR('',#396962,10.); #116731=VECTOR('',#396963,10.); #116732=VECTOR('',#396964,10.); #116733=VECTOR('',#396967,10.); #116734=VECTOR('',#396968,10.); #116735=VECTOR('',#396969,10.); #116736=VECTOR('',#396972,10.); #116737=VECTOR('',#396973,10.); #116738=VECTOR('',#396974,10.); #116739=VECTOR('',#396977,10.); #116740=VECTOR('',#396978,10.); #116741=VECTOR('',#396979,10.); #116742=VECTOR('',#396982,10.); #116743=VECTOR('',#396983,10.); #116744=VECTOR('',#396984,10.); #116745=VECTOR('',#396987,10.); #116746=VECTOR('',#396988,10.); #116747=VECTOR('',#396989,10.); #116748=VECTOR('',#396992,10.); #116749=VECTOR('',#396993,10.); #116750=VECTOR('',#396994,10.); #116751=VECTOR('',#396997,10.); #116752=VECTOR('',#396998,10.); #116753=VECTOR('',#396999,10.); #116754=VECTOR('',#397002,10.); #116755=VECTOR('',#397003,10.); #116756=VECTOR('',#397004,10.); #116757=VECTOR('',#397007,10.); #116758=VECTOR('',#397008,10.); #116759=VECTOR('',#397009,10.); #116760=VECTOR('',#397012,10.); #116761=VECTOR('',#397013,10.); #116762=VECTOR('',#397014,10.); #116763=VECTOR('',#397017,10.); #116764=VECTOR('',#397018,10.); #116765=VECTOR('',#397019,10.); #116766=VECTOR('',#397022,10.); #116767=VECTOR('',#397023,10.); #116768=VECTOR('',#397024,10.); #116769=VECTOR('',#397027,10.); #116770=VECTOR('',#397028,10.); #116771=VECTOR('',#397029,10.); #116772=VECTOR('',#397032,10.); #116773=VECTOR('',#397033,10.); #116774=VECTOR('',#397034,10.); #116775=VECTOR('',#397037,10.); #116776=VECTOR('',#397038,10.); #116777=VECTOR('',#397039,10.); #116778=VECTOR('',#397042,10.); #116779=VECTOR('',#397043,10.); #116780=VECTOR('',#397044,10.); #116781=VECTOR('',#397047,10.); #116782=VECTOR('',#397048,10.); #116783=VECTOR('',#397057,0.140000000000002); #116784=VECTOR('',#397064,0.140000000000002); #116785=VECTOR('',#397071,0.140000000000002); #116786=VECTOR('',#397076,10.); #116787=VECTOR('',#397077,10.); #116788=VECTOR('',#397078,10.); #116789=VECTOR('',#397079,10.); #116790=VECTOR('',#397082,10.); #116791=VECTOR('',#397083,10.); #116792=VECTOR('',#397084,10.); #116793=VECTOR('',#397087,10.); #116794=VECTOR('',#397088,10.); #116795=VECTOR('',#397089,10.); #116796=VECTOR('',#397092,10.); #116797=VECTOR('',#397093,10.); #116798=VECTOR('',#397094,10.); #116799=VECTOR('',#397097,10.); #116800=VECTOR('',#397098,10.); #116801=VECTOR('',#397099,10.); #116802=VECTOR('',#397102,10.); #116803=VECTOR('',#397103,10.); #116804=VECTOR('',#397104,10.); #116805=VECTOR('',#397107,10.); #116806=VECTOR('',#397108,10.); #116807=VECTOR('',#397109,10.); #116808=VECTOR('',#397112,10.); #116809=VECTOR('',#397113,10.); #116810=VECTOR('',#397114,10.); #116811=VECTOR('',#397117,10.); #116812=VECTOR('',#397118,10.); #116813=VECTOR('',#397119,10.); #116814=VECTOR('',#397122,10.); #116815=VECTOR('',#397123,10.); #116816=VECTOR('',#397124,10.); #116817=VECTOR('',#397127,10.); #116818=VECTOR('',#397128,10.); #116819=VECTOR('',#397129,10.); #116820=VECTOR('',#397132,10.); #116821=VECTOR('',#397133,10.); #116822=VECTOR('',#397134,10.); #116823=VECTOR('',#397137,10.); #116824=VECTOR('',#397138,10.); #116825=VECTOR('',#397139,10.); #116826=VECTOR('',#397142,10.); #116827=VECTOR('',#397143,10.); #116828=VECTOR('',#397144,10.); #116829=VECTOR('',#397147,10.); #116830=VECTOR('',#397148,10.); #116831=VECTOR('',#397149,10.); #116832=VECTOR('',#397152,10.); #116833=VECTOR('',#397153,10.); #116834=VECTOR('',#397154,10.); #116835=VECTOR('',#397157,10.); #116836=VECTOR('',#397158,10.); #116837=VECTOR('',#397159,10.); #116838=VECTOR('',#397162,10.); #116839=VECTOR('',#397163,10.); #116840=VECTOR('',#397164,10.); #116841=VECTOR('',#397167,10.); #116842=VECTOR('',#397168,10.); #116843=VECTOR('',#397169,10.); #116844=VECTOR('',#397172,10.); #116845=VECTOR('',#397173,10.); #116846=VECTOR('',#397174,10.); #116847=VECTOR('',#397177,10.); #116848=VECTOR('',#397178,10.); #116849=VECTOR('',#397179,10.); #116850=VECTOR('',#397182,10.); #116851=VECTOR('',#397183,10.); #116852=VECTOR('',#397184,10.); #116853=VECTOR('',#397187,10.); #116854=VECTOR('',#397188,10.); #116855=VECTOR('',#397189,10.); #116856=VECTOR('',#397192,10.); #116857=VECTOR('',#397193,10.); #116858=VECTOR('',#397194,10.); #116859=VECTOR('',#397197,10.); #116860=VECTOR('',#397198,10.); #116861=VECTOR('',#397199,10.); #116862=VECTOR('',#397202,10.); #116863=VECTOR('',#397203,10.); #116864=VECTOR('',#397204,10.); #116865=VECTOR('',#397207,10.); #116866=VECTOR('',#397208,10.); #116867=VECTOR('',#397209,10.); #116868=VECTOR('',#397212,10.); #116869=VECTOR('',#397213,10.); #116870=VECTOR('',#397214,10.); #116871=VECTOR('',#397217,10.); #116872=VECTOR('',#397218,10.); #116873=VECTOR('',#397219,10.); #116874=VECTOR('',#397222,10.); #116875=VECTOR('',#397223,10.); #116876=VECTOR('',#397224,10.); #116877=VECTOR('',#397227,10.); #116878=VECTOR('',#397228,10.); #116879=VECTOR('',#397229,10.); #116880=VECTOR('',#397232,10.); #116881=VECTOR('',#397233,10.); #116882=VECTOR('',#397234,10.); #116883=VECTOR('',#397237,10.); #116884=VECTOR('',#397238,10.); #116885=VECTOR('',#397239,10.); #116886=VECTOR('',#397242,10.); #116887=VECTOR('',#397243,10.); #116888=VECTOR('',#397244,10.); #116889=VECTOR('',#397247,10.); #116890=VECTOR('',#397248,10.); #116891=VECTOR('',#397249,10.); #116892=VECTOR('',#397252,10.); #116893=VECTOR('',#397253,10.); #116894=VECTOR('',#397254,10.); #116895=VECTOR('',#397257,10.); #116896=VECTOR('',#397258,10.); #116897=VECTOR('',#397259,10.); #116898=VECTOR('',#397262,10.); #116899=VECTOR('',#397263,10.); #116900=VECTOR('',#397264,10.); #116901=VECTOR('',#397267,10.); #116902=VECTOR('',#397268,10.); #116903=VECTOR('',#397269,10.); #116904=VECTOR('',#397272,10.); #116905=VECTOR('',#397273,10.); #116906=VECTOR('',#397274,10.); #116907=VECTOR('',#397277,10.); #116908=VECTOR('',#397278,10.); #116909=VECTOR('',#397279,10.); #116910=VECTOR('',#397282,10.); #116911=VECTOR('',#397283,10.); #116912=VECTOR('',#397284,10.); #116913=VECTOR('',#397287,10.); #116914=VECTOR('',#397288,10.); #116915=VECTOR('',#397289,10.); #116916=VECTOR('',#397292,10.); #116917=VECTOR('',#397293,10.); #116918=VECTOR('',#397294,10.); #116919=VECTOR('',#397297,10.); #116920=VECTOR('',#397298,10.); #116921=VECTOR('',#397299,10.); #116922=VECTOR('',#397302,10.); #116923=VECTOR('',#397303,10.); #116924=VECTOR('',#397304,10.); #116925=VECTOR('',#397307,10.); #116926=VECTOR('',#397308,10.); #116927=VECTOR('',#397309,10.); #116928=VECTOR('',#397312,10.); #116929=VECTOR('',#397313,10.); #116930=VECTOR('',#397314,10.); #116931=VECTOR('',#397317,10.); #116932=VECTOR('',#397318,10.); #116933=VECTOR('',#397319,10.); #116934=VECTOR('',#397322,10.); #116935=VECTOR('',#397323,10.); #116936=VECTOR('',#397324,10.); #116937=VECTOR('',#397327,10.); #116938=VECTOR('',#397328,10.); #116939=VECTOR('',#397329,10.); #116940=VECTOR('',#397332,10.); #116941=VECTOR('',#397333,10.); #116942=VECTOR('',#397334,10.); #116943=VECTOR('',#397337,10.); #116944=VECTOR('',#397338,10.); #116945=VECTOR('',#397339,10.); #116946=VECTOR('',#397342,10.); #116947=VECTOR('',#397343,10.); #116948=VECTOR('',#397344,10.); #116949=VECTOR('',#397347,10.); #116950=VECTOR('',#397348,10.); #116951=VECTOR('',#397349,10.); #116952=VECTOR('',#397352,10.); #116953=VECTOR('',#397353,10.); #116954=VECTOR('',#397354,10.); #116955=VECTOR('',#397357,10.); #116956=VECTOR('',#397358,10.); #116957=VECTOR('',#397359,10.); #116958=VECTOR('',#397362,10.); #116959=VECTOR('',#397363,10.); #116960=VECTOR('',#397364,10.); #116961=VECTOR('',#397367,10.); #116962=VECTOR('',#397368,10.); #116963=VECTOR('',#397369,10.); #116964=VECTOR('',#397372,10.); #116965=VECTOR('',#397373,10.); #116966=VECTOR('',#397374,10.); #116967=VECTOR('',#397377,10.); #116968=VECTOR('',#397378,10.); #116969=VECTOR('',#397379,10.); #116970=VECTOR('',#397382,10.); #116971=VECTOR('',#397383,10.); #116972=VECTOR('',#397384,10.); #116973=VECTOR('',#397387,10.); #116974=VECTOR('',#397388,10.); #116975=VECTOR('',#397389,10.); #116976=VECTOR('',#397392,10.); #116977=VECTOR('',#397393,10.); #116978=VECTOR('',#397394,10.); #116979=VECTOR('',#397397,10.); #116980=VECTOR('',#397398,10.); #116981=VECTOR('',#397399,10.); #116982=VECTOR('',#397402,10.); #116983=VECTOR('',#397403,10.); #116984=VECTOR('',#397404,10.); #116985=VECTOR('',#397407,10.); #116986=VECTOR('',#397408,10.); #116987=VECTOR('',#397409,10.); #116988=VECTOR('',#397412,10.); #116989=VECTOR('',#397413,10.); #116990=VECTOR('',#397414,10.); #116991=VECTOR('',#397417,10.); #116992=VECTOR('',#397418,10.); #116993=VECTOR('',#397419,10.); #116994=VECTOR('',#397422,10.); #116995=VECTOR('',#397423,10.); #116996=VECTOR('',#397424,10.); #116997=VECTOR('',#397427,10.); #116998=VECTOR('',#397428,10.); #116999=VECTOR('',#397429,10.); #117000=VECTOR('',#397432,10.); #117001=VECTOR('',#397433,10.); #117002=VECTOR('',#397434,10.); #117003=VECTOR('',#397437,10.); #117004=VECTOR('',#397438,10.); #117005=VECTOR('',#397439,10.); #117006=VECTOR('',#397442,10.); #117007=VECTOR('',#397443,10.); #117008=VECTOR('',#397444,10.); #117009=VECTOR('',#397447,10.); #117010=VECTOR('',#397448,10.); #117011=VECTOR('',#397449,10.); #117012=VECTOR('',#397452,10.); #117013=VECTOR('',#397453,10.); #117014=VECTOR('',#397454,10.); #117015=VECTOR('',#397457,10.); #117016=VECTOR('',#397458,10.); #117017=VECTOR('',#397459,10.); #117018=VECTOR('',#397462,10.); #117019=VECTOR('',#397463,10.); #117020=VECTOR('',#397464,10.); #117021=VECTOR('',#397467,10.); #117022=VECTOR('',#397468,10.); #117023=VECTOR('',#397469,10.); #117024=VECTOR('',#397472,10.); #117025=VECTOR('',#397473,10.); #117026=VECTOR('',#397474,10.); #117027=VECTOR('',#397477,10.); #117028=VECTOR('',#397478,10.); #117029=VECTOR('',#397479,10.); #117030=VECTOR('',#397482,10.); #117031=VECTOR('',#397483,10.); #117032=VECTOR('',#397484,10.); #117033=VECTOR('',#397487,10.); #117034=VECTOR('',#397488,10.); #117035=VECTOR('',#397489,10.); #117036=VECTOR('',#397492,10.); #117037=VECTOR('',#397493,10.); #117038=VECTOR('',#397494,10.); #117039=VECTOR('',#397497,10.); #117040=VECTOR('',#397498,10.); #117041=VECTOR('',#397499,10.); #117042=VECTOR('',#397502,10.); #117043=VECTOR('',#397503,10.); #117044=VECTOR('',#397504,10.); #117045=VECTOR('',#397507,10.); #117046=VECTOR('',#397508,10.); #117047=VECTOR('',#397509,10.); #117048=VECTOR('',#397512,10.); #117049=VECTOR('',#397513,10.); #117050=VECTOR('',#397514,10.); #117051=VECTOR('',#397517,10.); #117052=VECTOR('',#397518,10.); #117053=VECTOR('',#397519,10.); #117054=VECTOR('',#397522,10.); #117055=VECTOR('',#397523,10.); #117056=VECTOR('',#397530,10.); #117057=VECTOR('',#397531,10.); #117058=VECTOR('',#397532,10.); #117059=VECTOR('',#397533,10.); #117060=VECTOR('',#397536,10.); #117061=VECTOR('',#397537,10.); #117062=VECTOR('',#397538,10.); #117063=VECTOR('',#397541,10.); #117064=VECTOR('',#397542,10.); #117065=VECTOR('',#397543,10.); #117066=VECTOR('',#397546,10.); #117067=VECTOR('',#397547,10.); #117068=VECTOR('',#397548,10.); #117069=VECTOR('',#397551,10.); #117070=VECTOR('',#397552,10.); #117071=VECTOR('',#397553,10.); #117072=VECTOR('',#397556,10.); #117073=VECTOR('',#397557,10.); #117074=VECTOR('',#397558,10.); #117075=VECTOR('',#397561,10.); #117076=VECTOR('',#397562,10.); #117077=VECTOR('',#397563,10.); #117078=VECTOR('',#397566,10.); #117079=VECTOR('',#397567,10.); #117080=VECTOR('',#397568,10.); #117081=VECTOR('',#397571,10.); #117082=VECTOR('',#397572,10.); #117083=VECTOR('',#397573,10.); #117084=VECTOR('',#397576,10.); #117085=VECTOR('',#397577,10.); #117086=VECTOR('',#397578,10.); #117087=VECTOR('',#397581,10.); #117088=VECTOR('',#397582,10.); #117089=VECTOR('',#397583,10.); #117090=VECTOR('',#397586,10.); #117091=VECTOR('',#397587,10.); #117092=VECTOR('',#397588,10.); #117093=VECTOR('',#397591,10.); #117094=VECTOR('',#397592,10.); #117095=VECTOR('',#397593,10.); #117096=VECTOR('',#397596,10.); #117097=VECTOR('',#397597,10.); #117098=VECTOR('',#397598,10.); #117099=VECTOR('',#397601,10.); #117100=VECTOR('',#397602,10.); #117101=VECTOR('',#397603,10.); #117102=VECTOR('',#397606,10.); #117103=VECTOR('',#397607,10.); #117104=VECTOR('',#397608,10.); #117105=VECTOR('',#397611,10.); #117106=VECTOR('',#397612,10.); #117107=VECTOR('',#397613,10.); #117108=VECTOR('',#397616,10.); #117109=VECTOR('',#397617,10.); #117110=VECTOR('',#397618,10.); #117111=VECTOR('',#397621,10.); #117112=VECTOR('',#397622,10.); #117113=VECTOR('',#397623,10.); #117114=VECTOR('',#397626,10.); #117115=VECTOR('',#397627,10.); #117116=VECTOR('',#397628,10.); #117117=VECTOR('',#397631,10.); #117118=VECTOR('',#397632,10.); #117119=VECTOR('',#397633,10.); #117120=VECTOR('',#397636,10.); #117121=VECTOR('',#397637,10.); #117122=VECTOR('',#397638,10.); #117123=VECTOR('',#397641,10.); #117124=VECTOR('',#397642,10.); #117125=VECTOR('',#397643,10.); #117126=VECTOR('',#397646,10.); #117127=VECTOR('',#397647,10.); #117128=VECTOR('',#397648,10.); #117129=VECTOR('',#397651,10.); #117130=VECTOR('',#397652,10.); #117131=VECTOR('',#397653,10.); #117132=VECTOR('',#397656,10.); #117133=VECTOR('',#397657,10.); #117134=VECTOR('',#397658,10.); #117135=VECTOR('',#397661,10.); #117136=VECTOR('',#397662,10.); #117137=VECTOR('',#397663,10.); #117138=VECTOR('',#397666,10.); #117139=VECTOR('',#397667,10.); #117140=VECTOR('',#397668,10.); #117141=VECTOR('',#397671,10.); #117142=VECTOR('',#397672,10.); #117143=VECTOR('',#397673,10.); #117144=VECTOR('',#397676,10.); #117145=VECTOR('',#397677,10.); #117146=VECTOR('',#397678,10.); #117147=VECTOR('',#397681,10.); #117148=VECTOR('',#397682,10.); #117149=VECTOR('',#397683,10.); #117150=VECTOR('',#397686,10.); #117151=VECTOR('',#397687,10.); #117152=VECTOR('',#397688,10.); #117153=VECTOR('',#397691,10.); #117154=VECTOR('',#397692,10.); #117155=VECTOR('',#397693,10.); #117156=VECTOR('',#397696,10.); #117157=VECTOR('',#397697,10.); #117158=VECTOR('',#397704,10.); #117159=VECTOR('',#397705,10.); #117160=VECTOR('',#397706,10.); #117161=VECTOR('',#397707,10.); #117162=VECTOR('',#397710,10.); #117163=VECTOR('',#397711,10.); #117164=VECTOR('',#397712,10.); #117165=VECTOR('',#397715,10.); #117166=VECTOR('',#397716,10.); #117167=VECTOR('',#397717,10.); #117168=VECTOR('',#397720,10.); #117169=VECTOR('',#397721,10.); #117170=VECTOR('',#397722,10.); #117171=VECTOR('',#397725,10.); #117172=VECTOR('',#397726,10.); #117173=VECTOR('',#397727,10.); #117174=VECTOR('',#397730,10.); #117175=VECTOR('',#397731,10.); #117176=VECTOR('',#397732,10.); #117177=VECTOR('',#397735,10.); #117178=VECTOR('',#397736,10.); #117179=VECTOR('',#397737,10.); #117180=VECTOR('',#397740,10.); #117181=VECTOR('',#397741,10.); #117182=VECTOR('',#397742,10.); #117183=VECTOR('',#397745,10.); #117184=VECTOR('',#397746,10.); #117185=VECTOR('',#397747,10.); #117186=VECTOR('',#397750,10.); #117187=VECTOR('',#397751,10.); #117188=VECTOR('',#397752,10.); #117189=VECTOR('',#397755,10.); #117190=VECTOR('',#397756,10.); #117191=VECTOR('',#397757,10.); #117192=VECTOR('',#397760,10.); #117193=VECTOR('',#397761,10.); #117194=VECTOR('',#397762,10.); #117195=VECTOR('',#397765,10.); #117196=VECTOR('',#397766,10.); #117197=VECTOR('',#397767,10.); #117198=VECTOR('',#397770,10.); #117199=VECTOR('',#397771,10.); #117200=VECTOR('',#397772,10.); #117201=VECTOR('',#397775,10.); #117202=VECTOR('',#397776,10.); #117203=VECTOR('',#397777,10.); #117204=VECTOR('',#397780,10.); #117205=VECTOR('',#397781,10.); #117206=VECTOR('',#397782,10.); #117207=VECTOR('',#397785,10.); #117208=VECTOR('',#397786,10.); #117209=VECTOR('',#397787,10.); #117210=VECTOR('',#397790,10.); #117211=VECTOR('',#397791,10.); #117212=VECTOR('',#397792,10.); #117213=VECTOR('',#397795,10.); #117214=VECTOR('',#397796,10.); #117215=VECTOR('',#397797,10.); #117216=VECTOR('',#397800,10.); #117217=VECTOR('',#397801,10.); #117218=VECTOR('',#397808,10.); #117219=VECTOR('',#397809,10.); #117220=VECTOR('',#397810,10.); #117221=VECTOR('',#397811,10.); #117222=VECTOR('',#397814,10.); #117223=VECTOR('',#397815,10.); #117224=VECTOR('',#397816,10.); #117225=VECTOR('',#397819,10.); #117226=VECTOR('',#397820,10.); #117227=VECTOR('',#397821,10.); #117228=VECTOR('',#397824,10.); #117229=VECTOR('',#397825,10.); #117230=VECTOR('',#397826,10.); #117231=VECTOR('',#397829,10.); #117232=VECTOR('',#397830,10.); #117233=VECTOR('',#397831,10.); #117234=VECTOR('',#397834,10.); #117235=VECTOR('',#397835,10.); #117236=VECTOR('',#397836,10.); #117237=VECTOR('',#397839,10.); #117238=VECTOR('',#397840,10.); #117239=VECTOR('',#397841,10.); #117240=VECTOR('',#397844,10.); #117241=VECTOR('',#397845,10.); #117242=VECTOR('',#397846,10.); #117243=VECTOR('',#397849,10.); #117244=VECTOR('',#397850,10.); #117245=VECTOR('',#397851,10.); #117246=VECTOR('',#397854,10.); #117247=VECTOR('',#397855,10.); #117248=VECTOR('',#397856,10.); #117249=VECTOR('',#397859,10.); #117250=VECTOR('',#397860,10.); #117251=VECTOR('',#397861,10.); #117252=VECTOR('',#397864,10.); #117253=VECTOR('',#397865,10.); #117254=VECTOR('',#397866,10.); #117255=VECTOR('',#397869,10.); #117256=VECTOR('',#397870,10.); #117257=VECTOR('',#397871,10.); #117258=VECTOR('',#397874,10.); #117259=VECTOR('',#397875,10.); #117260=VECTOR('',#397876,10.); #117261=VECTOR('',#397879,10.); #117262=VECTOR('',#397880,10.); #117263=VECTOR('',#397881,10.); #117264=VECTOR('',#397884,10.); #117265=VECTOR('',#397885,10.); #117266=VECTOR('',#397886,10.); #117267=VECTOR('',#397889,10.); #117268=VECTOR('',#397890,10.); #117269=VECTOR('',#397891,10.); #117270=VECTOR('',#397894,10.); #117271=VECTOR('',#397895,10.); #117272=VECTOR('',#397896,10.); #117273=VECTOR('',#397899,10.); #117274=VECTOR('',#397900,10.); #117275=VECTOR('',#397901,10.); #117276=VECTOR('',#397904,10.); #117277=VECTOR('',#397905,10.); #117278=VECTOR('',#397906,10.); #117279=VECTOR('',#397909,10.); #117280=VECTOR('',#397910,10.); #117281=VECTOR('',#397919,0.140000000000002); #117282=VECTOR('',#397924,10.); #117283=VECTOR('',#397925,10.); #117284=VECTOR('',#397926,10.); #117285=VECTOR('',#397927,10.); #117286=VECTOR('',#397934,10.); #117287=VECTOR('',#397937,10.); #117288=VECTOR('',#397938,10.); #117289=VECTOR('',#397939,10.); #117290=VECTOR('',#397950,0.514999999999999); #117291=VECTOR('',#397957,0.140000000000002); #117292=VECTOR('',#397964,0.140000000000002); #117293=VECTOR('',#397971,0.140000000000002); #117294=VECTOR('',#397978,0.140000000000002); #117295=VECTOR('',#397985,0.140000000000002); #117296=VECTOR('',#397992,0.140000000000002); #117297=VECTOR('',#397999,0.140000000000002); #117298=VECTOR('',#398006,0.140000000000002); #117299=VECTOR('',#398011,10.); #117300=VECTOR('',#398012,10.); #117301=VECTOR('',#398013,10.); #117302=VECTOR('',#398014,10.); #117303=VECTOR('',#398017,10.); #117304=VECTOR('',#398018,10.); #117305=VECTOR('',#398019,10.); #117306=VECTOR('',#398022,10.); #117307=VECTOR('',#398023,10.); #117308=VECTOR('',#398024,10.); #117309=VECTOR('',#398027,10.); #117310=VECTOR('',#398028,10.); #117311=VECTOR('',#398029,10.); #117312=VECTOR('',#398032,10.); #117313=VECTOR('',#398033,10.); #117314=VECTOR('',#398034,10.); #117315=VECTOR('',#398037,10.); #117316=VECTOR('',#398038,10.); #117317=VECTOR('',#398039,10.); #117318=VECTOR('',#398042,10.); #117319=VECTOR('',#398043,10.); #117320=VECTOR('',#398044,10.); #117321=VECTOR('',#398047,10.); #117322=VECTOR('',#398048,10.); #117323=VECTOR('',#398049,10.); #117324=VECTOR('',#398052,10.); #117325=VECTOR('',#398053,10.); #117326=VECTOR('',#398054,10.); #117327=VECTOR('',#398057,10.); #117328=VECTOR('',#398058,10.); #117329=VECTOR('',#398059,10.); #117330=VECTOR('',#398062,10.); #117331=VECTOR('',#398063,10.); #117332=VECTOR('',#398064,10.); #117333=VECTOR('',#398067,10.); #117334=VECTOR('',#398068,10.); #117335=VECTOR('',#398069,10.); #117336=VECTOR('',#398072,10.); #117337=VECTOR('',#398073,10.); #117338=VECTOR('',#398074,10.); #117339=VECTOR('',#398077,10.); #117340=VECTOR('',#398078,10.); #117341=VECTOR('',#398079,10.); #117342=VECTOR('',#398082,10.); #117343=VECTOR('',#398083,10.); #117344=VECTOR('',#398084,10.); #117345=VECTOR('',#398087,10.); #117346=VECTOR('',#398088,10.); #117347=VECTOR('',#398091,10.); #117348=VECTOR('',#398092,10.); #117349=VECTOR('',#398093,10.); #117350=VECTOR('',#398094,10.); #117351=VECTOR('',#398097,10.); #117352=VECTOR('',#398098,10.); #117353=VECTOR('',#398099,10.); #117354=VECTOR('',#398102,10.); #117355=VECTOR('',#398103,10.); #117356=VECTOR('',#398104,10.); #117357=VECTOR('',#398107,10.); #117358=VECTOR('',#398108,10.); #117359=VECTOR('',#398109,10.); #117360=VECTOR('',#398112,10.); #117361=VECTOR('',#398113,10.); #117362=VECTOR('',#398114,10.); #117363=VECTOR('',#398117,10.); #117364=VECTOR('',#398118,10.); #117365=VECTOR('',#398119,10.); #117366=VECTOR('',#398122,10.); #117367=VECTOR('',#398123,10.); #117368=VECTOR('',#398124,10.); #117369=VECTOR('',#398127,10.); #117370=VECTOR('',#398128,10.); #117371=VECTOR('',#398129,10.); #117372=VECTOR('',#398132,10.); #117373=VECTOR('',#398133,10.); #117374=VECTOR('',#398134,10.); #117375=VECTOR('',#398137,10.); #117376=VECTOR('',#398138,10.); #117377=VECTOR('',#398139,10.); #117378=VECTOR('',#398142,10.); #117379=VECTOR('',#398143,10.); #117380=VECTOR('',#398144,10.); #117381=VECTOR('',#398147,10.); #117382=VECTOR('',#398148,10.); #117383=VECTOR('',#398149,10.); #117384=VECTOR('',#398152,10.); #117385=VECTOR('',#398153,10.); #117386=VECTOR('',#398154,10.); #117387=VECTOR('',#398157,10.); #117388=VECTOR('',#398158,10.); #117389=VECTOR('',#398159,10.); #117390=VECTOR('',#398162,10.); #117391=VECTOR('',#398163,10.); #117392=VECTOR('',#398164,10.); #117393=VECTOR('',#398167,10.); #117394=VECTOR('',#398168,10.); #117395=VECTOR('',#398169,10.); #117396=VECTOR('',#398172,10.); #117397=VECTOR('',#398173,10.); #117398=VECTOR('',#398174,10.); #117399=VECTOR('',#398177,10.); #117400=VECTOR('',#398178,10.); #117401=VECTOR('',#398179,10.); #117402=VECTOR('',#398182,10.); #117403=VECTOR('',#398183,10.); #117404=VECTOR('',#398184,10.); #117405=VECTOR('',#398187,10.); #117406=VECTOR('',#398188,10.); #117407=VECTOR('',#398189,10.); #117408=VECTOR('',#398192,10.); #117409=VECTOR('',#398193,10.); #117410=VECTOR('',#398194,10.); #117411=VECTOR('',#398197,10.); #117412=VECTOR('',#398198,10.); #117413=VECTOR('',#398199,10.); #117414=VECTOR('',#398202,10.); #117415=VECTOR('',#398203,10.); #117416=VECTOR('',#398204,10.); #117417=VECTOR('',#398207,10.); #117418=VECTOR('',#398208,10.); #117419=VECTOR('',#398209,10.); #117420=VECTOR('',#398212,10.); #117421=VECTOR('',#398213,10.); #117422=VECTOR('',#398214,10.); #117423=VECTOR('',#398217,10.); #117424=VECTOR('',#398218,10.); #117425=VECTOR('',#398219,10.); #117426=VECTOR('',#398222,10.); #117427=VECTOR('',#398223,10.); #117428=VECTOR('',#398224,10.); #117429=VECTOR('',#398227,10.); #117430=VECTOR('',#398228,10.); #117431=VECTOR('',#398229,10.); #117432=VECTOR('',#398232,10.); #117433=VECTOR('',#398233,10.); #117434=VECTOR('',#398234,10.); #117435=VECTOR('',#398237,10.); #117436=VECTOR('',#398238,10.); #117437=VECTOR('',#398239,10.); #117438=VECTOR('',#398242,10.); #117439=VECTOR('',#398243,10.); #117440=VECTOR('',#398244,10.); #117441=VECTOR('',#398247,10.); #117442=VECTOR('',#398248,10.); #117443=VECTOR('',#398249,10.); #117444=VECTOR('',#398252,10.); #117445=VECTOR('',#398253,10.); #117446=VECTOR('',#398254,10.); #117447=VECTOR('',#398257,10.); #117448=VECTOR('',#398258,10.); #117449=VECTOR('',#398259,10.); #117450=VECTOR('',#398262,10.); #117451=VECTOR('',#398263,10.); #117452=VECTOR('',#398264,10.); #117453=VECTOR('',#398267,10.); #117454=VECTOR('',#398268,10.); #117455=VECTOR('',#398269,10.); #117456=VECTOR('',#398272,10.); #117457=VECTOR('',#398273,10.); #117458=VECTOR('',#398274,10.); #117459=VECTOR('',#398277,10.); #117460=VECTOR('',#398278,10.); #117461=VECTOR('',#398279,10.); #117462=VECTOR('',#398282,10.); #117463=VECTOR('',#398283,10.); #117464=VECTOR('',#398284,10.); #117465=VECTOR('',#398287,10.); #117466=VECTOR('',#398288,10.); #117467=VECTOR('',#398289,10.); #117468=VECTOR('',#398292,10.); #117469=VECTOR('',#398293,10.); #117470=VECTOR('',#398294,10.); #117471=VECTOR('',#398297,10.); #117472=VECTOR('',#398298,10.); #117473=VECTOR('',#398299,10.); #117474=VECTOR('',#398302,10.); #117475=VECTOR('',#398303,10.); #117476=VECTOR('',#398304,10.); #117477=VECTOR('',#398307,10.); #117478=VECTOR('',#398308,10.); #117479=VECTOR('',#398309,10.); #117480=VECTOR('',#398312,10.); #117481=VECTOR('',#398313,10.); #117482=VECTOR('',#398314,10.); #117483=VECTOR('',#398317,10.); #117484=VECTOR('',#398318,10.); #117485=VECTOR('',#398319,10.); #117486=VECTOR('',#398322,10.); #117487=VECTOR('',#398323,10.); #117488=VECTOR('',#398324,10.); #117489=VECTOR('',#398327,10.); #117490=VECTOR('',#398328,10.); #117491=VECTOR('',#398329,10.); #117492=VECTOR('',#398332,10.); #117493=VECTOR('',#398333,10.); #117494=VECTOR('',#398334,10.); #117495=VECTOR('',#398337,10.); #117496=VECTOR('',#398338,10.); #117497=VECTOR('',#398339,10.); #117498=VECTOR('',#398342,10.); #117499=VECTOR('',#398343,10.); #117500=VECTOR('',#398344,10.); #117501=VECTOR('',#398347,10.); #117502=VECTOR('',#398348,10.); #117503=VECTOR('',#398349,10.); #117504=VECTOR('',#398352,10.); #117505=VECTOR('',#398353,10.); #117506=VECTOR('',#398354,10.); #117507=VECTOR('',#398357,10.); #117508=VECTOR('',#398358,10.); #117509=VECTOR('',#398359,10.); #117510=VECTOR('',#398362,10.); #117511=VECTOR('',#398363,10.); #117512=VECTOR('',#398364,10.); #117513=VECTOR('',#398367,10.); #117514=VECTOR('',#398368,10.); #117515=VECTOR('',#398369,10.); #117516=VECTOR('',#398372,10.); #117517=VECTOR('',#398373,10.); #117518=VECTOR('',#398374,10.); #117519=VECTOR('',#398377,10.); #117520=VECTOR('',#398378,10.); #117521=VECTOR('',#398379,10.); #117522=VECTOR('',#398382,10.); #117523=VECTOR('',#398383,10.); #117524=VECTOR('',#398384,10.); #117525=VECTOR('',#398387,10.); #117526=VECTOR('',#398388,10.); #117527=VECTOR('',#398389,10.); #117528=VECTOR('',#398392,10.); #117529=VECTOR('',#398393,10.); #117530=VECTOR('',#398394,10.); #117531=VECTOR('',#398397,10.); #117532=VECTOR('',#398398,10.); #117533=VECTOR('',#398399,10.); #117534=VECTOR('',#398402,10.); #117535=VECTOR('',#398403,10.); #117536=VECTOR('',#398404,10.); #117537=VECTOR('',#398407,10.); #117538=VECTOR('',#398408,10.); #117539=VECTOR('',#398409,10.); #117540=VECTOR('',#398412,10.); #117541=VECTOR('',#398413,10.); #117542=VECTOR('',#398414,10.); #117543=VECTOR('',#398417,10.); #117544=VECTOR('',#398418,10.); #117545=VECTOR('',#398419,10.); #117546=VECTOR('',#398422,10.); #117547=VECTOR('',#398423,10.); #117548=VECTOR('',#398424,10.); #117549=VECTOR('',#398427,10.); #117550=VECTOR('',#398428,10.); #117551=VECTOR('',#398429,10.); #117552=VECTOR('',#398432,10.); #117553=VECTOR('',#398433,10.); #117554=VECTOR('',#398434,10.); #117555=VECTOR('',#398437,10.); #117556=VECTOR('',#398438,10.); #117557=VECTOR('',#398439,10.); #117558=VECTOR('',#398442,10.); #117559=VECTOR('',#398443,10.); #117560=VECTOR('',#398444,10.); #117561=VECTOR('',#398447,10.); #117562=VECTOR('',#398448,10.); #117563=VECTOR('',#398449,10.); #117564=VECTOR('',#398452,10.); #117565=VECTOR('',#398453,10.); #117566=VECTOR('',#398454,10.); #117567=VECTOR('',#398457,10.); #117568=VECTOR('',#398458,10.); #117569=VECTOR('',#398459,10.); #117570=VECTOR('',#398462,10.); #117571=VECTOR('',#398463,10.); #117572=VECTOR('',#398464,10.); #117573=VECTOR('',#398467,10.); #117574=VECTOR('',#398468,10.); #117575=VECTOR('',#398469,10.); #117576=VECTOR('',#398472,10.); #117577=VECTOR('',#398473,10.); #117578=VECTOR('',#398474,10.); #117579=VECTOR('',#398477,10.); #117580=VECTOR('',#398478,10.); #117581=VECTOR('',#398479,10.); #117582=VECTOR('',#398482,10.); #117583=VECTOR('',#398483,10.); #117584=VECTOR('',#398484,10.); #117585=VECTOR('',#398487,10.); #117586=VECTOR('',#398488,10.); #117587=VECTOR('',#398489,10.); #117588=VECTOR('',#398492,10.); #117589=VECTOR('',#398493,10.); #117590=VECTOR('',#398494,10.); #117591=VECTOR('',#398497,10.); #117592=VECTOR('',#398498,10.); #117593=VECTOR('',#398499,10.); #117594=VECTOR('',#398502,10.); #117595=VECTOR('',#398503,10.); #117596=VECTOR('',#398504,10.); #117597=VECTOR('',#398507,10.); #117598=VECTOR('',#398508,10.); #117599=VECTOR('',#398509,10.); #117600=VECTOR('',#398512,10.); #117601=VECTOR('',#398513,10.); #117602=VECTOR('',#398514,10.); #117603=VECTOR('',#398517,10.); #117604=VECTOR('',#398518,10.); #117605=VECTOR('',#398519,10.); #117606=VECTOR('',#398522,10.); #117607=VECTOR('',#398523,10.); #117608=VECTOR('',#398524,10.); #117609=VECTOR('',#398527,10.); #117610=VECTOR('',#398528,10.); #117611=VECTOR('',#398529,10.); #117612=VECTOR('',#398532,10.); #117613=VECTOR('',#398533,10.); #117614=VECTOR('',#398534,10.); #117615=VECTOR('',#398537,10.); #117616=VECTOR('',#398538,10.); #117617=VECTOR('',#398539,10.); #117618=VECTOR('',#398542,10.); #117619=VECTOR('',#398543,10.); #117620=VECTOR('',#398544,10.); #117621=VECTOR('',#398547,10.); #117622=VECTOR('',#398548,10.); #117623=VECTOR('',#398549,10.); #117624=VECTOR('',#398552,10.); #117625=VECTOR('',#398553,10.); #117626=VECTOR('',#398554,10.); #117627=VECTOR('',#398557,10.); #117628=VECTOR('',#398558,10.); #117629=VECTOR('',#398559,10.); #117630=VECTOR('',#398562,10.); #117631=VECTOR('',#398563,10.); #117632=VECTOR('',#398564,10.); #117633=VECTOR('',#398567,10.); #117634=VECTOR('',#398568,10.); #117635=VECTOR('',#398569,10.); #117636=VECTOR('',#398572,10.); #117637=VECTOR('',#398573,10.); #117638=VECTOR('',#398574,10.); #117639=VECTOR('',#398577,10.); #117640=VECTOR('',#398578,10.); #117641=VECTOR('',#398579,10.); #117642=VECTOR('',#398582,10.); #117643=VECTOR('',#398583,10.); #117644=VECTOR('',#398584,10.); #117645=VECTOR('',#398587,10.); #117646=VECTOR('',#398588,10.); #117647=VECTOR('',#398589,10.); #117648=VECTOR('',#398592,10.); #117649=VECTOR('',#398593,10.); #117650=VECTOR('',#398594,10.); #117651=VECTOR('',#398597,10.); #117652=VECTOR('',#398598,10.); #117653=VECTOR('',#398599,10.); #117654=VECTOR('',#398602,10.); #117655=VECTOR('',#398603,10.); #117656=VECTOR('',#398604,10.); #117657=VECTOR('',#398607,10.); #117658=VECTOR('',#398608,10.); #117659=VECTOR('',#398609,10.); #117660=VECTOR('',#398612,10.); #117661=VECTOR('',#398613,10.); #117662=VECTOR('',#398614,10.); #117663=VECTOR('',#398617,10.); #117664=VECTOR('',#398618,10.); #117665=VECTOR('',#398619,10.); #117666=VECTOR('',#398622,10.); #117667=VECTOR('',#398623,10.); #117668=VECTOR('',#398624,10.); #117669=VECTOR('',#398627,10.); #117670=VECTOR('',#398628,10.); #117671=VECTOR('',#398629,10.); #117672=VECTOR('',#398632,10.); #117673=VECTOR('',#398633,10.); #117674=VECTOR('',#398634,10.); #117675=VECTOR('',#398637,10.); #117676=VECTOR('',#398638,10.); #117677=VECTOR('',#398639,10.); #117678=VECTOR('',#398642,10.); #117679=VECTOR('',#398643,10.); #117680=VECTOR('',#398644,10.); #117681=VECTOR('',#398647,10.); #117682=VECTOR('',#398648,10.); #117683=VECTOR('',#398649,10.); #117684=VECTOR('',#398652,10.); #117685=VECTOR('',#398653,10.); #117686=VECTOR('',#398654,10.); #117687=VECTOR('',#398657,10.); #117688=VECTOR('',#398658,10.); #117689=VECTOR('',#398659,10.); #117690=VECTOR('',#398662,10.); #117691=VECTOR('',#398663,10.); #117692=VECTOR('',#398664,10.); #117693=VECTOR('',#398667,10.); #117694=VECTOR('',#398668,10.); #117695=VECTOR('',#398669,10.); #117696=VECTOR('',#398672,10.); #117697=VECTOR('',#398673,10.); #117698=VECTOR('',#398674,10.); #117699=VECTOR('',#398677,10.); #117700=VECTOR('',#398678,10.); #117701=VECTOR('',#398679,10.); #117702=VECTOR('',#398682,10.); #117703=VECTOR('',#398683,10.); #117704=VECTOR('',#398684,10.); #117705=VECTOR('',#398687,10.); #117706=VECTOR('',#398688,10.); #117707=VECTOR('',#398689,10.); #117708=VECTOR('',#398692,10.); #117709=VECTOR('',#398693,10.); #117710=VECTOR('',#398694,10.); #117711=VECTOR('',#398697,10.); #117712=VECTOR('',#398698,10.); #117713=VECTOR('',#398699,10.); #117714=VECTOR('',#398702,10.); #117715=VECTOR('',#398703,10.); #117716=VECTOR('',#398704,10.); #117717=VECTOR('',#398707,10.); #117718=VECTOR('',#398708,10.); #117719=VECTOR('',#398709,10.); #117720=VECTOR('',#398712,10.); #117721=VECTOR('',#398713,10.); #117722=VECTOR('',#398714,10.); #117723=VECTOR('',#398717,10.); #117724=VECTOR('',#398718,10.); #117725=VECTOR('',#398719,10.); #117726=VECTOR('',#398722,10.); #117727=VECTOR('',#398723,10.); #117728=VECTOR('',#398724,10.); #117729=VECTOR('',#398727,10.); #117730=VECTOR('',#398728,10.); #117731=VECTOR('',#398729,10.); #117732=VECTOR('',#398732,10.); #117733=VECTOR('',#398733,10.); #117734=VECTOR('',#398734,10.); #117735=VECTOR('',#398737,10.); #117736=VECTOR('',#398738,10.); #117737=VECTOR('',#398739,10.); #117738=VECTOR('',#398742,10.); #117739=VECTOR('',#398743,10.); #117740=VECTOR('',#398744,10.); #117741=VECTOR('',#398747,10.); #117742=VECTOR('',#398748,10.); #117743=VECTOR('',#398749,10.); #117744=VECTOR('',#398752,10.); #117745=VECTOR('',#398753,10.); #117746=VECTOR('',#398754,10.); #117747=VECTOR('',#398757,10.); #117748=VECTOR('',#398758,10.); #117749=VECTOR('',#398759,10.); #117750=VECTOR('',#398762,10.); #117751=VECTOR('',#398763,10.); #117752=VECTOR('',#398764,10.); #117753=VECTOR('',#398767,10.); #117754=VECTOR('',#398768,10.); #117755=VECTOR('',#398769,10.); #117756=VECTOR('',#398772,10.); #117757=VECTOR('',#398773,10.); #117758=VECTOR('',#398774,10.); #117759=VECTOR('',#398777,10.); #117760=VECTOR('',#398778,10.); #117761=VECTOR('',#398779,10.); #117762=VECTOR('',#398782,10.); #117763=VECTOR('',#398783,10.); #117764=VECTOR('',#398784,10.); #117765=VECTOR('',#398787,10.); #117766=VECTOR('',#398788,10.); #117767=VECTOR('',#398789,10.); #117768=VECTOR('',#398792,10.); #117769=VECTOR('',#398793,10.); #117770=VECTOR('',#398794,10.); #117771=VECTOR('',#398797,10.); #117772=VECTOR('',#398798,10.); #117773=VECTOR('',#398799,10.); #117774=VECTOR('',#398802,10.); #117775=VECTOR('',#398803,10.); #117776=VECTOR('',#398804,10.); #117777=VECTOR('',#398807,10.); #117778=VECTOR('',#398808,10.); #117779=VECTOR('',#398809,10.); #117780=VECTOR('',#398812,10.); #117781=VECTOR('',#398813,10.); #117782=VECTOR('',#398814,10.); #117783=VECTOR('',#398817,10.); #117784=VECTOR('',#398818,10.); #117785=VECTOR('',#398819,10.); #117786=VECTOR('',#398822,10.); #117787=VECTOR('',#398823,10.); #117788=VECTOR('',#398824,10.); #117789=VECTOR('',#398827,10.); #117790=VECTOR('',#398828,10.); #117791=VECTOR('',#398829,10.); #117792=VECTOR('',#398832,10.); #117793=VECTOR('',#398833,10.); #117794=VECTOR('',#398834,10.); #117795=VECTOR('',#398837,10.); #117796=VECTOR('',#398838,10.); #117797=VECTOR('',#398839,10.); #117798=VECTOR('',#398842,10.); #117799=VECTOR('',#398843,10.); #117800=VECTOR('',#398844,10.); #117801=VECTOR('',#398847,10.); #117802=VECTOR('',#398848,10.); #117803=VECTOR('',#398849,10.); #117804=VECTOR('',#398852,10.); #117805=VECTOR('',#398853,10.); #117806=VECTOR('',#398854,10.); #117807=VECTOR('',#398857,10.); #117808=VECTOR('',#398858,10.); #117809=VECTOR('',#398859,10.); #117810=VECTOR('',#398862,10.); #117811=VECTOR('',#398863,10.); #117812=VECTOR('',#398864,10.); #117813=VECTOR('',#398867,10.); #117814=VECTOR('',#398868,10.); #117815=VECTOR('',#398869,10.); #117816=VECTOR('',#398872,10.); #117817=VECTOR('',#398873,10.); #117818=VECTOR('',#398874,10.); #117819=VECTOR('',#398877,10.); #117820=VECTOR('',#398878,10.); #117821=VECTOR('',#398879,10.); #117822=VECTOR('',#398882,10.); #117823=VECTOR('',#398883,10.); #117824=VECTOR('',#398884,10.); #117825=VECTOR('',#398887,10.); #117826=VECTOR('',#398888,10.); #117827=VECTOR('',#398889,10.); #117828=VECTOR('',#398892,10.); #117829=VECTOR('',#398893,10.); #117830=VECTOR('',#398894,10.); #117831=VECTOR('',#398897,10.); #117832=VECTOR('',#398898,10.); #117833=VECTOR('',#398899,10.); #117834=VECTOR('',#398902,10.); #117835=VECTOR('',#398903,10.); #117836=VECTOR('',#398904,10.); #117837=VECTOR('',#398907,10.); #117838=VECTOR('',#398908,10.); #117839=VECTOR('',#398909,10.); #117840=VECTOR('',#398912,10.); #117841=VECTOR('',#398913,10.); #117842=VECTOR('',#398914,10.); #117843=VECTOR('',#398917,10.); #117844=VECTOR('',#398918,10.); #117845=VECTOR('',#398919,10.); #117846=VECTOR('',#398922,10.); #117847=VECTOR('',#398923,10.); #117848=VECTOR('',#398924,10.); #117849=VECTOR('',#398927,10.); #117850=VECTOR('',#398928,10.); #117851=VECTOR('',#398929,10.); #117852=VECTOR('',#398932,10.); #117853=VECTOR('',#398933,10.); #117854=VECTOR('',#398934,10.); #117855=VECTOR('',#398937,10.); #117856=VECTOR('',#398938,10.); #117857=VECTOR('',#398939,10.); #117858=VECTOR('',#398942,10.); #117859=VECTOR('',#398943,10.); #117860=VECTOR('',#398944,10.); #117861=VECTOR('',#398947,10.); #117862=VECTOR('',#398948,10.); #117863=VECTOR('',#398949,10.); #117864=VECTOR('',#398952,10.); #117865=VECTOR('',#398953,10.); #117866=VECTOR('',#398954,10.); #117867=VECTOR('',#398957,10.); #117868=VECTOR('',#398958,10.); #117869=VECTOR('',#398959,10.); #117870=VECTOR('',#398962,10.); #117871=VECTOR('',#398963,10.); #117872=VECTOR('',#398964,10.); #117873=VECTOR('',#398967,10.); #117874=VECTOR('',#398968,10.); #117875=VECTOR('',#398969,10.); #117876=VECTOR('',#398972,10.); #117877=VECTOR('',#398973,10.); #117878=VECTOR('',#398974,10.); #117879=VECTOR('',#398977,10.); #117880=VECTOR('',#398978,10.); #117881=VECTOR('',#398979,10.); #117882=VECTOR('',#398982,10.); #117883=VECTOR('',#398983,10.); #117884=VECTOR('',#398984,10.); #117885=VECTOR('',#398987,10.); #117886=VECTOR('',#398988,10.); #117887=VECTOR('',#398989,10.); #117888=VECTOR('',#398992,10.); #117889=VECTOR('',#398993,10.); #117890=VECTOR('',#398994,10.); #117891=VECTOR('',#398997,10.); #117892=VECTOR('',#398998,10.); #117893=VECTOR('',#398999,10.); #117894=VECTOR('',#399002,10.); #117895=VECTOR('',#399003,10.); #117896=VECTOR('',#399004,10.); #117897=VECTOR('',#399007,10.); #117898=VECTOR('',#399008,10.); #117899=VECTOR('',#399009,10.); #117900=VECTOR('',#399012,10.); #117901=VECTOR('',#399013,10.); #117902=VECTOR('',#399014,10.); #117903=VECTOR('',#399017,10.); #117904=VECTOR('',#399018,10.); #117905=VECTOR('',#399019,10.); #117906=VECTOR('',#399022,10.); #117907=VECTOR('',#399023,10.); #117908=VECTOR('',#399024,10.); #117909=VECTOR('',#399027,10.); #117910=VECTOR('',#399028,10.); #117911=VECTOR('',#399029,10.); #117912=VECTOR('',#399032,10.); #117913=VECTOR('',#399033,10.); #117914=VECTOR('',#399034,10.); #117915=VECTOR('',#399037,10.); #117916=VECTOR('',#399038,10.); #117917=VECTOR('',#399039,10.); #117918=VECTOR('',#399042,10.); #117919=VECTOR('',#399043,10.); #117920=VECTOR('',#399044,10.); #117921=VECTOR('',#399047,10.); #117922=VECTOR('',#399048,10.); #117923=VECTOR('',#399049,10.); #117924=VECTOR('',#399052,10.); #117925=VECTOR('',#399053,10.); #117926=VECTOR('',#399054,10.); #117927=VECTOR('',#399057,10.); #117928=VECTOR('',#399058,10.); #117929=VECTOR('',#399059,10.); #117930=VECTOR('',#399062,10.); #117931=VECTOR('',#399063,10.); #117932=VECTOR('',#399064,10.); #117933=VECTOR('',#399067,10.); #117934=VECTOR('',#399068,10.); #117935=VECTOR('',#399069,10.); #117936=VECTOR('',#399072,10.); #117937=VECTOR('',#399073,10.); #117938=VECTOR('',#399074,10.); #117939=VECTOR('',#399077,10.); #117940=VECTOR('',#399078,10.); #117941=VECTOR('',#399079,10.); #117942=VECTOR('',#399082,10.); #117943=VECTOR('',#399083,10.); #117944=VECTOR('',#399084,10.); #117945=VECTOR('',#399087,10.); #117946=VECTOR('',#399088,10.); #117947=VECTOR('',#399089,10.); #117948=VECTOR('',#399092,10.); #117949=VECTOR('',#399093,10.); #117950=VECTOR('',#399094,10.); #117951=VECTOR('',#399097,10.); #117952=VECTOR('',#399098,10.); #117953=VECTOR('',#399099,10.); #117954=VECTOR('',#399102,10.); #117955=VECTOR('',#399103,10.); #117956=VECTOR('',#399104,10.); #117957=VECTOR('',#399107,10.); #117958=VECTOR('',#399108,10.); #117959=VECTOR('',#399109,10.); #117960=VECTOR('',#399112,10.); #117961=VECTOR('',#399113,10.); #117962=VECTOR('',#399114,10.); #117963=VECTOR('',#399117,10.); #117964=VECTOR('',#399118,10.); #117965=VECTOR('',#399119,10.); #117966=VECTOR('',#399122,10.); #117967=VECTOR('',#399123,10.); #117968=VECTOR('',#399124,10.); #117969=VECTOR('',#399127,10.); #117970=VECTOR('',#399128,10.); #117971=VECTOR('',#399129,10.); #117972=VECTOR('',#399132,10.); #117973=VECTOR('',#399133,10.); #117974=VECTOR('',#399134,10.); #117975=VECTOR('',#399137,10.); #117976=VECTOR('',#399138,10.); #117977=VECTOR('',#399139,10.); #117978=VECTOR('',#399142,10.); #117979=VECTOR('',#399143,10.); #117980=VECTOR('',#399150,10.); #117981=VECTOR('',#399151,10.); #117982=VECTOR('',#399152,10.); #117983=VECTOR('',#399153,10.); #117984=VECTOR('',#399156,10.); #117985=VECTOR('',#399157,10.); #117986=VECTOR('',#399158,10.); #117987=VECTOR('',#399161,10.); #117988=VECTOR('',#399162,10.); #117989=VECTOR('',#399163,10.); #117990=VECTOR('',#399166,10.); #117991=VECTOR('',#399167,10.); #117992=VECTOR('',#399168,10.); #117993=VECTOR('',#399171,10.); #117994=VECTOR('',#399172,10.); #117995=VECTOR('',#399173,10.); #117996=VECTOR('',#399176,10.); #117997=VECTOR('',#399177,10.); #117998=VECTOR('',#399178,10.); #117999=VECTOR('',#399181,10.); #118000=VECTOR('',#399182,10.); #118001=VECTOR('',#399183,10.); #118002=VECTOR('',#399186,10.); #118003=VECTOR('',#399187,10.); #118004=VECTOR('',#399188,10.); #118005=VECTOR('',#399191,10.); #118006=VECTOR('',#399192,10.); #118007=VECTOR('',#399193,10.); #118008=VECTOR('',#399196,10.); #118009=VECTOR('',#399197,10.); #118010=VECTOR('',#399198,10.); #118011=VECTOR('',#399201,10.); #118012=VECTOR('',#399202,10.); #118013=VECTOR('',#399203,10.); #118014=VECTOR('',#399206,10.); #118015=VECTOR('',#399207,10.); #118016=VECTOR('',#399208,10.); #118017=VECTOR('',#399211,10.); #118018=VECTOR('',#399212,10.); #118019=VECTOR('',#399213,10.); #118020=VECTOR('',#399216,10.); #118021=VECTOR('',#399217,10.); #118022=VECTOR('',#399218,10.); #118023=VECTOR('',#399221,10.); #118024=VECTOR('',#399222,10.); #118025=VECTOR('',#399223,10.); #118026=VECTOR('',#399226,10.); #118027=VECTOR('',#399227,10.); #118028=VECTOR('',#399228,10.); #118029=VECTOR('',#399231,10.); #118030=VECTOR('',#399232,10.); #118031=VECTOR('',#399233,10.); #118032=VECTOR('',#399236,10.); #118033=VECTOR('',#399237,10.); #118034=VECTOR('',#399238,10.); #118035=VECTOR('',#399241,10.); #118036=VECTOR('',#399242,10.); #118037=VECTOR('',#399243,10.); #118038=VECTOR('',#399246,10.); #118039=VECTOR('',#399247,10.); #118040=VECTOR('',#399248,10.); #118041=VECTOR('',#399251,10.); #118042=VECTOR('',#399252,10.); #118043=VECTOR('',#399259,10.); #118044=VECTOR('',#399260,10.); #118045=VECTOR('',#399261,10.); #118046=VECTOR('',#399262,10.); #118047=VECTOR('',#399265,10.); #118048=VECTOR('',#399266,10.); #118049=VECTOR('',#399267,10.); #118050=VECTOR('',#399270,10.); #118051=VECTOR('',#399271,10.); #118052=VECTOR('',#399272,10.); #118053=VECTOR('',#399275,10.); #118054=VECTOR('',#399276,10.); #118055=VECTOR('',#399277,10.); #118056=VECTOR('',#399280,10.); #118057=VECTOR('',#399281,10.); #118058=VECTOR('',#399282,10.); #118059=VECTOR('',#399285,10.); #118060=VECTOR('',#399286,10.); #118061=VECTOR('',#399287,10.); #118062=VECTOR('',#399290,10.); #118063=VECTOR('',#399291,10.); #118064=VECTOR('',#399292,10.); #118065=VECTOR('',#399295,10.); #118066=VECTOR('',#399296,10.); #118067=VECTOR('',#399297,10.); #118068=VECTOR('',#399300,10.); #118069=VECTOR('',#399301,10.); #118070=VECTOR('',#399302,10.); #118071=VECTOR('',#399305,10.); #118072=VECTOR('',#399306,10.); #118073=VECTOR('',#399307,10.); #118074=VECTOR('',#399310,10.); #118075=VECTOR('',#399311,10.); #118076=VECTOR('',#399312,10.); #118077=VECTOR('',#399315,10.); #118078=VECTOR('',#399316,10.); #118079=VECTOR('',#399317,10.); #118080=VECTOR('',#399320,10.); #118081=VECTOR('',#399321,10.); #118082=VECTOR('',#399322,10.); #118083=VECTOR('',#399325,10.); #118084=VECTOR('',#399326,10.); #118085=VECTOR('',#399327,10.); #118086=VECTOR('',#399330,10.); #118087=VECTOR('',#399331,10.); #118088=VECTOR('',#399332,10.); #118089=VECTOR('',#399335,10.); #118090=VECTOR('',#399336,10.); #118091=VECTOR('',#399337,10.); #118092=VECTOR('',#399340,10.); #118093=VECTOR('',#399341,10.); #118094=VECTOR('',#399342,10.); #118095=VECTOR('',#399345,10.); #118096=VECTOR('',#399346,10.); #118097=VECTOR('',#399347,10.); #118098=VECTOR('',#399350,10.); #118099=VECTOR('',#399351,10.); #118100=VECTOR('',#399352,10.); #118101=VECTOR('',#399355,10.); #118102=VECTOR('',#399356,10.); #118103=VECTOR('',#399365,0.139999999999998); #118104=VECTOR('',#399372,0.139999999999998); #118105=VECTOR('',#399379,0.139999999999998); #118106=VECTOR('',#399386,0.465); #118107=VECTOR('',#399393,0.139999999999998); #118108=VECTOR('',#399400,0.139999999999998); #118109=VECTOR('',#399407,0.139999999999998); #118110=VECTOR('',#399414,0.139999999999998); #118111=VECTOR('',#399421,0.139999999999998); #118112=VECTOR('',#399428,0.139999999999998); #118113=VECTOR('',#399435,0.14); #118114=VECTOR('',#399442,0.14); #118115=VECTOR('',#399449,0.14); #118116=VECTOR('',#399456,0.14); #118117=VECTOR('',#399463,0.140000000000002); #118118=VECTOR('',#399470,0.140000000000002); #118119=VECTOR('',#399477,0.140000000000002); #118120=VECTOR('',#399484,0.140000000000002); #118121=VECTOR('',#399491,0.140000000000002); #118122=VECTOR('',#399498,0.140000000000002); #118123=VECTOR('',#399505,0.140000000000002); #118124=VECTOR('',#399512,0.140000000000002); #118125=VECTOR('',#399519,0.140000000000002); #118126=VECTOR('',#399526,0.140000000000002); #118127=VECTOR('',#399533,0.140000000000002); #118128=VECTOR('',#399540,0.140000000000002); #118129=VECTOR('',#399547,0.14); #118130=VECTOR('',#399554,0.14); #118131=VECTOR('',#399561,0.14); #118132=VECTOR('',#399568,0.14); #118133=VECTOR('',#399575,0.14); #118134=VECTOR('',#399582,0.14); #118135=VECTOR('',#399589,0.14); #118136=VECTOR('',#399596,0.14); #118137=VECTOR('',#399603,0.465); #118138=VECTOR('',#399608,10.); #118139=VECTOR('',#399609,10.); #118140=VECTOR('',#399610,10.); #118141=VECTOR('',#399611,10.); #118142=VECTOR('',#399618,10.); #118143=VECTOR('',#399621,10.); #118144=VECTOR('',#399622,10.); #118145=VECTOR('',#399623,10.); #118146=VECTOR('',#399634,0.114999999999998); #118147=VECTOR('',#399641,0.114999999999998); #118148=VECTOR('',#399648,0.114999999999998); #118149=VECTOR('',#399655,0.114999999999998); #118150=VECTOR('',#399660,10.); #118151=VECTOR('',#399661,10.); #118152=VECTOR('',#399662,10.); #118153=VECTOR('',#399663,10.); #118154=VECTOR('',#399670,10.); #118155=VECTOR('',#399673,10.); #118156=VECTOR('',#399674,10.); #118157=VECTOR('',#399675,10.); #118158=VECTOR('',#399684,10.); #118159=VECTOR('',#399685,10.); #118160=VECTOR('',#399686,10.); #118161=VECTOR('',#399687,10.); #118162=VECTOR('',#399694,10.); #118163=VECTOR('',#399697,10.); #118164=VECTOR('',#399698,10.); #118165=VECTOR('',#399699,10.); #118166=VECTOR('',#399708,10.); #118167=VECTOR('',#399709,10.); #118168=VECTOR('',#399710,10.); #118169=VECTOR('',#399711,10.); #118170=VECTOR('',#399718,10.); #118171=VECTOR('',#399721,10.); #118172=VECTOR('',#399722,10.); #118173=VECTOR('',#399723,10.); #118174=VECTOR('',#399734,0.140000000000002); #118175=VECTOR('',#399741,0.140000000000002); #118176=VECTOR('',#399748,0.564999999999998); #118177=VECTOR('',#399755,0.14); #118178=VECTOR('',#399762,0.140000000000002); #118179=VECTOR('',#399769,0.140000000000002); #118180=VECTOR('',#399776,0.140000000000002); #118181=VECTOR('',#399783,0.140000000000002); #118182=VECTOR('',#399790,0.140000000000002); #118183=VECTOR('',#399797,0.140000000000002); #118184=VECTOR('',#399804,0.140000000000002); #118185=VECTOR('',#399811,0.14); #118186=VECTOR('',#399818,0.14); #118187=VECTOR('',#399825,0.14); #118188=VECTOR('',#399832,0.14); #118189=VECTOR('',#399839,0.14); #118190=VECTOR('',#399846,0.14); #118191=VECTOR('',#399853,0.14); #118192=VECTOR('',#399860,0.14); #118193=VECTOR('',#399865,10.); #118194=VECTOR('',#399866,10.); #118195=VECTOR('',#399867,10.); #118196=VECTOR('',#399868,10.); #118197=VECTOR('',#399871,10.); #118198=VECTOR('',#399872,10.); #118199=VECTOR('',#399873,10.); #118200=VECTOR('',#399876,10.); #118201=VECTOR('',#399877,10.); #118202=VECTOR('',#399878,10.); #118203=VECTOR('',#399881,10.); #118204=VECTOR('',#399882,10.); #118205=VECTOR('',#399883,10.); #118206=VECTOR('',#399886,10.); #118207=VECTOR('',#399887,10.); #118208=VECTOR('',#399888,10.); #118209=VECTOR('',#399891,10.); #118210=VECTOR('',#399892,10.); #118211=VECTOR('',#399893,10.); #118212=VECTOR('',#399896,10.); #118213=VECTOR('',#399897,10.); #118214=VECTOR('',#399898,10.); #118215=VECTOR('',#399901,10.); #118216=VECTOR('',#399902,10.); #118217=VECTOR('',#399905,10.); #118218=VECTOR('',#399906,10.); #118219=VECTOR('',#399907,10.); #118220=VECTOR('',#399908,10.); #118221=VECTOR('',#399911,10.); #118222=VECTOR('',#399912,10.); #118223=VECTOR('',#399913,10.); #118224=VECTOR('',#399916,10.); #118225=VECTOR('',#399917,10.); #118226=VECTOR('',#399918,10.); #118227=VECTOR('',#399921,10.); #118228=VECTOR('',#399922,10.); #118229=VECTOR('',#399923,10.); #118230=VECTOR('',#399926,10.); #118231=VECTOR('',#399927,10.); #118232=VECTOR('',#399928,10.); #118233=VECTOR('',#399931,10.); #118234=VECTOR('',#399932,10.); #118235=VECTOR('',#399933,10.); #118236=VECTOR('',#399936,10.); #118237=VECTOR('',#399937,10.); #118238=VECTOR('',#399938,10.); #118239=VECTOR('',#399941,10.); #118240=VECTOR('',#399942,10.); #118241=VECTOR('',#399943,10.); #118242=VECTOR('',#399946,10.); #118243=VECTOR('',#399947,10.); #118244=VECTOR('',#399948,10.); #118245=VECTOR('',#399951,10.); #118246=VECTOR('',#399952,10.); #118247=VECTOR('',#399953,10.); #118248=VECTOR('',#399956,10.); #118249=VECTOR('',#399957,10.); #118250=VECTOR('',#399958,10.); #118251=VECTOR('',#399961,10.); #118252=VECTOR('',#399962,10.); #118253=VECTOR('',#399963,10.); #118254=VECTOR('',#399966,10.); #118255=VECTOR('',#399967,10.); #118256=VECTOR('',#399968,10.); #118257=VECTOR('',#399971,10.); #118258=VECTOR('',#399972,10.); #118259=VECTOR('',#399973,10.); #118260=VECTOR('',#399976,10.); #118261=VECTOR('',#399977,10.); #118262=VECTOR('',#399978,10.); #118263=VECTOR('',#399981,10.); #118264=VECTOR('',#399982,10.); #118265=VECTOR('',#399985,10.); #118266=VECTOR('',#399986,10.); #118267=VECTOR('',#399987,10.); #118268=VECTOR('',#399988,10.); #118269=VECTOR('',#399991,10.); #118270=VECTOR('',#399992,10.); #118271=VECTOR('',#399993,10.); #118272=VECTOR('',#399996,10.); #118273=VECTOR('',#399997,10.); #118274=VECTOR('',#399998,10.); #118275=VECTOR('',#400001,10.); #118276=VECTOR('',#400002,10.); #118277=VECTOR('',#400003,10.); #118278=VECTOR('',#400006,10.); #118279=VECTOR('',#400007,10.); #118280=VECTOR('',#400008,10.); #118281=VECTOR('',#400011,10.); #118282=VECTOR('',#400012,10.); #118283=VECTOR('',#400013,10.); #118284=VECTOR('',#400016,10.); #118285=VECTOR('',#400017,10.); #118286=VECTOR('',#400018,10.); #118287=VECTOR('',#400021,10.); #118288=VECTOR('',#400022,10.); #118289=VECTOR('',#400023,10.); #118290=VECTOR('',#400026,10.); #118291=VECTOR('',#400027,10.); #118292=VECTOR('',#400028,10.); #118293=VECTOR('',#400031,10.); #118294=VECTOR('',#400032,10.); #118295=VECTOR('',#400033,10.); #118296=VECTOR('',#400036,10.); #118297=VECTOR('',#400037,10.); #118298=VECTOR('',#400038,10.); #118299=VECTOR('',#400041,10.); #118300=VECTOR('',#400042,10.); #118301=VECTOR('',#400043,10.); #118302=VECTOR('',#400046,10.); #118303=VECTOR('',#400047,10.); #118304=VECTOR('',#400048,10.); #118305=VECTOR('',#400051,10.); #118306=VECTOR('',#400052,10.); #118307=VECTOR('',#400053,10.); #118308=VECTOR('',#400056,10.); #118309=VECTOR('',#400057,10.); #118310=VECTOR('',#400058,10.); #118311=VECTOR('',#400061,10.); #118312=VECTOR('',#400062,10.); #118313=VECTOR('',#400065,10.); #118314=VECTOR('',#400066,10.); #118315=VECTOR('',#400067,10.); #118316=VECTOR('',#400068,10.); #118317=VECTOR('',#400071,10.); #118318=VECTOR('',#400072,10.); #118319=VECTOR('',#400073,10.); #118320=VECTOR('',#400076,10.); #118321=VECTOR('',#400077,10.); #118322=VECTOR('',#400078,10.); #118323=VECTOR('',#400081,10.); #118324=VECTOR('',#400082,10.); #118325=VECTOR('',#400083,10.); #118326=VECTOR('',#400086,10.); #118327=VECTOR('',#400087,10.); #118328=VECTOR('',#400088,10.); #118329=VECTOR('',#400091,10.); #118330=VECTOR('',#400092,10.); #118331=VECTOR('',#400093,10.); #118332=VECTOR('',#400096,10.); #118333=VECTOR('',#400097,10.); #118334=VECTOR('',#400098,10.); #118335=VECTOR('',#400101,10.); #118336=VECTOR('',#400102,10.); #118337=VECTOR('',#400103,10.); #118338=VECTOR('',#400106,10.); #118339=VECTOR('',#400107,10.); #118340=VECTOR('',#400108,10.); #118341=VECTOR('',#400111,10.); #118342=VECTOR('',#400112,10.); #118343=VECTOR('',#400113,10.); #118344=VECTOR('',#400116,10.); #118345=VECTOR('',#400117,10.); #118346=VECTOR('',#400118,10.); #118347=VECTOR('',#400121,10.); #118348=VECTOR('',#400122,10.); #118349=VECTOR('',#400123,10.); #118350=VECTOR('',#400126,10.); #118351=VECTOR('',#400127,10.); #118352=VECTOR('',#400128,10.); #118353=VECTOR('',#400131,10.); #118354=VECTOR('',#400132,10.); #118355=VECTOR('',#400133,10.); #118356=VECTOR('',#400136,10.); #118357=VECTOR('',#400137,10.); #118358=VECTOR('',#400138,10.); #118359=VECTOR('',#400141,10.); #118360=VECTOR('',#400142,10.); #118361=VECTOR('',#400143,10.); #118362=VECTOR('',#400146,10.); #118363=VECTOR('',#400147,10.); #118364=VECTOR('',#400148,10.); #118365=VECTOR('',#400151,10.); #118366=VECTOR('',#400152,10.); #118367=VECTOR('',#400153,10.); #118368=VECTOR('',#400156,10.); #118369=VECTOR('',#400157,10.); #118370=VECTOR('',#400158,10.); #118371=VECTOR('',#400161,10.); #118372=VECTOR('',#400162,10.); #118373=VECTOR('',#400163,10.); #118374=VECTOR('',#400166,10.); #118375=VECTOR('',#400167,10.); #118376=VECTOR('',#400168,10.); #118377=VECTOR('',#400171,10.); #118378=VECTOR('',#400172,10.); #118379=VECTOR('',#400173,10.); #118380=VECTOR('',#400176,10.); #118381=VECTOR('',#400177,10.); #118382=VECTOR('',#400178,10.); #118383=VECTOR('',#400181,10.); #118384=VECTOR('',#400182,10.); #118385=VECTOR('',#400183,10.); #118386=VECTOR('',#400186,10.); #118387=VECTOR('',#400187,10.); #118388=VECTOR('',#400188,10.); #118389=VECTOR('',#400191,10.); #118390=VECTOR('',#400192,10.); #118391=VECTOR('',#400193,10.); #118392=VECTOR('',#400196,10.); #118393=VECTOR('',#400197,10.); #118394=VECTOR('',#400198,10.); #118395=VECTOR('',#400201,10.); #118396=VECTOR('',#400202,10.); #118397=VECTOR('',#400203,10.); #118398=VECTOR('',#400206,10.); #118399=VECTOR('',#400207,10.); #118400=VECTOR('',#400208,10.); #118401=VECTOR('',#400211,10.); #118402=VECTOR('',#400212,10.); #118403=VECTOR('',#400213,10.); #118404=VECTOR('',#400216,10.); #118405=VECTOR('',#400217,10.); #118406=VECTOR('',#400218,10.); #118407=VECTOR('',#400221,10.); #118408=VECTOR('',#400222,10.); #118409=VECTOR('',#400223,10.); #118410=VECTOR('',#400226,10.); #118411=VECTOR('',#400227,10.); #118412=VECTOR('',#400228,10.); #118413=VECTOR('',#400231,10.); #118414=VECTOR('',#400232,10.); #118415=VECTOR('',#400233,10.); #118416=VECTOR('',#400236,10.); #118417=VECTOR('',#400237,10.); #118418=VECTOR('',#400238,10.); #118419=VECTOR('',#400241,10.); #118420=VECTOR('',#400242,10.); #118421=VECTOR('',#400245,10.); #118422=VECTOR('',#400246,10.); #118423=VECTOR('',#400247,10.); #118424=VECTOR('',#400248,10.); #118425=VECTOR('',#400251,10.); #118426=VECTOR('',#400252,10.); #118427=VECTOR('',#400253,10.); #118428=VECTOR('',#400256,10.); #118429=VECTOR('',#400257,10.); #118430=VECTOR('',#400258,10.); #118431=VECTOR('',#400261,10.); #118432=VECTOR('',#400262,10.); #118433=VECTOR('',#400263,10.); #118434=VECTOR('',#400266,10.); #118435=VECTOR('',#400267,10.); #118436=VECTOR('',#400268,10.); #118437=VECTOR('',#400271,10.); #118438=VECTOR('',#400272,10.); #118439=VECTOR('',#400273,10.); #118440=VECTOR('',#400276,10.); #118441=VECTOR('',#400277,10.); #118442=VECTOR('',#400278,10.); #118443=VECTOR('',#400281,10.); #118444=VECTOR('',#400282,10.); #118445=VECTOR('',#400283,10.); #118446=VECTOR('',#400286,10.); #118447=VECTOR('',#400287,10.); #118448=VECTOR('',#400288,10.); #118449=VECTOR('',#400291,10.); #118450=VECTOR('',#400292,10.); #118451=VECTOR('',#400293,10.); #118452=VECTOR('',#400296,10.); #118453=VECTOR('',#400297,10.); #118454=VECTOR('',#400298,10.); #118455=VECTOR('',#400301,10.); #118456=VECTOR('',#400302,10.); #118457=VECTOR('',#400303,10.); #118458=VECTOR('',#400306,10.); #118459=VECTOR('',#400307,10.); #118460=VECTOR('',#400308,10.); #118461=VECTOR('',#400311,10.); #118462=VECTOR('',#400312,10.); #118463=VECTOR('',#400313,10.); #118464=VECTOR('',#400316,10.); #118465=VECTOR('',#400317,10.); #118466=VECTOR('',#400318,10.); #118467=VECTOR('',#400321,10.); #118468=VECTOR('',#400322,10.); #118469=VECTOR('',#400323,10.); #118470=VECTOR('',#400326,10.); #118471=VECTOR('',#400327,10.); #118472=VECTOR('',#400328,10.); #118473=VECTOR('',#400331,10.); #118474=VECTOR('',#400332,10.); #118475=VECTOR('',#400333,10.); #118476=VECTOR('',#400336,10.); #118477=VECTOR('',#400337,10.); #118478=VECTOR('',#400338,10.); #118479=VECTOR('',#400341,10.); #118480=VECTOR('',#400342,10.); #118481=VECTOR('',#400343,10.); #118482=VECTOR('',#400346,10.); #118483=VECTOR('',#400347,10.); #118484=VECTOR('',#400348,10.); #118485=VECTOR('',#400351,10.); #118486=VECTOR('',#400352,10.); #118487=VECTOR('',#400353,10.); #118488=VECTOR('',#400356,10.); #118489=VECTOR('',#400357,10.); #118490=VECTOR('',#400358,10.); #118491=VECTOR('',#400361,10.); #118492=VECTOR('',#400362,10.); #118493=VECTOR('',#400363,10.); #118494=VECTOR('',#400366,10.); #118495=VECTOR('',#400367,10.); #118496=VECTOR('',#400368,10.); #118497=VECTOR('',#400371,10.); #118498=VECTOR('',#400372,10.); #118499=VECTOR('',#400373,10.); #118500=VECTOR('',#400376,10.); #118501=VECTOR('',#400377,10.); #118502=VECTOR('',#400378,10.); #118503=VECTOR('',#400381,10.); #118504=VECTOR('',#400382,10.); #118505=VECTOR('',#400383,10.); #118506=VECTOR('',#400386,10.); #118507=VECTOR('',#400387,10.); #118508=VECTOR('',#400388,10.); #118509=VECTOR('',#400391,10.); #118510=VECTOR('',#400392,10.); #118511=VECTOR('',#400393,10.); #118512=VECTOR('',#400396,10.); #118513=VECTOR('',#400397,10.); #118514=VECTOR('',#400398,10.); #118515=VECTOR('',#400401,10.); #118516=VECTOR('',#400402,10.); #118517=VECTOR('',#400403,10.); #118518=VECTOR('',#400406,10.); #118519=VECTOR('',#400407,10.); #118520=VECTOR('',#400408,10.); #118521=VECTOR('',#400411,10.); #118522=VECTOR('',#400412,10.); #118523=VECTOR('',#400413,10.); #118524=VECTOR('',#400416,10.); #118525=VECTOR('',#400417,10.); #118526=VECTOR('',#400418,10.); #118527=VECTOR('',#400421,10.); #118528=VECTOR('',#400422,10.); #118529=VECTOR('',#400425,10.); #118530=VECTOR('',#400426,10.); #118531=VECTOR('',#400427,10.); #118532=VECTOR('',#400428,10.); #118533=VECTOR('',#400431,10.); #118534=VECTOR('',#400432,10.); #118535=VECTOR('',#400433,10.); #118536=VECTOR('',#400436,10.); #118537=VECTOR('',#400437,10.); #118538=VECTOR('',#400438,10.); #118539=VECTOR('',#400441,10.); #118540=VECTOR('',#400442,10.); #118541=VECTOR('',#400443,10.); #118542=VECTOR('',#400446,10.); #118543=VECTOR('',#400447,10.); #118544=VECTOR('',#400448,10.); #118545=VECTOR('',#400451,10.); #118546=VECTOR('',#400452,10.); #118547=VECTOR('',#400453,10.); #118548=VECTOR('',#400456,10.); #118549=VECTOR('',#400457,10.); #118550=VECTOR('',#400458,10.); #118551=VECTOR('',#400461,10.); #118552=VECTOR('',#400462,10.); #118553=VECTOR('',#400463,10.); #118554=VECTOR('',#400466,10.); #118555=VECTOR('',#400467,10.); #118556=VECTOR('',#400468,10.); #118557=VECTOR('',#400471,10.); #118558=VECTOR('',#400472,10.); #118559=VECTOR('',#400473,10.); #118560=VECTOR('',#400476,10.); #118561=VECTOR('',#400477,10.); #118562=VECTOR('',#400478,10.); #118563=VECTOR('',#400481,10.); #118564=VECTOR('',#400482,10.); #118565=VECTOR('',#400483,10.); #118566=VECTOR('',#400486,10.); #118567=VECTOR('',#400487,10.); #118568=VECTOR('',#400488,10.); #118569=VECTOR('',#400491,10.); #118570=VECTOR('',#400492,10.); #118571=VECTOR('',#400493,10.); #118572=VECTOR('',#400496,10.); #118573=VECTOR('',#400497,10.); #118574=VECTOR('',#400498,10.); #118575=VECTOR('',#400501,10.); #118576=VECTOR('',#400502,10.); #118577=VECTOR('',#400503,10.); #118578=VECTOR('',#400506,10.); #118579=VECTOR('',#400507,10.); #118580=VECTOR('',#400508,10.); #118581=VECTOR('',#400511,10.); #118582=VECTOR('',#400512,10.); #118583=VECTOR('',#400513,10.); #118584=VECTOR('',#400516,10.); #118585=VECTOR('',#400517,10.); #118586=VECTOR('',#400518,10.); #118587=VECTOR('',#400521,10.); #118588=VECTOR('',#400522,10.); #118589=VECTOR('',#400523,10.); #118590=VECTOR('',#400526,10.); #118591=VECTOR('',#400527,10.); #118592=VECTOR('',#400528,10.); #118593=VECTOR('',#400531,10.); #118594=VECTOR('',#400532,10.); #118595=VECTOR('',#400533,10.); #118596=VECTOR('',#400536,10.); #118597=VECTOR('',#400537,10.); #118598=VECTOR('',#400538,10.); #118599=VECTOR('',#400541,10.); #118600=VECTOR('',#400542,10.); #118601=VECTOR('',#400543,10.); #118602=VECTOR('',#400546,10.); #118603=VECTOR('',#400547,10.); #118604=VECTOR('',#400548,10.); #118605=VECTOR('',#400551,10.); #118606=VECTOR('',#400552,10.); #118607=VECTOR('',#400553,10.); #118608=VECTOR('',#400556,10.); #118609=VECTOR('',#400557,10.); #118610=VECTOR('',#400558,10.); #118611=VECTOR('',#400561,10.); #118612=VECTOR('',#400562,10.); #118613=VECTOR('',#400563,10.); #118614=VECTOR('',#400566,10.); #118615=VECTOR('',#400567,10.); #118616=VECTOR('',#400568,10.); #118617=VECTOR('',#400571,10.); #118618=VECTOR('',#400572,10.); #118619=VECTOR('',#400573,10.); #118620=VECTOR('',#400576,10.); #118621=VECTOR('',#400577,10.); #118622=VECTOR('',#400578,10.); #118623=VECTOR('',#400581,10.); #118624=VECTOR('',#400582,10.); #118625=VECTOR('',#400583,10.); #118626=VECTOR('',#400586,10.); #118627=VECTOR('',#400587,10.); #118628=VECTOR('',#400588,10.); #118629=VECTOR('',#400591,10.); #118630=VECTOR('',#400592,10.); #118631=VECTOR('',#400593,10.); #118632=VECTOR('',#400596,10.); #118633=VECTOR('',#400597,10.); #118634=VECTOR('',#400598,10.); #118635=VECTOR('',#400601,10.); #118636=VECTOR('',#400602,10.); #118637=VECTOR('',#400603,10.); #118638=VECTOR('',#400606,10.); #118639=VECTOR('',#400607,10.); #118640=VECTOR('',#400608,10.); #118641=VECTOR('',#400611,10.); #118642=VECTOR('',#400612,10.); #118643=VECTOR('',#400613,10.); #118644=VECTOR('',#400616,10.); #118645=VECTOR('',#400617,10.); #118646=VECTOR('',#400618,10.); #118647=VECTOR('',#400621,10.); #118648=VECTOR('',#400622,10.); #118649=VECTOR('',#400623,10.); #118650=VECTOR('',#400626,10.); #118651=VECTOR('',#400627,10.); #118652=VECTOR('',#400628,10.); #118653=VECTOR('',#400631,10.); #118654=VECTOR('',#400632,10.); #118655=VECTOR('',#400633,10.); #118656=VECTOR('',#400636,10.); #118657=VECTOR('',#400637,10.); #118658=VECTOR('',#400638,10.); #118659=VECTOR('',#400641,10.); #118660=VECTOR('',#400642,10.); #118661=VECTOR('',#400643,10.); #118662=VECTOR('',#400646,10.); #118663=VECTOR('',#400647,10.); #118664=VECTOR('',#400648,10.); #118665=VECTOR('',#400651,10.); #118666=VECTOR('',#400652,10.); #118667=VECTOR('',#400653,10.); #118668=VECTOR('',#400656,10.); #118669=VECTOR('',#400657,10.); #118670=VECTOR('',#400658,10.); #118671=VECTOR('',#400661,10.); #118672=VECTOR('',#400662,10.); #118673=VECTOR('',#400663,10.); #118674=VECTOR('',#400666,10.); #118675=VECTOR('',#400667,10.); #118676=VECTOR('',#400668,10.); #118677=VECTOR('',#400671,10.); #118678=VECTOR('',#400672,10.); #118679=VECTOR('',#400673,10.); #118680=VECTOR('',#400676,10.); #118681=VECTOR('',#400677,10.); #118682=VECTOR('',#400678,10.); #118683=VECTOR('',#400681,10.); #118684=VECTOR('',#400682,10.); #118685=VECTOR('',#400683,10.); #118686=VECTOR('',#400686,10.); #118687=VECTOR('',#400687,10.); #118688=VECTOR('',#400688,10.); #118689=VECTOR('',#400691,10.); #118690=VECTOR('',#400692,10.); #118691=VECTOR('',#400693,10.); #118692=VECTOR('',#400696,10.); #118693=VECTOR('',#400697,10.); #118694=VECTOR('',#400698,10.); #118695=VECTOR('',#400701,10.); #118696=VECTOR('',#400702,10.); #118697=VECTOR('',#400703,10.); #118698=VECTOR('',#400706,10.); #118699=VECTOR('',#400707,10.); #118700=VECTOR('',#400708,10.); #118701=VECTOR('',#400711,10.); #118702=VECTOR('',#400712,10.); #118703=VECTOR('',#400713,10.); #118704=VECTOR('',#400716,10.); #118705=VECTOR('',#400717,10.); #118706=VECTOR('',#400718,10.); #118707=VECTOR('',#400721,10.); #118708=VECTOR('',#400722,10.); #118709=VECTOR('',#400723,10.); #118710=VECTOR('',#400726,10.); #118711=VECTOR('',#400727,10.); #118712=VECTOR('',#400728,10.); #118713=VECTOR('',#400731,10.); #118714=VECTOR('',#400732,10.); #118715=VECTOR('',#400733,10.); #118716=VECTOR('',#400736,10.); #118717=VECTOR('',#400737,10.); #118718=VECTOR('',#400738,10.); #118719=VECTOR('',#400741,10.); #118720=VECTOR('',#400742,10.); #118721=VECTOR('',#400743,10.); #118722=VECTOR('',#400746,10.); #118723=VECTOR('',#400747,10.); #118724=VECTOR('',#400748,10.); #118725=VECTOR('',#400751,10.); #118726=VECTOR('',#400752,10.); #118727=VECTOR('',#400753,10.); #118728=VECTOR('',#400756,10.); #118729=VECTOR('',#400757,10.); #118730=VECTOR('',#400758,10.); #118731=VECTOR('',#400761,10.); #118732=VECTOR('',#400762,10.); #118733=VECTOR('',#400763,10.); #118734=VECTOR('',#400766,10.); #118735=VECTOR('',#400767,10.); #118736=VECTOR('',#400768,10.); #118737=VECTOR('',#400771,10.); #118738=VECTOR('',#400772,10.); #118739=VECTOR('',#400773,10.); #118740=VECTOR('',#400776,10.); #118741=VECTOR('',#400777,10.); #118742=VECTOR('',#400778,10.); #118743=VECTOR('',#400781,10.); #118744=VECTOR('',#400782,10.); #118745=VECTOR('',#400783,10.); #118746=VECTOR('',#400786,10.); #118747=VECTOR('',#400787,10.); #118748=VECTOR('',#400788,10.); #118749=VECTOR('',#400791,10.); #118750=VECTOR('',#400792,10.); #118751=VECTOR('',#400793,10.); #118752=VECTOR('',#400796,10.); #118753=VECTOR('',#400797,10.); #118754=VECTOR('',#400798,10.); #118755=VECTOR('',#400801,10.); #118756=VECTOR('',#400802,10.); #118757=VECTOR('',#400803,10.); #118758=VECTOR('',#400806,10.); #118759=VECTOR('',#400807,10.); #118760=VECTOR('',#400808,10.); #118761=VECTOR('',#400811,10.); #118762=VECTOR('',#400812,10.); #118763=VECTOR('',#400813,10.); #118764=VECTOR('',#400816,10.); #118765=VECTOR('',#400817,10.); #118766=VECTOR('',#400818,10.); #118767=VECTOR('',#400821,10.); #118768=VECTOR('',#400822,10.); #118769=VECTOR('',#400823,10.); #118770=VECTOR('',#400826,10.); #118771=VECTOR('',#400827,10.); #118772=VECTOR('',#400828,10.); #118773=VECTOR('',#400831,10.); #118774=VECTOR('',#400832,10.); #118775=VECTOR('',#400833,10.); #118776=VECTOR('',#400836,10.); #118777=VECTOR('',#400837,10.); #118778=VECTOR('',#400838,10.); #118779=VECTOR('',#400841,10.); #118780=VECTOR('',#400842,10.); #118781=VECTOR('',#400843,10.); #118782=VECTOR('',#400846,10.); #118783=VECTOR('',#400847,10.); #118784=VECTOR('',#400848,10.); #118785=VECTOR('',#400851,10.); #118786=VECTOR('',#400852,10.); #118787=VECTOR('',#400853,10.); #118788=VECTOR('',#400856,10.); #118789=VECTOR('',#400857,10.); #118790=VECTOR('',#400858,10.); #118791=VECTOR('',#400861,10.); #118792=VECTOR('',#400862,10.); #118793=VECTOR('',#400863,10.); #118794=VECTOR('',#400866,10.); #118795=VECTOR('',#400867,10.); #118796=VECTOR('',#400868,10.); #118797=VECTOR('',#400871,10.); #118798=VECTOR('',#400872,10.); #118799=VECTOR('',#400873,10.); #118800=VECTOR('',#400876,10.); #118801=VECTOR('',#400877,10.); #118802=VECTOR('',#400878,10.); #118803=VECTOR('',#400881,10.); #118804=VECTOR('',#400882,10.); #118805=VECTOR('',#400883,10.); #118806=VECTOR('',#400886,10.); #118807=VECTOR('',#400887,10.); #118808=VECTOR('',#400888,10.); #118809=VECTOR('',#400891,10.); #118810=VECTOR('',#400892,10.); #118811=VECTOR('',#400893,10.); #118812=VECTOR('',#400896,10.); #118813=VECTOR('',#400897,10.); #118814=VECTOR('',#400898,10.); #118815=VECTOR('',#400901,10.); #118816=VECTOR('',#400902,10.); #118817=VECTOR('',#400903,10.); #118818=VECTOR('',#400906,10.); #118819=VECTOR('',#400907,10.); #118820=VECTOR('',#400908,10.); #118821=VECTOR('',#400911,10.); #118822=VECTOR('',#400912,10.); #118823=VECTOR('',#400913,10.); #118824=VECTOR('',#400916,10.); #118825=VECTOR('',#400917,10.); #118826=VECTOR('',#400918,10.); #118827=VECTOR('',#400921,10.); #118828=VECTOR('',#400922,10.); #118829=VECTOR('',#400923,10.); #118830=VECTOR('',#400926,10.); #118831=VECTOR('',#400927,10.); #118832=VECTOR('',#400928,10.); #118833=VECTOR('',#400931,10.); #118834=VECTOR('',#400932,10.); #118835=VECTOR('',#400933,10.); #118836=VECTOR('',#400936,10.); #118837=VECTOR('',#400937,10.); #118838=VECTOR('',#400938,10.); #118839=VECTOR('',#400941,10.); #118840=VECTOR('',#400942,10.); #118841=VECTOR('',#400943,10.); #118842=VECTOR('',#400946,10.); #118843=VECTOR('',#400947,10.); #118844=VECTOR('',#400948,10.); #118845=VECTOR('',#400951,10.); #118846=VECTOR('',#400952,10.); #118847=VECTOR('',#400953,10.); #118848=VECTOR('',#400956,10.); #118849=VECTOR('',#400957,10.); #118850=VECTOR('',#400958,10.); #118851=VECTOR('',#400961,10.); #118852=VECTOR('',#400962,10.); #118853=VECTOR('',#400963,10.); #118854=VECTOR('',#400966,10.); #118855=VECTOR('',#400967,10.); #118856=VECTOR('',#400968,10.); #118857=VECTOR('',#400971,10.); #118858=VECTOR('',#400972,10.); #118859=VECTOR('',#400973,10.); #118860=VECTOR('',#400976,10.); #118861=VECTOR('',#400977,10.); #118862=VECTOR('',#400978,10.); #118863=VECTOR('',#400981,10.); #118864=VECTOR('',#400982,10.); #118865=VECTOR('',#400983,10.); #118866=VECTOR('',#400986,10.); #118867=VECTOR('',#400987,10.); #118868=VECTOR('',#400988,10.); #118869=VECTOR('',#400991,10.); #118870=VECTOR('',#400992,10.); #118871=VECTOR('',#400993,10.); #118872=VECTOR('',#400996,10.); #118873=VECTOR('',#400997,10.); #118874=VECTOR('',#400998,10.); #118875=VECTOR('',#401001,10.); #118876=VECTOR('',#401002,10.); #118877=VECTOR('',#401003,10.); #118878=VECTOR('',#401006,10.); #118879=VECTOR('',#401007,10.); #118880=VECTOR('',#401008,10.); #118881=VECTOR('',#401011,10.); #118882=VECTOR('',#401012,10.); #118883=VECTOR('',#401013,10.); #118884=VECTOR('',#401016,10.); #118885=VECTOR('',#401017,10.); #118886=VECTOR('',#401018,10.); #118887=VECTOR('',#401021,10.); #118888=VECTOR('',#401022,10.); #118889=VECTOR('',#401023,10.); #118890=VECTOR('',#401026,10.); #118891=VECTOR('',#401027,10.); #118892=VECTOR('',#401028,10.); #118893=VECTOR('',#401031,10.); #118894=VECTOR('',#401032,10.); #118895=VECTOR('',#401033,10.); #118896=VECTOR('',#401036,10.); #118897=VECTOR('',#401037,10.); #118898=VECTOR('',#401038,10.); #118899=VECTOR('',#401041,10.); #118900=VECTOR('',#401042,10.); #118901=VECTOR('',#401043,10.); #118902=VECTOR('',#401046,10.); #118903=VECTOR('',#401047,10.); #118904=VECTOR('',#401048,10.); #118905=VECTOR('',#401051,10.); #118906=VECTOR('',#401052,10.); #118907=VECTOR('',#401053,10.); #118908=VECTOR('',#401056,10.); #118909=VECTOR('',#401057,10.); #118910=VECTOR('',#401058,10.); #118911=VECTOR('',#401061,10.); #118912=VECTOR('',#401062,10.); #118913=VECTOR('',#401063,10.); #118914=VECTOR('',#401066,10.); #118915=VECTOR('',#401067,10.); #118916=VECTOR('',#401068,10.); #118917=VECTOR('',#401071,10.); #118918=VECTOR('',#401072,10.); #118919=VECTOR('',#401073,10.); #118920=VECTOR('',#401076,10.); #118921=VECTOR('',#401077,10.); #118922=VECTOR('',#401078,10.); #118923=VECTOR('',#401081,10.); #118924=VECTOR('',#401082,10.); #118925=VECTOR('',#401083,10.); #118926=VECTOR('',#401086,10.); #118927=VECTOR('',#401087,10.); #118928=VECTOR('',#401088,10.); #118929=VECTOR('',#401091,10.); #118930=VECTOR('',#401092,10.); #118931=VECTOR('',#401093,10.); #118932=VECTOR('',#401096,10.); #118933=VECTOR('',#401097,10.); #118934=VECTOR('',#401098,10.); #118935=VECTOR('',#401101,10.); #118936=VECTOR('',#401102,10.); #118937=VECTOR('',#401103,10.); #118938=VECTOR('',#401106,10.); #118939=VECTOR('',#401107,10.); #118940=VECTOR('',#401108,10.); #118941=VECTOR('',#401111,10.); #118942=VECTOR('',#401112,10.); #118943=VECTOR('',#401113,10.); #118944=VECTOR('',#401116,10.); #118945=VECTOR('',#401117,10.); #118946=VECTOR('',#401118,10.); #118947=VECTOR('',#401121,10.); #118948=VECTOR('',#401122,10.); #118949=VECTOR('',#401123,10.); #118950=VECTOR('',#401126,10.); #118951=VECTOR('',#401127,10.); #118952=VECTOR('',#401128,10.); #118953=VECTOR('',#401131,10.); #118954=VECTOR('',#401132,10.); #118955=VECTOR('',#401133,10.); #118956=VECTOR('',#401136,10.); #118957=VECTOR('',#401137,10.); #118958=VECTOR('',#401138,10.); #118959=VECTOR('',#401141,10.); #118960=VECTOR('',#401142,10.); #118961=VECTOR('',#401143,10.); #118962=VECTOR('',#401146,10.); #118963=VECTOR('',#401147,10.); #118964=VECTOR('',#401148,10.); #118965=VECTOR('',#401151,10.); #118966=VECTOR('',#401152,10.); #118967=VECTOR('',#401153,10.); #118968=VECTOR('',#401156,10.); #118969=VECTOR('',#401157,10.); #118970=VECTOR('',#401158,10.); #118971=VECTOR('',#401161,10.); #118972=VECTOR('',#401162,10.); #118973=VECTOR('',#401163,10.); #118974=VECTOR('',#401166,10.); #118975=VECTOR('',#401167,10.); #118976=VECTOR('',#401168,10.); #118977=VECTOR('',#401171,10.); #118978=VECTOR('',#401172,10.); #118979=VECTOR('',#401173,10.); #118980=VECTOR('',#401176,10.); #118981=VECTOR('',#401177,10.); #118982=VECTOR('',#401178,10.); #118983=VECTOR('',#401181,10.); #118984=VECTOR('',#401182,10.); #118985=VECTOR('',#401183,10.); #118986=VECTOR('',#401186,10.); #118987=VECTOR('',#401187,10.); #118988=VECTOR('',#401188,10.); #118989=VECTOR('',#401191,10.); #118990=VECTOR('',#401192,10.); #118991=VECTOR('',#401193,10.); #118992=VECTOR('',#401196,10.); #118993=VECTOR('',#401197,10.); #118994=VECTOR('',#401198,10.); #118995=VECTOR('',#401201,10.); #118996=VECTOR('',#401202,10.); #118997=VECTOR('',#401203,10.); #118998=VECTOR('',#401206,10.); #118999=VECTOR('',#401207,10.); #119000=VECTOR('',#401208,10.); #119001=VECTOR('',#401211,10.); #119002=VECTOR('',#401212,10.); #119003=VECTOR('',#401213,10.); #119004=VECTOR('',#401216,10.); #119005=VECTOR('',#401217,10.); #119006=VECTOR('',#401218,10.); #119007=VECTOR('',#401221,10.); #119008=VECTOR('',#401222,10.); #119009=VECTOR('',#401223,10.); #119010=VECTOR('',#401226,10.); #119011=VECTOR('',#401227,10.); #119012=VECTOR('',#401228,10.); #119013=VECTOR('',#401231,10.); #119014=VECTOR('',#401232,10.); #119015=VECTOR('',#401233,10.); #119016=VECTOR('',#401236,10.); #119017=VECTOR('',#401237,10.); #119018=VECTOR('',#401238,10.); #119019=VECTOR('',#401241,10.); #119020=VECTOR('',#401242,10.); #119021=VECTOR('',#401243,10.); #119022=VECTOR('',#401246,10.); #119023=VECTOR('',#401247,10.); #119024=VECTOR('',#401248,10.); #119025=VECTOR('',#401251,10.); #119026=VECTOR('',#401252,10.); #119027=VECTOR('',#401253,10.); #119028=VECTOR('',#401256,10.); #119029=VECTOR('',#401257,10.); #119030=VECTOR('',#401258,10.); #119031=VECTOR('',#401261,10.); #119032=VECTOR('',#401262,10.); #119033=VECTOR('',#401263,10.); #119034=VECTOR('',#401266,10.); #119035=VECTOR('',#401267,10.); #119036=VECTOR('',#401268,10.); #119037=VECTOR('',#401271,10.); #119038=VECTOR('',#401272,10.); #119039=VECTOR('',#401273,10.); #119040=VECTOR('',#401276,10.); #119041=VECTOR('',#401277,10.); #119042=VECTOR('',#401278,10.); #119043=VECTOR('',#401281,10.); #119044=VECTOR('',#401282,10.); #119045=VECTOR('',#401283,10.); #119046=VECTOR('',#401286,10.); #119047=VECTOR('',#401287,10.); #119048=VECTOR('',#401288,10.); #119049=VECTOR('',#401291,10.); #119050=VECTOR('',#401292,10.); #119051=VECTOR('',#401293,10.); #119052=VECTOR('',#401296,10.); #119053=VECTOR('',#401297,10.); #119054=VECTOR('',#401298,10.); #119055=VECTOR('',#401301,10.); #119056=VECTOR('',#401302,10.); #119057=VECTOR('',#401303,10.); #119058=VECTOR('',#401306,10.); #119059=VECTOR('',#401307,10.); #119060=VECTOR('',#401308,10.); #119061=VECTOR('',#401311,10.); #119062=VECTOR('',#401312,10.); #119063=VECTOR('',#401313,10.); #119064=VECTOR('',#401316,10.); #119065=VECTOR('',#401317,10.); #119066=VECTOR('',#401318,10.); #119067=VECTOR('',#401321,10.); #119068=VECTOR('',#401322,10.); #119069=VECTOR('',#401323,10.); #119070=VECTOR('',#401326,10.); #119071=VECTOR('',#401327,10.); #119072=VECTOR('',#401328,10.); #119073=VECTOR('',#401331,10.); #119074=VECTOR('',#401332,10.); #119075=VECTOR('',#401333,10.); #119076=VECTOR('',#401336,10.); #119077=VECTOR('',#401337,10.); #119078=VECTOR('',#401338,10.); #119079=VECTOR('',#401341,10.); #119080=VECTOR('',#401342,10.); #119081=VECTOR('',#401343,10.); #119082=VECTOR('',#401346,10.); #119083=VECTOR('',#401347,10.); #119084=VECTOR('',#401348,10.); #119085=VECTOR('',#401351,10.); #119086=VECTOR('',#401352,10.); #119087=VECTOR('',#401353,10.); #119088=VECTOR('',#401356,10.); #119089=VECTOR('',#401357,10.); #119090=VECTOR('',#401358,10.); #119091=VECTOR('',#401361,10.); #119092=VECTOR('',#401362,10.); #119093=VECTOR('',#401363,10.); #119094=VECTOR('',#401366,10.); #119095=VECTOR('',#401367,10.); #119096=VECTOR('',#401368,10.); #119097=VECTOR('',#401371,10.); #119098=VECTOR('',#401372,10.); #119099=VECTOR('',#401373,10.); #119100=VECTOR('',#401376,10.); #119101=VECTOR('',#401377,10.); #119102=VECTOR('',#401378,10.); #119103=VECTOR('',#401381,10.); #119104=VECTOR('',#401382,10.); #119105=VECTOR('',#401383,10.); #119106=VECTOR('',#401386,10.); #119107=VECTOR('',#401387,10.); #119108=VECTOR('',#401388,10.); #119109=VECTOR('',#401391,10.); #119110=VECTOR('',#401392,10.); #119111=VECTOR('',#401393,10.); #119112=VECTOR('',#401396,10.); #119113=VECTOR('',#401397,10.); #119114=VECTOR('',#401398,10.); #119115=VECTOR('',#401401,10.); #119116=VECTOR('',#401402,10.); #119117=VECTOR('',#401403,10.); #119118=VECTOR('',#401406,10.); #119119=VECTOR('',#401407,10.); #119120=VECTOR('',#401408,10.); #119121=VECTOR('',#401411,10.); #119122=VECTOR('',#401412,10.); #119123=VECTOR('',#401413,10.); #119124=VECTOR('',#401416,10.); #119125=VECTOR('',#401417,10.); #119126=VECTOR('',#401418,10.); #119127=VECTOR('',#401421,10.); #119128=VECTOR('',#401422,10.); #119129=VECTOR('',#401423,10.); #119130=VECTOR('',#401426,10.); #119131=VECTOR('',#401427,10.); #119132=VECTOR('',#401428,10.); #119133=VECTOR('',#401431,10.); #119134=VECTOR('',#401432,10.); #119135=VECTOR('',#401433,10.); #119136=VECTOR('',#401436,10.); #119137=VECTOR('',#401437,10.); #119138=VECTOR('',#401438,10.); #119139=VECTOR('',#401441,10.); #119140=VECTOR('',#401442,10.); #119141=VECTOR('',#401443,10.); #119142=VECTOR('',#401446,10.); #119143=VECTOR('',#401447,10.); #119144=VECTOR('',#401448,10.); #119145=VECTOR('',#401451,10.); #119146=VECTOR('',#401452,10.); #119147=VECTOR('',#401453,10.); #119148=VECTOR('',#401456,10.); #119149=VECTOR('',#401457,10.); #119150=VECTOR('',#401458,10.); #119151=VECTOR('',#401461,10.); #119152=VECTOR('',#401462,10.); #119153=VECTOR('',#401463,10.); #119154=VECTOR('',#401466,10.); #119155=VECTOR('',#401467,10.); #119156=VECTOR('',#401468,10.); #119157=VECTOR('',#401471,10.); #119158=VECTOR('',#401472,10.); #119159=VECTOR('',#401473,10.); #119160=VECTOR('',#401476,10.); #119161=VECTOR('',#401477,10.); #119162=VECTOR('',#401478,10.); #119163=VECTOR('',#401481,10.); #119164=VECTOR('',#401482,10.); #119165=VECTOR('',#401483,10.); #119166=VECTOR('',#401486,10.); #119167=VECTOR('',#401487,10.); #119168=VECTOR('',#401488,10.); #119169=VECTOR('',#401491,10.); #119170=VECTOR('',#401492,10.); #119171=VECTOR('',#401493,10.); #119172=VECTOR('',#401496,10.); #119173=VECTOR('',#401497,10.); #119174=VECTOR('',#401498,10.); #119175=VECTOR('',#401501,10.); #119176=VECTOR('',#401502,10.); #119177=VECTOR('',#401503,10.); #119178=VECTOR('',#401506,10.); #119179=VECTOR('',#401507,10.); #119180=VECTOR('',#401508,10.); #119181=VECTOR('',#401511,10.); #119182=VECTOR('',#401512,10.); #119183=VECTOR('',#401513,10.); #119184=VECTOR('',#401516,10.); #119185=VECTOR('',#401517,10.); #119186=VECTOR('',#401518,10.); #119187=VECTOR('',#401521,10.); #119188=VECTOR('',#401522,10.); #119189=VECTOR('',#401523,10.); #119190=VECTOR('',#401526,10.); #119191=VECTOR('',#401527,10.); #119192=VECTOR('',#401528,10.); #119193=VECTOR('',#401531,10.); #119194=VECTOR('',#401532,10.); #119195=VECTOR('',#401533,10.); #119196=VECTOR('',#401536,10.); #119197=VECTOR('',#401537,10.); #119198=VECTOR('',#401538,10.); #119199=VECTOR('',#401541,10.); #119200=VECTOR('',#401542,10.); #119201=VECTOR('',#401543,10.); #119202=VECTOR('',#401546,10.); #119203=VECTOR('',#401547,10.); #119204=VECTOR('',#401548,10.); #119205=VECTOR('',#401551,10.); #119206=VECTOR('',#401552,10.); #119207=VECTOR('',#401553,10.); #119208=VECTOR('',#401556,10.); #119209=VECTOR('',#401557,10.); #119210=VECTOR('',#401558,10.); #119211=VECTOR('',#401561,10.); #119212=VECTOR('',#401562,10.); #119213=VECTOR('',#401563,10.); #119214=VECTOR('',#401566,10.); #119215=VECTOR('',#401567,10.); #119216=VECTOR('',#401568,10.); #119217=VECTOR('',#401571,10.); #119218=VECTOR('',#401572,10.); #119219=VECTOR('',#401573,10.); #119220=VECTOR('',#401576,10.); #119221=VECTOR('',#401577,10.); #119222=VECTOR('',#401578,10.); #119223=VECTOR('',#401581,10.); #119224=VECTOR('',#401582,10.); #119225=VECTOR('',#401583,10.); #119226=VECTOR('',#401586,10.); #119227=VECTOR('',#401587,10.); #119228=VECTOR('',#401588,10.); #119229=VECTOR('',#401591,10.); #119230=VECTOR('',#401592,10.); #119231=VECTOR('',#401593,10.); #119232=VECTOR('',#401596,10.); #119233=VECTOR('',#401597,10.); #119234=VECTOR('',#401598,10.); #119235=VECTOR('',#401601,10.); #119236=VECTOR('',#401602,10.); #119237=VECTOR('',#401603,10.); #119238=VECTOR('',#401606,10.); #119239=VECTOR('',#401607,10.); #119240=VECTOR('',#401608,10.); #119241=VECTOR('',#401611,10.); #119242=VECTOR('',#401612,10.); #119243=VECTOR('',#401613,10.); #119244=VECTOR('',#401616,10.); #119245=VECTOR('',#401617,10.); #119246=VECTOR('',#401618,10.); #119247=VECTOR('',#401621,10.); #119248=VECTOR('',#401622,10.); #119249=VECTOR('',#401623,10.); #119250=VECTOR('',#401626,10.); #119251=VECTOR('',#401627,10.); #119252=VECTOR('',#401628,10.); #119253=VECTOR('',#401631,10.); #119254=VECTOR('',#401632,10.); #119255=VECTOR('',#401633,10.); #119256=VECTOR('',#401636,10.); #119257=VECTOR('',#401637,10.); #119258=VECTOR('',#401638,10.); #119259=VECTOR('',#401641,10.); #119260=VECTOR('',#401642,10.); #119261=VECTOR('',#401643,10.); #119262=VECTOR('',#401646,10.); #119263=VECTOR('',#401647,10.); #119264=VECTOR('',#401648,10.); #119265=VECTOR('',#401651,10.); #119266=VECTOR('',#401652,10.); #119267=VECTOR('',#401653,10.); #119268=VECTOR('',#401656,10.); #119269=VECTOR('',#401657,10.); #119270=VECTOR('',#401658,10.); #119271=VECTOR('',#401661,10.); #119272=VECTOR('',#401662,10.); #119273=VECTOR('',#401663,10.); #119274=VECTOR('',#401666,10.); #119275=VECTOR('',#401667,10.); #119276=VECTOR('',#401668,10.); #119277=VECTOR('',#401671,10.); #119278=VECTOR('',#401672,10.); #119279=VECTOR('',#401673,10.); #119280=VECTOR('',#401676,10.); #119281=VECTOR('',#401677,10.); #119282=VECTOR('',#401678,10.); #119283=VECTOR('',#401681,10.); #119284=VECTOR('',#401682,10.); #119285=VECTOR('',#401683,10.); #119286=VECTOR('',#401686,10.); #119287=VECTOR('',#401687,10.); #119288=VECTOR('',#401688,10.); #119289=VECTOR('',#401691,10.); #119290=VECTOR('',#401692,10.); #119291=VECTOR('',#401693,10.); #119292=VECTOR('',#401696,10.); #119293=VECTOR('',#401697,10.); #119294=VECTOR('',#401698,10.); #119295=VECTOR('',#401701,10.); #119296=VECTOR('',#401702,10.); #119297=VECTOR('',#401703,10.); #119298=VECTOR('',#401706,10.); #119299=VECTOR('',#401707,10.); #119300=VECTOR('',#401708,10.); #119301=VECTOR('',#401711,10.); #119302=VECTOR('',#401712,10.); #119303=VECTOR('',#401713,10.); #119304=VECTOR('',#401716,10.); #119305=VECTOR('',#401717,10.); #119306=VECTOR('',#401718,10.); #119307=VECTOR('',#401721,10.); #119308=VECTOR('',#401722,10.); #119309=VECTOR('',#401723,10.); #119310=VECTOR('',#401726,10.); #119311=VECTOR('',#401727,10.); #119312=VECTOR('',#401728,10.); #119313=VECTOR('',#401731,10.); #119314=VECTOR('',#401732,10.); #119315=VECTOR('',#401733,10.); #119316=VECTOR('',#401736,10.); #119317=VECTOR('',#401737,10.); #119318=VECTOR('',#401738,10.); #119319=VECTOR('',#401741,10.); #119320=VECTOR('',#401742,10.); #119321=VECTOR('',#401743,10.); #119322=VECTOR('',#401746,10.); #119323=VECTOR('',#401747,10.); #119324=VECTOR('',#401748,10.); #119325=VECTOR('',#401751,10.); #119326=VECTOR('',#401752,10.); #119327=VECTOR('',#401753,10.); #119328=VECTOR('',#401756,10.); #119329=VECTOR('',#401757,10.); #119330=VECTOR('',#401758,10.); #119331=VECTOR('',#401761,10.); #119332=VECTOR('',#401762,10.); #119333=VECTOR('',#401763,10.); #119334=VECTOR('',#401766,10.); #119335=VECTOR('',#401767,10.); #119336=VECTOR('',#401768,10.); #119337=VECTOR('',#401771,10.); #119338=VECTOR('',#401772,10.); #119339=VECTOR('',#401773,10.); #119340=VECTOR('',#401776,10.); #119341=VECTOR('',#401777,10.); #119342=VECTOR('',#401778,10.); #119343=VECTOR('',#401781,10.); #119344=VECTOR('',#401782,10.); #119345=VECTOR('',#401783,10.); #119346=VECTOR('',#401786,10.); #119347=VECTOR('',#401787,10.); #119348=VECTOR('',#401788,10.); #119349=VECTOR('',#401791,10.); #119350=VECTOR('',#401792,10.); #119351=VECTOR('',#401793,10.); #119352=VECTOR('',#401796,10.); #119353=VECTOR('',#401797,10.); #119354=VECTOR('',#401798,10.); #119355=VECTOR('',#401801,10.); #119356=VECTOR('',#401802,10.); #119357=VECTOR('',#401803,10.); #119358=VECTOR('',#401806,10.); #119359=VECTOR('',#401807,10.); #119360=VECTOR('',#401808,10.); #119361=VECTOR('',#401811,10.); #119362=VECTOR('',#401812,10.); #119363=VECTOR('',#401813,10.); #119364=VECTOR('',#401816,10.); #119365=VECTOR('',#401817,10.); #119366=VECTOR('',#401818,10.); #119367=VECTOR('',#401821,10.); #119368=VECTOR('',#401822,10.); #119369=VECTOR('',#401823,10.); #119370=VECTOR('',#401826,10.); #119371=VECTOR('',#401827,10.); #119372=VECTOR('',#401828,10.); #119373=VECTOR('',#401831,10.); #119374=VECTOR('',#401832,10.); #119375=VECTOR('',#401833,10.); #119376=VECTOR('',#401836,10.); #119377=VECTOR('',#401837,10.); #119378=VECTOR('',#401838,10.); #119379=VECTOR('',#401841,10.); #119380=VECTOR('',#401842,10.); #119381=VECTOR('',#401843,10.); #119382=VECTOR('',#401846,10.); #119383=VECTOR('',#401847,10.); #119384=VECTOR('',#401848,10.); #119385=VECTOR('',#401851,10.); #119386=VECTOR('',#401852,10.); #119387=VECTOR('',#401853,10.); #119388=VECTOR('',#401856,10.); #119389=VECTOR('',#401857,10.); #119390=VECTOR('',#401858,10.); #119391=VECTOR('',#401861,10.); #119392=VECTOR('',#401862,10.); #119393=VECTOR('',#401863,10.); #119394=VECTOR('',#401866,10.); #119395=VECTOR('',#401867,10.); #119396=VECTOR('',#401868,10.); #119397=VECTOR('',#401871,10.); #119398=VECTOR('',#401872,10.); #119399=VECTOR('',#401873,10.); #119400=VECTOR('',#401876,10.); #119401=VECTOR('',#401877,10.); #119402=VECTOR('',#401878,10.); #119403=VECTOR('',#401881,10.); #119404=VECTOR('',#401882,10.); #119405=VECTOR('',#401883,10.); #119406=VECTOR('',#401886,10.); #119407=VECTOR('',#401887,10.); #119408=VECTOR('',#401888,10.); #119409=VECTOR('',#401891,10.); #119410=VECTOR('',#401892,10.); #119411=VECTOR('',#401893,10.); #119412=VECTOR('',#401896,10.); #119413=VECTOR('',#401897,10.); #119414=VECTOR('',#401898,10.); #119415=VECTOR('',#401901,10.); #119416=VECTOR('',#401902,10.); #119417=VECTOR('',#401903,10.); #119418=VECTOR('',#401906,10.); #119419=VECTOR('',#401907,10.); #119420=VECTOR('',#401908,10.); #119421=VECTOR('',#401911,10.); #119422=VECTOR('',#401912,10.); #119423=VECTOR('',#401913,10.); #119424=VECTOR('',#401916,10.); #119425=VECTOR('',#401917,10.); #119426=VECTOR('',#401918,10.); #119427=VECTOR('',#401921,10.); #119428=VECTOR('',#401922,10.); #119429=VECTOR('',#401923,10.); #119430=VECTOR('',#401926,10.); #119431=VECTOR('',#401927,10.); #119432=VECTOR('',#401928,10.); #119433=VECTOR('',#401931,10.); #119434=VECTOR('',#401932,10.); #119435=VECTOR('',#401933,10.); #119436=VECTOR('',#401936,10.); #119437=VECTOR('',#401937,10.); #119438=VECTOR('',#401938,10.); #119439=VECTOR('',#401941,10.); #119440=VECTOR('',#401942,10.); #119441=VECTOR('',#401943,10.); #119442=VECTOR('',#401946,10.); #119443=VECTOR('',#401947,10.); #119444=VECTOR('',#401948,10.); #119445=VECTOR('',#401951,10.); #119446=VECTOR('',#401952,10.); #119447=VECTOR('',#401953,10.); #119448=VECTOR('',#401956,10.); #119449=VECTOR('',#401957,10.); #119450=VECTOR('',#401958,10.); #119451=VECTOR('',#401961,10.); #119452=VECTOR('',#401962,10.); #119453=VECTOR('',#401963,10.); #119454=VECTOR('',#401966,10.); #119455=VECTOR('',#401967,10.); #119456=VECTOR('',#401968,10.); #119457=VECTOR('',#401971,10.); #119458=VECTOR('',#401972,10.); #119459=VECTOR('',#401973,10.); #119460=VECTOR('',#401976,10.); #119461=VECTOR('',#401977,10.); #119462=VECTOR('',#401978,10.); #119463=VECTOR('',#401981,10.); #119464=VECTOR('',#401982,10.); #119465=VECTOR('',#401983,10.); #119466=VECTOR('',#401986,10.); #119467=VECTOR('',#401987,10.); #119468=VECTOR('',#401988,10.); #119469=VECTOR('',#401991,10.); #119470=VECTOR('',#401992,10.); #119471=VECTOR('',#401993,10.); #119472=VECTOR('',#401996,10.); #119473=VECTOR('',#401997,10.); #119474=VECTOR('',#401998,10.); #119475=VECTOR('',#402001,10.); #119476=VECTOR('',#402002,10.); #119477=VECTOR('',#402003,10.); #119478=VECTOR('',#402006,10.); #119479=VECTOR('',#402007,10.); #119480=VECTOR('',#402008,10.); #119481=VECTOR('',#402011,10.); #119482=VECTOR('',#402012,10.); #119483=VECTOR('',#402013,10.); #119484=VECTOR('',#402016,10.); #119485=VECTOR('',#402017,10.); #119486=VECTOR('',#402018,10.); #119487=VECTOR('',#402021,10.); #119488=VECTOR('',#402022,10.); #119489=VECTOR('',#402023,10.); #119490=VECTOR('',#402026,10.); #119491=VECTOR('',#402027,10.); #119492=VECTOR('',#402028,10.); #119493=VECTOR('',#402031,10.); #119494=VECTOR('',#402032,10.); #119495=VECTOR('',#402033,10.); #119496=VECTOR('',#402036,10.); #119497=VECTOR('',#402037,10.); #119498=VECTOR('',#402038,10.); #119499=VECTOR('',#402041,10.); #119500=VECTOR('',#402042,10.); #119501=VECTOR('',#402043,10.); #119502=VECTOR('',#402046,10.); #119503=VECTOR('',#402047,10.); #119504=VECTOR('',#402048,10.); #119505=VECTOR('',#402051,10.); #119506=VECTOR('',#402052,10.); #119507=VECTOR('',#402053,10.); #119508=VECTOR('',#402056,10.); #119509=VECTOR('',#402057,10.); #119510=VECTOR('',#402058,10.); #119511=VECTOR('',#402061,10.); #119512=VECTOR('',#402062,10.); #119513=VECTOR('',#402063,10.); #119514=VECTOR('',#402066,10.); #119515=VECTOR('',#402067,10.); #119516=VECTOR('',#402068,10.); #119517=VECTOR('',#402071,10.); #119518=VECTOR('',#402072,10.); #119519=VECTOR('',#402073,10.); #119520=VECTOR('',#402076,10.); #119521=VECTOR('',#402077,10.); #119522=VECTOR('',#402078,10.); #119523=VECTOR('',#402081,10.); #119524=VECTOR('',#402082,10.); #119525=VECTOR('',#402083,10.); #119526=VECTOR('',#402086,10.); #119527=VECTOR('',#402087,10.); #119528=VECTOR('',#402088,10.); #119529=VECTOR('',#402091,10.); #119530=VECTOR('',#402092,10.); #119531=VECTOR('',#402093,10.); #119532=VECTOR('',#402096,10.); #119533=VECTOR('',#402097,10.); #119534=VECTOR('',#402098,10.); #119535=VECTOR('',#402101,10.); #119536=VECTOR('',#402102,10.); #119537=VECTOR('',#402103,10.); #119538=VECTOR('',#402106,10.); #119539=VECTOR('',#402107,10.); #119540=VECTOR('',#402108,10.); #119541=VECTOR('',#402111,10.); #119542=VECTOR('',#402112,10.); #119543=VECTOR('',#402113,10.); #119544=VECTOR('',#402116,10.); #119545=VECTOR('',#402117,10.); #119546=VECTOR('',#402118,10.); #119547=VECTOR('',#402121,10.); #119548=VECTOR('',#402122,10.); #119549=VECTOR('',#402123,10.); #119550=VECTOR('',#402126,10.); #119551=VECTOR('',#402127,10.); #119552=VECTOR('',#402128,10.); #119553=VECTOR('',#402131,10.); #119554=VECTOR('',#402132,10.); #119555=VECTOR('',#402133,10.); #119556=VECTOR('',#402136,10.); #119557=VECTOR('',#402137,10.); #119558=VECTOR('',#402138,10.); #119559=VECTOR('',#402141,10.); #119560=VECTOR('',#402142,10.); #119561=VECTOR('',#402143,10.); #119562=VECTOR('',#402146,10.); #119563=VECTOR('',#402147,10.); #119564=VECTOR('',#402148,10.); #119565=VECTOR('',#402151,10.); #119566=VECTOR('',#402152,10.); #119567=VECTOR('',#402153,10.); #119568=VECTOR('',#402156,10.); #119569=VECTOR('',#402157,10.); #119570=VECTOR('',#402158,10.); #119571=VECTOR('',#402161,10.); #119572=VECTOR('',#402162,10.); #119573=VECTOR('',#402163,10.); #119574=VECTOR('',#402166,10.); #119575=VECTOR('',#402167,10.); #119576=VECTOR('',#402168,10.); #119577=VECTOR('',#402171,10.); #119578=VECTOR('',#402172,10.); #119579=VECTOR('',#402173,10.); #119580=VECTOR('',#402176,10.); #119581=VECTOR('',#402177,10.); #119582=VECTOR('',#402178,10.); #119583=VECTOR('',#402181,10.); #119584=VECTOR('',#402182,10.); #119585=VECTOR('',#402183,10.); #119586=VECTOR('',#402186,10.); #119587=VECTOR('',#402187,10.); #119588=VECTOR('',#402188,10.); #119589=VECTOR('',#402191,10.); #119590=VECTOR('',#402192,10.); #119591=VECTOR('',#402193,10.); #119592=VECTOR('',#402196,10.); #119593=VECTOR('',#402197,10.); #119594=VECTOR('',#402198,10.); #119595=VECTOR('',#402201,10.); #119596=VECTOR('',#402202,10.); #119597=VECTOR('',#402203,10.); #119598=VECTOR('',#402206,10.); #119599=VECTOR('',#402207,10.); #119600=VECTOR('',#402208,10.); #119601=VECTOR('',#402211,10.); #119602=VECTOR('',#402212,10.); #119603=VECTOR('',#402213,10.); #119604=VECTOR('',#402216,10.); #119605=VECTOR('',#402217,10.); #119606=VECTOR('',#402218,10.); #119607=VECTOR('',#402221,10.); #119608=VECTOR('',#402222,10.); #119609=VECTOR('',#402223,10.); #119610=VECTOR('',#402226,10.); #119611=VECTOR('',#402227,10.); #119612=VECTOR('',#402228,10.); #119613=VECTOR('',#402231,10.); #119614=VECTOR('',#402232,10.); #119615=VECTOR('',#402233,10.); #119616=VECTOR('',#402236,10.); #119617=VECTOR('',#402237,10.); #119618=VECTOR('',#402238,10.); #119619=VECTOR('',#402241,10.); #119620=VECTOR('',#402242,10.); #119621=VECTOR('',#402243,10.); #119622=VECTOR('',#402246,10.); #119623=VECTOR('',#402247,10.); #119624=VECTOR('',#402248,10.); #119625=VECTOR('',#402251,10.); #119626=VECTOR('',#402252,10.); #119627=VECTOR('',#402253,10.); #119628=VECTOR('',#402256,10.); #119629=VECTOR('',#402257,10.); #119630=VECTOR('',#402258,10.); #119631=VECTOR('',#402261,10.); #119632=VECTOR('',#402262,10.); #119633=VECTOR('',#402263,10.); #119634=VECTOR('',#402266,10.); #119635=VECTOR('',#402267,10.); #119636=VECTOR('',#402268,10.); #119637=VECTOR('',#402271,10.); #119638=VECTOR('',#402272,10.); #119639=VECTOR('',#402273,10.); #119640=VECTOR('',#402276,10.); #119641=VECTOR('',#402277,10.); #119642=VECTOR('',#402278,10.); #119643=VECTOR('',#402281,10.); #119644=VECTOR('',#402282,10.); #119645=VECTOR('',#402283,10.); #119646=VECTOR('',#402286,10.); #119647=VECTOR('',#402287,10.); #119648=VECTOR('',#402288,10.); #119649=VECTOR('',#402291,10.); #119650=VECTOR('',#402292,10.); #119651=VECTOR('',#402293,10.); #119652=VECTOR('',#402296,10.); #119653=VECTOR('',#402297,10.); #119654=VECTOR('',#402298,10.); #119655=VECTOR('',#402301,10.); #119656=VECTOR('',#402302,10.); #119657=VECTOR('',#402303,10.); #119658=VECTOR('',#402306,10.); #119659=VECTOR('',#402307,10.); #119660=VECTOR('',#402308,10.); #119661=VECTOR('',#402311,10.); #119662=VECTOR('',#402312,10.); #119663=VECTOR('',#402313,10.); #119664=VECTOR('',#402316,10.); #119665=VECTOR('',#402317,10.); #119666=VECTOR('',#402318,10.); #119667=VECTOR('',#402321,10.); #119668=VECTOR('',#402322,10.); #119669=VECTOR('',#402323,10.); #119670=VECTOR('',#402326,10.); #119671=VECTOR('',#402327,10.); #119672=VECTOR('',#402328,10.); #119673=VECTOR('',#402331,10.); #119674=VECTOR('',#402332,10.); #119675=VECTOR('',#402333,10.); #119676=VECTOR('',#402336,10.); #119677=VECTOR('',#402337,10.); #119678=VECTOR('',#402338,10.); #119679=VECTOR('',#402341,10.); #119680=VECTOR('',#402342,10.); #119681=VECTOR('',#402343,10.); #119682=VECTOR('',#402346,10.); #119683=VECTOR('',#402347,10.); #119684=VECTOR('',#402348,10.); #119685=VECTOR('',#402351,10.); #119686=VECTOR('',#402352,10.); #119687=VECTOR('',#402353,10.); #119688=VECTOR('',#402356,10.); #119689=VECTOR('',#402357,10.); #119690=VECTOR('',#402358,10.); #119691=VECTOR('',#402361,10.); #119692=VECTOR('',#402362,10.); #119693=VECTOR('',#402363,10.); #119694=VECTOR('',#402366,10.); #119695=VECTOR('',#402367,10.); #119696=VECTOR('',#402368,10.); #119697=VECTOR('',#402371,10.); #119698=VECTOR('',#402372,10.); #119699=VECTOR('',#402373,10.); #119700=VECTOR('',#402376,10.); #119701=VECTOR('',#402377,10.); #119702=VECTOR('',#402378,10.); #119703=VECTOR('',#402381,10.); #119704=VECTOR('',#402382,10.); #119705=VECTOR('',#402383,10.); #119706=VECTOR('',#402386,10.); #119707=VECTOR('',#402387,10.); #119708=VECTOR('',#402388,10.); #119709=VECTOR('',#402391,10.); #119710=VECTOR('',#402392,10.); #119711=VECTOR('',#402393,10.); #119712=VECTOR('',#402396,10.); #119713=VECTOR('',#402397,10.); #119714=VECTOR('',#402398,10.); #119715=VECTOR('',#402401,10.); #119716=VECTOR('',#402402,10.); #119717=VECTOR('',#402403,10.); #119718=VECTOR('',#402406,10.); #119719=VECTOR('',#402407,10.); #119720=VECTOR('',#402408,10.); #119721=VECTOR('',#402411,10.); #119722=VECTOR('',#402412,10.); #119723=VECTOR('',#402413,10.); #119724=VECTOR('',#402416,10.); #119725=VECTOR('',#402417,10.); #119726=VECTOR('',#402418,10.); #119727=VECTOR('',#402421,10.); #119728=VECTOR('',#402422,10.); #119729=VECTOR('',#402423,10.); #119730=VECTOR('',#402426,10.); #119731=VECTOR('',#402427,10.); #119732=VECTOR('',#402428,10.); #119733=VECTOR('',#402431,10.); #119734=VECTOR('',#402432,10.); #119735=VECTOR('',#402433,10.); #119736=VECTOR('',#402436,10.); #119737=VECTOR('',#402437,10.); #119738=VECTOR('',#402438,10.); #119739=VECTOR('',#402441,10.); #119740=VECTOR('',#402442,10.); #119741=VECTOR('',#402443,10.); #119742=VECTOR('',#402446,10.); #119743=VECTOR('',#402447,10.); #119744=VECTOR('',#402448,10.); #119745=VECTOR('',#402451,10.); #119746=VECTOR('',#402452,10.); #119747=VECTOR('',#402453,10.); #119748=VECTOR('',#402456,10.); #119749=VECTOR('',#402457,10.); #119750=VECTOR('',#402458,10.); #119751=VECTOR('',#402461,10.); #119752=VECTOR('',#402462,10.); #119753=VECTOR('',#402463,10.); #119754=VECTOR('',#402466,10.); #119755=VECTOR('',#402467,10.); #119756=VECTOR('',#402468,10.); #119757=VECTOR('',#402471,10.); #119758=VECTOR('',#402472,10.); #119759=VECTOR('',#402473,10.); #119760=VECTOR('',#402476,10.); #119761=VECTOR('',#402477,10.); #119762=VECTOR('',#402478,10.); #119763=VECTOR('',#402481,10.); #119764=VECTOR('',#402482,10.); #119765=VECTOR('',#402483,10.); #119766=VECTOR('',#402486,10.); #119767=VECTOR('',#402487,10.); #119768=VECTOR('',#402488,10.); #119769=VECTOR('',#402491,10.); #119770=VECTOR('',#402492,10.); #119771=VECTOR('',#402493,10.); #119772=VECTOR('',#402496,10.); #119773=VECTOR('',#402497,10.); #119774=VECTOR('',#402498,10.); #119775=VECTOR('',#402501,10.); #119776=VECTOR('',#402502,10.); #119777=VECTOR('',#402503,10.); #119778=VECTOR('',#402506,10.); #119779=VECTOR('',#402507,10.); #119780=VECTOR('',#402508,10.); #119781=VECTOR('',#402511,10.); #119782=VECTOR('',#402512,10.); #119783=VECTOR('',#402513,10.); #119784=VECTOR('',#402516,10.); #119785=VECTOR('',#402517,10.); #119786=VECTOR('',#402518,10.); #119787=VECTOR('',#402521,10.); #119788=VECTOR('',#402522,10.); #119789=VECTOR('',#402523,10.); #119790=VECTOR('',#402526,10.); #119791=VECTOR('',#402527,10.); #119792=VECTOR('',#402528,10.); #119793=VECTOR('',#402531,10.); #119794=VECTOR('',#402532,10.); #119795=VECTOR('',#402533,10.); #119796=VECTOR('',#402536,10.); #119797=VECTOR('',#402537,10.); #119798=VECTOR('',#402538,10.); #119799=VECTOR('',#402541,10.); #119800=VECTOR('',#402542,10.); #119801=VECTOR('',#402543,10.); #119802=VECTOR('',#402546,10.); #119803=VECTOR('',#402547,10.); #119804=VECTOR('',#402548,10.); #119805=VECTOR('',#402551,10.); #119806=VECTOR('',#402552,10.); #119807=VECTOR('',#402553,10.); #119808=VECTOR('',#402556,10.); #119809=VECTOR('',#402557,10.); #119810=VECTOR('',#402558,10.); #119811=VECTOR('',#402561,10.); #119812=VECTOR('',#402562,10.); #119813=VECTOR('',#402563,10.); #119814=VECTOR('',#402566,10.); #119815=VECTOR('',#402567,10.); #119816=VECTOR('',#402568,10.); #119817=VECTOR('',#402571,10.); #119818=VECTOR('',#402572,10.); #119819=VECTOR('',#402573,10.); #119820=VECTOR('',#402576,10.); #119821=VECTOR('',#402577,10.); #119822=VECTOR('',#402578,10.); #119823=VECTOR('',#402581,10.); #119824=VECTOR('',#402582,10.); #119825=VECTOR('',#402583,10.); #119826=VECTOR('',#402586,10.); #119827=VECTOR('',#402587,10.); #119828=VECTOR('',#402588,10.); #119829=VECTOR('',#402591,10.); #119830=VECTOR('',#402592,10.); #119831=VECTOR('',#402593,10.); #119832=VECTOR('',#402596,10.); #119833=VECTOR('',#402597,10.); #119834=VECTOR('',#402598,10.); #119835=VECTOR('',#402601,10.); #119836=VECTOR('',#402602,10.); #119837=VECTOR('',#402603,10.); #119838=VECTOR('',#402606,10.); #119839=VECTOR('',#402607,10.); #119840=VECTOR('',#402608,10.); #119841=VECTOR('',#402611,10.); #119842=VECTOR('',#402612,10.); #119843=VECTOR('',#402613,10.); #119844=VECTOR('',#402616,10.); #119845=VECTOR('',#402617,10.); #119846=VECTOR('',#402618,10.); #119847=VECTOR('',#402621,10.); #119848=VECTOR('',#402622,10.); #119849=VECTOR('',#402623,10.); #119850=VECTOR('',#402626,10.); #119851=VECTOR('',#402627,10.); #119852=VECTOR('',#402628,10.); #119853=VECTOR('',#402631,10.); #119854=VECTOR('',#402632,10.); #119855=VECTOR('',#402633,10.); #119856=VECTOR('',#402636,10.); #119857=VECTOR('',#402637,10.); #119858=VECTOR('',#402638,10.); #119859=VECTOR('',#402641,10.); #119860=VECTOR('',#402642,10.); #119861=VECTOR('',#402643,10.); #119862=VECTOR('',#402646,10.); #119863=VECTOR('',#402647,10.); #119864=VECTOR('',#402648,10.); #119865=VECTOR('',#402651,10.); #119866=VECTOR('',#402652,10.); #119867=VECTOR('',#402653,10.); #119868=VECTOR('',#402656,10.); #119869=VECTOR('',#402657,10.); #119870=VECTOR('',#402658,10.); #119871=VECTOR('',#402661,10.); #119872=VECTOR('',#402662,10.); #119873=VECTOR('',#402663,10.); #119874=VECTOR('',#402666,10.); #119875=VECTOR('',#402667,10.); #119876=VECTOR('',#402668,10.); #119877=VECTOR('',#402671,10.); #119878=VECTOR('',#402672,10.); #119879=VECTOR('',#402673,10.); #119880=VECTOR('',#402676,10.); #119881=VECTOR('',#402677,10.); #119882=VECTOR('',#402678,10.); #119883=VECTOR('',#402681,10.); #119884=VECTOR('',#402682,10.); #119885=VECTOR('',#402683,10.); #119886=VECTOR('',#402686,10.); #119887=VECTOR('',#402687,10.); #119888=VECTOR('',#402688,10.); #119889=VECTOR('',#402691,10.); #119890=VECTOR('',#402692,10.); #119891=VECTOR('',#402693,10.); #119892=VECTOR('',#402696,10.); #119893=VECTOR('',#402697,10.); #119894=VECTOR('',#402698,10.); #119895=VECTOR('',#402701,10.); #119896=VECTOR('',#402702,10.); #119897=VECTOR('',#402703,10.); #119898=VECTOR('',#402706,10.); #119899=VECTOR('',#402707,10.); #119900=VECTOR('',#402708,10.); #119901=VECTOR('',#402711,10.); #119902=VECTOR('',#402712,10.); #119903=VECTOR('',#402713,10.); #119904=VECTOR('',#402716,10.); #119905=VECTOR('',#402717,10.); #119906=VECTOR('',#402718,10.); #119907=VECTOR('',#402721,10.); #119908=VECTOR('',#402722,10.); #119909=VECTOR('',#402723,10.); #119910=VECTOR('',#402726,10.); #119911=VECTOR('',#402727,10.); #119912=VECTOR('',#402728,10.); #119913=VECTOR('',#402731,10.); #119914=VECTOR('',#402732,10.); #119915=VECTOR('',#402733,10.); #119916=VECTOR('',#402736,10.); #119917=VECTOR('',#402737,10.); #119918=VECTOR('',#402738,10.); #119919=VECTOR('',#402741,10.); #119920=VECTOR('',#402742,10.); #119921=VECTOR('',#402743,10.); #119922=VECTOR('',#402746,10.); #119923=VECTOR('',#402747,10.); #119924=VECTOR('',#402748,10.); #119925=VECTOR('',#402751,10.); #119926=VECTOR('',#402752,10.); #119927=VECTOR('',#402753,10.); #119928=VECTOR('',#402756,10.); #119929=VECTOR('',#402757,10.); #119930=VECTOR('',#402758,10.); #119931=VECTOR('',#402761,10.); #119932=VECTOR('',#402762,10.); #119933=VECTOR('',#402763,10.); #119934=VECTOR('',#402766,10.); #119935=VECTOR('',#402767,10.); #119936=VECTOR('',#402768,10.); #119937=VECTOR('',#402771,10.); #119938=VECTOR('',#402772,10.); #119939=VECTOR('',#402773,10.); #119940=VECTOR('',#402776,10.); #119941=VECTOR('',#402777,10.); #119942=VECTOR('',#402778,10.); #119943=VECTOR('',#402781,10.); #119944=VECTOR('',#402782,10.); #119945=VECTOR('',#402783,10.); #119946=VECTOR('',#402786,10.); #119947=VECTOR('',#402787,10.); #119948=VECTOR('',#402788,10.); #119949=VECTOR('',#402791,10.); #119950=VECTOR('',#402792,10.); #119951=VECTOR('',#402793,10.); #119952=VECTOR('',#402796,10.); #119953=VECTOR('',#402797,10.); #119954=VECTOR('',#402798,10.); #119955=VECTOR('',#402801,10.); #119956=VECTOR('',#402802,10.); #119957=VECTOR('',#402803,10.); #119958=VECTOR('',#402806,10.); #119959=VECTOR('',#402807,10.); #119960=VECTOR('',#402808,10.); #119961=VECTOR('',#402811,10.); #119962=VECTOR('',#402812,10.); #119963=VECTOR('',#402813,10.); #119964=VECTOR('',#402816,10.); #119965=VECTOR('',#402817,10.); #119966=VECTOR('',#402818,10.); #119967=VECTOR('',#402821,10.); #119968=VECTOR('',#402822,10.); #119969=VECTOR('',#402823,10.); #119970=VECTOR('',#402826,10.); #119971=VECTOR('',#402827,10.); #119972=VECTOR('',#402828,10.); #119973=VECTOR('',#402831,10.); #119974=VECTOR('',#402832,10.); #119975=VECTOR('',#402833,10.); #119976=VECTOR('',#402836,10.); #119977=VECTOR('',#402837,10.); #119978=VECTOR('',#402838,10.); #119979=VECTOR('',#402841,10.); #119980=VECTOR('',#402842,10.); #119981=VECTOR('',#402843,10.); #119982=VECTOR('',#402846,10.); #119983=VECTOR('',#402847,10.); #119984=VECTOR('',#402848,10.); #119985=VECTOR('',#402851,10.); #119986=VECTOR('',#402852,10.); #119987=VECTOR('',#402853,10.); #119988=VECTOR('',#402856,10.); #119989=VECTOR('',#402857,10.); #119990=VECTOR('',#402858,10.); #119991=VECTOR('',#402861,10.); #119992=VECTOR('',#402862,10.); #119993=VECTOR('',#402863,10.); #119994=VECTOR('',#402866,10.); #119995=VECTOR('',#402867,10.); #119996=VECTOR('',#402868,10.); #119997=VECTOR('',#402871,10.); #119998=VECTOR('',#402872,10.); #119999=VECTOR('',#402873,10.); #120000=VECTOR('',#402876,10.); #120001=VECTOR('',#402877,10.); #120002=VECTOR('',#402878,10.); #120003=VECTOR('',#402881,10.); #120004=VECTOR('',#402882,10.); #120005=VECTOR('',#402883,10.); #120006=VECTOR('',#402886,10.); #120007=VECTOR('',#402887,10.); #120008=VECTOR('',#402888,10.); #120009=VECTOR('',#402891,10.); #120010=VECTOR('',#402892,10.); #120011=VECTOR('',#402893,10.); #120012=VECTOR('',#402896,10.); #120013=VECTOR('',#402897,10.); #120014=VECTOR('',#402898,10.); #120015=VECTOR('',#402901,10.); #120016=VECTOR('',#402902,10.); #120017=VECTOR('',#402903,10.); #120018=VECTOR('',#402906,10.); #120019=VECTOR('',#402907,10.); #120020=VECTOR('',#402908,10.); #120021=VECTOR('',#402911,10.); #120022=VECTOR('',#402912,10.); #120023=VECTOR('',#402913,10.); #120024=VECTOR('',#402916,10.); #120025=VECTOR('',#402917,10.); #120026=VECTOR('',#402918,10.); #120027=VECTOR('',#402921,10.); #120028=VECTOR('',#402922,10.); #120029=VECTOR('',#402923,10.); #120030=VECTOR('',#402926,10.); #120031=VECTOR('',#402927,10.); #120032=VECTOR('',#402928,10.); #120033=VECTOR('',#402931,10.); #120034=VECTOR('',#402932,10.); #120035=VECTOR('',#402933,10.); #120036=VECTOR('',#402936,10.); #120037=VECTOR('',#402937,10.); #120038=VECTOR('',#402938,10.); #120039=VECTOR('',#402941,10.); #120040=VECTOR('',#402942,10.); #120041=VECTOR('',#402943,10.); #120042=VECTOR('',#402946,10.); #120043=VECTOR('',#402947,10.); #120044=VECTOR('',#402948,10.); #120045=VECTOR('',#402951,10.); #120046=VECTOR('',#402952,10.); #120047=VECTOR('',#402953,10.); #120048=VECTOR('',#402956,10.); #120049=VECTOR('',#402957,10.); #120050=VECTOR('',#402958,10.); #120051=VECTOR('',#402961,10.); #120052=VECTOR('',#402962,10.); #120053=VECTOR('',#402963,10.); #120054=VECTOR('',#402966,10.); #120055=VECTOR('',#402967,10.); #120056=VECTOR('',#402968,10.); #120057=VECTOR('',#402971,10.); #120058=VECTOR('',#402972,10.); #120059=VECTOR('',#402973,10.); #120060=VECTOR('',#402976,10.); #120061=VECTOR('',#402977,10.); #120062=VECTOR('',#402978,10.); #120063=VECTOR('',#402981,10.); #120064=VECTOR('',#402982,10.); #120065=VECTOR('',#402983,10.); #120066=VECTOR('',#402986,10.); #120067=VECTOR('',#402987,10.); #120068=VECTOR('',#402988,10.); #120069=VECTOR('',#402991,10.); #120070=VECTOR('',#402992,10.); #120071=VECTOR('',#402993,10.); #120072=VECTOR('',#402996,10.); #120073=VECTOR('',#402997,10.); #120074=VECTOR('',#402998,10.); #120075=VECTOR('',#403001,10.); #120076=VECTOR('',#403002,10.); #120077=VECTOR('',#403003,10.); #120078=VECTOR('',#403006,10.); #120079=VECTOR('',#403007,10.); #120080=VECTOR('',#403008,10.); #120081=VECTOR('',#403011,10.); #120082=VECTOR('',#403012,10.); #120083=VECTOR('',#403013,10.); #120084=VECTOR('',#403016,10.); #120085=VECTOR('',#403017,10.); #120086=VECTOR('',#403018,10.); #120087=VECTOR('',#403021,10.); #120088=VECTOR('',#403022,10.); #120089=VECTOR('',#403023,10.); #120090=VECTOR('',#403026,10.); #120091=VECTOR('',#403027,10.); #120092=VECTOR('',#403028,10.); #120093=VECTOR('',#403031,10.); #120094=VECTOR('',#403032,10.); #120095=VECTOR('',#403033,10.); #120096=VECTOR('',#403036,10.); #120097=VECTOR('',#403037,10.); #120098=VECTOR('',#403038,10.); #120099=VECTOR('',#403041,10.); #120100=VECTOR('',#403042,10.); #120101=VECTOR('',#403043,10.); #120102=VECTOR('',#403046,10.); #120103=VECTOR('',#403047,10.); #120104=VECTOR('',#403048,10.); #120105=VECTOR('',#403051,10.); #120106=VECTOR('',#403052,10.); #120107=VECTOR('',#403053,10.); #120108=VECTOR('',#403056,10.); #120109=VECTOR('',#403057,10.); #120110=VECTOR('',#403058,10.); #120111=VECTOR('',#403061,10.); #120112=VECTOR('',#403062,10.); #120113=VECTOR('',#403063,10.); #120114=VECTOR('',#403066,10.); #120115=VECTOR('',#403067,10.); #120116=VECTOR('',#403068,10.); #120117=VECTOR('',#403071,10.); #120118=VECTOR('',#403072,10.); #120119=VECTOR('',#403073,10.); #120120=VECTOR('',#403076,10.); #120121=VECTOR('',#403077,10.); #120122=VECTOR('',#403078,10.); #120123=VECTOR('',#403081,10.); #120124=VECTOR('',#403082,10.); #120125=VECTOR('',#403083,10.); #120126=VECTOR('',#403086,10.); #120127=VECTOR('',#403087,10.); #120128=VECTOR('',#403088,10.); #120129=VECTOR('',#403091,10.); #120130=VECTOR('',#403092,10.); #120131=VECTOR('',#403093,10.); #120132=VECTOR('',#403096,10.); #120133=VECTOR('',#403097,10.); #120134=VECTOR('',#403098,10.); #120135=VECTOR('',#403101,10.); #120136=VECTOR('',#403102,10.); #120137=VECTOR('',#403103,10.); #120138=VECTOR('',#403106,10.); #120139=VECTOR('',#403107,10.); #120140=VECTOR('',#403108,10.); #120141=VECTOR('',#403111,10.); #120142=VECTOR('',#403112,10.); #120143=VECTOR('',#403113,10.); #120144=VECTOR('',#403116,10.); #120145=VECTOR('',#403117,10.); #120146=VECTOR('',#403118,10.); #120147=VECTOR('',#403121,10.); #120148=VECTOR('',#403122,10.); #120149=VECTOR('',#403123,10.); #120150=VECTOR('',#403126,10.); #120151=VECTOR('',#403127,10.); #120152=VECTOR('',#403128,10.); #120153=VECTOR('',#403131,10.); #120154=VECTOR('',#403132,10.); #120155=VECTOR('',#403133,10.); #120156=VECTOR('',#403136,10.); #120157=VECTOR('',#403137,10.); #120158=VECTOR('',#403138,10.); #120159=VECTOR('',#403141,10.); #120160=VECTOR('',#403142,10.); #120161=VECTOR('',#403143,10.); #120162=VECTOR('',#403146,10.); #120163=VECTOR('',#403147,10.); #120164=VECTOR('',#403148,10.); #120165=VECTOR('',#403151,10.); #120166=VECTOR('',#403152,10.); #120167=VECTOR('',#403153,10.); #120168=VECTOR('',#403156,10.); #120169=VECTOR('',#403157,10.); #120170=VECTOR('',#403158,10.); #120171=VECTOR('',#403161,10.); #120172=VECTOR('',#403162,10.); #120173=VECTOR('',#403163,10.); #120174=VECTOR('',#403166,10.); #120175=VECTOR('',#403167,10.); #120176=VECTOR('',#403168,10.); #120177=VECTOR('',#403171,10.); #120178=VECTOR('',#403172,10.); #120179=VECTOR('',#403173,10.); #120180=VECTOR('',#403176,10.); #120181=VECTOR('',#403177,10.); #120182=VECTOR('',#403178,10.); #120183=VECTOR('',#403181,10.); #120184=VECTOR('',#403182,10.); #120185=VECTOR('',#403183,10.); #120186=VECTOR('',#403186,10.); #120187=VECTOR('',#403187,10.); #120188=VECTOR('',#403188,10.); #120189=VECTOR('',#403191,10.); #120190=VECTOR('',#403192,10.); #120191=VECTOR('',#403193,10.); #120192=VECTOR('',#403196,10.); #120193=VECTOR('',#403197,10.); #120194=VECTOR('',#403198,10.); #120195=VECTOR('',#403201,10.); #120196=VECTOR('',#403202,10.); #120197=VECTOR('',#403203,10.); #120198=VECTOR('',#403206,10.); #120199=VECTOR('',#403207,10.); #120200=VECTOR('',#403208,10.); #120201=VECTOR('',#403211,10.); #120202=VECTOR('',#403212,10.); #120203=VECTOR('',#403213,10.); #120204=VECTOR('',#403216,10.); #120205=VECTOR('',#403217,10.); #120206=VECTOR('',#403218,10.); #120207=VECTOR('',#403221,10.); #120208=VECTOR('',#403222,10.); #120209=VECTOR('',#403223,10.); #120210=VECTOR('',#403226,10.); #120211=VECTOR('',#403227,10.); #120212=VECTOR('',#403228,10.); #120213=VECTOR('',#403231,10.); #120214=VECTOR('',#403232,10.); #120215=VECTOR('',#403233,10.); #120216=VECTOR('',#403236,10.); #120217=VECTOR('',#403237,10.); #120218=VECTOR('',#403238,10.); #120219=VECTOR('',#403241,10.); #120220=VECTOR('',#403242,10.); #120221=VECTOR('',#403243,10.); #120222=VECTOR('',#403246,10.); #120223=VECTOR('',#403247,10.); #120224=VECTOR('',#403248,10.); #120225=VECTOR('',#403251,10.); #120226=VECTOR('',#403252,10.); #120227=VECTOR('',#403253,10.); #120228=VECTOR('',#403256,10.); #120229=VECTOR('',#403257,10.); #120230=VECTOR('',#403258,10.); #120231=VECTOR('',#403261,10.); #120232=VECTOR('',#403262,10.); #120233=VECTOR('',#403263,10.); #120234=VECTOR('',#403266,10.); #120235=VECTOR('',#403267,10.); #120236=VECTOR('',#403268,10.); #120237=VECTOR('',#403271,10.); #120238=VECTOR('',#403272,10.); #120239=VECTOR('',#403273,10.); #120240=VECTOR('',#403276,10.); #120241=VECTOR('',#403277,10.); #120242=VECTOR('',#403278,10.); #120243=VECTOR('',#403281,10.); #120244=VECTOR('',#403282,10.); #120245=VECTOR('',#403283,10.); #120246=VECTOR('',#403286,10.); #120247=VECTOR('',#403287,10.); #120248=VECTOR('',#403288,10.); #120249=VECTOR('',#403291,10.); #120250=VECTOR('',#403292,10.); #120251=VECTOR('',#403293,10.); #120252=VECTOR('',#403296,10.); #120253=VECTOR('',#403297,10.); #120254=VECTOR('',#403298,10.); #120255=VECTOR('',#403301,10.); #120256=VECTOR('',#403302,10.); #120257=VECTOR('',#403303,10.); #120258=VECTOR('',#403306,10.); #120259=VECTOR('',#403307,10.); #120260=VECTOR('',#403308,10.); #120261=VECTOR('',#403311,10.); #120262=VECTOR('',#403312,10.); #120263=VECTOR('',#403315,10.); #120264=VECTOR('',#403316,10.); #120265=VECTOR('',#403317,10.); #120266=VECTOR('',#403318,10.); #120267=VECTOR('',#403321,10.); #120268=VECTOR('',#403322,10.); #120269=VECTOR('',#403323,10.); #120270=VECTOR('',#403326,10.); #120271=VECTOR('',#403327,10.); #120272=VECTOR('',#403328,10.); #120273=VECTOR('',#403331,10.); #120274=VECTOR('',#403332,10.); #120275=VECTOR('',#403333,10.); #120276=VECTOR('',#403336,10.); #120277=VECTOR('',#403337,10.); #120278=VECTOR('',#403338,10.); #120279=VECTOR('',#403341,10.); #120280=VECTOR('',#403342,10.); #120281=VECTOR('',#403343,10.); #120282=VECTOR('',#403346,10.); #120283=VECTOR('',#403347,10.); #120284=VECTOR('',#403348,10.); #120285=VECTOR('',#403351,10.); #120286=VECTOR('',#403352,10.); #120287=VECTOR('',#403353,10.); #120288=VECTOR('',#403356,10.); #120289=VECTOR('',#403357,10.); #120290=VECTOR('',#403358,10.); #120291=VECTOR('',#403361,10.); #120292=VECTOR('',#403362,10.); #120293=VECTOR('',#403363,10.); #120294=VECTOR('',#403366,10.); #120295=VECTOR('',#403367,10.); #120296=VECTOR('',#403368,10.); #120297=VECTOR('',#403371,10.); #120298=VECTOR('',#403372,10.); #120299=VECTOR('',#403373,10.); #120300=VECTOR('',#403376,10.); #120301=VECTOR('',#403377,10.); #120302=VECTOR('',#403378,10.); #120303=VECTOR('',#403381,10.); #120304=VECTOR('',#403382,10.); #120305=VECTOR('',#403383,10.); #120306=VECTOR('',#403386,10.); #120307=VECTOR('',#403387,10.); #120308=VECTOR('',#403388,10.); #120309=VECTOR('',#403391,10.); #120310=VECTOR('',#403392,10.); #120311=VECTOR('',#403393,10.); #120312=VECTOR('',#403396,10.); #120313=VECTOR('',#403397,10.); #120314=VECTOR('',#403398,10.); #120315=VECTOR('',#403401,10.); #120316=VECTOR('',#403402,10.); #120317=VECTOR('',#403403,10.); #120318=VECTOR('',#403406,10.); #120319=VECTOR('',#403407,10.); #120320=VECTOR('',#403408,10.); #120321=VECTOR('',#403411,10.); #120322=VECTOR('',#403412,10.); #120323=VECTOR('',#403413,10.); #120324=VECTOR('',#403416,10.); #120325=VECTOR('',#403417,10.); #120326=VECTOR('',#403418,10.); #120327=VECTOR('',#403421,10.); #120328=VECTOR('',#403422,10.); #120329=VECTOR('',#403423,10.); #120330=VECTOR('',#403426,10.); #120331=VECTOR('',#403427,10.); #120332=VECTOR('',#403428,10.); #120333=VECTOR('',#403431,10.); #120334=VECTOR('',#403432,10.); #120335=VECTOR('',#403433,10.); #120336=VECTOR('',#403436,10.); #120337=VECTOR('',#403437,10.); #120338=VECTOR('',#403438,10.); #120339=VECTOR('',#403441,10.); #120340=VECTOR('',#403442,10.); #120341=VECTOR('',#403443,10.); #120342=VECTOR('',#403446,10.); #120343=VECTOR('',#403447,10.); #120344=VECTOR('',#403448,10.); #120345=VECTOR('',#403451,10.); #120346=VECTOR('',#403452,10.); #120347=VECTOR('',#403453,10.); #120348=VECTOR('',#403456,10.); #120349=VECTOR('',#403457,10.); #120350=VECTOR('',#403458,10.); #120351=VECTOR('',#403461,10.); #120352=VECTOR('',#403462,10.); #120353=VECTOR('',#403463,10.); #120354=VECTOR('',#403466,10.); #120355=VECTOR('',#403467,10.); #120356=VECTOR('',#403468,10.); #120357=VECTOR('',#403471,10.); #120358=VECTOR('',#403472,10.); #120359=VECTOR('',#403473,10.); #120360=VECTOR('',#403476,10.); #120361=VECTOR('',#403477,10.); #120362=VECTOR('',#403478,10.); #120363=VECTOR('',#403481,10.); #120364=VECTOR('',#403482,10.); #120365=VECTOR('',#403483,10.); #120366=VECTOR('',#403486,10.); #120367=VECTOR('',#403487,10.); #120368=VECTOR('',#403488,10.); #120369=VECTOR('',#403491,10.); #120370=VECTOR('',#403492,10.); #120371=VECTOR('',#403493,10.); #120372=VECTOR('',#403496,10.); #120373=VECTOR('',#403497,10.); #120374=VECTOR('',#403498,10.); #120375=VECTOR('',#403501,10.); #120376=VECTOR('',#403502,10.); #120377=VECTOR('',#403503,10.); #120378=VECTOR('',#403506,10.); #120379=VECTOR('',#403507,10.); #120380=VECTOR('',#403508,10.); #120381=VECTOR('',#403511,10.); #120382=VECTOR('',#403512,10.); #120383=VECTOR('',#403513,10.); #120384=VECTOR('',#403516,10.); #120385=VECTOR('',#403517,10.); #120386=VECTOR('',#403518,10.); #120387=VECTOR('',#403521,10.); #120388=VECTOR('',#403522,10.); #120389=VECTOR('',#403523,10.); #120390=VECTOR('',#403526,10.); #120391=VECTOR('',#403527,10.); #120392=VECTOR('',#403528,10.); #120393=VECTOR('',#403531,10.); #120394=VECTOR('',#403532,10.); #120395=VECTOR('',#403533,10.); #120396=VECTOR('',#403536,10.); #120397=VECTOR('',#403537,10.); #120398=VECTOR('',#403538,10.); #120399=VECTOR('',#403541,10.); #120400=VECTOR('',#403542,10.); #120401=VECTOR('',#403543,10.); #120402=VECTOR('',#403546,10.); #120403=VECTOR('',#403547,10.); #120404=VECTOR('',#403548,10.); #120405=VECTOR('',#403551,10.); #120406=VECTOR('',#403552,10.); #120407=VECTOR('',#403553,10.); #120408=VECTOR('',#403556,10.); #120409=VECTOR('',#403557,10.); #120410=VECTOR('',#403558,10.); #120411=VECTOR('',#403561,10.); #120412=VECTOR('',#403562,10.); #120413=VECTOR('',#403563,10.); #120414=VECTOR('',#403566,10.); #120415=VECTOR('',#403567,10.); #120416=VECTOR('',#403568,10.); #120417=VECTOR('',#403571,10.); #120418=VECTOR('',#403572,10.); #120419=VECTOR('',#403573,10.); #120420=VECTOR('',#403576,10.); #120421=VECTOR('',#403577,10.); #120422=VECTOR('',#403578,10.); #120423=VECTOR('',#403581,10.); #120424=VECTOR('',#403582,10.); #120425=VECTOR('',#403583,10.); #120426=VECTOR('',#403586,10.); #120427=VECTOR('',#403587,10.); #120428=VECTOR('',#403588,10.); #120429=VECTOR('',#403591,10.); #120430=VECTOR('',#403592,10.); #120431=VECTOR('',#403593,10.); #120432=VECTOR('',#403596,10.); #120433=VECTOR('',#403597,10.); #120434=VECTOR('',#403598,10.); #120435=VECTOR('',#403601,10.); #120436=VECTOR('',#403602,10.); #120437=VECTOR('',#403603,10.); #120438=VECTOR('',#403606,10.); #120439=VECTOR('',#403607,10.); #120440=VECTOR('',#403608,10.); #120441=VECTOR('',#403611,10.); #120442=VECTOR('',#403612,10.); #120443=VECTOR('',#403613,10.); #120444=VECTOR('',#403616,10.); #120445=VECTOR('',#403617,10.); #120446=VECTOR('',#403618,10.); #120447=VECTOR('',#403621,10.); #120448=VECTOR('',#403622,10.); #120449=VECTOR('',#403623,10.); #120450=VECTOR('',#403626,10.); #120451=VECTOR('',#403627,10.); #120452=VECTOR('',#403628,10.); #120453=VECTOR('',#403631,10.); #120454=VECTOR('',#403632,10.); #120455=VECTOR('',#403633,10.); #120456=VECTOR('',#403636,10.); #120457=VECTOR('',#403637,10.); #120458=VECTOR('',#403638,10.); #120459=VECTOR('',#403641,10.); #120460=VECTOR('',#403642,10.); #120461=VECTOR('',#403643,10.); #120462=VECTOR('',#403646,10.); #120463=VECTOR('',#403647,10.); #120464=VECTOR('',#403648,10.); #120465=VECTOR('',#403651,10.); #120466=VECTOR('',#403652,10.); #120467=VECTOR('',#403653,10.); #120468=VECTOR('',#403656,10.); #120469=VECTOR('',#403657,10.); #120470=VECTOR('',#403658,10.); #120471=VECTOR('',#403661,10.); #120472=VECTOR('',#403662,10.); #120473=VECTOR('',#403663,10.); #120474=VECTOR('',#403666,10.); #120475=VECTOR('',#403667,10.); #120476=VECTOR('',#403668,10.); #120477=VECTOR('',#403671,10.); #120478=VECTOR('',#403672,10.); #120479=VECTOR('',#403673,10.); #120480=VECTOR('',#403676,10.); #120481=VECTOR('',#403677,10.); #120482=VECTOR('',#403678,10.); #120483=VECTOR('',#403681,10.); #120484=VECTOR('',#403682,10.); #120485=VECTOR('',#403683,10.); #120486=VECTOR('',#403686,10.); #120487=VECTOR('',#403687,10.); #120488=VECTOR('',#403688,10.); #120489=VECTOR('',#403691,10.); #120490=VECTOR('',#403692,10.); #120491=VECTOR('',#403693,10.); #120492=VECTOR('',#403696,10.); #120493=VECTOR('',#403697,10.); #120494=VECTOR('',#403698,10.); #120495=VECTOR('',#403701,10.); #120496=VECTOR('',#403702,10.); #120497=VECTOR('',#403703,10.); #120498=VECTOR('',#403706,10.); #120499=VECTOR('',#403707,10.); #120500=VECTOR('',#403708,10.); #120501=VECTOR('',#403711,10.); #120502=VECTOR('',#403712,10.); #120503=VECTOR('',#403713,10.); #120504=VECTOR('',#403716,10.); #120505=VECTOR('',#403717,10.); #120506=VECTOR('',#403718,10.); #120507=VECTOR('',#403721,10.); #120508=VECTOR('',#403722,10.); #120509=VECTOR('',#403723,10.); #120510=VECTOR('',#403726,10.); #120511=VECTOR('',#403727,10.); #120512=VECTOR('',#403728,10.); #120513=VECTOR('',#403731,10.); #120514=VECTOR('',#403732,10.); #120515=VECTOR('',#403733,10.); #120516=VECTOR('',#403736,10.); #120517=VECTOR('',#403737,10.); #120518=VECTOR('',#403738,10.); #120519=VECTOR('',#403741,10.); #120520=VECTOR('',#403742,10.); #120521=VECTOR('',#403743,10.); #120522=VECTOR('',#403746,10.); #120523=VECTOR('',#403747,10.); #120524=VECTOR('',#403748,10.); #120525=VECTOR('',#403751,10.); #120526=VECTOR('',#403752,10.); #120527=VECTOR('',#403753,10.); #120528=VECTOR('',#403756,10.); #120529=VECTOR('',#403757,10.); #120530=VECTOR('',#403758,10.); #120531=VECTOR('',#403761,10.); #120532=VECTOR('',#403762,10.); #120533=VECTOR('',#403763,10.); #120534=VECTOR('',#403766,10.); #120535=VECTOR('',#403767,10.); #120536=VECTOR('',#403768,10.); #120537=VECTOR('',#403771,10.); #120538=VECTOR('',#403772,10.); #120539=VECTOR('',#403773,10.); #120540=VECTOR('',#403776,10.); #120541=VECTOR('',#403777,10.); #120542=VECTOR('',#403778,10.); #120543=VECTOR('',#403781,10.); #120544=VECTOR('',#403782,10.); #120545=VECTOR('',#403783,10.); #120546=VECTOR('',#403786,10.); #120547=VECTOR('',#403787,10.); #120548=VECTOR('',#403788,10.); #120549=VECTOR('',#403791,10.); #120550=VECTOR('',#403792,10.); #120551=VECTOR('',#403793,10.); #120552=VECTOR('',#403796,10.); #120553=VECTOR('',#403797,10.); #120554=VECTOR('',#403798,10.); #120555=VECTOR('',#403801,10.); #120556=VECTOR('',#403802,10.); #120557=VECTOR('',#403803,10.); #120558=VECTOR('',#403806,10.); #120559=VECTOR('',#403807,10.); #120560=VECTOR('',#403808,10.); #120561=VECTOR('',#403811,10.); #120562=VECTOR('',#403812,10.); #120563=VECTOR('',#403813,10.); #120564=VECTOR('',#403816,10.); #120565=VECTOR('',#403817,10.); #120566=VECTOR('',#403818,10.); #120567=VECTOR('',#403821,10.); #120568=VECTOR('',#403822,10.); #120569=VECTOR('',#403823,10.); #120570=VECTOR('',#403826,10.); #120571=VECTOR('',#403827,10.); #120572=VECTOR('',#403828,10.); #120573=VECTOR('',#403831,10.); #120574=VECTOR('',#403832,10.); #120575=VECTOR('',#403833,10.); #120576=VECTOR('',#403836,10.); #120577=VECTOR('',#403837,10.); #120578=VECTOR('',#403838,10.); #120579=VECTOR('',#403841,10.); #120580=VECTOR('',#403842,10.); #120581=VECTOR('',#403843,10.); #120582=VECTOR('',#403846,10.); #120583=VECTOR('',#403847,10.); #120584=VECTOR('',#403848,10.); #120585=VECTOR('',#403851,10.); #120586=VECTOR('',#403852,10.); #120587=VECTOR('',#403853,10.); #120588=VECTOR('',#403856,10.); #120589=VECTOR('',#403857,10.); #120590=VECTOR('',#403858,10.); #120591=VECTOR('',#403861,10.); #120592=VECTOR('',#403862,10.); #120593=VECTOR('',#403863,10.); #120594=VECTOR('',#403866,10.); #120595=VECTOR('',#403867,10.); #120596=VECTOR('',#403868,10.); #120597=VECTOR('',#403871,10.); #120598=VECTOR('',#403872,10.); #120599=VECTOR('',#403873,10.); #120600=VECTOR('',#403876,10.); #120601=VECTOR('',#403877,10.); #120602=VECTOR('',#403878,10.); #120603=VECTOR('',#403881,10.); #120604=VECTOR('',#403882,10.); #120605=VECTOR('',#403883,10.); #120606=VECTOR('',#403886,10.); #120607=VECTOR('',#403887,10.); #120608=VECTOR('',#403888,10.); #120609=VECTOR('',#403891,10.); #120610=VECTOR('',#403892,10.); #120611=VECTOR('',#403893,10.); #120612=VECTOR('',#403896,10.); #120613=VECTOR('',#403897,10.); #120614=VECTOR('',#403898,10.); #120615=VECTOR('',#403901,10.); #120616=VECTOR('',#403902,10.); #120617=VECTOR('',#403903,10.); #120618=VECTOR('',#403906,10.); #120619=VECTOR('',#403907,10.); #120620=VECTOR('',#403910,10.); #120621=VECTOR('',#403911,10.); #120622=VECTOR('',#403912,10.); #120623=VECTOR('',#403913,10.); #120624=VECTOR('',#403916,10.); #120625=VECTOR('',#403917,10.); #120626=VECTOR('',#403918,10.); #120627=VECTOR('',#403921,10.); #120628=VECTOR('',#403922,10.); #120629=VECTOR('',#403923,10.); #120630=VECTOR('',#403926,10.); #120631=VECTOR('',#403927,10.); #120632=VECTOR('',#403928,10.); #120633=VECTOR('',#403931,10.); #120634=VECTOR('',#403932,10.); #120635=VECTOR('',#403933,10.); #120636=VECTOR('',#403936,10.); #120637=VECTOR('',#403937,10.); #120638=VECTOR('',#403938,10.); #120639=VECTOR('',#403941,10.); #120640=VECTOR('',#403942,10.); #120641=VECTOR('',#403943,10.); #120642=VECTOR('',#403946,10.); #120643=VECTOR('',#403947,10.); #120644=VECTOR('',#403950,10.); #120645=VECTOR('',#403951,10.); #120646=VECTOR('',#403952,10.); #120647=VECTOR('',#403953,10.); #120648=VECTOR('',#403956,10.); #120649=VECTOR('',#403957,10.); #120650=VECTOR('',#403958,10.); #120651=VECTOR('',#403961,10.); #120652=VECTOR('',#403962,10.); #120653=VECTOR('',#403963,10.); #120654=VECTOR('',#403966,10.); #120655=VECTOR('',#403967,10.); #120656=VECTOR('',#403968,10.); #120657=VECTOR('',#403971,10.); #120658=VECTOR('',#403972,10.); #120659=VECTOR('',#403973,10.); #120660=VECTOR('',#403976,10.); #120661=VECTOR('',#403977,10.); #120662=VECTOR('',#403978,10.); #120663=VECTOR('',#403981,10.); #120664=VECTOR('',#403982,10.); #120665=VECTOR('',#403983,10.); #120666=VECTOR('',#403986,10.); #120667=VECTOR('',#403987,10.); #120668=VECTOR('',#403988,10.); #120669=VECTOR('',#403991,10.); #120670=VECTOR('',#403992,10.); #120671=VECTOR('',#403993,10.); #120672=VECTOR('',#403996,10.); #120673=VECTOR('',#403997,10.); #120674=VECTOR('',#403998,10.); #120675=VECTOR('',#404001,10.); #120676=VECTOR('',#404002,10.); #120677=VECTOR('',#404003,10.); #120678=VECTOR('',#404006,10.); #120679=VECTOR('',#404007,10.); #120680=VECTOR('',#404008,10.); #120681=VECTOR('',#404011,10.); #120682=VECTOR('',#404012,10.); #120683=VECTOR('',#404013,10.); #120684=VECTOR('',#404016,10.); #120685=VECTOR('',#404017,10.); #120686=VECTOR('',#404018,10.); #120687=VECTOR('',#404021,10.); #120688=VECTOR('',#404022,10.); #120689=VECTOR('',#404023,10.); #120690=VECTOR('',#404026,10.); #120691=VECTOR('',#404027,10.); #120692=VECTOR('',#404028,10.); #120693=VECTOR('',#404031,10.); #120694=VECTOR('',#404032,10.); #120695=VECTOR('',#404033,10.); #120696=VECTOR('',#404036,10.); #120697=VECTOR('',#404037,10.); #120698=VECTOR('',#404038,10.); #120699=VECTOR('',#404041,10.); #120700=VECTOR('',#404042,10.); #120701=VECTOR('',#404043,10.); #120702=VECTOR('',#404046,10.); #120703=VECTOR('',#404047,10.); #120704=VECTOR('',#404048,10.); #120705=VECTOR('',#404051,10.); #120706=VECTOR('',#404052,10.); #120707=VECTOR('',#404053,10.); #120708=VECTOR('',#404056,10.); #120709=VECTOR('',#404057,10.); #120710=VECTOR('',#404058,10.); #120711=VECTOR('',#404061,10.); #120712=VECTOR('',#404062,10.); #120713=VECTOR('',#404063,10.); #120714=VECTOR('',#404066,10.); #120715=VECTOR('',#404067,10.); #120716=VECTOR('',#404068,10.); #120717=VECTOR('',#404071,10.); #120718=VECTOR('',#404072,10.); #120719=VECTOR('',#404073,10.); #120720=VECTOR('',#404076,10.); #120721=VECTOR('',#404077,10.); #120722=VECTOR('',#404078,10.); #120723=VECTOR('',#404081,10.); #120724=VECTOR('',#404082,10.); #120725=VECTOR('',#404083,10.); #120726=VECTOR('',#404086,10.); #120727=VECTOR('',#404087,10.); #120728=VECTOR('',#404088,10.); #120729=VECTOR('',#404091,10.); #120730=VECTOR('',#404092,10.); #120731=VECTOR('',#404093,10.); #120732=VECTOR('',#404096,10.); #120733=VECTOR('',#404097,10.); #120734=VECTOR('',#404098,10.); #120735=VECTOR('',#404101,10.); #120736=VECTOR('',#404102,10.); #120737=VECTOR('',#404103,10.); #120738=VECTOR('',#404106,10.); #120739=VECTOR('',#404107,10.); #120740=VECTOR('',#404108,10.); #120741=VECTOR('',#404111,10.); #120742=VECTOR('',#404112,10.); #120743=VECTOR('',#404113,10.); #120744=VECTOR('',#404116,10.); #120745=VECTOR('',#404117,10.); #120746=VECTOR('',#404118,10.); #120747=VECTOR('',#404121,10.); #120748=VECTOR('',#404122,10.); #120749=VECTOR('',#404123,10.); #120750=VECTOR('',#404126,10.); #120751=VECTOR('',#404127,10.); #120752=VECTOR('',#404128,10.); #120753=VECTOR('',#404131,10.); #120754=VECTOR('',#404132,10.); #120755=VECTOR('',#404133,10.); #120756=VECTOR('',#404136,10.); #120757=VECTOR('',#404137,10.); #120758=VECTOR('',#404138,10.); #120759=VECTOR('',#404141,10.); #120760=VECTOR('',#404142,10.); #120761=VECTOR('',#404143,10.); #120762=VECTOR('',#404146,10.); #120763=VECTOR('',#404147,10.); #120764=VECTOR('',#404148,10.); #120765=VECTOR('',#404151,10.); #120766=VECTOR('',#404152,10.); #120767=VECTOR('',#404153,10.); #120768=VECTOR('',#404156,10.); #120769=VECTOR('',#404157,10.); #120770=VECTOR('',#404158,10.); #120771=VECTOR('',#404161,10.); #120772=VECTOR('',#404162,10.); #120773=VECTOR('',#404163,10.); #120774=VECTOR('',#404166,10.); #120775=VECTOR('',#404167,10.); #120776=VECTOR('',#404168,10.); #120777=VECTOR('',#404171,10.); #120778=VECTOR('',#404172,10.); #120779=VECTOR('',#404173,10.); #120780=VECTOR('',#404176,10.); #120781=VECTOR('',#404177,10.); #120782=VECTOR('',#404178,10.); #120783=VECTOR('',#404181,10.); #120784=VECTOR('',#404182,10.); #120785=VECTOR('',#404183,10.); #120786=VECTOR('',#404186,10.); #120787=VECTOR('',#404187,10.); #120788=VECTOR('',#404188,10.); #120789=VECTOR('',#404191,10.); #120790=VECTOR('',#404192,10.); #120791=VECTOR('',#404193,10.); #120792=VECTOR('',#404196,10.); #120793=VECTOR('',#404197,10.); #120794=VECTOR('',#404198,10.); #120795=VECTOR('',#404201,10.); #120796=VECTOR('',#404202,10.); #120797=VECTOR('',#404203,10.); #120798=VECTOR('',#404206,10.); #120799=VECTOR('',#404207,10.); #120800=VECTOR('',#404208,10.); #120801=VECTOR('',#404211,10.); #120802=VECTOR('',#404212,10.); #120803=VECTOR('',#404213,10.); #120804=VECTOR('',#404216,10.); #120805=VECTOR('',#404217,10.); #120806=VECTOR('',#404218,10.); #120807=VECTOR('',#404221,10.); #120808=VECTOR('',#404222,10.); #120809=VECTOR('',#404223,10.); #120810=VECTOR('',#404226,10.); #120811=VECTOR('',#404227,10.); #120812=VECTOR('',#404228,10.); #120813=VECTOR('',#404231,10.); #120814=VECTOR('',#404232,10.); #120815=VECTOR('',#404233,10.); #120816=VECTOR('',#404236,10.); #120817=VECTOR('',#404237,10.); #120818=VECTOR('',#404238,10.); #120819=VECTOR('',#404241,10.); #120820=VECTOR('',#404242,10.); #120821=VECTOR('',#404243,10.); #120822=VECTOR('',#404246,10.); #120823=VECTOR('',#404247,10.); #120824=VECTOR('',#404248,10.); #120825=VECTOR('',#404251,10.); #120826=VECTOR('',#404252,10.); #120827=VECTOR('',#404253,10.); #120828=VECTOR('',#404256,10.); #120829=VECTOR('',#404257,10.); #120830=VECTOR('',#404258,10.); #120831=VECTOR('',#404261,10.); #120832=VECTOR('',#404262,10.); #120833=VECTOR('',#404263,10.); #120834=VECTOR('',#404266,10.); #120835=VECTOR('',#404267,10.); #120836=VECTOR('',#404268,10.); #120837=VECTOR('',#404271,10.); #120838=VECTOR('',#404272,10.); #120839=VECTOR('',#404273,10.); #120840=VECTOR('',#404276,10.); #120841=VECTOR('',#404277,10.); #120842=VECTOR('',#404278,10.); #120843=VECTOR('',#404281,10.); #120844=VECTOR('',#404282,10.); #120845=VECTOR('',#404283,10.); #120846=VECTOR('',#404286,10.); #120847=VECTOR('',#404287,10.); #120848=VECTOR('',#404288,10.); #120849=VECTOR('',#404291,10.); #120850=VECTOR('',#404292,10.); #120851=VECTOR('',#404293,10.); #120852=VECTOR('',#404296,10.); #120853=VECTOR('',#404297,10.); #120854=VECTOR('',#404298,10.); #120855=VECTOR('',#404301,10.); #120856=VECTOR('',#404302,10.); #120857=VECTOR('',#404303,10.); #120858=VECTOR('',#404306,10.); #120859=VECTOR('',#404307,10.); #120860=VECTOR('',#404308,10.); #120861=VECTOR('',#404311,10.); #120862=VECTOR('',#404312,10.); #120863=VECTOR('',#404313,10.); #120864=VECTOR('',#404316,10.); #120865=VECTOR('',#404317,10.); #120866=VECTOR('',#404318,10.); #120867=VECTOR('',#404321,10.); #120868=VECTOR('',#404322,10.); #120869=VECTOR('',#404323,10.); #120870=VECTOR('',#404326,10.); #120871=VECTOR('',#404327,10.); #120872=VECTOR('',#404328,10.); #120873=VECTOR('',#404331,10.); #120874=VECTOR('',#404332,10.); #120875=VECTOR('',#404333,10.); #120876=VECTOR('',#404336,10.); #120877=VECTOR('',#404337,10.); #120878=VECTOR('',#404338,10.); #120879=VECTOR('',#404341,10.); #120880=VECTOR('',#404342,10.); #120881=VECTOR('',#404343,10.); #120882=VECTOR('',#404346,10.); #120883=VECTOR('',#404347,10.); #120884=VECTOR('',#404348,10.); #120885=VECTOR('',#404351,10.); #120886=VECTOR('',#404352,10.); #120887=VECTOR('',#404353,10.); #120888=VECTOR('',#404356,10.); #120889=VECTOR('',#404357,10.); #120890=VECTOR('',#404358,10.); #120891=VECTOR('',#404361,10.); #120892=VECTOR('',#404362,10.); #120893=VECTOR('',#404363,10.); #120894=VECTOR('',#404366,10.); #120895=VECTOR('',#404367,10.); #120896=VECTOR('',#404368,10.); #120897=VECTOR('',#404371,10.); #120898=VECTOR('',#404372,10.); #120899=VECTOR('',#404373,10.); #120900=VECTOR('',#404376,10.); #120901=VECTOR('',#404377,10.); #120902=VECTOR('',#404378,10.); #120903=VECTOR('',#404381,10.); #120904=VECTOR('',#404382,10.); #120905=VECTOR('',#404383,10.); #120906=VECTOR('',#404386,10.); #120907=VECTOR('',#404387,10.); #120908=VECTOR('',#404388,10.); #120909=VECTOR('',#404391,10.); #120910=VECTOR('',#404392,10.); #120911=VECTOR('',#404393,10.); #120912=VECTOR('',#404396,10.); #120913=VECTOR('',#404397,10.); #120914=VECTOR('',#404398,10.); #120915=VECTOR('',#404401,10.); #120916=VECTOR('',#404402,10.); #120917=VECTOR('',#404403,10.); #120918=VECTOR('',#404406,10.); #120919=VECTOR('',#404407,10.); #120920=VECTOR('',#404408,10.); #120921=VECTOR('',#404411,10.); #120922=VECTOR('',#404412,10.); #120923=VECTOR('',#404413,10.); #120924=VECTOR('',#404416,10.); #120925=VECTOR('',#404417,10.); #120926=VECTOR('',#404418,10.); #120927=VECTOR('',#404421,10.); #120928=VECTOR('',#404422,10.); #120929=VECTOR('',#404423,10.); #120930=VECTOR('',#404426,10.); #120931=VECTOR('',#404427,10.); #120932=VECTOR('',#404428,10.); #120933=VECTOR('',#404431,10.); #120934=VECTOR('',#404432,10.); #120935=VECTOR('',#404433,10.); #120936=VECTOR('',#404436,10.); #120937=VECTOR('',#404437,10.); #120938=VECTOR('',#404438,10.); #120939=VECTOR('',#404441,10.); #120940=VECTOR('',#404442,10.); #120941=VECTOR('',#404443,10.); #120942=VECTOR('',#404446,10.); #120943=VECTOR('',#404447,10.); #120944=VECTOR('',#404448,10.); #120945=VECTOR('',#404451,10.); #120946=VECTOR('',#404452,10.); #120947=VECTOR('',#404453,10.); #120948=VECTOR('',#404456,10.); #120949=VECTOR('',#404457,10.); #120950=VECTOR('',#404458,10.); #120951=VECTOR('',#404461,10.); #120952=VECTOR('',#404462,10.); #120953=VECTOR('',#404463,10.); #120954=VECTOR('',#404466,10.); #120955=VECTOR('',#404467,10.); #120956=VECTOR('',#404468,10.); #120957=VECTOR('',#404471,10.); #120958=VECTOR('',#404472,10.); #120959=VECTOR('',#404473,10.); #120960=VECTOR('',#404476,10.); #120961=VECTOR('',#404477,10.); #120962=VECTOR('',#404478,10.); #120963=VECTOR('',#404481,10.); #120964=VECTOR('',#404482,10.); #120965=VECTOR('',#404483,10.); #120966=VECTOR('',#404486,10.); #120967=VECTOR('',#404487,10.); #120968=VECTOR('',#404488,10.); #120969=VECTOR('',#404491,10.); #120970=VECTOR('',#404492,10.); #120971=VECTOR('',#404493,10.); #120972=VECTOR('',#404496,10.); #120973=VECTOR('',#404497,10.); #120974=VECTOR('',#404498,10.); #120975=VECTOR('',#404501,10.); #120976=VECTOR('',#404502,10.); #120977=VECTOR('',#404503,10.); #120978=VECTOR('',#404506,10.); #120979=VECTOR('',#404507,10.); #120980=VECTOR('',#404508,10.); #120981=VECTOR('',#404511,10.); #120982=VECTOR('',#404512,10.); #120983=VECTOR('',#404513,10.); #120984=VECTOR('',#404516,10.); #120985=VECTOR('',#404517,10.); #120986=VECTOR('',#404518,10.); #120987=VECTOR('',#404521,10.); #120988=VECTOR('',#404522,10.); #120989=VECTOR('',#404523,10.); #120990=VECTOR('',#404526,10.); #120991=VECTOR('',#404527,10.); #120992=VECTOR('',#404528,10.); #120993=VECTOR('',#404531,10.); #120994=VECTOR('',#404532,10.); #120995=VECTOR('',#404533,10.); #120996=VECTOR('',#404536,10.); #120997=VECTOR('',#404537,10.); #120998=VECTOR('',#404538,10.); #120999=VECTOR('',#404541,10.); #121000=VECTOR('',#404542,10.); #121001=VECTOR('',#404543,10.); #121002=VECTOR('',#404546,10.); #121003=VECTOR('',#404547,10.); #121004=VECTOR('',#404554,10.); #121005=VECTOR('',#404555,10.); #121006=VECTOR('',#404556,10.); #121007=VECTOR('',#404557,10.); #121008=VECTOR('',#404560,10.); #121009=VECTOR('',#404561,10.); #121010=VECTOR('',#404562,10.); #121011=VECTOR('',#404565,10.); #121012=VECTOR('',#404566,10.); #121013=VECTOR('',#404567,10.); #121014=VECTOR('',#404570,10.); #121015=VECTOR('',#404571,10.); #121016=VECTOR('',#404572,10.); #121017=VECTOR('',#404575,10.); #121018=VECTOR('',#404576,10.); #121019=VECTOR('',#404577,10.); #121020=VECTOR('',#404580,10.); #121021=VECTOR('',#404581,10.); #121022=VECTOR('',#404582,10.); #121023=VECTOR('',#404585,10.); #121024=VECTOR('',#404586,10.); #121025=VECTOR('',#404587,10.); #121026=VECTOR('',#404590,10.); #121027=VECTOR('',#404591,10.); #121028=VECTOR('',#404592,10.); #121029=VECTOR('',#404595,10.); #121030=VECTOR('',#404596,10.); #121031=VECTOR('',#404597,10.); #121032=VECTOR('',#404600,10.); #121033=VECTOR('',#404601,10.); #121034=VECTOR('',#404602,10.); #121035=VECTOR('',#404605,10.); #121036=VECTOR('',#404606,10.); #121037=VECTOR('',#404607,10.); #121038=VECTOR('',#404610,10.); #121039=VECTOR('',#404611,10.); #121040=VECTOR('',#404612,10.); #121041=VECTOR('',#404615,10.); #121042=VECTOR('',#404616,10.); #121043=VECTOR('',#404617,10.); #121044=VECTOR('',#404620,10.); #121045=VECTOR('',#404621,10.); #121046=VECTOR('',#404622,10.); #121047=VECTOR('',#404625,10.); #121048=VECTOR('',#404626,10.); #121049=VECTOR('',#404627,10.); #121050=VECTOR('',#404630,10.); #121051=VECTOR('',#404631,10.); #121052=VECTOR('',#404632,10.); #121053=VECTOR('',#404635,10.); #121054=VECTOR('',#404636,10.); #121055=VECTOR('',#404637,10.); #121056=VECTOR('',#404640,10.); #121057=VECTOR('',#404641,10.); #121058=VECTOR('',#404642,10.); #121059=VECTOR('',#404645,10.); #121060=VECTOR('',#404646,10.); #121061=VECTOR('',#404647,10.); #121062=VECTOR('',#404650,10.); #121063=VECTOR('',#404651,10.); #121064=VECTOR('',#404652,10.); #121065=VECTOR('',#404655,10.); #121066=VECTOR('',#404656,10.); #121067=VECTOR('',#404663,10.); #121068=VECTOR('',#404664,10.); #121069=VECTOR('',#404665,10.); #121070=VECTOR('',#404666,10.); #121071=VECTOR('',#404669,10.); #121072=VECTOR('',#404670,10.); #121073=VECTOR('',#404671,10.); #121074=VECTOR('',#404674,10.); #121075=VECTOR('',#404675,10.); #121076=VECTOR('',#404676,10.); #121077=VECTOR('',#404679,10.); #121078=VECTOR('',#404680,10.); #121079=VECTOR('',#404681,10.); #121080=VECTOR('',#404684,10.); #121081=VECTOR('',#404685,10.); #121082=VECTOR('',#404686,10.); #121083=VECTOR('',#404689,10.); #121084=VECTOR('',#404690,10.); #121085=VECTOR('',#404691,10.); #121086=VECTOR('',#404694,10.); #121087=VECTOR('',#404695,10.); #121088=VECTOR('',#404696,10.); #121089=VECTOR('',#404699,10.); #121090=VECTOR('',#404700,10.); #121091=VECTOR('',#404701,10.); #121092=VECTOR('',#404704,10.); #121093=VECTOR('',#404705,10.); #121094=VECTOR('',#404706,10.); #121095=VECTOR('',#404709,10.); #121096=VECTOR('',#404710,10.); #121097=VECTOR('',#404711,10.); #121098=VECTOR('',#404714,10.); #121099=VECTOR('',#404715,10.); #121100=VECTOR('',#404716,10.); #121101=VECTOR('',#404719,10.); #121102=VECTOR('',#404720,10.); #121103=VECTOR('',#404721,10.); #121104=VECTOR('',#404724,10.); #121105=VECTOR('',#404725,10.); #121106=VECTOR('',#404726,10.); #121107=VECTOR('',#404729,10.); #121108=VECTOR('',#404730,10.); #121109=VECTOR('',#404731,10.); #121110=VECTOR('',#404734,10.); #121111=VECTOR('',#404735,10.); #121112=VECTOR('',#404736,10.); #121113=VECTOR('',#404739,10.); #121114=VECTOR('',#404740,10.); #121115=VECTOR('',#404741,10.); #121116=VECTOR('',#404744,10.); #121117=VECTOR('',#404745,10.); #121118=VECTOR('',#404746,10.); #121119=VECTOR('',#404749,10.); #121120=VECTOR('',#404750,10.); #121121=VECTOR('',#404751,10.); #121122=VECTOR('',#404754,10.); #121123=VECTOR('',#404755,10.); #121124=VECTOR('',#404756,10.); #121125=VECTOR('',#404759,10.); #121126=VECTOR('',#404760,10.); #121127=VECTOR('',#404761,10.); #121128=VECTOR('',#404764,10.); #121129=VECTOR('',#404765,10.); #121130=VECTOR('',#404766,10.); #121131=VECTOR('',#404769,10.); #121132=VECTOR('',#404770,10.); #121133=VECTOR('',#404771,10.); #121134=VECTOR('',#404774,10.); #121135=VECTOR('',#404775,10.); #121136=VECTOR('',#404776,10.); #121137=VECTOR('',#404779,10.); #121138=VECTOR('',#404780,10.); #121139=VECTOR('',#404781,10.); #121140=VECTOR('',#404784,10.); #121141=VECTOR('',#404785,10.); #121142=VECTOR('',#404786,10.); #121143=VECTOR('',#404789,10.); #121144=VECTOR('',#404790,10.); #121145=VECTOR('',#404797,10.); #121146=VECTOR('',#404798,10.); #121147=VECTOR('',#404799,10.); #121148=VECTOR('',#404800,10.); #121149=VECTOR('',#404803,10.); #121150=VECTOR('',#404804,10.); #121151=VECTOR('',#404805,10.); #121152=VECTOR('',#404808,10.); #121153=VECTOR('',#404809,10.); #121154=VECTOR('',#404810,10.); #121155=VECTOR('',#404813,10.); #121156=VECTOR('',#404814,10.); #121157=VECTOR('',#404815,10.); #121158=VECTOR('',#404818,10.); #121159=VECTOR('',#404819,10.); #121160=VECTOR('',#404820,10.); #121161=VECTOR('',#404823,10.); #121162=VECTOR('',#404824,10.); #121163=VECTOR('',#404825,10.); #121164=VECTOR('',#404828,10.); #121165=VECTOR('',#404829,10.); #121166=VECTOR('',#404830,10.); #121167=VECTOR('',#404833,10.); #121168=VECTOR('',#404834,10.); #121169=VECTOR('',#404835,10.); #121170=VECTOR('',#404838,10.); #121171=VECTOR('',#404839,10.); #121172=VECTOR('',#404840,10.); #121173=VECTOR('',#404843,10.); #121174=VECTOR('',#404844,10.); #121175=VECTOR('',#404845,10.); #121176=VECTOR('',#404848,10.); #121177=VECTOR('',#404849,10.); #121178=VECTOR('',#404850,10.); #121179=VECTOR('',#404853,10.); #121180=VECTOR('',#404854,10.); #121181=VECTOR('',#404855,10.); #121182=VECTOR('',#404858,10.); #121183=VECTOR('',#404859,10.); #121184=VECTOR('',#404860,10.); #121185=VECTOR('',#404863,10.); #121186=VECTOR('',#404864,10.); #121187=VECTOR('',#404865,10.); #121188=VECTOR('',#404868,10.); #121189=VECTOR('',#404869,10.); #121190=VECTOR('',#404870,10.); #121191=VECTOR('',#404873,10.); #121192=VECTOR('',#404874,10.); #121193=VECTOR('',#404875,10.); #121194=VECTOR('',#404878,10.); #121195=VECTOR('',#404879,10.); #121196=VECTOR('',#404880,10.); #121197=VECTOR('',#404883,10.); #121198=VECTOR('',#404884,10.); #121199=VECTOR('',#404885,10.); #121200=VECTOR('',#404888,10.); #121201=VECTOR('',#404889,10.); #121202=VECTOR('',#404890,10.); #121203=VECTOR('',#404893,10.); #121204=VECTOR('',#404894,10.); #121205=VECTOR('',#404901,10.); #121206=VECTOR('',#404902,10.); #121207=VECTOR('',#404903,10.); #121208=VECTOR('',#404904,10.); #121209=VECTOR('',#404907,10.); #121210=VECTOR('',#404908,10.); #121211=VECTOR('',#404909,10.); #121212=VECTOR('',#404912,10.); #121213=VECTOR('',#404913,10.); #121214=VECTOR('',#404914,10.); #121215=VECTOR('',#404917,10.); #121216=VECTOR('',#404918,10.); #121217=VECTOR('',#404919,10.); #121218=VECTOR('',#404922,10.); #121219=VECTOR('',#404923,10.); #121220=VECTOR('',#404924,10.); #121221=VECTOR('',#404927,10.); #121222=VECTOR('',#404928,10.); #121223=VECTOR('',#404929,10.); #121224=VECTOR('',#404932,10.); #121225=VECTOR('',#404933,10.); #121226=VECTOR('',#404934,10.); #121227=VECTOR('',#404937,10.); #121228=VECTOR('',#404938,10.); #121229=VECTOR('',#404939,10.); #121230=VECTOR('',#404942,10.); #121231=VECTOR('',#404943,10.); #121232=VECTOR('',#404944,10.); #121233=VECTOR('',#404947,10.); #121234=VECTOR('',#404948,10.); #121235=VECTOR('',#404949,10.); #121236=VECTOR('',#404952,10.); #121237=VECTOR('',#404953,10.); #121238=VECTOR('',#404954,10.); #121239=VECTOR('',#404957,10.); #121240=VECTOR('',#404958,10.); #121241=VECTOR('',#404959,10.); #121242=VECTOR('',#404962,10.); #121243=VECTOR('',#404963,10.); #121244=VECTOR('',#404964,10.); #121245=VECTOR('',#404967,10.); #121246=VECTOR('',#404968,10.); #121247=VECTOR('',#404969,10.); #121248=VECTOR('',#404972,10.); #121249=VECTOR('',#404973,10.); #121250=VECTOR('',#404974,10.); #121251=VECTOR('',#404977,10.); #121252=VECTOR('',#404978,10.); #121253=VECTOR('',#404979,10.); #121254=VECTOR('',#404982,10.); #121255=VECTOR('',#404983,10.); #121256=VECTOR('',#404984,10.); #121257=VECTOR('',#404987,10.); #121258=VECTOR('',#404988,10.); #121259=VECTOR('',#404989,10.); #121260=VECTOR('',#404992,10.); #121261=VECTOR('',#404993,10.); #121262=VECTOR('',#404994,10.); #121263=VECTOR('',#404997,10.); #121264=VECTOR('',#404998,10.); #121265=VECTOR('',#404999,10.); #121266=VECTOR('',#405002,10.); #121267=VECTOR('',#405003,10.); #121268=VECTOR('',#405004,10.); #121269=VECTOR('',#405007,10.); #121270=VECTOR('',#405008,10.); #121271=VECTOR('',#405009,10.); #121272=VECTOR('',#405012,10.); #121273=VECTOR('',#405013,10.); #121274=VECTOR('',#405020,10.); #121275=VECTOR('',#405021,10.); #121276=VECTOR('',#405022,10.); #121277=VECTOR('',#405023,10.); #121278=VECTOR('',#405026,10.); #121279=VECTOR('',#405027,10.); #121280=VECTOR('',#405028,10.); #121281=VECTOR('',#405031,10.); #121282=VECTOR('',#405032,10.); #121283=VECTOR('',#405033,10.); #121284=VECTOR('',#405036,10.); #121285=VECTOR('',#405037,10.); #121286=VECTOR('',#405038,10.); #121287=VECTOR('',#405041,10.); #121288=VECTOR('',#405042,10.); #121289=VECTOR('',#405043,10.); #121290=VECTOR('',#405046,10.); #121291=VECTOR('',#405047,10.); #121292=VECTOR('',#405048,10.); #121293=VECTOR('',#405051,10.); #121294=VECTOR('',#405052,10.); #121295=VECTOR('',#405053,10.); #121296=VECTOR('',#405056,10.); #121297=VECTOR('',#405057,10.); #121298=VECTOR('',#405058,10.); #121299=VECTOR('',#405061,10.); #121300=VECTOR('',#405062,10.); #121301=VECTOR('',#405063,10.); #121302=VECTOR('',#405066,10.); #121303=VECTOR('',#405067,10.); #121304=VECTOR('',#405068,10.); #121305=VECTOR('',#405071,10.); #121306=VECTOR('',#405072,10.); #121307=VECTOR('',#405073,10.); #121308=VECTOR('',#405076,10.); #121309=VECTOR('',#405077,10.); #121310=VECTOR('',#405078,10.); #121311=VECTOR('',#405081,10.); #121312=VECTOR('',#405082,10.); #121313=VECTOR('',#405083,10.); #121314=VECTOR('',#405086,10.); #121315=VECTOR('',#405087,10.); #121316=VECTOR('',#405088,10.); #121317=VECTOR('',#405091,10.); #121318=VECTOR('',#405092,10.); #121319=VECTOR('',#405093,10.); #121320=VECTOR('',#405096,10.); #121321=VECTOR('',#405097,10.); #121322=VECTOR('',#405098,10.); #121323=VECTOR('',#405101,10.); #121324=VECTOR('',#405102,10.); #121325=VECTOR('',#405103,10.); #121326=VECTOR('',#405106,10.); #121327=VECTOR('',#405107,10.); #121328=VECTOR('',#405108,10.); #121329=VECTOR('',#405111,10.); #121330=VECTOR('',#405112,10.); #121331=VECTOR('',#405113,10.); #121332=VECTOR('',#405116,10.); #121333=VECTOR('',#405117,10.); #121334=VECTOR('',#405118,10.); #121335=VECTOR('',#405121,10.); #121336=VECTOR('',#405122,10.); #121337=VECTOR('',#405129,10.); #121338=VECTOR('',#405130,10.); #121339=VECTOR('',#405131,10.); #121340=VECTOR('',#405132,10.); #121341=VECTOR('',#405135,10.); #121342=VECTOR('',#405136,10.); #121343=VECTOR('',#405137,10.); #121344=VECTOR('',#405140,10.); #121345=VECTOR('',#405141,10.); #121346=VECTOR('',#405142,10.); #121347=VECTOR('',#405145,10.); #121348=VECTOR('',#405146,10.); #121349=VECTOR('',#405147,10.); #121350=VECTOR('',#405150,10.); #121351=VECTOR('',#405151,10.); #121352=VECTOR('',#405152,10.); #121353=VECTOR('',#405155,10.); #121354=VECTOR('',#405156,10.); #121355=VECTOR('',#405157,10.); #121356=VECTOR('',#405160,10.); #121357=VECTOR('',#405161,10.); #121358=VECTOR('',#405162,10.); #121359=VECTOR('',#405165,10.); #121360=VECTOR('',#405166,10.); #121361=VECTOR('',#405167,10.); #121362=VECTOR('',#405170,10.); #121363=VECTOR('',#405171,10.); #121364=VECTOR('',#405172,10.); #121365=VECTOR('',#405175,10.); #121366=VECTOR('',#405176,10.); #121367=VECTOR('',#405177,10.); #121368=VECTOR('',#405180,10.); #121369=VECTOR('',#405181,10.); #121370=VECTOR('',#405182,10.); #121371=VECTOR('',#405185,10.); #121372=VECTOR('',#405186,10.); #121373=VECTOR('',#405187,10.); #121374=VECTOR('',#405190,10.); #121375=VECTOR('',#405191,10.); #121376=VECTOR('',#405192,10.); #121377=VECTOR('',#405195,10.); #121378=VECTOR('',#405196,10.); #121379=VECTOR('',#405197,10.); #121380=VECTOR('',#405200,10.); #121381=VECTOR('',#405201,10.); #121382=VECTOR('',#405202,10.); #121383=VECTOR('',#405205,10.); #121384=VECTOR('',#405206,10.); #121385=VECTOR('',#405207,10.); #121386=VECTOR('',#405210,10.); #121387=VECTOR('',#405211,10.); #121388=VECTOR('',#405212,10.); #121389=VECTOR('',#405215,10.); #121390=VECTOR('',#405216,10.); #121391=VECTOR('',#405217,10.); #121392=VECTOR('',#405220,10.); #121393=VECTOR('',#405221,10.); #121394=VECTOR('',#405222,10.); #121395=VECTOR('',#405225,10.); #121396=VECTOR('',#405226,10.); #121397=VECTOR('',#405227,10.); #121398=VECTOR('',#405230,10.); #121399=VECTOR('',#405231,10.); #121400=VECTOR('',#405232,10.); #121401=VECTOR('',#405235,10.); #121402=VECTOR('',#405236,10.); #121403=VECTOR('',#405237,10.); #121404=VECTOR('',#405240,10.); #121405=VECTOR('',#405241,10.); #121406=VECTOR('',#405242,10.); #121407=VECTOR('',#405245,10.); #121408=VECTOR('',#405246,10.); #121409=VECTOR('',#405247,10.); #121410=VECTOR('',#405250,10.); #121411=VECTOR('',#405251,10.); #121412=VECTOR('',#405252,10.); #121413=VECTOR('',#405255,10.); #121414=VECTOR('',#405256,10.); #121415=VECTOR('',#405257,10.); #121416=VECTOR('',#405260,10.); #121417=VECTOR('',#405261,10.); #121418=VECTOR('',#405262,10.); #121419=VECTOR('',#405265,10.); #121420=VECTOR('',#405266,10.); #121421=VECTOR('',#405267,10.); #121422=VECTOR('',#405270,10.); #121423=VECTOR('',#405271,10.); #121424=VECTOR('',#405272,10.); #121425=VECTOR('',#405275,10.); #121426=VECTOR('',#405276,10.); #121427=VECTOR('',#405283,10.); #121428=VECTOR('',#405284,10.); #121429=VECTOR('',#405285,10.); #121430=VECTOR('',#405286,10.); #121431=VECTOR('',#405289,10.); #121432=VECTOR('',#405290,10.); #121433=VECTOR('',#405291,10.); #121434=VECTOR('',#405294,10.); #121435=VECTOR('',#405295,10.); #121436=VECTOR('',#405296,10.); #121437=VECTOR('',#405299,10.); #121438=VECTOR('',#405300,10.); #121439=VECTOR('',#405301,10.); #121440=VECTOR('',#405304,10.); #121441=VECTOR('',#405305,10.); #121442=VECTOR('',#405306,10.); #121443=VECTOR('',#405309,10.); #121444=VECTOR('',#405310,10.); #121445=VECTOR('',#405311,10.); #121446=VECTOR('',#405314,10.); #121447=VECTOR('',#405315,10.); #121448=VECTOR('',#405316,10.); #121449=VECTOR('',#405319,10.); #121450=VECTOR('',#405320,10.); #121451=VECTOR('',#405321,10.); #121452=VECTOR('',#405324,10.); #121453=VECTOR('',#405325,10.); #121454=VECTOR('',#405326,10.); #121455=VECTOR('',#405329,10.); #121456=VECTOR('',#405330,10.); #121457=VECTOR('',#405331,10.); #121458=VECTOR('',#405334,10.); #121459=VECTOR('',#405335,10.); #121460=VECTOR('',#405336,10.); #121461=VECTOR('',#405339,10.); #121462=VECTOR('',#405340,10.); #121463=VECTOR('',#405341,10.); #121464=VECTOR('',#405344,10.); #121465=VECTOR('',#405345,10.); #121466=VECTOR('',#405346,10.); #121467=VECTOR('',#405349,10.); #121468=VECTOR('',#405350,10.); #121469=VECTOR('',#405351,10.); #121470=VECTOR('',#405354,10.); #121471=VECTOR('',#405355,10.); #121472=VECTOR('',#405356,10.); #121473=VECTOR('',#405359,10.); #121474=VECTOR('',#405360,10.); #121475=VECTOR('',#405361,10.); #121476=VECTOR('',#405364,10.); #121477=VECTOR('',#405365,10.); #121478=VECTOR('',#405366,10.); #121479=VECTOR('',#405369,10.); #121480=VECTOR('',#405370,10.); #121481=VECTOR('',#405371,10.); #121482=VECTOR('',#405374,10.); #121483=VECTOR('',#405375,10.); #121484=VECTOR('',#405376,10.); #121485=VECTOR('',#405379,10.); #121486=VECTOR('',#405380,10.); #121487=VECTOR('',#405387,10.); #121488=VECTOR('',#405388,10.); #121489=VECTOR('',#405389,10.); #121490=VECTOR('',#405390,10.); #121491=VECTOR('',#405393,10.); #121492=VECTOR('',#405394,10.); #121493=VECTOR('',#405395,10.); #121494=VECTOR('',#405398,10.); #121495=VECTOR('',#405399,10.); #121496=VECTOR('',#405400,10.); #121497=VECTOR('',#405403,10.); #121498=VECTOR('',#405404,10.); #121499=VECTOR('',#405405,10.); #121500=VECTOR('',#405408,10.); #121501=VECTOR('',#405409,10.); #121502=VECTOR('',#405410,10.); #121503=VECTOR('',#405413,10.); #121504=VECTOR('',#405414,10.); #121505=VECTOR('',#405415,10.); #121506=VECTOR('',#405418,10.); #121507=VECTOR('',#405419,10.); #121508=VECTOR('',#405420,10.); #121509=VECTOR('',#405423,10.); #121510=VECTOR('',#405424,10.); #121511=VECTOR('',#405425,10.); #121512=VECTOR('',#405428,10.); #121513=VECTOR('',#405429,10.); #121514=VECTOR('',#405430,10.); #121515=VECTOR('',#405433,10.); #121516=VECTOR('',#405434,10.); #121517=VECTOR('',#405435,10.); #121518=VECTOR('',#405438,10.); #121519=VECTOR('',#405439,10.); #121520=VECTOR('',#405440,10.); #121521=VECTOR('',#405443,10.); #121522=VECTOR('',#405444,10.); #121523=VECTOR('',#405445,10.); #121524=VECTOR('',#405448,10.); #121525=VECTOR('',#405449,10.); #121526=VECTOR('',#405450,10.); #121527=VECTOR('',#405453,10.); #121528=VECTOR('',#405454,10.); #121529=VECTOR('',#405455,10.); #121530=VECTOR('',#405458,10.); #121531=VECTOR('',#405459,10.); #121532=VECTOR('',#405460,10.); #121533=VECTOR('',#405463,10.); #121534=VECTOR('',#405464,10.); #121535=VECTOR('',#405465,10.); #121536=VECTOR('',#405468,10.); #121537=VECTOR('',#405469,10.); #121538=VECTOR('',#405470,10.); #121539=VECTOR('',#405473,10.); #121540=VECTOR('',#405474,10.); #121541=VECTOR('',#405475,10.); #121542=VECTOR('',#405478,10.); #121543=VECTOR('',#405479,10.); #121544=VECTOR('',#405480,10.); #121545=VECTOR('',#405483,10.); #121546=VECTOR('',#405484,10.); #121547=VECTOR('',#405485,10.); #121548=VECTOR('',#405488,10.); #121549=VECTOR('',#405489,10.); #121550=VECTOR('',#405490,10.); #121551=VECTOR('',#405493,10.); #121552=VECTOR('',#405494,10.); #121553=VECTOR('',#405495,10.); #121554=VECTOR('',#405498,10.); #121555=VECTOR('',#405499,10.); #121556=VECTOR('',#405500,10.); #121557=VECTOR('',#405503,10.); #121558=VECTOR('',#405504,10.); #121559=VECTOR('',#405505,10.); #121560=VECTOR('',#405508,10.); #121561=VECTOR('',#405509,10.); #121562=VECTOR('',#405510,10.); #121563=VECTOR('',#405513,10.); #121564=VECTOR('',#405514,10.); #121565=VECTOR('',#405515,10.); #121566=VECTOR('',#405518,10.); #121567=VECTOR('',#405519,10.); #121568=VECTOR('',#405520,10.); #121569=VECTOR('',#405523,10.); #121570=VECTOR('',#405524,10.); #121571=VECTOR('',#405525,10.); #121572=VECTOR('',#405528,10.); #121573=VECTOR('',#405529,10.); #121574=VECTOR('',#405530,10.); #121575=VECTOR('',#405533,10.); #121576=VECTOR('',#405534,10.); #121577=VECTOR('',#405535,10.); #121578=VECTOR('',#405538,10.); #121579=VECTOR('',#405539,10.); #121580=VECTOR('',#405540,10.); #121581=VECTOR('',#405543,10.); #121582=VECTOR('',#405544,10.); #121583=VECTOR('',#405545,10.); #121584=VECTOR('',#405548,10.); #121585=VECTOR('',#405549,10.); #121586=VECTOR('',#405558,0.139999999999998); #121587=VECTOR('',#405565,0.139999999999998); #121588=VECTOR('',#405572,0.139999999999998); #121589=VECTOR('',#405577,10.); #121590=VECTOR('',#405578,10.); #121591=VECTOR('',#405579,10.); #121592=VECTOR('',#405580,10.); #121593=VECTOR('',#405583,10.); #121594=VECTOR('',#405584,10.); #121595=VECTOR('',#405585,10.); #121596=VECTOR('',#405588,10.); #121597=VECTOR('',#405589,10.); #121598=VECTOR('',#405590,10.); #121599=VECTOR('',#405593,10.); #121600=VECTOR('',#405594,10.); #121601=VECTOR('',#405595,10.); #121602=VECTOR('',#405598,10.); #121603=VECTOR('',#405599,10.); #121604=VECTOR('',#405600,10.); #121605=VECTOR('',#405603,10.); #121606=VECTOR('',#405604,10.); #121607=VECTOR('',#405605,10.); #121608=VECTOR('',#405608,10.); #121609=VECTOR('',#405609,10.); #121610=VECTOR('',#405610,10.); #121611=VECTOR('',#405613,10.); #121612=VECTOR('',#405614,10.); #121613=VECTOR('',#405615,10.); #121614=VECTOR('',#405618,10.); #121615=VECTOR('',#405619,10.); #121616=VECTOR('',#405620,10.); #121617=VECTOR('',#405623,10.); #121618=VECTOR('',#405624,10.); #121619=VECTOR('',#405625,10.); #121620=VECTOR('',#405628,10.); #121621=VECTOR('',#405629,10.); #121622=VECTOR('',#405630,10.); #121623=VECTOR('',#405633,10.); #121624=VECTOR('',#405634,10.); #121625=VECTOR('',#405635,10.); #121626=VECTOR('',#405638,10.); #121627=VECTOR('',#405639,10.); #121628=VECTOR('',#405640,10.); #121629=VECTOR('',#405643,10.); #121630=VECTOR('',#405644,10.); #121631=VECTOR('',#405645,10.); #121632=VECTOR('',#405648,10.); #121633=VECTOR('',#405649,10.); #121634=VECTOR('',#405650,10.); #121635=VECTOR('',#405653,10.); #121636=VECTOR('',#405654,10.); #121637=VECTOR('',#405655,10.); #121638=VECTOR('',#405658,10.); #121639=VECTOR('',#405659,10.); #121640=VECTOR('',#405660,10.); #121641=VECTOR('',#405663,10.); #121642=VECTOR('',#405664,10.); #121643=VECTOR('',#405665,10.); #121644=VECTOR('',#405668,10.); #121645=VECTOR('',#405669,10.); #121646=VECTOR('',#405670,10.); #121647=VECTOR('',#405673,10.); #121648=VECTOR('',#405674,10.); #121649=VECTOR('',#405675,10.); #121650=VECTOR('',#405678,10.); #121651=VECTOR('',#405679,10.); #121652=VECTOR('',#405680,10.); #121653=VECTOR('',#405683,10.); #121654=VECTOR('',#405684,10.); #121655=VECTOR('',#405685,10.); #121656=VECTOR('',#405688,10.); #121657=VECTOR('',#405689,10.); #121658=VECTOR('',#405690,10.); #121659=VECTOR('',#405693,10.); #121660=VECTOR('',#405694,10.); #121661=VECTOR('',#405695,10.); #121662=VECTOR('',#405698,10.); #121663=VECTOR('',#405699,10.); #121664=VECTOR('',#405700,10.); #121665=VECTOR('',#405703,10.); #121666=VECTOR('',#405704,10.); #121667=VECTOR('',#405705,10.); #121668=VECTOR('',#405708,10.); #121669=VECTOR('',#405709,10.); #121670=VECTOR('',#405710,10.); #121671=VECTOR('',#405713,10.); #121672=VECTOR('',#405714,10.); #121673=VECTOR('',#405715,10.); #121674=VECTOR('',#405718,10.); #121675=VECTOR('',#405719,10.); #121676=VECTOR('',#405720,10.); #121677=VECTOR('',#405723,10.); #121678=VECTOR('',#405724,10.); #121679=VECTOR('',#405725,10.); #121680=VECTOR('',#405728,10.); #121681=VECTOR('',#405729,10.); #121682=VECTOR('',#405730,10.); #121683=VECTOR('',#405733,10.); #121684=VECTOR('',#405734,10.); #121685=VECTOR('',#405735,10.); #121686=VECTOR('',#405738,10.); #121687=VECTOR('',#405739,10.); #121688=VECTOR('',#405740,10.); #121689=VECTOR('',#405743,10.); #121690=VECTOR('',#405744,10.); #121691=VECTOR('',#405745,10.); #121692=VECTOR('',#405748,10.); #121693=VECTOR('',#405749,10.); #121694=VECTOR('',#405750,10.); #121695=VECTOR('',#405753,10.); #121696=VECTOR('',#405754,10.); #121697=VECTOR('',#405755,10.); #121698=VECTOR('',#405758,10.); #121699=VECTOR('',#405759,10.); #121700=VECTOR('',#405760,10.); #121701=VECTOR('',#405763,10.); #121702=VECTOR('',#405764,10.); #121703=VECTOR('',#405765,10.); #121704=VECTOR('',#405768,10.); #121705=VECTOR('',#405769,10.); #121706=VECTOR('',#405770,10.); #121707=VECTOR('',#405773,10.); #121708=VECTOR('',#405774,10.); #121709=VECTOR('',#405775,10.); #121710=VECTOR('',#405778,10.); #121711=VECTOR('',#405779,10.); #121712=VECTOR('',#405780,10.); #121713=VECTOR('',#405783,10.); #121714=VECTOR('',#405784,10.); #121715=VECTOR('',#405785,10.); #121716=VECTOR('',#405788,10.); #121717=VECTOR('',#405789,10.); #121718=VECTOR('',#405790,10.); #121719=VECTOR('',#405793,10.); #121720=VECTOR('',#405794,10.); #121721=VECTOR('',#405795,10.); #121722=VECTOR('',#405798,10.); #121723=VECTOR('',#405799,10.); #121724=VECTOR('',#405800,10.); #121725=VECTOR('',#405803,10.); #121726=VECTOR('',#405804,10.); #121727=VECTOR('',#405805,10.); #121728=VECTOR('',#405808,10.); #121729=VECTOR('',#405809,10.); #121730=VECTOR('',#405810,10.); #121731=VECTOR('',#405813,10.); #121732=VECTOR('',#405814,10.); #121733=VECTOR('',#405815,10.); #121734=VECTOR('',#405818,10.); #121735=VECTOR('',#405819,10.); #121736=VECTOR('',#405820,10.); #121737=VECTOR('',#405823,10.); #121738=VECTOR('',#405824,10.); #121739=VECTOR('',#405825,10.); #121740=VECTOR('',#405828,10.); #121741=VECTOR('',#405829,10.); #121742=VECTOR('',#405830,10.); #121743=VECTOR('',#405833,10.); #121744=VECTOR('',#405834,10.); #121745=VECTOR('',#405835,10.); #121746=VECTOR('',#405838,10.); #121747=VECTOR('',#405839,10.); #121748=VECTOR('',#405840,10.); #121749=VECTOR('',#405843,10.); #121750=VECTOR('',#405844,10.); #121751=VECTOR('',#405845,10.); #121752=VECTOR('',#405848,10.); #121753=VECTOR('',#405849,10.); #121754=VECTOR('',#405850,10.); #121755=VECTOR('',#405853,10.); #121756=VECTOR('',#405854,10.); #121757=VECTOR('',#405855,10.); #121758=VECTOR('',#405858,10.); #121759=VECTOR('',#405859,10.); #121760=VECTOR('',#405860,10.); #121761=VECTOR('',#405863,10.); #121762=VECTOR('',#405864,10.); #121763=VECTOR('',#405865,10.); #121764=VECTOR('',#405868,10.); #121765=VECTOR('',#405869,10.); #121766=VECTOR('',#405870,10.); #121767=VECTOR('',#405873,10.); #121768=VECTOR('',#405874,10.); #121769=VECTOR('',#405875,10.); #121770=VECTOR('',#405878,10.); #121771=VECTOR('',#405879,10.); #121772=VECTOR('',#405880,10.); #121773=VECTOR('',#405883,10.); #121774=VECTOR('',#405884,10.); #121775=VECTOR('',#405885,10.); #121776=VECTOR('',#405888,10.); #121777=VECTOR('',#405889,10.); #121778=VECTOR('',#405890,10.); #121779=VECTOR('',#405893,10.); #121780=VECTOR('',#405894,10.); #121781=VECTOR('',#405895,10.); #121782=VECTOR('',#405898,10.); #121783=VECTOR('',#405899,10.); #121784=VECTOR('',#405900,10.); #121785=VECTOR('',#405903,10.); #121786=VECTOR('',#405904,10.); #121787=VECTOR('',#405905,10.); #121788=VECTOR('',#405908,10.); #121789=VECTOR('',#405909,10.); #121790=VECTOR('',#405910,10.); #121791=VECTOR('',#405913,10.); #121792=VECTOR('',#405914,10.); #121793=VECTOR('',#405915,10.); #121794=VECTOR('',#405918,10.); #121795=VECTOR('',#405919,10.); #121796=VECTOR('',#405920,10.); #121797=VECTOR('',#405923,10.); #121798=VECTOR('',#405924,10.); #121799=VECTOR('',#405925,10.); #121800=VECTOR('',#405928,10.); #121801=VECTOR('',#405929,10.); #121802=VECTOR('',#405930,10.); #121803=VECTOR('',#405933,10.); #121804=VECTOR('',#405934,10.); #121805=VECTOR('',#405935,10.); #121806=VECTOR('',#405938,10.); #121807=VECTOR('',#405939,10.); #121808=VECTOR('',#405940,10.); #121809=VECTOR('',#405943,10.); #121810=VECTOR('',#405944,10.); #121811=VECTOR('',#405945,10.); #121812=VECTOR('',#405948,10.); #121813=VECTOR('',#405949,10.); #121814=VECTOR('',#405950,10.); #121815=VECTOR('',#405953,10.); #121816=VECTOR('',#405954,10.); #121817=VECTOR('',#405955,10.); #121818=VECTOR('',#405958,10.); #121819=VECTOR('',#405959,10.); #121820=VECTOR('',#405960,10.); #121821=VECTOR('',#405963,10.); #121822=VECTOR('',#405964,10.); #121823=VECTOR('',#405965,10.); #121824=VECTOR('',#405968,10.); #121825=VECTOR('',#405969,10.); #121826=VECTOR('',#405970,10.); #121827=VECTOR('',#405973,10.); #121828=VECTOR('',#405974,10.); #121829=VECTOR('',#405975,10.); #121830=VECTOR('',#405978,10.); #121831=VECTOR('',#405979,10.); #121832=VECTOR('',#405980,10.); #121833=VECTOR('',#405983,10.); #121834=VECTOR('',#405984,10.); #121835=VECTOR('',#405985,10.); #121836=VECTOR('',#405988,10.); #121837=VECTOR('',#405989,10.); #121838=VECTOR('',#405990,10.); #121839=VECTOR('',#405993,10.); #121840=VECTOR('',#405994,10.); #121841=VECTOR('',#405995,10.); #121842=VECTOR('',#405998,10.); #121843=VECTOR('',#405999,10.); #121844=VECTOR('',#406000,10.); #121845=VECTOR('',#406003,10.); #121846=VECTOR('',#406004,10.); #121847=VECTOR('',#406005,10.); #121848=VECTOR('',#406008,10.); #121849=VECTOR('',#406009,10.); #121850=VECTOR('',#406016,10.); #121851=VECTOR('',#406017,10.); #121852=VECTOR('',#406018,10.); #121853=VECTOR('',#406019,10.); #121854=VECTOR('',#406022,10.); #121855=VECTOR('',#406023,10.); #121856=VECTOR('',#406024,10.); #121857=VECTOR('',#406027,10.); #121858=VECTOR('',#406028,10.); #121859=VECTOR('',#406029,10.); #121860=VECTOR('',#406032,10.); #121861=VECTOR('',#406033,10.); #121862=VECTOR('',#406034,10.); #121863=VECTOR('',#406037,10.); #121864=VECTOR('',#406038,10.); #121865=VECTOR('',#406039,10.); #121866=VECTOR('',#406042,10.); #121867=VECTOR('',#406043,10.); #121868=VECTOR('',#406044,10.); #121869=VECTOR('',#406047,10.); #121870=VECTOR('',#406048,10.); #121871=VECTOR('',#406049,10.); #121872=VECTOR('',#406052,10.); #121873=VECTOR('',#406053,10.); #121874=VECTOR('',#406054,10.); #121875=VECTOR('',#406057,10.); #121876=VECTOR('',#406058,10.); #121877=VECTOR('',#406059,10.); #121878=VECTOR('',#406062,10.); #121879=VECTOR('',#406063,10.); #121880=VECTOR('',#406064,10.); #121881=VECTOR('',#406067,10.); #121882=VECTOR('',#406068,10.); #121883=VECTOR('',#406069,10.); #121884=VECTOR('',#406072,10.); #121885=VECTOR('',#406073,10.); #121886=VECTOR('',#406074,10.); #121887=VECTOR('',#406077,10.); #121888=VECTOR('',#406078,10.); #121889=VECTOR('',#406079,10.); #121890=VECTOR('',#406082,10.); #121891=VECTOR('',#406083,10.); #121892=VECTOR('',#406084,10.); #121893=VECTOR('',#406087,10.); #121894=VECTOR('',#406088,10.); #121895=VECTOR('',#406089,10.); #121896=VECTOR('',#406092,10.); #121897=VECTOR('',#406093,10.); #121898=VECTOR('',#406094,10.); #121899=VECTOR('',#406097,10.); #121900=VECTOR('',#406098,10.); #121901=VECTOR('',#406099,10.); #121902=VECTOR('',#406102,10.); #121903=VECTOR('',#406103,10.); #121904=VECTOR('',#406104,10.); #121905=VECTOR('',#406107,10.); #121906=VECTOR('',#406108,10.); #121907=VECTOR('',#406109,10.); #121908=VECTOR('',#406112,10.); #121909=VECTOR('',#406113,10.); #121910=VECTOR('',#406114,10.); #121911=VECTOR('',#406117,10.); #121912=VECTOR('',#406118,10.); #121913=VECTOR('',#406119,10.); #121914=VECTOR('',#406122,10.); #121915=VECTOR('',#406123,10.); #121916=VECTOR('',#406124,10.); #121917=VECTOR('',#406127,10.); #121918=VECTOR('',#406128,10.); #121919=VECTOR('',#406129,10.); #121920=VECTOR('',#406132,10.); #121921=VECTOR('',#406133,10.); #121922=VECTOR('',#406134,10.); #121923=VECTOR('',#406137,10.); #121924=VECTOR('',#406138,10.); #121925=VECTOR('',#406139,10.); #121926=VECTOR('',#406142,10.); #121927=VECTOR('',#406143,10.); #121928=VECTOR('',#406144,10.); #121929=VECTOR('',#406147,10.); #121930=VECTOR('',#406148,10.); #121931=VECTOR('',#406149,10.); #121932=VECTOR('',#406152,10.); #121933=VECTOR('',#406153,10.); #121934=VECTOR('',#406154,10.); #121935=VECTOR('',#406157,10.); #121936=VECTOR('',#406158,10.); #121937=VECTOR('',#406165,10.); #121938=VECTOR('',#406166,10.); #121939=VECTOR('',#406167,10.); #121940=VECTOR('',#406168,10.); #121941=VECTOR('',#406171,10.); #121942=VECTOR('',#406172,10.); #121943=VECTOR('',#406173,10.); #121944=VECTOR('',#406176,10.); #121945=VECTOR('',#406177,10.); #121946=VECTOR('',#406178,10.); #121947=VECTOR('',#406181,10.); #121948=VECTOR('',#406182,10.); #121949=VECTOR('',#406183,10.); #121950=VECTOR('',#406186,10.); #121951=VECTOR('',#406187,10.); #121952=VECTOR('',#406188,10.); #121953=VECTOR('',#406191,10.); #121954=VECTOR('',#406192,10.); #121955=VECTOR('',#406193,10.); #121956=VECTOR('',#406196,10.); #121957=VECTOR('',#406197,10.); #121958=VECTOR('',#406198,10.); #121959=VECTOR('',#406201,10.); #121960=VECTOR('',#406202,10.); #121961=VECTOR('',#406203,10.); #121962=VECTOR('',#406206,10.); #121963=VECTOR('',#406207,10.); #121964=VECTOR('',#406208,10.); #121965=VECTOR('',#406211,10.); #121966=VECTOR('',#406212,10.); #121967=VECTOR('',#406213,10.); #121968=VECTOR('',#406216,10.); #121969=VECTOR('',#406217,10.); #121970=VECTOR('',#406218,10.); #121971=VECTOR('',#406221,10.); #121972=VECTOR('',#406222,10.); #121973=VECTOR('',#406223,10.); #121974=VECTOR('',#406226,10.); #121975=VECTOR('',#406227,10.); #121976=VECTOR('',#406228,10.); #121977=VECTOR('',#406231,10.); #121978=VECTOR('',#406232,10.); #121979=VECTOR('',#406233,10.); #121980=VECTOR('',#406236,10.); #121981=VECTOR('',#406237,10.); #121982=VECTOR('',#406238,10.); #121983=VECTOR('',#406241,10.); #121984=VECTOR('',#406242,10.); #121985=VECTOR('',#406243,10.); #121986=VECTOR('',#406246,10.); #121987=VECTOR('',#406247,10.); #121988=VECTOR('',#406248,10.); #121989=VECTOR('',#406251,10.); #121990=VECTOR('',#406252,10.); #121991=VECTOR('',#406253,10.); #121992=VECTOR('',#406256,10.); #121993=VECTOR('',#406257,10.); #121994=VECTOR('',#406266,0.139999999999998); #121995=VECTOR('',#406273,0.139999999999998); #121996=VECTOR('',#406280,0.139999999999998); #121997=VECTOR('',#406287,0.139999999999998); #121998=VECTOR('',#406294,0.139999999999998); #121999=VECTOR('',#406301,0.139999999999998); #122000=VECTOR('',#406308,0.139999999999998); #122001=VECTOR('',#406315,0.139999999999998); #122002=VECTOR('',#406322,0.139999999999998); #122003=VECTOR('',#406329,0.139999999999998); #122004=VECTOR('',#406336,0.139999999999998); #122005=VECTOR('',#406343,0.139999999999998); #122006=VECTOR('',#406350,0.139999999999998); #122007=VECTOR('',#406357,0.139999999999998); #122008=VECTOR('',#406364,0.139999999999998); #122009=VECTOR('',#406371,0.139999999999998); #122010=VECTOR('',#406378,0.139999999999998); #122011=VECTOR('',#406385,0.139999999999998); #122012=VECTOR('',#406392,0.139999999999998); #122013=VECTOR('',#406399,0.139999999999998); #122014=VECTOR('',#406406,0.139999999999998); #122015=VECTOR('',#406413,0.139999999999998); #122016=VECTOR('',#406420,0.139999999999998); #122017=VECTOR('',#406427,0.139999999999998); #122018=VECTOR('',#406434,0.139999999999998); #122019=VECTOR('',#406441,0.139999999999998); #122020=VECTOR('',#406448,0.139999999999998); #122021=VECTOR('',#406455,0.139999999999998); #122022=VECTOR('',#406462,0.139999999999998); #122023=VECTOR('',#406469,0.139999999999998); #122024=VECTOR('',#406476,0.139999999999998); #122025=VECTOR('',#406483,0.139999999999998); #122026=VECTOR('',#406490,0.139999999999998); #122027=VECTOR('',#406497,0.139999999999998); #122028=VECTOR('',#406504,0.139999999999998); #122029=VECTOR('',#406511,0.139999999999998); #122030=VECTOR('',#406518,0.139999999999998); #122031=VECTOR('',#406525,0.139999999999998); #122032=VECTOR('',#406532,0.139999999999998); #122033=VECTOR('',#406539,0.139999999999998); #122034=VECTOR('',#406546,0.139999999999998); #122035=VECTOR('',#406553,0.139999999999998); #122036=VECTOR('',#406560,0.139999999999998); #122037=VECTOR('',#406567,0.139999999999998); #122038=VECTOR('',#406574,0.139999999999998); #122039=VECTOR('',#406581,0.139999999999998); #122040=VECTOR('',#406588,0.139999999999998); #122041=VECTOR('',#406595,0.139999999999998); #122042=VECTOR('',#406602,0.139999999999998); #122043=VECTOR('',#406607,10.); #122044=VECTOR('',#406608,10.); #122045=VECTOR('',#406609,10.); #122046=VECTOR('',#406610,10.); #122047=VECTOR('',#406613,10.); #122048=VECTOR('',#406614,10.); #122049=VECTOR('',#406615,10.); #122050=VECTOR('',#406618,10.); #122051=VECTOR('',#406619,10.); #122052=VECTOR('',#406620,10.); #122053=VECTOR('',#406623,10.); #122054=VECTOR('',#406624,10.); #122055=VECTOR('',#406625,10.); #122056=VECTOR('',#406628,10.); #122057=VECTOR('',#406629,10.); #122058=VECTOR('',#406630,10.); #122059=VECTOR('',#406633,10.); #122060=VECTOR('',#406634,10.); #122061=VECTOR('',#406635,10.); #122062=VECTOR('',#406638,10.); #122063=VECTOR('',#406639,10.); #122064=VECTOR('',#406640,10.); #122065=VECTOR('',#406643,10.); #122066=VECTOR('',#406644,10.); #122067=VECTOR('',#406645,10.); #122068=VECTOR('',#406648,10.); #122069=VECTOR('',#406649,10.); #122070=VECTOR('',#406650,10.); #122071=VECTOR('',#406653,10.); #122072=VECTOR('',#406654,10.); #122073=VECTOR('',#406655,10.); #122074=VECTOR('',#406658,10.); #122075=VECTOR('',#406659,10.); #122076=VECTOR('',#406660,10.); #122077=VECTOR('',#406663,10.); #122078=VECTOR('',#406664,10.); #122079=VECTOR('',#406665,10.); #122080=VECTOR('',#406668,10.); #122081=VECTOR('',#406669,10.); #122082=VECTOR('',#406670,10.); #122083=VECTOR('',#406673,10.); #122084=VECTOR('',#406674,10.); #122085=VECTOR('',#406675,10.); #122086=VECTOR('',#406678,10.); #122087=VECTOR('',#406679,10.); #122088=VECTOR('',#406680,10.); #122089=VECTOR('',#406683,10.); #122090=VECTOR('',#406684,10.); #122091=VECTOR('',#406685,10.); #122092=VECTOR('',#406688,10.); #122093=VECTOR('',#406689,10.); #122094=VECTOR('',#406690,10.); #122095=VECTOR('',#406693,10.); #122096=VECTOR('',#406694,10.); #122097=VECTOR('',#406695,10.); #122098=VECTOR('',#406698,10.); #122099=VECTOR('',#406699,10.); #122100=VECTOR('',#406700,10.); #122101=VECTOR('',#406703,10.); #122102=VECTOR('',#406704,10.); #122103=VECTOR('',#406705,10.); #122104=VECTOR('',#406708,10.); #122105=VECTOR('',#406709,10.); #122106=VECTOR('',#406710,10.); #122107=VECTOR('',#406713,10.); #122108=VECTOR('',#406714,10.); #122109=VECTOR('',#406715,10.); #122110=VECTOR('',#406718,10.); #122111=VECTOR('',#406719,10.); #122112=VECTOR('',#406720,10.); #122113=VECTOR('',#406723,10.); #122114=VECTOR('',#406724,10.); #122115=VECTOR('',#406725,10.); #122116=VECTOR('',#406728,10.); #122117=VECTOR('',#406729,10.); #122118=VECTOR('',#406730,10.); #122119=VECTOR('',#406733,10.); #122120=VECTOR('',#406734,10.); #122121=VECTOR('',#406735,10.); #122122=VECTOR('',#406738,10.); #122123=VECTOR('',#406739,10.); #122124=VECTOR('',#406740,10.); #122125=VECTOR('',#406743,10.); #122126=VECTOR('',#406744,10.); #122127=VECTOR('',#406745,10.); #122128=VECTOR('',#406748,10.); #122129=VECTOR('',#406749,10.); #122130=VECTOR('',#406750,10.); #122131=VECTOR('',#406753,10.); #122132=VECTOR('',#406754,10.); #122133=VECTOR('',#406755,10.); #122134=VECTOR('',#406758,10.); #122135=VECTOR('',#406759,10.); #122136=VECTOR('',#406760,10.); #122137=VECTOR('',#406763,10.); #122138=VECTOR('',#406764,10.); #122139=VECTOR('',#406765,10.); #122140=VECTOR('',#406768,10.); #122141=VECTOR('',#406769,10.); #122142=VECTOR('',#406770,10.); #122143=VECTOR('',#406773,10.); #122144=VECTOR('',#406774,10.); #122145=VECTOR('',#406775,10.); #122146=VECTOR('',#406778,10.); #122147=VECTOR('',#406779,10.); #122148=VECTOR('',#406780,10.); #122149=VECTOR('',#406783,10.); #122150=VECTOR('',#406784,10.); #122151=VECTOR('',#406785,10.); #122152=VECTOR('',#406788,10.); #122153=VECTOR('',#406789,10.); #122154=VECTOR('',#406790,10.); #122155=VECTOR('',#406793,10.); #122156=VECTOR('',#406794,10.); #122157=VECTOR('',#406795,10.); #122158=VECTOR('',#406798,10.); #122159=VECTOR('',#406799,10.); #122160=VECTOR('',#406800,10.); #122161=VECTOR('',#406803,10.); #122162=VECTOR('',#406804,10.); #122163=VECTOR('',#406805,10.); #122164=VECTOR('',#406808,10.); #122165=VECTOR('',#406809,10.); #122166=VECTOR('',#406810,10.); #122167=VECTOR('',#406813,10.); #122168=VECTOR('',#406814,10.); #122169=VECTOR('',#406815,10.); #122170=VECTOR('',#406818,10.); #122171=VECTOR('',#406819,10.); #122172=VECTOR('',#406820,10.); #122173=VECTOR('',#406823,10.); #122174=VECTOR('',#406824,10.); #122175=VECTOR('',#406825,10.); #122176=VECTOR('',#406828,10.); #122177=VECTOR('',#406829,10.); #122178=VECTOR('',#406830,10.); #122179=VECTOR('',#406833,10.); #122180=VECTOR('',#406834,10.); #122181=VECTOR('',#406835,10.); #122182=VECTOR('',#406838,10.); #122183=VECTOR('',#406839,10.); #122184=VECTOR('',#406840,10.); #122185=VECTOR('',#406843,10.); #122186=VECTOR('',#406844,10.); #122187=VECTOR('',#406845,10.); #122188=VECTOR('',#406848,10.); #122189=VECTOR('',#406849,10.); #122190=VECTOR('',#406850,10.); #122191=VECTOR('',#406853,10.); #122192=VECTOR('',#406854,10.); #122193=VECTOR('',#406855,10.); #122194=VECTOR('',#406858,10.); #122195=VECTOR('',#406859,10.); #122196=VECTOR('',#406860,10.); #122197=VECTOR('',#406863,10.); #122198=VECTOR('',#406864,10.); #122199=VECTOR('',#406865,10.); #122200=VECTOR('',#406868,10.); #122201=VECTOR('',#406869,10.); #122202=VECTOR('',#406870,10.); #122203=VECTOR('',#406873,10.); #122204=VECTOR('',#406874,10.); #122205=VECTOR('',#406875,10.); #122206=VECTOR('',#406878,10.); #122207=VECTOR('',#406879,10.); #122208=VECTOR('',#406880,10.); #122209=VECTOR('',#406883,10.); #122210=VECTOR('',#406884,10.); #122211=VECTOR('',#406885,10.); #122212=VECTOR('',#406888,10.); #122213=VECTOR('',#406889,10.); #122214=VECTOR('',#406890,10.); #122215=VECTOR('',#406893,10.); #122216=VECTOR('',#406894,10.); #122217=VECTOR('',#406895,10.); #122218=VECTOR('',#406898,10.); #122219=VECTOR('',#406899,10.); #122220=VECTOR('',#406900,10.); #122221=VECTOR('',#406903,10.); #122222=VECTOR('',#406904,10.); #122223=VECTOR('',#406905,10.); #122224=VECTOR('',#406908,10.); #122225=VECTOR('',#406909,10.); #122226=VECTOR('',#406910,10.); #122227=VECTOR('',#406913,10.); #122228=VECTOR('',#406914,10.); #122229=VECTOR('',#406915,10.); #122230=VECTOR('',#406918,10.); #122231=VECTOR('',#406919,10.); #122232=VECTOR('',#406920,10.); #122233=VECTOR('',#406923,10.); #122234=VECTOR('',#406924,10.); #122235=VECTOR('',#406925,10.); #122236=VECTOR('',#406928,10.); #122237=VECTOR('',#406929,10.); #122238=VECTOR('',#406930,10.); #122239=VECTOR('',#406933,10.); #122240=VECTOR('',#406934,10.); #122241=VECTOR('',#406935,10.); #122242=VECTOR('',#406938,10.); #122243=VECTOR('',#406939,10.); #122244=VECTOR('',#406940,10.); #122245=VECTOR('',#406943,10.); #122246=VECTOR('',#406944,10.); #122247=VECTOR('',#406945,10.); #122248=VECTOR('',#406948,10.); #122249=VECTOR('',#406949,10.); #122250=VECTOR('',#406950,10.); #122251=VECTOR('',#406953,10.); #122252=VECTOR('',#406954,10.); #122253=VECTOR('',#406955,10.); #122254=VECTOR('',#406958,10.); #122255=VECTOR('',#406959,10.); #122256=VECTOR('',#406960,10.); #122257=VECTOR('',#406963,10.); #122258=VECTOR('',#406964,10.); #122259=VECTOR('',#406965,10.); #122260=VECTOR('',#406968,10.); #122261=VECTOR('',#406969,10.); #122262=VECTOR('',#406970,10.); #122263=VECTOR('',#406973,10.); #122264=VECTOR('',#406974,10.); #122265=VECTOR('',#406975,10.); #122266=VECTOR('',#406978,10.); #122267=VECTOR('',#406979,10.); #122268=VECTOR('',#406980,10.); #122269=VECTOR('',#406983,10.); #122270=VECTOR('',#406984,10.); #122271=VECTOR('',#406985,10.); #122272=VECTOR('',#406988,10.); #122273=VECTOR('',#406989,10.); #122274=VECTOR('',#406990,10.); #122275=VECTOR('',#406993,10.); #122276=VECTOR('',#406994,10.); #122277=VECTOR('',#406995,10.); #122278=VECTOR('',#406998,10.); #122279=VECTOR('',#406999,10.); #122280=VECTOR('',#407000,10.); #122281=VECTOR('',#407003,10.); #122282=VECTOR('',#407004,10.); #122283=VECTOR('',#407005,10.); #122284=VECTOR('',#407008,10.); #122285=VECTOR('',#407009,10.); #122286=VECTOR('',#407010,10.); #122287=VECTOR('',#407013,10.); #122288=VECTOR('',#407014,10.); #122289=VECTOR('',#407015,10.); #122290=VECTOR('',#407018,10.); #122291=VECTOR('',#407019,10.); #122292=VECTOR('',#407020,10.); #122293=VECTOR('',#407023,10.); #122294=VECTOR('',#407024,10.); #122295=VECTOR('',#407025,10.); #122296=VECTOR('',#407028,10.); #122297=VECTOR('',#407029,10.); #122298=VECTOR('',#407030,10.); #122299=VECTOR('',#407033,10.); #122300=VECTOR('',#407034,10.); #122301=VECTOR('',#407035,10.); #122302=VECTOR('',#407038,10.); #122303=VECTOR('',#407039,10.); #122304=VECTOR('',#407040,10.); #122305=VECTOR('',#407043,10.); #122306=VECTOR('',#407044,10.); #122307=VECTOR('',#407045,10.); #122308=VECTOR('',#407048,10.); #122309=VECTOR('',#407049,10.); #122310=VECTOR('',#407050,10.); #122311=VECTOR('',#407053,10.); #122312=VECTOR('',#407054,10.); #122313=VECTOR('',#407055,10.); #122314=VECTOR('',#407058,10.); #122315=VECTOR('',#407059,10.); #122316=VECTOR('',#407060,10.); #122317=VECTOR('',#407063,10.); #122318=VECTOR('',#407064,10.); #122319=VECTOR('',#407065,10.); #122320=VECTOR('',#407068,10.); #122321=VECTOR('',#407069,10.); #122322=VECTOR('',#407070,10.); #122323=VECTOR('',#407073,10.); #122324=VECTOR('',#407074,10.); #122325=VECTOR('',#407075,10.); #122326=VECTOR('',#407078,10.); #122327=VECTOR('',#407079,10.); #122328=VECTOR('',#407080,10.); #122329=VECTOR('',#407083,10.); #122330=VECTOR('',#407084,10.); #122331=VECTOR('',#407085,10.); #122332=VECTOR('',#407088,10.); #122333=VECTOR('',#407089,10.); #122334=VECTOR('',#407090,10.); #122335=VECTOR('',#407093,10.); #122336=VECTOR('',#407094,10.); #122337=VECTOR('',#407095,10.); #122338=VECTOR('',#407098,10.); #122339=VECTOR('',#407099,10.); #122340=VECTOR('',#407100,10.); #122341=VECTOR('',#407103,10.); #122342=VECTOR('',#407104,10.); #122343=VECTOR('',#407105,10.); #122344=VECTOR('',#407108,10.); #122345=VECTOR('',#407109,10.); #122346=VECTOR('',#407110,10.); #122347=VECTOR('',#407113,10.); #122348=VECTOR('',#407114,10.); #122349=VECTOR('',#407115,10.); #122350=VECTOR('',#407118,10.); #122351=VECTOR('',#407119,10.); #122352=VECTOR('',#407120,10.); #122353=VECTOR('',#407123,10.); #122354=VECTOR('',#407124,10.); #122355=VECTOR('',#407125,10.); #122356=VECTOR('',#407128,10.); #122357=VECTOR('',#407129,10.); #122358=VECTOR('',#407130,10.); #122359=VECTOR('',#407133,10.); #122360=VECTOR('',#407134,10.); #122361=VECTOR('',#407135,10.); #122362=VECTOR('',#407138,10.); #122363=VECTOR('',#407139,10.); #122364=VECTOR('',#407140,10.); #122365=VECTOR('',#407143,10.); #122366=VECTOR('',#407144,10.); #122367=VECTOR('',#407145,10.); #122368=VECTOR('',#407148,10.); #122369=VECTOR('',#407149,10.); #122370=VECTOR('',#407150,10.); #122371=VECTOR('',#407153,10.); #122372=VECTOR('',#407154,10.); #122373=VECTOR('',#407155,10.); #122374=VECTOR('',#407158,10.); #122375=VECTOR('',#407159,10.); #122376=VECTOR('',#407160,10.); #122377=VECTOR('',#407163,10.); #122378=VECTOR('',#407164,10.); #122379=VECTOR('',#407165,10.); #122380=VECTOR('',#407168,10.); #122381=VECTOR('',#407169,10.); #122382=VECTOR('',#407170,10.); #122383=VECTOR('',#407173,10.); #122384=VECTOR('',#407174,10.); #122385=VECTOR('',#407175,10.); #122386=VECTOR('',#407178,10.); #122387=VECTOR('',#407179,10.); #122388=VECTOR('',#407180,10.); #122389=VECTOR('',#407183,10.); #122390=VECTOR('',#407184,10.); #122391=VECTOR('',#407185,10.); #122392=VECTOR('',#407188,10.); #122393=VECTOR('',#407189,10.); #122394=VECTOR('',#407190,10.); #122395=VECTOR('',#407193,10.); #122396=VECTOR('',#407194,10.); #122397=VECTOR('',#407195,10.); #122398=VECTOR('',#407198,10.); #122399=VECTOR('',#407199,10.); #122400=VECTOR('',#407200,10.); #122401=VECTOR('',#407203,10.); #122402=VECTOR('',#407204,10.); #122403=VECTOR('',#407205,10.); #122404=VECTOR('',#407208,10.); #122405=VECTOR('',#407209,10.); #122406=VECTOR('',#407210,10.); #122407=VECTOR('',#407213,10.); #122408=VECTOR('',#407214,10.); #122409=VECTOR('',#407215,10.); #122410=VECTOR('',#407218,10.); #122411=VECTOR('',#407219,10.); #122412=VECTOR('',#407220,10.); #122413=VECTOR('',#407223,10.); #122414=VECTOR('',#407224,10.); #122415=VECTOR('',#407225,10.); #122416=VECTOR('',#407228,10.); #122417=VECTOR('',#407229,10.); #122418=VECTOR('',#407230,10.); #122419=VECTOR('',#407233,10.); #122420=VECTOR('',#407234,10.); #122421=VECTOR('',#407235,10.); #122422=VECTOR('',#407238,10.); #122423=VECTOR('',#407239,10.); #122424=VECTOR('',#407240,10.); #122425=VECTOR('',#407243,10.); #122426=VECTOR('',#407244,10.); #122427=VECTOR('',#407245,10.); #122428=VECTOR('',#407248,10.); #122429=VECTOR('',#407249,10.); #122430=VECTOR('',#407250,10.); #122431=VECTOR('',#407253,10.); #122432=VECTOR('',#407254,10.); #122433=VECTOR('',#407255,10.); #122434=VECTOR('',#407258,10.); #122435=VECTOR('',#407259,10.); #122436=VECTOR('',#407260,10.); #122437=VECTOR('',#407263,10.); #122438=VECTOR('',#407264,10.); #122439=VECTOR('',#407265,10.); #122440=VECTOR('',#407268,10.); #122441=VECTOR('',#407269,10.); #122442=VECTOR('',#407270,10.); #122443=VECTOR('',#407273,10.); #122444=VECTOR('',#407274,10.); #122445=VECTOR('',#407275,10.); #122446=VECTOR('',#407278,10.); #122447=VECTOR('',#407279,10.); #122448=VECTOR('',#407280,10.); #122449=VECTOR('',#407283,10.); #122450=VECTOR('',#407284,10.); #122451=VECTOR('',#407285,10.); #122452=VECTOR('',#407288,10.); #122453=VECTOR('',#407289,10.); #122454=VECTOR('',#407290,10.); #122455=VECTOR('',#407293,10.); #122456=VECTOR('',#407294,10.); #122457=VECTOR('',#407295,10.); #122458=VECTOR('',#407298,10.); #122459=VECTOR('',#407299,10.); #122460=VECTOR('',#407300,10.); #122461=VECTOR('',#407303,10.); #122462=VECTOR('',#407304,10.); #122463=VECTOR('',#407305,10.); #122464=VECTOR('',#407308,10.); #122465=VECTOR('',#407309,10.); #122466=VECTOR('',#407310,10.); #122467=VECTOR('',#407313,10.); #122468=VECTOR('',#407314,10.); #122469=VECTOR('',#407315,10.); #122470=VECTOR('',#407318,10.); #122471=VECTOR('',#407319,10.); #122472=VECTOR('',#407320,10.); #122473=VECTOR('',#407323,10.); #122474=VECTOR('',#407324,10.); #122475=VECTOR('',#407325,10.); #122476=VECTOR('',#407328,10.); #122477=VECTOR('',#407329,10.); #122478=VECTOR('',#407330,10.); #122479=VECTOR('',#407333,10.); #122480=VECTOR('',#407334,10.); #122481=VECTOR('',#407335,10.); #122482=VECTOR('',#407338,10.); #122483=VECTOR('',#407339,10.); #122484=VECTOR('',#407340,10.); #122485=VECTOR('',#407343,10.); #122486=VECTOR('',#407344,10.); #122487=VECTOR('',#407345,10.); #122488=VECTOR('',#407348,10.); #122489=VECTOR('',#407349,10.); #122490=VECTOR('',#407350,10.); #122491=VECTOR('',#407353,10.); #122492=VECTOR('',#407354,10.); #122493=VECTOR('',#407355,10.); #122494=VECTOR('',#407358,10.); #122495=VECTOR('',#407359,10.); #122496=VECTOR('',#407360,10.); #122497=VECTOR('',#407363,10.); #122498=VECTOR('',#407364,10.); #122499=VECTOR('',#407365,10.); #122500=VECTOR('',#407368,10.); #122501=VECTOR('',#407369,10.); #122502=VECTOR('',#407370,10.); #122503=VECTOR('',#407373,10.); #122504=VECTOR('',#407374,10.); #122505=VECTOR('',#407375,10.); #122506=VECTOR('',#407378,10.); #122507=VECTOR('',#407379,10.); #122508=VECTOR('',#407380,10.); #122509=VECTOR('',#407383,10.); #122510=VECTOR('',#407384,10.); #122511=VECTOR('',#407385,10.); #122512=VECTOR('',#407388,10.); #122513=VECTOR('',#407389,10.); #122514=VECTOR('',#407390,10.); #122515=VECTOR('',#407393,10.); #122516=VECTOR('',#407394,10.); #122517=VECTOR('',#407395,10.); #122518=VECTOR('',#407398,10.); #122519=VECTOR('',#407399,10.); #122520=VECTOR('',#407400,10.); #122521=VECTOR('',#407403,10.); #122522=VECTOR('',#407404,10.); #122523=VECTOR('',#407405,10.); #122524=VECTOR('',#407408,10.); #122525=VECTOR('',#407409,10.); #122526=VECTOR('',#407410,10.); #122527=VECTOR('',#407413,10.); #122528=VECTOR('',#407414,10.); #122529=VECTOR('',#407415,10.); #122530=VECTOR('',#407418,10.); #122531=VECTOR('',#407419,10.); #122532=VECTOR('',#407420,10.); #122533=VECTOR('',#407423,10.); #122534=VECTOR('',#407424,10.); #122535=VECTOR('',#407425,10.); #122536=VECTOR('',#407428,10.); #122537=VECTOR('',#407429,10.); #122538=VECTOR('',#407430,10.); #122539=VECTOR('',#407433,10.); #122540=VECTOR('',#407434,10.); #122541=VECTOR('',#407435,10.); #122542=VECTOR('',#407438,10.); #122543=VECTOR('',#407439,10.); #122544=VECTOR('',#407440,10.); #122545=VECTOR('',#407443,10.); #122546=VECTOR('',#407444,10.); #122547=VECTOR('',#407445,10.); #122548=VECTOR('',#407448,10.); #122549=VECTOR('',#407449,10.); #122550=VECTOR('',#407450,10.); #122551=VECTOR('',#407453,10.); #122552=VECTOR('',#407454,10.); #122553=VECTOR('',#407455,10.); #122554=VECTOR('',#407458,10.); #122555=VECTOR('',#407459,10.); #122556=VECTOR('',#407460,10.); #122557=VECTOR('',#407463,10.); #122558=VECTOR('',#407464,10.); #122559=VECTOR('',#407465,10.); #122560=VECTOR('',#407468,10.); #122561=VECTOR('',#407469,10.); #122562=VECTOR('',#407470,10.); #122563=VECTOR('',#407473,10.); #122564=VECTOR('',#407474,10.); #122565=VECTOR('',#407475,10.); #122566=VECTOR('',#407478,10.); #122567=VECTOR('',#407479,10.); #122568=VECTOR('',#407480,10.); #122569=VECTOR('',#407483,10.); #122570=VECTOR('',#407484,10.); #122571=VECTOR('',#407485,10.); #122572=VECTOR('',#407488,10.); #122573=VECTOR('',#407489,10.); #122574=VECTOR('',#407490,10.); #122575=VECTOR('',#407493,10.); #122576=VECTOR('',#407494,10.); #122577=VECTOR('',#407495,10.); #122578=VECTOR('',#407498,10.); #122579=VECTOR('',#407499,10.); #122580=VECTOR('',#407500,10.); #122581=VECTOR('',#407503,10.); #122582=VECTOR('',#407504,10.); #122583=VECTOR('',#407505,10.); #122584=VECTOR('',#407508,10.); #122585=VECTOR('',#407509,10.); #122586=VECTOR('',#407510,10.); #122587=VECTOR('',#407513,10.); #122588=VECTOR('',#407514,10.); #122589=VECTOR('',#407515,10.); #122590=VECTOR('',#407518,10.); #122591=VECTOR('',#407519,10.); #122592=VECTOR('',#407520,10.); #122593=VECTOR('',#407523,10.); #122594=VECTOR('',#407524,10.); #122595=VECTOR('',#407525,10.); #122596=VECTOR('',#407528,10.); #122597=VECTOR('',#407529,10.); #122598=VECTOR('',#407530,10.); #122599=VECTOR('',#407533,10.); #122600=VECTOR('',#407534,10.); #122601=VECTOR('',#407535,10.); #122602=VECTOR('',#407538,10.); #122603=VECTOR('',#407539,10.); #122604=VECTOR('',#407540,10.); #122605=VECTOR('',#407543,10.); #122606=VECTOR('',#407544,10.); #122607=VECTOR('',#407545,10.); #122608=VECTOR('',#407548,10.); #122609=VECTOR('',#407549,10.); #122610=VECTOR('',#407550,10.); #122611=VECTOR('',#407553,10.); #122612=VECTOR('',#407554,10.); #122613=VECTOR('',#407555,10.); #122614=VECTOR('',#407558,10.); #122615=VECTOR('',#407559,10.); #122616=VECTOR('',#407560,10.); #122617=VECTOR('',#407563,10.); #122618=VECTOR('',#407564,10.); #122619=VECTOR('',#407565,10.); #122620=VECTOR('',#407568,10.); #122621=VECTOR('',#407569,10.); #122622=VECTOR('',#407570,10.); #122623=VECTOR('',#407573,10.); #122624=VECTOR('',#407574,10.); #122625=VECTOR('',#407575,10.); #122626=VECTOR('',#407578,10.); #122627=VECTOR('',#407579,10.); #122628=VECTOR('',#407580,10.); #122629=VECTOR('',#407583,10.); #122630=VECTOR('',#407584,10.); #122631=VECTOR('',#407585,10.); #122632=VECTOR('',#407588,10.); #122633=VECTOR('',#407589,10.); #122634=VECTOR('',#407590,10.); #122635=VECTOR('',#407593,10.); #122636=VECTOR('',#407594,10.); #122637=VECTOR('',#407595,10.); #122638=VECTOR('',#407598,10.); #122639=VECTOR('',#407599,10.); #122640=VECTOR('',#407600,10.); #122641=VECTOR('',#407603,10.); #122642=VECTOR('',#407604,10.); #122643=VECTOR('',#407605,10.); #122644=VECTOR('',#407608,10.); #122645=VECTOR('',#407609,10.); #122646=VECTOR('',#407610,10.); #122647=VECTOR('',#407613,10.); #122648=VECTOR('',#407614,10.); #122649=VECTOR('',#407615,10.); #122650=VECTOR('',#407618,10.); #122651=VECTOR('',#407619,10.); #122652=VECTOR('',#407620,10.); #122653=VECTOR('',#407623,10.); #122654=VECTOR('',#407624,10.); #122655=VECTOR('',#407625,10.); #122656=VECTOR('',#407628,10.); #122657=VECTOR('',#407629,10.); #122658=VECTOR('',#407630,10.); #122659=VECTOR('',#407633,10.); #122660=VECTOR('',#407634,10.); #122661=VECTOR('',#407635,10.); #122662=VECTOR('',#407638,10.); #122663=VECTOR('',#407639,10.); #122664=VECTOR('',#407640,10.); #122665=VECTOR('',#407643,10.); #122666=VECTOR('',#407644,10.); #122667=VECTOR('',#407645,10.); #122668=VECTOR('',#407648,10.); #122669=VECTOR('',#407649,10.); #122670=VECTOR('',#407650,10.); #122671=VECTOR('',#407653,10.); #122672=VECTOR('',#407654,10.); #122673=VECTOR('',#407655,10.); #122674=VECTOR('',#407658,10.); #122675=VECTOR('',#407659,10.); #122676=VECTOR('',#407660,10.); #122677=VECTOR('',#407663,10.); #122678=VECTOR('',#407664,10.); #122679=VECTOR('',#407665,10.); #122680=VECTOR('',#407668,10.); #122681=VECTOR('',#407669,10.); #122682=VECTOR('',#407670,10.); #122683=VECTOR('',#407673,10.); #122684=VECTOR('',#407674,10.); #122685=VECTOR('',#407675,10.); #122686=VECTOR('',#407678,10.); #122687=VECTOR('',#407679,10.); #122688=VECTOR('',#407680,10.); #122689=VECTOR('',#407683,10.); #122690=VECTOR('',#407684,10.); #122691=VECTOR('',#407685,10.); #122692=VECTOR('',#407688,10.); #122693=VECTOR('',#407689,10.); #122694=VECTOR('',#407690,10.); #122695=VECTOR('',#407693,10.); #122696=VECTOR('',#407694,10.); #122697=VECTOR('',#407695,10.); #122698=VECTOR('',#407698,10.); #122699=VECTOR('',#407699,10.); #122700=VECTOR('',#407700,10.); #122701=VECTOR('',#407703,10.); #122702=VECTOR('',#407704,10.); #122703=VECTOR('',#407705,10.); #122704=VECTOR('',#407708,10.); #122705=VECTOR('',#407709,10.); #122706=VECTOR('',#407710,10.); #122707=VECTOR('',#407713,10.); #122708=VECTOR('',#407714,10.); #122709=VECTOR('',#407715,10.); #122710=VECTOR('',#407718,10.); #122711=VECTOR('',#407719,10.); #122712=VECTOR('',#407720,10.); #122713=VECTOR('',#407723,10.); #122714=VECTOR('',#407724,10.); #122715=VECTOR('',#407725,10.); #122716=VECTOR('',#407728,10.); #122717=VECTOR('',#407729,10.); #122718=VECTOR('',#407730,10.); #122719=VECTOR('',#407733,10.); #122720=VECTOR('',#407734,10.); #122721=VECTOR('',#407735,10.); #122722=VECTOR('',#407738,10.); #122723=VECTOR('',#407739,10.); #122724=VECTOR('',#407740,10.); #122725=VECTOR('',#407743,10.); #122726=VECTOR('',#407744,10.); #122727=VECTOR('',#407745,10.); #122728=VECTOR('',#407748,10.); #122729=VECTOR('',#407749,10.); #122730=VECTOR('',#407750,10.); #122731=VECTOR('',#407753,10.); #122732=VECTOR('',#407754,10.); #122733=VECTOR('',#407755,10.); #122734=VECTOR('',#407758,10.); #122735=VECTOR('',#407759,10.); #122736=VECTOR('',#407760,10.); #122737=VECTOR('',#407763,10.); #122738=VECTOR('',#407764,10.); #122739=VECTOR('',#407765,10.); #122740=VECTOR('',#407768,10.); #122741=VECTOR('',#407769,10.); #122742=VECTOR('',#407770,10.); #122743=VECTOR('',#407773,10.); #122744=VECTOR('',#407774,10.); #122745=VECTOR('',#407775,10.); #122746=VECTOR('',#407778,10.); #122747=VECTOR('',#407779,10.); #122748=VECTOR('',#407780,10.); #122749=VECTOR('',#407783,10.); #122750=VECTOR('',#407784,10.); #122751=VECTOR('',#407785,10.); #122752=VECTOR('',#407788,10.); #122753=VECTOR('',#407789,10.); #122754=VECTOR('',#407790,10.); #122755=VECTOR('',#407793,10.); #122756=VECTOR('',#407794,10.); #122757=VECTOR('',#407795,10.); #122758=VECTOR('',#407798,10.); #122759=VECTOR('',#407799,10.); #122760=VECTOR('',#407800,10.); #122761=VECTOR('',#407803,10.); #122762=VECTOR('',#407804,10.); #122763=VECTOR('',#407805,10.); #122764=VECTOR('',#407808,10.); #122765=VECTOR('',#407809,10.); #122766=VECTOR('',#407810,10.); #122767=VECTOR('',#407813,10.); #122768=VECTOR('',#407814,10.); #122769=VECTOR('',#407815,10.); #122770=VECTOR('',#407818,10.); #122771=VECTOR('',#407819,10.); #122772=VECTOR('',#407820,10.); #122773=VECTOR('',#407823,10.); #122774=VECTOR('',#407824,10.); #122775=VECTOR('',#407825,10.); #122776=VECTOR('',#407828,10.); #122777=VECTOR('',#407829,10.); #122778=VECTOR('',#407830,10.); #122779=VECTOR('',#407833,10.); #122780=VECTOR('',#407834,10.); #122781=VECTOR('',#407835,10.); #122782=VECTOR('',#407838,10.); #122783=VECTOR('',#407839,10.); #122784=VECTOR('',#407840,10.); #122785=VECTOR('',#407843,10.); #122786=VECTOR('',#407844,10.); #122787=VECTOR('',#407845,10.); #122788=VECTOR('',#407848,10.); #122789=VECTOR('',#407849,10.); #122790=VECTOR('',#407850,10.); #122791=VECTOR('',#407853,10.); #122792=VECTOR('',#407854,10.); #122793=VECTOR('',#407855,10.); #122794=VECTOR('',#407858,10.); #122795=VECTOR('',#407859,10.); #122796=VECTOR('',#407860,10.); #122797=VECTOR('',#407863,10.); #122798=VECTOR('',#407864,10.); #122799=VECTOR('',#407865,10.); #122800=VECTOR('',#407868,10.); #122801=VECTOR('',#407869,10.); #122802=VECTOR('',#407870,10.); #122803=VECTOR('',#407873,10.); #122804=VECTOR('',#407874,10.); #122805=VECTOR('',#407875,10.); #122806=VECTOR('',#407878,10.); #122807=VECTOR('',#407879,10.); #122808=VECTOR('',#407880,10.); #122809=VECTOR('',#407883,10.); #122810=VECTOR('',#407884,10.); #122811=VECTOR('',#407885,10.); #122812=VECTOR('',#407888,10.); #122813=VECTOR('',#407889,10.); #122814=VECTOR('',#407890,10.); #122815=VECTOR('',#407893,10.); #122816=VECTOR('',#407894,10.); #122817=VECTOR('',#407895,10.); #122818=VECTOR('',#407898,10.); #122819=VECTOR('',#407899,10.); #122820=VECTOR('',#407900,10.); #122821=VECTOR('',#407903,10.); #122822=VECTOR('',#407904,10.); #122823=VECTOR('',#407905,10.); #122824=VECTOR('',#407908,10.); #122825=VECTOR('',#407909,10.); #122826=VECTOR('',#407910,10.); #122827=VECTOR('',#407913,10.); #122828=VECTOR('',#407914,10.); #122829=VECTOR('',#407915,10.); #122830=VECTOR('',#407918,10.); #122831=VECTOR('',#407919,10.); #122832=VECTOR('',#407920,10.); #122833=VECTOR('',#407923,10.); #122834=VECTOR('',#407924,10.); #122835=VECTOR('',#407925,10.); #122836=VECTOR('',#407928,10.); #122837=VECTOR('',#407929,10.); #122838=VECTOR('',#407930,10.); #122839=VECTOR('',#407933,10.); #122840=VECTOR('',#407934,10.); #122841=VECTOR('',#407935,10.); #122842=VECTOR('',#407938,10.); #122843=VECTOR('',#407939,10.); #122844=VECTOR('',#407940,10.); #122845=VECTOR('',#407943,10.); #122846=VECTOR('',#407944,10.); #122847=VECTOR('',#407945,10.); #122848=VECTOR('',#407948,10.); #122849=VECTOR('',#407949,10.); #122850=VECTOR('',#407950,10.); #122851=VECTOR('',#407953,10.); #122852=VECTOR('',#407954,10.); #122853=VECTOR('',#407955,10.); #122854=VECTOR('',#407958,10.); #122855=VECTOR('',#407959,10.); #122856=VECTOR('',#407960,10.); #122857=VECTOR('',#407963,10.); #122858=VECTOR('',#407964,10.); #122859=VECTOR('',#407965,10.); #122860=VECTOR('',#407968,10.); #122861=VECTOR('',#407969,10.); #122862=VECTOR('',#407970,10.); #122863=VECTOR('',#407973,10.); #122864=VECTOR('',#407974,10.); #122865=VECTOR('',#407975,10.); #122866=VECTOR('',#407978,10.); #122867=VECTOR('',#407979,10.); #122868=VECTOR('',#407980,10.); #122869=VECTOR('',#407983,10.); #122870=VECTOR('',#407984,10.); #122871=VECTOR('',#407985,10.); #122872=VECTOR('',#407988,10.); #122873=VECTOR('',#407989,10.); #122874=VECTOR('',#407990,10.); #122875=VECTOR('',#407993,10.); #122876=VECTOR('',#407994,10.); #122877=VECTOR('',#407995,10.); #122878=VECTOR('',#407998,10.); #122879=VECTOR('',#407999,10.); #122880=VECTOR('',#408000,10.); #122881=VECTOR('',#408003,10.); #122882=VECTOR('',#408004,10.); #122883=VECTOR('',#408005,10.); #122884=VECTOR('',#408008,10.); #122885=VECTOR('',#408009,10.); #122886=VECTOR('',#408010,10.); #122887=VECTOR('',#408013,10.); #122888=VECTOR('',#408014,10.); #122889=VECTOR('',#408015,10.); #122890=VECTOR('',#408018,10.); #122891=VECTOR('',#408019,10.); #122892=VECTOR('',#408020,10.); #122893=VECTOR('',#408023,10.); #122894=VECTOR('',#408024,10.); #122895=VECTOR('',#408025,10.); #122896=VECTOR('',#408028,10.); #122897=VECTOR('',#408029,10.); #122898=VECTOR('',#408030,10.); #122899=VECTOR('',#408033,10.); #122900=VECTOR('',#408034,10.); #122901=VECTOR('',#408035,10.); #122902=VECTOR('',#408038,10.); #122903=VECTOR('',#408039,10.); #122904=VECTOR('',#408040,10.); #122905=VECTOR('',#408043,10.); #122906=VECTOR('',#408044,10.); #122907=VECTOR('',#408045,10.); #122908=VECTOR('',#408048,10.); #122909=VECTOR('',#408049,10.); #122910=VECTOR('',#408050,10.); #122911=VECTOR('',#408053,10.); #122912=VECTOR('',#408054,10.); #122913=VECTOR('',#408055,10.); #122914=VECTOR('',#408058,10.); #122915=VECTOR('',#408059,10.); #122916=VECTOR('',#408060,10.); #122917=VECTOR('',#408063,10.); #122918=VECTOR('',#408064,10.); #122919=VECTOR('',#408065,10.); #122920=VECTOR('',#408068,10.); #122921=VECTOR('',#408069,10.); #122922=VECTOR('',#408070,10.); #122923=VECTOR('',#408073,10.); #122924=VECTOR('',#408074,10.); #122925=VECTOR('',#408075,10.); #122926=VECTOR('',#408078,10.); #122927=VECTOR('',#408079,10.); #122928=VECTOR('',#408080,10.); #122929=VECTOR('',#408083,10.); #122930=VECTOR('',#408084,10.); #122931=VECTOR('',#408091,10.); #122932=VECTOR('',#408092,10.); #122933=VECTOR('',#408093,10.); #122934=VECTOR('',#408094,10.); #122935=VECTOR('',#408097,10.); #122936=VECTOR('',#408098,10.); #122937=VECTOR('',#408099,10.); #122938=VECTOR('',#408102,10.); #122939=VECTOR('',#408103,10.); #122940=VECTOR('',#408104,10.); #122941=VECTOR('',#408107,10.); #122942=VECTOR('',#408108,10.); #122943=VECTOR('',#408109,10.); #122944=VECTOR('',#408112,10.); #122945=VECTOR('',#408113,10.); #122946=VECTOR('',#408114,10.); #122947=VECTOR('',#408117,10.); #122948=VECTOR('',#408118,10.); #122949=VECTOR('',#408119,10.); #122950=VECTOR('',#408122,10.); #122951=VECTOR('',#408123,10.); #122952=VECTOR('',#408124,10.); #122953=VECTOR('',#408127,10.); #122954=VECTOR('',#408128,10.); #122955=VECTOR('',#408129,10.); #122956=VECTOR('',#408132,10.); #122957=VECTOR('',#408133,10.); #122958=VECTOR('',#408134,10.); #122959=VECTOR('',#408137,10.); #122960=VECTOR('',#408138,10.); #122961=VECTOR('',#408139,10.); #122962=VECTOR('',#408142,10.); #122963=VECTOR('',#408143,10.); #122964=VECTOR('',#408144,10.); #122965=VECTOR('',#408147,10.); #122966=VECTOR('',#408148,10.); #122967=VECTOR('',#408149,10.); #122968=VECTOR('',#408152,10.); #122969=VECTOR('',#408153,10.); #122970=VECTOR('',#408154,10.); #122971=VECTOR('',#408157,10.); #122972=VECTOR('',#408158,10.); #122973=VECTOR('',#408159,10.); #122974=VECTOR('',#408162,10.); #122975=VECTOR('',#408163,10.); #122976=VECTOR('',#408164,10.); #122977=VECTOR('',#408167,10.); #122978=VECTOR('',#408168,10.); #122979=VECTOR('',#408169,10.); #122980=VECTOR('',#408172,10.); #122981=VECTOR('',#408173,10.); #122982=VECTOR('',#408174,10.); #122983=VECTOR('',#408177,10.); #122984=VECTOR('',#408178,10.); #122985=VECTOR('',#408179,10.); #122986=VECTOR('',#408182,10.); #122987=VECTOR('',#408183,10.); #122988=VECTOR('',#408184,10.); #122989=VECTOR('',#408187,10.); #122990=VECTOR('',#408188,10.); #122991=VECTOR('',#408189,10.); #122992=VECTOR('',#408192,10.); #122993=VECTOR('',#408193,10.); #122994=VECTOR('',#408200,10.); #122995=VECTOR('',#408201,10.); #122996=VECTOR('',#408202,10.); #122997=VECTOR('',#408203,10.); #122998=VECTOR('',#408206,10.); #122999=VECTOR('',#408207,10.); #123000=VECTOR('',#408208,10.); #123001=VECTOR('',#408211,10.); #123002=VECTOR('',#408212,10.); #123003=VECTOR('',#408213,10.); #123004=VECTOR('',#408216,10.); #123005=VECTOR('',#408217,10.); #123006=VECTOR('',#408218,10.); #123007=VECTOR('',#408221,10.); #123008=VECTOR('',#408222,10.); #123009=VECTOR('',#408223,10.); #123010=VECTOR('',#408226,10.); #123011=VECTOR('',#408227,10.); #123012=VECTOR('',#408228,10.); #123013=VECTOR('',#408231,10.); #123014=VECTOR('',#408232,10.); #123015=VECTOR('',#408233,10.); #123016=VECTOR('',#408236,10.); #123017=VECTOR('',#408237,10.); #123018=VECTOR('',#408238,10.); #123019=VECTOR('',#408241,10.); #123020=VECTOR('',#408242,10.); #123021=VECTOR('',#408243,10.); #123022=VECTOR('',#408246,10.); #123023=VECTOR('',#408247,10.); #123024=VECTOR('',#408248,10.); #123025=VECTOR('',#408251,10.); #123026=VECTOR('',#408252,10.); #123027=VECTOR('',#408253,10.); #123028=VECTOR('',#408256,10.); #123029=VECTOR('',#408257,10.); #123030=VECTOR('',#408258,10.); #123031=VECTOR('',#408261,10.); #123032=VECTOR('',#408262,10.); #123033=VECTOR('',#408263,10.); #123034=VECTOR('',#408266,10.); #123035=VECTOR('',#408267,10.); #123036=VECTOR('',#408268,10.); #123037=VECTOR('',#408271,10.); #123038=VECTOR('',#408272,10.); #123039=VECTOR('',#408273,10.); #123040=VECTOR('',#408276,10.); #123041=VECTOR('',#408277,10.); #123042=VECTOR('',#408278,10.); #123043=VECTOR('',#408281,10.); #123044=VECTOR('',#408282,10.); #123045=VECTOR('',#408283,10.); #123046=VECTOR('',#408286,10.); #123047=VECTOR('',#408287,10.); #123048=VECTOR('',#408288,10.); #123049=VECTOR('',#408291,10.); #123050=VECTOR('',#408292,10.); #123051=VECTOR('',#408293,10.); #123052=VECTOR('',#408296,10.); #123053=VECTOR('',#408297,10.); #123054=VECTOR('',#408298,10.); #123055=VECTOR('',#408301,10.); #123056=VECTOR('',#408302,10.); #123057=VECTOR('',#408309,10.); #123058=VECTOR('',#408310,10.); #123059=VECTOR('',#408311,10.); #123060=VECTOR('',#408312,10.); #123061=VECTOR('',#408315,10.); #123062=VECTOR('',#408316,10.); #123063=VECTOR('',#408317,10.); #123064=VECTOR('',#408320,10.); #123065=VECTOR('',#408321,10.); #123066=VECTOR('',#408322,10.); #123067=VECTOR('',#408325,10.); #123068=VECTOR('',#408326,10.); #123069=VECTOR('',#408327,10.); #123070=VECTOR('',#408330,10.); #123071=VECTOR('',#408331,10.); #123072=VECTOR('',#408332,10.); #123073=VECTOR('',#408335,10.); #123074=VECTOR('',#408336,10.); #123075=VECTOR('',#408337,10.); #123076=VECTOR('',#408340,10.); #123077=VECTOR('',#408341,10.); #123078=VECTOR('',#408342,10.); #123079=VECTOR('',#408345,10.); #123080=VECTOR('',#408346,10.); #123081=VECTOR('',#408347,10.); #123082=VECTOR('',#408350,10.); #123083=VECTOR('',#408351,10.); #123084=VECTOR('',#408352,10.); #123085=VECTOR('',#408355,10.); #123086=VECTOR('',#408356,10.); #123087=VECTOR('',#408357,10.); #123088=VECTOR('',#408360,10.); #123089=VECTOR('',#408361,10.); #123090=VECTOR('',#408362,10.); #123091=VECTOR('',#408365,10.); #123092=VECTOR('',#408366,10.); #123093=VECTOR('',#408367,10.); #123094=VECTOR('',#408370,10.); #123095=VECTOR('',#408371,10.); #123096=VECTOR('',#408372,10.); #123097=VECTOR('',#408375,10.); #123098=VECTOR('',#408376,10.); #123099=VECTOR('',#408377,10.); #123100=VECTOR('',#408380,10.); #123101=VECTOR('',#408381,10.); #123102=VECTOR('',#408382,10.); #123103=VECTOR('',#408385,10.); #123104=VECTOR('',#408386,10.); #123105=VECTOR('',#408387,10.); #123106=VECTOR('',#408390,10.); #123107=VECTOR('',#408391,10.); #123108=VECTOR('',#408392,10.); #123109=VECTOR('',#408395,10.); #123110=VECTOR('',#408396,10.); #123111=VECTOR('',#408397,10.); #123112=VECTOR('',#408400,10.); #123113=VECTOR('',#408401,10.); #123114=VECTOR('',#408408,10.); #123115=VECTOR('',#408409,10.); #123116=VECTOR('',#408410,10.); #123117=VECTOR('',#408411,10.); #123118=VECTOR('',#408414,10.); #123119=VECTOR('',#408415,10.); #123120=VECTOR('',#408416,10.); #123121=VECTOR('',#408419,10.); #123122=VECTOR('',#408420,10.); #123123=VECTOR('',#408421,10.); #123124=VECTOR('',#408424,10.); #123125=VECTOR('',#408425,10.); #123126=VECTOR('',#408426,10.); #123127=VECTOR('',#408429,10.); #123128=VECTOR('',#408430,10.); #123129=VECTOR('',#408431,10.); #123130=VECTOR('',#408434,10.); #123131=VECTOR('',#408435,10.); #123132=VECTOR('',#408436,10.); #123133=VECTOR('',#408439,10.); #123134=VECTOR('',#408440,10.); #123135=VECTOR('',#408441,10.); #123136=VECTOR('',#408444,10.); #123137=VECTOR('',#408445,10.); #123138=VECTOR('',#408446,10.); #123139=VECTOR('',#408449,10.); #123140=VECTOR('',#408450,10.); #123141=VECTOR('',#408451,10.); #123142=VECTOR('',#408454,10.); #123143=VECTOR('',#408455,10.); #123144=VECTOR('',#408456,10.); #123145=VECTOR('',#408459,10.); #123146=VECTOR('',#408460,10.); #123147=VECTOR('',#408461,10.); #123148=VECTOR('',#408464,10.); #123149=VECTOR('',#408465,10.); #123150=VECTOR('',#408466,10.); #123151=VECTOR('',#408469,10.); #123152=VECTOR('',#408470,10.); #123153=VECTOR('',#408471,10.); #123154=VECTOR('',#408474,10.); #123155=VECTOR('',#408475,10.); #123156=VECTOR('',#408476,10.); #123157=VECTOR('',#408479,10.); #123158=VECTOR('',#408480,10.); #123159=VECTOR('',#408481,10.); #123160=VECTOR('',#408484,10.); #123161=VECTOR('',#408485,10.); #123162=VECTOR('',#408486,10.); #123163=VECTOR('',#408489,10.); #123164=VECTOR('',#408490,10.); #123165=VECTOR('',#408491,10.); #123166=VECTOR('',#408494,10.); #123167=VECTOR('',#408495,10.); #123168=VECTOR('',#408496,10.); #123169=VECTOR('',#408499,10.); #123170=VECTOR('',#408500,10.); #123171=VECTOR('',#408507,10.); #123172=VECTOR('',#408508,10.); #123173=VECTOR('',#408509,10.); #123174=VECTOR('',#408510,10.); #123175=VECTOR('',#408513,10.); #123176=VECTOR('',#408514,10.); #123177=VECTOR('',#408515,10.); #123178=VECTOR('',#408518,10.); #123179=VECTOR('',#408519,10.); #123180=VECTOR('',#408520,10.); #123181=VECTOR('',#408523,10.); #123182=VECTOR('',#408524,10.); #123183=VECTOR('',#408525,10.); #123184=VECTOR('',#408528,10.); #123185=VECTOR('',#408529,10.); #123186=VECTOR('',#408530,10.); #123187=VECTOR('',#408533,10.); #123188=VECTOR('',#408534,10.); #123189=VECTOR('',#408535,10.); #123190=VECTOR('',#408538,10.); #123191=VECTOR('',#408539,10.); #123192=VECTOR('',#408540,10.); #123193=VECTOR('',#408543,10.); #123194=VECTOR('',#408544,10.); #123195=VECTOR('',#408545,10.); #123196=VECTOR('',#408548,10.); #123197=VECTOR('',#408549,10.); #123198=VECTOR('',#408550,10.); #123199=VECTOR('',#408553,10.); #123200=VECTOR('',#408554,10.); #123201=VECTOR('',#408555,10.); #123202=VECTOR('',#408558,10.); #123203=VECTOR('',#408559,10.); #123204=VECTOR('',#408560,10.); #123205=VECTOR('',#408563,10.); #123206=VECTOR('',#408564,10.); #123207=VECTOR('',#408565,10.); #123208=VECTOR('',#408568,10.); #123209=VECTOR('',#408569,10.); #123210=VECTOR('',#408570,10.); #123211=VECTOR('',#408573,10.); #123212=VECTOR('',#408574,10.); #123213=VECTOR('',#408575,10.); #123214=VECTOR('',#408578,10.); #123215=VECTOR('',#408579,10.); #123216=VECTOR('',#408580,10.); #123217=VECTOR('',#408583,10.); #123218=VECTOR('',#408584,10.); #123219=VECTOR('',#408585,10.); #123220=VECTOR('',#408588,10.); #123221=VECTOR('',#408589,10.); #123222=VECTOR('',#408590,10.); #123223=VECTOR('',#408593,10.); #123224=VECTOR('',#408594,10.); #123225=VECTOR('',#408595,10.); #123226=VECTOR('',#408598,10.); #123227=VECTOR('',#408599,10.); #123228=VECTOR('',#408606,10.); #123229=VECTOR('',#408607,10.); #123230=VECTOR('',#408608,10.); #123231=VECTOR('',#408609,10.); #123232=VECTOR('',#408612,10.); #123233=VECTOR('',#408613,10.); #123234=VECTOR('',#408614,10.); #123235=VECTOR('',#408617,10.); #123236=VECTOR('',#408618,10.); #123237=VECTOR('',#408619,10.); #123238=VECTOR('',#408622,10.); #123239=VECTOR('',#408623,10.); #123240=VECTOR('',#408624,10.); #123241=VECTOR('',#408627,10.); #123242=VECTOR('',#408628,10.); #123243=VECTOR('',#408629,10.); #123244=VECTOR('',#408632,10.); #123245=VECTOR('',#408633,10.); #123246=VECTOR('',#408634,10.); #123247=VECTOR('',#408637,10.); #123248=VECTOR('',#408638,10.); #123249=VECTOR('',#408639,10.); #123250=VECTOR('',#408642,10.); #123251=VECTOR('',#408643,10.); #123252=VECTOR('',#408644,10.); #123253=VECTOR('',#408647,10.); #123254=VECTOR('',#408648,10.); #123255=VECTOR('',#408649,10.); #123256=VECTOR('',#408652,10.); #123257=VECTOR('',#408653,10.); #123258=VECTOR('',#408654,10.); #123259=VECTOR('',#408657,10.); #123260=VECTOR('',#408658,10.); #123261=VECTOR('',#408659,10.); #123262=VECTOR('',#408662,10.); #123263=VECTOR('',#408663,10.); #123264=VECTOR('',#408664,10.); #123265=VECTOR('',#408667,10.); #123266=VECTOR('',#408668,10.); #123267=VECTOR('',#408669,10.); #123268=VECTOR('',#408672,10.); #123269=VECTOR('',#408673,10.); #123270=VECTOR('',#408674,10.); #123271=VECTOR('',#408677,10.); #123272=VECTOR('',#408678,10.); #123273=VECTOR('',#408679,10.); #123274=VECTOR('',#408682,10.); #123275=VECTOR('',#408683,10.); #123276=VECTOR('',#408684,10.); #123277=VECTOR('',#408687,10.); #123278=VECTOR('',#408688,10.); #123279=VECTOR('',#408689,10.); #123280=VECTOR('',#408692,10.); #123281=VECTOR('',#408693,10.); #123282=VECTOR('',#408694,10.); #123283=VECTOR('',#408697,10.); #123284=VECTOR('',#408698,10.); #123285=VECTOR('',#408699,10.); #123286=VECTOR('',#408702,10.); #123287=VECTOR('',#408703,10.); #123288=VECTOR('',#408704,10.); #123289=VECTOR('',#408707,10.); #123290=VECTOR('',#408708,10.); #123291=VECTOR('',#408709,10.); #123292=VECTOR('',#408712,10.); #123293=VECTOR('',#408713,10.); #123294=VECTOR('',#408714,10.); #123295=VECTOR('',#408717,10.); #123296=VECTOR('',#408718,10.); #123297=VECTOR('',#408719,10.); #123298=VECTOR('',#408722,10.); #123299=VECTOR('',#408723,10.); #123300=VECTOR('',#408724,10.); #123301=VECTOR('',#408727,10.); #123302=VECTOR('',#408728,10.); #123303=VECTOR('',#408729,10.); #123304=VECTOR('',#408732,10.); #123305=VECTOR('',#408733,10.); #123306=VECTOR('',#408734,10.); #123307=VECTOR('',#408737,10.); #123308=VECTOR('',#408738,10.); #123309=VECTOR('',#408739,10.); #123310=VECTOR('',#408742,10.); #123311=VECTOR('',#408743,10.); #123312=VECTOR('',#408744,10.); #123313=VECTOR('',#408747,10.); #123314=VECTOR('',#408748,10.); #123315=VECTOR('',#408749,10.); #123316=VECTOR('',#408752,10.); #123317=VECTOR('',#408753,10.); #123318=VECTOR('',#408754,10.); #123319=VECTOR('',#408757,10.); #123320=VECTOR('',#408758,10.); #123321=VECTOR('',#408759,10.); #123322=VECTOR('',#408762,10.); #123323=VECTOR('',#408763,10.); #123324=VECTOR('',#408764,10.); #123325=VECTOR('',#408767,10.); #123326=VECTOR('',#408768,10.); #123327=VECTOR('',#408769,10.); #123328=VECTOR('',#408772,10.); #123329=VECTOR('',#408773,10.); #123330=VECTOR('',#408774,10.); #123331=VECTOR('',#408777,10.); #123332=VECTOR('',#408778,10.); #123333=VECTOR('',#408779,10.); #123334=VECTOR('',#408782,10.); #123335=VECTOR('',#408783,10.); #123336=VECTOR('',#408784,10.); #123337=VECTOR('',#408787,10.); #123338=VECTOR('',#408788,10.); #123339=VECTOR('',#408795,10.); #123340=VECTOR('',#408796,10.); #123341=VECTOR('',#408797,10.); #123342=VECTOR('',#408798,10.); #123343=VECTOR('',#408801,10.); #123344=VECTOR('',#408802,10.); #123345=VECTOR('',#408803,10.); #123346=VECTOR('',#408806,10.); #123347=VECTOR('',#408807,10.); #123348=VECTOR('',#408808,10.); #123349=VECTOR('',#408811,10.); #123350=VECTOR('',#408812,10.); #123351=VECTOR('',#408813,10.); #123352=VECTOR('',#408816,10.); #123353=VECTOR('',#408817,10.); #123354=VECTOR('',#408818,10.); #123355=VECTOR('',#408821,10.); #123356=VECTOR('',#408822,10.); #123357=VECTOR('',#408823,10.); #123358=VECTOR('',#408826,10.); #123359=VECTOR('',#408827,10.); #123360=VECTOR('',#408828,10.); #123361=VECTOR('',#408831,10.); #123362=VECTOR('',#408832,10.); #123363=VECTOR('',#408833,10.); #123364=VECTOR('',#408836,10.); #123365=VECTOR('',#408837,10.); #123366=VECTOR('',#408838,10.); #123367=VECTOR('',#408841,10.); #123368=VECTOR('',#408842,10.); #123369=VECTOR('',#408843,10.); #123370=VECTOR('',#408846,10.); #123371=VECTOR('',#408847,10.); #123372=VECTOR('',#408848,10.); #123373=VECTOR('',#408851,10.); #123374=VECTOR('',#408852,10.); #123375=VECTOR('',#408853,10.); #123376=VECTOR('',#408856,10.); #123377=VECTOR('',#408857,10.); #123378=VECTOR('',#408858,10.); #123379=VECTOR('',#408861,10.); #123380=VECTOR('',#408862,10.); #123381=VECTOR('',#408863,10.); #123382=VECTOR('',#408866,10.); #123383=VECTOR('',#408867,10.); #123384=VECTOR('',#408868,10.); #123385=VECTOR('',#408871,10.); #123386=VECTOR('',#408872,10.); #123387=VECTOR('',#408873,10.); #123388=VECTOR('',#408876,10.); #123389=VECTOR('',#408877,10.); #123390=VECTOR('',#408878,10.); #123391=VECTOR('',#408881,10.); #123392=VECTOR('',#408882,10.); #123393=VECTOR('',#408883,10.); #123394=VECTOR('',#408886,10.); #123395=VECTOR('',#408887,10.); #123396=VECTOR('',#408888,10.); #123397=VECTOR('',#408891,10.); #123398=VECTOR('',#408892,10.); #123399=VECTOR('',#408899,10.); #123400=VECTOR('',#408900,10.); #123401=VECTOR('',#408901,10.); #123402=VECTOR('',#408902,10.); #123403=VECTOR('',#408905,10.); #123404=VECTOR('',#408906,10.); #123405=VECTOR('',#408907,10.); #123406=VECTOR('',#408910,10.); #123407=VECTOR('',#408911,10.); #123408=VECTOR('',#408912,10.); #123409=VECTOR('',#408915,10.); #123410=VECTOR('',#408916,10.); #123411=VECTOR('',#408917,10.); #123412=VECTOR('',#408920,10.); #123413=VECTOR('',#408921,10.); #123414=VECTOR('',#408922,10.); #123415=VECTOR('',#408925,10.); #123416=VECTOR('',#408926,10.); #123417=VECTOR('',#408927,10.); #123418=VECTOR('',#408930,10.); #123419=VECTOR('',#408931,10.); #123420=VECTOR('',#408932,10.); #123421=VECTOR('',#408935,10.); #123422=VECTOR('',#408936,10.); #123423=VECTOR('',#408937,10.); #123424=VECTOR('',#408940,10.); #123425=VECTOR('',#408941,10.); #123426=VECTOR('',#408942,10.); #123427=VECTOR('',#408945,10.); #123428=VECTOR('',#408946,10.); #123429=VECTOR('',#408947,10.); #123430=VECTOR('',#408950,10.); #123431=VECTOR('',#408951,10.); #123432=VECTOR('',#408952,10.); #123433=VECTOR('',#408955,10.); #123434=VECTOR('',#408956,10.); #123435=VECTOR('',#408957,10.); #123436=VECTOR('',#408960,10.); #123437=VECTOR('',#408961,10.); #123438=VECTOR('',#408962,10.); #123439=VECTOR('',#408965,10.); #123440=VECTOR('',#408966,10.); #123441=VECTOR('',#408967,10.); #123442=VECTOR('',#408970,10.); #123443=VECTOR('',#408971,10.); #123444=VECTOR('',#408972,10.); #123445=VECTOR('',#408975,10.); #123446=VECTOR('',#408976,10.); #123447=VECTOR('',#408977,10.); #123448=VECTOR('',#408980,10.); #123449=VECTOR('',#408981,10.); #123450=VECTOR('',#408982,10.); #123451=VECTOR('',#408985,10.); #123452=VECTOR('',#408986,10.); #123453=VECTOR('',#408987,10.); #123454=VECTOR('',#408990,10.); #123455=VECTOR('',#408991,10.); #123456=VECTOR('',#408992,10.); #123457=VECTOR('',#408995,10.); #123458=VECTOR('',#408996,10.); #123459=VECTOR('',#408997,10.); #123460=VECTOR('',#409000,10.); #123461=VECTOR('',#409001,10.); #123462=VECTOR('',#409002,10.); #123463=VECTOR('',#409005,10.); #123464=VECTOR('',#409006,10.); #123465=VECTOR('',#409007,10.); #123466=VECTOR('',#409010,10.); #123467=VECTOR('',#409011,10.); #123468=VECTOR('',#409012,10.); #123469=VECTOR('',#409015,10.); #123470=VECTOR('',#409016,10.); #123471=VECTOR('',#409017,10.); #123472=VECTOR('',#409020,10.); #123473=VECTOR('',#409021,10.); #123474=VECTOR('',#409022,10.); #123475=VECTOR('',#409025,10.); #123476=VECTOR('',#409026,10.); #123477=VECTOR('',#409027,10.); #123478=VECTOR('',#409030,10.); #123479=VECTOR('',#409031,10.); #123480=VECTOR('',#409032,10.); #123481=VECTOR('',#409035,10.); #123482=VECTOR('',#409036,10.); #123483=VECTOR('',#409037,10.); #123484=VECTOR('',#409040,10.); #123485=VECTOR('',#409041,10.); #123486=VECTOR('',#409042,10.); #123487=VECTOR('',#409045,10.); #123488=VECTOR('',#409046,10.); #123489=VECTOR('',#409047,10.); #123490=VECTOR('',#409050,10.); #123491=VECTOR('',#409051,10.); #123492=VECTOR('',#409052,10.); #123493=VECTOR('',#409055,10.); #123494=VECTOR('',#409056,10.); #123495=VECTOR('',#409057,10.); #123496=VECTOR('',#409060,10.); #123497=VECTOR('',#409061,10.); #123498=VECTOR('',#409062,10.); #123499=VECTOR('',#409065,10.); #123500=VECTOR('',#409066,10.); #123501=VECTOR('',#409067,10.); #123502=VECTOR('',#409070,10.); #123503=VECTOR('',#409071,10.); #123504=VECTOR('',#409072,10.); #123505=VECTOR('',#409075,10.); #123506=VECTOR('',#409076,10.); #123507=VECTOR('',#409077,10.); #123508=VECTOR('',#409080,10.); #123509=VECTOR('',#409081,10.); #123510=VECTOR('',#409082,10.); #123511=VECTOR('',#409085,10.); #123512=VECTOR('',#409086,10.); #123513=VECTOR('',#409087,10.); #123514=VECTOR('',#409090,10.); #123515=VECTOR('',#409091,10.); #123516=VECTOR('',#409092,10.); #123517=VECTOR('',#409095,10.); #123518=VECTOR('',#409096,10.); #123519=VECTOR('',#409097,10.); #123520=VECTOR('',#409100,10.); #123521=VECTOR('',#409101,10.); #123522=VECTOR('',#409102,10.); #123523=VECTOR('',#409105,10.); #123524=VECTOR('',#409106,10.); #123525=VECTOR('',#409107,10.); #123526=VECTOR('',#409110,10.); #123527=VECTOR('',#409111,10.); #123528=VECTOR('',#409112,10.); #123529=VECTOR('',#409115,10.); #123530=VECTOR('',#409116,10.); #123531=VECTOR('',#409117,10.); #123532=VECTOR('',#409120,10.); #123533=VECTOR('',#409121,10.); #123534=VECTOR('',#409122,10.); #123535=VECTOR('',#409125,10.); #123536=VECTOR('',#409126,10.); #123537=VECTOR('',#409127,10.); #123538=VECTOR('',#409130,10.); #123539=VECTOR('',#409131,10.); #123540=VECTOR('',#409132,10.); #123541=VECTOR('',#409135,10.); #123542=VECTOR('',#409136,10.); #123543=VECTOR('',#409143,10.); #123544=VECTOR('',#409144,10.); #123545=VECTOR('',#409145,10.); #123546=VECTOR('',#409146,10.); #123547=VECTOR('',#409149,10.); #123548=VECTOR('',#409150,10.); #123549=VECTOR('',#409151,10.); #123550=VECTOR('',#409154,10.); #123551=VECTOR('',#409155,10.); #123552=VECTOR('',#409156,10.); #123553=VECTOR('',#409159,10.); #123554=VECTOR('',#409160,10.); #123555=VECTOR('',#409161,10.); #123556=VECTOR('',#409164,10.); #123557=VECTOR('',#409165,10.); #123558=VECTOR('',#409166,10.); #123559=VECTOR('',#409169,10.); #123560=VECTOR('',#409170,10.); #123561=VECTOR('',#409171,10.); #123562=VECTOR('',#409174,10.); #123563=VECTOR('',#409175,10.); #123564=VECTOR('',#409176,10.); #123565=VECTOR('',#409179,10.); #123566=VECTOR('',#409180,10.); #123567=VECTOR('',#409181,10.); #123568=VECTOR('',#409184,10.); #123569=VECTOR('',#409185,10.); #123570=VECTOR('',#409186,10.); #123571=VECTOR('',#409189,10.); #123572=VECTOR('',#409190,10.); #123573=VECTOR('',#409191,10.); #123574=VECTOR('',#409194,10.); #123575=VECTOR('',#409195,10.); #123576=VECTOR('',#409196,10.); #123577=VECTOR('',#409199,10.); #123578=VECTOR('',#409200,10.); #123579=VECTOR('',#409201,10.); #123580=VECTOR('',#409204,10.); #123581=VECTOR('',#409205,10.); #123582=VECTOR('',#409206,10.); #123583=VECTOR('',#409209,10.); #123584=VECTOR('',#409210,10.); #123585=VECTOR('',#409211,10.); #123586=VECTOR('',#409214,10.); #123587=VECTOR('',#409215,10.); #123588=VECTOR('',#409216,10.); #123589=VECTOR('',#409219,10.); #123590=VECTOR('',#409220,10.); #123591=VECTOR('',#409221,10.); #123592=VECTOR('',#409224,10.); #123593=VECTOR('',#409225,10.); #123594=VECTOR('',#409226,10.); #123595=VECTOR('',#409229,10.); #123596=VECTOR('',#409230,10.); #123597=VECTOR('',#409231,10.); #123598=VECTOR('',#409234,10.); #123599=VECTOR('',#409235,10.); #123600=VECTOR('',#409236,10.); #123601=VECTOR('',#409239,10.); #123602=VECTOR('',#409240,10.); #123603=VECTOR('',#409247,10.); #123604=VECTOR('',#409248,10.); #123605=VECTOR('',#409249,10.); #123606=VECTOR('',#409250,10.); #123607=VECTOR('',#409253,10.); #123608=VECTOR('',#409254,10.); #123609=VECTOR('',#409255,10.); #123610=VECTOR('',#409258,10.); #123611=VECTOR('',#409259,10.); #123612=VECTOR('',#409260,10.); #123613=VECTOR('',#409263,10.); #123614=VECTOR('',#409264,10.); #123615=VECTOR('',#409265,10.); #123616=VECTOR('',#409268,10.); #123617=VECTOR('',#409269,10.); #123618=VECTOR('',#409270,10.); #123619=VECTOR('',#409273,10.); #123620=VECTOR('',#409274,10.); #123621=VECTOR('',#409275,10.); #123622=VECTOR('',#409278,10.); #123623=VECTOR('',#409279,10.); #123624=VECTOR('',#409280,10.); #123625=VECTOR('',#409283,10.); #123626=VECTOR('',#409284,10.); #123627=VECTOR('',#409285,10.); #123628=VECTOR('',#409288,10.); #123629=VECTOR('',#409289,10.); #123630=VECTOR('',#409290,10.); #123631=VECTOR('',#409293,10.); #123632=VECTOR('',#409294,10.); #123633=VECTOR('',#409295,10.); #123634=VECTOR('',#409298,10.); #123635=VECTOR('',#409299,10.); #123636=VECTOR('',#409300,10.); #123637=VECTOR('',#409303,10.); #123638=VECTOR('',#409304,10.); #123639=VECTOR('',#409305,10.); #123640=VECTOR('',#409308,10.); #123641=VECTOR('',#409309,10.); #123642=VECTOR('',#409310,10.); #123643=VECTOR('',#409313,10.); #123644=VECTOR('',#409314,10.); #123645=VECTOR('',#409315,10.); #123646=VECTOR('',#409318,10.); #123647=VECTOR('',#409319,10.); #123648=VECTOR('',#409320,10.); #123649=VECTOR('',#409323,10.); #123650=VECTOR('',#409324,10.); #123651=VECTOR('',#409325,10.); #123652=VECTOR('',#409328,10.); #123653=VECTOR('',#409329,10.); #123654=VECTOR('',#409330,10.); #123655=VECTOR('',#409333,10.); #123656=VECTOR('',#409334,10.); #123657=VECTOR('',#409335,10.); #123658=VECTOR('',#409338,10.); #123659=VECTOR('',#409339,10.); #123660=VECTOR('',#409340,10.); #123661=VECTOR('',#409343,10.); #123662=VECTOR('',#409344,10.); #123663=VECTOR('',#409345,10.); #123664=VECTOR('',#409348,10.); #123665=VECTOR('',#409349,10.); #123666=VECTOR('',#409350,10.); #123667=VECTOR('',#409353,10.); #123668=VECTOR('',#409354,10.); #123669=VECTOR('',#409355,10.); #123670=VECTOR('',#409358,10.); #123671=VECTOR('',#409359,10.); #123672=VECTOR('',#409360,10.); #123673=VECTOR('',#409363,10.); #123674=VECTOR('',#409364,10.); #123675=VECTOR('',#409365,10.); #123676=VECTOR('',#409368,10.); #123677=VECTOR('',#409369,10.); #123678=VECTOR('',#409370,10.); #123679=VECTOR('',#409373,10.); #123680=VECTOR('',#409374,10.); #123681=VECTOR('',#409375,10.); #123682=VECTOR('',#409378,10.); #123683=VECTOR('',#409379,10.); #123684=VECTOR('',#409380,10.); #123685=VECTOR('',#409383,10.); #123686=VECTOR('',#409384,10.); #123687=VECTOR('',#409385,10.); #123688=VECTOR('',#409388,10.); #123689=VECTOR('',#409389,10.); #123690=VECTOR('',#409390,10.); #123691=VECTOR('',#409393,10.); #123692=VECTOR('',#409394,10.); #123693=VECTOR('',#409395,10.); #123694=VECTOR('',#409398,10.); #123695=VECTOR('',#409399,10.); #123696=VECTOR('',#409406,10.); #123697=VECTOR('',#409407,10.); #123698=VECTOR('',#409408,10.); #123699=VECTOR('',#409409,10.); #123700=VECTOR('',#409412,10.); #123701=VECTOR('',#409413,10.); #123702=VECTOR('',#409414,10.); #123703=VECTOR('',#409417,10.); #123704=VECTOR('',#409418,10.); #123705=VECTOR('',#409419,10.); #123706=VECTOR('',#409422,10.); #123707=VECTOR('',#409423,10.); #123708=VECTOR('',#409424,10.); #123709=VECTOR('',#409427,10.); #123710=VECTOR('',#409428,10.); #123711=VECTOR('',#409429,10.); #123712=VECTOR('',#409432,10.); #123713=VECTOR('',#409433,10.); #123714=VECTOR('',#409434,10.); #123715=VECTOR('',#409437,10.); #123716=VECTOR('',#409438,10.); #123717=VECTOR('',#409439,10.); #123718=VECTOR('',#409442,10.); #123719=VECTOR('',#409443,10.); #123720=VECTOR('',#409444,10.); #123721=VECTOR('',#409447,10.); #123722=VECTOR('',#409448,10.); #123723=VECTOR('',#409449,10.); #123724=VECTOR('',#409452,10.); #123725=VECTOR('',#409453,10.); #123726=VECTOR('',#409454,10.); #123727=VECTOR('',#409457,10.); #123728=VECTOR('',#409458,10.); #123729=VECTOR('',#409459,10.); #123730=VECTOR('',#409462,10.); #123731=VECTOR('',#409463,10.); #123732=VECTOR('',#409464,10.); #123733=VECTOR('',#409467,10.); #123734=VECTOR('',#409468,10.); #123735=VECTOR('',#409469,10.); #123736=VECTOR('',#409472,10.); #123737=VECTOR('',#409473,10.); #123738=VECTOR('',#409474,10.); #123739=VECTOR('',#409477,10.); #123740=VECTOR('',#409478,10.); #123741=VECTOR('',#409479,10.); #123742=VECTOR('',#409482,10.); #123743=VECTOR('',#409483,10.); #123744=VECTOR('',#409484,10.); #123745=VECTOR('',#409487,10.); #123746=VECTOR('',#409488,10.); #123747=VECTOR('',#409489,10.); #123748=VECTOR('',#409492,10.); #123749=VECTOR('',#409493,10.); #123750=VECTOR('',#409494,10.); #123751=VECTOR('',#409497,10.); #123752=VECTOR('',#409498,10.); #123753=VECTOR('',#409499,10.); #123754=VECTOR('',#409502,10.); #123755=VECTOR('',#409503,10.); #123756=VECTOR('',#409510,10.); #123757=VECTOR('',#409511,10.); #123758=VECTOR('',#409512,10.); #123759=VECTOR('',#409513,10.); #123760=VECTOR('',#409516,10.); #123761=VECTOR('',#409517,10.); #123762=VECTOR('',#409518,10.); #123763=VECTOR('',#409521,10.); #123764=VECTOR('',#409522,10.); #123765=VECTOR('',#409523,10.); #123766=VECTOR('',#409526,10.); #123767=VECTOR('',#409527,10.); #123768=VECTOR('',#409528,10.); #123769=VECTOR('',#409531,10.); #123770=VECTOR('',#409532,10.); #123771=VECTOR('',#409533,10.); #123772=VECTOR('',#409536,10.); #123773=VECTOR('',#409537,10.); #123774=VECTOR('',#409538,10.); #123775=VECTOR('',#409541,10.); #123776=VECTOR('',#409542,10.); #123777=VECTOR('',#409543,10.); #123778=VECTOR('',#409546,10.); #123779=VECTOR('',#409547,10.); #123780=VECTOR('',#409548,10.); #123781=VECTOR('',#409551,10.); #123782=VECTOR('',#409552,10.); #123783=VECTOR('',#409553,10.); #123784=VECTOR('',#409556,10.); #123785=VECTOR('',#409557,10.); #123786=VECTOR('',#409558,10.); #123787=VECTOR('',#409561,10.); #123788=VECTOR('',#409562,10.); #123789=VECTOR('',#409563,10.); #123790=VECTOR('',#409566,10.); #123791=VECTOR('',#409567,10.); #123792=VECTOR('',#409568,10.); #123793=VECTOR('',#409571,10.); #123794=VECTOR('',#409572,10.); #123795=VECTOR('',#409573,10.); #123796=VECTOR('',#409576,10.); #123797=VECTOR('',#409577,10.); #123798=VECTOR('',#409578,10.); #123799=VECTOR('',#409581,10.); #123800=VECTOR('',#409582,10.); #123801=VECTOR('',#409583,10.); #123802=VECTOR('',#409586,10.); #123803=VECTOR('',#409587,10.); #123804=VECTOR('',#409588,10.); #123805=VECTOR('',#409591,10.); #123806=VECTOR('',#409592,10.); #123807=VECTOR('',#409593,10.); #123808=VECTOR('',#409596,10.); #123809=VECTOR('',#409597,10.); #123810=VECTOR('',#409598,10.); #123811=VECTOR('',#409601,10.); #123812=VECTOR('',#409602,10.); #123813=VECTOR('',#409603,10.); #123814=VECTOR('',#409606,10.); #123815=VECTOR('',#409607,10.); #123816=VECTOR('',#409608,10.); #123817=VECTOR('',#409611,10.); #123818=VECTOR('',#409612,10.); #123819=VECTOR('',#409613,10.); #123820=VECTOR('',#409616,10.); #123821=VECTOR('',#409617,10.); #123822=VECTOR('',#409618,10.); #123823=VECTOR('',#409621,10.); #123824=VECTOR('',#409622,10.); #123825=VECTOR('',#409623,10.); #123826=VECTOR('',#409626,10.); #123827=VECTOR('',#409627,10.); #123828=VECTOR('',#409628,10.); #123829=VECTOR('',#409631,10.); #123830=VECTOR('',#409632,10.); #123831=VECTOR('',#409633,10.); #123832=VECTOR('',#409636,10.); #123833=VECTOR('',#409637,10.); #123834=VECTOR('',#409638,10.); #123835=VECTOR('',#409641,10.); #123836=VECTOR('',#409642,10.); #123837=VECTOR('',#409643,10.); #123838=VECTOR('',#409646,10.); #123839=VECTOR('',#409647,10.); #123840=VECTOR('',#409648,10.); #123841=VECTOR('',#409651,10.); #123842=VECTOR('',#409652,10.); #123843=VECTOR('',#409653,10.); #123844=VECTOR('',#409656,10.); #123845=VECTOR('',#409657,10.); #123846=VECTOR('',#409658,10.); #123847=VECTOR('',#409661,10.); #123848=VECTOR('',#409662,10.); #123849=VECTOR('',#409669,10.); #123850=VECTOR('',#409670,10.); #123851=VECTOR('',#409671,10.); #123852=VECTOR('',#409672,10.); #123853=VECTOR('',#409675,10.); #123854=VECTOR('',#409676,10.); #123855=VECTOR('',#409677,10.); #123856=VECTOR('',#409680,10.); #123857=VECTOR('',#409681,10.); #123858=VECTOR('',#409682,10.); #123859=VECTOR('',#409685,10.); #123860=VECTOR('',#409686,10.); #123861=VECTOR('',#409687,10.); #123862=VECTOR('',#409690,10.); #123863=VECTOR('',#409691,10.); #123864=VECTOR('',#409692,10.); #123865=VECTOR('',#409695,10.); #123866=VECTOR('',#409696,10.); #123867=VECTOR('',#409697,10.); #123868=VECTOR('',#409700,10.); #123869=VECTOR('',#409701,10.); #123870=VECTOR('',#409702,10.); #123871=VECTOR('',#409705,10.); #123872=VECTOR('',#409706,10.); #123873=VECTOR('',#409707,10.); #123874=VECTOR('',#409710,10.); #123875=VECTOR('',#409711,10.); #123876=VECTOR('',#409712,10.); #123877=VECTOR('',#409715,10.); #123878=VECTOR('',#409716,10.); #123879=VECTOR('',#409717,10.); #123880=VECTOR('',#409720,10.); #123881=VECTOR('',#409721,10.); #123882=VECTOR('',#409722,10.); #123883=VECTOR('',#409725,10.); #123884=VECTOR('',#409726,10.); #123885=VECTOR('',#409727,10.); #123886=VECTOR('',#409730,10.); #123887=VECTOR('',#409731,10.); #123888=VECTOR('',#409732,10.); #123889=VECTOR('',#409735,10.); #123890=VECTOR('',#409736,10.); #123891=VECTOR('',#409737,10.); #123892=VECTOR('',#409740,10.); #123893=VECTOR('',#409741,10.); #123894=VECTOR('',#409742,10.); #123895=VECTOR('',#409745,10.); #123896=VECTOR('',#409746,10.); #123897=VECTOR('',#409747,10.); #123898=VECTOR('',#409750,10.); #123899=VECTOR('',#409751,10.); #123900=VECTOR('',#409752,10.); #123901=VECTOR('',#409755,10.); #123902=VECTOR('',#409756,10.); #123903=VECTOR('',#409757,10.); #123904=VECTOR('',#409760,10.); #123905=VECTOR('',#409761,10.); #123906=VECTOR('',#409762,10.); #123907=VECTOR('',#409765,10.); #123908=VECTOR('',#409766,10.); #123909=VECTOR('',#409767,10.); #123910=VECTOR('',#409770,10.); #123911=VECTOR('',#409771,10.); #123912=VECTOR('',#409778,10.); #123913=VECTOR('',#409779,10.); #123914=VECTOR('',#409780,10.); #123915=VECTOR('',#409781,10.); #123916=VECTOR('',#409784,10.); #123917=VECTOR('',#409785,10.); #123918=VECTOR('',#409786,10.); #123919=VECTOR('',#409789,10.); #123920=VECTOR('',#409790,10.); #123921=VECTOR('',#409791,10.); #123922=VECTOR('',#409794,10.); #123923=VECTOR('',#409795,10.); #123924=VECTOR('',#409796,10.); #123925=VECTOR('',#409799,10.); #123926=VECTOR('',#409800,10.); #123927=VECTOR('',#409801,10.); #123928=VECTOR('',#409804,10.); #123929=VECTOR('',#409805,10.); #123930=VECTOR('',#409806,10.); #123931=VECTOR('',#409809,10.); #123932=VECTOR('',#409810,10.); #123933=VECTOR('',#409811,10.); #123934=VECTOR('',#409814,10.); #123935=VECTOR('',#409815,10.); #123936=VECTOR('',#409816,10.); #123937=VECTOR('',#409819,10.); #123938=VECTOR('',#409820,10.); #123939=VECTOR('',#409821,10.); #123940=VECTOR('',#409824,10.); #123941=VECTOR('',#409825,10.); #123942=VECTOR('',#409826,10.); #123943=VECTOR('',#409829,10.); #123944=VECTOR('',#409830,10.); #123945=VECTOR('',#409831,10.); #123946=VECTOR('',#409834,10.); #123947=VECTOR('',#409835,10.); #123948=VECTOR('',#409836,10.); #123949=VECTOR('',#409839,10.); #123950=VECTOR('',#409840,10.); #123951=VECTOR('',#409841,10.); #123952=VECTOR('',#409844,10.); #123953=VECTOR('',#409845,10.); #123954=VECTOR('',#409846,10.); #123955=VECTOR('',#409849,10.); #123956=VECTOR('',#409850,10.); #123957=VECTOR('',#409851,10.); #123958=VECTOR('',#409854,10.); #123959=VECTOR('',#409855,10.); #123960=VECTOR('',#409856,10.); #123961=VECTOR('',#409859,10.); #123962=VECTOR('',#409860,10.); #123963=VECTOR('',#409861,10.); #123964=VECTOR('',#409864,10.); #123965=VECTOR('',#409865,10.); #123966=VECTOR('',#409866,10.); #123967=VECTOR('',#409869,10.); #123968=VECTOR('',#409870,10.); #123969=VECTOR('',#409871,10.); #123970=VECTOR('',#409874,10.); #123971=VECTOR('',#409875,10.); #123972=VECTOR('',#409876,10.); #123973=VECTOR('',#409879,10.); #123974=VECTOR('',#409880,10.); #123975=VECTOR('',#409881,10.); #123976=VECTOR('',#409884,10.); #123977=VECTOR('',#409885,10.); #123978=VECTOR('',#409886,10.); #123979=VECTOR('',#409889,10.); #123980=VECTOR('',#409890,10.); #123981=VECTOR('',#409891,10.); #123982=VECTOR('',#409894,10.); #123983=VECTOR('',#409895,10.); #123984=VECTOR('',#409896,10.); #123985=VECTOR('',#409899,10.); #123986=VECTOR('',#409900,10.); #123987=VECTOR('',#409901,10.); #123988=VECTOR('',#409904,10.); #123989=VECTOR('',#409905,10.); #123990=VECTOR('',#409906,10.); #123991=VECTOR('',#409909,10.); #123992=VECTOR('',#409910,10.); #123993=VECTOR('',#409911,10.); #123994=VECTOR('',#409914,10.); #123995=VECTOR('',#409915,10.); #123996=VECTOR('',#409916,10.); #123997=VECTOR('',#409919,10.); #123998=VECTOR('',#409920,10.); #123999=VECTOR('',#409921,10.); #124000=VECTOR('',#409924,10.); #124001=VECTOR('',#409925,10.); #124002=VECTOR('',#409926,10.); #124003=VECTOR('',#409929,10.); #124004=VECTOR('',#409930,10.); #124005=VECTOR('',#409931,10.); #124006=VECTOR('',#409934,10.); #124007=VECTOR('',#409935,10.); #124008=VECTOR('',#409936,10.); #124009=VECTOR('',#409939,10.); #124010=VECTOR('',#409940,10.); #124011=VECTOR('',#409941,10.); #124012=VECTOR('',#409944,10.); #124013=VECTOR('',#409945,10.); #124014=VECTOR('',#409946,10.); #124015=VECTOR('',#409949,10.); #124016=VECTOR('',#409950,10.); #124017=VECTOR('',#409951,10.); #124018=VECTOR('',#409954,10.); #124019=VECTOR('',#409955,10.); #124020=VECTOR('',#409956,10.); #124021=VECTOR('',#409959,10.); #124022=VECTOR('',#409960,10.); #124023=VECTOR('',#409961,10.); #124024=VECTOR('',#409964,10.); #124025=VECTOR('',#409965,10.); #124026=VECTOR('',#409966,10.); #124027=VECTOR('',#409969,10.); #124028=VECTOR('',#409970,10.); #124029=VECTOR('',#409971,10.); #124030=VECTOR('',#409974,10.); #124031=VECTOR('',#409975,10.); #124032=VECTOR('',#409982,10.); #124033=VECTOR('',#409983,10.); #124034=VECTOR('',#409984,10.); #124035=VECTOR('',#409985,10.); #124036=VECTOR('',#409988,10.); #124037=VECTOR('',#409989,10.); #124038=VECTOR('',#409990,10.); #124039=VECTOR('',#409993,10.); #124040=VECTOR('',#409994,10.); #124041=VECTOR('',#409995,10.); #124042=VECTOR('',#409998,10.); #124043=VECTOR('',#409999,10.); #124044=VECTOR('',#410000,10.); #124045=VECTOR('',#410003,10.); #124046=VECTOR('',#410004,10.); #124047=VECTOR('',#410005,10.); #124048=VECTOR('',#410008,10.); #124049=VECTOR('',#410009,10.); #124050=VECTOR('',#410010,10.); #124051=VECTOR('',#410013,10.); #124052=VECTOR('',#410014,10.); #124053=VECTOR('',#410015,10.); #124054=VECTOR('',#410018,10.); #124055=VECTOR('',#410019,10.); #124056=VECTOR('',#410020,10.); #124057=VECTOR('',#410023,10.); #124058=VECTOR('',#410024,10.); #124059=VECTOR('',#410025,10.); #124060=VECTOR('',#410028,10.); #124061=VECTOR('',#410029,10.); #124062=VECTOR('',#410030,10.); #124063=VECTOR('',#410033,10.); #124064=VECTOR('',#410034,10.); #124065=VECTOR('',#410035,10.); #124066=VECTOR('',#410038,10.); #124067=VECTOR('',#410039,10.); #124068=VECTOR('',#410040,10.); #124069=VECTOR('',#410043,10.); #124070=VECTOR('',#410044,10.); #124071=VECTOR('',#410045,10.); #124072=VECTOR('',#410048,10.); #124073=VECTOR('',#410049,10.); #124074=VECTOR('',#410050,10.); #124075=VECTOR('',#410053,10.); #124076=VECTOR('',#410054,10.); #124077=VECTOR('',#410055,10.); #124078=VECTOR('',#410058,10.); #124079=VECTOR('',#410059,10.); #124080=VECTOR('',#410060,10.); #124081=VECTOR('',#410063,10.); #124082=VECTOR('',#410064,10.); #124083=VECTOR('',#410065,10.); #124084=VECTOR('',#410068,10.); #124085=VECTOR('',#410069,10.); #124086=VECTOR('',#410070,10.); #124087=VECTOR('',#410073,10.); #124088=VECTOR('',#410074,10.); #124089=VECTOR('',#410075,10.); #124090=VECTOR('',#410078,10.); #124091=VECTOR('',#410079,10.); #124092=VECTOR('',#410086,10.); #124093=VECTOR('',#410087,10.); #124094=VECTOR('',#410088,10.); #124095=VECTOR('',#410089,10.); #124096=VECTOR('',#410092,10.); #124097=VECTOR('',#410093,10.); #124098=VECTOR('',#410094,10.); #124099=VECTOR('',#410097,10.); #124100=VECTOR('',#410098,10.); #124101=VECTOR('',#410099,10.); #124102=VECTOR('',#410102,10.); #124103=VECTOR('',#410103,10.); #124104=VECTOR('',#410104,10.); #124105=VECTOR('',#410107,10.); #124106=VECTOR('',#410108,10.); #124107=VECTOR('',#410109,10.); #124108=VECTOR('',#410112,10.); #124109=VECTOR('',#410113,10.); #124110=VECTOR('',#410114,10.); #124111=VECTOR('',#410117,10.); #124112=VECTOR('',#410118,10.); #124113=VECTOR('',#410119,10.); #124114=VECTOR('',#410122,10.); #124115=VECTOR('',#410123,10.); #124116=VECTOR('',#410124,10.); #124117=VECTOR('',#410127,10.); #124118=VECTOR('',#410128,10.); #124119=VECTOR('',#410129,10.); #124120=VECTOR('',#410132,10.); #124121=VECTOR('',#410133,10.); #124122=VECTOR('',#410134,10.); #124123=VECTOR('',#410137,10.); #124124=VECTOR('',#410138,10.); #124125=VECTOR('',#410139,10.); #124126=VECTOR('',#410142,10.); #124127=VECTOR('',#410143,10.); #124128=VECTOR('',#410144,10.); #124129=VECTOR('',#410147,10.); #124130=VECTOR('',#410148,10.); #124131=VECTOR('',#410149,10.); #124132=VECTOR('',#410152,10.); #124133=VECTOR('',#410153,10.); #124134=VECTOR('',#410154,10.); #124135=VECTOR('',#410157,10.); #124136=VECTOR('',#410158,10.); #124137=VECTOR('',#410159,10.); #124138=VECTOR('',#410162,10.); #124139=VECTOR('',#410163,10.); #124140=VECTOR('',#410164,10.); #124141=VECTOR('',#410167,10.); #124142=VECTOR('',#410168,10.); #124143=VECTOR('',#410169,10.); #124144=VECTOR('',#410172,10.); #124145=VECTOR('',#410173,10.); #124146=VECTOR('',#410174,10.); #124147=VECTOR('',#410177,10.); #124148=VECTOR('',#410178,10.); #124149=VECTOR('',#410179,10.); #124150=VECTOR('',#410182,10.); #124151=VECTOR('',#410183,10.); #124152=VECTOR('',#410190,10.); #124153=VECTOR('',#410191,10.); #124154=VECTOR('',#410192,10.); #124155=VECTOR('',#410193,10.); #124156=VECTOR('',#410196,10.); #124157=VECTOR('',#410197,10.); #124158=VECTOR('',#410198,10.); #124159=VECTOR('',#410201,10.); #124160=VECTOR('',#410202,10.); #124161=VECTOR('',#410203,10.); #124162=VECTOR('',#410206,10.); #124163=VECTOR('',#410207,10.); #124164=VECTOR('',#410208,10.); #124165=VECTOR('',#410211,10.); #124166=VECTOR('',#410212,10.); #124167=VECTOR('',#410213,10.); #124168=VECTOR('',#410216,10.); #124169=VECTOR('',#410217,10.); #124170=VECTOR('',#410218,10.); #124171=VECTOR('',#410221,10.); #124172=VECTOR('',#410222,10.); #124173=VECTOR('',#410223,10.); #124174=VECTOR('',#410226,10.); #124175=VECTOR('',#410227,10.); #124176=VECTOR('',#410228,10.); #124177=VECTOR('',#410231,10.); #124178=VECTOR('',#410232,10.); #124179=VECTOR('',#410233,10.); #124180=VECTOR('',#410236,10.); #124181=VECTOR('',#410237,10.); #124182=VECTOR('',#410238,10.); #124183=VECTOR('',#410241,10.); #124184=VECTOR('',#410242,10.); #124185=VECTOR('',#410243,10.); #124186=VECTOR('',#410246,10.); #124187=VECTOR('',#410247,10.); #124188=VECTOR('',#410248,10.); #124189=VECTOR('',#410251,10.); #124190=VECTOR('',#410252,10.); #124191=VECTOR('',#410253,10.); #124192=VECTOR('',#410256,10.); #124193=VECTOR('',#410257,10.); #124194=VECTOR('',#410258,10.); #124195=VECTOR('',#410261,10.); #124196=VECTOR('',#410262,10.); #124197=VECTOR('',#410263,10.); #124198=VECTOR('',#410266,10.); #124199=VECTOR('',#410267,10.); #124200=VECTOR('',#410268,10.); #124201=VECTOR('',#410271,10.); #124202=VECTOR('',#410272,10.); #124203=VECTOR('',#410273,10.); #124204=VECTOR('',#410276,10.); #124205=VECTOR('',#410277,10.); #124206=VECTOR('',#410278,10.); #124207=VECTOR('',#410281,10.); #124208=VECTOR('',#410282,10.); #124209=VECTOR('',#410283,10.); #124210=VECTOR('',#410286,10.); #124211=VECTOR('',#410287,10.); #124212=VECTOR('',#410294,10.); #124213=VECTOR('',#410295,10.); #124214=VECTOR('',#410296,10.); #124215=VECTOR('',#410297,10.); #124216=VECTOR('',#410300,10.); #124217=VECTOR('',#410301,10.); #124218=VECTOR('',#410302,10.); #124219=VECTOR('',#410305,10.); #124220=VECTOR('',#410306,10.); #124221=VECTOR('',#410307,10.); #124222=VECTOR('',#410310,10.); #124223=VECTOR('',#410311,10.); #124224=VECTOR('',#410312,10.); #124225=VECTOR('',#410315,10.); #124226=VECTOR('',#410316,10.); #124227=VECTOR('',#410317,10.); #124228=VECTOR('',#410320,10.); #124229=VECTOR('',#410321,10.); #124230=VECTOR('',#410322,10.); #124231=VECTOR('',#410325,10.); #124232=VECTOR('',#410326,10.); #124233=VECTOR('',#410327,10.); #124234=VECTOR('',#410330,10.); #124235=VECTOR('',#410331,10.); #124236=VECTOR('',#410332,10.); #124237=VECTOR('',#410335,10.); #124238=VECTOR('',#410336,10.); #124239=VECTOR('',#410337,10.); #124240=VECTOR('',#410340,10.); #124241=VECTOR('',#410341,10.); #124242=VECTOR('',#410342,10.); #124243=VECTOR('',#410345,10.); #124244=VECTOR('',#410346,10.); #124245=VECTOR('',#410347,10.); #124246=VECTOR('',#410350,10.); #124247=VECTOR('',#410351,10.); #124248=VECTOR('',#410352,10.); #124249=VECTOR('',#410355,10.); #124250=VECTOR('',#410356,10.); #124251=VECTOR('',#410357,10.); #124252=VECTOR('',#410360,10.); #124253=VECTOR('',#410361,10.); #124254=VECTOR('',#410362,10.); #124255=VECTOR('',#410365,10.); #124256=VECTOR('',#410366,10.); #124257=VECTOR('',#410367,10.); #124258=VECTOR('',#410370,10.); #124259=VECTOR('',#410371,10.); #124260=VECTOR('',#410372,10.); #124261=VECTOR('',#410375,10.); #124262=VECTOR('',#410376,10.); #124263=VECTOR('',#410377,10.); #124264=VECTOR('',#410380,10.); #124265=VECTOR('',#410381,10.); #124266=VECTOR('',#410382,10.); #124267=VECTOR('',#410385,10.); #124268=VECTOR('',#410386,10.); #124269=VECTOR('',#410387,10.); #124270=VECTOR('',#410390,10.); #124271=VECTOR('',#410391,10.); #124272=VECTOR('',#410392,10.); #124273=VECTOR('',#410395,10.); #124274=VECTOR('',#410396,10.); #124275=VECTOR('',#410397,10.); #124276=VECTOR('',#410400,10.); #124277=VECTOR('',#410401,10.); #124278=VECTOR('',#410402,10.); #124279=VECTOR('',#410405,10.); #124280=VECTOR('',#410406,10.); #124281=VECTOR('',#410407,10.); #124282=VECTOR('',#410410,10.); #124283=VECTOR('',#410411,10.); #124284=VECTOR('',#410412,10.); #124285=VECTOR('',#410415,10.); #124286=VECTOR('',#410416,10.); #124287=VECTOR('',#410417,10.); #124288=VECTOR('',#410420,10.); #124289=VECTOR('',#410421,10.); #124290=VECTOR('',#410422,10.); #124291=VECTOR('',#410425,10.); #124292=VECTOR('',#410426,10.); #124293=VECTOR('',#410427,10.); #124294=VECTOR('',#410430,10.); #124295=VECTOR('',#410431,10.); #124296=VECTOR('',#410432,10.); #124297=VECTOR('',#410435,10.); #124298=VECTOR('',#410436,10.); #124299=VECTOR('',#410437,10.); #124300=VECTOR('',#410440,10.); #124301=VECTOR('',#410441,10.); #124302=VECTOR('',#410442,10.); #124303=VECTOR('',#410445,10.); #124304=VECTOR('',#410446,10.); #124305=VECTOR('',#410447,10.); #124306=VECTOR('',#410450,10.); #124307=VECTOR('',#410451,10.); #124308=VECTOR('',#410452,10.); #124309=VECTOR('',#410455,10.); #124310=VECTOR('',#410456,10.); #124311=VECTOR('',#410457,10.); #124312=VECTOR('',#410460,10.); #124313=VECTOR('',#410461,10.); #124314=VECTOR('',#410462,10.); #124315=VECTOR('',#410465,10.); #124316=VECTOR('',#410466,10.); #124317=VECTOR('',#410467,10.); #124318=VECTOR('',#410470,10.); #124319=VECTOR('',#410471,10.); #124320=VECTOR('',#410472,10.); #124321=VECTOR('',#410475,10.); #124322=VECTOR('',#410476,10.); #124323=VECTOR('',#410477,10.); #124324=VECTOR('',#410480,10.); #124325=VECTOR('',#410481,10.); #124326=VECTOR('',#410482,10.); #124327=VECTOR('',#410485,10.); #124328=VECTOR('',#410486,10.); #124329=VECTOR('',#410487,10.); #124330=VECTOR('',#410490,10.); #124331=VECTOR('',#410491,10.); #124332=VECTOR('',#410500,0.514999999999999); #124333=VECTOR('',#410505,10.); #124334=VECTOR('',#410506,10.); #124335=VECTOR('',#410507,10.); #124336=VECTOR('',#410508,10.); #124337=VECTOR('',#410515,10.); #124338=VECTOR('',#410518,10.); #124339=VECTOR('',#410519,10.); #124340=VECTOR('',#410520,10.); #124341=VECTOR('',#410529,10.); #124342=VECTOR('',#410530,10.); #124343=VECTOR('',#410531,10.); #124344=VECTOR('',#410532,10.); #124345=VECTOR('',#410535,10.); #124346=VECTOR('',#410536,10.); #124347=VECTOR('',#410537,10.); #124348=VECTOR('',#410540,10.); #124349=VECTOR('',#410541,10.); #124350=VECTOR('',#410542,10.); #124351=VECTOR('',#410545,10.); #124352=VECTOR('',#410546,10.); #124353=VECTOR('',#410547,10.); #124354=VECTOR('',#410550,10.); #124355=VECTOR('',#410551,10.); #124356=VECTOR('',#410552,10.); #124357=VECTOR('',#410555,10.); #124358=VECTOR('',#410556,10.); #124359=VECTOR('',#410557,10.); #124360=VECTOR('',#410560,10.); #124361=VECTOR('',#410561,10.); #124362=VECTOR('',#410562,10.); #124363=VECTOR('',#410565,10.); #124364=VECTOR('',#410566,10.); #124365=VECTOR('',#410567,10.); #124366=VECTOR('',#410570,10.); #124367=VECTOR('',#410571,10.); #124368=VECTOR('',#410572,10.); #124369=VECTOR('',#410575,10.); #124370=VECTOR('',#410576,10.); #124371=VECTOR('',#410577,10.); #124372=VECTOR('',#410580,10.); #124373=VECTOR('',#410581,10.); #124374=VECTOR('',#410582,10.); #124375=VECTOR('',#410585,10.); #124376=VECTOR('',#410586,10.); #124377=VECTOR('',#410587,10.); #124378=VECTOR('',#410590,10.); #124379=VECTOR('',#410591,10.); #124380=VECTOR('',#410592,10.); #124381=VECTOR('',#410595,10.); #124382=VECTOR('',#410596,10.); #124383=VECTOR('',#410597,10.); #124384=VECTOR('',#410600,10.); #124385=VECTOR('',#410601,10.); #124386=VECTOR('',#410602,10.); #124387=VECTOR('',#410605,10.); #124388=VECTOR('',#410606,10.); #124389=VECTOR('',#410607,10.); #124390=VECTOR('',#410610,10.); #124391=VECTOR('',#410611,10.); #124392=VECTOR('',#410612,10.); #124393=VECTOR('',#410615,10.); #124394=VECTOR('',#410616,10.); #124395=VECTOR('',#410617,10.); #124396=VECTOR('',#410620,10.); #124397=VECTOR('',#410621,10.); #124398=VECTOR('',#410622,10.); #124399=VECTOR('',#410625,10.); #124400=VECTOR('',#410626,10.); #124401=VECTOR('',#410627,10.); #124402=VECTOR('',#410630,10.); #124403=VECTOR('',#410631,10.); #124404=VECTOR('',#410632,10.); #124405=VECTOR('',#410635,10.); #124406=VECTOR('',#410636,10.); #124407=VECTOR('',#410637,10.); #124408=VECTOR('',#410640,10.); #124409=VECTOR('',#410641,10.); #124410=VECTOR('',#410642,10.); #124411=VECTOR('',#410645,10.); #124412=VECTOR('',#410646,10.); #124413=VECTOR('',#410647,10.); #124414=VECTOR('',#410650,10.); #124415=VECTOR('',#410651,10.); #124416=VECTOR('',#410652,10.); #124417=VECTOR('',#410655,10.); #124418=VECTOR('',#410656,10.); #124419=VECTOR('',#410657,10.); #124420=VECTOR('',#410660,10.); #124421=VECTOR('',#410661,10.); #124422=VECTOR('',#410662,10.); #124423=VECTOR('',#410665,10.); #124424=VECTOR('',#410666,10.); #124425=VECTOR('',#410667,10.); #124426=VECTOR('',#410670,10.); #124427=VECTOR('',#410671,10.); #124428=VECTOR('',#410672,10.); #124429=VECTOR('',#410675,10.); #124430=VECTOR('',#410676,10.); #124431=VECTOR('',#410677,10.); #124432=VECTOR('',#410680,10.); #124433=VECTOR('',#410681,10.); #124434=VECTOR('',#410682,10.); #124435=VECTOR('',#410685,10.); #124436=VECTOR('',#410686,10.); #124437=VECTOR('',#410687,10.); #124438=VECTOR('',#410690,10.); #124439=VECTOR('',#410691,10.); #124440=VECTOR('',#410692,10.); #124441=VECTOR('',#410695,10.); #124442=VECTOR('',#410696,10.); #124443=VECTOR('',#410697,10.); #124444=VECTOR('',#410700,10.); #124445=VECTOR('',#410701,10.); #124446=VECTOR('',#410702,10.); #124447=VECTOR('',#410705,10.); #124448=VECTOR('',#410706,10.); #124449=VECTOR('',#410707,10.); #124450=VECTOR('',#410710,10.); #124451=VECTOR('',#410711,10.); #124452=VECTOR('',#410712,10.); #124453=VECTOR('',#410715,10.); #124454=VECTOR('',#410716,10.); #124455=VECTOR('',#410717,10.); #124456=VECTOR('',#410720,10.); #124457=VECTOR('',#410721,10.); #124458=VECTOR('',#410722,10.); #124459=VECTOR('',#410725,10.); #124460=VECTOR('',#410726,10.); #124461=VECTOR('',#410727,10.); #124462=VECTOR('',#410730,10.); #124463=VECTOR('',#410731,10.); #124464=VECTOR('',#410732,10.); #124465=VECTOR('',#410735,10.); #124466=VECTOR('',#410736,10.); #124467=VECTOR('',#410737,10.); #124468=VECTOR('',#410740,10.); #124469=VECTOR('',#410741,10.); #124470=VECTOR('',#410742,10.); #124471=VECTOR('',#410745,10.); #124472=VECTOR('',#410746,10.); #124473=VECTOR('',#410747,10.); #124474=VECTOR('',#410750,10.); #124475=VECTOR('',#410751,10.); #124476=VECTOR('',#410752,10.); #124477=VECTOR('',#410755,10.); #124478=VECTOR('',#410756,10.); #124479=VECTOR('',#410757,10.); #124480=VECTOR('',#410760,10.); #124481=VECTOR('',#410761,10.); #124482=VECTOR('',#410762,10.); #124483=VECTOR('',#410765,10.); #124484=VECTOR('',#410766,10.); #124485=VECTOR('',#410767,10.); #124486=VECTOR('',#410770,10.); #124487=VECTOR('',#410771,10.); #124488=VECTOR('',#410772,10.); #124489=VECTOR('',#410775,10.); #124490=VECTOR('',#410776,10.); #124491=VECTOR('',#410777,10.); #124492=VECTOR('',#410780,10.); #124493=VECTOR('',#410781,10.); #124494=VECTOR('',#410782,10.); #124495=VECTOR('',#410785,10.); #124496=VECTOR('',#410786,10.); #124497=VECTOR('',#410787,10.); #124498=VECTOR('',#410790,10.); #124499=VECTOR('',#410791,10.); #124500=VECTOR('',#410792,10.); #124501=VECTOR('',#410795,10.); #124502=VECTOR('',#410796,10.); #124503=VECTOR('',#410797,10.); #124504=VECTOR('',#410800,10.); #124505=VECTOR('',#410801,10.); #124506=VECTOR('',#410802,10.); #124507=VECTOR('',#410805,10.); #124508=VECTOR('',#410806,10.); #124509=VECTOR('',#410807,10.); #124510=VECTOR('',#410810,10.); #124511=VECTOR('',#410811,10.); #124512=VECTOR('',#410812,10.); #124513=VECTOR('',#410815,10.); #124514=VECTOR('',#410816,10.); #124515=VECTOR('',#410817,10.); #124516=VECTOR('',#410820,10.); #124517=VECTOR('',#410821,10.); #124518=VECTOR('',#410822,10.); #124519=VECTOR('',#410825,10.); #124520=VECTOR('',#410826,10.); #124521=VECTOR('',#410827,10.); #124522=VECTOR('',#410830,10.); #124523=VECTOR('',#410831,10.); #124524=VECTOR('',#410832,10.); #124525=VECTOR('',#410835,10.); #124526=VECTOR('',#410836,10.); #124527=VECTOR('',#410837,10.); #124528=VECTOR('',#410840,10.); #124529=VECTOR('',#410841,10.); #124530=VECTOR('',#410842,10.); #124531=VECTOR('',#410845,10.); #124532=VECTOR('',#410846,10.); #124533=VECTOR('',#410847,10.); #124534=VECTOR('',#410850,10.); #124535=VECTOR('',#410851,10.); #124536=VECTOR('',#410852,10.); #124537=VECTOR('',#410855,10.); #124538=VECTOR('',#410856,10.); #124539=VECTOR('',#410857,10.); #124540=VECTOR('',#410860,10.); #124541=VECTOR('',#410861,10.); #124542=VECTOR('',#410862,10.); #124543=VECTOR('',#410865,10.); #124544=VECTOR('',#410866,10.); #124545=VECTOR('',#410867,10.); #124546=VECTOR('',#410870,10.); #124547=VECTOR('',#410871,10.); #124548=VECTOR('',#410872,10.); #124549=VECTOR('',#410875,10.); #124550=VECTOR('',#410876,10.); #124551=VECTOR('',#410877,10.); #124552=VECTOR('',#410880,10.); #124553=VECTOR('',#410881,10.); #124554=VECTOR('',#410882,10.); #124555=VECTOR('',#410885,10.); #124556=VECTOR('',#410886,10.); #124557=VECTOR('',#410887,10.); #124558=VECTOR('',#410890,10.); #124559=VECTOR('',#410891,10.); #124560=VECTOR('',#410892,10.); #124561=VECTOR('',#410895,10.); #124562=VECTOR('',#410896,10.); #124563=VECTOR('',#410897,10.); #124564=VECTOR('',#410900,10.); #124565=VECTOR('',#410901,10.); #124566=VECTOR('',#410902,10.); #124567=VECTOR('',#410905,10.); #124568=VECTOR('',#410906,10.); #124569=VECTOR('',#410907,10.); #124570=VECTOR('',#410910,10.); #124571=VECTOR('',#410911,10.); #124572=VECTOR('',#410912,10.); #124573=VECTOR('',#410915,10.); #124574=VECTOR('',#410916,10.); #124575=VECTOR('',#410917,10.); #124576=VECTOR('',#410920,10.); #124577=VECTOR('',#410921,10.); #124578=VECTOR('',#410922,10.); #124579=VECTOR('',#410925,10.); #124580=VECTOR('',#410926,10.); #124581=VECTOR('',#410927,10.); #124582=VECTOR('',#410930,10.); #124583=VECTOR('',#410931,10.); #124584=VECTOR('',#410932,10.); #124585=VECTOR('',#410935,10.); #124586=VECTOR('',#410936,10.); #124587=VECTOR('',#410937,10.); #124588=VECTOR('',#410940,10.); #124589=VECTOR('',#410941,10.); #124590=VECTOR('',#410942,10.); #124591=VECTOR('',#410945,10.); #124592=VECTOR('',#410946,10.); #124593=VECTOR('',#410947,10.); #124594=VECTOR('',#410950,10.); #124595=VECTOR('',#410951,10.); #124596=VECTOR('',#410952,10.); #124597=VECTOR('',#410955,10.); #124598=VECTOR('',#410956,10.); #124599=VECTOR('',#410957,10.); #124600=VECTOR('',#410960,10.); #124601=VECTOR('',#410961,10.); #124602=VECTOR('',#410962,10.); #124603=VECTOR('',#410965,10.); #124604=VECTOR('',#410966,10.); #124605=VECTOR('',#410967,10.); #124606=VECTOR('',#410970,10.); #124607=VECTOR('',#410971,10.); #124608=VECTOR('',#410972,10.); #124609=VECTOR('',#410975,10.); #124610=VECTOR('',#410976,10.); #124611=VECTOR('',#410977,10.); #124612=VECTOR('',#410980,10.); #124613=VECTOR('',#410981,10.); #124614=VECTOR('',#410982,10.); #124615=VECTOR('',#410985,10.); #124616=VECTOR('',#410986,10.); #124617=VECTOR('',#410987,10.); #124618=VECTOR('',#410990,10.); #124619=VECTOR('',#410991,10.); #124620=VECTOR('',#410998,10.); #124621=VECTOR('',#410999,10.); #124622=VECTOR('',#411000,10.); #124623=VECTOR('',#411001,10.); #124624=VECTOR('',#411004,10.); #124625=VECTOR('',#411005,10.); #124626=VECTOR('',#411006,10.); #124627=VECTOR('',#411009,10.); #124628=VECTOR('',#411010,10.); #124629=VECTOR('',#411011,10.); #124630=VECTOR('',#411014,10.); #124631=VECTOR('',#411015,10.); #124632=VECTOR('',#411016,10.); #124633=VECTOR('',#411019,10.); #124634=VECTOR('',#411020,10.); #124635=VECTOR('',#411021,10.); #124636=VECTOR('',#411024,10.); #124637=VECTOR('',#411025,10.); #124638=VECTOR('',#411026,10.); #124639=VECTOR('',#411029,10.); #124640=VECTOR('',#411030,10.); #124641=VECTOR('',#411031,10.); #124642=VECTOR('',#411034,10.); #124643=VECTOR('',#411035,10.); #124644=VECTOR('',#411036,10.); #124645=VECTOR('',#411039,10.); #124646=VECTOR('',#411040,10.); #124647=VECTOR('',#411041,10.); #124648=VECTOR('',#411044,10.); #124649=VECTOR('',#411045,10.); #124650=VECTOR('',#411046,10.); #124651=VECTOR('',#411049,10.); #124652=VECTOR('',#411050,10.); #124653=VECTOR('',#411051,10.); #124654=VECTOR('',#411054,10.); #124655=VECTOR('',#411055,10.); #124656=VECTOR('',#411056,10.); #124657=VECTOR('',#411059,10.); #124658=VECTOR('',#411060,10.); #124659=VECTOR('',#411061,10.); #124660=VECTOR('',#411064,10.); #124661=VECTOR('',#411065,10.); #124662=VECTOR('',#411066,10.); #124663=VECTOR('',#411069,10.); #124664=VECTOR('',#411070,10.); #124665=VECTOR('',#411071,10.); #124666=VECTOR('',#411074,10.); #124667=VECTOR('',#411075,10.); #124668=VECTOR('',#411076,10.); #124669=VECTOR('',#411079,10.); #124670=VECTOR('',#411080,10.); #124671=VECTOR('',#411081,10.); #124672=VECTOR('',#411084,10.); #124673=VECTOR('',#411085,10.); #124674=VECTOR('',#411086,10.); #124675=VECTOR('',#411089,10.); #124676=VECTOR('',#411090,10.); #124677=VECTOR('',#411091,10.); #124678=VECTOR('',#411094,10.); #124679=VECTOR('',#411095,10.); #124680=VECTOR('',#411104,0.140000000000002); #124681=VECTOR('',#411111,0.140000000000002); #124682=VECTOR('',#411118,0.140000000000002); #124683=VECTOR('',#411125,0.140000000000002); #124684=VECTOR('',#411132,0.140000000000002); #124685=VECTOR('',#411139,0.140000000000002); #124686=VECTOR('',#411146,0.140000000000002); #124687=VECTOR('',#411153,0.140000000000002); #124688=VECTOR('',#411160,0.140000000000002); #124689=VECTOR('',#411167,0.140000000000002); #124690=VECTOR('',#411174,0.140000000000002); #124691=VECTOR('',#411181,0.140000000000002); #124692=VECTOR('',#411188,0.140000000000002); #124693=VECTOR('',#411195,0.140000000000002); #124694=VECTOR('',#411202,0.140000000000002); #124695=VECTOR('',#411207,10.); #124696=VECTOR('',#411208,10.); #124697=VECTOR('',#411209,10.); #124698=VECTOR('',#411210,10.); #124699=VECTOR('',#411213,10.); #124700=VECTOR('',#411214,10.); #124701=VECTOR('',#411215,10.); #124702=VECTOR('',#411218,10.); #124703=VECTOR('',#411219,10.); #124704=VECTOR('',#411220,10.); #124705=VECTOR('',#411223,10.); #124706=VECTOR('',#411224,10.); #124707=VECTOR('',#411225,10.); #124708=VECTOR('',#411228,10.); #124709=VECTOR('',#411229,10.); #124710=VECTOR('',#411230,10.); #124711=VECTOR('',#411233,10.); #124712=VECTOR('',#411234,10.); #124713=VECTOR('',#411235,10.); #124714=VECTOR('',#411238,10.); #124715=VECTOR('',#411239,10.); #124716=VECTOR('',#411240,10.); #124717=VECTOR('',#411243,10.); #124718=VECTOR('',#411244,10.); #124719=VECTOR('',#411245,10.); #124720=VECTOR('',#411248,10.); #124721=VECTOR('',#411249,10.); #124722=VECTOR('',#411250,10.); #124723=VECTOR('',#411253,10.); #124724=VECTOR('',#411254,10.); #124725=VECTOR('',#411255,10.); #124726=VECTOR('',#411258,10.); #124727=VECTOR('',#411259,10.); #124728=VECTOR('',#411260,10.); #124729=VECTOR('',#411263,10.); #124730=VECTOR('',#411264,10.); #124731=VECTOR('',#411265,10.); #124732=VECTOR('',#411268,10.); #124733=VECTOR('',#411269,10.); #124734=VECTOR('',#411270,10.); #124735=VECTOR('',#411273,10.); #124736=VECTOR('',#411274,10.); #124737=VECTOR('',#411275,10.); #124738=VECTOR('',#411278,10.); #124739=VECTOR('',#411279,10.); #124740=VECTOR('',#411280,10.); #124741=VECTOR('',#411283,10.); #124742=VECTOR('',#411284,10.); #124743=VECTOR('',#411285,10.); #124744=VECTOR('',#411288,10.); #124745=VECTOR('',#411289,10.); #124746=VECTOR('',#411290,10.); #124747=VECTOR('',#411293,10.); #124748=VECTOR('',#411294,10.); #124749=VECTOR('',#411295,10.); #124750=VECTOR('',#411298,10.); #124751=VECTOR('',#411299,10.); #124752=VECTOR('',#411300,10.); #124753=VECTOR('',#411303,10.); #124754=VECTOR('',#411304,10.); #124755=VECTOR('',#411305,10.); #124756=VECTOR('',#411308,10.); #124757=VECTOR('',#411309,10.); #124758=VECTOR('',#411310,10.); #124759=VECTOR('',#411313,10.); #124760=VECTOR('',#411314,10.); #124761=VECTOR('',#411315,10.); #124762=VECTOR('',#411318,10.); #124763=VECTOR('',#411319,10.); #124764=VECTOR('',#411320,10.); #124765=VECTOR('',#411323,10.); #124766=VECTOR('',#411324,10.); #124767=VECTOR('',#411325,10.); #124768=VECTOR('',#411328,10.); #124769=VECTOR('',#411329,10.); #124770=VECTOR('',#411330,10.); #124771=VECTOR('',#411333,10.); #124772=VECTOR('',#411334,10.); #124773=VECTOR('',#411335,10.); #124774=VECTOR('',#411338,10.); #124775=VECTOR('',#411339,10.); #124776=VECTOR('',#411340,10.); #124777=VECTOR('',#411343,10.); #124778=VECTOR('',#411344,10.); #124779=VECTOR('',#411345,10.); #124780=VECTOR('',#411348,10.); #124781=VECTOR('',#411349,10.); #124782=VECTOR('',#411350,10.); #124783=VECTOR('',#411353,10.); #124784=VECTOR('',#411354,10.); #124785=VECTOR('',#411355,10.); #124786=VECTOR('',#411358,10.); #124787=VECTOR('',#411359,10.); #124788=VECTOR('',#411360,10.); #124789=VECTOR('',#411363,10.); #124790=VECTOR('',#411364,10.); #124791=VECTOR('',#411365,10.); #124792=VECTOR('',#411368,10.); #124793=VECTOR('',#411369,10.); #124794=VECTOR('',#411370,10.); #124795=VECTOR('',#411373,10.); #124796=VECTOR('',#411374,10.); #124797=VECTOR('',#411375,10.); #124798=VECTOR('',#411378,10.); #124799=VECTOR('',#411379,10.); #124800=VECTOR('',#411380,10.); #124801=VECTOR('',#411383,10.); #124802=VECTOR('',#411384,10.); #124803=VECTOR('',#411385,10.); #124804=VECTOR('',#411388,10.); #124805=VECTOR('',#411389,10.); #124806=VECTOR('',#411390,10.); #124807=VECTOR('',#411393,10.); #124808=VECTOR('',#411394,10.); #124809=VECTOR('',#411395,10.); #124810=VECTOR('',#411398,10.); #124811=VECTOR('',#411399,10.); #124812=VECTOR('',#411400,10.); #124813=VECTOR('',#411403,10.); #124814=VECTOR('',#411404,10.); #124815=VECTOR('',#411405,10.); #124816=VECTOR('',#411408,10.); #124817=VECTOR('',#411409,10.); #124818=VECTOR('',#411418,0.139999999999998); #124819=VECTOR('',#411423,10.); #124820=VECTOR('',#411424,10.); #124821=VECTOR('',#411425,10.); #124822=VECTOR('',#411426,10.); #124823=VECTOR('',#411429,10.); #124824=VECTOR('',#411430,10.); #124825=VECTOR('',#411431,10.); #124826=VECTOR('',#411434,10.); #124827=VECTOR('',#411435,10.); #124828=VECTOR('',#411436,10.); #124829=VECTOR('',#411439,10.); #124830=VECTOR('',#411440,10.); #124831=VECTOR('',#411441,10.); #124832=VECTOR('',#411444,10.); #124833=VECTOR('',#411445,10.); #124834=VECTOR('',#411446,10.); #124835=VECTOR('',#411453,10.); #124836=VECTOR('',#411456,10.); #124837=VECTOR('',#411457,10.); #124838=VECTOR('',#411458,10.); #124839=VECTOR('',#411461,10.); #124840=VECTOR('',#411462,10.); #124841=VECTOR('',#411463,10.); #124842=VECTOR('',#411470,10.); #124843=VECTOR('',#411473,10.); #124844=VECTOR('',#411474,10.); #124845=VECTOR('',#411475,10.); #124846=VECTOR('',#411478,10.); #124847=VECTOR('',#411479,10.); #124848=VECTOR('',#411480,10.); #124849=VECTOR('',#411483,10.); #124850=VECTOR('',#411484,10.); #124851=VECTOR('',#411485,10.); #124852=VECTOR('',#411488,10.); #124853=VECTOR('',#411489,10.); #124854=VECTOR('',#411490,10.); #124855=VECTOR('',#411493,10.); #124856=VECTOR('',#411494,10.); #124857=VECTOR('',#411495,10.); #124858=VECTOR('',#411498,10.); #124859=VECTOR('',#411499,10.); #124860=VECTOR('',#411500,10.); #124861=VECTOR('',#411503,10.); #124862=VECTOR('',#411504,10.); #124863=VECTOR('',#411505,10.); #124864=VECTOR('',#411508,10.); #124865=VECTOR('',#411509,10.); #124866=VECTOR('',#411510,10.); #124867=VECTOR('',#411513,10.); #124868=VECTOR('',#411514,10.); #124869=VECTOR('',#411515,10.); #124870=VECTOR('',#411518,10.); #124871=VECTOR('',#411519,10.); #124872=VECTOR('',#411520,10.); #124873=VECTOR('',#411523,10.); #124874=VECTOR('',#411524,10.); #124875=VECTOR('',#411525,10.); #124876=VECTOR('',#411528,10.); #124877=VECTOR('',#411529,10.); #124878=VECTOR('',#411530,10.); #124879=VECTOR('',#411533,10.); #124880=VECTOR('',#411534,10.); #124881=VECTOR('',#411535,10.); #124882=VECTOR('',#411538,10.); #124883=VECTOR('',#411539,10.); #124884=VECTOR('',#411540,10.); #124885=VECTOR('',#411543,10.); #124886=VECTOR('',#411544,10.); #124887=VECTOR('',#411545,10.); #124888=VECTOR('',#411548,10.); #124889=VECTOR('',#411549,10.); #124890=VECTOR('',#411550,10.); #124891=VECTOR('',#411557,10.); #124892=VECTOR('',#411560,10.); #124893=VECTOR('',#411561,10.); #124894=VECTOR('',#411562,10.); #124895=VECTOR('',#411569,10.); #124896=VECTOR('',#411572,10.); #124897=VECTOR('',#411573,10.); #124898=VECTOR('',#411574,10.); #124899=VECTOR('',#411581,10.); #124900=VECTOR('',#411584,10.); #124901=VECTOR('',#411585,10.); #124902=VECTOR('',#411586,10.); #124903=VECTOR('',#411589,10.); #124904=VECTOR('',#411590,10.); #124905=VECTOR('',#411591,10.); #124906=VECTOR('',#411594,10.); #124907=VECTOR('',#411595,10.); #124908=VECTOR('',#411596,10.); #124909=VECTOR('',#411603,10.); #124910=VECTOR('',#411606,10.); #124911=VECTOR('',#411607,10.); #124912=VECTOR('',#411608,10.); #124913=VECTOR('',#411615,10.); #124914=VECTOR('',#411618,10.); #124915=VECTOR('',#411619,10.); #124916=VECTOR('',#411620,10.); #124917=VECTOR('',#411623,10.); #124918=VECTOR('',#411624,10.); #124919=VECTOR('',#411625,10.); #124920=VECTOR('',#411632,10.); #124921=VECTOR('',#411635,10.); #124922=VECTOR('',#411636,10.); #124923=VECTOR('',#411637,10.); #124924=VECTOR('',#411640,10.); #124925=VECTOR('',#411641,10.); #124926=VECTOR('',#411642,10.); #124927=VECTOR('',#411645,10.); #124928=VECTOR('',#411646,10.); #124929=VECTOR('',#411655,0.139999999999998); #124930=VECTOR('',#411662,0.139999999999998); #124931=VECTOR('',#411669,0.139999999999998); #124932=VECTOR('',#411676,0.139999999999998); #124933=VECTOR('',#411683,0.139999999999998); #124934=VECTOR('',#411690,0.139999999999998); #124935=VECTOR('',#411697,0.139999999999998); #124936=VECTOR('',#411704,0.139999999999998); #124937=VECTOR('',#411711,0.139999999999998); #124938=VECTOR('',#411718,0.139999999999998); #124939=VECTOR('',#411725,0.139999999999998); #124940=VECTOR('',#411732,0.139999999999998); #124941=VECTOR('',#411739,0.139999999999998); #124942=VECTOR('',#411746,0.139999999999998); #124943=VECTOR('',#411753,0.139999999999998); #124944=VECTOR('',#411758,10.); #124945=VECTOR('',#411759,10.); #124946=VECTOR('',#411760,10.); #124947=VECTOR('',#411761,10.); #124948=VECTOR('',#411764,10.); #124949=VECTOR('',#411765,10.); #124950=VECTOR('',#411766,10.); #124951=VECTOR('',#411769,10.); #124952=VECTOR('',#411770,10.); #124953=VECTOR('',#411771,10.); #124954=VECTOR('',#411774,10.); #124955=VECTOR('',#411775,10.); #124956=VECTOR('',#411776,10.); #124957=VECTOR('',#411779,10.); #124958=VECTOR('',#411780,10.); #124959=VECTOR('',#411781,10.); #124960=VECTOR('',#411784,10.); #124961=VECTOR('',#411785,10.); #124962=VECTOR('',#411786,10.); #124963=VECTOR('',#411789,10.); #124964=VECTOR('',#411790,10.); #124965=VECTOR('',#411791,10.); #124966=VECTOR('',#411794,10.); #124967=VECTOR('',#411795,10.); #124968=VECTOR('',#411796,10.); #124969=VECTOR('',#411799,10.); #124970=VECTOR('',#411800,10.); #124971=VECTOR('',#411801,10.); #124972=VECTOR('',#411804,10.); #124973=VECTOR('',#411805,10.); #124974=VECTOR('',#411806,10.); #124975=VECTOR('',#411809,10.); #124976=VECTOR('',#411810,10.); #124977=VECTOR('',#411811,10.); #124978=VECTOR('',#411814,10.); #124979=VECTOR('',#411815,10.); #124980=VECTOR('',#411816,10.); #124981=VECTOR('',#411819,10.); #124982=VECTOR('',#411820,10.); #124983=VECTOR('',#411821,10.); #124984=VECTOR('',#411824,10.); #124985=VECTOR('',#411825,10.); #124986=VECTOR('',#411826,10.); #124987=VECTOR('',#411829,10.); #124988=VECTOR('',#411830,10.); #124989=VECTOR('',#411831,10.); #124990=VECTOR('',#411834,10.); #124991=VECTOR('',#411835,10.); #124992=VECTOR('',#411836,10.); #124993=VECTOR('',#411839,10.); #124994=VECTOR('',#411840,10.); #124995=VECTOR('',#411841,10.); #124996=VECTOR('',#411844,10.); #124997=VECTOR('',#411845,10.); #124998=VECTOR('',#411846,10.); #124999=VECTOR('',#411849,10.); #125000=VECTOR('',#411850,10.); #125001=VECTOR('',#411851,10.); #125002=VECTOR('',#411854,10.); #125003=VECTOR('',#411855,10.); #125004=VECTOR('',#411856,10.); #125005=VECTOR('',#411859,10.); #125006=VECTOR('',#411860,10.); #125007=VECTOR('',#411861,10.); #125008=VECTOR('',#411864,10.); #125009=VECTOR('',#411865,10.); #125010=VECTOR('',#411866,10.); #125011=VECTOR('',#411869,10.); #125012=VECTOR('',#411870,10.); #125013=VECTOR('',#411871,10.); #125014=VECTOR('',#411874,10.); #125015=VECTOR('',#411875,10.); #125016=VECTOR('',#411876,10.); #125017=VECTOR('',#411879,10.); #125018=VECTOR('',#411880,10.); #125019=VECTOR('',#411881,10.); #125020=VECTOR('',#411884,10.); #125021=VECTOR('',#411885,10.); #125022=VECTOR('',#411886,10.); #125023=VECTOR('',#411889,10.); #125024=VECTOR('',#411890,10.); #125025=VECTOR('',#411891,10.); #125026=VECTOR('',#411894,10.); #125027=VECTOR('',#411895,10.); #125028=VECTOR('',#411896,10.); #125029=VECTOR('',#411899,10.); #125030=VECTOR('',#411900,10.); #125031=VECTOR('',#411901,10.); #125032=VECTOR('',#411904,10.); #125033=VECTOR('',#411905,10.); #125034=VECTOR('',#411906,10.); #125035=VECTOR('',#411909,10.); #125036=VECTOR('',#411910,10.); #125037=VECTOR('',#411911,10.); #125038=VECTOR('',#411914,10.); #125039=VECTOR('',#411915,10.); #125040=VECTOR('',#411916,10.); #125041=VECTOR('',#411919,10.); #125042=VECTOR('',#411920,10.); #125043=VECTOR('',#411921,10.); #125044=VECTOR('',#411924,10.); #125045=VECTOR('',#411925,10.); #125046=VECTOR('',#411926,10.); #125047=VECTOR('',#411929,10.); #125048=VECTOR('',#411930,10.); #125049=VECTOR('',#411931,10.); #125050=VECTOR('',#411934,10.); #125051=VECTOR('',#411935,10.); #125052=VECTOR('',#411936,10.); #125053=VECTOR('',#411939,10.); #125054=VECTOR('',#411940,10.); #125055=VECTOR('',#411941,10.); #125056=VECTOR('',#411944,10.); #125057=VECTOR('',#411945,10.); #125058=VECTOR('',#411946,10.); #125059=VECTOR('',#411949,10.); #125060=VECTOR('',#411950,10.); #125061=VECTOR('',#411951,10.); #125062=VECTOR('',#411954,10.); #125063=VECTOR('',#411955,10.); #125064=VECTOR('',#411956,10.); #125065=VECTOR('',#411959,10.); #125066=VECTOR('',#411960,10.); #125067=VECTOR('',#411961,10.); #125068=VECTOR('',#411964,10.); #125069=VECTOR('',#411965,10.); #125070=VECTOR('',#411966,10.); #125071=VECTOR('',#411969,10.); #125072=VECTOR('',#411970,10.); #125073=VECTOR('',#411971,10.); #125074=VECTOR('',#411974,10.); #125075=VECTOR('',#411975,10.); #125076=VECTOR('',#411976,10.); #125077=VECTOR('',#411979,10.); #125078=VECTOR('',#411980,10.); #125079=VECTOR('',#411981,10.); #125080=VECTOR('',#411984,10.); #125081=VECTOR('',#411985,10.); #125082=VECTOR('',#411986,10.); #125083=VECTOR('',#411989,10.); #125084=VECTOR('',#411990,10.); #125085=VECTOR('',#411991,10.); #125086=VECTOR('',#411994,10.); #125087=VECTOR('',#411995,10.); #125088=VECTOR('',#411996,10.); #125089=VECTOR('',#411999,10.); #125090=VECTOR('',#412000,10.); #125091=VECTOR('',#412009,0.139999999999998); #125092=VECTOR('',#412014,10.); #125093=VECTOR('',#412015,10.); #125094=VECTOR('',#412016,10.); #125095=VECTOR('',#412017,10.); #125096=VECTOR('',#412020,10.); #125097=VECTOR('',#412021,10.); #125098=VECTOR('',#412022,10.); #125099=VECTOR('',#412025,10.); #125100=VECTOR('',#412026,10.); #125101=VECTOR('',#412027,10.); #125102=VECTOR('',#412030,10.); #125103=VECTOR('',#412031,10.); #125104=VECTOR('',#412032,10.); #125105=VECTOR('',#412035,10.); #125106=VECTOR('',#412036,10.); #125107=VECTOR('',#412037,10.); #125108=VECTOR('',#412040,10.); #125109=VECTOR('',#412041,10.); #125110=VECTOR('',#412042,10.); #125111=VECTOR('',#412049,10.); #125112=VECTOR('',#412052,10.); #125113=VECTOR('',#412053,10.); #125114=VECTOR('',#412054,10.); #125115=VECTOR('',#412057,10.); #125116=VECTOR('',#412058,10.); #125117=VECTOR('',#412059,10.); #125118=VECTOR('',#412066,10.); #125119=VECTOR('',#412069,10.); #125120=VECTOR('',#412070,10.); #125121=VECTOR('',#412071,10.); #125122=VECTOR('',#412078,10.); #125123=VECTOR('',#412081,10.); #125124=VECTOR('',#412082,10.); #125125=VECTOR('',#412083,10.); #125126=VECTOR('',#412086,10.); #125127=VECTOR('',#412087,10.); #125128=VECTOR('',#412088,10.); #125129=VECTOR('',#412091,10.); #125130=VECTOR('',#412092,10.); #125131=VECTOR('',#412093,10.); #125132=VECTOR('',#412100,10.); #125133=VECTOR('',#412103,10.); #125134=VECTOR('',#412104,10.); #125135=VECTOR('',#412105,10.); #125136=VECTOR('',#412112,10.); #125137=VECTOR('',#412115,10.); #125138=VECTOR('',#412116,10.); #125139=VECTOR('',#412117,10.); #125140=VECTOR('',#412124,10.); #125141=VECTOR('',#412127,10.); #125142=VECTOR('',#412128,10.); #125143=VECTOR('',#412129,10.); #125144=VECTOR('',#412132,10.); #125145=VECTOR('',#412133,10.); #125146=VECTOR('',#412134,10.); #125147=VECTOR('',#412137,10.); #125148=VECTOR('',#412138,10.); #125149=VECTOR('',#412139,10.); #125150=VECTOR('',#412142,10.); #125151=VECTOR('',#412143,10.); #125152=VECTOR('',#412144,10.); #125153=VECTOR('',#412147,10.); #125154=VECTOR('',#412148,10.); #125155=VECTOR('',#412149,10.); #125156=VECTOR('',#412152,10.); #125157=VECTOR('',#412153,10.); #125158=VECTOR('',#412154,10.); #125159=VECTOR('',#412157,10.); #125160=VECTOR('',#412158,10.); #125161=VECTOR('',#412159,10.); #125162=VECTOR('',#412162,10.); #125163=VECTOR('',#412163,10.); #125164=VECTOR('',#412164,10.); #125165=VECTOR('',#412167,10.); #125166=VECTOR('',#412168,10.); #125167=VECTOR('',#412169,10.); #125168=VECTOR('',#412172,10.); #125169=VECTOR('',#412173,10.); #125170=VECTOR('',#412174,10.); #125171=VECTOR('',#412177,10.); #125172=VECTOR('',#412178,10.); #125173=VECTOR('',#412179,10.); #125174=VECTOR('',#412182,10.); #125175=VECTOR('',#412183,10.); #125176=VECTOR('',#412184,10.); #125177=VECTOR('',#412187,10.); #125178=VECTOR('',#412188,10.); #125179=VECTOR('',#412189,10.); #125180=VECTOR('',#412192,10.); #125181=VECTOR('',#412193,10.); #125182=VECTOR('',#412194,10.); #125183=VECTOR('',#412197,10.); #125184=VECTOR('',#412198,10.); #125185=VECTOR('',#412199,10.); #125186=VECTOR('',#412202,10.); #125187=VECTOR('',#412203,10.); #125188=VECTOR('',#412204,10.); #125189=VECTOR('',#412211,10.); #125190=VECTOR('',#412214,10.); #125191=VECTOR('',#412215,10.); #125192=VECTOR('',#412216,10.); #125193=VECTOR('',#412219,10.); #125194=VECTOR('',#412220,10.); #125195=VECTOR('',#412221,10.); #125196=VECTOR('',#412228,10.); #125197=VECTOR('',#412231,10.); #125198=VECTOR('',#412232,10.); #125199=VECTOR('',#412233,10.); #125200=VECTOR('',#412236,10.); #125201=VECTOR('',#412237,10.); #125202=VECTOR('',#412246,0.139999999999998); #125203=VECTOR('',#412253,0.14); #125204=VECTOR('',#412260,0.14); #125205=VECTOR('',#412267,0.14); #125206=VECTOR('',#412274,0.14); #125207=VECTOR('',#412281,0.14); #125208=VECTOR('',#412288,0.14); #125209=VECTOR('',#412295,0.14); #125210=VECTOR('',#412302,0.14); #125211=VECTOR('',#412309,0.14); #125212=VECTOR('',#412316,0.14); #125213=VECTOR('',#412323,0.14); #125214=VECTOR('',#412330,0.14); #125215=VECTOR('',#412337,0.139999999999998); #125216=VECTOR('',#412344,0.139999999999998); #125217=VECTOR('',#412351,0.139999999999998); #125218=VECTOR('',#412358,0.139999999999998); #125219=VECTOR('',#412365,0.139999999999998); #125220=VECTOR('',#412372,0.14); #125221=VECTOR('',#412379,0.139999999999998); #125222=VECTOR('',#412386,0.139999999999998); #125223=VECTOR('',#412393,0.139999999999998); #125224=VECTOR('',#412400,0.139999999999998); #125225=VECTOR('',#412407,0.139999999999998); #125226=VECTOR('',#412414,0.139999999999998); #125227=VECTOR('',#412421,0.139999999999998); #125228=VECTOR('',#412428,0.139999999999998); #125229=VECTOR('',#412435,0.139999999999998); #125230=VECTOR('',#412442,0.139999999999998); #125231=VECTOR('',#412449,0.14); #125232=VECTOR('',#412456,0.14); #125233=VECTOR('',#412461,10.); #125234=VECTOR('',#412462,10.); #125235=VECTOR('',#412463,10.); #125236=VECTOR('',#412464,10.); #125237=VECTOR('',#412471,10.); #125238=VECTOR('',#412474,10.); #125239=VECTOR('',#412475,10.); #125240=VECTOR('',#412476,10.); #125241=VECTOR('',#412479,10.); #125242=VECTOR('',#412480,10.); #125243=VECTOR('',#412481,10.); #125244=VECTOR('',#412484,10.); #125245=VECTOR('',#412485,10.); #125246=VECTOR('',#412486,10.); #125247=VECTOR('',#412493,10.); #125248=VECTOR('',#412496,10.); #125249=VECTOR('',#412497,10.); #125250=VECTOR('',#412498,10.); #125251=VECTOR('',#412505,10.); #125252=VECTOR('',#412508,10.); #125253=VECTOR('',#412509,10.); #125254=VECTOR('',#412510,10.); #125255=VECTOR('',#412513,10.); #125256=VECTOR('',#412514,10.); #125257=VECTOR('',#412515,10.); #125258=VECTOR('',#412518,10.); #125259=VECTOR('',#412519,10.); #125260=VECTOR('',#412520,10.); #125261=VECTOR('',#412523,10.); #125262=VECTOR('',#412524,10.); #125263=VECTOR('',#412525,10.); #125264=VECTOR('',#412528,10.); #125265=VECTOR('',#412529,10.); #125266=VECTOR('',#412530,10.); #125267=VECTOR('',#412533,10.); #125268=VECTOR('',#412534,10.); #125269=VECTOR('',#412535,10.); #125270=VECTOR('',#412538,10.); #125271=VECTOR('',#412539,10.); #125272=VECTOR('',#412540,10.); #125273=VECTOR('',#412543,10.); #125274=VECTOR('',#412544,10.); #125275=VECTOR('',#412545,10.); #125276=VECTOR('',#412548,10.); #125277=VECTOR('',#412549,10.); #125278=VECTOR('',#412550,10.); #125279=VECTOR('',#412553,10.); #125280=VECTOR('',#412554,10.); #125281=VECTOR('',#412555,10.); #125282=VECTOR('',#412558,10.); #125283=VECTOR('',#412559,10.); #125284=VECTOR('',#412560,10.); #125285=VECTOR('',#412563,10.); #125286=VECTOR('',#412564,10.); #125287=VECTOR('',#412565,10.); #125288=VECTOR('',#412568,10.); #125289=VECTOR('',#412569,10.); #125290=VECTOR('',#412570,10.); #125291=VECTOR('',#412573,10.); #125292=VECTOR('',#412574,10.); #125293=VECTOR('',#412575,10.); #125294=VECTOR('',#412578,10.); #125295=VECTOR('',#412579,10.); #125296=VECTOR('',#412580,10.); #125297=VECTOR('',#412583,10.); #125298=VECTOR('',#412584,10.); #125299=VECTOR('',#412585,10.); #125300=VECTOR('',#412588,10.); #125301=VECTOR('',#412589,10.); #125302=VECTOR('',#412590,10.); #125303=VECTOR('',#412593,10.); #125304=VECTOR('',#412594,10.); #125305=VECTOR('',#412595,10.); #125306=VECTOR('',#412598,10.); #125307=VECTOR('',#412599,10.); #125308=VECTOR('',#412600,10.); #125309=VECTOR('',#412603,10.); #125310=VECTOR('',#412604,10.); #125311=VECTOR('',#412605,10.); #125312=VECTOR('',#412608,10.); #125313=VECTOR('',#412609,10.); #125314=VECTOR('',#412610,10.); #125315=VECTOR('',#412613,10.); #125316=VECTOR('',#412614,10.); #125317=VECTOR('',#412615,10.); #125318=VECTOR('',#412618,10.); #125319=VECTOR('',#412619,10.); #125320=VECTOR('',#412620,10.); #125321=VECTOR('',#412623,10.); #125322=VECTOR('',#412624,10.); #125323=VECTOR('',#412625,10.); #125324=VECTOR('',#412628,10.); #125325=VECTOR('',#412629,10.); #125326=VECTOR('',#412630,10.); #125327=VECTOR('',#412633,10.); #125328=VECTOR('',#412634,10.); #125329=VECTOR('',#412635,10.); #125330=VECTOR('',#412638,10.); #125331=VECTOR('',#412639,10.); #125332=VECTOR('',#412640,10.); #125333=VECTOR('',#412643,10.); #125334=VECTOR('',#412644,10.); #125335=VECTOR('',#412645,10.); #125336=VECTOR('',#412648,10.); #125337=VECTOR('',#412649,10.); #125338=VECTOR('',#412650,10.); #125339=VECTOR('',#412653,10.); #125340=VECTOR('',#412654,10.); #125341=VECTOR('',#412655,10.); #125342=VECTOR('',#412658,10.); #125343=VECTOR('',#412659,10.); #125344=VECTOR('',#412660,10.); #125345=VECTOR('',#412663,10.); #125346=VECTOR('',#412664,10.); #125347=VECTOR('',#412665,10.); #125348=VECTOR('',#412668,10.); #125349=VECTOR('',#412669,10.); #125350=VECTOR('',#412670,10.); #125351=VECTOR('',#412673,10.); #125352=VECTOR('',#412674,10.); #125353=VECTOR('',#412675,10.); #125354=VECTOR('',#412678,10.); #125355=VECTOR('',#412679,10.); #125356=VECTOR('',#412680,10.); #125357=VECTOR('',#412683,10.); #125358=VECTOR('',#412684,10.); #125359=VECTOR('',#412685,10.); #125360=VECTOR('',#412688,10.); #125361=VECTOR('',#412689,10.); #125362=VECTOR('',#412690,10.); #125363=VECTOR('',#412693,10.); #125364=VECTOR('',#412694,10.); #125365=VECTOR('',#412695,10.); #125366=VECTOR('',#412698,10.); #125367=VECTOR('',#412699,10.); #125368=VECTOR('',#412700,10.); #125369=VECTOR('',#412703,10.); #125370=VECTOR('',#412704,10.); #125371=VECTOR('',#412705,10.); #125372=VECTOR('',#412708,10.); #125373=VECTOR('',#412709,10.); #125374=VECTOR('',#412710,10.); #125375=VECTOR('',#412713,10.); #125376=VECTOR('',#412714,10.); #125377=VECTOR('',#412715,10.); #125378=VECTOR('',#412718,10.); #125379=VECTOR('',#412719,10.); #125380=VECTOR('',#412720,10.); #125381=VECTOR('',#412723,10.); #125382=VECTOR('',#412724,10.); #125383=VECTOR('',#412725,10.); #125384=VECTOR('',#412728,10.); #125385=VECTOR('',#412729,10.); #125386=VECTOR('',#412730,10.); #125387=VECTOR('',#412733,10.); #125388=VECTOR('',#412734,10.); #125389=VECTOR('',#412735,10.); #125390=VECTOR('',#412738,10.); #125391=VECTOR('',#412739,10.); #125392=VECTOR('',#412740,10.); #125393=VECTOR('',#412743,10.); #125394=VECTOR('',#412744,10.); #125395=VECTOR('',#412745,10.); #125396=VECTOR('',#412748,10.); #125397=VECTOR('',#412749,10.); #125398=VECTOR('',#412750,10.); #125399=VECTOR('',#412753,10.); #125400=VECTOR('',#412754,10.); #125401=VECTOR('',#412755,10.); #125402=VECTOR('',#412758,10.); #125403=VECTOR('',#412759,10.); #125404=VECTOR('',#412760,10.); #125405=VECTOR('',#412763,10.); #125406=VECTOR('',#412764,10.); #125407=VECTOR('',#412765,10.); #125408=VECTOR('',#412768,10.); #125409=VECTOR('',#412769,10.); #125410=VECTOR('',#412770,10.); #125411=VECTOR('',#412773,10.); #125412=VECTOR('',#412774,10.); #125413=VECTOR('',#412775,10.); #125414=VECTOR('',#412778,10.); #125415=VECTOR('',#412779,10.); #125416=VECTOR('',#412780,10.); #125417=VECTOR('',#412783,10.); #125418=VECTOR('',#412784,10.); #125419=VECTOR('',#412785,10.); #125420=VECTOR('',#412788,10.); #125421=VECTOR('',#412789,10.); #125422=VECTOR('',#412790,10.); #125423=VECTOR('',#412793,10.); #125424=VECTOR('',#412794,10.); #125425=VECTOR('',#412795,10.); #125426=VECTOR('',#412798,10.); #125427=VECTOR('',#412799,10.); #125428=VECTOR('',#412800,10.); #125429=VECTOR('',#412803,10.); #125430=VECTOR('',#412804,10.); #125431=VECTOR('',#412805,10.); #125432=VECTOR('',#412808,10.); #125433=VECTOR('',#412809,10.); #125434=VECTOR('',#412810,10.); #125435=VECTOR('',#412813,10.); #125436=VECTOR('',#412814,10.); #125437=VECTOR('',#412815,10.); #125438=VECTOR('',#412818,10.); #125439=VECTOR('',#412819,10.); #125440=VECTOR('',#412820,10.); #125441=VECTOR('',#412823,10.); #125442=VECTOR('',#412824,10.); #125443=VECTOR('',#412825,10.); #125444=VECTOR('',#412828,10.); #125445=VECTOR('',#412829,10.); #125446=VECTOR('',#412830,10.); #125447=VECTOR('',#412833,10.); #125448=VECTOR('',#412834,10.); #125449=VECTOR('',#412835,10.); #125450=VECTOR('',#412838,10.); #125451=VECTOR('',#412839,10.); #125452=VECTOR('',#412840,10.); #125453=VECTOR('',#412843,10.); #125454=VECTOR('',#412844,10.); #125455=VECTOR('',#412845,10.); #125456=VECTOR('',#412848,10.); #125457=VECTOR('',#412849,10.); #125458=VECTOR('',#412850,10.); #125459=VECTOR('',#412853,10.); #125460=VECTOR('',#412854,10.); #125461=VECTOR('',#412855,10.); #125462=VECTOR('',#412858,10.); #125463=VECTOR('',#412859,10.); #125464=VECTOR('',#412860,10.); #125465=VECTOR('',#412863,10.); #125466=VECTOR('',#412864,10.); #125467=VECTOR('',#412865,10.); #125468=VECTOR('',#412872,10.); #125469=VECTOR('',#412875,10.); #125470=VECTOR('',#412876,10.); #125471=VECTOR('',#412877,10.); #125472=VECTOR('',#412884,10.); #125473=VECTOR('',#412887,10.); #125474=VECTOR('',#412888,10.); #125475=VECTOR('',#412889,10.); #125476=VECTOR('',#412904,0.139999999999998); #125477=VECTOR('',#412909,10.); #125478=VECTOR('',#412910,10.); #125479=VECTOR('',#412911,10.); #125480=VECTOR('',#412912,10.); #125481=VECTOR('',#412915,10.); #125482=VECTOR('',#412916,10.); #125483=VECTOR('',#412917,10.); #125484=VECTOR('',#412920,10.); #125485=VECTOR('',#412921,10.); #125486=VECTOR('',#412922,10.); #125487=VECTOR('',#412925,10.); #125488=VECTOR('',#412926,10.); #125489=VECTOR('',#412927,10.); #125490=VECTOR('',#412930,10.); #125491=VECTOR('',#412931,10.); #125492=VECTOR('',#412932,10.); #125493=VECTOR('',#412935,10.); #125494=VECTOR('',#412936,10.); #125495=VECTOR('',#412937,10.); #125496=VECTOR('',#412944,10.); #125497=VECTOR('',#412947,10.); #125498=VECTOR('',#412948,10.); #125499=VECTOR('',#412949,10.); #125500=VECTOR('',#412952,10.); #125501=VECTOR('',#412953,10.); #125502=VECTOR('',#412954,10.); #125503=VECTOR('',#412961,10.); #125504=VECTOR('',#412964,10.); #125505=VECTOR('',#412965,10.); #125506=VECTOR('',#412966,10.); #125507=VECTOR('',#412973,10.); #125508=VECTOR('',#412980,10.); #125509=VECTOR('',#412983,10.); #125510=VECTOR('',#412984,10.); #125511=VECTOR('',#412985,10.); #125512=VECTOR('',#412988,10.); #125513=VECTOR('',#412989,10.); #125514=VECTOR('',#412990,10.); #125515=VECTOR('',#412997,10.); #125516=VECTOR('',#413000,10.); #125517=VECTOR('',#413001,10.); #125518=VECTOR('',#413002,10.); #125519=VECTOR('',#413005,10.); #125520=VECTOR('',#413006,10.); #125521=VECTOR('',#413007,10.); #125522=VECTOR('',#413014,10.); #125523=VECTOR('',#413017,10.); #125524=VECTOR('',#413018,10.); #125525=VECTOR('',#413019,10.); #125526=VECTOR('',#413022,10.); #125527=VECTOR('',#413023,10.); #125528=VECTOR('',#413032,0.140000000000002); #125529=VECTOR('',#413039,0.140000000000002); #125530=VECTOR('',#413046,0.140000000000002); #125531=VECTOR('',#413053,0.140000000000002); #125532=VECTOR('',#413060,0.140000000000002); #125533=VECTOR('',#413067,0.139999999999998); #125534=VECTOR('',#413074,0.140000000000002); #125535=VECTOR('',#413081,0.140000000000002); #125536=VECTOR('',#413088,0.140000000000002); #125537=VECTOR('',#413095,0.140000000000002); #125538=VECTOR('',#413102,0.465); #125539=VECTOR('',#413107,10.); #125540=VECTOR('',#413108,10.); #125541=VECTOR('',#413109,10.); #125542=VECTOR('',#413110,10.); #125543=VECTOR('',#413113,10.); #125544=VECTOR('',#413114,10.); #125545=VECTOR('',#413115,10.); #125546=VECTOR('',#413118,10.); #125547=VECTOR('',#413119,10.); #125548=VECTOR('',#413120,10.); #125549=VECTOR('',#413123,10.); #125550=VECTOR('',#413124,10.); #125551=VECTOR('',#413125,10.); #125552=VECTOR('',#413128,10.); #125553=VECTOR('',#413129,10.); #125554=VECTOR('',#413130,10.); #125555=VECTOR('',#413133,10.); #125556=VECTOR('',#413134,10.); #125557=VECTOR('',#413135,10.); #125558=VECTOR('',#413138,10.); #125559=VECTOR('',#413139,10.); #125560=VECTOR('',#413140,10.); #125561=VECTOR('',#413143,10.); #125562=VECTOR('',#413144,10.); #125563=VECTOR('',#413145,10.); #125564=VECTOR('',#413148,10.); #125565=VECTOR('',#413149,10.); #125566=VECTOR('',#413150,10.); #125567=VECTOR('',#413153,10.); #125568=VECTOR('',#413154,10.); #125569=VECTOR('',#413155,10.); #125570=VECTOR('',#413158,10.); #125571=VECTOR('',#413159,10.); #125572=VECTOR('',#413160,10.); #125573=VECTOR('',#413163,10.); #125574=VECTOR('',#413164,10.); #125575=VECTOR('',#413165,10.); #125576=VECTOR('',#413168,10.); #125577=VECTOR('',#413169,10.); #125578=VECTOR('',#413170,10.); #125579=VECTOR('',#413173,10.); #125580=VECTOR('',#413174,10.); #125581=VECTOR('',#413175,10.); #125582=VECTOR('',#413178,10.); #125583=VECTOR('',#413179,10.); #125584=VECTOR('',#413180,10.); #125585=VECTOR('',#413183,10.); #125586=VECTOR('',#413184,10.); #125587=VECTOR('',#413185,10.); #125588=VECTOR('',#413188,10.); #125589=VECTOR('',#413189,10.); #125590=VECTOR('',#413190,10.); #125591=VECTOR('',#413193,10.); #125592=VECTOR('',#413194,10.); #125593=VECTOR('',#413195,10.); #125594=VECTOR('',#413198,10.); #125595=VECTOR('',#413199,10.); #125596=VECTOR('',#413200,10.); #125597=VECTOR('',#413203,10.); #125598=VECTOR('',#413204,10.); #125599=VECTOR('',#413205,10.); #125600=VECTOR('',#413208,10.); #125601=VECTOR('',#413209,10.); #125602=VECTOR('',#413210,10.); #125603=VECTOR('',#413213,10.); #125604=VECTOR('',#413214,10.); #125605=VECTOR('',#413215,10.); #125606=VECTOR('',#413218,10.); #125607=VECTOR('',#413219,10.); #125608=VECTOR('',#413220,10.); #125609=VECTOR('',#413223,10.); #125610=VECTOR('',#413224,10.); #125611=VECTOR('',#413225,10.); #125612=VECTOR('',#413232,10.); #125613=VECTOR('',#413235,10.); #125614=VECTOR('',#413236,10.); #125615=VECTOR('',#413237,10.); #125616=VECTOR('',#413240,10.); #125617=VECTOR('',#413241,10.); #125618=VECTOR('',#413242,10.); #125619=VECTOR('',#413249,10.); #125620=VECTOR('',#413252,10.); #125621=VECTOR('',#413253,10.); #125622=VECTOR('',#413254,10.); #125623=VECTOR('',#413257,10.); #125624=VECTOR('',#413258,10.); #125625=VECTOR('',#413259,10.); #125626=VECTOR('',#413262,10.); #125627=VECTOR('',#413263,10.); #125628=VECTOR('',#413264,10.); #125629=VECTOR('',#413267,10.); #125630=VECTOR('',#413268,10.); #125631=VECTOR('',#413269,10.); #125632=VECTOR('',#413272,10.); #125633=VECTOR('',#413273,10.); #125634=VECTOR('',#413274,10.); #125635=VECTOR('',#413277,10.); #125636=VECTOR('',#413278,10.); #125637=VECTOR('',#413279,10.); #125638=VECTOR('',#413282,10.); #125639=VECTOR('',#413283,10.); #125640=VECTOR('',#413284,10.); #125641=VECTOR('',#413287,10.); #125642=VECTOR('',#413288,10.); #125643=VECTOR('',#413289,10.); #125644=VECTOR('',#413296,10.); #125645=VECTOR('',#413299,10.); #125646=VECTOR('',#413300,10.); #125647=VECTOR('',#413301,10.); #125648=VECTOR('',#413308,10.); #125649=VECTOR('',#413311,10.); #125650=VECTOR('',#413312,10.); #125651=VECTOR('',#413313,10.); #125652=VECTOR('',#413316,10.); #125653=VECTOR('',#413317,10.); #125654=VECTOR('',#413318,10.); #125655=VECTOR('',#413321,10.); #125656=VECTOR('',#413322,10.); #125657=VECTOR('',#413323,10.); #125658=VECTOR('',#413326,10.); #125659=VECTOR('',#413327,10.); #125660=VECTOR('',#413328,10.); #125661=VECTOR('',#413331,10.); #125662=VECTOR('',#413332,10.); #125663=VECTOR('',#413333,10.); #125664=VECTOR('',#413336,10.); #125665=VECTOR('',#413337,10.); #125666=VECTOR('',#413338,10.); #125667=VECTOR('',#413341,10.); #125668=VECTOR('',#413342,10.); #125669=VECTOR('',#413343,10.); #125670=VECTOR('',#413346,10.); #125671=VECTOR('',#413347,10.); #125672=VECTOR('',#413348,10.); #125673=VECTOR('',#413351,10.); #125674=VECTOR('',#413352,10.); #125675=VECTOR('',#413353,10.); #125676=VECTOR('',#413356,10.); #125677=VECTOR('',#413357,10.); #125678=VECTOR('',#413358,10.); #125679=VECTOR('',#413361,10.); #125680=VECTOR('',#413362,10.); #125681=VECTOR('',#413363,10.); #125682=VECTOR('',#413366,10.); #125683=VECTOR('',#413367,10.); #125684=VECTOR('',#413368,10.); #125685=VECTOR('',#413371,10.); #125686=VECTOR('',#413372,10.); #125687=VECTOR('',#413373,10.); #125688=VECTOR('',#413376,10.); #125689=VECTOR('',#413377,10.); #125690=VECTOR('',#413378,10.); #125691=VECTOR('',#413381,10.); #125692=VECTOR('',#413382,10.); #125693=VECTOR('',#413383,10.); #125694=VECTOR('',#413390,10.); #125695=VECTOR('',#413393,10.); #125696=VECTOR('',#413394,10.); #125697=VECTOR('',#413395,10.); #125698=VECTOR('',#413402,10.); #125699=VECTOR('',#413405,10.); #125700=VECTOR('',#413406,10.); #125701=VECTOR('',#413407,10.); #125702=VECTOR('',#413410,10.); #125703=VECTOR('',#413411,10.); #125704=VECTOR('',#413412,10.); #125705=VECTOR('',#413415,10.); #125706=VECTOR('',#413416,10.); #125707=VECTOR('',#413417,10.); #125708=VECTOR('',#413420,10.); #125709=VECTOR('',#413421,10.); #125710=VECTOR('',#413422,10.); #125711=VECTOR('',#413425,10.); #125712=VECTOR('',#413426,10.); #125713=VECTOR('',#413427,10.); #125714=VECTOR('',#413430,10.); #125715=VECTOR('',#413431,10.); #125716=VECTOR('',#413432,10.); #125717=VECTOR('',#413435,10.); #125718=VECTOR('',#413436,10.); #125719=VECTOR('',#413437,10.); #125720=VECTOR('',#413440,10.); #125721=VECTOR('',#413441,10.); #125722=VECTOR('',#413442,10.); #125723=VECTOR('',#413445,10.); #125724=VECTOR('',#413446,10.); #125725=VECTOR('',#413447,10.); #125726=VECTOR('',#413450,10.); #125727=VECTOR('',#413451,10.); #125728=VECTOR('',#413452,10.); #125729=VECTOR('',#413455,10.); #125730=VECTOR('',#413456,10.); #125731=VECTOR('',#413457,10.); #125732=VECTOR('',#413460,10.); #125733=VECTOR('',#413461,10.); #125734=VECTOR('',#413462,10.); #125735=VECTOR('',#413465,10.); #125736=VECTOR('',#413466,10.); #125737=VECTOR('',#413467,10.); #125738=VECTOR('',#413470,10.); #125739=VECTOR('',#413471,10.); #125740=VECTOR('',#413472,10.); #125741=VECTOR('',#413475,10.); #125742=VECTOR('',#413476,10.); #125743=VECTOR('',#413477,10.); #125744=VECTOR('',#413480,10.); #125745=VECTOR('',#413481,10.); #125746=VECTOR('',#413482,10.); #125747=VECTOR('',#413485,10.); #125748=VECTOR('',#413486,10.); #125749=VECTOR('',#413487,10.); #125750=VECTOR('',#413490,10.); #125751=VECTOR('',#413491,10.); #125752=VECTOR('',#413492,10.); #125753=VECTOR('',#413495,10.); #125754=VECTOR('',#413496,10.); #125755=VECTOR('',#413497,10.); #125756=VECTOR('',#413500,10.); #125757=VECTOR('',#413501,10.); #125758=VECTOR('',#413502,10.); #125759=VECTOR('',#413505,10.); #125760=VECTOR('',#413506,10.); #125761=VECTOR('',#413507,10.); #125762=VECTOR('',#413510,10.); #125763=VECTOR('',#413511,10.); #125764=VECTOR('',#413512,10.); #125765=VECTOR('',#413515,10.); #125766=VECTOR('',#413516,10.); #125767=VECTOR('',#413517,10.); #125768=VECTOR('',#413520,10.); #125769=VECTOR('',#413521,10.); #125770=VECTOR('',#413522,10.); #125771=VECTOR('',#413525,10.); #125772=VECTOR('',#413526,10.); #125773=VECTOR('',#413527,10.); #125774=VECTOR('',#413530,10.); #125775=VECTOR('',#413531,10.); #125776=VECTOR('',#413532,10.); #125777=VECTOR('',#413535,10.); #125778=VECTOR('',#413536,10.); #125779=VECTOR('',#413537,10.); #125780=VECTOR('',#413540,10.); #125781=VECTOR('',#413541,10.); #125782=VECTOR('',#413542,10.); #125783=VECTOR('',#413545,10.); #125784=VECTOR('',#413546,10.); #125785=VECTOR('',#413547,10.); #125786=VECTOR('',#413550,10.); #125787=VECTOR('',#413551,10.); #125788=VECTOR('',#413552,10.); #125789=VECTOR('',#413555,10.); #125790=VECTOR('',#413556,10.); #125791=VECTOR('',#413557,10.); #125792=VECTOR('',#413560,10.); #125793=VECTOR('',#413561,10.); #125794=VECTOR('',#413562,10.); #125795=VECTOR('',#413565,10.); #125796=VECTOR('',#413566,10.); #125797=VECTOR('',#413567,10.); #125798=VECTOR('',#413570,10.); #125799=VECTOR('',#413571,10.); #125800=VECTOR('',#413572,10.); #125801=VECTOR('',#413575,10.); #125802=VECTOR('',#413576,10.); #125803=VECTOR('',#413577,10.); #125804=VECTOR('',#413580,10.); #125805=VECTOR('',#413581,10.); #125806=VECTOR('',#413582,10.); #125807=VECTOR('',#413585,10.); #125808=VECTOR('',#413586,10.); #125809=VECTOR('',#413587,10.); #125810=VECTOR('',#413590,10.); #125811=VECTOR('',#413591,10.); #125812=VECTOR('',#413592,10.); #125813=VECTOR('',#413595,10.); #125814=VECTOR('',#413596,10.); #125815=VECTOR('',#413597,10.); #125816=VECTOR('',#413600,10.); #125817=VECTOR('',#413601,10.); #125818=VECTOR('',#413602,10.); #125819=VECTOR('',#413605,10.); #125820=VECTOR('',#413606,10.); #125821=VECTOR('',#413607,10.); #125822=VECTOR('',#413610,10.); #125823=VECTOR('',#413611,10.); #125824=VECTOR('',#413612,10.); #125825=VECTOR('',#413615,10.); #125826=VECTOR('',#413616,10.); #125827=VECTOR('',#413617,10.); #125828=VECTOR('',#413620,10.); #125829=VECTOR('',#413621,10.); #125830=VECTOR('',#413622,10.); #125831=VECTOR('',#413625,10.); #125832=VECTOR('',#413626,10.); #125833=VECTOR('',#413627,10.); #125834=VECTOR('',#413630,10.); #125835=VECTOR('',#413631,10.); #125836=VECTOR('',#413632,10.); #125837=VECTOR('',#413635,10.); #125838=VECTOR('',#413636,10.); #125839=VECTOR('',#413637,10.); #125840=VECTOR('',#413640,10.); #125841=VECTOR('',#413641,10.); #125842=VECTOR('',#413642,10.); #125843=VECTOR('',#413645,10.); #125844=VECTOR('',#413646,10.); #125845=VECTOR('',#413647,10.); #125846=VECTOR('',#413650,10.); #125847=VECTOR('',#413651,10.); #125848=VECTOR('',#413652,10.); #125849=VECTOR('',#413655,10.); #125850=VECTOR('',#413656,10.); #125851=VECTOR('',#413657,10.); #125852=VECTOR('',#413660,10.); #125853=VECTOR('',#413661,10.); #125854=VECTOR('',#413662,10.); #125855=VECTOR('',#413665,10.); #125856=VECTOR('',#413666,10.); #125857=VECTOR('',#413667,10.); #125858=VECTOR('',#413670,10.); #125859=VECTOR('',#413671,10.); #125860=VECTOR('',#413672,10.); #125861=VECTOR('',#413675,10.); #125862=VECTOR('',#413676,10.); #125863=VECTOR('',#413677,10.); #125864=VECTOR('',#413680,10.); #125865=VECTOR('',#413681,10.); #125866=VECTOR('',#413682,10.); #125867=VECTOR('',#413685,10.); #125868=VECTOR('',#413686,10.); #125869=VECTOR('',#413687,10.); #125870=VECTOR('',#413690,10.); #125871=VECTOR('',#413691,10.); #125872=VECTOR('',#413692,10.); #125873=VECTOR('',#413695,10.); #125874=VECTOR('',#413696,10.); #125875=VECTOR('',#413697,10.); #125876=VECTOR('',#413700,10.); #125877=VECTOR('',#413701,10.); #125878=VECTOR('',#413702,10.); #125879=VECTOR('',#413705,10.); #125880=VECTOR('',#413706,10.); #125881=VECTOR('',#413707,10.); #125882=VECTOR('',#413710,10.); #125883=VECTOR('',#413711,10.); #125884=VECTOR('',#413712,10.); #125885=VECTOR('',#413715,10.); #125886=VECTOR('',#413716,10.); #125887=VECTOR('',#413717,10.); #125888=VECTOR('',#413720,10.); #125889=VECTOR('',#413721,10.); #125890=VECTOR('',#413722,10.); #125891=VECTOR('',#413725,10.); #125892=VECTOR('',#413726,10.); #125893=VECTOR('',#413727,10.); #125894=VECTOR('',#413730,10.); #125895=VECTOR('',#413731,10.); #125896=VECTOR('',#413732,10.); #125897=VECTOR('',#413735,10.); #125898=VECTOR('',#413736,10.); #125899=VECTOR('',#413745,0.140000000000002); #125900=VECTOR('',#413752,0.140000000000002); #125901=VECTOR('',#413759,0.140000000000002); #125902=VECTOR('',#413766,0.140000000000002); #125903=VECTOR('',#413773,0.140000000000002); #125904=VECTOR('',#413780,0.140000000000002); #125905=VECTOR('',#413787,0.140000000000002); #125906=VECTOR('',#413794,0.140000000000002); #125907=VECTOR('',#413801,0.140000000000002); #125908=VECTOR('',#413808,0.140000000000002); #125909=VECTOR('',#413815,0.140000000000002); #125910=VECTOR('',#413822,0.140000000000002); #125911=VECTOR('',#413829,0.140000000000002); #125912=VECTOR('',#413836,0.140000000000002); #125913=VECTOR('',#413843,0.140000000000002); #125914=VECTOR('',#413850,0.140000000000002); #125915=VECTOR('',#413857,0.140000000000002); #125916=VECTOR('',#413864,0.140000000000002); #125917=VECTOR('',#413871,0.140000000000002); #125918=VECTOR('',#413878,0.140000000000002); #125919=VECTOR('',#413885,0.140000000000002); #125920=VECTOR('',#413892,0.140000000000002); #125921=VECTOR('',#413899,0.140000000000002); #125922=VECTOR('',#413906,0.140000000000002); #125923=VECTOR('',#413913,0.140000000000002); #125924=VECTOR('',#413920,0.140000000000002); #125925=VECTOR('',#413927,0.140000000000002); #125926=VECTOR('',#413934,0.140000000000002); #125927=VECTOR('',#413941,0.140000000000002); #125928=VECTOR('',#413948,0.140000000000002); #125929=VECTOR('',#413955,0.140000000000002); #125930=VECTOR('',#413960,10.); #125931=VECTOR('',#413961,10.); #125932=VECTOR('',#413962,10.); #125933=VECTOR('',#413963,10.); #125934=VECTOR('',#413970,10.); #125935=VECTOR('',#413973,10.); #125936=VECTOR('',#413974,10.); #125937=VECTOR('',#413975,10.); #125938=VECTOR('',#413982,10.); #125939=VECTOR('',#413985,10.); #125940=VECTOR('',#413986,10.); #125941=VECTOR('',#413987,10.); #125942=VECTOR('',#413994,10.); #125943=VECTOR('',#413997,10.); #125944=VECTOR('',#413998,10.); #125945=VECTOR('',#413999,10.); #125946=VECTOR('',#414002,10.); #125947=VECTOR('',#414003,10.); #125948=VECTOR('',#414004,10.); #125949=VECTOR('',#414007,10.); #125950=VECTOR('',#414008,10.); #125951=VECTOR('',#414009,10.); #125952=VECTOR('',#414012,10.); #125953=VECTOR('',#414013,10.); #125954=VECTOR('',#414014,10.); #125955=VECTOR('',#414017,10.); #125956=VECTOR('',#414018,10.); #125957=VECTOR('',#414019,10.); #125958=VECTOR('',#414022,10.); #125959=VECTOR('',#414023,10.); #125960=VECTOR('',#414024,10.); #125961=VECTOR('',#414027,10.); #125962=VECTOR('',#414028,10.); #125963=VECTOR('',#414029,10.); #125964=VECTOR('',#414032,10.); #125965=VECTOR('',#414033,10.); #125966=VECTOR('',#414034,10.); #125967=VECTOR('',#414037,10.); #125968=VECTOR('',#414038,10.); #125969=VECTOR('',#414039,10.); #125970=VECTOR('',#414042,10.); #125971=VECTOR('',#414043,10.); #125972=VECTOR('',#414044,10.); #125973=VECTOR('',#414047,10.); #125974=VECTOR('',#414048,10.); #125975=VECTOR('',#414049,10.); #125976=VECTOR('',#414052,10.); #125977=VECTOR('',#414053,10.); #125978=VECTOR('',#414054,10.); #125979=VECTOR('',#414057,10.); #125980=VECTOR('',#414058,10.); #125981=VECTOR('',#414059,10.); #125982=VECTOR('',#414062,10.); #125983=VECTOR('',#414063,10.); #125984=VECTOR('',#414064,10.); #125985=VECTOR('',#414067,10.); #125986=VECTOR('',#414068,10.); #125987=VECTOR('',#414069,10.); #125988=VECTOR('',#414072,10.); #125989=VECTOR('',#414073,10.); #125990=VECTOR('',#414074,10.); #125991=VECTOR('',#414077,10.); #125992=VECTOR('',#414078,10.); #125993=VECTOR('',#414079,10.); #125994=VECTOR('',#414082,10.); #125995=VECTOR('',#414083,10.); #125996=VECTOR('',#414084,10.); #125997=VECTOR('',#414087,10.); #125998=VECTOR('',#414088,10.); #125999=VECTOR('',#414089,10.); #126000=VECTOR('',#414092,10.); #126001=VECTOR('',#414093,10.); #126002=VECTOR('',#414094,10.); #126003=VECTOR('',#414097,10.); #126004=VECTOR('',#414098,10.); #126005=VECTOR('',#414099,10.); #126006=VECTOR('',#414102,10.); #126007=VECTOR('',#414103,10.); #126008=VECTOR('',#414104,10.); #126009=VECTOR('',#414107,10.); #126010=VECTOR('',#414108,10.); #126011=VECTOR('',#414109,10.); #126012=VECTOR('',#414112,10.); #126013=VECTOR('',#414113,10.); #126014=VECTOR('',#414114,10.); #126015=VECTOR('',#414117,10.); #126016=VECTOR('',#414118,10.); #126017=VECTOR('',#414119,10.); #126018=VECTOR('',#414122,10.); #126019=VECTOR('',#414123,10.); #126020=VECTOR('',#414124,10.); #126021=VECTOR('',#414127,10.); #126022=VECTOR('',#414128,10.); #126023=VECTOR('',#414129,10.); #126024=VECTOR('',#414132,10.); #126025=VECTOR('',#414133,10.); #126026=VECTOR('',#414134,10.); #126027=VECTOR('',#414137,10.); #126028=VECTOR('',#414138,10.); #126029=VECTOR('',#414139,10.); #126030=VECTOR('',#414142,10.); #126031=VECTOR('',#414143,10.); #126032=VECTOR('',#414144,10.); #126033=VECTOR('',#414147,10.); #126034=VECTOR('',#414148,10.); #126035=VECTOR('',#414149,10.); #126036=VECTOR('',#414152,10.); #126037=VECTOR('',#414153,10.); #126038=VECTOR('',#414154,10.); #126039=VECTOR('',#414157,10.); #126040=VECTOR('',#414158,10.); #126041=VECTOR('',#414159,10.); #126042=VECTOR('',#414162,10.); #126043=VECTOR('',#414163,10.); #126044=VECTOR('',#414164,10.); #126045=VECTOR('',#414167,10.); #126046=VECTOR('',#414168,10.); #126047=VECTOR('',#414169,10.); #126048=VECTOR('',#414172,10.); #126049=VECTOR('',#414173,10.); #126050=VECTOR('',#414174,10.); #126051=VECTOR('',#414177,10.); #126052=VECTOR('',#414178,10.); #126053=VECTOR('',#414179,10.); #126054=VECTOR('',#414182,10.); #126055=VECTOR('',#414183,10.); #126056=VECTOR('',#414184,10.); #126057=VECTOR('',#414187,10.); #126058=VECTOR('',#414188,10.); #126059=VECTOR('',#414189,10.); #126060=VECTOR('',#414192,10.); #126061=VECTOR('',#414193,10.); #126062=VECTOR('',#414194,10.); #126063=VECTOR('',#414197,10.); #126064=VECTOR('',#414198,10.); #126065=VECTOR('',#414199,10.); #126066=VECTOR('',#414202,10.); #126067=VECTOR('',#414203,10.); #126068=VECTOR('',#414204,10.); #126069=VECTOR('',#414207,10.); #126070=VECTOR('',#414208,10.); #126071=VECTOR('',#414209,10.); #126072=VECTOR('',#414212,10.); #126073=VECTOR('',#414213,10.); #126074=VECTOR('',#414214,10.); #126075=VECTOR('',#414217,10.); #126076=VECTOR('',#414218,10.); #126077=VECTOR('',#414219,10.); #126078=VECTOR('',#414222,10.); #126079=VECTOR('',#414223,10.); #126080=VECTOR('',#414224,10.); #126081=VECTOR('',#414227,10.); #126082=VECTOR('',#414228,10.); #126083=VECTOR('',#414229,10.); #126084=VECTOR('',#414232,10.); #126085=VECTOR('',#414233,10.); #126086=VECTOR('',#414234,10.); #126087=VECTOR('',#414237,10.); #126088=VECTOR('',#414238,10.); #126089=VECTOR('',#414239,10.); #126090=VECTOR('',#414242,10.); #126091=VECTOR('',#414243,10.); #126092=VECTOR('',#414244,10.); #126093=VECTOR('',#414247,10.); #126094=VECTOR('',#414248,10.); #126095=VECTOR('',#414249,10.); #126096=VECTOR('',#414252,10.); #126097=VECTOR('',#414253,10.); #126098=VECTOR('',#414254,10.); #126099=VECTOR('',#414257,10.); #126100=VECTOR('',#414258,10.); #126101=VECTOR('',#414259,10.); #126102=VECTOR('',#414262,10.); #126103=VECTOR('',#414263,10.); #126104=VECTOR('',#414264,10.); #126105=VECTOR('',#414267,10.); #126106=VECTOR('',#414268,10.); #126107=VECTOR('',#414269,10.); #126108=VECTOR('',#414272,10.); #126109=VECTOR('',#414273,10.); #126110=VECTOR('',#414274,10.); #126111=VECTOR('',#414277,10.); #126112=VECTOR('',#414278,10.); #126113=VECTOR('',#414279,10.); #126114=VECTOR('',#414282,10.); #126115=VECTOR('',#414283,10.); #126116=VECTOR('',#414284,10.); #126117=VECTOR('',#414287,10.); #126118=VECTOR('',#414288,10.); #126119=VECTOR('',#414289,10.); #126120=VECTOR('',#414292,10.); #126121=VECTOR('',#414293,10.); #126122=VECTOR('',#414294,10.); #126123=VECTOR('',#414297,10.); #126124=VECTOR('',#414298,10.); #126125=VECTOR('',#414299,10.); #126126=VECTOR('',#414302,10.); #126127=VECTOR('',#414303,10.); #126128=VECTOR('',#414304,10.); #126129=VECTOR('',#414307,10.); #126130=VECTOR('',#414308,10.); #126131=VECTOR('',#414309,10.); #126132=VECTOR('',#414312,10.); #126133=VECTOR('',#414313,10.); #126134=VECTOR('',#414314,10.); #126135=VECTOR('',#414317,10.); #126136=VECTOR('',#414318,10.); #126137=VECTOR('',#414319,10.); #126138=VECTOR('',#414322,10.); #126139=VECTOR('',#414323,10.); #126140=VECTOR('',#414324,10.); #126141=VECTOR('',#414327,10.); #126142=VECTOR('',#414328,10.); #126143=VECTOR('',#414329,10.); #126144=VECTOR('',#414336,10.); #126145=VECTOR('',#414339,10.); #126146=VECTOR('',#414340,10.); #126147=VECTOR('',#414341,10.); #126148=VECTOR('',#414348,10.); #126149=VECTOR('',#414351,10.); #126150=VECTOR('',#414352,10.); #126151=VECTOR('',#414353,10.); #126152=VECTOR('',#414356,10.); #126153=VECTOR('',#414357,10.); #126154=VECTOR('',#414358,10.); #126155=VECTOR('',#414361,10.); #126156=VECTOR('',#414362,10.); #126157=VECTOR('',#414363,10.); #126158=VECTOR('',#414378,0.140000000000002); #126159=VECTOR('',#414383,10.); #126160=VECTOR('',#414384,10.); #126161=VECTOR('',#414385,10.); #126162=VECTOR('',#414386,10.); #126163=VECTOR('',#414389,10.); #126164=VECTOR('',#414390,10.); #126165=VECTOR('',#414391,10.); #126166=VECTOR('',#414394,10.); #126167=VECTOR('',#414395,10.); #126168=VECTOR('',#414396,10.); #126169=VECTOR('',#414399,10.); #126170=VECTOR('',#414400,10.); #126171=VECTOR('',#414401,10.); #126172=VECTOR('',#414404,10.); #126173=VECTOR('',#414405,10.); #126174=VECTOR('',#414406,10.); #126175=VECTOR('',#414413,10.); #126176=VECTOR('',#414416,10.); #126177=VECTOR('',#414417,10.); #126178=VECTOR('',#414418,10.); #126179=VECTOR('',#414421,10.); #126180=VECTOR('',#414422,10.); #126181=VECTOR('',#414423,10.); #126182=VECTOR('',#414430,10.); #126183=VECTOR('',#414433,10.); #126184=VECTOR('',#414434,10.); #126185=VECTOR('',#414435,10.); #126186=VECTOR('',#414438,10.); #126187=VECTOR('',#414439,10.); #126188=VECTOR('',#414440,10.); #126189=VECTOR('',#414447,10.); #126190=VECTOR('',#414454,10.); #126191=VECTOR('',#414457,10.); #126192=VECTOR('',#414458,10.); #126193=VECTOR('',#414459,10.); #126194=VECTOR('',#414466,10.); #126195=VECTOR('',#414469,10.); #126196=VECTOR('',#414470,10.); #126197=VECTOR('',#414471,10.); #126198=VECTOR('',#414474,10.); #126199=VECTOR('',#414475,10.); #126200=VECTOR('',#414476,10.); #126201=VECTOR('',#414483,10.); #126202=VECTOR('',#414486,10.); #126203=VECTOR('',#414487,10.); #126204=VECTOR('',#414488,10.); #126205=VECTOR('',#414491,10.); #126206=VECTOR('',#414492,10.); #126207=VECTOR('',#414493,10.); #126208=VECTOR('',#414496,10.); #126209=VECTOR('',#414497,10.); #126210=VECTOR('',#414506,0.139999999999998); #126211=VECTOR('',#414513,0.139999999999998); #126212=VECTOR('',#414520,0.139999999999998); #126213=VECTOR('',#414527,0.139999999999998); #126214=VECTOR('',#414534,0.114999999999998); #126215=VECTOR('',#414541,0.114999999999998); #126216=VECTOR('',#414548,0.114999999999998); #126217=VECTOR('',#414555,0.114999999999998); #126218=VECTOR('',#414562,0.139999999999998); #126219=VECTOR('',#414569,0.139999999999998); #126220=VECTOR('',#414576,0.139999999999998); #126221=VECTOR('',#414583,0.139999999999998); #126222=VECTOR('',#414590,0.139999999999998); #126223=VECTOR('',#414597,0.139999999999998); #126224=VECTOR('',#414604,0.139999999999998); #126225=VECTOR('',#414611,0.139999999999998); #126226=VECTOR('',#414616,10.); #126227=VECTOR('',#414617,10.); #126228=VECTOR('',#414618,10.); #126229=VECTOR('',#414619,10.); #126230=VECTOR('',#414622,10.); #126231=VECTOR('',#414623,10.); #126232=VECTOR('',#414624,10.); #126233=VECTOR('',#414627,10.); #126234=VECTOR('',#414628,10.); #126235=VECTOR('',#414629,10.); #126236=VECTOR('',#414632,10.); #126237=VECTOR('',#414633,10.); #126238=VECTOR('',#414634,10.); #126239=VECTOR('',#414637,10.); #126240=VECTOR('',#414638,10.); #126241=VECTOR('',#414639,10.); #126242=VECTOR('',#414642,10.); #126243=VECTOR('',#414643,10.); #126244=VECTOR('',#414644,10.); #126245=VECTOR('',#414647,10.); #126246=VECTOR('',#414648,10.); #126247=VECTOR('',#414649,10.); #126248=VECTOR('',#414652,10.); #126249=VECTOR('',#414653,10.); #126250=VECTOR('',#414654,10.); #126251=VECTOR('',#414657,10.); #126252=VECTOR('',#414658,10.); #126253=VECTOR('',#414659,10.); #126254=VECTOR('',#414662,10.); #126255=VECTOR('',#414663,10.); #126256=VECTOR('',#414664,10.); #126257=VECTOR('',#414667,10.); #126258=VECTOR('',#414668,10.); #126259=VECTOR('',#414669,10.); #126260=VECTOR('',#414672,10.); #126261=VECTOR('',#414673,10.); #126262=VECTOR('',#414674,10.); #126263=VECTOR('',#414677,10.); #126264=VECTOR('',#414678,10.); #126265=VECTOR('',#414679,10.); #126266=VECTOR('',#414682,10.); #126267=VECTOR('',#414683,10.); #126268=VECTOR('',#414684,10.); #126269=VECTOR('',#414687,10.); #126270=VECTOR('',#414688,10.); #126271=VECTOR('',#414689,10.); #126272=VECTOR('',#414692,10.); #126273=VECTOR('',#414693,10.); #126274=VECTOR('',#414694,10.); #126275=VECTOR('',#414697,10.); #126276=VECTOR('',#414698,10.); #126277=VECTOR('',#414699,10.); #126278=VECTOR('',#414702,10.); #126279=VECTOR('',#414703,10.); #126280=VECTOR('',#414704,10.); #126281=VECTOR('',#414707,10.); #126282=VECTOR('',#414708,10.); #126283=VECTOR('',#414709,10.); #126284=VECTOR('',#414712,10.); #126285=VECTOR('',#414713,10.); #126286=VECTOR('',#414714,10.); #126287=VECTOR('',#414717,10.); #126288=VECTOR('',#414718,10.); #126289=VECTOR('',#414719,10.); #126290=VECTOR('',#414722,10.); #126291=VECTOR('',#414723,10.); #126292=VECTOR('',#414724,10.); #126293=VECTOR('',#414727,10.); #126294=VECTOR('',#414728,10.); #126295=VECTOR('',#414729,10.); #126296=VECTOR('',#414732,10.); #126297=VECTOR('',#414733,10.); #126298=VECTOR('',#414736,10.); #126299=VECTOR('',#414737,10.); #126300=VECTOR('',#414738,10.); #126301=VECTOR('',#414739,10.); #126302=VECTOR('',#414742,10.); #126303=VECTOR('',#414743,10.); #126304=VECTOR('',#414744,10.); #126305=VECTOR('',#414747,10.); #126306=VECTOR('',#414748,10.); #126307=VECTOR('',#414749,10.); #126308=VECTOR('',#414752,10.); #126309=VECTOR('',#414753,10.); #126310=VECTOR('',#414754,10.); #126311=VECTOR('',#414757,10.); #126312=VECTOR('',#414758,10.); #126313=VECTOR('',#414759,10.); #126314=VECTOR('',#414762,10.); #126315=VECTOR('',#414763,10.); #126316=VECTOR('',#414764,10.); #126317=VECTOR('',#414767,10.); #126318=VECTOR('',#414768,10.); #126319=VECTOR('',#414769,10.); #126320=VECTOR('',#414772,10.); #126321=VECTOR('',#414773,10.); #126322=VECTOR('',#414774,10.); #126323=VECTOR('',#414777,10.); #126324=VECTOR('',#414778,10.); #126325=VECTOR('',#414779,10.); #126326=VECTOR('',#414782,10.); #126327=VECTOR('',#414783,10.); #126328=VECTOR('',#414784,10.); #126329=VECTOR('',#414787,10.); #126330=VECTOR('',#414788,10.); #126331=VECTOR('',#414789,10.); #126332=VECTOR('',#414792,10.); #126333=VECTOR('',#414793,10.); #126334=VECTOR('',#414794,10.); #126335=VECTOR('',#414797,10.); #126336=VECTOR('',#414798,10.); #126337=VECTOR('',#414799,10.); #126338=VECTOR('',#414802,10.); #126339=VECTOR('',#414803,10.); #126340=VECTOR('',#414804,10.); #126341=VECTOR('',#414807,10.); #126342=VECTOR('',#414808,10.); #126343=VECTOR('',#414809,10.); #126344=VECTOR('',#414812,10.); #126345=VECTOR('',#414813,10.); #126346=VECTOR('',#414814,10.); #126347=VECTOR('',#414817,10.); #126348=VECTOR('',#414818,10.); #126349=VECTOR('',#414819,10.); #126350=VECTOR('',#414822,10.); #126351=VECTOR('',#414823,10.); #126352=VECTOR('',#414824,10.); #126353=VECTOR('',#414827,10.); #126354=VECTOR('',#414828,10.); #126355=VECTOR('',#414829,10.); #126356=VECTOR('',#414832,10.); #126357=VECTOR('',#414833,10.); #126358=VECTOR('',#414834,10.); #126359=VECTOR('',#414837,10.); #126360=VECTOR('',#414838,10.); #126361=VECTOR('',#414839,10.); #126362=VECTOR('',#414842,10.); #126363=VECTOR('',#414843,10.); #126364=VECTOR('',#414844,10.); #126365=VECTOR('',#414847,10.); #126366=VECTOR('',#414848,10.); #126367=VECTOR('',#414849,10.); #126368=VECTOR('',#414852,10.); #126369=VECTOR('',#414853,10.); #126370=VECTOR('',#414854,10.); #126371=VECTOR('',#414857,10.); #126372=VECTOR('',#414858,10.); #126373=VECTOR('',#414859,10.); #126374=VECTOR('',#414862,10.); #126375=VECTOR('',#414863,10.); #126376=VECTOR('',#414864,10.); #126377=VECTOR('',#414867,10.); #126378=VECTOR('',#414868,10.); #126379=VECTOR('',#414869,10.); #126380=VECTOR('',#414872,10.); #126381=VECTOR('',#414873,10.); #126382=VECTOR('',#414874,10.); #126383=VECTOR('',#414877,10.); #126384=VECTOR('',#414878,10.); #126385=VECTOR('',#414879,10.); #126386=VECTOR('',#414882,10.); #126387=VECTOR('',#414883,10.); #126388=VECTOR('',#414884,10.); #126389=VECTOR('',#414887,10.); #126390=VECTOR('',#414888,10.); #126391=VECTOR('',#414889,10.); #126392=VECTOR('',#414892,10.); #126393=VECTOR('',#414893,10.); #126394=VECTOR('',#414894,10.); #126395=VECTOR('',#414897,10.); #126396=VECTOR('',#414898,10.); #126397=VECTOR('',#414899,10.); #126398=VECTOR('',#414902,10.); #126399=VECTOR('',#414903,10.); #126400=VECTOR('',#414904,10.); #126401=VECTOR('',#414907,10.); #126402=VECTOR('',#414908,10.); #126403=VECTOR('',#414909,10.); #126404=VECTOR('',#414912,10.); #126405=VECTOR('',#414913,10.); #126406=VECTOR('',#414914,10.); #126407=VECTOR('',#414917,10.); #126408=VECTOR('',#414918,10.); #126409=VECTOR('',#414919,10.); #126410=VECTOR('',#414922,10.); #126411=VECTOR('',#414923,10.); #126412=VECTOR('',#414924,10.); #126413=VECTOR('',#414927,10.); #126414=VECTOR('',#414928,10.); #126415=VECTOR('',#414929,10.); #126416=VECTOR('',#414932,10.); #126417=VECTOR('',#414933,10.); #126418=VECTOR('',#414934,10.); #126419=VECTOR('',#414937,10.); #126420=VECTOR('',#414938,10.); #126421=VECTOR('',#414939,10.); #126422=VECTOR('',#414942,10.); #126423=VECTOR('',#414943,10.); #126424=VECTOR('',#414944,10.); #126425=VECTOR('',#414947,10.); #126426=VECTOR('',#414948,10.); #126427=VECTOR('',#414949,10.); #126428=VECTOR('',#414952,10.); #126429=VECTOR('',#414953,10.); #126430=VECTOR('',#414954,10.); #126431=VECTOR('',#414957,10.); #126432=VECTOR('',#414958,10.); #126433=VECTOR('',#414959,10.); #126434=VECTOR('',#414962,10.); #126435=VECTOR('',#414963,10.); #126436=VECTOR('',#414964,10.); #126437=VECTOR('',#414967,10.); #126438=VECTOR('',#414968,10.); #126439=VECTOR('',#414969,10.); #126440=VECTOR('',#414972,10.); #126441=VECTOR('',#414973,10.); #126442=VECTOR('',#414974,10.); #126443=VECTOR('',#414977,10.); #126444=VECTOR('',#414978,10.); #126445=VECTOR('',#414979,10.); #126446=VECTOR('',#414982,10.); #126447=VECTOR('',#414983,10.); #126448=VECTOR('',#414984,10.); #126449=VECTOR('',#414987,10.); #126450=VECTOR('',#414988,10.); #126451=VECTOR('',#414989,10.); #126452=VECTOR('',#414992,10.); #126453=VECTOR('',#414993,10.); #126454=VECTOR('',#414994,10.); #126455=VECTOR('',#414997,10.); #126456=VECTOR('',#414998,10.); #126457=VECTOR('',#414999,10.); #126458=VECTOR('',#415002,10.); #126459=VECTOR('',#415003,10.); #126460=VECTOR('',#415004,10.); #126461=VECTOR('',#415007,10.); #126462=VECTOR('',#415008,10.); #126463=VECTOR('',#415009,10.); #126464=VECTOR('',#415012,10.); #126465=VECTOR('',#415013,10.); #126466=VECTOR('',#415014,10.); #126467=VECTOR('',#415017,10.); #126468=VECTOR('',#415018,10.); #126469=VECTOR('',#415019,10.); #126470=VECTOR('',#415022,10.); #126471=VECTOR('',#415023,10.); #126472=VECTOR('',#415024,10.); #126473=VECTOR('',#415027,10.); #126474=VECTOR('',#415028,10.); #126475=VECTOR('',#415029,10.); #126476=VECTOR('',#415032,10.); #126477=VECTOR('',#415033,10.); #126478=VECTOR('',#415034,10.); #126479=VECTOR('',#415037,10.); #126480=VECTOR('',#415038,10.); #126481=VECTOR('',#415039,10.); #126482=VECTOR('',#415042,10.); #126483=VECTOR('',#415043,10.); #126484=VECTOR('',#415044,10.); #126485=VECTOR('',#415047,10.); #126486=VECTOR('',#415048,10.); #126487=VECTOR('',#415049,10.); #126488=VECTOR('',#415052,10.); #126489=VECTOR('',#415053,10.); #126490=VECTOR('',#415054,10.); #126491=VECTOR('',#415057,10.); #126492=VECTOR('',#415058,10.); #126493=VECTOR('',#415059,10.); #126494=VECTOR('',#415062,10.); #126495=VECTOR('',#415063,10.); #126496=VECTOR('',#415064,10.); #126497=VECTOR('',#415067,10.); #126498=VECTOR('',#415068,10.); #126499=VECTOR('',#415069,10.); #126500=VECTOR('',#415072,10.); #126501=VECTOR('',#415073,10.); #126502=VECTOR('',#415074,10.); #126503=VECTOR('',#415077,10.); #126504=VECTOR('',#415078,10.); #126505=VECTOR('',#415079,10.); #126506=VECTOR('',#415082,10.); #126507=VECTOR('',#415083,10.); #126508=VECTOR('',#415084,10.); #126509=VECTOR('',#415087,10.); #126510=VECTOR('',#415088,10.); #126511=VECTOR('',#415089,10.); #126512=VECTOR('',#415092,10.); #126513=VECTOR('',#415093,10.); #126514=VECTOR('',#415094,10.); #126515=VECTOR('',#415097,10.); #126516=VECTOR('',#415098,10.); #126517=VECTOR('',#415099,10.); #126518=VECTOR('',#415102,10.); #126519=VECTOR('',#415103,10.); #126520=VECTOR('',#415104,10.); #126521=VECTOR('',#415107,10.); #126522=VECTOR('',#415108,10.); #126523=VECTOR('',#415109,10.); #126524=VECTOR('',#415112,10.); #126525=VECTOR('',#415113,10.); #126526=VECTOR('',#415114,10.); #126527=VECTOR('',#415117,10.); #126528=VECTOR('',#415118,10.); #126529=VECTOR('',#415119,10.); #126530=VECTOR('',#415122,10.); #126531=VECTOR('',#415123,10.); #126532=VECTOR('',#415124,10.); #126533=VECTOR('',#415127,10.); #126534=VECTOR('',#415128,10.); #126535=VECTOR('',#415129,10.); #126536=VECTOR('',#415132,10.); #126537=VECTOR('',#415133,10.); #126538=VECTOR('',#415134,10.); #126539=VECTOR('',#415137,10.); #126540=VECTOR('',#415138,10.); #126541=VECTOR('',#415139,10.); #126542=VECTOR('',#415142,10.); #126543=VECTOR('',#415143,10.); #126544=VECTOR('',#415144,10.); #126545=VECTOR('',#415147,10.); #126546=VECTOR('',#415148,10.); #126547=VECTOR('',#415149,10.); #126548=VECTOR('',#415152,10.); #126549=VECTOR('',#415153,10.); #126550=VECTOR('',#415154,10.); #126551=VECTOR('',#415157,10.); #126552=VECTOR('',#415158,10.); #126553=VECTOR('',#415159,10.); #126554=VECTOR('',#415162,10.); #126555=VECTOR('',#415163,10.); #126556=VECTOR('',#415164,10.); #126557=VECTOR('',#415167,10.); #126558=VECTOR('',#415168,10.); #126559=VECTOR('',#415169,10.); #126560=VECTOR('',#415172,10.); #126561=VECTOR('',#415173,10.); #126562=VECTOR('',#415174,10.); #126563=VECTOR('',#415177,10.); #126564=VECTOR('',#415178,10.); #126565=VECTOR('',#415179,10.); #126566=VECTOR('',#415182,10.); #126567=VECTOR('',#415183,10.); #126568=VECTOR('',#415184,10.); #126569=VECTOR('',#415187,10.); #126570=VECTOR('',#415188,10.); #126571=VECTOR('',#415189,10.); #126572=VECTOR('',#415192,10.); #126573=VECTOR('',#415193,10.); #126574=VECTOR('',#415194,10.); #126575=VECTOR('',#415197,10.); #126576=VECTOR('',#415198,10.); #126577=VECTOR('',#415199,10.); #126578=VECTOR('',#415202,10.); #126579=VECTOR('',#415203,10.); #126580=VECTOR('',#415204,10.); #126581=VECTOR('',#415207,10.); #126582=VECTOR('',#415208,10.); #126583=VECTOR('',#415209,10.); #126584=VECTOR('',#415212,10.); #126585=VECTOR('',#415213,10.); #126586=VECTOR('',#415214,10.); #126587=VECTOR('',#415217,10.); #126588=VECTOR('',#415218,10.); #126589=VECTOR('',#415219,10.); #126590=VECTOR('',#415222,10.); #126591=VECTOR('',#415223,10.); #126592=VECTOR('',#415224,10.); #126593=VECTOR('',#415227,10.); #126594=VECTOR('',#415228,10.); #126595=VECTOR('',#415229,10.); #126596=VECTOR('',#415232,10.); #126597=VECTOR('',#415233,10.); #126598=VECTOR('',#415234,10.); #126599=VECTOR('',#415237,10.); #126600=VECTOR('',#415238,10.); #126601=VECTOR('',#415239,10.); #126602=VECTOR('',#415242,10.); #126603=VECTOR('',#415243,10.); #126604=VECTOR('',#415244,10.); #126605=VECTOR('',#415247,10.); #126606=VECTOR('',#415248,10.); #126607=VECTOR('',#415249,10.); #126608=VECTOR('',#415252,10.); #126609=VECTOR('',#415253,10.); #126610=VECTOR('',#415254,10.); #126611=VECTOR('',#415257,10.); #126612=VECTOR('',#415258,10.); #126613=VECTOR('',#415259,10.); #126614=VECTOR('',#415262,10.); #126615=VECTOR('',#415263,10.); #126616=VECTOR('',#415264,10.); #126617=VECTOR('',#415267,10.); #126618=VECTOR('',#415268,10.); #126619=VECTOR('',#415269,10.); #126620=VECTOR('',#415272,10.); #126621=VECTOR('',#415273,10.); #126622=VECTOR('',#415274,10.); #126623=VECTOR('',#415277,10.); #126624=VECTOR('',#415278,10.); #126625=VECTOR('',#415279,10.); #126626=VECTOR('',#415282,10.); #126627=VECTOR('',#415283,10.); #126628=VECTOR('',#415284,10.); #126629=VECTOR('',#415287,10.); #126630=VECTOR('',#415288,10.); #126631=VECTOR('',#415289,10.); #126632=VECTOR('',#415292,10.); #126633=VECTOR('',#415293,10.); #126634=VECTOR('',#415294,10.); #126635=VECTOR('',#415297,10.); #126636=VECTOR('',#415298,10.); #126637=VECTOR('',#415299,10.); #126638=VECTOR('',#415302,10.); #126639=VECTOR('',#415303,10.); #126640=VECTOR('',#415304,10.); #126641=VECTOR('',#415307,10.); #126642=VECTOR('',#415308,10.); #126643=VECTOR('',#415309,10.); #126644=VECTOR('',#415312,10.); #126645=VECTOR('',#415313,10.); #126646=VECTOR('',#415314,10.); #126647=VECTOR('',#415317,10.); #126648=VECTOR('',#415318,10.); #126649=VECTOR('',#415319,10.); #126650=VECTOR('',#415322,10.); #126651=VECTOR('',#415323,10.); #126652=VECTOR('',#415324,10.); #126653=VECTOR('',#415327,10.); #126654=VECTOR('',#415328,10.); #126655=VECTOR('',#415329,10.); #126656=VECTOR('',#415332,10.); #126657=VECTOR('',#415333,10.); #126658=VECTOR('',#415334,10.); #126659=VECTOR('',#415337,10.); #126660=VECTOR('',#415338,10.); #126661=VECTOR('',#415339,10.); #126662=VECTOR('',#415342,10.); #126663=VECTOR('',#415343,10.); #126664=VECTOR('',#415344,10.); #126665=VECTOR('',#415347,10.); #126666=VECTOR('',#415348,10.); #126667=VECTOR('',#415349,10.); #126668=VECTOR('',#415352,10.); #126669=VECTOR('',#415353,10.); #126670=VECTOR('',#415354,10.); #126671=VECTOR('',#415357,10.); #126672=VECTOR('',#415358,10.); #126673=VECTOR('',#415359,10.); #126674=VECTOR('',#415362,10.); #126675=VECTOR('',#415363,10.); #126676=VECTOR('',#415364,10.); #126677=VECTOR('',#415367,10.); #126678=VECTOR('',#415368,10.); #126679=VECTOR('',#415369,10.); #126680=VECTOR('',#415372,10.); #126681=VECTOR('',#415373,10.); #126682=VECTOR('',#415374,10.); #126683=VECTOR('',#415377,10.); #126684=VECTOR('',#415378,10.); #126685=VECTOR('',#415379,10.); #126686=VECTOR('',#415382,10.); #126687=VECTOR('',#415383,10.); #126688=VECTOR('',#415384,10.); #126689=VECTOR('',#415387,10.); #126690=VECTOR('',#415388,10.); #126691=VECTOR('',#415389,10.); #126692=VECTOR('',#415392,10.); #126693=VECTOR('',#415393,10.); #126694=VECTOR('',#415394,10.); #126695=VECTOR('',#415397,10.); #126696=VECTOR('',#415398,10.); #126697=VECTOR('',#415399,10.); #126698=VECTOR('',#415402,10.); #126699=VECTOR('',#415403,10.); #126700=VECTOR('',#415404,10.); #126701=VECTOR('',#415407,10.); #126702=VECTOR('',#415408,10.); #126703=VECTOR('',#415409,10.); #126704=VECTOR('',#415412,10.); #126705=VECTOR('',#415413,10.); #126706=VECTOR('',#415414,10.); #126707=VECTOR('',#415417,10.); #126708=VECTOR('',#415418,10.); #126709=VECTOR('',#415419,10.); #126710=VECTOR('',#415422,10.); #126711=VECTOR('',#415423,10.); #126712=VECTOR('',#415424,10.); #126713=VECTOR('',#415427,10.); #126714=VECTOR('',#415428,10.); #126715=VECTOR('',#415429,10.); #126716=VECTOR('',#415432,10.); #126717=VECTOR('',#415433,10.); #126718=VECTOR('',#415434,10.); #126719=VECTOR('',#415437,10.); #126720=VECTOR('',#415438,10.); #126721=VECTOR('',#415439,10.); #126722=VECTOR('',#415442,10.); #126723=VECTOR('',#415443,10.); #126724=VECTOR('',#415444,10.); #126725=VECTOR('',#415447,10.); #126726=VECTOR('',#415448,10.); #126727=VECTOR('',#415449,10.); #126728=VECTOR('',#415452,10.); #126729=VECTOR('',#415453,10.); #126730=VECTOR('',#415454,10.); #126731=VECTOR('',#415457,10.); #126732=VECTOR('',#415458,10.); #126733=VECTOR('',#415459,10.); #126734=VECTOR('',#415462,10.); #126735=VECTOR('',#415463,10.); #126736=VECTOR('',#415464,10.); #126737=VECTOR('',#415467,10.); #126738=VECTOR('',#415468,10.); #126739=VECTOR('',#415469,10.); #126740=VECTOR('',#415472,10.); #126741=VECTOR('',#415473,10.); #126742=VECTOR('',#415474,10.); #126743=VECTOR('',#415477,10.); #126744=VECTOR('',#415478,10.); #126745=VECTOR('',#415479,10.); #126746=VECTOR('',#415482,10.); #126747=VECTOR('',#415483,10.); #126748=VECTOR('',#415484,10.); #126749=VECTOR('',#415487,10.); #126750=VECTOR('',#415488,10.); #126751=VECTOR('',#415489,10.); #126752=VECTOR('',#415492,10.); #126753=VECTOR('',#415493,10.); #126754=VECTOR('',#415494,10.); #126755=VECTOR('',#415497,10.); #126756=VECTOR('',#415498,10.); #126757=VECTOR('',#415499,10.); #126758=VECTOR('',#415502,10.); #126759=VECTOR('',#415503,10.); #126760=VECTOR('',#415504,10.); #126761=VECTOR('',#415507,10.); #126762=VECTOR('',#415508,10.); #126763=VECTOR('',#415509,10.); #126764=VECTOR('',#415512,10.); #126765=VECTOR('',#415513,10.); #126766=VECTOR('',#415514,10.); #126767=VECTOR('',#415517,10.); #126768=VECTOR('',#415518,10.); #126769=VECTOR('',#415519,10.); #126770=VECTOR('',#415522,10.); #126771=VECTOR('',#415523,10.); #126772=VECTOR('',#415524,10.); #126773=VECTOR('',#415527,10.); #126774=VECTOR('',#415528,10.); #126775=VECTOR('',#415529,10.); #126776=VECTOR('',#415532,10.); #126777=VECTOR('',#415533,10.); #126778=VECTOR('',#415534,10.); #126779=VECTOR('',#415537,10.); #126780=VECTOR('',#415538,10.); #126781=VECTOR('',#415539,10.); #126782=VECTOR('',#415542,10.); #126783=VECTOR('',#415543,10.); #126784=VECTOR('',#415544,10.); #126785=VECTOR('',#415547,10.); #126786=VECTOR('',#415548,10.); #126787=VECTOR('',#415549,10.); #126788=VECTOR('',#415552,10.); #126789=VECTOR('',#415553,10.); #126790=VECTOR('',#415554,10.); #126791=VECTOR('',#415557,10.); #126792=VECTOR('',#415558,10.); #126793=VECTOR('',#415559,10.); #126794=VECTOR('',#415562,10.); #126795=VECTOR('',#415563,10.); #126796=VECTOR('',#415564,10.); #126797=VECTOR('',#415567,10.); #126798=VECTOR('',#415568,10.); #126799=VECTOR('',#415569,10.); #126800=VECTOR('',#415572,10.); #126801=VECTOR('',#415573,10.); #126802=VECTOR('',#415574,10.); #126803=VECTOR('',#415577,10.); #126804=VECTOR('',#415578,10.); #126805=VECTOR('',#415579,10.); #126806=VECTOR('',#415582,10.); #126807=VECTOR('',#415583,10.); #126808=VECTOR('',#415584,10.); #126809=VECTOR('',#415587,10.); #126810=VECTOR('',#415588,10.); #126811=VECTOR('',#415589,10.); #126812=VECTOR('',#415592,10.); #126813=VECTOR('',#415593,10.); #126814=VECTOR('',#415594,10.); #126815=VECTOR('',#415597,10.); #126816=VECTOR('',#415598,10.); #126817=VECTOR('',#415599,10.); #126818=VECTOR('',#415602,10.); #126819=VECTOR('',#415603,10.); #126820=VECTOR('',#415604,10.); #126821=VECTOR('',#415607,10.); #126822=VECTOR('',#415608,10.); #126823=VECTOR('',#415609,10.); #126824=VECTOR('',#415612,10.); #126825=VECTOR('',#415613,10.); #126826=VECTOR('',#415614,10.); #126827=VECTOR('',#415617,10.); #126828=VECTOR('',#415618,10.); #126829=VECTOR('',#415619,10.); #126830=VECTOR('',#415622,10.); #126831=VECTOR('',#415623,10.); #126832=VECTOR('',#415624,10.); #126833=VECTOR('',#415627,10.); #126834=VECTOR('',#415628,10.); #126835=VECTOR('',#415629,10.); #126836=VECTOR('',#415632,10.); #126837=VECTOR('',#415633,10.); #126838=VECTOR('',#415634,10.); #126839=VECTOR('',#415637,10.); #126840=VECTOR('',#415638,10.); #126841=VECTOR('',#415639,10.); #126842=VECTOR('',#415642,10.); #126843=VECTOR('',#415643,10.); #126844=VECTOR('',#415644,10.); #126845=VECTOR('',#415647,10.); #126846=VECTOR('',#415648,10.); #126847=VECTOR('',#415649,10.); #126848=VECTOR('',#415652,10.); #126849=VECTOR('',#415653,10.); #126850=VECTOR('',#415654,10.); #126851=VECTOR('',#415657,10.); #126852=VECTOR('',#415658,10.); #126853=VECTOR('',#415659,10.); #126854=VECTOR('',#415662,10.); #126855=VECTOR('',#415663,10.); #126856=VECTOR('',#415664,10.); #126857=VECTOR('',#415667,10.); #126858=VECTOR('',#415668,10.); #126859=VECTOR('',#415669,10.); #126860=VECTOR('',#415672,10.); #126861=VECTOR('',#415673,10.); #126862=VECTOR('',#415674,10.); #126863=VECTOR('',#415677,10.); #126864=VECTOR('',#415678,10.); #126865=VECTOR('',#415679,10.); #126866=VECTOR('',#415682,10.); #126867=VECTOR('',#415683,10.); #126868=VECTOR('',#415684,10.); #126869=VECTOR('',#415687,10.); #126870=VECTOR('',#415688,10.); #126871=VECTOR('',#415689,10.); #126872=VECTOR('',#415692,10.); #126873=VECTOR('',#415693,10.); #126874=VECTOR('',#415694,10.); #126875=VECTOR('',#415697,10.); #126876=VECTOR('',#415698,10.); #126877=VECTOR('',#415699,10.); #126878=VECTOR('',#415702,10.); #126879=VECTOR('',#415703,10.); #126880=VECTOR('',#415704,10.); #126881=VECTOR('',#415707,10.); #126882=VECTOR('',#415708,10.); #126883=VECTOR('',#415709,10.); #126884=VECTOR('',#415712,10.); #126885=VECTOR('',#415713,10.); #126886=VECTOR('',#415714,10.); #126887=VECTOR('',#415717,10.); #126888=VECTOR('',#415718,10.); #126889=VECTOR('',#415719,10.); #126890=VECTOR('',#415722,10.); #126891=VECTOR('',#415723,10.); #126892=VECTOR('',#415724,10.); #126893=VECTOR('',#415727,10.); #126894=VECTOR('',#415728,10.); #126895=VECTOR('',#415729,10.); #126896=VECTOR('',#415736,10.); #126897=VECTOR('',#415739,10.); #126898=VECTOR('',#415740,10.); #126899=VECTOR('',#415741,10.); #126900=VECTOR('',#415748,10.); #126901=VECTOR('',#415751,10.); #126902=VECTOR('',#415752,10.); #126903=VECTOR('',#415753,10.); #126904=VECTOR('',#415756,10.); #126905=VECTOR('',#415757,10.); #126906=VECTOR('',#415758,10.); #126907=VECTOR('',#415761,10.); #126908=VECTOR('',#415762,10.); #126909=VECTOR('',#415763,10.); #126910=VECTOR('',#415766,10.); #126911=VECTOR('',#415767,10.); #126912=VECTOR('',#415768,10.); #126913=VECTOR('',#415771,10.); #126914=VECTOR('',#415772,10.); #126915=VECTOR('',#415773,10.); #126916=VECTOR('',#415776,10.); #126917=VECTOR('',#415777,10.); #126918=VECTOR('',#415778,10.); #126919=VECTOR('',#415781,10.); #126920=VECTOR('',#415782,10.); #126921=VECTOR('',#415783,10.); #126922=VECTOR('',#415786,10.); #126923=VECTOR('',#415787,10.); #126924=VECTOR('',#415788,10.); #126925=VECTOR('',#415795,10.); #126926=VECTOR('',#415798,10.); #126927=VECTOR('',#415799,10.); #126928=VECTOR('',#415800,10.); #126929=VECTOR('',#415803,10.); #126930=VECTOR('',#415804,10.); #126931=VECTOR('',#415805,10.); #126932=VECTOR('',#415812,10.); #126933=VECTOR('',#415815,10.); #126934=VECTOR('',#415816,10.); #126935=VECTOR('',#415817,10.); #126936=VECTOR('',#415820,10.); #126937=VECTOR('',#415821,10.); #126938=VECTOR('',#415822,10.); #126939=VECTOR('',#415825,10.); #126940=VECTOR('',#415826,10.); #126941=VECTOR('',#415827,10.); #126942=VECTOR('',#415830,10.); #126943=VECTOR('',#415831,10.); #126944=VECTOR('',#415832,10.); #126945=VECTOR('',#415835,10.); #126946=VECTOR('',#415836,10.); #126947=VECTOR('',#415837,10.); #126948=VECTOR('',#415840,10.); #126949=VECTOR('',#415841,10.); #126950=VECTOR('',#415842,10.); #126951=VECTOR('',#415845,10.); #126952=VECTOR('',#415846,10.); #126953=VECTOR('',#415847,10.); #126954=VECTOR('',#415850,10.); #126955=VECTOR('',#415851,10.); #126956=VECTOR('',#415852,10.); #126957=VECTOR('',#415855,10.); #126958=VECTOR('',#415856,10.); #126959=VECTOR('',#415857,10.); #126960=VECTOR('',#415860,10.); #126961=VECTOR('',#415861,10.); #126962=VECTOR('',#415862,10.); #126963=VECTOR('',#415865,10.); #126964=VECTOR('',#415866,10.); #126965=VECTOR('',#415867,10.); #126966=VECTOR('',#415870,10.); #126967=VECTOR('',#415871,10.); #126968=VECTOR('',#415872,10.); #126969=VECTOR('',#415875,10.); #126970=VECTOR('',#415876,10.); #126971=VECTOR('',#415877,10.); #126972=VECTOR('',#415880,10.); #126973=VECTOR('',#415881,10.); #126974=VECTOR('',#415882,10.); #126975=VECTOR('',#415885,10.); #126976=VECTOR('',#415886,10.); #126977=VECTOR('',#415887,10.); #126978=VECTOR('',#415890,10.); #126979=VECTOR('',#415891,10.); #126980=VECTOR('',#415892,10.); #126981=VECTOR('',#415895,10.); #126982=VECTOR('',#415896,10.); #126983=VECTOR('',#415897,10.); #126984=VECTOR('',#415900,10.); #126985=VECTOR('',#415901,10.); #126986=VECTOR('',#415902,10.); #126987=VECTOR('',#415905,10.); #126988=VECTOR('',#415906,10.); #126989=VECTOR('',#415907,10.); #126990=VECTOR('',#415910,10.); #126991=VECTOR('',#415911,10.); #126992=VECTOR('',#415912,10.); #126993=VECTOR('',#415915,10.); #126994=VECTOR('',#415916,10.); #126995=VECTOR('',#415917,10.); #126996=VECTOR('',#415920,10.); #126997=VECTOR('',#415921,10.); #126998=VECTOR('',#415922,10.); #126999=VECTOR('',#415925,10.); #127000=VECTOR('',#415926,10.); #127001=VECTOR('',#415927,10.); #127002=VECTOR('',#415930,10.); #127003=VECTOR('',#415931,10.); #127004=VECTOR('',#415932,10.); #127005=VECTOR('',#415935,10.); #127006=VECTOR('',#415936,10.); #127007=VECTOR('',#415937,10.); #127008=VECTOR('',#415940,10.); #127009=VECTOR('',#415941,10.); #127010=VECTOR('',#415942,10.); #127011=VECTOR('',#415945,10.); #127012=VECTOR('',#415946,10.); #127013=VECTOR('',#415947,10.); #127014=VECTOR('',#415950,10.); #127015=VECTOR('',#415951,10.); #127016=VECTOR('',#415952,10.); #127017=VECTOR('',#415955,10.); #127018=VECTOR('',#415956,10.); #127019=VECTOR('',#415957,10.); #127020=VECTOR('',#415960,10.); #127021=VECTOR('',#415961,10.); #127022=VECTOR('',#415962,10.); #127023=VECTOR('',#415965,10.); #127024=VECTOR('',#415966,10.); #127025=VECTOR('',#415967,10.); #127026=VECTOR('',#415970,10.); #127027=VECTOR('',#415971,10.); #127028=VECTOR('',#415972,10.); #127029=VECTOR('',#415975,10.); #127030=VECTOR('',#415976,10.); #127031=VECTOR('',#415977,10.); #127032=VECTOR('',#415980,10.); #127033=VECTOR('',#415981,10.); #127034=VECTOR('',#415982,10.); #127035=VECTOR('',#415985,10.); #127036=VECTOR('',#415986,10.); #127037=VECTOR('',#415987,10.); #127038=VECTOR('',#415990,10.); #127039=VECTOR('',#415991,10.); #127040=VECTOR('',#415992,10.); #127041=VECTOR('',#415995,10.); #127042=VECTOR('',#415996,10.); #127043=VECTOR('',#415997,10.); #127044=VECTOR('',#416000,10.); #127045=VECTOR('',#416001,10.); #127046=VECTOR('',#416002,10.); #127047=VECTOR('',#416005,10.); #127048=VECTOR('',#416006,10.); #127049=VECTOR('',#416007,10.); #127050=VECTOR('',#416010,10.); #127051=VECTOR('',#416011,10.); #127052=VECTOR('',#416012,10.); #127053=VECTOR('',#416015,10.); #127054=VECTOR('',#416016,10.); #127055=VECTOR('',#416017,10.); #127056=VECTOR('',#416020,10.); #127057=VECTOR('',#416021,10.); #127058=VECTOR('',#416022,10.); #127059=VECTOR('',#416025,10.); #127060=VECTOR('',#416026,10.); #127061=VECTOR('',#416027,10.); #127062=VECTOR('',#416030,10.); #127063=VECTOR('',#416031,10.); #127064=VECTOR('',#416032,10.); #127065=VECTOR('',#416035,10.); #127066=VECTOR('',#416036,10.); #127067=VECTOR('',#416037,10.); #127068=VECTOR('',#416040,10.); #127069=VECTOR('',#416041,10.); #127070=VECTOR('',#416042,10.); #127071=VECTOR('',#416045,10.); #127072=VECTOR('',#416046,10.); #127073=VECTOR('',#416047,10.); #127074=VECTOR('',#416050,10.); #127075=VECTOR('',#416051,10.); #127076=VECTOR('',#416052,10.); #127077=VECTOR('',#416055,10.); #127078=VECTOR('',#416056,10.); #127079=VECTOR('',#416057,10.); #127080=VECTOR('',#416060,10.); #127081=VECTOR('',#416061,10.); #127082=VECTOR('',#416062,10.); #127083=VECTOR('',#416065,10.); #127084=VECTOR('',#416066,10.); #127085=VECTOR('',#416067,10.); #127086=VECTOR('',#416070,10.); #127087=VECTOR('',#416071,10.); #127088=VECTOR('',#416072,10.); #127089=VECTOR('',#416075,10.); #127090=VECTOR('',#416076,10.); #127091=VECTOR('',#416077,10.); #127092=VECTOR('',#416080,10.); #127093=VECTOR('',#416081,10.); #127094=VECTOR('',#416082,10.); #127095=VECTOR('',#416085,10.); #127096=VECTOR('',#416086,10.); #127097=VECTOR('',#416087,10.); #127098=VECTOR('',#416090,10.); #127099=VECTOR('',#416091,10.); #127100=VECTOR('',#416092,10.); #127101=VECTOR('',#416095,10.); #127102=VECTOR('',#416096,10.); #127103=VECTOR('',#416097,10.); #127104=VECTOR('',#416100,10.); #127105=VECTOR('',#416101,10.); #127106=VECTOR('',#416102,10.); #127107=VECTOR('',#416105,10.); #127108=VECTOR('',#416106,10.); #127109=VECTOR('',#416107,10.); #127110=VECTOR('',#416110,10.); #127111=VECTOR('',#416111,10.); #127112=VECTOR('',#416112,10.); #127113=VECTOR('',#416115,10.); #127114=VECTOR('',#416116,10.); #127115=VECTOR('',#416117,10.); #127116=VECTOR('',#416120,10.); #127117=VECTOR('',#416121,10.); #127118=VECTOR('',#416122,10.); #127119=VECTOR('',#416125,10.); #127120=VECTOR('',#416126,10.); #127121=VECTOR('',#416127,10.); #127122=VECTOR('',#416130,10.); #127123=VECTOR('',#416131,10.); #127124=VECTOR('',#416132,10.); #127125=VECTOR('',#416135,10.); #127126=VECTOR('',#416136,10.); #127127=VECTOR('',#416137,10.); #127128=VECTOR('',#416140,10.); #127129=VECTOR('',#416141,10.); #127130=VECTOR('',#416142,10.); #127131=VECTOR('',#416145,10.); #127132=VECTOR('',#416146,10.); #127133=VECTOR('',#416147,10.); #127134=VECTOR('',#416150,10.); #127135=VECTOR('',#416151,10.); #127136=VECTOR('',#416152,10.); #127137=VECTOR('',#416155,10.); #127138=VECTOR('',#416156,10.); #127139=VECTOR('',#416157,10.); #127140=VECTOR('',#416160,10.); #127141=VECTOR('',#416161,10.); #127142=VECTOR('',#416162,10.); #127143=VECTOR('',#416165,10.); #127144=VECTOR('',#416166,10.); #127145=VECTOR('',#416167,10.); #127146=VECTOR('',#416170,10.); #127147=VECTOR('',#416171,10.); #127148=VECTOR('',#416172,10.); #127149=VECTOR('',#416175,10.); #127150=VECTOR('',#416176,10.); #127151=VECTOR('',#416177,10.); #127152=VECTOR('',#416180,10.); #127153=VECTOR('',#416181,10.); #127154=VECTOR('',#416182,10.); #127155=VECTOR('',#416185,10.); #127156=VECTOR('',#416186,10.); #127157=VECTOR('',#416187,10.); #127158=VECTOR('',#416190,10.); #127159=VECTOR('',#416191,10.); #127160=VECTOR('',#416192,10.); #127161=VECTOR('',#416195,10.); #127162=VECTOR('',#416196,10.); #127163=VECTOR('',#416197,10.); #127164=VECTOR('',#416200,10.); #127165=VECTOR('',#416201,10.); #127166=VECTOR('',#416202,10.); #127167=VECTOR('',#416205,10.); #127168=VECTOR('',#416206,10.); #127169=VECTOR('',#416207,10.); #127170=VECTOR('',#416210,10.); #127171=VECTOR('',#416211,10.); #127172=VECTOR('',#416212,10.); #127173=VECTOR('',#416215,10.); #127174=VECTOR('',#416216,10.); #127175=VECTOR('',#416217,10.); #127176=VECTOR('',#416220,10.); #127177=VECTOR('',#416221,10.); #127178=VECTOR('',#416222,10.); #127179=VECTOR('',#416225,10.); #127180=VECTOR('',#416226,10.); #127181=VECTOR('',#416227,10.); #127182=VECTOR('',#416230,10.); #127183=VECTOR('',#416231,10.); #127184=VECTOR('',#416238,10.); #127185=VECTOR('',#416239,10.); #127186=VECTOR('',#416240,10.); #127187=VECTOR('',#416241,10.); #127188=VECTOR('',#416244,10.); #127189=VECTOR('',#416245,10.); #127190=VECTOR('',#416246,10.); #127191=VECTOR('',#416249,10.); #127192=VECTOR('',#416250,10.); #127193=VECTOR('',#416251,10.); #127194=VECTOR('',#416254,10.); #127195=VECTOR('',#416255,10.); #127196=VECTOR('',#416256,10.); #127197=VECTOR('',#416259,10.); #127198=VECTOR('',#416260,10.); #127199=VECTOR('',#416261,10.); #127200=VECTOR('',#416264,10.); #127201=VECTOR('',#416265,10.); #127202=VECTOR('',#416266,10.); #127203=VECTOR('',#416269,10.); #127204=VECTOR('',#416270,10.); #127205=VECTOR('',#416271,10.); #127206=VECTOR('',#416274,10.); #127207=VECTOR('',#416275,10.); #127208=VECTOR('',#416276,10.); #127209=VECTOR('',#416279,10.); #127210=VECTOR('',#416280,10.); #127211=VECTOR('',#416281,10.); #127212=VECTOR('',#416284,10.); #127213=VECTOR('',#416285,10.); #127214=VECTOR('',#416286,10.); #127215=VECTOR('',#416289,10.); #127216=VECTOR('',#416290,10.); #127217=VECTOR('',#416291,10.); #127218=VECTOR('',#416294,10.); #127219=VECTOR('',#416295,10.); #127220=VECTOR('',#416296,10.); #127221=VECTOR('',#416299,10.); #127222=VECTOR('',#416300,10.); #127223=VECTOR('',#416301,10.); #127224=VECTOR('',#416304,10.); #127225=VECTOR('',#416305,10.); #127226=VECTOR('',#416306,10.); #127227=VECTOR('',#416309,10.); #127228=VECTOR('',#416310,10.); #127229=VECTOR('',#416311,10.); #127230=VECTOR('',#416314,10.); #127231=VECTOR('',#416315,10.); #127232=VECTOR('',#416316,10.); #127233=VECTOR('',#416319,10.); #127234=VECTOR('',#416320,10.); #127235=VECTOR('',#416321,10.); #127236=VECTOR('',#416324,10.); #127237=VECTOR('',#416325,10.); #127238=VECTOR('',#416326,10.); #127239=VECTOR('',#416329,10.); #127240=VECTOR('',#416330,10.); #127241=VECTOR('',#416331,10.); #127242=VECTOR('',#416334,10.); #127243=VECTOR('',#416335,10.); #127244=VECTOR('',#416342,10.); #127245=VECTOR('',#416343,10.); #127246=VECTOR('',#416344,10.); #127247=VECTOR('',#416345,10.); #127248=VECTOR('',#416348,10.); #127249=VECTOR('',#416349,10.); #127250=VECTOR('',#416350,10.); #127251=VECTOR('',#416353,10.); #127252=VECTOR('',#416354,10.); #127253=VECTOR('',#416355,10.); #127254=VECTOR('',#416358,10.); #127255=VECTOR('',#416359,10.); #127256=VECTOR('',#416360,10.); #127257=VECTOR('',#416363,10.); #127258=VECTOR('',#416364,10.); #127259=VECTOR('',#416365,10.); #127260=VECTOR('',#416368,10.); #127261=VECTOR('',#416369,10.); #127262=VECTOR('',#416370,10.); #127263=VECTOR('',#416373,10.); #127264=VECTOR('',#416374,10.); #127265=VECTOR('',#416375,10.); #127266=VECTOR('',#416378,10.); #127267=VECTOR('',#416379,10.); #127268=VECTOR('',#416380,10.); #127269=VECTOR('',#416383,10.); #127270=VECTOR('',#416384,10.); #127271=VECTOR('',#416385,10.); #127272=VECTOR('',#416388,10.); #127273=VECTOR('',#416389,10.); #127274=VECTOR('',#416390,10.); #127275=VECTOR('',#416393,10.); #127276=VECTOR('',#416394,10.); #127277=VECTOR('',#416395,10.); #127278=VECTOR('',#416398,10.); #127279=VECTOR('',#416399,10.); #127280=VECTOR('',#416400,10.); #127281=VECTOR('',#416403,10.); #127282=VECTOR('',#416404,10.); #127283=VECTOR('',#416405,10.); #127284=VECTOR('',#416408,10.); #127285=VECTOR('',#416409,10.); #127286=VECTOR('',#416410,10.); #127287=VECTOR('',#416413,10.); #127288=VECTOR('',#416414,10.); #127289=VECTOR('',#416415,10.); #127290=VECTOR('',#416418,10.); #127291=VECTOR('',#416419,10.); #127292=VECTOR('',#416420,10.); #127293=VECTOR('',#416423,10.); #127294=VECTOR('',#416424,10.); #127295=VECTOR('',#416425,10.); #127296=VECTOR('',#416428,10.); #127297=VECTOR('',#416429,10.); #127298=VECTOR('',#416430,10.); #127299=VECTOR('',#416433,10.); #127300=VECTOR('',#416434,10.); #127301=VECTOR('',#416435,10.); #127302=VECTOR('',#416438,10.); #127303=VECTOR('',#416439,10.); #127304=VECTOR('',#416446,10.); #127305=VECTOR('',#416447,10.); #127306=VECTOR('',#416448,10.); #127307=VECTOR('',#416449,10.); #127308=VECTOR('',#416452,10.); #127309=VECTOR('',#416453,10.); #127310=VECTOR('',#416454,10.); #127311=VECTOR('',#416457,10.); #127312=VECTOR('',#416458,10.); #127313=VECTOR('',#416459,10.); #127314=VECTOR('',#416462,10.); #127315=VECTOR('',#416463,10.); #127316=VECTOR('',#416464,10.); #127317=VECTOR('',#416467,10.); #127318=VECTOR('',#416468,10.); #127319=VECTOR('',#416469,10.); #127320=VECTOR('',#416472,10.); #127321=VECTOR('',#416473,10.); #127322=VECTOR('',#416474,10.); #127323=VECTOR('',#416477,10.); #127324=VECTOR('',#416478,10.); #127325=VECTOR('',#416479,10.); #127326=VECTOR('',#416482,10.); #127327=VECTOR('',#416483,10.); #127328=VECTOR('',#416484,10.); #127329=VECTOR('',#416487,10.); #127330=VECTOR('',#416488,10.); #127331=VECTOR('',#416489,10.); #127332=VECTOR('',#416492,10.); #127333=VECTOR('',#416493,10.); #127334=VECTOR('',#416494,10.); #127335=VECTOR('',#416497,10.); #127336=VECTOR('',#416498,10.); #127337=VECTOR('',#416499,10.); #127338=VECTOR('',#416502,10.); #127339=VECTOR('',#416503,10.); #127340=VECTOR('',#416504,10.); #127341=VECTOR('',#416507,10.); #127342=VECTOR('',#416508,10.); #127343=VECTOR('',#416509,10.); #127344=VECTOR('',#416512,10.); #127345=VECTOR('',#416513,10.); #127346=VECTOR('',#416514,10.); #127347=VECTOR('',#416517,10.); #127348=VECTOR('',#416518,10.); #127349=VECTOR('',#416519,10.); #127350=VECTOR('',#416522,10.); #127351=VECTOR('',#416523,10.); #127352=VECTOR('',#416524,10.); #127353=VECTOR('',#416527,10.); #127354=VECTOR('',#416528,10.); #127355=VECTOR('',#416529,10.); #127356=VECTOR('',#416532,10.); #127357=VECTOR('',#416533,10.); #127358=VECTOR('',#416534,10.); #127359=VECTOR('',#416537,10.); #127360=VECTOR('',#416538,10.); #127361=VECTOR('',#416539,10.); #127362=VECTOR('',#416542,10.); #127363=VECTOR('',#416543,10.); #127364=VECTOR('',#416550,10.); #127365=VECTOR('',#416551,10.); #127366=VECTOR('',#416552,10.); #127367=VECTOR('',#416553,10.); #127368=VECTOR('',#416556,10.); #127369=VECTOR('',#416557,10.); #127370=VECTOR('',#416558,10.); #127371=VECTOR('',#416561,10.); #127372=VECTOR('',#416562,10.); #127373=VECTOR('',#416563,10.); #127374=VECTOR('',#416566,10.); #127375=VECTOR('',#416567,10.); #127376=VECTOR('',#416568,10.); #127377=VECTOR('',#416571,10.); #127378=VECTOR('',#416572,10.); #127379=VECTOR('',#416573,10.); #127380=VECTOR('',#416576,10.); #127381=VECTOR('',#416577,10.); #127382=VECTOR('',#416578,10.); #127383=VECTOR('',#416581,10.); #127384=VECTOR('',#416582,10.); #127385=VECTOR('',#416583,10.); #127386=VECTOR('',#416586,10.); #127387=VECTOR('',#416587,10.); #127388=VECTOR('',#416588,10.); #127389=VECTOR('',#416591,10.); #127390=VECTOR('',#416592,10.); #127391=VECTOR('',#416593,10.); #127392=VECTOR('',#416596,10.); #127393=VECTOR('',#416597,10.); #127394=VECTOR('',#416598,10.); #127395=VECTOR('',#416601,10.); #127396=VECTOR('',#416602,10.); #127397=VECTOR('',#416603,10.); #127398=VECTOR('',#416606,10.); #127399=VECTOR('',#416607,10.); #127400=VECTOR('',#416608,10.); #127401=VECTOR('',#416611,10.); #127402=VECTOR('',#416612,10.); #127403=VECTOR('',#416613,10.); #127404=VECTOR('',#416616,10.); #127405=VECTOR('',#416617,10.); #127406=VECTOR('',#416618,10.); #127407=VECTOR('',#416621,10.); #127408=VECTOR('',#416622,10.); #127409=VECTOR('',#416623,10.); #127410=VECTOR('',#416626,10.); #127411=VECTOR('',#416627,10.); #127412=VECTOR('',#416628,10.); #127413=VECTOR('',#416631,10.); #127414=VECTOR('',#416632,10.); #127415=VECTOR('',#416633,10.); #127416=VECTOR('',#416636,10.); #127417=VECTOR('',#416637,10.); #127418=VECTOR('',#416638,10.); #127419=VECTOR('',#416641,10.); #127420=VECTOR('',#416642,10.); #127421=VECTOR('',#416643,10.); #127422=VECTOR('',#416646,10.); #127423=VECTOR('',#416647,10.); #127424=VECTOR('',#416648,10.); #127425=VECTOR('',#416651,10.); #127426=VECTOR('',#416652,10.); #127427=VECTOR('',#416653,10.); #127428=VECTOR('',#416656,10.); #127429=VECTOR('',#416657,10.); #127430=VECTOR('',#416658,10.); #127431=VECTOR('',#416661,10.); #127432=VECTOR('',#416662,10.); #127433=VECTOR('',#416669,10.); #127434=VECTOR('',#416670,10.); #127435=VECTOR('',#416671,10.); #127436=VECTOR('',#416672,10.); #127437=VECTOR('',#416675,10.); #127438=VECTOR('',#416676,10.); #127439=VECTOR('',#416677,10.); #127440=VECTOR('',#416680,10.); #127441=VECTOR('',#416681,10.); #127442=VECTOR('',#416682,10.); #127443=VECTOR('',#416685,10.); #127444=VECTOR('',#416686,10.); #127445=VECTOR('',#416687,10.); #127446=VECTOR('',#416690,10.); #127447=VECTOR('',#416691,10.); #127448=VECTOR('',#416692,10.); #127449=VECTOR('',#416695,10.); #127450=VECTOR('',#416696,10.); #127451=VECTOR('',#416697,10.); #127452=VECTOR('',#416700,10.); #127453=VECTOR('',#416701,10.); #127454=VECTOR('',#416702,10.); #127455=VECTOR('',#416705,10.); #127456=VECTOR('',#416706,10.); #127457=VECTOR('',#416707,10.); #127458=VECTOR('',#416710,10.); #127459=VECTOR('',#416711,10.); #127460=VECTOR('',#416712,10.); #127461=VECTOR('',#416715,10.); #127462=VECTOR('',#416716,10.); #127463=VECTOR('',#416717,10.); #127464=VECTOR('',#416720,10.); #127465=VECTOR('',#416721,10.); #127466=VECTOR('',#416722,10.); #127467=VECTOR('',#416725,10.); #127468=VECTOR('',#416726,10.); #127469=VECTOR('',#416727,10.); #127470=VECTOR('',#416730,10.); #127471=VECTOR('',#416731,10.); #127472=VECTOR('',#416732,10.); #127473=VECTOR('',#416735,10.); #127474=VECTOR('',#416736,10.); #127475=VECTOR('',#416737,10.); #127476=VECTOR('',#416740,10.); #127477=VECTOR('',#416741,10.); #127478=VECTOR('',#416742,10.); #127479=VECTOR('',#416745,10.); #127480=VECTOR('',#416746,10.); #127481=VECTOR('',#416747,10.); #127482=VECTOR('',#416750,10.); #127483=VECTOR('',#416751,10.); #127484=VECTOR('',#416752,10.); #127485=VECTOR('',#416755,10.); #127486=VECTOR('',#416756,10.); #127487=VECTOR('',#416757,10.); #127488=VECTOR('',#416760,10.); #127489=VECTOR('',#416761,10.); #127490=VECTOR('',#416762,10.); #127491=VECTOR('',#416765,10.); #127492=VECTOR('',#416766,10.); #127493=VECTOR('',#416773,10.); #127494=VECTOR('',#416774,10.); #127495=VECTOR('',#416775,10.); #127496=VECTOR('',#416776,10.); #127497=VECTOR('',#416779,10.); #127498=VECTOR('',#416780,10.); #127499=VECTOR('',#416781,10.); #127500=VECTOR('',#416784,10.); #127501=VECTOR('',#416785,10.); #127502=VECTOR('',#416786,10.); #127503=VECTOR('',#416789,10.); #127504=VECTOR('',#416790,10.); #127505=VECTOR('',#416791,10.); #127506=VECTOR('',#416794,10.); #127507=VECTOR('',#416795,10.); #127508=VECTOR('',#416796,10.); #127509=VECTOR('',#416799,10.); #127510=VECTOR('',#416800,10.); #127511=VECTOR('',#416801,10.); #127512=VECTOR('',#416804,10.); #127513=VECTOR('',#416805,10.); #127514=VECTOR('',#416806,10.); #127515=VECTOR('',#416809,10.); #127516=VECTOR('',#416810,10.); #127517=VECTOR('',#416811,10.); #127518=VECTOR('',#416814,10.); #127519=VECTOR('',#416815,10.); #127520=VECTOR('',#416816,10.); #127521=VECTOR('',#416819,10.); #127522=VECTOR('',#416820,10.); #127523=VECTOR('',#416821,10.); #127524=VECTOR('',#416824,10.); #127525=VECTOR('',#416825,10.); #127526=VECTOR('',#416826,10.); #127527=VECTOR('',#416829,10.); #127528=VECTOR('',#416830,10.); #127529=VECTOR('',#416831,10.); #127530=VECTOR('',#416834,10.); #127531=VECTOR('',#416835,10.); #127532=VECTOR('',#416836,10.); #127533=VECTOR('',#416839,10.); #127534=VECTOR('',#416840,10.); #127535=VECTOR('',#416841,10.); #127536=VECTOR('',#416844,10.); #127537=VECTOR('',#416845,10.); #127538=VECTOR('',#416846,10.); #127539=VECTOR('',#416849,10.); #127540=VECTOR('',#416850,10.); #127541=VECTOR('',#416851,10.); #127542=VECTOR('',#416854,10.); #127543=VECTOR('',#416855,10.); #127544=VECTOR('',#416856,10.); #127545=VECTOR('',#416859,10.); #127546=VECTOR('',#416860,10.); #127547=VECTOR('',#416861,10.); #127548=VECTOR('',#416864,10.); #127549=VECTOR('',#416865,10.); #127550=VECTOR('',#416866,10.); #127551=VECTOR('',#416869,10.); #127552=VECTOR('',#416870,10.); #127553=VECTOR('',#416871,10.); #127554=VECTOR('',#416874,10.); #127555=VECTOR('',#416875,10.); #127556=VECTOR('',#416884,0.564999999999998); #127557=VECTOR('',#416889,10.); #127558=VECTOR('',#416890,10.); #127559=VECTOR('',#416891,10.); #127560=VECTOR('',#416892,10.); #127561=VECTOR('',#416899,10.); #127562=VECTOR('',#416914,0.565000000000002); #127563=VECTOR('',#416919,10.); #127564=VECTOR('',#416920,10.); #127565=VECTOR('',#416921,10.); #127566=VECTOR('',#416922,10.); #127567=VECTOR('',#416929,10.); #127568=VECTOR('',#416944,0.465); #127569=VECTOR('',#416949,10.); #127570=VECTOR('',#416950,10.); #127571=VECTOR('',#416951,10.); #127572=VECTOR('',#416952,10.); #127573=VECTOR('',#416959,10.); #127574=VECTOR('',#416974,0.514999999999999); #127575=VECTOR('',#416979,10.); #127576=VECTOR('',#416980,10.); #127577=VECTOR('',#416981,10.); #127578=VECTOR('',#416982,10.); #127579=VECTOR('',#416989,10.); #127580=VECTOR('',#417004,0.514999999999999); #127581=VECTOR('',#417009,10.); #127582=VECTOR('',#417010,10.); #127583=VECTOR('',#417011,10.); #127584=VECTOR('',#417012,10.); #127585=VECTOR('',#417015,10.); #127586=VECTOR('',#417016,10.); #127587=VECTOR('',#417017,10.); #127588=VECTOR('',#417020,10.); #127589=VECTOR('',#417021,10.); #127590=VECTOR('',#417022,10.); #127591=VECTOR('',#417025,10.); #127592=VECTOR('',#417026,10.); #127593=VECTOR('',#417035,0.465); #127594=VECTOR('',#417040,10.); #127595=VECTOR('',#417041,10.); #127596=VECTOR('',#417042,10.); #127597=VECTOR('',#417043,10.); #127598=VECTOR('',#417050,10.); #127599=VECTOR('',#417065,0.465); #127600=VECTOR('',#417070,10.); #127601=VECTOR('',#417071,10.); #127602=VECTOR('',#417072,10.); #127603=VECTOR('',#417073,10.); #127604=VECTOR('',#417080,10.); #127605=VECTOR('',#417095,0.564999999999998); #127606=VECTOR('',#417100,10.); #127607=VECTOR('',#417101,10.); #127608=VECTOR('',#417102,10.); #127609=VECTOR('',#417103,10.); #127610=VECTOR('',#417110,10.); #127611=VECTOR('',#417125,0.465); #127612=VECTOR('',#417130,10.); #127613=VECTOR('',#417131,10.); #127614=VECTOR('',#417132,10.); #127615=VECTOR('',#417133,10.); #127616=VECTOR('',#417140,10.); #127617=VECTOR('',#417153,10.); #127618=VECTOR('',#417154,10.); #127619=VECTOR('',#417155,10.); #127620=VECTOR('',#417156,10.); #127621=VECTOR('',#417163,10.); #127622=VECTOR('',#417166,10.); #127623=VECTOR('',#417167,10.); #127624=VECTOR('',#417168,10.); #127625=VECTOR('',#417177,10.); #127626=VECTOR('',#417178,10.); #127627=VECTOR('',#417179,10.); #127628=VECTOR('',#417180,10.); #127629=VECTOR('',#417183,10.); #127630=VECTOR('',#417184,10.); #127631=VECTOR('',#417185,10.); #127632=VECTOR('',#417188,10.); #127633=VECTOR('',#417189,10.); #127634=VECTOR('',#417190,10.); #127635=VECTOR('',#417193,10.); #127636=VECTOR('',#417194,10.); #127637=VECTOR('',#417195,10.); #127638=VECTOR('',#417198,10.); #127639=VECTOR('',#417199,10.); #127640=VECTOR('',#417200,10.); #127641=VECTOR('',#417203,10.); #127642=VECTOR('',#417204,10.); #127643=VECTOR('',#417205,10.); #127644=VECTOR('',#417208,10.); #127645=VECTOR('',#417209,10.); #127646=VECTOR('',#417210,10.); #127647=VECTOR('',#417213,10.); #127648=VECTOR('',#417214,10.); #127649=VECTOR('',#417215,10.); #127650=VECTOR('',#417218,10.); #127651=VECTOR('',#417219,10.); #127652=VECTOR('',#417220,10.); #127653=VECTOR('',#417223,10.); #127654=VECTOR('',#417224,10.); #127655=VECTOR('',#417225,10.); #127656=VECTOR('',#417228,10.); #127657=VECTOR('',#417229,10.); #127658=VECTOR('',#417230,10.); #127659=VECTOR('',#417233,10.); #127660=VECTOR('',#417234,10.); #127661=VECTOR('',#417235,10.); #127662=VECTOR('',#417238,10.); #127663=VECTOR('',#417239,10.); #127664=VECTOR('',#417240,10.); #127665=VECTOR('',#417243,10.); #127666=VECTOR('',#417244,10.); #127667=VECTOR('',#417245,10.); #127668=VECTOR('',#417248,10.); #127669=VECTOR('',#417249,10.); #127670=VECTOR('',#417250,10.); #127671=VECTOR('',#417253,10.); #127672=VECTOR('',#417254,10.); #127673=VECTOR('',#417255,10.); #127674=VECTOR('',#417258,10.); #127675=VECTOR('',#417259,10.); #127676=VECTOR('',#417260,10.); #127677=VECTOR('',#417263,10.); #127678=VECTOR('',#417264,10.); #127679=VECTOR('',#417265,10.); #127680=VECTOR('',#417268,10.); #127681=VECTOR('',#417269,10.); #127682=VECTOR('',#417270,10.); #127683=VECTOR('',#417273,10.); #127684=VECTOR('',#417274,10.); #127685=VECTOR('',#417275,10.); #127686=VECTOR('',#417278,10.); #127687=VECTOR('',#417279,10.); #127688=VECTOR('',#417280,10.); #127689=VECTOR('',#417283,10.); #127690=VECTOR('',#417284,10.); #127691=VECTOR('',#417285,10.); #127692=VECTOR('',#417288,10.); #127693=VECTOR('',#417289,10.); #127694=VECTOR('',#417290,10.); #127695=VECTOR('',#417293,10.); #127696=VECTOR('',#417294,10.); #127697=VECTOR('',#417295,10.); #127698=VECTOR('',#417298,10.); #127699=VECTOR('',#417299,10.); #127700=VECTOR('',#417300,10.); #127701=VECTOR('',#417303,10.); #127702=VECTOR('',#417304,10.); #127703=VECTOR('',#417305,10.); #127704=VECTOR('',#417308,10.); #127705=VECTOR('',#417309,10.); #127706=VECTOR('',#417310,10.); #127707=VECTOR('',#417313,10.); #127708=VECTOR('',#417314,10.); #127709=VECTOR('',#417315,10.); #127710=VECTOR('',#417318,10.); #127711=VECTOR('',#417319,10.); #127712=VECTOR('',#417320,10.); #127713=VECTOR('',#417323,10.); #127714=VECTOR('',#417324,10.); #127715=VECTOR('',#417325,10.); #127716=VECTOR('',#417328,10.); #127717=VECTOR('',#417329,10.); #127718=VECTOR('',#417330,10.); #127719=VECTOR('',#417333,10.); #127720=VECTOR('',#417334,10.); #127721=VECTOR('',#417335,10.); #127722=VECTOR('',#417338,10.); #127723=VECTOR('',#417339,10.); #127724=VECTOR('',#417340,10.); #127725=VECTOR('',#417343,10.); #127726=VECTOR('',#417344,10.); #127727=VECTOR('',#417345,10.); #127728=VECTOR('',#417348,10.); #127729=VECTOR('',#417349,10.); #127730=VECTOR('',#417350,10.); #127731=VECTOR('',#417353,10.); #127732=VECTOR('',#417354,10.); #127733=VECTOR('',#417355,10.); #127734=VECTOR('',#417358,10.); #127735=VECTOR('',#417359,10.); #127736=VECTOR('',#417360,10.); #127737=VECTOR('',#417363,10.); #127738=VECTOR('',#417364,10.); #127739=VECTOR('',#417365,10.); #127740=VECTOR('',#417368,10.); #127741=VECTOR('',#417369,10.); #127742=VECTOR('',#417370,10.); #127743=VECTOR('',#417373,10.); #127744=VECTOR('',#417374,10.); #127745=VECTOR('',#417375,10.); #127746=VECTOR('',#417378,10.); #127747=VECTOR('',#417379,10.); #127748=VECTOR('',#417380,10.); #127749=VECTOR('',#417383,10.); #127750=VECTOR('',#417384,10.); #127751=VECTOR('',#417385,10.); #127752=VECTOR('',#417388,10.); #127753=VECTOR('',#417389,10.); #127754=VECTOR('',#417390,10.); #127755=VECTOR('',#417393,10.); #127756=VECTOR('',#417394,10.); #127757=VECTOR('',#417395,10.); #127758=VECTOR('',#417398,10.); #127759=VECTOR('',#417399,10.); #127760=VECTOR('',#417400,10.); #127761=VECTOR('',#417403,10.); #127762=VECTOR('',#417404,10.); #127763=VECTOR('',#417405,10.); #127764=VECTOR('',#417408,10.); #127765=VECTOR('',#417409,10.); #127766=VECTOR('',#417410,10.); #127767=VECTOR('',#417413,10.); #127768=VECTOR('',#417414,10.); #127769=VECTOR('',#417415,10.); #127770=VECTOR('',#417418,10.); #127771=VECTOR('',#417419,10.); #127772=VECTOR('',#417420,10.); #127773=VECTOR('',#417423,10.); #127774=VECTOR('',#417424,10.); #127775=VECTOR('',#417425,10.); #127776=VECTOR('',#417428,10.); #127777=VECTOR('',#417429,10.); #127778=VECTOR('',#417430,10.); #127779=VECTOR('',#417433,10.); #127780=VECTOR('',#417434,10.); #127781=VECTOR('',#417435,10.); #127782=VECTOR('',#417438,10.); #127783=VECTOR('',#417439,10.); #127784=VECTOR('',#417440,10.); #127785=VECTOR('',#417443,10.); #127786=VECTOR('',#417444,10.); #127787=VECTOR('',#417445,10.); #127788=VECTOR('',#417448,10.); #127789=VECTOR('',#417449,10.); #127790=VECTOR('',#417450,10.); #127791=VECTOR('',#417453,10.); #127792=VECTOR('',#417454,10.); #127793=VECTOR('',#417461,10.); #127794=VECTOR('',#417462,10.); #127795=VECTOR('',#417463,10.); #127796=VECTOR('',#417464,10.); #127797=VECTOR('',#417471,10.); #127798=VECTOR('',#417474,10.); #127799=VECTOR('',#417475,10.); #127800=VECTOR('',#417476,10.); #127801=VECTOR('',#417485,10.); #127802=VECTOR('',#417486,10.); #127803=VECTOR('',#417487,10.); #127804=VECTOR('',#417488,10.); #127805=VECTOR('',#417491,10.); #127806=VECTOR('',#417492,10.); #127807=VECTOR('',#417493,10.); #127808=VECTOR('',#417496,10.); #127809=VECTOR('',#417497,10.); #127810=VECTOR('',#417498,10.); #127811=VECTOR('',#417501,10.); #127812=VECTOR('',#417502,10.); #127813=VECTOR('',#417503,10.); #127814=VECTOR('',#417506,10.); #127815=VECTOR('',#417507,10.); #127816=VECTOR('',#417508,10.); #127817=VECTOR('',#417511,10.); #127818=VECTOR('',#417512,10.); #127819=VECTOR('',#417513,10.); #127820=VECTOR('',#417516,10.); #127821=VECTOR('',#417517,10.); #127822=VECTOR('',#417518,10.); #127823=VECTOR('',#417521,10.); #127824=VECTOR('',#417522,10.); #127825=VECTOR('',#417523,10.); #127826=VECTOR('',#417526,10.); #127827=VECTOR('',#417527,10.); #127828=VECTOR('',#417528,10.); #127829=VECTOR('',#417531,10.); #127830=VECTOR('',#417532,10.); #127831=VECTOR('',#417533,10.); #127832=VECTOR('',#417536,10.); #127833=VECTOR('',#417537,10.); #127834=VECTOR('',#417538,10.); #127835=VECTOR('',#417541,10.); #127836=VECTOR('',#417542,10.); #127837=VECTOR('',#417543,10.); #127838=VECTOR('',#417546,10.); #127839=VECTOR('',#417547,10.); #127840=VECTOR('',#417548,10.); #127841=VECTOR('',#417551,10.); #127842=VECTOR('',#417552,10.); #127843=VECTOR('',#417553,10.); #127844=VECTOR('',#417556,10.); #127845=VECTOR('',#417557,10.); #127846=VECTOR('',#417558,10.); #127847=VECTOR('',#417561,10.); #127848=VECTOR('',#417562,10.); #127849=VECTOR('',#417563,10.); #127850=VECTOR('',#417566,10.); #127851=VECTOR('',#417567,10.); #127852=VECTOR('',#417568,10.); #127853=VECTOR('',#417571,10.); #127854=VECTOR('',#417572,10.); #127855=VECTOR('',#417573,10.); #127856=VECTOR('',#417576,10.); #127857=VECTOR('',#417577,10.); #127858=VECTOR('',#417578,10.); #127859=VECTOR('',#417581,10.); #127860=VECTOR('',#417582,10.); #127861=VECTOR('',#417583,10.); #127862=VECTOR('',#417586,10.); #127863=VECTOR('',#417587,10.); #127864=VECTOR('',#417588,10.); #127865=VECTOR('',#417591,10.); #127866=VECTOR('',#417592,10.); #127867=VECTOR('',#417593,10.); #127868=VECTOR('',#417596,10.); #127869=VECTOR('',#417597,10.); #127870=VECTOR('',#417598,10.); #127871=VECTOR('',#417601,10.); #127872=VECTOR('',#417602,10.); #127873=VECTOR('',#417603,10.); #127874=VECTOR('',#417606,10.); #127875=VECTOR('',#417607,10.); #127876=VECTOR('',#417608,10.); #127877=VECTOR('',#417611,10.); #127878=VECTOR('',#417612,10.); #127879=VECTOR('',#417613,10.); #127880=VECTOR('',#417616,10.); #127881=VECTOR('',#417617,10.); #127882=VECTOR('',#417618,10.); #127883=VECTOR('',#417621,10.); #127884=VECTOR('',#417622,10.); #127885=VECTOR('',#417623,10.); #127886=VECTOR('',#417626,10.); #127887=VECTOR('',#417627,10.); #127888=VECTOR('',#417628,10.); #127889=VECTOR('',#417631,10.); #127890=VECTOR('',#417632,10.); #127891=VECTOR('',#417633,10.); #127892=VECTOR('',#417636,10.); #127893=VECTOR('',#417637,10.); #127894=VECTOR('',#417638,10.); #127895=VECTOR('',#417641,10.); #127896=VECTOR('',#417642,10.); #127897=VECTOR('',#417643,10.); #127898=VECTOR('',#417646,10.); #127899=VECTOR('',#417647,10.); #127900=VECTOR('',#417648,10.); #127901=VECTOR('',#417651,10.); #127902=VECTOR('',#417652,10.); #127903=VECTOR('',#417653,10.); #127904=VECTOR('',#417656,10.); #127905=VECTOR('',#417657,10.); #127906=VECTOR('',#417658,10.); #127907=VECTOR('',#417661,10.); #127908=VECTOR('',#417662,10.); #127909=VECTOR('',#417663,10.); #127910=VECTOR('',#417666,10.); #127911=VECTOR('',#417667,10.); #127912=VECTOR('',#417668,10.); #127913=VECTOR('',#417671,10.); #127914=VECTOR('',#417672,10.); #127915=VECTOR('',#417673,10.); #127916=VECTOR('',#417676,10.); #127917=VECTOR('',#417677,10.); #127918=VECTOR('',#417678,10.); #127919=VECTOR('',#417681,10.); #127920=VECTOR('',#417682,10.); #127921=VECTOR('',#417683,10.); #127922=VECTOR('',#417686,10.); #127923=VECTOR('',#417687,10.); #127924=VECTOR('',#417688,10.); #127925=VECTOR('',#417691,10.); #127926=VECTOR('',#417692,10.); #127927=VECTOR('',#417693,10.); #127928=VECTOR('',#417696,10.); #127929=VECTOR('',#417697,10.); #127930=VECTOR('',#417698,10.); #127931=VECTOR('',#417701,10.); #127932=VECTOR('',#417702,10.); #127933=VECTOR('',#417703,10.); #127934=VECTOR('',#417706,10.); #127935=VECTOR('',#417707,10.); #127936=VECTOR('',#417708,10.); #127937=VECTOR('',#417711,10.); #127938=VECTOR('',#417712,10.); #127939=VECTOR('',#417713,10.); #127940=VECTOR('',#417716,10.); #127941=VECTOR('',#417717,10.); #127942=VECTOR('',#417718,10.); #127943=VECTOR('',#417721,10.); #127944=VECTOR('',#417722,10.); #127945=VECTOR('',#417723,10.); #127946=VECTOR('',#417726,10.); #127947=VECTOR('',#417727,10.); #127948=VECTOR('',#417728,10.); #127949=VECTOR('',#417731,10.); #127950=VECTOR('',#417732,10.); #127951=VECTOR('',#417733,10.); #127952=VECTOR('',#417736,10.); #127953=VECTOR('',#417737,10.); #127954=VECTOR('',#417738,10.); #127955=VECTOR('',#417741,10.); #127956=VECTOR('',#417742,10.); #127957=VECTOR('',#417743,10.); #127958=VECTOR('',#417746,10.); #127959=VECTOR('',#417747,10.); #127960=VECTOR('',#417748,10.); #127961=VECTOR('',#417751,10.); #127962=VECTOR('',#417752,10.); #127963=VECTOR('',#417753,10.); #127964=VECTOR('',#417756,10.); #127965=VECTOR('',#417757,10.); #127966=VECTOR('',#417758,10.); #127967=VECTOR('',#417761,10.); #127968=VECTOR('',#417762,10.); #127969=VECTOR('',#417763,10.); #127970=VECTOR('',#417766,10.); #127971=VECTOR('',#417767,10.); #127972=VECTOR('',#417768,10.); #127973=VECTOR('',#417771,10.); #127974=VECTOR('',#417772,10.); #127975=VECTOR('',#417773,10.); #127976=VECTOR('',#417776,10.); #127977=VECTOR('',#417777,10.); #127978=VECTOR('',#417778,10.); #127979=VECTOR('',#417781,10.); #127980=VECTOR('',#417782,10.); #127981=VECTOR('',#417783,10.); #127982=VECTOR('',#417786,10.); #127983=VECTOR('',#417787,10.); #127984=VECTOR('',#417788,10.); #127985=VECTOR('',#417791,10.); #127986=VECTOR('',#417792,10.); #127987=VECTOR('',#417793,10.); #127988=VECTOR('',#417796,10.); #127989=VECTOR('',#417797,10.); #127990=VECTOR('',#417798,10.); #127991=VECTOR('',#417801,10.); #127992=VECTOR('',#417802,10.); #127993=VECTOR('',#417803,10.); #127994=VECTOR('',#417806,10.); #127995=VECTOR('',#417807,10.); #127996=VECTOR('',#417808,10.); #127997=VECTOR('',#417811,10.); #127998=VECTOR('',#417812,10.); #127999=VECTOR('',#417813,10.); #128000=VECTOR('',#417816,10.); #128001=VECTOR('',#417817,10.); #128002=VECTOR('',#417818,10.); #128003=VECTOR('',#417821,10.); #128004=VECTOR('',#417822,10.); #128005=VECTOR('',#417823,10.); #128006=VECTOR('',#417826,10.); #128007=VECTOR('',#417827,10.); #128008=VECTOR('',#417828,10.); #128009=VECTOR('',#417831,10.); #128010=VECTOR('',#417832,10.); #128011=VECTOR('',#417833,10.); #128012=VECTOR('',#417836,10.); #128013=VECTOR('',#417837,10.); #128014=VECTOR('',#417838,10.); #128015=VECTOR('',#417841,10.); #128016=VECTOR('',#417842,10.); #128017=VECTOR('',#417849,10.); #128018=VECTOR('',#417850,10.); #128019=VECTOR('',#417851,10.); #128020=VECTOR('',#417852,10.); #128021=VECTOR('',#417859,10.); #128022=VECTOR('',#417862,10.); #128023=VECTOR('',#417863,10.); #128024=VECTOR('',#417864,10.); #128025=VECTOR('',#417873,10.); #128026=VECTOR('',#417874,10.); #128027=VECTOR('',#417875,10.); #128028=VECTOR('',#417876,10.); #128029=VECTOR('',#417879,10.); #128030=VECTOR('',#417880,10.); #128031=VECTOR('',#417881,10.); #128032=VECTOR('',#417884,10.); #128033=VECTOR('',#417885,10.); #128034=VECTOR('',#417886,10.); #128035=VECTOR('',#417889,10.); #128036=VECTOR('',#417890,10.); #128037=VECTOR('',#417891,10.); #128038=VECTOR('',#417894,10.); #128039=VECTOR('',#417895,10.); #128040=VECTOR('',#417896,10.); #128041=VECTOR('',#417899,10.); #128042=VECTOR('',#417900,10.); #128043=VECTOR('',#417901,10.); #128044=VECTOR('',#417904,10.); #128045=VECTOR('',#417905,10.); #128046=VECTOR('',#417906,10.); #128047=VECTOR('',#417909,10.); #128048=VECTOR('',#417910,10.); #128049=VECTOR('',#417911,10.); #128050=VECTOR('',#417914,10.); #128051=VECTOR('',#417915,10.); #128052=VECTOR('',#417916,10.); #128053=VECTOR('',#417919,10.); #128054=VECTOR('',#417920,10.); #128055=VECTOR('',#417921,10.); #128056=VECTOR('',#417924,10.); #128057=VECTOR('',#417925,10.); #128058=VECTOR('',#417926,10.); #128059=VECTOR('',#417929,10.); #128060=VECTOR('',#417930,10.); #128061=VECTOR('',#417931,10.); #128062=VECTOR('',#417934,10.); #128063=VECTOR('',#417935,10.); #128064=VECTOR('',#417936,10.); #128065=VECTOR('',#417939,10.); #128066=VECTOR('',#417940,10.); #128067=VECTOR('',#417941,10.); #128068=VECTOR('',#417944,10.); #128069=VECTOR('',#417945,10.); #128070=VECTOR('',#417946,10.); #128071=VECTOR('',#417949,10.); #128072=VECTOR('',#417950,10.); #128073=VECTOR('',#417951,10.); #128074=VECTOR('',#417954,10.); #128075=VECTOR('',#417955,10.); #128076=VECTOR('',#417956,10.); #128077=VECTOR('',#417959,10.); #128078=VECTOR('',#417960,10.); #128079=VECTOR('',#417961,10.); #128080=VECTOR('',#417964,10.); #128081=VECTOR('',#417965,10.); #128082=VECTOR('',#417966,10.); #128083=VECTOR('',#417969,10.); #128084=VECTOR('',#417970,10.); #128085=VECTOR('',#417971,10.); #128086=VECTOR('',#417974,10.); #128087=VECTOR('',#417975,10.); #128088=VECTOR('',#417976,10.); #128089=VECTOR('',#417979,10.); #128090=VECTOR('',#417980,10.); #128091=VECTOR('',#417981,10.); #128092=VECTOR('',#417984,10.); #128093=VECTOR('',#417985,10.); #128094=VECTOR('',#417986,10.); #128095=VECTOR('',#417989,10.); #128096=VECTOR('',#417990,10.); #128097=VECTOR('',#417991,10.); #128098=VECTOR('',#417994,10.); #128099=VECTOR('',#417995,10.); #128100=VECTOR('',#417996,10.); #128101=VECTOR('',#417999,10.); #128102=VECTOR('',#418000,10.); #128103=VECTOR('',#418001,10.); #128104=VECTOR('',#418004,10.); #128105=VECTOR('',#418005,10.); #128106=VECTOR('',#418006,10.); #128107=VECTOR('',#418009,10.); #128108=VECTOR('',#418010,10.); #128109=VECTOR('',#418011,10.); #128110=VECTOR('',#418014,10.); #128111=VECTOR('',#418015,10.); #128112=VECTOR('',#418016,10.); #128113=VECTOR('',#418019,10.); #128114=VECTOR('',#418020,10.); #128115=VECTOR('',#418021,10.); #128116=VECTOR('',#418024,10.); #128117=VECTOR('',#418025,10.); #128118=VECTOR('',#418026,10.); #128119=VECTOR('',#418029,10.); #128120=VECTOR('',#418030,10.); #128121=VECTOR('',#418031,10.); #128122=VECTOR('',#418034,10.); #128123=VECTOR('',#418035,10.); #128124=VECTOR('',#418036,10.); #128125=VECTOR('',#418039,10.); #128126=VECTOR('',#418040,10.); #128127=VECTOR('',#418041,10.); #128128=VECTOR('',#418044,10.); #128129=VECTOR('',#418045,10.); #128130=VECTOR('',#418046,10.); #128131=VECTOR('',#418049,10.); #128132=VECTOR('',#418050,10.); #128133=VECTOR('',#418051,10.); #128134=VECTOR('',#418054,10.); #128135=VECTOR('',#418055,10.); #128136=VECTOR('',#418056,10.); #128137=VECTOR('',#418059,10.); #128138=VECTOR('',#418060,10.); #128139=VECTOR('',#418061,10.); #128140=VECTOR('',#418064,10.); #128141=VECTOR('',#418065,10.); #128142=VECTOR('',#418066,10.); #128143=VECTOR('',#418069,10.); #128144=VECTOR('',#418070,10.); #128145=VECTOR('',#418071,10.); #128146=VECTOR('',#418074,10.); #128147=VECTOR('',#418075,10.); #128148=VECTOR('',#418076,10.); #128149=VECTOR('',#418079,10.); #128150=VECTOR('',#418080,10.); #128151=VECTOR('',#418081,10.); #128152=VECTOR('',#418084,10.); #128153=VECTOR('',#418085,10.); #128154=VECTOR('',#418086,10.); #128155=VECTOR('',#418089,10.); #128156=VECTOR('',#418090,10.); #128157=VECTOR('',#418091,10.); #128158=VECTOR('',#418094,10.); #128159=VECTOR('',#418095,10.); #128160=VECTOR('',#418096,10.); #128161=VECTOR('',#418099,10.); #128162=VECTOR('',#418100,10.); #128163=VECTOR('',#418101,10.); #128164=VECTOR('',#418104,10.); #128165=VECTOR('',#418105,10.); #128166=VECTOR('',#418106,10.); #128167=VECTOR('',#418109,10.); #128168=VECTOR('',#418110,10.); #128169=VECTOR('',#418111,10.); #128170=VECTOR('',#418114,10.); #128171=VECTOR('',#418115,10.); #128172=VECTOR('',#418116,10.); #128173=VECTOR('',#418119,10.); #128174=VECTOR('',#418120,10.); #128175=VECTOR('',#418121,10.); #128176=VECTOR('',#418124,10.); #128177=VECTOR('',#418125,10.); #128178=VECTOR('',#418126,10.); #128179=VECTOR('',#418129,10.); #128180=VECTOR('',#418130,10.); #128181=VECTOR('',#418131,10.); #128182=VECTOR('',#418134,10.); #128183=VECTOR('',#418135,10.); #128184=VECTOR('',#418136,10.); #128185=VECTOR('',#418139,10.); #128186=VECTOR('',#418140,10.); #128187=VECTOR('',#418141,10.); #128188=VECTOR('',#418144,10.); #128189=VECTOR('',#418145,10.); #128190=VECTOR('',#418146,10.); #128191=VECTOR('',#418149,10.); #128192=VECTOR('',#418150,10.); #128193=VECTOR('',#418159,0.514999999999999); #128194=VECTOR('',#418164,10.); #128195=VECTOR('',#418165,10.); #128196=VECTOR('',#418166,10.); #128197=VECTOR('',#418167,10.); #128198=VECTOR('',#418170,10.); #128199=VECTOR('',#418171,10.); #128200=VECTOR('',#418172,10.); #128201=VECTOR('',#418175,10.); #128202=VECTOR('',#418176,10.); #128203=VECTOR('',#418177,10.); #128204=VECTOR('',#418180,10.); #128205=VECTOR('',#418181,10.); #128206=VECTOR('',#418188,10.); #128207=VECTOR('',#418189,10.); #128208=VECTOR('',#418190,10.); #128209=VECTOR('',#418191,10.); #128210=VECTOR('',#418198,10.); #128211=VECTOR('',#418201,10.); #128212=VECTOR('',#418202,10.); #128213=VECTOR('',#418203,10.); #128214=VECTOR('',#418212,10.); #128215=VECTOR('',#418213,10.); #128216=VECTOR('',#418214,10.); #128217=VECTOR('',#418215,10.); #128218=VECTOR('',#418218,10.); #128219=VECTOR('',#418219,10.); #128220=VECTOR('',#418220,10.); #128221=VECTOR('',#418223,10.); #128222=VECTOR('',#418224,10.); #128223=VECTOR('',#418225,10.); #128224=VECTOR('',#418228,10.); #128225=VECTOR('',#418229,10.); #128226=VECTOR('',#418230,10.); #128227=VECTOR('',#418233,10.); #128228=VECTOR('',#418234,10.); #128229=VECTOR('',#418235,10.); #128230=VECTOR('',#418238,10.); #128231=VECTOR('',#418239,10.); #128232=VECTOR('',#418240,10.); #128233=VECTOR('',#418243,10.); #128234=VECTOR('',#418244,10.); #128235=VECTOR('',#418245,10.); #128236=VECTOR('',#418248,10.); #128237=VECTOR('',#418249,10.); #128238=VECTOR('',#418250,10.); #128239=VECTOR('',#418253,10.); #128240=VECTOR('',#418254,10.); #128241=VECTOR('',#418255,10.); #128242=VECTOR('',#418258,10.); #128243=VECTOR('',#418259,10.); #128244=VECTOR('',#418260,10.); #128245=VECTOR('',#418263,10.); #128246=VECTOR('',#418264,10.); #128247=VECTOR('',#418265,10.); #128248=VECTOR('',#418268,10.); #128249=VECTOR('',#418269,10.); #128250=VECTOR('',#418270,10.); #128251=VECTOR('',#418273,10.); #128252=VECTOR('',#418274,10.); #128253=VECTOR('',#418275,10.); #128254=VECTOR('',#418278,10.); #128255=VECTOR('',#418279,10.); #128256=VECTOR('',#418280,10.); #128257=VECTOR('',#418283,10.); #128258=VECTOR('',#418284,10.); #128259=VECTOR('',#418285,10.); #128260=VECTOR('',#418288,10.); #128261=VECTOR('',#418289,10.); #128262=VECTOR('',#418290,10.); #128263=VECTOR('',#418293,10.); #128264=VECTOR('',#418294,10.); #128265=VECTOR('',#418295,10.); #128266=VECTOR('',#418298,10.); #128267=VECTOR('',#418299,10.); #128268=VECTOR('',#418300,10.); #128269=VECTOR('',#418303,10.); #128270=VECTOR('',#418304,10.); #128271=VECTOR('',#418305,10.); #128272=VECTOR('',#418308,10.); #128273=VECTOR('',#418309,10.); #128274=VECTOR('',#418310,10.); #128275=VECTOR('',#418313,10.); #128276=VECTOR('',#418314,10.); #128277=VECTOR('',#418315,10.); #128278=VECTOR('',#418318,10.); #128279=VECTOR('',#418319,10.); #128280=VECTOR('',#418320,10.); #128281=VECTOR('',#418323,10.); #128282=VECTOR('',#418324,10.); #128283=VECTOR('',#418325,10.); #128284=VECTOR('',#418328,10.); #128285=VECTOR('',#418329,10.); #128286=VECTOR('',#418330,10.); #128287=VECTOR('',#418333,10.); #128288=VECTOR('',#418334,10.); #128289=VECTOR('',#418335,10.); #128290=VECTOR('',#418338,10.); #128291=VECTOR('',#418339,10.); #128292=VECTOR('',#418340,10.); #128293=VECTOR('',#418343,10.); #128294=VECTOR('',#418344,10.); #128295=VECTOR('',#418345,10.); #128296=VECTOR('',#418348,10.); #128297=VECTOR('',#418349,10.); #128298=VECTOR('',#418350,10.); #128299=VECTOR('',#418353,10.); #128300=VECTOR('',#418354,10.); #128301=VECTOR('',#418355,10.); #128302=VECTOR('',#418358,10.); #128303=VECTOR('',#418359,10.); #128304=VECTOR('',#418360,10.); #128305=VECTOR('',#418363,10.); #128306=VECTOR('',#418364,10.); #128307=VECTOR('',#418365,10.); #128308=VECTOR('',#418368,10.); #128309=VECTOR('',#418369,10.); #128310=VECTOR('',#418370,10.); #128311=VECTOR('',#418373,10.); #128312=VECTOR('',#418374,10.); #128313=VECTOR('',#418375,10.); #128314=VECTOR('',#418378,10.); #128315=VECTOR('',#418379,10.); #128316=VECTOR('',#418380,10.); #128317=VECTOR('',#418383,10.); #128318=VECTOR('',#418384,10.); #128319=VECTOR('',#418385,10.); #128320=VECTOR('',#418388,10.); #128321=VECTOR('',#418389,10.); #128322=VECTOR('',#418390,10.); #128323=VECTOR('',#418393,10.); #128324=VECTOR('',#418394,10.); #128325=VECTOR('',#418395,10.); #128326=VECTOR('',#418398,10.); #128327=VECTOR('',#418399,10.); #128328=VECTOR('',#418400,10.); #128329=VECTOR('',#418403,10.); #128330=VECTOR('',#418404,10.); #128331=VECTOR('',#418405,10.); #128332=VECTOR('',#418408,10.); #128333=VECTOR('',#418409,10.); #128334=VECTOR('',#418410,10.); #128335=VECTOR('',#418413,10.); #128336=VECTOR('',#418414,10.); #128337=VECTOR('',#418415,10.); #128338=VECTOR('',#418418,10.); #128339=VECTOR('',#418419,10.); #128340=VECTOR('',#418420,10.); #128341=VECTOR('',#418423,10.); #128342=VECTOR('',#418424,10.); #128343=VECTOR('',#418425,10.); #128344=VECTOR('',#418428,10.); #128345=VECTOR('',#418429,10.); #128346=VECTOR('',#418430,10.); #128347=VECTOR('',#418433,10.); #128348=VECTOR('',#418434,10.); #128349=VECTOR('',#418435,10.); #128350=VECTOR('',#418438,10.); #128351=VECTOR('',#418439,10.); #128352=VECTOR('',#418440,10.); #128353=VECTOR('',#418443,10.); #128354=VECTOR('',#418444,10.); #128355=VECTOR('',#418445,10.); #128356=VECTOR('',#418448,10.); #128357=VECTOR('',#418449,10.); #128358=VECTOR('',#418450,10.); #128359=VECTOR('',#418453,10.); #128360=VECTOR('',#418454,10.); #128361=VECTOR('',#418455,10.); #128362=VECTOR('',#418458,10.); #128363=VECTOR('',#418459,10.); #128364=VECTOR('',#418460,10.); #128365=VECTOR('',#418463,10.); #128366=VECTOR('',#418464,10.); #128367=VECTOR('',#418465,10.); #128368=VECTOR('',#418468,10.); #128369=VECTOR('',#418469,10.); #128370=VECTOR('',#418470,10.); #128371=VECTOR('',#418473,10.); #128372=VECTOR('',#418474,10.); #128373=VECTOR('',#418475,10.); #128374=VECTOR('',#418478,10.); #128375=VECTOR('',#418479,10.); #128376=VECTOR('',#418480,10.); #128377=VECTOR('',#418483,10.); #128378=VECTOR('',#418484,10.); #128379=VECTOR('',#418485,10.); #128380=VECTOR('',#418488,10.); #128381=VECTOR('',#418489,10.); #128382=VECTOR('',#418496,10.); #128383=VECTOR('',#418497,10.); #128384=VECTOR('',#418498,10.); #128385=VECTOR('',#418499,10.); #128386=VECTOR('',#418506,10.); #128387=VECTOR('',#418509,10.); #128388=VECTOR('',#418510,10.); #128389=VECTOR('',#418511,10.); #128390=VECTOR('',#418520,10.); #128391=VECTOR('',#418521,10.); #128392=VECTOR('',#418522,10.); #128393=VECTOR('',#418523,10.); #128394=VECTOR('',#418526,10.); #128395=VECTOR('',#418527,10.); #128396=VECTOR('',#418528,10.); #128397=VECTOR('',#418531,10.); #128398=VECTOR('',#418532,10.); #128399=VECTOR('',#418533,10.); #128400=VECTOR('',#418536,10.); #128401=VECTOR('',#418537,10.); #128402=VECTOR('',#418538,10.); #128403=VECTOR('',#418541,10.); #128404=VECTOR('',#418542,10.); #128405=VECTOR('',#418543,10.); #128406=VECTOR('',#418546,10.); #128407=VECTOR('',#418547,10.); #128408=VECTOR('',#418548,10.); #128409=VECTOR('',#418551,10.); #128410=VECTOR('',#418552,10.); #128411=VECTOR('',#418553,10.); #128412=VECTOR('',#418556,10.); #128413=VECTOR('',#418557,10.); #128414=VECTOR('',#418558,10.); #128415=VECTOR('',#418561,10.); #128416=VECTOR('',#418562,10.); #128417=VECTOR('',#418563,10.); #128418=VECTOR('',#418566,10.); #128419=VECTOR('',#418567,10.); #128420=VECTOR('',#418568,10.); #128421=VECTOR('',#418571,10.); #128422=VECTOR('',#418572,10.); #128423=VECTOR('',#418573,10.); #128424=VECTOR('',#418576,10.); #128425=VECTOR('',#418577,10.); #128426=VECTOR('',#418578,10.); #128427=VECTOR('',#418581,10.); #128428=VECTOR('',#418582,10.); #128429=VECTOR('',#418583,10.); #128430=VECTOR('',#418586,10.); #128431=VECTOR('',#418587,10.); #128432=VECTOR('',#418588,10.); #128433=VECTOR('',#418591,10.); #128434=VECTOR('',#418592,10.); #128435=VECTOR('',#418593,10.); #128436=VECTOR('',#418596,10.); #128437=VECTOR('',#418597,10.); #128438=VECTOR('',#418598,10.); #128439=VECTOR('',#418601,10.); #128440=VECTOR('',#418602,10.); #128441=VECTOR('',#418603,10.); #128442=VECTOR('',#418606,10.); #128443=VECTOR('',#418607,10.); #128444=VECTOR('',#418608,10.); #128445=VECTOR('',#418611,10.); #128446=VECTOR('',#418612,10.); #128447=VECTOR('',#418613,10.); #128448=VECTOR('',#418616,10.); #128449=VECTOR('',#418617,10.); #128450=VECTOR('',#418618,10.); #128451=VECTOR('',#418621,10.); #128452=VECTOR('',#418622,10.); #128453=VECTOR('',#418623,10.); #128454=VECTOR('',#418626,10.); #128455=VECTOR('',#418627,10.); #128456=VECTOR('',#418628,10.); #128457=VECTOR('',#418631,10.); #128458=VECTOR('',#418632,10.); #128459=VECTOR('',#418633,10.); #128460=VECTOR('',#418636,10.); #128461=VECTOR('',#418637,10.); #128462=VECTOR('',#418638,10.); #128463=VECTOR('',#418641,10.); #128464=VECTOR('',#418642,10.); #128465=VECTOR('',#418643,10.); #128466=VECTOR('',#418646,10.); #128467=VECTOR('',#418647,10.); #128468=VECTOR('',#418648,10.); #128469=VECTOR('',#418651,10.); #128470=VECTOR('',#418652,10.); #128471=VECTOR('',#418653,10.); #128472=VECTOR('',#418656,10.); #128473=VECTOR('',#418657,10.); #128474=VECTOR('',#418658,10.); #128475=VECTOR('',#418661,10.); #128476=VECTOR('',#418662,10.); #128477=VECTOR('',#418663,10.); #128478=VECTOR('',#418666,10.); #128479=VECTOR('',#418667,10.); #128480=VECTOR('',#418668,10.); #128481=VECTOR('',#418671,10.); #128482=VECTOR('',#418672,10.); #128483=VECTOR('',#418673,10.); #128484=VECTOR('',#418676,10.); #128485=VECTOR('',#418677,10.); #128486=VECTOR('',#418678,10.); #128487=VECTOR('',#418681,10.); #128488=VECTOR('',#418682,10.); #128489=VECTOR('',#418683,10.); #128490=VECTOR('',#418686,10.); #128491=VECTOR('',#418687,10.); #128492=VECTOR('',#418688,10.); #128493=VECTOR('',#418691,10.); #128494=VECTOR('',#418692,10.); #128495=VECTOR('',#418693,10.); #128496=VECTOR('',#418696,10.); #128497=VECTOR('',#418697,10.); #128498=VECTOR('',#418698,10.); #128499=VECTOR('',#418701,10.); #128500=VECTOR('',#418702,10.); #128501=VECTOR('',#418703,10.); #128502=VECTOR('',#418706,10.); #128503=VECTOR('',#418707,10.); #128504=VECTOR('',#418708,10.); #128505=VECTOR('',#418711,10.); #128506=VECTOR('',#418712,10.); #128507=VECTOR('',#418713,10.); #128508=VECTOR('',#418716,10.); #128509=VECTOR('',#418717,10.); #128510=VECTOR('',#418718,10.); #128511=VECTOR('',#418721,10.); #128512=VECTOR('',#418722,10.); #128513=VECTOR('',#418723,10.); #128514=VECTOR('',#418726,10.); #128515=VECTOR('',#418727,10.); #128516=VECTOR('',#418728,10.); #128517=VECTOR('',#418731,10.); #128518=VECTOR('',#418732,10.); #128519=VECTOR('',#418733,10.); #128520=VECTOR('',#418736,10.); #128521=VECTOR('',#418737,10.); #128522=VECTOR('',#418738,10.); #128523=VECTOR('',#418741,10.); #128524=VECTOR('',#418742,10.); #128525=VECTOR('',#418743,10.); #128526=VECTOR('',#418746,10.); #128527=VECTOR('',#418747,10.); #128528=VECTOR('',#418748,10.); #128529=VECTOR('',#418751,10.); #128530=VECTOR('',#418752,10.); #128531=VECTOR('',#418753,10.); #128532=VECTOR('',#418756,10.); #128533=VECTOR('',#418757,10.); #128534=VECTOR('',#418758,10.); #128535=VECTOR('',#418761,10.); #128536=VECTOR('',#418762,10.); #128537=VECTOR('',#418763,10.); #128538=VECTOR('',#418766,10.); #128539=VECTOR('',#418767,10.); #128540=VECTOR('',#418768,10.); #128541=VECTOR('',#418771,10.); #128542=VECTOR('',#418772,10.); #128543=VECTOR('',#418773,10.); #128544=VECTOR('',#418776,10.); #128545=VECTOR('',#418777,10.); #128546=VECTOR('',#418778,10.); #128547=VECTOR('',#418781,10.); #128548=VECTOR('',#418782,10.); #128549=VECTOR('',#418783,10.); #128550=VECTOR('',#418786,10.); #128551=VECTOR('',#418787,10.); #128552=VECTOR('',#418788,10.); #128553=VECTOR('',#418791,10.); #128554=VECTOR('',#418792,10.); #128555=VECTOR('',#418793,10.); #128556=VECTOR('',#418796,10.); #128557=VECTOR('',#418797,10.); #128558=VECTOR('',#418798,10.); #128559=VECTOR('',#418801,10.); #128560=VECTOR('',#418802,10.); #128561=VECTOR('',#418803,10.); #128562=VECTOR('',#418806,10.); #128563=VECTOR('',#418807,10.); #128564=VECTOR('',#418808,10.); #128565=VECTOR('',#418811,10.); #128566=VECTOR('',#418812,10.); #128567=VECTOR('',#418813,10.); #128568=VECTOR('',#418816,10.); #128569=VECTOR('',#418817,10.); #128570=VECTOR('',#418818,10.); #128571=VECTOR('',#418821,10.); #128572=VECTOR('',#418822,10.); #128573=VECTOR('',#418823,10.); #128574=VECTOR('',#418826,10.); #128575=VECTOR('',#418827,10.); #128576=VECTOR('',#418828,10.); #128577=VECTOR('',#418831,10.); #128578=VECTOR('',#418832,10.); #128579=VECTOR('',#418833,10.); #128580=VECTOR('',#418836,10.); #128581=VECTOR('',#418837,10.); #128582=VECTOR('',#418838,10.); #128583=VECTOR('',#418841,10.); #128584=VECTOR('',#418842,10.); #128585=VECTOR('',#418843,10.); #128586=VECTOR('',#418846,10.); #128587=VECTOR('',#418847,10.); #128588=VECTOR('',#418848,10.); #128589=VECTOR('',#418851,10.); #128590=VECTOR('',#418852,10.); #128591=VECTOR('',#418853,10.); #128592=VECTOR('',#418856,10.); #128593=VECTOR('',#418857,10.); #128594=VECTOR('',#418858,10.); #128595=VECTOR('',#418861,10.); #128596=VECTOR('',#418862,10.); #128597=VECTOR('',#418863,10.); #128598=VECTOR('',#418866,10.); #128599=VECTOR('',#418867,10.); #128600=VECTOR('',#418868,10.); #128601=VECTOR('',#418871,10.); #128602=VECTOR('',#418872,10.); #128603=VECTOR('',#418873,10.); #128604=VECTOR('',#418876,10.); #128605=VECTOR('',#418877,10.); #128606=VECTOR('',#418886,0.465); #128607=VECTOR('',#418891,10.); #128608=VECTOR('',#418892,10.); #128609=VECTOR('',#418893,10.); #128610=VECTOR('',#418894,10.); #128611=VECTOR('',#418901,10.); #128612=VECTOR('',#418916,0.514999999999999); #128613=VECTOR('',#418921,10.); #128614=VECTOR('',#418922,10.); #128615=VECTOR('',#418923,10.); #128616=VECTOR('',#418924,10.); #128617=VECTOR('',#418931,10.); #128618=VECTOR('',#418944,10.); #128619=VECTOR('',#418945,10.); #128620=VECTOR('',#418946,10.); #128621=VECTOR('',#418947,10.); #128622=VECTOR('',#418954,10.); #128623=VECTOR('',#418957,10.); #128624=VECTOR('',#418958,10.); #128625=VECTOR('',#418959,10.); #128626=VECTOR('',#418968,10.); #128627=VECTOR('',#418969,10.); #128628=VECTOR('',#418970,10.); #128629=VECTOR('',#418971,10.); #128630=VECTOR('',#418974,10.); #128631=VECTOR('',#418975,10.); #128632=VECTOR('',#418976,10.); #128633=VECTOR('',#418979,10.); #128634=VECTOR('',#418980,10.); #128635=VECTOR('',#418981,10.); #128636=VECTOR('',#418984,10.); #128637=VECTOR('',#418985,10.); #128638=VECTOR('',#418986,10.); #128639=VECTOR('',#418989,10.); #128640=VECTOR('',#418990,10.); #128641=VECTOR('',#418991,10.); #128642=VECTOR('',#418994,10.); #128643=VECTOR('',#418995,10.); #128644=VECTOR('',#418996,10.); #128645=VECTOR('',#418999,10.); #128646=VECTOR('',#419000,10.); #128647=VECTOR('',#419001,10.); #128648=VECTOR('',#419004,10.); #128649=VECTOR('',#419005,10.); #128650=VECTOR('',#419006,10.); #128651=VECTOR('',#419009,10.); #128652=VECTOR('',#419010,10.); #128653=VECTOR('',#419011,10.); #128654=VECTOR('',#419014,10.); #128655=VECTOR('',#419015,10.); #128656=VECTOR('',#419016,10.); #128657=VECTOR('',#419019,10.); #128658=VECTOR('',#419020,10.); #128659=VECTOR('',#419021,10.); #128660=VECTOR('',#419024,10.); #128661=VECTOR('',#419025,10.); #128662=VECTOR('',#419026,10.); #128663=VECTOR('',#419029,10.); #128664=VECTOR('',#419030,10.); #128665=VECTOR('',#419031,10.); #128666=VECTOR('',#419034,10.); #128667=VECTOR('',#419035,10.); #128668=VECTOR('',#419036,10.); #128669=VECTOR('',#419039,10.); #128670=VECTOR('',#419040,10.); #128671=VECTOR('',#419041,10.); #128672=VECTOR('',#419044,10.); #128673=VECTOR('',#419045,10.); #128674=VECTOR('',#419046,10.); #128675=VECTOR('',#419049,10.); #128676=VECTOR('',#419050,10.); #128677=VECTOR('',#419051,10.); #128678=VECTOR('',#419054,10.); #128679=VECTOR('',#419055,10.); #128680=VECTOR('',#419056,10.); #128681=VECTOR('',#419059,10.); #128682=VECTOR('',#419060,10.); #128683=VECTOR('',#419061,10.); #128684=VECTOR('',#419064,10.); #128685=VECTOR('',#419065,10.); #128686=VECTOR('',#419066,10.); #128687=VECTOR('',#419069,10.); #128688=VECTOR('',#419070,10.); #128689=VECTOR('',#419071,10.); #128690=VECTOR('',#419074,10.); #128691=VECTOR('',#419075,10.); #128692=VECTOR('',#419076,10.); #128693=VECTOR('',#419079,10.); #128694=VECTOR('',#419080,10.); #128695=VECTOR('',#419081,10.); #128696=VECTOR('',#419084,10.); #128697=VECTOR('',#419085,10.); #128698=VECTOR('',#419086,10.); #128699=VECTOR('',#419089,10.); #128700=VECTOR('',#419090,10.); #128701=VECTOR('',#419091,10.); #128702=VECTOR('',#419094,10.); #128703=VECTOR('',#419095,10.); #128704=VECTOR('',#419096,10.); #128705=VECTOR('',#419099,10.); #128706=VECTOR('',#419100,10.); #128707=VECTOR('',#419101,10.); #128708=VECTOR('',#419104,10.); #128709=VECTOR('',#419105,10.); #128710=VECTOR('',#419106,10.); #128711=VECTOR('',#419109,10.); #128712=VECTOR('',#419110,10.); #128713=VECTOR('',#419111,10.); #128714=VECTOR('',#419114,10.); #128715=VECTOR('',#419115,10.); #128716=VECTOR('',#419116,10.); #128717=VECTOR('',#419119,10.); #128718=VECTOR('',#419120,10.); #128719=VECTOR('',#419121,10.); #128720=VECTOR('',#419124,10.); #128721=VECTOR('',#419125,10.); #128722=VECTOR('',#419126,10.); #128723=VECTOR('',#419129,10.); #128724=VECTOR('',#419130,10.); #128725=VECTOR('',#419131,10.); #128726=VECTOR('',#419134,10.); #128727=VECTOR('',#419135,10.); #128728=VECTOR('',#419136,10.); #128729=VECTOR('',#419139,10.); #128730=VECTOR('',#419140,10.); #128731=VECTOR('',#419141,10.); #128732=VECTOR('',#419144,10.); #128733=VECTOR('',#419145,10.); #128734=VECTOR('',#419146,10.); #128735=VECTOR('',#419149,10.); #128736=VECTOR('',#419150,10.); #128737=VECTOR('',#419151,10.); #128738=VECTOR('',#419154,10.); #128739=VECTOR('',#419155,10.); #128740=VECTOR('',#419156,10.); #128741=VECTOR('',#419159,10.); #128742=VECTOR('',#419160,10.); #128743=VECTOR('',#419161,10.); #128744=VECTOR('',#419164,10.); #128745=VECTOR('',#419165,10.); #128746=VECTOR('',#419166,10.); #128747=VECTOR('',#419169,10.); #128748=VECTOR('',#419170,10.); #128749=VECTOR('',#419171,10.); #128750=VECTOR('',#419174,10.); #128751=VECTOR('',#419175,10.); #128752=VECTOR('',#419176,10.); #128753=VECTOR('',#419179,10.); #128754=VECTOR('',#419180,10.); #128755=VECTOR('',#419181,10.); #128756=VECTOR('',#419184,10.); #128757=VECTOR('',#419185,10.); #128758=VECTOR('',#419186,10.); #128759=VECTOR('',#419189,10.); #128760=VECTOR('',#419190,10.); #128761=VECTOR('',#419191,10.); #128762=VECTOR('',#419194,10.); #128763=VECTOR('',#419195,10.); #128764=VECTOR('',#419196,10.); #128765=VECTOR('',#419199,10.); #128766=VECTOR('',#419200,10.); #128767=VECTOR('',#419201,10.); #128768=VECTOR('',#419204,10.); #128769=VECTOR('',#419205,10.); #128770=VECTOR('',#419206,10.); #128771=VECTOR('',#419209,10.); #128772=VECTOR('',#419210,10.); #128773=VECTOR('',#419211,10.); #128774=VECTOR('',#419214,10.); #128775=VECTOR('',#419215,10.); #128776=VECTOR('',#419216,10.); #128777=VECTOR('',#419219,10.); #128778=VECTOR('',#419220,10.); #128779=VECTOR('',#419221,10.); #128780=VECTOR('',#419224,10.); #128781=VECTOR('',#419225,10.); #128782=VECTOR('',#419226,10.); #128783=VECTOR('',#419229,10.); #128784=VECTOR('',#419230,10.); #128785=VECTOR('',#419231,10.); #128786=VECTOR('',#419234,10.); #128787=VECTOR('',#419235,10.); #128788=VECTOR('',#419236,10.); #128789=VECTOR('',#419239,10.); #128790=VECTOR('',#419240,10.); #128791=VECTOR('',#419241,10.); #128792=VECTOR('',#419244,10.); #128793=VECTOR('',#419245,10.); #128794=VECTOR('',#419254,0.465); #128795=VECTOR('',#419259,10.); #128796=VECTOR('',#419260,10.); #128797=VECTOR('',#419261,10.); #128798=VECTOR('',#419262,10.); #128799=VECTOR('',#419269,10.); #128800=VECTOR('',#419284,0.465); #128801=VECTOR('',#419289,10.); #128802=VECTOR('',#419290,10.); #128803=VECTOR('',#419291,10.); #128804=VECTOR('',#419292,10.); #128805=VECTOR('',#419299,10.); #128806=VECTOR('',#419314,0.465); #128807=VECTOR('',#419319,10.); #128808=VECTOR('',#419320,10.); #128809=VECTOR('',#419321,10.); #128810=VECTOR('',#419322,10.); #128811=VECTOR('',#419329,10.); #128812=VECTOR('',#419344,0.564999999999998); #128813=VECTOR('',#419349,10.); #128814=VECTOR('',#419350,10.); #128815=VECTOR('',#419351,10.); #128816=VECTOR('',#419352,10.); #128817=VECTOR('',#419355,10.); #128818=VECTOR('',#419356,10.); #128819=VECTOR('',#419357,10.); #128820=VECTOR('',#419360,10.); #128821=VECTOR('',#419361,10.); #128822=VECTOR('',#419362,10.); #128823=VECTOR('',#419365,10.); #128824=VECTOR('',#419366,10.); #128825=VECTOR('',#419375,0.465); #128826=VECTOR('',#419380,10.); #128827=VECTOR('',#419381,10.); #128828=VECTOR('',#419382,10.); #128829=VECTOR('',#419383,10.); #128830=VECTOR('',#419390,10.); #128831=VECTOR('',#419403,10.); #128832=VECTOR('',#419404,10.); #128833=VECTOR('',#419405,10.); #128834=VECTOR('',#419406,10.); #128835=VECTOR('',#419409,10.); #128836=VECTOR('',#419410,10.); #128837=VECTOR('',#419411,10.); #128838=VECTOR('',#419414,10.); #128839=VECTOR('',#419415,10.); #128840=VECTOR('',#419416,10.); #128841=VECTOR('',#419419,10.); #128842=VECTOR('',#419420,10.); #128843=VECTOR('',#419427,10.); #128844=VECTOR('',#419428,10.); #128845=VECTOR('',#419429,10.); #128846=VECTOR('',#419430,10.); #128847=VECTOR('',#419433,10.); #128848=VECTOR('',#419434,10.); #128849=VECTOR('',#419435,10.); #128850=VECTOR('',#419438,10.); #128851=VECTOR('',#419439,10.); #128852=VECTOR('',#419440,10.); #128853=VECTOR('',#419443,10.); #128854=VECTOR('',#419444,10.); #128855=VECTOR('',#419451,10.); #128856=VECTOR('',#419452,10.); #128857=VECTOR('',#419453,10.); #128858=VECTOR('',#419454,10.); #128859=VECTOR('',#419457,10.); #128860=VECTOR('',#419458,10.); #128861=VECTOR('',#419459,10.); #128862=VECTOR('',#419462,10.); #128863=VECTOR('',#419463,10.); #128864=VECTOR('',#419464,10.); #128865=VECTOR('',#419467,10.); #128866=VECTOR('',#419468,10.); #128867=VECTOR('',#419475,10.); #128868=VECTOR('',#419476,10.); #128869=VECTOR('',#419477,10.); #128870=VECTOR('',#419478,10.); #128871=VECTOR('',#419481,10.); #128872=VECTOR('',#419482,10.); #128873=VECTOR('',#419483,10.); #128874=VECTOR('',#419486,10.); #128875=VECTOR('',#419487,10.); #128876=VECTOR('',#419488,10.); #128877=VECTOR('',#419491,10.); #128878=VECTOR('',#419492,10.); #128879=VECTOR('',#419499,10.); #128880=VECTOR('',#419500,10.); #128881=VECTOR('',#419501,10.); #128882=VECTOR('',#419502,10.); #128883=VECTOR('',#419505,10.); #128884=VECTOR('',#419506,10.); #128885=VECTOR('',#419507,10.); #128886=VECTOR('',#419510,10.); #128887=VECTOR('',#419511,10.); #128888=VECTOR('',#419512,10.); #128889=VECTOR('',#419515,10.); #128890=VECTOR('',#419516,10.); #128891=VECTOR('',#419523,10.); #128892=VECTOR('',#419524,10.); #128893=VECTOR('',#419525,10.); #128894=VECTOR('',#419526,10.); #128895=VECTOR('',#419529,10.); #128896=VECTOR('',#419530,10.); #128897=VECTOR('',#419531,10.); #128898=VECTOR('',#419534,10.); #128899=VECTOR('',#419535,10.); #128900=VECTOR('',#419536,10.); #128901=VECTOR('',#419539,10.); #128902=VECTOR('',#419540,10.); #128903=VECTOR('',#419547,10.); #128904=VECTOR('',#419548,10.); #128905=VECTOR('',#419549,10.); #128906=VECTOR('',#419550,10.); #128907=VECTOR('',#419553,10.); #128908=VECTOR('',#419554,10.); #128909=VECTOR('',#419555,10.); #128910=VECTOR('',#419558,10.); #128911=VECTOR('',#419559,10.); #128912=VECTOR('',#419560,10.); #128913=VECTOR('',#419563,10.); #128914=VECTOR('',#419564,10.); #128915=VECTOR('',#419571,10.); #128916=VECTOR('',#419572,10.); #128917=VECTOR('',#419573,10.); #128918=VECTOR('',#419574,10.); #128919=VECTOR('',#419577,10.); #128920=VECTOR('',#419578,10.); #128921=VECTOR('',#419579,10.); #128922=VECTOR('',#419582,10.); #128923=VECTOR('',#419583,10.); #128924=VECTOR('',#419584,10.); #128925=VECTOR('',#419587,10.); #128926=VECTOR('',#419588,10.); #128927=VECTOR('',#419595,10.); #128928=VECTOR('',#419596,10.); #128929=VECTOR('',#419597,10.); #128930=VECTOR('',#419598,10.); #128931=VECTOR('',#419601,10.); #128932=VECTOR('',#419602,10.); #128933=VECTOR('',#419603,10.); #128934=VECTOR('',#419606,10.); #128935=VECTOR('',#419607,10.); #128936=VECTOR('',#419608,10.); #128937=VECTOR('',#419611,10.); #128938=VECTOR('',#419612,10.); #128939=VECTOR('',#419619,10.); #128940=VECTOR('',#419620,10.); #128941=VECTOR('',#419621,10.); #128942=VECTOR('',#419622,10.); #128943=VECTOR('',#419625,10.); #128944=VECTOR('',#419626,10.); #128945=VECTOR('',#419627,10.); #128946=VECTOR('',#419630,10.); #128947=VECTOR('',#419631,10.); #128948=VECTOR('',#419632,10.); #128949=VECTOR('',#419635,10.); #128950=VECTOR('',#419636,10.); #128951=VECTOR('',#419643,10.); #128952=VECTOR('',#419644,10.); #128953=VECTOR('',#419645,10.); #128954=VECTOR('',#419646,10.); #128955=VECTOR('',#419649,10.); #128956=VECTOR('',#419650,10.); #128957=VECTOR('',#419651,10.); #128958=VECTOR('',#419654,10.); #128959=VECTOR('',#419655,10.); #128960=VECTOR('',#419656,10.); #128961=VECTOR('',#419659,10.); #128962=VECTOR('',#419660,10.); #128963=VECTOR('',#419667,10.); #128964=VECTOR('',#419668,10.); #128965=VECTOR('',#419669,10.); #128966=VECTOR('',#419670,10.); #128967=VECTOR('',#419673,10.); #128968=VECTOR('',#419674,10.); #128969=VECTOR('',#419675,10.); #128970=VECTOR('',#419678,10.); #128971=VECTOR('',#419679,10.); #128972=VECTOR('',#419680,10.); #128973=VECTOR('',#419683,10.); #128974=VECTOR('',#419684,10.); #128975=VECTOR('',#419691,10.); #128976=VECTOR('',#419692,10.); #128977=VECTOR('',#419693,10.); #128978=VECTOR('',#419694,10.); #128979=VECTOR('',#419697,10.); #128980=VECTOR('',#419698,10.); #128981=VECTOR('',#419699,10.); #128982=VECTOR('',#419702,10.); #128983=VECTOR('',#419703,10.); #128984=VECTOR('',#419704,10.); #128985=VECTOR('',#419707,10.); #128986=VECTOR('',#419708,10.); #128987=VECTOR('',#419717,0.14); #128988=VECTOR('',#419724,0.14); #128989=VECTOR('',#419731,0.14); #128990=VECTOR('',#419738,0.14); #128991=VECTOR('',#419745,0.14); #128992=VECTOR('',#419752,0.14); #128993=VECTOR('',#419757,10.); #128994=VECTOR('',#419758,10.); #128995=VECTOR('',#419759,10.); #128996=VECTOR('',#419760,10.); #128997=VECTOR('',#419763,10.); #128998=VECTOR('',#419764,10.); #128999=VECTOR('',#419765,10.); #129000=VECTOR('',#419768,10.); #129001=VECTOR('',#419769,10.); #129002=VECTOR('',#419770,10.); #129003=VECTOR('',#419773,10.); #129004=VECTOR('',#419774,10.); #129005=VECTOR('',#419781,10.); #129006=VECTOR('',#419782,10.); #129007=VECTOR('',#419783,10.); #129008=VECTOR('',#419784,10.); #129009=VECTOR('',#419787,10.); #129010=VECTOR('',#419788,10.); #129011=VECTOR('',#419789,10.); #129012=VECTOR('',#419792,10.); #129013=VECTOR('',#419793,10.); #129014=VECTOR('',#419794,10.); #129015=VECTOR('',#419797,10.); #129016=VECTOR('',#419798,10.); #129017=VECTOR('',#419805,10.); #129018=VECTOR('',#419806,10.); #129019=VECTOR('',#419807,10.); #129020=VECTOR('',#419808,10.); #129021=VECTOR('',#419811,10.); #129022=VECTOR('',#419812,10.); #129023=VECTOR('',#419813,10.); #129024=VECTOR('',#419816,10.); #129025=VECTOR('',#419817,10.); #129026=VECTOR('',#419818,10.); #129027=VECTOR('',#419821,10.); #129028=VECTOR('',#419822,10.); #129029=VECTOR('',#419829,10.); #129030=VECTOR('',#419830,10.); #129031=VECTOR('',#419831,10.); #129032=VECTOR('',#419832,10.); #129033=VECTOR('',#419835,10.); #129034=VECTOR('',#419836,10.); #129035=VECTOR('',#419837,10.); #129036=VECTOR('',#419840,10.); #129037=VECTOR('',#419841,10.); #129038=VECTOR('',#419842,10.); #129039=VECTOR('',#419845,10.); #129040=VECTOR('',#419846,10.); #129041=VECTOR('',#419853,10.); #129042=VECTOR('',#419854,10.); #129043=VECTOR('',#419855,10.); #129044=VECTOR('',#419856,10.); #129045=VECTOR('',#419859,10.); #129046=VECTOR('',#419860,10.); #129047=VECTOR('',#419861,10.); #129048=VECTOR('',#419864,10.); #129049=VECTOR('',#419865,10.); #129050=VECTOR('',#419866,10.); #129051=VECTOR('',#419869,10.); #129052=VECTOR('',#419870,10.); #129053=VECTOR('',#419877,10.); #129054=VECTOR('',#419878,10.); #129055=VECTOR('',#419879,10.); #129056=VECTOR('',#419880,10.); #129057=VECTOR('',#419883,10.); #129058=VECTOR('',#419884,10.); #129059=VECTOR('',#419885,10.); #129060=VECTOR('',#419888,10.); #129061=VECTOR('',#419889,10.); #129062=VECTOR('',#419890,10.); #129063=VECTOR('',#419893,10.); #129064=VECTOR('',#419894,10.); #129065=VECTOR('',#419901,10.); #129066=VECTOR('',#419902,10.); #129067=VECTOR('',#419903,10.); #129068=VECTOR('',#419904,10.); #129069=VECTOR('',#419907,10.); #129070=VECTOR('',#419908,10.); #129071=VECTOR('',#419909,10.); #129072=VECTOR('',#419912,10.); #129073=VECTOR('',#419913,10.); #129074=VECTOR('',#419914,10.); #129075=VECTOR('',#419917,10.); #129076=VECTOR('',#419918,10.); #129077=VECTOR('',#419925,10.); #129078=VECTOR('',#419926,10.); #129079=VECTOR('',#419927,10.); #129080=VECTOR('',#419928,10.); #129081=VECTOR('',#419931,10.); #129082=VECTOR('',#419932,10.); #129083=VECTOR('',#419933,10.); #129084=VECTOR('',#419936,10.); #129085=VECTOR('',#419937,10.); #129086=VECTOR('',#419938,10.); #129087=VECTOR('',#419941,10.); #129088=VECTOR('',#419942,10.); #129089=VECTOR('',#419949,10.); #129090=VECTOR('',#419950,10.); #129091=VECTOR('',#419951,10.); #129092=VECTOR('',#419952,10.); #129093=VECTOR('',#419955,10.); #129094=VECTOR('',#419956,10.); #129095=VECTOR('',#419957,10.); #129096=VECTOR('',#419960,10.); #129097=VECTOR('',#419961,10.); #129098=VECTOR('',#419962,10.); #129099=VECTOR('',#419965,10.); #129100=VECTOR('',#419966,10.); #129101=VECTOR('',#419973,10.); #129102=VECTOR('',#419974,10.); #129103=VECTOR('',#419975,10.); #129104=VECTOR('',#419976,10.); #129105=VECTOR('',#419979,10.); #129106=VECTOR('',#419980,10.); #129107=VECTOR('',#419981,10.); #129108=VECTOR('',#419984,10.); #129109=VECTOR('',#419985,10.); #129110=VECTOR('',#419986,10.); #129111=VECTOR('',#419989,10.); #129112=VECTOR('',#419990,10.); #129113=VECTOR('',#419997,10.); #129114=VECTOR('',#419998,10.); #129115=VECTOR('',#419999,10.); #129116=VECTOR('',#420000,10.); #129117=VECTOR('',#420003,10.); #129118=VECTOR('',#420004,10.); #129119=VECTOR('',#420005,10.); #129120=VECTOR('',#420008,10.); #129121=VECTOR('',#420009,10.); #129122=VECTOR('',#420010,10.); #129123=VECTOR('',#420013,10.); #129124=VECTOR('',#420014,10.); #129125=VECTOR('',#420021,10.); #129126=VECTOR('',#420022,10.); #129127=VECTOR('',#420023,10.); #129128=VECTOR('',#420024,10.); #129129=VECTOR('',#420027,10.); #129130=VECTOR('',#420028,10.); #129131=VECTOR('',#420029,10.); #129132=VECTOR('',#420032,10.); #129133=VECTOR('',#420033,10.); #129134=VECTOR('',#420034,10.); #129135=VECTOR('',#420037,10.); #129136=VECTOR('',#420038,10.); #129137=VECTOR('',#420045,10.); #129138=VECTOR('',#420046,10.); #129139=VECTOR('',#420047,10.); #129140=VECTOR('',#420048,10.); #129141=VECTOR('',#420051,10.); #129142=VECTOR('',#420052,10.); #129143=VECTOR('',#420053,10.); #129144=VECTOR('',#420056,10.); #129145=VECTOR('',#420057,10.); #129146=VECTOR('',#420058,10.); #129147=VECTOR('',#420061,10.); #129148=VECTOR('',#420062,10.); #129149=VECTOR('',#420069,10.); #129150=VECTOR('',#420070,10.); #129151=VECTOR('',#420071,10.); #129152=VECTOR('',#420072,10.); #129153=VECTOR('',#420075,10.); #129154=VECTOR('',#420076,10.); #129155=VECTOR('',#420077,10.); #129156=VECTOR('',#420080,10.); #129157=VECTOR('',#420081,10.); #129158=VECTOR('',#420082,10.); #129159=VECTOR('',#420085,10.); #129160=VECTOR('',#420086,10.); #129161=VECTOR('',#420093,10.); #129162=VECTOR('',#420094,10.); #129163=VECTOR('',#420095,10.); #129164=VECTOR('',#420096,10.); #129165=VECTOR('',#420099,10.); #129166=VECTOR('',#420100,10.); #129167=VECTOR('',#420101,10.); #129168=VECTOR('',#420104,10.); #129169=VECTOR('',#420105,10.); #129170=VECTOR('',#420106,10.); #129171=VECTOR('',#420109,10.); #129172=VECTOR('',#420110,10.); #129173=VECTOR('',#420117,10.); #129174=VECTOR('',#420118,10.); #129175=VECTOR('',#420119,10.); #129176=VECTOR('',#420120,10.); #129177=VECTOR('',#420123,10.); #129178=VECTOR('',#420124,10.); #129179=VECTOR('',#420125,10.); #129180=VECTOR('',#420128,10.); #129181=VECTOR('',#420129,10.); #129182=VECTOR('',#420130,10.); #129183=VECTOR('',#420133,10.); #129184=VECTOR('',#420134,10.); #129185=VECTOR('',#420141,10.); #129186=VECTOR('',#420142,10.); #129187=VECTOR('',#420143,10.); #129188=VECTOR('',#420144,10.); #129189=VECTOR('',#420147,10.); #129190=VECTOR('',#420148,10.); #129191=VECTOR('',#420149,10.); #129192=VECTOR('',#420152,10.); #129193=VECTOR('',#420153,10.); #129194=VECTOR('',#420154,10.); #129195=VECTOR('',#420157,10.); #129196=VECTOR('',#420158,10.); #129197=VECTOR('',#420165,10.); #129198=VECTOR('',#420166,10.); #129199=VECTOR('',#420167,10.); #129200=VECTOR('',#420168,10.); #129201=VECTOR('',#420171,10.); #129202=VECTOR('',#420172,10.); #129203=VECTOR('',#420173,10.); #129204=VECTOR('',#420176,10.); #129205=VECTOR('',#420177,10.); #129206=VECTOR('',#420178,10.); #129207=VECTOR('',#420181,10.); #129208=VECTOR('',#420182,10.); #129209=VECTOR('',#420189,10.); #129210=VECTOR('',#420190,10.); #129211=VECTOR('',#420191,10.); #129212=VECTOR('',#420192,10.); #129213=VECTOR('',#420195,10.); #129214=VECTOR('',#420196,10.); #129215=VECTOR('',#420197,10.); #129216=VECTOR('',#420200,10.); #129217=VECTOR('',#420201,10.); #129218=VECTOR('',#420202,10.); #129219=VECTOR('',#420205,10.); #129220=VECTOR('',#420206,10.); #129221=VECTOR('',#420213,10.); #129222=VECTOR('',#420214,10.); #129223=VECTOR('',#420215,10.); #129224=VECTOR('',#420216,10.); #129225=VECTOR('',#420219,10.); #129226=VECTOR('',#420220,10.); #129227=VECTOR('',#420221,10.); #129228=VECTOR('',#420224,10.); #129229=VECTOR('',#420225,10.); #129230=VECTOR('',#420226,10.); #129231=VECTOR('',#420229,10.); #129232=VECTOR('',#420230,10.); #129233=VECTOR('',#420237,10.); #129234=VECTOR('',#420238,10.); #129235=VECTOR('',#420239,10.); #129236=VECTOR('',#420240,10.); #129237=VECTOR('',#420243,10.); #129238=VECTOR('',#420244,10.); #129239=VECTOR('',#420245,10.); #129240=VECTOR('',#420248,10.); #129241=VECTOR('',#420249,10.); #129242=VECTOR('',#420250,10.); #129243=VECTOR('',#420253,10.); #129244=VECTOR('',#420254,10.); #129245=VECTOR('',#420261,10.); #129246=VECTOR('',#420262,10.); #129247=VECTOR('',#420263,10.); #129248=VECTOR('',#420264,10.); #129249=VECTOR('',#420267,10.); #129250=VECTOR('',#420268,10.); #129251=VECTOR('',#420269,10.); #129252=VECTOR('',#420272,10.); #129253=VECTOR('',#420273,10.); #129254=VECTOR('',#420274,10.); #129255=VECTOR('',#420277,10.); #129256=VECTOR('',#420278,10.); #129257=VECTOR('',#420285,10.); #129258=VECTOR('',#420286,10.); #129259=VECTOR('',#420287,10.); #129260=VECTOR('',#420288,10.); #129261=VECTOR('',#420291,10.); #129262=VECTOR('',#420292,10.); #129263=VECTOR('',#420293,10.); #129264=VECTOR('',#420296,10.); #129265=VECTOR('',#420297,10.); #129266=VECTOR('',#420298,10.); #129267=VECTOR('',#420301,10.); #129268=VECTOR('',#420302,10.); #129269=VECTOR('',#420309,10.); #129270=VECTOR('',#420310,10.); #129271=VECTOR('',#420311,10.); #129272=VECTOR('',#420312,10.); #129273=VECTOR('',#420315,10.); #129274=VECTOR('',#420316,10.); #129275=VECTOR('',#420317,10.); #129276=VECTOR('',#420320,10.); #129277=VECTOR('',#420321,10.); #129278=VECTOR('',#420322,10.); #129279=VECTOR('',#420325,10.); #129280=VECTOR('',#420326,10.); #129281=VECTOR('',#420333,10.); #129282=VECTOR('',#420334,10.); #129283=VECTOR('',#420335,10.); #129284=VECTOR('',#420336,10.); #129285=VECTOR('',#420339,10.); #129286=VECTOR('',#420340,10.); #129287=VECTOR('',#420341,10.); #129288=VECTOR('',#420344,10.); #129289=VECTOR('',#420345,10.); #129290=VECTOR('',#420346,10.); #129291=VECTOR('',#420349,10.); #129292=VECTOR('',#420350,10.); #129293=VECTOR('',#420357,10.); #129294=VECTOR('',#420358,10.); #129295=VECTOR('',#420359,10.); #129296=VECTOR('',#420360,10.); #129297=VECTOR('',#420363,10.); #129298=VECTOR('',#420364,10.); #129299=VECTOR('',#420365,10.); #129300=VECTOR('',#420368,10.); #129301=VECTOR('',#420369,10.); #129302=VECTOR('',#420370,10.); #129303=VECTOR('',#420373,10.); #129304=VECTOR('',#420374,10.); #129305=VECTOR('',#420381,10.); #129306=VECTOR('',#420382,10.); #129307=VECTOR('',#420383,10.); #129308=VECTOR('',#420384,10.); #129309=VECTOR('',#420387,10.); #129310=VECTOR('',#420388,10.); #129311=VECTOR('',#420389,10.); #129312=VECTOR('',#420392,10.); #129313=VECTOR('',#420393,10.); #129314=VECTOR('',#420394,10.); #129315=VECTOR('',#420397,10.); #129316=VECTOR('',#420398,10.); #129317=VECTOR('',#420407,0.14); #129318=VECTOR('',#420414,0.14); #129319=VECTOR('',#420421,0.14); #129320=VECTOR('',#420428,0.14); #129321=VECTOR('',#420435,0.14); #129322=VECTOR('',#420442,0.14); #129323=VECTOR('',#420447,10.); #129324=VECTOR('',#420448,10.); #129325=VECTOR('',#420449,10.); #129326=VECTOR('',#420450,10.); #129327=VECTOR('',#420453,10.); #129328=VECTOR('',#420454,10.); #129329=VECTOR('',#420455,10.); #129330=VECTOR('',#420458,10.); #129331=VECTOR('',#420459,10.); #129332=VECTOR('',#420460,10.); #129333=VECTOR('',#420463,10.); #129334=VECTOR('',#420464,10.); #129335=VECTOR('',#420471,10.); #129336=VECTOR('',#420472,10.); #129337=VECTOR('',#420473,10.); #129338=VECTOR('',#420474,10.); #129339=VECTOR('',#420477,10.); #129340=VECTOR('',#420478,10.); #129341=VECTOR('',#420479,10.); #129342=VECTOR('',#420482,10.); #129343=VECTOR('',#420483,10.); #129344=VECTOR('',#420484,10.); #129345=VECTOR('',#420487,10.); #129346=VECTOR('',#420488,10.); #129347=VECTOR('',#420495,10.); #129348=VECTOR('',#420496,10.); #129349=VECTOR('',#420497,10.); #129350=VECTOR('',#420498,10.); #129351=VECTOR('',#420501,10.); #129352=VECTOR('',#420502,10.); #129353=VECTOR('',#420503,10.); #129354=VECTOR('',#420506,10.); #129355=VECTOR('',#420507,10.); #129356=VECTOR('',#420508,10.); #129357=VECTOR('',#420511,10.); #129358=VECTOR('',#420512,10.); #129359=VECTOR('',#420519,10.); #129360=VECTOR('',#420520,10.); #129361=VECTOR('',#420521,10.); #129362=VECTOR('',#420522,10.); #129363=VECTOR('',#420525,10.); #129364=VECTOR('',#420526,10.); #129365=VECTOR('',#420527,10.); #129366=VECTOR('',#420530,10.); #129367=VECTOR('',#420531,10.); #129368=VECTOR('',#420532,10.); #129369=VECTOR('',#420535,10.); #129370=VECTOR('',#420536,10.); #129371=VECTOR('',#420543,10.); #129372=VECTOR('',#420544,10.); #129373=VECTOR('',#420545,10.); #129374=VECTOR('',#420546,10.); #129375=VECTOR('',#420549,10.); #129376=VECTOR('',#420550,10.); #129377=VECTOR('',#420551,10.); #129378=VECTOR('',#420554,10.); #129379=VECTOR('',#420555,10.); #129380=VECTOR('',#420556,10.); #129381=VECTOR('',#420559,10.); #129382=VECTOR('',#420560,10.); #129383=VECTOR('',#420567,10.); #129384=VECTOR('',#420568,10.); #129385=VECTOR('',#420569,10.); #129386=VECTOR('',#420570,10.); #129387=VECTOR('',#420573,10.); #129388=VECTOR('',#420574,10.); #129389=VECTOR('',#420575,10.); #129390=VECTOR('',#420578,10.); #129391=VECTOR('',#420579,10.); #129392=VECTOR('',#420580,10.); #129393=VECTOR('',#420583,10.); #129394=VECTOR('',#420584,10.); #129395=VECTOR('',#420591,10.); #129396=VECTOR('',#420592,10.); #129397=VECTOR('',#420593,10.); #129398=VECTOR('',#420594,10.); #129399=VECTOR('',#420597,10.); #129400=VECTOR('',#420598,10.); #129401=VECTOR('',#420599,10.); #129402=VECTOR('',#420602,10.); #129403=VECTOR('',#420603,10.); #129404=VECTOR('',#420604,10.); #129405=VECTOR('',#420607,10.); #129406=VECTOR('',#420608,10.); #129407=VECTOR('',#420617,0.140000000000002); #129408=VECTOR('',#420624,0.140000000000002); #129409=VECTOR('',#420631,0.140000000000002); #129410=VECTOR('',#420638,0.140000000000002); #129411=VECTOR('',#420645,0.140000000000002); #129412=VECTOR('',#420652,0.140000000000002); #129413=VECTOR('',#420657,10.); #129414=VECTOR('',#420658,10.); #129415=VECTOR('',#420659,10.); #129416=VECTOR('',#420660,10.); #129417=VECTOR('',#420663,10.); #129418=VECTOR('',#420664,10.); #129419=VECTOR('',#420665,10.); #129420=VECTOR('',#420668,10.); #129421=VECTOR('',#420669,10.); #129422=VECTOR('',#420670,10.); #129423=VECTOR('',#420673,10.); #129424=VECTOR('',#420674,10.); #129425=VECTOR('',#420681,10.); #129426=VECTOR('',#420682,10.); #129427=VECTOR('',#420683,10.); #129428=VECTOR('',#420684,10.); #129429=VECTOR('',#420687,10.); #129430=VECTOR('',#420688,10.); #129431=VECTOR('',#420689,10.); #129432=VECTOR('',#420692,10.); #129433=VECTOR('',#420693,10.); #129434=VECTOR('',#420694,10.); #129435=VECTOR('',#420697,10.); #129436=VECTOR('',#420698,10.); #129437=VECTOR('',#420705,10.); #129438=VECTOR('',#420706,10.); #129439=VECTOR('',#420707,10.); #129440=VECTOR('',#420708,10.); #129441=VECTOR('',#420711,10.); #129442=VECTOR('',#420712,10.); #129443=VECTOR('',#420713,10.); #129444=VECTOR('',#420716,10.); #129445=VECTOR('',#420717,10.); #129446=VECTOR('',#420718,10.); #129447=VECTOR('',#420721,10.); #129448=VECTOR('',#420722,10.); #129449=VECTOR('',#420731,0.139999999999998); #129450=VECTOR('',#420738,0.139999999999998); #129451=VECTOR('',#420745,0.139999999999998); #129452=VECTOR('',#420752,0.139999999999998); #129453=VECTOR('',#420759,0.139999999999998); #129454=VECTOR('',#420766,0.139999999999998); #129455=VECTOR('',#420773,0.139999999999998); #129456=VECTOR('',#420780,0.139999999999998); #129457=VECTOR('',#420787,0.139999999999998); #129458=VECTOR('',#420794,0.139999999999998); #129459=VECTOR('',#420801,0.139999999999998); #129460=VECTOR('',#420808,0.139999999999998); #129461=VECTOR('',#420815,0.139999999999998); #129462=VECTOR('',#420822,0.139999999999998); #129463=VECTOR('',#420829,0.139999999999998); #129464=VECTOR('',#420836,0.139999999999998); #129465=VECTOR('',#420843,0.139999999999998); #129466=VECTOR('',#420850,0.139999999999998); #129467=VECTOR('',#420857,0.139999999999998); #129468=VECTOR('',#420864,0.139999999999998); #129469=VECTOR('',#420871,0.139999999999998); #129470=VECTOR('',#420878,0.139999999999998); #129471=VECTOR('',#420885,0.139999999999998); #129472=VECTOR('',#420892,0.139999999999998); #129473=VECTOR('',#420899,0.139999999999998); #129474=VECTOR('',#420906,0.139999999999998); #129475=VECTOR('',#420913,0.139999999999998); #129476=VECTOR('',#420920,0.139999999999998); #129477=VECTOR('',#420927,0.139999999999998); #129478=VECTOR('',#420934,0.139999999999998); #129479=VECTOR('',#420941,0.139999999999998); #129480=VECTOR('',#420948,0.139999999999998); #129481=VECTOR('',#420955,0.139999999999998); #129482=VECTOR('',#420962,0.139999999999998); #129483=VECTOR('',#420969,0.139999999999998); #129484=VECTOR('',#420976,0.139999999999998); #129485=VECTOR('',#420983,0.139999999999998); #129486=VECTOR('',#420990,0.139999999999998); #129487=VECTOR('',#420997,0.139999999999998); #129488=VECTOR('',#421004,0.139999999999998); #129489=VECTOR('',#421011,0.139999999999998); #129490=VECTOR('',#421018,0.139999999999998); #129491=VECTOR('',#421025,0.139999999999998); #129492=VECTOR('',#421032,0.139999999999998); #129493=VECTOR('',#421039,0.139999999999998); #129494=VECTOR('',#421046,0.139999999999998); #129495=VECTOR('',#421053,0.139999999999998); #129496=VECTOR('',#421060,0.139999999999998); #129497=VECTOR('',#421067,0.139999999999998); #129498=VECTOR('',#421072,10.); #129499=VECTOR('',#421073,10.); #129500=VECTOR('',#421074,10.); #129501=VECTOR('',#421075,10.); #129502=VECTOR('',#421078,10.); #129503=VECTOR('',#421079,10.); #129504=VECTOR('',#421080,10.); #129505=VECTOR('',#421083,10.); #129506=VECTOR('',#421084,10.); #129507=VECTOR('',#421085,10.); #129508=VECTOR('',#421088,10.); #129509=VECTOR('',#421089,10.); #129510=VECTOR('',#421096,10.); #129511=VECTOR('',#421097,10.); #129512=VECTOR('',#421098,10.); #129513=VECTOR('',#421099,10.); #129514=VECTOR('',#421102,10.); #129515=VECTOR('',#421103,10.); #129516=VECTOR('',#421104,10.); #129517=VECTOR('',#421107,10.); #129518=VECTOR('',#421108,10.); #129519=VECTOR('',#421109,10.); #129520=VECTOR('',#421112,10.); #129521=VECTOR('',#421113,10.); #129522=VECTOR('',#421120,10.); #129523=VECTOR('',#421121,10.); #129524=VECTOR('',#421122,10.); #129525=VECTOR('',#421123,10.); #129526=VECTOR('',#421126,10.); #129527=VECTOR('',#421127,10.); #129528=VECTOR('',#421128,10.); #129529=VECTOR('',#421131,10.); #129530=VECTOR('',#421132,10.); #129531=VECTOR('',#421133,10.); #129532=VECTOR('',#421136,10.); #129533=VECTOR('',#421137,10.); #129534=VECTOR('',#421144,10.); #129535=VECTOR('',#421145,10.); #129536=VECTOR('',#421146,10.); #129537=VECTOR('',#421147,10.); #129538=VECTOR('',#421150,10.); #129539=VECTOR('',#421151,10.); #129540=VECTOR('',#421152,10.); #129541=VECTOR('',#421155,10.); #129542=VECTOR('',#421156,10.); #129543=VECTOR('',#421157,10.); #129544=VECTOR('',#421160,10.); #129545=VECTOR('',#421161,10.); #129546=VECTOR('',#421168,10.); #129547=VECTOR('',#421169,10.); #129548=VECTOR('',#421170,10.); #129549=VECTOR('',#421171,10.); #129550=VECTOR('',#421174,10.); #129551=VECTOR('',#421175,10.); #129552=VECTOR('',#421176,10.); #129553=VECTOR('',#421179,10.); #129554=VECTOR('',#421180,10.); #129555=VECTOR('',#421181,10.); #129556=VECTOR('',#421184,10.); #129557=VECTOR('',#421185,10.); #129558=VECTOR('',#421192,10.); #129559=VECTOR('',#421193,10.); #129560=VECTOR('',#421194,10.); #129561=VECTOR('',#421195,10.); #129562=VECTOR('',#421198,10.); #129563=VECTOR('',#421199,10.); #129564=VECTOR('',#421200,10.); #129565=VECTOR('',#421203,10.); #129566=VECTOR('',#421204,10.); #129567=VECTOR('',#421205,10.); #129568=VECTOR('',#421208,10.); #129569=VECTOR('',#421209,10.); #129570=VECTOR('',#421216,10.); #129571=VECTOR('',#421217,10.); #129572=VECTOR('',#421218,10.); #129573=VECTOR('',#421219,10.); #129574=VECTOR('',#421222,10.); #129575=VECTOR('',#421223,10.); #129576=VECTOR('',#421224,10.); #129577=VECTOR('',#421227,10.); #129578=VECTOR('',#421228,10.); #129579=VECTOR('',#421229,10.); #129580=VECTOR('',#421232,10.); #129581=VECTOR('',#421233,10.); #129582=VECTOR('',#421240,10.); #129583=VECTOR('',#421241,10.); #129584=VECTOR('',#421242,10.); #129585=VECTOR('',#421243,10.); #129586=VECTOR('',#421246,10.); #129587=VECTOR('',#421247,10.); #129588=VECTOR('',#421248,10.); #129589=VECTOR('',#421251,10.); #129590=VECTOR('',#421252,10.); #129591=VECTOR('',#421253,10.); #129592=VECTOR('',#421256,10.); #129593=VECTOR('',#421257,10.); #129594=VECTOR('',#421264,10.); #129595=VECTOR('',#421265,10.); #129596=VECTOR('',#421266,10.); #129597=VECTOR('',#421267,10.); #129598=VECTOR('',#421270,10.); #129599=VECTOR('',#421271,10.); #129600=VECTOR('',#421272,10.); #129601=VECTOR('',#421275,10.); #129602=VECTOR('',#421276,10.); #129603=VECTOR('',#421277,10.); #129604=VECTOR('',#421280,10.); #129605=VECTOR('',#421281,10.); #129606=VECTOR('',#421288,10.); #129607=VECTOR('',#421289,10.); #129608=VECTOR('',#421290,10.); #129609=VECTOR('',#421291,10.); #129610=VECTOR('',#421294,10.); #129611=VECTOR('',#421295,10.); #129612=VECTOR('',#421296,10.); #129613=VECTOR('',#421299,10.); #129614=VECTOR('',#421300,10.); #129615=VECTOR('',#421301,10.); #129616=VECTOR('',#421304,10.); #129617=VECTOR('',#421305,10.); #129618=VECTOR('',#421312,10.); #129619=VECTOR('',#421313,10.); #129620=VECTOR('',#421314,10.); #129621=VECTOR('',#421315,10.); #129622=VECTOR('',#421318,10.); #129623=VECTOR('',#421319,10.); #129624=VECTOR('',#421320,10.); #129625=VECTOR('',#421323,10.); #129626=VECTOR('',#421324,10.); #129627=VECTOR('',#421325,10.); #129628=VECTOR('',#421328,10.); #129629=VECTOR('',#421329,10.); #129630=VECTOR('',#421336,10.); #129631=VECTOR('',#421337,10.); #129632=VECTOR('',#421338,10.); #129633=VECTOR('',#421339,10.); #129634=VECTOR('',#421342,10.); #129635=VECTOR('',#421343,10.); #129636=VECTOR('',#421344,10.); #129637=VECTOR('',#421347,10.); #129638=VECTOR('',#421348,10.); #129639=VECTOR('',#421349,10.); #129640=VECTOR('',#421352,10.); #129641=VECTOR('',#421353,10.); #129642=VECTOR('',#421360,10.); #129643=VECTOR('',#421361,10.); #129644=VECTOR('',#421362,10.); #129645=VECTOR('',#421363,10.); #129646=VECTOR('',#421366,10.); #129647=VECTOR('',#421367,10.); #129648=VECTOR('',#421368,10.); #129649=VECTOR('',#421371,10.); #129650=VECTOR('',#421372,10.); #129651=VECTOR('',#421373,10.); #129652=VECTOR('',#421376,10.); #129653=VECTOR('',#421377,10.); #129654=VECTOR('',#421384,10.); #129655=VECTOR('',#421385,10.); #129656=VECTOR('',#421386,10.); #129657=VECTOR('',#421387,10.); #129658=VECTOR('',#421390,10.); #129659=VECTOR('',#421391,10.); #129660=VECTOR('',#421392,10.); #129661=VECTOR('',#421395,10.); #129662=VECTOR('',#421396,10.); #129663=VECTOR('',#421397,10.); #129664=VECTOR('',#421400,10.); #129665=VECTOR('',#421401,10.); #129666=VECTOR('',#421408,10.); #129667=VECTOR('',#421409,10.); #129668=VECTOR('',#421410,10.); #129669=VECTOR('',#421411,10.); #129670=VECTOR('',#421414,10.); #129671=VECTOR('',#421415,10.); #129672=VECTOR('',#421416,10.); #129673=VECTOR('',#421419,10.); #129674=VECTOR('',#421420,10.); #129675=VECTOR('',#421421,10.); #129676=VECTOR('',#421424,10.); #129677=VECTOR('',#421425,10.); #129678=VECTOR('',#421432,10.); #129679=VECTOR('',#421433,10.); #129680=VECTOR('',#421434,10.); #129681=VECTOR('',#421435,10.); #129682=VECTOR('',#421438,10.); #129683=VECTOR('',#421439,10.); #129684=VECTOR('',#421440,10.); #129685=VECTOR('',#421443,10.); #129686=VECTOR('',#421444,10.); #129687=VECTOR('',#421445,10.); #129688=VECTOR('',#421448,10.); #129689=VECTOR('',#421449,10.); #129690=VECTOR('',#421456,10.); #129691=VECTOR('',#421457,10.); #129692=VECTOR('',#421458,10.); #129693=VECTOR('',#421459,10.); #129694=VECTOR('',#421462,10.); #129695=VECTOR('',#421463,10.); #129696=VECTOR('',#421464,10.); #129697=VECTOR('',#421467,10.); #129698=VECTOR('',#421468,10.); #129699=VECTOR('',#421469,10.); #129700=VECTOR('',#421472,10.); #129701=VECTOR('',#421473,10.); #129702=VECTOR('',#421480,10.); #129703=VECTOR('',#421481,10.); #129704=VECTOR('',#421482,10.); #129705=VECTOR('',#421483,10.); #129706=VECTOR('',#421486,10.); #129707=VECTOR('',#421487,10.); #129708=VECTOR('',#421488,10.); #129709=VECTOR('',#421491,10.); #129710=VECTOR('',#421492,10.); #129711=VECTOR('',#421493,10.); #129712=VECTOR('',#421496,10.); #129713=VECTOR('',#421497,10.); #129714=VECTOR('',#421504,10.); #129715=VECTOR('',#421505,10.); #129716=VECTOR('',#421506,10.); #129717=VECTOR('',#421507,10.); #129718=VECTOR('',#421510,10.); #129719=VECTOR('',#421511,10.); #129720=VECTOR('',#421512,10.); #129721=VECTOR('',#421515,10.); #129722=VECTOR('',#421516,10.); #129723=VECTOR('',#421517,10.); #129724=VECTOR('',#421520,10.); #129725=VECTOR('',#421521,10.); #129726=VECTOR('',#421530,0.139999999999998); #129727=VECTOR('',#421535,10.); #129728=VECTOR('',#421536,10.); #129729=VECTOR('',#421537,10.); #129730=VECTOR('',#421538,10.); #129731=VECTOR('',#421541,10.); #129732=VECTOR('',#421542,10.); #129733=VECTOR('',#421543,10.); #129734=VECTOR('',#421546,10.); #129735=VECTOR('',#421547,10.); #129736=VECTOR('',#421548,10.); #129737=VECTOR('',#421551,10.); #129738=VECTOR('',#421552,10.); #129739=VECTOR('',#421559,10.); #129740=VECTOR('',#421560,10.); #129741=VECTOR('',#421561,10.); #129742=VECTOR('',#421562,10.); #129743=VECTOR('',#421565,10.); #129744=VECTOR('',#421566,10.); #129745=VECTOR('',#421567,10.); #129746=VECTOR('',#421570,10.); #129747=VECTOR('',#421571,10.); #129748=VECTOR('',#421572,10.); #129749=VECTOR('',#421575,10.); #129750=VECTOR('',#421576,10.); #129751=VECTOR('',#421583,10.); #129752=VECTOR('',#421584,10.); #129753=VECTOR('',#421585,10.); #129754=VECTOR('',#421586,10.); #129755=VECTOR('',#421589,10.); #129756=VECTOR('',#421590,10.); #129757=VECTOR('',#421591,10.); #129758=VECTOR('',#421594,10.); #129759=VECTOR('',#421595,10.); #129760=VECTOR('',#421596,10.); #129761=VECTOR('',#421599,10.); #129762=VECTOR('',#421600,10.); #129763=VECTOR('',#421609,0.139999999999998); #129764=VECTOR('',#421614,10.); #129765=VECTOR('',#421615,10.); #129766=VECTOR('',#421616,10.); #129767=VECTOR('',#421617,10.); #129768=VECTOR('',#421620,10.); #129769=VECTOR('',#421621,10.); #129770=VECTOR('',#421622,10.); #129771=VECTOR('',#421625,10.); #129772=VECTOR('',#421626,10.); #129773=VECTOR('',#421627,10.); #129774=VECTOR('',#421630,10.); #129775=VECTOR('',#421631,10.); #129776=VECTOR('',#421638,10.); #129777=VECTOR('',#421639,10.); #129778=VECTOR('',#421640,10.); #129779=VECTOR('',#421641,10.); #129780=VECTOR('',#421644,10.); #129781=VECTOR('',#421645,10.); #129782=VECTOR('',#421646,10.); #129783=VECTOR('',#421649,10.); #129784=VECTOR('',#421650,10.); #129785=VECTOR('',#421651,10.); #129786=VECTOR('',#421654,10.); #129787=VECTOR('',#421655,10.); #129788=VECTOR('',#421662,10.); #129789=VECTOR('',#421663,10.); #129790=VECTOR('',#421664,10.); #129791=VECTOR('',#421665,10.); #129792=VECTOR('',#421668,10.); #129793=VECTOR('',#421669,10.); #129794=VECTOR('',#421670,10.); #129795=VECTOR('',#421673,10.); #129796=VECTOR('',#421674,10.); #129797=VECTOR('',#421675,10.); #129798=VECTOR('',#421678,10.); #129799=VECTOR('',#421679,10.); #129800=VECTOR('',#421686,10.); #129801=VECTOR('',#421687,10.); #129802=VECTOR('',#421688,10.); #129803=VECTOR('',#421689,10.); #129804=VECTOR('',#421692,10.); #129805=VECTOR('',#421693,10.); #129806=VECTOR('',#421694,10.); #129807=VECTOR('',#421697,10.); #129808=VECTOR('',#421698,10.); #129809=VECTOR('',#421699,10.); #129810=VECTOR('',#421702,10.); #129811=VECTOR('',#421703,10.); #129812=VECTOR('',#421710,10.); #129813=VECTOR('',#421711,10.); #129814=VECTOR('',#421712,10.); #129815=VECTOR('',#421713,10.); #129816=VECTOR('',#421716,10.); #129817=VECTOR('',#421717,10.); #129818=VECTOR('',#421718,10.); #129819=VECTOR('',#421721,10.); #129820=VECTOR('',#421722,10.); #129821=VECTOR('',#421723,10.); #129822=VECTOR('',#421726,10.); #129823=VECTOR('',#421727,10.); #129824=VECTOR('',#421734,10.); #129825=VECTOR('',#421735,10.); #129826=VECTOR('',#421736,10.); #129827=VECTOR('',#421737,10.); #129828=VECTOR('',#421740,10.); #129829=VECTOR('',#421741,10.); #129830=VECTOR('',#421742,10.); #129831=VECTOR('',#421745,10.); #129832=VECTOR('',#421746,10.); #129833=VECTOR('',#421747,10.); #129834=VECTOR('',#421750,10.); #129835=VECTOR('',#421751,10.); #129836=VECTOR('',#421758,10.); #129837=VECTOR('',#421759,10.); #129838=VECTOR('',#421760,10.); #129839=VECTOR('',#421761,10.); #129840=VECTOR('',#421764,10.); #129841=VECTOR('',#421765,10.); #129842=VECTOR('',#421766,10.); #129843=VECTOR('',#421769,10.); #129844=VECTOR('',#421770,10.); #129845=VECTOR('',#421771,10.); #129846=VECTOR('',#421774,10.); #129847=VECTOR('',#421775,10.); #129848=VECTOR('',#421782,10.); #129849=VECTOR('',#421783,10.); #129850=VECTOR('',#421784,10.); #129851=VECTOR('',#421785,10.); #129852=VECTOR('',#421788,10.); #129853=VECTOR('',#421789,10.); #129854=VECTOR('',#421790,10.); #129855=VECTOR('',#421793,10.); #129856=VECTOR('',#421794,10.); #129857=VECTOR('',#421795,10.); #129858=VECTOR('',#421798,10.); #129859=VECTOR('',#421799,10.); #129860=VECTOR('',#421806,10.); #129861=VECTOR('',#421807,10.); #129862=VECTOR('',#421808,10.); #129863=VECTOR('',#421809,10.); #129864=VECTOR('',#421812,10.); #129865=VECTOR('',#421813,10.); #129866=VECTOR('',#421814,10.); #129867=VECTOR('',#421817,10.); #129868=VECTOR('',#421818,10.); #129869=VECTOR('',#421819,10.); #129870=VECTOR('',#421822,10.); #129871=VECTOR('',#421823,10.); #129872=VECTOR('',#421830,10.); #129873=VECTOR('',#421831,10.); #129874=VECTOR('',#421832,10.); #129875=VECTOR('',#421833,10.); #129876=VECTOR('',#421836,10.); #129877=VECTOR('',#421837,10.); #129878=VECTOR('',#421838,10.); #129879=VECTOR('',#421841,10.); #129880=VECTOR('',#421842,10.); #129881=VECTOR('',#421843,10.); #129882=VECTOR('',#421846,10.); #129883=VECTOR('',#421847,10.); #129884=VECTOR('',#421854,10.); #129885=VECTOR('',#421855,10.); #129886=VECTOR('',#421856,10.); #129887=VECTOR('',#421857,10.); #129888=VECTOR('',#421860,10.); #129889=VECTOR('',#421861,10.); #129890=VECTOR('',#421862,10.); #129891=VECTOR('',#421865,10.); #129892=VECTOR('',#421866,10.); #129893=VECTOR('',#421867,10.); #129894=VECTOR('',#421870,10.); #129895=VECTOR('',#421871,10.); #129896=VECTOR('',#421878,10.); #129897=VECTOR('',#421879,10.); #129898=VECTOR('',#421880,10.); #129899=VECTOR('',#421881,10.); #129900=VECTOR('',#421884,10.); #129901=VECTOR('',#421885,10.); #129902=VECTOR('',#421886,10.); #129903=VECTOR('',#421889,10.); #129904=VECTOR('',#421890,10.); #129905=VECTOR('',#421891,10.); #129906=VECTOR('',#421894,10.); #129907=VECTOR('',#421895,10.); #129908=VECTOR('',#421902,10.); #129909=VECTOR('',#421905,10.); #129910=VECTOR('',#421910,10.); #129911=VECTOR('',#421913,10.); #129912=VECTOR('',#421920,0.14); #129913=VECTOR('',#421925,10.); #129914=VECTOR('',#421928,10.); #129915=VECTOR('',#421933,10.); #129916=VECTOR('',#421936,10.); #129917=VECTOR('',#421941,10.); #129918=VECTOR('',#421944,10.); #129919=VECTOR('',#421949,10.); #129920=VECTOR('',#421952,10.); #129921=VECTOR('',#421957,10.); #129922=VECTOR('',#421960,10.); #129923=VECTOR('',#421965,10.); #129924=VECTOR('',#421968,10.); #129925=VECTOR('',#421973,10.); #129926=VECTOR('',#421974,10.); #129927=VECTOR('',#421975,10.); #129928=VECTOR('',#421978,10.); #129929=VECTOR('',#421979,10.); #129930=VECTOR('',#421982,10.); #129931=VECTOR('',#421983,10.); #129932=VECTOR('',#421984,10.); #129933=VECTOR('',#421987,10.); #129934=VECTOR('',#421988,10.); #129935=VECTOR('',#421991,10.); #129936=VECTOR('',#421992,10.); #129937=VECTOR('',#421995,10.); #129938=VECTOR('',#421996,10.); #129939=VECTOR('',#421999,10.); #129940=VECTOR('',#422000,10.); #129941=VECTOR('',#422003,10.); #129942=VECTOR('',#422004,10.); #129943=VECTOR('',#422007,10.); #129944=VECTOR('',#422008,10.); #129945=VECTOR('',#422011,10.); #129946=VECTOR('',#422012,10.); #129947=VECTOR('',#422021,0.139999999999998); #129948=VECTOR('',#422026,10.); #129949=VECTOR('',#422027,10.); #129950=VECTOR('',#422028,10.); #129951=VECTOR('',#422029,10.); #129952=VECTOR('',#422032,10.); #129953=VECTOR('',#422033,10.); #129954=VECTOR('',#422034,10.); #129955=VECTOR('',#422037,10.); #129956=VECTOR('',#422038,10.); #129957=VECTOR('',#422039,10.); #129958=VECTOR('',#422042,10.); #129959=VECTOR('',#422043,10.); #129960=VECTOR('',#422050,10.); #129961=VECTOR('',#422051,10.); #129962=VECTOR('',#422052,10.); #129963=VECTOR('',#422053,10.); #129964=VECTOR('',#422056,10.); #129965=VECTOR('',#422057,10.); #129966=VECTOR('',#422058,10.); #129967=VECTOR('',#422061,10.); #129968=VECTOR('',#422062,10.); #129969=VECTOR('',#422063,10.); #129970=VECTOR('',#422066,10.); #129971=VECTOR('',#422067,10.); #129972=VECTOR('',#422074,10.); #129973=VECTOR('',#422075,10.); #129974=VECTOR('',#422076,10.); #129975=VECTOR('',#422077,10.); #129976=VECTOR('',#422080,10.); #129977=VECTOR('',#422081,10.); #129978=VECTOR('',#422082,10.); #129979=VECTOR('',#422085,10.); #129980=VECTOR('',#422086,10.); #129981=VECTOR('',#422087,10.); #129982=VECTOR('',#422090,10.); #129983=VECTOR('',#422091,10.); #129984=VECTOR('',#422098,10.); #129985=VECTOR('',#422099,10.); #129986=VECTOR('',#422100,10.); #129987=VECTOR('',#422101,10.); #129988=VECTOR('',#422104,10.); #129989=VECTOR('',#422105,10.); #129990=VECTOR('',#422106,10.); #129991=VECTOR('',#422109,10.); #129992=VECTOR('',#422110,10.); #129993=VECTOR('',#422111,10.); #129994=VECTOR('',#422114,10.); #129995=VECTOR('',#422115,10.); #129996=VECTOR('',#422122,10.); #129997=VECTOR('',#422123,10.); #129998=VECTOR('',#422124,10.); #129999=VECTOR('',#422125,10.); #130000=VECTOR('',#422128,10.); #130001=VECTOR('',#422129,10.); #130002=VECTOR('',#422130,10.); #130003=VECTOR('',#422133,10.); #130004=VECTOR('',#422134,10.); #130005=VECTOR('',#422135,10.); #130006=VECTOR('',#422138,10.); #130007=VECTOR('',#422139,10.); #130008=VECTOR('',#422146,10.); #130009=VECTOR('',#422147,10.); #130010=VECTOR('',#422148,10.); #130011=VECTOR('',#422149,10.); #130012=VECTOR('',#422152,10.); #130013=VECTOR('',#422153,10.); #130014=VECTOR('',#422154,10.); #130015=VECTOR('',#422157,10.); #130016=VECTOR('',#422158,10.); #130017=VECTOR('',#422159,10.); #130018=VECTOR('',#422162,10.); #130019=VECTOR('',#422163,10.); #130020=VECTOR('',#422172,0.139999999999998); #130021=VECTOR('',#422177,10.); #130022=VECTOR('',#422178,10.); #130023=VECTOR('',#422179,10.); #130024=VECTOR('',#422180,10.); #130025=VECTOR('',#422183,10.); #130026=VECTOR('',#422184,10.); #130027=VECTOR('',#422185,10.); #130028=VECTOR('',#422188,10.); #130029=VECTOR('',#422189,10.); #130030=VECTOR('',#422190,10.); #130031=VECTOR('',#422193,10.); #130032=VECTOR('',#422194,10.); #130033=VECTOR('',#422203,0.139999999999998); #130034=VECTOR('',#422208,10.); #130035=VECTOR('',#422209,10.); #130036=VECTOR('',#422210,10.); #130037=VECTOR('',#422211,10.); #130038=VECTOR('',#422214,10.); #130039=VECTOR('',#422215,10.); #130040=VECTOR('',#422216,10.); #130041=VECTOR('',#422219,10.); #130042=VECTOR('',#422220,10.); #130043=VECTOR('',#422221,10.); #130044=VECTOR('',#422224,10.); #130045=VECTOR('',#422225,10.); #130046=VECTOR('',#422232,10.); #130047=VECTOR('',#422233,10.); #130048=VECTOR('',#422234,10.); #130049=VECTOR('',#422235,10.); #130050=VECTOR('',#422238,10.); #130051=VECTOR('',#422239,10.); #130052=VECTOR('',#422240,10.); #130053=VECTOR('',#422243,10.); #130054=VECTOR('',#422244,10.); #130055=VECTOR('',#422245,10.); #130056=VECTOR('',#422248,10.); #130057=VECTOR('',#422249,10.); #130058=VECTOR('',#422256,10.); #130059=VECTOR('',#422257,10.); #130060=VECTOR('',#422258,10.); #130061=VECTOR('',#422259,10.); #130062=VECTOR('',#422262,10.); #130063=VECTOR('',#422263,10.); #130064=VECTOR('',#422264,10.); #130065=VECTOR('',#422267,10.); #130066=VECTOR('',#422268,10.); #130067=VECTOR('',#422269,10.); #130068=VECTOR('',#422272,10.); #130069=VECTOR('',#422273,10.); #130070=VECTOR('',#422280,10.); #130071=VECTOR('',#422281,10.); #130072=VECTOR('',#422282,10.); #130073=VECTOR('',#422283,10.); #130074=VECTOR('',#422286,10.); #130075=VECTOR('',#422287,10.); #130076=VECTOR('',#422288,10.); #130077=VECTOR('',#422291,10.); #130078=VECTOR('',#422292,10.); #130079=VECTOR('',#422293,10.); #130080=VECTOR('',#422296,10.); #130081=VECTOR('',#422297,10.); #130082=VECTOR('',#422304,10.); #130083=VECTOR('',#422305,10.); #130084=VECTOR('',#422306,10.); #130085=VECTOR('',#422307,10.); #130086=VECTOR('',#422310,10.); #130087=VECTOR('',#422311,10.); #130088=VECTOR('',#422312,10.); #130089=VECTOR('',#422315,10.); #130090=VECTOR('',#422316,10.); #130091=VECTOR('',#422317,10.); #130092=VECTOR('',#422320,10.); #130093=VECTOR('',#422321,10.); #130094=VECTOR('',#422328,10.); #130095=VECTOR('',#422329,10.); #130096=VECTOR('',#422330,10.); #130097=VECTOR('',#422331,10.); #130098=VECTOR('',#422334,10.); #130099=VECTOR('',#422335,10.); #130100=VECTOR('',#422336,10.); #130101=VECTOR('',#422339,10.); #130102=VECTOR('',#422340,10.); #130103=VECTOR('',#422341,10.); #130104=VECTOR('',#422344,10.); #130105=VECTOR('',#422345,10.); #130106=VECTOR('',#422352,10.); #130107=VECTOR('',#422353,10.); #130108=VECTOR('',#422354,10.); #130109=VECTOR('',#422355,10.); #130110=VECTOR('',#422358,10.); #130111=VECTOR('',#422359,10.); #130112=VECTOR('',#422360,10.); #130113=VECTOR('',#422363,10.); #130114=VECTOR('',#422364,10.); #130115=VECTOR('',#422365,10.); #130116=VECTOR('',#422368,10.); #130117=VECTOR('',#422369,10.); #130118=VECTOR('',#422376,10.); #130119=VECTOR('',#422377,10.); #130120=VECTOR('',#422378,10.); #130121=VECTOR('',#422379,10.); #130122=VECTOR('',#422382,10.); #130123=VECTOR('',#422383,10.); #130124=VECTOR('',#422384,10.); #130125=VECTOR('',#422387,10.); #130126=VECTOR('',#422388,10.); #130127=VECTOR('',#422389,10.); #130128=VECTOR('',#422392,10.); #130129=VECTOR('',#422393,10.); #130130=VECTOR('',#422400,10.); #130131=VECTOR('',#422401,10.); #130132=VECTOR('',#422402,10.); #130133=VECTOR('',#422403,10.); #130134=VECTOR('',#422406,10.); #130135=VECTOR('',#422407,10.); #130136=VECTOR('',#422408,10.); #130137=VECTOR('',#422411,10.); #130138=VECTOR('',#422412,10.); #130139=VECTOR('',#422413,10.); #130140=VECTOR('',#422416,10.); #130141=VECTOR('',#422417,10.); #130142=VECTOR('',#422424,10.); #130143=VECTOR('',#422425,10.); #130144=VECTOR('',#422426,10.); #130145=VECTOR('',#422427,10.); #130146=VECTOR('',#422430,10.); #130147=VECTOR('',#422431,10.); #130148=VECTOR('',#422432,10.); #130149=VECTOR('',#422435,10.); #130150=VECTOR('',#422436,10.); #130151=VECTOR('',#422437,10.); #130152=VECTOR('',#422440,10.); #130153=VECTOR('',#422441,10.); #130154=VECTOR('',#422448,10.); #130155=VECTOR('',#422449,10.); #130156=VECTOR('',#422450,10.); #130157=VECTOR('',#422451,10.); #130158=VECTOR('',#422454,10.); #130159=VECTOR('',#422455,10.); #130160=VECTOR('',#422456,10.); #130161=VECTOR('',#422459,10.); #130162=VECTOR('',#422460,10.); #130163=VECTOR('',#422461,10.); #130164=VECTOR('',#422464,10.); #130165=VECTOR('',#422465,10.); #130166=VECTOR('',#422472,10.); #130167=VECTOR('',#422473,10.); #130168=VECTOR('',#422474,10.); #130169=VECTOR('',#422475,10.); #130170=VECTOR('',#422478,10.); #130171=VECTOR('',#422479,10.); #130172=VECTOR('',#422480,10.); #130173=VECTOR('',#422483,10.); #130174=VECTOR('',#422484,10.); #130175=VECTOR('',#422485,10.); #130176=VECTOR('',#422488,10.); #130177=VECTOR('',#422489,10.); #130178=VECTOR('',#422498,0.140000000000002); #130179=VECTOR('',#422505,0.140000000000002); #130180=VECTOR('',#422512,0.140000000000002); #130181=VECTOR('',#422519,0.140000000000002); #130182=VECTOR('',#422526,0.140000000000002); #130183=VECTOR('',#422533,0.140000000000002); #130184=VECTOR('',#422538,10.); #130185=VECTOR('',#422539,10.); #130186=VECTOR('',#422540,10.); #130187=VECTOR('',#422541,10.); #130188=VECTOR('',#422544,10.); #130189=VECTOR('',#422545,10.); #130190=VECTOR('',#422546,10.); #130191=VECTOR('',#422549,10.); #130192=VECTOR('',#422550,10.); #130193=VECTOR('',#422551,10.); #130194=VECTOR('',#422554,10.); #130195=VECTOR('',#422555,10.); #130196=VECTOR('',#422562,10.); #130197=VECTOR('',#422563,10.); #130198=VECTOR('',#422564,10.); #130199=VECTOR('',#422565,10.); #130200=VECTOR('',#422568,10.); #130201=VECTOR('',#422569,10.); #130202=VECTOR('',#422570,10.); #130203=VECTOR('',#422573,10.); #130204=VECTOR('',#422574,10.); #130205=VECTOR('',#422575,10.); #130206=VECTOR('',#422578,10.); #130207=VECTOR('',#422579,10.); #130208=VECTOR('',#422586,10.); #130209=VECTOR('',#422587,10.); #130210=VECTOR('',#422588,10.); #130211=VECTOR('',#422589,10.); #130212=VECTOR('',#422592,10.); #130213=VECTOR('',#422593,10.); #130214=VECTOR('',#422594,10.); #130215=VECTOR('',#422597,10.); #130216=VECTOR('',#422598,10.); #130217=VECTOR('',#422599,10.); #130218=VECTOR('',#422602,10.); #130219=VECTOR('',#422603,10.); #130220=VECTOR('',#422610,10.); #130221=VECTOR('',#422611,10.); #130222=VECTOR('',#422612,10.); #130223=VECTOR('',#422613,10.); #130224=VECTOR('',#422616,10.); #130225=VECTOR('',#422617,10.); #130226=VECTOR('',#422618,10.); #130227=VECTOR('',#422621,10.); #130228=VECTOR('',#422622,10.); #130229=VECTOR('',#422623,10.); #130230=VECTOR('',#422626,10.); #130231=VECTOR('',#422627,10.); #130232=VECTOR('',#422634,10.); #130233=VECTOR('',#422637,10.); #130234=VECTOR('',#422644,0.140000000000002); #130235=VECTOR('',#422649,10.); #130236=VECTOR('',#422652,10.); #130237=VECTOR('',#422657,10.); #130238=VECTOR('',#422660,10.); #130239=VECTOR('',#422665,10.); #130240=VECTOR('',#422668,10.); #130241=VECTOR('',#422675,0.140000000000002); #130242=VECTOR('',#422680,10.); #130243=VECTOR('',#422681,10.); #130244=VECTOR('',#422682,10.); #130245=VECTOR('',#422685,10.); #130246=VECTOR('',#422686,10.); #130247=VECTOR('',#422687,10.); #130248=VECTOR('',#422690,10.); #130249=VECTOR('',#422691,10.); #130250=VECTOR('',#422694,10.); #130251=VECTOR('',#422695,10.); #130252=VECTOR('',#422696,10.); #130253=VECTOR('',#422699,10.); #130254=VECTOR('',#422700,10.); #130255=VECTOR('',#422701,10.); #130256=VECTOR('',#422704,10.); #130257=VECTOR('',#422705,10.); #130258=VECTOR('',#422708,10.); #130259=VECTOR('',#422709,10.); #130260=VECTOR('',#422712,10.); #130261=VECTOR('',#422715,10.); #130262=VECTOR('',#422720,10.); #130263=VECTOR('',#422721,10.); #130264=VECTOR('',#422722,10.); #130265=VECTOR('',#422723,10.); #130266=VECTOR('',#422726,10.); #130267=VECTOR('',#422727,10.); #130268=VECTOR('',#422728,10.); #130269=VECTOR('',#422731,10.); #130270=VECTOR('',#422732,10.); #130271=VECTOR('',#422733,10.); #130272=VECTOR('',#422736,10.); #130273=VECTOR('',#422737,10.); #130274=VECTOR('',#422744,10.); #130275=VECTOR('',#422745,10.); #130276=VECTOR('',#422746,10.); #130277=VECTOR('',#422747,10.); #130278=VECTOR('',#422750,10.); #130279=VECTOR('',#422751,10.); #130280=VECTOR('',#422752,10.); #130281=VECTOR('',#422755,10.); #130282=VECTOR('',#422756,10.); #130283=VECTOR('',#422757,10.); #130284=VECTOR('',#422760,10.); #130285=VECTOR('',#422761,10.); #130286=VECTOR('',#422768,10.); #130287=VECTOR('',#422769,10.); #130288=VECTOR('',#422770,10.); #130289=VECTOR('',#422771,10.); #130290=VECTOR('',#422774,10.); #130291=VECTOR('',#422775,10.); #130292=VECTOR('',#422776,10.); #130293=VECTOR('',#422779,10.); #130294=VECTOR('',#422780,10.); #130295=VECTOR('',#422781,10.); #130296=VECTOR('',#422784,10.); #130297=VECTOR('',#422785,10.); #130298=VECTOR('',#422792,10.); #130299=VECTOR('',#422793,10.); #130300=VECTOR('',#422794,10.); #130301=VECTOR('',#422795,10.); #130302=VECTOR('',#422798,10.); #130303=VECTOR('',#422799,10.); #130304=VECTOR('',#422800,10.); #130305=VECTOR('',#422803,10.); #130306=VECTOR('',#422804,10.); #130307=VECTOR('',#422805,10.); #130308=VECTOR('',#422808,10.); #130309=VECTOR('',#422809,10.); #130310=VECTOR('',#422816,10.); #130311=VECTOR('',#422817,10.); #130312=VECTOR('',#422818,10.); #130313=VECTOR('',#422819,10.); #130314=VECTOR('',#422822,10.); #130315=VECTOR('',#422823,10.); #130316=VECTOR('',#422824,10.); #130317=VECTOR('',#422827,10.); #130318=VECTOR('',#422828,10.); #130319=VECTOR('',#422829,10.); #130320=VECTOR('',#422832,10.); #130321=VECTOR('',#422833,10.); #130322=VECTOR('',#422840,10.); #130323=VECTOR('',#422841,10.); #130324=VECTOR('',#422842,10.); #130325=VECTOR('',#422843,10.); #130326=VECTOR('',#422846,10.); #130327=VECTOR('',#422847,10.); #130328=VECTOR('',#422848,10.); #130329=VECTOR('',#422851,10.); #130330=VECTOR('',#422852,10.); #130331=VECTOR('',#422853,10.); #130332=VECTOR('',#422856,10.); #130333=VECTOR('',#422857,10.); #130334=VECTOR('',#422864,10.); #130335=VECTOR('',#422865,10.); #130336=VECTOR('',#422866,10.); #130337=VECTOR('',#422867,10.); #130338=VECTOR('',#422870,10.); #130339=VECTOR('',#422871,10.); #130340=VECTOR('',#422872,10.); #130341=VECTOR('',#422875,10.); #130342=VECTOR('',#422876,10.); #130343=VECTOR('',#422877,10.); #130344=VECTOR('',#422880,10.); #130345=VECTOR('',#422881,10.); #130346=VECTOR('',#422888,10.); #130347=VECTOR('',#422889,10.); #130348=VECTOR('',#422890,10.); #130349=VECTOR('',#422891,10.); #130350=VECTOR('',#422894,10.); #130351=VECTOR('',#422895,10.); #130352=VECTOR('',#422896,10.); #130353=VECTOR('',#422899,10.); #130354=VECTOR('',#422900,10.); #130355=VECTOR('',#422901,10.); #130356=VECTOR('',#422904,10.); #130357=VECTOR('',#422905,10.); #130358=VECTOR('',#422912,10.); #130359=VECTOR('',#422913,10.); #130360=VECTOR('',#422914,10.); #130361=VECTOR('',#422915,10.); #130362=VECTOR('',#422918,10.); #130363=VECTOR('',#422919,10.); #130364=VECTOR('',#422920,10.); #130365=VECTOR('',#422923,10.); #130366=VECTOR('',#422924,10.); #130367=VECTOR('',#422925,10.); #130368=VECTOR('',#422928,10.); #130369=VECTOR('',#422929,10.); #130370=VECTOR('',#422936,10.); #130371=VECTOR('',#422937,10.); #130372=VECTOR('',#422938,10.); #130373=VECTOR('',#422939,10.); #130374=VECTOR('',#422942,10.); #130375=VECTOR('',#422943,10.); #130376=VECTOR('',#422944,10.); #130377=VECTOR('',#422947,10.); #130378=VECTOR('',#422948,10.); #130379=VECTOR('',#422949,10.); #130380=VECTOR('',#422952,10.); #130381=VECTOR('',#422953,10.); #130382=VECTOR('',#422960,10.); #130383=VECTOR('',#422961,10.); #130384=VECTOR('',#422962,10.); #130385=VECTOR('',#422963,10.); #130386=VECTOR('',#422966,10.); #130387=VECTOR('',#422967,10.); #130388=VECTOR('',#422968,10.); #130389=VECTOR('',#422971,10.); #130390=VECTOR('',#422972,10.); #130391=VECTOR('',#422973,10.); #130392=VECTOR('',#422976,10.); #130393=VECTOR('',#422977,10.); #130394=VECTOR('',#422984,10.); #130395=VECTOR('',#422985,10.); #130396=VECTOR('',#422986,10.); #130397=VECTOR('',#422987,10.); #130398=VECTOR('',#422990,10.); #130399=VECTOR('',#422991,10.); #130400=VECTOR('',#422992,10.); #130401=VECTOR('',#422995,10.); #130402=VECTOR('',#422996,10.); #130403=VECTOR('',#422997,10.); #130404=VECTOR('',#423000,10.); #130405=VECTOR('',#423001,10.); #130406=VECTOR('',#423008,10.); #130407=VECTOR('',#423009,10.); #130408=VECTOR('',#423010,10.); #130409=VECTOR('',#423011,10.); #130410=VECTOR('',#423014,10.); #130411=VECTOR('',#423015,10.); #130412=VECTOR('',#423016,10.); #130413=VECTOR('',#423019,10.); #130414=VECTOR('',#423020,10.); #130415=VECTOR('',#423021,10.); #130416=VECTOR('',#423024,10.); #130417=VECTOR('',#423025,10.); #130418=VECTOR('',#423032,10.); #130419=VECTOR('',#423033,10.); #130420=VECTOR('',#423034,10.); #130421=VECTOR('',#423035,10.); #130422=VECTOR('',#423038,10.); #130423=VECTOR('',#423039,10.); #130424=VECTOR('',#423040,10.); #130425=VECTOR('',#423043,10.); #130426=VECTOR('',#423044,10.); #130427=VECTOR('',#423045,10.); #130428=VECTOR('',#423048,10.); #130429=VECTOR('',#423049,10.); #130430=VECTOR('',#423056,10.); #130431=VECTOR('',#423057,10.); #130432=VECTOR('',#423058,10.); #130433=VECTOR('',#423059,10.); #130434=VECTOR('',#423062,10.); #130435=VECTOR('',#423063,10.); #130436=VECTOR('',#423064,10.); #130437=VECTOR('',#423067,10.); #130438=VECTOR('',#423068,10.); #130439=VECTOR('',#423069,10.); #130440=VECTOR('',#423072,10.); #130441=VECTOR('',#423073,10.); #130442=VECTOR('',#423080,10.); #130443=VECTOR('',#423081,10.); #130444=VECTOR('',#423082,10.); #130445=VECTOR('',#423083,10.); #130446=VECTOR('',#423086,10.); #130447=VECTOR('',#423087,10.); #130448=VECTOR('',#423088,10.); #130449=VECTOR('',#423091,10.); #130450=VECTOR('',#423092,10.); #130451=VECTOR('',#423093,10.); #130452=VECTOR('',#423096,10.); #130453=VECTOR('',#423097,10.); #130454=VECTOR('',#423104,10.); #130455=VECTOR('',#423105,10.); #130456=VECTOR('',#423106,10.); #130457=VECTOR('',#423107,10.); #130458=VECTOR('',#423110,10.); #130459=VECTOR('',#423111,10.); #130460=VECTOR('',#423112,10.); #130461=VECTOR('',#423115,10.); #130462=VECTOR('',#423116,10.); #130463=VECTOR('',#423117,10.); #130464=VECTOR('',#423120,10.); #130465=VECTOR('',#423121,10.); #130466=VECTOR('',#423128,10.); #130467=VECTOR('',#423129,10.); #130468=VECTOR('',#423130,10.); #130469=VECTOR('',#423131,10.); #130470=VECTOR('',#423134,10.); #130471=VECTOR('',#423135,10.); #130472=VECTOR('',#423136,10.); #130473=VECTOR('',#423139,10.); #130474=VECTOR('',#423140,10.); #130475=VECTOR('',#423141,10.); #130476=VECTOR('',#423144,10.); #130477=VECTOR('',#423145,10.); #130478=VECTOR('',#423152,10.); #130479=VECTOR('',#423153,10.); #130480=VECTOR('',#423154,10.); #130481=VECTOR('',#423155,10.); #130482=VECTOR('',#423158,10.); #130483=VECTOR('',#423159,10.); #130484=VECTOR('',#423160,10.); #130485=VECTOR('',#423163,10.); #130486=VECTOR('',#423164,10.); #130487=VECTOR('',#423165,10.); #130488=VECTOR('',#423168,10.); #130489=VECTOR('',#423169,10.); #130490=VECTOR('',#423176,10.); #130491=VECTOR('',#423177,10.); #130492=VECTOR('',#423178,10.); #130493=VECTOR('',#423179,10.); #130494=VECTOR('',#423182,10.); #130495=VECTOR('',#423183,10.); #130496=VECTOR('',#423184,10.); #130497=VECTOR('',#423187,10.); #130498=VECTOR('',#423188,10.); #130499=VECTOR('',#423189,10.); #130500=VECTOR('',#423192,10.); #130501=VECTOR('',#423193,10.); #130502=VECTOR('',#423200,10.); #130503=VECTOR('',#423201,10.); #130504=VECTOR('',#423202,10.); #130505=VECTOR('',#423203,10.); #130506=VECTOR('',#423206,10.); #130507=VECTOR('',#423207,10.); #130508=VECTOR('',#423208,10.); #130509=VECTOR('',#423211,10.); #130510=VECTOR('',#423212,10.); #130511=VECTOR('',#423213,10.); #130512=VECTOR('',#423216,10.); #130513=VECTOR('',#423217,10.); #130514=VECTOR('',#423228,0.564999999999998); #130515=VECTOR('',#423233,10.); #130516=VECTOR('',#423234,10.); #130517=VECTOR('',#423235,10.); #130518=VECTOR('',#423236,10.); #130519=VECTOR('',#423243,10.); #130520=VECTOR('',#423256,10.); #130521=VECTOR('',#423257,10.); #130522=VECTOR('',#423258,10.); #130523=VECTOR('',#423259,10.); #130524=VECTOR('',#423262,10.); #130525=VECTOR('',#423263,10.); #130526=VECTOR('',#423264,10.); #130527=VECTOR('',#423267,10.); #130528=VECTOR('',#423268,10.); #130529=VECTOR('',#423269,10.); #130530=VECTOR('',#423272,10.); #130531=VECTOR('',#423273,10.); #130532=VECTOR('',#423280,10.); #130533=VECTOR('',#423281,10.); #130534=VECTOR('',#423282,10.); #130535=VECTOR('',#423283,10.); #130536=VECTOR('',#423286,10.); #130537=VECTOR('',#423287,10.); #130538=VECTOR('',#423288,10.); #130539=VECTOR('',#423291,10.); #130540=VECTOR('',#423292,10.); #130541=VECTOR('',#423293,10.); #130542=VECTOR('',#423296,10.); #130543=VECTOR('',#423297,10.); #130544=VECTOR('',#423306,0.114999999999998); #130545=VECTOR('',#423313,0.114999999999998); #130546=VECTOR('',#423318,10.); #130547=VECTOR('',#423319,10.); #130548=VECTOR('',#423320,10.); #130549=VECTOR('',#423321,10.); #130550=VECTOR('',#423324,10.); #130551=VECTOR('',#423325,10.); #130552=VECTOR('',#423326,10.); #130553=VECTOR('',#423329,10.); #130554=VECTOR('',#423330,10.); #130555=VECTOR('',#423331,10.); #130556=VECTOR('',#423334,10.); #130557=VECTOR('',#423335,10.); #130558=VECTOR('',#423342,10.); #130559=VECTOR('',#423343,10.); #130560=VECTOR('',#423344,10.); #130561=VECTOR('',#423345,10.); #130562=VECTOR('',#423348,10.); #130563=VECTOR('',#423349,10.); #130564=VECTOR('',#423350,10.); #130565=VECTOR('',#423353,10.); #130566=VECTOR('',#423354,10.); #130567=VECTOR('',#423355,10.); #130568=VECTOR('',#423358,10.); #130569=VECTOR('',#423359,10.); #130570=VECTOR('',#423368,0.114999999999998); #130571=VECTOR('',#423375,0.114999999999998); #130572=VECTOR('',#423380,10.); #130573=VECTOR('',#423381,10.); #130574=VECTOR('',#423382,10.); #130575=VECTOR('',#423383,10.); #130576=VECTOR('',#423386,10.); #130577=VECTOR('',#423387,10.); #130578=VECTOR('',#423388,10.); #130579=VECTOR('',#423391,10.); #130580=VECTOR('',#423392,10.); #130581=VECTOR('',#423393,10.); #130582=VECTOR('',#423396,10.); #130583=VECTOR('',#423397,10.); #130584=VECTOR('',#423404,10.); #130585=VECTOR('',#423405,10.); #130586=VECTOR('',#423406,10.); #130587=VECTOR('',#423407,10.); #130588=VECTOR('',#423410,10.); #130589=VECTOR('',#423411,10.); #130590=VECTOR('',#423412,10.); #130591=VECTOR('',#423415,10.); #130592=VECTOR('',#423416,10.); #130593=VECTOR('',#423417,10.); #130594=VECTOR('',#423420,10.); #130595=VECTOR('',#423421,10.); #130596=VECTOR('',#423430,0.114999999999998); #130597=VECTOR('',#423437,0.114999999999998); #130598=VECTOR('',#423442,10.); #130599=VECTOR('',#423443,10.); #130600=VECTOR('',#423444,10.); #130601=VECTOR('',#423445,10.); #130602=VECTOR('',#423448,10.); #130603=VECTOR('',#423449,10.); #130604=VECTOR('',#423450,10.); #130605=VECTOR('',#423453,10.); #130606=VECTOR('',#423454,10.); #130607=VECTOR('',#423455,10.); #130608=VECTOR('',#423458,10.); #130609=VECTOR('',#423459,10.); #130610=VECTOR('',#423466,10.); #130611=VECTOR('',#423467,10.); #130612=VECTOR('',#423468,10.); #130613=VECTOR('',#423469,10.); #130614=VECTOR('',#423472,10.); #130615=VECTOR('',#423473,10.); #130616=VECTOR('',#423474,10.); #130617=VECTOR('',#423477,10.); #130618=VECTOR('',#423478,10.); #130619=VECTOR('',#423479,10.); #130620=VECTOR('',#423482,10.); #130621=VECTOR('',#423483,10.); #130622=VECTOR('',#423492,0.114999999999998); #130623=VECTOR('',#423499,0.114999999999998); #130624=VECTOR('',#423504,10.); #130625=VECTOR('',#423505,10.); #130626=VECTOR('',#423506,10.); #130627=VECTOR('',#423507,10.); #130628=VECTOR('',#423510,10.); #130629=VECTOR('',#423511,10.); #130630=VECTOR('',#423512,10.); #130631=VECTOR('',#423515,10.); #130632=VECTOR('',#423516,10.); #130633=VECTOR('',#423517,10.); #130634=VECTOR('',#423520,10.); #130635=VECTOR('',#423521,10.); #130636=VECTOR('',#423528,10.); #130637=VECTOR('',#423529,10.); #130638=VECTOR('',#423530,10.); #130639=VECTOR('',#423531,10.); #130640=VECTOR('',#423534,10.); #130641=VECTOR('',#423535,10.); #130642=VECTOR('',#423536,10.); #130643=VECTOR('',#423539,10.); #130644=VECTOR('',#423540,10.); #130645=VECTOR('',#423541,10.); #130646=VECTOR('',#423544,10.); #130647=VECTOR('',#423545,10.); #130648=VECTOR('',#423552,10.); #130649=VECTOR('',#423553,10.); #130650=VECTOR('',#423554,10.); #130651=VECTOR('',#423555,10.); #130652=VECTOR('',#423558,10.); #130653=VECTOR('',#423559,10.); #130654=VECTOR('',#423560,10.); #130655=VECTOR('',#423563,10.); #130656=VECTOR('',#423564,10.); #130657=VECTOR('',#423565,10.); #130658=VECTOR('',#423568,10.); #130659=VECTOR('',#423569,10.); #130660=VECTOR('',#423570,10.); #130661=VECTOR('',#423573,10.); #130662=VECTOR('',#423574,10.); #130663=VECTOR('',#423575,10.); #130664=VECTOR('',#423578,10.); #130665=VECTOR('',#423579,10.); #130666=VECTOR('',#423580,10.); #130667=VECTOR('',#423587,10.); #130668=VECTOR('',#423590,10.); #130669=VECTOR('',#423591,10.); #130670=VECTOR('',#423592,10.); #130671=VECTOR('',#423595,10.); #130672=VECTOR('',#423596,10.); #130673=VECTOR('',#423597,10.); #130674=VECTOR('',#423600,10.); #130675=VECTOR('',#423601,10.); #130676=VECTOR('',#423602,10.); #130677=VECTOR('',#423605,10.); #130678=VECTOR('',#423606,10.); #130679=VECTOR('',#423607,10.); #130680=VECTOR('',#423610,10.); #130681=VECTOR('',#423611,10.); #130682=VECTOR('',#423612,10.); #130683=VECTOR('',#423619,10.); #130684=VECTOR('',#423622,10.); #130685=VECTOR('',#423623,10.); #130686=VECTOR('',#423624,10.); #130687=VECTOR('',#423627,10.); #130688=VECTOR('',#423628,10.); #130689=VECTOR('',#423629,10.); #130690=VECTOR('',#423636,10.); #130691=VECTOR('',#423639,10.); #130692=VECTOR('',#423640,10.); #130693=VECTOR('',#423641,10.); #130694=VECTOR('',#423644,10.); #130695=VECTOR('',#423645,10.); #130696=VECTOR('',#423646,10.); #130697=VECTOR('',#423649,10.); #130698=VECTOR('',#423650,10.); #130699=VECTOR('',#423651,10.); #130700=VECTOR('',#423654,10.); #130701=VECTOR('',#423655,10.); #130702=VECTOR('',#423656,10.); #130703=VECTOR('',#423659,10.); #130704=VECTOR('',#423660,10.); #130705=VECTOR('',#423661,10.); #130706=VECTOR('',#423664,10.); #130707=VECTOR('',#423665,10.); #130708=VECTOR('',#423666,10.); #130709=VECTOR('',#423669,10.); #130710=VECTOR('',#423670,10.); #130711=VECTOR('',#423671,10.); #130712=VECTOR('',#423678,10.); #130713=VECTOR('',#423681,10.); #130714=VECTOR('',#423682,10.); #130715=VECTOR('',#423683,10.); #130716=VECTOR('',#423690,10.); #130717=VECTOR('',#423693,10.); #130718=VECTOR('',#423694,10.); #130719=VECTOR('',#423695,10.); #130720=VECTOR('',#423698,10.); #130721=VECTOR('',#423699,10.); #130722=VECTOR('',#423700,10.); #130723=VECTOR('',#423703,10.); #130724=VECTOR('',#423704,10.); #130725=VECTOR('',#423705,10.); #130726=VECTOR('',#423708,10.); #130727=VECTOR('',#423709,10.); #130728=VECTOR('',#423710,10.); #130729=VECTOR('',#423713,10.); #130730=VECTOR('',#423714,10.); #130731=VECTOR('',#423715,10.); #130732=VECTOR('',#423718,10.); #130733=VECTOR('',#423719,10.); #130734=VECTOR('',#423720,10.); #130735=VECTOR('',#423723,10.); #130736=VECTOR('',#423724,10.); #130737=VECTOR('',#423725,10.); #130738=VECTOR('',#423728,10.); #130739=VECTOR('',#423729,10.); #130740=VECTOR('',#423730,10.); #130741=VECTOR('',#423737,10.); #130742=VECTOR('',#423740,10.); #130743=VECTOR('',#423741,10.); #130744=VECTOR('',#423742,10.); #130745=VECTOR('',#423749,10.); #130746=VECTOR('',#423752,10.); #130747=VECTOR('',#423753,10.); #130748=VECTOR('',#423754,10.); #130749=VECTOR('',#423757,10.); #130750=VECTOR('',#423758,10.); #130751=VECTOR('',#423759,10.); #130752=VECTOR('',#423762,10.); #130753=VECTOR('',#423763,10.); #130754=VECTOR('',#423764,10.); #130755=VECTOR('',#423771,10.); #130756=VECTOR('',#423774,10.); #130757=VECTOR('',#423775,10.); #130758=VECTOR('',#423776,10.); #130759=VECTOR('',#423783,10.); #130760=VECTOR('',#423786,10.); #130761=VECTOR('',#423787,10.); #130762=VECTOR('',#423788,10.); #130763=VECTOR('',#423791,10.); #130764=VECTOR('',#423792,10.); #130765=VECTOR('',#423793,10.); #130766=VECTOR('',#423796,10.); #130767=VECTOR('',#423797,10.); #130768=VECTOR('',#423798,10.); #130769=VECTOR('',#423801,10.); #130770=VECTOR('',#423802,10.); #130771=VECTOR('',#423803,10.); #130772=VECTOR('',#423806,10.); #130773=VECTOR('',#423807,10.); #130774=VECTOR('',#423808,10.); #130775=VECTOR('',#423815,10.); #130776=VECTOR('',#423818,10.); #130777=VECTOR('',#423819,10.); #130778=VECTOR('',#423820,10.); #130779=VECTOR('',#423823,10.); #130780=VECTOR('',#423824,10.); #130781=VECTOR('',#423825,10.); #130782=VECTOR('',#423828,10.); #130783=VECTOR('',#423829,10.); #130784=VECTOR('',#423830,10.); #130785=VECTOR('',#423833,10.); #130786=VECTOR('',#423834,10.); #130787=VECTOR('',#423835,10.); #130788=VECTOR('',#423838,10.); #130789=VECTOR('',#423839,10.); #130790=VECTOR('',#423840,10.); #130791=VECTOR('',#423843,10.); #130792=VECTOR('',#423844,10.); #130793=VECTOR('',#423845,10.); #130794=VECTOR('',#423852,10.); #130795=VECTOR('',#423855,10.); #130796=VECTOR('',#423856,10.); #130797=VECTOR('',#423857,10.); #130798=VECTOR('',#423860,10.); #130799=VECTOR('',#423861,10.); #130800=VECTOR('',#423870,0.139999999999998); #130801=VECTOR('',#423877,0.139999999999998); #130802=VECTOR('',#423882,10.); #130803=VECTOR('',#423883,10.); #130804=VECTOR('',#423884,10.); #130805=VECTOR('',#423885,10.); #130806=VECTOR('',#423892,10.); #130807=VECTOR('',#423899,10.); #130808=VECTOR('',#423902,10.); #130809=VECTOR('',#423903,10.); #130810=VECTOR('',#423904,10.); #130811=VECTOR('',#423911,10.); #130812=VECTOR('',#423918,10.); #130813=VECTOR('',#423921,10.); #130814=VECTOR('',#423922,10.); #130815=VECTOR('',#423923,10.); #130816=VECTOR('',#423938,0.139999999999998); #130817=VECTOR('',#423943,10.); #130818=VECTOR('',#423944,10.); #130819=VECTOR('',#423945,10.); #130820=VECTOR('',#423946,10.); #130821=VECTOR('',#423953,10.); #130822=VECTOR('',#423956,10.); #130823=VECTOR('',#423957,10.); #130824=VECTOR('',#423958,10.); #130825=VECTOR('',#423961,10.); #130826=VECTOR('',#423962,10.); #130827=VECTOR('',#423963,10.); #130828=VECTOR('',#423966,10.); #130829=VECTOR('',#423967,10.); #130830=VECTOR('',#423968,10.); #130831=VECTOR('',#423971,10.); #130832=VECTOR('',#423972,10.); #130833=VECTOR('',#423973,10.); #130834=VECTOR('',#423976,10.); #130835=VECTOR('',#423977,10.); #130836=VECTOR('',#423978,10.); #130837=VECTOR('',#423981,10.); #130838=VECTOR('',#423982,10.); #130839=VECTOR('',#423983,10.); #130840=VECTOR('',#423986,10.); #130841=VECTOR('',#423987,10.); #130842=VECTOR('',#423988,10.); #130843=VECTOR('',#424003,0.139999999999998); #130844=VECTOR('',#424008,10.); #130845=VECTOR('',#424009,10.); #130846=VECTOR('',#424010,10.); #130847=VECTOR('',#424011,10.); #130848=VECTOR('',#424014,10.); #130849=VECTOR('',#424015,10.); #130850=VECTOR('',#424016,10.); #130851=VECTOR('',#424019,10.); #130852=VECTOR('',#424020,10.); #130853=VECTOR('',#424021,10.); #130854=VECTOR('',#424024,10.); #130855=VECTOR('',#424025,10.); #130856=VECTOR('',#424026,10.); #130857=VECTOR('',#424029,10.); #130858=VECTOR('',#424030,10.); #130859=VECTOR('',#424031,10.); #130860=VECTOR('',#424038,10.); #130861=VECTOR('',#424041,10.); #130862=VECTOR('',#424042,10.); #130863=VECTOR('',#424043,10.); #130864=VECTOR('',#424050,10.); #130865=VECTOR('',#424057,10.); #130866=VECTOR('',#424064,10.); #130867=VECTOR('',#424067,10.); #130868=VECTOR('',#424068,10.); #130869=VECTOR('',#424069,10.); #130870=VECTOR('',#424072,10.); #130871=VECTOR('',#424073,10.); #130872=VECTOR('',#424074,10.); #130873=VECTOR('',#424077,10.); #130874=VECTOR('',#424078,10.); #130875=VECTOR('',#424087,0.139999999999998); #130876=VECTOR('',#424094,0.140000000000002); #130877=VECTOR('',#424099,10.); #130878=VECTOR('',#424100,10.); #130879=VECTOR('',#424101,10.); #130880=VECTOR('',#424102,10.); #130881=VECTOR('',#424109,10.); #130882=VECTOR('',#424116,10.); #130883=VECTOR('',#424119,10.); #130884=VECTOR('',#424120,10.); #130885=VECTOR('',#424121,10.); #130886=VECTOR('',#424124,10.); #130887=VECTOR('',#424125,10.); #130888=VECTOR('',#424126,10.); #130889=VECTOR('',#424129,10.); #130890=VECTOR('',#424130,10.); #130891=VECTOR('',#424131,10.); #130892=VECTOR('',#424134,10.); #130893=VECTOR('',#424135,10.); #130894=VECTOR('',#424136,10.); #130895=VECTOR('',#424139,10.); #130896=VECTOR('',#424140,10.); #130897=VECTOR('',#424141,10.); #130898=VECTOR('',#424148,10.); #130899=VECTOR('',#424151,10.); #130900=VECTOR('',#424152,10.); #130901=VECTOR('',#424153,10.); #130902=VECTOR('',#424156,10.); #130903=VECTOR('',#424157,10.); #130904=VECTOR('',#424158,10.); #130905=VECTOR('',#424165,10.); #130906=VECTOR('',#424168,10.); #130907=VECTOR('',#424169,10.); #130908=VECTOR('',#424170,10.); #130909=VECTOR('',#424177,10.); #130910=VECTOR('',#424180,10.); #130911=VECTOR('',#424181,10.); #130912=VECTOR('',#424182,10.); #130913=VECTOR('',#424185,10.); #130914=VECTOR('',#424186,10.); #130915=VECTOR('',#424187,10.); #130916=VECTOR('',#424190,10.); #130917=VECTOR('',#424191,10.); #130918=VECTOR('',#424192,10.); #130919=VECTOR('',#424199,10.); #130920=VECTOR('',#424202,10.); #130921=VECTOR('',#424203,10.); #130922=VECTOR('',#424204,10.); #130923=VECTOR('',#424211,10.); #130924=VECTOR('',#424214,10.); #130925=VECTOR('',#424215,10.); #130926=VECTOR('',#424216,10.); #130927=VECTOR('',#424223,10.); #130928=VECTOR('',#424230,10.); #130929=VECTOR('',#424233,10.); #130930=VECTOR('',#424234,10.); #130931=VECTOR('',#424235,10.); #130932=VECTOR('',#424238,10.); #130933=VECTOR('',#424239,10.); #130934=VECTOR('',#424240,10.); #130935=VECTOR('',#424243,10.); #130936=VECTOR('',#424244,10.); #130937=VECTOR('',#424245,10.); #130938=VECTOR('',#424252,10.); #130939=VECTOR('',#424255,10.); #130940=VECTOR('',#424256,10.); #130941=VECTOR('',#424257,10.); #130942=VECTOR('',#424264,10.); #130943=VECTOR('',#424267,10.); #130944=VECTOR('',#424268,10.); #130945=VECTOR('',#424269,10.); #130946=VECTOR('',#424272,10.); #130947=VECTOR('',#424273,10.); #130948=VECTOR('',#424274,10.); #130949=VECTOR('',#424277,10.); #130950=VECTOR('',#424278,10.); #130951=VECTOR('',#424279,10.); #130952=VECTOR('',#424286,10.); #130953=VECTOR('',#424289,10.); #130954=VECTOR('',#424290,10.); #130955=VECTOR('',#424291,10.); #130956=VECTOR('',#424294,10.); #130957=VECTOR('',#424295,10.); #130958=VECTOR('',#424296,10.); #130959=VECTOR('',#424303,10.); #130960=VECTOR('',#424306,10.); #130961=VECTOR('',#424307,10.); #130962=VECTOR('',#424308,10.); #130963=VECTOR('',#424315,10.); #130964=VECTOR('',#424318,10.); #130965=VECTOR('',#424319,10.); #130966=VECTOR('',#424320,10.); #130967=VECTOR('',#424327,10.); #130968=VECTOR('',#424330,10.); #130969=VECTOR('',#424331,10.); #130970=VECTOR('',#424332,10.); #130971=VECTOR('',#424339,10.); #130972=VECTOR('',#424342,10.); #130973=VECTOR('',#424343,10.); #130974=VECTOR('',#424344,10.); #130975=VECTOR('',#424359,0.140000000000002); #130976=VECTOR('',#424366,0.139999999999998); #130977=VECTOR('',#424371,10.); #130978=VECTOR('',#424372,10.); #130979=VECTOR('',#424373,10.); #130980=VECTOR('',#424374,10.); #130981=VECTOR('',#424381,10.); #130982=VECTOR('',#424388,10.); #130983=VECTOR('',#424391,10.); #130984=VECTOR('',#424392,10.); #130985=VECTOR('',#424393,10.); #130986=VECTOR('',#424396,10.); #130987=VECTOR('',#424397,10.); #130988=VECTOR('',#424398,10.); #130989=VECTOR('',#424405,10.); #130990=VECTOR('',#424412,10.); #130991=VECTOR('',#424415,10.); #130992=VECTOR('',#424416,10.); #130993=VECTOR('',#424417,10.); #130994=VECTOR('',#424424,10.); #130995=VECTOR('',#424427,10.); #130996=VECTOR('',#424428,10.); #130997=VECTOR('',#424429,10.); #130998=VECTOR('',#424444,0.139999999999998); #130999=VECTOR('',#424449,10.); #131000=VECTOR('',#424450,10.); #131001=VECTOR('',#424451,10.); #131002=VECTOR('',#424452,10.); #131003=VECTOR('',#424455,10.); #131004=VECTOR('',#424456,10.); #131005=VECTOR('',#424457,10.); #131006=VECTOR('',#424460,10.); #131007=VECTOR('',#424461,10.); #131008=VECTOR('',#424462,10.); #131009=VECTOR('',#424465,10.); #131010=VECTOR('',#424466,10.); #131011=VECTOR('',#424467,10.); #131012=VECTOR('',#424470,10.); #131013=VECTOR('',#424471,10.); #131014=VECTOR('',#424472,10.); #131015=VECTOR('',#424479,10.); #131016=VECTOR('',#424482,10.); #131017=VECTOR('',#424483,10.); #131018=VECTOR('',#424484,10.); #131019=VECTOR('',#424491,10.); #131020=VECTOR('',#424498,10.); #131021=VECTOR('',#424505,10.); #131022=VECTOR('',#424508,10.); #131023=VECTOR('',#424509,10.); #131024=VECTOR('',#424510,10.); #131025=VECTOR('',#424517,10.); #131026=VECTOR('',#424520,10.); #131027=VECTOR('',#424521,10.); #131028=VECTOR('',#424522,10.); #131029=VECTOR('',#424525,10.); #131030=VECTOR('',#424526,10.); #131031=VECTOR('',#424527,10.); #131032=VECTOR('',#424530,10.); #131033=VECTOR('',#424531,10.); #131034=VECTOR('',#424532,10.); #131035=VECTOR('',#424535,10.); #131036=VECTOR('',#424536,10.); #131037=VECTOR('',#424537,10.); #131038=VECTOR('',#424540,10.); #131039=VECTOR('',#424541,10.); #131040=VECTOR('',#424542,10.); #131041=VECTOR('',#424545,10.); #131042=VECTOR('',#424546,10.); #131043=VECTOR('',#424547,10.); #131044=VECTOR('',#424550,10.); #131045=VECTOR('',#424551,10.); #131046=VECTOR('',#424552,10.); #131047=VECTOR('',#424555,10.); #131048=VECTOR('',#424556,10.); #131049=VECTOR('',#424557,10.); #131050=VECTOR('',#424564,10.); #131051=VECTOR('',#424567,10.); #131052=VECTOR('',#424568,10.); #131053=VECTOR('',#424569,10.); #131054=VECTOR('',#424572,10.); #131055=VECTOR('',#424573,10.); #131056=VECTOR('',#424574,10.); #131057=VECTOR('',#424577,10.); #131058=VECTOR('',#424578,10.); #131059=VECTOR('',#424587,0.139999999999998); #131060=VECTOR('',#424592,10.); #131061=VECTOR('',#424593,10.); #131062=VECTOR('',#424594,10.); #131063=VECTOR('',#424595,10.); #131064=VECTOR('',#424602,10.); #131065=VECTOR('',#424609,10.); #131066=VECTOR('',#424612,10.); #131067=VECTOR('',#424613,10.); #131068=VECTOR('',#424614,10.); #131069=VECTOR('',#424621,10.); #131070=VECTOR('',#424624,10.); #131071=VECTOR('',#424625,10.); #131072=VECTOR('',#424626,10.); #131073=VECTOR('',#424629,10.); #131074=VECTOR('',#424630,10.); #131075=VECTOR('',#424631,10.); #131076=VECTOR('',#424634,10.); #131077=VECTOR('',#424635,10.); #131078=VECTOR('',#424636,10.); #131079=VECTOR('',#424639,10.); #131080=VECTOR('',#424640,10.); #131081=VECTOR('',#424641,10.); #131082=VECTOR('',#424644,10.); #131083=VECTOR('',#424645,10.); #131084=VECTOR('',#424646,10.); #131085=VECTOR('',#424649,10.); #131086=VECTOR('',#424650,10.); #131087=VECTOR('',#424651,10.); #131088=VECTOR('',#424654,10.); #131089=VECTOR('',#424655,10.); #131090=VECTOR('',#424656,10.); #131091=VECTOR('',#424659,10.); #131092=VECTOR('',#424660,10.); #131093=VECTOR('',#424661,10.); #131094=VECTOR('',#424668,10.); #131095=VECTOR('',#424671,10.); #131096=VECTOR('',#424672,10.); #131097=VECTOR('',#424673,10.); #131098=VECTOR('',#424676,10.); #131099=VECTOR('',#424677,10.); #131100=VECTOR('',#424678,10.); #131101=VECTOR('',#424681,10.); #131102=VECTOR('',#424682,10.); #131103=VECTOR('',#424683,10.); #131104=VECTOR('',#424686,10.); #131105=VECTOR('',#424687,10.); #131106=VECTOR('',#424688,10.); #131107=VECTOR('',#424691,10.); #131108=VECTOR('',#424692,10.); #131109=VECTOR('',#424693,10.); #131110=VECTOR('',#424696,10.); #131111=VECTOR('',#424697,10.); #131112=VECTOR('',#424698,10.); #131113=VECTOR('',#424701,10.); #131114=VECTOR('',#424702,10.); #131115=VECTOR('',#424703,10.); #131116=VECTOR('',#424706,10.); #131117=VECTOR('',#424707,10.); #131118=VECTOR('',#424708,10.); #131119=VECTOR('',#424715,10.); #131120=VECTOR('',#424718,10.); #131121=VECTOR('',#424719,10.); #131122=VECTOR('',#424720,10.); #131123=VECTOR('',#424727,10.); #131124=VECTOR('',#424742,0.139999999999998); #131125=VECTOR('',#424749,0.139999999999998); #131126=VECTOR('',#424754,10.); #131127=VECTOR('',#424755,10.); #131128=VECTOR('',#424756,10.); #131129=VECTOR('',#424757,10.); #131130=VECTOR('',#424760,10.); #131131=VECTOR('',#424761,10.); #131132=VECTOR('',#424762,10.); #131133=VECTOR('',#424769,10.); #131134=VECTOR('',#424772,10.); #131135=VECTOR('',#424773,10.); #131136=VECTOR('',#424774,10.); #131137=VECTOR('',#424777,10.); #131138=VECTOR('',#424778,10.); #131139=VECTOR('',#424779,10.); #131140=VECTOR('',#424786,10.); #131141=VECTOR('',#424793,10.); #131142=VECTOR('',#424796,10.); #131143=VECTOR('',#424797,10.); #131144=VECTOR('',#424798,10.); #131145=VECTOR('',#424805,10.); #131146=VECTOR('',#424808,10.); #131147=VECTOR('',#424809,10.); #131148=VECTOR('',#424810,10.); #131149=VECTOR('',#424813,10.); #131150=VECTOR('',#424814,10.); #131151=VECTOR('',#424815,10.); #131152=VECTOR('',#424818,10.); #131153=VECTOR('',#424819,10.); #131154=VECTOR('',#424820,10.); #131155=VECTOR('',#424827,10.); #131156=VECTOR('',#424830,10.); #131157=VECTOR('',#424831,10.); #131158=VECTOR('',#424832,10.); #131159=VECTOR('',#424835,10.); #131160=VECTOR('',#424836,10.); #131161=VECTOR('',#424837,10.); #131162=VECTOR('',#424840,10.); #131163=VECTOR('',#424841,10.); #131164=VECTOR('',#424842,10.); #131165=VECTOR('',#424845,10.); #131166=VECTOR('',#424846,10.); #131167=VECTOR('',#424847,10.); #131168=VECTOR('',#424850,10.); #131169=VECTOR('',#424851,10.); #131170=VECTOR('',#424852,10.); #131171=VECTOR('',#424859,10.); #131172=VECTOR('',#424862,10.); #131173=VECTOR('',#424863,10.); #131174=VECTOR('',#424864,10.); #131175=VECTOR('',#424867,10.); #131176=VECTOR('',#424868,10.); #131177=VECTOR('',#424869,10.); #131178=VECTOR('',#424876,10.); #131179=VECTOR('',#424879,10.); #131180=VECTOR('',#424880,10.); #131181=VECTOR('',#424881,10.); #131182=VECTOR('',#424888,10.); #131183=VECTOR('',#424891,10.); #131184=VECTOR('',#424892,10.); #131185=VECTOR('',#424893,10.); #131186=VECTOR('',#424896,10.); #131187=VECTOR('',#424897,10.); #131188=VECTOR('',#424898,10.); #131189=VECTOR('',#424901,10.); #131190=VECTOR('',#424902,10.); #131191=VECTOR('',#424903,10.); #131192=VECTOR('',#424910,10.); #131193=VECTOR('',#424913,10.); #131194=VECTOR('',#424914,10.); #131195=VECTOR('',#424915,10.); #131196=VECTOR('',#424922,10.); #131197=VECTOR('',#424925,10.); #131198=VECTOR('',#424926,10.); #131199=VECTOR('',#424927,10.); #131200=VECTOR('',#424934,10.); #131201=VECTOR('',#424937,10.); #131202=VECTOR('',#424938,10.); #131203=VECTOR('',#424939,10.); #131204=VECTOR('',#424942,10.); #131205=VECTOR('',#424943,10.); #131206=VECTOR('',#424944,10.); #131207=VECTOR('',#424951,10.); #131208=VECTOR('',#424958,10.); #131209=VECTOR('',#424961,10.); #131210=VECTOR('',#424962,10.); #131211=VECTOR('',#424963,10.); #131212=VECTOR('',#424970,10.); #131213=VECTOR('',#424973,10.); #131214=VECTOR('',#424974,10.); #131215=VECTOR('',#424975,10.); #131216=VECTOR('',#424978,10.); #131217=VECTOR('',#424979,10.); #131218=VECTOR('',#424980,10.); #131219=VECTOR('',#424983,10.); #131220=VECTOR('',#424984,10.); #131221=VECTOR('',#424985,10.); #131222=VECTOR('',#424988,10.); #131223=VECTOR('',#424989,10.); #131224=VECTOR('',#424990,10.); #131225=VECTOR('',#424997,10.); #131226=VECTOR('',#425000,10.); #131227=VECTOR('',#425001,10.); #131228=VECTOR('',#425002,10.); #131229=VECTOR('',#425009,10.); #131230=VECTOR('',#425012,10.); #131231=VECTOR('',#425013,10.); #131232=VECTOR('',#425014,10.); #131233=VECTOR('',#425017,10.); #131234=VECTOR('',#425018,10.); #131235=VECTOR('',#425019,10.); #131236=VECTOR('',#425022,10.); #131237=VECTOR('',#425023,10.); #131238=VECTOR('',#425024,10.); #131239=VECTOR('',#425031,10.); #131240=VECTOR('',#425034,10.); #131241=VECTOR('',#425035,10.); #131242=VECTOR('',#425036,10.); #131243=VECTOR('',#425039,10.); #131244=VECTOR('',#425040,10.); #131245=VECTOR('',#425041,10.); #131246=VECTOR('',#425048,10.); #131247=VECTOR('',#425051,10.); #131248=VECTOR('',#425052,10.); #131249=VECTOR('',#425053,10.); #131250=VECTOR('',#425060,10.); #131251=VECTOR('',#425063,10.); #131252=VECTOR('',#425064,10.); #131253=VECTOR('',#425065,10.); #131254=VECTOR('',#425072,10.); #131255=VECTOR('',#425075,10.); #131256=VECTOR('',#425076,10.); #131257=VECTOR('',#425077,10.); #131258=VECTOR('',#425084,10.); #131259=VECTOR('',#425087,10.); #131260=VECTOR('',#425088,10.); #131261=VECTOR('',#425089,10.); #131262=VECTOR('',#425092,10.); #131263=VECTOR('',#425093,10.); #131264=VECTOR('',#425094,10.); #131265=VECTOR('',#425109,0.139999999999998); #131266=VECTOR('',#425116,0.139999999999998); #131267=VECTOR('',#425121,10.); #131268=VECTOR('',#425122,10.); #131269=VECTOR('',#425123,10.); #131270=VECTOR('',#425124,10.); #131271=VECTOR('',#425131,10.); #131272=VECTOR('',#425138,10.); #131273=VECTOR('',#425141,10.); #131274=VECTOR('',#425142,10.); #131275=VECTOR('',#425143,10.); #131276=VECTOR('',#425150,10.); #131277=VECTOR('',#425157,10.); #131278=VECTOR('',#425160,10.); #131279=VECTOR('',#425161,10.); #131280=VECTOR('',#425162,10.); #131281=VECTOR('',#425177,0.139999999999998); #131282=VECTOR('',#425184,0.139999999999998); #131283=VECTOR('',#425189,10.); #131284=VECTOR('',#425190,10.); #131285=VECTOR('',#425191,10.); #131286=VECTOR('',#425192,10.); #131287=VECTOR('',#425199,10.); #131288=VECTOR('',#425206,10.); #131289=VECTOR('',#425209,10.); #131290=VECTOR('',#425210,10.); #131291=VECTOR('',#425211,10.); #131292=VECTOR('',#425218,10.); #131293=VECTOR('',#425225,10.); #131294=VECTOR('',#425228,10.); #131295=VECTOR('',#425229,10.); #131296=VECTOR('',#425230,10.); #131297=VECTOR('',#425245,0.139999999999998); #131298=VECTOR('',#425252,0.139999999999998); #131299=VECTOR('',#425257,10.); #131300=VECTOR('',#425258,10.); #131301=VECTOR('',#425259,10.); #131302=VECTOR('',#425260,10.); #131303=VECTOR('',#425267,10.); #131304=VECTOR('',#425274,10.); #131305=VECTOR('',#425277,10.); #131306=VECTOR('',#425278,10.); #131307=VECTOR('',#425279,10.); #131308=VECTOR('',#425286,10.); #131309=VECTOR('',#425293,10.); #131310=VECTOR('',#425296,10.); #131311=VECTOR('',#425297,10.); #131312=VECTOR('',#425298,10.); #131313=VECTOR('',#425313,0.139999999999998); #131314=VECTOR('',#425320,0.140000000000002); #131315=VECTOR('',#425325,10.); #131316=VECTOR('',#425326,10.); #131317=VECTOR('',#425327,10.); #131318=VECTOR('',#425328,10.); #131319=VECTOR('',#425335,10.); #131320=VECTOR('',#425338,10.); #131321=VECTOR('',#425339,10.); #131322=VECTOR('',#425340,10.); #131323=VECTOR('',#425343,10.); #131324=VECTOR('',#425344,10.); #131325=VECTOR('',#425345,10.); #131326=VECTOR('',#425348,10.); #131327=VECTOR('',#425349,10.); #131328=VECTOR('',#425350,10.); #131329=VECTOR('',#425357,10.); #131330=VECTOR('',#425364,10.); #131331=VECTOR('',#425367,10.); #131332=VECTOR('',#425368,10.); #131333=VECTOR('',#425369,10.); #131334=VECTOR('',#425376,10.); #131335=VECTOR('',#425379,10.); #131336=VECTOR('',#425380,10.); #131337=VECTOR('',#425381,10.); #131338=VECTOR('',#425388,10.); #131339=VECTOR('',#425391,10.); #131340=VECTOR('',#425392,10.); #131341=VECTOR('',#425393,10.); #131342=VECTOR('',#425408,0.139999999999998); #131343=VECTOR('',#425413,10.); #131344=VECTOR('',#425414,10.); #131345=VECTOR('',#425415,10.); #131346=VECTOR('',#425416,10.); #131347=VECTOR('',#425419,10.); #131348=VECTOR('',#425420,10.); #131349=VECTOR('',#425421,10.); #131350=VECTOR('',#425424,10.); #131351=VECTOR('',#425425,10.); #131352=VECTOR('',#425426,10.); #131353=VECTOR('',#425429,10.); #131354=VECTOR('',#425430,10.); #131355=VECTOR('',#425439,0.139999999999998); #131356=VECTOR('',#425444,10.); #131357=VECTOR('',#425445,10.); #131358=VECTOR('',#425446,10.); #131359=VECTOR('',#425447,10.); #131360=VECTOR('',#425450,10.); #131361=VECTOR('',#425451,10.); #131362=VECTOR('',#425452,10.); #131363=VECTOR('',#425455,10.); #131364=VECTOR('',#425456,10.); #131365=VECTOR('',#425457,10.); #131366=VECTOR('',#425460,10.); #131367=VECTOR('',#425461,10.); #131368=VECTOR('',#425470,0.140000000000002); #131369=VECTOR('',#425475,10.); #131370=VECTOR('',#425476,10.); #131371=VECTOR('',#425477,10.); #131372=VECTOR('',#425478,10.); #131373=VECTOR('',#425481,10.); #131374=VECTOR('',#425482,10.); #131375=VECTOR('',#425483,10.); #131376=VECTOR('',#425486,10.); #131377=VECTOR('',#425487,10.); #131378=VECTOR('',#425488,10.); #131379=VECTOR('',#425491,10.); #131380=VECTOR('',#425492,10.); #131381=VECTOR('',#425501,0.139999999999998); #131382=VECTOR('',#425506,10.); #131383=VECTOR('',#425507,10.); #131384=VECTOR('',#425508,10.); #131385=VECTOR('',#425509,10.); #131386=VECTOR('',#425516,10.); #131387=VECTOR('',#425519,10.); #131388=VECTOR('',#425520,10.); #131389=VECTOR('',#425521,10.); #131390=VECTOR('',#425524,10.); #131391=VECTOR('',#425525,10.); #131392=VECTOR('',#425526,10.); #131393=VECTOR('',#425529,10.); #131394=VECTOR('',#425530,10.); #131395=VECTOR('',#425531,10.); #131396=VECTOR('',#425534,10.); #131397=VECTOR('',#425535,10.); #131398=VECTOR('',#425536,10.); #131399=VECTOR('',#425539,10.); #131400=VECTOR('',#425540,10.); #131401=VECTOR('',#425541,10.); #131402=VECTOR('',#425544,10.); #131403=VECTOR('',#425545,10.); #131404=VECTOR('',#425546,10.); #131405=VECTOR('',#425549,10.); #131406=VECTOR('',#425550,10.); #131407=VECTOR('',#425551,10.); #131408=VECTOR('',#425566,0.139999999999998); #131409=VECTOR('',#425573,0.139999999999998); #131410=VECTOR('',#425578,10.); #131411=VECTOR('',#425579,10.); #131412=VECTOR('',#425580,10.); #131413=VECTOR('',#425581,10.); #131414=VECTOR('',#425584,10.); #131415=VECTOR('',#425585,10.); #131416=VECTOR('',#425586,10.); #131417=VECTOR('',#425593,10.); #131418=VECTOR('',#425596,10.); #131419=VECTOR('',#425597,10.); #131420=VECTOR('',#425598,10.); #131421=VECTOR('',#425601,10.); #131422=VECTOR('',#425602,10.); #131423=VECTOR('',#425603,10.); #131424=VECTOR('',#425610,10.); #131425=VECTOR('',#425613,10.); #131426=VECTOR('',#425614,10.); #131427=VECTOR('',#425615,10.); #131428=VECTOR('',#425618,10.); #131429=VECTOR('',#425619,10.); #131430=VECTOR('',#425620,10.); #131431=VECTOR('',#425627,10.); #131432=VECTOR('',#425634,10.); #131433=VECTOR('',#425637,10.); #131434=VECTOR('',#425638,10.); #131435=VECTOR('',#425639,10.); #131436=VECTOR('',#425646,10.); #131437=VECTOR('',#425649,10.); #131438=VECTOR('',#425650,10.); #131439=VECTOR('',#425651,10.); #131440=VECTOR('',#425654,10.); #131441=VECTOR('',#425655,10.); #131442=VECTOR('',#425656,10.); #131443=VECTOR('',#425663,10.); #131444=VECTOR('',#425666,10.); #131445=VECTOR('',#425667,10.); #131446=VECTOR('',#425668,10.); #131447=VECTOR('',#425671,10.); #131448=VECTOR('',#425672,10.); #131449=VECTOR('',#425673,10.); #131450=VECTOR('',#425676,10.); #131451=VECTOR('',#425677,10.); #131452=VECTOR('',#425678,10.); #131453=VECTOR('',#425681,10.); #131454=VECTOR('',#425682,10.); #131455=VECTOR('',#425683,10.); #131456=VECTOR('',#425686,10.); #131457=VECTOR('',#425687,10.); #131458=VECTOR('',#425688,10.); #131459=VECTOR('',#425691,10.); #131460=VECTOR('',#425692,10.); #131461=VECTOR('',#425693,10.); #131462=VECTOR('',#425700,10.); #131463=VECTOR('',#425703,10.); #131464=VECTOR('',#425704,10.); #131465=VECTOR('',#425705,10.); #131466=VECTOR('',#425708,10.); #131467=VECTOR('',#425709,10.); #131468=VECTOR('',#425710,10.); #131469=VECTOR('',#425717,10.); #131470=VECTOR('',#425720,10.); #131471=VECTOR('',#425721,10.); #131472=VECTOR('',#425722,10.); #131473=VECTOR('',#425729,10.); #131474=VECTOR('',#425732,10.); #131475=VECTOR('',#425733,10.); #131476=VECTOR('',#425734,10.); #131477=VECTOR('',#425737,10.); #131478=VECTOR('',#425738,10.); #131479=VECTOR('',#425739,10.); #131480=VECTOR('',#425742,10.); #131481=VECTOR('',#425743,10.); #131482=VECTOR('',#425744,10.); #131483=VECTOR('',#425751,10.); #131484=VECTOR('',#425754,10.); #131485=VECTOR('',#425755,10.); #131486=VECTOR('',#425756,10.); #131487=VECTOR('',#425763,10.); #131488=VECTOR('',#425766,10.); #131489=VECTOR('',#425767,10.); #131490=VECTOR('',#425768,10.); #131491=VECTOR('',#425771,10.); #131492=VECTOR('',#425772,10.); #131493=VECTOR('',#425773,10.); #131494=VECTOR('',#425780,10.); #131495=VECTOR('',#425783,10.); #131496=VECTOR('',#425784,10.); #131497=VECTOR('',#425785,10.); #131498=VECTOR('',#425792,10.); #131499=VECTOR('',#425799,10.); #131500=VECTOR('',#425802,10.); #131501=VECTOR('',#425803,10.); #131502=VECTOR('',#425804,10.); #131503=VECTOR('',#425807,10.); #131504=VECTOR('',#425808,10.); #131505=VECTOR('',#425809,10.); #131506=VECTOR('',#425816,10.); #131507=VECTOR('',#425819,10.); #131508=VECTOR('',#425820,10.); #131509=VECTOR('',#425821,10.); #131510=VECTOR('',#425824,10.); #131511=VECTOR('',#425825,10.); #131512=VECTOR('',#425826,10.); #131513=VECTOR('',#425829,10.); #131514=VECTOR('',#425830,10.); #131515=VECTOR('',#425831,10.); #131516=VECTOR('',#425838,10.); #131517=VECTOR('',#425841,10.); #131518=VECTOR('',#425842,10.); #131519=VECTOR('',#425843,10.); #131520=VECTOR('',#425850,10.); #131521=VECTOR('',#425853,10.); #131522=VECTOR('',#425854,10.); #131523=VECTOR('',#425855,10.); #131524=VECTOR('',#425858,10.); #131525=VECTOR('',#425859,10.); #131526=VECTOR('',#425860,10.); #131527=VECTOR('',#425863,10.); #131528=VECTOR('',#425864,10.); #131529=VECTOR('',#425865,10.); #131530=VECTOR('',#425872,10.); #131531=VECTOR('',#425875,10.); #131532=VECTOR('',#425876,10.); #131533=VECTOR('',#425877,10.); #131534=VECTOR('',#425880,10.); #131535=VECTOR('',#425881,10.); #131536=VECTOR('',#425882,10.); #131537=VECTOR('',#425889,10.); #131538=VECTOR('',#425892,10.); #131539=VECTOR('',#425893,10.); #131540=VECTOR('',#425894,10.); #131541=VECTOR('',#425901,10.); #131542=VECTOR('',#425904,10.); #131543=VECTOR('',#425905,10.); #131544=VECTOR('',#425906,10.); #131545=VECTOR('',#425913,10.); #131546=VECTOR('',#425916,10.); #131547=VECTOR('',#425917,10.); #131548=VECTOR('',#425918,10.); #131549=VECTOR('',#425933,0.140000000000002); #131550=VECTOR('',#425940,0.140000000000002); #131551=VECTOR('',#425945,10.); #131552=VECTOR('',#425946,10.); #131553=VECTOR('',#425947,10.); #131554=VECTOR('',#425948,10.); #131555=VECTOR('',#425955,10.); #131556=VECTOR('',#425958,10.); #131557=VECTOR('',#425959,10.); #131558=VECTOR('',#425960,10.); #131559=VECTOR('',#425967,10.); #131560=VECTOR('',#425974,10.); #131561=VECTOR('',#425977,10.); #131562=VECTOR('',#425978,10.); #131563=VECTOR('',#425979,10.); #131564=VECTOR('',#425994,0.139999999999998); #131565=VECTOR('',#425999,10.); #131566=VECTOR('',#426000,10.); #131567=VECTOR('',#426001,10.); #131568=VECTOR('',#426002,10.); #131569=VECTOR('',#426009,10.); #131570=VECTOR('',#426012,10.); #131571=VECTOR('',#426013,10.); #131572=VECTOR('',#426014,10.); #131573=VECTOR('',#426017,10.); #131574=VECTOR('',#426018,10.); #131575=VECTOR('',#426019,10.); #131576=VECTOR('',#426022,10.); #131577=VECTOR('',#426023,10.); #131578=VECTOR('',#426024,10.); #131579=VECTOR('',#426027,10.); #131580=VECTOR('',#426028,10.); #131581=VECTOR('',#426029,10.); #131582=VECTOR('',#426032,10.); #131583=VECTOR('',#426033,10.); #131584=VECTOR('',#426034,10.); #131585=VECTOR('',#426037,10.); #131586=VECTOR('',#426038,10.); #131587=VECTOR('',#426039,10.); #131588=VECTOR('',#426042,10.); #131589=VECTOR('',#426043,10.); #131590=VECTOR('',#426044,10.); #131591=VECTOR('',#426059,0.139999999999998); #131592=VECTOR('',#426064,10.); #131593=VECTOR('',#426065,10.); #131594=VECTOR('',#426066,10.); #131595=VECTOR('',#426067,10.); #131596=VECTOR('',#426074,10.); #131597=VECTOR('',#426077,10.); #131598=VECTOR('',#426078,10.); #131599=VECTOR('',#426079,10.); #131600=VECTOR('',#426082,10.); #131601=VECTOR('',#426083,10.); #131602=VECTOR('',#426084,10.); #131603=VECTOR('',#426087,10.); #131604=VECTOR('',#426088,10.); #131605=VECTOR('',#426089,10.); #131606=VECTOR('',#426092,10.); #131607=VECTOR('',#426093,10.); #131608=VECTOR('',#426094,10.); #131609=VECTOR('',#426097,10.); #131610=VECTOR('',#426098,10.); #131611=VECTOR('',#426099,10.); #131612=VECTOR('',#426102,10.); #131613=VECTOR('',#426103,10.); #131614=VECTOR('',#426104,10.); #131615=VECTOR('',#426107,10.); #131616=VECTOR('',#426108,10.); #131617=VECTOR('',#426109,10.); #131618=VECTOR('',#426124,0.139999999999998); #131619=VECTOR('',#426129,10.); #131620=VECTOR('',#426130,10.); #131621=VECTOR('',#426131,10.); #131622=VECTOR('',#426132,10.); #131623=VECTOR('',#426139,10.); #131624=VECTOR('',#426142,10.); #131625=VECTOR('',#426143,10.); #131626=VECTOR('',#426144,10.); #131627=VECTOR('',#426151,10.); #131628=VECTOR('',#426154,10.); #131629=VECTOR('',#426155,10.); #131630=VECTOR('',#426156,10.); #131631=VECTOR('',#426163,10.); #131632=VECTOR('',#426166,10.); #131633=VECTOR('',#426167,10.); #131634=VECTOR('',#426168,10.); #131635=VECTOR('',#426171,10.); #131636=VECTOR('',#426172,10.); #131637=VECTOR('',#426173,10.); #131638=VECTOR('',#426176,10.); #131639=VECTOR('',#426177,10.); #131640=VECTOR('',#426178,10.); #131641=VECTOR('',#426181,10.); #131642=VECTOR('',#426182,10.); #131643=VECTOR('',#426183,10.); #131644=VECTOR('',#426186,10.); #131645=VECTOR('',#426187,10.); #131646=VECTOR('',#426188,10.); #131647=VECTOR('',#426191,10.); #131648=VECTOR('',#426192,10.); #131649=VECTOR('',#426193,10.); #131650=VECTOR('',#426196,10.); #131651=VECTOR('',#426197,10.); #131652=VECTOR('',#426198,10.); #131653=VECTOR('',#426201,10.); #131654=VECTOR('',#426202,10.); #131655=VECTOR('',#426203,10.); #131656=VECTOR('',#426206,10.); #131657=VECTOR('',#426207,10.); #131658=VECTOR('',#426208,10.); #131659=VECTOR('',#426215,10.); #131660=VECTOR('',#426230,0.465); #131661=VECTOR('',#426235,10.); #131662=VECTOR('',#426236,10.); #131663=VECTOR('',#426237,10.); #131664=VECTOR('',#426238,10.); #131665=VECTOR('',#426241,10.); #131666=VECTOR('',#426242,10.); #131667=VECTOR('',#426243,10.); #131668=VECTOR('',#426246,10.); #131669=VECTOR('',#426247,10.); #131670=VECTOR('',#426248,10.); #131671=VECTOR('',#426251,10.); #131672=VECTOR('',#426252,10.); #131673=VECTOR('',#426253,10.); #131674=VECTOR('',#426256,10.); #131675=VECTOR('',#426257,10.); #131676=VECTOR('',#426258,10.); #131677=VECTOR('',#426261,10.); #131678=VECTOR('',#426262,10.); #131679=VECTOR('',#426263,10.); #131680=VECTOR('',#426266,10.); #131681=VECTOR('',#426267,10.); #131682=VECTOR('',#426268,10.); #131683=VECTOR('',#426271,10.); #131684=VECTOR('',#426272,10.); #131685=VECTOR('',#426273,10.); #131686=VECTOR('',#426280,10.); #131687=VECTOR('',#426287,10.); #131688=VECTOR('',#426290,10.); #131689=VECTOR('',#426291,10.); #131690=VECTOR('',#426292,10.); #131691=VECTOR('',#426299,10.); #131692=VECTOR('',#426302,10.); #131693=VECTOR('',#426303,10.); #131694=VECTOR('',#426304,10.); #131695=VECTOR('',#426311,10.); #131696=VECTOR('',#426314,10.); #131697=VECTOR('',#426315,10.); #131698=VECTOR('',#426324,0.465); #131699=VECTOR('',#426329,10.); #131700=VECTOR('',#426330,10.); #131701=VECTOR('',#426331,10.); #131702=VECTOR('',#426332,10.); #131703=VECTOR('',#426339,10.); #131704=VECTOR('',#426354,0.139999999999998); #131705=VECTOR('',#426359,10.); #131706=VECTOR('',#426360,10.); #131707=VECTOR('',#426361,10.); #131708=VECTOR('',#426362,10.); #131709=VECTOR('',#426365,10.); #131710=VECTOR('',#426366,10.); #131711=VECTOR('',#426367,10.); #131712=VECTOR('',#426370,10.); #131713=VECTOR('',#426371,10.); #131714=VECTOR('',#426372,10.); #131715=VECTOR('',#426375,10.); #131716=VECTOR('',#426376,10.); #131717=VECTOR('',#426377,10.); #131718=VECTOR('',#426380,10.); #131719=VECTOR('',#426381,10.); #131720=VECTOR('',#426382,10.); #131721=VECTOR('',#426385,10.); #131722=VECTOR('',#426386,10.); #131723=VECTOR('',#426387,10.); #131724=VECTOR('',#426390,10.); #131725=VECTOR('',#426391,10.); #131726=VECTOR('',#426392,10.); #131727=VECTOR('',#426399,10.); #131728=VECTOR('',#426402,10.); #131729=VECTOR('',#426403,10.); #131730=VECTOR('',#426404,10.); #131731=VECTOR('',#426407,10.); #131732=VECTOR('',#426408,10.); #131733=VECTOR('',#426409,10.); #131734=VECTOR('',#426416,10.); #131735=VECTOR('',#426423,10.); #131736=VECTOR('',#426426,10.); #131737=VECTOR('',#426427,10.); #131738=VECTOR('',#426428,10.); #131739=VECTOR('',#426435,10.); #131740=VECTOR('',#426438,10.); #131741=VECTOR('',#426439,10.); #131742=VECTOR('',#426440,10.); #131743=VECTOR('',#426443,10.); #131744=VECTOR('',#426444,10.); #131745=VECTOR('',#426445,10.); #131746=VECTOR('',#426452,10.); #131747=VECTOR('',#426455,10.); #131748=VECTOR('',#426456,10.); #131749=VECTOR('',#426457,10.); #131750=VECTOR('',#426464,10.); #131751=VECTOR('',#426467,10.); #131752=VECTOR('',#426468,10.); #131753=VECTOR('',#426469,10.); #131754=VECTOR('',#426472,10.); #131755=VECTOR('',#426473,10.); #131756=VECTOR('',#426482,0.465); #131757=VECTOR('',#426487,10.); #131758=VECTOR('',#426488,10.); #131759=VECTOR('',#426489,10.); #131760=VECTOR('',#426490,10.); #131761=VECTOR('',#426493,10.); #131762=VECTOR('',#426494,10.); #131763=VECTOR('',#426495,10.); #131764=VECTOR('',#426498,10.); #131765=VECTOR('',#426499,10.); #131766=VECTOR('',#426500,10.); #131767=VECTOR('',#426503,10.); #131768=VECTOR('',#426504,10.); #131769=VECTOR('',#426505,10.); #131770=VECTOR('',#426512,10.); #131771=VECTOR('',#426515,10.); #131772=VECTOR('',#426516,10.); #131773=VECTOR('',#426517,10.); #131774=VECTOR('',#426524,10.); #131775=VECTOR('',#426527,10.); #131776=VECTOR('',#426528,10.); #131777=VECTOR('',#426529,10.); #131778=VECTOR('',#426536,10.); #131779=VECTOR('',#426543,10.); #131780=VECTOR('',#426546,10.); #131781=VECTOR('',#426547,10.); #131782=VECTOR('',#426548,10.); #131783=VECTOR('',#426551,10.); #131784=VECTOR('',#426552,10.); #131785=VECTOR('',#426553,10.); #131786=VECTOR('',#426556,10.); #131787=VECTOR('',#426557,10.); #131788=VECTOR('',#426558,10.); #131789=VECTOR('',#426561,10.); #131790=VECTOR('',#426562,10.); #131791=VECTOR('',#426563,10.); #131792=VECTOR('',#426566,10.); #131793=VECTOR('',#426567,10.); #131794=VECTOR('',#426574,10.); #131795=VECTOR('',#426575,10.); #131796=VECTOR('',#426576,10.); #131797=VECTOR('',#426577,10.); #131798=VECTOR('',#426580,10.); #131799=VECTOR('',#426581,10.); #131800=VECTOR('',#426582,10.); #131801=VECTOR('',#426585,10.); #131802=VECTOR('',#426586,10.); #131803=VECTOR('',#426587,10.); #131804=VECTOR('',#426590,10.); #131805=VECTOR('',#426591,10.); #131806=VECTOR('',#426592,10.); #131807=VECTOR('',#426595,10.); #131808=VECTOR('',#426596,10.); #131809=VECTOR('',#426597,10.); #131810=VECTOR('',#426604,10.); #131811=VECTOR('',#426607,10.); #131812=VECTOR('',#426608,10.); #131813=VECTOR('',#426609,10.); #131814=VECTOR('',#426612,10.); #131815=VECTOR('',#426613,10.); #131816=VECTOR('',#426614,10.); #131817=VECTOR('',#426617,10.); #131818=VECTOR('',#426618,10.); #131819=VECTOR('',#426619,10.); #131820=VECTOR('',#426622,10.); #131821=VECTOR('',#426623,10.); #131822=VECTOR('',#426624,10.); #131823=VECTOR('',#426627,10.); #131824=VECTOR('',#426628,10.); #131825=VECTOR('',#426629,10.); #131826=VECTOR('',#426632,10.); #131827=VECTOR('',#426633,10.); #131828=VECTOR('',#426634,10.); #131829=VECTOR('',#426637,10.); #131830=VECTOR('',#426638,10.); #131831=VECTOR('',#426639,10.); #131832=VECTOR('',#426642,10.); #131833=VECTOR('',#426643,10.); #131834=VECTOR('',#426650,10.); #131835=VECTOR('',#426651,10.); #131836=VECTOR('',#426652,10.); #131837=VECTOR('',#426653,10.); #131838=VECTOR('',#426656,10.); #131839=VECTOR('',#426657,10.); #131840=VECTOR('',#426658,10.); #131841=VECTOR('',#426661,10.); #131842=VECTOR('',#426662,10.); #131843=VECTOR('',#426663,10.); #131844=VECTOR('',#426666,10.); #131845=VECTOR('',#426667,10.); #131846=VECTOR('',#426668,10.); #131847=VECTOR('',#426671,10.); #131848=VECTOR('',#426672,10.); #131849=VECTOR('',#426673,10.); #131850=VECTOR('',#426676,10.); #131851=VECTOR('',#426677,10.); #131852=VECTOR('',#426678,10.); #131853=VECTOR('',#426681,10.); #131854=VECTOR('',#426682,10.); #131855=VECTOR('',#426683,10.); #131856=VECTOR('',#426686,10.); #131857=VECTOR('',#426687,10.); #131858=VECTOR('',#426688,10.); #131859=VECTOR('',#426691,10.); #131860=VECTOR('',#426692,10.); #131861=VECTOR('',#426693,10.); #131862=VECTOR('',#426696,10.); #131863=VECTOR('',#426697,10.); #131864=VECTOR('',#426698,10.); #131865=VECTOR('',#426701,10.); #131866=VECTOR('',#426702,10.); #131867=VECTOR('',#426703,10.); #131868=VECTOR('',#426710,10.); #131869=VECTOR('',#426713,10.); #131870=VECTOR('',#426714,10.); #131871=VECTOR('',#426715,10.); #131872=VECTOR('',#426718,10.); #131873=VECTOR('',#426719,10.); #131874=VECTOR('',#426728,0.564999999999998); #131875=VECTOR('',#426733,10.); #131876=VECTOR('',#426734,10.); #131877=VECTOR('',#426735,10.); #131878=VECTOR('',#426736,10.); #131879=VECTOR('',#426739,10.); #131880=VECTOR('',#426740,10.); #131881=VECTOR('',#426741,10.); #131882=VECTOR('',#426748,10.); #131883=VECTOR('',#426751,10.); #131884=VECTOR('',#426752,10.); #131885=VECTOR('',#426753,10.); #131886=VECTOR('',#426760,10.); #131887=VECTOR('',#426763,10.); #131888=VECTOR('',#426764,10.); #131889=VECTOR('',#426765,10.); #131890=VECTOR('',#426768,10.); #131891=VECTOR('',#426769,10.); #131892=VECTOR('',#426770,10.); #131893=VECTOR('',#426777,10.); #131894=VECTOR('',#426780,10.); #131895=VECTOR('',#426781,10.); #131896=VECTOR('',#426782,10.); #131897=VECTOR('',#426785,10.); #131898=VECTOR('',#426786,10.); #131899=VECTOR('',#426787,10.); #131900=VECTOR('',#426790,10.); #131901=VECTOR('',#426791,10.); #131902=VECTOR('',#426792,10.); #131903=VECTOR('',#426795,10.); #131904=VECTOR('',#426796,10.); #131905=VECTOR('',#426797,10.); #131906=VECTOR('',#426800,10.); #131907=VECTOR('',#426801,10.); #131908=VECTOR('',#426802,10.); #131909=VECTOR('',#426805,10.); #131910=VECTOR('',#426806,10.); #131911=VECTOR('',#426807,10.); #131912=VECTOR('',#426810,10.); #131913=VECTOR('',#426811,10.); #131914=VECTOR('',#426812,10.); #131915=VECTOR('',#426815,10.); #131916=VECTOR('',#426816,10.); #131917=VECTOR('',#426817,10.); #131918=VECTOR('',#426824,10.); #131919=VECTOR('',#426827,10.); #131920=VECTOR('',#426828,10.); #131921=VECTOR('',#426829,10.); #131922=VECTOR('',#426832,10.); #131923=VECTOR('',#426833,10.); #131924=VECTOR('',#426834,10.); #131925=VECTOR('',#426837,10.); #131926=VECTOR('',#426838,10.); #131927=VECTOR('',#426839,10.); #131928=VECTOR('',#426842,10.); #131929=VECTOR('',#426843,10.); #131930=VECTOR('',#426844,10.); #131931=VECTOR('',#426851,10.); #131932=VECTOR('',#426854,10.); #131933=VECTOR('',#426855,10.); #131934=VECTOR('',#426856,10.); #131935=VECTOR('',#426859,10.); #131936=VECTOR('',#426860,10.); #131937=VECTOR('',#426861,10.); #131938=VECTOR('',#426864,10.); #131939=VECTOR('',#426865,10.); #131940=VECTOR('',#426866,10.); #131941=VECTOR('',#426869,10.); #131942=VECTOR('',#426870,10.); #131943=VECTOR('',#426871,10.); #131944=VECTOR('',#426874,10.); #131945=VECTOR('',#426875,10.); #131946=VECTOR('',#426876,10.); #131947=VECTOR('',#426879,10.); #131948=VECTOR('',#426880,10.); #131949=VECTOR('',#426881,10.); #131950=VECTOR('',#426884,10.); #131951=VECTOR('',#426885,10.); #131952=VECTOR('',#426886,10.); #131953=VECTOR('',#426889,10.); #131954=VECTOR('',#426890,10.); #131955=VECTOR('',#426891,10.); #131956=VECTOR('',#426894,10.); #131957=VECTOR('',#426895,10.); #131958=VECTOR('',#426896,10.); #131959=VECTOR('',#426899,10.); #131960=VECTOR('',#426900,10.); #131961=VECTOR('',#426901,10.); #131962=VECTOR('',#426904,10.); #131963=VECTOR('',#426905,10.); #131964=VECTOR('',#426906,10.); #131965=VECTOR('',#426909,10.); #131966=VECTOR('',#426910,10.); #131967=VECTOR('',#426911,10.); #131968=VECTOR('',#426914,10.); #131969=VECTOR('',#426915,10.); #131970=VECTOR('',#426916,10.); #131971=VECTOR('',#426919,10.); #131972=VECTOR('',#426920,10.); #131973=VECTOR('',#426921,10.); #131974=VECTOR('',#426924,10.); #131975=VECTOR('',#426925,10.); #131976=VECTOR('',#426926,10.); #131977=VECTOR('',#426929,10.); #131978=VECTOR('',#426930,10.); #131979=VECTOR('',#426931,10.); #131980=VECTOR('',#426946,0.139999999999998); #131981=VECTOR('',#426953,0.139999999999998); #131982=VECTOR('',#426958,10.); #131983=VECTOR('',#426959,10.); #131984=VECTOR('',#426960,10.); #131985=VECTOR('',#426961,10.); #131986=VECTOR('',#426968,10.); #131987=VECTOR('',#426971,10.); #131988=VECTOR('',#426972,10.); #131989=VECTOR('',#426973,10.); #131990=VECTOR('',#426980,10.); #131991=VECTOR('',#426987,10.); #131992=VECTOR('',#426990,10.); #131993=VECTOR('',#426991,10.); #131994=VECTOR('',#426992,10.); #131995=VECTOR('',#427007,0.140000000000002); #131996=VECTOR('',#427012,10.); #131997=VECTOR('',#427013,10.); #131998=VECTOR('',#427014,10.); #131999=VECTOR('',#427015,10.); #132000=VECTOR('',#427018,10.); #132001=VECTOR('',#427019,10.); #132002=VECTOR('',#427020,10.); #132003=VECTOR('',#427023,10.); #132004=VECTOR('',#427024,10.); #132005=VECTOR('',#427025,10.); #132006=VECTOR('',#427028,10.); #132007=VECTOR('',#427029,10.); #132008=VECTOR('',#427038,0.139999999999998); #132009=VECTOR('',#427045,0.139999999999998); #132010=VECTOR('',#427050,10.); #132011=VECTOR('',#427051,10.); #132012=VECTOR('',#427052,10.); #132013=VECTOR('',#427053,10.); #132014=VECTOR('',#427060,10.); #132015=VECTOR('',#427063,10.); #132016=VECTOR('',#427064,10.); #132017=VECTOR('',#427065,10.); #132018=VECTOR('',#427072,10.); #132019=VECTOR('',#427075,10.); #132020=VECTOR('',#427076,10.); #132021=VECTOR('',#427077,10.); #132022=VECTOR('',#427084,10.); #132023=VECTOR('',#427087,10.); #132024=VECTOR('',#427088,10.); #132025=VECTOR('',#427089,10.); #132026=VECTOR('',#427096,10.); #132027=VECTOR('',#427099,10.); #132028=VECTOR('',#427100,10.); #132029=VECTOR('',#427101,10.); #132030=VECTOR('',#427108,10.); #132031=VECTOR('',#427111,10.); #132032=VECTOR('',#427112,10.); #132033=VECTOR('',#427113,10.); #132034=VECTOR('',#427120,10.); #132035=VECTOR('',#427123,10.); #132036=VECTOR('',#427124,10.); #132037=VECTOR('',#427125,10.); #132038=VECTOR('',#427132,10.); #132039=VECTOR('',#427139,10.); #132040=VECTOR('',#427142,10.); #132041=VECTOR('',#427143,10.); #132042=VECTOR('',#427144,10.); #132043=VECTOR('',#427147,10.); #132044=VECTOR('',#427148,10.); #132045=VECTOR('',#427149,10.); #132046=VECTOR('',#427152,10.); #132047=VECTOR('',#427153,10.); #132048=VECTOR('',#427154,10.); #132049=VECTOR('',#427157,10.); #132050=VECTOR('',#427158,10.); #132051=VECTOR('',#427159,10.); #132052=VECTOR('',#427162,10.); #132053=VECTOR('',#427163,10.); #132054=VECTOR('',#427164,10.); #132055=VECTOR('',#427167,10.); #132056=VECTOR('',#427168,10.); #132057=VECTOR('',#427169,10.); #132058=VECTOR('',#427176,10.); #132059=VECTOR('',#427191,0.139999999999998); #132060=VECTOR('',#427198,0.139999999999998); #132061=VECTOR('',#427203,10.); #132062=VECTOR('',#427204,10.); #132063=VECTOR('',#427205,10.); #132064=VECTOR('',#427206,10.); #132065=VECTOR('',#427213,10.); #132066=VECTOR('',#427216,10.); #132067=VECTOR('',#427217,10.); #132068=VECTOR('',#427218,10.); #132069=VECTOR('',#427225,10.); #132070=VECTOR('',#427232,10.); #132071=VECTOR('',#427235,10.); #132072=VECTOR('',#427236,10.); #132073=VECTOR('',#427237,10.); #132074=VECTOR('',#427240,10.); #132075=VECTOR('',#427241,10.); #132076=VECTOR('',#427242,10.); #132077=VECTOR('',#427257,0.139999999999998); #132078=VECTOR('',#427262,10.); #132079=VECTOR('',#427263,10.); #132080=VECTOR('',#427264,10.); #132081=VECTOR('',#427265,10.); #132082=VECTOR('',#427268,10.); #132083=VECTOR('',#427269,10.); #132084=VECTOR('',#427270,10.); #132085=VECTOR('',#427273,10.); #132086=VECTOR('',#427274,10.); #132087=VECTOR('',#427275,10.); #132088=VECTOR('',#427278,10.); #132089=VECTOR('',#427279,10.); #132090=VECTOR('',#427288,0.565000000000002); #132091=VECTOR('',#427295,0.140000000000002); #132092=VECTOR('',#427302,0.514999999999999); #132093=VECTOR('',#427309,0.465); #132094=VECTOR('',#427314,10.); #132095=VECTOR('',#427315,10.); #132096=VECTOR('',#427316,10.); #132097=VECTOR('',#427317,10.); #132098=VECTOR('',#427320,10.); #132099=VECTOR('',#427321,10.); #132100=VECTOR('',#427322,10.); #132101=VECTOR('',#427325,10.); #132102=VECTOR('',#427326,10.); #132103=VECTOR('',#427327,10.); #132104=VECTOR('',#427330,10.); #132105=VECTOR('',#427331,10.); #132106=VECTOR('',#427332,10.); #132107=VECTOR('',#427335,10.); #132108=VECTOR('',#427336,10.); #132109=VECTOR('',#427337,10.); #132110=VECTOR('',#427340,10.); #132111=VECTOR('',#427341,10.); #132112=VECTOR('',#427342,10.); #132113=VECTOR('',#427345,10.); #132114=VECTOR('',#427346,10.); #132115=VECTOR('',#427347,10.); #132116=VECTOR('',#427350,10.); #132117=VECTOR('',#427351,10.); #132118=VECTOR('',#427352,10.); #132119=VECTOR('',#427355,10.); #132120=VECTOR('',#427356,10.); #132121=VECTOR('',#427357,10.); #132122=VECTOR('',#427360,10.); #132123=VECTOR('',#427361,10.); #132124=VECTOR('',#427362,10.); #132125=VECTOR('',#427365,10.); #132126=VECTOR('',#427366,10.); #132127=VECTOR('',#427367,10.); #132128=VECTOR('',#427370,10.); #132129=VECTOR('',#427371,10.); #132130=VECTOR('',#427372,10.); #132131=VECTOR('',#427375,10.); #132132=VECTOR('',#427376,10.); #132133=VECTOR('',#427377,10.); #132134=VECTOR('',#427380,10.); #132135=VECTOR('',#427381,10.); #132136=VECTOR('',#427382,10.); #132137=VECTOR('',#427385,10.); #132138=VECTOR('',#427386,10.); #132139=VECTOR('',#427387,10.); #132140=VECTOR('',#427390,10.); #132141=VECTOR('',#427391,10.); #132142=VECTOR('',#427394,10.); #132143=VECTOR('',#427395,10.); #132144=VECTOR('',#427396,10.); #132145=VECTOR('',#427397,10.); #132146=VECTOR('',#427400,10.); #132147=VECTOR('',#427401,10.); #132148=VECTOR('',#427402,10.); #132149=VECTOR('',#427405,10.); #132150=VECTOR('',#427406,10.); #132151=VECTOR('',#427407,10.); #132152=VECTOR('',#427410,10.); #132153=VECTOR('',#427411,10.); #132154=VECTOR('',#427412,10.); #132155=VECTOR('',#427415,10.); #132156=VECTOR('',#427416,10.); #132157=VECTOR('',#427417,10.); #132158=VECTOR('',#427420,10.); #132159=VECTOR('',#427421,10.); #132160=VECTOR('',#427422,10.); #132161=VECTOR('',#427425,10.); #132162=VECTOR('',#427426,10.); #132163=VECTOR('',#427427,10.); #132164=VECTOR('',#427430,10.); #132165=VECTOR('',#427431,10.); #132166=VECTOR('',#427432,10.); #132167=VECTOR('',#427435,10.); #132168=VECTOR('',#427436,10.); #132169=VECTOR('',#427437,10.); #132170=VECTOR('',#427440,10.); #132171=VECTOR('',#427441,10.); #132172=VECTOR('',#427442,10.); #132173=VECTOR('',#427445,10.); #132174=VECTOR('',#427446,10.); #132175=VECTOR('',#427447,10.); #132176=VECTOR('',#427450,10.); #132177=VECTOR('',#427451,10.); #132178=VECTOR('',#427452,10.); #132179=VECTOR('',#427455,10.); #132180=VECTOR('',#427456,10.); #132181=VECTOR('',#427457,10.); #132182=VECTOR('',#427460,10.); #132183=VECTOR('',#427461,10.); #132184=VECTOR('',#427462,10.); #132185=VECTOR('',#427465,10.); #132186=VECTOR('',#427466,10.); #132187=VECTOR('',#427467,10.); #132188=VECTOR('',#427470,10.); #132189=VECTOR('',#427471,10.); #132190=VECTOR('',#427472,10.); #132191=VECTOR('',#427475,10.); #132192=VECTOR('',#427476,10.); #132193=VECTOR('',#427477,10.); #132194=VECTOR('',#427480,10.); #132195=VECTOR('',#427481,10.); #132196=VECTOR('',#427482,10.); #132197=VECTOR('',#427485,10.); #132198=VECTOR('',#427486,10.); #132199=VECTOR('',#427487,10.); #132200=VECTOR('',#427490,10.); #132201=VECTOR('',#427491,10.); #132202=VECTOR('',#427492,10.); #132203=VECTOR('',#427495,10.); #132204=VECTOR('',#427496,10.); #132205=VECTOR('',#427497,10.); #132206=VECTOR('',#427500,10.); #132207=VECTOR('',#427501,10.); #132208=VECTOR('',#427502,10.); #132209=VECTOR('',#427505,10.); #132210=VECTOR('',#427506,10.); #132211=VECTOR('',#427507,10.); #132212=VECTOR('',#427510,10.); #132213=VECTOR('',#427511,10.); #132214=VECTOR('',#427512,10.); #132215=VECTOR('',#427515,10.); #132216=VECTOR('',#427516,10.); #132217=VECTOR('',#427517,10.); #132218=VECTOR('',#427520,10.); #132219=VECTOR('',#427521,10.); #132220=VECTOR('',#427522,10.); #132221=VECTOR('',#427525,10.); #132222=VECTOR('',#427526,10.); #132223=VECTOR('',#427527,10.); #132224=VECTOR('',#427530,10.); #132225=VECTOR('',#427531,10.); #132226=VECTOR('',#427532,10.); #132227=VECTOR('',#427535,10.); #132228=VECTOR('',#427536,10.); #132229=VECTOR('',#427537,10.); #132230=VECTOR('',#427540,10.); #132231=VECTOR('',#427541,10.); #132232=VECTOR('',#427542,10.); #132233=VECTOR('',#427545,10.); #132234=VECTOR('',#427546,10.); #132235=VECTOR('',#427547,10.); #132236=VECTOR('',#427550,10.); #132237=VECTOR('',#427551,10.); #132238=VECTOR('',#427552,10.); #132239=VECTOR('',#427555,10.); #132240=VECTOR('',#427556,10.); #132241=VECTOR('',#427557,10.); #132242=VECTOR('',#427560,10.); #132243=VECTOR('',#427561,10.); #132244=VECTOR('',#427562,10.); #132245=VECTOR('',#427565,10.); #132246=VECTOR('',#427566,10.); #132247=VECTOR('',#427567,10.); #132248=VECTOR('',#427570,10.); #132249=VECTOR('',#427571,10.); #132250=VECTOR('',#427572,10.); #132251=VECTOR('',#427575,10.); #132252=VECTOR('',#427576,10.); #132253=VECTOR('',#427577,10.); #132254=VECTOR('',#427580,10.); #132255=VECTOR('',#427581,10.); #132256=VECTOR('',#427582,10.); #132257=VECTOR('',#427585,10.); #132258=VECTOR('',#427586,10.); #132259=VECTOR('',#427587,10.); #132260=VECTOR('',#427590,10.); #132261=VECTOR('',#427591,10.); #132262=VECTOR('',#427592,10.); #132263=VECTOR('',#427595,10.); #132264=VECTOR('',#427596,10.); #132265=VECTOR('',#427597,10.); #132266=VECTOR('',#427600,10.); #132267=VECTOR('',#427601,10.); #132268=VECTOR('',#427602,10.); #132269=VECTOR('',#427605,10.); #132270=VECTOR('',#427606,10.); #132271=VECTOR('',#427607,10.); #132272=VECTOR('',#427610,10.); #132273=VECTOR('',#427611,10.); #132274=VECTOR('',#427612,10.); #132275=VECTOR('',#427615,10.); #132276=VECTOR('',#427616,10.); #132277=VECTOR('',#427617,10.); #132278=VECTOR('',#427620,10.); #132279=VECTOR('',#427621,10.); #132280=VECTOR('',#427622,10.); #132281=VECTOR('',#427625,10.); #132282=VECTOR('',#427626,10.); #132283=VECTOR('',#427627,10.); #132284=VECTOR('',#427630,10.); #132285=VECTOR('',#427631,10.); #132286=VECTOR('',#427632,10.); #132287=VECTOR('',#427635,10.); #132288=VECTOR('',#427636,10.); #132289=VECTOR('',#427637,10.); #132290=VECTOR('',#427640,10.); #132291=VECTOR('',#427641,10.); #132292=VECTOR('',#427642,10.); #132293=VECTOR('',#427645,10.); #132294=VECTOR('',#427646,10.); #132295=VECTOR('',#427647,10.); #132296=VECTOR('',#427650,10.); #132297=VECTOR('',#427651,10.); #132298=VECTOR('',#427652,10.); #132299=VECTOR('',#427655,10.); #132300=VECTOR('',#427656,10.); #132301=VECTOR('',#427657,10.); #132302=VECTOR('',#427660,10.); #132303=VECTOR('',#427661,10.); #132304=VECTOR('',#427662,10.); #132305=VECTOR('',#427669,10.); #132306=VECTOR('',#427672,10.); #132307=VECTOR('',#427673,10.); #132308=VECTOR('',#427674,10.); #132309=VECTOR('',#427677,10.); #132310=VECTOR('',#427678,10.); #132311=VECTOR('',#427679,10.); #132312=VECTOR('',#427682,10.); #132313=VECTOR('',#427683,10.); #132314=VECTOR('',#427684,10.); #132315=VECTOR('',#427691,10.); #132316=VECTOR('',#427698,10.); #132317=VECTOR('',#427701,10.); #132318=VECTOR('',#427702,10.); #132319=VECTOR('',#427703,10.); #132320=VECTOR('',#427710,10.); #132321=VECTOR('',#427713,10.); #132322=VECTOR('',#427714,10.); #132323=VECTOR('',#427715,10.); #132324=VECTOR('',#427722,10.); #132325=VECTOR('',#427725,10.); #132326=VECTOR('',#427726,10.); #132327=VECTOR('',#427727,10.); #132328=VECTOR('',#427734,10.); #132329=VECTOR('',#427737,10.); #132330=VECTOR('',#427738,10.); #132331=VECTOR('',#427739,10.); #132332=VECTOR('',#427742,10.); #132333=VECTOR('',#427743,10.); #132334=VECTOR('',#427744,10.); #132335=VECTOR('',#427747,10.); #132336=VECTOR('',#427748,10.); #132337=VECTOR('',#427749,10.); #132338=VECTOR('',#427752,10.); #132339=VECTOR('',#427753,10.); #132340=VECTOR('',#427754,10.); #132341=VECTOR('',#427757,10.); #132342=VECTOR('',#427758,10.); #132343=VECTOR('',#427759,10.); #132344=VECTOR('',#427762,10.); #132345=VECTOR('',#427763,10.); #132346=VECTOR('',#427764,10.); #132347=VECTOR('',#427767,10.); #132348=VECTOR('',#427768,10.); #132349=VECTOR('',#427769,10.); #132350=VECTOR('',#427772,10.); #132351=VECTOR('',#427773,10.); #132352=VECTOR('',#427782,0.140000000000002); #132353=VECTOR('',#427787,10.); #132354=VECTOR('',#427788,10.); #132355=VECTOR('',#427789,10.); #132356=VECTOR('',#427790,10.); #132357=VECTOR('',#427793,10.); #132358=VECTOR('',#427794,10.); #132359=VECTOR('',#427795,10.); #132360=VECTOR('',#427802,10.); #132361=VECTOR('',#427805,10.); #132362=VECTOR('',#427806,10.); #132363=VECTOR('',#427807,10.); #132364=VECTOR('',#427810,10.); #132365=VECTOR('',#427811,10.); #132366=VECTOR('',#427812,10.); #132367=VECTOR('',#427815,10.); #132368=VECTOR('',#427816,10.); #132369=VECTOR('',#427817,10.); #132370=VECTOR('',#427820,10.); #132371=VECTOR('',#427821,10.); #132372=VECTOR('',#427822,10.); #132373=VECTOR('',#427825,10.); #132374=VECTOR('',#427826,10.); #132375=VECTOR('',#427827,10.); #132376=VECTOR('',#427830,10.); #132377=VECTOR('',#427831,10.); #132378=VECTOR('',#427832,10.); #132379=VECTOR('',#427835,10.); #132380=VECTOR('',#427836,10.); #132381=VECTOR('',#427837,10.); #132382=VECTOR('',#427840,10.); #132383=VECTOR('',#427841,10.); #132384=VECTOR('',#427842,10.); #132385=VECTOR('',#427845,10.); #132386=VECTOR('',#427846,10.); #132387=VECTOR('',#427847,10.); #132388=VECTOR('',#427850,10.); #132389=VECTOR('',#427851,10.); #132390=VECTOR('',#427852,10.); #132391=VECTOR('',#427855,10.); #132392=VECTOR('',#427856,10.); #132393=VECTOR('',#427857,10.); #132394=VECTOR('',#427860,10.); #132395=VECTOR('',#427861,10.); #132396=VECTOR('',#427862,10.); #132397=VECTOR('',#427865,10.); #132398=VECTOR('',#427866,10.); #132399=VECTOR('',#427867,10.); #132400=VECTOR('',#427870,10.); #132401=VECTOR('',#427871,10.); #132402=VECTOR('',#427872,10.); #132403=VECTOR('',#427875,10.); #132404=VECTOR('',#427876,10.); #132405=VECTOR('',#427877,10.); #132406=VECTOR('',#427880,10.); #132407=VECTOR('',#427881,10.); #132408=VECTOR('',#427882,10.); #132409=VECTOR('',#427885,10.); #132410=VECTOR('',#427886,10.); #132411=VECTOR('',#427887,10.); #132412=VECTOR('',#427890,10.); #132413=VECTOR('',#427891,10.); #132414=VECTOR('',#427892,10.); #132415=VECTOR('',#427895,10.); #132416=VECTOR('',#427896,10.); #132417=VECTOR('',#427897,10.); #132418=VECTOR('',#427900,10.); #132419=VECTOR('',#427901,10.); #132420=VECTOR('',#427902,10.); #132421=VECTOR('',#427909,10.); #132422=VECTOR('',#427912,10.); #132423=VECTOR('',#427913,10.); #132424=VECTOR('',#427914,10.); #132425=VECTOR('',#427917,10.); #132426=VECTOR('',#427918,10.); #132427=VECTOR('',#427919,10.); #132428=VECTOR('',#427922,10.); #132429=VECTOR('',#427923,10.); #132430=VECTOR('',#427924,10.); #132431=VECTOR('',#427931,10.); #132432=VECTOR('',#427934,10.); #132433=VECTOR('',#427935,10.); #132434=VECTOR('',#427936,10.); #132435=VECTOR('',#427939,10.); #132436=VECTOR('',#427940,10.); #132437=VECTOR('',#427941,10.); #132438=VECTOR('',#427948,10.); #132439=VECTOR('',#427951,10.); #132440=VECTOR('',#427952,10.); #132441=VECTOR('',#427953,10.); #132442=VECTOR('',#427960,10.); #132443=VECTOR('',#427967,10.); #132444=VECTOR('',#427970,10.); #132445=VECTOR('',#427971,10.); #132446=VECTOR('',#427972,10.); #132447=VECTOR('',#427975,10.); #132448=VECTOR('',#427976,10.); #132449=VECTOR('',#427977,10.); #132450=VECTOR('',#427984,10.); #132451=VECTOR('',#427987,10.); #132452=VECTOR('',#427988,10.); #132453=VECTOR('',#427989,10.); #132454=VECTOR('',#427992,10.); #132455=VECTOR('',#427993,10.); #132456=VECTOR('',#427994,10.); #132457=VECTOR('',#428001,10.); #132458=VECTOR('',#428004,10.); #132459=VECTOR('',#428005,10.); #132460=VECTOR('',#428006,10.); #132461=VECTOR('',#428013,10.); #132462=VECTOR('',#428016,10.); #132463=VECTOR('',#428017,10.); #132464=VECTOR('',#428018,10.); #132465=VECTOR('',#428021,10.); #132466=VECTOR('',#428022,10.); #132467=VECTOR('',#428023,10.); #132468=VECTOR('',#428026,10.); #132469=VECTOR('',#428027,10.); #132470=VECTOR('',#428028,10.); #132471=VECTOR('',#428031,10.); #132472=VECTOR('',#428032,10.); #132473=VECTOR('',#428033,10.); #132474=VECTOR('',#428036,10.); #132475=VECTOR('',#428037,10.); #132476=VECTOR('',#428038,10.); #132477=VECTOR('',#428053,0.14); #132478=VECTOR('',#428060,0.14); #132479=VECTOR('',#428067,0.14); #132480=VECTOR('',#428074,0.14); #132481=VECTOR('',#428081,0.14); #132482=VECTOR('',#428088,0.14); #132483=VECTOR('',#428095,0.14); #132484=VECTOR('',#428102,0.14); #132485=VECTOR('',#428109,0.465); #132486=VECTOR('',#428116,0.465); #132487=VECTOR('',#428123,0.514999999999999); #132488=VECTOR('',#428130,0.14); #132489=VECTOR('',#428135,10.); #132490=VECTOR('',#428136,10.); #132491=VECTOR('',#428137,10.); #132492=VECTOR('',#428138,10.); #132493=VECTOR('',#428141,10.); #132494=VECTOR('',#428142,10.); #132495=VECTOR('',#428143,10.); #132496=VECTOR('',#428146,10.); #132497=VECTOR('',#428147,10.); #132498=VECTOR('',#428148,10.); #132499=VECTOR('',#428151,10.); #132500=VECTOR('',#428152,10.); #132501=VECTOR('',#428153,10.); #132502=VECTOR('',#428156,10.); #132503=VECTOR('',#428157,10.); #132504=VECTOR('',#428158,10.); #132505=VECTOR('',#428161,10.); #132506=VECTOR('',#428162,10.); #132507=VECTOR('',#428163,10.); #132508=VECTOR('',#428166,10.); #132509=VECTOR('',#428167,10.); #132510=VECTOR('',#428168,10.); #132511=VECTOR('',#428171,10.); #132512=VECTOR('',#428172,10.); #132513=VECTOR('',#428173,10.); #132514=VECTOR('',#428176,10.); #132515=VECTOR('',#428177,10.); #132516=VECTOR('',#428178,10.); #132517=VECTOR('',#428181,10.); #132518=VECTOR('',#428182,10.); #132519=VECTOR('',#428183,10.); #132520=VECTOR('',#428186,10.); #132521=VECTOR('',#428187,10.); #132522=VECTOR('',#428188,10.); #132523=VECTOR('',#428191,10.); #132524=VECTOR('',#428192,10.); #132525=VECTOR('',#428193,10.); #132526=VECTOR('',#428196,10.); #132527=VECTOR('',#428197,10.); #132528=VECTOR('',#428198,10.); #132529=VECTOR('',#428201,10.); #132530=VECTOR('',#428202,10.); #132531=VECTOR('',#428203,10.); #132532=VECTOR('',#428206,10.); #132533=VECTOR('',#428207,10.); #132534=VECTOR('',#428208,10.); #132535=VECTOR('',#428211,10.); #132536=VECTOR('',#428212,10.); #132537=VECTOR('',#428213,10.); #132538=VECTOR('',#428216,10.); #132539=VECTOR('',#428217,10.); #132540=VECTOR('',#428218,10.); #132541=VECTOR('',#428221,10.); #132542=VECTOR('',#428222,10.); #132543=VECTOR('',#428223,10.); #132544=VECTOR('',#428226,10.); #132545=VECTOR('',#428227,10.); #132546=VECTOR('',#428228,10.); #132547=VECTOR('',#428231,10.); #132548=VECTOR('',#428232,10.); #132549=VECTOR('',#428233,10.); #132550=VECTOR('',#428236,10.); #132551=VECTOR('',#428237,10.); #132552=VECTOR('',#428238,10.); #132553=VECTOR('',#428241,10.); #132554=VECTOR('',#428242,10.); #132555=VECTOR('',#428243,10.); #132556=VECTOR('',#428246,10.); #132557=VECTOR('',#428247,10.); #132558=VECTOR('',#428248,10.); #132559=VECTOR('',#428251,10.); #132560=VECTOR('',#428252,10.); #132561=VECTOR('',#428253,10.); #132562=VECTOR('',#428256,10.); #132563=VECTOR('',#428257,10.); #132564=VECTOR('',#428258,10.); #132565=VECTOR('',#428261,10.); #132566=VECTOR('',#428262,10.); #132567=VECTOR('',#428263,10.); #132568=VECTOR('',#428266,10.); #132569=VECTOR('',#428267,10.); #132570=VECTOR('',#428268,10.); #132571=VECTOR('',#428271,10.); #132572=VECTOR('',#428272,10.); #132573=VECTOR('',#428273,10.); #132574=VECTOR('',#428276,10.); #132575=VECTOR('',#428277,10.); #132576=VECTOR('',#428278,10.); #132577=VECTOR('',#428281,10.); #132578=VECTOR('',#428282,10.); #132579=VECTOR('',#428283,10.); #132580=VECTOR('',#428286,10.); #132581=VECTOR('',#428287,10.); #132582=VECTOR('',#428288,10.); #132583=VECTOR('',#428291,10.); #132584=VECTOR('',#428292,10.); #132585=VECTOR('',#428293,10.); #132586=VECTOR('',#428296,10.); #132587=VECTOR('',#428297,10.); #132588=VECTOR('',#428298,10.); #132589=VECTOR('',#428301,10.); #132590=VECTOR('',#428302,10.); #132591=VECTOR('',#428303,10.); #132592=VECTOR('',#428306,10.); #132593=VECTOR('',#428307,10.); #132594=VECTOR('',#428308,10.); #132595=VECTOR('',#428311,10.); #132596=VECTOR('',#428312,10.); #132597=VECTOR('',#428313,10.); #132598=VECTOR('',#428316,10.); #132599=VECTOR('',#428317,10.); #132600=VECTOR('',#428318,10.); #132601=VECTOR('',#428321,10.); #132602=VECTOR('',#428322,10.); #132603=VECTOR('',#428323,10.); #132604=VECTOR('',#428326,10.); #132605=VECTOR('',#428327,10.); #132606=VECTOR('',#428328,10.); #132607=VECTOR('',#428331,10.); #132608=VECTOR('',#428332,10.); #132609=VECTOR('',#428333,10.); #132610=VECTOR('',#428336,10.); #132611=VECTOR('',#428337,10.); #132612=VECTOR('',#428338,10.); #132613=VECTOR('',#428341,10.); #132614=VECTOR('',#428342,10.); #132615=VECTOR('',#428343,10.); #132616=VECTOR('',#428346,10.); #132617=VECTOR('',#428347,10.); #132618=VECTOR('',#428348,10.); #132619=VECTOR('',#428351,10.); #132620=VECTOR('',#428352,10.); #132621=VECTOR('',#428353,10.); #132622=VECTOR('',#428356,10.); #132623=VECTOR('',#428357,10.); #132624=VECTOR('',#428358,10.); #132625=VECTOR('',#428361,10.); #132626=VECTOR('',#428362,10.); #132627=VECTOR('',#428363,10.); #132628=VECTOR('',#428366,10.); #132629=VECTOR('',#428367,10.); #132630=VECTOR('',#428368,10.); #132631=VECTOR('',#428371,10.); #132632=VECTOR('',#428372,10.); #132633=VECTOR('',#428373,10.); #132634=VECTOR('',#428376,10.); #132635=VECTOR('',#428377,10.); #132636=VECTOR('',#428378,10.); #132637=VECTOR('',#428381,10.); #132638=VECTOR('',#428382,10.); #132639=VECTOR('',#428383,10.); #132640=VECTOR('',#428386,10.); #132641=VECTOR('',#428387,10.); #132642=VECTOR('',#428388,10.); #132643=VECTOR('',#428391,10.); #132644=VECTOR('',#428392,10.); #132645=VECTOR('',#428393,10.); #132646=VECTOR('',#428396,10.); #132647=VECTOR('',#428397,10.); #132648=VECTOR('',#428398,10.); #132649=VECTOR('',#428401,10.); #132650=VECTOR('',#428402,10.); #132651=VECTOR('',#428403,10.); #132652=VECTOR('',#428406,10.); #132653=VECTOR('',#428407,10.); #132654=VECTOR('',#428408,10.); #132655=VECTOR('',#428411,10.); #132656=VECTOR('',#428412,10.); #132657=VECTOR('',#428413,10.); #132658=VECTOR('',#428416,10.); #132659=VECTOR('',#428417,10.); #132660=VECTOR('',#428418,10.); #132661=VECTOR('',#428421,10.); #132662=VECTOR('',#428422,10.); #132663=VECTOR('',#428423,10.); #132664=VECTOR('',#428426,10.); #132665=VECTOR('',#428427,10.); #132666=VECTOR('',#428428,10.); #132667=VECTOR('',#428431,10.); #132668=VECTOR('',#428432,10.); #132669=VECTOR('',#428433,10.); #132670=VECTOR('',#428436,10.); #132671=VECTOR('',#428437,10.); #132672=VECTOR('',#428438,10.); #132673=VECTOR('',#428441,10.); #132674=VECTOR('',#428442,10.); #132675=VECTOR('',#428443,10.); #132676=VECTOR('',#428446,10.); #132677=VECTOR('',#428447,10.); #132678=VECTOR('',#428448,10.); #132679=VECTOR('',#428451,10.); #132680=VECTOR('',#428452,10.); #132681=VECTOR('',#428453,10.); #132682=VECTOR('',#428456,10.); #132683=VECTOR('',#428457,10.); #132684=VECTOR('',#428458,10.); #132685=VECTOR('',#428461,10.); #132686=VECTOR('',#428462,10.); #132687=VECTOR('',#428463,10.); #132688=VECTOR('',#428466,10.); #132689=VECTOR('',#428467,10.); #132690=VECTOR('',#428468,10.); #132691=VECTOR('',#428471,10.); #132692=VECTOR('',#428472,10.); #132693=VECTOR('',#428473,10.); #132694=VECTOR('',#428476,10.); #132695=VECTOR('',#428477,10.); #132696=VECTOR('',#428478,10.); #132697=VECTOR('',#428481,10.); #132698=VECTOR('',#428482,10.); #132699=VECTOR('',#428483,10.); #132700=VECTOR('',#428486,10.); #132701=VECTOR('',#428487,10.); #132702=VECTOR('',#428488,10.); #132703=VECTOR('',#428491,10.); #132704=VECTOR('',#428492,10.); #132705=VECTOR('',#428493,10.); #132706=VECTOR('',#428496,10.); #132707=VECTOR('',#428497,10.); #132708=VECTOR('',#428498,10.); #132709=VECTOR('',#428501,10.); #132710=VECTOR('',#428502,10.); #132711=VECTOR('',#428503,10.); #132712=VECTOR('',#428506,10.); #132713=VECTOR('',#428507,10.); #132714=VECTOR('',#428508,10.); #132715=VECTOR('',#428511,10.); #132716=VECTOR('',#428512,10.); #132717=VECTOR('',#428513,10.); #132718=VECTOR('',#428516,10.); #132719=VECTOR('',#428517,10.); #132720=VECTOR('',#428518,10.); #132721=VECTOR('',#428521,10.); #132722=VECTOR('',#428522,10.); #132723=VECTOR('',#428523,10.); #132724=VECTOR('',#428526,10.); #132725=VECTOR('',#428527,10.); #132726=VECTOR('',#428528,10.); #132727=VECTOR('',#428531,10.); #132728=VECTOR('',#428532,10.); #132729=VECTOR('',#428533,10.); #132730=VECTOR('',#428536,10.); #132731=VECTOR('',#428537,10.); #132732=VECTOR('',#428538,10.); #132733=VECTOR('',#428541,10.); #132734=VECTOR('',#428542,10.); #132735=VECTOR('',#428543,10.); #132736=VECTOR('',#428546,10.); #132737=VECTOR('',#428547,10.); #132738=VECTOR('',#428548,10.); #132739=VECTOR('',#428551,10.); #132740=VECTOR('',#428552,10.); #132741=VECTOR('',#428553,10.); #132742=VECTOR('',#428556,10.); #132743=VECTOR('',#428557,10.); #132744=VECTOR('',#428558,10.); #132745=VECTOR('',#428561,10.); #132746=VECTOR('',#428562,10.); #132747=VECTOR('',#428563,10.); #132748=VECTOR('',#428566,10.); #132749=VECTOR('',#428567,10.); #132750=VECTOR('',#428568,10.); #132751=VECTOR('',#428571,10.); #132752=VECTOR('',#428572,10.); #132753=VECTOR('',#428573,10.); #132754=VECTOR('',#428576,10.); #132755=VECTOR('',#428577,10.); #132756=VECTOR('',#428578,10.); #132757=VECTOR('',#428581,10.); #132758=VECTOR('',#428582,10.); #132759=VECTOR('',#428583,10.); #132760=VECTOR('',#428586,10.); #132761=VECTOR('',#428587,10.); #132762=VECTOR('',#428588,10.); #132763=VECTOR('',#428591,10.); #132764=VECTOR('',#428592,10.); #132765=VECTOR('',#428593,10.); #132766=VECTOR('',#428596,10.); #132767=VECTOR('',#428597,10.); #132768=VECTOR('',#428598,10.); #132769=VECTOR('',#428601,10.); #132770=VECTOR('',#428602,10.); #132771=VECTOR('',#428603,10.); #132772=VECTOR('',#428606,10.); #132773=VECTOR('',#428607,10.); #132774=VECTOR('',#428608,10.); #132775=VECTOR('',#428611,10.); #132776=VECTOR('',#428612,10.); #132777=VECTOR('',#428613,10.); #132778=VECTOR('',#428616,10.); #132779=VECTOR('',#428617,10.); #132780=VECTOR('',#428624,10.); #132781=VECTOR('',#428625,10.); #132782=VECTOR('',#428626,10.); #132783=VECTOR('',#428627,10.); #132784=VECTOR('',#428630,10.); #132785=VECTOR('',#428631,10.); #132786=VECTOR('',#428632,10.); #132787=VECTOR('',#428635,10.); #132788=VECTOR('',#428636,10.); #132789=VECTOR('',#428637,10.); #132790=VECTOR('',#428640,10.); #132791=VECTOR('',#428641,10.); #132792=VECTOR('',#428642,10.); #132793=VECTOR('',#428645,10.); #132794=VECTOR('',#428646,10.); #132795=VECTOR('',#428647,10.); #132796=VECTOR('',#428650,10.); #132797=VECTOR('',#428651,10.); #132798=VECTOR('',#428652,10.); #132799=VECTOR('',#428655,10.); #132800=VECTOR('',#428656,10.); #132801=VECTOR('',#428657,10.); #132802=VECTOR('',#428660,10.); #132803=VECTOR('',#428661,10.); #132804=VECTOR('',#428662,10.); #132805=VECTOR('',#428665,10.); #132806=VECTOR('',#428666,10.); #132807=VECTOR('',#428667,10.); #132808=VECTOR('',#428670,10.); #132809=VECTOR('',#428671,10.); #132810=VECTOR('',#428672,10.); #132811=VECTOR('',#428675,10.); #132812=VECTOR('',#428676,10.); #132813=VECTOR('',#428677,10.); #132814=VECTOR('',#428680,10.); #132815=VECTOR('',#428681,10.); #132816=VECTOR('',#428682,10.); #132817=VECTOR('',#428685,10.); #132818=VECTOR('',#428686,10.); #132819=VECTOR('',#428687,10.); #132820=VECTOR('',#428690,10.); #132821=VECTOR('',#428691,10.); #132822=VECTOR('',#428692,10.); #132823=VECTOR('',#428695,10.); #132824=VECTOR('',#428696,10.); #132825=VECTOR('',#428697,10.); #132826=VECTOR('',#428700,10.); #132827=VECTOR('',#428701,10.); #132828=VECTOR('',#428702,10.); #132829=VECTOR('',#428705,10.); #132830=VECTOR('',#428706,10.); #132831=VECTOR('',#428707,10.); #132832=VECTOR('',#428710,10.); #132833=VECTOR('',#428711,10.); #132834=VECTOR('',#428712,10.); #132835=VECTOR('',#428715,10.); #132836=VECTOR('',#428716,10.); #132837=VECTOR('',#428717,10.); #132838=VECTOR('',#428720,10.); #132839=VECTOR('',#428721,10.); #132840=VECTOR('',#428722,10.); #132841=VECTOR('',#428725,10.); #132842=VECTOR('',#428726,10.); #132843=VECTOR('',#428733,10.); #132844=VECTOR('',#428734,10.); #132845=VECTOR('',#428735,10.); #132846=VECTOR('',#428736,10.); #132847=VECTOR('',#428739,10.); #132848=VECTOR('',#428740,10.); #132849=VECTOR('',#428741,10.); #132850=VECTOR('',#428744,10.); #132851=VECTOR('',#428745,10.); #132852=VECTOR('',#428746,10.); #132853=VECTOR('',#428749,10.); #132854=VECTOR('',#428750,10.); #132855=VECTOR('',#428751,10.); #132856=VECTOR('',#428754,10.); #132857=VECTOR('',#428755,10.); #132858=VECTOR('',#428756,10.); #132859=VECTOR('',#428759,10.); #132860=VECTOR('',#428760,10.); #132861=VECTOR('',#428761,10.); #132862=VECTOR('',#428764,10.); #132863=VECTOR('',#428765,10.); #132864=VECTOR('',#428766,10.); #132865=VECTOR('',#428769,10.); #132866=VECTOR('',#428770,10.); #132867=VECTOR('',#428771,10.); #132868=VECTOR('',#428774,10.); #132869=VECTOR('',#428775,10.); #132870=VECTOR('',#428776,10.); #132871=VECTOR('',#428779,10.); #132872=VECTOR('',#428780,10.); #132873=VECTOR('',#428781,10.); #132874=VECTOR('',#428784,10.); #132875=VECTOR('',#428785,10.); #132876=VECTOR('',#428786,10.); #132877=VECTOR('',#428789,10.); #132878=VECTOR('',#428790,10.); #132879=VECTOR('',#428791,10.); #132880=VECTOR('',#428794,10.); #132881=VECTOR('',#428795,10.); #132882=VECTOR('',#428796,10.); #132883=VECTOR('',#428799,10.); #132884=VECTOR('',#428800,10.); #132885=VECTOR('',#428801,10.); #132886=VECTOR('',#428804,10.); #132887=VECTOR('',#428805,10.); #132888=VECTOR('',#428806,10.); #132889=VECTOR('',#428809,10.); #132890=VECTOR('',#428810,10.); #132891=VECTOR('',#428811,10.); #132892=VECTOR('',#428814,10.); #132893=VECTOR('',#428815,10.); #132894=VECTOR('',#428816,10.); #132895=VECTOR('',#428819,10.); #132896=VECTOR('',#428820,10.); #132897=VECTOR('',#428821,10.); #132898=VECTOR('',#428824,10.); #132899=VECTOR('',#428825,10.); #132900=VECTOR('',#428826,10.); #132901=VECTOR('',#428829,10.); #132902=VECTOR('',#428830,10.); #132903=VECTOR('',#428837,10.); #132904=VECTOR('',#428838,10.); #132905=VECTOR('',#428839,10.); #132906=VECTOR('',#428840,10.); #132907=VECTOR('',#428843,10.); #132908=VECTOR('',#428844,10.); #132909=VECTOR('',#428845,10.); #132910=VECTOR('',#428848,10.); #132911=VECTOR('',#428849,10.); #132912=VECTOR('',#428850,10.); #132913=VECTOR('',#428853,10.); #132914=VECTOR('',#428854,10.); #132915=VECTOR('',#428855,10.); #132916=VECTOR('',#428858,10.); #132917=VECTOR('',#428859,10.); #132918=VECTOR('',#428860,10.); #132919=VECTOR('',#428863,10.); #132920=VECTOR('',#428864,10.); #132921=VECTOR('',#428865,10.); #132922=VECTOR('',#428868,10.); #132923=VECTOR('',#428869,10.); #132924=VECTOR('',#428870,10.); #132925=VECTOR('',#428873,10.); #132926=VECTOR('',#428874,10.); #132927=VECTOR('',#428875,10.); #132928=VECTOR('',#428878,10.); #132929=VECTOR('',#428879,10.); #132930=VECTOR('',#428880,10.); #132931=VECTOR('',#428883,10.); #132932=VECTOR('',#428884,10.); #132933=VECTOR('',#428885,10.); #132934=VECTOR('',#428888,10.); #132935=VECTOR('',#428889,10.); #132936=VECTOR('',#428890,10.); #132937=VECTOR('',#428893,10.); #132938=VECTOR('',#428894,10.); #132939=VECTOR('',#428895,10.); #132940=VECTOR('',#428898,10.); #132941=VECTOR('',#428899,10.); #132942=VECTOR('',#428900,10.); #132943=VECTOR('',#428903,10.); #132944=VECTOR('',#428904,10.); #132945=VECTOR('',#428905,10.); #132946=VECTOR('',#428908,10.); #132947=VECTOR('',#428909,10.); #132948=VECTOR('',#428910,10.); #132949=VECTOR('',#428913,10.); #132950=VECTOR('',#428914,10.); #132951=VECTOR('',#428915,10.); #132952=VECTOR('',#428918,10.); #132953=VECTOR('',#428919,10.); #132954=VECTOR('',#428920,10.); #132955=VECTOR('',#428923,10.); #132956=VECTOR('',#428924,10.); #132957=VECTOR('',#428925,10.); #132958=VECTOR('',#428928,10.); #132959=VECTOR('',#428929,10.); #132960=VECTOR('',#428930,10.); #132961=VECTOR('',#428933,10.); #132962=VECTOR('',#428934,10.); #132963=VECTOR('',#428935,10.); #132964=VECTOR('',#428938,10.); #132965=VECTOR('',#428939,10.); #132966=VECTOR('',#428940,10.); #132967=VECTOR('',#428943,10.); #132968=VECTOR('',#428944,10.); #132969=VECTOR('',#428945,10.); #132970=VECTOR('',#428948,10.); #132971=VECTOR('',#428949,10.); #132972=VECTOR('',#428950,10.); #132973=VECTOR('',#428953,10.); #132974=VECTOR('',#428954,10.); #132975=VECTOR('',#428961,10.); #132976=VECTOR('',#428962,10.); #132977=VECTOR('',#428963,10.); #132978=VECTOR('',#428964,10.); #132979=VECTOR('',#428967,10.); #132980=VECTOR('',#428968,10.); #132981=VECTOR('',#428969,10.); #132982=VECTOR('',#428972,10.); #132983=VECTOR('',#428973,10.); #132984=VECTOR('',#428974,10.); #132985=VECTOR('',#428977,10.); #132986=VECTOR('',#428978,10.); #132987=VECTOR('',#428979,10.); #132988=VECTOR('',#428982,10.); #132989=VECTOR('',#428983,10.); #132990=VECTOR('',#428984,10.); #132991=VECTOR('',#428987,10.); #132992=VECTOR('',#428988,10.); #132993=VECTOR('',#428989,10.); #132994=VECTOR('',#428992,10.); #132995=VECTOR('',#428993,10.); #132996=VECTOR('',#428994,10.); #132997=VECTOR('',#428997,10.); #132998=VECTOR('',#428998,10.); #132999=VECTOR('',#428999,10.); #133000=VECTOR('',#429002,10.); #133001=VECTOR('',#429003,10.); #133002=VECTOR('',#429004,10.); #133003=VECTOR('',#429007,10.); #133004=VECTOR('',#429008,10.); #133005=VECTOR('',#429009,10.); #133006=VECTOR('',#429012,10.); #133007=VECTOR('',#429013,10.); #133008=VECTOR('',#429014,10.); #133009=VECTOR('',#429017,10.); #133010=VECTOR('',#429018,10.); #133011=VECTOR('',#429019,10.); #133012=VECTOR('',#429022,10.); #133013=VECTOR('',#429023,10.); #133014=VECTOR('',#429024,10.); #133015=VECTOR('',#429027,10.); #133016=VECTOR('',#429028,10.); #133017=VECTOR('',#429029,10.); #133018=VECTOR('',#429032,10.); #133019=VECTOR('',#429033,10.); #133020=VECTOR('',#429034,10.); #133021=VECTOR('',#429037,10.); #133022=VECTOR('',#429038,10.); #133023=VECTOR('',#429039,10.); #133024=VECTOR('',#429042,10.); #133025=VECTOR('',#429043,10.); #133026=VECTOR('',#429044,10.); #133027=VECTOR('',#429047,10.); #133028=VECTOR('',#429048,10.); #133029=VECTOR('',#429049,10.); #133030=VECTOR('',#429052,10.); #133031=VECTOR('',#429053,10.); #133032=VECTOR('',#429054,10.); #133033=VECTOR('',#429057,10.); #133034=VECTOR('',#429058,10.); #133035=VECTOR('',#429059,10.); #133036=VECTOR('',#429062,10.); #133037=VECTOR('',#429063,10.); #133038=VECTOR('',#429070,10.); #133039=VECTOR('',#429071,10.); #133040=VECTOR('',#429072,10.); #133041=VECTOR('',#429073,10.); #133042=VECTOR('',#429076,10.); #133043=VECTOR('',#429077,10.); #133044=VECTOR('',#429078,10.); #133045=VECTOR('',#429081,10.); #133046=VECTOR('',#429082,10.); #133047=VECTOR('',#429083,10.); #133048=VECTOR('',#429086,10.); #133049=VECTOR('',#429087,10.); #133050=VECTOR('',#429088,10.); #133051=VECTOR('',#429091,10.); #133052=VECTOR('',#429092,10.); #133053=VECTOR('',#429093,10.); #133054=VECTOR('',#429096,10.); #133055=VECTOR('',#429097,10.); #133056=VECTOR('',#429098,10.); #133057=VECTOR('',#429101,10.); #133058=VECTOR('',#429102,10.); #133059=VECTOR('',#429103,10.); #133060=VECTOR('',#429106,10.); #133061=VECTOR('',#429107,10.); #133062=VECTOR('',#429108,10.); #133063=VECTOR('',#429111,10.); #133064=VECTOR('',#429112,10.); #133065=VECTOR('',#429113,10.); #133066=VECTOR('',#429116,10.); #133067=VECTOR('',#429117,10.); #133068=VECTOR('',#429118,10.); #133069=VECTOR('',#429121,10.); #133070=VECTOR('',#429122,10.); #133071=VECTOR('',#429123,10.); #133072=VECTOR('',#429126,10.); #133073=VECTOR('',#429127,10.); #133074=VECTOR('',#429128,10.); #133075=VECTOR('',#429131,10.); #133076=VECTOR('',#429132,10.); #133077=VECTOR('',#429133,10.); #133078=VECTOR('',#429136,10.); #133079=VECTOR('',#429137,10.); #133080=VECTOR('',#429138,10.); #133081=VECTOR('',#429141,10.); #133082=VECTOR('',#429142,10.); #133083=VECTOR('',#429143,10.); #133084=VECTOR('',#429146,10.); #133085=VECTOR('',#429147,10.); #133086=VECTOR('',#429148,10.); #133087=VECTOR('',#429151,10.); #133088=VECTOR('',#429152,10.); #133089=VECTOR('',#429153,10.); #133090=VECTOR('',#429156,10.); #133091=VECTOR('',#429157,10.); #133092=VECTOR('',#429158,10.); #133093=VECTOR('',#429161,10.); #133094=VECTOR('',#429162,10.); #133095=VECTOR('',#429163,10.); #133096=VECTOR('',#429166,10.); #133097=VECTOR('',#429167,10.); #133098=VECTOR('',#429168,10.); #133099=VECTOR('',#429171,10.); #133100=VECTOR('',#429172,10.); #133101=VECTOR('',#429173,10.); #133102=VECTOR('',#429176,10.); #133103=VECTOR('',#429177,10.); #133104=VECTOR('',#429178,10.); #133105=VECTOR('',#429181,10.); #133106=VECTOR('',#429182,10.); #133107=VECTOR('',#429183,10.); #133108=VECTOR('',#429186,10.); #133109=VECTOR('',#429187,10.); #133110=VECTOR('',#429188,10.); #133111=VECTOR('',#429191,10.); #133112=VECTOR('',#429192,10.); #133113=VECTOR('',#429193,10.); #133114=VECTOR('',#429196,10.); #133115=VECTOR('',#429197,10.); #133116=VECTOR('',#429198,10.); #133117=VECTOR('',#429201,10.); #133118=VECTOR('',#429202,10.); #133119=VECTOR('',#429203,10.); #133120=VECTOR('',#429206,10.); #133121=VECTOR('',#429207,10.); #133122=VECTOR('',#429208,10.); #133123=VECTOR('',#429211,10.); #133124=VECTOR('',#429212,10.); #133125=VECTOR('',#429213,10.); #133126=VECTOR('',#429216,10.); #133127=VECTOR('',#429217,10.); #133128=VECTOR('',#429218,10.); #133129=VECTOR('',#429221,10.); #133130=VECTOR('',#429222,10.); #133131=VECTOR('',#429223,10.); #133132=VECTOR('',#429226,10.); #133133=VECTOR('',#429227,10.); #133134=VECTOR('',#429228,10.); #133135=VECTOR('',#429231,10.); #133136=VECTOR('',#429232,10.); #133137=VECTOR('',#429233,10.); #133138=VECTOR('',#429236,10.); #133139=VECTOR('',#429237,10.); #133140=VECTOR('',#429238,10.); #133141=VECTOR('',#429241,10.); #133142=VECTOR('',#429242,10.); #133143=VECTOR('',#429249,10.); #133144=VECTOR('',#429250,10.); #133145=VECTOR('',#429251,10.); #133146=VECTOR('',#429252,10.); #133147=VECTOR('',#429255,10.); #133148=VECTOR('',#429256,10.); #133149=VECTOR('',#429257,10.); #133150=VECTOR('',#429260,10.); #133151=VECTOR('',#429261,10.); #133152=VECTOR('',#429262,10.); #133153=VECTOR('',#429265,10.); #133154=VECTOR('',#429266,10.); #133155=VECTOR('',#429267,10.); #133156=VECTOR('',#429270,10.); #133157=VECTOR('',#429271,10.); #133158=VECTOR('',#429272,10.); #133159=VECTOR('',#429275,10.); #133160=VECTOR('',#429276,10.); #133161=VECTOR('',#429277,10.); #133162=VECTOR('',#429280,10.); #133163=VECTOR('',#429281,10.); #133164=VECTOR('',#429282,10.); #133165=VECTOR('',#429285,10.); #133166=VECTOR('',#429286,10.); #133167=VECTOR('',#429287,10.); #133168=VECTOR('',#429290,10.); #133169=VECTOR('',#429291,10.); #133170=VECTOR('',#429292,10.); #133171=VECTOR('',#429295,10.); #133172=VECTOR('',#429296,10.); #133173=VECTOR('',#429297,10.); #133174=VECTOR('',#429300,10.); #133175=VECTOR('',#429301,10.); #133176=VECTOR('',#429302,10.); #133177=VECTOR('',#429305,10.); #133178=VECTOR('',#429306,10.); #133179=VECTOR('',#429307,10.); #133180=VECTOR('',#429310,10.); #133181=VECTOR('',#429311,10.); #133182=VECTOR('',#429312,10.); #133183=VECTOR('',#429315,10.); #133184=VECTOR('',#429316,10.); #133185=VECTOR('',#429317,10.); #133186=VECTOR('',#429320,10.); #133187=VECTOR('',#429321,10.); #133188=VECTOR('',#429322,10.); #133189=VECTOR('',#429325,10.); #133190=VECTOR('',#429326,10.); #133191=VECTOR('',#429327,10.); #133192=VECTOR('',#429330,10.); #133193=VECTOR('',#429331,10.); #133194=VECTOR('',#429332,10.); #133195=VECTOR('',#429335,10.); #133196=VECTOR('',#429336,10.); #133197=VECTOR('',#429337,10.); #133198=VECTOR('',#429340,10.); #133199=VECTOR('',#429341,10.); #133200=VECTOR('',#429342,10.); #133201=VECTOR('',#429345,10.); #133202=VECTOR('',#429346,10.); #133203=VECTOR('',#429347,10.); #133204=VECTOR('',#429350,10.); #133205=VECTOR('',#429351,10.); #133206=VECTOR('',#429358,10.); #133207=VECTOR('',#429359,10.); #133208=VECTOR('',#429360,10.); #133209=VECTOR('',#429361,10.); #133210=VECTOR('',#429364,10.); #133211=VECTOR('',#429365,10.); #133212=VECTOR('',#429366,10.); #133213=VECTOR('',#429369,10.); #133214=VECTOR('',#429370,10.); #133215=VECTOR('',#429371,10.); #133216=VECTOR('',#429374,10.); #133217=VECTOR('',#429375,10.); #133218=VECTOR('',#429376,10.); #133219=VECTOR('',#429379,10.); #133220=VECTOR('',#429380,10.); #133221=VECTOR('',#429381,10.); #133222=VECTOR('',#429384,10.); #133223=VECTOR('',#429385,10.); #133224=VECTOR('',#429386,10.); #133225=VECTOR('',#429389,10.); #133226=VECTOR('',#429390,10.); #133227=VECTOR('',#429391,10.); #133228=VECTOR('',#429394,10.); #133229=VECTOR('',#429395,10.); #133230=VECTOR('',#429396,10.); #133231=VECTOR('',#429399,10.); #133232=VECTOR('',#429400,10.); #133233=VECTOR('',#429401,10.); #133234=VECTOR('',#429404,10.); #133235=VECTOR('',#429405,10.); #133236=VECTOR('',#429406,10.); #133237=VECTOR('',#429409,10.); #133238=VECTOR('',#429410,10.); #133239=VECTOR('',#429411,10.); #133240=VECTOR('',#429414,10.); #133241=VECTOR('',#429415,10.); #133242=VECTOR('',#429416,10.); #133243=VECTOR('',#429419,10.); #133244=VECTOR('',#429420,10.); #133245=VECTOR('',#429421,10.); #133246=VECTOR('',#429424,10.); #133247=VECTOR('',#429425,10.); #133248=VECTOR('',#429426,10.); #133249=VECTOR('',#429429,10.); #133250=VECTOR('',#429430,10.); #133251=VECTOR('',#429431,10.); #133252=VECTOR('',#429434,10.); #133253=VECTOR('',#429435,10.); #133254=VECTOR('',#429436,10.); #133255=VECTOR('',#429439,10.); #133256=VECTOR('',#429440,10.); #133257=VECTOR('',#429441,10.); #133258=VECTOR('',#429444,10.); #133259=VECTOR('',#429445,10.); #133260=VECTOR('',#429446,10.); #133261=VECTOR('',#429449,10.); #133262=VECTOR('',#429450,10.); #133263=VECTOR('',#429451,10.); #133264=VECTOR('',#429454,10.); #133265=VECTOR('',#429455,10.); #133266=VECTOR('',#429456,10.); #133267=VECTOR('',#429459,10.); #133268=VECTOR('',#429460,10.); #133269=VECTOR('',#429461,10.); #133270=VECTOR('',#429464,10.); #133271=VECTOR('',#429465,10.); #133272=VECTOR('',#429466,10.); #133273=VECTOR('',#429469,10.); #133274=VECTOR('',#429470,10.); #133275=VECTOR('',#429471,10.); #133276=VECTOR('',#429474,10.); #133277=VECTOR('',#429475,10.); #133278=VECTOR('',#429476,10.); #133279=VECTOR('',#429479,10.); #133280=VECTOR('',#429480,10.); #133281=VECTOR('',#429481,10.); #133282=VECTOR('',#429484,10.); #133283=VECTOR('',#429485,10.); #133284=VECTOR('',#429486,10.); #133285=VECTOR('',#429489,10.); #133286=VECTOR('',#429490,10.); #133287=VECTOR('',#429491,10.); #133288=VECTOR('',#429494,10.); #133289=VECTOR('',#429495,10.); #133290=VECTOR('',#429496,10.); #133291=VECTOR('',#429499,10.); #133292=VECTOR('',#429500,10.); #133293=VECTOR('',#429501,10.); #133294=VECTOR('',#429504,10.); #133295=VECTOR('',#429505,10.); #133296=VECTOR('',#429506,10.); #133297=VECTOR('',#429509,10.); #133298=VECTOR('',#429510,10.); #133299=VECTOR('',#429517,10.); #133300=VECTOR('',#429518,10.); #133301=VECTOR('',#429519,10.); #133302=VECTOR('',#429520,10.); #133303=VECTOR('',#429523,10.); #133304=VECTOR('',#429524,10.); #133305=VECTOR('',#429525,10.); #133306=VECTOR('',#429528,10.); #133307=VECTOR('',#429529,10.); #133308=VECTOR('',#429530,10.); #133309=VECTOR('',#429533,10.); #133310=VECTOR('',#429534,10.); #133311=VECTOR('',#429535,10.); #133312=VECTOR('',#429538,10.); #133313=VECTOR('',#429539,10.); #133314=VECTOR('',#429540,10.); #133315=VECTOR('',#429543,10.); #133316=VECTOR('',#429544,10.); #133317=VECTOR('',#429545,10.); #133318=VECTOR('',#429548,10.); #133319=VECTOR('',#429549,10.); #133320=VECTOR('',#429550,10.); #133321=VECTOR('',#429553,10.); #133322=VECTOR('',#429554,10.); #133323=VECTOR('',#429555,10.); #133324=VECTOR('',#429558,10.); #133325=VECTOR('',#429559,10.); #133326=VECTOR('',#429560,10.); #133327=VECTOR('',#429563,10.); #133328=VECTOR('',#429564,10.); #133329=VECTOR('',#429565,10.); #133330=VECTOR('',#429568,10.); #133331=VECTOR('',#429569,10.); #133332=VECTOR('',#429570,10.); #133333=VECTOR('',#429573,10.); #133334=VECTOR('',#429574,10.); #133335=VECTOR('',#429575,10.); #133336=VECTOR('',#429578,10.); #133337=VECTOR('',#429579,10.); #133338=VECTOR('',#429580,10.); #133339=VECTOR('',#429583,10.); #133340=VECTOR('',#429584,10.); #133341=VECTOR('',#429585,10.); #133342=VECTOR('',#429588,10.); #133343=VECTOR('',#429589,10.); #133344=VECTOR('',#429590,10.); #133345=VECTOR('',#429593,10.); #133346=VECTOR('',#429594,10.); #133347=VECTOR('',#429595,10.); #133348=VECTOR('',#429598,10.); #133349=VECTOR('',#429599,10.); #133350=VECTOR('',#429600,10.); #133351=VECTOR('',#429603,10.); #133352=VECTOR('',#429604,10.); #133353=VECTOR('',#429605,10.); #133354=VECTOR('',#429608,10.); #133355=VECTOR('',#429609,10.); #133356=VECTOR('',#429610,10.); #133357=VECTOR('',#429613,10.); #133358=VECTOR('',#429614,10.); #133359=VECTOR('',#429615,10.); #133360=VECTOR('',#429618,10.); #133361=VECTOR('',#429619,10.); #133362=VECTOR('',#429620,10.); #133363=VECTOR('',#429623,10.); #133364=VECTOR('',#429624,10.); #133365=VECTOR('',#429631,10.); #133366=VECTOR('',#429632,10.); #133367=VECTOR('',#429633,10.); #133368=VECTOR('',#429634,10.); #133369=VECTOR('',#429637,10.); #133370=VECTOR('',#429638,10.); #133371=VECTOR('',#429639,10.); #133372=VECTOR('',#429642,10.); #133373=VECTOR('',#429643,10.); #133374=VECTOR('',#429644,10.); #133375=VECTOR('',#429647,10.); #133376=VECTOR('',#429648,10.); #133377=VECTOR('',#429649,10.); #133378=VECTOR('',#429652,10.); #133379=VECTOR('',#429653,10.); #133380=VECTOR('',#429654,10.); #133381=VECTOR('',#429657,10.); #133382=VECTOR('',#429658,10.); #133383=VECTOR('',#429659,10.); #133384=VECTOR('',#429662,10.); #133385=VECTOR('',#429663,10.); #133386=VECTOR('',#429664,10.); #133387=VECTOR('',#429667,10.); #133388=VECTOR('',#429668,10.); #133389=VECTOR('',#429669,10.); #133390=VECTOR('',#429672,10.); #133391=VECTOR('',#429673,10.); #133392=VECTOR('',#429674,10.); #133393=VECTOR('',#429677,10.); #133394=VECTOR('',#429678,10.); #133395=VECTOR('',#429679,10.); #133396=VECTOR('',#429682,10.); #133397=VECTOR('',#429683,10.); #133398=VECTOR('',#429684,10.); #133399=VECTOR('',#429687,10.); #133400=VECTOR('',#429688,10.); #133401=VECTOR('',#429689,10.); #133402=VECTOR('',#429692,10.); #133403=VECTOR('',#429693,10.); #133404=VECTOR('',#429694,10.); #133405=VECTOR('',#429697,10.); #133406=VECTOR('',#429698,10.); #133407=VECTOR('',#429699,10.); #133408=VECTOR('',#429702,10.); #133409=VECTOR('',#429703,10.); #133410=VECTOR('',#429704,10.); #133411=VECTOR('',#429707,10.); #133412=VECTOR('',#429708,10.); #133413=VECTOR('',#429709,10.); #133414=VECTOR('',#429712,10.); #133415=VECTOR('',#429713,10.); #133416=VECTOR('',#429714,10.); #133417=VECTOR('',#429717,10.); #133418=VECTOR('',#429718,10.); #133419=VECTOR('',#429719,10.); #133420=VECTOR('',#429722,10.); #133421=VECTOR('',#429723,10.); #133422=VECTOR('',#429724,10.); #133423=VECTOR('',#429727,10.); #133424=VECTOR('',#429728,10.); #133425=VECTOR('',#429737,0.140000000000002); #133426=VECTOR('',#429744,0.139999999999998); #133427=VECTOR('',#429751,0.139999999999998); #133428=VECTOR('',#429758,0.139999999999998); #133429=VECTOR('',#429765,0.139999999999998); #133430=VECTOR('',#429772,0.139999999999998); #133431=VECTOR('',#429779,0.465); #133432=VECTOR('',#429786,0.139999999999998); #133433=VECTOR('',#429793,0.139999999999998); #133434=VECTOR('',#429800,0.139999999999998); #133435=VECTOR('',#429807,0.139999999999998); #133436=VECTOR('',#429814,0.139999999999998); #133437=VECTOR('',#429821,0.139999999999998); #133438=VECTOR('',#429828,0.514999999999999); #133439=VECTOR('',#429835,0.139999999999998); #133440=VECTOR('',#429842,0.139999999999998); #133441=VECTOR('',#429849,0.139999999999998); #133442=VECTOR('',#429856,0.139999999999998); #133443=VECTOR('',#429863,0.139999999999998); #133444=VECTOR('',#429870,0.139999999999998); #133445=VECTOR('',#429877,0.139999999999998); #133446=VECTOR('',#429884,0.139999999999998); #133447=VECTOR('',#429891,0.14); #133448=VECTOR('',#429898,0.139999999999998); #133449=VECTOR('',#429905,0.14); #133450=VECTOR('',#429912,0.139999999999998); #133451=VECTOR('',#429919,0.14); #133452=VECTOR('',#429926,0.139999999999998); #133453=VECTOR('',#429933,0.14); #133454=VECTOR('',#429940,0.140000000000002); #133455=VECTOR('',#429947,0.139999999999998); #133456=VECTOR('',#429954,0.140000000000002); #133457=VECTOR('',#429961,0.139999999999998); #133458=VECTOR('',#429968,0.140000000000002); #133459=VECTOR('',#429975,0.139999999999998); #133460=VECTOR('',#429982,0.140000000000002); #133461=VECTOR('',#429989,0.140000000000002); #133462=VECTOR('',#429996,0.139999999999998); #133463=VECTOR('',#430003,0.140000000000002); #133464=VECTOR('',#430010,0.140000000000002); #133465=VECTOR('',#430017,0.139999999999998); #133466=VECTOR('',#430024,0.140000000000002); #133467=VECTOR('',#430031,0.140000000000002); #133468=VECTOR('',#430038,0.139999999999998); #133469=VECTOR('',#430045,0.140000000000002); #133470=VECTOR('',#430052,0.139999999999998); #133471=VECTOR('',#430059,0.140000000000002); #133472=VECTOR('',#430066,0.139999999999998); #133473=VECTOR('',#430073,0.140000000000002); #133474=VECTOR('',#430080,0.14); #133475=VECTOR('',#430087,0.139999999999998); #133476=VECTOR('',#430094,0.14); #133477=VECTOR('',#430101,0.139999999999998); #133478=VECTOR('',#430108,0.14); #133479=VECTOR('',#430115,0.139999999999998); #133480=VECTOR('',#430122,0.14); #133481=VECTOR('',#430129,0.14); #133482=VECTOR('',#430136,0.139999999999998); #133483=VECTOR('',#430143,0.14); #133484=VECTOR('',#430150,0.139999999999998); #133485=VECTOR('',#430157,0.14); #133486=VECTOR('',#430164,0.139999999999998); #133487=VECTOR('',#430171,0.14); #133488=VECTOR('',#430178,0.465); #133489=VECTOR('',#430183,10.); #133490=VECTOR('',#430184,10.); #133491=VECTOR('',#430185,10.); #133492=VECTOR('',#430186,10.); #133493=VECTOR('',#430193,10.); #133494=VECTOR('',#430196,10.); #133495=VECTOR('',#430197,10.); #133496=VECTOR('',#430198,10.); #133497=VECTOR('',#430207,10.); #133498=VECTOR('',#430208,10.); #133499=VECTOR('',#430209,10.); #133500=VECTOR('',#430210,10.); #133501=VECTOR('',#430217,10.); #133502=VECTOR('',#430220,10.); #133503=VECTOR('',#430221,10.); #133504=VECTOR('',#430222,10.); #133505=VECTOR('',#430233,0.139999999999998); #133506=VECTOR('',#430240,0.114999999999998); #133507=VECTOR('',#430245,10.); #133508=VECTOR('',#430246,10.); #133509=VECTOR('',#430247,10.); #133510=VECTOR('',#430248,10.); #133511=VECTOR('',#430255,10.); #133512=VECTOR('',#430258,10.); #133513=VECTOR('',#430259,10.); #133514=VECTOR('',#430260,10.); #133515=VECTOR('',#430271,0.139999999999998); #133516=VECTOR('',#430278,0.114999999999998); #133517=VECTOR('',#430285,0.139999999999998); #133518=VECTOR('',#430292,0.114999999999998); #133519=VECTOR('',#430299,0.114999999999998); #133520=VECTOR('',#430304,10.); #133521=VECTOR('',#430305,10.); #133522=VECTOR('',#430306,10.); #133523=VECTOR('',#430307,10.); #133524=VECTOR('',#430314,10.); #133525=VECTOR('',#430317,10.); #133526=VECTOR('',#430318,10.); #133527=VECTOR('',#430319,10.); #133528=VECTOR('',#430330,0.139999999999998); #133529=VECTOR('',#430335,10.); #133530=VECTOR('',#430336,10.); #133531=VECTOR('',#430337,10.); #133532=VECTOR('',#430338,10.); #133533=VECTOR('',#430345,10.); #133534=VECTOR('',#430348,10.); #133535=VECTOR('',#430349,10.); #133536=VECTOR('',#430350,10.); #133537=VECTOR('',#430359,10.); #133538=VECTOR('',#430360,10.); #133539=VECTOR('',#430361,10.); #133540=VECTOR('',#430362,10.); #133541=VECTOR('',#430369,10.); #133542=VECTOR('',#430372,10.); #133543=VECTOR('',#430373,10.); #133544=VECTOR('',#430374,10.); #133545=VECTOR('',#430385,0.139999999999998); #133546=VECTOR('',#430392,0.139999999999998); #133547=VECTOR('',#430399,0.140000000000002); #133548=VECTOR('',#430406,0.139999999999998); #133549=VECTOR('',#430413,0.140000000000002); #133550=VECTOR('',#430420,0.140000000000002); #133551=VECTOR('',#430427,0.139999999999998); #133552=VECTOR('',#430434,0.140000000000002); #133553=VECTOR('',#430441,0.139999999999998); #133554=VECTOR('',#430448,0.139999999999998); #133555=VECTOR('',#430455,0.139999999999998); #133556=VECTOR('',#430462,0.139999999999998); #133557=VECTOR('',#430469,0.139999999999998); #133558=VECTOR('',#430476,0.140000000000002); #133559=VECTOR('',#430483,0.514999999999999); #133560=VECTOR('',#430490,0.140000000000002); #133561=VECTOR('',#430497,0.139999999999998); #133562=VECTOR('',#430504,0.140000000000002); #133563=VECTOR('',#430511,0.140000000000002); #133564=VECTOR('',#430518,0.139999999999998); #133565=VECTOR('',#430525,0.140000000000002); #133566=VECTOR('',#430532,0.140000000000002); #133567=VECTOR('',#430539,0.139999999999998); #133568=VECTOR('',#430546,0.140000000000002); #133569=VECTOR('',#430553,0.140000000000002); #133570=VECTOR('',#430560,0.139999999999998); #133571=VECTOR('',#430567,0.140000000000002); #133572=VECTOR('',#430574,0.564999999999998); #133573=VECTOR('',#430581,0.14); #133574=VECTOR('',#430588,0.139999999999998); #133575=VECTOR('',#430595,0.140000000000002); #133576=VECTOR('',#430602,0.140000000000002); #133577=VECTOR('',#430609,0.139999999999998); #133578=VECTOR('',#430616,0.140000000000002); #133579=VECTOR('',#430623,0.140000000000002); #133580=VECTOR('',#430630,0.139999999999998); #133581=VECTOR('',#430637,0.140000000000002); #133582=VECTOR('',#430644,0.140000000000002); #133583=VECTOR('',#430651,0.139999999999998); #133584=VECTOR('',#430658,0.140000000000002); #133585=VECTOR('',#430665,0.14); #133586=VECTOR('',#430672,0.139999999999998); #133587=VECTOR('',#430679,0.14); #133588=VECTOR('',#430686,0.14); #133589=VECTOR('',#430693,0.139999999999998); #133590=VECTOR('',#430700,0.14); #133591=VECTOR('',#430707,0.14); #133592=VECTOR('',#430714,0.139999999999998); #133593=VECTOR('',#430721,0.14); #133594=VECTOR('',#430728,0.14); #133595=VECTOR('',#430735,0.139999999999998); #133596=VECTOR('',#430742,0.14); #133597=VECTOR('',#430747,10.); #133598=VECTOR('',#430748,10.); #133599=VECTOR('',#430749,10.); #133600=VECTOR('',#430750,10.); #133601=VECTOR('',#430753,10.); #133602=VECTOR('',#430754,10.); #133603=VECTOR('',#430755,10.); #133604=VECTOR('',#430758,10.); #133605=VECTOR('',#430759,10.); #133606=VECTOR('',#430760,10.); #133607=VECTOR('',#430763,10.); #133608=VECTOR('',#430764,10.); #133609=VECTOR('',#430765,10.); #133610=VECTOR('',#430768,10.); #133611=VECTOR('',#430769,10.); #133612=VECTOR('',#430770,10.); #133613=VECTOR('',#430773,10.); #133614=VECTOR('',#430774,10.); #133615=VECTOR('',#430775,10.); #133616=VECTOR('',#430778,10.); #133617=VECTOR('',#430779,10.); #133618=VECTOR('',#430780,10.); #133619=VECTOR('',#430783,10.); #133620=VECTOR('',#430784,10.); #133621=VECTOR('',#430785,10.); #133622=VECTOR('',#430788,10.); #133623=VECTOR('',#430789,10.); #133624=VECTOR('',#430790,10.); #133625=VECTOR('',#430793,10.); #133626=VECTOR('',#430794,10.); #133627=VECTOR('',#430795,10.); #133628=VECTOR('',#430798,10.); #133629=VECTOR('',#430799,10.); #133630=VECTOR('',#430800,10.); #133631=VECTOR('',#430803,10.); #133632=VECTOR('',#430804,10.); #133633=VECTOR('',#430805,10.); #133634=VECTOR('',#430808,10.); #133635=VECTOR('',#430809,10.); #133636=VECTOR('',#430810,10.); #133637=VECTOR('',#430813,10.); #133638=VECTOR('',#430814,10.); #133639=VECTOR('',#430815,10.); #133640=VECTOR('',#430818,10.); #133641=VECTOR('',#430819,10.); #133642=VECTOR('',#430820,10.); #133643=VECTOR('',#430823,10.); #133644=VECTOR('',#430824,10.); #133645=VECTOR('',#430825,10.); #133646=VECTOR('',#430828,10.); #133647=VECTOR('',#430829,10.); #133648=VECTOR('',#430830,10.); #133649=VECTOR('',#430833,10.); #133650=VECTOR('',#430834,10.); #133651=VECTOR('',#430835,10.); #133652=VECTOR('',#430838,10.); #133653=VECTOR('',#430839,10.); #133654=VECTOR('',#430840,10.); #133655=VECTOR('',#430843,10.); #133656=VECTOR('',#430844,10.); #133657=VECTOR('',#430845,10.); #133658=VECTOR('',#430848,10.); #133659=VECTOR('',#430849,10.); #133660=VECTOR('',#430850,10.); #133661=VECTOR('',#430853,10.); #133662=VECTOR('',#430854,10.); #133663=VECTOR('',#430855,10.); #133664=VECTOR('',#430858,10.); #133665=VECTOR('',#430859,10.); #133666=VECTOR('',#430860,10.); #133667=VECTOR('',#430863,10.); #133668=VECTOR('',#430864,10.); #133669=VECTOR('',#430865,10.); #133670=VECTOR('',#430868,10.); #133671=VECTOR('',#430869,10.); #133672=VECTOR('',#430870,10.); #133673=VECTOR('',#430873,10.); #133674=VECTOR('',#430874,10.); #133675=VECTOR('',#430875,10.); #133676=VECTOR('',#430878,10.); #133677=VECTOR('',#430879,10.); #133678=VECTOR('',#430880,10.); #133679=VECTOR('',#430883,10.); #133680=VECTOR('',#430884,10.); #133681=VECTOR('',#430885,10.); #133682=VECTOR('',#430888,10.); #133683=VECTOR('',#430889,10.); #133684=VECTOR('',#430890,10.); #133685=VECTOR('',#430893,10.); #133686=VECTOR('',#430894,10.); #133687=VECTOR('',#430895,10.); #133688=VECTOR('',#430898,10.); #133689=VECTOR('',#430899,10.); #133690=VECTOR('',#430900,10.); #133691=VECTOR('',#430903,10.); #133692=VECTOR('',#430904,10.); #133693=VECTOR('',#430905,10.); #133694=VECTOR('',#430908,10.); #133695=VECTOR('',#430909,10.); #133696=VECTOR('',#430910,10.); #133697=VECTOR('',#430913,10.); #133698=VECTOR('',#430914,10.); #133699=VECTOR('',#430915,10.); #133700=VECTOR('',#430918,10.); #133701=VECTOR('',#430919,10.); #133702=VECTOR('',#430920,10.); #133703=VECTOR('',#430923,10.); #133704=VECTOR('',#430924,10.); #133705=VECTOR('',#430925,10.); #133706=VECTOR('',#430928,10.); #133707=VECTOR('',#430929,10.); #133708=VECTOR('',#430930,10.); #133709=VECTOR('',#430933,10.); #133710=VECTOR('',#430934,10.); #133711=VECTOR('',#430935,10.); #133712=VECTOR('',#430938,10.); #133713=VECTOR('',#430939,10.); #133714=VECTOR('',#430940,10.); #133715=VECTOR('',#430943,10.); #133716=VECTOR('',#430944,10.); #133717=VECTOR('',#430945,10.); #133718=VECTOR('',#430948,10.); #133719=VECTOR('',#430949,10.); #133720=VECTOR('',#430950,10.); #133721=VECTOR('',#430953,10.); #133722=VECTOR('',#430954,10.); #133723=VECTOR('',#430955,10.); #133724=VECTOR('',#430958,10.); #133725=VECTOR('',#430959,10.); #133726=VECTOR('',#430960,10.); #133727=VECTOR('',#430963,10.); #133728=VECTOR('',#430964,10.); #133729=VECTOR('',#430965,10.); #133730=VECTOR('',#430968,10.); #133731=VECTOR('',#430969,10.); #133732=VECTOR('',#430970,10.); #133733=VECTOR('',#430973,10.); #133734=VECTOR('',#430974,10.); #133735=VECTOR('',#430975,10.); #133736=VECTOR('',#430978,10.); #133737=VECTOR('',#430979,10.); #133738=VECTOR('',#430980,10.); #133739=VECTOR('',#430983,10.); #133740=VECTOR('',#430984,10.); #133741=VECTOR('',#430985,10.); #133742=VECTOR('',#430988,10.); #133743=VECTOR('',#430989,10.); #133744=VECTOR('',#430990,10.); #133745=VECTOR('',#430993,10.); #133746=VECTOR('',#430994,10.); #133747=VECTOR('',#430995,10.); #133748=VECTOR('',#430998,10.); #133749=VECTOR('',#430999,10.); #133750=VECTOR('',#431000,10.); #133751=VECTOR('',#431003,10.); #133752=VECTOR('',#431004,10.); #133753=VECTOR('',#431005,10.); #133754=VECTOR('',#431008,10.); #133755=VECTOR('',#431009,10.); #133756=VECTOR('',#431010,10.); #133757=VECTOR('',#431013,10.); #133758=VECTOR('',#431014,10.); #133759=VECTOR('',#431015,10.); #133760=VECTOR('',#431018,10.); #133761=VECTOR('',#431019,10.); #133762=VECTOR('',#431020,10.); #133763=VECTOR('',#431023,10.); #133764=VECTOR('',#431024,10.); #133765=VECTOR('',#431025,10.); #133766=VECTOR('',#431028,10.); #133767=VECTOR('',#431029,10.); #133768=VECTOR('',#431030,10.); #133769=VECTOR('',#431033,10.); #133770=VECTOR('',#431034,10.); #133771=VECTOR('',#431035,10.); #133772=VECTOR('',#431038,10.); #133773=VECTOR('',#431039,10.); #133774=VECTOR('',#431040,10.); #133775=VECTOR('',#431043,10.); #133776=VECTOR('',#431044,10.); #133777=VECTOR('',#431045,10.); #133778=VECTOR('',#431048,10.); #133779=VECTOR('',#431049,10.); #133780=VECTOR('',#431050,10.); #133781=VECTOR('',#431053,10.); #133782=VECTOR('',#431054,10.); #133783=VECTOR('',#431055,10.); #133784=VECTOR('',#431058,10.); #133785=VECTOR('',#431059,10.); #133786=VECTOR('',#431060,10.); #133787=VECTOR('',#431063,10.); #133788=VECTOR('',#431064,10.); #133789=VECTOR('',#431065,10.); #133790=VECTOR('',#431068,10.); #133791=VECTOR('',#431069,10.); #133792=VECTOR('',#431070,10.); #133793=VECTOR('',#431073,10.); #133794=VECTOR('',#431074,10.); #133795=VECTOR('',#431075,10.); #133796=VECTOR('',#431078,10.); #133797=VECTOR('',#431079,10.); #133798=VECTOR('',#431080,10.); #133799=VECTOR('',#431083,10.); #133800=VECTOR('',#431084,10.); #133801=VECTOR('',#431085,10.); #133802=VECTOR('',#431088,10.); #133803=VECTOR('',#431089,10.); #133804=VECTOR('',#431090,10.); #133805=VECTOR('',#431093,10.); #133806=VECTOR('',#431094,10.); #133807=VECTOR('',#431095,10.); #133808=VECTOR('',#431098,10.); #133809=VECTOR('',#431099,10.); #133810=VECTOR('',#431100,10.); #133811=VECTOR('',#431103,10.); #133812=VECTOR('',#431104,10.); #133813=VECTOR('',#431105,10.); #133814=VECTOR('',#431108,10.); #133815=VECTOR('',#431109,10.); #133816=VECTOR('',#431110,10.); #133817=VECTOR('',#431113,10.); #133818=VECTOR('',#431114,10.); #133819=VECTOR('',#431115,10.); #133820=VECTOR('',#431118,10.); #133821=VECTOR('',#431119,10.); #133822=VECTOR('',#431120,10.); #133823=VECTOR('',#431123,10.); #133824=VECTOR('',#431124,10.); #133825=VECTOR('',#431125,10.); #133826=VECTOR('',#431128,10.); #133827=VECTOR('',#431129,10.); #133828=VECTOR('',#431130,10.); #133829=VECTOR('',#431133,10.); #133830=VECTOR('',#431134,10.); #133831=VECTOR('',#431135,10.); #133832=VECTOR('',#431138,10.); #133833=VECTOR('',#431139,10.); #133834=VECTOR('',#431140,10.); #133835=VECTOR('',#431143,10.); #133836=VECTOR('',#431144,10.); #133837=VECTOR('',#431145,10.); #133838=VECTOR('',#431148,10.); #133839=VECTOR('',#431149,10.); #133840=VECTOR('',#431150,10.); #133841=VECTOR('',#431153,10.); #133842=VECTOR('',#431154,10.); #133843=VECTOR('',#431155,10.); #133844=VECTOR('',#431158,10.); #133845=VECTOR('',#431159,10.); #133846=VECTOR('',#431160,10.); #133847=VECTOR('',#431163,10.); #133848=VECTOR('',#431164,10.); #133849=VECTOR('',#431165,10.); #133850=VECTOR('',#431168,10.); #133851=VECTOR('',#431169,10.); #133852=VECTOR('',#431170,10.); #133853=VECTOR('',#431173,10.); #133854=VECTOR('',#431174,10.); #133855=VECTOR('',#431175,10.); #133856=VECTOR('',#431178,10.); #133857=VECTOR('',#431179,10.); #133858=VECTOR('',#431180,10.); #133859=VECTOR('',#431183,10.); #133860=VECTOR('',#431184,10.); #133861=VECTOR('',#431185,10.); #133862=VECTOR('',#431188,10.); #133863=VECTOR('',#431189,10.); #133864=VECTOR('',#431190,10.); #133865=VECTOR('',#431193,10.); #133866=VECTOR('',#431194,10.); #133867=VECTOR('',#431195,10.); #133868=VECTOR('',#431198,10.); #133869=VECTOR('',#431199,10.); #133870=VECTOR('',#431200,10.); #133871=VECTOR('',#431203,10.); #133872=VECTOR('',#431204,10.); #133873=VECTOR('',#431205,10.); #133874=VECTOR('',#431208,10.); #133875=VECTOR('',#431209,10.); #133876=VECTOR('',#431210,10.); #133877=VECTOR('',#431213,10.); #133878=VECTOR('',#431214,10.); #133879=VECTOR('',#431215,10.); #133880=VECTOR('',#431218,10.); #133881=VECTOR('',#431219,10.); #133882=VECTOR('',#431220,10.); #133883=VECTOR('',#431223,10.); #133884=VECTOR('',#431224,10.); #133885=VECTOR('',#431225,10.); #133886=VECTOR('',#431228,10.); #133887=VECTOR('',#431229,10.); #133888=VECTOR('',#431230,10.); #133889=VECTOR('',#431233,10.); #133890=VECTOR('',#431234,10.); #133891=VECTOR('',#431235,10.); #133892=VECTOR('',#431238,10.); #133893=VECTOR('',#431239,10.); #133894=VECTOR('',#431240,10.); #133895=VECTOR('',#431243,10.); #133896=VECTOR('',#431244,10.); #133897=VECTOR('',#431245,10.); #133898=VECTOR('',#431248,10.); #133899=VECTOR('',#431249,10.); #133900=VECTOR('',#431250,10.); #133901=VECTOR('',#431253,10.); #133902=VECTOR('',#431254,10.); #133903=VECTOR('',#431255,10.); #133904=VECTOR('',#431258,10.); #133905=VECTOR('',#431259,10.); #133906=VECTOR('',#431260,10.); #133907=VECTOR('',#431263,10.); #133908=VECTOR('',#431264,10.); #133909=VECTOR('',#431265,10.); #133910=VECTOR('',#431268,10.); #133911=VECTOR('',#431269,10.); #133912=VECTOR('',#431270,10.); #133913=VECTOR('',#431273,10.); #133914=VECTOR('',#431274,10.); #133915=VECTOR('',#431275,10.); #133916=VECTOR('',#431278,10.); #133917=VECTOR('',#431279,10.); #133918=VECTOR('',#431280,10.); #133919=VECTOR('',#431283,10.); #133920=VECTOR('',#431284,10.); #133921=VECTOR('',#431285,10.); #133922=VECTOR('',#431288,10.); #133923=VECTOR('',#431289,10.); #133924=VECTOR('',#431290,10.); #133925=VECTOR('',#431293,10.); #133926=VECTOR('',#431294,10.); #133927=VECTOR('',#431295,10.); #133928=VECTOR('',#431298,10.); #133929=VECTOR('',#431299,10.); #133930=VECTOR('',#431300,10.); #133931=VECTOR('',#431303,10.); #133932=VECTOR('',#431304,10.); #133933=VECTOR('',#431305,10.); #133934=VECTOR('',#431308,10.); #133935=VECTOR('',#431309,10.); #133936=VECTOR('',#431310,10.); #133937=VECTOR('',#431313,10.); #133938=VECTOR('',#431314,10.); #133939=VECTOR('',#431315,10.); #133940=VECTOR('',#431318,10.); #133941=VECTOR('',#431319,10.); #133942=VECTOR('',#431320,10.); #133943=VECTOR('',#431323,10.); #133944=VECTOR('',#431324,10.); #133945=VECTOR('',#431325,10.); #133946=VECTOR('',#431328,10.); #133947=VECTOR('',#431329,10.); #133948=VECTOR('',#431330,10.); #133949=VECTOR('',#431333,10.); #133950=VECTOR('',#431334,10.); #133951=VECTOR('',#431335,10.); #133952=VECTOR('',#431338,10.); #133953=VECTOR('',#431339,10.); #133954=VECTOR('',#431340,10.); #133955=VECTOR('',#431343,10.); #133956=VECTOR('',#431344,10.); #133957=VECTOR('',#431345,10.); #133958=VECTOR('',#431348,10.); #133959=VECTOR('',#431349,10.); #133960=VECTOR('',#431350,10.); #133961=VECTOR('',#431353,10.); #133962=VECTOR('',#431354,10.); #133963=VECTOR('',#431355,10.); #133964=VECTOR('',#431358,10.); #133965=VECTOR('',#431359,10.); #133966=VECTOR('',#431360,10.); #133967=VECTOR('',#431363,10.); #133968=VECTOR('',#431364,10.); #133969=VECTOR('',#431365,10.); #133970=VECTOR('',#431368,10.); #133971=VECTOR('',#431369,10.); #133972=VECTOR('',#431370,10.); #133973=VECTOR('',#431373,10.); #133974=VECTOR('',#431374,10.); #133975=VECTOR('',#431375,10.); #133976=VECTOR('',#431378,10.); #133977=VECTOR('',#431379,10.); #133978=VECTOR('',#431380,10.); #133979=VECTOR('',#431383,10.); #133980=VECTOR('',#431384,10.); #133981=VECTOR('',#431385,10.); #133982=VECTOR('',#431388,10.); #133983=VECTOR('',#431389,10.); #133984=VECTOR('',#431390,10.); #133985=VECTOR('',#431393,10.); #133986=VECTOR('',#431394,10.); #133987=VECTOR('',#431395,10.); #133988=VECTOR('',#431398,10.); #133989=VECTOR('',#431399,10.); #133990=VECTOR('',#431400,10.); #133991=VECTOR('',#431403,10.); #133992=VECTOR('',#431404,10.); #133993=VECTOR('',#431407,10.); #133994=VECTOR('',#431408,10.); #133995=VECTOR('',#431409,10.); #133996=VECTOR('',#431410,10.); #133997=VECTOR('',#431413,10.); #133998=VECTOR('',#431414,10.); #133999=VECTOR('',#431415,10.); #134000=VECTOR('',#431418,10.); #134001=VECTOR('',#431419,10.); #134002=VECTOR('',#431420,10.); #134003=VECTOR('',#431423,10.); #134004=VECTOR('',#431424,10.); #134005=VECTOR('',#431425,10.); #134006=VECTOR('',#431428,10.); #134007=VECTOR('',#431429,10.); #134008=VECTOR('',#431430,10.); #134009=VECTOR('',#431433,10.); #134010=VECTOR('',#431434,10.); #134011=VECTOR('',#431435,10.); #134012=VECTOR('',#431438,10.); #134013=VECTOR('',#431439,10.); #134014=VECTOR('',#431440,10.); #134015=VECTOR('',#431443,10.); #134016=VECTOR('',#431444,10.); #134017=VECTOR('',#431447,10.); #134018=VECTOR('',#431448,10.); #134019=VECTOR('',#431449,10.); #134020=VECTOR('',#431450,10.); #134021=VECTOR('',#431453,10.); #134022=VECTOR('',#431454,10.); #134023=VECTOR('',#431455,10.); #134024=VECTOR('',#431458,10.); #134025=VECTOR('',#431459,10.); #134026=VECTOR('',#431460,10.); #134027=VECTOR('',#431463,10.); #134028=VECTOR('',#431464,10.); #134029=VECTOR('',#431465,10.); #134030=VECTOR('',#431468,10.); #134031=VECTOR('',#431469,10.); #134032=VECTOR('',#431470,10.); #134033=VECTOR('',#431473,10.); #134034=VECTOR('',#431474,10.); #134035=VECTOR('',#431475,10.); #134036=VECTOR('',#431478,10.); #134037=VECTOR('',#431479,10.); #134038=VECTOR('',#431480,10.); #134039=VECTOR('',#431483,10.); #134040=VECTOR('',#431484,10.); #134041=VECTOR('',#431487,10.); #134042=VECTOR('',#431488,10.); #134043=VECTOR('',#431489,10.); #134044=VECTOR('',#431490,10.); #134045=VECTOR('',#431493,10.); #134046=VECTOR('',#431494,10.); #134047=VECTOR('',#431495,10.); #134048=VECTOR('',#431498,10.); #134049=VECTOR('',#431499,10.); #134050=VECTOR('',#431500,10.); #134051=VECTOR('',#431503,10.); #134052=VECTOR('',#431504,10.); #134053=VECTOR('',#431505,10.); #134054=VECTOR('',#431508,10.); #134055=VECTOR('',#431509,10.); #134056=VECTOR('',#431510,10.); #134057=VECTOR('',#431513,10.); #134058=VECTOR('',#431514,10.); #134059=VECTOR('',#431515,10.); #134060=VECTOR('',#431518,10.); #134061=VECTOR('',#431519,10.); #134062=VECTOR('',#431520,10.); #134063=VECTOR('',#431523,10.); #134064=VECTOR('',#431524,10.); #134065=VECTOR('',#431527,10.); #134066=VECTOR('',#431528,10.); #134067=VECTOR('',#431529,10.); #134068=VECTOR('',#431530,10.); #134069=VECTOR('',#431533,10.); #134070=VECTOR('',#431534,10.); #134071=VECTOR('',#431535,10.); #134072=VECTOR('',#431538,10.); #134073=VECTOR('',#431539,10.); #134074=VECTOR('',#431540,10.); #134075=VECTOR('',#431543,10.); #134076=VECTOR('',#431544,10.); #134077=VECTOR('',#431545,10.); #134078=VECTOR('',#431548,10.); #134079=VECTOR('',#431549,10.); #134080=VECTOR('',#431550,10.); #134081=VECTOR('',#431553,10.); #134082=VECTOR('',#431554,10.); #134083=VECTOR('',#431555,10.); #134084=VECTOR('',#431558,10.); #134085=VECTOR('',#431559,10.); #134086=VECTOR('',#431560,10.); #134087=VECTOR('',#431563,10.); #134088=VECTOR('',#431564,10.); #134089=VECTOR('',#431565,10.); #134090=VECTOR('',#431568,10.); #134091=VECTOR('',#431569,10.); #134092=VECTOR('',#431570,10.); #134093=VECTOR('',#431573,10.); #134094=VECTOR('',#431574,10.); #134095=VECTOR('',#431575,10.); #134096=VECTOR('',#431578,10.); #134097=VECTOR('',#431579,10.); #134098=VECTOR('',#431580,10.); #134099=VECTOR('',#431583,10.); #134100=VECTOR('',#431584,10.); #134101=VECTOR('',#431585,10.); #134102=VECTOR('',#431588,10.); #134103=VECTOR('',#431589,10.); #134104=VECTOR('',#431590,10.); #134105=VECTOR('',#431593,10.); #134106=VECTOR('',#431594,10.); #134107=VECTOR('',#431595,10.); #134108=VECTOR('',#431598,10.); #134109=VECTOR('',#431599,10.); #134110=VECTOR('',#431600,10.); #134111=VECTOR('',#431603,10.); #134112=VECTOR('',#431604,10.); #134113=VECTOR('',#431605,10.); #134114=VECTOR('',#431608,10.); #134115=VECTOR('',#431609,10.); #134116=VECTOR('',#431610,10.); #134117=VECTOR('',#431613,10.); #134118=VECTOR('',#431614,10.); #134119=VECTOR('',#431615,10.); #134120=VECTOR('',#431618,10.); #134121=VECTOR('',#431619,10.); #134122=VECTOR('',#431620,10.); #134123=VECTOR('',#431623,10.); #134124=VECTOR('',#431624,10.); #134125=VECTOR('',#431625,10.); #134126=VECTOR('',#431628,10.); #134127=VECTOR('',#431629,10.); #134128=VECTOR('',#431630,10.); #134129=VECTOR('',#431633,10.); #134130=VECTOR('',#431634,10.); #134131=VECTOR('',#431635,10.); #134132=VECTOR('',#431638,10.); #134133=VECTOR('',#431639,10.); #134134=VECTOR('',#431640,10.); #134135=VECTOR('',#431643,10.); #134136=VECTOR('',#431644,10.); #134137=VECTOR('',#431645,10.); #134138=VECTOR('',#431648,10.); #134139=VECTOR('',#431649,10.); #134140=VECTOR('',#431650,10.); #134141=VECTOR('',#431653,10.); #134142=VECTOR('',#431654,10.); #134143=VECTOR('',#431655,10.); #134144=VECTOR('',#431658,10.); #134145=VECTOR('',#431659,10.); #134146=VECTOR('',#431660,10.); #134147=VECTOR('',#431663,10.); #134148=VECTOR('',#431664,10.); #134149=VECTOR('',#431665,10.); #134150=VECTOR('',#431668,10.); #134151=VECTOR('',#431669,10.); #134152=VECTOR('',#431670,10.); #134153=VECTOR('',#431673,10.); #134154=VECTOR('',#431674,10.); #134155=VECTOR('',#431675,10.); #134156=VECTOR('',#431678,10.); #134157=VECTOR('',#431679,10.); #134158=VECTOR('',#431680,10.); #134159=VECTOR('',#431683,10.); #134160=VECTOR('',#431684,10.); #134161=VECTOR('',#431685,10.); #134162=VECTOR('',#431688,10.); #134163=VECTOR('',#431689,10.); #134164=VECTOR('',#431690,10.); #134165=VECTOR('',#431693,10.); #134166=VECTOR('',#431694,10.); #134167=VECTOR('',#431697,10.); #134168=VECTOR('',#431698,10.); #134169=VECTOR('',#431699,10.); #134170=VECTOR('',#431700,10.); #134171=VECTOR('',#431703,10.); #134172=VECTOR('',#431704,10.); #134173=VECTOR('',#431705,10.); #134174=VECTOR('',#431708,10.); #134175=VECTOR('',#431709,10.); #134176=VECTOR('',#431710,10.); #134177=VECTOR('',#431713,10.); #134178=VECTOR('',#431714,10.); #134179=VECTOR('',#431715,10.); #134180=VECTOR('',#431718,10.); #134181=VECTOR('',#431719,10.); #134182=VECTOR('',#431720,10.); #134183=VECTOR('',#431723,10.); #134184=VECTOR('',#431724,10.); #134185=VECTOR('',#431725,10.); #134186=VECTOR('',#431728,10.); #134187=VECTOR('',#431729,10.); #134188=VECTOR('',#431730,10.); #134189=VECTOR('',#431733,10.); #134190=VECTOR('',#431734,10.); #134191=VECTOR('',#431735,10.); #134192=VECTOR('',#431738,10.); #134193=VECTOR('',#431739,10.); #134194=VECTOR('',#431740,10.); #134195=VECTOR('',#431743,10.); #134196=VECTOR('',#431744,10.); #134197=VECTOR('',#431745,10.); #134198=VECTOR('',#431748,10.); #134199=VECTOR('',#431749,10.); #134200=VECTOR('',#431750,10.); #134201=VECTOR('',#431753,10.); #134202=VECTOR('',#431754,10.); #134203=VECTOR('',#431755,10.); #134204=VECTOR('',#431758,10.); #134205=VECTOR('',#431759,10.); #134206=VECTOR('',#431760,10.); #134207=VECTOR('',#431763,10.); #134208=VECTOR('',#431764,10.); #134209=VECTOR('',#431765,10.); #134210=VECTOR('',#431768,10.); #134211=VECTOR('',#431769,10.); #134212=VECTOR('',#431770,10.); #134213=VECTOR('',#431773,10.); #134214=VECTOR('',#431774,10.); #134215=VECTOR('',#431775,10.); #134216=VECTOR('',#431778,10.); #134217=VECTOR('',#431779,10.); #134218=VECTOR('',#431780,10.); #134219=VECTOR('',#431783,10.); #134220=VECTOR('',#431784,10.); #134221=VECTOR('',#431785,10.); #134222=VECTOR('',#431788,10.); #134223=VECTOR('',#431789,10.); #134224=VECTOR('',#431790,10.); #134225=VECTOR('',#431793,10.); #134226=VECTOR('',#431794,10.); #134227=VECTOR('',#431795,10.); #134228=VECTOR('',#431798,10.); #134229=VECTOR('',#431799,10.); #134230=VECTOR('',#431800,10.); #134231=VECTOR('',#431803,10.); #134232=VECTOR('',#431804,10.); #134233=VECTOR('',#431805,10.); #134234=VECTOR('',#431808,10.); #134235=VECTOR('',#431809,10.); #134236=VECTOR('',#431810,10.); #134237=VECTOR('',#431813,10.); #134238=VECTOR('',#431814,10.); #134239=VECTOR('',#431815,10.); #134240=VECTOR('',#431818,10.); #134241=VECTOR('',#431819,10.); #134242=VECTOR('',#431820,10.); #134243=VECTOR('',#431823,10.); #134244=VECTOR('',#431824,10.); #134245=VECTOR('',#431825,10.); #134246=VECTOR('',#431828,10.); #134247=VECTOR('',#431829,10.); #134248=VECTOR('',#431830,10.); #134249=VECTOR('',#431833,10.); #134250=VECTOR('',#431834,10.); #134251=VECTOR('',#431835,10.); #134252=VECTOR('',#431838,10.); #134253=VECTOR('',#431839,10.); #134254=VECTOR('',#431840,10.); #134255=VECTOR('',#431843,10.); #134256=VECTOR('',#431844,10.); #134257=VECTOR('',#431845,10.); #134258=VECTOR('',#431848,10.); #134259=VECTOR('',#431849,10.); #134260=VECTOR('',#431850,10.); #134261=VECTOR('',#431853,10.); #134262=VECTOR('',#431854,10.); #134263=VECTOR('',#431855,10.); #134264=VECTOR('',#431858,10.); #134265=VECTOR('',#431859,10.); #134266=VECTOR('',#431860,10.); #134267=VECTOR('',#431863,10.); #134268=VECTOR('',#431864,10.); #134269=VECTOR('',#431867,10.); #134270=VECTOR('',#431868,10.); #134271=VECTOR('',#431869,10.); #134272=VECTOR('',#431870,10.); #134273=VECTOR('',#431873,10.); #134274=VECTOR('',#431874,10.); #134275=VECTOR('',#431875,10.); #134276=VECTOR('',#431878,10.); #134277=VECTOR('',#431879,10.); #134278=VECTOR('',#431880,10.); #134279=VECTOR('',#431883,10.); #134280=VECTOR('',#431884,10.); #134281=VECTOR('',#431885,10.); #134282=VECTOR('',#431888,10.); #134283=VECTOR('',#431889,10.); #134284=VECTOR('',#431890,10.); #134285=VECTOR('',#431893,10.); #134286=VECTOR('',#431894,10.); #134287=VECTOR('',#431895,10.); #134288=VECTOR('',#431898,10.); #134289=VECTOR('',#431899,10.); #134290=VECTOR('',#431900,10.); #134291=VECTOR('',#431903,10.); #134292=VECTOR('',#431904,10.); #134293=VECTOR('',#431905,10.); #134294=VECTOR('',#431908,10.); #134295=VECTOR('',#431909,10.); #134296=VECTOR('',#431910,10.); #134297=VECTOR('',#431913,10.); #134298=VECTOR('',#431914,10.); #134299=VECTOR('',#431915,10.); #134300=VECTOR('',#431918,10.); #134301=VECTOR('',#431919,10.); #134302=VECTOR('',#431920,10.); #134303=VECTOR('',#431923,10.); #134304=VECTOR('',#431924,10.); #134305=VECTOR('',#431925,10.); #134306=VECTOR('',#431928,10.); #134307=VECTOR('',#431929,10.); #134308=VECTOR('',#431930,10.); #134309=VECTOR('',#431933,10.); #134310=VECTOR('',#431934,10.); #134311=VECTOR('',#431935,10.); #134312=VECTOR('',#431938,10.); #134313=VECTOR('',#431939,10.); #134314=VECTOR('',#431940,10.); #134315=VECTOR('',#431943,10.); #134316=VECTOR('',#431944,10.); #134317=VECTOR('',#431945,10.); #134318=VECTOR('',#431948,10.); #134319=VECTOR('',#431949,10.); #134320=VECTOR('',#431950,10.); #134321=VECTOR('',#431953,10.); #134322=VECTOR('',#431954,10.); #134323=VECTOR('',#431955,10.); #134324=VECTOR('',#431958,10.); #134325=VECTOR('',#431959,10.); #134326=VECTOR('',#431960,10.); #134327=VECTOR('',#431963,10.); #134328=VECTOR('',#431964,10.); #134329=VECTOR('',#431965,10.); #134330=VECTOR('',#431968,10.); #134331=VECTOR('',#431969,10.); #134332=VECTOR('',#431970,10.); #134333=VECTOR('',#431973,10.); #134334=VECTOR('',#431974,10.); #134335=VECTOR('',#431975,10.); #134336=VECTOR('',#431978,10.); #134337=VECTOR('',#431979,10.); #134338=VECTOR('',#431980,10.); #134339=VECTOR('',#431983,10.); #134340=VECTOR('',#431984,10.); #134341=VECTOR('',#431985,10.); #134342=VECTOR('',#431988,10.); #134343=VECTOR('',#431989,10.); #134344=VECTOR('',#431990,10.); #134345=VECTOR('',#431993,10.); #134346=VECTOR('',#431994,10.); #134347=VECTOR('',#431995,10.); #134348=VECTOR('',#431998,10.); #134349=VECTOR('',#431999,10.); #134350=VECTOR('',#432000,10.); #134351=VECTOR('',#432003,10.); #134352=VECTOR('',#432004,10.); #134353=VECTOR('',#432005,10.); #134354=VECTOR('',#432008,10.); #134355=VECTOR('',#432009,10.); #134356=VECTOR('',#432010,10.); #134357=VECTOR('',#432013,10.); #134358=VECTOR('',#432014,10.); #134359=VECTOR('',#432015,10.); #134360=VECTOR('',#432018,10.); #134361=VECTOR('',#432019,10.); #134362=VECTOR('',#432020,10.); #134363=VECTOR('',#432023,10.); #134364=VECTOR('',#432024,10.); #134365=VECTOR('',#432025,10.); #134366=VECTOR('',#432028,10.); #134367=VECTOR('',#432029,10.); #134368=VECTOR('',#432030,10.); #134369=VECTOR('',#432033,10.); #134370=VECTOR('',#432034,10.); #134371=VECTOR('',#432035,10.); #134372=VECTOR('',#432038,10.); #134373=VECTOR('',#432039,10.); #134374=VECTOR('',#432040,10.); #134375=VECTOR('',#432043,10.); #134376=VECTOR('',#432044,10.); #134377=VECTOR('',#432045,10.); #134378=VECTOR('',#432048,10.); #134379=VECTOR('',#432049,10.); #134380=VECTOR('',#432050,10.); #134381=VECTOR('',#432053,10.); #134382=VECTOR('',#432054,10.); #134383=VECTOR('',#432055,10.); #134384=VECTOR('',#432058,10.); #134385=VECTOR('',#432059,10.); #134386=VECTOR('',#432060,10.); #134387=VECTOR('',#432063,10.); #134388=VECTOR('',#432064,10.); #134389=VECTOR('',#432067,10.); #134390=VECTOR('',#432068,10.); #134391=VECTOR('',#432069,10.); #134392=VECTOR('',#432070,10.); #134393=VECTOR('',#432073,10.); #134394=VECTOR('',#432074,10.); #134395=VECTOR('',#432075,10.); #134396=VECTOR('',#432078,10.); #134397=VECTOR('',#432079,10.); #134398=VECTOR('',#432080,10.); #134399=VECTOR('',#432083,10.); #134400=VECTOR('',#432084,10.); #134401=VECTOR('',#432085,10.); #134402=VECTOR('',#432088,10.); #134403=VECTOR('',#432089,10.); #134404=VECTOR('',#432090,10.); #134405=VECTOR('',#432093,10.); #134406=VECTOR('',#432094,10.); #134407=VECTOR('',#432095,10.); #134408=VECTOR('',#432098,10.); #134409=VECTOR('',#432099,10.); #134410=VECTOR('',#432100,10.); #134411=VECTOR('',#432103,10.); #134412=VECTOR('',#432104,10.); #134413=VECTOR('',#432105,10.); #134414=VECTOR('',#432108,10.); #134415=VECTOR('',#432109,10.); #134416=VECTOR('',#432110,10.); #134417=VECTOR('',#432113,10.); #134418=VECTOR('',#432114,10.); #134419=VECTOR('',#432115,10.); #134420=VECTOR('',#432118,10.); #134421=VECTOR('',#432119,10.); #134422=VECTOR('',#432120,10.); #134423=VECTOR('',#432123,10.); #134424=VECTOR('',#432124,10.); #134425=VECTOR('',#432125,10.); #134426=VECTOR('',#432128,10.); #134427=VECTOR('',#432129,10.); #134428=VECTOR('',#432130,10.); #134429=VECTOR('',#432133,10.); #134430=VECTOR('',#432134,10.); #134431=VECTOR('',#432135,10.); #134432=VECTOR('',#432138,10.); #134433=VECTOR('',#432139,10.); #134434=VECTOR('',#432140,10.); #134435=VECTOR('',#432143,10.); #134436=VECTOR('',#432144,10.); #134437=VECTOR('',#432145,10.); #134438=VECTOR('',#432148,10.); #134439=VECTOR('',#432149,10.); #134440=VECTOR('',#432150,10.); #134441=VECTOR('',#432153,10.); #134442=VECTOR('',#432154,10.); #134443=VECTOR('',#432155,10.); #134444=VECTOR('',#432158,10.); #134445=VECTOR('',#432159,10.); #134446=VECTOR('',#432160,10.); #134447=VECTOR('',#432163,10.); #134448=VECTOR('',#432164,10.); #134449=VECTOR('',#432165,10.); #134450=VECTOR('',#432168,10.); #134451=VECTOR('',#432169,10.); #134452=VECTOR('',#432170,10.); #134453=VECTOR('',#432173,10.); #134454=VECTOR('',#432174,10.); #134455=VECTOR('',#432175,10.); #134456=VECTOR('',#432178,10.); #134457=VECTOR('',#432179,10.); #134458=VECTOR('',#432180,10.); #134459=VECTOR('',#432183,10.); #134460=VECTOR('',#432184,10.); #134461=VECTOR('',#432185,10.); #134462=VECTOR('',#432188,10.); #134463=VECTOR('',#432189,10.); #134464=VECTOR('',#432190,10.); #134465=VECTOR('',#432193,10.); #134466=VECTOR('',#432194,10.); #134467=VECTOR('',#432195,10.); #134468=VECTOR('',#432198,10.); #134469=VECTOR('',#432199,10.); #134470=VECTOR('',#432200,10.); #134471=VECTOR('',#432203,10.); #134472=VECTOR('',#432204,10.); #134473=VECTOR('',#432205,10.); #134474=VECTOR('',#432208,10.); #134475=VECTOR('',#432209,10.); #134476=VECTOR('',#432210,10.); #134477=VECTOR('',#432213,10.); #134478=VECTOR('',#432214,10.); #134479=VECTOR('',#432215,10.); #134480=VECTOR('',#432218,10.); #134481=VECTOR('',#432219,10.); #134482=VECTOR('',#432220,10.); #134483=VECTOR('',#432223,10.); #134484=VECTOR('',#432224,10.); #134485=VECTOR('',#432225,10.); #134486=VECTOR('',#432228,10.); #134487=VECTOR('',#432229,10.); #134488=VECTOR('',#432230,10.); #134489=VECTOR('',#432233,10.); #134490=VECTOR('',#432234,10.); #134491=VECTOR('',#432235,10.); #134492=VECTOR('',#432238,10.); #134493=VECTOR('',#432239,10.); #134494=VECTOR('',#432240,10.); #134495=VECTOR('',#432243,10.); #134496=VECTOR('',#432244,10.); #134497=VECTOR('',#432245,10.); #134498=VECTOR('',#432248,10.); #134499=VECTOR('',#432249,10.); #134500=VECTOR('',#432250,10.); #134501=VECTOR('',#432253,10.); #134502=VECTOR('',#432254,10.); #134503=VECTOR('',#432257,10.); #134504=VECTOR('',#432258,10.); #134505=VECTOR('',#432259,10.); #134506=VECTOR('',#432260,10.); #134507=VECTOR('',#432263,10.); #134508=VECTOR('',#432264,10.); #134509=VECTOR('',#432265,10.); #134510=VECTOR('',#432268,10.); #134511=VECTOR('',#432269,10.); #134512=VECTOR('',#432270,10.); #134513=VECTOR('',#432273,10.); #134514=VECTOR('',#432274,10.); #134515=VECTOR('',#432275,10.); #134516=VECTOR('',#432278,10.); #134517=VECTOR('',#432279,10.); #134518=VECTOR('',#432280,10.); #134519=VECTOR('',#432283,10.); #134520=VECTOR('',#432284,10.); #134521=VECTOR('',#432285,10.); #134522=VECTOR('',#432288,10.); #134523=VECTOR('',#432289,10.); #134524=VECTOR('',#432290,10.); #134525=VECTOR('',#432293,10.); #134526=VECTOR('',#432294,10.); #134527=VECTOR('',#432295,10.); #134528=VECTOR('',#432298,10.); #134529=VECTOR('',#432299,10.); #134530=VECTOR('',#432300,10.); #134531=VECTOR('',#432303,10.); #134532=VECTOR('',#432304,10.); #134533=VECTOR('',#432305,10.); #134534=VECTOR('',#432308,10.); #134535=VECTOR('',#432309,10.); #134536=VECTOR('',#432310,10.); #134537=VECTOR('',#432313,10.); #134538=VECTOR('',#432314,10.); #134539=VECTOR('',#432315,10.); #134540=VECTOR('',#432318,10.); #134541=VECTOR('',#432319,10.); #134542=VECTOR('',#432320,10.); #134543=VECTOR('',#432323,10.); #134544=VECTOR('',#432324,10.); #134545=VECTOR('',#432325,10.); #134546=VECTOR('',#432328,10.); #134547=VECTOR('',#432329,10.); #134548=VECTOR('',#432330,10.); #134549=VECTOR('',#432333,10.); #134550=VECTOR('',#432334,10.); #134551=VECTOR('',#432335,10.); #134552=VECTOR('',#432338,10.); #134553=VECTOR('',#432339,10.); #134554=VECTOR('',#432340,10.); #134555=VECTOR('',#432343,10.); #134556=VECTOR('',#432344,10.); #134557=VECTOR('',#432345,10.); #134558=VECTOR('',#432348,10.); #134559=VECTOR('',#432349,10.); #134560=VECTOR('',#432350,10.); #134561=VECTOR('',#432353,10.); #134562=VECTOR('',#432354,10.); #134563=VECTOR('',#432355,10.); #134564=VECTOR('',#432358,10.); #134565=VECTOR('',#432359,10.); #134566=VECTOR('',#432360,10.); #134567=VECTOR('',#432363,10.); #134568=VECTOR('',#432364,10.); #134569=VECTOR('',#432365,10.); #134570=VECTOR('',#432368,10.); #134571=VECTOR('',#432369,10.); #134572=VECTOR('',#432370,10.); #134573=VECTOR('',#432373,10.); #134574=VECTOR('',#432374,10.); #134575=VECTOR('',#432377,10.); #134576=VECTOR('',#432378,10.); #134577=VECTOR('',#432379,10.); #134578=VECTOR('',#432380,10.); #134579=VECTOR('',#432383,10.); #134580=VECTOR('',#432384,10.); #134581=VECTOR('',#432385,10.); #134582=VECTOR('',#432388,10.); #134583=VECTOR('',#432389,10.); #134584=VECTOR('',#432390,10.); #134585=VECTOR('',#432393,10.); #134586=VECTOR('',#432394,10.); #134587=VECTOR('',#432395,10.); #134588=VECTOR('',#432398,10.); #134589=VECTOR('',#432399,10.); #134590=VECTOR('',#432400,10.); #134591=VECTOR('',#432403,10.); #134592=VECTOR('',#432404,10.); #134593=VECTOR('',#432405,10.); #134594=VECTOR('',#432408,10.); #134595=VECTOR('',#432409,10.); #134596=VECTOR('',#432410,10.); #134597=VECTOR('',#432413,10.); #134598=VECTOR('',#432414,10.); #134599=VECTOR('',#432415,10.); #134600=VECTOR('',#432418,10.); #134601=VECTOR('',#432419,10.); #134602=VECTOR('',#432420,10.); #134603=VECTOR('',#432423,10.); #134604=VECTOR('',#432424,10.); #134605=VECTOR('',#432425,10.); #134606=VECTOR('',#432428,10.); #134607=VECTOR('',#432429,10.); #134608=VECTOR('',#432430,10.); #134609=VECTOR('',#432433,10.); #134610=VECTOR('',#432434,10.); #134611=VECTOR('',#432435,10.); #134612=VECTOR('',#432438,10.); #134613=VECTOR('',#432439,10.); #134614=VECTOR('',#432440,10.); #134615=VECTOR('',#432443,10.); #134616=VECTOR('',#432444,10.); #134617=VECTOR('',#432445,10.); #134618=VECTOR('',#432448,10.); #134619=VECTOR('',#432449,10.); #134620=VECTOR('',#432450,10.); #134621=VECTOR('',#432453,10.); #134622=VECTOR('',#432454,10.); #134623=VECTOR('',#432455,10.); #134624=VECTOR('',#432458,10.); #134625=VECTOR('',#432459,10.); #134626=VECTOR('',#432460,10.); #134627=VECTOR('',#432463,10.); #134628=VECTOR('',#432464,10.); #134629=VECTOR('',#432465,10.); #134630=VECTOR('',#432468,10.); #134631=VECTOR('',#432469,10.); #134632=VECTOR('',#432470,10.); #134633=VECTOR('',#432473,10.); #134634=VECTOR('',#432474,10.); #134635=VECTOR('',#432475,10.); #134636=VECTOR('',#432478,10.); #134637=VECTOR('',#432479,10.); #134638=VECTOR('',#432480,10.); #134639=VECTOR('',#432483,10.); #134640=VECTOR('',#432484,10.); #134641=VECTOR('',#432485,10.); #134642=VECTOR('',#432488,10.); #134643=VECTOR('',#432489,10.); #134644=VECTOR('',#432490,10.); #134645=VECTOR('',#432493,10.); #134646=VECTOR('',#432494,10.); #134647=VECTOR('',#432495,10.); #134648=VECTOR('',#432498,10.); #134649=VECTOR('',#432499,10.); #134650=VECTOR('',#432500,10.); #134651=VECTOR('',#432503,10.); #134652=VECTOR('',#432504,10.); #134653=VECTOR('',#432505,10.); #134654=VECTOR('',#432508,10.); #134655=VECTOR('',#432509,10.); #134656=VECTOR('',#432510,10.); #134657=VECTOR('',#432513,10.); #134658=VECTOR('',#432514,10.); #134659=VECTOR('',#432515,10.); #134660=VECTOR('',#432518,10.); #134661=VECTOR('',#432519,10.); #134662=VECTOR('',#432520,10.); #134663=VECTOR('',#432523,10.); #134664=VECTOR('',#432524,10.); #134665=VECTOR('',#432525,10.); #134666=VECTOR('',#432528,10.); #134667=VECTOR('',#432529,10.); #134668=VECTOR('',#432530,10.); #134669=VECTOR('',#432533,10.); #134670=VECTOR('',#432534,10.); #134671=VECTOR('',#432535,10.); #134672=VECTOR('',#432538,10.); #134673=VECTOR('',#432539,10.); #134674=VECTOR('',#432540,10.); #134675=VECTOR('',#432543,10.); #134676=VECTOR('',#432544,10.); #134677=VECTOR('',#432545,10.); #134678=VECTOR('',#432548,10.); #134679=VECTOR('',#432549,10.); #134680=VECTOR('',#432550,10.); #134681=VECTOR('',#432553,10.); #134682=VECTOR('',#432554,10.); #134683=VECTOR('',#432555,10.); #134684=VECTOR('',#432558,10.); #134685=VECTOR('',#432559,10.); #134686=VECTOR('',#432560,10.); #134687=VECTOR('',#432563,10.); #134688=VECTOR('',#432564,10.); #134689=VECTOR('',#432565,10.); #134690=VECTOR('',#432568,10.); #134691=VECTOR('',#432569,10.); #134692=VECTOR('',#432570,10.); #134693=VECTOR('',#432573,10.); #134694=VECTOR('',#432574,10.); #134695=VECTOR('',#432575,10.); #134696=VECTOR('',#432578,10.); #134697=VECTOR('',#432579,10.); #134698=VECTOR('',#432580,10.); #134699=VECTOR('',#432583,10.); #134700=VECTOR('',#432584,10.); #134701=VECTOR('',#432585,10.); #134702=VECTOR('',#432588,10.); #134703=VECTOR('',#432589,10.); #134704=VECTOR('',#432590,10.); #134705=VECTOR('',#432593,10.); #134706=VECTOR('',#432594,10.); #134707=VECTOR('',#432595,10.); #134708=VECTOR('',#432598,10.); #134709=VECTOR('',#432599,10.); #134710=VECTOR('',#432600,10.); #134711=VECTOR('',#432603,10.); #134712=VECTOR('',#432604,10.); #134713=VECTOR('',#432605,10.); #134714=VECTOR('',#432608,10.); #134715=VECTOR('',#432609,10.); #134716=VECTOR('',#432610,10.); #134717=VECTOR('',#432613,10.); #134718=VECTOR('',#432614,10.); #134719=VECTOR('',#432615,10.); #134720=VECTOR('',#432618,10.); #134721=VECTOR('',#432619,10.); #134722=VECTOR('',#432620,10.); #134723=VECTOR('',#432623,10.); #134724=VECTOR('',#432624,10.); #134725=VECTOR('',#432625,10.); #134726=VECTOR('',#432628,10.); #134727=VECTOR('',#432629,10.); #134728=VECTOR('',#432630,10.); #134729=VECTOR('',#432633,10.); #134730=VECTOR('',#432634,10.); #134731=VECTOR('',#432635,10.); #134732=VECTOR('',#432638,10.); #134733=VECTOR('',#432639,10.); #134734=VECTOR('',#432640,10.); #134735=VECTOR('',#432643,10.); #134736=VECTOR('',#432644,10.); #134737=VECTOR('',#432645,10.); #134738=VECTOR('',#432648,10.); #134739=VECTOR('',#432649,10.); #134740=VECTOR('',#432650,10.); #134741=VECTOR('',#432653,10.); #134742=VECTOR('',#432654,10.); #134743=VECTOR('',#432655,10.); #134744=VECTOR('',#432658,10.); #134745=VECTOR('',#432659,10.); #134746=VECTOR('',#432660,10.); #134747=VECTOR('',#432663,10.); #134748=VECTOR('',#432664,10.); #134749=VECTOR('',#432665,10.); #134750=VECTOR('',#432668,10.); #134751=VECTOR('',#432669,10.); #134752=VECTOR('',#432670,10.); #134753=VECTOR('',#432673,10.); #134754=VECTOR('',#432674,10.); #134755=VECTOR('',#432675,10.); #134756=VECTOR('',#432678,10.); #134757=VECTOR('',#432679,10.); #134758=VECTOR('',#432680,10.); #134759=VECTOR('',#432683,10.); #134760=VECTOR('',#432684,10.); #134761=VECTOR('',#432685,10.); #134762=VECTOR('',#432688,10.); #134763=VECTOR('',#432689,10.); #134764=VECTOR('',#432690,10.); #134765=VECTOR('',#432693,10.); #134766=VECTOR('',#432694,10.); #134767=VECTOR('',#432695,10.); #134768=VECTOR('',#432698,10.); #134769=VECTOR('',#432699,10.); #134770=VECTOR('',#432700,10.); #134771=VECTOR('',#432703,10.); #134772=VECTOR('',#432704,10.); #134773=VECTOR('',#432705,10.); #134774=VECTOR('',#432708,10.); #134775=VECTOR('',#432709,10.); #134776=VECTOR('',#432710,10.); #134777=VECTOR('',#432713,10.); #134778=VECTOR('',#432714,10.); #134779=VECTOR('',#432715,10.); #134780=VECTOR('',#432718,10.); #134781=VECTOR('',#432719,10.); #134782=VECTOR('',#432720,10.); #134783=VECTOR('',#432723,10.); #134784=VECTOR('',#432724,10.); #134785=VECTOR('',#432725,10.); #134786=VECTOR('',#432728,10.); #134787=VECTOR('',#432729,10.); #134788=VECTOR('',#432730,10.); #134789=VECTOR('',#432733,10.); #134790=VECTOR('',#432734,10.); #134791=VECTOR('',#432735,10.); #134792=VECTOR('',#432738,10.); #134793=VECTOR('',#432739,10.); #134794=VECTOR('',#432740,10.); #134795=VECTOR('',#432743,10.); #134796=VECTOR('',#432744,10.); #134797=VECTOR('',#432745,10.); #134798=VECTOR('',#432748,10.); #134799=VECTOR('',#432749,10.); #134800=VECTOR('',#432750,10.); #134801=VECTOR('',#432753,10.); #134802=VECTOR('',#432754,10.); #134803=VECTOR('',#432755,10.); #134804=VECTOR('',#432758,10.); #134805=VECTOR('',#432759,10.); #134806=VECTOR('',#432760,10.); #134807=VECTOR('',#432763,10.); #134808=VECTOR('',#432764,10.); #134809=VECTOR('',#432765,10.); #134810=VECTOR('',#432768,10.); #134811=VECTOR('',#432769,10.); #134812=VECTOR('',#432770,10.); #134813=VECTOR('',#432773,10.); #134814=VECTOR('',#432774,10.); #134815=VECTOR('',#432775,10.); #134816=VECTOR('',#432778,10.); #134817=VECTOR('',#432779,10.); #134818=VECTOR('',#432780,10.); #134819=VECTOR('',#432783,10.); #134820=VECTOR('',#432784,10.); #134821=VECTOR('',#432785,10.); #134822=VECTOR('',#432788,10.); #134823=VECTOR('',#432789,10.); #134824=VECTOR('',#432790,10.); #134825=VECTOR('',#432793,10.); #134826=VECTOR('',#432794,10.); #134827=VECTOR('',#432795,10.); #134828=VECTOR('',#432798,10.); #134829=VECTOR('',#432799,10.); #134830=VECTOR('',#432800,10.); #134831=VECTOR('',#432803,10.); #134832=VECTOR('',#432804,10.); #134833=VECTOR('',#432805,10.); #134834=VECTOR('',#432808,10.); #134835=VECTOR('',#432809,10.); #134836=VECTOR('',#432810,10.); #134837=VECTOR('',#432813,10.); #134838=VECTOR('',#432814,10.); #134839=VECTOR('',#432815,10.); #134840=VECTOR('',#432818,10.); #134841=VECTOR('',#432819,10.); #134842=VECTOR('',#432820,10.); #134843=VECTOR('',#432823,10.); #134844=VECTOR('',#432824,10.); #134845=VECTOR('',#432825,10.); #134846=VECTOR('',#432828,10.); #134847=VECTOR('',#432829,10.); #134848=VECTOR('',#432830,10.); #134849=VECTOR('',#432833,10.); #134850=VECTOR('',#432834,10.); #134851=VECTOR('',#432835,10.); #134852=VECTOR('',#432838,10.); #134853=VECTOR('',#432839,10.); #134854=VECTOR('',#432840,10.); #134855=VECTOR('',#432843,10.); #134856=VECTOR('',#432844,10.); #134857=VECTOR('',#432845,10.); #134858=VECTOR('',#432848,10.); #134859=VECTOR('',#432849,10.); #134860=VECTOR('',#432850,10.); #134861=VECTOR('',#432853,10.); #134862=VECTOR('',#432854,10.); #134863=VECTOR('',#432855,10.); #134864=VECTOR('',#432858,10.); #134865=VECTOR('',#432859,10.); #134866=VECTOR('',#432860,10.); #134867=VECTOR('',#432863,10.); #134868=VECTOR('',#432864,10.); #134869=VECTOR('',#432865,10.); #134870=VECTOR('',#432868,10.); #134871=VECTOR('',#432869,10.); #134872=VECTOR('',#432870,10.); #134873=VECTOR('',#432873,10.); #134874=VECTOR('',#432874,10.); #134875=VECTOR('',#432875,10.); #134876=VECTOR('',#432878,10.); #134877=VECTOR('',#432879,10.); #134878=VECTOR('',#432880,10.); #134879=VECTOR('',#432883,10.); #134880=VECTOR('',#432884,10.); #134881=VECTOR('',#432885,10.); #134882=VECTOR('',#432888,10.); #134883=VECTOR('',#432889,10.); #134884=VECTOR('',#432890,10.); #134885=VECTOR('',#432893,10.); #134886=VECTOR('',#432894,10.); #134887=VECTOR('',#432895,10.); #134888=VECTOR('',#432898,10.); #134889=VECTOR('',#432899,10.); #134890=VECTOR('',#432900,10.); #134891=VECTOR('',#432903,10.); #134892=VECTOR('',#432904,10.); #134893=VECTOR('',#432905,10.); #134894=VECTOR('',#432908,10.); #134895=VECTOR('',#432909,10.); #134896=VECTOR('',#432910,10.); #134897=VECTOR('',#432913,10.); #134898=VECTOR('',#432914,10.); #134899=VECTOR('',#432915,10.); #134900=VECTOR('',#432918,10.); #134901=VECTOR('',#432919,10.); #134902=VECTOR('',#432920,10.); #134903=VECTOR('',#432923,10.); #134904=VECTOR('',#432924,10.); #134905=VECTOR('',#432925,10.); #134906=VECTOR('',#432928,10.); #134907=VECTOR('',#432929,10.); #134908=VECTOR('',#432930,10.); #134909=VECTOR('',#432933,10.); #134910=VECTOR('',#432934,10.); #134911=VECTOR('',#432935,10.); #134912=VECTOR('',#432938,10.); #134913=VECTOR('',#432939,10.); #134914=VECTOR('',#432940,10.); #134915=VECTOR('',#432943,10.); #134916=VECTOR('',#432944,10.); #134917=VECTOR('',#432945,10.); #134918=VECTOR('',#432948,10.); #134919=VECTOR('',#432949,10.); #134920=VECTOR('',#432950,10.); #134921=VECTOR('',#432953,10.); #134922=VECTOR('',#432954,10.); #134923=VECTOR('',#432955,10.); #134924=VECTOR('',#432958,10.); #134925=VECTOR('',#432959,10.); #134926=VECTOR('',#432960,10.); #134927=VECTOR('',#432963,10.); #134928=VECTOR('',#432964,10.); #134929=VECTOR('',#432965,10.); #134930=VECTOR('',#432968,10.); #134931=VECTOR('',#432969,10.); #134932=VECTOR('',#432970,10.); #134933=VECTOR('',#432973,10.); #134934=VECTOR('',#432974,10.); #134935=VECTOR('',#432975,10.); #134936=VECTOR('',#432978,10.); #134937=VECTOR('',#432979,10.); #134938=VECTOR('',#432980,10.); #134939=VECTOR('',#432983,10.); #134940=VECTOR('',#432984,10.); #134941=VECTOR('',#432985,10.); #134942=VECTOR('',#432988,10.); #134943=VECTOR('',#432989,10.); #134944=VECTOR('',#432990,10.); #134945=VECTOR('',#432993,10.); #134946=VECTOR('',#432994,10.); #134947=VECTOR('',#432995,10.); #134948=VECTOR('',#432998,10.); #134949=VECTOR('',#432999,10.); #134950=VECTOR('',#433000,10.); #134951=VECTOR('',#433003,10.); #134952=VECTOR('',#433004,10.); #134953=VECTOR('',#433005,10.); #134954=VECTOR('',#433008,10.); #134955=VECTOR('',#433009,10.); #134956=VECTOR('',#433010,10.); #134957=VECTOR('',#433013,10.); #134958=VECTOR('',#433014,10.); #134959=VECTOR('',#433015,10.); #134960=VECTOR('',#433018,10.); #134961=VECTOR('',#433019,10.); #134962=VECTOR('',#433020,10.); #134963=VECTOR('',#433023,10.); #134964=VECTOR('',#433024,10.); #134965=VECTOR('',#433025,10.); #134966=VECTOR('',#433028,10.); #134967=VECTOR('',#433029,10.); #134968=VECTOR('',#433030,10.); #134969=VECTOR('',#433033,10.); #134970=VECTOR('',#433034,10.); #134971=VECTOR('',#433035,10.); #134972=VECTOR('',#433038,10.); #134973=VECTOR('',#433039,10.); #134974=VECTOR('',#433040,10.); #134975=VECTOR('',#433043,10.); #134976=VECTOR('',#433044,10.); #134977=VECTOR('',#433045,10.); #134978=VECTOR('',#433048,10.); #134979=VECTOR('',#433049,10.); #134980=VECTOR('',#433050,10.); #134981=VECTOR('',#433053,10.); #134982=VECTOR('',#433054,10.); #134983=VECTOR('',#433055,10.); #134984=VECTOR('',#433058,10.); #134985=VECTOR('',#433059,10.); #134986=VECTOR('',#433060,10.); #134987=VECTOR('',#433063,10.); #134988=VECTOR('',#433064,10.); #134989=VECTOR('',#433065,10.); #134990=VECTOR('',#433068,10.); #134991=VECTOR('',#433069,10.); #134992=VECTOR('',#433070,10.); #134993=VECTOR('',#433073,10.); #134994=VECTOR('',#433074,10.); #134995=VECTOR('',#433075,10.); #134996=VECTOR('',#433078,10.); #134997=VECTOR('',#433079,10.); #134998=VECTOR('',#433080,10.); #134999=VECTOR('',#433083,10.); #135000=VECTOR('',#433084,10.); #135001=VECTOR('',#433085,10.); #135002=VECTOR('',#433088,10.); #135003=VECTOR('',#433089,10.); #135004=VECTOR('',#433090,10.); #135005=VECTOR('',#433093,10.); #135006=VECTOR('',#433094,10.); #135007=VECTOR('',#433095,10.); #135008=VECTOR('',#433098,10.); #135009=VECTOR('',#433099,10.); #135010=VECTOR('',#433100,10.); #135011=VECTOR('',#433103,10.); #135012=VECTOR('',#433104,10.); #135013=VECTOR('',#433105,10.); #135014=VECTOR('',#433108,10.); #135015=VECTOR('',#433109,10.); #135016=VECTOR('',#433110,10.); #135017=VECTOR('',#433113,10.); #135018=VECTOR('',#433114,10.); #135019=VECTOR('',#433115,10.); #135020=VECTOR('',#433118,10.); #135021=VECTOR('',#433119,10.); #135022=VECTOR('',#433120,10.); #135023=VECTOR('',#433123,10.); #135024=VECTOR('',#433124,10.); #135025=VECTOR('',#433125,10.); #135026=VECTOR('',#433128,10.); #135027=VECTOR('',#433129,10.); #135028=VECTOR('',#433130,10.); #135029=VECTOR('',#433133,10.); #135030=VECTOR('',#433134,10.); #135031=VECTOR('',#433135,10.); #135032=VECTOR('',#433138,10.); #135033=VECTOR('',#433139,10.); #135034=VECTOR('',#433140,10.); #135035=VECTOR('',#433143,10.); #135036=VECTOR('',#433144,10.); #135037=VECTOR('',#433145,10.); #135038=VECTOR('',#433148,10.); #135039=VECTOR('',#433149,10.); #135040=VECTOR('',#433150,10.); #135041=VECTOR('',#433153,10.); #135042=VECTOR('',#433154,10.); #135043=VECTOR('',#433155,10.); #135044=VECTOR('',#433158,10.); #135045=VECTOR('',#433159,10.); #135046=VECTOR('',#433160,10.); #135047=VECTOR('',#433163,10.); #135048=VECTOR('',#433164,10.); #135049=VECTOR('',#433165,10.); #135050=VECTOR('',#433168,10.); #135051=VECTOR('',#433169,10.); #135052=VECTOR('',#433170,10.); #135053=VECTOR('',#433173,10.); #135054=VECTOR('',#433174,10.); #135055=VECTOR('',#433175,10.); #135056=VECTOR('',#433178,10.); #135057=VECTOR('',#433179,10.); #135058=VECTOR('',#433180,10.); #135059=VECTOR('',#433183,10.); #135060=VECTOR('',#433184,10.); #135061=VECTOR('',#433185,10.); #135062=VECTOR('',#433188,10.); #135063=VECTOR('',#433189,10.); #135064=VECTOR('',#433190,10.); #135065=VECTOR('',#433193,10.); #135066=VECTOR('',#433194,10.); #135067=VECTOR('',#433195,10.); #135068=VECTOR('',#433198,10.); #135069=VECTOR('',#433199,10.); #135070=VECTOR('',#433200,10.); #135071=VECTOR('',#433203,10.); #135072=VECTOR('',#433204,10.); #135073=VECTOR('',#433205,10.); #135074=VECTOR('',#433208,10.); #135075=VECTOR('',#433209,10.); #135076=VECTOR('',#433210,10.); #135077=VECTOR('',#433213,10.); #135078=VECTOR('',#433214,10.); #135079=VECTOR('',#433215,10.); #135080=VECTOR('',#433218,10.); #135081=VECTOR('',#433219,10.); #135082=VECTOR('',#433220,10.); #135083=VECTOR('',#433223,10.); #135084=VECTOR('',#433224,10.); #135085=VECTOR('',#433225,10.); #135086=VECTOR('',#433228,10.); #135087=VECTOR('',#433229,10.); #135088=VECTOR('',#433230,10.); #135089=VECTOR('',#433233,10.); #135090=VECTOR('',#433234,10.); #135091=VECTOR('',#433235,10.); #135092=VECTOR('',#433238,10.); #135093=VECTOR('',#433239,10.); #135094=VECTOR('',#433240,10.); #135095=VECTOR('',#433243,10.); #135096=VECTOR('',#433244,10.); #135097=VECTOR('',#433245,10.); #135098=VECTOR('',#433248,10.); #135099=VECTOR('',#433249,10.); #135100=VECTOR('',#433250,10.); #135101=VECTOR('',#433253,10.); #135102=VECTOR('',#433254,10.); #135103=VECTOR('',#433255,10.); #135104=VECTOR('',#433258,10.); #135105=VECTOR('',#433259,10.); #135106=VECTOR('',#433260,10.); #135107=VECTOR('',#433263,10.); #135108=VECTOR('',#433264,10.); #135109=VECTOR('',#433265,10.); #135110=VECTOR('',#433268,10.); #135111=VECTOR('',#433269,10.); #135112=VECTOR('',#433270,10.); #135113=VECTOR('',#433273,10.); #135114=VECTOR('',#433274,10.); #135115=VECTOR('',#433275,10.); #135116=VECTOR('',#433278,10.); #135117=VECTOR('',#433279,10.); #135118=VECTOR('',#433280,10.); #135119=VECTOR('',#433283,10.); #135120=VECTOR('',#433284,10.); #135121=VECTOR('',#433285,10.); #135122=VECTOR('',#433288,10.); #135123=VECTOR('',#433289,10.); #135124=VECTOR('',#433290,10.); #135125=VECTOR('',#433293,10.); #135126=VECTOR('',#433294,10.); #135127=VECTOR('',#433295,10.); #135128=VECTOR('',#433298,10.); #135129=VECTOR('',#433299,10.); #135130=VECTOR('',#433300,10.); #135131=VECTOR('',#433303,10.); #135132=VECTOR('',#433304,10.); #135133=VECTOR('',#433305,10.); #135134=VECTOR('',#433308,10.); #135135=VECTOR('',#433309,10.); #135136=VECTOR('',#433310,10.); #135137=VECTOR('',#433313,10.); #135138=VECTOR('',#433314,10.); #135139=VECTOR('',#433315,10.); #135140=VECTOR('',#433318,10.); #135141=VECTOR('',#433319,10.); #135142=VECTOR('',#433320,10.); #135143=VECTOR('',#433323,10.); #135144=VECTOR('',#433324,10.); #135145=VECTOR('',#433325,10.); #135146=VECTOR('',#433328,10.); #135147=VECTOR('',#433329,10.); #135148=VECTOR('',#433330,10.); #135149=VECTOR('',#433333,10.); #135150=VECTOR('',#433334,10.); #135151=VECTOR('',#433335,10.); #135152=VECTOR('',#433338,10.); #135153=VECTOR('',#433339,10.); #135154=VECTOR('',#433340,10.); #135155=VECTOR('',#433343,10.); #135156=VECTOR('',#433344,10.); #135157=VECTOR('',#433345,10.); #135158=VECTOR('',#433348,10.); #135159=VECTOR('',#433349,10.); #135160=VECTOR('',#433350,10.); #135161=VECTOR('',#433353,10.); #135162=VECTOR('',#433354,10.); #135163=VECTOR('',#433355,10.); #135164=VECTOR('',#433358,10.); #135165=VECTOR('',#433359,10.); #135166=VECTOR('',#433360,10.); #135167=VECTOR('',#433363,10.); #135168=VECTOR('',#433364,10.); #135169=VECTOR('',#433365,10.); #135170=VECTOR('',#433368,10.); #135171=VECTOR('',#433369,10.); #135172=VECTOR('',#433370,10.); #135173=VECTOR('',#433373,10.); #135174=VECTOR('',#433374,10.); #135175=VECTOR('',#433375,10.); #135176=VECTOR('',#433378,10.); #135177=VECTOR('',#433379,10.); #135178=VECTOR('',#433380,10.); #135179=VECTOR('',#433383,10.); #135180=VECTOR('',#433384,10.); #135181=VECTOR('',#433385,10.); #135182=VECTOR('',#433388,10.); #135183=VECTOR('',#433389,10.); #135184=VECTOR('',#433390,10.); #135185=VECTOR('',#433393,10.); #135186=VECTOR('',#433394,10.); #135187=VECTOR('',#433395,10.); #135188=VECTOR('',#433398,10.); #135189=VECTOR('',#433399,10.); #135190=VECTOR('',#433400,10.); #135191=VECTOR('',#433403,10.); #135192=VECTOR('',#433404,10.); #135193=VECTOR('',#433405,10.); #135194=VECTOR('',#433408,10.); #135195=VECTOR('',#433409,10.); #135196=VECTOR('',#433410,10.); #135197=VECTOR('',#433413,10.); #135198=VECTOR('',#433414,10.); #135199=VECTOR('',#433415,10.); #135200=VECTOR('',#433418,10.); #135201=VECTOR('',#433419,10.); #135202=VECTOR('',#433420,10.); #135203=VECTOR('',#433423,10.); #135204=VECTOR('',#433424,10.); #135205=VECTOR('',#433425,10.); #135206=VECTOR('',#433428,10.); #135207=VECTOR('',#433429,10.); #135208=VECTOR('',#433430,10.); #135209=VECTOR('',#433433,10.); #135210=VECTOR('',#433434,10.); #135211=VECTOR('',#433435,10.); #135212=VECTOR('',#433438,10.); #135213=VECTOR('',#433439,10.); #135214=VECTOR('',#433440,10.); #135215=VECTOR('',#433443,10.); #135216=VECTOR('',#433444,10.); #135217=VECTOR('',#433445,10.); #135218=VECTOR('',#433448,10.); #135219=VECTOR('',#433449,10.); #135220=VECTOR('',#433450,10.); #135221=VECTOR('',#433453,10.); #135222=VECTOR('',#433454,10.); #135223=VECTOR('',#433455,10.); #135224=VECTOR('',#433458,10.); #135225=VECTOR('',#433459,10.); #135226=VECTOR('',#433460,10.); #135227=VECTOR('',#433463,10.); #135228=VECTOR('',#433464,10.); #135229=VECTOR('',#433465,10.); #135230=VECTOR('',#433468,10.); #135231=VECTOR('',#433469,10.); #135232=VECTOR('',#433470,10.); #135233=VECTOR('',#433473,10.); #135234=VECTOR('',#433474,10.); #135235=VECTOR('',#433475,10.); #135236=VECTOR('',#433478,10.); #135237=VECTOR('',#433479,10.); #135238=VECTOR('',#433480,10.); #135239=VECTOR('',#433483,10.); #135240=VECTOR('',#433484,10.); #135241=VECTOR('',#433485,10.); #135242=VECTOR('',#433488,10.); #135243=VECTOR('',#433489,10.); #135244=VECTOR('',#433490,10.); #135245=VECTOR('',#433493,10.); #135246=VECTOR('',#433494,10.); #135247=VECTOR('',#433495,10.); #135248=VECTOR('',#433498,10.); #135249=VECTOR('',#433499,10.); #135250=VECTOR('',#433500,10.); #135251=VECTOR('',#433503,10.); #135252=VECTOR('',#433504,10.); #135253=VECTOR('',#433505,10.); #135254=VECTOR('',#433508,10.); #135255=VECTOR('',#433509,10.); #135256=VECTOR('',#433510,10.); #135257=VECTOR('',#433513,10.); #135258=VECTOR('',#433514,10.); #135259=VECTOR('',#433515,10.); #135260=VECTOR('',#433518,10.); #135261=VECTOR('',#433519,10.); #135262=VECTOR('',#433520,10.); #135263=VECTOR('',#433523,10.); #135264=VECTOR('',#433524,10.); #135265=VECTOR('',#433525,10.); #135266=VECTOR('',#433528,10.); #135267=VECTOR('',#433529,10.); #135268=VECTOR('',#433530,10.); #135269=VECTOR('',#433533,10.); #135270=VECTOR('',#433534,10.); #135271=VECTOR('',#433535,10.); #135272=VECTOR('',#433538,10.); #135273=VECTOR('',#433539,10.); #135274=VECTOR('',#433540,10.); #135275=VECTOR('',#433543,10.); #135276=VECTOR('',#433544,10.); #135277=VECTOR('',#433545,10.); #135278=VECTOR('',#433548,10.); #135279=VECTOR('',#433549,10.); #135280=VECTOR('',#433550,10.); #135281=VECTOR('',#433553,10.); #135282=VECTOR('',#433554,10.); #135283=VECTOR('',#433555,10.); #135284=VECTOR('',#433558,10.); #135285=VECTOR('',#433559,10.); #135286=VECTOR('',#433560,10.); #135287=VECTOR('',#433563,10.); #135288=VECTOR('',#433564,10.); #135289=VECTOR('',#433565,10.); #135290=VECTOR('',#433568,10.); #135291=VECTOR('',#433569,10.); #135292=VECTOR('',#433570,10.); #135293=VECTOR('',#433573,10.); #135294=VECTOR('',#433574,10.); #135295=VECTOR('',#433575,10.); #135296=VECTOR('',#433578,10.); #135297=VECTOR('',#433579,10.); #135298=VECTOR('',#433580,10.); #135299=VECTOR('',#433583,10.); #135300=VECTOR('',#433584,10.); #135301=VECTOR('',#433585,10.); #135302=VECTOR('',#433588,10.); #135303=VECTOR('',#433589,10.); #135304=VECTOR('',#433590,10.); #135305=VECTOR('',#433593,10.); #135306=VECTOR('',#433594,10.); #135307=VECTOR('',#433595,10.); #135308=VECTOR('',#433598,10.); #135309=VECTOR('',#433599,10.); #135310=VECTOR('',#433600,10.); #135311=VECTOR('',#433603,10.); #135312=VECTOR('',#433604,10.); #135313=VECTOR('',#433605,10.); #135314=VECTOR('',#433608,10.); #135315=VECTOR('',#433609,10.); #135316=VECTOR('',#433610,10.); #135317=VECTOR('',#433613,10.); #135318=VECTOR('',#433614,10.); #135319=VECTOR('',#433615,10.); #135320=VECTOR('',#433618,10.); #135321=VECTOR('',#433619,10.); #135322=VECTOR('',#433620,10.); #135323=VECTOR('',#433623,10.); #135324=VECTOR('',#433624,10.); #135325=VECTOR('',#433625,10.); #135326=VECTOR('',#433628,10.); #135327=VECTOR('',#433629,10.); #135328=VECTOR('',#433630,10.); #135329=VECTOR('',#433633,10.); #135330=VECTOR('',#433634,10.); #135331=VECTOR('',#433635,10.); #135332=VECTOR('',#433638,10.); #135333=VECTOR('',#433639,10.); #135334=VECTOR('',#433640,10.); #135335=VECTOR('',#433643,10.); #135336=VECTOR('',#433644,10.); #135337=VECTOR('',#433645,10.); #135338=VECTOR('',#433648,10.); #135339=VECTOR('',#433649,10.); #135340=VECTOR('',#433650,10.); #135341=VECTOR('',#433653,10.); #135342=VECTOR('',#433654,10.); #135343=VECTOR('',#433655,10.); #135344=VECTOR('',#433658,10.); #135345=VECTOR('',#433659,10.); #135346=VECTOR('',#433660,10.); #135347=VECTOR('',#433663,10.); #135348=VECTOR('',#433664,10.); #135349=VECTOR('',#433665,10.); #135350=VECTOR('',#433668,10.); #135351=VECTOR('',#433669,10.); #135352=VECTOR('',#433670,10.); #135353=VECTOR('',#433673,10.); #135354=VECTOR('',#433674,10.); #135355=VECTOR('',#433675,10.); #135356=VECTOR('',#433678,10.); #135357=VECTOR('',#433679,10.); #135358=VECTOR('',#433680,10.); #135359=VECTOR('',#433683,10.); #135360=VECTOR('',#433684,10.); #135361=VECTOR('',#433685,10.); #135362=VECTOR('',#433688,10.); #135363=VECTOR('',#433689,10.); #135364=VECTOR('',#433690,10.); #135365=VECTOR('',#433693,10.); #135366=VECTOR('',#433694,10.); #135367=VECTOR('',#433695,10.); #135368=VECTOR('',#433698,10.); #135369=VECTOR('',#433699,10.); #135370=VECTOR('',#433700,10.); #135371=VECTOR('',#433703,10.); #135372=VECTOR('',#433704,10.); #135373=VECTOR('',#433705,10.); #135374=VECTOR('',#433708,10.); #135375=VECTOR('',#433709,10.); #135376=VECTOR('',#433710,10.); #135377=VECTOR('',#433713,10.); #135378=VECTOR('',#433714,10.); #135379=VECTOR('',#433715,10.); #135380=VECTOR('',#433718,10.); #135381=VECTOR('',#433719,10.); #135382=VECTOR('',#433720,10.); #135383=VECTOR('',#433723,10.); #135384=VECTOR('',#433724,10.); #135385=VECTOR('',#433725,10.); #135386=VECTOR('',#433728,10.); #135387=VECTOR('',#433729,10.); #135388=VECTOR('',#433730,10.); #135389=VECTOR('',#433733,10.); #135390=VECTOR('',#433734,10.); #135391=VECTOR('',#433735,10.); #135392=VECTOR('',#433738,10.); #135393=VECTOR('',#433739,10.); #135394=VECTOR('',#433740,10.); #135395=VECTOR('',#433743,10.); #135396=VECTOR('',#433744,10.); #135397=VECTOR('',#433745,10.); #135398=VECTOR('',#433748,10.); #135399=VECTOR('',#433749,10.); #135400=VECTOR('',#433750,10.); #135401=VECTOR('',#433753,10.); #135402=VECTOR('',#433754,10.); #135403=VECTOR('',#433755,10.); #135404=VECTOR('',#433758,10.); #135405=VECTOR('',#433759,10.); #135406=VECTOR('',#433760,10.); #135407=VECTOR('',#433763,10.); #135408=VECTOR('',#433764,10.); #135409=VECTOR('',#433765,10.); #135410=VECTOR('',#433768,10.); #135411=VECTOR('',#433769,10.); #135412=VECTOR('',#433770,10.); #135413=VECTOR('',#433773,10.); #135414=VECTOR('',#433774,10.); #135415=VECTOR('',#433775,10.); #135416=VECTOR('',#433778,10.); #135417=VECTOR('',#433779,10.); #135418=VECTOR('',#433780,10.); #135419=VECTOR('',#433783,10.); #135420=VECTOR('',#433784,10.); #135421=VECTOR('',#433785,10.); #135422=VECTOR('',#433788,10.); #135423=VECTOR('',#433789,10.); #135424=VECTOR('',#433790,10.); #135425=VECTOR('',#433793,10.); #135426=VECTOR('',#433794,10.); #135427=VECTOR('',#433795,10.); #135428=VECTOR('',#433798,10.); #135429=VECTOR('',#433799,10.); #135430=VECTOR('',#433800,10.); #135431=VECTOR('',#433803,10.); #135432=VECTOR('',#433804,10.); #135433=VECTOR('',#433805,10.); #135434=VECTOR('',#433808,10.); #135435=VECTOR('',#433809,10.); #135436=VECTOR('',#433810,10.); #135437=VECTOR('',#433813,10.); #135438=VECTOR('',#433814,10.); #135439=VECTOR('',#433815,10.); #135440=VECTOR('',#433818,10.); #135441=VECTOR('',#433819,10.); #135442=VECTOR('',#433820,10.); #135443=VECTOR('',#433823,10.); #135444=VECTOR('',#433824,10.); #135445=VECTOR('',#433825,10.); #135446=VECTOR('',#433828,10.); #135447=VECTOR('',#433829,10.); #135448=VECTOR('',#433830,10.); #135449=VECTOR('',#433833,10.); #135450=VECTOR('',#433834,10.); #135451=VECTOR('',#433835,10.); #135452=VECTOR('',#433838,10.); #135453=VECTOR('',#433839,10.); #135454=VECTOR('',#433840,10.); #135455=VECTOR('',#433843,10.); #135456=VECTOR('',#433844,10.); #135457=VECTOR('',#433845,10.); #135458=VECTOR('',#433848,10.); #135459=VECTOR('',#433849,10.); #135460=VECTOR('',#433850,10.); #135461=VECTOR('',#433853,10.); #135462=VECTOR('',#433854,10.); #135463=VECTOR('',#433855,10.); #135464=VECTOR('',#433858,10.); #135465=VECTOR('',#433859,10.); #135466=VECTOR('',#433860,10.); #135467=VECTOR('',#433863,10.); #135468=VECTOR('',#433864,10.); #135469=VECTOR('',#433865,10.); #135470=VECTOR('',#433868,10.); #135471=VECTOR('',#433869,10.); #135472=VECTOR('',#433870,10.); #135473=VECTOR('',#433873,10.); #135474=VECTOR('',#433874,10.); #135475=VECTOR('',#433875,10.); #135476=VECTOR('',#433878,10.); #135477=VECTOR('',#433879,10.); #135478=VECTOR('',#433880,10.); #135479=VECTOR('',#433883,10.); #135480=VECTOR('',#433884,10.); #135481=VECTOR('',#433885,10.); #135482=VECTOR('',#433888,10.); #135483=VECTOR('',#433889,10.); #135484=VECTOR('',#433890,10.); #135485=VECTOR('',#433893,10.); #135486=VECTOR('',#433894,10.); #135487=VECTOR('',#433895,10.); #135488=VECTOR('',#433898,10.); #135489=VECTOR('',#433899,10.); #135490=VECTOR('',#433900,10.); #135491=VECTOR('',#433903,10.); #135492=VECTOR('',#433904,10.); #135493=VECTOR('',#433905,10.); #135494=VECTOR('',#433908,10.); #135495=VECTOR('',#433909,10.); #135496=VECTOR('',#433910,10.); #135497=VECTOR('',#433913,10.); #135498=VECTOR('',#433914,10.); #135499=VECTOR('',#433915,10.); #135500=VECTOR('',#433918,10.); #135501=VECTOR('',#433919,10.); #135502=VECTOR('',#433920,10.); #135503=VECTOR('',#433923,10.); #135504=VECTOR('',#433924,10.); #135505=VECTOR('',#433925,10.); #135506=VECTOR('',#433928,10.); #135507=VECTOR('',#433929,10.); #135508=VECTOR('',#433930,10.); #135509=VECTOR('',#433933,10.); #135510=VECTOR('',#433934,10.); #135511=VECTOR('',#433935,10.); #135512=VECTOR('',#433938,10.); #135513=VECTOR('',#433939,10.); #135514=VECTOR('',#433940,10.); #135515=VECTOR('',#433943,10.); #135516=VECTOR('',#433944,10.); #135517=VECTOR('',#433945,10.); #135518=VECTOR('',#433948,10.); #135519=VECTOR('',#433949,10.); #135520=VECTOR('',#433950,10.); #135521=VECTOR('',#433953,10.); #135522=VECTOR('',#433954,10.); #135523=VECTOR('',#433955,10.); #135524=VECTOR('',#433958,10.); #135525=VECTOR('',#433959,10.); #135526=VECTOR('',#433960,10.); #135527=VECTOR('',#433963,10.); #135528=VECTOR('',#433964,10.); #135529=VECTOR('',#433965,10.); #135530=VECTOR('',#433968,10.); #135531=VECTOR('',#433969,10.); #135532=VECTOR('',#433970,10.); #135533=VECTOR('',#433973,10.); #135534=VECTOR('',#433974,10.); #135535=VECTOR('',#433975,10.); #135536=VECTOR('',#433978,10.); #135537=VECTOR('',#433979,10.); #135538=VECTOR('',#433980,10.); #135539=VECTOR('',#433983,10.); #135540=VECTOR('',#433984,10.); #135541=VECTOR('',#433985,10.); #135542=VECTOR('',#433988,10.); #135543=VECTOR('',#433989,10.); #135544=VECTOR('',#433990,10.); #135545=VECTOR('',#433993,10.); #135546=VECTOR('',#433994,10.); #135547=VECTOR('',#433995,10.); #135548=VECTOR('',#433998,10.); #135549=VECTOR('',#433999,10.); #135550=VECTOR('',#434000,10.); #135551=VECTOR('',#434003,10.); #135552=VECTOR('',#434004,10.); #135553=VECTOR('',#434005,10.); #135554=VECTOR('',#434008,10.); #135555=VECTOR('',#434009,10.); #135556=VECTOR('',#434010,10.); #135557=VECTOR('',#434013,10.); #135558=VECTOR('',#434014,10.); #135559=VECTOR('',#434015,10.); #135560=VECTOR('',#434018,10.); #135561=VECTOR('',#434019,10.); #135562=VECTOR('',#434020,10.); #135563=VECTOR('',#434023,10.); #135564=VECTOR('',#434024,10.); #135565=VECTOR('',#434025,10.); #135566=VECTOR('',#434028,10.); #135567=VECTOR('',#434029,10.); #135568=VECTOR('',#434030,10.); #135569=VECTOR('',#434033,10.); #135570=VECTOR('',#434034,10.); #135571=VECTOR('',#434035,10.); #135572=VECTOR('',#434038,10.); #135573=VECTOR('',#434039,10.); #135574=VECTOR('',#434040,10.); #135575=VECTOR('',#434043,10.); #135576=VECTOR('',#434044,10.); #135577=VECTOR('',#434045,10.); #135578=VECTOR('',#434048,10.); #135579=VECTOR('',#434049,10.); #135580=VECTOR('',#434050,10.); #135581=VECTOR('',#434053,10.); #135582=VECTOR('',#434054,10.); #135583=VECTOR('',#434055,10.); #135584=VECTOR('',#434058,10.); #135585=VECTOR('',#434059,10.); #135586=VECTOR('',#434060,10.); #135587=VECTOR('',#434063,10.); #135588=VECTOR('',#434064,10.); #135589=VECTOR('',#434065,10.); #135590=VECTOR('',#434068,10.); #135591=VECTOR('',#434069,10.); #135592=VECTOR('',#434070,10.); #135593=VECTOR('',#434073,10.); #135594=VECTOR('',#434074,10.); #135595=VECTOR('',#434075,10.); #135596=VECTOR('',#434078,10.); #135597=VECTOR('',#434079,10.); #135598=VECTOR('',#434080,10.); #135599=VECTOR('',#434083,10.); #135600=VECTOR('',#434084,10.); #135601=VECTOR('',#434085,10.); #135602=VECTOR('',#434088,10.); #135603=VECTOR('',#434089,10.); #135604=VECTOR('',#434090,10.); #135605=VECTOR('',#434093,10.); #135606=VECTOR('',#434094,10.); #135607=VECTOR('',#434095,10.); #135608=VECTOR('',#434098,10.); #135609=VECTOR('',#434099,10.); #135610=VECTOR('',#434100,10.); #135611=VECTOR('',#434103,10.); #135612=VECTOR('',#434104,10.); #135613=VECTOR('',#434105,10.); #135614=VECTOR('',#434108,10.); #135615=VECTOR('',#434109,10.); #135616=VECTOR('',#434110,10.); #135617=VECTOR('',#434113,10.); #135618=VECTOR('',#434114,10.); #135619=VECTOR('',#434115,10.); #135620=VECTOR('',#434118,10.); #135621=VECTOR('',#434119,10.); #135622=VECTOR('',#434120,10.); #135623=VECTOR('',#434123,10.); #135624=VECTOR('',#434124,10.); #135625=VECTOR('',#434125,10.); #135626=VECTOR('',#434128,10.); #135627=VECTOR('',#434129,10.); #135628=VECTOR('',#434130,10.); #135629=VECTOR('',#434133,10.); #135630=VECTOR('',#434134,10.); #135631=VECTOR('',#434135,10.); #135632=VECTOR('',#434138,10.); #135633=VECTOR('',#434139,10.); #135634=VECTOR('',#434140,10.); #135635=VECTOR('',#434143,10.); #135636=VECTOR('',#434144,10.); #135637=VECTOR('',#434145,10.); #135638=VECTOR('',#434148,10.); #135639=VECTOR('',#434149,10.); #135640=VECTOR('',#434150,10.); #135641=VECTOR('',#434153,10.); #135642=VECTOR('',#434154,10.); #135643=VECTOR('',#434155,10.); #135644=VECTOR('',#434158,10.); #135645=VECTOR('',#434159,10.); #135646=VECTOR('',#434160,10.); #135647=VECTOR('',#434163,10.); #135648=VECTOR('',#434164,10.); #135649=VECTOR('',#434165,10.); #135650=VECTOR('',#434168,10.); #135651=VECTOR('',#434169,10.); #135652=VECTOR('',#434170,10.); #135653=VECTOR('',#434173,10.); #135654=VECTOR('',#434174,10.); #135655=VECTOR('',#434175,10.); #135656=VECTOR('',#434178,10.); #135657=VECTOR('',#434179,10.); #135658=VECTOR('',#434180,10.); #135659=VECTOR('',#434183,10.); #135660=VECTOR('',#434184,10.); #135661=VECTOR('',#434185,10.); #135662=VECTOR('',#434188,10.); #135663=VECTOR('',#434189,10.); #135664=VECTOR('',#434190,10.); #135665=VECTOR('',#434193,10.); #135666=VECTOR('',#434194,10.); #135667=VECTOR('',#434195,10.); #135668=VECTOR('',#434198,10.); #135669=VECTOR('',#434199,10.); #135670=VECTOR('',#434200,10.); #135671=VECTOR('',#434203,10.); #135672=VECTOR('',#434204,10.); #135673=VECTOR('',#434205,10.); #135674=VECTOR('',#434208,10.); #135675=VECTOR('',#434209,10.); #135676=VECTOR('',#434210,10.); #135677=VECTOR('',#434213,10.); #135678=VECTOR('',#434214,10.); #135679=VECTOR('',#434215,10.); #135680=VECTOR('',#434218,10.); #135681=VECTOR('',#434219,10.); #135682=VECTOR('',#434220,10.); #135683=VECTOR('',#434223,10.); #135684=VECTOR('',#434224,10.); #135685=VECTOR('',#434225,10.); #135686=VECTOR('',#434228,10.); #135687=VECTOR('',#434229,10.); #135688=VECTOR('',#434230,10.); #135689=VECTOR('',#434233,10.); #135690=VECTOR('',#434234,10.); #135691=VECTOR('',#434235,10.); #135692=VECTOR('',#434238,10.); #135693=VECTOR('',#434239,10.); #135694=VECTOR('',#434240,10.); #135695=VECTOR('',#434243,10.); #135696=VECTOR('',#434244,10.); #135697=VECTOR('',#434245,10.); #135698=VECTOR('',#434248,10.); #135699=VECTOR('',#434249,10.); #135700=VECTOR('',#434250,10.); #135701=VECTOR('',#434253,10.); #135702=VECTOR('',#434254,10.); #135703=VECTOR('',#434255,10.); #135704=VECTOR('',#434258,10.); #135705=VECTOR('',#434259,10.); #135706=VECTOR('',#434260,10.); #135707=VECTOR('',#434263,10.); #135708=VECTOR('',#434264,10.); #135709=VECTOR('',#434265,10.); #135710=VECTOR('',#434268,10.); #135711=VECTOR('',#434269,10.); #135712=VECTOR('',#434270,10.); #135713=VECTOR('',#434273,10.); #135714=VECTOR('',#434274,10.); #135715=VECTOR('',#434275,10.); #135716=VECTOR('',#434278,10.); #135717=VECTOR('',#434279,10.); #135718=VECTOR('',#434280,10.); #135719=VECTOR('',#434283,10.); #135720=VECTOR('',#434284,10.); #135721=VECTOR('',#434285,10.); #135722=VECTOR('',#434288,10.); #135723=VECTOR('',#434289,10.); #135724=VECTOR('',#434290,10.); #135725=VECTOR('',#434293,10.); #135726=VECTOR('',#434294,10.); #135727=VECTOR('',#434295,10.); #135728=VECTOR('',#434298,10.); #135729=VECTOR('',#434299,10.); #135730=VECTOR('',#434300,10.); #135731=VECTOR('',#434303,10.); #135732=VECTOR('',#434304,10.); #135733=VECTOR('',#434305,10.); #135734=VECTOR('',#434308,10.); #135735=VECTOR('',#434309,10.); #135736=VECTOR('',#434310,10.); #135737=VECTOR('',#434313,10.); #135738=VECTOR('',#434314,10.); #135739=VECTOR('',#434315,10.); #135740=VECTOR('',#434318,10.); #135741=VECTOR('',#434319,10.); #135742=VECTOR('',#434320,10.); #135743=VECTOR('',#434323,10.); #135744=VECTOR('',#434324,10.); #135745=VECTOR('',#434325,10.); #135746=VECTOR('',#434328,10.); #135747=VECTOR('',#434329,10.); #135748=VECTOR('',#434330,10.); #135749=VECTOR('',#434333,10.); #135750=VECTOR('',#434334,10.); #135751=VECTOR('',#434335,10.); #135752=VECTOR('',#434338,10.); #135753=VECTOR('',#434339,10.); #135754=VECTOR('',#434340,10.); #135755=VECTOR('',#434343,10.); #135756=VECTOR('',#434344,10.); #135757=VECTOR('',#434345,10.); #135758=VECTOR('',#434348,10.); #135759=VECTOR('',#434349,10.); #135760=VECTOR('',#434350,10.); #135761=VECTOR('',#434353,10.); #135762=VECTOR('',#434354,10.); #135763=VECTOR('',#434355,10.); #135764=VECTOR('',#434358,10.); #135765=VECTOR('',#434359,10.); #135766=VECTOR('',#434360,10.); #135767=VECTOR('',#434363,10.); #135768=VECTOR('',#434364,10.); #135769=VECTOR('',#434365,10.); #135770=VECTOR('',#434368,10.); #135771=VECTOR('',#434369,10.); #135772=VECTOR('',#434370,10.); #135773=VECTOR('',#434373,10.); #135774=VECTOR('',#434374,10.); #135775=VECTOR('',#434375,10.); #135776=VECTOR('',#434378,10.); #135777=VECTOR('',#434379,10.); #135778=VECTOR('',#434380,10.); #135779=VECTOR('',#434383,10.); #135780=VECTOR('',#434384,10.); #135781=VECTOR('',#434385,10.); #135782=VECTOR('',#434388,10.); #135783=VECTOR('',#434389,10.); #135784=VECTOR('',#434390,10.); #135785=VECTOR('',#434393,10.); #135786=VECTOR('',#434394,10.); #135787=VECTOR('',#434395,10.); #135788=VECTOR('',#434398,10.); #135789=VECTOR('',#434399,10.); #135790=VECTOR('',#434400,10.); #135791=VECTOR('',#434403,10.); #135792=VECTOR('',#434404,10.); #135793=VECTOR('',#434405,10.); #135794=VECTOR('',#434408,10.); #135795=VECTOR('',#434409,10.); #135796=VECTOR('',#434410,10.); #135797=VECTOR('',#434413,10.); #135798=VECTOR('',#434414,10.); #135799=VECTOR('',#434415,10.); #135800=VECTOR('',#434418,10.); #135801=VECTOR('',#434419,10.); #135802=VECTOR('',#434420,10.); #135803=VECTOR('',#434423,10.); #135804=VECTOR('',#434424,10.); #135805=VECTOR('',#434425,10.); #135806=VECTOR('',#434428,10.); #135807=VECTOR('',#434429,10.); #135808=VECTOR('',#434430,10.); #135809=VECTOR('',#434433,10.); #135810=VECTOR('',#434434,10.); #135811=VECTOR('',#434435,10.); #135812=VECTOR('',#434438,10.); #135813=VECTOR('',#434439,10.); #135814=VECTOR('',#434440,10.); #135815=VECTOR('',#434443,10.); #135816=VECTOR('',#434444,10.); #135817=VECTOR('',#434445,10.); #135818=VECTOR('',#434448,10.); #135819=VECTOR('',#434449,10.); #135820=VECTOR('',#434450,10.); #135821=VECTOR('',#434453,10.); #135822=VECTOR('',#434454,10.); #135823=VECTOR('',#434455,10.); #135824=VECTOR('',#434458,10.); #135825=VECTOR('',#434459,10.); #135826=VECTOR('',#434460,10.); #135827=VECTOR('',#434463,10.); #135828=VECTOR('',#434464,10.); #135829=VECTOR('',#434465,10.); #135830=VECTOR('',#434468,10.); #135831=VECTOR('',#434469,10.); #135832=VECTOR('',#434470,10.); #135833=VECTOR('',#434473,10.); #135834=VECTOR('',#434474,10.); #135835=VECTOR('',#434475,10.); #135836=VECTOR('',#434478,10.); #135837=VECTOR('',#434479,10.); #135838=VECTOR('',#434480,10.); #135839=VECTOR('',#434483,10.); #135840=VECTOR('',#434484,10.); #135841=VECTOR('',#434485,10.); #135842=VECTOR('',#434488,10.); #135843=VECTOR('',#434489,10.); #135844=VECTOR('',#434490,10.); #135845=VECTOR('',#434493,10.); #135846=VECTOR('',#434494,10.); #135847=VECTOR('',#434495,10.); #135848=VECTOR('',#434498,10.); #135849=VECTOR('',#434499,10.); #135850=VECTOR('',#434500,10.); #135851=VECTOR('',#434503,10.); #135852=VECTOR('',#434504,10.); #135853=VECTOR('',#434505,10.); #135854=VECTOR('',#434508,10.); #135855=VECTOR('',#434509,10.); #135856=VECTOR('',#434510,10.); #135857=VECTOR('',#434513,10.); #135858=VECTOR('',#434514,10.); #135859=VECTOR('',#434515,10.); #135860=VECTOR('',#434518,10.); #135861=VECTOR('',#434519,10.); #135862=VECTOR('',#434520,10.); #135863=VECTOR('',#434523,10.); #135864=VECTOR('',#434524,10.); #135865=VECTOR('',#434525,10.); #135866=VECTOR('',#434528,10.); #135867=VECTOR('',#434529,10.); #135868=VECTOR('',#434530,10.); #135869=VECTOR('',#434533,10.); #135870=VECTOR('',#434534,10.); #135871=VECTOR('',#434535,10.); #135872=VECTOR('',#434538,10.); #135873=VECTOR('',#434539,10.); #135874=VECTOR('',#434540,10.); #135875=VECTOR('',#434543,10.); #135876=VECTOR('',#434544,10.); #135877=VECTOR('',#434545,10.); #135878=VECTOR('',#434548,10.); #135879=VECTOR('',#434549,10.); #135880=VECTOR('',#434550,10.); #135881=VECTOR('',#434553,10.); #135882=VECTOR('',#434554,10.); #135883=VECTOR('',#434555,10.); #135884=VECTOR('',#434558,10.); #135885=VECTOR('',#434559,10.); #135886=VECTOR('',#434560,10.); #135887=VECTOR('',#434563,10.); #135888=VECTOR('',#434564,10.); #135889=VECTOR('',#434565,10.); #135890=VECTOR('',#434568,10.); #135891=VECTOR('',#434569,10.); #135892=VECTOR('',#434570,10.); #135893=VECTOR('',#434573,10.); #135894=VECTOR('',#434574,10.); #135895=VECTOR('',#434575,10.); #135896=VECTOR('',#434578,10.); #135897=VECTOR('',#434579,10.); #135898=VECTOR('',#434580,10.); #135899=VECTOR('',#434583,10.); #135900=VECTOR('',#434584,10.); #135901=VECTOR('',#434585,10.); #135902=VECTOR('',#434588,10.); #135903=VECTOR('',#434589,10.); #135904=VECTOR('',#434590,10.); #135905=VECTOR('',#434593,10.); #135906=VECTOR('',#434594,10.); #135907=VECTOR('',#434595,10.); #135908=VECTOR('',#434598,10.); #135909=VECTOR('',#434599,10.); #135910=VECTOR('',#434600,10.); #135911=VECTOR('',#434603,10.); #135912=VECTOR('',#434604,10.); #135913=VECTOR('',#434605,10.); #135914=VECTOR('',#434608,10.); #135915=VECTOR('',#434609,10.); #135916=VECTOR('',#434610,10.); #135917=VECTOR('',#434613,10.); #135918=VECTOR('',#434614,10.); #135919=VECTOR('',#434615,10.); #135920=VECTOR('',#434618,10.); #135921=VECTOR('',#434619,10.); #135922=VECTOR('',#434620,10.); #135923=VECTOR('',#434623,10.); #135924=VECTOR('',#434624,10.); #135925=VECTOR('',#434625,10.); #135926=VECTOR('',#434628,10.); #135927=VECTOR('',#434629,10.); #135928=VECTOR('',#434630,10.); #135929=VECTOR('',#434633,10.); #135930=VECTOR('',#434634,10.); #135931=VECTOR('',#434635,10.); #135932=VECTOR('',#434638,10.); #135933=VECTOR('',#434639,10.); #135934=VECTOR('',#434640,10.); #135935=VECTOR('',#434643,10.); #135936=VECTOR('',#434644,10.); #135937=VECTOR('',#434645,10.); #135938=VECTOR('',#434648,10.); #135939=VECTOR('',#434649,10.); #135940=VECTOR('',#434650,10.); #135941=VECTOR('',#434653,10.); #135942=VECTOR('',#434654,10.); #135943=VECTOR('',#434655,10.); #135944=VECTOR('',#434658,10.); #135945=VECTOR('',#434659,10.); #135946=VECTOR('',#434660,10.); #135947=VECTOR('',#434663,10.); #135948=VECTOR('',#434664,10.); #135949=VECTOR('',#434665,10.); #135950=VECTOR('',#434668,10.); #135951=VECTOR('',#434669,10.); #135952=VECTOR('',#434670,10.); #135953=VECTOR('',#434673,10.); #135954=VECTOR('',#434674,10.); #135955=VECTOR('',#434675,10.); #135956=VECTOR('',#434678,10.); #135957=VECTOR('',#434679,10.); #135958=VECTOR('',#434680,10.); #135959=VECTOR('',#434683,10.); #135960=VECTOR('',#434684,10.); #135961=VECTOR('',#434685,10.); #135962=VECTOR('',#434688,10.); #135963=VECTOR('',#434689,10.); #135964=VECTOR('',#434690,10.); #135965=VECTOR('',#434693,10.); #135966=VECTOR('',#434694,10.); #135967=VECTOR('',#434695,10.); #135968=VECTOR('',#434698,10.); #135969=VECTOR('',#434699,10.); #135970=VECTOR('',#434700,10.); #135971=VECTOR('',#434703,10.); #135972=VECTOR('',#434704,10.); #135973=VECTOR('',#434705,10.); #135974=VECTOR('',#434708,10.); #135975=VECTOR('',#434709,10.); #135976=VECTOR('',#434710,10.); #135977=VECTOR('',#434713,10.); #135978=VECTOR('',#434714,10.); #135979=VECTOR('',#434715,10.); #135980=VECTOR('',#434718,10.); #135981=VECTOR('',#434719,10.); #135982=VECTOR('',#434720,10.); #135983=VECTOR('',#434723,10.); #135984=VECTOR('',#434724,10.); #135985=VECTOR('',#434725,10.); #135986=VECTOR('',#434728,10.); #135987=VECTOR('',#434729,10.); #135988=VECTOR('',#434730,10.); #135989=VECTOR('',#434733,10.); #135990=VECTOR('',#434734,10.); #135991=VECTOR('',#434735,10.); #135992=VECTOR('',#434738,10.); #135993=VECTOR('',#434739,10.); #135994=VECTOR('',#434740,10.); #135995=VECTOR('',#434743,10.); #135996=VECTOR('',#434744,10.); #135997=VECTOR('',#434745,10.); #135998=VECTOR('',#434748,10.); #135999=VECTOR('',#434749,10.); #136000=VECTOR('',#434750,10.); #136001=VECTOR('',#434753,10.); #136002=VECTOR('',#434754,10.); #136003=VECTOR('',#434755,10.); #136004=VECTOR('',#434758,10.); #136005=VECTOR('',#434759,10.); #136006=VECTOR('',#434760,10.); #136007=VECTOR('',#434763,10.); #136008=VECTOR('',#434764,10.); #136009=VECTOR('',#434765,10.); #136010=VECTOR('',#434768,10.); #136011=VECTOR('',#434769,10.); #136012=VECTOR('',#434770,10.); #136013=VECTOR('',#434773,10.); #136014=VECTOR('',#434774,10.); #136015=VECTOR('',#434775,10.); #136016=VECTOR('',#434778,10.); #136017=VECTOR('',#434779,10.); #136018=VECTOR('',#434780,10.); #136019=VECTOR('',#434783,10.); #136020=VECTOR('',#434784,10.); #136021=VECTOR('',#434785,10.); #136022=VECTOR('',#434788,10.); #136023=VECTOR('',#434789,10.); #136024=VECTOR('',#434790,10.); #136025=VECTOR('',#434793,10.); #136026=VECTOR('',#434794,10.); #136027=VECTOR('',#434795,10.); #136028=VECTOR('',#434798,10.); #136029=VECTOR('',#434799,10.); #136030=VECTOR('',#434800,10.); #136031=VECTOR('',#434803,10.); #136032=VECTOR('',#434804,10.); #136033=VECTOR('',#434805,10.); #136034=VECTOR('',#434808,10.); #136035=VECTOR('',#434809,10.); #136036=VECTOR('',#434810,10.); #136037=VECTOR('',#434813,10.); #136038=VECTOR('',#434814,10.); #136039=VECTOR('',#434815,10.); #136040=VECTOR('',#434818,10.); #136041=VECTOR('',#434819,10.); #136042=VECTOR('',#434820,10.); #136043=VECTOR('',#434823,10.); #136044=VECTOR('',#434824,10.); #136045=VECTOR('',#434825,10.); #136046=VECTOR('',#434828,10.); #136047=VECTOR('',#434829,10.); #136048=VECTOR('',#434830,10.); #136049=VECTOR('',#434833,10.); #136050=VECTOR('',#434834,10.); #136051=VECTOR('',#434835,10.); #136052=VECTOR('',#434838,10.); #136053=VECTOR('',#434839,10.); #136054=VECTOR('',#434840,10.); #136055=VECTOR('',#434843,10.); #136056=VECTOR('',#434844,10.); #136057=VECTOR('',#434845,10.); #136058=VECTOR('',#434848,10.); #136059=VECTOR('',#434849,10.); #136060=VECTOR('',#434850,10.); #136061=VECTOR('',#434853,10.); #136062=VECTOR('',#434854,10.); #136063=VECTOR('',#434855,10.); #136064=VECTOR('',#434858,10.); #136065=VECTOR('',#434859,10.); #136066=VECTOR('',#434860,10.); #136067=VECTOR('',#434863,10.); #136068=VECTOR('',#434864,10.); #136069=VECTOR('',#434865,10.); #136070=VECTOR('',#434868,10.); #136071=VECTOR('',#434869,10.); #136072=VECTOR('',#434870,10.); #136073=VECTOR('',#434873,10.); #136074=VECTOR('',#434874,10.); #136075=VECTOR('',#434875,10.); #136076=VECTOR('',#434878,10.); #136077=VECTOR('',#434879,10.); #136078=VECTOR('',#434880,10.); #136079=VECTOR('',#434883,10.); #136080=VECTOR('',#434884,10.); #136081=VECTOR('',#434885,10.); #136082=VECTOR('',#434888,10.); #136083=VECTOR('',#434889,10.); #136084=VECTOR('',#434890,10.); #136085=VECTOR('',#434893,10.); #136086=VECTOR('',#434894,10.); #136087=VECTOR('',#434895,10.); #136088=VECTOR('',#434898,10.); #136089=VECTOR('',#434899,10.); #136090=VECTOR('',#434900,10.); #136091=VECTOR('',#434903,10.); #136092=VECTOR('',#434904,10.); #136093=VECTOR('',#434905,10.); #136094=VECTOR('',#434908,10.); #136095=VECTOR('',#434909,10.); #136096=VECTOR('',#434910,10.); #136097=VECTOR('',#434913,10.); #136098=VECTOR('',#434914,10.); #136099=VECTOR('',#434915,10.); #136100=VECTOR('',#434918,10.); #136101=VECTOR('',#434919,10.); #136102=VECTOR('',#434920,10.); #136103=VECTOR('',#434923,10.); #136104=VECTOR('',#434924,10.); #136105=VECTOR('',#434925,10.); #136106=VECTOR('',#434928,10.); #136107=VECTOR('',#434929,10.); #136108=VECTOR('',#434930,10.); #136109=VECTOR('',#434933,10.); #136110=VECTOR('',#434934,10.); #136111=VECTOR('',#434935,10.); #136112=VECTOR('',#434938,10.); #136113=VECTOR('',#434939,10.); #136114=VECTOR('',#434940,10.); #136115=VECTOR('',#434943,10.); #136116=VECTOR('',#434944,10.); #136117=VECTOR('',#434945,10.); #136118=VECTOR('',#434948,10.); #136119=VECTOR('',#434949,10.); #136120=VECTOR('',#434950,10.); #136121=VECTOR('',#434953,10.); #136122=VECTOR('',#434954,10.); #136123=VECTOR('',#434955,10.); #136124=VECTOR('',#434958,10.); #136125=VECTOR('',#434959,10.); #136126=VECTOR('',#434960,10.); #136127=VECTOR('',#434963,10.); #136128=VECTOR('',#434964,10.); #136129=VECTOR('',#434965,10.); #136130=VECTOR('',#434968,10.); #136131=VECTOR('',#434969,10.); #136132=VECTOR('',#434970,10.); #136133=VECTOR('',#434973,10.); #136134=VECTOR('',#434974,10.); #136135=VECTOR('',#434975,10.); #136136=VECTOR('',#434978,10.); #136137=VECTOR('',#434979,10.); #136138=VECTOR('',#434980,10.); #136139=VECTOR('',#434983,10.); #136140=VECTOR('',#434984,10.); #136141=VECTOR('',#434985,10.); #136142=VECTOR('',#434988,10.); #136143=VECTOR('',#434989,10.); #136144=VECTOR('',#434990,10.); #136145=VECTOR('',#434993,10.); #136146=VECTOR('',#434994,10.); #136147=VECTOR('',#434995,10.); #136148=VECTOR('',#434998,10.); #136149=VECTOR('',#434999,10.); #136150=VECTOR('',#435000,10.); #136151=VECTOR('',#435003,10.); #136152=VECTOR('',#435004,10.); #136153=VECTOR('',#435005,10.); #136154=VECTOR('',#435008,10.); #136155=VECTOR('',#435009,10.); #136156=VECTOR('',#435010,10.); #136157=VECTOR('',#435013,10.); #136158=VECTOR('',#435014,10.); #136159=VECTOR('',#435015,10.); #136160=VECTOR('',#435018,10.); #136161=VECTOR('',#435019,10.); #136162=VECTOR('',#435020,10.); #136163=VECTOR('',#435023,10.); #136164=VECTOR('',#435024,10.); #136165=VECTOR('',#435025,10.); #136166=VECTOR('',#435028,10.); #136167=VECTOR('',#435029,10.); #136168=VECTOR('',#435030,10.); #136169=VECTOR('',#435033,10.); #136170=VECTOR('',#435034,10.); #136171=VECTOR('',#435035,10.); #136172=VECTOR('',#435038,10.); #136173=VECTOR('',#435039,10.); #136174=VECTOR('',#435040,10.); #136175=VECTOR('',#435043,10.); #136176=VECTOR('',#435044,10.); #136177=VECTOR('',#435045,10.); #136178=VECTOR('',#435048,10.); #136179=VECTOR('',#435049,10.); #136180=VECTOR('',#435050,10.); #136181=VECTOR('',#435053,10.); #136182=VECTOR('',#435054,10.); #136183=VECTOR('',#435055,10.); #136184=VECTOR('',#435058,10.); #136185=VECTOR('',#435059,10.); #136186=VECTOR('',#435060,10.); #136187=VECTOR('',#435063,10.); #136188=VECTOR('',#435064,10.); #136189=VECTOR('',#435065,10.); #136190=VECTOR('',#435068,10.); #136191=VECTOR('',#435069,10.); #136192=VECTOR('',#435070,10.); #136193=VECTOR('',#435073,10.); #136194=VECTOR('',#435074,10.); #136195=VECTOR('',#435075,10.); #136196=VECTOR('',#435078,10.); #136197=VECTOR('',#435079,10.); #136198=VECTOR('',#435080,10.); #136199=VECTOR('',#435083,10.); #136200=VECTOR('',#435084,10.); #136201=VECTOR('',#435085,10.); #136202=VECTOR('',#435088,10.); #136203=VECTOR('',#435089,10.); #136204=VECTOR('',#435090,10.); #136205=VECTOR('',#435093,10.); #136206=VECTOR('',#435094,10.); #136207=VECTOR('',#435095,10.); #136208=VECTOR('',#435098,10.); #136209=VECTOR('',#435099,10.); #136210=VECTOR('',#435100,10.); #136211=VECTOR('',#435103,10.); #136212=VECTOR('',#435104,10.); #136213=VECTOR('',#435105,10.); #136214=VECTOR('',#435108,10.); #136215=VECTOR('',#435109,10.); #136216=VECTOR('',#435110,10.); #136217=VECTOR('',#435113,10.); #136218=VECTOR('',#435114,10.); #136219=VECTOR('',#435115,10.); #136220=VECTOR('',#435118,10.); #136221=VECTOR('',#435119,10.); #136222=VECTOR('',#435120,10.); #136223=VECTOR('',#435123,10.); #136224=VECTOR('',#435124,10.); #136225=VECTOR('',#435125,10.); #136226=VECTOR('',#435128,10.); #136227=VECTOR('',#435129,10.); #136228=VECTOR('',#435130,10.); #136229=VECTOR('',#435133,10.); #136230=VECTOR('',#435134,10.); #136231=VECTOR('',#435135,10.); #136232=VECTOR('',#435138,10.); #136233=VECTOR('',#435139,10.); #136234=VECTOR('',#435140,10.); #136235=VECTOR('',#435143,10.); #136236=VECTOR('',#435144,10.); #136237=VECTOR('',#435145,10.); #136238=VECTOR('',#435148,10.); #136239=VECTOR('',#435149,10.); #136240=VECTOR('',#435150,10.); #136241=VECTOR('',#435153,10.); #136242=VECTOR('',#435154,10.); #136243=VECTOR('',#435155,10.); #136244=VECTOR('',#435158,10.); #136245=VECTOR('',#435159,10.); #136246=VECTOR('',#435160,10.); #136247=VECTOR('',#435163,10.); #136248=VECTOR('',#435164,10.); #136249=VECTOR('',#435165,10.); #136250=VECTOR('',#435168,10.); #136251=VECTOR('',#435169,10.); #136252=VECTOR('',#435170,10.); #136253=VECTOR('',#435173,10.); #136254=VECTOR('',#435174,10.); #136255=VECTOR('',#435175,10.); #136256=VECTOR('',#435178,10.); #136257=VECTOR('',#435179,10.); #136258=VECTOR('',#435180,10.); #136259=VECTOR('',#435183,10.); #136260=VECTOR('',#435184,10.); #136261=VECTOR('',#435185,10.); #136262=VECTOR('',#435188,10.); #136263=VECTOR('',#435189,10.); #136264=VECTOR('',#435190,10.); #136265=VECTOR('',#435193,10.); #136266=VECTOR('',#435194,10.); #136267=VECTOR('',#435195,10.); #136268=VECTOR('',#435198,10.); #136269=VECTOR('',#435199,10.); #136270=VECTOR('',#435200,10.); #136271=VECTOR('',#435203,10.); #136272=VECTOR('',#435204,10.); #136273=VECTOR('',#435205,10.); #136274=VECTOR('',#435208,10.); #136275=VECTOR('',#435209,10.); #136276=VECTOR('',#435210,10.); #136277=VECTOR('',#435213,10.); #136278=VECTOR('',#435214,10.); #136279=VECTOR('',#435215,10.); #136280=VECTOR('',#435218,10.); #136281=VECTOR('',#435219,10.); #136282=VECTOR('',#435220,10.); #136283=VECTOR('',#435223,10.); #136284=VECTOR('',#435224,10.); #136285=VECTOR('',#435225,10.); #136286=VECTOR('',#435228,10.); #136287=VECTOR('',#435229,10.); #136288=VECTOR('',#435230,10.); #136289=VECTOR('',#435233,10.); #136290=VECTOR('',#435234,10.); #136291=VECTOR('',#435235,10.); #136292=VECTOR('',#435238,10.); #136293=VECTOR('',#435239,10.); #136294=VECTOR('',#435240,10.); #136295=VECTOR('',#435243,10.); #136296=VECTOR('',#435244,10.); #136297=VECTOR('',#435245,10.); #136298=VECTOR('',#435248,10.); #136299=VECTOR('',#435249,10.); #136300=VECTOR('',#435250,10.); #136301=VECTOR('',#435253,10.); #136302=VECTOR('',#435254,10.); #136303=VECTOR('',#435255,10.); #136304=VECTOR('',#435258,10.); #136305=VECTOR('',#435259,10.); #136306=VECTOR('',#435260,10.); #136307=VECTOR('',#435263,10.); #136308=VECTOR('',#435264,10.); #136309=VECTOR('',#435265,10.); #136310=VECTOR('',#435268,10.); #136311=VECTOR('',#435269,10.); #136312=VECTOR('',#435270,10.); #136313=VECTOR('',#435273,10.); #136314=VECTOR('',#435274,10.); #136315=VECTOR('',#435275,10.); #136316=VECTOR('',#435278,10.); #136317=VECTOR('',#435279,10.); #136318=VECTOR('',#435280,10.); #136319=VECTOR('',#435283,10.); #136320=VECTOR('',#435284,10.); #136321=VECTOR('',#435285,10.); #136322=VECTOR('',#435288,10.); #136323=VECTOR('',#435289,10.); #136324=VECTOR('',#435290,10.); #136325=VECTOR('',#435293,10.); #136326=VECTOR('',#435294,10.); #136327=VECTOR('',#435295,10.); #136328=VECTOR('',#435298,10.); #136329=VECTOR('',#435299,10.); #136330=VECTOR('',#435300,10.); #136331=VECTOR('',#435303,10.); #136332=VECTOR('',#435304,10.); #136333=VECTOR('',#435305,10.); #136334=VECTOR('',#435308,10.); #136335=VECTOR('',#435309,10.); #136336=VECTOR('',#435310,10.); #136337=VECTOR('',#435313,10.); #136338=VECTOR('',#435314,10.); #136339=VECTOR('',#435315,10.); #136340=VECTOR('',#435318,10.); #136341=VECTOR('',#435319,10.); #136342=VECTOR('',#435320,10.); #136343=VECTOR('',#435323,10.); #136344=VECTOR('',#435324,10.); #136345=VECTOR('',#435325,10.); #136346=VECTOR('',#435328,10.); #136347=VECTOR('',#435329,10.); #136348=VECTOR('',#435330,10.); #136349=VECTOR('',#435333,10.); #136350=VECTOR('',#435334,10.); #136351=VECTOR('',#435335,10.); #136352=VECTOR('',#435338,10.); #136353=VECTOR('',#435339,10.); #136354=VECTOR('',#435340,10.); #136355=VECTOR('',#435343,10.); #136356=VECTOR('',#435344,10.); #136357=VECTOR('',#435345,10.); #136358=VECTOR('',#435348,10.); #136359=VECTOR('',#435349,10.); #136360=VECTOR('',#435350,10.); #136361=VECTOR('',#435353,10.); #136362=VECTOR('',#435354,10.); #136363=VECTOR('',#435355,10.); #136364=VECTOR('',#435358,10.); #136365=VECTOR('',#435359,10.); #136366=VECTOR('',#435360,10.); #136367=VECTOR('',#435363,10.); #136368=VECTOR('',#435364,10.); #136369=VECTOR('',#435365,10.); #136370=VECTOR('',#435368,10.); #136371=VECTOR('',#435369,10.); #136372=VECTOR('',#435370,10.); #136373=VECTOR('',#435373,10.); #136374=VECTOR('',#435374,10.); #136375=VECTOR('',#435375,10.); #136376=VECTOR('',#435378,10.); #136377=VECTOR('',#435379,10.); #136378=VECTOR('',#435380,10.); #136379=VECTOR('',#435383,10.); #136380=VECTOR('',#435384,10.); #136381=VECTOR('',#435385,10.); #136382=VECTOR('',#435388,10.); #136383=VECTOR('',#435389,10.); #136384=VECTOR('',#435390,10.); #136385=VECTOR('',#435393,10.); #136386=VECTOR('',#435394,10.); #136387=VECTOR('',#435395,10.); #136388=VECTOR('',#435398,10.); #136389=VECTOR('',#435399,10.); #136390=VECTOR('',#435400,10.); #136391=VECTOR('',#435403,10.); #136392=VECTOR('',#435404,10.); #136393=VECTOR('',#435405,10.); #136394=VECTOR('',#435408,10.); #136395=VECTOR('',#435409,10.); #136396=VECTOR('',#435410,10.); #136397=VECTOR('',#435413,10.); #136398=VECTOR('',#435414,10.); #136399=VECTOR('',#435415,10.); #136400=VECTOR('',#435418,10.); #136401=VECTOR('',#435419,10.); #136402=VECTOR('',#435420,10.); #136403=VECTOR('',#435423,10.); #136404=VECTOR('',#435424,10.); #136405=VECTOR('',#435425,10.); #136406=VECTOR('',#435428,10.); #136407=VECTOR('',#435429,10.); #136408=VECTOR('',#435430,10.); #136409=VECTOR('',#435433,10.); #136410=VECTOR('',#435434,10.); #136411=VECTOR('',#435435,10.); #136412=VECTOR('',#435438,10.); #136413=VECTOR('',#435439,10.); #136414=VECTOR('',#435440,10.); #136415=VECTOR('',#435443,10.); #136416=VECTOR('',#435444,10.); #136417=VECTOR('',#435445,10.); #136418=VECTOR('',#435448,10.); #136419=VECTOR('',#435449,10.); #136420=VECTOR('',#435450,10.); #136421=VECTOR('',#435453,10.); #136422=VECTOR('',#435454,10.); #136423=VECTOR('',#435455,10.); #136424=VECTOR('',#435458,10.); #136425=VECTOR('',#435459,10.); #136426=VECTOR('',#435460,10.); #136427=VECTOR('',#435463,10.); #136428=VECTOR('',#435464,10.); #136429=VECTOR('',#435465,10.); #136430=VECTOR('',#435468,10.); #136431=VECTOR('',#435469,10.); #136432=VECTOR('',#435470,10.); #136433=VECTOR('',#435473,10.); #136434=VECTOR('',#435474,10.); #136435=VECTOR('',#435475,10.); #136436=VECTOR('',#435478,10.); #136437=VECTOR('',#435479,10.); #136438=VECTOR('',#435480,10.); #136439=VECTOR('',#435483,10.); #136440=VECTOR('',#435484,10.); #136441=VECTOR('',#435485,10.); #136442=VECTOR('',#435488,10.); #136443=VECTOR('',#435489,10.); #136444=VECTOR('',#435490,10.); #136445=VECTOR('',#435493,10.); #136446=VECTOR('',#435494,10.); #136447=VECTOR('',#435495,10.); #136448=VECTOR('',#435498,10.); #136449=VECTOR('',#435499,10.); #136450=VECTOR('',#435500,10.); #136451=VECTOR('',#435503,10.); #136452=VECTOR('',#435504,10.); #136453=VECTOR('',#435505,10.); #136454=VECTOR('',#435508,10.); #136455=VECTOR('',#435509,10.); #136456=VECTOR('',#435510,10.); #136457=VECTOR('',#435513,10.); #136458=VECTOR('',#435514,10.); #136459=VECTOR('',#435515,10.); #136460=VECTOR('',#435518,10.); #136461=VECTOR('',#435519,10.); #136462=VECTOR('',#435520,10.); #136463=VECTOR('',#435523,10.); #136464=VECTOR('',#435524,10.); #136465=VECTOR('',#435525,10.); #136466=VECTOR('',#435528,10.); #136467=VECTOR('',#435529,10.); #136468=VECTOR('',#435530,10.); #136469=VECTOR('',#435533,10.); #136470=VECTOR('',#435534,10.); #136471=VECTOR('',#435535,10.); #136472=VECTOR('',#435538,10.); #136473=VECTOR('',#435539,10.); #136474=VECTOR('',#435540,10.); #136475=VECTOR('',#435543,10.); #136476=VECTOR('',#435544,10.); #136477=VECTOR('',#435545,10.); #136478=VECTOR('',#435548,10.); #136479=VECTOR('',#435549,10.); #136480=VECTOR('',#435550,10.); #136481=VECTOR('',#435553,10.); #136482=VECTOR('',#435554,10.); #136483=VECTOR('',#435555,10.); #136484=VECTOR('',#435558,10.); #136485=VECTOR('',#435559,10.); #136486=VECTOR('',#435560,10.); #136487=VECTOR('',#435563,10.); #136488=VECTOR('',#435564,10.); #136489=VECTOR('',#435565,10.); #136490=VECTOR('',#435568,10.); #136491=VECTOR('',#435569,10.); #136492=VECTOR('',#435570,10.); #136493=VECTOR('',#435573,10.); #136494=VECTOR('',#435574,10.); #136495=VECTOR('',#435575,10.); #136496=VECTOR('',#435578,10.); #136497=VECTOR('',#435579,10.); #136498=VECTOR('',#435580,10.); #136499=VECTOR('',#435583,10.); #136500=VECTOR('',#435584,10.); #136501=VECTOR('',#435585,10.); #136502=VECTOR('',#435588,10.); #136503=VECTOR('',#435589,10.); #136504=VECTOR('',#435590,10.); #136505=VECTOR('',#435593,10.); #136506=VECTOR('',#435594,10.); #136507=VECTOR('',#435595,10.); #136508=VECTOR('',#435598,10.); #136509=VECTOR('',#435599,10.); #136510=VECTOR('',#435600,10.); #136511=VECTOR('',#435603,10.); #136512=VECTOR('',#435604,10.); #136513=VECTOR('',#435607,10.); #136514=VECTOR('',#435608,10.); #136515=VECTOR('',#435609,10.); #136516=VECTOR('',#435610,10.); #136517=VECTOR('',#435613,10.); #136518=VECTOR('',#435614,10.); #136519=VECTOR('',#435615,10.); #136520=VECTOR('',#435618,10.); #136521=VECTOR('',#435619,10.); #136522=VECTOR('',#435620,10.); #136523=VECTOR('',#435623,10.); #136524=VECTOR('',#435624,10.); #136525=VECTOR('',#435625,10.); #136526=VECTOR('',#435628,10.); #136527=VECTOR('',#435629,10.); #136528=VECTOR('',#435630,10.); #136529=VECTOR('',#435633,10.); #136530=VECTOR('',#435634,10.); #136531=VECTOR('',#435635,10.); #136532=VECTOR('',#435638,10.); #136533=VECTOR('',#435639,10.); #136534=VECTOR('',#435640,10.); #136535=VECTOR('',#435643,10.); #136536=VECTOR('',#435644,10.); #136537=VECTOR('',#435645,10.); #136538=VECTOR('',#435648,10.); #136539=VECTOR('',#435649,10.); #136540=VECTOR('',#435650,10.); #136541=VECTOR('',#435653,10.); #136542=VECTOR('',#435654,10.); #136543=VECTOR('',#435655,10.); #136544=VECTOR('',#435658,10.); #136545=VECTOR('',#435659,10.); #136546=VECTOR('',#435660,10.); #136547=VECTOR('',#435663,10.); #136548=VECTOR('',#435664,10.); #136549=VECTOR('',#435665,10.); #136550=VECTOR('',#435668,10.); #136551=VECTOR('',#435669,10.); #136552=VECTOR('',#435670,10.); #136553=VECTOR('',#435673,10.); #136554=VECTOR('',#435674,10.); #136555=VECTOR('',#435675,10.); #136556=VECTOR('',#435678,10.); #136557=VECTOR('',#435679,10.); #136558=VECTOR('',#435680,10.); #136559=VECTOR('',#435683,10.); #136560=VECTOR('',#435684,10.); #136561=VECTOR('',#435685,10.); #136562=VECTOR('',#435688,10.); #136563=VECTOR('',#435689,10.); #136564=VECTOR('',#435690,10.); #136565=VECTOR('',#435693,10.); #136566=VECTOR('',#435694,10.); #136567=VECTOR('',#435695,10.); #136568=VECTOR('',#435698,10.); #136569=VECTOR('',#435699,10.); #136570=VECTOR('',#435700,10.); #136571=VECTOR('',#435703,10.); #136572=VECTOR('',#435704,10.); #136573=VECTOR('',#435705,10.); #136574=VECTOR('',#435708,10.); #136575=VECTOR('',#435709,10.); #136576=VECTOR('',#435710,10.); #136577=VECTOR('',#435713,10.); #136578=VECTOR('',#435714,10.); #136579=VECTOR('',#435715,10.); #136580=VECTOR('',#435718,10.); #136581=VECTOR('',#435719,10.); #136582=VECTOR('',#435720,10.); #136583=VECTOR('',#435723,10.); #136584=VECTOR('',#435724,10.); #136585=VECTOR('',#435725,10.); #136586=VECTOR('',#435728,10.); #136587=VECTOR('',#435729,10.); #136588=VECTOR('',#435730,10.); #136589=VECTOR('',#435733,10.); #136590=VECTOR('',#435734,10.); #136591=VECTOR('',#435735,10.); #136592=VECTOR('',#435738,10.); #136593=VECTOR('',#435739,10.); #136594=VECTOR('',#435740,10.); #136595=VECTOR('',#435743,10.); #136596=VECTOR('',#435744,10.); #136597=VECTOR('',#435745,10.); #136598=VECTOR('',#435748,10.); #136599=VECTOR('',#435749,10.); #136600=VECTOR('',#435750,10.); #136601=VECTOR('',#435753,10.); #136602=VECTOR('',#435754,10.); #136603=VECTOR('',#435755,10.); #136604=VECTOR('',#435758,10.); #136605=VECTOR('',#435759,10.); #136606=VECTOR('',#435760,10.); #136607=VECTOR('',#435763,10.); #136608=VECTOR('',#435764,10.); #136609=VECTOR('',#435765,10.); #136610=VECTOR('',#435768,10.); #136611=VECTOR('',#435769,10.); #136612=VECTOR('',#435770,10.); #136613=VECTOR('',#435773,10.); #136614=VECTOR('',#435774,10.); #136615=VECTOR('',#435775,10.); #136616=VECTOR('',#435778,10.); #136617=VECTOR('',#435779,10.); #136618=VECTOR('',#435780,10.); #136619=VECTOR('',#435783,10.); #136620=VECTOR('',#435784,10.); #136621=VECTOR('',#435785,10.); #136622=VECTOR('',#435788,10.); #136623=VECTOR('',#435789,10.); #136624=VECTOR('',#435790,10.); #136625=VECTOR('',#435793,10.); #136626=VECTOR('',#435794,10.); #136627=VECTOR('',#435795,10.); #136628=VECTOR('',#435798,10.); #136629=VECTOR('',#435799,10.); #136630=VECTOR('',#435800,10.); #136631=VECTOR('',#435803,10.); #136632=VECTOR('',#435804,10.); #136633=VECTOR('',#435805,10.); #136634=VECTOR('',#435808,10.); #136635=VECTOR('',#435809,10.); #136636=VECTOR('',#435810,10.); #136637=VECTOR('',#435813,10.); #136638=VECTOR('',#435814,10.); #136639=VECTOR('',#435815,10.); #136640=VECTOR('',#435818,10.); #136641=VECTOR('',#435819,10.); #136642=VECTOR('',#435820,10.); #136643=VECTOR('',#435823,10.); #136644=VECTOR('',#435824,10.); #136645=VECTOR('',#435825,10.); #136646=VECTOR('',#435828,10.); #136647=VECTOR('',#435829,10.); #136648=VECTOR('',#435830,10.); #136649=VECTOR('',#435833,10.); #136650=VECTOR('',#435834,10.); #136651=VECTOR('',#435835,10.); #136652=VECTOR('',#435838,10.); #136653=VECTOR('',#435839,10.); #136654=VECTOR('',#435840,10.); #136655=VECTOR('',#435843,10.); #136656=VECTOR('',#435844,10.); #136657=VECTOR('',#435845,10.); #136658=VECTOR('',#435848,10.); #136659=VECTOR('',#435849,10.); #136660=VECTOR('',#435850,10.); #136661=VECTOR('',#435853,10.); #136662=VECTOR('',#435854,10.); #136663=VECTOR('',#435855,10.); #136664=VECTOR('',#435858,10.); #136665=VECTOR('',#435859,10.); #136666=VECTOR('',#435860,10.); #136667=VECTOR('',#435863,10.); #136668=VECTOR('',#435864,10.); #136669=VECTOR('',#435865,10.); #136670=VECTOR('',#435868,10.); #136671=VECTOR('',#435869,10.); #136672=VECTOR('',#435870,10.); #136673=VECTOR('',#435873,10.); #136674=VECTOR('',#435874,10.); #136675=VECTOR('',#435875,10.); #136676=VECTOR('',#435878,10.); #136677=VECTOR('',#435879,10.); #136678=VECTOR('',#435880,10.); #136679=VECTOR('',#435883,10.); #136680=VECTOR('',#435884,10.); #136681=VECTOR('',#435885,10.); #136682=VECTOR('',#435888,10.); #136683=VECTOR('',#435889,10.); #136684=VECTOR('',#435890,10.); #136685=VECTOR('',#435893,10.); #136686=VECTOR('',#435894,10.); #136687=VECTOR('',#435895,10.); #136688=VECTOR('',#435898,10.); #136689=VECTOR('',#435899,10.); #136690=VECTOR('',#435900,10.); #136691=VECTOR('',#435903,10.); #136692=VECTOR('',#435904,10.); #136693=VECTOR('',#435905,10.); #136694=VECTOR('',#435908,10.); #136695=VECTOR('',#435909,10.); #136696=VECTOR('',#435910,10.); #136697=VECTOR('',#435913,10.); #136698=VECTOR('',#435914,10.); #136699=VECTOR('',#435915,10.); #136700=VECTOR('',#435918,10.); #136701=VECTOR('',#435919,10.); #136702=VECTOR('',#435920,10.); #136703=VECTOR('',#435923,10.); #136704=VECTOR('',#435924,10.); #136705=VECTOR('',#435925,10.); #136706=VECTOR('',#435928,10.); #136707=VECTOR('',#435929,10.); #136708=VECTOR('',#435930,10.); #136709=VECTOR('',#435933,10.); #136710=VECTOR('',#435934,10.); #136711=VECTOR('',#435935,10.); #136712=VECTOR('',#435938,10.); #136713=VECTOR('',#435939,10.); #136714=VECTOR('',#435940,10.); #136715=VECTOR('',#435943,10.); #136716=VECTOR('',#435944,10.); #136717=VECTOR('',#435945,10.); #136718=VECTOR('',#435948,10.); #136719=VECTOR('',#435949,10.); #136720=VECTOR('',#435950,10.); #136721=VECTOR('',#435953,10.); #136722=VECTOR('',#435954,10.); #136723=VECTOR('',#435955,10.); #136724=VECTOR('',#435958,10.); #136725=VECTOR('',#435959,10.); #136726=VECTOR('',#435960,10.); #136727=VECTOR('',#435963,10.); #136728=VECTOR('',#435964,10.); #136729=VECTOR('',#435965,10.); #136730=VECTOR('',#435968,10.); #136731=VECTOR('',#435969,10.); #136732=VECTOR('',#435970,10.); #136733=VECTOR('',#435973,10.); #136734=VECTOR('',#435974,10.); #136735=VECTOR('',#435975,10.); #136736=VECTOR('',#435978,10.); #136737=VECTOR('',#435979,10.); #136738=VECTOR('',#435980,10.); #136739=VECTOR('',#435983,10.); #136740=VECTOR('',#435984,10.); #136741=VECTOR('',#435985,10.); #136742=VECTOR('',#435988,10.); #136743=VECTOR('',#435989,10.); #136744=VECTOR('',#435990,10.); #136745=VECTOR('',#435993,10.); #136746=VECTOR('',#435994,10.); #136747=VECTOR('',#435995,10.); #136748=VECTOR('',#435998,10.); #136749=VECTOR('',#435999,10.); #136750=VECTOR('',#436000,10.); #136751=VECTOR('',#436003,10.); #136752=VECTOR('',#436004,10.); #136753=VECTOR('',#436005,10.); #136754=VECTOR('',#436008,10.); #136755=VECTOR('',#436009,10.); #136756=VECTOR('',#436010,10.); #136757=VECTOR('',#436013,10.); #136758=VECTOR('',#436014,10.); #136759=VECTOR('',#436015,10.); #136760=VECTOR('',#436018,10.); #136761=VECTOR('',#436019,10.); #136762=VECTOR('',#436020,10.); #136763=VECTOR('',#436023,10.); #136764=VECTOR('',#436024,10.); #136765=VECTOR('',#436025,10.); #136766=VECTOR('',#436028,10.); #136767=VECTOR('',#436029,10.); #136768=VECTOR('',#436030,10.); #136769=VECTOR('',#436033,10.); #136770=VECTOR('',#436034,10.); #136771=VECTOR('',#436035,10.); #136772=VECTOR('',#436038,10.); #136773=VECTOR('',#436039,10.); #136774=VECTOR('',#436040,10.); #136775=VECTOR('',#436043,10.); #136776=VECTOR('',#436044,10.); #136777=VECTOR('',#436045,10.); #136778=VECTOR('',#436048,10.); #136779=VECTOR('',#436049,10.); #136780=VECTOR('',#436050,10.); #136781=VECTOR('',#436053,10.); #136782=VECTOR('',#436054,10.); #136783=VECTOR('',#436055,10.); #136784=VECTOR('',#436058,10.); #136785=VECTOR('',#436059,10.); #136786=VECTOR('',#436060,10.); #136787=VECTOR('',#436063,10.); #136788=VECTOR('',#436064,10.); #136789=VECTOR('',#436065,10.); #136790=VECTOR('',#436068,10.); #136791=VECTOR('',#436069,10.); #136792=VECTOR('',#436070,10.); #136793=VECTOR('',#436073,10.); #136794=VECTOR('',#436074,10.); #136795=VECTOR('',#436075,10.); #136796=VECTOR('',#436078,10.); #136797=VECTOR('',#436079,10.); #136798=VECTOR('',#436080,10.); #136799=VECTOR('',#436083,10.); #136800=VECTOR('',#436084,10.); #136801=VECTOR('',#436085,10.); #136802=VECTOR('',#436088,10.); #136803=VECTOR('',#436089,10.); #136804=VECTOR('',#436090,10.); #136805=VECTOR('',#436093,10.); #136806=VECTOR('',#436094,10.); #136807=VECTOR('',#436095,10.); #136808=VECTOR('',#436098,10.); #136809=VECTOR('',#436099,10.); #136810=VECTOR('',#436100,10.); #136811=VECTOR('',#436103,10.); #136812=VECTOR('',#436104,10.); #136813=VECTOR('',#436107,10.); #136814=VECTOR('',#436108,10.); #136815=VECTOR('',#436109,10.); #136816=VECTOR('',#436110,10.); #136817=VECTOR('',#436113,10.); #136818=VECTOR('',#436114,10.); #136819=VECTOR('',#436115,10.); #136820=VECTOR('',#436118,10.); #136821=VECTOR('',#436119,10.); #136822=VECTOR('',#436120,10.); #136823=VECTOR('',#436123,10.); #136824=VECTOR('',#436124,10.); #136825=VECTOR('',#436125,10.); #136826=VECTOR('',#436128,10.); #136827=VECTOR('',#436129,10.); #136828=VECTOR('',#436130,10.); #136829=VECTOR('',#436133,10.); #136830=VECTOR('',#436134,10.); #136831=VECTOR('',#436135,10.); #136832=VECTOR('',#436138,10.); #136833=VECTOR('',#436139,10.); #136834=VECTOR('',#436140,10.); #136835=VECTOR('',#436143,10.); #136836=VECTOR('',#436144,10.); #136837=VECTOR('',#436145,10.); #136838=VECTOR('',#436148,10.); #136839=VECTOR('',#436149,10.); #136840=VECTOR('',#436150,10.); #136841=VECTOR('',#436153,10.); #136842=VECTOR('',#436154,10.); #136843=VECTOR('',#436155,10.); #136844=VECTOR('',#436158,10.); #136845=VECTOR('',#436159,10.); #136846=VECTOR('',#436160,10.); #136847=VECTOR('',#436163,10.); #136848=VECTOR('',#436164,10.); #136849=VECTOR('',#436165,10.); #136850=VECTOR('',#436168,10.); #136851=VECTOR('',#436169,10.); #136852=VECTOR('',#436170,10.); #136853=VECTOR('',#436173,10.); #136854=VECTOR('',#436174,10.); #136855=VECTOR('',#436175,10.); #136856=VECTOR('',#436178,10.); #136857=VECTOR('',#436179,10.); #136858=VECTOR('',#436180,10.); #136859=VECTOR('',#436183,10.); #136860=VECTOR('',#436184,10.); #136861=VECTOR('',#436185,10.); #136862=VECTOR('',#436188,10.); #136863=VECTOR('',#436189,10.); #136864=VECTOR('',#436190,10.); #136865=VECTOR('',#436193,10.); #136866=VECTOR('',#436194,10.); #136867=VECTOR('',#436195,10.); #136868=VECTOR('',#436198,10.); #136869=VECTOR('',#436199,10.); #136870=VECTOR('',#436200,10.); #136871=VECTOR('',#436203,10.); #136872=VECTOR('',#436204,10.); #136873=VECTOR('',#436205,10.); #136874=VECTOR('',#436208,10.); #136875=VECTOR('',#436209,10.); #136876=VECTOR('',#436210,10.); #136877=VECTOR('',#436213,10.); #136878=VECTOR('',#436214,10.); #136879=VECTOR('',#436215,10.); #136880=VECTOR('',#436218,10.); #136881=VECTOR('',#436219,10.); #136882=VECTOR('',#436220,10.); #136883=VECTOR('',#436223,10.); #136884=VECTOR('',#436224,10.); #136885=VECTOR('',#436225,10.); #136886=VECTOR('',#436228,10.); #136887=VECTOR('',#436229,10.); #136888=VECTOR('',#436230,10.); #136889=VECTOR('',#436233,10.); #136890=VECTOR('',#436234,10.); #136891=VECTOR('',#436235,10.); #136892=VECTOR('',#436238,10.); #136893=VECTOR('',#436239,10.); #136894=VECTOR('',#436240,10.); #136895=VECTOR('',#436243,10.); #136896=VECTOR('',#436244,10.); #136897=VECTOR('',#436245,10.); #136898=VECTOR('',#436248,10.); #136899=VECTOR('',#436249,10.); #136900=VECTOR('',#436250,10.); #136901=VECTOR('',#436253,10.); #136902=VECTOR('',#436254,10.); #136903=VECTOR('',#436255,10.); #136904=VECTOR('',#436258,10.); #136905=VECTOR('',#436259,10.); #136906=VECTOR('',#436260,10.); #136907=VECTOR('',#436263,10.); #136908=VECTOR('',#436264,10.); #136909=VECTOR('',#436265,10.); #136910=VECTOR('',#436268,10.); #136911=VECTOR('',#436269,10.); #136912=VECTOR('',#436270,10.); #136913=VECTOR('',#436273,10.); #136914=VECTOR('',#436274,10.); #136915=VECTOR('',#436275,10.); #136916=VECTOR('',#436278,10.); #136917=VECTOR('',#436279,10.); #136918=VECTOR('',#436280,10.); #136919=VECTOR('',#436283,10.); #136920=VECTOR('',#436284,10.); #136921=VECTOR('',#436285,10.); #136922=VECTOR('',#436288,10.); #136923=VECTOR('',#436289,10.); #136924=VECTOR('',#436290,10.); #136925=VECTOR('',#436293,10.); #136926=VECTOR('',#436294,10.); #136927=VECTOR('',#436295,10.); #136928=VECTOR('',#436298,10.); #136929=VECTOR('',#436299,10.); #136930=VECTOR('',#436300,10.); #136931=VECTOR('',#436303,10.); #136932=VECTOR('',#436304,10.); #136933=VECTOR('',#436305,10.); #136934=VECTOR('',#436308,10.); #136935=VECTOR('',#436309,10.); #136936=VECTOR('',#436310,10.); #136937=VECTOR('',#436313,10.); #136938=VECTOR('',#436314,10.); #136939=VECTOR('',#436315,10.); #136940=VECTOR('',#436318,10.); #136941=VECTOR('',#436319,10.); #136942=VECTOR('',#436320,10.); #136943=VECTOR('',#436323,10.); #136944=VECTOR('',#436324,10.); #136945=VECTOR('',#436325,10.); #136946=VECTOR('',#436328,10.); #136947=VECTOR('',#436329,10.); #136948=VECTOR('',#436330,10.); #136949=VECTOR('',#436333,10.); #136950=VECTOR('',#436334,10.); #136951=VECTOR('',#436335,10.); #136952=VECTOR('',#436338,10.); #136953=VECTOR('',#436339,10.); #136954=VECTOR('',#436340,10.); #136955=VECTOR('',#436343,10.); #136956=VECTOR('',#436344,10.); #136957=VECTOR('',#436345,10.); #136958=VECTOR('',#436348,10.); #136959=VECTOR('',#436349,10.); #136960=VECTOR('',#436350,10.); #136961=VECTOR('',#436353,10.); #136962=VECTOR('',#436354,10.); #136963=VECTOR('',#436355,10.); #136964=VECTOR('',#436358,10.); #136965=VECTOR('',#436359,10.); #136966=VECTOR('',#436360,10.); #136967=VECTOR('',#436363,10.); #136968=VECTOR('',#436364,10.); #136969=VECTOR('',#436365,10.); #136970=VECTOR('',#436368,10.); #136971=VECTOR('',#436369,10.); #136972=VECTOR('',#436370,10.); #136973=VECTOR('',#436373,10.); #136974=VECTOR('',#436374,10.); #136975=VECTOR('',#436375,10.); #136976=VECTOR('',#436378,10.); #136977=VECTOR('',#436379,10.); #136978=VECTOR('',#436380,10.); #136979=VECTOR('',#436383,10.); #136980=VECTOR('',#436384,10.); #136981=VECTOR('',#436385,10.); #136982=VECTOR('',#436388,10.); #136983=VECTOR('',#436389,10.); #136984=VECTOR('',#436390,10.); #136985=VECTOR('',#436393,10.); #136986=VECTOR('',#436394,10.); #136987=VECTOR('',#436395,10.); #136988=VECTOR('',#436398,10.); #136989=VECTOR('',#436399,10.); #136990=VECTOR('',#436400,10.); #136991=VECTOR('',#436403,10.); #136992=VECTOR('',#436404,10.); #136993=VECTOR('',#436405,10.); #136994=VECTOR('',#436408,10.); #136995=VECTOR('',#436409,10.); #136996=VECTOR('',#436410,10.); #136997=VECTOR('',#436413,10.); #136998=VECTOR('',#436414,10.); #136999=VECTOR('',#436415,10.); #137000=VECTOR('',#436418,10.); #137001=VECTOR('',#436419,10.); #137002=VECTOR('',#436420,10.); #137003=VECTOR('',#436423,10.); #137004=VECTOR('',#436424,10.); #137005=VECTOR('',#436425,10.); #137006=VECTOR('',#436428,10.); #137007=VECTOR('',#436429,10.); #137008=VECTOR('',#436430,10.); #137009=VECTOR('',#436433,10.); #137010=VECTOR('',#436434,10.); #137011=VECTOR('',#436435,10.); #137012=VECTOR('',#436438,10.); #137013=VECTOR('',#436439,10.); #137014=VECTOR('',#436440,10.); #137015=VECTOR('',#436443,10.); #137016=VECTOR('',#436444,10.); #137017=VECTOR('',#436445,10.); #137018=VECTOR('',#436448,10.); #137019=VECTOR('',#436449,10.); #137020=VECTOR('',#436450,10.); #137021=VECTOR('',#436453,10.); #137022=VECTOR('',#436454,10.); #137023=VECTOR('',#436455,10.); #137024=VECTOR('',#436458,10.); #137025=VECTOR('',#436459,10.); #137026=VECTOR('',#436460,10.); #137027=VECTOR('',#436463,10.); #137028=VECTOR('',#436464,10.); #137029=VECTOR('',#436465,10.); #137030=VECTOR('',#436468,10.); #137031=VECTOR('',#436469,10.); #137032=VECTOR('',#436470,10.); #137033=VECTOR('',#436473,10.); #137034=VECTOR('',#436474,10.); #137035=VECTOR('',#436475,10.); #137036=VECTOR('',#436478,10.); #137037=VECTOR('',#436479,10.); #137038=VECTOR('',#436480,10.); #137039=VECTOR('',#436483,10.); #137040=VECTOR('',#436484,10.); #137041=VECTOR('',#436485,10.); #137042=VECTOR('',#436488,10.); #137043=VECTOR('',#436489,10.); #137044=VECTOR('',#436490,10.); #137045=VECTOR('',#436493,10.); #137046=VECTOR('',#436494,10.); #137047=VECTOR('',#436495,10.); #137048=VECTOR('',#436498,10.); #137049=VECTOR('',#436499,10.); #137050=VECTOR('',#436500,10.); #137051=VECTOR('',#436503,10.); #137052=VECTOR('',#436504,10.); #137053=VECTOR('',#436505,10.); #137054=VECTOR('',#436508,10.); #137055=VECTOR('',#436509,10.); #137056=VECTOR('',#436510,10.); #137057=VECTOR('',#436513,10.); #137058=VECTOR('',#436514,10.); #137059=VECTOR('',#436515,10.); #137060=VECTOR('',#436518,10.); #137061=VECTOR('',#436519,10.); #137062=VECTOR('',#436520,10.); #137063=VECTOR('',#436523,10.); #137064=VECTOR('',#436524,10.); #137065=VECTOR('',#436525,10.); #137066=VECTOR('',#436528,10.); #137067=VECTOR('',#436529,10.); #137068=VECTOR('',#436530,10.); #137069=VECTOR('',#436533,10.); #137070=VECTOR('',#436534,10.); #137071=VECTOR('',#436535,10.); #137072=VECTOR('',#436538,10.); #137073=VECTOR('',#436539,10.); #137074=VECTOR('',#436540,10.); #137075=VECTOR('',#436543,10.); #137076=VECTOR('',#436544,10.); #137077=VECTOR('',#436545,10.); #137078=VECTOR('',#436548,10.); #137079=VECTOR('',#436549,10.); #137080=VECTOR('',#436550,10.); #137081=VECTOR('',#436553,10.); #137082=VECTOR('',#436554,10.); #137083=VECTOR('',#436555,10.); #137084=VECTOR('',#436558,10.); #137085=VECTOR('',#436559,10.); #137086=VECTOR('',#436560,10.); #137087=VECTOR('',#436563,10.); #137088=VECTOR('',#436564,10.); #137089=VECTOR('',#436565,10.); #137090=VECTOR('',#436568,10.); #137091=VECTOR('',#436569,10.); #137092=VECTOR('',#436570,10.); #137093=VECTOR('',#436573,10.); #137094=VECTOR('',#436574,10.); #137095=VECTOR('',#436575,10.); #137096=VECTOR('',#436578,10.); #137097=VECTOR('',#436579,10.); #137098=VECTOR('',#436580,10.); #137099=VECTOR('',#436583,10.); #137100=VECTOR('',#436584,10.); #137101=VECTOR('',#436585,10.); #137102=VECTOR('',#436588,10.); #137103=VECTOR('',#436589,10.); #137104=VECTOR('',#436590,10.); #137105=VECTOR('',#436593,10.); #137106=VECTOR('',#436594,10.); #137107=VECTOR('',#436595,10.); #137108=VECTOR('',#436598,10.); #137109=VECTOR('',#436599,10.); #137110=VECTOR('',#436600,10.); #137111=VECTOR('',#436603,10.); #137112=VECTOR('',#436604,10.); #137113=VECTOR('',#436605,10.); #137114=VECTOR('',#436608,10.); #137115=VECTOR('',#436609,10.); #137116=VECTOR('',#436610,10.); #137117=VECTOR('',#436613,10.); #137118=VECTOR('',#436614,10.); #137119=VECTOR('',#436615,10.); #137120=VECTOR('',#436618,10.); #137121=VECTOR('',#436619,10.); #137122=VECTOR('',#436620,10.); #137123=VECTOR('',#436623,10.); #137124=VECTOR('',#436624,10.); #137125=VECTOR('',#436625,10.); #137126=VECTOR('',#436628,10.); #137127=VECTOR('',#436629,10.); #137128=VECTOR('',#436630,10.); #137129=VECTOR('',#436633,10.); #137130=VECTOR('',#436634,10.); #137131=VECTOR('',#436635,10.); #137132=VECTOR('',#436638,10.); #137133=VECTOR('',#436639,10.); #137134=VECTOR('',#436640,10.); #137135=VECTOR('',#436643,10.); #137136=VECTOR('',#436644,10.); #137137=VECTOR('',#436645,10.); #137138=VECTOR('',#436648,10.); #137139=VECTOR('',#436649,10.); #137140=VECTOR('',#436650,10.); #137141=VECTOR('',#436653,10.); #137142=VECTOR('',#436654,10.); #137143=VECTOR('',#436655,10.); #137144=VECTOR('',#436658,10.); #137145=VECTOR('',#436659,10.); #137146=VECTOR('',#436660,10.); #137147=VECTOR('',#436663,10.); #137148=VECTOR('',#436664,10.); #137149=VECTOR('',#436665,10.); #137150=VECTOR('',#436668,10.); #137151=VECTOR('',#436669,10.); #137152=VECTOR('',#436670,10.); #137153=VECTOR('',#436673,10.); #137154=VECTOR('',#436674,10.); #137155=VECTOR('',#436675,10.); #137156=VECTOR('',#436678,10.); #137157=VECTOR('',#436679,10.); #137158=VECTOR('',#436680,10.); #137159=VECTOR('',#436683,10.); #137160=VECTOR('',#436684,10.); #137161=VECTOR('',#436685,10.); #137162=VECTOR('',#436688,10.); #137163=VECTOR('',#436689,10.); #137164=VECTOR('',#436690,10.); #137165=VECTOR('',#436693,10.); #137166=VECTOR('',#436694,10.); #137167=VECTOR('',#436695,10.); #137168=VECTOR('',#436698,10.); #137169=VECTOR('',#436699,10.); #137170=VECTOR('',#436700,10.); #137171=VECTOR('',#436703,10.); #137172=VECTOR('',#436704,10.); #137173=VECTOR('',#436705,10.); #137174=VECTOR('',#436708,10.); #137175=VECTOR('',#436709,10.); #137176=VECTOR('',#436710,10.); #137177=VECTOR('',#436713,10.); #137178=VECTOR('',#436714,10.); #137179=VECTOR('',#436715,10.); #137180=VECTOR('',#436718,10.); #137181=VECTOR('',#436719,10.); #137182=VECTOR('',#436720,10.); #137183=VECTOR('',#436723,10.); #137184=VECTOR('',#436724,10.); #137185=VECTOR('',#436725,10.); #137186=VECTOR('',#436728,10.); #137187=VECTOR('',#436729,10.); #137188=VECTOR('',#436730,10.); #137189=VECTOR('',#436733,10.); #137190=VECTOR('',#436734,10.); #137191=VECTOR('',#436735,10.); #137192=VECTOR('',#436738,10.); #137193=VECTOR('',#436739,10.); #137194=VECTOR('',#436740,10.); #137195=VECTOR('',#436743,10.); #137196=VECTOR('',#436744,10.); #137197=VECTOR('',#436745,10.); #137198=VECTOR('',#436748,10.); #137199=VECTOR('',#436749,10.); #137200=VECTOR('',#436750,10.); #137201=VECTOR('',#436753,10.); #137202=VECTOR('',#436754,10.); #137203=VECTOR('',#436755,10.); #137204=VECTOR('',#436758,10.); #137205=VECTOR('',#436759,10.); #137206=VECTOR('',#436760,10.); #137207=VECTOR('',#436763,10.); #137208=VECTOR('',#436764,10.); #137209=VECTOR('',#436765,10.); #137210=VECTOR('',#436768,10.); #137211=VECTOR('',#436769,10.); #137212=VECTOR('',#436770,10.); #137213=VECTOR('',#436773,10.); #137214=VECTOR('',#436774,10.); #137215=VECTOR('',#436775,10.); #137216=VECTOR('',#436778,10.); #137217=VECTOR('',#436779,10.); #137218=VECTOR('',#436780,10.); #137219=VECTOR('',#436783,10.); #137220=VECTOR('',#436784,10.); #137221=VECTOR('',#436785,10.); #137222=VECTOR('',#436788,10.); #137223=VECTOR('',#436789,10.); #137224=VECTOR('',#436790,10.); #137225=VECTOR('',#436793,10.); #137226=VECTOR('',#436794,10.); #137227=VECTOR('',#436795,10.); #137228=VECTOR('',#436798,10.); #137229=VECTOR('',#436799,10.); #137230=VECTOR('',#436800,10.); #137231=VECTOR('',#436803,10.); #137232=VECTOR('',#436804,10.); #137233=VECTOR('',#436805,10.); #137234=VECTOR('',#436808,10.); #137235=VECTOR('',#436809,10.); #137236=VECTOR('',#436810,10.); #137237=VECTOR('',#436813,10.); #137238=VECTOR('',#436814,10.); #137239=VECTOR('',#436815,10.); #137240=VECTOR('',#436818,10.); #137241=VECTOR('',#436819,10.); #137242=VECTOR('',#436820,10.); #137243=VECTOR('',#436823,10.); #137244=VECTOR('',#436824,10.); #137245=VECTOR('',#436825,10.); #137246=VECTOR('',#436828,10.); #137247=VECTOR('',#436829,10.); #137248=VECTOR('',#436830,10.); #137249=VECTOR('',#436833,10.); #137250=VECTOR('',#436834,10.); #137251=VECTOR('',#436835,10.); #137252=VECTOR('',#436838,10.); #137253=VECTOR('',#436839,10.); #137254=VECTOR('',#436840,10.); #137255=VECTOR('',#436843,10.); #137256=VECTOR('',#436844,10.); #137257=VECTOR('',#436845,10.); #137258=VECTOR('',#436848,10.); #137259=VECTOR('',#436849,10.); #137260=VECTOR('',#436850,10.); #137261=VECTOR('',#436853,10.); #137262=VECTOR('',#436854,10.); #137263=VECTOR('',#436855,10.); #137264=VECTOR('',#436858,10.); #137265=VECTOR('',#436859,10.); #137266=VECTOR('',#436860,10.); #137267=VECTOR('',#436863,10.); #137268=VECTOR('',#436864,10.); #137269=VECTOR('',#436865,10.); #137270=VECTOR('',#436868,10.); #137271=VECTOR('',#436869,10.); #137272=VECTOR('',#436870,10.); #137273=VECTOR('',#436873,10.); #137274=VECTOR('',#436874,10.); #137275=VECTOR('',#436875,10.); #137276=VECTOR('',#436878,10.); #137277=VECTOR('',#436879,10.); #137278=VECTOR('',#436880,10.); #137279=VECTOR('',#436883,10.); #137280=VECTOR('',#436884,10.); #137281=VECTOR('',#436885,10.); #137282=VECTOR('',#436888,10.); #137283=VECTOR('',#436889,10.); #137284=VECTOR('',#436890,10.); #137285=VECTOR('',#436893,10.); #137286=VECTOR('',#436894,10.); #137287=VECTOR('',#436895,10.); #137288=VECTOR('',#436898,10.); #137289=VECTOR('',#436899,10.); #137290=VECTOR('',#436900,10.); #137291=VECTOR('',#436903,10.); #137292=VECTOR('',#436904,10.); #137293=VECTOR('',#436905,10.); #137294=VECTOR('',#436908,10.); #137295=VECTOR('',#436909,10.); #137296=VECTOR('',#436910,10.); #137297=VECTOR('',#436913,10.); #137298=VECTOR('',#436914,10.); #137299=VECTOR('',#436915,10.); #137300=VECTOR('',#436918,10.); #137301=VECTOR('',#436919,10.); #137302=VECTOR('',#436920,10.); #137303=VECTOR('',#436923,10.); #137304=VECTOR('',#436924,10.); #137305=VECTOR('',#436925,10.); #137306=VECTOR('',#436928,10.); #137307=VECTOR('',#436929,10.); #137308=VECTOR('',#436930,10.); #137309=VECTOR('',#436933,10.); #137310=VECTOR('',#436934,10.); #137311=VECTOR('',#436935,10.); #137312=VECTOR('',#436938,10.); #137313=VECTOR('',#436939,10.); #137314=VECTOR('',#436940,10.); #137315=VECTOR('',#436943,10.); #137316=VECTOR('',#436944,10.); #137317=VECTOR('',#436945,10.); #137318=VECTOR('',#436948,10.); #137319=VECTOR('',#436949,10.); #137320=VECTOR('',#436950,10.); #137321=VECTOR('',#436953,10.); #137322=VECTOR('',#436954,10.); #137323=VECTOR('',#436955,10.); #137324=VECTOR('',#436958,10.); #137325=VECTOR('',#436959,10.); #137326=VECTOR('',#436960,10.); #137327=VECTOR('',#436963,10.); #137328=VECTOR('',#436964,10.); #137329=VECTOR('',#436965,10.); #137330=VECTOR('',#436968,10.); #137331=VECTOR('',#436969,10.); #137332=VECTOR('',#436970,10.); #137333=VECTOR('',#436973,10.); #137334=VECTOR('',#436974,10.); #137335=VECTOR('',#436975,10.); #137336=VECTOR('',#436978,10.); #137337=VECTOR('',#436979,10.); #137338=VECTOR('',#436980,10.); #137339=VECTOR('',#436983,10.); #137340=VECTOR('',#436984,10.); #137341=VECTOR('',#436985,10.); #137342=VECTOR('',#436988,10.); #137343=VECTOR('',#436989,10.); #137344=VECTOR('',#436990,10.); #137345=VECTOR('',#436993,10.); #137346=VECTOR('',#436994,10.); #137347=VECTOR('',#436995,10.); #137348=VECTOR('',#436998,10.); #137349=VECTOR('',#436999,10.); #137350=VECTOR('',#437000,10.); #137351=VECTOR('',#437003,10.); #137352=VECTOR('',#437004,10.); #137353=VECTOR('',#437005,10.); #137354=VECTOR('',#437008,10.); #137355=VECTOR('',#437009,10.); #137356=VECTOR('',#437010,10.); #137357=VECTOR('',#437013,10.); #137358=VECTOR('',#437014,10.); #137359=VECTOR('',#437015,10.); #137360=VECTOR('',#437018,10.); #137361=VECTOR('',#437019,10.); #137362=VECTOR('',#437020,10.); #137363=VECTOR('',#437023,10.); #137364=VECTOR('',#437024,10.); #137365=VECTOR('',#437025,10.); #137366=VECTOR('',#437028,10.); #137367=VECTOR('',#437029,10.); #137368=VECTOR('',#437030,10.); #137369=VECTOR('',#437033,10.); #137370=VECTOR('',#437034,10.); #137371=VECTOR('',#437035,10.); #137372=VECTOR('',#437038,10.); #137373=VECTOR('',#437039,10.); #137374=VECTOR('',#437040,10.); #137375=VECTOR('',#437043,10.); #137376=VECTOR('',#437044,10.); #137377=VECTOR('',#437045,10.); #137378=VECTOR('',#437048,10.); #137379=VECTOR('',#437049,10.); #137380=VECTOR('',#437050,10.); #137381=VECTOR('',#437053,10.); #137382=VECTOR('',#437054,10.); #137383=VECTOR('',#437055,10.); #137384=VECTOR('',#437058,10.); #137385=VECTOR('',#437059,10.); #137386=VECTOR('',#437060,10.); #137387=VECTOR('',#437063,10.); #137388=VECTOR('',#437064,10.); #137389=VECTOR('',#437065,10.); #137390=VECTOR('',#437068,10.); #137391=VECTOR('',#437069,10.); #137392=VECTOR('',#437070,10.); #137393=VECTOR('',#437073,10.); #137394=VECTOR('',#437074,10.); #137395=VECTOR('',#437075,10.); #137396=VECTOR('',#437078,10.); #137397=VECTOR('',#437079,10.); #137398=VECTOR('',#437080,10.); #137399=VECTOR('',#437083,10.); #137400=VECTOR('',#437084,10.); #137401=VECTOR('',#437085,10.); #137402=VECTOR('',#437088,10.); #137403=VECTOR('',#437089,10.); #137404=VECTOR('',#437090,10.); #137405=VECTOR('',#437093,10.); #137406=VECTOR('',#437094,10.); #137407=VECTOR('',#437095,10.); #137408=VECTOR('',#437098,10.); #137409=VECTOR('',#437099,10.); #137410=VECTOR('',#437100,10.); #137411=VECTOR('',#437103,10.); #137412=VECTOR('',#437104,10.); #137413=VECTOR('',#437105,10.); #137414=VECTOR('',#437108,10.); #137415=VECTOR('',#437109,10.); #137416=VECTOR('',#437110,10.); #137417=VECTOR('',#437113,10.); #137418=VECTOR('',#437114,10.); #137419=VECTOR('',#437115,10.); #137420=VECTOR('',#437118,10.); #137421=VECTOR('',#437119,10.); #137422=VECTOR('',#437120,10.); #137423=VECTOR('',#437123,10.); #137424=VECTOR('',#437124,10.); #137425=VECTOR('',#437125,10.); #137426=VECTOR('',#437128,10.); #137427=VECTOR('',#437129,10.); #137428=VECTOR('',#437130,10.); #137429=VECTOR('',#437133,10.); #137430=VECTOR('',#437134,10.); #137431=VECTOR('',#437135,10.); #137432=VECTOR('',#437138,10.); #137433=VECTOR('',#437139,10.); #137434=VECTOR('',#437140,10.); #137435=VECTOR('',#437143,10.); #137436=VECTOR('',#437144,10.); #137437=VECTOR('',#437145,10.); #137438=VECTOR('',#437148,10.); #137439=VECTOR('',#437149,10.); #137440=VECTOR('',#437150,10.); #137441=VECTOR('',#437153,10.); #137442=VECTOR('',#437154,10.); #137443=VECTOR('',#437155,10.); #137444=VECTOR('',#437158,10.); #137445=VECTOR('',#437159,10.); #137446=VECTOR('',#437160,10.); #137447=VECTOR('',#437163,10.); #137448=VECTOR('',#437164,10.); #137449=VECTOR('',#437165,10.); #137450=VECTOR('',#437168,10.); #137451=VECTOR('',#437169,10.); #137452=VECTOR('',#437170,10.); #137453=VECTOR('',#437173,10.); #137454=VECTOR('',#437174,10.); #137455=VECTOR('',#437175,10.); #137456=VECTOR('',#437178,10.); #137457=VECTOR('',#437179,10.); #137458=VECTOR('',#437180,10.); #137459=VECTOR('',#437183,10.); #137460=VECTOR('',#437184,10.); #137461=VECTOR('',#437185,10.); #137462=VECTOR('',#437188,10.); #137463=VECTOR('',#437189,10.); #137464=VECTOR('',#437190,10.); #137465=VECTOR('',#437193,10.); #137466=VECTOR('',#437194,10.); #137467=VECTOR('',#437195,10.); #137468=VECTOR('',#437198,10.); #137469=VECTOR('',#437199,10.); #137470=VECTOR('',#437200,10.); #137471=VECTOR('',#437203,10.); #137472=VECTOR('',#437204,10.); #137473=VECTOR('',#437205,10.); #137474=VECTOR('',#437208,10.); #137475=VECTOR('',#437209,10.); #137476=VECTOR('',#437210,10.); #137477=VECTOR('',#437213,10.); #137478=VECTOR('',#437214,10.); #137479=VECTOR('',#437215,10.); #137480=VECTOR('',#437218,10.); #137481=VECTOR('',#437219,10.); #137482=VECTOR('',#437220,10.); #137483=VECTOR('',#437223,10.); #137484=VECTOR('',#437224,10.); #137485=VECTOR('',#437225,10.); #137486=VECTOR('',#437228,10.); #137487=VECTOR('',#437229,10.); #137488=VECTOR('',#437230,10.); #137489=VECTOR('',#437233,10.); #137490=VECTOR('',#437234,10.); #137491=VECTOR('',#437235,10.); #137492=VECTOR('',#437238,10.); #137493=VECTOR('',#437239,10.); #137494=VECTOR('',#437240,10.); #137495=VECTOR('',#437243,10.); #137496=VECTOR('',#437244,10.); #137497=VECTOR('',#437245,10.); #137498=VECTOR('',#437248,10.); #137499=VECTOR('',#437249,10.); #137500=VECTOR('',#437250,10.); #137501=VECTOR('',#437253,10.); #137502=VECTOR('',#437254,10.); #137503=VECTOR('',#437255,10.); #137504=VECTOR('',#437258,10.); #137505=VECTOR('',#437259,10.); #137506=VECTOR('',#437260,10.); #137507=VECTOR('',#437263,10.); #137508=VECTOR('',#437264,10.); #137509=VECTOR('',#437265,10.); #137510=VECTOR('',#437268,10.); #137511=VECTOR('',#437269,10.); #137512=VECTOR('',#437270,10.); #137513=VECTOR('',#437273,10.); #137514=VECTOR('',#437274,10.); #137515=VECTOR('',#437275,10.); #137516=VECTOR('',#437278,10.); #137517=VECTOR('',#437279,10.); #137518=VECTOR('',#437280,10.); #137519=VECTOR('',#437283,10.); #137520=VECTOR('',#437284,10.); #137521=VECTOR('',#437285,10.); #137522=VECTOR('',#437288,10.); #137523=VECTOR('',#437289,10.); #137524=VECTOR('',#437290,10.); #137525=VECTOR('',#437293,10.); #137526=VECTOR('',#437294,10.); #137527=VECTOR('',#437295,10.); #137528=VECTOR('',#437298,10.); #137529=VECTOR('',#437299,10.); #137530=VECTOR('',#437300,10.); #137531=VECTOR('',#437303,10.); #137532=VECTOR('',#437304,10.); #137533=VECTOR('',#437305,10.); #137534=VECTOR('',#437308,10.); #137535=VECTOR('',#437309,10.); #137536=VECTOR('',#437310,10.); #137537=VECTOR('',#437313,10.); #137538=VECTOR('',#437314,10.); #137539=VECTOR('',#437315,10.); #137540=VECTOR('',#437318,10.); #137541=VECTOR('',#437319,10.); #137542=VECTOR('',#437320,10.); #137543=VECTOR('',#437323,10.); #137544=VECTOR('',#437324,10.); #137545=VECTOR('',#437325,10.); #137546=VECTOR('',#437328,10.); #137547=VECTOR('',#437329,10.); #137548=VECTOR('',#437330,10.); #137549=VECTOR('',#437333,10.); #137550=VECTOR('',#437334,10.); #137551=VECTOR('',#437335,10.); #137552=VECTOR('',#437338,10.); #137553=VECTOR('',#437339,10.); #137554=VECTOR('',#437340,10.); #137555=VECTOR('',#437343,10.); #137556=VECTOR('',#437344,10.); #137557=VECTOR('',#437345,10.); #137558=VECTOR('',#437348,10.); #137559=VECTOR('',#437349,10.); #137560=VECTOR('',#437350,10.); #137561=VECTOR('',#437353,10.); #137562=VECTOR('',#437354,10.); #137563=VECTOR('',#437355,10.); #137564=VECTOR('',#437358,10.); #137565=VECTOR('',#437359,10.); #137566=VECTOR('',#437362,10.); #137567=VECTOR('',#437363,10.); #137568=VECTOR('',#437364,10.); #137569=VECTOR('',#437365,10.); #137570=VECTOR('',#437368,10.); #137571=VECTOR('',#437369,10.); #137572=VECTOR('',#437370,10.); #137573=VECTOR('',#437373,10.); #137574=VECTOR('',#437374,10.); #137575=VECTOR('',#437375,10.); #137576=VECTOR('',#437378,10.); #137577=VECTOR('',#437379,10.); #137578=VECTOR('',#437380,10.); #137579=VECTOR('',#437383,10.); #137580=VECTOR('',#437384,10.); #137581=VECTOR('',#437385,10.); #137582=VECTOR('',#437388,10.); #137583=VECTOR('',#437389,10.); #137584=VECTOR('',#437390,10.); #137585=VECTOR('',#437393,10.); #137586=VECTOR('',#437394,10.); #137587=VECTOR('',#437395,10.); #137588=VECTOR('',#437398,10.); #137589=VECTOR('',#437399,10.); #137590=VECTOR('',#437400,10.); #137591=VECTOR('',#437403,10.); #137592=VECTOR('',#437404,10.); #137593=VECTOR('',#437405,10.); #137594=VECTOR('',#437408,10.); #137595=VECTOR('',#437409,10.); #137596=VECTOR('',#437410,10.); #137597=VECTOR('',#437413,10.); #137598=VECTOR('',#437414,10.); #137599=VECTOR('',#437415,10.); #137600=VECTOR('',#437418,10.); #137601=VECTOR('',#437419,10.); #137602=VECTOR('',#437420,10.); #137603=VECTOR('',#437423,10.); #137604=VECTOR('',#437424,10.); #137605=VECTOR('',#437425,10.); #137606=VECTOR('',#437428,10.); #137607=VECTOR('',#437429,10.); #137608=VECTOR('',#437430,10.); #137609=VECTOR('',#437433,10.); #137610=VECTOR('',#437434,10.); #137611=VECTOR('',#437435,10.); #137612=VECTOR('',#437438,10.); #137613=VECTOR('',#437439,10.); #137614=VECTOR('',#437440,10.); #137615=VECTOR('',#437443,10.); #137616=VECTOR('',#437444,10.); #137617=VECTOR('',#437445,10.); #137618=VECTOR('',#437448,10.); #137619=VECTOR('',#437449,10.); #137620=VECTOR('',#437450,10.); #137621=VECTOR('',#437453,10.); #137622=VECTOR('',#437454,10.); #137623=VECTOR('',#437455,10.); #137624=VECTOR('',#437458,10.); #137625=VECTOR('',#437459,10.); #137626=VECTOR('',#437460,10.); #137627=VECTOR('',#437463,10.); #137628=VECTOR('',#437464,10.); #137629=VECTOR('',#437465,10.); #137630=VECTOR('',#437468,10.); #137631=VECTOR('',#437469,10.); #137632=VECTOR('',#437470,10.); #137633=VECTOR('',#437473,10.); #137634=VECTOR('',#437474,10.); #137635=VECTOR('',#437475,10.); #137636=VECTOR('',#437478,10.); #137637=VECTOR('',#437479,10.); #137638=VECTOR('',#437480,10.); #137639=VECTOR('',#437483,10.); #137640=VECTOR('',#437484,10.); #137641=VECTOR('',#437485,10.); #137642=VECTOR('',#437488,10.); #137643=VECTOR('',#437489,10.); #137644=VECTOR('',#437490,10.); #137645=VECTOR('',#437493,10.); #137646=VECTOR('',#437494,10.); #137647=VECTOR('',#437495,10.); #137648=VECTOR('',#437498,10.); #137649=VECTOR('',#437499,10.); #137650=VECTOR('',#437500,10.); #137651=VECTOR('',#437503,10.); #137652=VECTOR('',#437504,10.); #137653=VECTOR('',#437505,10.); #137654=VECTOR('',#437508,10.); #137655=VECTOR('',#437509,10.); #137656=VECTOR('',#437512,10.); #137657=VECTOR('',#437513,10.); #137658=VECTOR('',#437514,10.); #137659=VECTOR('',#437515,10.); #137660=VECTOR('',#437518,10.); #137661=VECTOR('',#437519,10.); #137662=VECTOR('',#437520,10.); #137663=VECTOR('',#437523,10.); #137664=VECTOR('',#437524,10.); #137665=VECTOR('',#437525,10.); #137666=VECTOR('',#437528,10.); #137667=VECTOR('',#437529,10.); #137668=VECTOR('',#437530,10.); #137669=VECTOR('',#437533,10.); #137670=VECTOR('',#437534,10.); #137671=VECTOR('',#437535,10.); #137672=VECTOR('',#437538,10.); #137673=VECTOR('',#437539,10.); #137674=VECTOR('',#437540,10.); #137675=VECTOR('',#437543,10.); #137676=VECTOR('',#437544,10.); #137677=VECTOR('',#437545,10.); #137678=VECTOR('',#437548,10.); #137679=VECTOR('',#437549,10.); #137680=VECTOR('',#437550,10.); #137681=VECTOR('',#437553,10.); #137682=VECTOR('',#437554,10.); #137683=VECTOR('',#437555,10.); #137684=VECTOR('',#437558,10.); #137685=VECTOR('',#437559,10.); #137686=VECTOR('',#437560,10.); #137687=VECTOR('',#437563,10.); #137688=VECTOR('',#437564,10.); #137689=VECTOR('',#437565,10.); #137690=VECTOR('',#437568,10.); #137691=VECTOR('',#437569,10.); #137692=VECTOR('',#437570,10.); #137693=VECTOR('',#437573,10.); #137694=VECTOR('',#437574,10.); #137695=VECTOR('',#437575,10.); #137696=VECTOR('',#437578,10.); #137697=VECTOR('',#437579,10.); #137698=VECTOR('',#437580,10.); #137699=VECTOR('',#437583,10.); #137700=VECTOR('',#437584,10.); #137701=VECTOR('',#437585,10.); #137702=VECTOR('',#437588,10.); #137703=VECTOR('',#437589,10.); #137704=VECTOR('',#437590,10.); #137705=VECTOR('',#437593,10.); #137706=VECTOR('',#437594,10.); #137707=VECTOR('',#437595,10.); #137708=VECTOR('',#437598,10.); #137709=VECTOR('',#437599,10.); #137710=VECTOR('',#437600,10.); #137711=VECTOR('',#437603,10.); #137712=VECTOR('',#437604,10.); #137713=VECTOR('',#437605,10.); #137714=VECTOR('',#437608,10.); #137715=VECTOR('',#437609,10.); #137716=VECTOR('',#437610,10.); #137717=VECTOR('',#437613,10.); #137718=VECTOR('',#437614,10.); #137719=VECTOR('',#437615,10.); #137720=VECTOR('',#437618,10.); #137721=VECTOR('',#437619,10.); #137722=VECTOR('',#437620,10.); #137723=VECTOR('',#437623,10.); #137724=VECTOR('',#437624,10.); #137725=VECTOR('',#437625,10.); #137726=VECTOR('',#437628,10.); #137727=VECTOR('',#437629,10.); #137728=VECTOR('',#437630,10.); #137729=VECTOR('',#437633,10.); #137730=VECTOR('',#437634,10.); #137731=VECTOR('',#437635,10.); #137732=VECTOR('',#437638,10.); #137733=VECTOR('',#437639,10.); #137734=VECTOR('',#437640,10.); #137735=VECTOR('',#437643,10.); #137736=VECTOR('',#437644,10.); #137737=VECTOR('',#437645,10.); #137738=VECTOR('',#437648,10.); #137739=VECTOR('',#437649,10.); #137740=VECTOR('',#437650,10.); #137741=VECTOR('',#437653,10.); #137742=VECTOR('',#437654,10.); #137743=VECTOR('',#437655,10.); #137744=VECTOR('',#437658,10.); #137745=VECTOR('',#437659,10.); #137746=VECTOR('',#437662,10.); #137747=VECTOR('',#437663,10.); #137748=VECTOR('',#437664,10.); #137749=VECTOR('',#437665,10.); #137750=VECTOR('',#437668,10.); #137751=VECTOR('',#437669,10.); #137752=VECTOR('',#437670,10.); #137753=VECTOR('',#437673,10.); #137754=VECTOR('',#437674,10.); #137755=VECTOR('',#437675,10.); #137756=VECTOR('',#437678,10.); #137757=VECTOR('',#437679,10.); #137758=VECTOR('',#437680,10.); #137759=VECTOR('',#437683,10.); #137760=VECTOR('',#437684,10.); #137761=VECTOR('',#437685,10.); #137762=VECTOR('',#437688,10.); #137763=VECTOR('',#437689,10.); #137764=VECTOR('',#437690,10.); #137765=VECTOR('',#437693,10.); #137766=VECTOR('',#437694,10.); #137767=VECTOR('',#437695,10.); #137768=VECTOR('',#437698,10.); #137769=VECTOR('',#437699,10.); #137770=VECTOR('',#437700,10.); #137771=VECTOR('',#437703,10.); #137772=VECTOR('',#437704,10.); #137773=VECTOR('',#437705,10.); #137774=VECTOR('',#437708,10.); #137775=VECTOR('',#437709,10.); #137776=VECTOR('',#437710,10.); #137777=VECTOR('',#437713,10.); #137778=VECTOR('',#437714,10.); #137779=VECTOR('',#437715,10.); #137780=VECTOR('',#437718,10.); #137781=VECTOR('',#437719,10.); #137782=VECTOR('',#437720,10.); #137783=VECTOR('',#437723,10.); #137784=VECTOR('',#437724,10.); #137785=VECTOR('',#437725,10.); #137786=VECTOR('',#437728,10.); #137787=VECTOR('',#437729,10.); #137788=VECTOR('',#437730,10.); #137789=VECTOR('',#437733,10.); #137790=VECTOR('',#437734,10.); #137791=VECTOR('',#437735,10.); #137792=VECTOR('',#437738,10.); #137793=VECTOR('',#437739,10.); #137794=VECTOR('',#437740,10.); #137795=VECTOR('',#437743,10.); #137796=VECTOR('',#437744,10.); #137797=VECTOR('',#437745,10.); #137798=VECTOR('',#437748,10.); #137799=VECTOR('',#437749,10.); #137800=VECTOR('',#437750,10.); #137801=VECTOR('',#437753,10.); #137802=VECTOR('',#437754,10.); #137803=VECTOR('',#437755,10.); #137804=VECTOR('',#437758,10.); #137805=VECTOR('',#437759,10.); #137806=VECTOR('',#437760,10.); #137807=VECTOR('',#437763,10.); #137808=VECTOR('',#437764,10.); #137809=VECTOR('',#437765,10.); #137810=VECTOR('',#437768,10.); #137811=VECTOR('',#437769,10.); #137812=VECTOR('',#437770,10.); #137813=VECTOR('',#437773,10.); #137814=VECTOR('',#437774,10.); #137815=VECTOR('',#437775,10.); #137816=VECTOR('',#437778,10.); #137817=VECTOR('',#437779,10.); #137818=VECTOR('',#437780,10.); #137819=VECTOR('',#437783,10.); #137820=VECTOR('',#437784,10.); #137821=VECTOR('',#437785,10.); #137822=VECTOR('',#437788,10.); #137823=VECTOR('',#437789,10.); #137824=VECTOR('',#437790,10.); #137825=VECTOR('',#437793,10.); #137826=VECTOR('',#437794,10.); #137827=VECTOR('',#437795,10.); #137828=VECTOR('',#437798,10.); #137829=VECTOR('',#437799,10.); #137830=VECTOR('',#437800,10.); #137831=VECTOR('',#437803,10.); #137832=VECTOR('',#437804,10.); #137833=VECTOR('',#437805,10.); #137834=VECTOR('',#437808,10.); #137835=VECTOR('',#437809,10.); #137836=VECTOR('',#437812,10.); #137837=VECTOR('',#437813,10.); #137838=VECTOR('',#437814,10.); #137839=VECTOR('',#437815,10.); #137840=VECTOR('',#437818,10.); #137841=VECTOR('',#437819,10.); #137842=VECTOR('',#437820,10.); #137843=VECTOR('',#437823,10.); #137844=VECTOR('',#437824,10.); #137845=VECTOR('',#437825,10.); #137846=VECTOR('',#437828,10.); #137847=VECTOR('',#437829,10.); #137848=VECTOR('',#437830,10.); #137849=VECTOR('',#437833,10.); #137850=VECTOR('',#437834,10.); #137851=VECTOR('',#437835,10.); #137852=VECTOR('',#437838,10.); #137853=VECTOR('',#437839,10.); #137854=VECTOR('',#437840,10.); #137855=VECTOR('',#437843,10.); #137856=VECTOR('',#437844,10.); #137857=VECTOR('',#437845,10.); #137858=VECTOR('',#437848,10.); #137859=VECTOR('',#437849,10.); #137860=VECTOR('',#437850,10.); #137861=VECTOR('',#437853,10.); #137862=VECTOR('',#437854,10.); #137863=VECTOR('',#437855,10.); #137864=VECTOR('',#437858,10.); #137865=VECTOR('',#437859,10.); #137866=VECTOR('',#437860,10.); #137867=VECTOR('',#437863,10.); #137868=VECTOR('',#437864,10.); #137869=VECTOR('',#437865,10.); #137870=VECTOR('',#437868,10.); #137871=VECTOR('',#437869,10.); #137872=VECTOR('',#437870,10.); #137873=VECTOR('',#437873,10.); #137874=VECTOR('',#437874,10.); #137875=VECTOR('',#437875,10.); #137876=VECTOR('',#437878,10.); #137877=VECTOR('',#437879,10.); #137878=VECTOR('',#437880,10.); #137879=VECTOR('',#437883,10.); #137880=VECTOR('',#437884,10.); #137881=VECTOR('',#437885,10.); #137882=VECTOR('',#437888,10.); #137883=VECTOR('',#437889,10.); #137884=VECTOR('',#437890,10.); #137885=VECTOR('',#437893,10.); #137886=VECTOR('',#437894,10.); #137887=VECTOR('',#437895,10.); #137888=VECTOR('',#437898,10.); #137889=VECTOR('',#437899,10.); #137890=VECTOR('',#437900,10.); #137891=VECTOR('',#437903,10.); #137892=VECTOR('',#437904,10.); #137893=VECTOR('',#437905,10.); #137894=VECTOR('',#437908,10.); #137895=VECTOR('',#437909,10.); #137896=VECTOR('',#437910,10.); #137897=VECTOR('',#437913,10.); #137898=VECTOR('',#437914,10.); #137899=VECTOR('',#437915,10.); #137900=VECTOR('',#437918,10.); #137901=VECTOR('',#437919,10.); #137902=VECTOR('',#437920,10.); #137903=VECTOR('',#437923,10.); #137904=VECTOR('',#437924,10.); #137905=VECTOR('',#437925,10.); #137906=VECTOR('',#437928,10.); #137907=VECTOR('',#437929,10.); #137908=VECTOR('',#437930,10.); #137909=VECTOR('',#437933,10.); #137910=VECTOR('',#437934,10.); #137911=VECTOR('',#437935,10.); #137912=VECTOR('',#437938,10.); #137913=VECTOR('',#437939,10.); #137914=VECTOR('',#437940,10.); #137915=VECTOR('',#437943,10.); #137916=VECTOR('',#437944,10.); #137917=VECTOR('',#437945,10.); #137918=VECTOR('',#437948,10.); #137919=VECTOR('',#437949,10.); #137920=VECTOR('',#437950,10.); #137921=VECTOR('',#437953,10.); #137922=VECTOR('',#437954,10.); #137923=VECTOR('',#437955,10.); #137924=VECTOR('',#437958,10.); #137925=VECTOR('',#437959,10.); #137926=VECTOR('',#437960,10.); #137927=VECTOR('',#437963,10.); #137928=VECTOR('',#437964,10.); #137929=VECTOR('',#437965,10.); #137930=VECTOR('',#437968,10.); #137931=VECTOR('',#437969,10.); #137932=VECTOR('',#437970,10.); #137933=VECTOR('',#437973,10.); #137934=VECTOR('',#437974,10.); #137935=VECTOR('',#437975,10.); #137936=VECTOR('',#437978,10.); #137937=VECTOR('',#437979,10.); #137938=VECTOR('',#437980,10.); #137939=VECTOR('',#437983,10.); #137940=VECTOR('',#437984,10.); #137941=VECTOR('',#437985,10.); #137942=VECTOR('',#437988,10.); #137943=VECTOR('',#437989,10.); #137944=VECTOR('',#437990,10.); #137945=VECTOR('',#437993,10.); #137946=VECTOR('',#437994,10.); #137947=VECTOR('',#437995,10.); #137948=VECTOR('',#437998,10.); #137949=VECTOR('',#437999,10.); #137950=VECTOR('',#438000,10.); #137951=VECTOR('',#438003,10.); #137952=VECTOR('',#438004,10.); #137953=VECTOR('',#438005,10.); #137954=VECTOR('',#438008,10.); #137955=VECTOR('',#438009,10.); #137956=VECTOR('',#438010,10.); #137957=VECTOR('',#438013,10.); #137958=VECTOR('',#438014,10.); #137959=VECTOR('',#438015,10.); #137960=VECTOR('',#438018,10.); #137961=VECTOR('',#438019,10.); #137962=VECTOR('',#438020,10.); #137963=VECTOR('',#438023,10.); #137964=VECTOR('',#438024,10.); #137965=VECTOR('',#438025,10.); #137966=VECTOR('',#438028,10.); #137967=VECTOR('',#438029,10.); #137968=VECTOR('',#438030,10.); #137969=VECTOR('',#438033,10.); #137970=VECTOR('',#438034,10.); #137971=VECTOR('',#438035,10.); #137972=VECTOR('',#438038,10.); #137973=VECTOR('',#438039,10.); #137974=VECTOR('',#438040,10.); #137975=VECTOR('',#438043,10.); #137976=VECTOR('',#438044,10.); #137977=VECTOR('',#438045,10.); #137978=VECTOR('',#438048,10.); #137979=VECTOR('',#438049,10.); #137980=VECTOR('',#438050,10.); #137981=VECTOR('',#438053,10.); #137982=VECTOR('',#438054,10.); #137983=VECTOR('',#438055,10.); #137984=VECTOR('',#438058,10.); #137985=VECTOR('',#438059,10.); #137986=VECTOR('',#438060,10.); #137987=VECTOR('',#438063,10.); #137988=VECTOR('',#438064,10.); #137989=VECTOR('',#438065,10.); #137990=VECTOR('',#438068,10.); #137991=VECTOR('',#438069,10.); #137992=VECTOR('',#438070,10.); #137993=VECTOR('',#438073,10.); #137994=VECTOR('',#438074,10.); #137995=VECTOR('',#438075,10.); #137996=VECTOR('',#438078,10.); #137997=VECTOR('',#438079,10.); #137998=VECTOR('',#438080,10.); #137999=VECTOR('',#438083,10.); #138000=VECTOR('',#438084,10.); #138001=VECTOR('',#438085,10.); #138002=VECTOR('',#438088,10.); #138003=VECTOR('',#438089,10.); #138004=VECTOR('',#438090,10.); #138005=VECTOR('',#438093,10.); #138006=VECTOR('',#438094,10.); #138007=VECTOR('',#438095,10.); #138008=VECTOR('',#438098,10.); #138009=VECTOR('',#438099,10.); #138010=VECTOR('',#438100,10.); #138011=VECTOR('',#438103,10.); #138012=VECTOR('',#438104,10.); #138013=VECTOR('',#438105,10.); #138014=VECTOR('',#438108,10.); #138015=VECTOR('',#438109,10.); #138016=VECTOR('',#438110,10.); #138017=VECTOR('',#438113,10.); #138018=VECTOR('',#438114,10.); #138019=VECTOR('',#438115,10.); #138020=VECTOR('',#438118,10.); #138021=VECTOR('',#438119,10.); #138022=VECTOR('',#438120,10.); #138023=VECTOR('',#438123,10.); #138024=VECTOR('',#438124,10.); #138025=VECTOR('',#438125,10.); #138026=VECTOR('',#438128,10.); #138027=VECTOR('',#438129,10.); #138028=VECTOR('',#438130,10.); #138029=VECTOR('',#438133,10.); #138030=VECTOR('',#438134,10.); #138031=VECTOR('',#438135,10.); #138032=VECTOR('',#438138,10.); #138033=VECTOR('',#438139,10.); #138034=VECTOR('',#438140,10.); #138035=VECTOR('',#438143,10.); #138036=VECTOR('',#438144,10.); #138037=VECTOR('',#438145,10.); #138038=VECTOR('',#438148,10.); #138039=VECTOR('',#438149,10.); #138040=VECTOR('',#438150,10.); #138041=VECTOR('',#438153,10.); #138042=VECTOR('',#438154,10.); #138043=VECTOR('',#438155,10.); #138044=VECTOR('',#438158,10.); #138045=VECTOR('',#438159,10.); #138046=VECTOR('',#438160,10.); #138047=VECTOR('',#438163,10.); #138048=VECTOR('',#438164,10.); #138049=VECTOR('',#438165,10.); #138050=VECTOR('',#438168,10.); #138051=VECTOR('',#438169,10.); #138052=VECTOR('',#438170,10.); #138053=VECTOR('',#438173,10.); #138054=VECTOR('',#438174,10.); #138055=VECTOR('',#438175,10.); #138056=VECTOR('',#438178,10.); #138057=VECTOR('',#438179,10.); #138058=VECTOR('',#438180,10.); #138059=VECTOR('',#438183,10.); #138060=VECTOR('',#438184,10.); #138061=VECTOR('',#438185,10.); #138062=VECTOR('',#438188,10.); #138063=VECTOR('',#438189,10.); #138064=VECTOR('',#438190,10.); #138065=VECTOR('',#438193,10.); #138066=VECTOR('',#438194,10.); #138067=VECTOR('',#438195,10.); #138068=VECTOR('',#438198,10.); #138069=VECTOR('',#438199,10.); #138070=VECTOR('',#438200,10.); #138071=VECTOR('',#438203,10.); #138072=VECTOR('',#438204,10.); #138073=VECTOR('',#438205,10.); #138074=VECTOR('',#438208,10.); #138075=VECTOR('',#438209,10.); #138076=VECTOR('',#438210,10.); #138077=VECTOR('',#438213,10.); #138078=VECTOR('',#438214,10.); #138079=VECTOR('',#438215,10.); #138080=VECTOR('',#438218,10.); #138081=VECTOR('',#438219,10.); #138082=VECTOR('',#438220,10.); #138083=VECTOR('',#438223,10.); #138084=VECTOR('',#438224,10.); #138085=VECTOR('',#438225,10.); #138086=VECTOR('',#438228,10.); #138087=VECTOR('',#438229,10.); #138088=VECTOR('',#438230,10.); #138089=VECTOR('',#438233,10.); #138090=VECTOR('',#438234,10.); #138091=VECTOR('',#438235,10.); #138092=VECTOR('',#438238,10.); #138093=VECTOR('',#438239,10.); #138094=VECTOR('',#438240,10.); #138095=VECTOR('',#438243,10.); #138096=VECTOR('',#438244,10.); #138097=VECTOR('',#438245,10.); #138098=VECTOR('',#438248,10.); #138099=VECTOR('',#438249,10.); #138100=VECTOR('',#438250,10.); #138101=VECTOR('',#438253,10.); #138102=VECTOR('',#438254,10.); #138103=VECTOR('',#438255,10.); #138104=VECTOR('',#438258,10.); #138105=VECTOR('',#438259,10.); #138106=VECTOR('',#438260,10.); #138107=VECTOR('',#438263,10.); #138108=VECTOR('',#438264,10.); #138109=VECTOR('',#438265,10.); #138110=VECTOR('',#438268,10.); #138111=VECTOR('',#438269,10.); #138112=VECTOR('',#438270,10.); #138113=VECTOR('',#438273,10.); #138114=VECTOR('',#438274,10.); #138115=VECTOR('',#438275,10.); #138116=VECTOR('',#438278,10.); #138117=VECTOR('',#438279,10.); #138118=VECTOR('',#438280,10.); #138119=VECTOR('',#438283,10.); #138120=VECTOR('',#438284,10.); #138121=VECTOR('',#438285,10.); #138122=VECTOR('',#438288,10.); #138123=VECTOR('',#438289,10.); #138124=VECTOR('',#438290,10.); #138125=VECTOR('',#438293,10.); #138126=VECTOR('',#438294,10.); #138127=VECTOR('',#438295,10.); #138128=VECTOR('',#438298,10.); #138129=VECTOR('',#438299,10.); #138130=VECTOR('',#438300,10.); #138131=VECTOR('',#438303,10.); #138132=VECTOR('',#438304,10.); #138133=VECTOR('',#438305,10.); #138134=VECTOR('',#438308,10.); #138135=VECTOR('',#438309,10.); #138136=VECTOR('',#438310,10.); #138137=VECTOR('',#438313,10.); #138138=VECTOR('',#438314,10.); #138139=VECTOR('',#438315,10.); #138140=VECTOR('',#438318,10.); #138141=VECTOR('',#438319,10.); #138142=VECTOR('',#438320,10.); #138143=VECTOR('',#438323,10.); #138144=VECTOR('',#438324,10.); #138145=VECTOR('',#438325,10.); #138146=VECTOR('',#438328,10.); #138147=VECTOR('',#438329,10.); #138148=VECTOR('',#438330,10.); #138149=VECTOR('',#438333,10.); #138150=VECTOR('',#438334,10.); #138151=VECTOR('',#438335,10.); #138152=VECTOR('',#438338,10.); #138153=VECTOR('',#438339,10.); #138154=VECTOR('',#438340,10.); #138155=VECTOR('',#438343,10.); #138156=VECTOR('',#438344,10.); #138157=VECTOR('',#438345,10.); #138158=VECTOR('',#438348,10.); #138159=VECTOR('',#438349,10.); #138160=VECTOR('',#438350,10.); #138161=VECTOR('',#438353,10.); #138162=VECTOR('',#438354,10.); #138163=VECTOR('',#438355,10.); #138164=VECTOR('',#438358,10.); #138165=VECTOR('',#438359,10.); #138166=VECTOR('',#438360,10.); #138167=VECTOR('',#438363,10.); #138168=VECTOR('',#438364,10.); #138169=VECTOR('',#438365,10.); #138170=VECTOR('',#438368,10.); #138171=VECTOR('',#438369,10.); #138172=VECTOR('',#438370,10.); #138173=VECTOR('',#438373,10.); #138174=VECTOR('',#438374,10.); #138175=VECTOR('',#438375,10.); #138176=VECTOR('',#438378,10.); #138177=VECTOR('',#438379,10.); #138178=VECTOR('',#438380,10.); #138179=VECTOR('',#438383,10.); #138180=VECTOR('',#438384,10.); #138181=VECTOR('',#438385,10.); #138182=VECTOR('',#438388,10.); #138183=VECTOR('',#438389,10.); #138184=VECTOR('',#438390,10.); #138185=VECTOR('',#438393,10.); #138186=VECTOR('',#438394,10.); #138187=VECTOR('',#438395,10.); #138188=VECTOR('',#438398,10.); #138189=VECTOR('',#438399,10.); #138190=VECTOR('',#438400,10.); #138191=VECTOR('',#438403,10.); #138192=VECTOR('',#438404,10.); #138193=VECTOR('',#438405,10.); #138194=VECTOR('',#438408,10.); #138195=VECTOR('',#438409,10.); #138196=VECTOR('',#438416,10.); #138197=VECTOR('',#438417,10.); #138198=VECTOR('',#438418,10.); #138199=VECTOR('',#438419,10.); #138200=VECTOR('',#438422,10.); #138201=VECTOR('',#438423,10.); #138202=VECTOR('',#438424,10.); #138203=VECTOR('',#438427,10.); #138204=VECTOR('',#438428,10.); #138205=VECTOR('',#438429,10.); #138206=VECTOR('',#438432,10.); #138207=VECTOR('',#438433,10.); #138208=VECTOR('',#438434,10.); #138209=VECTOR('',#438437,10.); #138210=VECTOR('',#438438,10.); #138211=VECTOR('',#438439,10.); #138212=VECTOR('',#438442,10.); #138213=VECTOR('',#438443,10.); #138214=VECTOR('',#438444,10.); #138215=VECTOR('',#438447,10.); #138216=VECTOR('',#438448,10.); #138217=VECTOR('',#438449,10.); #138218=VECTOR('',#438452,10.); #138219=VECTOR('',#438453,10.); #138220=VECTOR('',#438454,10.); #138221=VECTOR('',#438457,10.); #138222=VECTOR('',#438458,10.); #138223=VECTOR('',#438459,10.); #138224=VECTOR('',#438462,10.); #138225=VECTOR('',#438463,10.); #138226=VECTOR('',#438464,10.); #138227=VECTOR('',#438467,10.); #138228=VECTOR('',#438468,10.); #138229=VECTOR('',#438469,10.); #138230=VECTOR('',#438472,10.); #138231=VECTOR('',#438473,10.); #138232=VECTOR('',#438474,10.); #138233=VECTOR('',#438477,10.); #138234=VECTOR('',#438478,10.); #138235=VECTOR('',#438479,10.); #138236=VECTOR('',#438482,10.); #138237=VECTOR('',#438483,10.); #138238=VECTOR('',#438484,10.); #138239=VECTOR('',#438487,10.); #138240=VECTOR('',#438488,10.); #138241=VECTOR('',#438489,10.); #138242=VECTOR('',#438492,10.); #138243=VECTOR('',#438493,10.); #138244=VECTOR('',#438494,10.); #138245=VECTOR('',#438497,10.); #138246=VECTOR('',#438498,10.); #138247=VECTOR('',#438499,10.); #138248=VECTOR('',#438502,10.); #138249=VECTOR('',#438503,10.); #138250=VECTOR('',#438504,10.); #138251=VECTOR('',#438507,10.); #138252=VECTOR('',#438508,10.); #138253=VECTOR('',#438509,10.); #138254=VECTOR('',#438512,10.); #138255=VECTOR('',#438513,10.); #138256=VECTOR('',#438514,10.); #138257=VECTOR('',#438517,10.); #138258=VECTOR('',#438518,10.); #138259=VECTOR('',#438519,10.); #138260=VECTOR('',#438522,10.); #138261=VECTOR('',#438523,10.); #138262=VECTOR('',#438524,10.); #138263=VECTOR('',#438527,10.); #138264=VECTOR('',#438528,10.); #138265=VECTOR('',#438529,10.); #138266=VECTOR('',#438532,10.); #138267=VECTOR('',#438533,10.); #138268=VECTOR('',#438534,10.); #138269=VECTOR('',#438537,10.); #138270=VECTOR('',#438538,10.); #138271=VECTOR('',#438539,10.); #138272=VECTOR('',#438542,10.); #138273=VECTOR('',#438543,10.); #138274=VECTOR('',#438544,10.); #138275=VECTOR('',#438547,10.); #138276=VECTOR('',#438548,10.); #138277=VECTOR('',#438555,10.); #138278=VECTOR('',#438556,10.); #138279=VECTOR('',#438557,10.); #138280=VECTOR('',#438558,10.); #138281=VECTOR('',#438561,10.); #138282=VECTOR('',#438562,10.); #138283=VECTOR('',#438563,10.); #138284=VECTOR('',#438566,10.); #138285=VECTOR('',#438567,10.); #138286=VECTOR('',#438568,10.); #138287=VECTOR('',#438571,10.); #138288=VECTOR('',#438572,10.); #138289=VECTOR('',#438573,10.); #138290=VECTOR('',#438576,10.); #138291=VECTOR('',#438577,10.); #138292=VECTOR('',#438578,10.); #138293=VECTOR('',#438581,10.); #138294=VECTOR('',#438582,10.); #138295=VECTOR('',#438583,10.); #138296=VECTOR('',#438586,10.); #138297=VECTOR('',#438587,10.); #138298=VECTOR('',#438588,10.); #138299=VECTOR('',#438591,10.); #138300=VECTOR('',#438592,10.); #138301=VECTOR('',#438593,10.); #138302=VECTOR('',#438596,10.); #138303=VECTOR('',#438597,10.); #138304=VECTOR('',#438598,10.); #138305=VECTOR('',#438601,10.); #138306=VECTOR('',#438602,10.); #138307=VECTOR('',#438603,10.); #138308=VECTOR('',#438606,10.); #138309=VECTOR('',#438607,10.); #138310=VECTOR('',#438608,10.); #138311=VECTOR('',#438611,10.); #138312=VECTOR('',#438612,10.); #138313=VECTOR('',#438613,10.); #138314=VECTOR('',#438616,10.); #138315=VECTOR('',#438617,10.); #138316=VECTOR('',#438618,10.); #138317=VECTOR('',#438621,10.); #138318=VECTOR('',#438622,10.); #138319=VECTOR('',#438623,10.); #138320=VECTOR('',#438626,10.); #138321=VECTOR('',#438627,10.); #138322=VECTOR('',#438628,10.); #138323=VECTOR('',#438631,10.); #138324=VECTOR('',#438632,10.); #138325=VECTOR('',#438633,10.); #138326=VECTOR('',#438636,10.); #138327=VECTOR('',#438637,10.); #138328=VECTOR('',#438638,10.); #138329=VECTOR('',#438641,10.); #138330=VECTOR('',#438642,10.); #138331=VECTOR('',#438643,10.); #138332=VECTOR('',#438646,10.); #138333=VECTOR('',#438647,10.); #138334=VECTOR('',#438648,10.); #138335=VECTOR('',#438651,10.); #138336=VECTOR('',#438652,10.); #138337=VECTOR('',#438653,10.); #138338=VECTOR('',#438656,10.); #138339=VECTOR('',#438657,10.); #138340=VECTOR('',#438664,10.); #138341=VECTOR('',#438665,10.); #138342=VECTOR('',#438666,10.); #138343=VECTOR('',#438667,10.); #138344=VECTOR('',#438670,10.); #138345=VECTOR('',#438671,10.); #138346=VECTOR('',#438672,10.); #138347=VECTOR('',#438675,10.); #138348=VECTOR('',#438676,10.); #138349=VECTOR('',#438677,10.); #138350=VECTOR('',#438680,10.); #138351=VECTOR('',#438681,10.); #138352=VECTOR('',#438682,10.); #138353=VECTOR('',#438685,10.); #138354=VECTOR('',#438686,10.); #138355=VECTOR('',#438687,10.); #138356=VECTOR('',#438690,10.); #138357=VECTOR('',#438691,10.); #138358=VECTOR('',#438692,10.); #138359=VECTOR('',#438695,10.); #138360=VECTOR('',#438696,10.); #138361=VECTOR('',#438697,10.); #138362=VECTOR('',#438700,10.); #138363=VECTOR('',#438701,10.); #138364=VECTOR('',#438702,10.); #138365=VECTOR('',#438705,10.); #138366=VECTOR('',#438706,10.); #138367=VECTOR('',#438707,10.); #138368=VECTOR('',#438710,10.); #138369=VECTOR('',#438711,10.); #138370=VECTOR('',#438712,10.); #138371=VECTOR('',#438715,10.); #138372=VECTOR('',#438716,10.); #138373=VECTOR('',#438717,10.); #138374=VECTOR('',#438720,10.); #138375=VECTOR('',#438721,10.); #138376=VECTOR('',#438722,10.); #138377=VECTOR('',#438725,10.); #138378=VECTOR('',#438726,10.); #138379=VECTOR('',#438727,10.); #138380=VECTOR('',#438730,10.); #138381=VECTOR('',#438731,10.); #138382=VECTOR('',#438732,10.); #138383=VECTOR('',#438735,10.); #138384=VECTOR('',#438736,10.); #138385=VECTOR('',#438737,10.); #138386=VECTOR('',#438740,10.); #138387=VECTOR('',#438741,10.); #138388=VECTOR('',#438742,10.); #138389=VECTOR('',#438745,10.); #138390=VECTOR('',#438746,10.); #138391=VECTOR('',#438747,10.); #138392=VECTOR('',#438750,10.); #138393=VECTOR('',#438751,10.); #138394=VECTOR('',#438752,10.); #138395=VECTOR('',#438755,10.); #138396=VECTOR('',#438756,10.); #138397=VECTOR('',#438757,10.); #138398=VECTOR('',#438760,10.); #138399=VECTOR('',#438761,10.); #138400=VECTOR('',#438768,10.); #138401=VECTOR('',#438769,10.); #138402=VECTOR('',#438770,10.); #138403=VECTOR('',#438771,10.); #138404=VECTOR('',#438774,10.); #138405=VECTOR('',#438775,10.); #138406=VECTOR('',#438776,10.); #138407=VECTOR('',#438779,10.); #138408=VECTOR('',#438780,10.); #138409=VECTOR('',#438781,10.); #138410=VECTOR('',#438784,10.); #138411=VECTOR('',#438785,10.); #138412=VECTOR('',#438786,10.); #138413=VECTOR('',#438789,10.); #138414=VECTOR('',#438790,10.); #138415=VECTOR('',#438791,10.); #138416=VECTOR('',#438794,10.); #138417=VECTOR('',#438795,10.); #138418=VECTOR('',#438796,10.); #138419=VECTOR('',#438799,10.); #138420=VECTOR('',#438800,10.); #138421=VECTOR('',#438801,10.); #138422=VECTOR('',#438804,10.); #138423=VECTOR('',#438805,10.); #138424=VECTOR('',#438806,10.); #138425=VECTOR('',#438809,10.); #138426=VECTOR('',#438810,10.); #138427=VECTOR('',#438811,10.); #138428=VECTOR('',#438814,10.); #138429=VECTOR('',#438815,10.); #138430=VECTOR('',#438816,10.); #138431=VECTOR('',#438819,10.); #138432=VECTOR('',#438820,10.); #138433=VECTOR('',#438821,10.); #138434=VECTOR('',#438824,10.); #138435=VECTOR('',#438825,10.); #138436=VECTOR('',#438826,10.); #138437=VECTOR('',#438829,10.); #138438=VECTOR('',#438830,10.); #138439=VECTOR('',#438831,10.); #138440=VECTOR('',#438834,10.); #138441=VECTOR('',#438835,10.); #138442=VECTOR('',#438836,10.); #138443=VECTOR('',#438839,10.); #138444=VECTOR('',#438840,10.); #138445=VECTOR('',#438841,10.); #138446=VECTOR('',#438844,10.); #138447=VECTOR('',#438845,10.); #138448=VECTOR('',#438846,10.); #138449=VECTOR('',#438849,10.); #138450=VECTOR('',#438850,10.); #138451=VECTOR('',#438851,10.); #138452=VECTOR('',#438854,10.); #138453=VECTOR('',#438855,10.); #138454=VECTOR('',#438856,10.); #138455=VECTOR('',#438859,10.); #138456=VECTOR('',#438860,10.); #138457=VECTOR('',#438861,10.); #138458=VECTOR('',#438864,10.); #138459=VECTOR('',#438865,10.); #138460=VECTOR('',#438866,10.); #138461=VECTOR('',#438869,10.); #138462=VECTOR('',#438870,10.); #138463=VECTOR('',#438871,10.); #138464=VECTOR('',#438874,10.); #138465=VECTOR('',#438875,10.); #138466=VECTOR('',#438876,10.); #138467=VECTOR('',#438879,10.); #138468=VECTOR('',#438880,10.); #138469=VECTOR('',#438881,10.); #138470=VECTOR('',#438884,10.); #138471=VECTOR('',#438885,10.); #138472=VECTOR('',#438886,10.); #138473=VECTOR('',#438889,10.); #138474=VECTOR('',#438890,10.); #138475=VECTOR('',#438891,10.); #138476=VECTOR('',#438894,10.); #138477=VECTOR('',#438895,10.); #138478=VECTOR('',#438896,10.); #138479=VECTOR('',#438899,10.); #138480=VECTOR('',#438900,10.); #138481=VECTOR('',#438901,10.); #138482=VECTOR('',#438904,10.); #138483=VECTOR('',#438905,10.); #138484=VECTOR('',#438906,10.); #138485=VECTOR('',#438909,10.); #138486=VECTOR('',#438910,10.); #138487=VECTOR('',#438911,10.); #138488=VECTOR('',#438914,10.); #138489=VECTOR('',#438915,10.); #138490=VECTOR('',#438916,10.); #138491=VECTOR('',#438919,10.); #138492=VECTOR('',#438920,10.); #138493=VECTOR('',#438921,10.); #138494=VECTOR('',#438924,10.); #138495=VECTOR('',#438925,10.); #138496=VECTOR('',#438926,10.); #138497=VECTOR('',#438929,10.); #138498=VECTOR('',#438930,10.); #138499=VECTOR('',#438931,10.); #138500=VECTOR('',#438934,10.); #138501=VECTOR('',#438935,10.); #138502=VECTOR('',#438936,10.); #138503=VECTOR('',#438939,10.); #138504=VECTOR('',#438940,10.); #138505=VECTOR('',#438941,10.); #138506=VECTOR('',#438944,10.); #138507=VECTOR('',#438945,10.); #138508=VECTOR('',#438946,10.); #138509=VECTOR('',#438949,10.); #138510=VECTOR('',#438950,10.); #138511=VECTOR('',#438951,10.); #138512=VECTOR('',#438954,10.); #138513=VECTOR('',#438955,10.); #138514=VECTOR('',#438956,10.); #138515=VECTOR('',#438959,10.); #138516=VECTOR('',#438960,10.); #138517=VECTOR('',#438961,10.); #138518=VECTOR('',#438964,10.); #138519=VECTOR('',#438965,10.); #138520=VECTOR('',#438966,10.); #138521=VECTOR('',#438969,10.); #138522=VECTOR('',#438970,10.); #138523=VECTOR('',#438971,10.); #138524=VECTOR('',#438974,10.); #138525=VECTOR('',#438975,10.); #138526=VECTOR('',#438976,10.); #138527=VECTOR('',#438979,10.); #138528=VECTOR('',#438980,10.); #138529=VECTOR('',#438981,10.); #138530=VECTOR('',#438984,10.); #138531=VECTOR('',#438985,10.); #138532=VECTOR('',#438986,10.); #138533=VECTOR('',#438989,10.); #138534=VECTOR('',#438990,10.); #138535=VECTOR('',#438991,10.); #138536=VECTOR('',#438994,10.); #138537=VECTOR('',#438995,10.); #138538=VECTOR('',#438996,10.); #138539=VECTOR('',#438999,10.); #138540=VECTOR('',#439000,10.); #138541=VECTOR('',#439007,10.); #138542=VECTOR('',#439008,10.); #138543=VECTOR('',#439009,10.); #138544=VECTOR('',#439010,10.); #138545=VECTOR('',#439013,10.); #138546=VECTOR('',#439014,10.); #138547=VECTOR('',#439015,10.); #138548=VECTOR('',#439018,10.); #138549=VECTOR('',#439019,10.); #138550=VECTOR('',#439020,10.); #138551=VECTOR('',#439023,10.); #138552=VECTOR('',#439024,10.); #138553=VECTOR('',#439025,10.); #138554=VECTOR('',#439028,10.); #138555=VECTOR('',#439029,10.); #138556=VECTOR('',#439030,10.); #138557=VECTOR('',#439033,10.); #138558=VECTOR('',#439034,10.); #138559=VECTOR('',#439035,10.); #138560=VECTOR('',#439038,10.); #138561=VECTOR('',#439039,10.); #138562=VECTOR('',#439040,10.); #138563=VECTOR('',#439043,10.); #138564=VECTOR('',#439044,10.); #138565=VECTOR('',#439045,10.); #138566=VECTOR('',#439048,10.); #138567=VECTOR('',#439049,10.); #138568=VECTOR('',#439050,10.); #138569=VECTOR('',#439053,10.); #138570=VECTOR('',#439054,10.); #138571=VECTOR('',#439055,10.); #138572=VECTOR('',#439058,10.); #138573=VECTOR('',#439059,10.); #138574=VECTOR('',#439060,10.); #138575=VECTOR('',#439063,10.); #138576=VECTOR('',#439064,10.); #138577=VECTOR('',#439065,10.); #138578=VECTOR('',#439068,10.); #138579=VECTOR('',#439069,10.); #138580=VECTOR('',#439070,10.); #138581=VECTOR('',#439073,10.); #138582=VECTOR('',#439074,10.); #138583=VECTOR('',#439075,10.); #138584=VECTOR('',#439078,10.); #138585=VECTOR('',#439079,10.); #138586=VECTOR('',#439080,10.); #138587=VECTOR('',#439083,10.); #138588=VECTOR('',#439084,10.); #138589=VECTOR('',#439085,10.); #138590=VECTOR('',#439088,10.); #138591=VECTOR('',#439089,10.); #138592=VECTOR('',#439090,10.); #138593=VECTOR('',#439093,10.); #138594=VECTOR('',#439094,10.); #138595=VECTOR('',#439095,10.); #138596=VECTOR('',#439098,10.); #138597=VECTOR('',#439099,10.); #138598=VECTOR('',#439100,10.); #138599=VECTOR('',#439103,10.); #138600=VECTOR('',#439104,10.); #138601=VECTOR('',#439105,10.); #138602=VECTOR('',#439108,10.); #138603=VECTOR('',#439109,10.); #138604=VECTOR('',#439110,10.); #138605=VECTOR('',#439113,10.); #138606=VECTOR('',#439114,10.); #138607=VECTOR('',#439115,10.); #138608=VECTOR('',#439118,10.); #138609=VECTOR('',#439119,10.); #138610=VECTOR('',#439120,10.); #138611=VECTOR('',#439123,10.); #138612=VECTOR('',#439124,10.); #138613=VECTOR('',#439125,10.); #138614=VECTOR('',#439128,10.); #138615=VECTOR('',#439129,10.); #138616=VECTOR('',#439130,10.); #138617=VECTOR('',#439133,10.); #138618=VECTOR('',#439134,10.); #138619=VECTOR('',#439135,10.); #138620=VECTOR('',#439138,10.); #138621=VECTOR('',#439139,10.); #138622=VECTOR('',#439140,10.); #138623=VECTOR('',#439143,10.); #138624=VECTOR('',#439144,10.); #138625=VECTOR('',#439145,10.); #138626=VECTOR('',#439148,10.); #138627=VECTOR('',#439149,10.); #138628=VECTOR('',#439150,10.); #138629=VECTOR('',#439153,10.); #138630=VECTOR('',#439154,10.); #138631=VECTOR('',#439155,10.); #138632=VECTOR('',#439158,10.); #138633=VECTOR('',#439159,10.); #138634=VECTOR('',#439160,10.); #138635=VECTOR('',#439163,10.); #138636=VECTOR('',#439164,10.); #138637=VECTOR('',#439165,10.); #138638=VECTOR('',#439168,10.); #138639=VECTOR('',#439169,10.); #138640=VECTOR('',#439170,10.); #138641=VECTOR('',#439173,10.); #138642=VECTOR('',#439174,10.); #138643=VECTOR('',#439181,10.); #138644=VECTOR('',#439182,10.); #138645=VECTOR('',#439183,10.); #138646=VECTOR('',#439184,10.); #138647=VECTOR('',#439187,10.); #138648=VECTOR('',#439188,10.); #138649=VECTOR('',#439189,10.); #138650=VECTOR('',#439192,10.); #138651=VECTOR('',#439193,10.); #138652=VECTOR('',#439194,10.); #138653=VECTOR('',#439197,10.); #138654=VECTOR('',#439198,10.); #138655=VECTOR('',#439199,10.); #138656=VECTOR('',#439202,10.); #138657=VECTOR('',#439203,10.); #138658=VECTOR('',#439204,10.); #138659=VECTOR('',#439207,10.); #138660=VECTOR('',#439208,10.); #138661=VECTOR('',#439209,10.); #138662=VECTOR('',#439212,10.); #138663=VECTOR('',#439213,10.); #138664=VECTOR('',#439214,10.); #138665=VECTOR('',#439217,10.); #138666=VECTOR('',#439218,10.); #138667=VECTOR('',#439219,10.); #138668=VECTOR('',#439222,10.); #138669=VECTOR('',#439223,10.); #138670=VECTOR('',#439224,10.); #138671=VECTOR('',#439227,10.); #138672=VECTOR('',#439228,10.); #138673=VECTOR('',#439229,10.); #138674=VECTOR('',#439232,10.); #138675=VECTOR('',#439233,10.); #138676=VECTOR('',#439234,10.); #138677=VECTOR('',#439237,10.); #138678=VECTOR('',#439238,10.); #138679=VECTOR('',#439239,10.); #138680=VECTOR('',#439242,10.); #138681=VECTOR('',#439243,10.); #138682=VECTOR('',#439244,10.); #138683=VECTOR('',#439247,10.); #138684=VECTOR('',#439248,10.); #138685=VECTOR('',#439249,10.); #138686=VECTOR('',#439252,10.); #138687=VECTOR('',#439253,10.); #138688=VECTOR('',#439254,10.); #138689=VECTOR('',#439257,10.); #138690=VECTOR('',#439258,10.); #138691=VECTOR('',#439259,10.); #138692=VECTOR('',#439262,10.); #138693=VECTOR('',#439263,10.); #138694=VECTOR('',#439264,10.); #138695=VECTOR('',#439267,10.); #138696=VECTOR('',#439268,10.); #138697=VECTOR('',#439269,10.); #138698=VECTOR('',#439272,10.); #138699=VECTOR('',#439273,10.); #138700=VECTOR('',#439274,10.); #138701=VECTOR('',#439277,10.); #138702=VECTOR('',#439278,10.); #138703=VECTOR('',#439279,10.); #138704=VECTOR('',#439282,10.); #138705=VECTOR('',#439283,10.); #138706=VECTOR('',#439284,10.); #138707=VECTOR('',#439287,10.); #138708=VECTOR('',#439288,10.); #138709=VECTOR('',#439295,10.); #138710=VECTOR('',#439296,10.); #138711=VECTOR('',#439297,10.); #138712=VECTOR('',#439298,10.); #138713=VECTOR('',#439301,10.); #138714=VECTOR('',#439302,10.); #138715=VECTOR('',#439303,10.); #138716=VECTOR('',#439306,10.); #138717=VECTOR('',#439307,10.); #138718=VECTOR('',#439308,10.); #138719=VECTOR('',#439311,10.); #138720=VECTOR('',#439312,10.); #138721=VECTOR('',#439313,10.); #138722=VECTOR('',#439316,10.); #138723=VECTOR('',#439317,10.); #138724=VECTOR('',#439318,10.); #138725=VECTOR('',#439321,10.); #138726=VECTOR('',#439322,10.); #138727=VECTOR('',#439323,10.); #138728=VECTOR('',#439326,10.); #138729=VECTOR('',#439327,10.); #138730=VECTOR('',#439328,10.); #138731=VECTOR('',#439331,10.); #138732=VECTOR('',#439332,10.); #138733=VECTOR('',#439333,10.); #138734=VECTOR('',#439336,10.); #138735=VECTOR('',#439337,10.); #138736=VECTOR('',#439338,10.); #138737=VECTOR('',#439341,10.); #138738=VECTOR('',#439342,10.); #138739=VECTOR('',#439343,10.); #138740=VECTOR('',#439346,10.); #138741=VECTOR('',#439347,10.); #138742=VECTOR('',#439348,10.); #138743=VECTOR('',#439351,10.); #138744=VECTOR('',#439352,10.); #138745=VECTOR('',#439353,10.); #138746=VECTOR('',#439356,10.); #138747=VECTOR('',#439357,10.); #138748=VECTOR('',#439358,10.); #138749=VECTOR('',#439361,10.); #138750=VECTOR('',#439362,10.); #138751=VECTOR('',#439363,10.); #138752=VECTOR('',#439366,10.); #138753=VECTOR('',#439367,10.); #138754=VECTOR('',#439368,10.); #138755=VECTOR('',#439371,10.); #138756=VECTOR('',#439372,10.); #138757=VECTOR('',#439373,10.); #138758=VECTOR('',#439376,10.); #138759=VECTOR('',#439377,10.); #138760=VECTOR('',#439378,10.); #138761=VECTOR('',#439381,10.); #138762=VECTOR('',#439382,10.); #138763=VECTOR('',#439383,10.); #138764=VECTOR('',#439386,10.); #138765=VECTOR('',#439387,10.); #138766=VECTOR('',#439388,10.); #138767=VECTOR('',#439391,10.); #138768=VECTOR('',#439392,10.); #138769=VECTOR('',#439399,10.); #138770=VECTOR('',#439400,10.); #138771=VECTOR('',#439401,10.); #138772=VECTOR('',#439402,10.); #138773=VECTOR('',#439405,10.); #138774=VECTOR('',#439406,10.); #138775=VECTOR('',#439407,10.); #138776=VECTOR('',#439410,10.); #138777=VECTOR('',#439411,10.); #138778=VECTOR('',#439412,10.); #138779=VECTOR('',#439415,10.); #138780=VECTOR('',#439416,10.); #138781=VECTOR('',#439417,10.); #138782=VECTOR('',#439420,10.); #138783=VECTOR('',#439421,10.); #138784=VECTOR('',#439422,10.); #138785=VECTOR('',#439425,10.); #138786=VECTOR('',#439426,10.); #138787=VECTOR('',#439427,10.); #138788=VECTOR('',#439430,10.); #138789=VECTOR('',#439431,10.); #138790=VECTOR('',#439432,10.); #138791=VECTOR('',#439435,10.); #138792=VECTOR('',#439436,10.); #138793=VECTOR('',#439437,10.); #138794=VECTOR('',#439440,10.); #138795=VECTOR('',#439441,10.); #138796=VECTOR('',#439442,10.); #138797=VECTOR('',#439445,10.); #138798=VECTOR('',#439446,10.); #138799=VECTOR('',#439447,10.); #138800=VECTOR('',#439450,10.); #138801=VECTOR('',#439451,10.); #138802=VECTOR('',#439452,10.); #138803=VECTOR('',#439455,10.); #138804=VECTOR('',#439456,10.); #138805=VECTOR('',#439457,10.); #138806=VECTOR('',#439460,10.); #138807=VECTOR('',#439461,10.); #138808=VECTOR('',#439462,10.); #138809=VECTOR('',#439465,10.); #138810=VECTOR('',#439466,10.); #138811=VECTOR('',#439467,10.); #138812=VECTOR('',#439470,10.); #138813=VECTOR('',#439471,10.); #138814=VECTOR('',#439472,10.); #138815=VECTOR('',#439475,10.); #138816=VECTOR('',#439476,10.); #138817=VECTOR('',#439477,10.); #138818=VECTOR('',#439480,10.); #138819=VECTOR('',#439481,10.); #138820=VECTOR('',#439482,10.); #138821=VECTOR('',#439485,10.); #138822=VECTOR('',#439486,10.); #138823=VECTOR('',#439487,10.); #138824=VECTOR('',#439490,10.); #138825=VECTOR('',#439491,10.); #138826=VECTOR('',#439492,10.); #138827=VECTOR('',#439495,10.); #138828=VECTOR('',#439496,10.); #138829=VECTOR('',#439497,10.); #138830=VECTOR('',#439500,10.); #138831=VECTOR('',#439501,10.); #138832=VECTOR('',#439502,10.); #138833=VECTOR('',#439505,10.); #138834=VECTOR('',#439506,10.); #138835=VECTOR('',#439507,10.); #138836=VECTOR('',#439510,10.); #138837=VECTOR('',#439511,10.); #138838=VECTOR('',#439512,10.); #138839=VECTOR('',#439515,10.); #138840=VECTOR('',#439516,10.); #138841=VECTOR('',#439517,10.); #138842=VECTOR('',#439520,10.); #138843=VECTOR('',#439521,10.); #138844=VECTOR('',#439522,10.); #138845=VECTOR('',#439525,10.); #138846=VECTOR('',#439526,10.); #138847=VECTOR('',#439527,10.); #138848=VECTOR('',#439530,10.); #138849=VECTOR('',#439531,10.); #138850=VECTOR('',#439532,10.); #138851=VECTOR('',#439535,10.); #138852=VECTOR('',#439536,10.); #138853=VECTOR('',#439537,10.); #138854=VECTOR('',#439540,10.); #138855=VECTOR('',#439541,10.); #138856=VECTOR('',#439542,10.); #138857=VECTOR('',#439545,10.); #138858=VECTOR('',#439546,10.); #138859=VECTOR('',#439547,10.); #138860=VECTOR('',#439550,10.); #138861=VECTOR('',#439551,10.); #138862=VECTOR('',#439552,10.); #138863=VECTOR('',#439555,10.); #138864=VECTOR('',#439556,10.); #138865=VECTOR('',#439557,10.); #138866=VECTOR('',#439560,10.); #138867=VECTOR('',#439561,10.); #138868=VECTOR('',#439562,10.); #138869=VECTOR('',#439565,10.); #138870=VECTOR('',#439566,10.); #138871=VECTOR('',#439567,10.); #138872=VECTOR('',#439570,10.); #138873=VECTOR('',#439571,10.); #138874=VECTOR('',#439572,10.); #138875=VECTOR('',#439575,10.); #138876=VECTOR('',#439576,10.); #138877=VECTOR('',#439577,10.); #138878=VECTOR('',#439580,10.); #138879=VECTOR('',#439581,10.); #138880=VECTOR('',#439582,10.); #138881=VECTOR('',#439585,10.); #138882=VECTOR('',#439586,10.); #138883=VECTOR('',#439587,10.); #138884=VECTOR('',#439590,10.); #138885=VECTOR('',#439591,10.); #138886=VECTOR('',#439592,10.); #138887=VECTOR('',#439595,10.); #138888=VECTOR('',#439596,10.); #138889=VECTOR('',#439597,10.); #138890=VECTOR('',#439600,10.); #138891=VECTOR('',#439601,10.); #138892=VECTOR('',#439602,10.); #138893=VECTOR('',#439605,10.); #138894=VECTOR('',#439606,10.); #138895=VECTOR('',#439607,10.); #138896=VECTOR('',#439610,10.); #138897=VECTOR('',#439611,10.); #138898=VECTOR('',#439612,10.); #138899=VECTOR('',#439615,10.); #138900=VECTOR('',#439616,10.); #138901=VECTOR('',#439617,10.); #138902=VECTOR('',#439620,10.); #138903=VECTOR('',#439621,10.); #138904=VECTOR('',#439622,10.); #138905=VECTOR('',#439625,10.); #138906=VECTOR('',#439626,10.); #138907=VECTOR('',#439627,10.); #138908=VECTOR('',#439630,10.); #138909=VECTOR('',#439631,10.); #138910=VECTOR('',#439632,10.); #138911=VECTOR('',#439635,10.); #138912=VECTOR('',#439636,10.); #138913=VECTOR('',#439637,10.); #138914=VECTOR('',#439640,10.); #138915=VECTOR('',#439641,10.); #138916=VECTOR('',#439642,10.); #138917=VECTOR('',#439645,10.); #138918=VECTOR('',#439646,10.); #138919=VECTOR('',#439647,10.); #138920=VECTOR('',#439650,10.); #138921=VECTOR('',#439651,10.); #138922=VECTOR('',#439652,10.); #138923=VECTOR('',#439655,10.); #138924=VECTOR('',#439656,10.); #138925=VECTOR('',#439657,10.); #138926=VECTOR('',#439660,10.); #138927=VECTOR('',#439661,10.); #138928=VECTOR('',#439662,10.); #138929=VECTOR('',#439665,10.); #138930=VECTOR('',#439666,10.); #138931=VECTOR('',#439667,10.); #138932=VECTOR('',#439670,10.); #138933=VECTOR('',#439671,10.); #138934=VECTOR('',#439672,10.); #138935=VECTOR('',#439675,10.); #138936=VECTOR('',#439676,10.); #138937=VECTOR('',#439677,10.); #138938=VECTOR('',#439680,10.); #138939=VECTOR('',#439681,10.); #138940=VECTOR('',#439682,10.); #138941=VECTOR('',#439685,10.); #138942=VECTOR('',#439686,10.); #138943=VECTOR('',#439687,10.); #138944=VECTOR('',#439690,10.); #138945=VECTOR('',#439691,10.); #138946=VECTOR('',#439692,10.); #138947=VECTOR('',#439695,10.); #138948=VECTOR('',#439696,10.); #138949=VECTOR('',#439697,10.); #138950=VECTOR('',#439700,10.); #138951=VECTOR('',#439701,10.); #138952=VECTOR('',#439702,10.); #138953=VECTOR('',#439705,10.); #138954=VECTOR('',#439706,10.); #138955=VECTOR('',#439707,10.); #138956=VECTOR('',#439710,10.); #138957=VECTOR('',#439711,10.); #138958=VECTOR('',#439712,10.); #138959=VECTOR('',#439715,10.); #138960=VECTOR('',#439716,10.); #138961=VECTOR('',#439717,10.); #138962=VECTOR('',#439720,10.); #138963=VECTOR('',#439721,10.); #138964=VECTOR('',#439722,10.); #138965=VECTOR('',#439725,10.); #138966=VECTOR('',#439726,10.); #138967=VECTOR('',#439727,10.); #138968=VECTOR('',#439730,10.); #138969=VECTOR('',#439731,10.); #138970=VECTOR('',#439732,10.); #138971=VECTOR('',#439735,10.); #138972=VECTOR('',#439736,10.); #138973=VECTOR('',#439737,10.); #138974=VECTOR('',#439740,10.); #138975=VECTOR('',#439741,10.); #138976=VECTOR('',#439742,10.); #138977=VECTOR('',#439745,10.); #138978=VECTOR('',#439746,10.); #138979=VECTOR('',#439747,10.); #138980=VECTOR('',#439750,10.); #138981=VECTOR('',#439751,10.); #138982=VECTOR('',#439752,10.); #138983=VECTOR('',#439755,10.); #138984=VECTOR('',#439756,10.); #138985=VECTOR('',#439763,10.); #138986=VECTOR('',#439764,10.); #138987=VECTOR('',#439765,10.); #138988=VECTOR('',#439766,10.); #138989=VECTOR('',#439769,10.); #138990=VECTOR('',#439770,10.); #138991=VECTOR('',#439771,10.); #138992=VECTOR('',#439774,10.); #138993=VECTOR('',#439775,10.); #138994=VECTOR('',#439776,10.); #138995=VECTOR('',#439779,10.); #138996=VECTOR('',#439780,10.); #138997=VECTOR('',#439781,10.); #138998=VECTOR('',#439784,10.); #138999=VECTOR('',#439785,10.); #139000=VECTOR('',#439786,10.); #139001=VECTOR('',#439789,10.); #139002=VECTOR('',#439790,10.); #139003=VECTOR('',#439791,10.); #139004=VECTOR('',#439794,10.); #139005=VECTOR('',#439795,10.); #139006=VECTOR('',#439796,10.); #139007=VECTOR('',#439799,10.); #139008=VECTOR('',#439800,10.); #139009=VECTOR('',#439801,10.); #139010=VECTOR('',#439804,10.); #139011=VECTOR('',#439805,10.); #139012=VECTOR('',#439806,10.); #139013=VECTOR('',#439809,10.); #139014=VECTOR('',#439810,10.); #139015=VECTOR('',#439811,10.); #139016=VECTOR('',#439814,10.); #139017=VECTOR('',#439815,10.); #139018=VECTOR('',#439816,10.); #139019=VECTOR('',#439819,10.); #139020=VECTOR('',#439820,10.); #139021=VECTOR('',#439821,10.); #139022=VECTOR('',#439824,10.); #139023=VECTOR('',#439825,10.); #139024=VECTOR('',#439826,10.); #139025=VECTOR('',#439829,10.); #139026=VECTOR('',#439830,10.); #139027=VECTOR('',#439831,10.); #139028=VECTOR('',#439834,10.); #139029=VECTOR('',#439835,10.); #139030=VECTOR('',#439836,10.); #139031=VECTOR('',#439839,10.); #139032=VECTOR('',#439840,10.); #139033=VECTOR('',#439841,10.); #139034=VECTOR('',#439844,10.); #139035=VECTOR('',#439845,10.); #139036=VECTOR('',#439846,10.); #139037=VECTOR('',#439849,10.); #139038=VECTOR('',#439850,10.); #139039=VECTOR('',#439851,10.); #139040=VECTOR('',#439854,10.); #139041=VECTOR('',#439855,10.); #139042=VECTOR('',#439856,10.); #139043=VECTOR('',#439859,10.); #139044=VECTOR('',#439860,10.); #139045=VECTOR('',#439861,10.); #139046=VECTOR('',#439864,10.); #139047=VECTOR('',#439865,10.); #139048=VECTOR('',#439866,10.); #139049=VECTOR('',#439869,10.); #139050=VECTOR('',#439870,10.); #139051=VECTOR('',#439871,10.); #139052=VECTOR('',#439874,10.); #139053=VECTOR('',#439875,10.); #139054=VECTOR('',#439876,10.); #139055=VECTOR('',#439879,10.); #139056=VECTOR('',#439880,10.); #139057=VECTOR('',#439881,10.); #139058=VECTOR('',#439884,10.); #139059=VECTOR('',#439885,10.); #139060=VECTOR('',#439886,10.); #139061=VECTOR('',#439889,10.); #139062=VECTOR('',#439890,10.); #139063=VECTOR('',#439891,10.); #139064=VECTOR('',#439894,10.); #139065=VECTOR('',#439895,10.); #139066=VECTOR('',#439896,10.); #139067=VECTOR('',#439899,10.); #139068=VECTOR('',#439900,10.); #139069=VECTOR('',#439909,0.140000000000002); #139070=VECTOR('',#439916,0.140000000000002); #139071=VECTOR('',#439923,0.140000000000002); #139072=VECTOR('',#439930,0.140000000000002); #139073=VECTOR('',#439937,0.140000000000002); #139074=VECTOR('',#439944,0.140000000000002); #139075=VECTOR('',#439951,0.140000000000002); #139076=VECTOR('',#439958,0.140000000000002); #139077=VECTOR('',#439965,0.140000000000002); #139078=VECTOR('',#439972,0.140000000000002); #139079=VECTOR('',#439979,0.140000000000002); #139080=VECTOR('',#439986,0.140000000000002); #139081=VECTOR('',#439993,0.140000000000002); #139082=VECTOR('',#440000,0.140000000000002); #139083=VECTOR('',#440007,0.139999999999998); #139084=VECTOR('',#440014,0.140000000000002); #139085=VECTOR('',#440019,10.); #139086=VECTOR('',#440020,10.); #139087=VECTOR('',#440021,10.); #139088=VECTOR('',#440022,10.); #139089=VECTOR('',#440025,10.); #139090=VECTOR('',#440026,10.); #139091=VECTOR('',#440027,10.); #139092=VECTOR('',#440030,10.); #139093=VECTOR('',#440031,10.); #139094=VECTOR('',#440032,10.); #139095=VECTOR('',#440035,10.); #139096=VECTOR('',#440036,10.); #139097=VECTOR('',#440037,10.); #139098=VECTOR('',#440040,10.); #139099=VECTOR('',#440041,10.); #139100=VECTOR('',#440042,10.); #139101=VECTOR('',#440045,10.); #139102=VECTOR('',#440046,10.); #139103=VECTOR('',#440047,10.); #139104=VECTOR('',#440050,10.); #139105=VECTOR('',#440051,10.); #139106=VECTOR('',#440052,10.); #139107=VECTOR('',#440055,10.); #139108=VECTOR('',#440056,10.); #139109=VECTOR('',#440057,10.); #139110=VECTOR('',#440060,10.); #139111=VECTOR('',#440061,10.); #139112=VECTOR('',#440062,10.); #139113=VECTOR('',#440065,10.); #139114=VECTOR('',#440066,10.); #139115=VECTOR('',#440067,10.); #139116=VECTOR('',#440070,10.); #139117=VECTOR('',#440071,10.); #139118=VECTOR('',#440072,10.); #139119=VECTOR('',#440075,10.); #139120=VECTOR('',#440076,10.); #139121=VECTOR('',#440077,10.); #139122=VECTOR('',#440080,10.); #139123=VECTOR('',#440081,10.); #139124=VECTOR('',#440082,10.); #139125=VECTOR('',#440085,10.); #139126=VECTOR('',#440086,10.); #139127=VECTOR('',#440087,10.); #139128=VECTOR('',#440090,10.); #139129=VECTOR('',#440091,10.); #139130=VECTOR('',#440092,10.); #139131=VECTOR('',#440095,10.); #139132=VECTOR('',#440096,10.); #139133=VECTOR('',#440097,10.); #139134=VECTOR('',#440100,10.); #139135=VECTOR('',#440101,10.); #139136=VECTOR('',#440102,10.); #139137=VECTOR('',#440105,10.); #139138=VECTOR('',#440106,10.); #139139=VECTOR('',#440107,10.); #139140=VECTOR('',#440110,10.); #139141=VECTOR('',#440111,10.); #139142=VECTOR('',#440112,10.); #139143=VECTOR('',#440115,10.); #139144=VECTOR('',#440116,10.); #139145=VECTOR('',#440117,10.); #139146=VECTOR('',#440120,10.); #139147=VECTOR('',#440121,10.); #139148=VECTOR('',#440122,10.); #139149=VECTOR('',#440125,10.); #139150=VECTOR('',#440126,10.); #139151=VECTOR('',#440127,10.); #139152=VECTOR('',#440130,10.); #139153=VECTOR('',#440131,10.); #139154=VECTOR('',#440132,10.); #139155=VECTOR('',#440135,10.); #139156=VECTOR('',#440136,10.); #139157=VECTOR('',#440137,10.); #139158=VECTOR('',#440140,10.); #139159=VECTOR('',#440141,10.); #139160=VECTOR('',#440142,10.); #139161=VECTOR('',#440145,10.); #139162=VECTOR('',#440146,10.); #139163=VECTOR('',#440147,10.); #139164=VECTOR('',#440150,10.); #139165=VECTOR('',#440151,10.); #139166=VECTOR('',#440152,10.); #139167=VECTOR('',#440155,10.); #139168=VECTOR('',#440156,10.); #139169=VECTOR('',#440157,10.); #139170=VECTOR('',#440160,10.); #139171=VECTOR('',#440161,10.); #139172=VECTOR('',#440162,10.); #139173=VECTOR('',#440165,10.); #139174=VECTOR('',#440166,10.); #139175=VECTOR('',#440167,10.); #139176=VECTOR('',#440170,10.); #139177=VECTOR('',#440171,10.); #139178=VECTOR('',#440172,10.); #139179=VECTOR('',#440175,10.); #139180=VECTOR('',#440176,10.); #139181=VECTOR('',#440177,10.); #139182=VECTOR('',#440180,10.); #139183=VECTOR('',#440181,10.); #139184=VECTOR('',#440182,10.); #139185=VECTOR('',#440185,10.); #139186=VECTOR('',#440186,10.); #139187=VECTOR('',#440187,10.); #139188=VECTOR('',#440190,10.); #139189=VECTOR('',#440191,10.); #139190=VECTOR('',#440192,10.); #139191=VECTOR('',#440195,10.); #139192=VECTOR('',#440196,10.); #139193=VECTOR('',#440197,10.); #139194=VECTOR('',#440200,10.); #139195=VECTOR('',#440201,10.); #139196=VECTOR('',#440202,10.); #139197=VECTOR('',#440205,10.); #139198=VECTOR('',#440206,10.); #139199=VECTOR('',#440207,10.); #139200=VECTOR('',#440210,10.); #139201=VECTOR('',#440211,10.); #139202=VECTOR('',#440212,10.); #139203=VECTOR('',#440215,10.); #139204=VECTOR('',#440216,10.); #139205=VECTOR('',#440217,10.); #139206=VECTOR('',#440220,10.); #139207=VECTOR('',#440221,10.); #139208=VECTOR('',#440222,10.); #139209=VECTOR('',#440225,10.); #139210=VECTOR('',#440226,10.); #139211=VECTOR('',#440227,10.); #139212=VECTOR('',#440230,10.); #139213=VECTOR('',#440231,10.); #139214=VECTOR('',#440232,10.); #139215=VECTOR('',#440235,10.); #139216=VECTOR('',#440236,10.); #139217=VECTOR('',#440237,10.); #139218=VECTOR('',#440240,10.); #139219=VECTOR('',#440241,10.); #139220=VECTOR('',#440242,10.); #139221=VECTOR('',#440245,10.); #139222=VECTOR('',#440246,10.); #139223=VECTOR('',#440247,10.); #139224=VECTOR('',#440250,10.); #139225=VECTOR('',#440251,10.); #139226=VECTOR('',#440252,10.); #139227=VECTOR('',#440255,10.); #139228=VECTOR('',#440256,10.); #139229=VECTOR('',#440257,10.); #139230=VECTOR('',#440260,10.); #139231=VECTOR('',#440261,10.); #139232=VECTOR('',#440262,10.); #139233=VECTOR('',#440265,10.); #139234=VECTOR('',#440266,10.); #139235=VECTOR('',#440267,10.); #139236=VECTOR('',#440270,10.); #139237=VECTOR('',#440271,10.); #139238=VECTOR('',#440272,10.); #139239=VECTOR('',#440275,10.); #139240=VECTOR('',#440276,10.); #139241=VECTOR('',#440277,10.); #139242=VECTOR('',#440280,10.); #139243=VECTOR('',#440281,10.); #139244=VECTOR('',#440282,10.); #139245=VECTOR('',#440285,10.); #139246=VECTOR('',#440286,10.); #139247=VECTOR('',#440287,10.); #139248=VECTOR('',#440290,10.); #139249=VECTOR('',#440291,10.); #139250=VECTOR('',#440292,10.); #139251=VECTOR('',#440295,10.); #139252=VECTOR('',#440296,10.); #139253=VECTOR('',#440297,10.); #139254=VECTOR('',#440300,10.); #139255=VECTOR('',#440301,10.); #139256=VECTOR('',#440302,10.); #139257=VECTOR('',#440305,10.); #139258=VECTOR('',#440306,10.); #139259=VECTOR('',#440307,10.); #139260=VECTOR('',#440310,10.); #139261=VECTOR('',#440311,10.); #139262=VECTOR('',#440312,10.); #139263=VECTOR('',#440315,10.); #139264=VECTOR('',#440316,10.); #139265=VECTOR('',#440317,10.); #139266=VECTOR('',#440320,10.); #139267=VECTOR('',#440321,10.); #139268=VECTOR('',#440322,10.); #139269=VECTOR('',#440325,10.); #139270=VECTOR('',#440326,10.); #139271=VECTOR('',#440327,10.); #139272=VECTOR('',#440330,10.); #139273=VECTOR('',#440331,10.); #139274=VECTOR('',#440332,10.); #139275=VECTOR('',#440335,10.); #139276=VECTOR('',#440336,10.); #139277=VECTOR('',#440337,10.); #139278=VECTOR('',#440340,10.); #139279=VECTOR('',#440341,10.); #139280=VECTOR('',#440342,10.); #139281=VECTOR('',#440345,10.); #139282=VECTOR('',#440346,10.); #139283=VECTOR('',#440347,10.); #139284=VECTOR('',#440350,10.); #139285=VECTOR('',#440351,10.); #139286=VECTOR('',#440352,10.); #139287=VECTOR('',#440355,10.); #139288=VECTOR('',#440356,10.); #139289=VECTOR('',#440357,10.); #139290=VECTOR('',#440360,10.); #139291=VECTOR('',#440361,10.); #139292=VECTOR('',#440362,10.); #139293=VECTOR('',#440365,10.); #139294=VECTOR('',#440366,10.); #139295=VECTOR('',#440367,10.); #139296=VECTOR('',#440370,10.); #139297=VECTOR('',#440371,10.); #139298=VECTOR('',#440372,10.); #139299=VECTOR('',#440375,10.); #139300=VECTOR('',#440376,10.); #139301=VECTOR('',#440377,10.); #139302=VECTOR('',#440380,10.); #139303=VECTOR('',#440381,10.); #139304=VECTOR('',#440382,10.); #139305=VECTOR('',#440385,10.); #139306=VECTOR('',#440386,10.); #139307=VECTOR('',#440395,0.139999999999998); #139308=VECTOR('',#440402,0.139999999999998); #139309=VECTOR('',#440409,0.139999999999998); #139310=VECTOR('',#440416,0.139999999999998); #139311=VECTOR('',#440423,0.139999999999998); #139312=VECTOR('',#440430,0.139999999999998); #139313=VECTOR('',#440437,0.139999999999998); #139314=VECTOR('',#440444,0.139999999999998); #139315=VECTOR('',#440451,0.139999999999998); #139316=VECTOR('',#440458,0.139999999999998); #139317=VECTOR('',#440465,0.139999999999998); #139318=VECTOR('',#440472,0.139999999999998); #139319=VECTOR('',#440479,0.139999999999998); #139320=VECTOR('',#440486,0.139999999999998); #139321=VECTOR('',#440493,0.139999999999998); #139322=VECTOR('',#440500,0.139999999999998); #139323=VECTOR('',#440505,10.); #139324=VECTOR('',#440506,10.); #139325=VECTOR('',#440507,10.); #139326=VECTOR('',#440508,10.); #139327=VECTOR('',#440511,10.); #139328=VECTOR('',#440512,10.); #139329=VECTOR('',#440513,10.); #139330=VECTOR('',#440516,10.); #139331=VECTOR('',#440517,10.); #139332=VECTOR('',#440518,10.); #139333=VECTOR('',#440521,10.); #139334=VECTOR('',#440522,10.); #139335=VECTOR('',#440523,10.); #139336=VECTOR('',#440526,10.); #139337=VECTOR('',#440527,10.); #139338=VECTOR('',#440528,10.); #139339=VECTOR('',#440531,10.); #139340=VECTOR('',#440532,10.); #139341=VECTOR('',#440533,10.); #139342=VECTOR('',#440536,10.); #139343=VECTOR('',#440537,10.); #139344=VECTOR('',#440538,10.); #139345=VECTOR('',#440541,10.); #139346=VECTOR('',#440542,10.); #139347=VECTOR('',#440543,10.); #139348=VECTOR('',#440546,10.); #139349=VECTOR('',#440547,10.); #139350=VECTOR('',#440548,10.); #139351=VECTOR('',#440551,10.); #139352=VECTOR('',#440552,10.); #139353=VECTOR('',#440553,10.); #139354=VECTOR('',#440556,10.); #139355=VECTOR('',#440557,10.); #139356=VECTOR('',#440558,10.); #139357=VECTOR('',#440561,10.); #139358=VECTOR('',#440562,10.); #139359=VECTOR('',#440563,10.); #139360=VECTOR('',#440566,10.); #139361=VECTOR('',#440567,10.); #139362=VECTOR('',#440568,10.); #139363=VECTOR('',#440571,10.); #139364=VECTOR('',#440572,10.); #139365=VECTOR('',#440573,10.); #139366=VECTOR('',#440576,10.); #139367=VECTOR('',#440577,10.); #139368=VECTOR('',#440578,10.); #139369=VECTOR('',#440581,10.); #139370=VECTOR('',#440582,10.); #139371=VECTOR('',#440583,10.); #139372=VECTOR('',#440586,10.); #139373=VECTOR('',#440587,10.); #139374=VECTOR('',#440588,10.); #139375=VECTOR('',#440591,10.); #139376=VECTOR('',#440592,10.); #139377=VECTOR('',#440593,10.); #139378=VECTOR('',#440596,10.); #139379=VECTOR('',#440597,10.); #139380=VECTOR('',#440598,10.); #139381=VECTOR('',#440601,10.); #139382=VECTOR('',#440602,10.); #139383=VECTOR('',#440603,10.); #139384=VECTOR('',#440606,10.); #139385=VECTOR('',#440607,10.); #139386=VECTOR('',#440608,10.); #139387=VECTOR('',#440611,10.); #139388=VECTOR('',#440612,10.); #139389=VECTOR('',#440613,10.); #139390=VECTOR('',#440616,10.); #139391=VECTOR('',#440617,10.); #139392=VECTOR('',#440618,10.); #139393=VECTOR('',#440621,10.); #139394=VECTOR('',#440622,10.); #139395=VECTOR('',#440623,10.); #139396=VECTOR('',#440626,10.); #139397=VECTOR('',#440627,10.); #139398=VECTOR('',#440628,10.); #139399=VECTOR('',#440631,10.); #139400=VECTOR('',#440632,10.); #139401=VECTOR('',#440633,10.); #139402=VECTOR('',#440636,10.); #139403=VECTOR('',#440637,10.); #139404=VECTOR('',#440638,10.); #139405=VECTOR('',#440641,10.); #139406=VECTOR('',#440642,10.); #139407=VECTOR('',#440643,10.); #139408=VECTOR('',#440646,10.); #139409=VECTOR('',#440647,10.); #139410=VECTOR('',#440648,10.); #139411=VECTOR('',#440651,10.); #139412=VECTOR('',#440652,10.); #139413=VECTOR('',#440653,10.); #139414=VECTOR('',#440656,10.); #139415=VECTOR('',#440657,10.); #139416=VECTOR('',#440658,10.); #139417=VECTOR('',#440661,10.); #139418=VECTOR('',#440662,10.); #139419=VECTOR('',#440663,10.); #139420=VECTOR('',#440666,10.); #139421=VECTOR('',#440667,10.); #139422=VECTOR('',#440668,10.); #139423=VECTOR('',#440671,10.); #139424=VECTOR('',#440672,10.); #139425=VECTOR('',#440673,10.); #139426=VECTOR('',#440676,10.); #139427=VECTOR('',#440677,10.); #139428=VECTOR('',#440678,10.); #139429=VECTOR('',#440681,10.); #139430=VECTOR('',#440682,10.); #139431=VECTOR('',#440683,10.); #139432=VECTOR('',#440686,10.); #139433=VECTOR('',#440687,10.); #139434=VECTOR('',#440688,10.); #139435=VECTOR('',#440691,10.); #139436=VECTOR('',#440692,10.); #139437=VECTOR('',#440693,10.); #139438=VECTOR('',#440696,10.); #139439=VECTOR('',#440697,10.); #139440=VECTOR('',#440698,10.); #139441=VECTOR('',#440701,10.); #139442=VECTOR('',#440702,10.); #139443=VECTOR('',#440703,10.); #139444=VECTOR('',#440706,10.); #139445=VECTOR('',#440707,10.); #139446=VECTOR('',#440708,10.); #139447=VECTOR('',#440711,10.); #139448=VECTOR('',#440712,10.); #139449=VECTOR('',#440713,10.); #139450=VECTOR('',#440716,10.); #139451=VECTOR('',#440717,10.); #139452=VECTOR('',#440718,10.); #139453=VECTOR('',#440721,10.); #139454=VECTOR('',#440722,10.); #139455=VECTOR('',#440723,10.); #139456=VECTOR('',#440726,10.); #139457=VECTOR('',#440727,10.); #139458=VECTOR('',#440728,10.); #139459=VECTOR('',#440731,10.); #139460=VECTOR('',#440732,10.); #139461=VECTOR('',#440733,10.); #139462=VECTOR('',#440736,10.); #139463=VECTOR('',#440737,10.); #139464=VECTOR('',#440738,10.); #139465=VECTOR('',#440741,10.); #139466=VECTOR('',#440742,10.); #139467=VECTOR('',#440743,10.); #139468=VECTOR('',#440746,10.); #139469=VECTOR('',#440747,10.); #139470=VECTOR('',#440748,10.); #139471=VECTOR('',#440751,10.); #139472=VECTOR('',#440752,10.); #139473=VECTOR('',#440753,10.); #139474=VECTOR('',#440756,10.); #139475=VECTOR('',#440757,10.); #139476=VECTOR('',#440758,10.); #139477=VECTOR('',#440761,10.); #139478=VECTOR('',#440762,10.); #139479=VECTOR('',#440763,10.); #139480=VECTOR('',#440766,10.); #139481=VECTOR('',#440767,10.); #139482=VECTOR('',#440768,10.); #139483=VECTOR('',#440771,10.); #139484=VECTOR('',#440772,10.); #139485=VECTOR('',#440773,10.); #139486=VECTOR('',#440776,10.); #139487=VECTOR('',#440777,10.); #139488=VECTOR('',#440778,10.); #139489=VECTOR('',#440781,10.); #139490=VECTOR('',#440782,10.); #139491=VECTOR('',#440783,10.); #139492=VECTOR('',#440786,10.); #139493=VECTOR('',#440787,10.); #139494=VECTOR('',#440788,10.); #139495=VECTOR('',#440791,10.); #139496=VECTOR('',#440792,10.); #139497=VECTOR('',#440793,10.); #139498=VECTOR('',#440796,10.); #139499=VECTOR('',#440797,10.); #139500=VECTOR('',#440798,10.); #139501=VECTOR('',#440801,10.); #139502=VECTOR('',#440802,10.); #139503=VECTOR('',#440803,10.); #139504=VECTOR('',#440806,10.); #139505=VECTOR('',#440807,10.); #139506=VECTOR('',#440808,10.); #139507=VECTOR('',#440811,10.); #139508=VECTOR('',#440812,10.); #139509=VECTOR('',#440813,10.); #139510=VECTOR('',#440816,10.); #139511=VECTOR('',#440817,10.); #139512=VECTOR('',#440818,10.); #139513=VECTOR('',#440821,10.); #139514=VECTOR('',#440822,10.); #139515=VECTOR('',#440823,10.); #139516=VECTOR('',#440826,10.); #139517=VECTOR('',#440827,10.); #139518=VECTOR('',#440828,10.); #139519=VECTOR('',#440831,10.); #139520=VECTOR('',#440832,10.); #139521=VECTOR('',#440833,10.); #139522=VECTOR('',#440836,10.); #139523=VECTOR('',#440837,10.); #139524=VECTOR('',#440838,10.); #139525=VECTOR('',#440841,10.); #139526=VECTOR('',#440842,10.); #139527=VECTOR('',#440843,10.); #139528=VECTOR('',#440846,10.); #139529=VECTOR('',#440847,10.); #139530=VECTOR('',#440848,10.); #139531=VECTOR('',#440851,10.); #139532=VECTOR('',#440852,10.); #139533=VECTOR('',#440861,0.14); #139534=VECTOR('',#440868,0.14); #139535=VECTOR('',#440875,0.14); #139536=VECTOR('',#440882,0.14); #139537=VECTOR('',#440889,0.14); #139538=VECTOR('',#440896,0.14); #139539=VECTOR('',#440903,0.14); #139540=VECTOR('',#440910,0.14); #139541=VECTOR('',#440917,0.14); #139542=VECTOR('',#440924,0.14); #139543=VECTOR('',#440931,0.14); #139544=VECTOR('',#440938,0.14); #139545=VECTOR('',#440945,0.139999999999998); #139546=VECTOR('',#440952,0.139999999999998); #139547=VECTOR('',#440959,0.139999999999998); #139548=VECTOR('',#440966,0.139999999999998); #139549=VECTOR('',#440973,0.139999999999998); #139550=VECTOR('',#440980,0.14); #139551=VECTOR('',#440987,0.139999999999998); #139552=VECTOR('',#440994,0.139999999999998); #139553=VECTOR('',#441001,0.139999999999998); #139554=VECTOR('',#441008,0.139999999999998); #139555=VECTOR('',#441015,0.139999999999998); #139556=VECTOR('',#441022,0.139999999999998); #139557=VECTOR('',#441029,0.139999999999998); #139558=VECTOR('',#441036,0.139999999999998); #139559=VECTOR('',#441043,0.139999999999998); #139560=VECTOR('',#441050,0.139999999999998); #139561=VECTOR('',#441057,0.14); #139562=VECTOR('',#441064,0.14); #139563=VECTOR('',#441069,10.); #139564=VECTOR('',#441070,10.); #139565=VECTOR('',#441071,10.); #139566=VECTOR('',#441072,10.); #139567=VECTOR('',#441075,10.); #139568=VECTOR('',#441076,10.); #139569=VECTOR('',#441077,10.); #139570=VECTOR('',#441080,10.); #139571=VECTOR('',#441081,10.); #139572=VECTOR('',#441082,10.); #139573=VECTOR('',#441085,10.); #139574=VECTOR('',#441086,10.); #139575=VECTOR('',#441087,10.); #139576=VECTOR('',#441090,10.); #139577=VECTOR('',#441091,10.); #139578=VECTOR('',#441092,10.); #139579=VECTOR('',#441095,10.); #139580=VECTOR('',#441096,10.); #139581=VECTOR('',#441097,10.); #139582=VECTOR('',#441100,10.); #139583=VECTOR('',#441101,10.); #139584=VECTOR('',#441102,10.); #139585=VECTOR('',#441105,10.); #139586=VECTOR('',#441106,10.); #139587=VECTOR('',#441107,10.); #139588=VECTOR('',#441110,10.); #139589=VECTOR('',#441111,10.); #139590=VECTOR('',#441112,10.); #139591=VECTOR('',#441115,10.); #139592=VECTOR('',#441116,10.); #139593=VECTOR('',#441117,10.); #139594=VECTOR('',#441120,10.); #139595=VECTOR('',#441121,10.); #139596=VECTOR('',#441122,10.); #139597=VECTOR('',#441125,10.); #139598=VECTOR('',#441126,10.); #139599=VECTOR('',#441127,10.); #139600=VECTOR('',#441130,10.); #139601=VECTOR('',#441131,10.); #139602=VECTOR('',#441132,10.); #139603=VECTOR('',#441135,10.); #139604=VECTOR('',#441136,10.); #139605=VECTOR('',#441137,10.); #139606=VECTOR('',#441140,10.); #139607=VECTOR('',#441141,10.); #139608=VECTOR('',#441142,10.); #139609=VECTOR('',#441145,10.); #139610=VECTOR('',#441146,10.); #139611=VECTOR('',#441147,10.); #139612=VECTOR('',#441150,10.); #139613=VECTOR('',#441151,10.); #139614=VECTOR('',#441152,10.); #139615=VECTOR('',#441155,10.); #139616=VECTOR('',#441156,10.); #139617=VECTOR('',#441157,10.); #139618=VECTOR('',#441160,10.); #139619=VECTOR('',#441161,10.); #139620=VECTOR('',#441162,10.); #139621=VECTOR('',#441165,10.); #139622=VECTOR('',#441166,10.); #139623=VECTOR('',#441167,10.); #139624=VECTOR('',#441170,10.); #139625=VECTOR('',#441171,10.); #139626=VECTOR('',#441172,10.); #139627=VECTOR('',#441175,10.); #139628=VECTOR('',#441176,10.); #139629=VECTOR('',#441177,10.); #139630=VECTOR('',#441180,10.); #139631=VECTOR('',#441181,10.); #139632=VECTOR('',#441182,10.); #139633=VECTOR('',#441185,10.); #139634=VECTOR('',#441186,10.); #139635=VECTOR('',#441187,10.); #139636=VECTOR('',#441190,10.); #139637=VECTOR('',#441191,10.); #139638=VECTOR('',#441192,10.); #139639=VECTOR('',#441195,10.); #139640=VECTOR('',#441196,10.); #139641=VECTOR('',#441197,10.); #139642=VECTOR('',#441200,10.); #139643=VECTOR('',#441201,10.); #139644=VECTOR('',#441202,10.); #139645=VECTOR('',#441205,10.); #139646=VECTOR('',#441206,10.); #139647=VECTOR('',#441207,10.); #139648=VECTOR('',#441210,10.); #139649=VECTOR('',#441211,10.); #139650=VECTOR('',#441212,10.); #139651=VECTOR('',#441215,10.); #139652=VECTOR('',#441216,10.); #139653=VECTOR('',#441217,10.); #139654=VECTOR('',#441220,10.); #139655=VECTOR('',#441221,10.); #139656=VECTOR('',#441222,10.); #139657=VECTOR('',#441225,10.); #139658=VECTOR('',#441226,10.); #139659=VECTOR('',#441227,10.); #139660=VECTOR('',#441230,10.); #139661=VECTOR('',#441231,10.); #139662=VECTOR('',#441232,10.); #139663=VECTOR('',#441235,10.); #139664=VECTOR('',#441236,10.); #139665=VECTOR('',#441237,10.); #139666=VECTOR('',#441240,10.); #139667=VECTOR('',#441241,10.); #139668=VECTOR('',#441242,10.); #139669=VECTOR('',#441245,10.); #139670=VECTOR('',#441246,10.); #139671=VECTOR('',#441247,10.); #139672=VECTOR('',#441250,10.); #139673=VECTOR('',#441251,10.); #139674=VECTOR('',#441252,10.); #139675=VECTOR('',#441255,10.); #139676=VECTOR('',#441256,10.); #139677=VECTOR('',#441257,10.); #139678=VECTOR('',#441260,10.); #139679=VECTOR('',#441261,10.); #139680=VECTOR('',#441262,10.); #139681=VECTOR('',#441265,10.); #139682=VECTOR('',#441266,10.); #139683=VECTOR('',#441267,10.); #139684=VECTOR('',#441270,10.); #139685=VECTOR('',#441271,10.); #139686=VECTOR('',#441272,10.); #139687=VECTOR('',#441275,10.); #139688=VECTOR('',#441276,10.); #139689=VECTOR('',#441277,10.); #139690=VECTOR('',#441280,10.); #139691=VECTOR('',#441281,10.); #139692=VECTOR('',#441282,10.); #139693=VECTOR('',#441285,10.); #139694=VECTOR('',#441286,10.); #139695=VECTOR('',#441287,10.); #139696=VECTOR('',#441290,10.); #139697=VECTOR('',#441291,10.); #139698=VECTOR('',#441300,0.139999999999998); #139699=VECTOR('',#441307,0.139999999999998); #139700=VECTOR('',#441312,10.); #139701=VECTOR('',#441313,10.); #139702=VECTOR('',#441314,10.); #139703=VECTOR('',#441315,10.); #139704=VECTOR('',#441322,10.); #139705=VECTOR('',#441325,10.); #139706=VECTOR('',#441326,10.); #139707=VECTOR('',#441327,10.); #139708=VECTOR('',#441334,10.); #139709=VECTOR('',#441341,10.); #139710=VECTOR('',#441344,10.); #139711=VECTOR('',#441345,10.); #139712=VECTOR('',#441346,10.); #139713=VECTOR('',#441361,0.140000000000002); #139714=VECTOR('',#441368,0.140000000000002); #139715=VECTOR('',#441375,0.140000000000002); #139716=VECTOR('',#441382,0.140000000000002); #139717=VECTOR('',#441389,0.140000000000002); #139718=VECTOR('',#441396,0.140000000000002); #139719=VECTOR('',#441403,0.140000000000002); #139720=VECTOR('',#441410,0.140000000000002); #139721=VECTOR('',#441417,0.140000000000002); #139722=VECTOR('',#441424,0.465); #139723=VECTOR('',#441429,10.); #139724=VECTOR('',#441430,10.); #139725=VECTOR('',#441431,10.); #139726=VECTOR('',#441432,10.); #139727=VECTOR('',#441435,10.); #139728=VECTOR('',#441436,10.); #139729=VECTOR('',#441437,10.); #139730=VECTOR('',#441440,10.); #139731=VECTOR('',#441441,10.); #139732=VECTOR('',#441442,10.); #139733=VECTOR('',#441445,10.); #139734=VECTOR('',#441446,10.); #139735=VECTOR('',#441447,10.); #139736=VECTOR('',#441450,10.); #139737=VECTOR('',#441451,10.); #139738=VECTOR('',#441452,10.); #139739=VECTOR('',#441455,10.); #139740=VECTOR('',#441456,10.); #139741=VECTOR('',#441457,10.); #139742=VECTOR('',#441460,10.); #139743=VECTOR('',#441461,10.); #139744=VECTOR('',#441462,10.); #139745=VECTOR('',#441465,10.); #139746=VECTOR('',#441466,10.); #139747=VECTOR('',#441467,10.); #139748=VECTOR('',#441470,10.); #139749=VECTOR('',#441471,10.); #139750=VECTOR('',#441472,10.); #139751=VECTOR('',#441475,10.); #139752=VECTOR('',#441476,10.); #139753=VECTOR('',#441477,10.); #139754=VECTOR('',#441480,10.); #139755=VECTOR('',#441481,10.); #139756=VECTOR('',#441482,10.); #139757=VECTOR('',#441485,10.); #139758=VECTOR('',#441486,10.); #139759=VECTOR('',#441487,10.); #139760=VECTOR('',#441490,10.); #139761=VECTOR('',#441491,10.); #139762=VECTOR('',#441492,10.); #139763=VECTOR('',#441495,10.); #139764=VECTOR('',#441496,10.); #139765=VECTOR('',#441497,10.); #139766=VECTOR('',#441500,10.); #139767=VECTOR('',#441501,10.); #139768=VECTOR('',#441502,10.); #139769=VECTOR('',#441505,10.); #139770=VECTOR('',#441506,10.); #139771=VECTOR('',#441507,10.); #139772=VECTOR('',#441510,10.); #139773=VECTOR('',#441511,10.); #139774=VECTOR('',#441512,10.); #139775=VECTOR('',#441515,10.); #139776=VECTOR('',#441516,10.); #139777=VECTOR('',#441517,10.); #139778=VECTOR('',#441520,10.); #139779=VECTOR('',#441521,10.); #139780=VECTOR('',#441522,10.); #139781=VECTOR('',#441525,10.); #139782=VECTOR('',#441526,10.); #139783=VECTOR('',#441527,10.); #139784=VECTOR('',#441530,10.); #139785=VECTOR('',#441531,10.); #139786=VECTOR('',#441532,10.); #139787=VECTOR('',#441535,10.); #139788=VECTOR('',#441536,10.); #139789=VECTOR('',#441537,10.); #139790=VECTOR('',#441540,10.); #139791=VECTOR('',#441541,10.); #139792=VECTOR('',#441542,10.); #139793=VECTOR('',#441545,10.); #139794=VECTOR('',#441546,10.); #139795=VECTOR('',#441555,0.139999999999998); #139796=VECTOR('',#441560,10.); #139797=VECTOR('',#441561,10.); #139798=VECTOR('',#441562,10.); #139799=VECTOR('',#441563,10.); #139800=VECTOR('',#441570,10.); #139801=VECTOR('',#441585,0.140000000000002); #139802=VECTOR('',#441592,0.140000000000002); #139803=VECTOR('',#441599,0.140000000000002); #139804=VECTOR('',#441606,0.140000000000002); #139805=VECTOR('',#441613,0.140000000000002); #139806=VECTOR('',#441620,0.140000000000002); #139807=VECTOR('',#441627,0.140000000000002); #139808=VECTOR('',#441634,0.140000000000002); #139809=VECTOR('',#441641,0.140000000000002); #139810=VECTOR('',#441648,0.140000000000002); #139811=VECTOR('',#441655,0.140000000000002); #139812=VECTOR('',#441662,0.140000000000002); #139813=VECTOR('',#441669,0.140000000000002); #139814=VECTOR('',#441676,0.140000000000002); #139815=VECTOR('',#441683,0.140000000000002); #139816=VECTOR('',#441690,0.140000000000002); #139817=VECTOR('',#441697,0.140000000000002); #139818=VECTOR('',#441704,0.140000000000002); #139819=VECTOR('',#441711,0.140000000000002); #139820=VECTOR('',#441718,0.140000000000002); #139821=VECTOR('',#441725,0.140000000000002); #139822=VECTOR('',#441732,0.140000000000002); #139823=VECTOR('',#441739,0.140000000000002); #139824=VECTOR('',#441746,0.140000000000002); #139825=VECTOR('',#441753,0.140000000000002); #139826=VECTOR('',#441760,0.140000000000002); #139827=VECTOR('',#441767,0.140000000000002); #139828=VECTOR('',#441774,0.140000000000002); #139829=VECTOR('',#441781,0.140000000000002); #139830=VECTOR('',#441788,0.140000000000002); #139831=VECTOR('',#441793,10.); #139832=VECTOR('',#441794,10.); #139833=VECTOR('',#441795,10.); #139834=VECTOR('',#441796,10.); #139835=VECTOR('',#441799,10.); #139836=VECTOR('',#441800,10.); #139837=VECTOR('',#441801,10.); #139838=VECTOR('',#441804,10.); #139839=VECTOR('',#441805,10.); #139840=VECTOR('',#441806,10.); #139841=VECTOR('',#441809,10.); #139842=VECTOR('',#441810,10.); #139843=VECTOR('',#441811,10.); #139844=VECTOR('',#441814,10.); #139845=VECTOR('',#441815,10.); #139846=VECTOR('',#441816,10.); #139847=VECTOR('',#441819,10.); #139848=VECTOR('',#441820,10.); #139849=VECTOR('',#441821,10.); #139850=VECTOR('',#441824,10.); #139851=VECTOR('',#441825,10.); #139852=VECTOR('',#441826,10.); #139853=VECTOR('',#441829,10.); #139854=VECTOR('',#441830,10.); #139855=VECTOR('',#441831,10.); #139856=VECTOR('',#441834,10.); #139857=VECTOR('',#441835,10.); #139858=VECTOR('',#441836,10.); #139859=VECTOR('',#441839,10.); #139860=VECTOR('',#441840,10.); #139861=VECTOR('',#441841,10.); #139862=VECTOR('',#441844,10.); #139863=VECTOR('',#441845,10.); #139864=VECTOR('',#441846,10.); #139865=VECTOR('',#441849,10.); #139866=VECTOR('',#441850,10.); #139867=VECTOR('',#441851,10.); #139868=VECTOR('',#441854,10.); #139869=VECTOR('',#441855,10.); #139870=VECTOR('',#441856,10.); #139871=VECTOR('',#441859,10.); #139872=VECTOR('',#441860,10.); #139873=VECTOR('',#441861,10.); #139874=VECTOR('',#441864,10.); #139875=VECTOR('',#441865,10.); #139876=VECTOR('',#441866,10.); #139877=VECTOR('',#441869,10.); #139878=VECTOR('',#441870,10.); #139879=VECTOR('',#441871,10.); #139880=VECTOR('',#441874,10.); #139881=VECTOR('',#441875,10.); #139882=VECTOR('',#441876,10.); #139883=VECTOR('',#441879,10.); #139884=VECTOR('',#441880,10.); #139885=VECTOR('',#441881,10.); #139886=VECTOR('',#441884,10.); #139887=VECTOR('',#441885,10.); #139888=VECTOR('',#441886,10.); #139889=VECTOR('',#441889,10.); #139890=VECTOR('',#441890,10.); #139891=VECTOR('',#441891,10.); #139892=VECTOR('',#441894,10.); #139893=VECTOR('',#441895,10.); #139894=VECTOR('',#441896,10.); #139895=VECTOR('',#441899,10.); #139896=VECTOR('',#441900,10.); #139897=VECTOR('',#441901,10.); #139898=VECTOR('',#441904,10.); #139899=VECTOR('',#441905,10.); #139900=VECTOR('',#441906,10.); #139901=VECTOR('',#441909,10.); #139902=VECTOR('',#441910,10.); #139903=VECTOR('',#441911,10.); #139904=VECTOR('',#441914,10.); #139905=VECTOR('',#441915,10.); #139906=VECTOR('',#441916,10.); #139907=VECTOR('',#441919,10.); #139908=VECTOR('',#441920,10.); #139909=VECTOR('',#441921,10.); #139910=VECTOR('',#441924,10.); #139911=VECTOR('',#441925,10.); #139912=VECTOR('',#441926,10.); #139913=VECTOR('',#441929,10.); #139914=VECTOR('',#441930,10.); #139915=VECTOR('',#441931,10.); #139916=VECTOR('',#441934,10.); #139917=VECTOR('',#441935,10.); #139918=VECTOR('',#441936,10.); #139919=VECTOR('',#441939,10.); #139920=VECTOR('',#441940,10.); #139921=VECTOR('',#441941,10.); #139922=VECTOR('',#441944,10.); #139923=VECTOR('',#441945,10.); #139924=VECTOR('',#441946,10.); #139925=VECTOR('',#441949,10.); #139926=VECTOR('',#441950,10.); #139927=VECTOR('',#441951,10.); #139928=VECTOR('',#441954,10.); #139929=VECTOR('',#441955,10.); #139930=VECTOR('',#441956,10.); #139931=VECTOR('',#441959,10.); #139932=VECTOR('',#441960,10.); #139933=VECTOR('',#441961,10.); #139934=VECTOR('',#441964,10.); #139935=VECTOR('',#441965,10.); #139936=VECTOR('',#441966,10.); #139937=VECTOR('',#441969,10.); #139938=VECTOR('',#441970,10.); #139939=VECTOR('',#441971,10.); #139940=VECTOR('',#441974,10.); #139941=VECTOR('',#441975,10.); #139942=VECTOR('',#441976,10.); #139943=VECTOR('',#441979,10.); #139944=VECTOR('',#441980,10.); #139945=VECTOR('',#441981,10.); #139946=VECTOR('',#441984,10.); #139947=VECTOR('',#441985,10.); #139948=VECTOR('',#441986,10.); #139949=VECTOR('',#441989,10.); #139950=VECTOR('',#441990,10.); #139951=VECTOR('',#441991,10.); #139952=VECTOR('',#441994,10.); #139953=VECTOR('',#441995,10.); #139954=VECTOR('',#441996,10.); #139955=VECTOR('',#441999,10.); #139956=VECTOR('',#442000,10.); #139957=VECTOR('',#442001,10.); #139958=VECTOR('',#442004,10.); #139959=VECTOR('',#442005,10.); #139960=VECTOR('',#442006,10.); #139961=VECTOR('',#442009,10.); #139962=VECTOR('',#442010,10.); #139963=VECTOR('',#442011,10.); #139964=VECTOR('',#442014,10.); #139965=VECTOR('',#442015,10.); #139966=VECTOR('',#442024,0.140000000000002); #139967=VECTOR('',#442031,0.140000000000002); #139968=VECTOR('',#442036,10.); #139969=VECTOR('',#442037,10.); #139970=VECTOR('',#442038,10.); #139971=VECTOR('',#442039,10.); #139972=VECTOR('',#442046,10.); #139973=VECTOR('',#442049,10.); #139974=VECTOR('',#442050,10.); #139975=VECTOR('',#442051,10.); #139976=VECTOR('',#442058,10.); #139977=VECTOR('',#442065,10.); #139978=VECTOR('',#442068,10.); #139979=VECTOR('',#442069,10.); #139980=VECTOR('',#442070,10.); #139981=VECTOR('',#442085,0.139999999999998); #139982=VECTOR('',#442090,10.); #139983=VECTOR('',#442091,10.); #139984=VECTOR('',#442092,10.); #139985=VECTOR('',#442093,10.); #139986=VECTOR('',#442100,10.); #139987=VECTOR('',#442115,0.139999999999998); #139988=VECTOR('',#442122,0.139999999999998); #139989=VECTOR('',#442129,0.139999999999998); #139990=VECTOR('',#442136,0.114999999999998); #139991=VECTOR('',#442143,0.114999999999998); #139992=VECTOR('',#442150,0.114999999999998); #139993=VECTOR('',#442157,0.114999999999998); #139994=VECTOR('',#442164,0.139999999999998); #139995=VECTOR('',#442171,0.139999999999998); #139996=VECTOR('',#442178,0.139999999999998); #139997=VECTOR('',#442185,0.139999999999998); #139998=VECTOR('',#442192,0.139999999999998); #139999=VECTOR('',#442199,0.139999999999998); #140000=VECTOR('',#442206,0.139999999999998); #140001=VECTOR('',#442213,0.139999999999998); #140002=VECTOR('',#442218,10.); #140003=VECTOR('',#442219,10.); #140004=VECTOR('',#442220,10.); #140005=VECTOR('',#442221,10.); #140006=VECTOR('',#442224,10.); #140007=VECTOR('',#442225,10.); #140008=VECTOR('',#442226,10.); #140009=VECTOR('',#442229,10.); #140010=VECTOR('',#442230,10.); #140011=VECTOR('',#442231,10.); #140012=VECTOR('',#442234,10.); #140013=VECTOR('',#442235,10.); #140014=VECTOR('',#442236,10.); #140015=VECTOR('',#442239,10.); #140016=VECTOR('',#442240,10.); #140017=VECTOR('',#442241,10.); #140018=VECTOR('',#442244,10.); #140019=VECTOR('',#442245,10.); #140020=VECTOR('',#442246,10.); #140021=VECTOR('',#442249,10.); #140022=VECTOR('',#442250,10.); #140023=VECTOR('',#442251,10.); #140024=VECTOR('',#442254,10.); #140025=VECTOR('',#442255,10.); #140026=VECTOR('',#442256,10.); #140027=VECTOR('',#442259,10.); #140028=VECTOR('',#442260,10.); #140029=VECTOR('',#442261,10.); #140030=VECTOR('',#442264,10.); #140031=VECTOR('',#442265,10.); #140032=VECTOR('',#442266,10.); #140033=VECTOR('',#442269,10.); #140034=VECTOR('',#442270,10.); #140035=VECTOR('',#442271,10.); #140036=VECTOR('',#442274,10.); #140037=VECTOR('',#442275,10.); #140038=VECTOR('',#442276,10.); #140039=VECTOR('',#442279,10.); #140040=VECTOR('',#442280,10.); #140041=VECTOR('',#442281,10.); #140042=VECTOR('',#442284,10.); #140043=VECTOR('',#442285,10.); #140044=VECTOR('',#442286,10.); #140045=VECTOR('',#442289,10.); #140046=VECTOR('',#442290,10.); #140047=VECTOR('',#442291,10.); #140048=VECTOR('',#442294,10.); #140049=VECTOR('',#442295,10.); #140050=VECTOR('',#442296,10.); #140051=VECTOR('',#442299,10.); #140052=VECTOR('',#442300,10.); #140053=VECTOR('',#442301,10.); #140054=VECTOR('',#442304,10.); #140055=VECTOR('',#442305,10.); #140056=VECTOR('',#442306,10.); #140057=VECTOR('',#442309,10.); #140058=VECTOR('',#442310,10.); #140059=VECTOR('',#442311,10.); #140060=VECTOR('',#442314,10.); #140061=VECTOR('',#442315,10.); #140062=VECTOR('',#442316,10.); #140063=VECTOR('',#442319,10.); #140064=VECTOR('',#442320,10.); #140065=VECTOR('',#442321,10.); #140066=VECTOR('',#442324,10.); #140067=VECTOR('',#442325,10.); #140068=VECTOR('',#442326,10.); #140069=VECTOR('',#442329,10.); #140070=VECTOR('',#442330,10.); #140071=VECTOR('',#442331,10.); #140072=VECTOR('',#442334,10.); #140073=VECTOR('',#442335,10.); #140074=VECTOR('',#442336,10.); #140075=VECTOR('',#442339,10.); #140076=VECTOR('',#442340,10.); #140077=VECTOR('',#442341,10.); #140078=VECTOR('',#442344,10.); #140079=VECTOR('',#442345,10.); #140080=VECTOR('',#442346,10.); #140081=VECTOR('',#442349,10.); #140082=VECTOR('',#442350,10.); #140083=VECTOR('',#442351,10.); #140084=VECTOR('',#442354,10.); #140085=VECTOR('',#442355,10.); #140086=VECTOR('',#442356,10.); #140087=VECTOR('',#442359,10.); #140088=VECTOR('',#442360,10.); #140089=VECTOR('',#442361,10.); #140090=VECTOR('',#442364,10.); #140091=VECTOR('',#442365,10.); #140092=VECTOR('',#442366,10.); #140093=VECTOR('',#442369,10.); #140094=VECTOR('',#442370,10.); #140095=VECTOR('',#442371,10.); #140096=VECTOR('',#442374,10.); #140097=VECTOR('',#442375,10.); #140098=VECTOR('',#442376,10.); #140099=VECTOR('',#442379,10.); #140100=VECTOR('',#442380,10.); #140101=VECTOR('',#442381,10.); #140102=VECTOR('',#442384,10.); #140103=VECTOR('',#442385,10.); #140104=VECTOR('',#442386,10.); #140105=VECTOR('',#442389,10.); #140106=VECTOR('',#442390,10.); #140107=VECTOR('',#442391,10.); #140108=VECTOR('',#442394,10.); #140109=VECTOR('',#442395,10.); #140110=VECTOR('',#442396,10.); #140111=VECTOR('',#442399,10.); #140112=VECTOR('',#442400,10.); #140113=VECTOR('',#442401,10.); #140114=VECTOR('',#442404,10.); #140115=VECTOR('',#442405,10.); #140116=VECTOR('',#442406,10.); #140117=VECTOR('',#442409,10.); #140118=VECTOR('',#442410,10.); #140119=VECTOR('',#442411,10.); #140120=VECTOR('',#442414,10.); #140121=VECTOR('',#442415,10.); #140122=VECTOR('',#442416,10.); #140123=VECTOR('',#442419,10.); #140124=VECTOR('',#442420,10.); #140125=VECTOR('',#442421,10.); #140126=VECTOR('',#442424,10.); #140127=VECTOR('',#442425,10.); #140128=VECTOR('',#442426,10.); #140129=VECTOR('',#442429,10.); #140130=VECTOR('',#442430,10.); #140131=VECTOR('',#442431,10.); #140132=VECTOR('',#442434,10.); #140133=VECTOR('',#442435,10.); #140134=VECTOR('',#442436,10.); #140135=VECTOR('',#442439,10.); #140136=VECTOR('',#442440,10.); #140137=VECTOR('',#442441,10.); #140138=VECTOR('',#442444,10.); #140139=VECTOR('',#442445,10.); #140140=VECTOR('',#442446,10.); #140141=VECTOR('',#442449,10.); #140142=VECTOR('',#442450,10.); #140143=VECTOR('',#442451,10.); #140144=VECTOR('',#442454,10.); #140145=VECTOR('',#442455,10.); #140146=VECTOR('',#442456,10.); #140147=VECTOR('',#442459,10.); #140148=VECTOR('',#442460,10.); #140149=VECTOR('',#442461,10.); #140150=VECTOR('',#442464,10.); #140151=VECTOR('',#442465,10.); #140152=VECTOR('',#442466,10.); #140153=VECTOR('',#442469,10.); #140154=VECTOR('',#442470,10.); #140155=VECTOR('',#442471,10.); #140156=VECTOR('',#442474,10.); #140157=VECTOR('',#442475,10.); #140158=VECTOR('',#442476,10.); #140159=VECTOR('',#442479,10.); #140160=VECTOR('',#442480,10.); #140161=VECTOR('',#442481,10.); #140162=VECTOR('',#442484,10.); #140163=VECTOR('',#442485,10.); #140164=VECTOR('',#442486,10.); #140165=VECTOR('',#442489,10.); #140166=VECTOR('',#442490,10.); #140167=VECTOR('',#442491,10.); #140168=VECTOR('',#442494,10.); #140169=VECTOR('',#442495,10.); #140170=VECTOR('',#442496,10.); #140171=VECTOR('',#442499,10.); #140172=VECTOR('',#442500,10.); #140173=VECTOR('',#442501,10.); #140174=VECTOR('',#442504,10.); #140175=VECTOR('',#442505,10.); #140176=VECTOR('',#442506,10.); #140177=VECTOR('',#442509,10.); #140178=VECTOR('',#442510,10.); #140179=VECTOR('',#442511,10.); #140180=VECTOR('',#442514,10.); #140181=VECTOR('',#442515,10.); #140182=VECTOR('',#442516,10.); #140183=VECTOR('',#442519,10.); #140184=VECTOR('',#442520,10.); #140185=VECTOR('',#442521,10.); #140186=VECTOR('',#442524,10.); #140187=VECTOR('',#442525,10.); #140188=VECTOR('',#442526,10.); #140189=VECTOR('',#442529,10.); #140190=VECTOR('',#442530,10.); #140191=VECTOR('',#442531,10.); #140192=VECTOR('',#442534,10.); #140193=VECTOR('',#442535,10.); #140194=VECTOR('',#442536,10.); #140195=VECTOR('',#442539,10.); #140196=VECTOR('',#442540,10.); #140197=VECTOR('',#442541,10.); #140198=VECTOR('',#442544,10.); #140199=VECTOR('',#442545,10.); #140200=VECTOR('',#442546,10.); #140201=VECTOR('',#442549,10.); #140202=VECTOR('',#442550,10.); #140203=VECTOR('',#442551,10.); #140204=VECTOR('',#442554,10.); #140205=VECTOR('',#442555,10.); #140206=VECTOR('',#442556,10.); #140207=VECTOR('',#442559,10.); #140208=VECTOR('',#442560,10.); #140209=VECTOR('',#442561,10.); #140210=VECTOR('',#442564,10.); #140211=VECTOR('',#442565,10.); #140212=VECTOR('',#442566,10.); #140213=VECTOR('',#442569,10.); #140214=VECTOR('',#442570,10.); #140215=VECTOR('',#442571,10.); #140216=VECTOR('',#442574,10.); #140217=VECTOR('',#442575,10.); #140218=VECTOR('',#442578,10.); #140219=VECTOR('',#442579,10.); #140220=VECTOR('',#442580,10.); #140221=VECTOR('',#442581,10.); #140222=VECTOR('',#442584,10.); #140223=VECTOR('',#442585,10.); #140224=VECTOR('',#442586,10.); #140225=VECTOR('',#442589,10.); #140226=VECTOR('',#442590,10.); #140227=VECTOR('',#442591,10.); #140228=VECTOR('',#442594,10.); #140229=VECTOR('',#442595,10.); #140230=VECTOR('',#442596,10.); #140231=VECTOR('',#442599,10.); #140232=VECTOR('',#442600,10.); #140233=VECTOR('',#442601,10.); #140234=VECTOR('',#442604,10.); #140235=VECTOR('',#442605,10.); #140236=VECTOR('',#442606,10.); #140237=VECTOR('',#442609,10.); #140238=VECTOR('',#442610,10.); #140239=VECTOR('',#442611,10.); #140240=VECTOR('',#442614,10.); #140241=VECTOR('',#442615,10.); #140242=VECTOR('',#442616,10.); #140243=VECTOR('',#442619,10.); #140244=VECTOR('',#442620,10.); #140245=VECTOR('',#442621,10.); #140246=VECTOR('',#442624,10.); #140247=VECTOR('',#442625,10.); #140248=VECTOR('',#442626,10.); #140249=VECTOR('',#442629,10.); #140250=VECTOR('',#442630,10.); #140251=VECTOR('',#442631,10.); #140252=VECTOR('',#442634,10.); #140253=VECTOR('',#442635,10.); #140254=VECTOR('',#442636,10.); #140255=VECTOR('',#442639,10.); #140256=VECTOR('',#442640,10.); #140257=VECTOR('',#442641,10.); #140258=VECTOR('',#442644,10.); #140259=VECTOR('',#442645,10.); #140260=VECTOR('',#442646,10.); #140261=VECTOR('',#442649,10.); #140262=VECTOR('',#442650,10.); #140263=VECTOR('',#442651,10.); #140264=VECTOR('',#442654,10.); #140265=VECTOR('',#442655,10.); #140266=VECTOR('',#442656,10.); #140267=VECTOR('',#442659,10.); #140268=VECTOR('',#442660,10.); #140269=VECTOR('',#442661,10.); #140270=VECTOR('',#442664,10.); #140271=VECTOR('',#442665,10.); #140272=VECTOR('',#442666,10.); #140273=VECTOR('',#442669,10.); #140274=VECTOR('',#442670,10.); #140275=VECTOR('',#442671,10.); #140276=VECTOR('',#442674,10.); #140277=VECTOR('',#442675,10.); #140278=VECTOR('',#442676,10.); #140279=VECTOR('',#442679,10.); #140280=VECTOR('',#442680,10.); #140281=VECTOR('',#442681,10.); #140282=VECTOR('',#442684,10.); #140283=VECTOR('',#442685,10.); #140284=VECTOR('',#442686,10.); #140285=VECTOR('',#442689,10.); #140286=VECTOR('',#442690,10.); #140287=VECTOR('',#442691,10.); #140288=VECTOR('',#442694,10.); #140289=VECTOR('',#442695,10.); #140290=VECTOR('',#442696,10.); #140291=VECTOR('',#442699,10.); #140292=VECTOR('',#442700,10.); #140293=VECTOR('',#442701,10.); #140294=VECTOR('',#442704,10.); #140295=VECTOR('',#442705,10.); #140296=VECTOR('',#442706,10.); #140297=VECTOR('',#442709,10.); #140298=VECTOR('',#442710,10.); #140299=VECTOR('',#442711,10.); #140300=VECTOR('',#442714,10.); #140301=VECTOR('',#442715,10.); #140302=VECTOR('',#442716,10.); #140303=VECTOR('',#442719,10.); #140304=VECTOR('',#442720,10.); #140305=VECTOR('',#442721,10.); #140306=VECTOR('',#442724,10.); #140307=VECTOR('',#442725,10.); #140308=VECTOR('',#442726,10.); #140309=VECTOR('',#442729,10.); #140310=VECTOR('',#442730,10.); #140311=VECTOR('',#442731,10.); #140312=VECTOR('',#442734,10.); #140313=VECTOR('',#442735,10.); #140314=VECTOR('',#442736,10.); #140315=VECTOR('',#442739,10.); #140316=VECTOR('',#442740,10.); #140317=VECTOR('',#442741,10.); #140318=VECTOR('',#442744,10.); #140319=VECTOR('',#442745,10.); #140320=VECTOR('',#442746,10.); #140321=VECTOR('',#442749,10.); #140322=VECTOR('',#442750,10.); #140323=VECTOR('',#442751,10.); #140324=VECTOR('',#442754,10.); #140325=VECTOR('',#442755,10.); #140326=VECTOR('',#442756,10.); #140327=VECTOR('',#442759,10.); #140328=VECTOR('',#442760,10.); #140329=VECTOR('',#442761,10.); #140330=VECTOR('',#442764,10.); #140331=VECTOR('',#442765,10.); #140332=VECTOR('',#442766,10.); #140333=VECTOR('',#442769,10.); #140334=VECTOR('',#442770,10.); #140335=VECTOR('',#442771,10.); #140336=VECTOR('',#442774,10.); #140337=VECTOR('',#442775,10.); #140338=VECTOR('',#442776,10.); #140339=VECTOR('',#442779,10.); #140340=VECTOR('',#442780,10.); #140341=VECTOR('',#442781,10.); #140342=VECTOR('',#442784,10.); #140343=VECTOR('',#442785,10.); #140344=VECTOR('',#442786,10.); #140345=VECTOR('',#442789,10.); #140346=VECTOR('',#442790,10.); #140347=VECTOR('',#442791,10.); #140348=VECTOR('',#442794,10.); #140349=VECTOR('',#442795,10.); #140350=VECTOR('',#442796,10.); #140351=VECTOR('',#442799,10.); #140352=VECTOR('',#442800,10.); #140353=VECTOR('',#442801,10.); #140354=VECTOR('',#442804,10.); #140355=VECTOR('',#442805,10.); #140356=VECTOR('',#442806,10.); #140357=VECTOR('',#442809,10.); #140358=VECTOR('',#442810,10.); #140359=VECTOR('',#442811,10.); #140360=VECTOR('',#442814,10.); #140361=VECTOR('',#442815,10.); #140362=VECTOR('',#442816,10.); #140363=VECTOR('',#442819,10.); #140364=VECTOR('',#442820,10.); #140365=VECTOR('',#442821,10.); #140366=VECTOR('',#442824,10.); #140367=VECTOR('',#442825,10.); #140368=VECTOR('',#442826,10.); #140369=VECTOR('',#442829,10.); #140370=VECTOR('',#442830,10.); #140371=VECTOR('',#442831,10.); #140372=VECTOR('',#442834,10.); #140373=VECTOR('',#442835,10.); #140374=VECTOR('',#442836,10.); #140375=VECTOR('',#442839,10.); #140376=VECTOR('',#442840,10.); #140377=VECTOR('',#442841,10.); #140378=VECTOR('',#442844,10.); #140379=VECTOR('',#442845,10.); #140380=VECTOR('',#442846,10.); #140381=VECTOR('',#442849,10.); #140382=VECTOR('',#442850,10.); #140383=VECTOR('',#442851,10.); #140384=VECTOR('',#442854,10.); #140385=VECTOR('',#442855,10.); #140386=VECTOR('',#442856,10.); #140387=VECTOR('',#442859,10.); #140388=VECTOR('',#442860,10.); #140389=VECTOR('',#442861,10.); #140390=VECTOR('',#442864,10.); #140391=VECTOR('',#442865,10.); #140392=VECTOR('',#442866,10.); #140393=VECTOR('',#442869,10.); #140394=VECTOR('',#442870,10.); #140395=VECTOR('',#442871,10.); #140396=VECTOR('',#442874,10.); #140397=VECTOR('',#442875,10.); #140398=VECTOR('',#442876,10.); #140399=VECTOR('',#442879,10.); #140400=VECTOR('',#442880,10.); #140401=VECTOR('',#442881,10.); #140402=VECTOR('',#442884,10.); #140403=VECTOR('',#442885,10.); #140404=VECTOR('',#442886,10.); #140405=VECTOR('',#442889,10.); #140406=VECTOR('',#442890,10.); #140407=VECTOR('',#442891,10.); #140408=VECTOR('',#442894,10.); #140409=VECTOR('',#442895,10.); #140410=VECTOR('',#442896,10.); #140411=VECTOR('',#442899,10.); #140412=VECTOR('',#442900,10.); #140413=VECTOR('',#442901,10.); #140414=VECTOR('',#442904,10.); #140415=VECTOR('',#442905,10.); #140416=VECTOR('',#442906,10.); #140417=VECTOR('',#442909,10.); #140418=VECTOR('',#442910,10.); #140419=VECTOR('',#442911,10.); #140420=VECTOR('',#442914,10.); #140421=VECTOR('',#442915,10.); #140422=VECTOR('',#442916,10.); #140423=VECTOR('',#442919,10.); #140424=VECTOR('',#442920,10.); #140425=VECTOR('',#442921,10.); #140426=VECTOR('',#442924,10.); #140427=VECTOR('',#442925,10.); #140428=VECTOR('',#442926,10.); #140429=VECTOR('',#442929,10.); #140430=VECTOR('',#442930,10.); #140431=VECTOR('',#442931,10.); #140432=VECTOR('',#442934,10.); #140433=VECTOR('',#442935,10.); #140434=VECTOR('',#442936,10.); #140435=VECTOR('',#442939,10.); #140436=VECTOR('',#442940,10.); #140437=VECTOR('',#442941,10.); #140438=VECTOR('',#442944,10.); #140439=VECTOR('',#442945,10.); #140440=VECTOR('',#442946,10.); #140441=VECTOR('',#442949,10.); #140442=VECTOR('',#442950,10.); #140443=VECTOR('',#442951,10.); #140444=VECTOR('',#442954,10.); #140445=VECTOR('',#442955,10.); #140446=VECTOR('',#442956,10.); #140447=VECTOR('',#442959,10.); #140448=VECTOR('',#442960,10.); #140449=VECTOR('',#442961,10.); #140450=VECTOR('',#442964,10.); #140451=VECTOR('',#442965,10.); #140452=VECTOR('',#442966,10.); #140453=VECTOR('',#442969,10.); #140454=VECTOR('',#442970,10.); #140455=VECTOR('',#442971,10.); #140456=VECTOR('',#442974,10.); #140457=VECTOR('',#442975,10.); #140458=VECTOR('',#442976,10.); #140459=VECTOR('',#442979,10.); #140460=VECTOR('',#442980,10.); #140461=VECTOR('',#442981,10.); #140462=VECTOR('',#442984,10.); #140463=VECTOR('',#442985,10.); #140464=VECTOR('',#442986,10.); #140465=VECTOR('',#442989,10.); #140466=VECTOR('',#442990,10.); #140467=VECTOR('',#442991,10.); #140468=VECTOR('',#442994,10.); #140469=VECTOR('',#442995,10.); #140470=VECTOR('',#442996,10.); #140471=VECTOR('',#442999,10.); #140472=VECTOR('',#443000,10.); #140473=VECTOR('',#443001,10.); #140474=VECTOR('',#443004,10.); #140475=VECTOR('',#443005,10.); #140476=VECTOR('',#443006,10.); #140477=VECTOR('',#443009,10.); #140478=VECTOR('',#443010,10.); #140479=VECTOR('',#443011,10.); #140480=VECTOR('',#443014,10.); #140481=VECTOR('',#443015,10.); #140482=VECTOR('',#443016,10.); #140483=VECTOR('',#443019,10.); #140484=VECTOR('',#443020,10.); #140485=VECTOR('',#443021,10.); #140486=VECTOR('',#443024,10.); #140487=VECTOR('',#443025,10.); #140488=VECTOR('',#443026,10.); #140489=VECTOR('',#443029,10.); #140490=VECTOR('',#443030,10.); #140491=VECTOR('',#443031,10.); #140492=VECTOR('',#443034,10.); #140493=VECTOR('',#443035,10.); #140494=VECTOR('',#443036,10.); #140495=VECTOR('',#443039,10.); #140496=VECTOR('',#443040,10.); #140497=VECTOR('',#443041,10.); #140498=VECTOR('',#443044,10.); #140499=VECTOR('',#443045,10.); #140500=VECTOR('',#443046,10.); #140501=VECTOR('',#443049,10.); #140502=VECTOR('',#443050,10.); #140503=VECTOR('',#443059,0.564999999999998); #140504=VECTOR('',#443064,10.); #140505=VECTOR('',#443065,10.); #140506=VECTOR('',#443066,10.); #140507=VECTOR('',#443067,10.); #140508=VECTOR('',#443074,10.); #140509=VECTOR('',#443089,0.565000000000002); #140510=VECTOR('',#443094,10.); #140511=VECTOR('',#443095,10.); #140512=VECTOR('',#443096,10.); #140513=VECTOR('',#443097,10.); #140514=VECTOR('',#443104,10.); #140515=VECTOR('',#443119,0.465); #140516=VECTOR('',#443124,10.); #140517=VECTOR('',#443125,10.); #140518=VECTOR('',#443126,10.); #140519=VECTOR('',#443127,10.); #140520=VECTOR('',#443134,10.); #140521=VECTOR('',#443149,0.514999999999999); #140522=VECTOR('',#443154,10.); #140523=VECTOR('',#443155,10.); #140524=VECTOR('',#443156,10.); #140525=VECTOR('',#443157,10.); #140526=VECTOR('',#443164,10.); #140527=VECTOR('',#443179,0.514999999999999); #140528=VECTOR('',#443184,10.); #140529=VECTOR('',#443185,10.); #140530=VECTOR('',#443186,10.); #140531=VECTOR('',#443187,10.); #140532=VECTOR('',#443190,10.); #140533=VECTOR('',#443191,10.); #140534=VECTOR('',#443192,10.); #140535=VECTOR('',#443195,10.); #140536=VECTOR('',#443196,10.); #140537=VECTOR('',#443197,10.); #140538=VECTOR('',#443200,10.); #140539=VECTOR('',#443201,10.); #140540=VECTOR('',#443210,0.465); #140541=VECTOR('',#443215,10.); #140542=VECTOR('',#443216,10.); #140543=VECTOR('',#443217,10.); #140544=VECTOR('',#443218,10.); #140545=VECTOR('',#443225,10.); #140546=VECTOR('',#443240,0.465); #140547=VECTOR('',#443245,10.); #140548=VECTOR('',#443246,10.); #140549=VECTOR('',#443247,10.); #140550=VECTOR('',#443248,10.); #140551=VECTOR('',#443255,10.); #140552=VECTOR('',#443270,0.564999999999998); #140553=VECTOR('',#443275,10.); #140554=VECTOR('',#443276,10.); #140555=VECTOR('',#443277,10.); #140556=VECTOR('',#443278,10.); #140557=VECTOR('',#443285,10.); #140558=VECTOR('',#443300,0.465); #140559=VECTOR('',#443305,10.); #140560=VECTOR('',#443306,10.); #140561=VECTOR('',#443307,10.); #140562=VECTOR('',#443308,10.); #140563=VECTOR('',#443315,10.); #140564=VECTOR('',#443328,10.); #140565=VECTOR('',#443329,10.); #140566=VECTOR('',#443330,10.); #140567=VECTOR('',#443331,10.); #140568=VECTOR('',#443338,10.); #140569=VECTOR('',#443341,10.); #140570=VECTOR('',#443342,10.); #140571=VECTOR('',#443343,10.); #140572=VECTOR('',#443352,10.); #140573=VECTOR('',#443353,10.); #140574=VECTOR('',#443354,10.); #140575=VECTOR('',#443355,10.); #140576=VECTOR('',#443358,10.); #140577=VECTOR('',#443359,10.); #140578=VECTOR('',#443360,10.); #140579=VECTOR('',#443363,10.); #140580=VECTOR('',#443364,10.); #140581=VECTOR('',#443365,10.); #140582=VECTOR('',#443368,10.); #140583=VECTOR('',#443369,10.); #140584=VECTOR('',#443370,10.); #140585=VECTOR('',#443373,10.); #140586=VECTOR('',#443374,10.); #140587=VECTOR('',#443375,10.); #140588=VECTOR('',#443378,10.); #140589=VECTOR('',#443379,10.); #140590=VECTOR('',#443380,10.); #140591=VECTOR('',#443383,10.); #140592=VECTOR('',#443384,10.); #140593=VECTOR('',#443385,10.); #140594=VECTOR('',#443388,10.); #140595=VECTOR('',#443389,10.); #140596=VECTOR('',#443390,10.); #140597=VECTOR('',#443393,10.); #140598=VECTOR('',#443394,10.); #140599=VECTOR('',#443395,10.); #140600=VECTOR('',#443398,10.); #140601=VECTOR('',#443399,10.); #140602=VECTOR('',#443400,10.); #140603=VECTOR('',#443403,10.); #140604=VECTOR('',#443404,10.); #140605=VECTOR('',#443405,10.); #140606=VECTOR('',#443408,10.); #140607=VECTOR('',#443409,10.); #140608=VECTOR('',#443410,10.); #140609=VECTOR('',#443413,10.); #140610=VECTOR('',#443414,10.); #140611=VECTOR('',#443415,10.); #140612=VECTOR('',#443418,10.); #140613=VECTOR('',#443419,10.); #140614=VECTOR('',#443420,10.); #140615=VECTOR('',#443423,10.); #140616=VECTOR('',#443424,10.); #140617=VECTOR('',#443425,10.); #140618=VECTOR('',#443428,10.); #140619=VECTOR('',#443429,10.); #140620=VECTOR('',#443430,10.); #140621=VECTOR('',#443433,10.); #140622=VECTOR('',#443434,10.); #140623=VECTOR('',#443435,10.); #140624=VECTOR('',#443438,10.); #140625=VECTOR('',#443439,10.); #140626=VECTOR('',#443440,10.); #140627=VECTOR('',#443443,10.); #140628=VECTOR('',#443444,10.); #140629=VECTOR('',#443445,10.); #140630=VECTOR('',#443448,10.); #140631=VECTOR('',#443449,10.); #140632=VECTOR('',#443450,10.); #140633=VECTOR('',#443453,10.); #140634=VECTOR('',#443454,10.); #140635=VECTOR('',#443455,10.); #140636=VECTOR('',#443458,10.); #140637=VECTOR('',#443459,10.); #140638=VECTOR('',#443460,10.); #140639=VECTOR('',#443463,10.); #140640=VECTOR('',#443464,10.); #140641=VECTOR('',#443465,10.); #140642=VECTOR('',#443468,10.); #140643=VECTOR('',#443469,10.); #140644=VECTOR('',#443470,10.); #140645=VECTOR('',#443473,10.); #140646=VECTOR('',#443474,10.); #140647=VECTOR('',#443475,10.); #140648=VECTOR('',#443478,10.); #140649=VECTOR('',#443479,10.); #140650=VECTOR('',#443480,10.); #140651=VECTOR('',#443483,10.); #140652=VECTOR('',#443484,10.); #140653=VECTOR('',#443485,10.); #140654=VECTOR('',#443488,10.); #140655=VECTOR('',#443489,10.); #140656=VECTOR('',#443490,10.); #140657=VECTOR('',#443493,10.); #140658=VECTOR('',#443494,10.); #140659=VECTOR('',#443495,10.); #140660=VECTOR('',#443498,10.); #140661=VECTOR('',#443499,10.); #140662=VECTOR('',#443500,10.); #140663=VECTOR('',#443503,10.); #140664=VECTOR('',#443504,10.); #140665=VECTOR('',#443505,10.); #140666=VECTOR('',#443508,10.); #140667=VECTOR('',#443509,10.); #140668=VECTOR('',#443510,10.); #140669=VECTOR('',#443513,10.); #140670=VECTOR('',#443514,10.); #140671=VECTOR('',#443515,10.); #140672=VECTOR('',#443518,10.); #140673=VECTOR('',#443519,10.); #140674=VECTOR('',#443520,10.); #140675=VECTOR('',#443523,10.); #140676=VECTOR('',#443524,10.); #140677=VECTOR('',#443525,10.); #140678=VECTOR('',#443528,10.); #140679=VECTOR('',#443529,10.); #140680=VECTOR('',#443530,10.); #140681=VECTOR('',#443533,10.); #140682=VECTOR('',#443534,10.); #140683=VECTOR('',#443535,10.); #140684=VECTOR('',#443538,10.); #140685=VECTOR('',#443539,10.); #140686=VECTOR('',#443540,10.); #140687=VECTOR('',#443543,10.); #140688=VECTOR('',#443544,10.); #140689=VECTOR('',#443545,10.); #140690=VECTOR('',#443548,10.); #140691=VECTOR('',#443549,10.); #140692=VECTOR('',#443550,10.); #140693=VECTOR('',#443553,10.); #140694=VECTOR('',#443554,10.); #140695=VECTOR('',#443555,10.); #140696=VECTOR('',#443558,10.); #140697=VECTOR('',#443559,10.); #140698=VECTOR('',#443560,10.); #140699=VECTOR('',#443563,10.); #140700=VECTOR('',#443564,10.); #140701=VECTOR('',#443565,10.); #140702=VECTOR('',#443568,10.); #140703=VECTOR('',#443569,10.); #140704=VECTOR('',#443570,10.); #140705=VECTOR('',#443573,10.); #140706=VECTOR('',#443574,10.); #140707=VECTOR('',#443575,10.); #140708=VECTOR('',#443578,10.); #140709=VECTOR('',#443579,10.); #140710=VECTOR('',#443580,10.); #140711=VECTOR('',#443583,10.); #140712=VECTOR('',#443584,10.); #140713=VECTOR('',#443585,10.); #140714=VECTOR('',#443588,10.); #140715=VECTOR('',#443589,10.); #140716=VECTOR('',#443590,10.); #140717=VECTOR('',#443593,10.); #140718=VECTOR('',#443594,10.); #140719=VECTOR('',#443595,10.); #140720=VECTOR('',#443598,10.); #140721=VECTOR('',#443599,10.); #140722=VECTOR('',#443600,10.); #140723=VECTOR('',#443603,10.); #140724=VECTOR('',#443604,10.); #140725=VECTOR('',#443605,10.); #140726=VECTOR('',#443608,10.); #140727=VECTOR('',#443609,10.); #140728=VECTOR('',#443610,10.); #140729=VECTOR('',#443613,10.); #140730=VECTOR('',#443614,10.); #140731=VECTOR('',#443615,10.); #140732=VECTOR('',#443618,10.); #140733=VECTOR('',#443619,10.); #140734=VECTOR('',#443620,10.); #140735=VECTOR('',#443623,10.); #140736=VECTOR('',#443624,10.); #140737=VECTOR('',#443625,10.); #140738=VECTOR('',#443628,10.); #140739=VECTOR('',#443629,10.); #140740=VECTOR('',#443636,10.); #140741=VECTOR('',#443637,10.); #140742=VECTOR('',#443638,10.); #140743=VECTOR('',#443639,10.); #140744=VECTOR('',#443646,10.); #140745=VECTOR('',#443649,10.); #140746=VECTOR('',#443650,10.); #140747=VECTOR('',#443651,10.); #140748=VECTOR('',#443660,10.); #140749=VECTOR('',#443661,10.); #140750=VECTOR('',#443662,10.); #140751=VECTOR('',#443663,10.); #140752=VECTOR('',#443666,10.); #140753=VECTOR('',#443667,10.); #140754=VECTOR('',#443668,10.); #140755=VECTOR('',#443671,10.); #140756=VECTOR('',#443672,10.); #140757=VECTOR('',#443673,10.); #140758=VECTOR('',#443676,10.); #140759=VECTOR('',#443677,10.); #140760=VECTOR('',#443678,10.); #140761=VECTOR('',#443681,10.); #140762=VECTOR('',#443682,10.); #140763=VECTOR('',#443683,10.); #140764=VECTOR('',#443686,10.); #140765=VECTOR('',#443687,10.); #140766=VECTOR('',#443688,10.); #140767=VECTOR('',#443691,10.); #140768=VECTOR('',#443692,10.); #140769=VECTOR('',#443693,10.); #140770=VECTOR('',#443696,10.); #140771=VECTOR('',#443697,10.); #140772=VECTOR('',#443698,10.); #140773=VECTOR('',#443701,10.); #140774=VECTOR('',#443702,10.); #140775=VECTOR('',#443703,10.); #140776=VECTOR('',#443706,10.); #140777=VECTOR('',#443707,10.); #140778=VECTOR('',#443708,10.); #140779=VECTOR('',#443711,10.); #140780=VECTOR('',#443712,10.); #140781=VECTOR('',#443713,10.); #140782=VECTOR('',#443716,10.); #140783=VECTOR('',#443717,10.); #140784=VECTOR('',#443718,10.); #140785=VECTOR('',#443721,10.); #140786=VECTOR('',#443722,10.); #140787=VECTOR('',#443723,10.); #140788=VECTOR('',#443726,10.); #140789=VECTOR('',#443727,10.); #140790=VECTOR('',#443728,10.); #140791=VECTOR('',#443731,10.); #140792=VECTOR('',#443732,10.); #140793=VECTOR('',#443733,10.); #140794=VECTOR('',#443736,10.); #140795=VECTOR('',#443737,10.); #140796=VECTOR('',#443738,10.); #140797=VECTOR('',#443741,10.); #140798=VECTOR('',#443742,10.); #140799=VECTOR('',#443743,10.); #140800=VECTOR('',#443746,10.); #140801=VECTOR('',#443747,10.); #140802=VECTOR('',#443748,10.); #140803=VECTOR('',#443751,10.); #140804=VECTOR('',#443752,10.); #140805=VECTOR('',#443753,10.); #140806=VECTOR('',#443756,10.); #140807=VECTOR('',#443757,10.); #140808=VECTOR('',#443758,10.); #140809=VECTOR('',#443761,10.); #140810=VECTOR('',#443762,10.); #140811=VECTOR('',#443763,10.); #140812=VECTOR('',#443766,10.); #140813=VECTOR('',#443767,10.); #140814=VECTOR('',#443768,10.); #140815=VECTOR('',#443771,10.); #140816=VECTOR('',#443772,10.); #140817=VECTOR('',#443773,10.); #140818=VECTOR('',#443776,10.); #140819=VECTOR('',#443777,10.); #140820=VECTOR('',#443778,10.); #140821=VECTOR('',#443781,10.); #140822=VECTOR('',#443782,10.); #140823=VECTOR('',#443783,10.); #140824=VECTOR('',#443786,10.); #140825=VECTOR('',#443787,10.); #140826=VECTOR('',#443788,10.); #140827=VECTOR('',#443791,10.); #140828=VECTOR('',#443792,10.); #140829=VECTOR('',#443793,10.); #140830=VECTOR('',#443796,10.); #140831=VECTOR('',#443797,10.); #140832=VECTOR('',#443798,10.); #140833=VECTOR('',#443801,10.); #140834=VECTOR('',#443802,10.); #140835=VECTOR('',#443803,10.); #140836=VECTOR('',#443806,10.); #140837=VECTOR('',#443807,10.); #140838=VECTOR('',#443808,10.); #140839=VECTOR('',#443811,10.); #140840=VECTOR('',#443812,10.); #140841=VECTOR('',#443813,10.); #140842=VECTOR('',#443816,10.); #140843=VECTOR('',#443817,10.); #140844=VECTOR('',#443818,10.); #140845=VECTOR('',#443821,10.); #140846=VECTOR('',#443822,10.); #140847=VECTOR('',#443823,10.); #140848=VECTOR('',#443826,10.); #140849=VECTOR('',#443827,10.); #140850=VECTOR('',#443828,10.); #140851=VECTOR('',#443831,10.); #140852=VECTOR('',#443832,10.); #140853=VECTOR('',#443833,10.); #140854=VECTOR('',#443836,10.); #140855=VECTOR('',#443837,10.); #140856=VECTOR('',#443838,10.); #140857=VECTOR('',#443841,10.); #140858=VECTOR('',#443842,10.); #140859=VECTOR('',#443843,10.); #140860=VECTOR('',#443846,10.); #140861=VECTOR('',#443847,10.); #140862=VECTOR('',#443848,10.); #140863=VECTOR('',#443851,10.); #140864=VECTOR('',#443852,10.); #140865=VECTOR('',#443853,10.); #140866=VECTOR('',#443856,10.); #140867=VECTOR('',#443857,10.); #140868=VECTOR('',#443858,10.); #140869=VECTOR('',#443861,10.); #140870=VECTOR('',#443862,10.); #140871=VECTOR('',#443863,10.); #140872=VECTOR('',#443866,10.); #140873=VECTOR('',#443867,10.); #140874=VECTOR('',#443868,10.); #140875=VECTOR('',#443871,10.); #140876=VECTOR('',#443872,10.); #140877=VECTOR('',#443873,10.); #140878=VECTOR('',#443876,10.); #140879=VECTOR('',#443877,10.); #140880=VECTOR('',#443878,10.); #140881=VECTOR('',#443881,10.); #140882=VECTOR('',#443882,10.); #140883=VECTOR('',#443883,10.); #140884=VECTOR('',#443886,10.); #140885=VECTOR('',#443887,10.); #140886=VECTOR('',#443888,10.); #140887=VECTOR('',#443891,10.); #140888=VECTOR('',#443892,10.); #140889=VECTOR('',#443893,10.); #140890=VECTOR('',#443896,10.); #140891=VECTOR('',#443897,10.); #140892=VECTOR('',#443898,10.); #140893=VECTOR('',#443901,10.); #140894=VECTOR('',#443902,10.); #140895=VECTOR('',#443903,10.); #140896=VECTOR('',#443906,10.); #140897=VECTOR('',#443907,10.); #140898=VECTOR('',#443908,10.); #140899=VECTOR('',#443911,10.); #140900=VECTOR('',#443912,10.); #140901=VECTOR('',#443913,10.); #140902=VECTOR('',#443916,10.); #140903=VECTOR('',#443917,10.); #140904=VECTOR('',#443918,10.); #140905=VECTOR('',#443921,10.); #140906=VECTOR('',#443922,10.); #140907=VECTOR('',#443923,10.); #140908=VECTOR('',#443926,10.); #140909=VECTOR('',#443927,10.); #140910=VECTOR('',#443928,10.); #140911=VECTOR('',#443931,10.); #140912=VECTOR('',#443932,10.); #140913=VECTOR('',#443933,10.); #140914=VECTOR('',#443936,10.); #140915=VECTOR('',#443937,10.); #140916=VECTOR('',#443938,10.); #140917=VECTOR('',#443941,10.); #140918=VECTOR('',#443942,10.); #140919=VECTOR('',#443943,10.); #140920=VECTOR('',#443946,10.); #140921=VECTOR('',#443947,10.); #140922=VECTOR('',#443948,10.); #140923=VECTOR('',#443951,10.); #140924=VECTOR('',#443952,10.); #140925=VECTOR('',#443953,10.); #140926=VECTOR('',#443956,10.); #140927=VECTOR('',#443957,10.); #140928=VECTOR('',#443958,10.); #140929=VECTOR('',#443961,10.); #140930=VECTOR('',#443962,10.); #140931=VECTOR('',#443963,10.); #140932=VECTOR('',#443966,10.); #140933=VECTOR('',#443967,10.); #140934=VECTOR('',#443968,10.); #140935=VECTOR('',#443971,10.); #140936=VECTOR('',#443972,10.); #140937=VECTOR('',#443973,10.); #140938=VECTOR('',#443976,10.); #140939=VECTOR('',#443977,10.); #140940=VECTOR('',#443978,10.); #140941=VECTOR('',#443981,10.); #140942=VECTOR('',#443982,10.); #140943=VECTOR('',#443983,10.); #140944=VECTOR('',#443986,10.); #140945=VECTOR('',#443987,10.); #140946=VECTOR('',#443988,10.); #140947=VECTOR('',#443991,10.); #140948=VECTOR('',#443992,10.); #140949=VECTOR('',#443993,10.); #140950=VECTOR('',#443996,10.); #140951=VECTOR('',#443997,10.); #140952=VECTOR('',#443998,10.); #140953=VECTOR('',#444001,10.); #140954=VECTOR('',#444002,10.); #140955=VECTOR('',#444003,10.); #140956=VECTOR('',#444006,10.); #140957=VECTOR('',#444007,10.); #140958=VECTOR('',#444008,10.); #140959=VECTOR('',#444011,10.); #140960=VECTOR('',#444012,10.); #140961=VECTOR('',#444013,10.); #140962=VECTOR('',#444016,10.); #140963=VECTOR('',#444017,10.); #140964=VECTOR('',#444024,10.); #140965=VECTOR('',#444025,10.); #140966=VECTOR('',#444026,10.); #140967=VECTOR('',#444027,10.); #140968=VECTOR('',#444034,10.); #140969=VECTOR('',#444037,10.); #140970=VECTOR('',#444038,10.); #140971=VECTOR('',#444039,10.); #140972=VECTOR('',#444048,10.); #140973=VECTOR('',#444049,10.); #140974=VECTOR('',#444050,10.); #140975=VECTOR('',#444051,10.); #140976=VECTOR('',#444054,10.); #140977=VECTOR('',#444055,10.); #140978=VECTOR('',#444056,10.); #140979=VECTOR('',#444059,10.); #140980=VECTOR('',#444060,10.); #140981=VECTOR('',#444061,10.); #140982=VECTOR('',#444064,10.); #140983=VECTOR('',#444065,10.); #140984=VECTOR('',#444066,10.); #140985=VECTOR('',#444069,10.); #140986=VECTOR('',#444070,10.); #140987=VECTOR('',#444071,10.); #140988=VECTOR('',#444074,10.); #140989=VECTOR('',#444075,10.); #140990=VECTOR('',#444076,10.); #140991=VECTOR('',#444079,10.); #140992=VECTOR('',#444080,10.); #140993=VECTOR('',#444081,10.); #140994=VECTOR('',#444084,10.); #140995=VECTOR('',#444085,10.); #140996=VECTOR('',#444086,10.); #140997=VECTOR('',#444089,10.); #140998=VECTOR('',#444090,10.); #140999=VECTOR('',#444091,10.); #141000=VECTOR('',#444094,10.); #141001=VECTOR('',#444095,10.); #141002=VECTOR('',#444096,10.); #141003=VECTOR('',#444099,10.); #141004=VECTOR('',#444100,10.); #141005=VECTOR('',#444101,10.); #141006=VECTOR('',#444104,10.); #141007=VECTOR('',#444105,10.); #141008=VECTOR('',#444106,10.); #141009=VECTOR('',#444109,10.); #141010=VECTOR('',#444110,10.); #141011=VECTOR('',#444111,10.); #141012=VECTOR('',#444114,10.); #141013=VECTOR('',#444115,10.); #141014=VECTOR('',#444116,10.); #141015=VECTOR('',#444119,10.); #141016=VECTOR('',#444120,10.); #141017=VECTOR('',#444121,10.); #141018=VECTOR('',#444124,10.); #141019=VECTOR('',#444125,10.); #141020=VECTOR('',#444126,10.); #141021=VECTOR('',#444129,10.); #141022=VECTOR('',#444130,10.); #141023=VECTOR('',#444131,10.); #141024=VECTOR('',#444134,10.); #141025=VECTOR('',#444135,10.); #141026=VECTOR('',#444136,10.); #141027=VECTOR('',#444139,10.); #141028=VECTOR('',#444140,10.); #141029=VECTOR('',#444141,10.); #141030=VECTOR('',#444144,10.); #141031=VECTOR('',#444145,10.); #141032=VECTOR('',#444146,10.); #141033=VECTOR('',#444149,10.); #141034=VECTOR('',#444150,10.); #141035=VECTOR('',#444151,10.); #141036=VECTOR('',#444154,10.); #141037=VECTOR('',#444155,10.); #141038=VECTOR('',#444156,10.); #141039=VECTOR('',#444159,10.); #141040=VECTOR('',#444160,10.); #141041=VECTOR('',#444161,10.); #141042=VECTOR('',#444164,10.); #141043=VECTOR('',#444165,10.); #141044=VECTOR('',#444166,10.); #141045=VECTOR('',#444169,10.); #141046=VECTOR('',#444170,10.); #141047=VECTOR('',#444171,10.); #141048=VECTOR('',#444174,10.); #141049=VECTOR('',#444175,10.); #141050=VECTOR('',#444176,10.); #141051=VECTOR('',#444179,10.); #141052=VECTOR('',#444180,10.); #141053=VECTOR('',#444181,10.); #141054=VECTOR('',#444184,10.); #141055=VECTOR('',#444185,10.); #141056=VECTOR('',#444186,10.); #141057=VECTOR('',#444189,10.); #141058=VECTOR('',#444190,10.); #141059=VECTOR('',#444191,10.); #141060=VECTOR('',#444194,10.); #141061=VECTOR('',#444195,10.); #141062=VECTOR('',#444196,10.); #141063=VECTOR('',#444199,10.); #141064=VECTOR('',#444200,10.); #141065=VECTOR('',#444201,10.); #141066=VECTOR('',#444204,10.); #141067=VECTOR('',#444205,10.); #141068=VECTOR('',#444206,10.); #141069=VECTOR('',#444209,10.); #141070=VECTOR('',#444210,10.); #141071=VECTOR('',#444211,10.); #141072=VECTOR('',#444214,10.); #141073=VECTOR('',#444215,10.); #141074=VECTOR('',#444216,10.); #141075=VECTOR('',#444219,10.); #141076=VECTOR('',#444220,10.); #141077=VECTOR('',#444221,10.); #141078=VECTOR('',#444224,10.); #141079=VECTOR('',#444225,10.); #141080=VECTOR('',#444226,10.); #141081=VECTOR('',#444229,10.); #141082=VECTOR('',#444230,10.); #141083=VECTOR('',#444231,10.); #141084=VECTOR('',#444234,10.); #141085=VECTOR('',#444235,10.); #141086=VECTOR('',#444236,10.); #141087=VECTOR('',#444239,10.); #141088=VECTOR('',#444240,10.); #141089=VECTOR('',#444241,10.); #141090=VECTOR('',#444244,10.); #141091=VECTOR('',#444245,10.); #141092=VECTOR('',#444246,10.); #141093=VECTOR('',#444249,10.); #141094=VECTOR('',#444250,10.); #141095=VECTOR('',#444251,10.); #141096=VECTOR('',#444254,10.); #141097=VECTOR('',#444255,10.); #141098=VECTOR('',#444256,10.); #141099=VECTOR('',#444259,10.); #141100=VECTOR('',#444260,10.); #141101=VECTOR('',#444261,10.); #141102=VECTOR('',#444264,10.); #141103=VECTOR('',#444265,10.); #141104=VECTOR('',#444266,10.); #141105=VECTOR('',#444269,10.); #141106=VECTOR('',#444270,10.); #141107=VECTOR('',#444271,10.); #141108=VECTOR('',#444274,10.); #141109=VECTOR('',#444275,10.); #141110=VECTOR('',#444276,10.); #141111=VECTOR('',#444279,10.); #141112=VECTOR('',#444280,10.); #141113=VECTOR('',#444281,10.); #141114=VECTOR('',#444284,10.); #141115=VECTOR('',#444285,10.); #141116=VECTOR('',#444286,10.); #141117=VECTOR('',#444289,10.); #141118=VECTOR('',#444290,10.); #141119=VECTOR('',#444291,10.); #141120=VECTOR('',#444294,10.); #141121=VECTOR('',#444295,10.); #141122=VECTOR('',#444296,10.); #141123=VECTOR('',#444299,10.); #141124=VECTOR('',#444300,10.); #141125=VECTOR('',#444301,10.); #141126=VECTOR('',#444304,10.); #141127=VECTOR('',#444305,10.); #141128=VECTOR('',#444306,10.); #141129=VECTOR('',#444309,10.); #141130=VECTOR('',#444310,10.); #141131=VECTOR('',#444311,10.); #141132=VECTOR('',#444314,10.); #141133=VECTOR('',#444315,10.); #141134=VECTOR('',#444316,10.); #141135=VECTOR('',#444319,10.); #141136=VECTOR('',#444320,10.); #141137=VECTOR('',#444321,10.); #141138=VECTOR('',#444324,10.); #141139=VECTOR('',#444325,10.); #141140=VECTOR('',#444334,0.514999999999999); #141141=VECTOR('',#444339,10.); #141142=VECTOR('',#444340,10.); #141143=VECTOR('',#444341,10.); #141144=VECTOR('',#444342,10.); #141145=VECTOR('',#444345,10.); #141146=VECTOR('',#444346,10.); #141147=VECTOR('',#444347,10.); #141148=VECTOR('',#444350,10.); #141149=VECTOR('',#444351,10.); #141150=VECTOR('',#444352,10.); #141151=VECTOR('',#444355,10.); #141152=VECTOR('',#444356,10.); #141153=VECTOR('',#444363,10.); #141154=VECTOR('',#444364,10.); #141155=VECTOR('',#444365,10.); #141156=VECTOR('',#444366,10.); #141157=VECTOR('',#444373,10.); #141158=VECTOR('',#444376,10.); #141159=VECTOR('',#444377,10.); #141160=VECTOR('',#444378,10.); #141161=VECTOR('',#444387,10.); #141162=VECTOR('',#444388,10.); #141163=VECTOR('',#444389,10.); #141164=VECTOR('',#444390,10.); #141165=VECTOR('',#444393,10.); #141166=VECTOR('',#444394,10.); #141167=VECTOR('',#444395,10.); #141168=VECTOR('',#444398,10.); #141169=VECTOR('',#444399,10.); #141170=VECTOR('',#444400,10.); #141171=VECTOR('',#444403,10.); #141172=VECTOR('',#444404,10.); #141173=VECTOR('',#444405,10.); #141174=VECTOR('',#444408,10.); #141175=VECTOR('',#444409,10.); #141176=VECTOR('',#444410,10.); #141177=VECTOR('',#444413,10.); #141178=VECTOR('',#444414,10.); #141179=VECTOR('',#444415,10.); #141180=VECTOR('',#444418,10.); #141181=VECTOR('',#444419,10.); #141182=VECTOR('',#444420,10.); #141183=VECTOR('',#444423,10.); #141184=VECTOR('',#444424,10.); #141185=VECTOR('',#444425,10.); #141186=VECTOR('',#444428,10.); #141187=VECTOR('',#444429,10.); #141188=VECTOR('',#444430,10.); #141189=VECTOR('',#444433,10.); #141190=VECTOR('',#444434,10.); #141191=VECTOR('',#444435,10.); #141192=VECTOR('',#444438,10.); #141193=VECTOR('',#444439,10.); #141194=VECTOR('',#444440,10.); #141195=VECTOR('',#444443,10.); #141196=VECTOR('',#444444,10.); #141197=VECTOR('',#444445,10.); #141198=VECTOR('',#444448,10.); #141199=VECTOR('',#444449,10.); #141200=VECTOR('',#444450,10.); #141201=VECTOR('',#444453,10.); #141202=VECTOR('',#444454,10.); #141203=VECTOR('',#444455,10.); #141204=VECTOR('',#444458,10.); #141205=VECTOR('',#444459,10.); #141206=VECTOR('',#444460,10.); #141207=VECTOR('',#444463,10.); #141208=VECTOR('',#444464,10.); #141209=VECTOR('',#444465,10.); #141210=VECTOR('',#444468,10.); #141211=VECTOR('',#444469,10.); #141212=VECTOR('',#444470,10.); #141213=VECTOR('',#444473,10.); #141214=VECTOR('',#444474,10.); #141215=VECTOR('',#444475,10.); #141216=VECTOR('',#444478,10.); #141217=VECTOR('',#444479,10.); #141218=VECTOR('',#444480,10.); #141219=VECTOR('',#444483,10.); #141220=VECTOR('',#444484,10.); #141221=VECTOR('',#444485,10.); #141222=VECTOR('',#444488,10.); #141223=VECTOR('',#444489,10.); #141224=VECTOR('',#444490,10.); #141225=VECTOR('',#444493,10.); #141226=VECTOR('',#444494,10.); #141227=VECTOR('',#444495,10.); #141228=VECTOR('',#444498,10.); #141229=VECTOR('',#444499,10.); #141230=VECTOR('',#444500,10.); #141231=VECTOR('',#444503,10.); #141232=VECTOR('',#444504,10.); #141233=VECTOR('',#444505,10.); #141234=VECTOR('',#444508,10.); #141235=VECTOR('',#444509,10.); #141236=VECTOR('',#444510,10.); #141237=VECTOR('',#444513,10.); #141238=VECTOR('',#444514,10.); #141239=VECTOR('',#444515,10.); #141240=VECTOR('',#444518,10.); #141241=VECTOR('',#444519,10.); #141242=VECTOR('',#444520,10.); #141243=VECTOR('',#444523,10.); #141244=VECTOR('',#444524,10.); #141245=VECTOR('',#444525,10.); #141246=VECTOR('',#444528,10.); #141247=VECTOR('',#444529,10.); #141248=VECTOR('',#444530,10.); #141249=VECTOR('',#444533,10.); #141250=VECTOR('',#444534,10.); #141251=VECTOR('',#444535,10.); #141252=VECTOR('',#444538,10.); #141253=VECTOR('',#444539,10.); #141254=VECTOR('',#444540,10.); #141255=VECTOR('',#444543,10.); #141256=VECTOR('',#444544,10.); #141257=VECTOR('',#444545,10.); #141258=VECTOR('',#444548,10.); #141259=VECTOR('',#444549,10.); #141260=VECTOR('',#444550,10.); #141261=VECTOR('',#444553,10.); #141262=VECTOR('',#444554,10.); #141263=VECTOR('',#444555,10.); #141264=VECTOR('',#444558,10.); #141265=VECTOR('',#444559,10.); #141266=VECTOR('',#444560,10.); #141267=VECTOR('',#444563,10.); #141268=VECTOR('',#444564,10.); #141269=VECTOR('',#444565,10.); #141270=VECTOR('',#444568,10.); #141271=VECTOR('',#444569,10.); #141272=VECTOR('',#444570,10.); #141273=VECTOR('',#444573,10.); #141274=VECTOR('',#444574,10.); #141275=VECTOR('',#444575,10.); #141276=VECTOR('',#444578,10.); #141277=VECTOR('',#444579,10.); #141278=VECTOR('',#444580,10.); #141279=VECTOR('',#444583,10.); #141280=VECTOR('',#444584,10.); #141281=VECTOR('',#444585,10.); #141282=VECTOR('',#444588,10.); #141283=VECTOR('',#444589,10.); #141284=VECTOR('',#444590,10.); #141285=VECTOR('',#444593,10.); #141286=VECTOR('',#444594,10.); #141287=VECTOR('',#444595,10.); #141288=VECTOR('',#444598,10.); #141289=VECTOR('',#444599,10.); #141290=VECTOR('',#444600,10.); #141291=VECTOR('',#444603,10.); #141292=VECTOR('',#444604,10.); #141293=VECTOR('',#444605,10.); #141294=VECTOR('',#444608,10.); #141295=VECTOR('',#444609,10.); #141296=VECTOR('',#444610,10.); #141297=VECTOR('',#444613,10.); #141298=VECTOR('',#444614,10.); #141299=VECTOR('',#444615,10.); #141300=VECTOR('',#444618,10.); #141301=VECTOR('',#444619,10.); #141302=VECTOR('',#444620,10.); #141303=VECTOR('',#444623,10.); #141304=VECTOR('',#444624,10.); #141305=VECTOR('',#444625,10.); #141306=VECTOR('',#444628,10.); #141307=VECTOR('',#444629,10.); #141308=VECTOR('',#444630,10.); #141309=VECTOR('',#444633,10.); #141310=VECTOR('',#444634,10.); #141311=VECTOR('',#444635,10.); #141312=VECTOR('',#444638,10.); #141313=VECTOR('',#444639,10.); #141314=VECTOR('',#444640,10.); #141315=VECTOR('',#444643,10.); #141316=VECTOR('',#444644,10.); #141317=VECTOR('',#444645,10.); #141318=VECTOR('',#444648,10.); #141319=VECTOR('',#444649,10.); #141320=VECTOR('',#444650,10.); #141321=VECTOR('',#444653,10.); #141322=VECTOR('',#444654,10.); #141323=VECTOR('',#444655,10.); #141324=VECTOR('',#444658,10.); #141325=VECTOR('',#444659,10.); #141326=VECTOR('',#444660,10.); #141327=VECTOR('',#444663,10.); #141328=VECTOR('',#444664,10.); #141329=VECTOR('',#444671,10.); #141330=VECTOR('',#444672,10.); #141331=VECTOR('',#444673,10.); #141332=VECTOR('',#444674,10.); #141333=VECTOR('',#444681,10.); #141334=VECTOR('',#444684,10.); #141335=VECTOR('',#444685,10.); #141336=VECTOR('',#444686,10.); #141337=VECTOR('',#444695,10.); #141338=VECTOR('',#444696,10.); #141339=VECTOR('',#444697,10.); #141340=VECTOR('',#444698,10.); #141341=VECTOR('',#444701,10.); #141342=VECTOR('',#444702,10.); #141343=VECTOR('',#444703,10.); #141344=VECTOR('',#444706,10.); #141345=VECTOR('',#444707,10.); #141346=VECTOR('',#444708,10.); #141347=VECTOR('',#444711,10.); #141348=VECTOR('',#444712,10.); #141349=VECTOR('',#444713,10.); #141350=VECTOR('',#444716,10.); #141351=VECTOR('',#444717,10.); #141352=VECTOR('',#444718,10.); #141353=VECTOR('',#444721,10.); #141354=VECTOR('',#444722,10.); #141355=VECTOR('',#444723,10.); #141356=VECTOR('',#444726,10.); #141357=VECTOR('',#444727,10.); #141358=VECTOR('',#444728,10.); #141359=VECTOR('',#444731,10.); #141360=VECTOR('',#444732,10.); #141361=VECTOR('',#444733,10.); #141362=VECTOR('',#444736,10.); #141363=VECTOR('',#444737,10.); #141364=VECTOR('',#444738,10.); #141365=VECTOR('',#444741,10.); #141366=VECTOR('',#444742,10.); #141367=VECTOR('',#444743,10.); #141368=VECTOR('',#444746,10.); #141369=VECTOR('',#444747,10.); #141370=VECTOR('',#444748,10.); #141371=VECTOR('',#444751,10.); #141372=VECTOR('',#444752,10.); #141373=VECTOR('',#444753,10.); #141374=VECTOR('',#444756,10.); #141375=VECTOR('',#444757,10.); #141376=VECTOR('',#444758,10.); #141377=VECTOR('',#444761,10.); #141378=VECTOR('',#444762,10.); #141379=VECTOR('',#444763,10.); #141380=VECTOR('',#444766,10.); #141381=VECTOR('',#444767,10.); #141382=VECTOR('',#444768,10.); #141383=VECTOR('',#444771,10.); #141384=VECTOR('',#444772,10.); #141385=VECTOR('',#444773,10.); #141386=VECTOR('',#444776,10.); #141387=VECTOR('',#444777,10.); #141388=VECTOR('',#444778,10.); #141389=VECTOR('',#444781,10.); #141390=VECTOR('',#444782,10.); #141391=VECTOR('',#444783,10.); #141392=VECTOR('',#444786,10.); #141393=VECTOR('',#444787,10.); #141394=VECTOR('',#444788,10.); #141395=VECTOR('',#444791,10.); #141396=VECTOR('',#444792,10.); #141397=VECTOR('',#444793,10.); #141398=VECTOR('',#444796,10.); #141399=VECTOR('',#444797,10.); #141400=VECTOR('',#444798,10.); #141401=VECTOR('',#444801,10.); #141402=VECTOR('',#444802,10.); #141403=VECTOR('',#444803,10.); #141404=VECTOR('',#444806,10.); #141405=VECTOR('',#444807,10.); #141406=VECTOR('',#444808,10.); #141407=VECTOR('',#444811,10.); #141408=VECTOR('',#444812,10.); #141409=VECTOR('',#444813,10.); #141410=VECTOR('',#444816,10.); #141411=VECTOR('',#444817,10.); #141412=VECTOR('',#444818,10.); #141413=VECTOR('',#444821,10.); #141414=VECTOR('',#444822,10.); #141415=VECTOR('',#444823,10.); #141416=VECTOR('',#444826,10.); #141417=VECTOR('',#444827,10.); #141418=VECTOR('',#444828,10.); #141419=VECTOR('',#444831,10.); #141420=VECTOR('',#444832,10.); #141421=VECTOR('',#444833,10.); #141422=VECTOR('',#444836,10.); #141423=VECTOR('',#444837,10.); #141424=VECTOR('',#444838,10.); #141425=VECTOR('',#444841,10.); #141426=VECTOR('',#444842,10.); #141427=VECTOR('',#444843,10.); #141428=VECTOR('',#444846,10.); #141429=VECTOR('',#444847,10.); #141430=VECTOR('',#444848,10.); #141431=VECTOR('',#444851,10.); #141432=VECTOR('',#444852,10.); #141433=VECTOR('',#444853,10.); #141434=VECTOR('',#444856,10.); #141435=VECTOR('',#444857,10.); #141436=VECTOR('',#444858,10.); #141437=VECTOR('',#444861,10.); #141438=VECTOR('',#444862,10.); #141439=VECTOR('',#444863,10.); #141440=VECTOR('',#444866,10.); #141441=VECTOR('',#444867,10.); #141442=VECTOR('',#444868,10.); #141443=VECTOR('',#444871,10.); #141444=VECTOR('',#444872,10.); #141445=VECTOR('',#444873,10.); #141446=VECTOR('',#444876,10.); #141447=VECTOR('',#444877,10.); #141448=VECTOR('',#444878,10.); #141449=VECTOR('',#444881,10.); #141450=VECTOR('',#444882,10.); #141451=VECTOR('',#444883,10.); #141452=VECTOR('',#444886,10.); #141453=VECTOR('',#444887,10.); #141454=VECTOR('',#444888,10.); #141455=VECTOR('',#444891,10.); #141456=VECTOR('',#444892,10.); #141457=VECTOR('',#444893,10.); #141458=VECTOR('',#444896,10.); #141459=VECTOR('',#444897,10.); #141460=VECTOR('',#444898,10.); #141461=VECTOR('',#444901,10.); #141462=VECTOR('',#444902,10.); #141463=VECTOR('',#444903,10.); #141464=VECTOR('',#444906,10.); #141465=VECTOR('',#444907,10.); #141466=VECTOR('',#444908,10.); #141467=VECTOR('',#444911,10.); #141468=VECTOR('',#444912,10.); #141469=VECTOR('',#444913,10.); #141470=VECTOR('',#444916,10.); #141471=VECTOR('',#444917,10.); #141472=VECTOR('',#444918,10.); #141473=VECTOR('',#444921,10.); #141474=VECTOR('',#444922,10.); #141475=VECTOR('',#444923,10.); #141476=VECTOR('',#444926,10.); #141477=VECTOR('',#444927,10.); #141478=VECTOR('',#444928,10.); #141479=VECTOR('',#444931,10.); #141480=VECTOR('',#444932,10.); #141481=VECTOR('',#444933,10.); #141482=VECTOR('',#444936,10.); #141483=VECTOR('',#444937,10.); #141484=VECTOR('',#444938,10.); #141485=VECTOR('',#444941,10.); #141486=VECTOR('',#444942,10.); #141487=VECTOR('',#444943,10.); #141488=VECTOR('',#444946,10.); #141489=VECTOR('',#444947,10.); #141490=VECTOR('',#444948,10.); #141491=VECTOR('',#444951,10.); #141492=VECTOR('',#444952,10.); #141493=VECTOR('',#444953,10.); #141494=VECTOR('',#444956,10.); #141495=VECTOR('',#444957,10.); #141496=VECTOR('',#444958,10.); #141497=VECTOR('',#444961,10.); #141498=VECTOR('',#444962,10.); #141499=VECTOR('',#444963,10.); #141500=VECTOR('',#444966,10.); #141501=VECTOR('',#444967,10.); #141502=VECTOR('',#444968,10.); #141503=VECTOR('',#444971,10.); #141504=VECTOR('',#444972,10.); #141505=VECTOR('',#444973,10.); #141506=VECTOR('',#444976,10.); #141507=VECTOR('',#444977,10.); #141508=VECTOR('',#444978,10.); #141509=VECTOR('',#444981,10.); #141510=VECTOR('',#444982,10.); #141511=VECTOR('',#444983,10.); #141512=VECTOR('',#444986,10.); #141513=VECTOR('',#444987,10.); #141514=VECTOR('',#444988,10.); #141515=VECTOR('',#444991,10.); #141516=VECTOR('',#444992,10.); #141517=VECTOR('',#444993,10.); #141518=VECTOR('',#444996,10.); #141519=VECTOR('',#444997,10.); #141520=VECTOR('',#444998,10.); #141521=VECTOR('',#445001,10.); #141522=VECTOR('',#445002,10.); #141523=VECTOR('',#445003,10.); #141524=VECTOR('',#445006,10.); #141525=VECTOR('',#445007,10.); #141526=VECTOR('',#445008,10.); #141527=VECTOR('',#445011,10.); #141528=VECTOR('',#445012,10.); #141529=VECTOR('',#445013,10.); #141530=VECTOR('',#445016,10.); #141531=VECTOR('',#445017,10.); #141532=VECTOR('',#445018,10.); #141533=VECTOR('',#445021,10.); #141534=VECTOR('',#445022,10.); #141535=VECTOR('',#445023,10.); #141536=VECTOR('',#445026,10.); #141537=VECTOR('',#445027,10.); #141538=VECTOR('',#445028,10.); #141539=VECTOR('',#445031,10.); #141540=VECTOR('',#445032,10.); #141541=VECTOR('',#445033,10.); #141542=VECTOR('',#445036,10.); #141543=VECTOR('',#445037,10.); #141544=VECTOR('',#445038,10.); #141545=VECTOR('',#445041,10.); #141546=VECTOR('',#445042,10.); #141547=VECTOR('',#445043,10.); #141548=VECTOR('',#445046,10.); #141549=VECTOR('',#445047,10.); #141550=VECTOR('',#445048,10.); #141551=VECTOR('',#445051,10.); #141552=VECTOR('',#445052,10.); #141553=VECTOR('',#445061,0.465); #141554=VECTOR('',#445066,10.); #141555=VECTOR('',#445067,10.); #141556=VECTOR('',#445068,10.); #141557=VECTOR('',#445069,10.); #141558=VECTOR('',#445076,10.); #141559=VECTOR('',#445091,0.514999999999999); #141560=VECTOR('',#445096,10.); #141561=VECTOR('',#445097,10.); #141562=VECTOR('',#445098,10.); #141563=VECTOR('',#445099,10.); #141564=VECTOR('',#445106,10.); #141565=VECTOR('',#445119,10.); #141566=VECTOR('',#445120,10.); #141567=VECTOR('',#445121,10.); #141568=VECTOR('',#445122,10.); #141569=VECTOR('',#445129,10.); #141570=VECTOR('',#445132,10.); #141571=VECTOR('',#445133,10.); #141572=VECTOR('',#445134,10.); #141573=VECTOR('',#445143,10.); #141574=VECTOR('',#445144,10.); #141575=VECTOR('',#445145,10.); #141576=VECTOR('',#445146,10.); #141577=VECTOR('',#445149,10.); #141578=VECTOR('',#445150,10.); #141579=VECTOR('',#445151,10.); #141580=VECTOR('',#445154,10.); #141581=VECTOR('',#445155,10.); #141582=VECTOR('',#445156,10.); #141583=VECTOR('',#445159,10.); #141584=VECTOR('',#445160,10.); #141585=VECTOR('',#445161,10.); #141586=VECTOR('',#445164,10.); #141587=VECTOR('',#445165,10.); #141588=VECTOR('',#445166,10.); #141589=VECTOR('',#445169,10.); #141590=VECTOR('',#445170,10.); #141591=VECTOR('',#445171,10.); #141592=VECTOR('',#445174,10.); #141593=VECTOR('',#445175,10.); #141594=VECTOR('',#445176,10.); #141595=VECTOR('',#445179,10.); #141596=VECTOR('',#445180,10.); #141597=VECTOR('',#445181,10.); #141598=VECTOR('',#445184,10.); #141599=VECTOR('',#445185,10.); #141600=VECTOR('',#445186,10.); #141601=VECTOR('',#445189,10.); #141602=VECTOR('',#445190,10.); #141603=VECTOR('',#445191,10.); #141604=VECTOR('',#445194,10.); #141605=VECTOR('',#445195,10.); #141606=VECTOR('',#445196,10.); #141607=VECTOR('',#445199,10.); #141608=VECTOR('',#445200,10.); #141609=VECTOR('',#445201,10.); #141610=VECTOR('',#445204,10.); #141611=VECTOR('',#445205,10.); #141612=VECTOR('',#445206,10.); #141613=VECTOR('',#445209,10.); #141614=VECTOR('',#445210,10.); #141615=VECTOR('',#445211,10.); #141616=VECTOR('',#445214,10.); #141617=VECTOR('',#445215,10.); #141618=VECTOR('',#445216,10.); #141619=VECTOR('',#445219,10.); #141620=VECTOR('',#445220,10.); #141621=VECTOR('',#445221,10.); #141622=VECTOR('',#445224,10.); #141623=VECTOR('',#445225,10.); #141624=VECTOR('',#445226,10.); #141625=VECTOR('',#445229,10.); #141626=VECTOR('',#445230,10.); #141627=VECTOR('',#445231,10.); #141628=VECTOR('',#445234,10.); #141629=VECTOR('',#445235,10.); #141630=VECTOR('',#445236,10.); #141631=VECTOR('',#445239,10.); #141632=VECTOR('',#445240,10.); #141633=VECTOR('',#445241,10.); #141634=VECTOR('',#445244,10.); #141635=VECTOR('',#445245,10.); #141636=VECTOR('',#445246,10.); #141637=VECTOR('',#445249,10.); #141638=VECTOR('',#445250,10.); #141639=VECTOR('',#445251,10.); #141640=VECTOR('',#445254,10.); #141641=VECTOR('',#445255,10.); #141642=VECTOR('',#445256,10.); #141643=VECTOR('',#445259,10.); #141644=VECTOR('',#445260,10.); #141645=VECTOR('',#445261,10.); #141646=VECTOR('',#445264,10.); #141647=VECTOR('',#445265,10.); #141648=VECTOR('',#445266,10.); #141649=VECTOR('',#445269,10.); #141650=VECTOR('',#445270,10.); #141651=VECTOR('',#445271,10.); #141652=VECTOR('',#445274,10.); #141653=VECTOR('',#445275,10.); #141654=VECTOR('',#445276,10.); #141655=VECTOR('',#445279,10.); #141656=VECTOR('',#445280,10.); #141657=VECTOR('',#445281,10.); #141658=VECTOR('',#445284,10.); #141659=VECTOR('',#445285,10.); #141660=VECTOR('',#445286,10.); #141661=VECTOR('',#445289,10.); #141662=VECTOR('',#445290,10.); #141663=VECTOR('',#445291,10.); #141664=VECTOR('',#445294,10.); #141665=VECTOR('',#445295,10.); #141666=VECTOR('',#445296,10.); #141667=VECTOR('',#445299,10.); #141668=VECTOR('',#445300,10.); #141669=VECTOR('',#445301,10.); #141670=VECTOR('',#445304,10.); #141671=VECTOR('',#445305,10.); #141672=VECTOR('',#445306,10.); #141673=VECTOR('',#445309,10.); #141674=VECTOR('',#445310,10.); #141675=VECTOR('',#445311,10.); #141676=VECTOR('',#445314,10.); #141677=VECTOR('',#445315,10.); #141678=VECTOR('',#445316,10.); #141679=VECTOR('',#445319,10.); #141680=VECTOR('',#445320,10.); #141681=VECTOR('',#445321,10.); #141682=VECTOR('',#445324,10.); #141683=VECTOR('',#445325,10.); #141684=VECTOR('',#445326,10.); #141685=VECTOR('',#445329,10.); #141686=VECTOR('',#445330,10.); #141687=VECTOR('',#445331,10.); #141688=VECTOR('',#445334,10.); #141689=VECTOR('',#445335,10.); #141690=VECTOR('',#445336,10.); #141691=VECTOR('',#445339,10.); #141692=VECTOR('',#445340,10.); #141693=VECTOR('',#445341,10.); #141694=VECTOR('',#445344,10.); #141695=VECTOR('',#445345,10.); #141696=VECTOR('',#445346,10.); #141697=VECTOR('',#445349,10.); #141698=VECTOR('',#445350,10.); #141699=VECTOR('',#445351,10.); #141700=VECTOR('',#445354,10.); #141701=VECTOR('',#445355,10.); #141702=VECTOR('',#445356,10.); #141703=VECTOR('',#445359,10.); #141704=VECTOR('',#445360,10.); #141705=VECTOR('',#445361,10.); #141706=VECTOR('',#445364,10.); #141707=VECTOR('',#445365,10.); #141708=VECTOR('',#445366,10.); #141709=VECTOR('',#445369,10.); #141710=VECTOR('',#445370,10.); #141711=VECTOR('',#445371,10.); #141712=VECTOR('',#445374,10.); #141713=VECTOR('',#445375,10.); #141714=VECTOR('',#445376,10.); #141715=VECTOR('',#445379,10.); #141716=VECTOR('',#445380,10.); #141717=VECTOR('',#445381,10.); #141718=VECTOR('',#445384,10.); #141719=VECTOR('',#445385,10.); #141720=VECTOR('',#445386,10.); #141721=VECTOR('',#445389,10.); #141722=VECTOR('',#445390,10.); #141723=VECTOR('',#445391,10.); #141724=VECTOR('',#445394,10.); #141725=VECTOR('',#445395,10.); #141726=VECTOR('',#445396,10.); #141727=VECTOR('',#445399,10.); #141728=VECTOR('',#445400,10.); #141729=VECTOR('',#445401,10.); #141730=VECTOR('',#445404,10.); #141731=VECTOR('',#445405,10.); #141732=VECTOR('',#445406,10.); #141733=VECTOR('',#445409,10.); #141734=VECTOR('',#445410,10.); #141735=VECTOR('',#445411,10.); #141736=VECTOR('',#445414,10.); #141737=VECTOR('',#445415,10.); #141738=VECTOR('',#445416,10.); #141739=VECTOR('',#445419,10.); #141740=VECTOR('',#445420,10.); #141741=VECTOR('',#445429,0.465); #141742=VECTOR('',#445434,10.); #141743=VECTOR('',#445435,10.); #141744=VECTOR('',#445436,10.); #141745=VECTOR('',#445437,10.); #141746=VECTOR('',#445444,10.); #141747=VECTOR('',#445459,0.465); #141748=VECTOR('',#445464,10.); #141749=VECTOR('',#445465,10.); #141750=VECTOR('',#445466,10.); #141751=VECTOR('',#445467,10.); #141752=VECTOR('',#445474,10.); #141753=VECTOR('',#445489,0.465); #141754=VECTOR('',#445494,10.); #141755=VECTOR('',#445495,10.); #141756=VECTOR('',#445496,10.); #141757=VECTOR('',#445497,10.); #141758=VECTOR('',#445504,10.); #141759=VECTOR('',#445519,0.564999999999998); #141760=VECTOR('',#445524,10.); #141761=VECTOR('',#445525,10.); #141762=VECTOR('',#445526,10.); #141763=VECTOR('',#445527,10.); #141764=VECTOR('',#445530,10.); #141765=VECTOR('',#445531,10.); #141766=VECTOR('',#445532,10.); #141767=VECTOR('',#445535,10.); #141768=VECTOR('',#445536,10.); #141769=VECTOR('',#445537,10.); #141770=VECTOR('',#445540,10.); #141771=VECTOR('',#445541,10.); #141772=VECTOR('',#445550,0.465); #141773=VECTOR('',#445555,10.); #141774=VECTOR('',#445556,10.); #141775=VECTOR('',#445557,10.); #141776=VECTOR('',#445558,10.); #141777=VECTOR('',#445565,10.); #141778=VECTOR('',#445578,10.); #141779=VECTOR('',#445579,10.); #141780=VECTOR('',#445580,10.); #141781=VECTOR('',#445581,10.); #141782=VECTOR('',#445584,10.); #141783=VECTOR('',#445585,10.); #141784=VECTOR('',#445586,10.); #141785=VECTOR('',#445589,10.); #141786=VECTOR('',#445590,10.); #141787=VECTOR('',#445591,10.); #141788=VECTOR('',#445594,10.); #141789=VECTOR('',#445595,10.); #141790=VECTOR('',#445602,10.); #141791=VECTOR('',#445603,10.); #141792=VECTOR('',#445604,10.); #141793=VECTOR('',#445605,10.); #141794=VECTOR('',#445608,10.); #141795=VECTOR('',#445609,10.); #141796=VECTOR('',#445610,10.); #141797=VECTOR('',#445613,10.); #141798=VECTOR('',#445614,10.); #141799=VECTOR('',#445615,10.); #141800=VECTOR('',#445618,10.); #141801=VECTOR('',#445619,10.); #141802=VECTOR('',#445626,10.); #141803=VECTOR('',#445627,10.); #141804=VECTOR('',#445628,10.); #141805=VECTOR('',#445629,10.); #141806=VECTOR('',#445632,10.); #141807=VECTOR('',#445633,10.); #141808=VECTOR('',#445634,10.); #141809=VECTOR('',#445637,10.); #141810=VECTOR('',#445638,10.); #141811=VECTOR('',#445639,10.); #141812=VECTOR('',#445642,10.); #141813=VECTOR('',#445643,10.); #141814=VECTOR('',#445650,10.); #141815=VECTOR('',#445651,10.); #141816=VECTOR('',#445652,10.); #141817=VECTOR('',#445653,10.); #141818=VECTOR('',#445656,10.); #141819=VECTOR('',#445657,10.); #141820=VECTOR('',#445658,10.); #141821=VECTOR('',#445661,10.); #141822=VECTOR('',#445662,10.); #141823=VECTOR('',#445663,10.); #141824=VECTOR('',#445666,10.); #141825=VECTOR('',#445667,10.); #141826=VECTOR('',#445674,10.); #141827=VECTOR('',#445675,10.); #141828=VECTOR('',#445676,10.); #141829=VECTOR('',#445677,10.); #141830=VECTOR('',#445680,10.); #141831=VECTOR('',#445681,10.); #141832=VECTOR('',#445682,10.); #141833=VECTOR('',#445685,10.); #141834=VECTOR('',#445686,10.); #141835=VECTOR('',#445687,10.); #141836=VECTOR('',#445690,10.); #141837=VECTOR('',#445691,10.); #141838=VECTOR('',#445698,10.); #141839=VECTOR('',#445699,10.); #141840=VECTOR('',#445700,10.); #141841=VECTOR('',#445701,10.); #141842=VECTOR('',#445704,10.); #141843=VECTOR('',#445705,10.); #141844=VECTOR('',#445706,10.); #141845=VECTOR('',#445709,10.); #141846=VECTOR('',#445710,10.); #141847=VECTOR('',#445711,10.); #141848=VECTOR('',#445714,10.); #141849=VECTOR('',#445715,10.); #141850=VECTOR('',#445722,10.); #141851=VECTOR('',#445723,10.); #141852=VECTOR('',#445724,10.); #141853=VECTOR('',#445725,10.); #141854=VECTOR('',#445728,10.); #141855=VECTOR('',#445729,10.); #141856=VECTOR('',#445730,10.); #141857=VECTOR('',#445733,10.); #141858=VECTOR('',#445734,10.); #141859=VECTOR('',#445735,10.); #141860=VECTOR('',#445738,10.); #141861=VECTOR('',#445739,10.); #141862=VECTOR('',#445746,10.); #141863=VECTOR('',#445747,10.); #141864=VECTOR('',#445748,10.); #141865=VECTOR('',#445749,10.); #141866=VECTOR('',#445752,10.); #141867=VECTOR('',#445753,10.); #141868=VECTOR('',#445754,10.); #141869=VECTOR('',#445757,10.); #141870=VECTOR('',#445758,10.); #141871=VECTOR('',#445759,10.); #141872=VECTOR('',#445762,10.); #141873=VECTOR('',#445763,10.); #141874=VECTOR('',#445770,10.); #141875=VECTOR('',#445771,10.); #141876=VECTOR('',#445772,10.); #141877=VECTOR('',#445773,10.); #141878=VECTOR('',#445776,10.); #141879=VECTOR('',#445777,10.); #141880=VECTOR('',#445778,10.); #141881=VECTOR('',#445781,10.); #141882=VECTOR('',#445782,10.); #141883=VECTOR('',#445783,10.); #141884=VECTOR('',#445786,10.); #141885=VECTOR('',#445787,10.); #141886=VECTOR('',#445794,10.); #141887=VECTOR('',#445795,10.); #141888=VECTOR('',#445796,10.); #141889=VECTOR('',#445797,10.); #141890=VECTOR('',#445800,10.); #141891=VECTOR('',#445801,10.); #141892=VECTOR('',#445802,10.); #141893=VECTOR('',#445805,10.); #141894=VECTOR('',#445806,10.); #141895=VECTOR('',#445807,10.); #141896=VECTOR('',#445810,10.); #141897=VECTOR('',#445811,10.); #141898=VECTOR('',#445818,10.); #141899=VECTOR('',#445819,10.); #141900=VECTOR('',#445820,10.); #141901=VECTOR('',#445821,10.); #141902=VECTOR('',#445824,10.); #141903=VECTOR('',#445825,10.); #141904=VECTOR('',#445826,10.); #141905=VECTOR('',#445829,10.); #141906=VECTOR('',#445830,10.); #141907=VECTOR('',#445831,10.); #141908=VECTOR('',#445834,10.); #141909=VECTOR('',#445835,10.); #141910=VECTOR('',#445842,10.); #141911=VECTOR('',#445843,10.); #141912=VECTOR('',#445844,10.); #141913=VECTOR('',#445845,10.); #141914=VECTOR('',#445848,10.); #141915=VECTOR('',#445849,10.); #141916=VECTOR('',#445850,10.); #141917=VECTOR('',#445853,10.); #141918=VECTOR('',#445854,10.); #141919=VECTOR('',#445855,10.); #141920=VECTOR('',#445858,10.); #141921=VECTOR('',#445859,10.); #141922=VECTOR('',#445866,10.); #141923=VECTOR('',#445867,10.); #141924=VECTOR('',#445868,10.); #141925=VECTOR('',#445869,10.); #141926=VECTOR('',#445872,10.); #141927=VECTOR('',#445873,10.); #141928=VECTOR('',#445874,10.); #141929=VECTOR('',#445877,10.); #141930=VECTOR('',#445878,10.); #141931=VECTOR('',#445879,10.); #141932=VECTOR('',#445882,10.); #141933=VECTOR('',#445883,10.); #141934=VECTOR('',#445890,10.); #141935=VECTOR('',#445891,10.); #141936=VECTOR('',#445892,10.); #141937=VECTOR('',#445893,10.); #141938=VECTOR('',#445896,10.); #141939=VECTOR('',#445897,10.); #141940=VECTOR('',#445898,10.); #141941=VECTOR('',#445901,10.); #141942=VECTOR('',#445902,10.); #141943=VECTOR('',#445903,10.); #141944=VECTOR('',#445906,10.); #141945=VECTOR('',#445907,10.); #141946=VECTOR('',#445914,10.); #141947=VECTOR('',#445915,10.); #141948=VECTOR('',#445916,10.); #141949=VECTOR('',#445917,10.); #141950=VECTOR('',#445920,10.); #141951=VECTOR('',#445921,10.); #141952=VECTOR('',#445922,10.); #141953=VECTOR('',#445925,10.); #141954=VECTOR('',#445926,10.); #141955=VECTOR('',#445927,10.); #141956=VECTOR('',#445930,10.); #141957=VECTOR('',#445931,10.); #141958=VECTOR('',#445938,10.); #141959=VECTOR('',#445939,10.); #141960=VECTOR('',#445940,10.); #141961=VECTOR('',#445941,10.); #141962=VECTOR('',#445944,10.); #141963=VECTOR('',#445945,10.); #141964=VECTOR('',#445946,10.); #141965=VECTOR('',#445949,10.); #141966=VECTOR('',#445950,10.); #141967=VECTOR('',#445951,10.); #141968=VECTOR('',#445954,10.); #141969=VECTOR('',#445955,10.); #141970=VECTOR('',#445962,10.); #141971=VECTOR('',#445963,10.); #141972=VECTOR('',#445964,10.); #141973=VECTOR('',#445965,10.); #141974=VECTOR('',#445968,10.); #141975=VECTOR('',#445969,10.); #141976=VECTOR('',#445970,10.); #141977=VECTOR('',#445973,10.); #141978=VECTOR('',#445974,10.); #141979=VECTOR('',#445975,10.); #141980=VECTOR('',#445978,10.); #141981=VECTOR('',#445979,10.); #141982=VECTOR('',#445986,10.); #141983=VECTOR('',#445987,10.); #141984=VECTOR('',#445988,10.); #141985=VECTOR('',#445989,10.); #141986=VECTOR('',#445992,10.); #141987=VECTOR('',#445993,10.); #141988=VECTOR('',#445994,10.); #141989=VECTOR('',#445997,10.); #141990=VECTOR('',#445998,10.); #141991=VECTOR('',#445999,10.); #141992=VECTOR('',#446002,10.); #141993=VECTOR('',#446003,10.); #141994=VECTOR('',#446010,10.); #141995=VECTOR('',#446011,10.); #141996=VECTOR('',#446012,10.); #141997=VECTOR('',#446013,10.); #141998=VECTOR('',#446016,10.); #141999=VECTOR('',#446017,10.); #142000=VECTOR('',#446018,10.); #142001=VECTOR('',#446021,10.); #142002=VECTOR('',#446022,10.); #142003=VECTOR('',#446023,10.); #142004=VECTOR('',#446026,10.); #142005=VECTOR('',#446027,10.); #142006=VECTOR('',#446034,10.); #142007=VECTOR('',#446035,10.); #142008=VECTOR('',#446036,10.); #142009=VECTOR('',#446037,10.); #142010=VECTOR('',#446040,10.); #142011=VECTOR('',#446041,10.); #142012=VECTOR('',#446042,10.); #142013=VECTOR('',#446045,10.); #142014=VECTOR('',#446046,10.); #142015=VECTOR('',#446047,10.); #142016=VECTOR('',#446050,10.); #142017=VECTOR('',#446051,10.); #142018=VECTOR('',#446058,10.); #142019=VECTOR('',#446059,10.); #142020=VECTOR('',#446060,10.); #142021=VECTOR('',#446061,10.); #142022=VECTOR('',#446064,10.); #142023=VECTOR('',#446065,10.); #142024=VECTOR('',#446066,10.); #142025=VECTOR('',#446069,10.); #142026=VECTOR('',#446070,10.); #142027=VECTOR('',#446071,10.); #142028=VECTOR('',#446074,10.); #142029=VECTOR('',#446075,10.); #142030=VECTOR('',#446082,10.); #142031=VECTOR('',#446083,10.); #142032=VECTOR('',#446084,10.); #142033=VECTOR('',#446085,10.); #142034=VECTOR('',#446088,10.); #142035=VECTOR('',#446089,10.); #142036=VECTOR('',#446090,10.); #142037=VECTOR('',#446093,10.); #142038=VECTOR('',#446094,10.); #142039=VECTOR('',#446095,10.); #142040=VECTOR('',#446098,10.); #142041=VECTOR('',#446099,10.); #142042=VECTOR('',#446106,10.); #142043=VECTOR('',#446107,10.); #142044=VECTOR('',#446108,10.); #142045=VECTOR('',#446109,10.); #142046=VECTOR('',#446112,10.); #142047=VECTOR('',#446113,10.); #142048=VECTOR('',#446114,10.); #142049=VECTOR('',#446117,10.); #142050=VECTOR('',#446118,10.); #142051=VECTOR('',#446119,10.); #142052=VECTOR('',#446122,10.); #142053=VECTOR('',#446123,10.); #142054=VECTOR('',#446132,0.114999999999998); #142055=VECTOR('',#446139,0.114999999999998); #142056=VECTOR('',#446144,10.); #142057=VECTOR('',#446145,10.); #142058=VECTOR('',#446146,10.); #142059=VECTOR('',#446147,10.); #142060=VECTOR('',#446150,10.); #142061=VECTOR('',#446151,10.); #142062=VECTOR('',#446152,10.); #142063=VECTOR('',#446155,10.); #142064=VECTOR('',#446156,10.); #142065=VECTOR('',#446157,10.); #142066=VECTOR('',#446160,10.); #142067=VECTOR('',#446161,10.); #142068=VECTOR('',#446168,10.); #142069=VECTOR('',#446169,10.); #142070=VECTOR('',#446170,10.); #142071=VECTOR('',#446171,10.); #142072=VECTOR('',#446174,10.); #142073=VECTOR('',#446175,10.); #142074=VECTOR('',#446176,10.); #142075=VECTOR('',#446179,10.); #142076=VECTOR('',#446180,10.); #142077=VECTOR('',#446181,10.); #142078=VECTOR('',#446184,10.); #142079=VECTOR('',#446185,10.); #142080=VECTOR('',#446194,0.114999999999998); #142081=VECTOR('',#446201,0.114999999999998); #142082=VECTOR('',#446206,10.); #142083=VECTOR('',#446207,10.); #142084=VECTOR('',#446208,10.); #142085=VECTOR('',#446209,10.); #142086=VECTOR('',#446212,10.); #142087=VECTOR('',#446213,10.); #142088=VECTOR('',#446214,10.); #142089=VECTOR('',#446217,10.); #142090=VECTOR('',#446218,10.); #142091=VECTOR('',#446219,10.); #142092=VECTOR('',#446222,10.); #142093=VECTOR('',#446223,10.); #142094=VECTOR('',#446230,10.); #142095=VECTOR('',#446231,10.); #142096=VECTOR('',#446232,10.); #142097=VECTOR('',#446233,10.); #142098=VECTOR('',#446236,10.); #142099=VECTOR('',#446237,10.); #142100=VECTOR('',#446238,10.); #142101=VECTOR('',#446241,10.); #142102=VECTOR('',#446242,10.); #142103=VECTOR('',#446243,10.); #142104=VECTOR('',#446246,10.); #142105=VECTOR('',#446247,10.); #142106=VECTOR('',#446254,10.); #142107=VECTOR('',#446255,10.); #142108=VECTOR('',#446256,10.); #142109=VECTOR('',#446257,10.); #142110=VECTOR('',#446260,10.); #142111=VECTOR('',#446261,10.); #142112=VECTOR('',#446262,10.); #142113=VECTOR('',#446265,10.); #142114=VECTOR('',#446266,10.); #142115=VECTOR('',#446267,10.); #142116=VECTOR('',#446270,10.); #142117=VECTOR('',#446271,10.); #142118=VECTOR('',#446278,10.); #142119=VECTOR('',#446279,10.); #142120=VECTOR('',#446280,10.); #142121=VECTOR('',#446281,10.); #142122=VECTOR('',#446284,10.); #142123=VECTOR('',#446285,10.); #142124=VECTOR('',#446286,10.); #142125=VECTOR('',#446289,10.); #142126=VECTOR('',#446290,10.); #142127=VECTOR('',#446291,10.); #142128=VECTOR('',#446294,10.); #142129=VECTOR('',#446295,10.); #142130=VECTOR('',#446302,10.); #142131=VECTOR('',#446303,10.); #142132=VECTOR('',#446304,10.); #142133=VECTOR('',#446305,10.); #142134=VECTOR('',#446308,10.); #142135=VECTOR('',#446309,10.); #142136=VECTOR('',#446310,10.); #142137=VECTOR('',#446313,10.); #142138=VECTOR('',#446314,10.); #142139=VECTOR('',#446315,10.); #142140=VECTOR('',#446318,10.); #142141=VECTOR('',#446319,10.); #142142=VECTOR('',#446326,10.); #142143=VECTOR('',#446327,10.); #142144=VECTOR('',#446328,10.); #142145=VECTOR('',#446329,10.); #142146=VECTOR('',#446332,10.); #142147=VECTOR('',#446333,10.); #142148=VECTOR('',#446334,10.); #142149=VECTOR('',#446337,10.); #142150=VECTOR('',#446338,10.); #142151=VECTOR('',#446339,10.); #142152=VECTOR('',#446342,10.); #142153=VECTOR('',#446343,10.); #142154=VECTOR('',#446350,10.); #142155=VECTOR('',#446351,10.); #142156=VECTOR('',#446352,10.); #142157=VECTOR('',#446353,10.); #142158=VECTOR('',#446356,10.); #142159=VECTOR('',#446357,10.); #142160=VECTOR('',#446358,10.); #142161=VECTOR('',#446361,10.); #142162=VECTOR('',#446362,10.); #142163=VECTOR('',#446363,10.); #142164=VECTOR('',#446366,10.); #142165=VECTOR('',#446367,10.); #142166=VECTOR('',#446374,10.); #142167=VECTOR('',#446375,10.); #142168=VECTOR('',#446376,10.); #142169=VECTOR('',#446377,10.); #142170=VECTOR('',#446380,10.); #142171=VECTOR('',#446381,10.); #142172=VECTOR('',#446382,10.); #142173=VECTOR('',#446385,10.); #142174=VECTOR('',#446386,10.); #142175=VECTOR('',#446387,10.); #142176=VECTOR('',#446390,10.); #142177=VECTOR('',#446391,10.); #142178=VECTOR('',#446398,10.); #142179=VECTOR('',#446399,10.); #142180=VECTOR('',#446400,10.); #142181=VECTOR('',#446401,10.); #142182=VECTOR('',#446404,10.); #142183=VECTOR('',#446405,10.); #142184=VECTOR('',#446406,10.); #142185=VECTOR('',#446409,10.); #142186=VECTOR('',#446410,10.); #142187=VECTOR('',#446411,10.); #142188=VECTOR('',#446414,10.); #142189=VECTOR('',#446415,10.); #142190=VECTOR('',#446422,10.); #142191=VECTOR('',#446423,10.); #142192=VECTOR('',#446424,10.); #142193=VECTOR('',#446425,10.); #142194=VECTOR('',#446428,10.); #142195=VECTOR('',#446429,10.); #142196=VECTOR('',#446430,10.); #142197=VECTOR('',#446433,10.); #142198=VECTOR('',#446434,10.); #142199=VECTOR('',#446435,10.); #142200=VECTOR('',#446438,10.); #142201=VECTOR('',#446439,10.); #142202=VECTOR('',#446446,10.); #142203=VECTOR('',#446447,10.); #142204=VECTOR('',#446448,10.); #142205=VECTOR('',#446449,10.); #142206=VECTOR('',#446452,10.); #142207=VECTOR('',#446453,10.); #142208=VECTOR('',#446454,10.); #142209=VECTOR('',#446457,10.); #142210=VECTOR('',#446458,10.); #142211=VECTOR('',#446459,10.); #142212=VECTOR('',#446462,10.); #142213=VECTOR('',#446463,10.); #142214=VECTOR('',#446470,10.); #142215=VECTOR('',#446471,10.); #142216=VECTOR('',#446472,10.); #142217=VECTOR('',#446473,10.); #142218=VECTOR('',#446476,10.); #142219=VECTOR('',#446477,10.); #142220=VECTOR('',#446478,10.); #142221=VECTOR('',#446481,10.); #142222=VECTOR('',#446482,10.); #142223=VECTOR('',#446483,10.); #142224=VECTOR('',#446486,10.); #142225=VECTOR('',#446487,10.); #142226=VECTOR('',#446494,10.); #142227=VECTOR('',#446495,10.); #142228=VECTOR('',#446496,10.); #142229=VECTOR('',#446497,10.); #142230=VECTOR('',#446500,10.); #142231=VECTOR('',#446501,10.); #142232=VECTOR('',#446502,10.); #142233=VECTOR('',#446505,10.); #142234=VECTOR('',#446506,10.); #142235=VECTOR('',#446507,10.); #142236=VECTOR('',#446510,10.); #142237=VECTOR('',#446511,10.); #142238=VECTOR('',#446518,10.); #142239=VECTOR('',#446519,10.); #142240=VECTOR('',#446520,10.); #142241=VECTOR('',#446521,10.); #142242=VECTOR('',#446524,10.); #142243=VECTOR('',#446525,10.); #142244=VECTOR('',#446526,10.); #142245=VECTOR('',#446529,10.); #142246=VECTOR('',#446530,10.); #142247=VECTOR('',#446531,10.); #142248=VECTOR('',#446534,10.); #142249=VECTOR('',#446535,10.); #142250=VECTOR('',#446542,10.); #142251=VECTOR('',#446543,10.); #142252=VECTOR('',#446544,10.); #142253=VECTOR('',#446545,10.); #142254=VECTOR('',#446548,10.); #142255=VECTOR('',#446549,10.); #142256=VECTOR('',#446550,10.); #142257=VECTOR('',#446553,10.); #142258=VECTOR('',#446554,10.); #142259=VECTOR('',#446555,10.); #142260=VECTOR('',#446558,10.); #142261=VECTOR('',#446559,10.); #142262=VECTOR('',#446566,10.); #142263=VECTOR('',#446567,10.); #142264=VECTOR('',#446568,10.); #142265=VECTOR('',#446569,10.); #142266=VECTOR('',#446572,10.); #142267=VECTOR('',#446573,10.); #142268=VECTOR('',#446574,10.); #142269=VECTOR('',#446577,10.); #142270=VECTOR('',#446578,10.); #142271=VECTOR('',#446579,10.); #142272=VECTOR('',#446582,10.); #142273=VECTOR('',#446583,10.); #142274=VECTOR('',#446590,10.); #142275=VECTOR('',#446591,10.); #142276=VECTOR('',#446592,10.); #142277=VECTOR('',#446593,10.); #142278=VECTOR('',#446596,10.); #142279=VECTOR('',#446597,10.); #142280=VECTOR('',#446598,10.); #142281=VECTOR('',#446601,10.); #142282=VECTOR('',#446602,10.); #142283=VECTOR('',#446603,10.); #142284=VECTOR('',#446606,10.); #142285=VECTOR('',#446607,10.); #142286=VECTOR('',#446616,0.139999999999998); #142287=VECTOR('',#446621,10.); #142288=VECTOR('',#446622,10.); #142289=VECTOR('',#446623,10.); #142290=VECTOR('',#446624,10.); #142291=VECTOR('',#446627,10.); #142292=VECTOR('',#446628,10.); #142293=VECTOR('',#446629,10.); #142294=VECTOR('',#446632,10.); #142295=VECTOR('',#446633,10.); #142296=VECTOR('',#446634,10.); #142297=VECTOR('',#446637,10.); #142298=VECTOR('',#446638,10.); #142299=VECTOR('',#446645,10.); #142300=VECTOR('',#446646,10.); #142301=VECTOR('',#446647,10.); #142302=VECTOR('',#446648,10.); #142303=VECTOR('',#446651,10.); #142304=VECTOR('',#446652,10.); #142305=VECTOR('',#446653,10.); #142306=VECTOR('',#446656,10.); #142307=VECTOR('',#446657,10.); #142308=VECTOR('',#446658,10.); #142309=VECTOR('',#446661,10.); #142310=VECTOR('',#446662,10.); #142311=VECTOR('',#446669,10.); #142312=VECTOR('',#446670,10.); #142313=VECTOR('',#446671,10.); #142314=VECTOR('',#446672,10.); #142315=VECTOR('',#446675,10.); #142316=VECTOR('',#446676,10.); #142317=VECTOR('',#446677,10.); #142318=VECTOR('',#446680,10.); #142319=VECTOR('',#446681,10.); #142320=VECTOR('',#446682,10.); #142321=VECTOR('',#446685,10.); #142322=VECTOR('',#446686,10.); #142323=VECTOR('',#446693,10.); #142324=VECTOR('',#446694,10.); #142325=VECTOR('',#446695,10.); #142326=VECTOR('',#446696,10.); #142327=VECTOR('',#446699,10.); #142328=VECTOR('',#446700,10.); #142329=VECTOR('',#446701,10.); #142330=VECTOR('',#446704,10.); #142331=VECTOR('',#446705,10.); #142332=VECTOR('',#446706,10.); #142333=VECTOR('',#446709,10.); #142334=VECTOR('',#446710,10.); #142335=VECTOR('',#446717,10.); #142336=VECTOR('',#446718,10.); #142337=VECTOR('',#446719,10.); #142338=VECTOR('',#446720,10.); #142339=VECTOR('',#446723,10.); #142340=VECTOR('',#446724,10.); #142341=VECTOR('',#446725,10.); #142342=VECTOR('',#446728,10.); #142343=VECTOR('',#446729,10.); #142344=VECTOR('',#446730,10.); #142345=VECTOR('',#446733,10.); #142346=VECTOR('',#446734,10.); #142347=VECTOR('',#446743,0.140000000000002); #142348=VECTOR('',#446750,0.140000000000002); #142349=VECTOR('',#446757,0.140000000000002); #142350=VECTOR('',#446764,0.140000000000002); #142351=VECTOR('',#446771,0.140000000000002); #142352=VECTOR('',#446778,0.140000000000002); #142353=VECTOR('',#446785,0.140000000000002); #142354=VECTOR('',#446792,0.140000000000002); #142355=VECTOR('',#446799,0.140000000000002); #142356=VECTOR('',#446806,0.140000000000002); #142357=VECTOR('',#446813,0.140000000000002); #142358=VECTOR('',#446820,0.140000000000002); #142359=VECTOR('',#446827,0.140000000000002); #142360=VECTOR('',#446834,0.140000000000002); #142361=VECTOR('',#446841,0.140000000000002); #142362=VECTOR('',#446846,10.); #142363=VECTOR('',#446847,10.); #142364=VECTOR('',#446848,10.); #142365=VECTOR('',#446849,10.); #142366=VECTOR('',#446852,10.); #142367=VECTOR('',#446853,10.); #142368=VECTOR('',#446854,10.); #142369=VECTOR('',#446857,10.); #142370=VECTOR('',#446858,10.); #142371=VECTOR('',#446859,10.); #142372=VECTOR('',#446862,10.); #142373=VECTOR('',#446863,10.); #142374=VECTOR('',#446870,10.); #142375=VECTOR('',#446871,10.); #142376=VECTOR('',#446872,10.); #142377=VECTOR('',#446873,10.); #142378=VECTOR('',#446876,10.); #142379=VECTOR('',#446877,10.); #142380=VECTOR('',#446878,10.); #142381=VECTOR('',#446881,10.); #142382=VECTOR('',#446882,10.); #142383=VECTOR('',#446883,10.); #142384=VECTOR('',#446886,10.); #142385=VECTOR('',#446887,10.); #142386=VECTOR('',#446894,10.); #142387=VECTOR('',#446895,10.); #142388=VECTOR('',#446896,10.); #142389=VECTOR('',#446897,10.); #142390=VECTOR('',#446900,10.); #142391=VECTOR('',#446901,10.); #142392=VECTOR('',#446902,10.); #142393=VECTOR('',#446905,10.); #142394=VECTOR('',#446906,10.); #142395=VECTOR('',#446907,10.); #142396=VECTOR('',#446910,10.); #142397=VECTOR('',#446911,10.); #142398=VECTOR('',#446918,10.); #142399=VECTOR('',#446919,10.); #142400=VECTOR('',#446920,10.); #142401=VECTOR('',#446921,10.); #142402=VECTOR('',#446924,10.); #142403=VECTOR('',#446925,10.); #142404=VECTOR('',#446926,10.); #142405=VECTOR('',#446929,10.); #142406=VECTOR('',#446930,10.); #142407=VECTOR('',#446931,10.); #142408=VECTOR('',#446934,10.); #142409=VECTOR('',#446935,10.); #142410=VECTOR('',#446944,0.139999999999998); #142411=VECTOR('',#446949,10.); #142412=VECTOR('',#446950,10.); #142413=VECTOR('',#446951,10.); #142414=VECTOR('',#446952,10.); #142415=VECTOR('',#446955,10.); #142416=VECTOR('',#446956,10.); #142417=VECTOR('',#446957,10.); #142418=VECTOR('',#446960,10.); #142419=VECTOR('',#446961,10.); #142420=VECTOR('',#446962,10.); #142421=VECTOR('',#446965,10.); #142422=VECTOR('',#446966,10.); #142423=VECTOR('',#446973,10.); #142424=VECTOR('',#446974,10.); #142425=VECTOR('',#446975,10.); #142426=VECTOR('',#446976,10.); #142427=VECTOR('',#446979,10.); #142428=VECTOR('',#446980,10.); #142429=VECTOR('',#446981,10.); #142430=VECTOR('',#446984,10.); #142431=VECTOR('',#446985,10.); #142432=VECTOR('',#446986,10.); #142433=VECTOR('',#446989,10.); #142434=VECTOR('',#446990,10.); #142435=VECTOR('',#446997,10.); #142436=VECTOR('',#446998,10.); #142437=VECTOR('',#446999,10.); #142438=VECTOR('',#447000,10.); #142439=VECTOR('',#447003,10.); #142440=VECTOR('',#447004,10.); #142441=VECTOR('',#447005,10.); #142442=VECTOR('',#447008,10.); #142443=VECTOR('',#447009,10.); #142444=VECTOR('',#447010,10.); #142445=VECTOR('',#447013,10.); #142446=VECTOR('',#447014,10.); #142447=VECTOR('',#447021,10.); #142448=VECTOR('',#447022,10.); #142449=VECTOR('',#447023,10.); #142450=VECTOR('',#447024,10.); #142451=VECTOR('',#447027,10.); #142452=VECTOR('',#447028,10.); #142453=VECTOR('',#447029,10.); #142454=VECTOR('',#447032,10.); #142455=VECTOR('',#447033,10.); #142456=VECTOR('',#447034,10.); #142457=VECTOR('',#447037,10.); #142458=VECTOR('',#447038,10.); #142459=VECTOR('',#447045,10.); #142460=VECTOR('',#447046,10.); #142461=VECTOR('',#447047,10.); #142462=VECTOR('',#447048,10.); #142463=VECTOR('',#447051,10.); #142464=VECTOR('',#447052,10.); #142465=VECTOR('',#447053,10.); #142466=VECTOR('',#447056,10.); #142467=VECTOR('',#447057,10.); #142468=VECTOR('',#447058,10.); #142469=VECTOR('',#447061,10.); #142470=VECTOR('',#447062,10.); #142471=VECTOR('',#447071,0.139999999999998); #142472=VECTOR('',#447078,0.139999999999998); #142473=VECTOR('',#447085,0.139999999999998); #142474=VECTOR('',#447092,0.139999999999998); #142475=VECTOR('',#447099,0.139999999999998); #142476=VECTOR('',#447106,0.139999999999998); #142477=VECTOR('',#447113,0.139999999999998); #142478=VECTOR('',#447120,0.139999999999998); #142479=VECTOR('',#447127,0.139999999999998); #142480=VECTOR('',#447134,0.139999999999998); #142481=VECTOR('',#447141,0.139999999999998); #142482=VECTOR('',#447148,0.139999999999998); #142483=VECTOR('',#447155,0.139999999999998); #142484=VECTOR('',#447162,0.139999999999998); #142485=VECTOR('',#447169,0.139999999999998); #142486=VECTOR('',#447174,10.); #142487=VECTOR('',#447175,10.); #142488=VECTOR('',#447176,10.); #142489=VECTOR('',#447177,10.); #142490=VECTOR('',#447180,10.); #142491=VECTOR('',#447181,10.); #142492=VECTOR('',#447182,10.); #142493=VECTOR('',#447185,10.); #142494=VECTOR('',#447186,10.); #142495=VECTOR('',#447187,10.); #142496=VECTOR('',#447190,10.); #142497=VECTOR('',#447191,10.); #142498=VECTOR('',#447198,10.); #142499=VECTOR('',#447199,10.); #142500=VECTOR('',#447200,10.); #142501=VECTOR('',#447201,10.); #142502=VECTOR('',#447204,10.); #142503=VECTOR('',#447205,10.); #142504=VECTOR('',#447206,10.); #142505=VECTOR('',#447209,10.); #142506=VECTOR('',#447210,10.); #142507=VECTOR('',#447211,10.); #142508=VECTOR('',#447214,10.); #142509=VECTOR('',#447215,10.); #142510=VECTOR('',#447222,10.); #142511=VECTOR('',#447223,10.); #142512=VECTOR('',#447224,10.); #142513=VECTOR('',#447225,10.); #142514=VECTOR('',#447228,10.); #142515=VECTOR('',#447229,10.); #142516=VECTOR('',#447230,10.); #142517=VECTOR('',#447233,10.); #142518=VECTOR('',#447234,10.); #142519=VECTOR('',#447235,10.); #142520=VECTOR('',#447238,10.); #142521=VECTOR('',#447239,10.); #142522=VECTOR('',#447246,10.); #142523=VECTOR('',#447247,10.); #142524=VECTOR('',#447248,10.); #142525=VECTOR('',#447249,10.); #142526=VECTOR('',#447252,10.); #142527=VECTOR('',#447253,10.); #142528=VECTOR('',#447254,10.); #142529=VECTOR('',#447257,10.); #142530=VECTOR('',#447258,10.); #142531=VECTOR('',#447259,10.); #142532=VECTOR('',#447262,10.); #142533=VECTOR('',#447263,10.); #142534=VECTOR('',#447272,0.139999999999998); #142535=VECTOR('',#447277,10.); #142536=VECTOR('',#447278,10.); #142537=VECTOR('',#447279,10.); #142538=VECTOR('',#447280,10.); #142539=VECTOR('',#447283,10.); #142540=VECTOR('',#447284,10.); #142541=VECTOR('',#447285,10.); #142542=VECTOR('',#447288,10.); #142543=VECTOR('',#447289,10.); #142544=VECTOR('',#447290,10.); #142545=VECTOR('',#447293,10.); #142546=VECTOR('',#447294,10.); #142547=VECTOR('',#447303,0.139999999999998); #142548=VECTOR('',#447308,10.); #142549=VECTOR('',#447309,10.); #142550=VECTOR('',#447310,10.); #142551=VECTOR('',#447311,10.); #142552=VECTOR('',#447314,10.); #142553=VECTOR('',#447315,10.); #142554=VECTOR('',#447316,10.); #142555=VECTOR('',#447319,10.); #142556=VECTOR('',#447320,10.); #142557=VECTOR('',#447321,10.); #142558=VECTOR('',#447324,10.); #142559=VECTOR('',#447325,10.); #142560=VECTOR('',#447334,0.140000000000002); #142561=VECTOR('',#447339,10.); #142562=VECTOR('',#447340,10.); #142563=VECTOR('',#447341,10.); #142564=VECTOR('',#447342,10.); #142565=VECTOR('',#447345,10.); #142566=VECTOR('',#447346,10.); #142567=VECTOR('',#447347,10.); #142568=VECTOR('',#447350,10.); #142569=VECTOR('',#447351,10.); #142570=VECTOR('',#447352,10.); #142571=VECTOR('',#447355,10.); #142572=VECTOR('',#447356,10.); #142573=VECTOR('',#447365,0.139999999999998); #142574=VECTOR('',#447372,0.139999999999998); #142575=VECTOR('',#447379,0.139999999999998); #142576=VECTOR('',#447386,0.139999999999998); #142577=VECTOR('',#447393,0.139999999999998); #142578=VECTOR('',#447400,0.139999999999998); #142579=VECTOR('',#447407,0.139999999999998); #142580=VECTOR('',#447414,0.139999999999998); #142581=VECTOR('',#447421,0.139999999999998); #142582=VECTOR('',#447428,0.139999999999998); #142583=VECTOR('',#447435,0.139999999999998); #142584=VECTOR('',#447442,0.139999999999998); #142585=VECTOR('',#447449,0.139999999999998); #142586=VECTOR('',#447456,0.139999999999998); #142587=VECTOR('',#447463,0.139999999999998); #142588=VECTOR('',#447468,10.); #142589=VECTOR('',#447469,10.); #142590=VECTOR('',#447470,10.); #142591=VECTOR('',#447471,10.); #142592=VECTOR('',#447474,10.); #142593=VECTOR('',#447475,10.); #142594=VECTOR('',#447476,10.); #142595=VECTOR('',#447479,10.); #142596=VECTOR('',#447480,10.); #142597=VECTOR('',#447481,10.); #142598=VECTOR('',#447484,10.); #142599=VECTOR('',#447485,10.); #142600=VECTOR('',#447492,10.); #142601=VECTOR('',#447493,10.); #142602=VECTOR('',#447494,10.); #142603=VECTOR('',#447495,10.); #142604=VECTOR('',#447498,10.); #142605=VECTOR('',#447499,10.); #142606=VECTOR('',#447500,10.); #142607=VECTOR('',#447503,10.); #142608=VECTOR('',#447504,10.); #142609=VECTOR('',#447505,10.); #142610=VECTOR('',#447508,10.); #142611=VECTOR('',#447509,10.); #142612=VECTOR('',#447516,10.); #142613=VECTOR('',#447517,10.); #142614=VECTOR('',#447518,10.); #142615=VECTOR('',#447519,10.); #142616=VECTOR('',#447522,10.); #142617=VECTOR('',#447523,10.); #142618=VECTOR('',#447524,10.); #142619=VECTOR('',#447527,10.); #142620=VECTOR('',#447528,10.); #142621=VECTOR('',#447529,10.); #142622=VECTOR('',#447532,10.); #142623=VECTOR('',#447533,10.); #142624=VECTOR('',#447540,10.); #142625=VECTOR('',#447541,10.); #142626=VECTOR('',#447542,10.); #142627=VECTOR('',#447543,10.); #142628=VECTOR('',#447546,10.); #142629=VECTOR('',#447547,10.); #142630=VECTOR('',#447548,10.); #142631=VECTOR('',#447551,10.); #142632=VECTOR('',#447552,10.); #142633=VECTOR('',#447553,10.); #142634=VECTOR('',#447556,10.); #142635=VECTOR('',#447557,10.); #142636=VECTOR('',#447564,10.); #142637=VECTOR('',#447565,10.); #142638=VECTOR('',#447566,10.); #142639=VECTOR('',#447567,10.); #142640=VECTOR('',#447570,10.); #142641=VECTOR('',#447571,10.); #142642=VECTOR('',#447572,10.); #142643=VECTOR('',#447575,10.); #142644=VECTOR('',#447576,10.); #142645=VECTOR('',#447577,10.); #142646=VECTOR('',#447580,10.); #142647=VECTOR('',#447581,10.); #142648=VECTOR('',#447588,10.); #142649=VECTOR('',#447589,10.); #142650=VECTOR('',#447590,10.); #142651=VECTOR('',#447591,10.); #142652=VECTOR('',#447594,10.); #142653=VECTOR('',#447595,10.); #142654=VECTOR('',#447596,10.); #142655=VECTOR('',#447599,10.); #142656=VECTOR('',#447600,10.); #142657=VECTOR('',#447601,10.); #142658=VECTOR('',#447604,10.); #142659=VECTOR('',#447605,10.); #142660=VECTOR('',#447612,10.); #142661=VECTOR('',#447613,10.); #142662=VECTOR('',#447614,10.); #142663=VECTOR('',#447615,10.); #142664=VECTOR('',#447618,10.); #142665=VECTOR('',#447619,10.); #142666=VECTOR('',#447620,10.); #142667=VECTOR('',#447623,10.); #142668=VECTOR('',#447624,10.); #142669=VECTOR('',#447625,10.); #142670=VECTOR('',#447628,10.); #142671=VECTOR('',#447629,10.); #142672=VECTOR('',#447636,10.); #142673=VECTOR('',#447637,10.); #142674=VECTOR('',#447638,10.); #142675=VECTOR('',#447639,10.); #142676=VECTOR('',#447642,10.); #142677=VECTOR('',#447643,10.); #142678=VECTOR('',#447644,10.); #142679=VECTOR('',#447647,10.); #142680=VECTOR('',#447648,10.); #142681=VECTOR('',#447649,10.); #142682=VECTOR('',#447652,10.); #142683=VECTOR('',#447653,10.); #142684=VECTOR('',#447660,10.); #142685=VECTOR('',#447661,10.); #142686=VECTOR('',#447662,10.); #142687=VECTOR('',#447663,10.); #142688=VECTOR('',#447666,10.); #142689=VECTOR('',#447667,10.); #142690=VECTOR('',#447668,10.); #142691=VECTOR('',#447671,10.); #142692=VECTOR('',#447672,10.); #142693=VECTOR('',#447673,10.); #142694=VECTOR('',#447676,10.); #142695=VECTOR('',#447677,10.); #142696=VECTOR('',#447684,10.); #142697=VECTOR('',#447685,10.); #142698=VECTOR('',#447686,10.); #142699=VECTOR('',#447687,10.); #142700=VECTOR('',#447690,10.); #142701=VECTOR('',#447691,10.); #142702=VECTOR('',#447692,10.); #142703=VECTOR('',#447695,10.); #142704=VECTOR('',#447696,10.); #142705=VECTOR('',#447697,10.); #142706=VECTOR('',#447700,10.); #142707=VECTOR('',#447701,10.); #142708=VECTOR('',#447708,10.); #142709=VECTOR('',#447709,10.); #142710=VECTOR('',#447710,10.); #142711=VECTOR('',#447711,10.); #142712=VECTOR('',#447714,10.); #142713=VECTOR('',#447715,10.); #142714=VECTOR('',#447716,10.); #142715=VECTOR('',#447719,10.); #142716=VECTOR('',#447720,10.); #142717=VECTOR('',#447721,10.); #142718=VECTOR('',#447724,10.); #142719=VECTOR('',#447725,10.); #142720=VECTOR('',#447732,10.); #142721=VECTOR('',#447733,10.); #142722=VECTOR('',#447734,10.); #142723=VECTOR('',#447735,10.); #142724=VECTOR('',#447738,10.); #142725=VECTOR('',#447739,10.); #142726=VECTOR('',#447740,10.); #142727=VECTOR('',#447743,10.); #142728=VECTOR('',#447744,10.); #142729=VECTOR('',#447745,10.); #142730=VECTOR('',#447748,10.); #142731=VECTOR('',#447749,10.); #142732=VECTOR('',#447756,10.); #142733=VECTOR('',#447757,10.); #142734=VECTOR('',#447758,10.); #142735=VECTOR('',#447759,10.); #142736=VECTOR('',#447762,10.); #142737=VECTOR('',#447763,10.); #142738=VECTOR('',#447764,10.); #142739=VECTOR('',#447767,10.); #142740=VECTOR('',#447768,10.); #142741=VECTOR('',#447769,10.); #142742=VECTOR('',#447772,10.); #142743=VECTOR('',#447773,10.); #142744=VECTOR('',#447780,10.); #142745=VECTOR('',#447781,10.); #142746=VECTOR('',#447782,10.); #142747=VECTOR('',#447783,10.); #142748=VECTOR('',#447786,10.); #142749=VECTOR('',#447787,10.); #142750=VECTOR('',#447788,10.); #142751=VECTOR('',#447791,10.); #142752=VECTOR('',#447792,10.); #142753=VECTOR('',#447793,10.); #142754=VECTOR('',#447796,10.); #142755=VECTOR('',#447797,10.); #142756=VECTOR('',#447804,10.); #142757=VECTOR('',#447805,10.); #142758=VECTOR('',#447806,10.); #142759=VECTOR('',#447807,10.); #142760=VECTOR('',#447810,10.); #142761=VECTOR('',#447811,10.); #142762=VECTOR('',#447812,10.); #142763=VECTOR('',#447815,10.); #142764=VECTOR('',#447816,10.); #142765=VECTOR('',#447817,10.); #142766=VECTOR('',#447820,10.); #142767=VECTOR('',#447821,10.); #142768=VECTOR('',#447828,10.); #142769=VECTOR('',#447829,10.); #142770=VECTOR('',#447830,10.); #142771=VECTOR('',#447831,10.); #142772=VECTOR('',#447834,10.); #142773=VECTOR('',#447835,10.); #142774=VECTOR('',#447836,10.); #142775=VECTOR('',#447839,10.); #142776=VECTOR('',#447840,10.); #142777=VECTOR('',#447841,10.); #142778=VECTOR('',#447844,10.); #142779=VECTOR('',#447845,10.); #142780=VECTOR('',#447852,10.); #142781=VECTOR('',#447853,10.); #142782=VECTOR('',#447854,10.); #142783=VECTOR('',#447855,10.); #142784=VECTOR('',#447858,10.); #142785=VECTOR('',#447859,10.); #142786=VECTOR('',#447860,10.); #142787=VECTOR('',#447863,10.); #142788=VECTOR('',#447864,10.); #142789=VECTOR('',#447865,10.); #142790=VECTOR('',#447868,10.); #142791=VECTOR('',#447869,10.); #142792=VECTOR('',#447876,10.); #142793=VECTOR('',#447877,10.); #142794=VECTOR('',#447878,10.); #142795=VECTOR('',#447879,10.); #142796=VECTOR('',#447882,10.); #142797=VECTOR('',#447883,10.); #142798=VECTOR('',#447884,10.); #142799=VECTOR('',#447887,10.); #142800=VECTOR('',#447888,10.); #142801=VECTOR('',#447889,10.); #142802=VECTOR('',#447892,10.); #142803=VECTOR('',#447893,10.); #142804=VECTOR('',#447900,10.); #142805=VECTOR('',#447901,10.); #142806=VECTOR('',#447902,10.); #142807=VECTOR('',#447903,10.); #142808=VECTOR('',#447906,10.); #142809=VECTOR('',#447907,10.); #142810=VECTOR('',#447908,10.); #142811=VECTOR('',#447911,10.); #142812=VECTOR('',#447912,10.); #142813=VECTOR('',#447913,10.); #142814=VECTOR('',#447916,10.); #142815=VECTOR('',#447917,10.); #142816=VECTOR('',#447924,10.); #142817=VECTOR('',#447925,10.); #142818=VECTOR('',#447926,10.); #142819=VECTOR('',#447927,10.); #142820=VECTOR('',#447930,10.); #142821=VECTOR('',#447931,10.); #142822=VECTOR('',#447932,10.); #142823=VECTOR('',#447935,10.); #142824=VECTOR('',#447936,10.); #142825=VECTOR('',#447937,10.); #142826=VECTOR('',#447940,10.); #142827=VECTOR('',#447941,10.); #142828=VECTOR('',#447948,10.); #142829=VECTOR('',#447949,10.); #142830=VECTOR('',#447950,10.); #142831=VECTOR('',#447951,10.); #142832=VECTOR('',#447954,10.); #142833=VECTOR('',#447955,10.); #142834=VECTOR('',#447956,10.); #142835=VECTOR('',#447959,10.); #142836=VECTOR('',#447960,10.); #142837=VECTOR('',#447961,10.); #142838=VECTOR('',#447964,10.); #142839=VECTOR('',#447965,10.); #142840=VECTOR('',#447974,0.140000000000002); #142841=VECTOR('',#447981,0.140000000000002); #142842=VECTOR('',#447988,0.140000000000002); #142843=VECTOR('',#447995,0.140000000000002); #142844=VECTOR('',#448002,0.140000000000002); #142845=VECTOR('',#448009,0.140000000000002); #142846=VECTOR('',#448016,0.140000000000002); #142847=VECTOR('',#448023,0.140000000000002); #142848=VECTOR('',#448030,0.140000000000002); #142849=VECTOR('',#448037,0.140000000000002); #142850=VECTOR('',#448044,0.140000000000002); #142851=VECTOR('',#448051,0.140000000000002); #142852=VECTOR('',#448058,0.140000000000002); #142853=VECTOR('',#448065,0.140000000000002); #142854=VECTOR('',#448072,0.140000000000002); #142855=VECTOR('',#448077,10.); #142856=VECTOR('',#448078,10.); #142857=VECTOR('',#448079,10.); #142858=VECTOR('',#448080,10.); #142859=VECTOR('',#448083,10.); #142860=VECTOR('',#448084,10.); #142861=VECTOR('',#448085,10.); #142862=VECTOR('',#448088,10.); #142863=VECTOR('',#448089,10.); #142864=VECTOR('',#448090,10.); #142865=VECTOR('',#448093,10.); #142866=VECTOR('',#448094,10.); #142867=VECTOR('',#448101,10.); #142868=VECTOR('',#448102,10.); #142869=VECTOR('',#448103,10.); #142870=VECTOR('',#448104,10.); #142871=VECTOR('',#448107,10.); #142872=VECTOR('',#448108,10.); #142873=VECTOR('',#448109,10.); #142874=VECTOR('',#448112,10.); #142875=VECTOR('',#448113,10.); #142876=VECTOR('',#448114,10.); #142877=VECTOR('',#448117,10.); #142878=VECTOR('',#448118,10.); #142879=VECTOR('',#448125,10.); #142880=VECTOR('',#448126,10.); #142881=VECTOR('',#448127,10.); #142882=VECTOR('',#448128,10.); #142883=VECTOR('',#448131,10.); #142884=VECTOR('',#448132,10.); #142885=VECTOR('',#448133,10.); #142886=VECTOR('',#448136,10.); #142887=VECTOR('',#448137,10.); #142888=VECTOR('',#448138,10.); #142889=VECTOR('',#448141,10.); #142890=VECTOR('',#448142,10.); #142891=VECTOR('',#448149,10.); #142892=VECTOR('',#448150,10.); #142893=VECTOR('',#448151,10.); #142894=VECTOR('',#448152,10.); #142895=VECTOR('',#448155,10.); #142896=VECTOR('',#448156,10.); #142897=VECTOR('',#448157,10.); #142898=VECTOR('',#448160,10.); #142899=VECTOR('',#448161,10.); #142900=VECTOR('',#448162,10.); #142901=VECTOR('',#448165,10.); #142902=VECTOR('',#448166,10.); #142903=VECTOR('',#448173,10.); #142904=VECTOR('',#448174,10.); #142905=VECTOR('',#448175,10.); #142906=VECTOR('',#448176,10.); #142907=VECTOR('',#448179,10.); #142908=VECTOR('',#448180,10.); #142909=VECTOR('',#448181,10.); #142910=VECTOR('',#448184,10.); #142911=VECTOR('',#448185,10.); #142912=VECTOR('',#448186,10.); #142913=VECTOR('',#448189,10.); #142914=VECTOR('',#448190,10.); #142915=VECTOR('',#448197,10.); #142916=VECTOR('',#448198,10.); #142917=VECTOR('',#448199,10.); #142918=VECTOR('',#448200,10.); #142919=VECTOR('',#448203,10.); #142920=VECTOR('',#448204,10.); #142921=VECTOR('',#448205,10.); #142922=VECTOR('',#448208,10.); #142923=VECTOR('',#448209,10.); #142924=VECTOR('',#448210,10.); #142925=VECTOR('',#448213,10.); #142926=VECTOR('',#448214,10.); #142927=VECTOR('',#448221,10.); #142928=VECTOR('',#448222,10.); #142929=VECTOR('',#448223,10.); #142930=VECTOR('',#448224,10.); #142931=VECTOR('',#448227,10.); #142932=VECTOR('',#448228,10.); #142933=VECTOR('',#448229,10.); #142934=VECTOR('',#448232,10.); #142935=VECTOR('',#448233,10.); #142936=VECTOR('',#448234,10.); #142937=VECTOR('',#448237,10.); #142938=VECTOR('',#448238,10.); #142939=VECTOR('',#448247,0.140000000000002); #142940=VECTOR('',#448252,10.); #142941=VECTOR('',#448253,10.); #142942=VECTOR('',#448254,10.); #142943=VECTOR('',#448255,10.); #142944=VECTOR('',#448258,10.); #142945=VECTOR('',#448259,10.); #142946=VECTOR('',#448260,10.); #142947=VECTOR('',#448263,10.); #142948=VECTOR('',#448264,10.); #142949=VECTOR('',#448265,10.); #142950=VECTOR('',#448268,10.); #142951=VECTOR('',#448269,10.); #142952=VECTOR('',#448278,0.114999999999998); #142953=VECTOR('',#448285,0.114999999999998); #142954=VECTOR('',#448290,10.); #142955=VECTOR('',#448291,10.); #142956=VECTOR('',#448292,10.); #142957=VECTOR('',#448293,10.); #142958=VECTOR('',#448296,10.); #142959=VECTOR('',#448297,10.); #142960=VECTOR('',#448298,10.); #142961=VECTOR('',#448301,10.); #142962=VECTOR('',#448302,10.); #142963=VECTOR('',#448303,10.); #142964=VECTOR('',#448306,10.); #142965=VECTOR('',#448307,10.); #142966=VECTOR('',#448316,0.114999999999998); #142967=VECTOR('',#448323,0.114999999999998); #142968=VECTOR('',#448328,10.); #142969=VECTOR('',#448329,10.); #142970=VECTOR('',#448330,10.); #142971=VECTOR('',#448331,10.); #142972=VECTOR('',#448334,10.); #142973=VECTOR('',#448335,10.); #142974=VECTOR('',#448336,10.); #142975=VECTOR('',#448339,10.); #142976=VECTOR('',#448340,10.); #142977=VECTOR('',#448341,10.); #142978=VECTOR('',#448344,10.); #142979=VECTOR('',#448345,10.); #142980=VECTOR('',#448354,0.139999999999998); #142981=VECTOR('',#448359,10.); #142982=VECTOR('',#448360,10.); #142983=VECTOR('',#448361,10.); #142984=VECTOR('',#448362,10.); #142985=VECTOR('',#448365,10.); #142986=VECTOR('',#448366,10.); #142987=VECTOR('',#448367,10.); #142988=VECTOR('',#448370,10.); #142989=VECTOR('',#448371,10.); #142990=VECTOR('',#448372,10.); #142991=VECTOR('',#448375,10.); #142992=VECTOR('',#448376,10.); #142993=VECTOR('',#448387,0.325); #142994=VECTOR('',#448394,0.325); #142995=VECTOR('',#448401,0.600000000000001); #142996=VECTOR('',#448408,0.175000000000001); #142997=VECTOR('',#448415,0.175000000000001); #142998=VECTOR('',#448422,0.175000000000001); #142999=VECTOR('',#448429,0.175000000000001); #143000=VECTOR('',#448436,0.175000000000001); #143001=VECTOR('',#448443,0.175000000000001); #143002=VECTOR('',#448450,0.175000000000001); #143003=VECTOR('',#448457,0.175000000000001); #143004=VECTOR('',#448464,0.175000000000001); #143005=VECTOR('',#448471,0.175000000000001); #143006=VECTOR('',#448478,0.175000000000001); #143007=VECTOR('',#448485,0.175000000000001); #143008=VECTOR('',#448492,0.175000000000001); #143009=VECTOR('',#448499,0.175000000000001); #143010=VECTOR('',#448506,0.175000000000001); #143011=VECTOR('',#448513,0.5); #143012=VECTOR('',#448520,0.175000000000001); #143013=VECTOR('',#448527,0.175000000000001); #143014=VECTOR('',#448534,0.175000000000001); #143015=VECTOR('',#448541,0.175000000000001); #143016=VECTOR('',#448548,0.175000000000001); #143017=VECTOR('',#448555,0.175000000000001); #143018=VECTOR('',#448562,0.175000000000001); #143019=VECTOR('',#448569,0.175000000000001); #143020=VECTOR('',#448576,0.175000000000001); #143021=VECTOR('',#448583,0.175000000000001); #143022=VECTOR('',#448590,0.175000000000001); #143023=VECTOR('',#448597,0.175000000000001); #143024=VECTOR('',#448604,0.175000000000001); #143025=VECTOR('',#448611,0.175000000000001); #143026=VECTOR('',#448618,0.175000000000001); #143027=VECTOR('',#448625,0.550000000000002); #143028=VECTOR('',#448632,0.175000000000001); #143029=VECTOR('',#448639,0.175000000000001); #143030=VECTOR('',#448646,0.175000000000001); #143031=VECTOR('',#448653,0.175000000000001); #143032=VECTOR('',#448660,0.175000000000001); #143033=VECTOR('',#448667,0.175000000000001); #143034=VECTOR('',#448674,0.175000000000001); #143035=VECTOR('',#448681,0.175000000000001); #143036=VECTOR('',#448688,0.175000000000001); #143037=VECTOR('',#448695,0.175000000000001); #143038=VECTOR('',#448702,0.175000000000001); #143039=VECTOR('',#448709,0.175000000000001); #143040=VECTOR('',#448716,0.175000000000001); #143041=VECTOR('',#448723,0.175000000000001); #143042=VECTOR('',#448730,0.175000000000001); #143043=VECTOR('',#448737,0.5); #143044=VECTOR('',#448744,0.175000000000001); #143045=VECTOR('',#448751,0.175000000000001); #143046=VECTOR('',#448758,0.175000000000001); #143047=VECTOR('',#448765,0.175000000000001); #143048=VECTOR('',#448772,0.175000000000001); #143049=VECTOR('',#448779,0.175000000000001); #143050=VECTOR('',#448786,0.175000000000001); #143051=VECTOR('',#448793,0.175000000000001); #143052=VECTOR('',#448800,0.175000000000001); #143053=VECTOR('',#448807,0.175000000000001); #143054=VECTOR('',#448814,0.175000000000001); #143055=VECTOR('',#448821,0.175000000000001); #143056=VECTOR('',#448828,0.175000000000001); #143057=VECTOR('',#448835,0.175000000000001); #143058=VECTOR('',#448842,0.175000000000001); #143059=VECTOR('',#448849,0.600000000000001); #143060=VECTOR('',#448856,0.175000000000001); #143061=VECTOR('',#448863,0.175000000000001); #143062=VECTOR('',#448870,0.175000000000001); #143063=VECTOR('',#448877,0.175000000000001); #143064=VECTOR('',#448884,0.175000000000001); #143065=VECTOR('',#448891,0.175); #143066=VECTOR('',#448898,0.175000000000001); #143067=VECTOR('',#448905,0.175000000000001); #143068=VECTOR('',#448912,0.175000000000001); #143069=VECTOR('',#448919,0.175000000000001); #143070=VECTOR('',#448926,0.175000000000001); #143071=VECTOR('',#448933,0.175000000000001); #143072=VECTOR('',#448940,0.175000000000001); #143073=VECTOR('',#448947,0.175); #143074=VECTOR('',#448954,0.175000000000001); #143075=VECTOR('',#448961,0.175000000000001); #143076=VECTOR('',#448968,0.175000000000001); #143077=VECTOR('',#448975,0.175000000000001); #143078=VECTOR('',#448982,0.175000000000001); #143079=VECTOR('',#448989,0.175000000000001); #143080=VECTOR('',#448996,0.175000000000001); #143081=VECTOR('',#449003,0.175000000000001); #143082=VECTOR('',#449010,0.175000000000001); #143083=VECTOR('',#449017,0.175000000000001); #143084=VECTOR('',#449024,0.175000000000001); #143085=VECTOR('',#449031,0.175000000000001); #143086=VECTOR('',#449038,0.175000000000001); #143087=VECTOR('',#449045,0.175000000000001); #143088=VECTOR('',#449052,0.175000000000001); #143089=VECTOR('',#449059,0.175000000000001); #143090=VECTOR('',#449066,0.175000000000001); #143091=VECTOR('',#449073,0.499999999999998); #143092=VECTOR('',#449080,0.175000000000001); #143093=VECTOR('',#449087,0.175000000000001); #143094=VECTOR('',#449094,0.175000000000001); #143095=VECTOR('',#449101,0.175000000000001); #143096=VECTOR('',#449108,0.175000000000001); #143097=VECTOR('',#449115,0.175000000000001); #143098=VECTOR('',#449122,0.175000000000001); #143099=VECTOR('',#449129,0.175000000000001); #143100=VECTOR('',#449136,0.175000000000001); #143101=VECTOR('',#449143,0.175000000000001); #143102=VECTOR('',#449150,0.175000000000001); #143103=VECTOR('',#449157,0.175000000000001); #143104=VECTOR('',#449164,0.175000000000001); #143105=VECTOR('',#449171,0.175000000000001); #143106=VECTOR('',#449178,0.175000000000001); #143107=VECTOR('',#449185,0.499999999999998); #143108=VECTOR('',#449192,0.175000000000001); #143109=VECTOR('',#449199,0.175000000000001); #143110=VECTOR('',#449206,0.175000000000001); #143111=VECTOR('',#449213,0.175000000000001); #143112=VECTOR('',#449220,0.175000000000001); #143113=VECTOR('',#449227,0.175000000000001); #143114=VECTOR('',#449234,0.175000000000001); #143115=VECTOR('',#449241,0.175000000000001); #143116=VECTOR('',#449248,0.175000000000001); #143117=VECTOR('',#449255,0.175000000000001); #143118=VECTOR('',#449262,0.175000000000001); #143119=VECTOR('',#449269,0.175000000000001); #143120=VECTOR('',#449276,0.175000000000001); #143121=VECTOR('',#449283,0.175000000000001); #143122=VECTOR('',#449290,0.175000000000001); #143123=VECTOR('',#449297,0.550000000000002); #143124=VECTOR('',#449304,0.150000000000001); #143125=VECTOR('',#449311,0.175); #143126=VECTOR('',#449318,0.150000000000001); #143127=VECTOR('',#449325,0.175000000000001); #143128=VECTOR('',#449332,0.175000000000001); #143129=VECTOR('',#449339,0.175); #143130=VECTOR('',#449346,0.150000000000001); #143131=VECTOR('',#449353,0.175000000000001); #143132=VECTOR('',#449360,0.175000000000001); #143133=VECTOR('',#449367,0.175); #143134=VECTOR('',#449374,0.175000000000001); #143135=VECTOR('',#449381,0.175000000000001); #143136=VECTOR('',#449388,0.175000000000001); #143137=VECTOR('',#449395,0.175); #143138=VECTOR('',#449402,0.175000000000001); #143139=VECTOR('',#449409,0.175000000000001); #143140=VECTOR('',#449416,0.175000000000001); #143141=VECTOR('',#449423,0.175); #143142=VECTOR('',#449430,0.175000000000001); #143143=VECTOR('',#449437,0.175000000000001); #143144=VECTOR('',#449444,0.175000000000001); #143145=VECTOR('',#449451,0.175000000000001); #143146=VECTOR('',#449458,0.175000000000001); #143147=VECTOR('',#449465,0.175000000000001); #143148=VECTOR('',#449472,0.175000000000001); #143149=VECTOR('',#449479,0.175); #143150=VECTOR('',#449486,0.175000000000001); #143151=VECTOR('',#449493,0.175000000000001); #143152=VECTOR('',#449500,0.175000000000001); #143153=VECTOR('',#449507,0.175); #143154=VECTOR('',#449514,0.175000000000001); #143155=VECTOR('',#449521,0.499999999999998); #143156=VECTOR('',#449526,10.); #143157=VECTOR('',#449527,10.); #143158=VECTOR('',#449528,10.); #143159=VECTOR('',#449529,10.); #143160=VECTOR('',#449536,10.); #143161=VECTOR('',#449539,10.); #143162=VECTOR('',#449540,10.); #143163=VECTOR('',#449541,10.); #143164=VECTOR('',#449552,0.175000000000001); #143165=VECTOR('',#449557,10.); #143166=VECTOR('',#449558,10.); #143167=VECTOR('',#449559,10.); #143168=VECTOR('',#449560,10.); #143169=VECTOR('',#449567,10.); #143170=VECTOR('',#449570,10.); #143171=VECTOR('',#449571,10.); #143172=VECTOR('',#449572,10.); #143173=VECTOR('',#449583,0.175000000000001); #143174=VECTOR('',#449590,0.150000000000001); #143175=VECTOR('',#449597,0.175000000000001); #143176=VECTOR('',#449602,10.); #143177=VECTOR('',#449603,10.); #143178=VECTOR('',#449604,10.); #143179=VECTOR('',#449605,10.); #143180=VECTOR('',#449612,10.); #143181=VECTOR('',#449615,10.); #143182=VECTOR('',#449616,10.); #143183=VECTOR('',#449617,10.); #143184=VECTOR('',#449628,0.175000000000001); #143185=VECTOR('',#449635,0.150000000000001); #143186=VECTOR('',#449642,0.175000000000001); #143187=VECTOR('',#449649,0.150000000000001); #143188=VECTOR('',#449656,0.175000000000001); #143189=VECTOR('',#449663,0.150000000000001); #143190=VECTOR('',#449670,0.175000000000001); #143191=VECTOR('',#449677,0.150000000000001); #143192=VECTOR('',#449684,0.175000000000001); #143193=VECTOR('',#449691,0.175000000000001); #143194=VECTOR('',#449696,10.); #143195=VECTOR('',#449697,10.); #143196=VECTOR('',#449698,10.); #143197=VECTOR('',#449699,10.); #143198=VECTOR('',#449706,10.); #143199=VECTOR('',#449709,10.); #143200=VECTOR('',#449710,10.); #143201=VECTOR('',#449711,10.); #143202=VECTOR('',#449722,0.175000000000001); #143203=VECTOR('',#449727,10.); #143204=VECTOR('',#449728,10.); #143205=VECTOR('',#449729,10.); #143206=VECTOR('',#449730,10.); #143207=VECTOR('',#449737,10.); #143208=VECTOR('',#449740,10.); #143209=VECTOR('',#449741,10.); #143210=VECTOR('',#449742,10.); #143211=VECTOR('',#449753,0.175000000000001); #143212=VECTOR('',#449758,10.); #143213=VECTOR('',#449759,10.); #143214=VECTOR('',#449760,10.); #143215=VECTOR('',#449761,10.); #143216=VECTOR('',#449768,10.); #143217=VECTOR('',#449771,10.); #143218=VECTOR('',#449772,10.); #143219=VECTOR('',#449773,10.); #143220=VECTOR('',#449784,0.175000000000001); #143221=VECTOR('',#449791,0.175000000000001); #143222=VECTOR('',#449798,0.175000000000001); #143223=VECTOR('',#449805,0.175000000000001); #143224=VECTOR('',#449812,0.600000000000001); #143225=VECTOR('',#449819,0.175000000000001); #143226=VECTOR('',#449826,0.175000000000001); #143227=VECTOR('',#449833,0.175000000000001); #143228=VECTOR('',#449840,0.175000000000001); #143229=VECTOR('',#449847,0.175000000000001); #143230=VECTOR('',#449854,0.175000000000001); #143231=VECTOR('',#449861,0.175000000000001); #143232=VECTOR('',#449868,0.175000000000001); #143233=VECTOR('',#449875,0.175000000000001); #143234=VECTOR('',#449882,0.175000000000001); #143235=VECTOR('',#449889,0.175000000000001); #143236=VECTOR('',#449896,0.175000000000001); #143237=VECTOR('',#449903,0.175000000000001); #143238=VECTOR('',#449910,0.175000000000001); #143239=VECTOR('',#449917,0.175000000000001); #143240=VECTOR('',#449924,0.499999999999998); #143241=VECTOR('',#449931,0.175000000000001); #143242=VECTOR('',#449938,0.175000000000001); #143243=VECTOR('',#449945,0.175000000000001); #143244=VECTOR('',#449952,0.175000000000001); #143245=VECTOR('',#449959,0.175000000000001); #143246=VECTOR('',#449966,0.175000000000001); #143247=VECTOR('',#449973,0.175000000000001); #143248=VECTOR('',#449980,0.175000000000001); #143249=VECTOR('',#449987,0.175000000000001); #143250=VECTOR('',#449994,0.175000000000001); #143251=VECTOR('',#450001,0.175000000000001); #143252=VECTOR('',#450008,0.175000000000001); #143253=VECTOR('',#450015,0.175000000000001); #143254=VECTOR('',#450022,0.175000000000001); #143255=VECTOR('',#450029,0.175000000000001); #143256=VECTOR('',#450036,0.549999999999997); #143257=VECTOR('',#450043,0.175000000000001); #143258=VECTOR('',#450050,0.175000000000001); #143259=VECTOR('',#450057,0.175000000000001); #143260=VECTOR('',#450064,0.175000000000001); #143261=VECTOR('',#450071,0.175000000000001); #143262=VECTOR('',#450078,0.175000000000001); #143263=VECTOR('',#450085,0.175000000000001); #143264=VECTOR('',#450092,0.175000000000001); #143265=VECTOR('',#450099,0.175000000000001); #143266=VECTOR('',#450106,0.175000000000001); #143267=VECTOR('',#450113,0.175000000000001); #143268=VECTOR('',#450120,0.175000000000001); #143269=VECTOR('',#450127,0.175000000000001); #143270=VECTOR('',#450134,0.175000000000001); #143271=VECTOR('',#450141,0.175000000000001); #143272=VECTOR('',#450148,0.499999999999998); #143273=VECTOR('',#450155,0.175000000000001); #143274=VECTOR('',#450162,0.175000000000001); #143275=VECTOR('',#450169,0.175000000000001); #143276=VECTOR('',#450176,0.175000000000001); #143277=VECTOR('',#450183,0.175000000000001); #143278=VECTOR('',#450190,0.175000000000001); #143279=VECTOR('',#450197,0.175000000000001); #143280=VECTOR('',#450204,0.175000000000001); #143281=VECTOR('',#450211,0.175000000000001); #143282=VECTOR('',#450218,0.175000000000001); #143283=VECTOR('',#450225,0.175000000000001); #143284=VECTOR('',#450232,0.175000000000001); #143285=VECTOR('',#450239,0.175000000000001); #143286=VECTOR('',#450246,0.175000000000001); #143287=VECTOR('',#450253,0.175000000000001); #143288=VECTOR('',#450260,0.600000000000001); #143289=VECTOR('',#450267,0.175000000000001); #143290=VECTOR('',#450274,0.175000000000001); #143291=VECTOR('',#450281,0.175000000000001); #143292=VECTOR('',#450288,0.175000000000001); #143293=VECTOR('',#450295,0.175000000000001); #143294=VECTOR('',#450302,0.175000000000001); #143295=VECTOR('',#450309,0.175000000000001); #143296=VECTOR('',#450316,0.175000000000001); #143297=VECTOR('',#450323,0.175000000000001); #143298=VECTOR('',#450330,0.175000000000001); #143299=VECTOR('',#450337,0.175000000000001); #143300=VECTOR('',#450344,0.175000000000001); #143301=VECTOR('',#450351,0.175000000000001); #143302=VECTOR('',#450358,0.175000000000001); #143303=VECTOR('',#450365,0.175000000000001); #143304=VECTOR('',#450372,0.499999999999998); #143305=VECTOR('',#450379,0.175000000000001); #143306=VECTOR('',#450386,0.175000000000001); #143307=VECTOR('',#450393,0.175000000000001); #143308=VECTOR('',#450400,0.175000000000001); #143309=VECTOR('',#450407,0.175000000000001); #143310=VECTOR('',#450414,0.175000000000001); #143311=VECTOR('',#450421,0.175000000000001); #143312=VECTOR('',#450428,0.175000000000001); #143313=VECTOR('',#450435,0.175000000000001); #143314=VECTOR('',#450442,0.175000000000001); #143315=VECTOR('',#450449,0.175000000000001); #143316=VECTOR('',#450456,0.175000000000001); #143317=VECTOR('',#450463,0.175000000000001); #143318=VECTOR('',#450470,0.175000000000001); #143319=VECTOR('',#450477,0.175000000000001); #143320=VECTOR('',#450484,0.549999999999997); #143321=VECTOR('',#450491,0.175000000000001); #143322=VECTOR('',#450498,0.175000000000001); #143323=VECTOR('',#450505,0.175000000000001); #143324=VECTOR('',#450512,0.175000000000001); #143325=VECTOR('',#450519,0.175000000000001); #143326=VECTOR('',#450526,0.175000000000001); #143327=VECTOR('',#450533,0.175000000000001); #143328=VECTOR('',#450540,0.175000000000001); #143329=VECTOR('',#450547,0.175000000000001); #143330=VECTOR('',#450554,0.175000000000001); #143331=VECTOR('',#450561,0.175000000000001); #143332=VECTOR('',#450568,0.175000000000001); #143333=VECTOR('',#450575,0.175000000000001); #143334=VECTOR('',#450582,0.175000000000001); #143335=VECTOR('',#450589,0.175000000000001); #143336=VECTOR('',#450596,0.499999999999998); #143337=VECTOR('',#450603,0.175000000000001); #143338=VECTOR('',#450610,0.175000000000001); #143339=VECTOR('',#450617,0.175000000000001); #143340=VECTOR('',#450624,0.175000000000001); #143341=VECTOR('',#450631,0.175000000000001); #143342=VECTOR('',#450638,0.175000000000001); #143343=VECTOR('',#450645,0.175000000000001); #143344=VECTOR('',#450652,0.175000000000001); #143345=VECTOR('',#450659,0.175000000000001); #143346=VECTOR('',#450666,0.175000000000001); #143347=VECTOR('',#450673,0.175000000000001); #143348=VECTOR('',#450680,0.175000000000001); #143349=VECTOR('',#450687,0.175000000000001); #143350=VECTOR('',#450694,0.175000000000001); #143351=VECTOR('',#450701,0.175000000000001); #143352=VECTOR('',#450708,34.); #143353=VECTOR('',#450721,0.325); #143354=VECTOR('',#450728,0.325); #143355=VECTOR('',#450735,0.600000000000001); #143356=VECTOR('',#450742,0.175000000000001); #143357=VECTOR('',#450749,0.175000000000001); #143358=VECTOR('',#450756,0.175000000000001); #143359=VECTOR('',#450763,0.175000000000001); #143360=VECTOR('',#450770,0.175000000000001); #143361=VECTOR('',#450777,0.175000000000001); #143362=VECTOR('',#450784,0.175000000000001); #143363=VECTOR('',#450791,0.175000000000001); #143364=VECTOR('',#450798,0.175000000000001); #143365=VECTOR('',#450805,0.175000000000001); #143366=VECTOR('',#450812,0.175000000000001); #143367=VECTOR('',#450819,0.175000000000001); #143368=VECTOR('',#450826,0.175000000000001); #143369=VECTOR('',#450833,0.175000000000001); #143370=VECTOR('',#450840,0.175000000000001); #143371=VECTOR('',#450847,0.5); #143372=VECTOR('',#450854,0.175000000000001); #143373=VECTOR('',#450861,0.175000000000001); #143374=VECTOR('',#450868,0.175000000000001); #143375=VECTOR('',#450875,0.175000000000001); #143376=VECTOR('',#450882,0.175000000000001); #143377=VECTOR('',#450889,0.175000000000001); #143378=VECTOR('',#450896,0.175000000000001); #143379=VECTOR('',#450903,0.175000000000001); #143380=VECTOR('',#450910,0.175000000000001); #143381=VECTOR('',#450917,0.175000000000001); #143382=VECTOR('',#450924,0.175000000000001); #143383=VECTOR('',#450931,0.175000000000001); #143384=VECTOR('',#450938,0.175000000000001); #143385=VECTOR('',#450945,0.175000000000001); #143386=VECTOR('',#450952,0.175000000000001); #143387=VECTOR('',#450959,0.550000000000002); #143388=VECTOR('',#450966,0.175000000000001); #143389=VECTOR('',#450973,0.175000000000001); #143390=VECTOR('',#450980,0.175000000000001); #143391=VECTOR('',#450987,0.175000000000001); #143392=VECTOR('',#450994,0.175000000000001); #143393=VECTOR('',#451001,0.175000000000001); #143394=VECTOR('',#451008,0.175000000000001); #143395=VECTOR('',#451015,0.175000000000001); #143396=VECTOR('',#451022,0.175000000000001); #143397=VECTOR('',#451029,0.175000000000001); #143398=VECTOR('',#451036,0.175000000000001); #143399=VECTOR('',#451043,0.175000000000001); #143400=VECTOR('',#451050,0.175000000000001); #143401=VECTOR('',#451057,0.175000000000001); #143402=VECTOR('',#451064,0.175000000000001); #143403=VECTOR('',#451071,0.5); #143404=VECTOR('',#451078,0.175000000000001); #143405=VECTOR('',#451085,0.175000000000001); #143406=VECTOR('',#451092,0.175000000000001); #143407=VECTOR('',#451099,0.175000000000001); #143408=VECTOR('',#451106,0.175000000000001); #143409=VECTOR('',#451113,0.175000000000001); #143410=VECTOR('',#451120,0.175000000000001); #143411=VECTOR('',#451127,0.175000000000001); #143412=VECTOR('',#451134,0.175000000000001); #143413=VECTOR('',#451141,0.175000000000001); #143414=VECTOR('',#451148,0.175000000000001); #143415=VECTOR('',#451155,0.175000000000001); #143416=VECTOR('',#451162,0.175000000000001); #143417=VECTOR('',#451169,0.175000000000001); #143418=VECTOR('',#451176,0.175000000000001); #143419=VECTOR('',#451183,0.600000000000001); #143420=VECTOR('',#451190,0.175000000000001); #143421=VECTOR('',#451197,0.175000000000001); #143422=VECTOR('',#451204,0.175000000000001); #143423=VECTOR('',#451211,0.175000000000001); #143424=VECTOR('',#451218,0.175000000000001); #143425=VECTOR('',#451225,0.175); #143426=VECTOR('',#451232,0.175000000000001); #143427=VECTOR('',#451239,0.175000000000001); #143428=VECTOR('',#451246,0.175000000000001); #143429=VECTOR('',#451253,0.175000000000001); #143430=VECTOR('',#451260,0.175000000000001); #143431=VECTOR('',#451267,0.175000000000001); #143432=VECTOR('',#451274,0.175000000000001); #143433=VECTOR('',#451281,0.175); #143434=VECTOR('',#451288,0.175000000000001); #143435=VECTOR('',#451295,0.175000000000001); #143436=VECTOR('',#451302,0.175000000000001); #143437=VECTOR('',#451309,0.175000000000001); #143438=VECTOR('',#451316,0.175000000000001); #143439=VECTOR('',#451323,0.175000000000001); #143440=VECTOR('',#451330,0.175000000000001); #143441=VECTOR('',#451337,0.175000000000001); #143442=VECTOR('',#451344,0.175000000000001); #143443=VECTOR('',#451351,0.175000000000001); #143444=VECTOR('',#451358,0.175000000000001); #143445=VECTOR('',#451365,0.175000000000001); #143446=VECTOR('',#451372,0.175000000000001); #143447=VECTOR('',#451379,0.175000000000001); #143448=VECTOR('',#451386,0.175000000000001); #143449=VECTOR('',#451393,0.175000000000001); #143450=VECTOR('',#451400,0.175000000000001); #143451=VECTOR('',#451407,0.499999999999998); #143452=VECTOR('',#451414,0.175000000000001); #143453=VECTOR('',#451421,0.175000000000001); #143454=VECTOR('',#451428,0.175000000000001); #143455=VECTOR('',#451435,0.175000000000001); #143456=VECTOR('',#451442,0.175000000000001); #143457=VECTOR('',#451449,0.175000000000001); #143458=VECTOR('',#451456,0.175000000000001); #143459=VECTOR('',#451463,0.175000000000001); #143460=VECTOR('',#451470,0.175000000000001); #143461=VECTOR('',#451477,0.175000000000001); #143462=VECTOR('',#451484,0.175000000000001); #143463=VECTOR('',#451491,0.175000000000001); #143464=VECTOR('',#451498,0.175000000000001); #143465=VECTOR('',#451505,0.175000000000001); #143466=VECTOR('',#451512,0.175000000000001); #143467=VECTOR('',#451519,0.499999999999998); #143468=VECTOR('',#451526,0.175000000000001); #143469=VECTOR('',#451533,0.175000000000001); #143470=VECTOR('',#451540,0.175000000000001); #143471=VECTOR('',#451547,0.175000000000001); #143472=VECTOR('',#451554,0.175000000000001); #143473=VECTOR('',#451561,0.175000000000001); #143474=VECTOR('',#451568,0.175000000000001); #143475=VECTOR('',#451575,0.175000000000001); #143476=VECTOR('',#451582,0.175000000000001); #143477=VECTOR('',#451589,0.175000000000001); #143478=VECTOR('',#451596,0.175000000000001); #143479=VECTOR('',#451603,0.175000000000001); #143480=VECTOR('',#451610,0.175000000000001); #143481=VECTOR('',#451617,0.175000000000001); #143482=VECTOR('',#451624,0.175000000000001); #143483=VECTOR('',#451631,0.550000000000002); #143484=VECTOR('',#451638,0.150000000000001); #143485=VECTOR('',#451645,0.175); #143486=VECTOR('',#451652,0.150000000000001); #143487=VECTOR('',#451659,0.175000000000001); #143488=VECTOR('',#451666,0.175000000000001); #143489=VECTOR('',#451673,0.175); #143490=VECTOR('',#451680,0.150000000000001); #143491=VECTOR('',#451687,0.175000000000001); #143492=VECTOR('',#451694,0.175000000000001); #143493=VECTOR('',#451701,0.175); #143494=VECTOR('',#451708,0.175000000000001); #143495=VECTOR('',#451715,0.175000000000001); #143496=VECTOR('',#451722,0.175000000000001); #143497=VECTOR('',#451729,0.175); #143498=VECTOR('',#451736,0.175000000000001); #143499=VECTOR('',#451743,0.175000000000001); #143500=VECTOR('',#451750,0.175000000000001); #143501=VECTOR('',#451757,0.175); #143502=VECTOR('',#451764,0.175000000000001); #143503=VECTOR('',#451771,0.175000000000001); #143504=VECTOR('',#451778,0.175000000000001); #143505=VECTOR('',#451785,0.175000000000001); #143506=VECTOR('',#451792,0.175000000000001); #143507=VECTOR('',#451799,0.175000000000001); #143508=VECTOR('',#451806,0.175000000000001); #143509=VECTOR('',#451813,0.175); #143510=VECTOR('',#451820,0.175000000000001); #143511=VECTOR('',#451827,0.175000000000001); #143512=VECTOR('',#451834,0.175000000000001); #143513=VECTOR('',#451841,0.175); #143514=VECTOR('',#451848,0.175000000000001); #143515=VECTOR('',#451855,0.499999999999998); #143516=VECTOR('',#451860,10.); #143517=VECTOR('',#451861,10.); #143518=VECTOR('',#451862,10.); #143519=VECTOR('',#451863,10.); #143520=VECTOR('',#451870,10.); #143521=VECTOR('',#451873,10.); #143522=VECTOR('',#451874,10.); #143523=VECTOR('',#451875,10.); #143524=VECTOR('',#451886,0.175000000000001); #143525=VECTOR('',#451891,10.); #143526=VECTOR('',#451892,10.); #143527=VECTOR('',#451893,10.); #143528=VECTOR('',#451894,10.); #143529=VECTOR('',#451901,10.); #143530=VECTOR('',#451904,10.); #143531=VECTOR('',#451905,10.); #143532=VECTOR('',#451906,10.); #143533=VECTOR('',#451917,0.175000000000001); #143534=VECTOR('',#451924,0.150000000000001); #143535=VECTOR('',#451931,0.175000000000001); #143536=VECTOR('',#451936,10.); #143537=VECTOR('',#451937,10.); #143538=VECTOR('',#451938,10.); #143539=VECTOR('',#451939,10.); #143540=VECTOR('',#451946,10.); #143541=VECTOR('',#451949,10.); #143542=VECTOR('',#451950,10.); #143543=VECTOR('',#451951,10.); #143544=VECTOR('',#451962,0.175000000000001); #143545=VECTOR('',#451969,0.150000000000001); #143546=VECTOR('',#451976,0.175000000000001); #143547=VECTOR('',#451983,0.150000000000001); #143548=VECTOR('',#451990,0.175000000000001); #143549=VECTOR('',#451997,0.150000000000001); #143550=VECTOR('',#452004,0.175000000000001); #143551=VECTOR('',#452011,0.150000000000001); #143552=VECTOR('',#452018,0.175000000000001); #143553=VECTOR('',#452025,0.175000000000001); #143554=VECTOR('',#452030,10.); #143555=VECTOR('',#452031,10.); #143556=VECTOR('',#452032,10.); #143557=VECTOR('',#452033,10.); #143558=VECTOR('',#452040,10.); #143559=VECTOR('',#452043,10.); #143560=VECTOR('',#452044,10.); #143561=VECTOR('',#452045,10.); #143562=VECTOR('',#452056,0.175000000000001); #143563=VECTOR('',#452061,10.); #143564=VECTOR('',#452062,10.); #143565=VECTOR('',#452063,10.); #143566=VECTOR('',#452064,10.); #143567=VECTOR('',#452071,10.); #143568=VECTOR('',#452074,10.); #143569=VECTOR('',#452075,10.); #143570=VECTOR('',#452076,10.); #143571=VECTOR('',#452087,0.175000000000001); #143572=VECTOR('',#452092,10.); #143573=VECTOR('',#452093,10.); #143574=VECTOR('',#452094,10.); #143575=VECTOR('',#452095,10.); #143576=VECTOR('',#452102,10.); #143577=VECTOR('',#452105,10.); #143578=VECTOR('',#452106,10.); #143579=VECTOR('',#452107,10.); #143580=VECTOR('',#452118,0.175000000000001); #143581=VECTOR('',#452125,0.175000000000001); #143582=VECTOR('',#452132,0.175000000000001); #143583=VECTOR('',#452139,0.175000000000001); #143584=VECTOR('',#452146,0.600000000000001); #143585=VECTOR('',#452153,0.175000000000001); #143586=VECTOR('',#452160,0.175000000000001); #143587=VECTOR('',#452167,0.175000000000001); #143588=VECTOR('',#452174,0.175000000000001); #143589=VECTOR('',#452181,0.175000000000001); #143590=VECTOR('',#452188,0.175000000000001); #143591=VECTOR('',#452195,0.175000000000001); #143592=VECTOR('',#452202,0.175000000000001); #143593=VECTOR('',#452209,0.175000000000001); #143594=VECTOR('',#452216,0.175000000000001); #143595=VECTOR('',#452223,0.175000000000001); #143596=VECTOR('',#452230,0.175000000000001); #143597=VECTOR('',#452237,0.175000000000001); #143598=VECTOR('',#452244,0.175000000000001); #143599=VECTOR('',#452251,0.175000000000001); #143600=VECTOR('',#452258,0.499999999999998); #143601=VECTOR('',#452265,0.175000000000001); #143602=VECTOR('',#452272,0.175000000000001); #143603=VECTOR('',#452279,0.175000000000001); #143604=VECTOR('',#452286,0.175000000000001); #143605=VECTOR('',#452293,0.175000000000001); #143606=VECTOR('',#452300,0.175000000000001); #143607=VECTOR('',#452307,0.175000000000001); #143608=VECTOR('',#452314,0.175000000000001); #143609=VECTOR('',#452321,0.175000000000001); #143610=VECTOR('',#452328,0.175000000000001); #143611=VECTOR('',#452335,0.175000000000001); #143612=VECTOR('',#452342,0.175000000000001); #143613=VECTOR('',#452349,0.175000000000001); #143614=VECTOR('',#452356,0.175000000000001); #143615=VECTOR('',#452363,0.175000000000001); #143616=VECTOR('',#452370,0.549999999999997); #143617=VECTOR('',#452377,0.175000000000001); #143618=VECTOR('',#452384,0.175000000000001); #143619=VECTOR('',#452391,0.175000000000001); #143620=VECTOR('',#452398,0.175000000000001); #143621=VECTOR('',#452405,0.175000000000001); #143622=VECTOR('',#452412,0.175000000000001); #143623=VECTOR('',#452419,0.175000000000001); #143624=VECTOR('',#452426,0.175000000000001); #143625=VECTOR('',#452433,0.175000000000001); #143626=VECTOR('',#452440,0.175000000000001); #143627=VECTOR('',#452447,0.175000000000001); #143628=VECTOR('',#452454,0.175000000000001); #143629=VECTOR('',#452461,0.175000000000001); #143630=VECTOR('',#452468,0.175000000000001); #143631=VECTOR('',#452475,0.175000000000001); #143632=VECTOR('',#452482,0.499999999999998); #143633=VECTOR('',#452489,0.175000000000001); #143634=VECTOR('',#452496,0.175000000000001); #143635=VECTOR('',#452503,0.175000000000001); #143636=VECTOR('',#452510,0.175000000000001); #143637=VECTOR('',#452517,0.175000000000001); #143638=VECTOR('',#452524,0.175000000000001); #143639=VECTOR('',#452531,0.175000000000001); #143640=VECTOR('',#452538,0.175000000000001); #143641=VECTOR('',#452545,0.175000000000001); #143642=VECTOR('',#452552,0.175000000000001); #143643=VECTOR('',#452559,0.175000000000001); #143644=VECTOR('',#452566,0.175000000000001); #143645=VECTOR('',#452573,0.175000000000001); #143646=VECTOR('',#452580,0.175000000000001); #143647=VECTOR('',#452587,0.175000000000001); #143648=VECTOR('',#452594,0.600000000000001); #143649=VECTOR('',#452601,0.175000000000001); #143650=VECTOR('',#452608,0.175000000000001); #143651=VECTOR('',#452615,0.175000000000001); #143652=VECTOR('',#452622,0.175000000000001); #143653=VECTOR('',#452629,0.175000000000001); #143654=VECTOR('',#452636,0.175000000000001); #143655=VECTOR('',#452643,0.175000000000001); #143656=VECTOR('',#452650,0.175000000000001); #143657=VECTOR('',#452657,0.175000000000001); #143658=VECTOR('',#452664,0.175000000000001); #143659=VECTOR('',#452671,0.175000000000001); #143660=VECTOR('',#452678,0.175000000000001); #143661=VECTOR('',#452685,0.175000000000001); #143662=VECTOR('',#452692,0.175000000000001); #143663=VECTOR('',#452699,0.175000000000001); #143664=VECTOR('',#452706,0.499999999999998); #143665=VECTOR('',#452713,0.175000000000001); #143666=VECTOR('',#452720,0.175000000000001); #143667=VECTOR('',#452727,0.175000000000001); #143668=VECTOR('',#452734,0.175000000000001); #143669=VECTOR('',#452741,0.175000000000001); #143670=VECTOR('',#452748,0.175000000000001); #143671=VECTOR('',#452755,0.175000000000001); #143672=VECTOR('',#452762,0.175000000000001); #143673=VECTOR('',#452769,0.175000000000001); #143674=VECTOR('',#452776,0.175000000000001); #143675=VECTOR('',#452783,0.175000000000001); #143676=VECTOR('',#452790,0.175000000000001); #143677=VECTOR('',#452797,0.175000000000001); #143678=VECTOR('',#452804,0.175000000000001); #143679=VECTOR('',#452811,0.175000000000001); #143680=VECTOR('',#452818,0.549999999999997); #143681=VECTOR('',#452825,0.175000000000001); #143682=VECTOR('',#452832,0.175000000000001); #143683=VECTOR('',#452839,0.175000000000001); #143684=VECTOR('',#452846,0.175000000000001); #143685=VECTOR('',#452853,0.175000000000001); #143686=VECTOR('',#452860,0.175000000000001); #143687=VECTOR('',#452867,0.175000000000001); #143688=VECTOR('',#452874,0.175000000000001); #143689=VECTOR('',#452881,0.175000000000001); #143690=VECTOR('',#452888,0.175000000000001); #143691=VECTOR('',#452895,0.175000000000001); #143692=VECTOR('',#452902,0.175000000000001); #143693=VECTOR('',#452909,0.175000000000001); #143694=VECTOR('',#452916,0.175000000000001); #143695=VECTOR('',#452923,0.175000000000001); #143696=VECTOR('',#452930,0.499999999999998); #143697=VECTOR('',#452937,0.175000000000001); #143698=VECTOR('',#452944,0.175000000000001); #143699=VECTOR('',#452951,0.175000000000001); #143700=VECTOR('',#452958,0.175000000000001); #143701=VECTOR('',#452965,0.175000000000001); #143702=VECTOR('',#452972,0.175000000000001); #143703=VECTOR('',#452979,0.175000000000001); #143704=VECTOR('',#452986,0.175000000000001); #143705=VECTOR('',#452993,0.175000000000001); #143706=VECTOR('',#453000,0.175000000000001); #143707=VECTOR('',#453007,0.175000000000001); #143708=VECTOR('',#453014,0.175000000000001); #143709=VECTOR('',#453021,0.175000000000001); #143710=VECTOR('',#453028,0.175000000000001); #143711=VECTOR('',#453035,0.175000000000001); #143712=VECTOR('',#453042,34.); #143713=VECTOR('',#453057,10.); #143714=VECTOR('',#453058,10.); #143715=VECTOR('',#453059,10.); #143716=VECTOR('',#453060,10.); #143717=VECTOR('',#453063,1.); #143718=VECTOR('',#453064,10.); #143719=VECTOR('',#453065,1.); #143720=VECTOR('',#453068,1.); #143721=VECTOR('',#453069,1.); #143722=VECTOR('',#453070,1.); #143723=VECTOR('',#453071,1.); #143724=VECTOR('',#453072,1.); #143725=VECTOR('',#453073,1.); #143726=VECTOR('',#453074,1.); #143727=VECTOR('',#453075,1.); #143728=VECTOR('',#453076,1.); #143729=VECTOR('',#453077,1.); #143730=VECTOR('',#453078,1.); #143731=VECTOR('',#453079,1.); #143732=VECTOR('',#453080,1.); #143733=VECTOR('',#453081,1.); #143734=VECTOR('',#453082,1.); #143735=VECTOR('',#453083,1.); #143736=VECTOR('',#453084,1.); #143737=VECTOR('',#453085,1.); #143738=VECTOR('',#453086,1.); #143739=VECTOR('',#453087,1.); #143740=VECTOR('',#453088,1.); #143741=VECTOR('',#453089,1.); #143742=VECTOR('',#453090,10.); #143743=VECTOR('',#453091,10.); #143744=VECTOR('',#453094,10.); #143745=VECTOR('',#453095,10.); #143746=VECTOR('',#453098,10.); #143747=VECTOR('',#453099,1.); #143748=VECTOR('',#453100,1.); #143749=VECTOR('',#453103,1.); #143750=VECTOR('',#453104,10.); #143751=VECTOR('',#453105,10.); #143752=VECTOR('',#453106,10.); #143753=VECTOR('',#453107,10.); #143754=VECTOR('',#453110,1.); #143755=VECTOR('',#453111,1.); #143756=VECTOR('',#453112,1.); #143757=VECTOR('',#453113,1.); #143758=VECTOR('',#453114,1.); #143759=VECTOR('',#453115,1.); #143760=VECTOR('',#453116,1.); #143761=VECTOR('',#453117,1.); #143762=VECTOR('',#453118,1.); #143763=VECTOR('',#453119,1.); #143764=VECTOR('',#453120,1.); #143765=VECTOR('',#453121,1.); #143766=VECTOR('',#453122,1.); #143767=VECTOR('',#453123,1.); #143768=VECTOR('',#453124,1.); #143769=VECTOR('',#453125,1.); #143770=VECTOR('',#453126,1.); #143771=VECTOR('',#453127,1.); #143772=VECTOR('',#453128,1.); #143773=VECTOR('',#453129,1.); #143774=VECTOR('',#453130,1.); #143775=VECTOR('',#453133,1.); #143776=VECTOR('',#453134,1.); #143777=VECTOR('',#453135,1.); #143778=VECTOR('',#453136,1.); #143779=VECTOR('',#453137,1.); #143780=VECTOR('',#453138,1.); #143781=VECTOR('',#453139,1.); #143782=VECTOR('',#453142,1.); #143783=VECTOR('',#453143,1.); #143784=VECTOR('',#453144,1.); #143785=VECTOR('',#453145,1.); #143786=VECTOR('',#453146,1.); #143787=VECTOR('',#453147,1.); #143788=VECTOR('',#453148,1.); #143789=VECTOR('',#453151,1.); #143790=VECTOR('',#453152,1.); #143791=VECTOR('',#453153,1.); #143792=VECTOR('',#453154,1.); #143793=VECTOR('',#453155,1.); #143794=VECTOR('',#453156,1.); #143795=VECTOR('',#453157,1.); #143796=VECTOR('',#453160,1.); #143797=VECTOR('',#453161,1.); #143798=VECTOR('',#453162,1.); #143799=VECTOR('',#453165,1.); #143800=VECTOR('',#453166,1.); #143801=VECTOR('',#453167,1.); #143802=VECTOR('',#453170,1.); #143803=VECTOR('',#453171,1.); #143804=VECTOR('',#453172,1.); #143805=VECTOR('',#453173,1.); #143806=VECTOR('',#453174,1.); #143807=VECTOR('',#453175,1.); #143808=VECTOR('',#453176,1.); #143809=VECTOR('',#453179,1.); #143810=VECTOR('',#453180,1.); #143811=VECTOR('',#453181,1.); #143812=VECTOR('',#453184,1.); #143813=VECTOR('',#453185,1.); #143814=VECTOR('',#453186,1.); #143815=VECTOR('',#453187,1.); #143816=VECTOR('',#453188,1.); #143817=VECTOR('',#453189,1.); #143818=VECTOR('',#453190,1.); #143819=VECTOR('',#453191,1.); #143820=VECTOR('',#453192,1.); #143821=VECTOR('',#453193,1.); #143822=VECTOR('',#453194,1.); #143823=VECTOR('',#453195,1.); #143824=VECTOR('',#453198,1.); #143825=VECTOR('',#453199,1.); #143826=VECTOR('',#453206,1.00000000000064); #143827=VECTOR('',#453207,1.); #143828=VECTOR('',#453208,1.00000000000064); #143829=VECTOR('',#453209,1.); #143830=VECTOR('',#453212,1.); #143831=VECTOR('',#453215,1.); #143832=VECTOR('',#453218,1.); #143833=VECTOR('',#453227,1.); #143834=VECTOR('',#453228,1.); #143835=VECTOR('',#453229,1.); #143836=VECTOR('',#453230,1.); #143837=VECTOR('',#453233,1.); #143838=VECTOR('',#453234,1.); #143839=VECTOR('',#453235,1.); #143840=VECTOR('',#453238,1.); #143841=VECTOR('',#453239,1.); #143842=VECTOR('',#453240,1.); #143843=VECTOR('',#453243,1.); #143844=VECTOR('',#453246,1.); #143845=VECTOR('',#453249,1.); #143846=VECTOR('',#453250,1.); #143847=VECTOR('',#453251,1.); #143848=VECTOR('',#453254,1.); #143849=VECTOR('',#453255,1.); #143850=VECTOR('',#453256,1.); #143851=VECTOR('',#453259,1.); #143852=VECTOR('',#453262,1.); #143853=VECTOR('',#453265,1.); #143854=VECTOR('',#453266,1.); #143855=VECTOR('',#453267,1.); #143856=VECTOR('',#453268,1.); #143857=VECTOR('',#453271,0.999999999999891); #143858=VECTOR('',#453272,0.999999999999891); #143859=VECTOR('',#453275,0.999999999999891); #143860=VECTOR('',#453278,0.999999999999891); #143861=VECTOR('',#453283,0.999999999999891); #143862=VECTOR('',#453284,0.999999999999891); #143863=VECTOR('',#453287,0.999999999999891); #143864=VECTOR('',#453290,0.999999999999891); #143865=VECTOR('',#453301,1.); #143866=VECTOR('',#453304,1.); #143867=VECTOR('',#453309,1.); #143868=VECTOR('',#453310,1.); #143869=VECTOR('',#453311,1.); #143870=VECTOR('',#453312,1.); #143871=VECTOR('',#453315,1.); #143872=VECTOR('',#453316,1.); #143873=VECTOR('',#453317,1.); #143874=VECTOR('',#453320,1.); #143875=VECTOR('',#453321,1.); #143876=VECTOR('',#453322,1.); #143877=VECTOR('',#453325,1.); #143878=VECTOR('',#453328,1.); #143879=VECTOR('',#453331,1.); #143880=VECTOR('',#453332,1.); #143881=VECTOR('',#453333,1.); #143882=VECTOR('',#453336,1.); #143883=VECTOR('',#453337,1.); #143884=VECTOR('',#453338,1.); #143885=VECTOR('',#453341,1.); #143886=VECTOR('',#453344,1.); #143887=VECTOR('',#453347,1.); #143888=VECTOR('',#453348,1.); #143889=VECTOR('',#453349,1.); #143890=VECTOR('',#453350,1.); #143891=VECTOR('',#453353,1.); #143892=VECTOR('',#453354,1.); #143893=VECTOR('',#453355,1.); #143894=VECTOR('',#453356,1.); #143895=VECTOR('',#453359,1.); #143896=VECTOR('',#453360,1.); #143897=VECTOR('',#453361,1.); #143898=VECTOR('',#453364,1.); #143899=VECTOR('',#453365,1.); #143900=VECTOR('',#453366,1.); #143901=VECTOR('',#453369,1.); #143902=VECTOR('',#453372,1.); #143903=VECTOR('',#453375,1.); #143904=VECTOR('',#453376,1.); #143905=VECTOR('',#453377,1.); #143906=VECTOR('',#453380,1.); #143907=VECTOR('',#453381,1.); #143908=VECTOR('',#453382,1.); #143909=VECTOR('',#453385,1.); #143910=VECTOR('',#453388,1.); #143911=VECTOR('',#453391,1.); #143912=VECTOR('',#453392,1.); #143913=VECTOR('',#453393,1.); #143914=VECTOR('',#453394,1.); #143915=VECTOR('',#453397,1.); #143916=VECTOR('',#453398,1.); #143917=VECTOR('',#453399,1.); #143918=VECTOR('',#453400,1.); #143919=VECTOR('',#453403,1.); #143920=VECTOR('',#453404,1.); #143921=VECTOR('',#453405,1.); #143922=VECTOR('',#453408,1.); #143923=VECTOR('',#453409,1.); #143924=VECTOR('',#453410,1.); #143925=VECTOR('',#453413,1.); #143926=VECTOR('',#453416,1.); #143927=VECTOR('',#453419,1.); #143928=VECTOR('',#453420,1.); #143929=VECTOR('',#453421,1.); #143930=VECTOR('',#453424,1.); #143931=VECTOR('',#453425,1.); #143932=VECTOR('',#453426,1.); #143933=VECTOR('',#453429,1.); #143934=VECTOR('',#453432,1.); #143935=VECTOR('',#453435,1.); #143936=VECTOR('',#453436,1.); #143937=VECTOR('',#453437,1.); #143938=VECTOR('',#453438,1.); #143939=VECTOR('',#453441,0.999999999999891); #143940=VECTOR('',#453442,0.999999999999891); #143941=VECTOR('',#453445,0.999999999999891); #143942=VECTOR('',#453448,0.999999999999891); #143943=VECTOR('',#453453,0.999999999999891); #143944=VECTOR('',#453454,0.999999999999891); #143945=VECTOR('',#453457,0.999999999999891); #143946=VECTOR('',#453460,0.999999999999891); #143947=VECTOR('',#453465,0.999999999999891); #143948=VECTOR('',#453466,0.999999999999891); #143949=VECTOR('',#453469,0.999999999999891); #143950=VECTOR('',#453472,0.999999999999891); #143951=VECTOR('',#453477,0.999999999999891); #143952=VECTOR('',#453478,0.999999999999891); #143953=VECTOR('',#453481,0.999999999999891); #143954=VECTOR('',#453484,0.999999999999891); #143955=VECTOR('',#453489,0.999999999999891); #143956=VECTOR('',#453490,0.999999999999891); #143957=VECTOR('',#453493,0.999999999999891); #143958=VECTOR('',#453496,0.999999999999891); #143959=VECTOR('',#453501,0.999999999999891); #143960=VECTOR('',#453502,0.999999999999891); #143961=VECTOR('',#453505,0.999999999999891); #143962=VECTOR('',#453508,0.999999999999891); #143963=VECTOR('',#453565,10.); #143964=VECTOR('',#453566,10.); #143965=VECTOR('',#453585,10.); #143966=VECTOR('',#453586,10.); #143967=VECTOR('',#453589,10.); #143968=VECTOR('',#453590,10.); #143969=VECTOR('',#453601,10.); #143970=VECTOR('',#453602,10.); #143971=VECTOR('',#453605,10.); #143972=VECTOR('',#453606,10.); #143973=VECTOR('',#453609,10.); #143974=VECTOR('',#453610,10.); #143975=VECTOR('',#453613,10.); #143976=VECTOR('',#453614,10.); #143977=VECTOR('',#453617,10.); #143978=VECTOR('',#453618,10.); #143979=VECTOR('',#453621,10.); #143980=VECTOR('',#453622,10.); #143981=VECTOR('',#453625,10.); #143982=VECTOR('',#453626,10.); #143983=VECTOR('',#453629,10.); #143984=VECTOR('',#453630,10.); #143985=VECTOR('',#453633,10.); #143986=VECTOR('',#453634,10.); #143987=VECTOR('',#453689,10.); #143988=VECTOR('',#453690,10.); #143989=VECTOR('',#453709,10.); #143990=VECTOR('',#453710,10.); #143991=VECTOR('',#453713,10.); #143992=VECTOR('',#453714,10.); #143993=VECTOR('',#453725,10.); #143994=VECTOR('',#453726,10.); #143995=VECTOR('',#453729,10.); #143996=VECTOR('',#453730,10.); #143997=VECTOR('',#453733,10.); #143998=VECTOR('',#453734,10.); #143999=VECTOR('',#453737,10.); #144000=VECTOR('',#453738,10.); #144001=VECTOR('',#453741,10.); #144002=VECTOR('',#453742,10.); #144003=VECTOR('',#453745,10.); #144004=VECTOR('',#453746,10.); #144005=VECTOR('',#453749,10.); #144006=VECTOR('',#453750,10.); #144007=VECTOR('',#453753,10.); #144008=VECTOR('',#453754,10.); #144009=VECTOR('',#453757,10.); #144010=VECTOR('',#453758,10.); #144011=VECTOR('',#453773,10.); #144012=VECTOR('',#453774,10.); #144013=VECTOR('',#453775,10.); #144014=VECTOR('',#453776,10.); #144015=VECTOR('',#453779,10.); #144016=VECTOR('',#453780,10.); #144017=VECTOR('',#453781,10.); #144018=VECTOR('',#453784,10.); #144019=VECTOR('',#453785,10.); #144020=VECTOR('',#453786,10.); #144021=VECTOR('',#453789,10.); #144022=VECTOR('',#453790,10.); #144023=VECTOR('',#453797,10.); #144024=VECTOR('',#453798,10.); #144025=VECTOR('',#453799,10.); #144026=VECTOR('',#453800,10.); #144027=VECTOR('',#453803,10.); #144028=VECTOR('',#453804,10.); #144029=VECTOR('',#453805,10.); #144030=VECTOR('',#453806,10.); #144031=VECTOR('',#453809,10.); #144032=VECTOR('',#453810,10.); #144033=VECTOR('',#453813,10.); #144034=VECTOR('',#453816,10.); #144035=VECTOR('',#453821,10.); #144036=VECTOR('',#453822,10.); #144037=VECTOR('',#453823,10.); #144038=VECTOR('',#453824,10.); #144039=VECTOR('',#453827,10.); #144040=VECTOR('',#453828,10.); #144041=VECTOR('',#453829,10.); #144042=VECTOR('',#453832,10.); #144043=VECTOR('',#453833,10.); #144044=VECTOR('',#453834,10.); #144045=VECTOR('',#453837,10.); #144046=VECTOR('',#453838,10.); #144047=VECTOR('',#453903,1000.); #144048=VECTOR('',#453904,1000.); #144049=VECTOR('',#453905,1000.); #144050=VECTOR('',#453906,1000.); #144051=VECTOR('',#453907,1000.); #144052=VECTOR('',#453908,1000.); #144053=VECTOR('',#453909,1000.); #144054=VECTOR('',#453910,1000.); #144055=VECTOR('',#453911,1000.); #144056=VECTOR('',#453912,1000.); #144057=VECTOR('',#453913,999.999999999999); #144058=VECTOR('',#453914,1000.); #144059=VECTOR('',#453915,999.999999999999); #144060=VECTOR('',#453916,1000.); #144061=VECTOR('',#453917,1000.); #144062=VECTOR('',#453918,1000.); #144063=VECTOR('',#453921,1000.); #144064=VECTOR('',#453922,1000.); #144065=VECTOR('',#453923,1000.); #144066=VECTOR('',#453924,1000.); #144067=VECTOR('',#453929,1000.); #144068=VECTOR('',#453932,1000.); #144069=VECTOR('',#453935,1000.); #144070=VECTOR('',#453938,1000.); #144071=VECTOR('',#453943,1000.); #144072=VECTOR('',#453946,1000.); #144073=VECTOR('',#453949,1000.); #144074=VECTOR('',#453952,1000.); #144075=VECTOR('',#453955,1000.); #144076=VECTOR('',#453956,1000.); #144077=VECTOR('',#453959,1000.); #144078=VECTOR('',#453960,1000.); #144079=VECTOR('',#453963,1000.); #144080=VECTOR('',#453964,1000.); #144081=VECTOR('',#453965,1000.); #144082=VECTOR('',#453966,1000.); #144083=VECTOR('',#453967,1000.); #144084=VECTOR('',#453968,1000.); #144085=VECTOR('',#453969,1000.); #144086=VECTOR('',#453970,1000.); #144087=VECTOR('',#453971,1000.); #144088=VECTOR('',#453972,1000.); #144089=VECTOR('',#453973,1000.); #144090=VECTOR('',#453974,1000.); #144091=VECTOR('',#453975,1000.); #144092=VECTOR('',#453976,1000.); #144093=VECTOR('',#453977,1000.); #144094=VECTOR('',#453978,1000.); #144095=VECTOR('',#453981,1000.); #144096=VECTOR('',#453982,1000.); #144097=VECTOR('',#453983,1000.); #144098=VECTOR('',#453986,1000.); #144099=VECTOR('',#453987,1000.); #144100=VECTOR('',#453988,1000.); #144101=VECTOR('',#453989,1000.); #144102=VECTOR('',#453990,1000.); #144103=VECTOR('',#453991,1000.); #144104=VECTOR('',#453992,1000.); #144105=VECTOR('',#453993,1000.); #144106=VECTOR('',#453994,1000.); #144107=VECTOR('',#453995,1000.); #144108=VECTOR('',#453996,1000.); #144109=VECTOR('',#453997,1000.); #144110=VECTOR('',#453998,1000.); #144111=VECTOR('',#453999,1000.); #144112=VECTOR('',#454000,1000.); #144113=VECTOR('',#454001,1000.); #144114=VECTOR('',#454002,1000.); #144115=VECTOR('',#454003,1000.); #144116=VECTOR('',#454004,1000.); #144117=VECTOR('',#454007,1000.); #144118=VECTOR('',#454010,1000.); #144119=VECTOR('',#454011,1000.); #144120=VECTOR('',#454012,1000.); #144121=VECTOR('',#454017,1000.); #144122=VECTOR('',#454018,1000.); #144123=VECTOR('',#454019,1000.); #144124=VECTOR('',#454020,1000.); #144125=VECTOR('',#454025,1000.); #144126=VECTOR('',#454028,1000.); #144127=VECTOR('',#454033,1000.); #144128=VECTOR('',#454034,1000.); #144129=VECTOR('',#454035,1000.); #144130=VECTOR('',#454036,1000.); #144131=VECTOR('',#454039,1000.); #144132=VECTOR('',#454040,1000.); #144133=VECTOR('',#454041,1000.); #144134=VECTOR('',#454042,1000.); #144135=VECTOR('',#454045,1000.); #144136=VECTOR('',#454046,1000.); #144137=VECTOR('',#454047,1000.); #144138=VECTOR('',#454048,1000.); #144139=VECTOR('',#454051,1000.); #144140=VECTOR('',#454052,1000.); #144141=VECTOR('',#454053,1000.); #144142=VECTOR('',#454054,1000.); #144143=VECTOR('',#454057,1000.); #144144=VECTOR('',#454058,1000.); #144145=VECTOR('',#454059,1000.); #144146=VECTOR('',#454060,1000.); #144147=VECTOR('',#454063,1000.); #144148=VECTOR('',#454064,1000.); #144149=VECTOR('',#454065,1000.); #144150=VECTOR('',#454066,1000.); #144151=VECTOR('',#454069,1000.); #144152=VECTOR('',#454070,1000.); #144153=VECTOR('',#454071,1000.); #144154=VECTOR('',#454072,1000.); #144155=VECTOR('',#454075,1000.); #144156=VECTOR('',#454076,1000.); #144157=VECTOR('',#454077,1000.); #144158=VECTOR('',#454078,1000.); #144159=VECTOR('',#454081,1000.); #144160=VECTOR('',#454082,1000.); #144161=VECTOR('',#454083,1000.); #144162=VECTOR('',#454084,1000.); #144163=VECTOR('',#454087,1000.); #144164=VECTOR('',#454088,1000.); #144165=VECTOR('',#454089,1000.); #144166=VECTOR('',#454090,1000.); #144167=VECTOR('',#454093,1000.); #144168=VECTOR('',#454094,1000.); #144169=VECTOR('',#454095,1000.); #144170=VECTOR('',#454096,1000.); #144171=VECTOR('',#454099,1000.); #144172=VECTOR('',#454100,1000.); #144173=VECTOR('',#454101,1000.); #144174=VECTOR('',#454102,1000.); #144175=VECTOR('',#454105,1000.); #144176=VECTOR('',#454106,1000.); #144177=VECTOR('',#454107,1000.); #144178=VECTOR('',#454110,1000.); #144179=VECTOR('',#454111,1000.); #144180=VECTOR('',#454112,1000.); #144181=VECTOR('',#454115,1000.); #144182=VECTOR('',#454116,1000.); #144183=VECTOR('',#454117,1000.); #144184=VECTOR('',#454118,1000.); #144185=VECTOR('',#454121,1000.); #144186=VECTOR('',#454122,1000.); #144187=VECTOR('',#454125,1000.); #144188=VECTOR('',#454126,1000.); #144189=VECTOR('',#454127,1000.); #144190=VECTOR('',#454130,1000.); #144191=VECTOR('',#454131,1000.); #144192=VECTOR('',#454132,1000.); #144193=VECTOR('',#454135,1000.); #144194=VECTOR('',#454136,1000.); #144195=VECTOR('',#454137,1000.); #144196=VECTOR('',#454138,1000.); #144197=VECTOR('',#454141,1000.); #144198=VECTOR('',#454142,1000.); #144199=VECTOR('',#454145,1000.); #144200=VECTOR('',#454146,1000.); #144201=VECTOR('',#454147,1000.); #144202=VECTOR('',#454150,1000.); #144203=VECTOR('',#454151,1000.); #144204=VECTOR('',#454152,1000.); #144205=VECTOR('',#454155,1000.); #144206=VECTOR('',#454156,1000.); #144207=VECTOR('',#454157,1000.); #144208=VECTOR('',#454158,1000.); #144209=VECTOR('',#454161,1000.); #144210=VECTOR('',#454162,1000.); #144211=VECTOR('',#454165,1000.); #144212=VECTOR('',#454166,1000.); #144213=VECTOR('',#454167,1000.); #144214=VECTOR('',#454170,1000.); #144215=VECTOR('',#454171,1000.); #144216=VECTOR('',#454172,1000.); #144217=VECTOR('',#454175,1000.); #144218=VECTOR('',#454176,1000.); #144219=VECTOR('',#454177,1000.); #144220=VECTOR('',#454178,1000.); #144221=VECTOR('',#454181,1000.); #144222=VECTOR('',#454182,1000.); #144223=VECTOR('',#454185,1000.); #144224=VECTOR('',#454186,1000.); #144225=VECTOR('',#454187,1000.); #144226=VECTOR('',#454190,1000.); #144227=VECTOR('',#454191,1000.); #144228=VECTOR('',#454192,1000.); #144229=VECTOR('',#454195,1000.); #144230=VECTOR('',#454196,1000.); #144231=VECTOR('',#454197,1000.); #144232=VECTOR('',#454198,1000.); #144233=VECTOR('',#454201,1000.); #144234=VECTOR('',#454202,1000.); #144235=VECTOR('',#454205,1000.); #144236=VECTOR('',#454206,1000.); #144237=VECTOR('',#454207,1000.); #144238=VECTOR('',#454210,1000.); #144239=VECTOR('',#454211,1000.); #144240=VECTOR('',#454212,1000.); #144241=VECTOR('',#454215,1000.); #144242=VECTOR('',#454216,1000.); #144243=VECTOR('',#454217,1000.); #144244=VECTOR('',#454218,1000.); #144245=VECTOR('',#454221,1000.); #144246=VECTOR('',#454222,1000.); #144247=VECTOR('',#454225,1000.); #144248=VECTOR('',#454226,1000.); #144249=VECTOR('',#454227,1000.); #144250=VECTOR('',#454230,1000.); #144251=VECTOR('',#454231,1000.); #144252=VECTOR('',#454232,1000.); #144253=VECTOR('',#454235,1000.); #144254=VECTOR('',#454236,1000.); #144255=VECTOR('',#454237,1000.); #144256=VECTOR('',#454240,1000.); #144257=VECTOR('',#454241,1000.); #144258=VECTOR('',#454242,1000.); #144259=VECTOR('',#454245,1000.); #144260=VECTOR('',#454246,1000.); #144261=VECTOR('',#454247,1000.); #144262=VECTOR('',#454248,1000.); #144263=VECTOR('',#454251,1000.); #144264=VECTOR('',#454252,1000.); #144265=VECTOR('',#454255,1000.); #144266=VECTOR('',#454256,1000.); #144267=VECTOR('',#454257,1000.); #144268=VECTOR('',#454260,1000.); #144269=VECTOR('',#454261,1000.); #144270=VECTOR('',#454262,1000.); #144271=VECTOR('',#454265,1000.); #144272=VECTOR('',#454266,1000.); #144273=VECTOR('',#454267,1000.); #144274=VECTOR('',#454268,1000.); #144275=VECTOR('',#454271,1000.); #144276=VECTOR('',#454272,1000.); #144277=VECTOR('',#454275,1000.); #144278=VECTOR('',#454276,1000.); #144279=VECTOR('',#454277,1000.); #144280=VECTOR('',#454280,1000.); #144281=VECTOR('',#454281,1000.); #144282=VECTOR('',#454282,1000.); #144283=VECTOR('',#454285,1000.); #144284=VECTOR('',#454286,1000.); #144285=VECTOR('',#454287,1000.); #144286=VECTOR('',#454288,1000.); #144287=VECTOR('',#454291,1000.); #144288=VECTOR('',#454292,1000.); #144289=VECTOR('',#454295,1000.); #144290=VECTOR('',#454296,1000.); #144291=VECTOR('',#454297,1000.); #144292=VECTOR('',#454300,1000.); #144293=VECTOR('',#454301,1000.); #144294=VECTOR('',#454302,1000.); #144295=VECTOR('',#454305,1000.); #144296=VECTOR('',#454306,1000.); #144297=VECTOR('',#454307,1000.); #144298=VECTOR('',#454308,1000.); #144299=VECTOR('',#454311,1000.); #144300=VECTOR('',#454312,1000.); #144301=VECTOR('',#454315,1000.); #144302=VECTOR('',#454316,1000.); #144303=VECTOR('',#454317,1000.); #144304=VECTOR('',#454320,1000.); #144305=VECTOR('',#454321,1000.); #144306=VECTOR('',#454322,1000.); #144307=VECTOR('',#454325,1000.); #144308=VECTOR('',#454326,1000.); #144309=VECTOR('',#454327,1000.); #144310=VECTOR('',#454328,1000.); #144311=VECTOR('',#454331,1000.); #144312=VECTOR('',#454332,1000.); #144313=VECTOR('',#454335,1000.); #144314=VECTOR('',#454336,1000.); #144315=VECTOR('',#454337,1000.); #144316=VECTOR('',#454340,1000.); #144317=VECTOR('',#454341,1000.); #144318=VECTOR('',#454342,1000.); #144319=VECTOR('',#454345,1000.); #144320=VECTOR('',#454346,1000.); #144321=VECTOR('',#454347,1000.); #144322=VECTOR('',#454348,1000.); #144323=VECTOR('',#454351,1000.); #144324=VECTOR('',#454352,1000.); #144325=VECTOR('',#454353,1000.); #144326=VECTOR('',#454354,1000.); #144327=VECTOR('',#454355,1000.); #144328=VECTOR('',#454358,1000.); #144329=VECTOR('',#454359,1000.); #144330=VECTOR('',#454360,1000.); #144331=VECTOR('',#454363,1000.); #144332=VECTOR('',#454364,1000.); #144333=VECTOR('',#454365,1000.); #144334=VECTOR('',#454366,1000.); #144335=VECTOR('',#454367,1000.); #144336=VECTOR('',#454368,1000.); #144337=VECTOR('',#454369,1000.); #144338=VECTOR('',#454370,1000.); #144339=VECTOR('',#454371,1000.); #144340=VECTOR('',#454372,1000.); #144341=VECTOR('',#454373,1000.); #144342=VECTOR('',#454374,1000.); #144343=VECTOR('',#454375,1000.); #144344=VECTOR('',#454378,1000.); #144345=VECTOR('',#454379,1000.); #144346=VECTOR('',#454380,1000.); #144347=VECTOR('',#454381,1000.); #144348=VECTOR('',#454382,1000.); #144349=VECTOR('',#454385,1000.); #144350=VECTOR('',#454386,1000.); #144351=VECTOR('',#454387,1000.); #144352=VECTOR('',#454388,1000.); #144353=VECTOR('',#454389,1000.); #144354=VECTOR('',#454392,1000.); #144355=VECTOR('',#454395,1000.); #144356=VECTOR('',#454400,1000.); #144357=VECTOR('',#454401,1000.); #144358=VECTOR('',#454402,1000.); #144359=VECTOR('',#454405,1000.); #144360=VECTOR('',#454406,1000.); #144361=VECTOR('',#454407,1000.); #144362=VECTOR('',#454408,1000.); #144363=VECTOR('',#454411,1000.); #144364=VECTOR('',#454412,1000.); #144365=VECTOR('',#454413,1000.); #144366=VECTOR('',#454414,1000.); #144367=VECTOR('',#454415,1000.); #144368=VECTOR('',#454416,1000.); #144369=VECTOR('',#454417,1000.); #144370=VECTOR('',#454418,1000.); #144371=VECTOR('',#454419,1000.); #144372=VECTOR('',#454420,1000.); #144373=VECTOR('',#454421,1000.); #144374=VECTOR('',#454422,1000.); #144375=VECTOR('',#454423,1000.); #144376=VECTOR('',#454424,1000.); #144377=VECTOR('',#454427,1000.); #144378=VECTOR('',#454428,1000.); #144379=VECTOR('',#454429,1000.); #144380=VECTOR('',#454430,1000.); #144381=VECTOR('',#454433,1000.); #144382=VECTOR('',#454434,1000.); #144383=VECTOR('',#454435,1000.); #144384=VECTOR('',#454438,1000.); #144385=VECTOR('',#454439,1000.); #144386=VECTOR('',#454440,1000.); #144387=VECTOR('',#454443,1000.); #144388=VECTOR('',#454446,1000.); #144389=VECTOR('',#454447,1000.); #144390=VECTOR('',#454448,1000.); #144391=VECTOR('',#454455,1000.); #144392=VECTOR('',#454456,1000.); #144393=VECTOR('',#454459,1000.); #144394=VECTOR('',#454460,1000.); #144395=VECTOR('',#454463,1000.); #144396=VECTOR('',#454464,1000.); #144397=VECTOR('',#454465,1000.); #144398=VECTOR('',#454466,1000.); #144399=VECTOR('',#454467,1000.); #144400=VECTOR('',#454470,1000.); #144401=VECTOR('',#454475,1000.); #144402=VECTOR('',#454476,1000.); #144403=VECTOR('',#454477,1000.); #144404=VECTOR('',#454478,1000.); #144405=VECTOR('',#454481,1000.); #144406=VECTOR('',#454482,1000.); #144407=VECTOR('',#454485,1000.); #144408=VECTOR('',#454486,1000.); #144409=VECTOR('',#454489,1000.); #144410=VECTOR('',#454490,1000.); #144411=VECTOR('',#454495,1000.); #144412=VECTOR('',#454500,1000.); #144413=VECTOR('',#454501,1000.); #144414=VECTOR('',#454502,1000.); #144415=VECTOR('',#454503,1000.); #144416=VECTOR('',#454512,1000.); #144417=VECTOR('',#454517,1000.); #144418=VECTOR('',#454518,1000.); #144419=VECTOR('',#454519,1000.); #144420=VECTOR('',#454522,1000.); #144421=VECTOR('',#454523,1000.); #144422=VECTOR('',#454524,1000.); #144423=VECTOR('',#454525,1000.); #144424=VECTOR('',#454526,1000.); #144425=VECTOR('',#454527,1000.); #144426=VECTOR('',#454528,1000.); #144427=VECTOR('',#454529,1000.); #144428=VECTOR('',#454530,1000.); #144429=VECTOR('',#454531,1000.); #144430=VECTOR('',#454536,1000.); #144431=VECTOR('',#454539,1000.); #144432=VECTOR('',#454544,1000.); #144433=VECTOR('',#454545,1000.); #144434=VECTOR('',#454546,1000.); #144435=VECTOR('',#454549,1000.); #144436=VECTOR('',#454552,1000.); #144437=VECTOR('',#454559,1000.); #144438=VECTOR('',#454560,1000.); #144439=VECTOR('',#454561,1000.); #144440=VECTOR('',#454562,1000.); #144441=VECTOR('',#454563,1000.); #144442=VECTOR('',#454564,1000.); #144443=VECTOR('',#454565,1000.); #144444=VECTOR('',#454568,1000.); #144445=VECTOR('',#454571,1000.); #144446=VECTOR('',#454574,1000.); #144447=VECTOR('',#454577,1000.); #144448=VECTOR('',#454580,1000.); #144449=VECTOR('',#454581,1000.); #144450=VECTOR('',#454582,1000.); #144451=VECTOR('',#454583,1000.); #144452=VECTOR('',#454586,1000.); #144453=VECTOR('',#454587,1000.); #144454=VECTOR('',#454588,1000.); #144455=VECTOR('',#454591,1000.); #144456=VECTOR('',#454592,1000.); #144457=VECTOR('',#454593,1000.); #144458=VECTOR('',#454600,1000.); #144459=VECTOR('',#454603,1000.); #144460=VECTOR('',#454604,1000.); #144461=VECTOR('',#454605,1000.); #144462=VECTOR('',#454608,1000.); #144463=VECTOR('',#454609,1000.); #144464=VECTOR('',#454610,1000.); #144465=VECTOR('',#454613,1000.); #144466=VECTOR('',#454614,1000.); #144467=VECTOR('',#454615,1000.); #144468=VECTOR('',#454616,1000.); #144469=VECTOR('',#454619,1000.); #144470=VECTOR('',#454620,1000.); #144471=VECTOR('',#454621,1000.); #144472=VECTOR('',#454622,1000.); #144473=VECTOR('',#454625,1000.); #144474=VECTOR('',#454626,1000.); #144475=VECTOR('',#454627,1000.); #144476=VECTOR('',#454636,1000.); #144477=VECTOR('',#454637,1000.); #144478=VECTOR('',#454638,1000.); #144479=VECTOR('',#454641,1000.); #144480=VECTOR('',#454642,1000.); #144481=VECTOR('',#454643,1000.); #144482=VECTOR('',#454646,1000.); #144483=VECTOR('',#454647,1000.); #144484=VECTOR('',#454650,1000.); #144485=VECTOR('',#454653,1000.); #144486=VECTOR('',#454656,1000.); #144487=VECTOR('',#454659,1000.); #144488=VECTOR('',#454662,1000.); #144489=VECTOR('',#454665,1000.); #144490=VECTOR('',#454670,1000.); #144491=VECTOR('',#454673,1000.); #144492=VECTOR('',#454676,1000.); #144493=VECTOR('',#454681,1000.); #144494=VECTOR('',#454702,1000.); #144495=VECTOR('',#454705,1000.); #144496=VECTOR('',#454710,1000.); #144497=VECTOR('',#454713,1000.); #144498=VECTOR('',#454718,1000.); #144499=VECTOR('',#454721,1000.); #144500=VECTOR('',#454722,1000.); #144501=VECTOR('',#454723,1000.); #144502=VECTOR('',#454724,1000.); #144503=VECTOR('',#454727,1000.); #144504=VECTOR('',#454732,1000.); #144505=VECTOR('',#454733,1000.); #144506=VECTOR('',#454734,1000.); #144507=VECTOR('',#454735,1000.); #144508=VECTOR('',#454736,1000.); #144509=VECTOR('',#454737,1000.); #144510=VECTOR('',#454740,1000.); #144511=VECTOR('',#454741,1000.); #144512=VECTOR('',#454742,1000.); #144513=VECTOR('',#454743,1000.); #144514=VECTOR('',#454746,1000.); #144515=VECTOR('',#454747,1000.); #144516=VECTOR('',#454750,1000.); #144517=VECTOR('',#454753,1000.); #144518=VECTOR('',#454754,1000.); #144519=VECTOR('',#454755,1000.); #144520=VECTOR('',#454756,1000.); #144521=VECTOR('',#454759,1000.); #144522=VECTOR('',#454764,1000.); #144523=VECTOR('',#454767,1000.); #144524=VECTOR('',#454772,1000.); #144525=VECTOR('',#454775,1000.); #144526=VECTOR('',#454776,1000.); #144527=VECTOR('',#454779,1000.); #144528=VECTOR('',#454780,1000.); #144529=VECTOR('',#454781,1000.); #144530=VECTOR('',#454782,1000.); #144531=VECTOR('',#454783,1000.); #144532=VECTOR('',#454786,1000.); #144533=VECTOR('',#454789,1000.); #144534=VECTOR('',#454798,0.25); #144535=VECTOR('',#454807,0.25); #144536=VECTOR('',#454816,0.15); #144537=VECTOR('',#454819,0.25); #144538=VECTOR('',#454824,1000.); #144539=VECTOR('',#454825,1000.); #144540=VECTOR('',#454832,1000.); #144541=VECTOR('',#454837,1000.); #144542=VECTOR('',#454840,1000.); #144543=VECTOR('',#454845,1000.); #144544=VECTOR('',#454846,1000.); #144545=VECTOR('',#454847,1000.); #144546=VECTOR('',#454848,1000.); #144547=VECTOR('',#454849,1000.); #144548=VECTOR('',#454850,1000.); #144549=VECTOR('',#454851,1000.); #144550=VECTOR('',#454852,1000.); #144551=VECTOR('',#454853,1000.); #144552=VECTOR('',#454854,1000.); #144553=VECTOR('',#454855,1000.); #144554=VECTOR('',#454856,1000.); #144555=VECTOR('',#454859,1000.); #144556=VECTOR('',#454864,1000.); #144557=VECTOR('',#454869,1000.); #144558=VECTOR('',#454874,1000.); #144559=VECTOR('',#454879,1000.); #144560=VECTOR('',#454884,1000.); #144561=VECTOR('',#454889,1000.); #144562=VECTOR('',#454894,1000.); #144563=VECTOR('',#454899,1000.); #144564=VECTOR('',#454904,1000.); #144565=VECTOR('',#454909,1000.); #144566=VECTOR('',#454914,1000.); #144567=VECTOR('',#454919,1000.); #144568=VECTOR('',#454920,1000.); #144569=VECTOR('',#454921,1000.); #144570=VECTOR('',#454922,1000.); #144571=VECTOR('',#454923,1000.); #144572=VECTOR('',#454926,1000.); #144573=VECTOR('',#454927,1000.); #144574=VECTOR('',#454930,1000.); #144575=VECTOR('',#454931,1000.); #144576=VECTOR('',#454932,1000.); #144577=VECTOR('',#454933,1000.); #144578=VECTOR('',#454936,1000.); #144579=VECTOR('',#454937,1000.); #144580=VECTOR('',#454938,1000.); #144581=VECTOR('',#454939,1000.); #144582=VECTOR('',#454942,1000.); #144583=VECTOR('',#454945,1000.); #144584=VECTOR('',#454946,1000.); #144585=VECTOR('',#454947,1000.); #144586=VECTOR('',#454950,1000.); #144587=VECTOR('',#454951,1000.); #144588=VECTOR('',#454952,1000.); #144589=VECTOR('',#454953,1000.); #144590=VECTOR('',#454954,1000.); #144591=VECTOR('',#454957,1000.); #144592=VECTOR('',#454958,1000.); #144593=VECTOR('',#454959,1000.); #144594=VECTOR('',#454960,1000.); #144595=VECTOR('',#454961,1000.); #144596=VECTOR('',#454962,1000.); #144597=VECTOR('',#454963,1000.); #144598=VECTOR('',#454964,1000.); #144599=VECTOR('',#454965,1000.); #144600=VECTOR('',#454966,1000.); #144601=VECTOR('',#454967,1000.); #144602=VECTOR('',#454968,1000.); #144603=VECTOR('',#454969,1000.); #144604=VECTOR('',#454970,1000.); #144605=VECTOR('',#454971,1000.); #144606=VECTOR('',#454974,1000.); #144607=VECTOR('',#454975,1000.); #144608=VECTOR('',#454978,1000.); #144609=VECTOR('',#454979,1000.); #144610=VECTOR('',#454982,1000.); #144611=VECTOR('',#454983,1000.); #144612=VECTOR('',#454988,1000.); #144613=VECTOR('',#454989,1000.); #144614=VECTOR('',#454992,1000.); #144615=VECTOR('',#454993,1000.); #144616=VECTOR('',#454996,1000.); #144617=VECTOR('',#454997,1000.); #144618=VECTOR('',#455002,1000.); #144619=VECTOR('',#455003,1000.); #144620=VECTOR('',#455006,1000.); #144621=VECTOR('',#455007,1000.); #144622=VECTOR('',#455010,1000.); #144623=VECTOR('',#455011,1000.); #144624=VECTOR('',#455014,1000.); #144625=VECTOR('',#455015,1000.); #144626=VECTOR('',#455018,1000.); #144627=VECTOR('',#455019,1000.); #144628=VECTOR('',#455022,1000.); #144629=VECTOR('',#455023,1000.); #144630=VECTOR('',#455026,1000.); #144631=VECTOR('',#455027,1000.); #144632=VECTOR('',#455030,1000.); #144633=VECTOR('',#455031,1000.); #144634=VECTOR('',#455034,1000.); #144635=VECTOR('',#455035,1000.); #144636=VECTOR('',#455038,1000.); #144637=VECTOR('',#455039,1000.); #144638=VECTOR('',#455042,1000.); #144639=VECTOR('',#455043,1000.); #144640=VECTOR('',#455046,1000.); #144641=VECTOR('',#455047,1000.); #144642=VECTOR('',#455050,1000.); #144643=VECTOR('',#455051,1000.); #144644=VECTOR('',#455054,1000.); #144645=VECTOR('',#455055,1000.); #144646=VECTOR('',#455058,1000.); #144647=VECTOR('',#455061,1000.); #144648=VECTOR('',#455062,1000.); #144649=VECTOR('',#455065,1000.); #144650=VECTOR('',#455066,1000.); #144651=VECTOR('',#455069,1000.); #144652=VECTOR('',#455070,1000.); #144653=VECTOR('',#455073,1000.); #144654=VECTOR('',#455074,1000.); #144655=VECTOR('',#455077,1000.); #144656=VECTOR('',#455078,1000.); #144657=VECTOR('',#455081,1000.); #144658=VECTOR('',#455082,1000.); #144659=VECTOR('',#455085,1000.); #144660=VECTOR('',#455086,1000.); #144661=VECTOR('',#455089,1000.); #144662=VECTOR('',#455090,1000.); #144663=VECTOR('',#455093,1000.); #144664=VECTOR('',#455094,1000.); #144665=VECTOR('',#455097,1000.); #144666=VECTOR('',#455098,1000.); #144667=VECTOR('',#455101,1000.); #144668=VECTOR('',#455102,1000.); #144669=VECTOR('',#455105,1000.); #144670=VECTOR('',#455106,1000.); #144671=VECTOR('',#455109,1000.); #144672=VECTOR('',#455110,1000.); #144673=VECTOR('',#455113,1000.); #144674=VECTOR('',#455114,1000.); #144675=VECTOR('',#455117,1000.); #144676=VECTOR('',#455122,1000.); #144677=VECTOR('',#455125,1000.); #144678=VECTOR('',#455130,1000.); #144679=VECTOR('',#455133,1000.); #144680=VECTOR('',#455140,1000.); #144681=VECTOR('',#455149,1000.); #144682=VECTOR('',#455150,1000.); #144683=VECTOR('',#455151,1000.); #144684=VECTOR('',#455152,1000.); #144685=VECTOR('',#455155,1000.); #144686=VECTOR('',#455158,1000.); #144687=VECTOR('',#455161,1000.); #144688=VECTOR('',#455162,1000.); #144689=VECTOR('',#455163,1000.); #144690=VECTOR('',#455166,1000.); #144691=VECTOR('',#455169,1000.); #144692=VECTOR('',#455172,1000.); #144693=VECTOR('',#455173,1000.); #144694=VECTOR('',#455174,1000.); #144695=VECTOR('',#455177,1000.); #144696=VECTOR('',#455180,1000.); #144697=VECTOR('',#455181,1000.); #144698=VECTOR('',#455182,1000.); #144699=VECTOR('',#455185,1000.); #144700=VECTOR('',#455190,1000.); #144701=VECTOR('',#455191,1000.); #144702=VECTOR('',#455192,1000.); #144703=VECTOR('',#455195,1000.); #144704=VECTOR('',#455196,1000.); #144705=VECTOR('',#455197,1000.); #144706=VECTOR('',#455198,1000.); #144707=VECTOR('',#455199,1000.); #144708=VECTOR('',#455202,1000.); #144709=VECTOR('',#455203,1000.); #144710=VECTOR('',#455204,1000.); #144711=VECTOR('',#455207,1000.); #144712=VECTOR('',#455208,1000.); #144713=VECTOR('',#455209,1000.); #144714=VECTOR('',#455210,1000.); #144715=VECTOR('',#455213,1000.); #144716=VECTOR('',#455214,1000.); #144717=VECTOR('',#455217,1000.); #144718=VECTOR('',#455218,1000.); #144719=VECTOR('',#455221,1000.); #144720=VECTOR('',#455222,1000.); #144721=VECTOR('',#455225,1000.); #144722=VECTOR('',#455228,1000.); #144723=VECTOR('',#455231,1000.); #144724=VECTOR('',#455236,1000.); #144725=VECTOR('',#455239,1000.); #144726=VECTOR('',#455242,1000.); #144727=VECTOR('',#455253,1000.); #144728=VECTOR('',#455254,1000.); #144729=VECTOR('',#455255,1000.); #144730=VECTOR('',#455256,1000.); #144731=VECTOR('',#455259,1000.); #144732=VECTOR('',#455262,1000.); #144733=VECTOR('',#455265,1000.); #144734=VECTOR('',#455266,1000.); #144735=VECTOR('',#455267,1000.); #144736=VECTOR('',#455270,1000.); #144737=VECTOR('',#455273,1000.); #144738=VECTOR('',#455276,1000.); #144739=VECTOR('',#455277,1000.); #144740=VECTOR('',#455278,1000.); #144741=VECTOR('',#455281,1000.); #144742=VECTOR('',#455284,1000.); #144743=VECTOR('',#455285,1000.); #144744=VECTOR('',#455286,1000.); #144745=VECTOR('',#455289,1000.); #144746=VECTOR('',#455294,1000.); #144747=VECTOR('',#455295,1000.); #144748=VECTOR('',#455296,1000.); #144749=VECTOR('',#455299,1000.); #144750=VECTOR('',#455300,1000.); #144751=VECTOR('',#455301,1000.); #144752=VECTOR('',#455302,1000.); #144753=VECTOR('',#455303,1000.); #144754=VECTOR('',#455306,1000.); #144755=VECTOR('',#455307,1000.); #144756=VECTOR('',#455308,1000.); #144757=VECTOR('',#455311,1000.); #144758=VECTOR('',#455312,1000.); #144759=VECTOR('',#455313,1000.); #144760=VECTOR('',#455314,1000.); #144761=VECTOR('',#455317,1000.); #144762=VECTOR('',#455318,1000.); #144763=VECTOR('',#455321,1000.); #144764=VECTOR('',#455322,1000.); #144765=VECTOR('',#455325,1000.); #144766=VECTOR('',#455326,1000.); #144767=VECTOR('',#455329,1000.); #144768=VECTOR('',#455332,1000.); #144769=VECTOR('',#455335,1000.); #144770=VECTOR('',#455340,1000.); #144771=VECTOR('',#455343,1000.); #144772=VECTOR('',#455346,1000.); #144773=VECTOR('',#455357,1000.); #144774=VECTOR('',#455358,1000.); #144775=VECTOR('',#455359,1000.); #144776=VECTOR('',#455360,1000.); #144777=VECTOR('',#455363,1000.); #144778=VECTOR('',#455366,1000.); #144779=VECTOR('',#455369,1000.); #144780=VECTOR('',#455370,1000.); #144781=VECTOR('',#455371,1000.); #144782=VECTOR('',#455374,1000.); #144783=VECTOR('',#455377,1000.); #144784=VECTOR('',#455380,1000.); #144785=VECTOR('',#455381,1000.); #144786=VECTOR('',#455382,1000.); #144787=VECTOR('',#455385,1000.); #144788=VECTOR('',#455388,1000.); #144789=VECTOR('',#455389,1000.); #144790=VECTOR('',#455390,1000.); #144791=VECTOR('',#455393,1000.); #144792=VECTOR('',#455398,1000.); #144793=VECTOR('',#455399,1000.); #144794=VECTOR('',#455400,1000.); #144795=VECTOR('',#455403,1000.); #144796=VECTOR('',#455404,1000.); #144797=VECTOR('',#455405,1000.); #144798=VECTOR('',#455406,1000.); #144799=VECTOR('',#455407,1000.); #144800=VECTOR('',#455410,1000.); #144801=VECTOR('',#455411,1000.); #144802=VECTOR('',#455412,1000.); #144803=VECTOR('',#455415,1000.); #144804=VECTOR('',#455416,1000.); #144805=VECTOR('',#455417,1000.); #144806=VECTOR('',#455418,1000.); #144807=VECTOR('',#455421,1000.); #144808=VECTOR('',#455422,1000.); #144809=VECTOR('',#455425,1000.); #144810=VECTOR('',#455426,1000.); #144811=VECTOR('',#455429,1000.); #144812=VECTOR('',#455430,1000.); #144813=VECTOR('',#455433,1000.); #144814=VECTOR('',#455436,1000.); #144815=VECTOR('',#455439,1000.); #144816=VECTOR('',#455444,1000.); #144817=VECTOR('',#455447,1000.); #144818=VECTOR('',#455450,1000.); #144819=VECTOR('',#455461,1000.); #144820=VECTOR('',#455462,1000.); #144821=VECTOR('',#455463,1000.); #144822=VECTOR('',#455464,1000.); #144823=VECTOR('',#455467,1000.); #144824=VECTOR('',#455470,1000.); #144825=VECTOR('',#455473,1000.); #144826=VECTOR('',#455474,1000.); #144827=VECTOR('',#455475,1000.); #144828=VECTOR('',#455478,1000.); #144829=VECTOR('',#455481,1000.); #144830=VECTOR('',#455484,1000.); #144831=VECTOR('',#455485,1000.); #144832=VECTOR('',#455486,1000.); #144833=VECTOR('',#455489,1000.); #144834=VECTOR('',#455492,1000.); #144835=VECTOR('',#455493,1000.); #144836=VECTOR('',#455494,1000.); #144837=VECTOR('',#455497,1000.); #144838=VECTOR('',#455502,1000.); #144839=VECTOR('',#455503,1000.); #144840=VECTOR('',#455504,1000.); #144841=VECTOR('',#455507,1000.); #144842=VECTOR('',#455508,1000.); #144843=VECTOR('',#455509,1000.); #144844=VECTOR('',#455510,1000.); #144845=VECTOR('',#455511,1000.); #144846=VECTOR('',#455514,1000.); #144847=VECTOR('',#455515,1000.); #144848=VECTOR('',#455516,1000.); #144849=VECTOR('',#455519,1000.); #144850=VECTOR('',#455520,1000.); #144851=VECTOR('',#455521,1000.); #144852=VECTOR('',#455522,1000.); #144853=VECTOR('',#455525,1000.); #144854=VECTOR('',#455526,1000.); #144855=VECTOR('',#455529,1000.); #144856=VECTOR('',#455530,1000.); #144857=VECTOR('',#455533,1000.); #144858=VECTOR('',#455534,1000.); #144859=VECTOR('',#455537,1000.); #144860=VECTOR('',#455540,1000.); #144861=VECTOR('',#455543,1000.); #144862=VECTOR('',#455548,1000.); #144863=VECTOR('',#455551,1000.); #144864=VECTOR('',#455554,1000.); #144865=VECTOR('',#455565,1000.); #144866=VECTOR('',#455566,1000.); #144867=VECTOR('',#455567,1000.); #144868=VECTOR('',#455568,1000.); #144869=VECTOR('',#455571,1000.); #144870=VECTOR('',#455574,1000.); #144871=VECTOR('',#455577,1000.); #144872=VECTOR('',#455578,1000.); #144873=VECTOR('',#455579,1000.); #144874=VECTOR('',#455582,1000.); #144875=VECTOR('',#455585,1000.); #144876=VECTOR('',#455588,1000.); #144877=VECTOR('',#455589,1000.); #144878=VECTOR('',#455590,1000.); #144879=VECTOR('',#455593,1000.); #144880=VECTOR('',#455596,1000.); #144881=VECTOR('',#455597,1000.); #144882=VECTOR('',#455598,1000.); #144883=VECTOR('',#455601,1000.); #144884=VECTOR('',#455606,1000.); #144885=VECTOR('',#455607,1000.); #144886=VECTOR('',#455608,1000.); #144887=VECTOR('',#455611,1000.); #144888=VECTOR('',#455612,1000.); #144889=VECTOR('',#455613,1000.); #144890=VECTOR('',#455614,1000.); #144891=VECTOR('',#455615,1000.); #144892=VECTOR('',#455618,1000.); #144893=VECTOR('',#455619,1000.); #144894=VECTOR('',#455620,1000.); #144895=VECTOR('',#455623,1000.); #144896=VECTOR('',#455624,1000.); #144897=VECTOR('',#455625,1000.); #144898=VECTOR('',#455626,1000.); #144899=VECTOR('',#455629,1000.); #144900=VECTOR('',#455630,1000.); #144901=VECTOR('',#455633,1000.); #144902=VECTOR('',#455634,1000.); #144903=VECTOR('',#455637,1000.); #144904=VECTOR('',#455638,1000.); #144905=VECTOR('',#455641,1000.); #144906=VECTOR('',#455644,1000.); #144907=VECTOR('',#455647,1000.); #144908=VECTOR('',#455652,1000.); #144909=VECTOR('',#455655,1000.); #144910=VECTOR('',#455658,1000.); #144911=VECTOR('',#455669,999.999999999999); #144912=VECTOR('',#455670,1000.); #144913=VECTOR('',#455671,999.999999999999); #144914=VECTOR('',#455672,1000.); #144915=VECTOR('',#455675,1000.); #144916=VECTOR('',#455678,1000.); #144917=VECTOR('',#455681,1000.); #144918=VECTOR('',#455682,1000.); #144919=VECTOR('',#455683,1000.); #144920=VECTOR('',#455686,1000.); #144921=VECTOR('',#455689,1000.); #144922=VECTOR('',#455692,1000.); #144923=VECTOR('',#455693,1000.); #144924=VECTOR('',#455694,1000.); #144925=VECTOR('',#455697,1000.); #144926=VECTOR('',#455700,1000.); #144927=VECTOR('',#455701,1000.); #144928=VECTOR('',#455702,1000.); #144929=VECTOR('',#455705,1000.); #144930=VECTOR('',#455710,1000.); #144931=VECTOR('',#455711,1000.); #144932=VECTOR('',#455712,1000.); #144933=VECTOR('',#455715,1000.); #144934=VECTOR('',#455716,1000.); #144935=VECTOR('',#455717,1000.); #144936=VECTOR('',#455718,1000.); #144937=VECTOR('',#455719,1000.); #144938=VECTOR('',#455722,1000.); #144939=VECTOR('',#455723,1000.); #144940=VECTOR('',#455724,1000.); #144941=VECTOR('',#455727,1000.); #144942=VECTOR('',#455728,1000.); #144943=VECTOR('',#455729,1000.); #144944=VECTOR('',#455730,1000.); #144945=VECTOR('',#455733,1000.); #144946=VECTOR('',#455734,1000.); #144947=VECTOR('',#455737,1000.); #144948=VECTOR('',#455738,1000.); #144949=VECTOR('',#455741,1000.); #144950=VECTOR('',#455742,1000.); #144951=VECTOR('',#455745,1000.); #144952=VECTOR('',#455748,1000.); #144953=VECTOR('',#455751,1000.); #144954=VECTOR('',#455756,1000.); #144955=VECTOR('',#455759,1000.); #144956=VECTOR('',#455762,1000.); #144957=VECTOR('',#455773,1000.); #144958=VECTOR('',#455774,1000.); #144959=VECTOR('',#455775,1000.); #144960=VECTOR('',#455776,1000.); #144961=VECTOR('',#455779,1000.); #144962=VECTOR('',#455782,1000.); #144963=VECTOR('',#455785,1000.); #144964=VECTOR('',#455786,1000.); #144965=VECTOR('',#455787,1000.); #144966=VECTOR('',#455790,1000.); #144967=VECTOR('',#455793,1000.); #144968=VECTOR('',#455796,1000.); #144969=VECTOR('',#455797,1000.); #144970=VECTOR('',#455798,1000.); #144971=VECTOR('',#455801,1000.); #144972=VECTOR('',#455804,1000.); #144973=VECTOR('',#455805,1000.); #144974=VECTOR('',#455806,1000.); #144975=VECTOR('',#455809,1000.); #144976=VECTOR('',#455814,1000.); #144977=VECTOR('',#455815,1000.); #144978=VECTOR('',#455816,1000.); #144979=VECTOR('',#455819,1000.); #144980=VECTOR('',#455820,1000.); #144981=VECTOR('',#455821,1000.); #144982=VECTOR('',#455822,1000.); #144983=VECTOR('',#455823,1000.); #144984=VECTOR('',#455826,1000.); #144985=VECTOR('',#455827,1000.); #144986=VECTOR('',#455828,1000.); #144987=VECTOR('',#455831,1000.); #144988=VECTOR('',#455832,1000.); #144989=VECTOR('',#455833,1000.); #144990=VECTOR('',#455834,1000.); #144991=VECTOR('',#455837,1000.); #144992=VECTOR('',#455838,1000.); #144993=VECTOR('',#455841,1000.); #144994=VECTOR('',#455842,1000.); #144995=VECTOR('',#455845,1000.); #144996=VECTOR('',#455846,1000.); #144997=VECTOR('',#455849,1000.); #144998=VECTOR('',#455852,1000.); #144999=VECTOR('',#455855,1000.); #145000=VECTOR('',#455860,1000.); #145001=VECTOR('',#455863,1000.); #145002=VECTOR('',#455866,1000.); #145003=VECTOR('',#455877,1000.); #145004=VECTOR('',#455878,1000.); #145005=VECTOR('',#455879,1000.); #145006=VECTOR('',#455880,1000.); #145007=VECTOR('',#455883,1000.); #145008=VECTOR('',#455884,1000.); #145009=VECTOR('',#455887,1000.); #145010=VECTOR('',#455890,1000.); #145011=VECTOR('',#455891,1000.); #145012=VECTOR('',#455892,1000.); #145013=VECTOR('',#455895,1000.); #145014=VECTOR('',#455900,1000.); #145015=VECTOR('',#455903,1000.); #145016=VECTOR('',#455906,1000.); #145017=VECTOR('',#455907,1000.); #145018=VECTOR('',#455908,1000.); #145019=VECTOR('',#455911,1000.); #145020=VECTOR('',#455914,1000.); #145021=VECTOR('',#455915,1000.); #145022=VECTOR('',#455918,1000.); #145023=VECTOR('',#455919,1000.); #145024=VECTOR('',#455920,1000.); #145025=VECTOR('',#455923,1000.); #145026=VECTOR('',#455924,1000.); #145027=VECTOR('',#455925,1000.); #145028=VECTOR('',#455926,1000.); #145029=VECTOR('',#455927,1000.); #145030=VECTOR('',#455930,1000.); #145031=VECTOR('',#455931,1000.); #145032=VECTOR('',#455932,1000.); #145033=VECTOR('',#455935,1000.); #145034=VECTOR('',#455936,1000.); #145035=VECTOR('',#455937,1000.); #145036=VECTOR('',#455938,1000.); #145037=VECTOR('',#455941,1000.); #145038=VECTOR('',#455942,1000.); #145039=VECTOR('',#455945,1000.); #145040=VECTOR('',#455946,1000.); #145041=VECTOR('',#455949,1000.); #145042=VECTOR('',#455950,1000.); #145043=VECTOR('',#455953,1000.); #145044=VECTOR('',#455956,1000.); #145045=VECTOR('',#455959,1000.); #145046=VECTOR('',#455964,1000.); #145047=VECTOR('',#455967,1000.); #145048=VECTOR('',#455970,1000.); #145049=VECTOR('',#455981,1000.); #145050=VECTOR('',#455982,1000.); #145051=VECTOR('',#455983,1000.); #145052=VECTOR('',#455984,1000.); #145053=VECTOR('',#455987,1000.); #145054=VECTOR('',#455988,1000.); #145055=VECTOR('',#455991,1000.); #145056=VECTOR('',#455994,1000.); #145057=VECTOR('',#455995,1000.); #145058=VECTOR('',#455996,1000.); #145059=VECTOR('',#455999,1000.); #145060=VECTOR('',#456004,1000.); #145061=VECTOR('',#456007,1000.); #145062=VECTOR('',#456010,1000.); #145063=VECTOR('',#456011,1000.); #145064=VECTOR('',#456012,1000.); #145065=VECTOR('',#456015,1000.); #145066=VECTOR('',#456018,1000.); #145067=VECTOR('',#456019,1000.); #145068=VECTOR('',#456022,1000.); #145069=VECTOR('',#456023,1000.); #145070=VECTOR('',#456024,1000.); #145071=VECTOR('',#456027,1000.); #145072=VECTOR('',#456028,1000.); #145073=VECTOR('',#456029,1000.); #145074=VECTOR('',#456030,1000.); #145075=VECTOR('',#456031,1000.); #145076=VECTOR('',#456034,1000.); #145077=VECTOR('',#456035,1000.); #145078=VECTOR('',#456036,1000.); #145079=VECTOR('',#456039,1000.); #145080=VECTOR('',#456040,1000.); #145081=VECTOR('',#456041,1000.); #145082=VECTOR('',#456042,1000.); #145083=VECTOR('',#456045,1000.); #145084=VECTOR('',#456046,1000.); #145085=VECTOR('',#456049,1000.); #145086=VECTOR('',#456050,1000.); #145087=VECTOR('',#456053,1000.); #145088=VECTOR('',#456054,1000.); #145089=VECTOR('',#456057,1000.); #145090=VECTOR('',#456060,1000.); #145091=VECTOR('',#456063,1000.); #145092=VECTOR('',#456068,1000.); #145093=VECTOR('',#456071,1000.); #145094=VECTOR('',#456074,1000.); #145095=VECTOR('',#456085,999.999999999999); #145096=VECTOR('',#456086,1000.); #145097=VECTOR('',#456087,999.999999999999); #145098=VECTOR('',#456088,1000.); #145099=VECTOR('',#456091,1000.); #145100=VECTOR('',#456092,1000.); #145101=VECTOR('',#456095,1000.); #145102=VECTOR('',#456098,1000.); #145103=VECTOR('',#456099,1000.); #145104=VECTOR('',#456100,1000.); #145105=VECTOR('',#456103,1000.); #145106=VECTOR('',#456108,1000.); #145107=VECTOR('',#456111,1000.); #145108=VECTOR('',#456114,1000.); #145109=VECTOR('',#456115,1000.); #145110=VECTOR('',#456116,1000.); #145111=VECTOR('',#456119,1000.); #145112=VECTOR('',#456122,1000.); #145113=VECTOR('',#456123,1000.); #145114=VECTOR('',#456126,1000.); #145115=VECTOR('',#456127,1000.); #145116=VECTOR('',#456128,1000.); #145117=VECTOR('',#456131,1000.); #145118=VECTOR('',#456132,1000.); #145119=VECTOR('',#456133,1000.); #145120=VECTOR('',#456134,1000.); #145121=VECTOR('',#456135,1000.); #145122=VECTOR('',#456138,1000.); #145123=VECTOR('',#456139,1000.); #145124=VECTOR('',#456140,1000.); #145125=VECTOR('',#456143,1000.); #145126=VECTOR('',#456144,1000.); #145127=VECTOR('',#456145,1000.); #145128=VECTOR('',#456146,1000.); #145129=VECTOR('',#456149,1000.); #145130=VECTOR('',#456150,1000.); #145131=VECTOR('',#456153,1000.); #145132=VECTOR('',#456154,1000.); #145133=VECTOR('',#456157,1000.); #145134=VECTOR('',#456158,1000.); #145135=VECTOR('',#456161,1000.); #145136=VECTOR('',#456164,1000.); #145137=VECTOR('',#456167,1000.); #145138=VECTOR('',#456172,1000.); #145139=VECTOR('',#456175,1000.); #145140=VECTOR('',#456178,1000.); #145141=VECTOR('',#456189,1000.); #145142=VECTOR('',#456190,1000.); #145143=VECTOR('',#456191,1000.); #145144=VECTOR('',#456192,1000.); #145145=VECTOR('',#456195,1000.); #145146=VECTOR('',#456196,1000.); #145147=VECTOR('',#456199,1000.); #145148=VECTOR('',#456202,1000.); #145149=VECTOR('',#456203,1000.); #145150=VECTOR('',#456204,1000.); #145151=VECTOR('',#456207,1000.); #145152=VECTOR('',#456212,1000.); #145153=VECTOR('',#456215,1000.); #145154=VECTOR('',#456218,1000.); #145155=VECTOR('',#456219,1000.); #145156=VECTOR('',#456220,1000.); #145157=VECTOR('',#456223,1000.); #145158=VECTOR('',#456226,1000.); #145159=VECTOR('',#456227,1000.); #145160=VECTOR('',#456230,1000.); #145161=VECTOR('',#456231,1000.); #145162=VECTOR('',#456232,1000.); #145163=VECTOR('',#456235,1000.); #145164=VECTOR('',#456236,1000.); #145165=VECTOR('',#456237,1000.); #145166=VECTOR('',#456238,1000.); #145167=VECTOR('',#456239,1000.); #145168=VECTOR('',#456242,1000.); #145169=VECTOR('',#456243,1000.); #145170=VECTOR('',#456244,1000.); #145171=VECTOR('',#456247,1000.); #145172=VECTOR('',#456248,1000.); #145173=VECTOR('',#456249,1000.); #145174=VECTOR('',#456250,1000.); #145175=VECTOR('',#456253,1000.); #145176=VECTOR('',#456254,1000.); #145177=VECTOR('',#456257,1000.); #145178=VECTOR('',#456258,1000.); #145179=VECTOR('',#456261,1000.); #145180=VECTOR('',#456262,1000.); #145181=VECTOR('',#456265,1000.); #145182=VECTOR('',#456268,1000.); #145183=VECTOR('',#456271,1000.); #145184=VECTOR('',#456276,1000.); #145185=VECTOR('',#456279,1000.); #145186=VECTOR('',#456282,1000.); #145187=VECTOR('',#456293,1000.); #145188=VECTOR('',#456294,1000.); #145189=VECTOR('',#456295,1000.); #145190=VECTOR('',#456296,1000.); #145191=VECTOR('',#456299,1000.); #145192=VECTOR('',#456300,1000.); #145193=VECTOR('',#456303,1000.); #145194=VECTOR('',#456306,1000.); #145195=VECTOR('',#456307,1000.); #145196=VECTOR('',#456308,1000.); #145197=VECTOR('',#456311,1000.); #145198=VECTOR('',#456316,1000.); #145199=VECTOR('',#456319,1000.); #145200=VECTOR('',#456322,1000.); #145201=VECTOR('',#456323,1000.); #145202=VECTOR('',#456324,1000.); #145203=VECTOR('',#456327,1000.); #145204=VECTOR('',#456330,1000.); #145205=VECTOR('',#456331,1000.); #145206=VECTOR('',#456334,1000.); #145207=VECTOR('',#456335,1000.); #145208=VECTOR('',#456336,1000.); #145209=VECTOR('',#456339,1000.); #145210=VECTOR('',#456340,1000.); #145211=VECTOR('',#456341,1000.); #145212=VECTOR('',#456342,1000.); #145213=VECTOR('',#456343,1000.); #145214=VECTOR('',#456346,1000.); #145215=VECTOR('',#456347,1000.); #145216=VECTOR('',#456348,1000.); #145217=VECTOR('',#456351,1000.); #145218=VECTOR('',#456352,1000.); #145219=VECTOR('',#456353,1000.); #145220=VECTOR('',#456354,1000.); #145221=VECTOR('',#456357,1000.); #145222=VECTOR('',#456358,1000.); #145223=VECTOR('',#456361,1000.); #145224=VECTOR('',#456362,1000.); #145225=VECTOR('',#456365,1000.); #145226=VECTOR('',#456366,1000.); #145227=VECTOR('',#456369,1000.); #145228=VECTOR('',#456372,1000.); #145229=VECTOR('',#456375,1000.); #145230=VECTOR('',#456380,1000.); #145231=VECTOR('',#456383,1000.); #145232=VECTOR('',#456386,1000.); #145233=VECTOR('',#456397,1000.); #145234=VECTOR('',#456398,1000.); #145235=VECTOR('',#456399,1000.); #145236=VECTOR('',#456400,1000.); #145237=VECTOR('',#456403,1000.); #145238=VECTOR('',#456404,1000.); #145239=VECTOR('',#456407,1000.); #145240=VECTOR('',#456410,1000.); #145241=VECTOR('',#456411,1000.); #145242=VECTOR('',#456412,1000.); #145243=VECTOR('',#456415,1000.); #145244=VECTOR('',#456420,1000.); #145245=VECTOR('',#456423,1000.); #145246=VECTOR('',#456426,1000.); #145247=VECTOR('',#456427,1000.); #145248=VECTOR('',#456428,1000.); #145249=VECTOR('',#456431,1000.); #145250=VECTOR('',#456434,1000.); #145251=VECTOR('',#456435,1000.); #145252=VECTOR('',#456438,1000.); #145253=VECTOR('',#456439,1000.); #145254=VECTOR('',#456440,1000.); #145255=VECTOR('',#456443,1000.); #145256=VECTOR('',#456444,1000.); #145257=VECTOR('',#456445,1000.); #145258=VECTOR('',#456446,1000.); #145259=VECTOR('',#456447,1000.); #145260=VECTOR('',#456450,1000.); #145261=VECTOR('',#456451,1000.); #145262=VECTOR('',#456452,1000.); #145263=VECTOR('',#456455,1000.); #145264=VECTOR('',#456456,1000.); #145265=VECTOR('',#456457,1000.); #145266=VECTOR('',#456458,1000.); #145267=VECTOR('',#456461,1000.); #145268=VECTOR('',#456462,1000.); #145269=VECTOR('',#456465,1000.); #145270=VECTOR('',#456466,1000.); #145271=VECTOR('',#456469,1000.); #145272=VECTOR('',#456470,1000.); #145273=VECTOR('',#456473,1000.); #145274=VECTOR('',#456476,1000.); #145275=VECTOR('',#456479,1000.); #145276=VECTOR('',#456484,1000.); #145277=VECTOR('',#456487,1000.); #145278=VECTOR('',#456490,1000.); #145279=VECTOR('',#456501,1000.); #145280=VECTOR('',#456502,1000.); #145281=VECTOR('',#456503,1000.); #145282=VECTOR('',#456504,1000.); #145283=VECTOR('',#456507,1000.); #145284=VECTOR('',#456508,1000.); #145285=VECTOR('',#456511,1000.); #145286=VECTOR('',#456514,1000.); #145287=VECTOR('',#456515,1000.); #145288=VECTOR('',#456516,1000.); #145289=VECTOR('',#456519,1000.); #145290=VECTOR('',#456524,1000.); #145291=VECTOR('',#456527,1000.); #145292=VECTOR('',#456530,1000.); #145293=VECTOR('',#456531,1000.); #145294=VECTOR('',#456532,1000.); #145295=VECTOR('',#456535,1000.); #145296=VECTOR('',#456538,1000.); #145297=VECTOR('',#456539,1000.); #145298=VECTOR('',#456542,1000.); #145299=VECTOR('',#456543,1000.); #145300=VECTOR('',#456544,1000.); #145301=VECTOR('',#456547,1000.); #145302=VECTOR('',#456548,1000.); #145303=VECTOR('',#456549,1000.); #145304=VECTOR('',#456550,1000.); #145305=VECTOR('',#456551,1000.); #145306=VECTOR('',#456554,1000.); #145307=VECTOR('',#456555,1000.); #145308=VECTOR('',#456556,1000.); #145309=VECTOR('',#456559,1000.); #145310=VECTOR('',#456560,1000.); #145311=VECTOR('',#456561,1000.); #145312=VECTOR('',#456562,1000.); #145313=VECTOR('',#456565,1000.); #145314=VECTOR('',#456566,1000.); #145315=VECTOR('',#456569,1000.); #145316=VECTOR('',#456570,1000.); #145317=VECTOR('',#456573,1000.); #145318=VECTOR('',#456574,1000.); #145319=VECTOR('',#456577,1000.); #145320=VECTOR('',#456580,1000.); #145321=VECTOR('',#456583,1000.); #145322=VECTOR('',#456588,1000.); #145323=VECTOR('',#456591,1000.); #145324=VECTOR('',#456594,1000.); #145325=VECTOR('',#456605,1000.); #145326=VECTOR('',#456606,1000.); #145327=VECTOR('',#456607,1000.); #145328=VECTOR('',#456608,1000.); #145329=VECTOR('',#456611,1000.); #145330=VECTOR('',#456612,1000.); #145331=VECTOR('',#456613,1000.); #145332=VECTOR('',#456616,1000.); #145333=VECTOR('',#456617,1000.); #145334=VECTOR('',#456618,1000.); #145335=VECTOR('',#456621,1000.); #145336=VECTOR('',#456622,1000.); #145337=VECTOR('',#456623,1000.); #145338=VECTOR('',#456628,1000.); #145339=VECTOR('',#456633,1000.); #145340=VECTOR('',#456634,1000.); #145341=VECTOR('',#456635,1000.); #145342=VECTOR('',#456638,1000.); #145343=VECTOR('',#456639,1000.); #145344=VECTOR('',#456640,1000.); #145345=VECTOR('',#456643,1000.); #145346=VECTOR('',#456644,1000.); #145347=VECTOR('',#456645,1000.); #145348=VECTOR('',#456650,1000.); #145349=VECTOR('',#456655,1000.); #145350=VECTOR('',#456656,1000.); #145351=VECTOR('',#456657,1000.); #145352=VECTOR('',#456662,1000.); #145353=VECTOR('',#456669,1000.); #145354=VECTOR('',#456674,1000.); #145355=VECTOR('',#456675,1000.); #145356=VECTOR('',#456676,1000.); #145357=VECTOR('',#456681,1000.); #145358=VECTOR('',#456686,1000.); #145359=VECTOR('',#456687,1000.); #145360=VECTOR('',#456688,1000.); #145361=VECTOR('',#456693,1000.); #145362=VECTOR('',#456698,1000.); #145363=VECTOR('',#456699,1000.); #145364=VECTOR('',#456700,1000.); #145365=VECTOR('',#456703,1000.); #145366=VECTOR('',#456704,1000.); #145367=VECTOR('',#456705,1000.); #145368=VECTOR('',#456708,1000.); #145369=VECTOR('',#456709,1000.); #145370=VECTOR('',#456710,1000.); #145371=VECTOR('',#456713,1000.); #145372=VECTOR('',#456714,1000.); #145373=VECTOR('',#456715,1000.); #145374=VECTOR('',#456718,1000.); #145375=VECTOR('',#456719,1000.); #145376=VECTOR('',#456720,1000.); #145377=VECTOR('',#456723,1000.); #145378=VECTOR('',#456724,1000.); #145379=VECTOR('',#456725,1000.); #145380=VECTOR('',#456728,1000.); #145381=VECTOR('',#456729,1000.); #145382=VECTOR('',#456730,1000.); #145383=VECTOR('',#456735,1000.); #145384=VECTOR('',#456740,1000.); #145385=VECTOR('',#456741,1000.); #145386=VECTOR('',#456742,1000.); #145387=VECTOR('',#456747,1000.); #145388=VECTOR('',#456752,1000.); #145389=VECTOR('',#456753,1000.); #145390=VECTOR('',#456754,1000.); #145391=VECTOR('',#456759,1000.); #145392=VECTOR('',#456766,1000.); #145393=VECTOR('',#456771,1000.); #145394=VECTOR('',#456772,1000.); #145395=VECTOR('',#456773,1000.); #145396=VECTOR('',#456778,1000.); #145397=VECTOR('',#456783,1000.); #145398=VECTOR('',#456784,1000.); #145399=VECTOR('',#456785,1000.); #145400=VECTOR('',#456788,1000.); #145401=VECTOR('',#456789,1000.); #145402=VECTOR('',#456790,1000.); #145403=VECTOR('',#456793,1000.); #145404=VECTOR('',#456794,1000.); #145405=VECTOR('',#456795,1000.); #145406=VECTOR('',#456800,1000.); #145407=VECTOR('',#456805,1000.); #145408=VECTOR('',#456806,1000.); #145409=VECTOR('',#456815,1000.); #145410=VECTOR('',#456816,1000.); #145411=VECTOR('',#456817,1000.); #145412=VECTOR('',#456818,1000.); #145413=VECTOR('',#456821,1000.); #145414=VECTOR('',#456822,1000.); #145415=VECTOR('',#456823,1000.); #145416=VECTOR('',#456824,1000.); #145417=VECTOR('',#456829,1000.); #145418=VECTOR('',#456832,1000.); #145419=VECTOR('',#456835,1000.); #145420=VECTOR('',#456838,1000.); #145421=VECTOR('',#456841,1000.); #145422=VECTOR('',#456844,1000.); #145423=VECTOR('',#456847,1000.); #145424=VECTOR('',#456850,1000.); #145425=VECTOR('',#456851,1000.); #145426=VECTOR('',#456852,1000.); #145427=VECTOR('',#456853,1000.); #145428=VECTOR('',#456856,1000.); #145429=VECTOR('',#456857,1000.); #145430=VECTOR('',#456860,1000.); #145431=VECTOR('',#456863,1000.); #145432=VECTOR('',#456868,1000.); #145433=VECTOR('',#456871,1000.); #145434=VECTOR('',#456874,1000.); #145435=VECTOR('',#456877,1000.); #145436=VECTOR('',#456878,1000.); #145437=VECTOR('',#456881,1000.); #145438=VECTOR('',#456882,1000.); #145439=VECTOR('',#456883,1000.); #145440=VECTOR('',#456884,1000.); #145441=VECTOR('',#456885,1000.); #145442=VECTOR('',#456886,1000.); #145443=VECTOR('',#456887,1000.); #145444=VECTOR('',#456888,1000.); #145445=VECTOR('',#456889,1000.); #145446=VECTOR('',#456890,1000.); #145447=VECTOR('',#456893,1000.); #145448=VECTOR('',#456896,1000.); #145449=VECTOR('',#456897,1000.); #145450=VECTOR('',#456900,1000.); #145451=VECTOR('',#456901,1000.); #145452=VECTOR('',#456904,1000.); #145453=VECTOR('',#456907,1000.); #145454=VECTOR('',#456910,1000.); #145455=VECTOR('',#456915,1000.); #145456=VECTOR('',#456918,1000.); #145457=VECTOR('',#456921,1000.); #145458=VECTOR('',#456922,1000.); #145459=VECTOR('',#456925,1000.); #145460=VECTOR('',#456926,1000.); #145461=VECTOR('',#456927,1000.); #145462=VECTOR('',#456928,1000.); #145463=VECTOR('',#456931,1000.); #145464=VECTOR('',#456934,1000.); #145465=VECTOR('',#456937,1000.); #145466=VECTOR('',#456940,1000.); #145467=VECTOR('',#456943,1000.); #145468=VECTOR('',#456946,1000.); #145469=VECTOR('',#456949,1000.); #145470=VECTOR('',#456950,1000.); #145471=VECTOR('',#456951,1000.); #145472=VECTOR('',#456952,1000.); #145473=VECTOR('',#456953,1000.); #145474=VECTOR('',#456954,1000.); #145475=VECTOR('',#456955,1000.); #145476=VECTOR('',#456956,1000.); #145477=VECTOR('',#456959,1000.); #145478=VECTOR('',#456960,1000.); #145479=VECTOR('',#456963,1000.); #145480=VECTOR('',#456964,1000.); #145481=VECTOR('',#456965,1000.); #145482=VECTOR('',#456970,1000.); #145483=VECTOR('',#456971,1000.); #145484=VECTOR('',#456974,1000.); #145485=VECTOR('',#456979,1000.); #145486=VECTOR('',#456980,1000.); #145487=VECTOR('',#456983,1000.); #145488=VECTOR('',#456988,1000.); #145489=VECTOR('',#456989,1000.); #145490=VECTOR('',#456992,1000.); #145491=VECTOR('',#456993,1000.); #145492=VECTOR('',#456994,1000.); #145493=VECTOR('',#456995,1000.); #145494=VECTOR('',#456996,1000.); #145495=VECTOR('',#456997,1000.); #145496=VECTOR('',#456998,1000.); #145497=VECTOR('',#456999,1000.); #145498=VECTOR('',#457000,1000.); #145499=VECTOR('',#457001,1000.); #145500=VECTOR('',#457002,1000.); #145501=VECTOR('',#457003,1000.); #145502=VECTOR('',#457004,1000.); #145503=VECTOR('',#457005,1000.); #145504=VECTOR('',#457006,1000.); #145505=VECTOR('',#457007,1000.); #145506=VECTOR('',#457008,1000.); #145507=VECTOR('',#457009,1000.); #145508=VECTOR('',#457010,1000.); #145509=VECTOR('',#457011,1000.); #145510=VECTOR('',#457012,1000.); #145511=VECTOR('',#457013,1000.); #145512=VECTOR('',#457016,1000.); #145513=VECTOR('',#457019,1000.); #145514=VECTOR('',#457024,1000.); #145515=VECTOR('',#457027,1000.); #145516=VECTOR('',#457030,1000.); #145517=VECTOR('',#457033,1000.); #145518=VECTOR('',#457036,1000.); #145519=VECTOR('',#457037,1000.); #145520=VECTOR('',#457038,1000.); #145521=VECTOR('',#457043,1000.); #145522=VECTOR('',#457044,1000.); #145523=VECTOR('',#457045,1000.); #145524=VECTOR('',#457046,1000.); #145525=VECTOR('',#457047,1000.); #145526=VECTOR('',#457050,1000.); #145527=VECTOR('',#457053,1000.); #145528=VECTOR('',#457056,1000.); #145529=VECTOR('',#457059,1000.); #145530=VECTOR('',#457062,1000.); #145531=VECTOR('',#457069,1000.); #145532=VECTOR('',#457072,1000.); #145533=VECTOR('',#457075,1000.); #145534=VECTOR('',#457076,1000.); #145535=VECTOR('',#457077,1000.); #145536=VECTOR('',#457078,1000.); #145537=VECTOR('',#457079,1000.); #145538=VECTOR('',#457080,1000.); #145539=VECTOR('',#457083,1000.); #145540=VECTOR('',#457086,1000.); #145541=VECTOR('',#457087,1000.); #145542=VECTOR('',#457088,1000.); #145543=VECTOR('',#457091,1000.); #145544=VECTOR('',#457092,1000.); #145545=VECTOR('',#457097,1000.); #145546=VECTOR('',#457100,1000.); #145547=VECTOR('',#457103,1000.); #145548=VECTOR('',#457106,1000.); #145549=VECTOR('',#457111,1000.); #145550=VECTOR('',#457114,1000.); #145551=VECTOR('',#457117,1000.); #145552=VECTOR('',#457118,1000.); #145553=VECTOR('',#457121,1000.); #145554=VECTOR('',#457122,1000.); #145555=VECTOR('',#457123,1000.); #145556=VECTOR('',#457124,1000.); #145557=VECTOR('',#457127,1000.); #145558=VECTOR('',#457130,1000.); #145559=VECTOR('',#457133,1000.); #145560=VECTOR('',#457138,1000.); #145561=VECTOR('',#457141,1000.); #145562=VECTOR('',#457144,1000.); #145563=VECTOR('',#457147,1000.); #145564=VECTOR('',#457148,1000.); #145565=VECTOR('',#457149,1000.); #145566=VECTOR('',#457150,1000.); #145567=VECTOR('',#457151,1000.); #145568=VECTOR('',#457152,1000.); #145569=VECTOR('',#457153,1000.); #145570=VECTOR('',#457154,1000.); #145571=VECTOR('',#457155,1000.); #145572=VECTOR('',#457158,1000.); #145573=VECTOR('',#457159,1000.); #145574=VECTOR('',#457160,1000.); #145575=VECTOR('',#457163,1000.); #145576=VECTOR('',#457164,1000.); #145577=VECTOR('',#457165,1000.); #145578=VECTOR('',#457168,1000.); #145579=VECTOR('',#457169,1000.); #145580=VECTOR('',#457170,1000.); #145581=VECTOR('',#457173,1000.); #145582=VECTOR('',#457174,1000.); #145583=VECTOR('',#457175,1000.); #145584=VECTOR('',#457184,1000.); #145585=VECTOR('',#457185,1000.); #145586=VECTOR('',#457186,1000.); #145587=VECTOR('',#457189,1000.); #145588=VECTOR('',#457192,1000.); #145589=VECTOR('',#457193,1000.); #145590=VECTOR('',#457198,1000.); #145591=VECTOR('',#457199,1000.); #145592=VECTOR('',#457200,1000.); #145593=VECTOR('',#457201,1000.); #145594=VECTOR('',#457206,1000.); #145595=VECTOR('',#457207,1000.); #145596=VECTOR('',#457208,1000.); #145597=VECTOR('',#457211,1000.); #145598=VECTOR('',#457214,1000.); #145599=VECTOR('',#457217,1000.); #145600=VECTOR('',#457220,1000.); #145601=VECTOR('',#457225,1000.); #145602=VECTOR('',#457228,1000.); #145603=VECTOR('',#457229,1000.); #145604=VECTOR('',#457230,1000.); #145605=VECTOR('',#457233,1000.); #145606=VECTOR('',#457236,1000.); #145607=VECTOR('',#457243,1000.); #145608=VECTOR('',#457246,1000.); #145609=VECTOR('',#457249,1000.); #145610=VECTOR('',#457252,1000.); #145611=VECTOR('',#457255,1000.); #145612=VECTOR('',#457258,1000.); #145613=VECTOR('',#457259,1000.); #145614=VECTOR('',#457260,1000.); #145615=VECTOR('',#457261,1000.); #145616=VECTOR('',#457262,1000.); #145617=VECTOR('',#457265,1000.); #145618=VECTOR('',#457266,1000.); #145619=VECTOR('',#457267,1000.); #145620=VECTOR('',#457270,1000.); #145621=VECTOR('',#457271,1000.); #145622=VECTOR('',#457274,1000.); #145623=VECTOR('',#457275,1000.); #145624=VECTOR('',#457278,1000.); #145625=VECTOR('',#457279,1000.); #145626=VECTOR('',#457280,1000.); #145627=VECTOR('',#457283,1000.); #145628=VECTOR('',#457284,1000.); #145629=VECTOR('',#457285,1000.); #145630=VECTOR('',#457288,1000.); #145631=VECTOR('',#457289,1000.); #145632=VECTOR('',#457290,1000.); #145633=VECTOR('',#457291,1000.); #145634=VECTOR('',#457292,1000.); #145635=VECTOR('',#457295,1000.); #145636=VECTOR('',#457298,1000.); #145637=VECTOR('',#457301,1000.); #145638=VECTOR('',#457304,1000.); #145639=VECTOR('',#457307,1000.); #145640=VECTOR('',#457314,1000.); #145641=VECTOR('',#457317,1000.); #145642=VECTOR('',#457320,1000.); #145643=VECTOR('',#457321,1000.); #145644=VECTOR('',#457322,1000.); #145645=VECTOR('',#457325,1000.); #145646=VECTOR('',#457330,1000.); #145647=VECTOR('',#457333,1000.); #145648=VECTOR('',#457336,1000.); #145649=VECTOR('',#457339,1000.); #145650=VECTOR('',#457342,1000.); #145651=VECTOR('',#457343,1000.); #145652=VECTOR('',#457344,1000.); #145653=VECTOR('',#457345,1000.); #145654=VECTOR('',#457346,1000.); #145655=VECTOR('',#457349,1000.); #145656=VECTOR('',#457356,1000.); #145657=VECTOR('',#457357,1000.); #145658=VECTOR('',#457364,1000.); #145659=VECTOR('',#457367,1000.); #145660=VECTOR('',#457370,1000.); #145661=VECTOR('',#457373,1000.); #145662=VECTOR('',#457376,1000.); #145663=VECTOR('',#457381,1000.); #145664=VECTOR('',#457384,1000.); #145665=VECTOR('',#457387,1000.); #145666=VECTOR('',#457390,1000.); #145667=VECTOR('',#457391,1000.); #145668=VECTOR('',#457392,1000.); #145669=VECTOR('',#457393,1000.); #145670=VECTOR('',#457396,1000.); #145671=VECTOR('',#457397,1000.); #145672=VECTOR('',#457400,1000.); #145673=VECTOR('',#457403,1000.); #145674=VECTOR('',#457408,1000.); #145675=VECTOR('',#457411,1000.); #145676=VECTOR('',#457414,1000.); #145677=VECTOR('',#457417,1000.); #145678=VECTOR('',#457418,1000.); #145679=VECTOR('',#457419,1000.); #145680=VECTOR('',#457420,1000.); #145681=VECTOR('',#457421,1000.); #145682=VECTOR('',#457422,1000.); #145683=VECTOR('',#457441,1000.); #145684=VECTOR('',#457446,1000.); #145685=VECTOR('',#457463,1000.); #145686=VECTOR('',#457464,1000.); #145687=VECTOR('',#457467,1000.); #145688=VECTOR('',#457468,1000.); #145689=VECTOR('',#457469,1000.); #145690=VECTOR('',#457472,1000.); #145691=VECTOR('',#457475,1000.); #145692=VECTOR('',#457480,1000.); #145693=VECTOR('',#457481,1000.); #145694=VECTOR('',#457484,1000.); #145695=VECTOR('',#457487,1000.); #145696=VECTOR('',#457490,1000.); #145697=VECTOR('',#457493,1000.); #145698=VECTOR('',#457496,1000.); #145699=VECTOR('',#457499,1000.); #145700=VECTOR('',#457502,1000.); #145701=VECTOR('',#457519,1000.); #145702=VECTOR('',#457520,1000.); #145703=VECTOR('',#457521,1000.); #145704=VECTOR('',#457524,1000.); #145705=VECTOR('',#457527,1000.); #145706=VECTOR('',#457530,1000.); #145707=VECTOR('',#457533,1000.); #145708=VECTOR('',#457538,1000.); #145709=VECTOR('',#457541,1000.); #145710=VECTOR('',#457542,1000.); #145711=VECTOR('',#457545,1000.); #145712=VECTOR('',#457548,1000.); #145713=VECTOR('',#457555,1000.); #145714=VECTOR('',#457558,1000.); #145715=VECTOR('',#457561,1000.); #145716=VECTOR('',#457564,1000.); #145717=VECTOR('',#457567,1000.); #145718=VECTOR('',#457570,1000.); #145719=VECTOR('',#457571,1000.); #145720=VECTOR('',#457572,1000.); #145721=VECTOR('',#457573,1000.); #145722=VECTOR('',#457576,1000.); #145723=VECTOR('',#457581,1000.); #145724=VECTOR('',#457584,1000.); #145725=VECTOR('',#457587,1000.); #145726=VECTOR('',#457588,1000.); #145727=VECTOR('',#457591,1000.); #145728=VECTOR('',#457592,1000.); #145729=VECTOR('',#457593,1000.); #145730=VECTOR('',#457594,1000.); #145731=VECTOR('',#457595,1000.); #145732=VECTOR('',#457596,1000.); #145733=VECTOR('',#457597,1000.); #145734=VECTOR('',#457598,1000.); #145735=VECTOR('',#457599,1000.); #145736=VECTOR('',#457600,1000.); #145737=VECTOR('',#457601,1000.); #145738=VECTOR('',#457602,1000.); #145739=VECTOR('',#457613,1000.); #145740=VECTOR('',#457614,1000.); #145741=VECTOR('',#457617,1000.); #145742=VECTOR('',#457618,1000.); #145743=VECTOR('',#457621,1000.); #145744=VECTOR('',#457622,1000.); #145745=VECTOR('',#457625,1000.); #145746=VECTOR('',#457630,1000.); #145747=VECTOR('',#457631,1000.); #145748=VECTOR('',#457632,1000.); #145749=VECTOR('',#457635,1000.); #145750=VECTOR('',#457638,1000.); #145751=VECTOR('',#457641,1000.); #145752=VECTOR('',#457644,1000.); #145753=VECTOR('',#457647,1000.); #145754=VECTOR('',#457654,1000.); #145755=VECTOR('',#457655,1000.); #145756=VECTOR('',#457658,1000.); #145757=VECTOR('',#457661,1000.); #145758=VECTOR('',#457666,1000.); #145759=VECTOR('',#457667,1000.); #145760=VECTOR('',#457670,1000.); #145761=VECTOR('',#457675,1000.); #145762=VECTOR('',#457678,1000.); #145763=VECTOR('',#457679,1000.); #145764=VECTOR('',#457682,1000.); #145765=VECTOR('',#457683,1000.); #145766=VECTOR('',#457686,1000.); #145767=VECTOR('',#457687,1000.); #145768=VECTOR('',#457690,1000.); #145769=VECTOR('',#457693,1000.); #145770=VECTOR('',#457696,1000.); #145771=VECTOR('',#457699,1000.); #145772=VECTOR('',#457702,1000.); #145773=VECTOR('',#457705,1000.); #145774=VECTOR('',#457708,1000.); #145775=VECTOR('',#457711,1000.); #145776=VECTOR('',#457716,1000.); #145777=VECTOR('',#457717,1000.); #145778=VECTOR('',#457720,1000.); #145779=VECTOR('',#457721,1000.); #145780=VECTOR('',#457724,1000.); #145781=VECTOR('',#457727,1000.); #145782=VECTOR('',#457730,1000.); #145783=VECTOR('',#457733,1000.); #145784=VECTOR('',#457736,1000.); #145785=VECTOR('',#457739,1000.); #145786=VECTOR('',#457742,1000.); #145787=VECTOR('',#457745,1000.); #145788=VECTOR('',#457750,1000.); #145789=VECTOR('',#457751,1000.); #145790=VECTOR('',#457754,1000.); #145791=VECTOR('',#457757,1000.); #145792=VECTOR('',#457760,1000.); #145793=VECTOR('',#457763,1000.); #145794=VECTOR('',#457766,1000.); #145795=VECTOR('',#457769,1000.); #145796=VECTOR('',#457772,1000.); #145797=VECTOR('',#457775,1000.); #145798=VECTOR('',#457778,1000.); #145799=VECTOR('',#457781,1000.); #145800=VECTOR('',#457784,1000.); #145801=VECTOR('',#457787,1000.); #145802=VECTOR('',#457790,1000.); #145803=VECTOR('',#457793,1000.); #145804=VECTOR('',#457796,1000.); #145805=VECTOR('',#457799,1000.); #145806=VECTOR('',#457802,1000.); #145807=VECTOR('',#457805,1000.); #145808=VECTOR('',#457806,1000.); #145809=VECTOR('',#457809,1000.); #145810=VECTOR('',#457810,1000.); #145811=VECTOR('',#457813,1000.); #145812=VECTOR('',#457816,1000.); #145813=VECTOR('',#457819,1000.); #145814=VECTOR('',#457822,1000.); #145815=VECTOR('',#457825,1000.); #145816=VECTOR('',#457828,1000.); #145817=VECTOR('',#457831,1000.); #145818=VECTOR('',#457834,1000.); #145819=VECTOR('',#457837,1000.); #145820=VECTOR('',#457840,1000.); #145821=VECTOR('',#457843,1000.); #145822=VECTOR('',#457854,1000.); #145823=VECTOR('',#457857,1000.); #145824=VECTOR('',#457860,1000.); #145825=VECTOR('',#457863,1000.); #145826=VECTOR('',#457866,1000.); #145827=VECTOR('',#457869,1000.); #145828=VECTOR('',#457872,1000.); #145829=VECTOR('',#457875,1000.); #145830=VECTOR('',#457880,1000.); #145831=VECTOR('',#457881,1000.); #145832=VECTOR('',#457886,1000.); #145833=VECTOR('',#457887,1000.); #145834=VECTOR('',#457894,1000.); #145835=VECTOR('',#457897,1000.); #145836=VECTOR('',#457900,1000.); #145837=VECTOR('',#457905,1000.); #145838=VECTOR('',#457908,1000.); #145839=VECTOR('',#457911,1000.); #145840=VECTOR('',#457916,1000.); #145841=VECTOR('',#457919,1000.); #145842=VECTOR('',#457922,1000.); #145843=VECTOR('',#457927,1000.); #145844=VECTOR('',#457930,1000.); #145845=VECTOR('',#457933,1000.); #145846=VECTOR('',#457940,1000.); #145847=VECTOR('',#457943,1000.); #145848=VECTOR('',#457946,1000.); #145849=VECTOR('',#457949,1000.); #145850=VECTOR('',#457952,1000.); #145851=VECTOR('',#457955,1000.); #145852=VECTOR('',#457960,1000.); #145853=VECTOR('',#457963,1000.); #145854=VECTOR('',#457966,1000.); #145855=VECTOR('',#457969,1000.); #145856=VECTOR('',#457972,1000.); #145857=VECTOR('',#457975,1000.); #145858=VECTOR('',#457980,1000.); #145859=VECTOR('',#457983,1000.); #145860=VECTOR('',#457984,1000.); #145861=VECTOR('',#457989,1000.); #145862=VECTOR('',#457994,1000.); #145863=VECTOR('',#457997,1000.); #145864=VECTOR('',#458000,1000.); #145865=VECTOR('',#458005,1000.); #145866=VECTOR('',#458008,1000.); #145867=VECTOR('',#458011,1000.); #145868=VECTOR('',#458016,1000.); #145869=VECTOR('',#458019,1000.); #145870=VECTOR('',#458022,1000.); #145871=VECTOR('',#458027,1000.); #145872=VECTOR('',#458030,1000.); #145873=VECTOR('',#458033,1000.); #145874=VECTOR('',#458040,1000.); #145875=VECTOR('',#458043,1000.); #145876=VECTOR('',#458046,1000.); #145877=VECTOR('',#458049,1000.); #145878=VECTOR('',#458052,1000.); #145879=VECTOR('',#458055,1000.); #145880=VECTOR('',#458060,1000.); #145881=VECTOR('',#458063,1000.); #145882=VECTOR('',#458066,1000.); #145883=VECTOR('',#458069,1000.); #145884=VECTOR('',#458072,1000.); #145885=VECTOR('',#458075,1000.); #145886=VECTOR('',#458080,1000.); #145887=VECTOR('',#458081,1000.); #145888=VECTOR('',#458082,1000.); #145889=VECTOR('',#458083,1000.); #145890=VECTOR('',#458090,1000.); #145891=VECTOR('',#458093,1000.); #145892=VECTOR('',#458094,1000.); #145893=VECTOR('',#458095,1000.); #145894=VECTOR('',#458104,1000.); #145895=VECTOR('',#458109,1000.); #145896=VECTOR('',#458112,1000.); #145897=VECTOR('',#458115,1000.); #145898=VECTOR('',#458122,1000.); #145899=VECTOR('',#458129,1000.); #145900=VECTOR('',#458130,1000.); #145901=VECTOR('',#458131,1000.); #145902=VECTOR('',#458152,1000.); #145903=VECTOR('',#458153,1000.); #145904=VECTOR('',#458154,1000.); #145905=VECTOR('',#458157,1000.); #145906=VECTOR('',#458158,1000.); #145907=VECTOR('',#458159,1000.); #145908=VECTOR('',#458162,1000.); #145909=VECTOR('',#458163,1000.); #145910=VECTOR('',#458170,1000.); #145911=VECTOR('',#458171,1000.); #145912=VECTOR('',#458174,1000.); #145913=VECTOR('',#458175,1000.); #145914=VECTOR('',#458178,1000.); #145915=VECTOR('',#458181,1000.); #145916=VECTOR('',#458184,1000.); #145917=VECTOR('',#458187,1000.); #145918=VECTOR('',#458190,1000.); #145919=VECTOR('',#458193,1000.); #145920=VECTOR('',#458196,1000.); #145921=VECTOR('',#458199,1000.); #145922=VECTOR('',#458202,1000.); #145923=VECTOR('',#458205,1000.); #145924=VECTOR('',#458208,1000.); #145925=VECTOR('',#458211,1000.); #145926=VECTOR('',#458216,1000.); #145927=VECTOR('',#458217,1000.); #145928=VECTOR('',#458220,1000.); #145929=VECTOR('',#458223,1000.); #145930=VECTOR('',#458226,1000.); #145931=VECTOR('',#458229,1000.); #145932=VECTOR('',#458232,1000.); #145933=VECTOR('',#458235,1000.); #145934=VECTOR('',#458238,1000.); #145935=VECTOR('',#458241,1000.); #145936=VECTOR('',#458244,1000.); #145937=VECTOR('',#458247,1000.); #145938=VECTOR('',#458250,1000.); #145939=VECTOR('',#458251,1000.); #145940=VECTOR('',#458254,1000.); #145941=VECTOR('',#458255,1000.); #145942=VECTOR('',#458258,1000.); #145943=VECTOR('',#458261,1000.); #145944=VECTOR('',#458274,1000.); #145945=VECTOR('',#458277,1000.); #145946=VECTOR('',#458278,1000.); #145947=VECTOR('',#458283,1000.); #145948=VECTOR('',#458288,1000.); #145949=VECTOR('',#458291,1000.); #145950=VECTOR('',#458294,1000.); #145951=VECTOR('',#458299,1000.); #145952=VECTOR('',#458302,1000.); #145953=VECTOR('',#458305,1000.); #145954=VECTOR('',#458310,1000.); #145955=VECTOR('',#458313,1000.); #145956=VECTOR('',#458316,1000.); #145957=VECTOR('',#458321,1000.); #145958=VECTOR('',#458324,1000.); #145959=VECTOR('',#458327,1000.); #145960=VECTOR('',#458334,1000.); #145961=VECTOR('',#458337,1000.); #145962=VECTOR('',#458340,1000.); #145963=VECTOR('',#458343,1000.); #145964=VECTOR('',#458346,1000.); #145965=VECTOR('',#458349,1000.); #145966=VECTOR('',#458354,1000.); #145967=VECTOR('',#458357,1000.); #145968=VECTOR('',#458360,1000.); #145969=VECTOR('',#458363,1000.); #145970=VECTOR('',#458366,1000.); #145971=VECTOR('',#458369,1000.); #145972=VECTOR('',#458374,1000.); #145973=VECTOR('',#458375,1000.); #145974=VECTOR('',#458380,1000.); #145975=VECTOR('',#458381,1000.); #145976=VECTOR('',#458388,1000.); #145977=VECTOR('',#458391,1000.); #145978=VECTOR('',#458394,1000.); #145979=VECTOR('',#458399,1000.); #145980=VECTOR('',#458402,1000.); #145981=VECTOR('',#458405,1000.); #145982=VECTOR('',#458410,1000.); #145983=VECTOR('',#458413,1000.); #145984=VECTOR('',#458416,1000.); #145985=VECTOR('',#458421,1000.); #145986=VECTOR('',#458424,1000.); #145987=VECTOR('',#458427,1000.); #145988=VECTOR('',#458434,1000.); #145989=VECTOR('',#458437,1000.); #145990=VECTOR('',#458440,1000.); #145991=VECTOR('',#458443,1000.); #145992=VECTOR('',#458446,1000.); #145993=VECTOR('',#458449,1000.); #145994=VECTOR('',#458454,1000.); #145995=VECTOR('',#458457,1000.); #145996=VECTOR('',#458460,1000.); #145997=VECTOR('',#458463,1000.); #145998=VECTOR('',#458466,1000.); #145999=VECTOR('',#458469,1000.); #146000=VECTOR('',#458476,1000.); #146001=VECTOR('',#458479,1000.); #146002=VECTOR('',#458482,1000.); #146003=VECTOR('',#458483,1000.); #146004=VECTOR('',#458484,1000.); #146005=VECTOR('',#458493,1000.); #146006=VECTOR('',#458494,1000.); #146007=VECTOR('',#458495,1000.); #146008=VECTOR('',#458500,1000.); #146009=VECTOR('',#458501,1000.); #146010=VECTOR('',#458506,1000.); #146011=VECTOR('',#458509,1000.); #146012=VECTOR('',#458518,1000.); #146013=VECTOR('',#458523,1000.); #146014=VECTOR('',#458530,1000.); #146015=VECTOR('',#458531,1000.); #146016=VECTOR('',#458546,1000.); #146017=VECTOR('',#458547,1000.); #146018=VECTOR('',#458548,1000.); #146019=VECTOR('',#458551,1000.); #146020=VECTOR('',#458552,1000.); #146021=VECTOR('',#458553,1000.); #146022=VECTOR('',#458556,1000.); #146023=VECTOR('',#458557,1000.); #146024=VECTOR('',#458564,1000.); #146025=VECTOR('',#458565,1000.); #146026=VECTOR('',#458566,1000.); #146027=VECTOR('',#458567,1000.); #146028=VECTOR('',#458572,1000.); #146029=VECTOR('',#458577,1000.); #146030=VECTOR('',#458578,1000.); #146031=VECTOR('',#458579,1000.); #146032=VECTOR('',#458580,1000.); #146033=VECTOR('',#458587,1000.); #146034=VECTOR('',#458594,1000.); #146035=VECTOR('',#458601,1000.); #146036=VECTOR('',#458604,1000.); #146037=VECTOR('',#458605,1000.); #146038=VECTOR('',#458606,1000.); #146039=VECTOR('',#458613,1000.); #146040=VECTOR('',#458620,1000.); #146041=VECTOR('',#458627,1000.); #146042=VECTOR('',#458630,1000.); #146043=VECTOR('',#458631,1000.); #146044=VECTOR('',#458632,1000.); #146045=VECTOR('',#458639,1000.); #146046=VECTOR('',#458642,1000.); #146047=VECTOR('',#458643,1000.); #146048=VECTOR('',#458644,1000.); #146049=VECTOR('',#458653,1000.); #146050=VECTOR('',#458654,1000.); #146051=VECTOR('',#458655,1000.); #146052=VECTOR('',#458656,1000.); #146053=VECTOR('',#458661,1000.); #146054=VECTOR('',#458668,1000.); #146055=VECTOR('',#458675,1000.); #146056=VECTOR('',#458680,1000.); #146057=VECTOR('',#458681,1000.); #146058=VECTOR('',#458682,1000.); #146059=VECTOR('',#458687,1000.); #146060=VECTOR('',#458694,1000.); #146061=VECTOR('',#458701,1000.); #146062=VECTOR('',#458706,1000.); #146063=VECTOR('',#458707,1000.); #146064=VECTOR('',#458708,1000.); #146065=VECTOR('',#458713,1000.); #146066=VECTOR('',#458718,1000.); #146067=VECTOR('',#458719,1000.); #146068=VECTOR('',#458720,1000.); #146069=VECTOR('',#458729,1000.); #146070=VECTOR('',#458730,1000.); #146071=VECTOR('',#458731,1000.); #146072=VECTOR('',#458732,1000.); #146073=VECTOR('',#458735,1000.); #146074=VECTOR('',#458740,1000.); #146075=VECTOR('',#458741,1000.); #146076=VECTOR('',#458742,1000.); #146077=VECTOR('',#458743,1000.); #146078=VECTOR('',#458746,1000.); #146079=VECTOR('',#458747,1000.); #146080=VECTOR('',#458752,1000.); #146081=VECTOR('',#458755,1000.); #146082=VECTOR('',#458756,1000.); #146083=VECTOR('',#458761,1000.); #146084=VECTOR('',#458766,1000.); #146085=VECTOR('',#458767,1000.); #146086=VECTOR('',#458770,1000.); #146087=VECTOR('',#458771,1000.); #146088=VECTOR('',#458774,1000.); #146089=VECTOR('',#458775,1000.); #146090=VECTOR('',#458776,1000.); #146091=VECTOR('',#458777,1000.); #146092=VECTOR('',#458780,1000.); #146093=VECTOR('',#458781,1000.); #146094=VECTOR('',#458786,1000.); #146095=VECTOR('',#458789,1000.); #146096=VECTOR('',#458790,1000.); #146097=VECTOR('',#458793,1000.); #146098=VECTOR('',#458794,1000.); #146099=VECTOR('',#458795,1000.); #146100=VECTOR('',#458802,1000.); #146101=VECTOR('',#458803,1000.); #146102=VECTOR('',#458806,1000.); #146103=VECTOR('',#458811,1000.); #146104=VECTOR('',#458812,1000.); #146105=VECTOR('',#458813,1000.); #146106=VECTOR('',#458814,1000.); #146107=VECTOR('',#458817,1000.); #146108=VECTOR('',#458818,1000.); #146109=VECTOR('',#458819,1000.); #146110=VECTOR('',#458820,1000.); #146111=VECTOR('',#458825,1000.); #146112=VECTOR('',#458828,1000.); #146113=VECTOR('',#458829,1000.); #146114=VECTOR('',#458830,1000.); #146115=VECTOR('',#458831,1000.); #146116=VECTOR('',#458836,1000.); #146117=VECTOR('',#458843,1000.); #146118=VECTOR('',#458856,1000.); #146119=VECTOR('',#458859,1000.); #146120=VECTOR('',#458860,1000.); #146121=VECTOR('',#458861,1000.); #146122=VECTOR('',#458866,1000.); #146123=VECTOR('',#458871,1000.); #146124=VECTOR('',#458872,1000.); #146125=VECTOR('',#458873,1000.); #146126=VECTOR('',#458878,1000.); #146127=VECTOR('',#458883,1000.); #146128=VECTOR('',#458884,1000.); #146129=VECTOR('',#458885,1000.); #146130=VECTOR('',#458890,1000.); #146131=VECTOR('',#458905,1000.); #146132=VECTOR('',#458910,1000.); #146133=VECTOR('',#458917,1000.); #146134=VECTOR('',#458922,1000.); #146135=VECTOR('',#458923,1000.); #146136=VECTOR('',#458924,1000.); #146137=VECTOR('',#458929,1000.); #146138=VECTOR('',#458944,1000.); #146139=VECTOR('',#458949,1000.); #146140=VECTOR('',#458956,1000.); #146141=VECTOR('',#458961,1000.); #146142=VECTOR('',#458962,1000.); #146143=VECTOR('',#458963,1000.); #146144=VECTOR('',#458968,1000.); #146145=VECTOR('',#458973,1000.); #146146=VECTOR('',#458974,1000.); #146147=VECTOR('',#458975,1000.); #146148=VECTOR('',#458980,1000.); #146149=VECTOR('',#458985,1000.); #146150=VECTOR('',#458986,1000.); #146151=VECTOR('',#458991,1000.); #146152=VECTOR('',#458998,1000.); #146153=VECTOR('',#459003,1000.); #146154=VECTOR('',#459010,1000.); #146155=VECTOR('',#459015,1000.); #146156=VECTOR('',#459016,1000.); #146157=VECTOR('',#459017,1000.); #146158=VECTOR('',#459020,1000.); #146159=VECTOR('',#459021,1000.); #146160=VECTOR('',#459022,1000.); #146161=VECTOR('',#459023,1000.); #146162=VECTOR('',#459028,1000.); #146163=VECTOR('',#459037,1000.); #146164=VECTOR('',#459040,1000.); #146165=VECTOR('',#459043,1000.); #146166=VECTOR('',#459046,1000.); #146167=VECTOR('',#459051,1000.); #146168=VECTOR('',#459052,1000.); #146169=VECTOR('',#459053,1000.); #146170=VECTOR('',#459058,1000.); #146171=VECTOR('',#459061,1000.); #146172=VECTOR('',#459064,1000.); #146173=VECTOR('',#459067,1000.); #146174=VECTOR('',#459068,1000.); #146175=VECTOR('',#459071,1000.); #146176=VECTOR('',#459072,1000.); #146177=VECTOR('',#459077,1000.); #146178=VECTOR('',#459082,1000.); #146179=VECTOR('',#459089,1000.); #146180=VECTOR('',#459096,1000.); #146181=VECTOR('',#459101,1000.); #146182=VECTOR('',#459114,1000.); #146183=VECTOR('',#459117,1000.); #146184=VECTOR('',#459120,1000.); #146185=VECTOR('',#459123,1000.); #146186=VECTOR('',#459126,1000.); #146187=VECTOR('',#459129,1000.); #146188=VECTOR('',#459134,1000.); #146189=VECTOR('',#459137,1000.); #146190=VECTOR('',#459140,1000.); #146191=VECTOR('',#459143,1000.); #146192=VECTOR('',#459146,1000.); #146193=VECTOR('',#459149,1000.); #146194=VECTOR('',#459154,1000.); #146195=VECTOR('',#459157,1000.); #146196=VECTOR('',#459160,1000.); #146197=VECTOR('',#459163,1000.); #146198=VECTOR('',#459166,1000.); #146199=VECTOR('',#459169,1000.); #146200=VECTOR('',#459174,1000.); #146201=VECTOR('',#459177,1000.); #146202=VECTOR('',#459180,1000.); #146203=VECTOR('',#459183,1000.); #146204=VECTOR('',#459186,1000.); #146205=VECTOR('',#459189,1000.); #146206=VECTOR('',#459196,1000.); #146207=VECTOR('',#459197,1000.); #146208=VECTOR('',#459198,1000.); #146209=VECTOR('',#459199,1000.); #146210=VECTOR('',#459202,1000.); #146211=VECTOR('',#459205,1000.); #146212=VECTOR('',#459208,1000.); #146213=VECTOR('',#459209,1000.); #146214=VECTOR('',#459210,1000.); #146215=VECTOR('',#459213,1000.); #146216=VECTOR('',#459216,1000.); #146217=VECTOR('',#459219,1000.); #146218=VECTOR('',#459220,1000.); #146219=VECTOR('',#459221,1000.); #146220=VECTOR('',#459224,1000.); #146221=VECTOR('',#459227,1000.); #146222=VECTOR('',#459228,1000.); #146223=VECTOR('',#459229,1000.); #146224=VECTOR('',#459232,1000.); #146225=VECTOR('',#459237,1000.); #146226=VECTOR('',#459238,1000.); #146227=VECTOR('',#459239,1000.); #146228=VECTOR('',#459242,1000.); #146229=VECTOR('',#459243,1000.); #146230=VECTOR('',#459244,1000.); #146231=VECTOR('',#459245,1000.); #146232=VECTOR('',#459246,1000.); #146233=VECTOR('',#459249,1000.); #146234=VECTOR('',#459250,1000.); #146235=VECTOR('',#459251,1000.); #146236=VECTOR('',#459254,1000.); #146237=VECTOR('',#459255,1000.); #146238=VECTOR('',#459256,1000.); #146239=VECTOR('',#459257,1000.); #146240=VECTOR('',#459260,1000.); #146241=VECTOR('',#459261,1000.); #146242=VECTOR('',#459264,1000.); #146243=VECTOR('',#459265,1000.); #146244=VECTOR('',#459268,1000.); #146245=VECTOR('',#459269,1000.); #146246=VECTOR('',#459272,1000.); #146247=VECTOR('',#459275,1000.); #146248=VECTOR('',#459278,1000.); #146249=VECTOR('',#459283,1000.); #146250=VECTOR('',#459286,1000.); #146251=VECTOR('',#459289,1000.); #146252=VECTOR('',#459346,1.); #146253=VECTOR('',#459347,1.); #146254=VECTOR('',#459348,1.); #146255=VECTOR('',#459349,1.); #146256=VECTOR('',#459350,1.); #146257=VECTOR('',#459351,1.); #146258=VECTOR('',#459352,1.); #146259=VECTOR('',#459353,1.); #146260=VECTOR('',#459354,1.); #146261=VECTOR('',#459355,1.); #146262=VECTOR('',#459356,1.); #146263=VECTOR('',#459357,1.); #146264=VECTOR('',#459360,1.); #146265=VECTOR('',#459361,1.); #146266=VECTOR('',#459362,1.); #146267=VECTOR('',#459363,1.); #146268=VECTOR('',#459366,1.); #146269=VECTOR('',#459367,1.); #146270=VECTOR('',#459368,1.); #146271=VECTOR('',#459369,1.); #146272=VECTOR('',#459372,1.); #146273=VECTOR('',#459373,1.); #146274=VECTOR('',#459374,1.); #146275=VECTOR('',#459375,1.); #146276=VECTOR('',#459376,1.); #146277=VECTOR('',#459377,1.); #146278=VECTOR('',#459378,1.); #146279=VECTOR('',#459379,1.); #146280=VECTOR('',#459382,1.); #146281=VECTOR('',#459383,1.); #146282=VECTOR('',#459384,1.); #146283=VECTOR('',#459385,1.); #146284=VECTOR('',#459386,1.); #146285=VECTOR('',#459387,1.); #146286=VECTOR('',#459388,1.); #146287=VECTOR('',#459389,1.); #146288=VECTOR('',#459392,1.); #146289=VECTOR('',#459393,1.); #146290=VECTOR('',#459394,1.); #146291=VECTOR('',#459395,1.); #146292=VECTOR('',#459396,1.); #146293=VECTOR('',#459397,1.); #146294=VECTOR('',#459398,1.); #146295=VECTOR('',#459399,1.); #146296=VECTOR('',#459400,1.); #146297=VECTOR('',#459401,1.); #146298=VECTOR('',#459402,1.); #146299=VECTOR('',#459403,1.); #146300=VECTOR('',#459406,1.00000000000024); #146301=VECTOR('',#459407,1.00000000000024); #146302=VECTOR('',#459408,1.); #146303=VECTOR('',#459409,1.); #146304=VECTOR('',#459412,1.00000000000024); #146305=VECTOR('',#459413,1.); #146306=VECTOR('',#459414,1.); #146307=VECTOR('',#459415,1.00000000000024); #146308=VECTOR('',#459418,1.); #146309=VECTOR('',#459419,1.); #146310=VECTOR('',#459422,1.00000000000024); #146311=VECTOR('',#459423,1.00000000000024); #146312=VECTOR('',#459424,1.); #146313=VECTOR('',#459425,1.); #146314=VECTOR('',#459428,1.00000000000024); #146315=VECTOR('',#459429,1.); #146316=VECTOR('',#459430,1.00000000000024); #146317=VECTOR('',#459433,1.); #146318=VECTOR('',#459434,1.); #146319=VECTOR('',#459437,1.); #146320=VECTOR('',#459438,1.); #146321=VECTOR('',#459439,1.); #146322=VECTOR('',#459440,1.); #146323=VECTOR('',#459441,1.); #146324=VECTOR('',#459442,1.); #146325=VECTOR('',#459443,1.); #146326=VECTOR('',#459446,1.); #146327=VECTOR('',#459449,1.); #146328=VECTOR('',#459450,1.); #146329=VECTOR('',#459451,1.); #146330=VECTOR('',#459454,1.); #146331=VECTOR('',#459457,1.); #146332=VECTOR('',#459458,1.); #146333=VECTOR('',#459459,1.); #146334=VECTOR('',#459460,1.); #146335=VECTOR('',#459465,1.); #146336=VECTOR('',#459468,1.); #146337=VECTOR('',#459469,1.00000000000064); #146338=VECTOR('',#459470,1.00000000000064); #146339=VECTOR('',#459471,1.); #146340=VECTOR('',#459472,1.); #146341=VECTOR('',#459473,1.); #146342=VECTOR('',#459474,1.); #146343=VECTOR('',#459475,1.); #146344=VECTOR('',#459476,1.00000000000064); #146345=VECTOR('',#459477,1.00000000000064); #146346=VECTOR('',#459478,1.); #146347=VECTOR('',#459485,1.); #146348=VECTOR('',#459488,1.); #146349=VECTOR('',#459489,1.00000000000064); #146350=VECTOR('',#459490,1.00000000000064); #146351=VECTOR('',#459491,1.); #146352=VECTOR('',#459492,1.); #146353=VECTOR('',#459493,1.); #146354=VECTOR('',#459494,1.); #146355=VECTOR('',#459495,1.); #146356=VECTOR('',#459496,1.); #146357=VECTOR('',#459497,1.00000000000064); #146358=VECTOR('',#459498,1.00000000000064); #146359=VECTOR('',#459499,1.); #146360=VECTOR('',#459502,1.); #146361=VECTOR('',#459503,1.); #146362=VECTOR('',#459504,1.); #146363=VECTOR('',#459505,1.); #146364=VECTOR('',#459510,1.); #146365=VECTOR('',#459511,1.); #146366=VECTOR('',#459514,1.); #146367=VECTOR('',#459515,1.); #146368=VECTOR('',#459518,1.); #146369=VECTOR('',#459521,1.); #146370=VECTOR('',#459524,1.); #146371=VECTOR('',#459527,1.); #146372=VECTOR('',#459530,1.); #146373=VECTOR('',#459533,1.); #146374=VECTOR('',#459536,1.); #146375=VECTOR('',#459539,1.); #146376=VECTOR('',#459542,1.); #146377=VECTOR('',#459545,1.); #146378=VECTOR('',#459548,1.); #146379=VECTOR('',#459561,1.); #146380=VECTOR('',#459562,1.); #146381=VECTOR('',#459563,1.); #146382=VECTOR('',#459566,1.); #146383=VECTOR('',#459567,1.); #146384=VECTOR('',#459570,1.); #146385=VECTOR('',#459571,1.); #146386=VECTOR('',#459574,1.); #146387=VECTOR('',#459577,1.); #146388=VECTOR('',#459578,1.); #146389=VECTOR('',#459579,1.); #146390=VECTOR('',#459580,1.); #146391=VECTOR('',#459583,0.999999999999891); #146392=VECTOR('',#459584,0.999999999999891); #146393=VECTOR('',#459587,0.999999999999891); #146394=VECTOR('',#459590,0.999999999999891); #146395=VECTOR('',#459595,1.); #146396=VECTOR('',#459596,1.); #146397=VECTOR('',#459597,1.); #146398=VECTOR('',#459600,1.); #146399=VECTOR('',#459601,1.); #146400=VECTOR('',#459604,1.); #146401=VECTOR('',#459605,1.); #146402=VECTOR('',#459608,1.); #146403=VECTOR('',#459611,1.); #146404=VECTOR('',#459612,1.); #146405=VECTOR('',#459613,1.); #146406=VECTOR('',#459614,1.); #146407=VECTOR('',#459617,0.999999999999891); #146408=VECTOR('',#459618,0.999999999999891); #146409=VECTOR('',#459621,0.999999999999891); #146410=VECTOR('',#459624,0.999999999999891); #146411=VECTOR('',#459629,1.); #146412=VECTOR('',#459630,1.); #146413=VECTOR('',#459631,1.); #146414=VECTOR('',#459634,1.); #146415=VECTOR('',#459635,1.); #146416=VECTOR('',#459636,1.); #146417=VECTOR('',#459637,1.); #146418=VECTOR('',#459640,1.); #146419=VECTOR('',#459641,1.); #146420=VECTOR('',#459642,1.); #146421=VECTOR('',#459645,1.); #146422=VECTOR('',#459648,1.); #146423=VECTOR('',#459651,0.999999999999891); #146424=VECTOR('',#459652,0.999999999999891); #146425=VECTOR('',#459655,0.999999999999891); #146426=VECTOR('',#459658,0.999999999999891); #146427=VECTOR('',#459663,1.); #146428=VECTOR('',#459664,1.); #146429=VECTOR('',#459665,1.); #146430=VECTOR('',#459668,1.); #146431=VECTOR('',#459669,1.); #146432=VECTOR('',#459670,1.); #146433=VECTOR('',#459671,1.); #146434=VECTOR('',#459674,1.); #146435=VECTOR('',#459675,1.); #146436=VECTOR('',#459676,1.); #146437=VECTOR('',#459679,1.); #146438=VECTOR('',#459682,1.); #146439=VECTOR('',#459685,0.999999999999891); #146440=VECTOR('',#459686,0.999999999999891); #146441=VECTOR('',#459689,0.999999999999891); #146442=VECTOR('',#459692,0.999999999999891); #146443=VECTOR('',#459697,1.00000000000064); #146444=VECTOR('',#459698,1.); #146445=VECTOR('',#459701,1.); #146446=VECTOR('',#459704,1.00000000000064); #146447=VECTOR('',#459705,1.); #146448=VECTOR('',#459708,1.); #146449=VECTOR('',#459715,1.00000000000065); #146450=VECTOR('',#459718,1.00000000000065); #146451=VECTOR('',#459771,10.); #146452=VECTOR('',#459772,10.); #146453=VECTOR('',#459791,10.); #146454=VECTOR('',#459792,10.); #146455=VECTOR('',#459795,10.); #146456=VECTOR('',#459796,10.); #146457=VECTOR('',#459807,10.); #146458=VECTOR('',#459808,10.); #146459=VECTOR('',#459811,10.); #146460=VECTOR('',#459812,10.); #146461=VECTOR('',#459815,10.); #146462=VECTOR('',#459816,10.); #146463=VECTOR('',#459819,10.); #146464=VECTOR('',#459820,10.); #146465=VECTOR('',#459823,10.); #146466=VECTOR('',#459824,10.); #146467=VECTOR('',#459827,10.); #146468=VECTOR('',#459828,10.); #146469=VECTOR('',#459831,10.); #146470=VECTOR('',#459832,10.); #146471=VECTOR('',#459835,10.); #146472=VECTOR('',#459836,10.); #146473=VECTOR('',#459839,10.); #146474=VECTOR('',#459840,10.); #146475=VECTOR('',#459895,10.); #146476=VECTOR('',#459896,10.); #146477=VECTOR('',#459915,10.); #146478=VECTOR('',#459916,10.); #146479=VECTOR('',#459919,10.); #146480=VECTOR('',#459920,10.); #146481=VECTOR('',#459931,10.); #146482=VECTOR('',#459932,10.); #146483=VECTOR('',#459935,10.); #146484=VECTOR('',#459936,10.); #146485=VECTOR('',#459939,10.); #146486=VECTOR('',#459940,10.); #146487=VECTOR('',#459943,10.); #146488=VECTOR('',#459944,10.); #146489=VECTOR('',#459947,10.); #146490=VECTOR('',#459948,10.); #146491=VECTOR('',#459951,10.); #146492=VECTOR('',#459952,10.); #146493=VECTOR('',#459955,10.); #146494=VECTOR('',#459956,10.); #146495=VECTOR('',#459959,10.); #146496=VECTOR('',#459960,10.); #146497=VECTOR('',#459963,10.); #146498=VECTOR('',#459964,10.); #146499=VECTOR('',#459979,10.); #146500=VECTOR('',#459980,10.); #146501=VECTOR('',#459981,10.); #146502=VECTOR('',#459982,10.); #146503=VECTOR('',#459985,10.); #146504=VECTOR('',#459986,10.); #146505=VECTOR('',#459987,10.); #146506=VECTOR('',#459990,10.); #146507=VECTOR('',#459991,10.); #146508=VECTOR('',#459992,10.); #146509=VECTOR('',#459995,10.); #146510=VECTOR('',#459996,10.); #146511=VECTOR('',#460093,10.); #146512=VECTOR('',#460094,10.); #146513=VECTOR('',#460113,10.); #146514=VECTOR('',#460114,10.); #146515=VECTOR('',#460117,10.); #146516=VECTOR('',#460118,10.); #146517=VECTOR('',#460129,10.); #146518=VECTOR('',#460130,10.); #146519=VECTOR('',#460133,10.); #146520=VECTOR('',#460134,10.); #146521=VECTOR('',#460137,10.); #146522=VECTOR('',#460138,10.); #146523=VECTOR('',#460141,10.); #146524=VECTOR('',#460142,10.); #146525=VECTOR('',#460145,10.); #146526=VECTOR('',#460146,10.); #146527=VECTOR('',#460149,10.); #146528=VECTOR('',#460150,10.); #146529=VECTOR('',#460153,10.); #146530=VECTOR('',#460154,10.); #146531=VECTOR('',#460157,10.); #146532=VECTOR('',#460158,10.); #146533=VECTOR('',#460161,10.); #146534=VECTOR('',#460162,10.); #146535=VECTOR('',#460217,10.); #146536=VECTOR('',#460218,10.); #146537=VECTOR('',#460237,10.); #146538=VECTOR('',#460238,10.); #146539=VECTOR('',#460241,10.); #146540=VECTOR('',#460242,10.); #146541=VECTOR('',#460253,10.); #146542=VECTOR('',#460254,10.); #146543=VECTOR('',#460257,10.); #146544=VECTOR('',#460258,10.); #146545=VECTOR('',#460261,10.); #146546=VECTOR('',#460262,10.); #146547=VECTOR('',#460265,10.); #146548=VECTOR('',#460266,10.); #146549=VECTOR('',#460269,10.); #146550=VECTOR('',#460270,10.); #146551=VECTOR('',#460273,10.); #146552=VECTOR('',#460274,10.); #146553=VECTOR('',#460277,10.); #146554=VECTOR('',#460278,10.); #146555=VECTOR('',#460281,10.); #146556=VECTOR('',#460282,10.); #146557=VECTOR('',#460285,10.); #146558=VECTOR('',#460286,10.); #146559=VECTOR('',#460301,10.); #146560=VECTOR('',#460302,10.); #146561=VECTOR('',#460303,10.); #146562=VECTOR('',#460304,10.); #146563=VECTOR('',#460307,10.); #146564=VECTOR('',#460308,10.); #146565=VECTOR('',#460309,10.); #146566=VECTOR('',#460312,10.); #146567=VECTOR('',#460313,10.); #146568=VECTOR('',#460314,10.); #146569=VECTOR('',#460317,10.); #146570=VECTOR('',#460318,10.); #146571=VECTOR('',#460371,10.); #146572=VECTOR('',#460372,10.); #146573=VECTOR('',#460391,10.); #146574=VECTOR('',#460392,10.); #146575=VECTOR('',#460395,10.); #146576=VECTOR('',#460396,10.); #146577=VECTOR('',#460407,10.); #146578=VECTOR('',#460408,10.); #146579=VECTOR('',#460411,10.); #146580=VECTOR('',#460412,10.); #146581=VECTOR('',#460415,10.); #146582=VECTOR('',#460416,10.); #146583=VECTOR('',#460419,10.); #146584=VECTOR('',#460420,10.); #146585=VECTOR('',#460423,10.); #146586=VECTOR('',#460424,10.); #146587=VECTOR('',#460427,10.); #146588=VECTOR('',#460428,10.); #146589=VECTOR('',#460431,10.); #146590=VECTOR('',#460432,10.); #146591=VECTOR('',#460435,10.); #146592=VECTOR('',#460436,10.); #146593=VECTOR('',#460439,10.); #146594=VECTOR('',#460440,10.); #146595=VECTOR('',#460495,10.); #146596=VECTOR('',#460496,10.); #146597=VECTOR('',#460515,10.); #146598=VECTOR('',#460516,10.); #146599=VECTOR('',#460519,10.); #146600=VECTOR('',#460520,10.); #146601=VECTOR('',#460531,10.); #146602=VECTOR('',#460532,10.); #146603=VECTOR('',#460535,10.); #146604=VECTOR('',#460536,10.); #146605=VECTOR('',#460539,10.); #146606=VECTOR('',#460540,10.); #146607=VECTOR('',#460543,10.); #146608=VECTOR('',#460544,10.); #146609=VECTOR('',#460547,10.); #146610=VECTOR('',#460548,10.); #146611=VECTOR('',#460551,10.); #146612=VECTOR('',#460552,10.); #146613=VECTOR('',#460555,10.); #146614=VECTOR('',#460556,10.); #146615=VECTOR('',#460559,10.); #146616=VECTOR('',#460560,10.); #146617=VECTOR('',#460563,10.); #146618=VECTOR('',#460564,10.); #146619=VECTOR('',#460579,10.); #146620=VECTOR('',#460580,10.); #146621=VECTOR('',#460581,10.); #146622=VECTOR('',#460582,10.); #146623=VECTOR('',#460585,10.); #146624=VECTOR('',#460586,10.); #146625=VECTOR('',#460587,10.); #146626=VECTOR('',#460590,10.); #146627=VECTOR('',#460591,10.); #146628=VECTOR('',#460592,10.); #146629=VECTOR('',#460595,10.); #146630=VECTOR('',#460596,10.); #146631=VECTOR('',#460611,3.11666666666667); #146632=VECTOR('',#460630,4.25); #146633=VECTOR('',#460653,4.25); #146634=VECTOR('',#460670,10.); #146635=VECTOR('',#460673,10.); #146636=VECTOR('',#460676,10.); #146637=VECTOR('',#460683,10.); #146638=VECTOR('',#460686,10.); #146639=VECTOR('',#460689,10.); #146640=VECTOR('',#460706,10.); #146641=VECTOR('',#460717,10.); #146642=VECTOR('',#460728,10.); #146643=VECTOR('',#460751,10.); #146644=VECTOR('',#460762,10.); #146645=VECTOR('',#460773,10.); #146646=VECTOR('',#460786,0.325); #146647=VECTOR('',#460797,0.325); #146648=VECTOR('',#460806,10.); #146649=VECTOR('',#460807,10.); #146650=VECTOR('',#460808,10.); #146651=VECTOR('',#460809,10.); #146652=VECTOR('',#460814,10.); #146653=VECTOR('',#460819,10.); #146654=VECTOR('',#460824,10.); #146655=VECTOR('',#460829,10.); #146656=VECTOR('',#460834,10.); #146657=VECTOR('',#460835,10.); #146658=VECTOR('',#460836,10.); #146659=VECTOR('',#460837,10.); #146660=VECTOR('',#460842,10.); #146661=VECTOR('',#460847,10.); #146662=VECTOR('',#460852,10.); #146663=VECTOR('',#460857,10.); #146664=VECTOR('',#460862,10.); #146665=VECTOR('',#460863,10.); #146666=VECTOR('',#460864,10.); #146667=VECTOR('',#460865,10.); #146668=VECTOR('',#460870,10.); #146669=VECTOR('',#460875,10.); #146670=VECTOR('',#460880,10.); #146671=VECTOR('',#460885,10.); #146672=VECTOR('',#460890,10.); #146673=VECTOR('',#460891,10.); #146674=VECTOR('',#460892,10.); #146675=VECTOR('',#460893,10.); #146676=VECTOR('',#460898,10.); #146677=VECTOR('',#460903,10.); #146678=VECTOR('',#460908,10.); #146679=VECTOR('',#460913,10.); #146680=VECTOR('',#460918,10.); #146681=VECTOR('',#460919,10.); #146682=VECTOR('',#460920,10.); #146683=VECTOR('',#460921,10.); #146684=VECTOR('',#460926,10.); #146685=VECTOR('',#460931,10.); #146686=VECTOR('',#460936,10.); #146687=VECTOR('',#460941,10.); #146688=VECTOR('',#460946,10.); #146689=VECTOR('',#460947,10.); #146690=VECTOR('',#460948,10.); #146691=VECTOR('',#460949,10.); #146692=VECTOR('',#460954,10.); #146693=VECTOR('',#460959,10.); #146694=VECTOR('',#460964,10.); #146695=VECTOR('',#460969,10.); #146696=VECTOR('',#460974,10.); #146697=VECTOR('',#460975,10.); #146698=VECTOR('',#460976,10.); #146699=VECTOR('',#460977,10.); #146700=VECTOR('',#460982,10.); #146701=VECTOR('',#460987,10.); #146702=VECTOR('',#460992,10.); #146703=VECTOR('',#460997,10.); #146704=VECTOR('',#461002,10.); #146705=VECTOR('',#461003,10.); #146706=VECTOR('',#461004,10.); #146707=VECTOR('',#461005,10.); #146708=VECTOR('',#461010,10.); #146709=VECTOR('',#461015,10.); #146710=VECTOR('',#461020,10.); #146711=VECTOR('',#461025,10.); #146712=VECTOR('',#461030,10.); #146713=VECTOR('',#461031,10.); #146714=VECTOR('',#461032,10.); #146715=VECTOR('',#461033,10.); #146716=VECTOR('',#461038,10.); #146717=VECTOR('',#461043,10.); #146718=VECTOR('',#461048,10.); #146719=VECTOR('',#461053,10.); #146720=VECTOR('',#461066,0.0750000000000001); #146721=VECTOR('',#461073,10.); #146722=VECTOR('',#461074,10.); #146723=VECTOR('',#461075,10.); #146724=VECTOR('',#461076,10.); #146725=VECTOR('',#461079,10.); #146726=VECTOR('',#461080,10.); #146727=VECTOR('',#461081,10.); #146728=VECTOR('',#461084,10.); #146729=VECTOR('',#461085,10.); #146730=VECTOR('',#461086,10.); #146731=VECTOR('',#461089,10.); #146732=VECTOR('',#461090,10.); #146733=VECTOR('',#461093,10.); #146734=VECTOR('',#461094,10.); #146735=VECTOR('',#461095,10.); #146736=VECTOR('',#461098,10.); #146737=VECTOR('',#461099,10.); #146738=VECTOR('',#461104,10.); #146739=VECTOR('',#461105,10.); #146740=VECTOR('',#461108,10.); #146741=VECTOR('',#461113,10.); #146742=VECTOR('',#461114,10.); #146743=VECTOR('',#461115,10.); #146744=VECTOR('',#461116,10.); #146745=VECTOR('',#461119,10.); #146746=VECTOR('',#461120,10.); #146747=VECTOR('',#461121,10.); #146748=VECTOR('',#461124,10.); #146749=VECTOR('',#461125,10.); #146750=VECTOR('',#461126,10.); #146751=VECTOR('',#461129,10.); #146752=VECTOR('',#461130,10.); #146753=VECTOR('',#461137,10.); #146754=VECTOR('',#461138,10.); #146755=VECTOR('',#461139,10.); #146756=VECTOR('',#461140,10.); #146757=VECTOR('',#461143,10.); #146758=VECTOR('',#461144,10.); #146759=VECTOR('',#461145,10.); #146760=VECTOR('',#461152,10.); #146761=VECTOR('',#461155,10.); #146762=VECTOR('',#461156,10.); #146763=VECTOR('',#461157,10.); #146764=VECTOR('',#461164,10.); #146765=VECTOR('',#461167,10.); #146766=VECTOR('',#461168,10.); #146767=VECTOR('',#461175,10.); #146768=VECTOR('',#461176,10.); #146769=VECTOR('',#461177,10.); #146770=VECTOR('',#461178,10.); #146771=VECTOR('',#461181,10.); #146772=VECTOR('',#461182,10.); #146773=VECTOR('',#461183,10.); #146774=VECTOR('',#461188,10.); #146775=VECTOR('',#461193,10.); #146776=VECTOR('',#461194,10.); #146777=VECTOR('',#461195,10.); #146778=VECTOR('',#461200,10.); #146779=VECTOR('',#461205,10.); #146780=VECTOR('',#461206,10.); #146781=VECTOR('',#461213,10.); #146782=VECTOR('',#461214,10.); #146783=VECTOR('',#461215,10.); #146784=VECTOR('',#461216,10.); #146785=VECTOR('',#461219,10.); #146786=VECTOR('',#461220,10.); #146787=VECTOR('',#461221,10.); #146788=VECTOR('',#461228,10.); #146789=VECTOR('',#461231,10.); #146790=VECTOR('',#461232,10.); #146791=VECTOR('',#461233,10.); #146792=VECTOR('',#461240,10.); #146793=VECTOR('',#461243,10.); #146794=VECTOR('',#461244,10.); #146795=VECTOR('',#461251,10.); #146796=VECTOR('',#461252,10.); #146797=VECTOR('',#461253,10.); #146798=VECTOR('',#461254,10.); #146799=VECTOR('',#461257,10.); #146800=VECTOR('',#461258,10.); #146801=VECTOR('',#461259,10.); #146802=VECTOR('',#461266,10.); #146803=VECTOR('',#461269,10.); #146804=VECTOR('',#461270,10.); #146805=VECTOR('',#461271,10.); #146806=VECTOR('',#461278,10.); #146807=VECTOR('',#461281,10.); #146808=VECTOR('',#461282,10.); #146809=VECTOR('',#461289,10.); #146810=VECTOR('',#461290,10.); #146811=VECTOR('',#461291,10.); #146812=VECTOR('',#461292,10.); #146813=VECTOR('',#461295,10.); #146814=VECTOR('',#461296,10.); #146815=VECTOR('',#461297,10.); #146816=VECTOR('',#461304,10.); #146817=VECTOR('',#461307,10.); #146818=VECTOR('',#461308,10.); #146819=VECTOR('',#461309,10.); #146820=VECTOR('',#461316,10.); #146821=VECTOR('',#461319,10.); #146822=VECTOR('',#461320,10.); #146823=VECTOR('',#461327,10.); #146824=VECTOR('',#461328,10.); #146825=VECTOR('',#461329,10.); #146826=VECTOR('',#461330,10.); #146827=VECTOR('',#461333,10.); #146828=VECTOR('',#461334,10.); #146829=VECTOR('',#461335,10.); #146830=VECTOR('',#461340,10.); #146831=VECTOR('',#461345,10.); #146832=VECTOR('',#461346,10.); #146833=VECTOR('',#461347,10.); #146834=VECTOR('',#461352,10.); #146835=VECTOR('',#461357,10.); #146836=VECTOR('',#461358,10.); #146837=VECTOR('',#461365,10.); #146838=VECTOR('',#461366,10.); #146839=VECTOR('',#461367,10.); #146840=VECTOR('',#461368,10.); #146841=VECTOR('',#461371,10.); #146842=VECTOR('',#461372,10.); #146843=VECTOR('',#461373,10.); #146844=VECTOR('',#461378,10.); #146845=VECTOR('',#461383,10.); #146846=VECTOR('',#461384,10.); #146847=VECTOR('',#461385,10.); #146848=VECTOR('',#461390,10.); #146849=VECTOR('',#461395,10.); #146850=VECTOR('',#461396,10.); #146851=VECTOR('',#461403,10.); #146852=VECTOR('',#461404,10.); #146853=VECTOR('',#461405,10.); #146854=VECTOR('',#461406,10.); #146855=VECTOR('',#461409,10.); #146856=VECTOR('',#461410,10.); #146857=VECTOR('',#461411,10.); #146858=VECTOR('',#461416,10.); #146859=VECTOR('',#461421,10.); #146860=VECTOR('',#461422,10.); #146861=VECTOR('',#461423,10.); #146862=VECTOR('',#461428,10.); #146863=VECTOR('',#461433,10.); #146864=VECTOR('',#461434,10.); #146865=VECTOR('',#461441,10.); #146866=VECTOR('',#461442,10.); #146867=VECTOR('',#461443,10.); #146868=VECTOR('',#461444,10.); #146869=VECTOR('',#461447,10.); #146870=VECTOR('',#461448,10.); #146871=VECTOR('',#461449,10.); #146872=VECTOR('',#461452,10.); #146873=VECTOR('',#461453,10.); #146874=VECTOR('',#461454,10.); #146875=VECTOR('',#461457,10.); #146876=VECTOR('',#461458,10.); #146877=VECTOR('',#461465,10.); #146878=VECTOR('',#461466,10.); #146879=VECTOR('',#461467,10.); #146880=VECTOR('',#461468,10.); #146881=VECTOR('',#461471,10.); #146882=VECTOR('',#461472,10.); #146883=VECTOR('',#461473,10.); #146884=VECTOR('',#461476,10.); #146885=VECTOR('',#461477,10.); #146886=VECTOR('',#461478,10.); #146887=VECTOR('',#461481,10.); #146888=VECTOR('',#461482,10.); #146889=VECTOR('',#461489,10.); #146890=VECTOR('',#461490,10.); #146891=VECTOR('',#461491,10.); #146892=VECTOR('',#461492,10.); #146893=VECTOR('',#461495,10.); #146894=VECTOR('',#461496,10.); #146895=VECTOR('',#461497,10.); #146896=VECTOR('',#461500,10.); #146897=VECTOR('',#461501,10.); #146898=VECTOR('',#461502,10.); #146899=VECTOR('',#461505,10.); #146900=VECTOR('',#461506,10.); #146901=VECTOR('',#461513,10.); #146902=VECTOR('',#461514,10.); #146903=VECTOR('',#461515,10.); #146904=VECTOR('',#461516,10.); #146905=VECTOR('',#461519,10.); #146906=VECTOR('',#461520,10.); #146907=VECTOR('',#461521,10.); #146908=VECTOR('',#461524,10.); #146909=VECTOR('',#461525,10.); #146910=VECTOR('',#461526,10.); #146911=VECTOR('',#461529,10.); #146912=VECTOR('',#461530,10.); #146913=VECTOR('',#461537,10.); #146914=VECTOR('',#461538,10.); #146915=VECTOR('',#461539,10.); #146916=VECTOR('',#461540,10.); #146917=VECTOR('',#461543,10.); #146918=VECTOR('',#461544,10.); #146919=VECTOR('',#461545,10.); #146920=VECTOR('',#461548,10.); #146921=VECTOR('',#461549,10.); #146922=VECTOR('',#461550,10.); #146923=VECTOR('',#461553,10.); #146924=VECTOR('',#461554,10.); #146925=VECTOR('',#461561,10.); #146926=VECTOR('',#461562,10.); #146927=VECTOR('',#461563,10.); #146928=VECTOR('',#461564,10.); #146929=VECTOR('',#461567,10.); #146930=VECTOR('',#461568,10.); #146931=VECTOR('',#461569,10.); #146932=VECTOR('',#461572,10.); #146933=VECTOR('',#461573,10.); #146934=VECTOR('',#461574,10.); #146935=VECTOR('',#461577,10.); #146936=VECTOR('',#461578,10.); #146937=VECTOR('',#461585,10.); #146938=VECTOR('',#461586,10.); #146939=VECTOR('',#461587,10.); #146940=VECTOR('',#461588,10.); #146941=VECTOR('',#461591,10.); #146942=VECTOR('',#461592,10.); #146943=VECTOR('',#461593,10.); #146944=VECTOR('',#461596,10.); #146945=VECTOR('',#461597,10.); #146946=VECTOR('',#461598,10.); #146947=VECTOR('',#461601,10.); #146948=VECTOR('',#461602,10.); #146949=VECTOR('',#461609,10.); #146950=VECTOR('',#461610,10.); #146951=VECTOR('',#461611,10.); #146952=VECTOR('',#461612,10.); #146953=VECTOR('',#461615,10.); #146954=VECTOR('',#461616,10.); #146955=VECTOR('',#461617,10.); #146956=VECTOR('',#461620,10.); #146957=VECTOR('',#461621,10.); #146958=VECTOR('',#461622,10.); #146959=VECTOR('',#461625,10.); #146960=VECTOR('',#461626,10.); #146961=VECTOR('',#461641,0.0750000000000001); #146962=VECTOR('',#461648,10.); #146963=VECTOR('',#461649,10.); #146964=VECTOR('',#461650,10.); #146965=VECTOR('',#461651,10.); #146966=VECTOR('',#461654,10.); #146967=VECTOR('',#461655,10.); #146968=VECTOR('',#461656,10.); #146969=VECTOR('',#461659,10.); #146970=VECTOR('',#461660,10.); #146971=VECTOR('',#461661,10.); #146972=VECTOR('',#461664,10.); #146973=VECTOR('',#461665,10.); #146974=VECTOR('',#461668,10.); #146975=VECTOR('',#461669,10.); #146976=VECTOR('',#461670,10.); #146977=VECTOR('',#461673,10.); #146978=VECTOR('',#461674,10.); #146979=VECTOR('',#461679,10.); #146980=VECTOR('',#461680,10.); #146981=VECTOR('',#461683,10.); #146982=VECTOR('',#461688,10.); #146983=VECTOR('',#461689,10.); #146984=VECTOR('',#461690,10.); #146985=VECTOR('',#461691,10.); #146986=VECTOR('',#461694,10.); #146987=VECTOR('',#461695,10.); #146988=VECTOR('',#461696,10.); #146989=VECTOR('',#461699,10.); #146990=VECTOR('',#461700,10.); #146991=VECTOR('',#461701,10.); #146992=VECTOR('',#461704,10.); #146993=VECTOR('',#461705,10.); #146994=VECTOR('',#461712,10.); #146995=VECTOR('',#461713,10.); #146996=VECTOR('',#461714,10.); #146997=VECTOR('',#461715,10.); #146998=VECTOR('',#461718,10.); #146999=VECTOR('',#461719,10.); #147000=VECTOR('',#461720,10.); #147001=VECTOR('',#461727,10.); #147002=VECTOR('',#461730,10.); #147003=VECTOR('',#461731,10.); #147004=VECTOR('',#461732,10.); #147005=VECTOR('',#461739,10.); #147006=VECTOR('',#461742,10.); #147007=VECTOR('',#461743,10.); #147008=VECTOR('',#461750,10.); #147009=VECTOR('',#461751,10.); #147010=VECTOR('',#461752,10.); #147011=VECTOR('',#461753,10.); #147012=VECTOR('',#461756,10.); #147013=VECTOR('',#461757,10.); #147014=VECTOR('',#461758,10.); #147015=VECTOR('',#461763,10.); #147016=VECTOR('',#461768,10.); #147017=VECTOR('',#461769,10.); #147018=VECTOR('',#461770,10.); #147019=VECTOR('',#461775,10.); #147020=VECTOR('',#461780,10.); #147021=VECTOR('',#461781,10.); #147022=VECTOR('',#461788,10.); #147023=VECTOR('',#461789,10.); #147024=VECTOR('',#461790,10.); #147025=VECTOR('',#461791,10.); #147026=VECTOR('',#461794,10.); #147027=VECTOR('',#461795,10.); #147028=VECTOR('',#461796,10.); #147029=VECTOR('',#461803,10.); #147030=VECTOR('',#461806,10.); #147031=VECTOR('',#461807,10.); #147032=VECTOR('',#461808,10.); #147033=VECTOR('',#461815,10.); #147034=VECTOR('',#461818,10.); #147035=VECTOR('',#461819,10.); #147036=VECTOR('',#461826,10.); #147037=VECTOR('',#461827,10.); #147038=VECTOR('',#461828,10.); #147039=VECTOR('',#461829,10.); #147040=VECTOR('',#461832,10.); #147041=VECTOR('',#461833,10.); #147042=VECTOR('',#461834,10.); #147043=VECTOR('',#461841,10.); #147044=VECTOR('',#461844,10.); #147045=VECTOR('',#461845,10.); #147046=VECTOR('',#461846,10.); #147047=VECTOR('',#461853,10.); #147048=VECTOR('',#461856,10.); #147049=VECTOR('',#461857,10.); #147050=VECTOR('',#461864,10.); #147051=VECTOR('',#461865,10.); #147052=VECTOR('',#461866,10.); #147053=VECTOR('',#461867,10.); #147054=VECTOR('',#461870,10.); #147055=VECTOR('',#461871,10.); #147056=VECTOR('',#461872,10.); #147057=VECTOR('',#461879,10.); #147058=VECTOR('',#461882,10.); #147059=VECTOR('',#461883,10.); #147060=VECTOR('',#461884,10.); #147061=VECTOR('',#461891,10.); #147062=VECTOR('',#461894,10.); #147063=VECTOR('',#461895,10.); #147064=VECTOR('',#461902,10.); #147065=VECTOR('',#461903,10.); #147066=VECTOR('',#461904,10.); #147067=VECTOR('',#461905,10.); #147068=VECTOR('',#461908,10.); #147069=VECTOR('',#461909,10.); #147070=VECTOR('',#461910,10.); #147071=VECTOR('',#461915,10.); #147072=VECTOR('',#461920,10.); #147073=VECTOR('',#461921,10.); #147074=VECTOR('',#461922,10.); #147075=VECTOR('',#461927,10.); #147076=VECTOR('',#461932,10.); #147077=VECTOR('',#461933,10.); #147078=VECTOR('',#461940,10.); #147079=VECTOR('',#461941,10.); #147080=VECTOR('',#461942,10.); #147081=VECTOR('',#461943,10.); #147082=VECTOR('',#461946,10.); #147083=VECTOR('',#461947,10.); #147084=VECTOR('',#461948,10.); #147085=VECTOR('',#461953,10.); #147086=VECTOR('',#461958,10.); #147087=VECTOR('',#461959,10.); #147088=VECTOR('',#461960,10.); #147089=VECTOR('',#461965,10.); #147090=VECTOR('',#461970,10.); #147091=VECTOR('',#461971,10.); #147092=VECTOR('',#461978,10.); #147093=VECTOR('',#461979,10.); #147094=VECTOR('',#461980,10.); #147095=VECTOR('',#461981,10.); #147096=VECTOR('',#461984,10.); #147097=VECTOR('',#461985,10.); #147098=VECTOR('',#461986,10.); #147099=VECTOR('',#461991,10.); #147100=VECTOR('',#461996,10.); #147101=VECTOR('',#461997,10.); #147102=VECTOR('',#461998,10.); #147103=VECTOR('',#462003,10.); #147104=VECTOR('',#462008,10.); #147105=VECTOR('',#462009,10.); #147106=VECTOR('',#462016,10.); #147107=VECTOR('',#462017,10.); #147108=VECTOR('',#462018,10.); #147109=VECTOR('',#462019,10.); #147110=VECTOR('',#462022,10.); #147111=VECTOR('',#462023,10.); #147112=VECTOR('',#462024,10.); #147113=VECTOR('',#462027,10.); #147114=VECTOR('',#462028,10.); #147115=VECTOR('',#462029,10.); #147116=VECTOR('',#462032,10.); #147117=VECTOR('',#462033,10.); #147118=VECTOR('',#462040,10.); #147119=VECTOR('',#462041,10.); #147120=VECTOR('',#462042,10.); #147121=VECTOR('',#462043,10.); #147122=VECTOR('',#462046,10.); #147123=VECTOR('',#462047,10.); #147124=VECTOR('',#462048,10.); #147125=VECTOR('',#462051,10.); #147126=VECTOR('',#462052,10.); #147127=VECTOR('',#462053,10.); #147128=VECTOR('',#462056,10.); #147129=VECTOR('',#462057,10.); #147130=VECTOR('',#462064,10.); #147131=VECTOR('',#462065,10.); #147132=VECTOR('',#462066,10.); #147133=VECTOR('',#462067,10.); #147134=VECTOR('',#462070,10.); #147135=VECTOR('',#462071,10.); #147136=VECTOR('',#462072,10.); #147137=VECTOR('',#462075,10.); #147138=VECTOR('',#462076,10.); #147139=VECTOR('',#462077,10.); #147140=VECTOR('',#462080,10.); #147141=VECTOR('',#462081,10.); #147142=VECTOR('',#462088,10.); #147143=VECTOR('',#462089,10.); #147144=VECTOR('',#462090,10.); #147145=VECTOR('',#462091,10.); #147146=VECTOR('',#462094,10.); #147147=VECTOR('',#462095,10.); #147148=VECTOR('',#462096,10.); #147149=VECTOR('',#462099,10.); #147150=VECTOR('',#462100,10.); #147151=VECTOR('',#462101,10.); #147152=VECTOR('',#462104,10.); #147153=VECTOR('',#462105,10.); #147154=VECTOR('',#462112,10.); #147155=VECTOR('',#462113,10.); #147156=VECTOR('',#462114,10.); #147157=VECTOR('',#462115,10.); #147158=VECTOR('',#462118,10.); #147159=VECTOR('',#462119,10.); #147160=VECTOR('',#462120,10.); #147161=VECTOR('',#462123,10.); #147162=VECTOR('',#462124,10.); #147163=VECTOR('',#462125,10.); #147164=VECTOR('',#462128,10.); #147165=VECTOR('',#462129,10.); #147166=VECTOR('',#462136,10.); #147167=VECTOR('',#462137,10.); #147168=VECTOR('',#462138,10.); #147169=VECTOR('',#462139,10.); #147170=VECTOR('',#462142,10.); #147171=VECTOR('',#462143,10.); #147172=VECTOR('',#462144,10.); #147173=VECTOR('',#462147,10.); #147174=VECTOR('',#462148,10.); #147175=VECTOR('',#462149,10.); #147176=VECTOR('',#462152,10.); #147177=VECTOR('',#462153,10.); #147178=VECTOR('',#462160,10.); #147179=VECTOR('',#462161,10.); #147180=VECTOR('',#462162,10.); #147181=VECTOR('',#462163,10.); #147182=VECTOR('',#462166,10.); #147183=VECTOR('',#462167,10.); #147184=VECTOR('',#462168,10.); #147185=VECTOR('',#462171,10.); #147186=VECTOR('',#462172,10.); #147187=VECTOR('',#462173,10.); #147188=VECTOR('',#462176,10.); #147189=VECTOR('',#462177,10.); #147190=VECTOR('',#462184,10.); #147191=VECTOR('',#462185,10.); #147192=VECTOR('',#462186,10.); #147193=VECTOR('',#462187,10.); #147194=VECTOR('',#462190,10.); #147195=VECTOR('',#462191,10.); #147196=VECTOR('',#462192,10.); #147197=VECTOR('',#462195,10.); #147198=VECTOR('',#462196,10.); #147199=VECTOR('',#462197,10.); #147200=VECTOR('',#462200,10.); #147201=VECTOR('',#462201,10.); #147202=VECTOR('',#462214,10.); #147203=VECTOR('',#462215,10.); #147204=VECTOR('',#462216,10.); #147205=VECTOR('',#462217,10.); #147206=VECTOR('',#462220,10.); #147207=VECTOR('',#462221,10.); #147208=VECTOR('',#462222,10.); #147209=VECTOR('',#462225,10.); #147210=VECTOR('',#462226,10.); #147211=VECTOR('',#462227,10.); #147212=VECTOR('',#462230,10.); #147213=VECTOR('',#462231,10.); #147214=VECTOR('',#462234,10.); #147215=VECTOR('',#462235,10.); #147216=VECTOR('',#462236,10.); #147217=VECTOR('',#462239,10.); #147218=VECTOR('',#462240,10.); #147219=VECTOR('',#462245,10.); #147220=VECTOR('',#462246,10.); #147221=VECTOR('',#462249,10.); #147222=VECTOR('',#462254,10.); #147223=VECTOR('',#462255,10.); #147224=VECTOR('',#462256,10.); #147225=VECTOR('',#462257,10.); #147226=VECTOR('',#462260,10.); #147227=VECTOR('',#462261,10.); #147228=VECTOR('',#462262,10.); #147229=VECTOR('',#462265,10.); #147230=VECTOR('',#462266,10.); #147231=VECTOR('',#462267,10.); #147232=VECTOR('',#462270,10.); #147233=VECTOR('',#462271,10.); #147234=VECTOR('',#462278,10.); #147235=VECTOR('',#462279,10.); #147236=VECTOR('',#462280,10.); #147237=VECTOR('',#462281,10.); #147238=VECTOR('',#462284,10.); #147239=VECTOR('',#462285,10.); #147240=VECTOR('',#462286,10.); #147241=VECTOR('',#462289,10.); #147242=VECTOR('',#462290,10.); #147243=VECTOR('',#462291,10.); #147244=VECTOR('',#462294,10.); #147245=VECTOR('',#462295,10.); #147246=VECTOR('',#462302,10.); #147247=VECTOR('',#462303,10.); #147248=VECTOR('',#462304,10.); #147249=VECTOR('',#462305,10.); #147250=VECTOR('',#462308,10.); #147251=VECTOR('',#462309,10.); #147252=VECTOR('',#462310,10.); #147253=VECTOR('',#462313,10.); #147254=VECTOR('',#462314,10.); #147255=VECTOR('',#462315,10.); #147256=VECTOR('',#462318,10.); #147257=VECTOR('',#462319,10.); #147258=VECTOR('',#462326,10.); #147259=VECTOR('',#462327,10.); #147260=VECTOR('',#462328,10.); #147261=VECTOR('',#462329,10.); #147262=VECTOR('',#462332,10.); #147263=VECTOR('',#462333,10.); #147264=VECTOR('',#462334,10.); #147265=VECTOR('',#462337,10.); #147266=VECTOR('',#462338,10.); #147267=VECTOR('',#462339,10.); #147268=VECTOR('',#462342,10.); #147269=VECTOR('',#462343,10.); #147270=VECTOR('',#462358,3.595); #147271=VECTOR('',#462365,5.76); #147272=VECTOR('',#462374,3.5); #147273=VECTOR('',#462377,3.5); #147274=VECTOR('',#462380,3.5); #147275=VECTOR('',#462385,3.5); #147276=VECTOR('',#462390,3.5); #147277=VECTOR('',#462393,3.5); #147278=VECTOR('',#462400,1000.); #147279=VECTOR('',#462401,1000.); #147280=VECTOR('',#462402,1000.); #147281=VECTOR('',#462403,1000.); #147282=VECTOR('',#462404,1000.); #147283=VECTOR('',#462405,1000.); #147284=VECTOR('',#462408,1000.); #147285=VECTOR('',#462409,1000.); #147286=VECTOR('',#462412,1000.); #147287=VECTOR('',#462415,1000.); #147288=VECTOR('',#462418,1000.); #147289=VECTOR('',#462421,1000.); #147290=VECTOR('',#462426,3.5); #147291=VECTOR('',#462431,3.5); #147292=VECTOR('',#462434,3.5); #147293=VECTOR('',#462437,3.5); #147294=VECTOR('',#462440,3.5); #147295=VECTOR('',#462443,3.5); #147296=VECTOR('',#462446,3.5); #147297=VECTOR('',#462449,3.02375); #147298=VECTOR('',#462454,3.02375); #147299=VECTOR('',#462459,3.02375); #147300=VECTOR('',#462462,3.02375); #147301=VECTOR('',#462465,3.02375); #147302=VECTOR('',#462468,3.02375); #147303=VECTOR('',#462471,3.02375); #147304=VECTOR('',#462474,10.); #147305=VECTOR('',#462475,10.); #147306=VECTOR('',#462476,10.); #147307=VECTOR('',#462477,10.); #147308=VECTOR('',#462480,10.); #147309=VECTOR('',#462481,10.); #147310=VECTOR('',#462482,10.); #147311=VECTOR('',#462483,10.); #147312=VECTOR('',#462486,10.); #147313=VECTOR('',#462487,10.); #147314=VECTOR('',#462488,10.); #147315=VECTOR('',#462489,10.); #147316=VECTOR('',#462492,10.); #147317=VECTOR('',#462493,10.); #147318=VECTOR('',#462494,10.); #147319=VECTOR('',#462495,10.); #147320=VECTOR('',#462498,10.); #147321=VECTOR('',#462499,10.); #147322=VECTOR('',#462500,10.); #147323=VECTOR('',#462501,10.); #147324=VECTOR('',#462504,10.); #147325=VECTOR('',#462505,10.); #147326=VECTOR('',#462506,10.); #147327=VECTOR('',#462507,10.); #147328=VECTOR('',#462510,1000.); #147329=VECTOR('',#462511,10.); #147330=VECTOR('',#462512,1000.); #147331=VECTOR('',#462513,10.); #147332=VECTOR('',#462514,10.); #147333=VECTOR('',#462515,10.); #147334=VECTOR('',#462516,10.); #147335=VECTOR('',#462519,1000.); #147336=VECTOR('',#462520,1000.); #147337=VECTOR('',#462521,10.); #147338=VECTOR('',#462524,10.); #147339=VECTOR('',#462525,1000.); #147340=VECTOR('',#462528,10.); #147341=VECTOR('',#462533,10.); #147342=VECTOR('',#462534,10.); #147343=VECTOR('',#462535,10.); #147344=VECTOR('',#462536,10.); #147345=VECTOR('',#462537,10.); #147346=VECTOR('',#462540,10.); #147347=VECTOR('',#462543,1000.); #147348=VECTOR('',#462544,1000.); #147349=VECTOR('',#462545,10.); #147350=VECTOR('',#462546,10.); #147351=VECTOR('',#462547,10.); #147352=VECTOR('',#462548,10.); #147353=VECTOR('',#462551,1000.); #147354=VECTOR('',#462552,1000.); #147355=VECTOR('',#462555,1000.); #147356=VECTOR('',#462558,10.); #147357=VECTOR('',#462559,1000.); #147358=VECTOR('',#462560,1000.); #147359=VECTOR('',#462563,10.); #147360=VECTOR('',#462564,1000.); #147361=VECTOR('',#462567,10.); #147362=VECTOR('',#462568,1000.); #147363=VECTOR('',#462569,1000.); #147364=VECTOR('',#462572,10.); #147365=VECTOR('',#462573,1000.); #147366=VECTOR('',#462576,10.); #147367=VECTOR('',#462577,1000.); #147368=VECTOR('',#462578,1000.); #147369=VECTOR('',#462581,10.); #147370=VECTOR('',#462582,1000.); #147371=VECTOR('',#462585,1000.); #147372=VECTOR('',#462588,1000.); #147373=VECTOR('',#462591,10.); #147374=VECTOR('',#462592,1000.); #147375=VECTOR('',#462593,1000.); #147376=VECTOR('',#462596,10.); #147377=VECTOR('',#462597,1000.); #147378=VECTOR('',#462600,10.); #147379=VECTOR('',#462601,1000.); #147380=VECTOR('',#462602,1000.); #147381=VECTOR('',#462605,10.); #147382=VECTOR('',#462606,1000.); #147383=VECTOR('',#462609,10.); #147384=VECTOR('',#462610,1000.); #147385=VECTOR('',#462611,1000.); #147386=VECTOR('',#462614,10.); #147387=VECTOR('',#462615,1000.); #147388=VECTOR('',#462618,10.); #147389=VECTOR('',#462619,1000.); #147390=VECTOR('',#462620,1000.); #147391=VECTOR('',#462623,10.); #147392=VECTOR('',#462624,1000.); #147393=VECTOR('',#462701,10.); #147394=VECTOR('',#462702,1000.); #147395=VECTOR('',#462703,1000.); #147396=VECTOR('',#462706,10.); #147397=VECTOR('',#462707,1000.); #147398=VECTOR('',#462710,10.); #147399=VECTOR('',#462711,1000.); #147400=VECTOR('',#462712,1000.); #147401=VECTOR('',#462715,10.); #147402=VECTOR('',#462716,1000.); #147403=VECTOR('',#462719,10.); #147404=VECTOR('',#462720,1000.); #147405=VECTOR('',#462721,1000.); #147406=VECTOR('',#462724,10.); #147407=VECTOR('',#462725,1000.); #147408=VECTOR('',#462728,10.); #147409=VECTOR('',#462729,1000.); #147410=VECTOR('',#462730,1000.); #147411=VECTOR('',#462733,10.); #147412=VECTOR('',#462734,1000.); #147413=VECTOR('',#462787,1000.); #147414=VECTOR('',#462790,1000.); #147415=VECTOR('',#462793,10.); #147416=VECTOR('',#462794,1000.); #147417=VECTOR('',#462795,1000.); #147418=VECTOR('',#462798,10.); #147419=VECTOR('',#462799,1000.); #147420=VECTOR('',#462802,10.); #147421=VECTOR('',#462803,1000.); #147422=VECTOR('',#462804,1000.); #147423=VECTOR('',#462807,10.); #147424=VECTOR('',#462808,1000.); #147425=VECTOR('',#462811,10.); #147426=VECTOR('',#462812,1000.); #147427=VECTOR('',#462813,1000.); #147428=VECTOR('',#462816,10.); #147429=VECTOR('',#462817,1000.); #147430=VECTOR('',#462854,3.); #147431=VECTOR('',#462857,10.); #147432=VECTOR('',#462858,10.); #147433=VECTOR('',#462859,1.); #147434=VECTOR('',#462860,10.); #147435=VECTOR('',#462863,10.); #147436=VECTOR('',#462864,1.); #147437=VECTOR('',#462865,1.); #147438=VECTOR('',#462866,10.); #147439=VECTOR('',#462871,1.); #147440=VECTOR('',#462880,1.); #147441=VECTOR('',#462883,1.); #147442=VECTOR('',#462886,10.); #147443=VECTOR('',#462887,10.); #147444=VECTOR('',#462890,10.); #147445=VECTOR('',#462893,1.); #147446=VECTOR('',#462894,10.); #147447=VECTOR('',#462897,10.); #147448=VECTOR('',#462900,1.); #147449=VECTOR('',#462901,10.); #147450=VECTOR('',#462902,10.); #147451=VECTOR('',#462905,10.); #147452=VECTOR('',#462906,1.); #147453=VECTOR('',#462907,10.); #147454=VECTOR('',#462910,10.); #147455=VECTOR('',#462911,1.); #147456=VECTOR('',#462912,10.); #147457=VECTOR('',#462915,10.); #147458=VECTOR('',#462916,10.); #147459=VECTOR('',#462921,10.); #147460=VECTOR('',#462924,10.); #147461=VECTOR('',#462925,10.); #147462=VECTOR('',#462934,10.); #147463=VECTOR('',#462937,10.); #147464=VECTOR('',#462938,10.); #147465=VECTOR('',#462941,1.); #147466=VECTOR('',#462948,10.); #147467=VECTOR('',#462951,10.); #147468=VECTOR('',#462952,1.); #147469=VECTOR('',#462955,10.); #147470=VECTOR('',#462956,10.); #147471=VECTOR('',#462959,10.); #147472=VECTOR('',#462962,10.); #147473=VECTOR('',#462963,10.); #147474=VECTOR('',#462966,1.); #147475=VECTOR('',#462969,10.); #147476=VECTOR('',#462970,1.); #147477=VECTOR('',#462971,10.); #147478=VECTOR('',#462974,10.); #147479=VECTOR('',#462975,1.); #147480=VECTOR('',#462978,10.); #147481=VECTOR('',#462979,10.); #147482=VECTOR('',#462982,10.); #147483=VECTOR('',#462985,1.); #147484=VECTOR('',#462986,10.); #147485=VECTOR('',#462991,10.); #147486=VECTOR('',#462992,10.); #147487=VECTOR('',#462995,10.); #147488=VECTOR('',#462998,10.); #147489=VECTOR('',#463001,10.); #147490=VECTOR('',#463002,10.); #147491=VECTOR('',#463005,1.); #147492=VECTOR('',#463008,10.); #147493=VECTOR('',#463011,10.); #147494=VECTOR('',#463018,10.); #147495=VECTOR('',#463021,1.); #147496=VECTOR('',#463022,1.); #147497=VECTOR('',#463023,10.); #147498=VECTOR('',#463024,10.); #147499=VECTOR('',#463031,1.); #147500=VECTOR('',#463034,1.); #147501=VECTOR('',#463037,1.); #147502=VECTOR('',#463042,1.); #147503=VECTOR('',#463043,10.); #147504=VECTOR('',#463044,10.); #147505=VECTOR('',#463047,1.); #147506=VECTOR('',#463050,10.); #147507=VECTOR('',#463051,10.); #147508=VECTOR('',#463054,10.); #147509=VECTOR('',#463057,1.); #147510=VECTOR('',#463058,10.); #147511=VECTOR('',#463061,10.); #147512=VECTOR('',#463064,1.); #147513=VECTOR('',#463065,10.); #147514=VECTOR('',#463066,10.); #147515=VECTOR('',#463071,10.); #147516=VECTOR('',#463072,10.); #147517=VECTOR('',#463075,1.); #147518=VECTOR('',#463078,10.); #147519=VECTOR('',#463079,10.); #147520=VECTOR('',#463080,10.); #147521=VECTOR('',#463083,10.); #147522=VECTOR('',#463084,10.); #147523=VECTOR('',#463089,10.); #147524=VECTOR('',#463092,10.); #147525=VECTOR('',#463095,10.); #147526=VECTOR('',#463098,1.); #147527=VECTOR('',#463101,10.); #147528=VECTOR('',#463102,10.); #147529=VECTOR('',#463105,10.); #147530=VECTOR('',#463108,10.); #147531=VECTOR('',#463115,10.); #147532=VECTOR('',#463116,10.); #147533=VECTOR('',#463117,1.); #147534=VECTOR('',#463118,10.); #147535=VECTOR('',#463121,10.); #147536=VECTOR('',#463122,1.); #147537=VECTOR('',#463123,1.); #147538=VECTOR('',#463124,10.); #147539=VECTOR('',#463129,1.); #147540=VECTOR('',#463138,1.); #147541=VECTOR('',#463141,1.); #147542=VECTOR('',#463144,10.); #147543=VECTOR('',#463145,10.); #147544=VECTOR('',#463148,10.); #147545=VECTOR('',#463151,1.); #147546=VECTOR('',#463152,10.); #147547=VECTOR('',#463155,10.); #147548=VECTOR('',#463158,1.); #147549=VECTOR('',#463159,10.); #147550=VECTOR('',#463160,10.); #147551=VECTOR('',#463163,10.); #147552=VECTOR('',#463164,1.); #147553=VECTOR('',#463165,10.); #147554=VECTOR('',#463168,10.); #147555=VECTOR('',#463169,1.); #147556=VECTOR('',#463170,10.); #147557=VECTOR('',#463173,10.); #147558=VECTOR('',#463174,10.); #147559=VECTOR('',#463179,10.); #147560=VECTOR('',#463182,10.); #147561=VECTOR('',#463183,10.); #147562=VECTOR('',#463192,10.); #147563=VECTOR('',#463195,10.); #147564=VECTOR('',#463196,10.); #147565=VECTOR('',#463199,1.); #147566=VECTOR('',#463206,10.); #147567=VECTOR('',#463209,10.); #147568=VECTOR('',#463210,1.); #147569=VECTOR('',#463213,10.); #147570=VECTOR('',#463214,10.); #147571=VECTOR('',#463217,10.); #147572=VECTOR('',#463220,10.); #147573=VECTOR('',#463221,10.); #147574=VECTOR('',#463224,1.); #147575=VECTOR('',#463227,10.); #147576=VECTOR('',#463228,1.); #147577=VECTOR('',#463229,10.); #147578=VECTOR('',#463232,10.); #147579=VECTOR('',#463233,1.); #147580=VECTOR('',#463236,10.); #147581=VECTOR('',#463237,10.); #147582=VECTOR('',#463240,10.); #147583=VECTOR('',#463243,1.); #147584=VECTOR('',#463244,10.); #147585=VECTOR('',#463249,10.); #147586=VECTOR('',#463250,10.); #147587=VECTOR('',#463253,10.); #147588=VECTOR('',#463254,1.); #147589=VECTOR('',#463257,10.); #147590=VECTOR('',#463258,1.); #147591=VECTOR('',#463261,10.); #147592=VECTOR('',#463266,10.); #147593=VECTOR('',#463271,10.); #147594=VECTOR('',#463274,10.); #147595=VECTOR('',#463279,1.); #147596=VECTOR('',#463282,1.); #147597=VECTOR('',#463285,10.); #147598=VECTOR('',#463286,10.); #147599=VECTOR('',#463289,1.); #147600=VECTOR('',#463290,10.); #147601=VECTOR('',#463291,10.); #147602=VECTOR('',#463292,1.); #147603=VECTOR('',#463293,1.); #147604=VECTOR('',#463294,10.); #147605=VECTOR('',#463295,10.); #147606=VECTOR('',#463296,10.); #147607=VECTOR('',#463299,1.); #147608=VECTOR('',#463300,10.); #147609=VECTOR('',#463301,10.); #147610=VECTOR('',#463304,1.); #147611=VECTOR('',#463309,10.); #147612=VECTOR('',#463312,10.); #147613=VECTOR('',#463315,1.); #147614=VECTOR('',#463316,10.); #147615=VECTOR('',#463321,10.); #147616=VECTOR('',#463324,1.); #147617=VECTOR('',#463327,10.); #147618=VECTOR('',#463330,10.); #147619=VECTOR('',#463331,1.); #147620=VECTOR('',#463332,10.); #147621=VECTOR('',#463333,10.); #147622=VECTOR('',#463334,1.); #147623=VECTOR('',#463335,1.); #147624=VECTOR('',#463336,10.); #147625=VECTOR('',#463337,10.); #147626=VECTOR('',#463338,10.); #147627=VECTOR('',#463349,10.); #147628=VECTOR('',#463350,10.); #147629=VECTOR('',#463351,10.); #147630=VECTOR('',#463352,10.); #147631=VECTOR('',#463353,10.); #147632=VECTOR('',#463354,10.); #147633=VECTOR('',#463357,10.); #147634=VECTOR('',#463358,10.); #147635=VECTOR('',#463359,10.); #147636=VECTOR('',#463362,10.); #147637=VECTOR('',#463363,10.); #147638=VECTOR('',#463364,10.); #147639=VECTOR('',#463367,10.); #147640=VECTOR('',#463368,10.); #147641=VECTOR('',#463371,10.); #147642=VECTOR('',#463372,10.); #147643=VECTOR('',#463373,10.); #147644=VECTOR('',#463378,1.); #147645=VECTOR('',#463379,1.); #147646=VECTOR('',#463380,1.); #147647=VECTOR('',#463381,10.); #147648=VECTOR('',#463382,1.); #147649=VECTOR('',#463383,10.); #147650=VECTOR('',#463384,1.); #147651=VECTOR('',#463385,1.); #147652=VECTOR('',#463386,1.); #147653=VECTOR('',#463391,10.); #147654=VECTOR('',#463392,10.); #147655=VECTOR('',#463393,1.); #147656=VECTOR('',#463394,1.); #147657=VECTOR('',#463397,10.); #147658=VECTOR('',#463398,10.); #147659=VECTOR('',#463399,1.); #147660=VECTOR('',#463402,10.); #147661=VECTOR('',#463403,10.); #147662=VECTOR('',#463404,1.); #147663=VECTOR('',#463405,1.); #147664=VECTOR('',#463408,10.); #147665=VECTOR('',#463409,10.); #147666=VECTOR('',#463410,1.); #147667=VECTOR('',#463411,10.); #147668=VECTOR('',#463414,10.); #147669=VECTOR('',#463415,1.); #147670=VECTOR('',#463416,1.); #147671=VECTOR('',#463419,10.); #147672=VECTOR('',#463420,1.); #147673=VECTOR('',#463421,10.); #147674=VECTOR('',#463422,1.); #147675=VECTOR('',#463427,10.); #147676=VECTOR('',#463432,10.); #147677=VECTOR('',#463433,1.); #147678=VECTOR('',#463434,1.); #147679=VECTOR('',#463439,1.); #147680=VECTOR('',#463440,10.); #147681=VECTOR('',#463443,1.); #147682=VECTOR('',#463444,10.); #147683=VECTOR('',#463447,10.); #147684=VECTOR('',#463448,10.); #147685=VECTOR('',#463449,1.); #147686=VECTOR('',#463452,1.); #147687=VECTOR('',#463453,10.); #147688=VECTOR('',#463456,10.); #147689=VECTOR('',#463457,10.); #147690=VECTOR('',#463464,10.); #147691=VECTOR('',#463465,1.); #147692=VECTOR('',#463466,10.); #147693=VECTOR('',#463467,1.); #147694=VECTOR('',#463472,10.); #147695=VECTOR('',#463477,10.); #147696=VECTOR('',#463478,1.); #147697=VECTOR('',#463479,1.); #147698=VECTOR('',#463482,10.); #147699=VECTOR('',#463483,10.); #147700=VECTOR('',#463484,10.); #147701=VECTOR('',#463485,10.); #147702=VECTOR('',#463488,1.); #147703=VECTOR('',#463489,1.); #147704=VECTOR('',#463492,10.); #147705=VECTOR('',#463493,1.); #147706=VECTOR('',#463494,10.); #147707=VECTOR('',#463495,1.); #147708=VECTOR('',#463504,10.); #147709=VECTOR('',#463505,10.); #147710=VECTOR('',#463506,10.); #147711=VECTOR('',#463511,1.); #147712=VECTOR('',#463514,1.); #147713=VECTOR('',#463517,10.); #147714=VECTOR('',#463518,1.); #147715=VECTOR('',#463521,1.); #147716=VECTOR('',#463524,1.); #147717=VECTOR('',#463525,1.); #147718=VECTOR('',#463526,10.); #147719=VECTOR('',#463527,10.); #147720=VECTOR('',#463528,1.); #147721=VECTOR('',#463529,1.); #147722=VECTOR('',#463530,1.); #147723=VECTOR('',#463533,10.); #147724=VECTOR('',#463534,1.); #147725=VECTOR('',#463535,1.); #147726=VECTOR('',#463538,10.); #147727=VECTOR('',#463539,1.); #147728=VECTOR('',#463540,1.); #147729=VECTOR('',#463545,1.); #147730=VECTOR('',#463548,10.); #147731=VECTOR('',#463549,1.); #147732=VECTOR('',#463550,1.); #147733=VECTOR('',#463551,10.); #147734=VECTOR('',#463554,10.); #147735=VECTOR('',#463555,1.); #147736=VECTOR('',#463560,10.); #147737=VECTOR('',#463561,1.); #147738=VECTOR('',#463562,1.); #147739=VECTOR('',#463563,1.); #147740=VECTOR('',#463564,10.); #147741=VECTOR('',#463567,10.); #147742=VECTOR('',#463570,10.); #147743=VECTOR('',#463573,10.); #147744=VECTOR('',#463574,1.); #147745=VECTOR('',#463575,1.); #147746=VECTOR('',#463576,10.); #147747=VECTOR('',#463583,10.); #147748=VECTOR('',#463586,10.); #147749=VECTOR('',#463587,1.); #147750=VECTOR('',#463588,10.); #147751=VECTOR('',#463595,10.); #147752=VECTOR('',#463598,10.); #147753=VECTOR('',#463599,1.); #147754=VECTOR('',#463600,1.); #147755=VECTOR('',#463603,10.); #147756=VECTOR('',#463604,1.); #147757=VECTOR('',#463605,1.); #147758=VECTOR('',#463608,10.); #147759=VECTOR('',#463609,1.); #147760=VECTOR('',#463612,10.); #147761=VECTOR('',#463613,1.); #147762=VECTOR('',#463614,1.); #147763=VECTOR('',#463617,10.); #147764=VECTOR('',#463618,1.); #147765=VECTOR('',#463621,10.); #147766=VECTOR('',#463622,1.); #147767=VECTOR('',#463627,10.); #147768=VECTOR('',#463630,10.); #147769=VECTOR('',#463631,10.); #147770=VECTOR('',#463638,10.); #147771=VECTOR('',#463639,1.); #147772=VECTOR('',#463642,1.); #147773=VECTOR('',#463647,10.); #147774=VECTOR('',#463648,10.); #147775=VECTOR('',#463651,10.); #147776=VECTOR('',#463652,10.); #147777=VECTOR('',#463655,10.); #147778=VECTOR('',#463656,10.); #147779=VECTOR('',#463657,10.); #147780=VECTOR('',#463658,10.); #147781=VECTOR('',#463659,10.); #147782=VECTOR('',#463660,10.); #147783=VECTOR('',#463673,10.); #147784=VECTOR('',#463678,10.); #147785=VECTOR('',#463681,10.); #147786=VECTOR('',#463684,10.); #147787=VECTOR('',#463687,10.); #147788=VECTOR('',#463690,10.); #147789=VECTOR('',#463693,10.); #147790=VECTOR('',#463694,10.); #147791=VECTOR('',#463695,10.); #147792=VECTOR('',#463696,10.); #147793=VECTOR('',#463705,10.); #147794=VECTOR('',#463706,10.); #147795=VECTOR('',#463715,10.); #147796=VECTOR('',#463716,10.); #147797=VECTOR('',#463717,1.); #147798=VECTOR('',#463720,1.); #147799=VECTOR('',#463727,10.); #147800=VECTOR('',#463728,10.); #147801=VECTOR('',#463731,10.); #147802=VECTOR('',#463732,10.); #147803=VECTOR('',#463733,1.); #147804=VECTOR('',#463734,10.); #147805=VECTOR('',#463743,10.); #147806=VECTOR('',#463744,1.); #147807=VECTOR('',#463745,10.); #147808=VECTOR('',#463746,10.); #147809=VECTOR('',#463747,1.); #147810=VECTOR('',#463748,10.); #147811=VECTOR('',#463749,10.); #147812=VECTOR('',#463750,10.); #147813=VECTOR('',#463751,10.); #147814=VECTOR('',#463754,10.); #147815=VECTOR('',#463757,1.); #147816=VECTOR('',#463760,10.); #147817=VECTOR('',#463761,1.); #147818=VECTOR('',#463762,10.); #147819=VECTOR('',#463765,1.); #147820=VECTOR('',#463768,10.); #147821=VECTOR('',#463769,10.); #147822=VECTOR('',#463770,10.); #147823=VECTOR('',#463771,10.); #147824=VECTOR('',#463772,1.); #147825=VECTOR('',#463773,1.); #147826=VECTOR('',#463774,10.); #147827=VECTOR('',#463775,10.); #147828=VECTOR('',#463778,10.); #147829=VECTOR('',#463781,10.); #147830=VECTOR('',#463784,10.); #147831=VECTOR('',#463785,1.); #147832=VECTOR('',#463786,10.); #147833=VECTOR('',#463789,1.); #147834=VECTOR('',#463792,10.); #147835=VECTOR('',#463795,10.); #147836=VECTOR('',#463798,10.); #147837=VECTOR('',#463805,10.); #147838=VECTOR('',#463808,10.); #147839=VECTOR('',#463811,10.); #147840=VECTOR('',#463814,10.); #147841=VECTOR('',#463817,10.); #147842=VECTOR('',#463820,10.); #147843=VECTOR('',#463823,10.); #147844=VECTOR('',#463826,10.); #147845=VECTOR('',#463829,1.); #147846=VECTOR('',#463832,10.); #147847=VECTOR('',#463833,1.); #147848=VECTOR('',#463834,1.); #147849=VECTOR('',#463835,1.); #147850=VECTOR('',#463838,10.); #147851=VECTOR('',#463839,1.); #147852=VECTOR('',#463840,1.); #147853=VECTOR('',#463841,1.); #147854=VECTOR('',#463848,1.); #147855=VECTOR('',#463853,1.); #147856=VECTOR('',#463858,1.); #147857=VECTOR('',#463863,10.); #147858=VECTOR('',#463868,10.); #147859=VECTOR('',#463875,10.); #147860=VECTOR('',#463880,10.); #147861=VECTOR('',#463883,10.); #147862=VECTOR('',#463888,10.); #147863=VECTOR('',#463891,10.); #147864=VECTOR('',#463892,1.); #147865=VECTOR('',#463895,10.); #147866=VECTOR('',#463896,1.); #147867=VECTOR('',#463897,1.); #147868=VECTOR('',#463898,1.); #147869=VECTOR('',#463901,10.); #147870=VECTOR('',#463902,1.); #147871=VECTOR('',#463903,1.); #147872=VECTOR('',#463904,1.); #147873=VECTOR('',#463911,1.); #147874=VECTOR('',#463916,1.); #147875=VECTOR('',#463923,1.); #147876=VECTOR('',#463930,10.); #147877=VECTOR('',#463937,10.); #147878=VECTOR('',#463942,10.); #147879=VECTOR('',#463945,10.); #147880=VECTOR('',#463954,1.); #147881=VECTOR('',#463959,1.); #147882=VECTOR('',#463962,10.); #147883=VECTOR('',#463963,10.); #147884=VECTOR('',#463968,10.); #147885=VECTOR('',#463969,10.); #147886=VECTOR('',#463970,10.); #147887=VECTOR('',#463977,10.); #147888=VECTOR('',#463982,10.); #147889=VECTOR('',#463983,10.); #147890=VECTOR('',#463984,10.); #147891=VECTOR('',#463987,1.); #147892=VECTOR('',#463988,10.); #147893=VECTOR('',#463991,10.); #147894=VECTOR('',#463992,10.); #147895=VECTOR('',#463995,10.); #147896=VECTOR('',#463996,10.); #147897=VECTOR('',#464001,10.); #147898=VECTOR('',#464002,10.); #147899=VECTOR('',#464009,10.); #147900=VECTOR('',#464012,10.); #147901=VECTOR('',#464013,10.); #147902=VECTOR('',#464014,1.); #147903=VECTOR('',#464019,10.); #147904=VECTOR('',#464022,10.); #147905=VECTOR('',#464025,10.); #147906=VECTOR('',#464026,1.); #147907=VECTOR('',#464027,10.); #147908=VECTOR('',#464030,10.); #147909=VECTOR('',#464031,10.); #147910=VECTOR('',#464032,10.); #147911=VECTOR('',#464035,10.); #147912=VECTOR('',#464038,10.); #147913=VECTOR('',#464039,10.); #147914=VECTOR('',#464044,10.); #147915=VECTOR('',#464049,10.); #147916=VECTOR('',#464050,10.); #147917=VECTOR('',#464053,10.); #147918=VECTOR('',#464054,10.); #147919=VECTOR('',#464055,10.); #147920=VECTOR('',#464056,10.); #147921=VECTOR('',#464057,1.); #147922=VECTOR('',#464062,10.); #147923=VECTOR('',#464063,10.); #147924=VECTOR('',#464068,10.); #147925=VECTOR('',#464073,1.); #147926=VECTOR('',#464078,10.); #147927=VECTOR('',#464081,1.); #147928=VECTOR('',#464084,1.); #147929=VECTOR('',#464089,10.); #147930=VECTOR('',#464094,1.); #147931=VECTOR('',#464097,1.); #147932=VECTOR('',#464100,10.); #147933=VECTOR('',#464103,1.); #147934=VECTOR('',#464106,1.); #147935=VECTOR('',#464107,1.); #147936=VECTOR('',#464110,1.); #147937=VECTOR('',#464121,1.); #147938=VECTOR('',#464124,1.); #147939=VECTOR('',#464129,1.); #147940=VECTOR('',#464132,1.); #147941=VECTOR('',#464135,0.999999999999929); #147942=VECTOR('',#464138,1.); #147943=VECTOR('',#464143,0.999999999999929); #147944=VECTOR('',#464146,1.); #147945=VECTOR('',#464151,1.); #147946=VECTOR('',#464160,1.); #147947=VECTOR('',#464165,1.); #147948=VECTOR('',#464166,1.); #147949=VECTOR('',#464167,1.); #147950=VECTOR('',#464168,1.); #147951=VECTOR('',#464171,1.); #147952=VECTOR('',#464174,0.999999999999929); #147953=VECTOR('',#464175,1.); #147954=VECTOR('',#464176,0.999999999999929); #147955=VECTOR('',#464181,1.); #147956=VECTOR('',#464186,0.999999999999786); #147957=VECTOR('',#464187,0.999999999999786); #147958=VECTOR('',#464190,1.); #147959=VECTOR('',#464193,1.); #147960=VECTOR('',#464196,1.); #147961=VECTOR('',#464199,1.); #147962=VECTOR('',#464202,1.); #147963=VECTOR('',#464205,1.); #147964=VECTOR('',#464206,0.999999999999929); #147965=VECTOR('',#464207,0.999999999999929); #147966=VECTOR('',#464210,1.); #147967=VECTOR('',#464217,0.999999999999786); #147968=VECTOR('',#464218,0.999999999999786); #147969=VECTOR('',#464221,0.999999999999929); #147970=VECTOR('',#464224,0.999999999999786); #147971=VECTOR('',#464227,0.999999999999786); #147972=VECTOR('',#464232,0.999999999999929); #147973=VECTOR('',#464235,0.999999999999786); #147974=VECTOR('',#464238,0.999999999999786); #147975=VECTOR('',#464245,1.); #147976=VECTOR('',#464248,1.); #147977=VECTOR('',#464253,1.); #147978=VECTOR('',#464256,1.); #147979=VECTOR('',#464259,0.999999999999929); #147980=VECTOR('',#464262,1.); #147981=VECTOR('',#464267,0.999999999999929); #147982=VECTOR('',#464270,1.); #147983=VECTOR('',#464277,1.); #147984=VECTOR('',#464284,1.); #147985=VECTOR('',#464289,1.); #147986=VECTOR('',#464290,1.); #147987=VECTOR('',#464291,1.); #147988=VECTOR('',#464292,1.); #147989=VECTOR('',#464295,1.); #147990=VECTOR('',#464298,1.); #147991=VECTOR('',#464299,0.999999999999929); #147992=VECTOR('',#464300,0.999999999999929); #147993=VECTOR('',#464303,0.999999999999786); #147994=VECTOR('',#464304,1.); #147995=VECTOR('',#464305,0.999999999999786); #147996=VECTOR('',#464308,1.); #147997=VECTOR('',#464311,1.); #147998=VECTOR('',#464314,1.); #147999=VECTOR('',#464317,1.); #148000=VECTOR('',#464320,1.); #148001=VECTOR('',#464323,0.999999999999929); #148002=VECTOR('',#464324,1.); #148003=VECTOR('',#464325,0.999999999999929); #148004=VECTOR('',#464328,1.); #148005=VECTOR('',#464329,0.999999999999786); #148006=VECTOR('',#464330,0.999999999999786); #148007=VECTOR('',#464333,0.999999999999929); #148008=VECTOR('',#464336,0.999999999999786); #148009=VECTOR('',#464343,0.999999999999786); #148010=VECTOR('',#464348,0.999999999999929); #148011=VECTOR('',#464351,0.999999999999786); #148012=VECTOR('',#464354,0.999999999999786); #148013=VECTOR('',#464375,0.15); #148014=VECTOR('',#464382,10.); #148015=VECTOR('',#464383,10.); #148016=VECTOR('',#464384,10.); #148017=VECTOR('',#464385,10.); #148018=VECTOR('',#464388,10.); #148019=VECTOR('',#464389,10.); #148020=VECTOR('',#464390,10.); #148021=VECTOR('',#464393,10.); #148022=VECTOR('',#464394,10.); #148023=VECTOR('',#464395,10.); #148024=VECTOR('',#464398,10.); #148025=VECTOR('',#464399,10.); #148026=VECTOR('',#464402,10.); #148027=VECTOR('',#464403,10.); #148028=VECTOR('',#464404,10.); #148029=VECTOR('',#464407,10.); #148030=VECTOR('',#464408,10.); #148031=VECTOR('',#464413,10.); #148032=VECTOR('',#464414,10.); #148033=VECTOR('',#464417,10.); #148034=VECTOR('',#464424,10.); #148035=VECTOR('',#464425,10.); #148036=VECTOR('',#464426,10.); #148037=VECTOR('',#464429,10.); #148038=VECTOR('',#464432,10.); #148039=VECTOR('',#464435,10.); #148040=VECTOR('',#464436,10.); #148041=VECTOR('',#464437,10.); #148042=VECTOR('',#464438,10.); #148043=VECTOR('',#464441,10.); #148044=VECTOR('',#464442,10.); #148045=VECTOR('',#464445,10.); #148046=VECTOR('',#464446,10.); #148047=VECTOR('',#464447,10.); #148048=VECTOR('',#464450,10.); #148049=VECTOR('',#464451,10.); #148050=VECTOR('',#464460,10.); #148051=VECTOR('',#464461,10.); #148052=VECTOR('',#464462,10.); #148053=VECTOR('',#464465,10.); #148054=VECTOR('',#464468,10.); #148055=VECTOR('',#464471,10.); #148056=VECTOR('',#464472,10.); #148057=VECTOR('',#464473,10.); #148058=VECTOR('',#464474,10.); #148059=VECTOR('',#464477,10.); #148060=VECTOR('',#464478,10.); #148061=VECTOR('',#464481,10.); #148062=VECTOR('',#464482,10.); #148063=VECTOR('',#464483,10.); #148064=VECTOR('',#464486,10.); #148065=VECTOR('',#464487,10.); #148066=VECTOR('',#464496,10.); #148067=VECTOR('',#464497,10.); #148068=VECTOR('',#464498,10.); #148069=VECTOR('',#464501,10.); #148070=VECTOR('',#464504,10.); #148071=VECTOR('',#464507,10.); #148072=VECTOR('',#464508,10.); #148073=VECTOR('',#464509,10.); #148074=VECTOR('',#464510,10.); #148075=VECTOR('',#464513,10.); #148076=VECTOR('',#464514,10.); #148077=VECTOR('',#464517,10.); #148078=VECTOR('',#464518,10.); #148079=VECTOR('',#464519,10.); #148080=VECTOR('',#464522,10.); #148081=VECTOR('',#464523,10.); #148082=VECTOR('',#464532,10.); #148083=VECTOR('',#464533,10.); #148084=VECTOR('',#464534,10.); #148085=VECTOR('',#464537,10.); #148086=VECTOR('',#464540,10.); #148087=VECTOR('',#464543,10.); #148088=VECTOR('',#464544,10.); #148089=VECTOR('',#464545,10.); #148090=VECTOR('',#464546,10.); #148091=VECTOR('',#464549,10.); #148092=VECTOR('',#464550,10.); #148093=VECTOR('',#464553,10.); #148094=VECTOR('',#464554,10.); #148095=VECTOR('',#464555,10.); #148096=VECTOR('',#464558,10.); #148097=VECTOR('',#464559,10.); #148098=VECTOR('',#464568,10.); #148099=VECTOR('',#464569,10.); #148100=VECTOR('',#464570,10.); #148101=VECTOR('',#464573,10.); #148102=VECTOR('',#464576,10.); #148103=VECTOR('',#464579,10.); #148104=VECTOR('',#464580,10.); #148105=VECTOR('',#464581,10.); #148106=VECTOR('',#464582,10.); #148107=VECTOR('',#464585,10.); #148108=VECTOR('',#464586,10.); #148109=VECTOR('',#464589,10.); #148110=VECTOR('',#464590,10.); #148111=VECTOR('',#464591,10.); #148112=VECTOR('',#464594,10.); #148113=VECTOR('',#464595,10.); #148114=VECTOR('',#464604,10.); #148115=VECTOR('',#464605,10.); #148116=VECTOR('',#464606,10.); #148117=VECTOR('',#464609,10.); #148118=VECTOR('',#464612,10.); #148119=VECTOR('',#464615,10.); #148120=VECTOR('',#464616,10.); #148121=VECTOR('',#464617,10.); #148122=VECTOR('',#464618,10.); #148123=VECTOR('',#464621,10.); #148124=VECTOR('',#464622,10.); #148125=VECTOR('',#464625,10.); #148126=VECTOR('',#464626,10.); #148127=VECTOR('',#464627,10.); #148128=VECTOR('',#464630,10.); #148129=VECTOR('',#464631,10.); #148130=VECTOR('',#464640,10.); #148131=VECTOR('',#464641,10.); #148132=VECTOR('',#464642,10.); #148133=VECTOR('',#464645,10.); #148134=VECTOR('',#464648,10.); #148135=VECTOR('',#464651,10.); #148136=VECTOR('',#464652,10.); #148137=VECTOR('',#464653,10.); #148138=VECTOR('',#464654,10.); #148139=VECTOR('',#464657,10.); #148140=VECTOR('',#464658,10.); #148141=VECTOR('',#464661,10.); #148142=VECTOR('',#464662,10.); #148143=VECTOR('',#464663,10.); #148144=VECTOR('',#464666,10.); #148145=VECTOR('',#464667,10.); #148146=VECTOR('',#464676,10.); #148147=VECTOR('',#464677,10.); #148148=VECTOR('',#464678,10.); #148149=VECTOR('',#464681,10.); #148150=VECTOR('',#464684,10.); #148151=VECTOR('',#464687,10.); #148152=VECTOR('',#464688,10.); #148153=VECTOR('',#464689,10.); #148154=VECTOR('',#464690,10.); #148155=VECTOR('',#464693,10.); #148156=VECTOR('',#464694,10.); #148157=VECTOR('',#464697,10.); #148158=VECTOR('',#464698,10.); #148159=VECTOR('',#464699,10.); #148160=VECTOR('',#464702,10.); #148161=VECTOR('',#464703,10.); #148162=VECTOR('',#464712,10.); #148163=VECTOR('',#464713,10.); #148164=VECTOR('',#464714,10.); #148165=VECTOR('',#464717,10.); #148166=VECTOR('',#464720,10.); #148167=VECTOR('',#464723,10.); #148168=VECTOR('',#464724,10.); #148169=VECTOR('',#464725,10.); #148170=VECTOR('',#464726,10.); #148171=VECTOR('',#464729,10.); #148172=VECTOR('',#464730,10.); #148173=VECTOR('',#464733,10.); #148174=VECTOR('',#464734,10.); #148175=VECTOR('',#464735,10.); #148176=VECTOR('',#464738,10.); #148177=VECTOR('',#464739,10.); #148178=VECTOR('',#464748,10.); #148179=VECTOR('',#464749,10.); #148180=VECTOR('',#464750,10.); #148181=VECTOR('',#464753,10.); #148182=VECTOR('',#464756,10.); #148183=VECTOR('',#464759,10.); #148184=VECTOR('',#464760,10.); #148185=VECTOR('',#464761,10.); #148186=VECTOR('',#464762,10.); #148187=VECTOR('',#464765,10.); #148188=VECTOR('',#464766,10.); #148189=VECTOR('',#464769,10.); #148190=VECTOR('',#464770,10.); #148191=VECTOR('',#464771,10.); #148192=VECTOR('',#464774,10.); #148193=VECTOR('',#464775,10.); #148194=VECTOR('',#464784,10.); #148195=VECTOR('',#464785,10.); #148196=VECTOR('',#464786,10.); #148197=VECTOR('',#464789,10.); #148198=VECTOR('',#464792,10.); #148199=VECTOR('',#464795,10.); #148200=VECTOR('',#464796,10.); #148201=VECTOR('',#464797,10.); #148202=VECTOR('',#464798,10.); #148203=VECTOR('',#464801,10.); #148204=VECTOR('',#464802,10.); #148205=VECTOR('',#464805,10.); #148206=VECTOR('',#464806,10.); #148207=VECTOR('',#464807,10.); #148208=VECTOR('',#464810,10.); #148209=VECTOR('',#464811,10.); #148210=VECTOR('',#464820,10.); #148211=VECTOR('',#464821,10.); #148212=VECTOR('',#464822,10.); #148213=VECTOR('',#464825,10.); #148214=VECTOR('',#464828,10.); #148215=VECTOR('',#464831,10.); #148216=VECTOR('',#464832,10.); #148217=VECTOR('',#464833,10.); #148218=VECTOR('',#464834,10.); #148219=VECTOR('',#464837,10.); #148220=VECTOR('',#464838,10.); #148221=VECTOR('',#464841,10.); #148222=VECTOR('',#464842,10.); #148223=VECTOR('',#464843,10.); #148224=VECTOR('',#464846,10.); #148225=VECTOR('',#464847,10.); #148226=VECTOR('',#464856,10.); #148227=VECTOR('',#464857,10.); #148228=VECTOR('',#464858,10.); #148229=VECTOR('',#464861,10.); #148230=VECTOR('',#464864,10.); #148231=VECTOR('',#464867,10.); #148232=VECTOR('',#464868,10.); #148233=VECTOR('',#464869,10.); #148234=VECTOR('',#464870,10.); #148235=VECTOR('',#464873,10.); #148236=VECTOR('',#464874,10.); #148237=VECTOR('',#464877,10.); #148238=VECTOR('',#464878,10.); #148239=VECTOR('',#464879,10.); #148240=VECTOR('',#464882,10.); #148241=VECTOR('',#464883,10.); #148242=VECTOR('',#464892,10.); #148243=VECTOR('',#464893,10.); #148244=VECTOR('',#464894,10.); #148245=VECTOR('',#464897,10.); #148246=VECTOR('',#464900,10.); #148247=VECTOR('',#464903,10.); #148248=VECTOR('',#464904,10.); #148249=VECTOR('',#464905,10.); #148250=VECTOR('',#464906,10.); #148251=VECTOR('',#464909,10.); #148252=VECTOR('',#464910,10.); #148253=VECTOR('',#464913,10.); #148254=VECTOR('',#464914,10.); #148255=VECTOR('',#464915,10.); #148256=VECTOR('',#464918,10.); #148257=VECTOR('',#464919,10.); #148258=VECTOR('',#464928,10.); #148259=VECTOR('',#464929,10.); #148260=VECTOR('',#464930,10.); #148261=VECTOR('',#464933,10.); #148262=VECTOR('',#464936,10.); #148263=VECTOR('',#464939,10.); #148264=VECTOR('',#464940,10.); #148265=VECTOR('',#464941,10.); #148266=VECTOR('',#464942,10.); #148267=VECTOR('',#464945,10.); #148268=VECTOR('',#464946,10.); #148269=VECTOR('',#464949,10.); #148270=VECTOR('',#464950,10.); #148271=VECTOR('',#464951,10.); #148272=VECTOR('',#464954,10.); #148273=VECTOR('',#464955,10.); #148274=VECTOR('',#464964,10.); #148275=VECTOR('',#464965,10.); #148276=VECTOR('',#464966,10.); #148277=VECTOR('',#464969,10.); #148278=VECTOR('',#464972,10.); #148279=VECTOR('',#464975,10.); #148280=VECTOR('',#464976,10.); #148281=VECTOR('',#464977,10.); #148282=VECTOR('',#464978,10.); #148283=VECTOR('',#464981,10.); #148284=VECTOR('',#464982,10.); #148285=VECTOR('',#464985,10.); #148286=VECTOR('',#464986,10.); #148287=VECTOR('',#464987,10.); #148288=VECTOR('',#464990,10.); #148289=VECTOR('',#464991,10.); #148290=VECTOR('',#465000,10.); #148291=VECTOR('',#465001,10.); #148292=VECTOR('',#465002,10.); #148293=VECTOR('',#465005,10.); #148294=VECTOR('',#465008,10.); #148295=VECTOR('',#465011,10.); #148296=VECTOR('',#465012,10.); #148297=VECTOR('',#465013,10.); #148298=VECTOR('',#465014,10.); #148299=VECTOR('',#465017,10.); #148300=VECTOR('',#465018,10.); #148301=VECTOR('',#465021,10.); #148302=VECTOR('',#465022,10.); #148303=VECTOR('',#465023,10.); #148304=VECTOR('',#465026,10.); #148305=VECTOR('',#465027,10.); #148306=VECTOR('',#465036,10.); #148307=VECTOR('',#465037,10.); #148308=VECTOR('',#465038,10.); #148309=VECTOR('',#465041,10.); #148310=VECTOR('',#465044,10.); #148311=VECTOR('',#465047,10.); #148312=VECTOR('',#465048,10.); #148313=VECTOR('',#465049,10.); #148314=VECTOR('',#465050,10.); #148315=VECTOR('',#465053,10.); #148316=VECTOR('',#465054,10.); #148317=VECTOR('',#465057,10.); #148318=VECTOR('',#465058,10.); #148319=VECTOR('',#465059,10.); #148320=VECTOR('',#465062,10.); #148321=VECTOR('',#465063,10.); #148322=VECTOR('',#465072,10.); #148323=VECTOR('',#465073,10.); #148324=VECTOR('',#465074,10.); #148325=VECTOR('',#465077,10.); #148326=VECTOR('',#465080,10.); #148327=VECTOR('',#465083,10.); #148328=VECTOR('',#465084,10.); #148329=VECTOR('',#465085,10.); #148330=VECTOR('',#465086,10.); #148331=VECTOR('',#465089,10.); #148332=VECTOR('',#465090,10.); #148333=VECTOR('',#465093,10.); #148334=VECTOR('',#465094,10.); #148335=VECTOR('',#465095,10.); #148336=VECTOR('',#465098,10.); #148337=VECTOR('',#465099,10.); #148338=VECTOR('',#465108,10.); #148339=VECTOR('',#465109,10.); #148340=VECTOR('',#465110,10.); #148341=VECTOR('',#465113,10.); #148342=VECTOR('',#465116,10.); #148343=VECTOR('',#465119,10.); #148344=VECTOR('',#465120,10.); #148345=VECTOR('',#465121,10.); #148346=VECTOR('',#465122,10.); #148347=VECTOR('',#465125,10.); #148348=VECTOR('',#465126,10.); #148349=VECTOR('',#465129,10.); #148350=VECTOR('',#465130,10.); #148351=VECTOR('',#465131,10.); #148352=VECTOR('',#465134,10.); #148353=VECTOR('',#465135,10.); #148354=VECTOR('',#465144,10.); #148355=VECTOR('',#465145,10.); #148356=VECTOR('',#465146,10.); #148357=VECTOR('',#465149,10.); #148358=VECTOR('',#465152,10.); #148359=VECTOR('',#465155,10.); #148360=VECTOR('',#465156,10.); #148361=VECTOR('',#465157,10.); #148362=VECTOR('',#465158,10.); #148363=VECTOR('',#465161,10.); #148364=VECTOR('',#465162,10.); #148365=VECTOR('',#465165,10.); #148366=VECTOR('',#465166,10.); #148367=VECTOR('',#465167,10.); #148368=VECTOR('',#465170,10.); #148369=VECTOR('',#465171,10.); #148370=VECTOR('',#465180,10.); #148371=VECTOR('',#465181,10.); #148372=VECTOR('',#465182,10.); #148373=VECTOR('',#465185,10.); #148374=VECTOR('',#465188,10.); #148375=VECTOR('',#465191,10.); #148376=VECTOR('',#465192,10.); #148377=VECTOR('',#465193,10.); #148378=VECTOR('',#465194,10.); #148379=VECTOR('',#465197,10.); #148380=VECTOR('',#465198,10.); #148381=VECTOR('',#465201,10.); #148382=VECTOR('',#465202,10.); #148383=VECTOR('',#465203,10.); #148384=VECTOR('',#465206,10.); #148385=VECTOR('',#465207,10.); #148386=VECTOR('',#465216,10.); #148387=VECTOR('',#465217,10.); #148388=VECTOR('',#465218,10.); #148389=VECTOR('',#465221,10.); #148390=VECTOR('',#465224,10.); #148391=VECTOR('',#465227,10.); #148392=VECTOR('',#465228,10.); #148393=VECTOR('',#465229,10.); #148394=VECTOR('',#465230,10.); #148395=VECTOR('',#465233,10.); #148396=VECTOR('',#465234,10.); #148397=VECTOR('',#465237,10.); #148398=VECTOR('',#465238,10.); #148399=VECTOR('',#465239,10.); #148400=VECTOR('',#465242,10.); #148401=VECTOR('',#465243,10.); #148402=VECTOR('',#465252,10.); #148403=VECTOR('',#465253,10.); #148404=VECTOR('',#465254,10.); #148405=VECTOR('',#465257,10.); #148406=VECTOR('',#465260,10.); #148407=VECTOR('',#465263,10.); #148408=VECTOR('',#465264,10.); #148409=VECTOR('',#465265,10.); #148410=VECTOR('',#465266,10.); #148411=VECTOR('',#465269,10.); #148412=VECTOR('',#465270,10.); #148413=VECTOR('',#465273,10.); #148414=VECTOR('',#465274,10.); #148415=VECTOR('',#465275,10.); #148416=VECTOR('',#465278,10.); #148417=VECTOR('',#465279,10.); #148418=VECTOR('',#465288,10.); #148419=VECTOR('',#465289,10.); #148420=VECTOR('',#465290,10.); #148421=VECTOR('',#465295,10.); #148422=VECTOR('',#465296,10.); #148423=VECTOR('',#465299,10.); #148424=VECTOR('',#465300,10.); #148425=VECTOR('',#465301,10.); #148426=VECTOR('',#465304,10.); #148427=VECTOR('',#465305,10.); #148428=VECTOR('',#465306,10.); #148429=VECTOR('',#465309,10.); #148430=VECTOR('',#465310,10.); #148431=VECTOR('',#465311,10.); #148432=VECTOR('',#465314,10.); #148433=VECTOR('',#465315,10.); #148434=VECTOR('',#465324,10.); #148435=VECTOR('',#465325,10.); #148436=VECTOR('',#465326,10.); #148437=VECTOR('',#465331,10.); #148438=VECTOR('',#465332,10.); #148439=VECTOR('',#465335,10.); #148440=VECTOR('',#465336,10.); #148441=VECTOR('',#465337,10.); #148442=VECTOR('',#465340,10.); #148443=VECTOR('',#465341,10.); #148444=VECTOR('',#465342,10.); #148445=VECTOR('',#465345,10.); #148446=VECTOR('',#465346,10.); #148447=VECTOR('',#465347,10.); #148448=VECTOR('',#465350,10.); #148449=VECTOR('',#465351,10.); #148450=VECTOR('',#465360,10.); #148451=VECTOR('',#465361,10.); #148452=VECTOR('',#465362,10.); #148453=VECTOR('',#465367,10.); #148454=VECTOR('',#465368,10.); #148455=VECTOR('',#465371,10.); #148456=VECTOR('',#465372,10.); #148457=VECTOR('',#465373,10.); #148458=VECTOR('',#465376,10.); #148459=VECTOR('',#465377,10.); #148460=VECTOR('',#465378,10.); #148461=VECTOR('',#465381,10.); #148462=VECTOR('',#465382,10.); #148463=VECTOR('',#465383,10.); #148464=VECTOR('',#465386,10.); #148465=VECTOR('',#465387,10.); #148466=VECTOR('',#465396,10.); #148467=VECTOR('',#465397,10.); #148468=VECTOR('',#465398,10.); #148469=VECTOR('',#465403,10.); #148470=VECTOR('',#465404,10.); #148471=VECTOR('',#465407,10.); #148472=VECTOR('',#465408,10.); #148473=VECTOR('',#465409,10.); #148474=VECTOR('',#465412,10.); #148475=VECTOR('',#465413,10.); #148476=VECTOR('',#465414,10.); #148477=VECTOR('',#465417,10.); #148478=VECTOR('',#465418,10.); #148479=VECTOR('',#465419,10.); #148480=VECTOR('',#465422,10.); #148481=VECTOR('',#465423,10.); #148482=VECTOR('',#465432,10.); #148483=VECTOR('',#465433,10.); #148484=VECTOR('',#465434,10.); #148485=VECTOR('',#465439,10.); #148486=VECTOR('',#465440,10.); #148487=VECTOR('',#465443,10.); #148488=VECTOR('',#465444,10.); #148489=VECTOR('',#465445,10.); #148490=VECTOR('',#465448,10.); #148491=VECTOR('',#465449,10.); #148492=VECTOR('',#465450,10.); #148493=VECTOR('',#465453,10.); #148494=VECTOR('',#465454,10.); #148495=VECTOR('',#465455,10.); #148496=VECTOR('',#465458,10.); #148497=VECTOR('',#465459,10.); #148498=VECTOR('',#465468,10.); #148499=VECTOR('',#465469,10.); #148500=VECTOR('',#465470,10.); #148501=VECTOR('',#465475,10.); #148502=VECTOR('',#465476,10.); #148503=VECTOR('',#465479,10.); #148504=VECTOR('',#465480,10.); #148505=VECTOR('',#465481,10.); #148506=VECTOR('',#465484,10.); #148507=VECTOR('',#465485,10.); #148508=VECTOR('',#465486,10.); #148509=VECTOR('',#465489,10.); #148510=VECTOR('',#465490,10.); #148511=VECTOR('',#465491,10.); #148512=VECTOR('',#465494,10.); #148513=VECTOR('',#465495,10.); #148514=VECTOR('',#465504,10.); #148515=VECTOR('',#465505,10.); #148516=VECTOR('',#465506,10.); #148517=VECTOR('',#465511,10.); #148518=VECTOR('',#465512,10.); #148519=VECTOR('',#465515,10.); #148520=VECTOR('',#465516,10.); #148521=VECTOR('',#465517,10.); #148522=VECTOR('',#465520,10.); #148523=VECTOR('',#465521,10.); #148524=VECTOR('',#465522,10.); #148525=VECTOR('',#465525,10.); #148526=VECTOR('',#465526,10.); #148527=VECTOR('',#465527,10.); #148528=VECTOR('',#465530,10.); #148529=VECTOR('',#465531,10.); #148530=VECTOR('',#465540,10.); #148531=VECTOR('',#465541,10.); #148532=VECTOR('',#465542,10.); #148533=VECTOR('',#465547,10.); #148534=VECTOR('',#465548,10.); #148535=VECTOR('',#465551,10.); #148536=VECTOR('',#465552,10.); #148537=VECTOR('',#465553,10.); #148538=VECTOR('',#465556,10.); #148539=VECTOR('',#465557,10.); #148540=VECTOR('',#465558,10.); #148541=VECTOR('',#465561,10.); #148542=VECTOR('',#465562,10.); #148543=VECTOR('',#465563,10.); #148544=VECTOR('',#465566,10.); #148545=VECTOR('',#465567,10.); #148546=VECTOR('',#465576,10.); #148547=VECTOR('',#465577,10.); #148548=VECTOR('',#465578,10.); #148549=VECTOR('',#465583,10.); #148550=VECTOR('',#465584,10.); #148551=VECTOR('',#465587,10.); #148552=VECTOR('',#465588,10.); #148553=VECTOR('',#465589,10.); #148554=VECTOR('',#465592,10.); #148555=VECTOR('',#465593,10.); #148556=VECTOR('',#465594,10.); #148557=VECTOR('',#465597,10.); #148558=VECTOR('',#465598,10.); #148559=VECTOR('',#465599,10.); #148560=VECTOR('',#465602,10.); #148561=VECTOR('',#465603,10.); #148562=VECTOR('',#465612,10.); #148563=VECTOR('',#465613,10.); #148564=VECTOR('',#465614,10.); #148565=VECTOR('',#465619,10.); #148566=VECTOR('',#465620,10.); #148567=VECTOR('',#465623,10.); #148568=VECTOR('',#465624,10.); #148569=VECTOR('',#465625,10.); #148570=VECTOR('',#465628,10.); #148571=VECTOR('',#465629,10.); #148572=VECTOR('',#465630,10.); #148573=VECTOR('',#465633,10.); #148574=VECTOR('',#465634,10.); #148575=VECTOR('',#465635,10.); #148576=VECTOR('',#465638,10.); #148577=VECTOR('',#465639,10.); #148578=VECTOR('',#465648,10.); #148579=VECTOR('',#465649,10.); #148580=VECTOR('',#465650,10.); #148581=VECTOR('',#465655,10.); #148582=VECTOR('',#465656,10.); #148583=VECTOR('',#465659,10.); #148584=VECTOR('',#465660,10.); #148585=VECTOR('',#465661,10.); #148586=VECTOR('',#465664,10.); #148587=VECTOR('',#465665,10.); #148588=VECTOR('',#465666,10.); #148589=VECTOR('',#465669,10.); #148590=VECTOR('',#465670,10.); #148591=VECTOR('',#465671,10.); #148592=VECTOR('',#465674,10.); #148593=VECTOR('',#465675,10.); #148594=VECTOR('',#465684,10.); #148595=VECTOR('',#465685,10.); #148596=VECTOR('',#465686,10.); #148597=VECTOR('',#465691,10.); #148598=VECTOR('',#465692,10.); #148599=VECTOR('',#465695,10.); #148600=VECTOR('',#465696,10.); #148601=VECTOR('',#465697,10.); #148602=VECTOR('',#465700,10.); #148603=VECTOR('',#465701,10.); #148604=VECTOR('',#465702,10.); #148605=VECTOR('',#465705,10.); #148606=VECTOR('',#465706,10.); #148607=VECTOR('',#465707,10.); #148608=VECTOR('',#465710,10.); #148609=VECTOR('',#465711,10.); #148610=VECTOR('',#465720,10.); #148611=VECTOR('',#465721,10.); #148612=VECTOR('',#465722,10.); #148613=VECTOR('',#465727,10.); #148614=VECTOR('',#465728,10.); #148615=VECTOR('',#465731,10.); #148616=VECTOR('',#465732,10.); #148617=VECTOR('',#465733,10.); #148618=VECTOR('',#465736,10.); #148619=VECTOR('',#465737,10.); #148620=VECTOR('',#465738,10.); #148621=VECTOR('',#465741,10.); #148622=VECTOR('',#465742,10.); #148623=VECTOR('',#465743,10.); #148624=VECTOR('',#465746,10.); #148625=VECTOR('',#465747,10.); #148626=VECTOR('',#465756,10.); #148627=VECTOR('',#465757,10.); #148628=VECTOR('',#465758,10.); #148629=VECTOR('',#465763,10.); #148630=VECTOR('',#465764,10.); #148631=VECTOR('',#465767,10.); #148632=VECTOR('',#465768,10.); #148633=VECTOR('',#465769,10.); #148634=VECTOR('',#465772,10.); #148635=VECTOR('',#465773,10.); #148636=VECTOR('',#465774,10.); #148637=VECTOR('',#465777,10.); #148638=VECTOR('',#465778,10.); #148639=VECTOR('',#465779,10.); #148640=VECTOR('',#465782,10.); #148641=VECTOR('',#465783,10.); #148642=VECTOR('',#465792,10.); #148643=VECTOR('',#465793,10.); #148644=VECTOR('',#465794,10.); #148645=VECTOR('',#465799,10.); #148646=VECTOR('',#465800,10.); #148647=VECTOR('',#465803,10.); #148648=VECTOR('',#465804,10.); #148649=VECTOR('',#465805,10.); #148650=VECTOR('',#465808,10.); #148651=VECTOR('',#465809,10.); #148652=VECTOR('',#465810,10.); #148653=VECTOR('',#465813,10.); #148654=VECTOR('',#465814,10.); #148655=VECTOR('',#465815,10.); #148656=VECTOR('',#465818,10.); #148657=VECTOR('',#465819,10.); #148658=VECTOR('',#465828,10.); #148659=VECTOR('',#465829,10.); #148660=VECTOR('',#465830,10.); #148661=VECTOR('',#465835,10.); #148662=VECTOR('',#465836,10.); #148663=VECTOR('',#465839,10.); #148664=VECTOR('',#465840,10.); #148665=VECTOR('',#465841,10.); #148666=VECTOR('',#465844,10.); #148667=VECTOR('',#465845,10.); #148668=VECTOR('',#465846,10.); #148669=VECTOR('',#465849,10.); #148670=VECTOR('',#465850,10.); #148671=VECTOR('',#465851,10.); #148672=VECTOR('',#465854,10.); #148673=VECTOR('',#465855,10.); #148674=VECTOR('',#465864,10.); #148675=VECTOR('',#465865,10.); #148676=VECTOR('',#465866,10.); #148677=VECTOR('',#465871,10.); #148678=VECTOR('',#465872,10.); #148679=VECTOR('',#465875,10.); #148680=VECTOR('',#465876,10.); #148681=VECTOR('',#465877,10.); #148682=VECTOR('',#465880,10.); #148683=VECTOR('',#465881,10.); #148684=VECTOR('',#465882,10.); #148685=VECTOR('',#465885,10.); #148686=VECTOR('',#465886,10.); #148687=VECTOR('',#465887,10.); #148688=VECTOR('',#465890,10.); #148689=VECTOR('',#465891,10.); #148690=VECTOR('',#465900,10.); #148691=VECTOR('',#465901,10.); #148692=VECTOR('',#465902,10.); #148693=VECTOR('',#465907,10.); #148694=VECTOR('',#465908,10.); #148695=VECTOR('',#465911,10.); #148696=VECTOR('',#465912,10.); #148697=VECTOR('',#465913,10.); #148698=VECTOR('',#465916,10.); #148699=VECTOR('',#465917,10.); #148700=VECTOR('',#465918,10.); #148701=VECTOR('',#465921,10.); #148702=VECTOR('',#465922,10.); #148703=VECTOR('',#465923,10.); #148704=VECTOR('',#465926,10.); #148705=VECTOR('',#465927,10.); #148706=VECTOR('',#465936,10.); #148707=VECTOR('',#465937,10.); #148708=VECTOR('',#465938,10.); #148709=VECTOR('',#465943,10.); #148710=VECTOR('',#465944,10.); #148711=VECTOR('',#465947,10.); #148712=VECTOR('',#465948,10.); #148713=VECTOR('',#465949,10.); #148714=VECTOR('',#465952,10.); #148715=VECTOR('',#465953,10.); #148716=VECTOR('',#465954,10.); #148717=VECTOR('',#465957,10.); #148718=VECTOR('',#465958,10.); #148719=VECTOR('',#465959,10.); #148720=VECTOR('',#465962,10.); #148721=VECTOR('',#465963,10.); #148722=VECTOR('',#465972,10.); #148723=VECTOR('',#465973,10.); #148724=VECTOR('',#465974,10.); #148725=VECTOR('',#465979,10.); #148726=VECTOR('',#465980,10.); #148727=VECTOR('',#465983,10.); #148728=VECTOR('',#465984,10.); #148729=VECTOR('',#465985,10.); #148730=VECTOR('',#465988,10.); #148731=VECTOR('',#465989,10.); #148732=VECTOR('',#465990,10.); #148733=VECTOR('',#465993,10.); #148734=VECTOR('',#465994,10.); #148735=VECTOR('',#465995,10.); #148736=VECTOR('',#465998,10.); #148737=VECTOR('',#465999,10.); #148738=VECTOR('',#466008,10.); #148739=VECTOR('',#466009,10.); #148740=VECTOR('',#466010,10.); #148741=VECTOR('',#466015,10.); #148742=VECTOR('',#466016,10.); #148743=VECTOR('',#466019,10.); #148744=VECTOR('',#466020,10.); #148745=VECTOR('',#466021,10.); #148746=VECTOR('',#466024,10.); #148747=VECTOR('',#466025,10.); #148748=VECTOR('',#466026,10.); #148749=VECTOR('',#466029,10.); #148750=VECTOR('',#466030,10.); #148751=VECTOR('',#466031,10.); #148752=VECTOR('',#466034,10.); #148753=VECTOR('',#466035,10.); #148754=VECTOR('',#466044,10.); #148755=VECTOR('',#466045,10.); #148756=VECTOR('',#466046,10.); #148757=VECTOR('',#466051,10.); #148758=VECTOR('',#466052,10.); #148759=VECTOR('',#466055,10.); #148760=VECTOR('',#466056,10.); #148761=VECTOR('',#466057,10.); #148762=VECTOR('',#466060,10.); #148763=VECTOR('',#466061,10.); #148764=VECTOR('',#466062,10.); #148765=VECTOR('',#466065,10.); #148766=VECTOR('',#466066,10.); #148767=VECTOR('',#466067,10.); #148768=VECTOR('',#466070,10.); #148769=VECTOR('',#466071,10.); #148770=VECTOR('',#466080,10.); #148771=VECTOR('',#466081,10.); #148772=VECTOR('',#466082,10.); #148773=VECTOR('',#466087,10.); #148774=VECTOR('',#466088,10.); #148775=VECTOR('',#466091,10.); #148776=VECTOR('',#466092,10.); #148777=VECTOR('',#466093,10.); #148778=VECTOR('',#466096,10.); #148779=VECTOR('',#466097,10.); #148780=VECTOR('',#466098,10.); #148781=VECTOR('',#466101,10.); #148782=VECTOR('',#466102,10.); #148783=VECTOR('',#466103,10.); #148784=VECTOR('',#466106,10.); #148785=VECTOR('',#466107,10.); #148786=VECTOR('',#466116,10.); #148787=VECTOR('',#466117,10.); #148788=VECTOR('',#466118,10.); #148789=VECTOR('',#466123,10.); #148790=VECTOR('',#466124,10.); #148791=VECTOR('',#466127,10.); #148792=VECTOR('',#466128,10.); #148793=VECTOR('',#466129,10.); #148794=VECTOR('',#466132,10.); #148795=VECTOR('',#466133,10.); #148796=VECTOR('',#466134,10.); #148797=VECTOR('',#466137,10.); #148798=VECTOR('',#466138,10.); #148799=VECTOR('',#466139,10.); #148800=VECTOR('',#466142,10.); #148801=VECTOR('',#466143,10.); #148802=VECTOR('',#466150,10.); #148803=VECTOR('',#466151,10.); #148804=VECTOR('',#466152,10.); #148805=VECTOR('',#466153,10.); #148806=VECTOR('',#466156,10.); #148807=VECTOR('',#466157,10.); #148808=VECTOR('',#466158,10.); #148809=VECTOR('',#466161,10.); #148810=VECTOR('',#466162,10.); #148811=VECTOR('',#466163,10.); #148812=VECTOR('',#466166,10.); #148813=VECTOR('',#466167,10.); #148814=VECTOR('',#466220,10.); #148815=VECTOR('',#466221,10.); #148816=VECTOR('',#466240,10.); #148817=VECTOR('',#466241,10.); #148818=VECTOR('',#466244,10.); #148819=VECTOR('',#466245,10.); #148820=VECTOR('',#466256,10.); #148821=VECTOR('',#466257,10.); #148822=VECTOR('',#466260,10.); #148823=VECTOR('',#466261,10.); #148824=VECTOR('',#466264,10.); #148825=VECTOR('',#466265,10.); #148826=VECTOR('',#466268,10.); #148827=VECTOR('',#466269,10.); #148828=VECTOR('',#466272,10.); #148829=VECTOR('',#466273,10.); #148830=VECTOR('',#466276,10.); #148831=VECTOR('',#466277,10.); #148832=VECTOR('',#466280,10.); #148833=VECTOR('',#466281,10.); #148834=VECTOR('',#466284,10.); #148835=VECTOR('',#466285,10.); #148836=VECTOR('',#466288,10.); #148837=VECTOR('',#466289,10.); #148838=VECTOR('',#466344,10.); #148839=VECTOR('',#466345,10.); #148840=VECTOR('',#466364,10.); #148841=VECTOR('',#466365,10.); #148842=VECTOR('',#466368,10.); #148843=VECTOR('',#466369,10.); #148844=VECTOR('',#466380,10.); #148845=VECTOR('',#466381,10.); #148846=VECTOR('',#466384,10.); #148847=VECTOR('',#466385,10.); #148848=VECTOR('',#466388,10.); #148849=VECTOR('',#466389,10.); #148850=VECTOR('',#466392,10.); #148851=VECTOR('',#466393,10.); #148852=VECTOR('',#466396,10.); #148853=VECTOR('',#466397,10.); #148854=VECTOR('',#466400,10.); #148855=VECTOR('',#466401,10.); #148856=VECTOR('',#466404,10.); #148857=VECTOR('',#466405,10.); #148858=VECTOR('',#466408,10.); #148859=VECTOR('',#466409,10.); #148860=VECTOR('',#466412,10.); #148861=VECTOR('',#466413,10.); #148862=VECTOR('',#466428,10.); #148863=VECTOR('',#466429,10.); #148864=VECTOR('',#466430,10.); #148865=VECTOR('',#466431,10.); #148866=VECTOR('',#466434,10.); #148867=VECTOR('',#466435,10.); #148868=VECTOR('',#466436,10.); #148869=VECTOR('',#466439,10.); #148870=VECTOR('',#466440,10.); #148871=VECTOR('',#466441,10.); #148872=VECTOR('',#466444,10.); #148873=VECTOR('',#466445,10.); #148874=VECTOR('',#466458,10.); #148875=VECTOR('',#466459,10.); #148876=VECTOR('',#466460,10.); #148877=VECTOR('',#466461,10.); #148878=VECTOR('',#466464,10.); #148879=VECTOR('',#466465,10.); #148880=VECTOR('',#466466,10.); #148881=VECTOR('',#466469,10.); #148882=VECTOR('',#466470,10.); #148883=VECTOR('',#466471,10.); #148884=VECTOR('',#466474,10.); #148885=VECTOR('',#466475,10.); #148886=VECTOR('',#466478,10.); #148887=VECTOR('',#466479,10.); #148888=VECTOR('',#466480,10.); #148889=VECTOR('',#466483,10.); #148890=VECTOR('',#466484,10.); #148891=VECTOR('',#466489,10.); #148892=VECTOR('',#466490,10.); #148893=VECTOR('',#466493,10.); #148894=VECTOR('',#466498,10.); #148895=VECTOR('',#466499,10.); #148896=VECTOR('',#466500,10.); #148897=VECTOR('',#466501,10.); #148898=VECTOR('',#466504,10.); #148899=VECTOR('',#466505,10.); #148900=VECTOR('',#466506,10.); #148901=VECTOR('',#466509,10.); #148902=VECTOR('',#466510,10.); #148903=VECTOR('',#466511,10.); #148904=VECTOR('',#466514,10.); #148905=VECTOR('',#466515,10.); #148906=VECTOR('',#466522,10.); #148907=VECTOR('',#466523,10.); #148908=VECTOR('',#466524,10.); #148909=VECTOR('',#466525,10.); #148910=VECTOR('',#466528,10.); #148911=VECTOR('',#466529,10.); #148912=VECTOR('',#466530,10.); #148913=VECTOR('',#466533,10.); #148914=VECTOR('',#466534,10.); #148915=VECTOR('',#466535,10.); #148916=VECTOR('',#466538,10.); #148917=VECTOR('',#466539,10.); #148918=VECTOR('',#466546,10.); #148919=VECTOR('',#466547,10.); #148920=VECTOR('',#466548,10.); #148921=VECTOR('',#466549,10.); #148922=VECTOR('',#466552,10.); #148923=VECTOR('',#466553,10.); #148924=VECTOR('',#466554,10.); #148925=VECTOR('',#466557,10.); #148926=VECTOR('',#466558,10.); #148927=VECTOR('',#466559,10.); #148928=VECTOR('',#466562,10.); #148929=VECTOR('',#466563,10.); #148930=VECTOR('',#466570,10.); #148931=VECTOR('',#466571,10.); #148932=VECTOR('',#466572,10.); #148933=VECTOR('',#466573,10.); #148934=VECTOR('',#466576,10.); #148935=VECTOR('',#466577,10.); #148936=VECTOR('',#466578,10.); #148937=VECTOR('',#466581,10.); #148938=VECTOR('',#466582,10.); #148939=VECTOR('',#466583,10.); #148940=VECTOR('',#466586,10.); #148941=VECTOR('',#466587,10.); #148942=VECTOR('',#466594,10.); #148943=VECTOR('',#466595,10.); #148944=VECTOR('',#466596,10.); #148945=VECTOR('',#466597,10.); #148946=VECTOR('',#466600,10.); #148947=VECTOR('',#466601,10.); #148948=VECTOR('',#466602,10.); #148949=VECTOR('',#466605,10.); #148950=VECTOR('',#466606,10.); #148951=VECTOR('',#466607,10.); #148952=VECTOR('',#466610,10.); #148953=VECTOR('',#466611,10.); #148954=VECTOR('',#466626,0.1995); #148955=VECTOR('',#466633,10.); #148956=VECTOR('',#466634,10.); #148957=VECTOR('',#466635,10.); #148958=VECTOR('',#466636,10.); #148959=VECTOR('',#466639,10.); #148960=VECTOR('',#466640,10.); #148961=VECTOR('',#466641,10.); #148962=VECTOR('',#466644,10.); #148963=VECTOR('',#466645,10.); #148964=VECTOR('',#466646,10.); #148965=VECTOR('',#466649,10.); #148966=VECTOR('',#466650,10.); #148967=VECTOR('',#466653,10.); #148968=VECTOR('',#466654,10.); #148969=VECTOR('',#466655,10.); #148970=VECTOR('',#466658,10.); #148971=VECTOR('',#466659,10.); #148972=VECTOR('',#466664,10.); #148973=VECTOR('',#466665,10.); #148974=VECTOR('',#466666,10.); #148975=VECTOR('',#466667,10.); #148976=VECTOR('',#466670,10.); #148977=VECTOR('',#466671,10.); #148978=VECTOR('',#466674,10.); #148979=VECTOR('',#466675,10.); #148980=VECTOR('',#466676,10.); #148981=VECTOR('',#466679,10.); #148982=VECTOR('',#466682,10.); #148983=VECTOR('',#466691,10.); #148984=VECTOR('',#466694,10.); #148985=VECTOR('',#466695,10.); #148986=VECTOR('',#466696,10.); #148987=VECTOR('',#466699,10.); #148988=VECTOR('',#466702,10.); #148989=VECTOR('',#466703,10.); #148990=VECTOR('',#466704,10.); #148991=VECTOR('',#466707,10.); #148992=VECTOR('',#466708,10.); #148993=VECTOR('',#466709,10.); #148994=VECTOR('',#466714,10.); #148995=VECTOR('',#466717,10.); #148996=VECTOR('',#466718,10.); #148997=VECTOR('',#466721,10.); #148998=VECTOR('',#466722,10.); #148999=VECTOR('',#466725,10.); #149000=VECTOR('',#466726,10.); #149001=VECTOR('',#466731,10.); #149002=VECTOR('',#466734,10.); #149003=VECTOR('',#466735,10.); #149004=VECTOR('',#466740,10.); #149005=VECTOR('',#466743,10.); #149006=VECTOR('',#466744,10.); #149007=VECTOR('',#466747,10.); #149008=VECTOR('',#466748,10.); #149009=VECTOR('',#466751,10.); #149010=VECTOR('',#466752,10.); #149011=VECTOR('',#466763,10.); #149012=VECTOR('',#466764,10.); #149013=VECTOR('',#466765,10.); #149014=VECTOR('',#466768,10.); #149015=VECTOR('',#466771,10.); #149016=VECTOR('',#466772,10.); #149017=VECTOR('',#466773,10.); #149018=VECTOR('',#466776,10.); #149019=VECTOR('',#466779,10.); #149020=VECTOR('',#466780,10.); #149021=VECTOR('',#466781,10.); #149022=VECTOR('',#466784,10.); #149023=VECTOR('',#466789,10.); #149024=VECTOR('',#466790,10.); #149025=VECTOR('',#466793,10.); #149026=VECTOR('',#466794,10.); #149027=VECTOR('',#466797,10.); #149028=VECTOR('',#466798,10.); #149029=VECTOR('',#466801,10.); #149030=VECTOR('',#466806,10.); #149031=VECTOR('',#466807,10.); #149032=VECTOR('',#466810,10.); #149033=VECTOR('',#466815,10.); #149034=VECTOR('',#466816,10.); #149035=VECTOR('',#466819,10.); #149036=VECTOR('',#466820,10.); #149037=VECTOR('',#466823,10.); #149038=VECTOR('',#466824,10.); #149039=VECTOR('',#466835,10.); #149040=VECTOR('',#466838,10.); #149041=VECTOR('',#466839,10.); #149042=VECTOR('',#466840,10.); #149043=VECTOR('',#466843,10.); #149044=VECTOR('',#466846,10.); #149045=VECTOR('',#466847,10.); #149046=VECTOR('',#466848,10.); #149047=VECTOR('',#466851,10.); #149048=VECTOR('',#466852,10.); #149049=VECTOR('',#466853,10.); #149050=VECTOR('',#466858,10.); #149051=VECTOR('',#466861,10.); #149052=VECTOR('',#466862,10.); #149053=VECTOR('',#466865,10.); #149054=VECTOR('',#466866,10.); #149055=VECTOR('',#466869,10.); #149056=VECTOR('',#466870,10.); #149057=VECTOR('',#466875,10.); #149058=VECTOR('',#466878,10.); #149059=VECTOR('',#466879,10.); #149060=VECTOR('',#466884,10.); #149061=VECTOR('',#466887,10.); #149062=VECTOR('',#466888,10.); #149063=VECTOR('',#466891,10.); #149064=VECTOR('',#466892,10.); #149065=VECTOR('',#466895,10.); #149066=VECTOR('',#466896,10.); #149067=VECTOR('',#466907,10.); #149068=VECTOR('',#466910,10.); #149069=VECTOR('',#466911,10.); #149070=VECTOR('',#466912,10.); #149071=VECTOR('',#466915,10.); #149072=VECTOR('',#466918,10.); #149073=VECTOR('',#466919,10.); #149074=VECTOR('',#466920,10.); #149075=VECTOR('',#466923,10.); #149076=VECTOR('',#466924,10.); #149077=VECTOR('',#466925,10.); #149078=VECTOR('',#466930,10.); #149079=VECTOR('',#466933,10.); #149080=VECTOR('',#466934,10.); #149081=VECTOR('',#466937,10.); #149082=VECTOR('',#466938,10.); #149083=VECTOR('',#466941,10.); #149084=VECTOR('',#466942,10.); #149085=VECTOR('',#466947,10.); #149086=VECTOR('',#466950,10.); #149087=VECTOR('',#466951,10.); #149088=VECTOR('',#466956,10.); #149089=VECTOR('',#466959,10.); #149090=VECTOR('',#466960,10.); #149091=VECTOR('',#466963,10.); #149092=VECTOR('',#466964,10.); #149093=VECTOR('',#466967,10.); #149094=VECTOR('',#466968,10.); #149095=VECTOR('',#466979,10.); #149096=VECTOR('',#466982,10.); #149097=VECTOR('',#466983,10.); #149098=VECTOR('',#466984,10.); #149099=VECTOR('',#466987,10.); #149100=VECTOR('',#466990,10.); #149101=VECTOR('',#466991,10.); #149102=VECTOR('',#466992,10.); #149103=VECTOR('',#466995,10.); #149104=VECTOR('',#466996,10.); #149105=VECTOR('',#466997,10.); #149106=VECTOR('',#467002,10.); #149107=VECTOR('',#467005,10.); #149108=VECTOR('',#467006,10.); #149109=VECTOR('',#467009,10.); #149110=VECTOR('',#467010,10.); #149111=VECTOR('',#467013,10.); #149112=VECTOR('',#467014,10.); #149113=VECTOR('',#467019,10.); #149114=VECTOR('',#467022,10.); #149115=VECTOR('',#467023,10.); #149116=VECTOR('',#467028,10.); #149117=VECTOR('',#467031,10.); #149118=VECTOR('',#467032,10.); #149119=VECTOR('',#467035,10.); #149120=VECTOR('',#467036,10.); #149121=VECTOR('',#467039,10.); #149122=VECTOR('',#467040,10.); #149123=VECTOR('',#467051,10.); #149124=VECTOR('',#467052,10.); #149125=VECTOR('',#467053,10.); #149126=VECTOR('',#467056,10.); #149127=VECTOR('',#467059,10.); #149128=VECTOR('',#467060,10.); #149129=VECTOR('',#467061,10.); #149130=VECTOR('',#467064,10.); #149131=VECTOR('',#467067,10.); #149132=VECTOR('',#467068,10.); #149133=VECTOR('',#467069,10.); #149134=VECTOR('',#467072,10.); #149135=VECTOR('',#467077,10.); #149136=VECTOR('',#467078,10.); #149137=VECTOR('',#467081,10.); #149138=VECTOR('',#467082,10.); #149139=VECTOR('',#467085,10.); #149140=VECTOR('',#467086,10.); #149141=VECTOR('',#467089,10.); #149142=VECTOR('',#467094,10.); #149143=VECTOR('',#467095,10.); #149144=VECTOR('',#467098,10.); #149145=VECTOR('',#467103,10.); #149146=VECTOR('',#467104,10.); #149147=VECTOR('',#467107,10.); #149148=VECTOR('',#467108,10.); #149149=VECTOR('',#467111,10.); #149150=VECTOR('',#467112,10.); #149151=VECTOR('',#467123,10.); #149152=VECTOR('',#467124,10.); #149153=VECTOR('',#467125,10.); #149154=VECTOR('',#467128,10.); #149155=VECTOR('',#467131,10.); #149156=VECTOR('',#467132,10.); #149157=VECTOR('',#467133,10.); #149158=VECTOR('',#467136,10.); #149159=VECTOR('',#467139,10.); #149160=VECTOR('',#467140,10.); #149161=VECTOR('',#467141,10.); #149162=VECTOR('',#467144,10.); #149163=VECTOR('',#467149,10.); #149164=VECTOR('',#467150,10.); #149165=VECTOR('',#467153,10.); #149166=VECTOR('',#467154,10.); #149167=VECTOR('',#467157,10.); #149168=VECTOR('',#467158,10.); #149169=VECTOR('',#467161,10.); #149170=VECTOR('',#467166,10.); #149171=VECTOR('',#467167,10.); #149172=VECTOR('',#467170,10.); #149173=VECTOR('',#467175,10.); #149174=VECTOR('',#467176,10.); #149175=VECTOR('',#467179,10.); #149176=VECTOR('',#467180,10.); #149177=VECTOR('',#467183,10.); #149178=VECTOR('',#467184,10.); #149179=VECTOR('',#467195,10.); #149180=VECTOR('',#467196,10.); #149181=VECTOR('',#467197,10.); #149182=VECTOR('',#467200,10.); #149183=VECTOR('',#467203,10.); #149184=VECTOR('',#467204,10.); #149185=VECTOR('',#467205,10.); #149186=VECTOR('',#467208,10.); #149187=VECTOR('',#467211,10.); #149188=VECTOR('',#467212,10.); #149189=VECTOR('',#467213,10.); #149190=VECTOR('',#467216,10.); #149191=VECTOR('',#467221,10.); #149192=VECTOR('',#467222,10.); #149193=VECTOR('',#467225,10.); #149194=VECTOR('',#467226,10.); #149195=VECTOR('',#467229,10.); #149196=VECTOR('',#467230,10.); #149197=VECTOR('',#467233,10.); #149198=VECTOR('',#467238,10.); #149199=VECTOR('',#467239,10.); #149200=VECTOR('',#467242,10.); #149201=VECTOR('',#467247,10.); #149202=VECTOR('',#467248,10.); #149203=VECTOR('',#467251,10.); #149204=VECTOR('',#467252,10.); #149205=VECTOR('',#467255,10.); #149206=VECTOR('',#467256,10.); #149207=VECTOR('',#467273,0.085); #149208=VECTOR('',#467280,10.); #149209=VECTOR('',#467281,10.); #149210=VECTOR('',#467282,10.); #149211=VECTOR('',#467283,10.); #149212=VECTOR('',#467286,10.); #149213=VECTOR('',#467287,10.); #149214=VECTOR('',#467288,10.); #149215=VECTOR('',#467291,10.); #149216=VECTOR('',#467292,10.); #149217=VECTOR('',#467293,10.); #149218=VECTOR('',#467296,10.); #149219=VECTOR('',#467297,10.); #149220=VECTOR('',#467300,10.); #149221=VECTOR('',#467301,10.); #149222=VECTOR('',#467302,10.); #149223=VECTOR('',#467305,10.); #149224=VECTOR('',#467306,10.); #149225=VECTOR('',#467311,10.); #149226=VECTOR('',#467312,10.); #149227=VECTOR('',#467313,10.); #149228=VECTOR('',#467314,10.); #149229=VECTOR('',#467317,10.); #149230=VECTOR('',#467318,10.); #149231=VECTOR('',#467321,10.); #149232=VECTOR('',#467322,10.); #149233=VECTOR('',#467323,10.); #149234=VECTOR('',#467326,10.); #149235=VECTOR('',#467329,10.); #149236=VECTOR('',#467338,10.); #149237=VECTOR('',#467339,10.); #149238=VECTOR('',#467340,10.); #149239=VECTOR('',#467343,10.); #149240=VECTOR('',#467346,10.); #149241=VECTOR('',#467347,10.); #149242=VECTOR('',#467348,10.); #149243=VECTOR('',#467351,10.); #149244=VECTOR('',#467354,10.); #149245=VECTOR('',#467355,10.); #149246=VECTOR('',#467356,10.); #149247=VECTOR('',#467359,10.); #149248=VECTOR('',#467360,10.); #149249=VECTOR('',#467363,10.); #149250=VECTOR('',#467364,10.); #149251=VECTOR('',#467369,10.); #149252=VECTOR('',#467372,10.); #149253=VECTOR('',#467373,10.); #149254=VECTOR('',#467378,10.); #149255=VECTOR('',#467381,10.); #149256=VECTOR('',#467382,10.); #149257=VECTOR('',#467385,10.); #149258=VECTOR('',#467386,10.); #149259=VECTOR('',#467389,10.); #149260=VECTOR('',#467390,10.); #149261=VECTOR('',#467395,10.); #149262=VECTOR('',#467398,10.); #149263=VECTOR('',#467399,10.); #149264=VECTOR('',#467410,10.); #149265=VECTOR('',#467411,10.); #149266=VECTOR('',#467412,10.); #149267=VECTOR('',#467415,10.); #149268=VECTOR('',#467418,10.); #149269=VECTOR('',#467419,10.); #149270=VECTOR('',#467420,10.); #149271=VECTOR('',#467423,10.); #149272=VECTOR('',#467426,10.); #149273=VECTOR('',#467427,10.); #149274=VECTOR('',#467428,10.); #149275=VECTOR('',#467431,10.); #149276=VECTOR('',#467432,10.); #149277=VECTOR('',#467435,10.); #149278=VECTOR('',#467436,10.); #149279=VECTOR('',#467441,10.); #149280=VECTOR('',#467444,10.); #149281=VECTOR('',#467445,10.); #149282=VECTOR('',#467450,10.); #149283=VECTOR('',#467453,10.); #149284=VECTOR('',#467454,10.); #149285=VECTOR('',#467457,10.); #149286=VECTOR('',#467458,10.); #149287=VECTOR('',#467461,10.); #149288=VECTOR('',#467462,10.); #149289=VECTOR('',#467467,10.); #149290=VECTOR('',#467470,10.); #149291=VECTOR('',#467471,10.); #149292=VECTOR('',#467482,10.); #149293=VECTOR('',#467483,10.); #149294=VECTOR('',#467484,10.); #149295=VECTOR('',#467487,10.); #149296=VECTOR('',#467490,10.); #149297=VECTOR('',#467491,10.); #149298=VECTOR('',#467492,10.); #149299=VECTOR('',#467495,10.); #149300=VECTOR('',#467498,10.); #149301=VECTOR('',#467499,10.); #149302=VECTOR('',#467500,10.); #149303=VECTOR('',#467503,10.); #149304=VECTOR('',#467504,10.); #149305=VECTOR('',#467507,10.); #149306=VECTOR('',#467508,10.); #149307=VECTOR('',#467513,10.); #149308=VECTOR('',#467516,10.); #149309=VECTOR('',#467517,10.); #149310=VECTOR('',#467522,10.); #149311=VECTOR('',#467525,10.); #149312=VECTOR('',#467526,10.); #149313=VECTOR('',#467529,10.); #149314=VECTOR('',#467530,10.); #149315=VECTOR('',#467533,10.); #149316=VECTOR('',#467534,10.); #149317=VECTOR('',#467539,10.); #149318=VECTOR('',#467542,10.); #149319=VECTOR('',#467543,10.); #149320=VECTOR('',#467554,10.); #149321=VECTOR('',#467555,10.); #149322=VECTOR('',#467556,10.); #149323=VECTOR('',#467559,10.); #149324=VECTOR('',#467562,10.); #149325=VECTOR('',#467563,10.); #149326=VECTOR('',#467564,10.); #149327=VECTOR('',#467567,10.); #149328=VECTOR('',#467570,10.); #149329=VECTOR('',#467571,10.); #149330=VECTOR('',#467572,10.); #149331=VECTOR('',#467575,10.); #149332=VECTOR('',#467576,10.); #149333=VECTOR('',#467579,10.); #149334=VECTOR('',#467580,10.); #149335=VECTOR('',#467585,10.); #149336=VECTOR('',#467588,10.); #149337=VECTOR('',#467589,10.); #149338=VECTOR('',#467594,10.); #149339=VECTOR('',#467597,10.); #149340=VECTOR('',#467598,10.); #149341=VECTOR('',#467601,10.); #149342=VECTOR('',#467602,10.); #149343=VECTOR('',#467605,10.); #149344=VECTOR('',#467606,10.); #149345=VECTOR('',#467611,10.); #149346=VECTOR('',#467614,10.); #149347=VECTOR('',#467615,10.); #149348=VECTOR('',#467626,10.); #149349=VECTOR('',#467627,10.); #149350=VECTOR('',#467628,10.); #149351=VECTOR('',#467631,10.); #149352=VECTOR('',#467634,10.); #149353=VECTOR('',#467635,10.); #149354=VECTOR('',#467636,10.); #149355=VECTOR('',#467639,10.); #149356=VECTOR('',#467642,10.); #149357=VECTOR('',#467643,10.); #149358=VECTOR('',#467644,10.); #149359=VECTOR('',#467647,10.); #149360=VECTOR('',#467648,10.); #149361=VECTOR('',#467651,10.); #149362=VECTOR('',#467652,10.); #149363=VECTOR('',#467657,10.); #149364=VECTOR('',#467660,10.); #149365=VECTOR('',#467661,10.); #149366=VECTOR('',#467666,10.); #149367=VECTOR('',#467669,10.); #149368=VECTOR('',#467670,10.); #149369=VECTOR('',#467673,10.); #149370=VECTOR('',#467674,10.); #149371=VECTOR('',#467677,10.); #149372=VECTOR('',#467678,10.); #149373=VECTOR('',#467683,10.); #149374=VECTOR('',#467686,10.); #149375=VECTOR('',#467687,10.); #149376=VECTOR('',#467742,10.); #149377=VECTOR('',#467743,10.); #149378=VECTOR('',#467762,10.); #149379=VECTOR('',#467763,10.); #149380=VECTOR('',#467766,10.); #149381=VECTOR('',#467767,10.); #149382=VECTOR('',#467778,10.); #149383=VECTOR('',#467779,10.); #149384=VECTOR('',#467782,10.); #149385=VECTOR('',#467783,10.); #149386=VECTOR('',#467786,10.); #149387=VECTOR('',#467787,10.); #149388=VECTOR('',#467790,10.); #149389=VECTOR('',#467791,10.); #149390=VECTOR('',#467794,10.); #149391=VECTOR('',#467795,10.); #149392=VECTOR('',#467798,10.); #149393=VECTOR('',#467799,10.); #149394=VECTOR('',#467802,10.); #149395=VECTOR('',#467803,10.); #149396=VECTOR('',#467806,10.); #149397=VECTOR('',#467807,10.); #149398=VECTOR('',#467810,10.); #149399=VECTOR('',#467811,10.); #149400=VECTOR('',#467866,10.); #149401=VECTOR('',#467867,10.); #149402=VECTOR('',#467886,10.); #149403=VECTOR('',#467887,10.); #149404=VECTOR('',#467890,10.); #149405=VECTOR('',#467891,10.); #149406=VECTOR('',#467902,10.); #149407=VECTOR('',#467903,10.); #149408=VECTOR('',#467906,10.); #149409=VECTOR('',#467907,10.); #149410=VECTOR('',#467910,10.); #149411=VECTOR('',#467911,10.); #149412=VECTOR('',#467914,10.); #149413=VECTOR('',#467915,10.); #149414=VECTOR('',#467918,10.); #149415=VECTOR('',#467919,10.); #149416=VECTOR('',#467922,10.); #149417=VECTOR('',#467923,10.); #149418=VECTOR('',#467926,10.); #149419=VECTOR('',#467927,10.); #149420=VECTOR('',#467930,10.); #149421=VECTOR('',#467931,10.); #149422=VECTOR('',#467934,10.); #149423=VECTOR('',#467935,10.); #149424=VECTOR('',#467950,10.); #149425=VECTOR('',#467951,10.); #149426=VECTOR('',#467952,10.); #149427=VECTOR('',#467953,10.); #149428=VECTOR('',#467956,10.); #149429=VECTOR('',#467957,10.); #149430=VECTOR('',#467958,10.); #149431=VECTOR('',#467961,10.); #149432=VECTOR('',#467962,10.); #149433=VECTOR('',#467963,10.); #149434=VECTOR('',#467966,10.); #149435=VECTOR('',#467967,10.); #149436=VECTOR('',#468130,1.); #149437=VECTOR('',#468137,1.); #149438=VECTOR('',#468142,10.); #149439=VECTOR('',#468143,10.); #149440=VECTOR('',#468144,10.); #149441=VECTOR('',#468145,10.); #149442=VECTOR('',#468148,10.); #149443=VECTOR('',#468149,10.); #149444=VECTOR('',#468150,10.); #149445=VECTOR('',#468153,10.); #149446=VECTOR('',#468154,10.); #149447=VECTOR('',#468155,10.); #149448=VECTOR('',#468158,10.); #149449=VECTOR('',#468159,10.); #149450=VECTOR('',#468160,10.); #149451=VECTOR('',#468163,10.); #149452=VECTOR('',#468164,10.); #149453=VECTOR('',#468165,10.); #149454=VECTOR('',#468168,10.); #149455=VECTOR('',#468169,10.); #149456=VECTOR('',#468170,10.); #149457=VECTOR('',#468173,10.); #149458=VECTOR('',#468174,10.); #149459=VECTOR('',#468175,10.); #149460=VECTOR('',#468178,10.); #149461=VECTOR('',#468179,10.); #149462=VECTOR('',#468180,10.); #149463=VECTOR('',#468183,10.); #149464=VECTOR('',#468184,10.); #149465=VECTOR('',#468185,10.); #149466=VECTOR('',#468188,10.); #149467=VECTOR('',#468189,10.); #149468=VECTOR('',#468190,10.); #149469=VECTOR('',#468193,10.); #149470=VECTOR('',#468194,10.); #149471=VECTOR('',#468195,10.); #149472=VECTOR('',#468198,10.); #149473=VECTOR('',#468199,10.); #149474=VECTOR('',#468210,1.); #149475=VECTOR('',#468217,1.); #149476=VECTOR('',#468222,10.); #149477=VECTOR('',#468223,10.); #149478=VECTOR('',#468224,10.); #149479=VECTOR('',#468225,10.); #149480=VECTOR('',#468228,10.); #149481=VECTOR('',#468229,10.); #149482=VECTOR('',#468230,10.); #149483=VECTOR('',#468233,10.); #149484=VECTOR('',#468234,10.); #149485=VECTOR('',#468235,10.); #149486=VECTOR('',#468238,10.); #149487=VECTOR('',#468239,10.); #149488=VECTOR('',#468240,10.); #149489=VECTOR('',#468243,10.); #149490=VECTOR('',#468244,10.); #149491=VECTOR('',#468245,10.); #149492=VECTOR('',#468248,10.); #149493=VECTOR('',#468249,10.); #149494=VECTOR('',#468250,10.); #149495=VECTOR('',#468253,10.); #149496=VECTOR('',#468254,10.); #149497=VECTOR('',#468255,10.); #149498=VECTOR('',#468258,10.); #149499=VECTOR('',#468259,10.); #149500=VECTOR('',#468260,10.); #149501=VECTOR('',#468263,10.); #149502=VECTOR('',#468264,10.); #149503=VECTOR('',#468265,10.); #149504=VECTOR('',#468268,10.); #149505=VECTOR('',#468269,10.); #149506=VECTOR('',#468270,10.); #149507=VECTOR('',#468273,10.); #149508=VECTOR('',#468274,10.); #149509=VECTOR('',#468275,10.); #149510=VECTOR('',#468278,10.); #149511=VECTOR('',#468279,10.); #149512=VECTOR('',#468290,1.); #149513=VECTOR('',#468297,1.); #149514=VECTOR('',#468302,10.); #149515=VECTOR('',#468303,10.); #149516=VECTOR('',#468304,10.); #149517=VECTOR('',#468305,10.); #149518=VECTOR('',#468308,10.); #149519=VECTOR('',#468309,10.); #149520=VECTOR('',#468310,10.); #149521=VECTOR('',#468313,10.); #149522=VECTOR('',#468314,10.); #149523=VECTOR('',#468315,10.); #149524=VECTOR('',#468318,10.); #149525=VECTOR('',#468319,10.); #149526=VECTOR('',#468320,10.); #149527=VECTOR('',#468323,10.); #149528=VECTOR('',#468324,10.); #149529=VECTOR('',#468325,10.); #149530=VECTOR('',#468328,10.); #149531=VECTOR('',#468329,10.); #149532=VECTOR('',#468330,10.); #149533=VECTOR('',#468333,10.); #149534=VECTOR('',#468334,10.); #149535=VECTOR('',#468335,10.); #149536=VECTOR('',#468338,10.); #149537=VECTOR('',#468339,10.); #149538=VECTOR('',#468340,10.); #149539=VECTOR('',#468343,10.); #149540=VECTOR('',#468344,10.); #149541=VECTOR('',#468345,10.); #149542=VECTOR('',#468348,10.); #149543=VECTOR('',#468349,10.); #149544=VECTOR('',#468350,10.); #149545=VECTOR('',#468353,10.); #149546=VECTOR('',#468354,10.); #149547=VECTOR('',#468355,10.); #149548=VECTOR('',#468358,10.); #149549=VECTOR('',#468359,10.); #149550=VECTOR('',#468366,10.); #149551=VECTOR('',#468367,10.); #149552=VECTOR('',#468368,10.); #149553=VECTOR('',#468369,10.); #149554=VECTOR('',#468372,10.); #149555=VECTOR('',#468373,10.); #149556=VECTOR('',#468374,10.); #149557=VECTOR('',#468377,10.); #149558=VECTOR('',#468378,10.); #149559=VECTOR('',#468381,10.); #149560=VECTOR('',#468382,10.); #149561=VECTOR('',#468385,10.); #149562=VECTOR('',#468398,29.25); #149563=VECTOR('',#468405,10.); #149564=VECTOR('',#468406,10.); #149565=VECTOR('',#468407,10.); #149566=VECTOR('',#468412,28.); #149567=VECTOR('',#468417,10.); #149568=VECTOR('',#468418,10.); #149569=VECTOR('',#468419,10.); #149570=VECTOR('',#468422,10.); #149571=VECTOR('',#468423,10.); #149572=VECTOR('',#468426,10.); #149573=VECTOR('',#468427,10.); #149574=VECTOR('',#468436,28.85); #149575=VECTOR('',#468445,29.5); #149576=VECTOR('',#468450,10.); #149577=VECTOR('',#468453,10.); #149578=VECTOR('',#468454,10.); #149579=VECTOR('',#468457,10.); #149580=VECTOR('',#468460,10.); #149581=VECTOR('',#468463,10.); #149582=VECTOR('',#468468,26.75); #149583=VECTOR('',#468473,26.5); #149584=VECTOR('',#468478,27.25); #149585=VECTOR('',#468481,10.); #149586=VECTOR('',#468484,10.); #149587=VECTOR('',#468487,10.); #149588=VECTOR('',#468490,10.); #149589=VECTOR('',#468493,10.); #149590=VECTOR('',#468496,10.); #149591=VECTOR('',#468531,30.35); #149592=VECTOR('',#468536,10.); #149593=VECTOR('',#468537,10.); #149594=VECTOR('',#468546,10.); #149595=VECTOR('',#468547,10.); #149596=VECTOR('',#468556,10.); #149597=VECTOR('',#468557,10.); #149598=VECTOR('',#468558,10.); #149599=VECTOR('',#468561,10.); #149600=VECTOR('',#468570,10.); #149601=VECTOR('',#468573,10.); #149602=VECTOR('',#468578,10.); #149603=VECTOR('',#468579,10.); #149604=VECTOR('',#468584,10.); #149605=VECTOR('',#468587,10.); #149606=VECTOR('',#468588,10.); #149607=VECTOR('',#468589,10.); #149608=VECTOR('',#468592,10.); #149609=VECTOR('',#468599,10.); #149610=VECTOR('',#468602,10.); #149611=VECTOR('',#468609,10.); #149612=VECTOR('',#468614,10.); #149613=VECTOR('',#468615,10.); #149614=VECTOR('',#468618,10.); #149615=VECTOR('',#468619,10.); #149616=VECTOR('',#468622,10.); #149617=VECTOR('',#468625,10.); #149618=VECTOR('',#468626,10.); #149619=VECTOR('',#468629,10.); #149620=VECTOR('',#468630,10.); #149621=VECTOR('',#468633,10.); #149622=VECTOR('',#468636,10.); #149623=VECTOR('',#468641,10.); #149624=VECTOR('',#468642,10.); #149625=VECTOR('',#468647,10.); #149626=VECTOR('',#468648,10.); #149627=VECTOR('',#468651,10.); #149628=VECTOR('',#468652,10.); #149629=VECTOR('',#468655,10.); #149630=VECTOR('',#468656,10.); #149631=VECTOR('',#468657,10.); #149632=VECTOR('',#468658,10.); #149633=VECTOR('',#468659,10.); #149634=VECTOR('',#468660,10.); #149635=VECTOR('',#468663,10.); #149636=VECTOR('',#468666,10.); #149637=VECTOR('',#468669,10.); #149638=VECTOR('',#468670,10.); #149639=VECTOR('',#468671,10.); #149640=VECTOR('',#468672,10.); #149641=VECTOR('',#468675,10.); #149642=VECTOR('',#468682,10.); #149643=VECTOR('',#468685,33.0000000149012); #149644=VECTOR('',#468690,10.); #149645=VECTOR('',#468693,10.); #149646=VECTOR('',#468698,10.); #149647=VECTOR('',#468705,10.); #149648=VECTOR('',#468708,10.); #149649=VECTOR('',#468719,10.); #149650=VECTOR('',#468722,10.); #149651=VECTOR('',#468725,10.); #149652=VECTOR('',#468728,10.); #149653=VECTOR('',#468729,10.); #149654=VECTOR('',#468730,10.); #149655=VECTOR('',#468735,10.); #149656=VECTOR('',#468740,10.); #149657=VECTOR('',#468753,29.0000000149012); #149658=VECTOR('',#468760,10.); #149659=VECTOR('',#468763,10.); #149660=VECTOR('',#468766,10.); #149661=VECTOR('',#468767,10.); #149662=VECTOR('',#468774,31.0000000149012); #149663=VECTOR('',#468781,10.); #149664=VECTOR('',#468784,10.); #149665=VECTOR('',#468787,10.); #149666=VECTOR('',#468788,10.); #149667=VECTOR('',#468793,10.); #149668=VECTOR('',#468794,10.); #149669=VECTOR('',#468809,35.2842712474619); #149670=VECTOR('',#468812,10.); #149671=VECTOR('',#468813,10.); #149672=VECTOR('',#468814,10.); #149673=VECTOR('',#468815,10.); #149674=VECTOR('',#468820,10.); #149675=VECTOR('',#468825,10.); #149676=VECTOR('',#468826,10.); #149677=VECTOR('',#468827,10.); #149678=VECTOR('',#468828,10.); #149679=VECTOR('',#468831,10.); #149680=VECTOR('',#468832,10.); #149681=VECTOR('',#468833,10.); #149682=VECTOR('',#468836,10.); #149683=VECTOR('',#468837,10.); #149684=VECTOR('',#468838,10.); #149685=VECTOR('',#468841,10.); #149686=VECTOR('',#468842,10.); #149687=VECTOR('',#468845,10.); #149688=VECTOR('',#468846,10.); #149689=VECTOR('',#468847,10.); #149690=VECTOR('',#468858,2.225); #149691=VECTOR('',#468863,10.); #149692=VECTOR('',#468866,10.); #149693=VECTOR('',#468873,10.); #149694=VECTOR('',#468876,10.); #149695=VECTOR('',#468879,2.1); #149696=VECTOR('',#468884,2.225); #149697=VECTOR('',#468889,2.1); #149698=VECTOR('',#468896,1.525); #149699=VECTOR('',#468907,10.); #149700=VECTOR('',#468910,10.); #149701=VECTOR('',#468915,1.4); #149702=VECTOR('',#468920,1.525); #149703=VECTOR('',#468925,1.4); #149704=VECTOR('',#468930,10.); #149705=VECTOR('',#468937,10.); #149706=VECTOR('',#468938,10.); #149707=VECTOR('',#468939,10.); #149708=VECTOR('',#468954,10.); #149709=VECTOR('',#468957,10.); #149710=VECTOR('',#468960,10.); #149711=VECTOR('',#468963,10.); #149712=VECTOR('',#468974,10.); #149713=VECTOR('',#468977,10.); #149714=VECTOR('',#468984,10.); #149715=VECTOR('',#468987,10.); #149716=VECTOR('',#468990,10.); #149717=VECTOR('',#468991,10.); #149718=VECTOR('',#468994,10.); #149719=VECTOR('',#468995,10.); #149720=VECTOR('',#469000,10.); #149721=VECTOR('',#469003,10.); #149722=VECTOR('',#469006,10.); #149723=VECTOR('',#469007,10.); #149724=VECTOR('',#469008,10.); #149725=VECTOR('',#469011,10.); #149726=VECTOR('',#469014,10.); #149727=VECTOR('',#469015,10.); #149728=VECTOR('',#469022,10.); #149729=VECTOR('',#469025,10.); #149730=VECTOR('',#469030,10.); #149731=VECTOR('',#469031,10.); #149732=VECTOR('',#469034,10.); #149733=VECTOR('',#469037,10.); #149734=VECTOR('',#469044,10.); #149735=VECTOR('',#469045,10.); #149736=VECTOR('',#469048,10.); #149737=VECTOR('',#469049,10.); #149738=VECTOR('',#469050,10.); #149739=VECTOR('',#469051,10.); #149740=VECTOR('',#469056,10.); #149741=VECTOR('',#469061,10.); #149742=VECTOR('',#469062,10.); #149743=VECTOR('',#469065,10.); #149744=VECTOR('',#469066,10.); #149745=VECTOR('',#469067,10.); #149746=VECTOR('',#469068,10.); #149747=VECTOR('',#469073,10.); #149748=VECTOR('',#469076,10.); #149749=VECTOR('',#469079,10.); #149750=VECTOR('',#469082,10.); #149751=VECTOR('',#469085,10.); #149752=VECTOR('',#469088,10.); #149753=VECTOR('',#469089,10.); #149754=VECTOR('',#469090,10.); #149755=VECTOR('',#469091,10.); #149756=VECTOR('',#469096,10.); #149757=VECTOR('',#469099,10.); #149758=VECTOR('',#469100,10.); #149759=VECTOR('',#469101,10.); #149760=VECTOR('',#469102,10.); #149761=VECTOR('',#469105,10.); #149762=VECTOR('',#469110,10.); #149763=VECTOR('',#469111,10.); #149764=VECTOR('',#469112,10.); #149765=VECTOR('',#469115,10.); #149766=VECTOR('',#469116,10.); #149767=VECTOR('',#469117,10.); #149768=VECTOR('',#469120,10.); #149769=VECTOR('',#469121,10.); #149770=VECTOR('',#469122,10.); #149771=VECTOR('',#469123,10.); #149772=VECTOR('',#469126,10.); #149773=VECTOR('',#469127,10.); #149774=VECTOR('',#469130,10.); #149775=VECTOR('',#469131,10.); #149776=VECTOR('',#469132,10.); #149777=VECTOR('',#469135,10.); #149778=VECTOR('',#469136,10.); #149779=VECTOR('',#469141,10.); #149780=VECTOR('',#469142,10.); #149781=VECTOR('',#469143,10.); #149782=VECTOR('',#469144,10.); #149783=VECTOR('',#469147,10.); #149784=VECTOR('',#469148,10.); #149785=VECTOR('',#469151,10.); #149786=VECTOR('',#469152,10.); #149787=VECTOR('',#469155,10.); #149788=VECTOR('',#469156,10.); #149789=VECTOR('',#469159,10.); #149790=VECTOR('',#469162,10.); #149791=VECTOR('',#469163,10.); #149792=VECTOR('',#469164,10.); #149793=VECTOR('',#469167,10.); #149794=VECTOR('',#469168,10.); #149795=VECTOR('',#469169,10.); #149796=VECTOR('',#469172,10.); #149797=VECTOR('',#469173,10.); #149798=VECTOR('',#469174,10.); #149799=VECTOR('',#469177,10.); #149800=VECTOR('',#469178,10.); #149801=VECTOR('',#469179,10.); #149802=VECTOR('',#469180,10.); #149803=VECTOR('',#469183,10.); #149804=VECTOR('',#469184,10.); #149805=VECTOR('',#469187,10.); #149806=VECTOR('',#469188,10.); #149807=VECTOR('',#469189,10.); #149808=VECTOR('',#469192,10.); #149809=VECTOR('',#469193,10.); #149810=VECTOR('',#469194,10.); #149811=VECTOR('',#469197,10.); #149812=VECTOR('',#469198,10.); #149813=VECTOR('',#469199,10.); #149814=VECTOR('',#469202,10.); #149815=VECTOR('',#469203,10.); #149816=VECTOR('',#469204,10.); #149817=VECTOR('',#469205,10.); #149818=VECTOR('',#469208,10.); #149819=VECTOR('',#469209,10.); #149820=VECTOR('',#469212,10.); #149821=VECTOR('',#469213,10.); #149822=VECTOR('',#469214,10.); #149823=VECTOR('',#469215,10.); #149824=VECTOR('',#469218,10.); #149825=VECTOR('',#469219,10.); #149826=VECTOR('',#469222,10.); #149827=VECTOR('',#469225,10.); #149828=VECTOR('',#469226,10.); #149829=VECTOR('',#469227,10.); #149830=VECTOR('',#469228,10.); #149831=VECTOR('',#469231,10.); #149832=VECTOR('',#469232,10.); #149833=VECTOR('',#469235,10.); #149834=VECTOR('',#469236,10.); #149835=VECTOR('',#469237,10.); #149836=VECTOR('',#469238,10.); #149837=VECTOR('',#469241,10.); #149838=VECTOR('',#469242,10.); #149839=VECTOR('',#469247,10.); #149840=VECTOR('',#469252,1.4); #149841=VECTOR('',#469257,1.4); #149842=VECTOR('',#469260,10.); #149843=VECTOR('',#469261,10.); #149844=VECTOR('',#469264,10.); #149845=VECTOR('',#469265,10.); #149846=VECTOR('',#469266,10.); #149847=VECTOR('',#469269,10.); #149848=VECTOR('',#469270,10.); #149849=VECTOR('',#469271,10.); #149850=VECTOR('',#469272,10.); #149851=VECTOR('',#469273,10.); #149852=VECTOR('',#469274,10.); #149853=VECTOR('',#469275,10.); #149854=VECTOR('',#469276,10.); #149855=VECTOR('',#469279,10.); #149856=VECTOR('',#469280,10.); #149857=VECTOR('',#469283,10.); #149858=VECTOR('',#469284,10.); #149859=VECTOR('',#469285,10.); #149860=VECTOR('',#469286,10.); #149861=VECTOR('',#469287,10.); #149862=VECTOR('',#469288,10.); #149863=VECTOR('',#469289,10.); #149864=VECTOR('',#469290,10.); #149865=VECTOR('',#469301,10.); #149866=VECTOR('',#469302,10.); #149867=VECTOR('',#469305,10.); #149868=VECTOR('',#469306,10.); #149869=VECTOR('',#469307,10.); #149870=VECTOR('',#469320,27.0000000149012); #149871=VECTOR('',#469329,10.); #149872=VECTOR('',#469332,10.); #149873=VECTOR('',#469335,35.2842712474619); #149874=VECTOR('',#469344,10.); #149875=VECTOR('',#469345,10.); #149876=VECTOR('',#469346,10.); #149877=VECTOR('',#469347,10.); #149878=VECTOR('',#469348,10.); #149879=VECTOR('',#469353,10.); #149880=VECTOR('',#469360,10.); #149881=VECTOR('',#469365,10.); #149882=VECTOR('',#469366,10.); #149883=VECTOR('',#469367,10.); #149884=VECTOR('',#469376,10.); #149885=VECTOR('',#469377,10.); #149886=VECTOR('',#469378,10.); #149887=VECTOR('',#469379,10.); #149888=VECTOR('',#469384,10.); #149889=VECTOR('',#469389,10.); #149890=VECTOR('',#469390,10.); #149891=VECTOR('',#469391,10.); #149892=VECTOR('',#469392,10.); #149893=VECTOR('',#469395,10.); #149894=VECTOR('',#469396,10.); #149895=VECTOR('',#469397,10.); #149896=VECTOR('',#469400,10.); #149897=VECTOR('',#469401,10.); #149898=VECTOR('',#469402,10.); #149899=VECTOR('',#469411,10.); #149900=VECTOR('',#469412,10.); #149901=VECTOR('',#469413,10.); #149902=VECTOR('',#469416,10.); #149903=VECTOR('',#469417,10.); #149904=VECTOR('',#469420,10.); #149905=VECTOR('',#469421,10.); #149906=VECTOR('',#469422,10.); #149907=VECTOR('',#469423,10.); #149908=VECTOR('',#469426,10.); #149909=VECTOR('',#469427,10.); #149910=VECTOR('',#469428,10.); #149911=VECTOR('',#469431,10.); #149912=VECTOR('',#469432,10.); #149913=VECTOR('',#469433,10.); #149914=VECTOR('',#469436,10.); #149915=VECTOR('',#469437,10.); #149916=VECTOR('',#469442,3.75); #149917=VECTOR('',#469447,10.); #149918=VECTOR('',#469448,10.); #149919=VECTOR('',#469449,10.); #149920=VECTOR('',#469450,10.); #149921=VECTOR('',#469457,10.); #149922=VECTOR('',#469460,10.); #149923=VECTOR('',#469461,10.); #149924=VECTOR('',#469462,10.); #149925=VECTOR('',#469501,10.); #149926=VECTOR('',#469504,10.); #149927=VECTOR('',#469507,10.); #149928=VECTOR('',#469510,10.); #149929=VECTOR('',#469513,41.974765156531); #149930=VECTOR('',#469516,42.0086824004184); #149931=VECTOR('',#469519,43.3242590983762); #149932=VECTOR('',#469522,43.1972986394013); #149933=VECTOR('',#469525,41.9747651565319); #149934=VECTOR('',#469530,41.974765156532); #149935=VECTOR('',#469533,43.2195403136844); #149936=VECTOR('',#469536,43.2195403136837); #149937=VECTOR('',#469543,33.4092712474619); #149938=VECTOR('',#469550,33.7842712474619); #149939=VECTOR('',#469557,35.2842712474619); #149940=VECTOR('',#469564,25.8000000000002); #149941=VECTOR('',#469569,3.125); #149942=VECTOR('',#469580,3.125); #149943=VECTOR('',#469591,3.125); #149944=VECTOR('',#469602,3.125); #149945=VERTEX_POINT('',#469623); #149946=VERTEX_POINT('',#469624); #149947=VERTEX_POINT('',#469626); #149948=VERTEX_POINT('',#469628); #149949=VERTEX_POINT('',#469656); #149950=VERTEX_POINT('',#469657); #149951=VERTEX_POINT('',#469659); #149952=VERTEX_POINT('',#469661); #149953=VERTEX_POINT('',#469665); #149954=VERTEX_POINT('',#469667); #149955=VERTEX_POINT('',#469669); #149956=VERTEX_POINT('',#469671); #149957=VERTEX_POINT('',#469673); #149958=VERTEX_POINT('',#469675); #149959=VERTEX_POINT('',#469677); #149960=VERTEX_POINT('',#469679); #149961=VERTEX_POINT('',#469681); #149962=VERTEX_POINT('',#469683); #149963=VERTEX_POINT('',#469685); #149964=VERTEX_POINT('',#469689); #149965=VERTEX_POINT('',#469693); #149966=VERTEX_POINT('',#469694); #149967=VERTEX_POINT('',#469696); #149968=VERTEX_POINT('',#469698); #149969=VERTEX_POINT('',#469702); #149970=VERTEX_POINT('',#469704); #149971=VERTEX_POINT('',#469706); #149972=VERTEX_POINT('',#469708); #149973=VERTEX_POINT('',#469710); #149974=VERTEX_POINT('',#469713); #149975=VERTEX_POINT('',#469717); #149976=VERTEX_POINT('',#469718); #149977=VERTEX_POINT('',#469723); #149978=VERTEX_POINT('',#469725); #149979=VERTEX_POINT('',#469727); #149980=VERTEX_POINT('',#469729); #149981=VERTEX_POINT('',#469731); #149982=VERTEX_POINT('',#469733); #149983=VERTEX_POINT('',#469735); #149984=VERTEX_POINT('',#469737); #149985=VERTEX_POINT('',#469739); #149986=VERTEX_POINT('',#469741); #149987=VERTEX_POINT('',#469743); #149988=VERTEX_POINT('',#469749); #149989=VERTEX_POINT('',#469750); #149990=VERTEX_POINT('',#469752); #149991=VERTEX_POINT('',#469754); #149992=VERTEX_POINT('',#469756); #149993=VERTEX_POINT('',#469758); #149994=VERTEX_POINT('',#469760); #149995=VERTEX_POINT('',#469762); #149996=VERTEX_POINT('',#469764); #149997=VERTEX_POINT('',#469766); #149998=VERTEX_POINT('',#469768); #149999=VERTEX_POINT('',#469770); #150000=VERTEX_POINT('',#469772); #150001=VERTEX_POINT('',#469774); #150002=VERTEX_POINT('',#469776); #150003=VERTEX_POINT('',#469778); #150004=VERTEX_POINT('',#469780); #150005=VERTEX_POINT('',#469782); #150006=VERTEX_POINT('',#469784); #150007=VERTEX_POINT('',#469786); #150008=VERTEX_POINT('',#469788); #150009=VERTEX_POINT('',#469790); #150010=VERTEX_POINT('',#469792); #150011=VERTEX_POINT('',#469794); #150012=VERTEX_POINT('',#469796); #150013=VERTEX_POINT('',#469798); #150014=VERTEX_POINT('',#469800); #150015=VERTEX_POINT('',#469802); #150016=VERTEX_POINT('',#469804); #150017=VERTEX_POINT('',#469806); #150018=VERTEX_POINT('',#469808); #150019=VERTEX_POINT('',#469810); #150020=VERTEX_POINT('',#469812); #150021=VERTEX_POINT('',#469814); #150022=VERTEX_POINT('',#469816); #150023=VERTEX_POINT('',#469818); #150024=VERTEX_POINT('',#469820); #150025=VERTEX_POINT('',#469824); #150026=VERTEX_POINT('',#469826); #150027=VERTEX_POINT('',#469828); #150028=VERTEX_POINT('',#469830); #150029=VERTEX_POINT('',#469832); #150030=VERTEX_POINT('',#469834); #150031=VERTEX_POINT('',#469836); #150032=VERTEX_POINT('',#469838); #150033=VERTEX_POINT('',#469840); #150034=VERTEX_POINT('',#469842); #150035=VERTEX_POINT('',#469844); #150036=VERTEX_POINT('',#469846); #150037=VERTEX_POINT('',#469848); #150038=VERTEX_POINT('',#469850); #150039=VERTEX_POINT('',#469852); #150040=VERTEX_POINT('',#469854); #150041=VERTEX_POINT('',#469856); #150042=VERTEX_POINT('',#469858); #150043=VERTEX_POINT('',#469860); #150044=VERTEX_POINT('',#469862); #150045=VERTEX_POINT('',#469864); #150046=VERTEX_POINT('',#469866); #150047=VERTEX_POINT('',#469868); #150048=VERTEX_POINT('',#469870); #150049=VERTEX_POINT('',#469872); #150050=VERTEX_POINT('',#469874); #150051=VERTEX_POINT('',#469876); #150052=VERTEX_POINT('',#469878); #150053=VERTEX_POINT('',#469880); #150054=VERTEX_POINT('',#469882); #150055=VERTEX_POINT('',#469884); #150056=VERTEX_POINT('',#469886); #150057=VERTEX_POINT('',#469888); #150058=VERTEX_POINT('',#469891); #150059=VERTEX_POINT('',#469893); #150060=VERTEX_POINT('',#469895); #150061=VERTEX_POINT('',#469897); #150062=VERTEX_POINT('',#469900); #150063=VERTEX_POINT('',#469901); #150064=VERTEX_POINT('',#469906); #150065=VERTEX_POINT('',#469907); #150066=VERTEX_POINT('',#469910); #150067=VERTEX_POINT('',#469912); #150068=VERTEX_POINT('',#469914); #150069=VERTEX_POINT('',#469916); #150070=VERTEX_POINT('',#469918); #150071=VERTEX_POINT('',#469920); #150072=VERTEX_POINT('',#469922); #150073=VERTEX_POINT('',#469924); #150074=VERTEX_POINT('',#469926); #150075=VERTEX_POINT('',#469928); #150076=VERTEX_POINT('',#469930); #150077=VERTEX_POINT('',#469932); #150078=VERTEX_POINT('',#469934); #150079=VERTEX_POINT('',#469936); #150080=VERTEX_POINT('',#469938); #150081=VERTEX_POINT('',#469941); #150082=VERTEX_POINT('',#469942); #150083=VERTEX_POINT('',#469944); #150084=VERTEX_POINT('',#469946); #150085=VERTEX_POINT('',#469948); #150086=VERTEX_POINT('',#469950); #150087=VERTEX_POINT('',#469952); #150088=VERTEX_POINT('',#469954); #150089=VERTEX_POINT('',#469956); #150090=VERTEX_POINT('',#469958); #150091=VERTEX_POINT('',#469960); #150092=VERTEX_POINT('',#469962); #150093=VERTEX_POINT('',#469964); #150094=VERTEX_POINT('',#469966); #150095=VERTEX_POINT('',#469968); #150096=VERTEX_POINT('',#469970); #150097=VERTEX_POINT('',#469973); #150098=VERTEX_POINT('',#469975); #150099=VERTEX_POINT('',#469977); #150100=VERTEX_POINT('',#469979); #150101=VERTEX_POINT('',#470011); #150102=VERTEX_POINT('',#470012); #150103=VERTEX_POINT('',#470014); #150104=VERTEX_POINT('',#470016); #150105=VERTEX_POINT('',#470018); #150106=VERTEX_POINT('',#470020); #150107=VERTEX_POINT('',#470022); #150108=VERTEX_POINT('',#470024); #150109=VERTEX_POINT('',#470026); #150110=VERTEX_POINT('',#470028); #150111=VERTEX_POINT('',#470030); #150112=VERTEX_POINT('',#470032); #150113=VERTEX_POINT('',#470034); #150114=VERTEX_POINT('',#470036); #150115=VERTEX_POINT('',#470042); #150116=VERTEX_POINT('',#470043); #150117=VERTEX_POINT('',#470049); #150118=VERTEX_POINT('',#470051); #150119=VERTEX_POINT('',#470053); #150120=VERTEX_POINT('',#470055); #150121=VERTEX_POINT('',#470057); #150122=VERTEX_POINT('',#470059); #150123=VERTEX_POINT('',#470061); #150124=VERTEX_POINT('',#470063); #150125=VERTEX_POINT('',#470065); #150126=VERTEX_POINT('',#470085); #150127=VERTEX_POINT('',#470086); #150128=VERTEX_POINT('',#470088); #150129=VERTEX_POINT('',#470090); #150130=VERTEX_POINT('',#470092); #150131=VERTEX_POINT('',#470095); #150132=VERTEX_POINT('',#470097); #150133=VERTEX_POINT('',#470099); #150134=VERTEX_POINT('',#470101); #150135=VERTEX_POINT('',#470103); #150136=VERTEX_POINT('',#470105); #150137=VERTEX_POINT('',#470107); #150138=VERTEX_POINT('',#470109); #150139=VERTEX_POINT('',#470111); #150140=VERTEX_POINT('',#470113); #150141=VERTEX_POINT('',#470115); #150142=VERTEX_POINT('',#470117); #150143=VERTEX_POINT('',#470119); #150144=VERTEX_POINT('',#470121); #150145=VERTEX_POINT('',#470123); #150146=VERTEX_POINT('',#470125); #150147=VERTEX_POINT('',#470127); #150148=VERTEX_POINT('',#470129); #150149=VERTEX_POINT('',#470131); #150150=VERTEX_POINT('',#470133); #150151=VERTEX_POINT('',#470135); #150152=VERTEX_POINT('',#470137); #150153=VERTEX_POINT('',#470141); #150154=VERTEX_POINT('',#470143); #150155=VERTEX_POINT('',#470145); #150156=VERTEX_POINT('',#470147); #150157=VERTEX_POINT('',#470149); #150158=VERTEX_POINT('',#470151); #150159=VERTEX_POINT('',#470153); #150160=VERTEX_POINT('',#470155); #150161=VERTEX_POINT('',#470157); #150162=VERTEX_POINT('',#470159); #150163=VERTEX_POINT('',#470161); #150164=VERTEX_POINT('',#470163); #150165=VERTEX_POINT('',#470165); #150166=VERTEX_POINT('',#470167); #150167=VERTEX_POINT('',#470169); #150168=VERTEX_POINT('',#470171); #150169=VERTEX_POINT('',#470174); #150170=VERTEX_POINT('',#470176); #150171=VERTEX_POINT('',#470178); #150172=VERTEX_POINT('',#470180); #150173=VERTEX_POINT('',#470182); #150174=VERTEX_POINT('',#470184); #150175=VERTEX_POINT('',#470186); #150176=VERTEX_POINT('',#470188); #150177=VERTEX_POINT('',#470190); #150178=VERTEX_POINT('',#470192); #150179=VERTEX_POINT('',#470194); #150180=VERTEX_POINT('',#470196); #150181=VERTEX_POINT('',#470199); #150182=VERTEX_POINT('',#470201); #150183=VERTEX_POINT('',#470203); #150184=VERTEX_POINT('',#470205); #150185=VERTEX_POINT('',#470208); #150186=VERTEX_POINT('',#470209); #150187=VERTEX_POINT('',#470214); #150188=VERTEX_POINT('',#470215); #150189=VERTEX_POINT('',#470218); #150190=VERTEX_POINT('',#470220); #150191=VERTEX_POINT('',#470222); #150192=VERTEX_POINT('',#470224); #150193=VERTEX_POINT('',#470226); #150194=VERTEX_POINT('',#470228); #150195=VERTEX_POINT('',#470230); #150196=VERTEX_POINT('',#470232); #150197=VERTEX_POINT('',#470234); #150198=VERTEX_POINT('',#470236); #150199=VERTEX_POINT('',#470238); #150200=VERTEX_POINT('',#470240); #150201=VERTEX_POINT('',#470243); #150202=VERTEX_POINT('',#470246); #150203=VERTEX_POINT('',#470247); #150204=VERTEX_POINT('',#470249); #150205=VERTEX_POINT('',#470251); #150206=VERTEX_POINT('',#470253); #150207=VERTEX_POINT('',#470255); #150208=VERTEX_POINT('',#470257); #150209=VERTEX_POINT('',#470259); #150210=VERTEX_POINT('',#470261); #150211=VERTEX_POINT('',#470263); #150212=VERTEX_POINT('',#470265); #150213=VERTEX_POINT('',#470267); #150214=VERTEX_POINT('',#470269); #150215=VERTEX_POINT('',#470271); #150216=VERTEX_POINT('',#470273); #150217=VERTEX_POINT('',#470275); #150218=VERTEX_POINT('',#470278); #150219=VERTEX_POINT('',#470280); #150220=VERTEX_POINT('',#470282); #150221=VERTEX_POINT('',#470284); #150222=VERTEX_POINT('',#470337); #150223=VERTEX_POINT('',#470341); #150224=VERTEX_POINT('',#470370); #150225=VERTEX_POINT('',#470399); #150226=VERTEX_POINT('',#470435); #150227=VERTEX_POINT('',#470436); #150228=VERTEX_POINT('',#470438); #150229=VERTEX_POINT('',#470524); #150230=VERTEX_POINT('',#470559); #150231=VERTEX_POINT('',#470561); #150232=VERTEX_POINT('',#470641); #150233=VERTEX_POINT('',#470676); #150234=VERTEX_POINT('',#470678); #150235=VERTEX_POINT('',#470680); #150236=VERTEX_POINT('',#470713); #150237=VERTEX_POINT('',#470746); #150238=VERTEX_POINT('',#470750); #150239=VERTEX_POINT('',#470752); #150240=VERTEX_POINT('',#470755); #150241=VERTEX_POINT('',#470757); #150242=VERTEX_POINT('',#470788); #150243=VERTEX_POINT('',#470823); #150244=VERTEX_POINT('',#470825); #150245=VERTEX_POINT('',#470827); #150246=VERTEX_POINT('',#470858); #150247=VERTEX_POINT('',#471083); #150248=VERTEX_POINT('',#471106); #150249=VERTEX_POINT('',#471162); #150250=VERTEX_POINT('',#471251); #150251=VERTEX_POINT('',#471268); #150252=VERTEX_POINT('',#471288); #150253=VERTEX_POINT('',#471294); #150254=VERTEX_POINT('',#471299); #150255=VERTEX_POINT('',#471308); #150256=VERTEX_POINT('',#471406); #150257=VERTEX_POINT('',#471620); #150258=VERTEX_POINT('',#471627); #150259=VERTEX_POINT('',#471636); #150260=VERTEX_POINT('',#471641); #150261=VERTEX_POINT('',#471715); #150262=VERTEX_POINT('',#471722); #150263=VERTEX_POINT('',#471796); #150264=VERTEX_POINT('',#471801); #150265=VERTEX_POINT('',#471815); #150266=VERTEX_POINT('',#471838); #150267=VERTEX_POINT('',#471895); #150268=VERTEX_POINT('',#471896); #150269=VERTEX_POINT('',#471904); #150270=VERTEX_POINT('',#471906); #150271=VERTEX_POINT('',#471928); #150272=VERTEX_POINT('',#471933); #150273=VERTEX_POINT('',#471944); #150274=VERTEX_POINT('',#471949); #150275=VERTEX_POINT('',#471955); #150276=VERTEX_POINT('',#471962); #150277=VERTEX_POINT('',#472003); #150278=VERTEX_POINT('',#472056); #150279=VERTEX_POINT('',#472085); #150280=VERTEX_POINT('',#472087); #150281=VERTEX_POINT('',#472092); #150282=VERTEX_POINT('',#472097); #150283=VERTEX_POINT('',#472100); #150284=VERTEX_POINT('',#472115); #150285=VERTEX_POINT('',#472129); #150286=VERTEX_POINT('',#472144); #150287=VERTEX_POINT('',#472149); #150288=VERTEX_POINT('',#472181); #150289=VERTEX_POINT('',#472188); #150290=VERTEX_POINT('',#472201); #150291=VERTEX_POINT('',#472206); #150292=VERTEX_POINT('',#472208); #150293=VERTEX_POINT('',#472222); #150294=VERTEX_POINT('',#472230); #150295=VERTEX_POINT('',#472236); #150296=VERTEX_POINT('',#472242); #150297=VERTEX_POINT('',#472280); #150298=VERTEX_POINT('',#472324); #150299=VERTEX_POINT('',#472331); #150300=VERTEX_POINT('',#472343); #150301=VERTEX_POINT('',#472345); #150302=VERTEX_POINT('',#472358); #150303=VERTEX_POINT('',#472373); #150304=VERTEX_POINT('',#472387); #150305=VERTEX_POINT('',#472419); #150306=VERTEX_POINT('',#472428); #150307=VERTEX_POINT('',#472433); #150308=VERTEX_POINT('',#472435); #150309=VERTEX_POINT('',#472449); #150310=VERTEX_POINT('',#472466); #150311=VERTEX_POINT('',#472471); #150312=VERTEX_POINT('',#472477); #150313=VERTEX_POINT('',#472484); #150314=VERTEX_POINT('',#472525); #150315=VERTEX_POINT('',#472606); #150316=VERTEX_POINT('',#472621); #150317=VERTEX_POINT('',#472635); #150318=VERTEX_POINT('',#472649); #150319=VERTEX_POINT('',#472654); #150320=VERTEX_POINT('',#472686); #150321=VERTEX_POINT('',#472693); #150322=VERTEX_POINT('',#472706); #150323=VERTEX_POINT('',#472711); #150324=VERTEX_POINT('',#472713); #150325=VERTEX_POINT('',#472727); #150326=VERTEX_POINT('',#472744); #150327=VERTEX_POINT('',#472749); #150328=VERTEX_POINT('',#472755); #150329=VERTEX_POINT('',#472762); #150330=VERTEX_POINT('',#472803); #150331=VERTEX_POINT('',#472856); #150332=VERTEX_POINT('',#472885); #150333=VERTEX_POINT('',#472887); #150334=VERTEX_POINT('',#472902); #150335=VERTEX_POINT('',#472916); #150336=VERTEX_POINT('',#472930); #150337=VERTEX_POINT('',#472935); #150338=VERTEX_POINT('',#472967); #150339=VERTEX_POINT('',#472974); #150340=VERTEX_POINT('',#472987); #150341=VERTEX_POINT('',#472992); #150342=VERTEX_POINT('',#472994); #150343=VERTEX_POINT('',#473008); #150344=VERTEX_POINT('',#473025); #150345=VERTEX_POINT('',#473030); #150346=VERTEX_POINT('',#473036); #150347=VERTEX_POINT('',#473043); #150348=VERTEX_POINT('',#473084); #150349=VERTEX_POINT('',#473137); #150350=VERTEX_POINT('',#473166); #150351=VERTEX_POINT('',#473168); #150352=VERTEX_POINT('',#473183); #150353=VERTEX_POINT('',#473197); #150354=VERTEX_POINT('',#473212); #150355=VERTEX_POINT('',#473217); #150356=VERTEX_POINT('',#473249); #150357=VERTEX_POINT('',#473256); #150358=VERTEX_POINT('',#473269); #150359=VERTEX_POINT('',#473309); #150360=VERTEX_POINT('',#473520); #150361=VERTEX_POINT('',#473537); #150362=VERTEX_POINT('',#473546); #150363=VERTEX_POINT('',#473548); #150364=VERTEX_POINT('',#473687); #150365=VERTEX_POINT('',#473723); #150366=VERTEX_POINT('',#473760); #150367=VERTEX_POINT('',#473797); #150368=VERTEX_POINT('',#473898); #150369=VERTEX_POINT('',#474011); #150370=VERTEX_POINT('',#474149); #150371=VERTEX_POINT('',#474232); #150372=VERTEX_POINT('',#474411); #150373=VERTEX_POINT('',#474572); #150374=VERTEX_POINT('',#474739); #150375=VERTEX_POINT('',#474798); #150376=VERTEX_POINT('',#474947); #150377=VERTEX_POINT('',#475021); #150378=VERTEX_POINT('',#475090); #150379=VERTEX_POINT('',#475230); #150380=VERTEX_POINT('',#475561); #150381=VERTEX_POINT('',#475784); #150382=VERTEX_POINT('',#475841); #150383=VERTEX_POINT('',#475867); #150384=VERTEX_POINT('',#476046); #150385=VERTEX_POINT('',#476141); #150386=VERTEX_POINT('',#476143); #150387=VERTEX_POINT('',#476160); #150388=VERTEX_POINT('',#476388); #150389=VERTEX_POINT('',#476397); #150390=VERTEX_POINT('',#476399); #150391=VERTEX_POINT('',#476538); #150392=VERTEX_POINT('',#476574); #150393=VERTEX_POINT('',#476611); #150394=VERTEX_POINT('',#476648); #150395=VERTEX_POINT('',#476749); #150396=VERTEX_POINT('',#476862); #150397=VERTEX_POINT('',#477244); #150398=VERTEX_POINT('',#477457); #150399=VERTEX_POINT('',#477531); #150400=VERTEX_POINT('',#477538); #150401=VERTEX_POINT('',#477612); #150402=VERTEX_POINT('',#477617); #150403=VERTEX_POINT('',#478372); #150404=VERTEX_POINT('',#478379); #150405=VERTEX_POINT('',#478457); #150406=VERTEX_POINT('',#478636); #150407=VERTEX_POINT('',#478797); #150408=VERTEX_POINT('',#478975); #150409=VERTEX_POINT('',#479035); #150410=VERTEX_POINT('',#479184); #150411=VERTEX_POINT('',#479325); #150412=VERTEX_POINT('',#479465); #150413=VERTEX_POINT('',#479584); #150414=VERTEX_POINT('',#479601); #150415=VERTEX_POINT('',#479840); #150416=VERTEX_POINT('',#479849); #150417=VERTEX_POINT('',#479851); #150418=VERTEX_POINT('',#479993); #150419=VERTEX_POINT('',#480029); #150420=VERTEX_POINT('',#480066); #150421=VERTEX_POINT('',#480103); #150422=VERTEX_POINT('',#480204); #150423=VERTEX_POINT('',#480594); #150424=VERTEX_POINT('',#480666); #150425=VERTEX_POINT('',#480674); #150426=VERTEX_POINT('',#480681); #150427=VERTEX_POINT('',#480694); #150428=VERTEX_POINT('',#480701); #150429=VERTEX_POINT('',#480858); #150430=VERTEX_POINT('',#481051); #150431=VERTEX_POINT('',#481210); #150432=VERTEX_POINT('',#481368); #150433=VERTEX_POINT('',#481597); #150434=VERTEX_POINT('',#481755); #150435=VERTEX_POINT('',#481772); #150436=VERTEX_POINT('',#482011); #150437=VERTEX_POINT('',#482020); #150438=VERTEX_POINT('',#482022); #150439=VERTEX_POINT('',#482159); #150440=VERTEX_POINT('',#482199); #150441=VERTEX_POINT('',#482239); #150442=VERTEX_POINT('',#482311); #150443=VERTEX_POINT('',#482411); #150444=VERTEX_POINT('',#482535); #150445=VERTEX_POINT('',#482830); #150446=VERTEX_POINT('',#483060); #150447=VERTEX_POINT('',#483066); #150448=VERTEX_POINT('',#483296); #150449=VERTEX_POINT('',#483941); #150450=VERTEX_POINT('',#484754); #150451=VERTEX_POINT('',#484755); #150452=VERTEX_POINT('',#484757); #150453=VERTEX_POINT('',#484759); #150454=VERTEX_POINT('',#484761); #150455=VERTEX_POINT('',#484763); #150456=VERTEX_POINT('',#484765); #150457=VERTEX_POINT('',#484767); #150458=VERTEX_POINT('',#484779); #150459=VERTEX_POINT('',#484783); #150460=VERTEX_POINT('',#484784); #150461=VERTEX_POINT('',#484789); #150462=VERTEX_POINT('',#484790); #150463=VERTEX_POINT('',#484793); #150464=VERTEX_POINT('',#484797); #150465=VERTEX_POINT('',#484808); #150466=VERTEX_POINT('',#484810); #150467=VERTEX_POINT('',#484812); #150468=VERTEX_POINT('',#484850); #150469=VERTEX_POINT('',#484851); #150470=VERTEX_POINT('',#484853); #150471=VERTEX_POINT('',#484855); #150472=VERTEX_POINT('',#484857); #150473=VERTEX_POINT('',#484859); #150474=VERTEX_POINT('',#484861); #150475=VERTEX_POINT('',#484863); #150476=VERTEX_POINT('',#484866); #150477=VERTEX_POINT('',#484870); #150478=VERTEX_POINT('',#484872); #150479=VERTEX_POINT('',#484876); #150480=VERTEX_POINT('',#484880); #150481=VERTEX_POINT('',#484884); #150482=VERTEX_POINT('',#484888); #150483=VERTEX_POINT('',#484892); #150484=VERTEX_POINT('',#484896); #150485=VERTEX_POINT('',#484905); #150486=VERTEX_POINT('',#484911); #150487=VERTEX_POINT('',#484913); #150488=VERTEX_POINT('',#484915); #150489=VERTEX_POINT('',#484917); #150490=VERTEX_POINT('',#484919); #150491=VERTEX_POINT('',#484921); #150492=VERTEX_POINT('',#484923); #150493=VERTEX_POINT('',#484925); #150494=VERTEX_POINT('',#484927); #150495=VERTEX_POINT('',#484933); #150496=VERTEX_POINT('',#484937); #150497=VERTEX_POINT('',#484938); #150498=VERTEX_POINT('',#484940); #150499=VERTEX_POINT('',#484942); #150500=VERTEX_POINT('',#484944); #150501=VERTEX_POINT('',#484948); #150502=VERTEX_POINT('',#484950); #150503=VERTEX_POINT('',#484952); #150504=VERTEX_POINT('',#484975); #150505=VERTEX_POINT('',#484976); #150506=VERTEX_POINT('',#484978); #150507=VERTEX_POINT('',#484980); #150508=VERTEX_POINT('',#484982); #150509=VERTEX_POINT('',#484984); #150510=VERTEX_POINT('',#484986); #150511=VERTEX_POINT('',#484988); #150512=VERTEX_POINT('',#485000); #150513=VERTEX_POINT('',#485004); #150514=VERTEX_POINT('',#485005); #150515=VERTEX_POINT('',#485010); #150516=VERTEX_POINT('',#485011); #150517=VERTEX_POINT('',#485014); #150518=VERTEX_POINT('',#485018); #150519=VERTEX_POINT('',#485029); #150520=VERTEX_POINT('',#485031); #150521=VERTEX_POINT('',#485033); #150522=VERTEX_POINT('',#485080); #150523=VERTEX_POINT('',#485084); #150524=VERTEX_POINT('',#485085); #150525=VERTEX_POINT('',#485087); #150526=VERTEX_POINT('',#485089); #150527=VERTEX_POINT('',#485093); #150528=VERTEX_POINT('',#485095); #150529=VERTEX_POINT('',#485097); #150530=VERTEX_POINT('',#485099); #150531=VERTEX_POINT('',#485122); #150532=VERTEX_POINT('',#485123); #150533=VERTEX_POINT('',#485125); #150534=VERTEX_POINT('',#485127); #150535=VERTEX_POINT('',#485129); #150536=VERTEX_POINT('',#485131); #150537=VERTEX_POINT('',#485133); #150538=VERTEX_POINT('',#485135); #150539=VERTEX_POINT('',#485147); #150540=VERTEX_POINT('',#485151); #150541=VERTEX_POINT('',#485152); #150542=VERTEX_POINT('',#485157); #150543=VERTEX_POINT('',#485158); #150544=VERTEX_POINT('',#485161); #150545=VERTEX_POINT('',#485165); #150546=VERTEX_POINT('',#485176); #150547=VERTEX_POINT('',#485178); #150548=VERTEX_POINT('',#485180); #150549=VERTEX_POINT('',#485218); #150550=VERTEX_POINT('',#485219); #150551=VERTEX_POINT('',#485221); #150552=VERTEX_POINT('',#485223); #150553=VERTEX_POINT('',#485225); #150554=VERTEX_POINT('',#485227); #150555=VERTEX_POINT('',#485229); #150556=VERTEX_POINT('',#485231); #150557=VERTEX_POINT('',#485234); #150558=VERTEX_POINT('',#485238); #150559=VERTEX_POINT('',#485240); #150560=VERTEX_POINT('',#485244); #150561=VERTEX_POINT('',#485248); #150562=VERTEX_POINT('',#485252); #150563=VERTEX_POINT('',#485256); #150564=VERTEX_POINT('',#485260); #150565=VERTEX_POINT('',#485264); #150566=VERTEX_POINT('',#485273); #150567=VERTEX_POINT('',#485279); #150568=VERTEX_POINT('',#485281); #150569=VERTEX_POINT('',#485283); #150570=VERTEX_POINT('',#485285); #150571=VERTEX_POINT('',#485287); #150572=VERTEX_POINT('',#485289); #150573=VERTEX_POINT('',#485291); #150574=VERTEX_POINT('',#485293); #150575=VERTEX_POINT('',#485295); #150576=VERTEX_POINT('',#485301); #150577=VERTEX_POINT('',#485305); #150578=VERTEX_POINT('',#485306); #150579=VERTEX_POINT('',#485308); #150580=VERTEX_POINT('',#485310); #150581=VERTEX_POINT('',#485312); #150582=VERTEX_POINT('',#485316); #150583=VERTEX_POINT('',#485318); #150584=VERTEX_POINT('',#485320); #150585=VERTEX_POINT('',#485343); #150586=VERTEX_POINT('',#485344); #150587=VERTEX_POINT('',#485346); #150588=VERTEX_POINT('',#485348); #150589=VERTEX_POINT('',#485350); #150590=VERTEX_POINT('',#485352); #150591=VERTEX_POINT('',#485354); #150592=VERTEX_POINT('',#485356); #150593=VERTEX_POINT('',#485368); #150594=VERTEX_POINT('',#485372); #150595=VERTEX_POINT('',#485373); #150596=VERTEX_POINT('',#485378); #150597=VERTEX_POINT('',#485379); #150598=VERTEX_POINT('',#485382); #150599=VERTEX_POINT('',#485386); #150600=VERTEX_POINT('',#485397); #150601=VERTEX_POINT('',#485399); #150602=VERTEX_POINT('',#485401); #150603=VERTEX_POINT('',#485439); #150604=VERTEX_POINT('',#485440); #150605=VERTEX_POINT('',#485442); #150606=VERTEX_POINT('',#485444); #150607=VERTEX_POINT('',#485446); #150608=VERTEX_POINT('',#485448); #150609=VERTEX_POINT('',#485450); #150610=VERTEX_POINT('',#485452); #150611=VERTEX_POINT('',#485455); #150612=VERTEX_POINT('',#485459); #150613=VERTEX_POINT('',#485461); #150614=VERTEX_POINT('',#485465); #150615=VERTEX_POINT('',#485469); #150616=VERTEX_POINT('',#485473); #150617=VERTEX_POINT('',#485477); #150618=VERTEX_POINT('',#485481); #150619=VERTEX_POINT('',#485485); #150620=VERTEX_POINT('',#485494); #150621=VERTEX_POINT('',#485643); #150622=VERTEX_POINT('',#485644); #150623=VERTEX_POINT('',#485646); #150624=VERTEX_POINT('',#485653); #150625=VERTEX_POINT('',#485655); #150626=VERTEX_POINT('',#485668); #150627=VERTEX_POINT('',#485669); #150628=VERTEX_POINT('',#485899); #150629=VERTEX_POINT('',#485910); #150630=VERTEX_POINT('',#485912); #150631=VERTEX_POINT('',#486001); #150632=VERTEX_POINT('',#486003); #150633=VERTEX_POINT('',#486030); #150634=VERTEX_POINT('',#486075); #150635=VERTEX_POINT('',#486078); #150636=VERTEX_POINT('',#486085); #150637=VERTEX_POINT('',#486087); #150638=VERTEX_POINT('',#486125); #150639=VERTEX_POINT('',#486132); #150640=VERTEX_POINT('',#486134); #150641=VERTEX_POINT('',#486172); #150642=VERTEX_POINT('',#486179); #150643=VERTEX_POINT('',#486181); #150644=VERTEX_POINT('',#486219); #150645=VERTEX_POINT('',#486226); #150646=VERTEX_POINT('',#486228); #150647=VERTEX_POINT('',#486348); #150648=VERTEX_POINT('',#486349); #150649=VERTEX_POINT('',#486356); #150650=VERTEX_POINT('',#486363); #150651=VERTEX_POINT('',#486365); #150652=VERTEX_POINT('',#486405); #150653=VERTEX_POINT('',#486407); #150654=VERTEX_POINT('',#486677); #150655=VERTEX_POINT('',#486684); #150656=VERTEX_POINT('',#486725); #150657=VERTEX_POINT('',#486727); #150658=VERTEX_POINT('',#486729); #150659=VERTEX_POINT('',#487039); #150660=VERTEX_POINT('',#487111); #150661=VERTEX_POINT('',#487126); #150662=VERTEX_POINT('',#487155); #150663=VERTEX_POINT('',#487187); #150664=VERTEX_POINT('',#487198); #150665=VERTEX_POINT('',#487391); #150666=VERTEX_POINT('',#487392); #150667=VERTEX_POINT('',#487409); #150668=VERTEX_POINT('',#487411); #150669=VERTEX_POINT('',#487447); #150670=VERTEX_POINT('',#487449); #150671=VERTEX_POINT('',#487647); #150672=VERTEX_POINT('',#487654); #150673=VERTEX_POINT('',#487656); #150674=VERTEX_POINT('',#487833); #150675=VERTEX_POINT('',#487834); #150676=VERTEX_POINT('',#487851); #150677=VERTEX_POINT('',#487892); #150678=VERTEX_POINT('',#487894); #150679=VERTEX_POINT('',#487901); #150680=VERTEX_POINT('',#487937); #150681=VERTEX_POINT('',#487939); #150682=VERTEX_POINT('',#488137); #150683=VERTEX_POINT('',#488144); #150684=VERTEX_POINT('',#488146); #150685=VERTEX_POINT('',#488323); #150686=VERTEX_POINT('',#488364); #150687=VERTEX_POINT('',#488366); #150688=VERTEX_POINT('',#488393); #150689=VERTEX_POINT('',#488408); #150690=VERTEX_POINT('',#488480); #150691=VERTEX_POINT('',#488509); #150692=VERTEX_POINT('',#488700); #150693=VERTEX_POINT('',#488701); #150694=VERTEX_POINT('',#488718); #150695=VERTEX_POINT('',#488720); #150696=VERTEX_POINT('',#488722); #150697=VERTEX_POINT('',#488758); #150698=VERTEX_POINT('',#488760); #150699=VERTEX_POINT('',#488958); #150700=VERTEX_POINT('',#488965); #150701=VERTEX_POINT('',#488994); #150702=VERTEX_POINT('',#489051); #150703=VERTEX_POINT('',#489052); #150704=VERTEX_POINT('',#489071); #150705=VERTEX_POINT('',#489384); #150706=VERTEX_POINT('',#489386); #150707=VERTEX_POINT('',#489394); #150708=VERTEX_POINT('',#489401); #150709=VERTEX_POINT('',#489703); #150710=VERTEX_POINT('',#489807); #150711=VERTEX_POINT('',#489808); #150712=VERTEX_POINT('',#489894); #150713=VERTEX_POINT('',#490187); #150714=VERTEX_POINT('',#490193); #150715=VERTEX_POINT('',#490194); #150716=VERTEX_POINT('',#490196); #150717=VERTEX_POINT('',#490198); #150718=VERTEX_POINT('',#490202); #150719=VERTEX_POINT('',#490203); #150720=VERTEX_POINT('',#490205); #150721=VERTEX_POINT('',#490209); #150722=VERTEX_POINT('',#490210); #150723=VERTEX_POINT('',#490212); #150724=VERTEX_POINT('',#490214); #150725=VERTEX_POINT('',#490218); #150726=VERTEX_POINT('',#490219); #150727=VERTEX_POINT('',#490221); #150728=VERTEX_POINT('',#490225); #150729=VERTEX_POINT('',#490226); #150730=VERTEX_POINT('',#490230); #150731=VERTEX_POINT('',#490231); #150732=VERTEX_POINT('',#490233); #150733=VERTEX_POINT('',#490237); #150734=VERTEX_POINT('',#490239); #150735=VERTEX_POINT('',#490243); #150736=VERTEX_POINT('',#490244); #150737=VERTEX_POINT('',#490248); #150738=VERTEX_POINT('',#490250); #150739=VERTEX_POINT('',#490252); #150740=VERTEX_POINT('',#490255); #150741=VERTEX_POINT('',#490259); #150742=VERTEX_POINT('',#490260); #150743=VERTEX_POINT('',#490262); #150744=VERTEX_POINT('',#490264); #150745=VERTEX_POINT('',#490268); #150746=VERTEX_POINT('',#490269); #150747=VERTEX_POINT('',#490271); #150748=VERTEX_POINT('',#490273); #150749=VERTEX_POINT('',#490280); #150750=VERTEX_POINT('',#490282); #150751=VERTEX_POINT('',#490284); #150752=VERTEX_POINT('',#490286); #150753=VERTEX_POINT('',#490290); #150754=VERTEX_POINT('',#490292); #150755=VERTEX_POINT('',#490294); #150756=VERTEX_POINT('',#490296); #150757=VERTEX_POINT('',#490300); #150758=VERTEX_POINT('',#490302); #150759=VERTEX_POINT('',#490306); #150760=VERTEX_POINT('',#490307); #150761=VERTEX_POINT('',#490309); #150762=VERTEX_POINT('',#490311); #150763=VERTEX_POINT('',#490315); #150764=VERTEX_POINT('',#490317); #150765=VERTEX_POINT('',#490319); #150766=VERTEX_POINT('',#490321); #150767=VERTEX_POINT('',#490323); #150768=VERTEX_POINT('',#490327); #150769=VERTEX_POINT('',#490329); #150770=VERTEX_POINT('',#490331); #150771=VERTEX_POINT('',#490335); #150772=VERTEX_POINT('',#490336); #150773=VERTEX_POINT('',#490338); #150774=VERTEX_POINT('',#490340); #150775=VERTEX_POINT('',#490344); #150776=VERTEX_POINT('',#490348); #150777=VERTEX_POINT('',#490352); #150778=VERTEX_POINT('',#490353); #150779=VERTEX_POINT('',#490355); #150780=VERTEX_POINT('',#490357); #150781=VERTEX_POINT('',#490361); #150782=VERTEX_POINT('',#490364); #150783=VERTEX_POINT('',#490366); #150784=VERTEX_POINT('',#490368); #150785=VERTEX_POINT('',#490372); #150786=VERTEX_POINT('',#490373); #150787=VERTEX_POINT('',#490375); #150788=VERTEX_POINT('',#490377); #150789=VERTEX_POINT('',#490381); #150790=VERTEX_POINT('',#490383); #150791=VERTEX_POINT('',#490385); #150792=VERTEX_POINT('',#490387); #150793=VERTEX_POINT('',#490391); #150794=VERTEX_POINT('',#490392); #150795=VERTEX_POINT('',#490394); #150796=VERTEX_POINT('',#490396); #150797=VERTEX_POINT('',#490400); #150798=VERTEX_POINT('',#490402); #150799=VERTEX_POINT('',#490404); #150800=VERTEX_POINT('',#490406); #150801=VERTEX_POINT('',#490408); #150802=VERTEX_POINT('',#490410); #150803=VERTEX_POINT('',#490414); #150804=VERTEX_POINT('',#490415); #150805=VERTEX_POINT('',#490417); #150806=VERTEX_POINT('',#490419); #150807=VERTEX_POINT('',#490423); #150808=VERTEX_POINT('',#490425); #150809=VERTEX_POINT('',#490430); #150810=VERTEX_POINT('',#490431); #150811=VERTEX_POINT('',#490433); #150812=VERTEX_POINT('',#490435); #150813=VERTEX_POINT('',#490439); #150814=VERTEX_POINT('',#490441); #150815=VERTEX_POINT('',#490443); #150816=VERTEX_POINT('',#490445); #150817=VERTEX_POINT('',#490447); #150818=VERTEX_POINT('',#490451); #150819=VERTEX_POINT('',#490452); #150820=VERTEX_POINT('',#490454); #150821=VERTEX_POINT('',#490456); #150822=VERTEX_POINT('',#490460); #150823=VERTEX_POINT('',#490462); #150824=VERTEX_POINT('',#490464); #150825=VERTEX_POINT('',#490466); #150826=VERTEX_POINT('',#490468); #150827=VERTEX_POINT('',#490470); #150828=VERTEX_POINT('',#490474); #150829=VERTEX_POINT('',#490476); #150830=VERTEX_POINT('',#490480); #150831=VERTEX_POINT('',#490482); #150832=VERTEX_POINT('',#490486); #150833=VERTEX_POINT('',#490488); #150834=VERTEX_POINT('',#490490); #150835=VERTEX_POINT('',#490493); #150836=VERTEX_POINT('',#490500); #150837=VERTEX_POINT('',#490502); #150838=VERTEX_POINT('',#490506); #150839=VERTEX_POINT('',#490510); #150840=VERTEX_POINT('',#490512); #150841=VERTEX_POINT('',#490516); #150842=VERTEX_POINT('',#490518); #150843=VERTEX_POINT('',#490520); #150844=VERTEX_POINT('',#490522); #150845=VERTEX_POINT('',#490524); #150846=VERTEX_POINT('',#490534); #150847=VERTEX_POINT('',#490539); #150848=VERTEX_POINT('',#490543); #150849=VERTEX_POINT('',#490545); #150850=VERTEX_POINT('',#490549); #150851=VERTEX_POINT('',#490551); #150852=VERTEX_POINT('',#490555); #150853=VERTEX_POINT('',#490557); #150854=VERTEX_POINT('',#490561); #150855=VERTEX_POINT('',#490563); #150856=VERTEX_POINT('',#490567); #150857=VERTEX_POINT('',#490570); #150858=VERTEX_POINT('',#490574); #150859=VERTEX_POINT('',#490576); #150860=VERTEX_POINT('',#490580); #150861=VERTEX_POINT('',#490582); #150862=VERTEX_POINT('',#490584); #150863=VERTEX_POINT('',#490586); #150864=VERTEX_POINT('',#490599); #150865=VERTEX_POINT('',#490603); #150866=VERTEX_POINT('',#490608); #150867=VERTEX_POINT('',#490612); #150868=VERTEX_POINT('',#490617); #150869=VERTEX_POINT('',#490618); #150870=VERTEX_POINT('',#490620); #150871=VERTEX_POINT('',#490622); #150872=VERTEX_POINT('',#490626); #150873=VERTEX_POINT('',#490628); #150874=VERTEX_POINT('',#490630); #150875=VERTEX_POINT('',#490632); #150876=VERTEX_POINT('',#490636); #150877=VERTEX_POINT('',#490641); #150878=VERTEX_POINT('',#490649); #150879=VERTEX_POINT('',#490652); #150880=VERTEX_POINT('',#490654); #150881=VERTEX_POINT('',#490658); #150882=VERTEX_POINT('',#490660); #150883=VERTEX_POINT('',#490664); #150884=VERTEX_POINT('',#490665); #150885=VERTEX_POINT('',#490670); #150886=VERTEX_POINT('',#490672); #150887=VERTEX_POINT('',#490674); #150888=VERTEX_POINT('',#490678); #150889=VERTEX_POINT('',#490683); #150890=VERTEX_POINT('',#490691); #150891=VERTEX_POINT('',#490695); #150892=VERTEX_POINT('',#490707); #150893=VERTEX_POINT('',#490711); #150894=VERTEX_POINT('',#490712); #150895=VERTEX_POINT('',#490715); #150896=VERTEX_POINT('',#490720); #150897=VERTEX_POINT('',#490732); #150898=VERTEX_POINT('',#490736); #150899=VERTEX_POINT('',#490737); #150900=VERTEX_POINT('',#490739); #150901=VERTEX_POINT('',#490741); #150902=VERTEX_POINT('',#490743); #150903=VERTEX_POINT('',#490747); #150904=VERTEX_POINT('',#490748); #150905=VERTEX_POINT('',#490750); #150906=VERTEX_POINT('',#490752); #150907=VERTEX_POINT('',#490757); #150908=VERTEX_POINT('',#490764); #150909=VERTEX_POINT('',#490766); #150910=VERTEX_POINT('',#490771); #150911=VERTEX_POINT('',#490773); #150912=VERTEX_POINT('',#490780); #150913=VERTEX_POINT('',#490782); #150914=VERTEX_POINT('',#490791); #150915=VERTEX_POINT('',#490795); #150916=VERTEX_POINT('',#490803); #150917=VERTEX_POINT('',#490809); #150918=VERTEX_POINT('',#490815); #150919=VERTEX_POINT('',#490816); #150920=VERTEX_POINT('',#490818); #150921=VERTEX_POINT('',#490820); #150922=VERTEX_POINT('',#490824); #150923=VERTEX_POINT('',#490826); #150924=VERTEX_POINT('',#490830); #150925=VERTEX_POINT('',#490832); #150926=VERTEX_POINT('',#490836); #150927=VERTEX_POINT('',#490838); #150928=VERTEX_POINT('',#490840); #150929=VERTEX_POINT('',#490842); #150930=VERTEX_POINT('',#490846); #150931=VERTEX_POINT('',#490847); #150932=VERTEX_POINT('',#490849); #150933=VERTEX_POINT('',#490851); #150934=VERTEX_POINT('',#490853); #150935=VERTEX_POINT('',#490855); #150936=VERTEX_POINT('',#490857); #150937=VERTEX_POINT('',#490859); #150938=VERTEX_POINT('',#490861); #150939=VERTEX_POINT('',#490863); #150940=VERTEX_POINT('',#490867); #150941=VERTEX_POINT('',#490869); #150942=VERTEX_POINT('',#490871); #150943=VERTEX_POINT('',#490873); #150944=VERTEX_POINT('',#490878); #150945=VERTEX_POINT('',#490879); #150946=VERTEX_POINT('',#490884); #150947=VERTEX_POINT('',#490888); #150948=VERTEX_POINT('',#490890); #150949=VERTEX_POINT('',#490894); #150950=VERTEX_POINT('',#490898); #150951=VERTEX_POINT('',#490899); #150952=VERTEX_POINT('',#490901); #150953=VERTEX_POINT('',#490904); #150954=VERTEX_POINT('',#490909); #150955=VERTEX_POINT('',#490911); #150956=VERTEX_POINT('',#490913); #150957=VERTEX_POINT('',#490915); #150958=VERTEX_POINT('',#490938); #150959=VERTEX_POINT('',#490939); #150960=VERTEX_POINT('',#490941); #150961=VERTEX_POINT('',#490943); #150962=VERTEX_POINT('',#490947); #150963=VERTEX_POINT('',#490949); #150964=VERTEX_POINT('',#490953); #150965=VERTEX_POINT('',#490955); #150966=VERTEX_POINT('',#490957); #150967=VERTEX_POINT('',#490959); #150968=VERTEX_POINT('',#490961); #150969=VERTEX_POINT('',#490963); #150970=VERTEX_POINT('',#490965); #150971=VERTEX_POINT('',#490967); #150972=VERTEX_POINT('',#490969); #150973=VERTEX_POINT('',#490971); #150974=VERTEX_POINT('',#490973); #150975=VERTEX_POINT('',#490977); #150976=VERTEX_POINT('',#490978); #150977=VERTEX_POINT('',#490983); #150978=VERTEX_POINT('',#490987); #150979=VERTEX_POINT('',#490989); #150980=VERTEX_POINT('',#490997); #150981=VERTEX_POINT('',#491001); #150982=VERTEX_POINT('',#491005); #150983=VERTEX_POINT('',#491009); #150984=VERTEX_POINT('',#491013); #150985=VERTEX_POINT('',#491017); #150986=VERTEX_POINT('',#491024); #150987=VERTEX_POINT('',#491025); #150988=VERTEX_POINT('',#491027); #150989=VERTEX_POINT('',#491029); #150990=VERTEX_POINT('',#491031); #150991=VERTEX_POINT('',#491037); #150992=VERTEX_POINT('',#491039); #150993=VERTEX_POINT('',#491043); #150994=VERTEX_POINT('',#491047); #150995=VERTEX_POINT('',#491048); #150996=VERTEX_POINT('',#491057); #150997=VERTEX_POINT('',#491059); #150998=VERTEX_POINT('',#491063); #150999=VERTEX_POINT('',#491065); #151000=VERTEX_POINT('',#491069); #151001=VERTEX_POINT('',#491070); #151002=VERTEX_POINT('',#491075); #151003=VERTEX_POINT('',#491077); #151004=VERTEX_POINT('',#491081); #151005=VERTEX_POINT('',#491082); #151006=VERTEX_POINT('',#491087); #151007=VERTEX_POINT('',#491089); #151008=VERTEX_POINT('',#491093); #151009=VERTEX_POINT('',#491094); #151010=VERTEX_POINT('',#491106); #151011=VERTEX_POINT('',#491108); #151012=VERTEX_POINT('',#491110); #151013=VERTEX_POINT('',#491112); #151014=VERTEX_POINT('',#491114); #151015=VERTEX_POINT('',#491116); #151016=VERTEX_POINT('',#491118); #151017=VERTEX_POINT('',#491120); #151018=VERTEX_POINT('',#491124); #151019=VERTEX_POINT('',#491133); #151020=VERTEX_POINT('',#491137); #151021=VERTEX_POINT('',#491141); #151022=VERTEX_POINT('',#491145); #151023=VERTEX_POINT('',#491149); #151024=VERTEX_POINT('',#491153); #151025=VERTEX_POINT('',#491157); #151026=VERTEX_POINT('',#491168); #151027=VERTEX_POINT('',#491169); #151028=VERTEX_POINT('',#491178); #151029=VERTEX_POINT('',#491180); #151030=VERTEX_POINT('',#491184); #151031=VERTEX_POINT('',#491186); #151032=VERTEX_POINT('',#491190); #151033=VERTEX_POINT('',#491191); #151034=VERTEX_POINT('',#491196); #151035=VERTEX_POINT('',#491198); #151036=VERTEX_POINT('',#491202); #151037=VERTEX_POINT('',#491203); #151038=VERTEX_POINT('',#491208); #151039=VERTEX_POINT('',#491210); #151040=VERTEX_POINT('',#491214); #151041=VERTEX_POINT('',#491215); #151042=VERTEX_POINT('',#491227); #151043=VERTEX_POINT('',#491229); #151044=VERTEX_POINT('',#491231); #151045=VERTEX_POINT('',#491233); #151046=VERTEX_POINT('',#491235); #151047=VERTEX_POINT('',#491237); #151048=VERTEX_POINT('',#491239); #151049=VERTEX_POINT('',#491241); #151050=VERTEX_POINT('',#491245); #151051=VERTEX_POINT('',#491254); #151052=VERTEX_POINT('',#491258); #151053=VERTEX_POINT('',#491262); #151054=VERTEX_POINT('',#491266); #151055=VERTEX_POINT('',#491270); #151056=VERTEX_POINT('',#491274); #151057=VERTEX_POINT('',#491278); #151058=VERTEX_POINT('',#491289); #151059=VERTEX_POINT('',#491290); #151060=VERTEX_POINT('',#491299); #151061=VERTEX_POINT('',#491301); #151062=VERTEX_POINT('',#491305); #151063=VERTEX_POINT('',#491307); #151064=VERTEX_POINT('',#491311); #151065=VERTEX_POINT('',#491312); #151066=VERTEX_POINT('',#491317); #151067=VERTEX_POINT('',#491319); #151068=VERTEX_POINT('',#491323); #151069=VERTEX_POINT('',#491324); #151070=VERTEX_POINT('',#491329); #151071=VERTEX_POINT('',#491331); #151072=VERTEX_POINT('',#491335); #151073=VERTEX_POINT('',#491336); #151074=VERTEX_POINT('',#491348); #151075=VERTEX_POINT('',#491350); #151076=VERTEX_POINT('',#491352); #151077=VERTEX_POINT('',#491354); #151078=VERTEX_POINT('',#491356); #151079=VERTEX_POINT('',#491358); #151080=VERTEX_POINT('',#491360); #151081=VERTEX_POINT('',#491362); #151082=VERTEX_POINT('',#491366); #151083=VERTEX_POINT('',#491375); #151084=VERTEX_POINT('',#491379); #151085=VERTEX_POINT('',#491383); #151086=VERTEX_POINT('',#491387); #151087=VERTEX_POINT('',#491391); #151088=VERTEX_POINT('',#491395); #151089=VERTEX_POINT('',#491399); #151090=VERTEX_POINT('',#491410); #151091=VERTEX_POINT('',#491411); #151092=VERTEX_POINT('',#491420); #151093=VERTEX_POINT('',#491422); #151094=VERTEX_POINT('',#491426); #151095=VERTEX_POINT('',#491428); #151096=VERTEX_POINT('',#491432); #151097=VERTEX_POINT('',#491433); #151098=VERTEX_POINT('',#491438); #151099=VERTEX_POINT('',#491440); #151100=VERTEX_POINT('',#491444); #151101=VERTEX_POINT('',#491445); #151102=VERTEX_POINT('',#491450); #151103=VERTEX_POINT('',#491452); #151104=VERTEX_POINT('',#491456); #151105=VERTEX_POINT('',#491457); #151106=VERTEX_POINT('',#491469); #151107=VERTEX_POINT('',#491471); #151108=VERTEX_POINT('',#491473); #151109=VERTEX_POINT('',#491475); #151110=VERTEX_POINT('',#491477); #151111=VERTEX_POINT('',#491479); #151112=VERTEX_POINT('',#491481); #151113=VERTEX_POINT('',#491483); #151114=VERTEX_POINT('',#491487); #151115=VERTEX_POINT('',#491496); #151116=VERTEX_POINT('',#491500); #151117=VERTEX_POINT('',#491504); #151118=VERTEX_POINT('',#491508); #151119=VERTEX_POINT('',#491512); #151120=VERTEX_POINT('',#491516); #151121=VERTEX_POINT('',#491520); #151122=VERTEX_POINT('',#491591); #151123=VERTEX_POINT('',#491592); #151124=VERTEX_POINT('',#491608); #151125=VERTEX_POINT('',#491610); #151126=VERTEX_POINT('',#491612); #151127=VERTEX_POINT('',#491616); #151128=VERTEX_POINT('',#491623); #151129=VERTEX_POINT('',#491632); #151130=VERTEX_POINT('',#491633); #151131=VERTEX_POINT('',#491642); #151132=VERTEX_POINT('',#491651); #151133=VERTEX_POINT('',#491653); #151134=VERTEX_POINT('',#491663); #151135=VERTEX_POINT('',#491665); #151136=VERTEX_POINT('',#491675); #151137=VERTEX_POINT('',#491677); #151138=VERTEX_POINT('',#491691); #151139=VERTEX_POINT('',#491702); #151140=VERTEX_POINT('',#491704); #151141=VERTEX_POINT('',#491714); #151142=VERTEX_POINT('',#491716); #151143=VERTEX_POINT('',#491731); #151144=VERTEX_POINT('',#491742); #151145=VERTEX_POINT('',#491744); #151146=VERTEX_POINT('',#491753); #151147=VERTEX_POINT('',#491755); #151148=VERTEX_POINT('',#491770); #151149=VERTEX_POINT('',#491782); #151150=VERTEX_POINT('',#491784); #151151=VERTEX_POINT('',#491796); #151152=VERTEX_POINT('',#491798); #151153=VERTEX_POINT('',#491812); #151154=VERTEX_POINT('',#491823); #151155=VERTEX_POINT('',#491825); #151156=VERTEX_POINT('',#491835); #151157=VERTEX_POINT('',#491837); #151158=VERTEX_POINT('',#491853); #151159=VERTEX_POINT('',#491864); #151160=VERTEX_POINT('',#491866); #151161=VERTEX_POINT('',#491878); #151162=VERTEX_POINT('',#491880); #151163=VERTEX_POINT('',#491896); #151164=VERTEX_POINT('',#491907); #151165=VERTEX_POINT('',#491909); #151166=VERTEX_POINT('',#491918); #151167=VERTEX_POINT('',#491920); #151168=VERTEX_POINT('',#491934); #151169=VERTEX_POINT('',#491948); #151170=VERTEX_POINT('',#491950); #151171=VERTEX_POINT('',#491962); #151172=VERTEX_POINT('',#491964); #151173=VERTEX_POINT('',#491979); #151174=VERTEX_POINT('',#491989); #151175=VERTEX_POINT('',#491991); #151176=VERTEX_POINT('',#492003); #151177=VERTEX_POINT('',#492005); #151178=VERTEX_POINT('',#492014); #151179=VERTEX_POINT('',#492016); #151180=VERTEX_POINT('',#492019); #151181=VERTEX_POINT('',#492022); #151182=VERTEX_POINT('',#492032); #151183=VERTEX_POINT('',#492042); #151184=VERTEX_POINT('',#492044); #151185=VERTEX_POINT('',#492056); #151186=VERTEX_POINT('',#492058); #151187=VERTEX_POINT('',#492075); #151188=VERTEX_POINT('',#492085); #151189=VERTEX_POINT('',#492087); #151190=VERTEX_POINT('',#492099); #151191=VERTEX_POINT('',#492101); #151192=VERTEX_POINT('',#492116); #151193=VERTEX_POINT('',#492127); #151194=VERTEX_POINT('',#492129); #151195=VERTEX_POINT('',#492139); #151196=VERTEX_POINT('',#492141); #151197=VERTEX_POINT('',#492156); #151198=VERTEX_POINT('',#492167); #151199=VERTEX_POINT('',#492169); #151200=VERTEX_POINT('',#492179); #151201=VERTEX_POINT('',#492181); #151202=VERTEX_POINT('',#492195); #151203=VERTEX_POINT('',#492206); #151204=VERTEX_POINT('',#492208); #151205=VERTEX_POINT('',#492218); #151206=VERTEX_POINT('',#492220); #151207=VERTEX_POINT('',#492234); #151208=VERTEX_POINT('',#492245); #151209=VERTEX_POINT('',#492247); #151210=VERTEX_POINT('',#492257); #151211=VERTEX_POINT('',#492259); #151212=VERTEX_POINT('',#492274); #151213=VERTEX_POINT('',#492287); #151214=VERTEX_POINT('',#492299); #151215=VERTEX_POINT('',#492310); #151216=VERTEX_POINT('',#492314); #151217=VERTEX_POINT('',#492315); #151218=VERTEX_POINT('',#492319); #151219=VERTEX_POINT('',#492321); #151220=VERTEX_POINT('',#492325); #151221=VERTEX_POINT('',#492330); #151222=VERTEX_POINT('',#492332); #151223=VERTEX_POINT('',#492334); #151224=VERTEX_POINT('',#492336); #151225=VERTEX_POINT('',#492340); #151226=VERTEX_POINT('',#492355); #151227=VERTEX_POINT('',#492370); #151228=VERTEX_POINT('',#492372); #151229=VERTEX_POINT('',#492374); #151230=VERTEX_POINT('',#492376); #151231=VERTEX_POINT('',#492378); #151232=VERTEX_POINT('',#492380); #151233=VERTEX_POINT('',#492384); #151234=VERTEX_POINT('',#492388); #151235=VERTEX_POINT('',#492390); #151236=VERTEX_POINT('',#492394); #151237=VERTEX_POINT('',#492400); #151238=VERTEX_POINT('',#492405); #151239=VERTEX_POINT('',#492407); #151240=VERTEX_POINT('',#492411); #151241=VERTEX_POINT('',#492413); #151242=VERTEX_POINT('',#492417); #151243=VERTEX_POINT('',#492420); #151244=VERTEX_POINT('',#492422); #151245=VERTEX_POINT('',#492426); #151246=VERTEX_POINT('',#492429); #151247=VERTEX_POINT('',#492431); #151248=VERTEX_POINT('',#492435); #151249=VERTEX_POINT('',#492438); #151250=VERTEX_POINT('',#492440); #151251=VERTEX_POINT('',#492444); #151252=VERTEX_POINT('',#492447); #151253=VERTEX_POINT('',#492452); #151254=VERTEX_POINT('',#492457); #151255=VERTEX_POINT('',#492462); #151256=VERTEX_POINT('',#492467); #151257=VERTEX_POINT('',#492472); #151258=VERTEX_POINT('',#492474); #151259=VERTEX_POINT('',#492529); #151260=VERTEX_POINT('',#492545); #151261=VERTEX_POINT('',#492619); #151262=VERTEX_POINT('',#492621); #151263=VERTEX_POINT('',#492640); #151264=VERTEX_POINT('',#492644); #151265=VERTEX_POINT('',#492646); #151266=VERTEX_POINT('',#492705); #151267=VERTEX_POINT('',#492706); #151268=VERTEX_POINT('',#492737); #151269=VERTEX_POINT('',#492824); #151270=VERTEX_POINT('',#492826); #151271=VERTEX_POINT('',#492838); #151272=VERTEX_POINT('',#492840); #151273=VERTEX_POINT('',#492850); #151274=VERTEX_POINT('',#492853); #151275=VERTEX_POINT('',#492864); #151276=VERTEX_POINT('',#492874); #151277=VERTEX_POINT('',#492875); #151278=VERTEX_POINT('',#492877); #151279=VERTEX_POINT('',#492894); #151280=VERTEX_POINT('',#492909); #151281=VERTEX_POINT('',#492910); #151282=VERTEX_POINT('',#492912); #151283=VERTEX_POINT('',#492927); #151284=VERTEX_POINT('',#492942); #151285=VERTEX_POINT('',#492943); #151286=VERTEX_POINT('',#492945); #151287=VERTEX_POINT('',#492961); #151288=VERTEX_POINT('',#492976); #151289=VERTEX_POINT('',#492977); #151290=VERTEX_POINT('',#492979); #151291=VERTEX_POINT('',#492994); #151292=VERTEX_POINT('',#493011); #151293=VERTEX_POINT('',#493012); #151294=VERTEX_POINT('',#493014); #151295=VERTEX_POINT('',#493030); #151296=VERTEX_POINT('',#493045); #151297=VERTEX_POINT('',#493046); #151298=VERTEX_POINT('',#493048); #151299=VERTEX_POINT('',#493063); #151300=VERTEX_POINT('',#493081); #151301=VERTEX_POINT('',#493082); #151302=VERTEX_POINT('',#493084); #151303=VERTEX_POINT('',#493099); #151304=VERTEX_POINT('',#493115); #151305=VERTEX_POINT('',#493116); #151306=VERTEX_POINT('',#493118); #151307=VERTEX_POINT('',#493135); #151308=VERTEX_POINT('',#493153); #151309=VERTEX_POINT('',#493154); #151310=VERTEX_POINT('',#493156); #151311=VERTEX_POINT('',#493172); #151312=VERTEX_POINT('',#493189); #151313=VERTEX_POINT('',#493190); #151314=VERTEX_POINT('',#493192); #151315=VERTEX_POINT('',#493206); #151316=VERTEX_POINT('',#493224); #151317=VERTEX_POINT('',#493225); #151318=VERTEX_POINT('',#493227); #151319=VERTEX_POINT('',#493242); #151320=VERTEX_POINT('',#493258); #151321=VERTEX_POINT('',#493259); #151322=VERTEX_POINT('',#493261); #151323=VERTEX_POINT('',#493277); #151324=VERTEX_POINT('',#493293); #151325=VERTEX_POINT('',#493294); #151326=VERTEX_POINT('',#493296); #151327=VERTEX_POINT('',#493312); #151328=VERTEX_POINT('',#493330); #151329=VERTEX_POINT('',#493331); #151330=VERTEX_POINT('',#493333); #151331=VERTEX_POINT('',#493346); #151332=VERTEX_POINT('',#493363); #151333=VERTEX_POINT('',#493365); #151334=VERTEX_POINT('',#493424); #151335=VERTEX_POINT('',#493441); #151336=VERTEX_POINT('',#493520); #151337=VERTEX_POINT('',#493522); #151338=VERTEX_POINT('',#493538); #151339=VERTEX_POINT('',#493608); #151340=VERTEX_POINT('',#493685); #151341=VERTEX_POINT('',#493687); #151342=VERTEX_POINT('',#493746); #151343=VERTEX_POINT('',#493763); #151344=VERTEX_POINT('',#493847); #151345=VERTEX_POINT('',#493849); #151346=VERTEX_POINT('',#493865); #151347=VERTEX_POINT('',#493919); #151348=VERTEX_POINT('',#493992); #151349=VERTEX_POINT('',#493994); #151350=VERTEX_POINT('',#494049); #151351=VERTEX_POINT('',#494065); #151352=VERTEX_POINT('',#494139); #151353=VERTEX_POINT('',#494141); #151354=VERTEX_POINT('',#494157); #151355=VERTEX_POINT('',#494219); #151356=VERTEX_POINT('',#494294); #151357=VERTEX_POINT('',#494296); #151358=VERTEX_POINT('',#494355); #151359=VERTEX_POINT('',#494372); #151360=VERTEX_POINT('',#494446); #151361=VERTEX_POINT('',#494448); #151362=VERTEX_POINT('',#494467); #151363=VERTEX_POINT('',#494533); #151364=VERTEX_POINT('',#494621); #151365=VERTEX_POINT('',#494623); #151366=VERTEX_POINT('',#494682); #151367=VERTEX_POINT('',#494699); #151368=VERTEX_POINT('',#494773); #151369=VERTEX_POINT('',#494775); #151370=VERTEX_POINT('',#494791); #151371=VERTEX_POINT('',#494857); #151372=VERTEX_POINT('',#494933); #151373=VERTEX_POINT('',#494935); #151374=VERTEX_POINT('',#494990); #151375=VERTEX_POINT('',#495006); #151376=VERTEX_POINT('',#495090); #151377=VERTEX_POINT('',#495092); #151378=VERTEX_POINT('',#495109); #151379=VERTEX_POINT('',#495175); #151380=VERTEX_POINT('',#495255); #151381=VERTEX_POINT('',#495257); #151382=VERTEX_POINT('',#495320); #151383=VERTEX_POINT('',#495338); #151384=VERTEX_POINT('',#495412); #151385=VERTEX_POINT('',#495414); #151386=VERTEX_POINT('',#495432); #151387=VERTEX_POINT('',#495494); #151388=VERTEX_POINT('',#495577); #151389=VERTEX_POINT('',#495579); #151390=VERTEX_POINT('',#495642); #151391=VERTEX_POINT('',#495660); #151392=VERTEX_POINT('',#495744); #151393=VERTEX_POINT('',#495746); #151394=VERTEX_POINT('',#495763); #151395=VERTEX_POINT('',#495825); #151396=VERTEX_POINT('',#495904); #151397=VERTEX_POINT('',#495906); #151398=VERTEX_POINT('',#495961); #151399=VERTEX_POINT('',#495977); #151400=VERTEX_POINT('',#496061); #151401=VERTEX_POINT('',#496063); #151402=VERTEX_POINT('',#496079); #151403=VERTEX_POINT('',#496145); #151404=VERTEX_POINT('',#496221); #151405=VERTEX_POINT('',#496223); #151406=VERTEX_POINT('',#496282); #151407=VERTEX_POINT('',#496299); #151408=VERTEX_POINT('',#496378); #151409=VERTEX_POINT('',#496380); #151410=VERTEX_POINT('',#496399); #151411=VERTEX_POINT('',#496457); #151412=VERTEX_POINT('',#496543); #151413=VERTEX_POINT('',#496545); #151414=VERTEX_POINT('',#496600); #151415=VERTEX_POINT('',#496616); #151416=VERTEX_POINT('',#496695); #151417=VERTEX_POINT('',#496697); #151418=VERTEX_POINT('',#496715); #151419=VERTEX_POINT('',#496781); #151420=VERTEX_POINT('',#496865); #151421=VERTEX_POINT('',#496867); #151422=VERTEX_POINT('',#496934); #151423=VERTEX_POINT('',#496953); #151424=VERTEX_POINT('',#497032); #151425=VERTEX_POINT('',#497034); #151426=VERTEX_POINT('',#497052); #151427=VERTEX_POINT('',#497118); #151428=VERTEX_POINT('',#497202); #151429=VERTEX_POINT('',#497204); #151430=VERTEX_POINT('',#497263); #151431=VERTEX_POINT('',#497280); #151432=VERTEX_POINT('',#497364); #151433=VERTEX_POINT('',#497366); #151434=VERTEX_POINT('',#497385); #151435=VERTEX_POINT('',#497447); #151436=VERTEX_POINT('',#497534); #151437=VERTEX_POINT('',#497536); #151438=VERTEX_POINT('',#497595); #151439=VERTEX_POINT('',#497612); #151440=VERTEX_POINT('',#497686); #151441=VERTEX_POINT('',#497688); #151442=VERTEX_POINT('',#497707); #151443=VERTEX_POINT('',#497777); #151444=VERTEX_POINT('',#497866); #151445=VERTEX_POINT('',#497868); #151446=VERTEX_POINT('',#497923); #151447=VERTEX_POINT('',#497939); #151448=VERTEX_POINT('',#498013); #151449=VERTEX_POINT('',#498015); #151450=VERTEX_POINT('',#498032); #151451=VERTEX_POINT('',#498094); #151452=VERTEX_POINT('',#498176); #151453=VERTEX_POINT('',#498177); #151454=VERTEX_POINT('',#498182); #151455=VERTEX_POINT('',#498183); #151456=VERTEX_POINT('',#498188); #151457=VERTEX_POINT('',#498196); #151458=VERTEX_POINT('',#498200); #151459=VERTEX_POINT('',#498202); #151460=VERTEX_POINT('',#498208); #151461=VERTEX_POINT('',#498210); #151462=VERTEX_POINT('',#498216); #151463=VERTEX_POINT('',#498223); #151464=VERTEX_POINT('',#498225); #151465=VERTEX_POINT('',#498229); #151466=VERTEX_POINT('',#498233); #151467=VERTEX_POINT('',#498235); #151468=VERTEX_POINT('',#498237); #151469=VERTEX_POINT('',#498239); #151470=VERTEX_POINT('',#498241); #151471=VERTEX_POINT('',#498244); #151472=VERTEX_POINT('',#498247); #151473=VERTEX_POINT('',#498249); #151474=VERTEX_POINT('',#498253); #151475=VERTEX_POINT('',#498255); #151476=VERTEX_POINT('',#498259); #151477=VERTEX_POINT('',#498261); #151478=VERTEX_POINT('',#498265); #151479=VERTEX_POINT('',#498267); #151480=VERTEX_POINT('',#498271); #151481=VERTEX_POINT('',#498276); #151482=VERTEX_POINT('',#498281); #151483=VERTEX_POINT('',#498286); #151484=VERTEX_POINT('',#498291); #151485=VERTEX_POINT('',#498295); #151486=VERTEX_POINT('',#498300); #151487=VERTEX_POINT('',#498301); #151488=VERTEX_POINT('',#498303); #151489=VERTEX_POINT('',#498305); #151490=VERTEX_POINT('',#498309); #151491=VERTEX_POINT('',#498311); #151492=VERTEX_POINT('',#498315); #151493=VERTEX_POINT('',#498319); #151494=VERTEX_POINT('',#498320); #151495=VERTEX_POINT('',#498322); #151496=VERTEX_POINT('',#498324); #151497=VERTEX_POINT('',#498328); #151498=VERTEX_POINT('',#498331); #151499=VERTEX_POINT('',#498332); #151500=VERTEX_POINT('',#498334); #151501=VERTEX_POINT('',#498336); #151502=VERTEX_POINT('',#498340); #151503=VERTEX_POINT('',#498341); #151504=VERTEX_POINT('',#498343); #151505=VERTEX_POINT('',#498345); #151506=VERTEX_POINT('',#498349); #151507=VERTEX_POINT('',#498351); #151508=VERTEX_POINT('',#498353); #151509=VERTEX_POINT('',#498355); #151510=VERTEX_POINT('',#498357); #151511=VERTEX_POINT('',#498359); #151512=VERTEX_POINT('',#498363); #151513=VERTEX_POINT('',#498365); #151514=VERTEX_POINT('',#498369); #151515=VERTEX_POINT('',#498370); #151516=VERTEX_POINT('',#498372); #151517=VERTEX_POINT('',#498374); #151518=VERTEX_POINT('',#498378); #151519=VERTEX_POINT('',#498380); #151520=VERTEX_POINT('',#498382); #151521=VERTEX_POINT('',#498384); #151522=VERTEX_POINT('',#498389); #151523=VERTEX_POINT('',#498391); #151524=VERTEX_POINT('',#498393); #151525=VERTEX_POINT('',#498400); #151526=VERTEX_POINT('',#498402); #151527=VERTEX_POINT('',#498406); #151528=VERTEX_POINT('',#498409); #151529=VERTEX_POINT('',#498411); #151530=VERTEX_POINT('',#498416); #151531=VERTEX_POINT('',#498420); #151532=VERTEX_POINT('',#498424); #151533=VERTEX_POINT('',#498437); #151534=VERTEX_POINT('',#498439); #151535=VERTEX_POINT('',#498441); #151536=VERTEX_POINT('',#498443); #151537=VERTEX_POINT('',#498445); #151538=VERTEX_POINT('',#498447); #151539=VERTEX_POINT('',#498449); #151540=VERTEX_POINT('',#498451); #151541=VERTEX_POINT('',#498453); #151542=VERTEX_POINT('',#498455); #151543=VERTEX_POINT('',#498459); #151544=VERTEX_POINT('',#498463); #151545=VERTEX_POINT('',#498464); #151546=VERTEX_POINT('',#498466); #151547=VERTEX_POINT('',#498468); #151548=VERTEX_POINT('',#498470); #151549=VERTEX_POINT('',#498472); #151550=VERTEX_POINT('',#498476); #151551=VERTEX_POINT('',#498477); #151552=VERTEX_POINT('',#498479); #151553=VERTEX_POINT('',#498481); #151554=VERTEX_POINT('',#498483); #151555=VERTEX_POINT('',#498485); #151556=VERTEX_POINT('',#498489); #151557=VERTEX_POINT('',#498490); #151558=VERTEX_POINT('',#498492); #151559=VERTEX_POINT('',#498494); #151560=VERTEX_POINT('',#498496); #151561=VERTEX_POINT('',#498498); #151562=VERTEX_POINT('',#498502); #151563=VERTEX_POINT('',#498504); #151564=VERTEX_POINT('',#498508); #151565=VERTEX_POINT('',#498509); #151566=VERTEX_POINT('',#498511); #151567=VERTEX_POINT('',#498513); #151568=VERTEX_POINT('',#498517); #151569=VERTEX_POINT('',#498519); #151570=VERTEX_POINT('',#498524); #151571=VERTEX_POINT('',#498529); #151572=VERTEX_POINT('',#498533); #151573=VERTEX_POINT('',#498534); #151574=VERTEX_POINT('',#498536); #151575=VERTEX_POINT('',#498538); #151576=VERTEX_POINT('',#498542); #151577=VERTEX_POINT('',#498544); #151578=VERTEX_POINT('',#498549); #151579=VERTEX_POINT('',#498554); #151580=VERTEX_POINT('',#498558); #151581=VERTEX_POINT('',#498560); #151582=VERTEX_POINT('',#498562); #151583=VERTEX_POINT('',#498564); #151584=VERTEX_POINT('',#498568); #151585=VERTEX_POINT('',#498572); #151586=VERTEX_POINT('',#498576); #151587=VERTEX_POINT('',#498578); #151588=VERTEX_POINT('',#498582); #151589=VERTEX_POINT('',#498583); #151590=VERTEX_POINT('',#498585); #151591=VERTEX_POINT('',#498587); #151592=VERTEX_POINT('',#498591); #151593=VERTEX_POINT('',#498595); #151594=VERTEX_POINT('',#498602); #151595=VERTEX_POINT('',#498603); #151596=VERTEX_POINT('',#498605); #151597=VERTEX_POINT('',#498607); #151598=VERTEX_POINT('',#498611); #151599=VERTEX_POINT('',#498617); #151600=VERTEX_POINT('',#498624); #151601=VERTEX_POINT('',#498625); #151602=VERTEX_POINT('',#498627); #151603=VERTEX_POINT('',#498629); #151604=VERTEX_POINT('',#498633); #151605=VERTEX_POINT('',#498637); #151606=VERTEX_POINT('',#498646); #151607=VERTEX_POINT('',#498647); #151608=VERTEX_POINT('',#498649); #151609=VERTEX_POINT('',#498651); #151610=VERTEX_POINT('',#498659); #151611=VERTEX_POINT('',#498664); #151612=VERTEX_POINT('',#498671); #151613=VERTEX_POINT('',#498676); #151614=VERTEX_POINT('',#498678); #151615=VERTEX_POINT('',#498683); #151616=VERTEX_POINT('',#498685); #151617=VERTEX_POINT('',#498700); #151618=VERTEX_POINT('',#498704); #151619=VERTEX_POINT('',#498706); #151620=VERTEX_POINT('',#498710); #151621=VERTEX_POINT('',#498712); #151622=VERTEX_POINT('',#498716); #151623=VERTEX_POINT('',#498717); #151624=VERTEX_POINT('',#498719); #151625=VERTEX_POINT('',#498721); #151626=VERTEX_POINT('',#498725); #151627=VERTEX_POINT('',#498728); #151628=VERTEX_POINT('',#498730); #151629=VERTEX_POINT('',#498732); #151630=VERTEX_POINT('',#498734); #151631=VERTEX_POINT('',#498737); #151632=VERTEX_POINT('',#498739); #151633=VERTEX_POINT('',#498741); #151634=VERTEX_POINT('',#498743); #151635=VERTEX_POINT('',#498745); #151636=VERTEX_POINT('',#498747); #151637=VERTEX_POINT('',#498752); #151638=VERTEX_POINT('',#498820); #151639=VERTEX_POINT('',#498822); #151640=VERTEX_POINT('',#498826); #151641=VERTEX_POINT('',#498830); #151642=VERTEX_POINT('',#498834); #151643=VERTEX_POINT('',#498838); #151644=VERTEX_POINT('',#498842); #151645=VERTEX_POINT('',#498848); #151646=VERTEX_POINT('',#498860); #151647=VERTEX_POINT('',#498862); #151648=VERTEX_POINT('',#498866); #151649=VERTEX_POINT('',#498868); #151650=VERTEX_POINT('',#498872); #151651=VERTEX_POINT('',#498874); #151652=VERTEX_POINT('',#498878); #151653=VERTEX_POINT('',#498880); #151654=VERTEX_POINT('',#498884); #151655=VERTEX_POINT('',#498886); #151656=VERTEX_POINT('',#498890); #151657=VERTEX_POINT('',#498892); #151658=VERTEX_POINT('',#498896); #151659=VERTEX_POINT('',#498898); #151660=VERTEX_POINT('',#498902); #151661=VERTEX_POINT('',#498904); #151662=VERTEX_POINT('',#498908); #151663=VERTEX_POINT('',#498910); #151664=VERTEX_POINT('',#498914); #151665=VERTEX_POINT('',#498916); #151666=VERTEX_POINT('',#498920); #151667=VERTEX_POINT('',#498922); #151668=VERTEX_POINT('',#498926); #151669=VERTEX_POINT('',#498928); #151670=VERTEX_POINT('',#498932); #151671=VERTEX_POINT('',#498934); #151672=VERTEX_POINT('',#498938); #151673=VERTEX_POINT('',#498940); #151674=VERTEX_POINT('',#498944); #151675=VERTEX_POINT('',#498946); #151676=VERTEX_POINT('',#498950); #151677=VERTEX_POINT('',#498952); #151678=VERTEX_POINT('',#498956); #151679=VERTEX_POINT('',#498958); #151680=VERTEX_POINT('',#498962); #151681=VERTEX_POINT('',#498964); #151682=VERTEX_POINT('',#498968); #151683=VERTEX_POINT('',#498970); #151684=VERTEX_POINT('',#498974); #151685=VERTEX_POINT('',#498976); #151686=VERTEX_POINT('',#498980); #151687=VERTEX_POINT('',#498982); #151688=VERTEX_POINT('',#498986); #151689=VERTEX_POINT('',#498988); #151690=VERTEX_POINT('',#498992); #151691=VERTEX_POINT('',#498994); #151692=VERTEX_POINT('',#498998); #151693=VERTEX_POINT('',#499000); #151694=VERTEX_POINT('',#499004); #151695=VERTEX_POINT('',#499006); #151696=VERTEX_POINT('',#499010); #151697=VERTEX_POINT('',#499012); #151698=VERTEX_POINT('',#499016); #151699=VERTEX_POINT('',#499018); #151700=VERTEX_POINT('',#499022); #151701=VERTEX_POINT('',#499024); #151702=VERTEX_POINT('',#499028); #151703=VERTEX_POINT('',#499030); #151704=VERTEX_POINT('',#499034); #151705=VERTEX_POINT('',#499036); #151706=VERTEX_POINT('',#499040); #151707=VERTEX_POINT('',#499042); #151708=VERTEX_POINT('',#499046); #151709=VERTEX_POINT('',#499048); #151710=VERTEX_POINT('',#499052); #151711=VERTEX_POINT('',#499054); #151712=VERTEX_POINT('',#499058); #151713=VERTEX_POINT('',#499060); #151714=VERTEX_POINT('',#499064); #151715=VERTEX_POINT('',#499066); #151716=VERTEX_POINT('',#499070); #151717=VERTEX_POINT('',#499072); #151718=VERTEX_POINT('',#499076); #151719=VERTEX_POINT('',#499078); #151720=VERTEX_POINT('',#499082); #151721=VERTEX_POINT('',#499084); #151722=VERTEX_POINT('',#499088); #151723=VERTEX_POINT('',#499090); #151724=VERTEX_POINT('',#499094); #151725=VERTEX_POINT('',#499096); #151726=VERTEX_POINT('',#499100); #151727=VERTEX_POINT('',#499102); #151728=VERTEX_POINT('',#499106); #151729=VERTEX_POINT('',#499108); #151730=VERTEX_POINT('',#499112); #151731=VERTEX_POINT('',#499114); #151732=VERTEX_POINT('',#499118); #151733=VERTEX_POINT('',#499120); #151734=VERTEX_POINT('',#499124); #151735=VERTEX_POINT('',#499126); #151736=VERTEX_POINT('',#499130); #151737=VERTEX_POINT('',#499132); #151738=VERTEX_POINT('',#499136); #151739=VERTEX_POINT('',#499138); #151740=VERTEX_POINT('',#499142); #151741=VERTEX_POINT('',#499144); #151742=VERTEX_POINT('',#499148); #151743=VERTEX_POINT('',#499150); #151744=VERTEX_POINT('',#499154); #151745=VERTEX_POINT('',#499156); #151746=VERTEX_POINT('',#499160); #151747=VERTEX_POINT('',#499162); #151748=VERTEX_POINT('',#499166); #151749=VERTEX_POINT('',#499168); #151750=VERTEX_POINT('',#499172); #151751=VERTEX_POINT('',#499174); #151752=VERTEX_POINT('',#499178); #151753=VERTEX_POINT('',#499180); #151754=VERTEX_POINT('',#499184); #151755=VERTEX_POINT('',#499186); #151756=VERTEX_POINT('',#499190); #151757=VERTEX_POINT('',#499192); #151758=VERTEX_POINT('',#499196); #151759=VERTEX_POINT('',#499198); #151760=VERTEX_POINT('',#499202); #151761=VERTEX_POINT('',#499204); #151762=VERTEX_POINT('',#499208); #151763=VERTEX_POINT('',#499210); #151764=VERTEX_POINT('',#499214); #151765=VERTEX_POINT('',#499216); #151766=VERTEX_POINT('',#499220); #151767=VERTEX_POINT('',#499222); #151768=VERTEX_POINT('',#499226); #151769=VERTEX_POINT('',#499228); #151770=VERTEX_POINT('',#499232); #151771=VERTEX_POINT('',#499234); #151772=VERTEX_POINT('',#499238); #151773=VERTEX_POINT('',#499240); #151774=VERTEX_POINT('',#499244); #151775=VERTEX_POINT('',#499246); #151776=VERTEX_POINT('',#499250); #151777=VERTEX_POINT('',#499252); #151778=VERTEX_POINT('',#499256); #151779=VERTEX_POINT('',#499258); #151780=VERTEX_POINT('',#499262); #151781=VERTEX_POINT('',#499264); #151782=VERTEX_POINT('',#499268); #151783=VERTEX_POINT('',#499270); #151784=VERTEX_POINT('',#499274); #151785=VERTEX_POINT('',#499276); #151786=VERTEX_POINT('',#499280); #151787=VERTEX_POINT('',#499282); #151788=VERTEX_POINT('',#499286); #151789=VERTEX_POINT('',#499288); #151790=VERTEX_POINT('',#499292); #151791=VERTEX_POINT('',#499294); #151792=VERTEX_POINT('',#499298); #151793=VERTEX_POINT('',#499300); #151794=VERTEX_POINT('',#499304); #151795=VERTEX_POINT('',#499306); #151796=VERTEX_POINT('',#499310); #151797=VERTEX_POINT('',#499312); #151798=VERTEX_POINT('',#499316); #151799=VERTEX_POINT('',#499318); #151800=VERTEX_POINT('',#499322); #151801=VERTEX_POINT('',#499324); #151802=VERTEX_POINT('',#499328); #151803=VERTEX_POINT('',#499330); #151804=VERTEX_POINT('',#499334); #151805=VERTEX_POINT('',#499336); #151806=VERTEX_POINT('',#499340); #151807=VERTEX_POINT('',#499342); #151808=VERTEX_POINT('',#499346); #151809=VERTEX_POINT('',#499348); #151810=VERTEX_POINT('',#499352); #151811=VERTEX_POINT('',#499354); #151812=VERTEX_POINT('',#499358); #151813=VERTEX_POINT('',#499360); #151814=VERTEX_POINT('',#499364); #151815=VERTEX_POINT('',#499366); #151816=VERTEX_POINT('',#499370); #151817=VERTEX_POINT('',#499372); #151818=VERTEX_POINT('',#499376); #151819=VERTEX_POINT('',#499378); #151820=VERTEX_POINT('',#499382); #151821=VERTEX_POINT('',#499384); #151822=VERTEX_POINT('',#499388); #151823=VERTEX_POINT('',#499390); #151824=VERTEX_POINT('',#499394); #151825=VERTEX_POINT('',#499396); #151826=VERTEX_POINT('',#499400); #151827=VERTEX_POINT('',#499402); #151828=VERTEX_POINT('',#499406); #151829=VERTEX_POINT('',#499408); #151830=VERTEX_POINT('',#499412); #151831=VERTEX_POINT('',#499414); #151832=VERTEX_POINT('',#499418); #151833=VERTEX_POINT('',#499420); #151834=VERTEX_POINT('',#499424); #151835=VERTEX_POINT('',#499426); #151836=VERTEX_POINT('',#499430); #151837=VERTEX_POINT('',#499432); #151838=VERTEX_POINT('',#499436); #151839=VERTEX_POINT('',#499438); #151840=VERTEX_POINT('',#499442); #151841=VERTEX_POINT('',#499444); #151842=VERTEX_POINT('',#499448); #151843=VERTEX_POINT('',#499450); #151844=VERTEX_POINT('',#499454); #151845=VERTEX_POINT('',#499456); #151846=VERTEX_POINT('',#499460); #151847=VERTEX_POINT('',#499462); #151848=VERTEX_POINT('',#499466); #151849=VERTEX_POINT('',#499468); #151850=VERTEX_POINT('',#499472); #151851=VERTEX_POINT('',#499474); #151852=VERTEX_POINT('',#499478); #151853=VERTEX_POINT('',#499480); #151854=VERTEX_POINT('',#499484); #151855=VERTEX_POINT('',#499486); #151856=VERTEX_POINT('',#499490); #151857=VERTEX_POINT('',#499492); #151858=VERTEX_POINT('',#499496); #151859=VERTEX_POINT('',#499498); #151860=VERTEX_POINT('',#499502); #151861=VERTEX_POINT('',#499504); #151862=VERTEX_POINT('',#499508); #151863=VERTEX_POINT('',#499510); #151864=VERTEX_POINT('',#499514); #151865=VERTEX_POINT('',#499516); #151866=VERTEX_POINT('',#499520); #151867=VERTEX_POINT('',#499522); #151868=VERTEX_POINT('',#499526); #151869=VERTEX_POINT('',#499528); #151870=VERTEX_POINT('',#499532); #151871=VERTEX_POINT('',#499534); #151872=VERTEX_POINT('',#499538); #151873=VERTEX_POINT('',#499540); #151874=VERTEX_POINT('',#499544); #151875=VERTEX_POINT('',#499546); #151876=VERTEX_POINT('',#499550); #151877=VERTEX_POINT('',#499552); #151878=VERTEX_POINT('',#499556); #151879=VERTEX_POINT('',#499558); #151880=VERTEX_POINT('',#499562); #151881=VERTEX_POINT('',#499564); #151882=VERTEX_POINT('',#499568); #151883=VERTEX_POINT('',#499570); #151884=VERTEX_POINT('',#499574); #151885=VERTEX_POINT('',#499576); #151886=VERTEX_POINT('',#499580); #151887=VERTEX_POINT('',#499582); #151888=VERTEX_POINT('',#499586); #151889=VERTEX_POINT('',#499588); #151890=VERTEX_POINT('',#499592); #151891=VERTEX_POINT('',#499594); #151892=VERTEX_POINT('',#499598); #151893=VERTEX_POINT('',#499600); #151894=VERTEX_POINT('',#499604); #151895=VERTEX_POINT('',#499606); #151896=VERTEX_POINT('',#499610); #151897=VERTEX_POINT('',#499612); #151898=VERTEX_POINT('',#499616); #151899=VERTEX_POINT('',#499618); #151900=VERTEX_POINT('',#499622); #151901=VERTEX_POINT('',#499624); #151902=VERTEX_POINT('',#499628); #151903=VERTEX_POINT('',#499630); #151904=VERTEX_POINT('',#499634); #151905=VERTEX_POINT('',#499636); #151906=VERTEX_POINT('',#499640); #151907=VERTEX_POINT('',#499642); #151908=VERTEX_POINT('',#499646); #151909=VERTEX_POINT('',#499648); #151910=VERTEX_POINT('',#499652); #151911=VERTEX_POINT('',#499654); #151912=VERTEX_POINT('',#499658); #151913=VERTEX_POINT('',#499660); #151914=VERTEX_POINT('',#499664); #151915=VERTEX_POINT('',#499666); #151916=VERTEX_POINT('',#499670); #151917=VERTEX_POINT('',#499672); #151918=VERTEX_POINT('',#499676); #151919=VERTEX_POINT('',#499678); #151920=VERTEX_POINT('',#499682); #151921=VERTEX_POINT('',#499684); #151922=VERTEX_POINT('',#499688); #151923=VERTEX_POINT('',#499690); #151924=VERTEX_POINT('',#499694); #151925=VERTEX_POINT('',#499696); #151926=VERTEX_POINT('',#499700); #151927=VERTEX_POINT('',#499702); #151928=VERTEX_POINT('',#499706); #151929=VERTEX_POINT('',#499708); #151930=VERTEX_POINT('',#499712); #151931=VERTEX_POINT('',#499714); #151932=VERTEX_POINT('',#499718); #151933=VERTEX_POINT('',#499720); #151934=VERTEX_POINT('',#499724); #151935=VERTEX_POINT('',#499726); #151936=VERTEX_POINT('',#499730); #151937=VERTEX_POINT('',#499732); #151938=VERTEX_POINT('',#499736); #151939=VERTEX_POINT('',#499738); #151940=VERTEX_POINT('',#499742); #151941=VERTEX_POINT('',#499744); #151942=VERTEX_POINT('',#499748); #151943=VERTEX_POINT('',#499750); #151944=VERTEX_POINT('',#499754); #151945=VERTEX_POINT('',#499756); #151946=VERTEX_POINT('',#499760); #151947=VERTEX_POINT('',#499762); #151948=VERTEX_POINT('',#499766); #151949=VERTEX_POINT('',#499768); #151950=VERTEX_POINT('',#499772); #151951=VERTEX_POINT('',#499774); #151952=VERTEX_POINT('',#499778); #151953=VERTEX_POINT('',#499780); #151954=VERTEX_POINT('',#499784); #151955=VERTEX_POINT('',#499786); #151956=VERTEX_POINT('',#499790); #151957=VERTEX_POINT('',#499792); #151958=VERTEX_POINT('',#499796); #151959=VERTEX_POINT('',#499798); #151960=VERTEX_POINT('',#499802); #151961=VERTEX_POINT('',#499804); #151962=VERTEX_POINT('',#499808); #151963=VERTEX_POINT('',#499810); #151964=VERTEX_POINT('',#499814); #151965=VERTEX_POINT('',#499816); #151966=VERTEX_POINT('',#499820); #151967=VERTEX_POINT('',#499822); #151968=VERTEX_POINT('',#499826); #151969=VERTEX_POINT('',#499828); #151970=VERTEX_POINT('',#499832); #151971=VERTEX_POINT('',#499834); #151972=VERTEX_POINT('',#499838); #151973=VERTEX_POINT('',#499839); #151974=VERTEX_POINT('',#499841); #151975=VERTEX_POINT('',#499843); #151976=VERTEX_POINT('',#499847); #151977=VERTEX_POINT('',#499849); #151978=VERTEX_POINT('',#499853); #151979=VERTEX_POINT('',#499855); #151980=VERTEX_POINT('',#499862); #151981=VERTEX_POINT('',#499864); #151982=VERTEX_POINT('',#499868); #151983=VERTEX_POINT('',#499869); #151984=VERTEX_POINT('',#499871); #151985=VERTEX_POINT('',#499873); #151986=VERTEX_POINT('',#499877); #151987=VERTEX_POINT('',#499879); #151988=VERTEX_POINT('',#499883); #151989=VERTEX_POINT('',#499885); #151990=VERTEX_POINT('',#499892); #151991=VERTEX_POINT('',#499894); #151992=VERTEX_POINT('',#499898); #151993=VERTEX_POINT('',#499900); #151994=VERTEX_POINT('',#499904); #151995=VERTEX_POINT('',#499906); #151996=VERTEX_POINT('',#499910); #151997=VERTEX_POINT('',#499911); #151998=VERTEX_POINT('',#499913); #151999=VERTEX_POINT('',#499915); #152000=VERTEX_POINT('',#499919); #152001=VERTEX_POINT('',#499921); #152002=VERTEX_POINT('',#499925); #152003=VERTEX_POINT('',#499927); #152004=VERTEX_POINT('',#499934); #152005=VERTEX_POINT('',#499936); #152006=VERTEX_POINT('',#499940); #152007=VERTEX_POINT('',#499942); #152008=VERTEX_POINT('',#499946); #152009=VERTEX_POINT('',#499948); #152010=VERTEX_POINT('',#499952); #152011=VERTEX_POINT('',#499954); #152012=VERTEX_POINT('',#499958); #152013=VERTEX_POINT('',#499960); #152014=VERTEX_POINT('',#499964); #152015=VERTEX_POINT('',#499966); #152016=VERTEX_POINT('',#499970); #152017=VERTEX_POINT('',#499972); #152018=VERTEX_POINT('',#499976); #152019=VERTEX_POINT('',#499978); #152020=VERTEX_POINT('',#499982); #152021=VERTEX_POINT('',#499984); #152022=VERTEX_POINT('',#499988); #152023=VERTEX_POINT('',#499990); #152024=VERTEX_POINT('',#499994); #152025=VERTEX_POINT('',#499995); #152026=VERTEX_POINT('',#499997); #152027=VERTEX_POINT('',#499999); #152028=VERTEX_POINT('',#500003); #152029=VERTEX_POINT('',#500005); #152030=VERTEX_POINT('',#500009); #152031=VERTEX_POINT('',#500011); #152032=VERTEX_POINT('',#500018); #152033=VERTEX_POINT('',#500020); #152034=VERTEX_POINT('',#500024); #152035=VERTEX_POINT('',#500025); #152036=VERTEX_POINT('',#500027); #152037=VERTEX_POINT('',#500029); #152038=VERTEX_POINT('',#500033); #152039=VERTEX_POINT('',#500035); #152040=VERTEX_POINT('',#500039); #152041=VERTEX_POINT('',#500041); #152042=VERTEX_POINT('',#500048); #152043=VERTEX_POINT('',#500050); #152044=VERTEX_POINT('',#500054); #152045=VERTEX_POINT('',#500055); #152046=VERTEX_POINT('',#500057); #152047=VERTEX_POINT('',#500059); #152048=VERTEX_POINT('',#500063); #152049=VERTEX_POINT('',#500065); #152050=VERTEX_POINT('',#500069); #152051=VERTEX_POINT('',#500071); #152052=VERTEX_POINT('',#500078); #152053=VERTEX_POINT('',#500080); #152054=VERTEX_POINT('',#500084); #152055=VERTEX_POINT('',#500086); #152056=VERTEX_POINT('',#500090); #152057=VERTEX_POINT('',#500092); #152058=VERTEX_POINT('',#500096); #152059=VERTEX_POINT('',#500098); #152060=VERTEX_POINT('',#500102); #152061=VERTEX_POINT('',#500104); #152062=VERTEX_POINT('',#500108); #152063=VERTEX_POINT('',#500110); #152064=VERTEX_POINT('',#500114); #152065=VERTEX_POINT('',#500116); #152066=VERTEX_POINT('',#500120); #152067=VERTEX_POINT('',#500122); #152068=VERTEX_POINT('',#500126); #152069=VERTEX_POINT('',#500128); #152070=VERTEX_POINT('',#500132); #152071=VERTEX_POINT('',#500134); #152072=VERTEX_POINT('',#500138); #152073=VERTEX_POINT('',#500140); #152074=VERTEX_POINT('',#500144); #152075=VERTEX_POINT('',#500146); #152076=VERTEX_POINT('',#500150); #152077=VERTEX_POINT('',#500152); #152078=VERTEX_POINT('',#500156); #152079=VERTEX_POINT('',#500158); #152080=VERTEX_POINT('',#500162); #152081=VERTEX_POINT('',#500164); #152082=VERTEX_POINT('',#500168); #152083=VERTEX_POINT('',#500170); #152084=VERTEX_POINT('',#500174); #152085=VERTEX_POINT('',#500176); #152086=VERTEX_POINT('',#500180); #152087=VERTEX_POINT('',#500182); #152088=VERTEX_POINT('',#500186); #152089=VERTEX_POINT('',#500188); #152090=VERTEX_POINT('',#500192); #152091=VERTEX_POINT('',#500194); #152092=VERTEX_POINT('',#500198); #152093=VERTEX_POINT('',#500200); #152094=VERTEX_POINT('',#500204); #152095=VERTEX_POINT('',#500206); #152096=VERTEX_POINT('',#500210); #152097=VERTEX_POINT('',#500212); #152098=VERTEX_POINT('',#500216); #152099=VERTEX_POINT('',#500218); #152100=VERTEX_POINT('',#500222); #152101=VERTEX_POINT('',#500224); #152102=VERTEX_POINT('',#500228); #152103=VERTEX_POINT('',#500230); #152104=VERTEX_POINT('',#500234); #152105=VERTEX_POINT('',#500236); #152106=VERTEX_POINT('',#500240); #152107=VERTEX_POINT('',#500242); #152108=VERTEX_POINT('',#500246); #152109=VERTEX_POINT('',#500248); #152110=VERTEX_POINT('',#500252); #152111=VERTEX_POINT('',#500254); #152112=VERTEX_POINT('',#500258); #152113=VERTEX_POINT('',#500260); #152114=VERTEX_POINT('',#500264); #152115=VERTEX_POINT('',#500266); #152116=VERTEX_POINT('',#500270); #152117=VERTEX_POINT('',#500272); #152118=VERTEX_POINT('',#500276); #152119=VERTEX_POINT('',#500278); #152120=VERTEX_POINT('',#500282); #152121=VERTEX_POINT('',#500284); #152122=VERTEX_POINT('',#500288); #152123=VERTEX_POINT('',#500290); #152124=VERTEX_POINT('',#500294); #152125=VERTEX_POINT('',#500296); #152126=VERTEX_POINT('',#500300); #152127=VERTEX_POINT('',#500302); #152128=VERTEX_POINT('',#500306); #152129=VERTEX_POINT('',#500308); #152130=VERTEX_POINT('',#500312); #152131=VERTEX_POINT('',#500314); #152132=VERTEX_POINT('',#500318); #152133=VERTEX_POINT('',#500320); #152134=VERTEX_POINT('',#500324); #152135=VERTEX_POINT('',#500326); #152136=VERTEX_POINT('',#500330); #152137=VERTEX_POINT('',#500332); #152138=VERTEX_POINT('',#500336); #152139=VERTEX_POINT('',#500338); #152140=VERTEX_POINT('',#500342); #152141=VERTEX_POINT('',#500344); #152142=VERTEX_POINT('',#500348); #152143=VERTEX_POINT('',#500350); #152144=VERTEX_POINT('',#500354); #152145=VERTEX_POINT('',#500356); #152146=VERTEX_POINT('',#500360); #152147=VERTEX_POINT('',#500362); #152148=VERTEX_POINT('',#500366); #152149=VERTEX_POINT('',#500368); #152150=VERTEX_POINT('',#500372); #152151=VERTEX_POINT('',#500374); #152152=VERTEX_POINT('',#500378); #152153=VERTEX_POINT('',#500380); #152154=VERTEX_POINT('',#500384); #152155=VERTEX_POINT('',#500386); #152156=VERTEX_POINT('',#500390); #152157=VERTEX_POINT('',#500392); #152158=VERTEX_POINT('',#500396); #152159=VERTEX_POINT('',#500398); #152160=VERTEX_POINT('',#500402); #152161=VERTEX_POINT('',#500404); #152162=VERTEX_POINT('',#500408); #152163=VERTEX_POINT('',#500410); #152164=VERTEX_POINT('',#500414); #152165=VERTEX_POINT('',#500416); #152166=VERTEX_POINT('',#500420); #152167=VERTEX_POINT('',#500422); #152168=VERTEX_POINT('',#500426); #152169=VERTEX_POINT('',#500428); #152170=VERTEX_POINT('',#500432); #152171=VERTEX_POINT('',#500434); #152172=VERTEX_POINT('',#500438); #152173=VERTEX_POINT('',#500440); #152174=VERTEX_POINT('',#500444); #152175=VERTEX_POINT('',#500446); #152176=VERTEX_POINT('',#500450); #152177=VERTEX_POINT('',#500452); #152178=VERTEX_POINT('',#500456); #152179=VERTEX_POINT('',#500458); #152180=VERTEX_POINT('',#500462); #152181=VERTEX_POINT('',#500464); #152182=VERTEX_POINT('',#500468); #152183=VERTEX_POINT('',#500470); #152184=VERTEX_POINT('',#500474); #152185=VERTEX_POINT('',#500476); #152186=VERTEX_POINT('',#500480); #152187=VERTEX_POINT('',#500482); #152188=VERTEX_POINT('',#500486); #152189=VERTEX_POINT('',#500488); #152190=VERTEX_POINT('',#500492); #152191=VERTEX_POINT('',#500494); #152192=VERTEX_POINT('',#500498); #152193=VERTEX_POINT('',#500500); #152194=VERTEX_POINT('',#500504); #152195=VERTEX_POINT('',#500506); #152196=VERTEX_POINT('',#500510); #152197=VERTEX_POINT('',#500512); #152198=VERTEX_POINT('',#500516); #152199=VERTEX_POINT('',#500518); #152200=VERTEX_POINT('',#500522); #152201=VERTEX_POINT('',#500524); #152202=VERTEX_POINT('',#500528); #152203=VERTEX_POINT('',#500530); #152204=VERTEX_POINT('',#500534); #152205=VERTEX_POINT('',#500536); #152206=VERTEX_POINT('',#500540); #152207=VERTEX_POINT('',#500542); #152208=VERTEX_POINT('',#500546); #152209=VERTEX_POINT('',#500548); #152210=VERTEX_POINT('',#500552); #152211=VERTEX_POINT('',#500554); #152212=VERTEX_POINT('',#500558); #152213=VERTEX_POINT('',#500560); #152214=VERTEX_POINT('',#500564); #152215=VERTEX_POINT('',#500566); #152216=VERTEX_POINT('',#500570); #152217=VERTEX_POINT('',#500572); #152218=VERTEX_POINT('',#500576); #152219=VERTEX_POINT('',#500578); #152220=VERTEX_POINT('',#500582); #152221=VERTEX_POINT('',#500584); #152222=VERTEX_POINT('',#500588); #152223=VERTEX_POINT('',#500590); #152224=VERTEX_POINT('',#500594); #152225=VERTEX_POINT('',#500596); #152226=VERTEX_POINT('',#500600); #152227=VERTEX_POINT('',#500602); #152228=VERTEX_POINT('',#500606); #152229=VERTEX_POINT('',#500608); #152230=VERTEX_POINT('',#500612); #152231=VERTEX_POINT('',#500614); #152232=VERTEX_POINT('',#500618); #152233=VERTEX_POINT('',#500620); #152234=VERTEX_POINT('',#500624); #152235=VERTEX_POINT('',#500626); #152236=VERTEX_POINT('',#500630); #152237=VERTEX_POINT('',#500632); #152238=VERTEX_POINT('',#500636); #152239=VERTEX_POINT('',#500638); #152240=VERTEX_POINT('',#500642); #152241=VERTEX_POINT('',#500644); #152242=VERTEX_POINT('',#500648); #152243=VERTEX_POINT('',#500650); #152244=VERTEX_POINT('',#500654); #152245=VERTEX_POINT('',#500656); #152246=VERTEX_POINT('',#500660); #152247=VERTEX_POINT('',#500662); #152248=VERTEX_POINT('',#500666); #152249=VERTEX_POINT('',#500668); #152250=VERTEX_POINT('',#500672); #152251=VERTEX_POINT('',#500674); #152252=VERTEX_POINT('',#500678); #152253=VERTEX_POINT('',#500680); #152254=VERTEX_POINT('',#500684); #152255=VERTEX_POINT('',#500686); #152256=VERTEX_POINT('',#500690); #152257=VERTEX_POINT('',#500692); #152258=VERTEX_POINT('',#500696); #152259=VERTEX_POINT('',#500698); #152260=VERTEX_POINT('',#500702); #152261=VERTEX_POINT('',#500704); #152262=VERTEX_POINT('',#500708); #152263=VERTEX_POINT('',#500710); #152264=VERTEX_POINT('',#500714); #152265=VERTEX_POINT('',#500716); #152266=VERTEX_POINT('',#500720); #152267=VERTEX_POINT('',#500722); #152268=VERTEX_POINT('',#500726); #152269=VERTEX_POINT('',#500728); #152270=VERTEX_POINT('',#500732); #152271=VERTEX_POINT('',#500734); #152272=VERTEX_POINT('',#500738); #152273=VERTEX_POINT('',#500740); #152274=VERTEX_POINT('',#500744); #152275=VERTEX_POINT('',#500746); #152276=VERTEX_POINT('',#500750); #152277=VERTEX_POINT('',#500752); #152278=VERTEX_POINT('',#500756); #152279=VERTEX_POINT('',#500758); #152280=VERTEX_POINT('',#500762); #152281=VERTEX_POINT('',#500764); #152282=VERTEX_POINT('',#500768); #152283=VERTEX_POINT('',#500770); #152284=VERTEX_POINT('',#500774); #152285=VERTEX_POINT('',#500776); #152286=VERTEX_POINT('',#500780); #152287=VERTEX_POINT('',#500782); #152288=VERTEX_POINT('',#500786); #152289=VERTEX_POINT('',#500788); #152290=VERTEX_POINT('',#500792); #152291=VERTEX_POINT('',#500794); #152292=VERTEX_POINT('',#500798); #152293=VERTEX_POINT('',#500800); #152294=VERTEX_POINT('',#500804); #152295=VERTEX_POINT('',#500806); #152296=VERTEX_POINT('',#500810); #152297=VERTEX_POINT('',#500812); #152298=VERTEX_POINT('',#500816); #152299=VERTEX_POINT('',#500818); #152300=VERTEX_POINT('',#500822); #152301=VERTEX_POINT('',#500824); #152302=VERTEX_POINT('',#500828); #152303=VERTEX_POINT('',#500830); #152304=VERTEX_POINT('',#500834); #152305=VERTEX_POINT('',#500836); #152306=VERTEX_POINT('',#500840); #152307=VERTEX_POINT('',#500842); #152308=VERTEX_POINT('',#500846); #152309=VERTEX_POINT('',#500848); #152310=VERTEX_POINT('',#500852); #152311=VERTEX_POINT('',#500854); #152312=VERTEX_POINT('',#500858); #152313=VERTEX_POINT('',#500860); #152314=VERTEX_POINT('',#500864); #152315=VERTEX_POINT('',#500866); #152316=VERTEX_POINT('',#500870); #152317=VERTEX_POINT('',#500872); #152318=VERTEX_POINT('',#500878); #152319=VERTEX_POINT('',#500880); #152320=VERTEX_POINT('',#500884); #152321=VERTEX_POINT('',#500886); #152322=VERTEX_POINT('',#500892); #152323=VERTEX_POINT('',#500894); #152324=VERTEX_POINT('',#500898); #152325=VERTEX_POINT('',#500900); #152326=VERTEX_POINT('',#500906); #152327=VERTEX_POINT('',#500908); #152328=VERTEX_POINT('',#500912); #152329=VERTEX_POINT('',#500914); #152330=VERTEX_POINT('',#500920); #152331=VERTEX_POINT('',#500922); #152332=VERTEX_POINT('',#500926); #152333=VERTEX_POINT('',#500928); #152334=VERTEX_POINT('',#500934); #152335=VERTEX_POINT('',#500936); #152336=VERTEX_POINT('',#500940); #152337=VERTEX_POINT('',#500942); #152338=VERTEX_POINT('',#500948); #152339=VERTEX_POINT('',#500950); #152340=VERTEX_POINT('',#500954); #152341=VERTEX_POINT('',#500956); #152342=VERTEX_POINT('',#500962); #152343=VERTEX_POINT('',#500964); #152344=VERTEX_POINT('',#500968); #152345=VERTEX_POINT('',#500970); #152346=VERTEX_POINT('',#500976); #152347=VERTEX_POINT('',#500978); #152348=VERTEX_POINT('',#500982); #152349=VERTEX_POINT('',#500984); #152350=VERTEX_POINT('',#500990); #152351=VERTEX_POINT('',#500992); #152352=VERTEX_POINT('',#500996); #152353=VERTEX_POINT('',#500998); #152354=VERTEX_POINT('',#501004); #152355=VERTEX_POINT('',#501006); #152356=VERTEX_POINT('',#501010); #152357=VERTEX_POINT('',#501012); #152358=VERTEX_POINT('',#501018); #152359=VERTEX_POINT('',#501020); #152360=VERTEX_POINT('',#501024); #152361=VERTEX_POINT('',#501026); #152362=VERTEX_POINT('',#501032); #152363=VERTEX_POINT('',#501034); #152364=VERTEX_POINT('',#501038); #152365=VERTEX_POINT('',#501040); #152366=VERTEX_POINT('',#501046); #152367=VERTEX_POINT('',#501048); #152368=VERTEX_POINT('',#501052); #152369=VERTEX_POINT('',#501054); #152370=VERTEX_POINT('',#501060); #152371=VERTEX_POINT('',#501062); #152372=VERTEX_POINT('',#501066); #152373=VERTEX_POINT('',#501068); #152374=VERTEX_POINT('',#501074); #152375=VERTEX_POINT('',#501076); #152376=VERTEX_POINT('',#501080); #152377=VERTEX_POINT('',#501082); #152378=VERTEX_POINT('',#501088); #152379=VERTEX_POINT('',#501090); #152380=VERTEX_POINT('',#501094); #152381=VERTEX_POINT('',#501096); #152382=VERTEX_POINT('',#501102); #152383=VERTEX_POINT('',#501104); #152384=VERTEX_POINT('',#501108); #152385=VERTEX_POINT('',#501110); #152386=VERTEX_POINT('',#501116); #152387=VERTEX_POINT('',#501118); #152388=VERTEX_POINT('',#501122); #152389=VERTEX_POINT('',#501124); #152390=VERTEX_POINT('',#501130); #152391=VERTEX_POINT('',#501132); #152392=VERTEX_POINT('',#501136); #152393=VERTEX_POINT('',#501138); #152394=VERTEX_POINT('',#501144); #152395=VERTEX_POINT('',#501146); #152396=VERTEX_POINT('',#501150); #152397=VERTEX_POINT('',#501152); #152398=VERTEX_POINT('',#501158); #152399=VERTEX_POINT('',#501160); #152400=VERTEX_POINT('',#501164); #152401=VERTEX_POINT('',#501166); #152402=VERTEX_POINT('',#501172); #152403=VERTEX_POINT('',#501174); #152404=VERTEX_POINT('',#501178); #152405=VERTEX_POINT('',#501180); #152406=VERTEX_POINT('',#501186); #152407=VERTEX_POINT('',#501188); #152408=VERTEX_POINT('',#501192); #152409=VERTEX_POINT('',#501194); #152410=VERTEX_POINT('',#501200); #152411=VERTEX_POINT('',#501202); #152412=VERTEX_POINT('',#501206); #152413=VERTEX_POINT('',#501208); #152414=VERTEX_POINT('',#501214); #152415=VERTEX_POINT('',#501216); #152416=VERTEX_POINT('',#501220); #152417=VERTEX_POINT('',#501222); #152418=VERTEX_POINT('',#501228); #152419=VERTEX_POINT('',#501230); #152420=VERTEX_POINT('',#501234); #152421=VERTEX_POINT('',#501236); #152422=VERTEX_POINT('',#501242); #152423=VERTEX_POINT('',#501244); #152424=VERTEX_POINT('',#501248); #152425=VERTEX_POINT('',#501250); #152426=VERTEX_POINT('',#501256); #152427=VERTEX_POINT('',#501258); #152428=VERTEX_POINT('',#501262); #152429=VERTEX_POINT('',#501264); #152430=VERTEX_POINT('',#501270); #152431=VERTEX_POINT('',#501272); #152432=VERTEX_POINT('',#501276); #152433=VERTEX_POINT('',#501278); #152434=VERTEX_POINT('',#501284); #152435=VERTEX_POINT('',#501286); #152436=VERTEX_POINT('',#501290); #152437=VERTEX_POINT('',#501292); #152438=VERTEX_POINT('',#501298); #152439=VERTEX_POINT('',#501300); #152440=VERTEX_POINT('',#501304); #152441=VERTEX_POINT('',#501306); #152442=VERTEX_POINT('',#501312); #152443=VERTEX_POINT('',#501314); #152444=VERTEX_POINT('',#501318); #152445=VERTEX_POINT('',#501320); #152446=VERTEX_POINT('',#501326); #152447=VERTEX_POINT('',#501328); #152448=VERTEX_POINT('',#501332); #152449=VERTEX_POINT('',#501334); #152450=VERTEX_POINT('',#501340); #152451=VERTEX_POINT('',#501342); #152452=VERTEX_POINT('',#501346); #152453=VERTEX_POINT('',#501348); #152454=VERTEX_POINT('',#501354); #152455=VERTEX_POINT('',#501356); #152456=VERTEX_POINT('',#501360); #152457=VERTEX_POINT('',#501362); #152458=VERTEX_POINT('',#501368); #152459=VERTEX_POINT('',#501370); #152460=VERTEX_POINT('',#501374); #152461=VERTEX_POINT('',#501376); #152462=VERTEX_POINT('',#501382); #152463=VERTEX_POINT('',#501384); #152464=VERTEX_POINT('',#501388); #152465=VERTEX_POINT('',#501390); #152466=VERTEX_POINT('',#501396); #152467=VERTEX_POINT('',#501398); #152468=VERTEX_POINT('',#501402); #152469=VERTEX_POINT('',#501404); #152470=VERTEX_POINT('',#501410); #152471=VERTEX_POINT('',#501412); #152472=VERTEX_POINT('',#501416); #152473=VERTEX_POINT('',#501418); #152474=VERTEX_POINT('',#501424); #152475=VERTEX_POINT('',#501426); #152476=VERTEX_POINT('',#501430); #152477=VERTEX_POINT('',#501432); #152478=VERTEX_POINT('',#501438); #152479=VERTEX_POINT('',#501440); #152480=VERTEX_POINT('',#501444); #152481=VERTEX_POINT('',#501446); #152482=VERTEX_POINT('',#501452); #152483=VERTEX_POINT('',#501454); #152484=VERTEX_POINT('',#501458); #152485=VERTEX_POINT('',#501460); #152486=VERTEX_POINT('',#501466); #152487=VERTEX_POINT('',#501468); #152488=VERTEX_POINT('',#501472); #152489=VERTEX_POINT('',#501474); #152490=VERTEX_POINT('',#501480); #152491=VERTEX_POINT('',#501482); #152492=VERTEX_POINT('',#501486); #152493=VERTEX_POINT('',#501488); #152494=VERTEX_POINT('',#501494); #152495=VERTEX_POINT('',#501496); #152496=VERTEX_POINT('',#501500); #152497=VERTEX_POINT('',#501502); #152498=VERTEX_POINT('',#501508); #152499=VERTEX_POINT('',#501510); #152500=VERTEX_POINT('',#501514); #152501=VERTEX_POINT('',#501516); #152502=VERTEX_POINT('',#501522); #152503=VERTEX_POINT('',#501524); #152504=VERTEX_POINT('',#501528); #152505=VERTEX_POINT('',#501530); #152506=VERTEX_POINT('',#501536); #152507=VERTEX_POINT('',#501538); #152508=VERTEX_POINT('',#501542); #152509=VERTEX_POINT('',#501544); #152510=VERTEX_POINT('',#501550); #152511=VERTEX_POINT('',#501552); #152512=VERTEX_POINT('',#501556); #152513=VERTEX_POINT('',#501558); #152514=VERTEX_POINT('',#501564); #152515=VERTEX_POINT('',#501566); #152516=VERTEX_POINT('',#501570); #152517=VERTEX_POINT('',#501572); #152518=VERTEX_POINT('',#501578); #152519=VERTEX_POINT('',#501580); #152520=VERTEX_POINT('',#501584); #152521=VERTEX_POINT('',#501586); #152522=VERTEX_POINT('',#501592); #152523=VERTEX_POINT('',#501594); #152524=VERTEX_POINT('',#501598); #152525=VERTEX_POINT('',#501600); #152526=VERTEX_POINT('',#501606); #152527=VERTEX_POINT('',#501608); #152528=VERTEX_POINT('',#501612); #152529=VERTEX_POINT('',#501614); #152530=VERTEX_POINT('',#501620); #152531=VERTEX_POINT('',#501622); #152532=VERTEX_POINT('',#501626); #152533=VERTEX_POINT('',#501628); #152534=VERTEX_POINT('',#501634); #152535=VERTEX_POINT('',#501636); #152536=VERTEX_POINT('',#501640); #152537=VERTEX_POINT('',#501642); #152538=VERTEX_POINT('',#501648); #152539=VERTEX_POINT('',#501650); #152540=VERTEX_POINT('',#501654); #152541=VERTEX_POINT('',#501656); #152542=VERTEX_POINT('',#501662); #152543=VERTEX_POINT('',#501664); #152544=VERTEX_POINT('',#501668); #152545=VERTEX_POINT('',#501670); #152546=VERTEX_POINT('',#501676); #152547=VERTEX_POINT('',#501678); #152548=VERTEX_POINT('',#501682); #152549=VERTEX_POINT('',#501684); #152550=VERTEX_POINT('',#501690); #152551=VERTEX_POINT('',#501692); #152552=VERTEX_POINT('',#501696); #152553=VERTEX_POINT('',#501698); #152554=VERTEX_POINT('',#501704); #152555=VERTEX_POINT('',#501706); #152556=VERTEX_POINT('',#501710); #152557=VERTEX_POINT('',#501712); #152558=VERTEX_POINT('',#501718); #152559=VERTEX_POINT('',#501720); #152560=VERTEX_POINT('',#501724); #152561=VERTEX_POINT('',#501726); #152562=VERTEX_POINT('',#501732); #152563=VERTEX_POINT('',#501734); #152564=VERTEX_POINT('',#501738); #152565=VERTEX_POINT('',#501740); #152566=VERTEX_POINT('',#501746); #152567=VERTEX_POINT('',#501748); #152568=VERTEX_POINT('',#501752); #152569=VERTEX_POINT('',#501754); #152570=VERTEX_POINT('',#501760); #152571=VERTEX_POINT('',#501762); #152572=VERTEX_POINT('',#501766); #152573=VERTEX_POINT('',#501768); #152574=VERTEX_POINT('',#501774); #152575=VERTEX_POINT('',#501776); #152576=VERTEX_POINT('',#501780); #152577=VERTEX_POINT('',#501782); #152578=VERTEX_POINT('',#501788); #152579=VERTEX_POINT('',#501790); #152580=VERTEX_POINT('',#501794); #152581=VERTEX_POINT('',#501796); #152582=VERTEX_POINT('',#501802); #152583=VERTEX_POINT('',#501804); #152584=VERTEX_POINT('',#501808); #152585=VERTEX_POINT('',#501810); #152586=VERTEX_POINT('',#501816); #152587=VERTEX_POINT('',#501818); #152588=VERTEX_POINT('',#501822); #152589=VERTEX_POINT('',#501824); #152590=VERTEX_POINT('',#501830); #152591=VERTEX_POINT('',#501832); #152592=VERTEX_POINT('',#501836); #152593=VERTEX_POINT('',#501838); #152594=VERTEX_POINT('',#501844); #152595=VERTEX_POINT('',#501846); #152596=VERTEX_POINT('',#501850); #152597=VERTEX_POINT('',#501852); #152598=VERTEX_POINT('',#501858); #152599=VERTEX_POINT('',#501860); #152600=VERTEX_POINT('',#501864); #152601=VERTEX_POINT('',#501866); #152602=VERTEX_POINT('',#501872); #152603=VERTEX_POINT('',#501874); #152604=VERTEX_POINT('',#501878); #152605=VERTEX_POINT('',#501880); #152606=VERTEX_POINT('',#501886); #152607=VERTEX_POINT('',#501888); #152608=VERTEX_POINT('',#501892); #152609=VERTEX_POINT('',#501894); #152610=VERTEX_POINT('',#501900); #152611=VERTEX_POINT('',#501902); #152612=VERTEX_POINT('',#501906); #152613=VERTEX_POINT('',#501908); #152614=VERTEX_POINT('',#501914); #152615=VERTEX_POINT('',#501916); #152616=VERTEX_POINT('',#501920); #152617=VERTEX_POINT('',#501922); #152618=VERTEX_POINT('',#501928); #152619=VERTEX_POINT('',#501930); #152620=VERTEX_POINT('',#501934); #152621=VERTEX_POINT('',#501936); #152622=VERTEX_POINT('',#501942); #152623=VERTEX_POINT('',#501944); #152624=VERTEX_POINT('',#501948); #152625=VERTEX_POINT('',#501950); #152626=VERTEX_POINT('',#501956); #152627=VERTEX_POINT('',#501958); #152628=VERTEX_POINT('',#501962); #152629=VERTEX_POINT('',#501964); #152630=VERTEX_POINT('',#501970); #152631=VERTEX_POINT('',#501972); #152632=VERTEX_POINT('',#501976); #152633=VERTEX_POINT('',#501978); #152634=VERTEX_POINT('',#501984); #152635=VERTEX_POINT('',#501986); #152636=VERTEX_POINT('',#501990); #152637=VERTEX_POINT('',#501992); #152638=VERTEX_POINT('',#501998); #152639=VERTEX_POINT('',#502000); #152640=VERTEX_POINT('',#502004); #152641=VERTEX_POINT('',#502006); #152642=VERTEX_POINT('',#502012); #152643=VERTEX_POINT('',#502014); #152644=VERTEX_POINT('',#502018); #152645=VERTEX_POINT('',#502020); #152646=VERTEX_POINT('',#502026); #152647=VERTEX_POINT('',#502028); #152648=VERTEX_POINT('',#502032); #152649=VERTEX_POINT('',#502034); #152650=VERTEX_POINT('',#502040); #152651=VERTEX_POINT('',#502042); #152652=VERTEX_POINT('',#502046); #152653=VERTEX_POINT('',#502048); #152654=VERTEX_POINT('',#502054); #152655=VERTEX_POINT('',#502056); #152656=VERTEX_POINT('',#502060); #152657=VERTEX_POINT('',#502062); #152658=VERTEX_POINT('',#502068); #152659=VERTEX_POINT('',#502070); #152660=VERTEX_POINT('',#502074); #152661=VERTEX_POINT('',#502076); #152662=VERTEX_POINT('',#502082); #152663=VERTEX_POINT('',#502084); #152664=VERTEX_POINT('',#502088); #152665=VERTEX_POINT('',#502090); #152666=VERTEX_POINT('',#502096); #152667=VERTEX_POINT('',#502098); #152668=VERTEX_POINT('',#502102); #152669=VERTEX_POINT('',#502104); #152670=VERTEX_POINT('',#502110); #152671=VERTEX_POINT('',#502112); #152672=VERTEX_POINT('',#502116); #152673=VERTEX_POINT('',#502118); #152674=VERTEX_POINT('',#502124); #152675=VERTEX_POINT('',#502126); #152676=VERTEX_POINT('',#502130); #152677=VERTEX_POINT('',#502132); #152678=VERTEX_POINT('',#502138); #152679=VERTEX_POINT('',#502140); #152680=VERTEX_POINT('',#502144); #152681=VERTEX_POINT('',#502146); #152682=VERTEX_POINT('',#502152); #152683=VERTEX_POINT('',#502154); #152684=VERTEX_POINT('',#502158); #152685=VERTEX_POINT('',#502160); #152686=VERTEX_POINT('',#502166); #152687=VERTEX_POINT('',#502168); #152688=VERTEX_POINT('',#502172); #152689=VERTEX_POINT('',#502174); #152690=VERTEX_POINT('',#502180); #152691=VERTEX_POINT('',#502182); #152692=VERTEX_POINT('',#502186); #152693=VERTEX_POINT('',#502188); #152694=VERTEX_POINT('',#502194); #152695=VERTEX_POINT('',#502196); #152696=VERTEX_POINT('',#502200); #152697=VERTEX_POINT('',#502202); #152698=VERTEX_POINT('',#502208); #152699=VERTEX_POINT('',#502210); #152700=VERTEX_POINT('',#502214); #152701=VERTEX_POINT('',#502216); #152702=VERTEX_POINT('',#502222); #152703=VERTEX_POINT('',#502224); #152704=VERTEX_POINT('',#502228); #152705=VERTEX_POINT('',#502230); #152706=VERTEX_POINT('',#502236); #152707=VERTEX_POINT('',#502238); #152708=VERTEX_POINT('',#502242); #152709=VERTEX_POINT('',#502244); #152710=VERTEX_POINT('',#502250); #152711=VERTEX_POINT('',#502252); #152712=VERTEX_POINT('',#502256); #152713=VERTEX_POINT('',#502258); #152714=VERTEX_POINT('',#502264); #152715=VERTEX_POINT('',#502266); #152716=VERTEX_POINT('',#502270); #152717=VERTEX_POINT('',#502272); #152718=VERTEX_POINT('',#502278); #152719=VERTEX_POINT('',#502280); #152720=VERTEX_POINT('',#502284); #152721=VERTEX_POINT('',#502286); #152722=VERTEX_POINT('',#502292); #152723=VERTEX_POINT('',#502294); #152724=VERTEX_POINT('',#502298); #152725=VERTEX_POINT('',#502300); #152726=VERTEX_POINT('',#502306); #152727=VERTEX_POINT('',#502308); #152728=VERTEX_POINT('',#502312); #152729=VERTEX_POINT('',#502314); #152730=VERTEX_POINT('',#502320); #152731=VERTEX_POINT('',#502322); #152732=VERTEX_POINT('',#502326); #152733=VERTEX_POINT('',#502328); #152734=VERTEX_POINT('',#502334); #152735=VERTEX_POINT('',#502336); #152736=VERTEX_POINT('',#502340); #152737=VERTEX_POINT('',#502342); #152738=VERTEX_POINT('',#502348); #152739=VERTEX_POINT('',#502350); #152740=VERTEX_POINT('',#502354); #152741=VERTEX_POINT('',#502356); #152742=VERTEX_POINT('',#502362); #152743=VERTEX_POINT('',#502364); #152744=VERTEX_POINT('',#502368); #152745=VERTEX_POINT('',#502370); #152746=VERTEX_POINT('',#502376); #152747=VERTEX_POINT('',#502378); #152748=VERTEX_POINT('',#502382); #152749=VERTEX_POINT('',#502384); #152750=VERTEX_POINT('',#502390); #152751=VERTEX_POINT('',#502392); #152752=VERTEX_POINT('',#502396); #152753=VERTEX_POINT('',#502398); #152754=VERTEX_POINT('',#502404); #152755=VERTEX_POINT('',#502406); #152756=VERTEX_POINT('',#502410); #152757=VERTEX_POINT('',#502412); #152758=VERTEX_POINT('',#502418); #152759=VERTEX_POINT('',#502420); #152760=VERTEX_POINT('',#502424); #152761=VERTEX_POINT('',#502426); #152762=VERTEX_POINT('',#502432); #152763=VERTEX_POINT('',#502434); #152764=VERTEX_POINT('',#502438); #152765=VERTEX_POINT('',#502440); #152766=VERTEX_POINT('',#502446); #152767=VERTEX_POINT('',#502448); #152768=VERTEX_POINT('',#502452); #152769=VERTEX_POINT('',#502454); #152770=VERTEX_POINT('',#502460); #152771=VERTEX_POINT('',#502462); #152772=VERTEX_POINT('',#502466); #152773=VERTEX_POINT('',#502468); #152774=VERTEX_POINT('',#502474); #152775=VERTEX_POINT('',#502476); #152776=VERTEX_POINT('',#502480); #152777=VERTEX_POINT('',#502482); #152778=VERTEX_POINT('',#502488); #152779=VERTEX_POINT('',#502490); #152780=VERTEX_POINT('',#502494); #152781=VERTEX_POINT('',#502496); #152782=VERTEX_POINT('',#502502); #152783=VERTEX_POINT('',#502504); #152784=VERTEX_POINT('',#502508); #152785=VERTEX_POINT('',#502510); #152786=VERTEX_POINT('',#502516); #152787=VERTEX_POINT('',#502518); #152788=VERTEX_POINT('',#502522); #152789=VERTEX_POINT('',#502524); #152790=VERTEX_POINT('',#502530); #152791=VERTEX_POINT('',#502532); #152792=VERTEX_POINT('',#502536); #152793=VERTEX_POINT('',#502538); #152794=VERTEX_POINT('',#502544); #152795=VERTEX_POINT('',#502546); #152796=VERTEX_POINT('',#502550); #152797=VERTEX_POINT('',#502552); #152798=VERTEX_POINT('',#502558); #152799=VERTEX_POINT('',#502560); #152800=VERTEX_POINT('',#502564); #152801=VERTEX_POINT('',#502566); #152802=VERTEX_POINT('',#502572); #152803=VERTEX_POINT('',#502574); #152804=VERTEX_POINT('',#502578); #152805=VERTEX_POINT('',#502580); #152806=VERTEX_POINT('',#502586); #152807=VERTEX_POINT('',#502588); #152808=VERTEX_POINT('',#502592); #152809=VERTEX_POINT('',#502594); #152810=VERTEX_POINT('',#502600); #152811=VERTEX_POINT('',#502602); #152812=VERTEX_POINT('',#502606); #152813=VERTEX_POINT('',#502608); #152814=VERTEX_POINT('',#502614); #152815=VERTEX_POINT('',#502616); #152816=VERTEX_POINT('',#502620); #152817=VERTEX_POINT('',#502622); #152818=VERTEX_POINT('',#502628); #152819=VERTEX_POINT('',#502630); #152820=VERTEX_POINT('',#502634); #152821=VERTEX_POINT('',#502636); #152822=VERTEX_POINT('',#502642); #152823=VERTEX_POINT('',#502644); #152824=VERTEX_POINT('',#502648); #152825=VERTEX_POINT('',#502650); #152826=VERTEX_POINT('',#502656); #152827=VERTEX_POINT('',#502658); #152828=VERTEX_POINT('',#502662); #152829=VERTEX_POINT('',#502664); #152830=VERTEX_POINT('',#502670); #152831=VERTEX_POINT('',#502672); #152832=VERTEX_POINT('',#502676); #152833=VERTEX_POINT('',#502678); #152834=VERTEX_POINT('',#502684); #152835=VERTEX_POINT('',#502686); #152836=VERTEX_POINT('',#502690); #152837=VERTEX_POINT('',#502692); #152838=VERTEX_POINT('',#502698); #152839=VERTEX_POINT('',#502700); #152840=VERTEX_POINT('',#502704); #152841=VERTEX_POINT('',#502706); #152842=VERTEX_POINT('',#502712); #152843=VERTEX_POINT('',#502714); #152844=VERTEX_POINT('',#502718); #152845=VERTEX_POINT('',#502720); #152846=VERTEX_POINT('',#502726); #152847=VERTEX_POINT('',#502727); #152848=VERTEX_POINT('',#502729); #152849=VERTEX_POINT('',#502731); #152850=VERTEX_POINT('',#502735); #152851=VERTEX_POINT('',#502737); #152852=VERTEX_POINT('',#502741); #152853=VERTEX_POINT('',#502743); #152854=VERTEX_POINT('',#502750); #152855=VERTEX_POINT('',#502751); #152856=VERTEX_POINT('',#502753); #152857=VERTEX_POINT('',#502755); #152858=VERTEX_POINT('',#502759); #152859=VERTEX_POINT('',#502761); #152860=VERTEX_POINT('',#502765); #152861=VERTEX_POINT('',#502767); #152862=VERTEX_POINT('',#502776); #152863=VERTEX_POINT('',#502778); #152864=VERTEX_POINT('',#502782); #152865=VERTEX_POINT('',#502784); #152866=VERTEX_POINT('',#502790); #152867=VERTEX_POINT('',#502791); #152868=VERTEX_POINT('',#502793); #152869=VERTEX_POINT('',#502795); #152870=VERTEX_POINT('',#502799); #152871=VERTEX_POINT('',#502801); #152872=VERTEX_POINT('',#502805); #152873=VERTEX_POINT('',#502807); #152874=VERTEX_POINT('',#502814); #152875=VERTEX_POINT('',#502815); #152876=VERTEX_POINT('',#502817); #152877=VERTEX_POINT('',#502819); #152878=VERTEX_POINT('',#502823); #152879=VERTEX_POINT('',#502825); #152880=VERTEX_POINT('',#502829); #152881=VERTEX_POINT('',#502831); #152882=VERTEX_POINT('',#502840); #152883=VERTEX_POINT('',#502842); #152884=VERTEX_POINT('',#502846); #152885=VERTEX_POINT('',#502848); #152886=VERTEX_POINT('',#502854); #152887=VERTEX_POINT('',#502855); #152888=VERTEX_POINT('',#502857); #152889=VERTEX_POINT('',#502859); #152890=VERTEX_POINT('',#502863); #152891=VERTEX_POINT('',#502865); #152892=VERTEX_POINT('',#502869); #152893=VERTEX_POINT('',#502871); #152894=VERTEX_POINT('',#502878); #152895=VERTEX_POINT('',#502879); #152896=VERTEX_POINT('',#502881); #152897=VERTEX_POINT('',#502883); #152898=VERTEX_POINT('',#502887); #152899=VERTEX_POINT('',#502889); #152900=VERTEX_POINT('',#502893); #152901=VERTEX_POINT('',#502895); #152902=VERTEX_POINT('',#502904); #152903=VERTEX_POINT('',#502906); #152904=VERTEX_POINT('',#502910); #152905=VERTEX_POINT('',#502912); #152906=VERTEX_POINT('',#502918); #152907=VERTEX_POINT('',#502920); #152908=VERTEX_POINT('',#502924); #152909=VERTEX_POINT('',#502926); #152910=VERTEX_POINT('',#502932); #152911=VERTEX_POINT('',#502934); #152912=VERTEX_POINT('',#502938); #152913=VERTEX_POINT('',#502940); #152914=VERTEX_POINT('',#502946); #152915=VERTEX_POINT('',#502948); #152916=VERTEX_POINT('',#502952); #152917=VERTEX_POINT('',#502954); #152918=VERTEX_POINT('',#502960); #152919=VERTEX_POINT('',#502962); #152920=VERTEX_POINT('',#502966); #152921=VERTEX_POINT('',#502968); #152922=VERTEX_POINT('',#502974); #152923=VERTEX_POINT('',#502976); #152924=VERTEX_POINT('',#502980); #152925=VERTEX_POINT('',#502982); #152926=VERTEX_POINT('',#502988); #152927=VERTEX_POINT('',#502990); #152928=VERTEX_POINT('',#502994); #152929=VERTEX_POINT('',#502996); #152930=VERTEX_POINT('',#503002); #152931=VERTEX_POINT('',#503004); #152932=VERTEX_POINT('',#503008); #152933=VERTEX_POINT('',#503010); #152934=VERTEX_POINT('',#503016); #152935=VERTEX_POINT('',#503018); #152936=VERTEX_POINT('',#503022); #152937=VERTEX_POINT('',#503024); #152938=VERTEX_POINT('',#503030); #152939=VERTEX_POINT('',#503032); #152940=VERTEX_POINT('',#503036); #152941=VERTEX_POINT('',#503038); #152942=VERTEX_POINT('',#503044); #152943=VERTEX_POINT('',#503045); #152944=VERTEX_POINT('',#503047); #152945=VERTEX_POINT('',#503049); #152946=VERTEX_POINT('',#503053); #152947=VERTEX_POINT('',#503055); #152948=VERTEX_POINT('',#503059); #152949=VERTEX_POINT('',#503061); #152950=VERTEX_POINT('',#503068); #152951=VERTEX_POINT('',#503069); #152952=VERTEX_POINT('',#503071); #152953=VERTEX_POINT('',#503073); #152954=VERTEX_POINT('',#503077); #152955=VERTEX_POINT('',#503079); #152956=VERTEX_POINT('',#503083); #152957=VERTEX_POINT('',#503085); #152958=VERTEX_POINT('',#503094); #152959=VERTEX_POINT('',#503096); #152960=VERTEX_POINT('',#503100); #152961=VERTEX_POINT('',#503102); #152962=VERTEX_POINT('',#503108); #152963=VERTEX_POINT('',#503110); #152964=VERTEX_POINT('',#503114); #152965=VERTEX_POINT('',#503116); #152966=VERTEX_POINT('',#503122); #152967=VERTEX_POINT('',#503124); #152968=VERTEX_POINT('',#503128); #152969=VERTEX_POINT('',#503130); #152970=VERTEX_POINT('',#503136); #152971=VERTEX_POINT('',#503137); #152972=VERTEX_POINT('',#503139); #152973=VERTEX_POINT('',#503141); #152974=VERTEX_POINT('',#503145); #152975=VERTEX_POINT('',#503147); #152976=VERTEX_POINT('',#503151); #152977=VERTEX_POINT('',#503153); #152978=VERTEX_POINT('',#503160); #152979=VERTEX_POINT('',#503161); #152980=VERTEX_POINT('',#503163); #152981=VERTEX_POINT('',#503165); #152982=VERTEX_POINT('',#503169); #152983=VERTEX_POINT('',#503171); #152984=VERTEX_POINT('',#503175); #152985=VERTEX_POINT('',#503177); #152986=VERTEX_POINT('',#503186); #152987=VERTEX_POINT('',#503188); #152988=VERTEX_POINT('',#503192); #152989=VERTEX_POINT('',#503194); #152990=VERTEX_POINT('',#503200); #152991=VERTEX_POINT('',#503201); #152992=VERTEX_POINT('',#503203); #152993=VERTEX_POINT('',#503205); #152994=VERTEX_POINT('',#503209); #152995=VERTEX_POINT('',#503211); #152996=VERTEX_POINT('',#503215); #152997=VERTEX_POINT('',#503217); #152998=VERTEX_POINT('',#503224); #152999=VERTEX_POINT('',#503225); #153000=VERTEX_POINT('',#503227); #153001=VERTEX_POINT('',#503229); #153002=VERTEX_POINT('',#503233); #153003=VERTEX_POINT('',#503235); #153004=VERTEX_POINT('',#503239); #153005=VERTEX_POINT('',#503241); #153006=VERTEX_POINT('',#503250); #153007=VERTEX_POINT('',#503252); #153008=VERTEX_POINT('',#503256); #153009=VERTEX_POINT('',#503258); #153010=VERTEX_POINT('',#503264); #153011=VERTEX_POINT('',#503266); #153012=VERTEX_POINT('',#503270); #153013=VERTEX_POINT('',#503272); #153014=VERTEX_POINT('',#503278); #153015=VERTEX_POINT('',#503280); #153016=VERTEX_POINT('',#503284); #153017=VERTEX_POINT('',#503286); #153018=VERTEX_POINT('',#503292); #153019=VERTEX_POINT('',#503294); #153020=VERTEX_POINT('',#503298); #153021=VERTEX_POINT('',#503300); #153022=VERTEX_POINT('',#503306); #153023=VERTEX_POINT('',#503308); #153024=VERTEX_POINT('',#503312); #153025=VERTEX_POINT('',#503314); #153026=VERTEX_POINT('',#503320); #153027=VERTEX_POINT('',#503322); #153028=VERTEX_POINT('',#503326); #153029=VERTEX_POINT('',#503328); #153030=VERTEX_POINT('',#503334); #153031=VERTEX_POINT('',#503336); #153032=VERTEX_POINT('',#503340); #153033=VERTEX_POINT('',#503342); #153034=VERTEX_POINT('',#503348); #153035=VERTEX_POINT('',#503350); #153036=VERTEX_POINT('',#503354); #153037=VERTEX_POINT('',#503356); #153038=VERTEX_POINT('',#503362); #153039=VERTEX_POINT('',#503364); #153040=VERTEX_POINT('',#503368); #153041=VERTEX_POINT('',#503370); #153042=VERTEX_POINT('',#503376); #153043=VERTEX_POINT('',#503378); #153044=VERTEX_POINT('',#503382); #153045=VERTEX_POINT('',#503384); #153046=VERTEX_POINT('',#503390); #153047=VERTEX_POINT('',#503392); #153048=VERTEX_POINT('',#503396); #153049=VERTEX_POINT('',#503398); #153050=VERTEX_POINT('',#503404); #153051=VERTEX_POINT('',#503406); #153052=VERTEX_POINT('',#503410); #153053=VERTEX_POINT('',#503412); #153054=VERTEX_POINT('',#503418); #153055=VERTEX_POINT('',#503420); #153056=VERTEX_POINT('',#503424); #153057=VERTEX_POINT('',#503426); #153058=VERTEX_POINT('',#503432); #153059=VERTEX_POINT('',#503434); #153060=VERTEX_POINT('',#503438); #153061=VERTEX_POINT('',#503440); #153062=VERTEX_POINT('',#503446); #153063=VERTEX_POINT('',#503448); #153064=VERTEX_POINT('',#503452); #153065=VERTEX_POINT('',#503454); #153066=VERTEX_POINT('',#503460); #153067=VERTEX_POINT('',#503462); #153068=VERTEX_POINT('',#503466); #153069=VERTEX_POINT('',#503468); #153070=VERTEX_POINT('',#503474); #153071=VERTEX_POINT('',#503476); #153072=VERTEX_POINT('',#503480); #153073=VERTEX_POINT('',#503482); #153074=VERTEX_POINT('',#503488); #153075=VERTEX_POINT('',#503490); #153076=VERTEX_POINT('',#503494); #153077=VERTEX_POINT('',#503496); #153078=VERTEX_POINT('',#503502); #153079=VERTEX_POINT('',#503504); #153080=VERTEX_POINT('',#503508); #153081=VERTEX_POINT('',#503510); #153082=VERTEX_POINT('',#503516); #153083=VERTEX_POINT('',#503518); #153084=VERTEX_POINT('',#503522); #153085=VERTEX_POINT('',#503524); #153086=VERTEX_POINT('',#503530); #153087=VERTEX_POINT('',#503532); #153088=VERTEX_POINT('',#503536); #153089=VERTEX_POINT('',#503538); #153090=VERTEX_POINT('',#503544); #153091=VERTEX_POINT('',#503546); #153092=VERTEX_POINT('',#503550); #153093=VERTEX_POINT('',#503552); #153094=VERTEX_POINT('',#503558); #153095=VERTEX_POINT('',#503560); #153096=VERTEX_POINT('',#503564); #153097=VERTEX_POINT('',#503566); #153098=VERTEX_POINT('',#503572); #153099=VERTEX_POINT('',#503574); #153100=VERTEX_POINT('',#503578); #153101=VERTEX_POINT('',#503580); #153102=VERTEX_POINT('',#503586); #153103=VERTEX_POINT('',#503588); #153104=VERTEX_POINT('',#503592); #153105=VERTEX_POINT('',#503594); #153106=VERTEX_POINT('',#503600); #153107=VERTEX_POINT('',#503602); #153108=VERTEX_POINT('',#503606); #153109=VERTEX_POINT('',#503608); #153110=VERTEX_POINT('',#503614); #153111=VERTEX_POINT('',#503616); #153112=VERTEX_POINT('',#503620); #153113=VERTEX_POINT('',#503622); #153114=VERTEX_POINT('',#503628); #153115=VERTEX_POINT('',#503630); #153116=VERTEX_POINT('',#503634); #153117=VERTEX_POINT('',#503636); #153118=VERTEX_POINT('',#503642); #153119=VERTEX_POINT('',#503644); #153120=VERTEX_POINT('',#503648); #153121=VERTEX_POINT('',#503650); #153122=VERTEX_POINT('',#503656); #153123=VERTEX_POINT('',#503658); #153124=VERTEX_POINT('',#503662); #153125=VERTEX_POINT('',#503664); #153126=VERTEX_POINT('',#503670); #153127=VERTEX_POINT('',#503672); #153128=VERTEX_POINT('',#503676); #153129=VERTEX_POINT('',#503678); #153130=VERTEX_POINT('',#503684); #153131=VERTEX_POINT('',#503686); #153132=VERTEX_POINT('',#503690); #153133=VERTEX_POINT('',#503692); #153134=VERTEX_POINT('',#503698); #153135=VERTEX_POINT('',#503700); #153136=VERTEX_POINT('',#503704); #153137=VERTEX_POINT('',#503706); #153138=VERTEX_POINT('',#503712); #153139=VERTEX_POINT('',#503714); #153140=VERTEX_POINT('',#503718); #153141=VERTEX_POINT('',#503720); #153142=VERTEX_POINT('',#503726); #153143=VERTEX_POINT('',#503728); #153144=VERTEX_POINT('',#503732); #153145=VERTEX_POINT('',#503734); #153146=VERTEX_POINT('',#503740); #153147=VERTEX_POINT('',#503742); #153148=VERTEX_POINT('',#503746); #153149=VERTEX_POINT('',#503748); #153150=VERTEX_POINT('',#503754); #153151=VERTEX_POINT('',#503756); #153152=VERTEX_POINT('',#503760); #153153=VERTEX_POINT('',#503762); #153154=VERTEX_POINT('',#503768); #153155=VERTEX_POINT('',#503770); #153156=VERTEX_POINT('',#503774); #153157=VERTEX_POINT('',#503776); #153158=VERTEX_POINT('',#503782); #153159=VERTEX_POINT('',#503784); #153160=VERTEX_POINT('',#503788); #153161=VERTEX_POINT('',#503790); #153162=VERTEX_POINT('',#503796); #153163=VERTEX_POINT('',#503798); #153164=VERTEX_POINT('',#503802); #153165=VERTEX_POINT('',#503804); #153166=VERTEX_POINT('',#503810); #153167=VERTEX_POINT('',#503812); #153168=VERTEX_POINT('',#503816); #153169=VERTEX_POINT('',#503818); #153170=VERTEX_POINT('',#503824); #153171=VERTEX_POINT('',#503826); #153172=VERTEX_POINT('',#503830); #153173=VERTEX_POINT('',#503832); #153174=VERTEX_POINT('',#503838); #153175=VERTEX_POINT('',#503840); #153176=VERTEX_POINT('',#503844); #153177=VERTEX_POINT('',#503846); #153178=VERTEX_POINT('',#503852); #153179=VERTEX_POINT('',#503854); #153180=VERTEX_POINT('',#503858); #153181=VERTEX_POINT('',#503860); #153182=VERTEX_POINT('',#503866); #153183=VERTEX_POINT('',#503868); #153184=VERTEX_POINT('',#503872); #153185=VERTEX_POINT('',#503874); #153186=VERTEX_POINT('',#503880); #153187=VERTEX_POINT('',#503882); #153188=VERTEX_POINT('',#503886); #153189=VERTEX_POINT('',#503888); #153190=VERTEX_POINT('',#503894); #153191=VERTEX_POINT('',#503896); #153192=VERTEX_POINT('',#503900); #153193=VERTEX_POINT('',#503902); #153194=VERTEX_POINT('',#503908); #153195=VERTEX_POINT('',#503910); #153196=VERTEX_POINT('',#503914); #153197=VERTEX_POINT('',#503916); #153198=VERTEX_POINT('',#503922); #153199=VERTEX_POINT('',#503924); #153200=VERTEX_POINT('',#503928); #153201=VERTEX_POINT('',#503930); #153202=VERTEX_POINT('',#503936); #153203=VERTEX_POINT('',#503938); #153204=VERTEX_POINT('',#503942); #153205=VERTEX_POINT('',#503944); #153206=VERTEX_POINT('',#503950); #153207=VERTEX_POINT('',#503952); #153208=VERTEX_POINT('',#503956); #153209=VERTEX_POINT('',#503958); #153210=VERTEX_POINT('',#503964); #153211=VERTEX_POINT('',#503966); #153212=VERTEX_POINT('',#503970); #153213=VERTEX_POINT('',#503972); #153214=VERTEX_POINT('',#503978); #153215=VERTEX_POINT('',#503980); #153216=VERTEX_POINT('',#503984); #153217=VERTEX_POINT('',#503986); #153218=VERTEX_POINT('',#503992); #153219=VERTEX_POINT('',#503994); #153220=VERTEX_POINT('',#503998); #153221=VERTEX_POINT('',#504000); #153222=VERTEX_POINT('',#504006); #153223=VERTEX_POINT('',#504008); #153224=VERTEX_POINT('',#504012); #153225=VERTEX_POINT('',#504014); #153226=VERTEX_POINT('',#504020); #153227=VERTEX_POINT('',#504022); #153228=VERTEX_POINT('',#504026); #153229=VERTEX_POINT('',#504028); #153230=VERTEX_POINT('',#504034); #153231=VERTEX_POINT('',#504036); #153232=VERTEX_POINT('',#504040); #153233=VERTEX_POINT('',#504042); #153234=VERTEX_POINT('',#504048); #153235=VERTEX_POINT('',#504050); #153236=VERTEX_POINT('',#504054); #153237=VERTEX_POINT('',#504056); #153238=VERTEX_POINT('',#504062); #153239=VERTEX_POINT('',#504064); #153240=VERTEX_POINT('',#504068); #153241=VERTEX_POINT('',#504070); #153242=VERTEX_POINT('',#504076); #153243=VERTEX_POINT('',#504078); #153244=VERTEX_POINT('',#504082); #153245=VERTEX_POINT('',#504084); #153246=VERTEX_POINT('',#504090); #153247=VERTEX_POINT('',#504092); #153248=VERTEX_POINT('',#504096); #153249=VERTEX_POINT('',#504098); #153250=VERTEX_POINT('',#504104); #153251=VERTEX_POINT('',#504106); #153252=VERTEX_POINT('',#504110); #153253=VERTEX_POINT('',#504112); #153254=VERTEX_POINT('',#504118); #153255=VERTEX_POINT('',#504120); #153256=VERTEX_POINT('',#504124); #153257=VERTEX_POINT('',#504126); #153258=VERTEX_POINT('',#504132); #153259=VERTEX_POINT('',#504134); #153260=VERTEX_POINT('',#504138); #153261=VERTEX_POINT('',#504140); #153262=VERTEX_POINT('',#504146); #153263=VERTEX_POINT('',#504148); #153264=VERTEX_POINT('',#504152); #153265=VERTEX_POINT('',#504154); #153266=VERTEX_POINT('',#504160); #153267=VERTEX_POINT('',#504162); #153268=VERTEX_POINT('',#504166); #153269=VERTEX_POINT('',#504168); #153270=VERTEX_POINT('',#504174); #153271=VERTEX_POINT('',#504176); #153272=VERTEX_POINT('',#504180); #153273=VERTEX_POINT('',#504182); #153274=VERTEX_POINT('',#504188); #153275=VERTEX_POINT('',#504190); #153276=VERTEX_POINT('',#504194); #153277=VERTEX_POINT('',#504196); #153278=VERTEX_POINT('',#504202); #153279=VERTEX_POINT('',#504204); #153280=VERTEX_POINT('',#504208); #153281=VERTEX_POINT('',#504210); #153282=VERTEX_POINT('',#504216); #153283=VERTEX_POINT('',#504218); #153284=VERTEX_POINT('',#504222); #153285=VERTEX_POINT('',#504224); #153286=VERTEX_POINT('',#504230); #153287=VERTEX_POINT('',#504232); #153288=VERTEX_POINT('',#504236); #153289=VERTEX_POINT('',#504238); #153290=VERTEX_POINT('',#504244); #153291=VERTEX_POINT('',#504246); #153292=VERTEX_POINT('',#504250); #153293=VERTEX_POINT('',#504252); #153294=VERTEX_POINT('',#504258); #153295=VERTEX_POINT('',#504260); #153296=VERTEX_POINT('',#504264); #153297=VERTEX_POINT('',#504266); #153298=VERTEX_POINT('',#504272); #153299=VERTEX_POINT('',#504274); #153300=VERTEX_POINT('',#504278); #153301=VERTEX_POINT('',#504280); #153302=VERTEX_POINT('',#504286); #153303=VERTEX_POINT('',#504288); #153304=VERTEX_POINT('',#504292); #153305=VERTEX_POINT('',#504294); #153306=VERTEX_POINT('',#504300); #153307=VERTEX_POINT('',#504302); #153308=VERTEX_POINT('',#504306); #153309=VERTEX_POINT('',#504308); #153310=VERTEX_POINT('',#504314); #153311=VERTEX_POINT('',#504316); #153312=VERTEX_POINT('',#504320); #153313=VERTEX_POINT('',#504322); #153314=VERTEX_POINT('',#504328); #153315=VERTEX_POINT('',#504330); #153316=VERTEX_POINT('',#504334); #153317=VERTEX_POINT('',#504336); #153318=VERTEX_POINT('',#504342); #153319=VERTEX_POINT('',#504344); #153320=VERTEX_POINT('',#504348); #153321=VERTEX_POINT('',#504350); #153322=VERTEX_POINT('',#504356); #153323=VERTEX_POINT('',#504358); #153324=VERTEX_POINT('',#504362); #153325=VERTEX_POINT('',#504364); #153326=VERTEX_POINT('',#504370); #153327=VERTEX_POINT('',#504372); #153328=VERTEX_POINT('',#504376); #153329=VERTEX_POINT('',#504378); #153330=VERTEX_POINT('',#504384); #153331=VERTEX_POINT('',#504386); #153332=VERTEX_POINT('',#504390); #153333=VERTEX_POINT('',#504392); #153334=VERTEX_POINT('',#504398); #153335=VERTEX_POINT('',#504400); #153336=VERTEX_POINT('',#504404); #153337=VERTEX_POINT('',#504406); #153338=VERTEX_POINT('',#504412); #153339=VERTEX_POINT('',#504414); #153340=VERTEX_POINT('',#504418); #153341=VERTEX_POINT('',#504420); #153342=VERTEX_POINT('',#504426); #153343=VERTEX_POINT('',#504428); #153344=VERTEX_POINT('',#504432); #153345=VERTEX_POINT('',#504434); #153346=VERTEX_POINT('',#504440); #153347=VERTEX_POINT('',#504442); #153348=VERTEX_POINT('',#504446); #153349=VERTEX_POINT('',#504448); #153350=VERTEX_POINT('',#504454); #153351=VERTEX_POINT('',#504456); #153352=VERTEX_POINT('',#504460); #153353=VERTEX_POINT('',#504462); #153354=VERTEX_POINT('',#504468); #153355=VERTEX_POINT('',#504470); #153356=VERTEX_POINT('',#504474); #153357=VERTEX_POINT('',#504476); #153358=VERTEX_POINT('',#504482); #153359=VERTEX_POINT('',#504484); #153360=VERTEX_POINT('',#504488); #153361=VERTEX_POINT('',#504490); #153362=VERTEX_POINT('',#504496); #153363=VERTEX_POINT('',#504498); #153364=VERTEX_POINT('',#504502); #153365=VERTEX_POINT('',#504504); #153366=VERTEX_POINT('',#504510); #153367=VERTEX_POINT('',#504512); #153368=VERTEX_POINT('',#504516); #153369=VERTEX_POINT('',#504518); #153370=VERTEX_POINT('',#504524); #153371=VERTEX_POINT('',#504526); #153372=VERTEX_POINT('',#504530); #153373=VERTEX_POINT('',#504532); #153374=VERTEX_POINT('',#504538); #153375=VERTEX_POINT('',#504540); #153376=VERTEX_POINT('',#504544); #153377=VERTEX_POINT('',#504546); #153378=VERTEX_POINT('',#504552); #153379=VERTEX_POINT('',#504554); #153380=VERTEX_POINT('',#504558); #153381=VERTEX_POINT('',#504560); #153382=VERTEX_POINT('',#504566); #153383=VERTEX_POINT('',#504568); #153384=VERTEX_POINT('',#504572); #153385=VERTEX_POINT('',#504574); #153386=VERTEX_POINT('',#504580); #153387=VERTEX_POINT('',#504582); #153388=VERTEX_POINT('',#504586); #153389=VERTEX_POINT('',#504588); #153390=VERTEX_POINT('',#504594); #153391=VERTEX_POINT('',#504596); #153392=VERTEX_POINT('',#504600); #153393=VERTEX_POINT('',#504602); #153394=VERTEX_POINT('',#504608); #153395=VERTEX_POINT('',#504610); #153396=VERTEX_POINT('',#504614); #153397=VERTEX_POINT('',#504616); #153398=VERTEX_POINT('',#504622); #153399=VERTEX_POINT('',#504624); #153400=VERTEX_POINT('',#504628); #153401=VERTEX_POINT('',#504630); #153402=VERTEX_POINT('',#504636); #153403=VERTEX_POINT('',#504638); #153404=VERTEX_POINT('',#504642); #153405=VERTEX_POINT('',#504644); #153406=VERTEX_POINT('',#504650); #153407=VERTEX_POINT('',#504652); #153408=VERTEX_POINT('',#504656); #153409=VERTEX_POINT('',#504658); #153410=VERTEX_POINT('',#504664); #153411=VERTEX_POINT('',#504666); #153412=VERTEX_POINT('',#504670); #153413=VERTEX_POINT('',#504672); #153414=VERTEX_POINT('',#504678); #153415=VERTEX_POINT('',#504680); #153416=VERTEX_POINT('',#504684); #153417=VERTEX_POINT('',#504686); #153418=VERTEX_POINT('',#504692); #153419=VERTEX_POINT('',#504694); #153420=VERTEX_POINT('',#504698); #153421=VERTEX_POINT('',#504700); #153422=VERTEX_POINT('',#504706); #153423=VERTEX_POINT('',#504708); #153424=VERTEX_POINT('',#504712); #153425=VERTEX_POINT('',#504714); #153426=VERTEX_POINT('',#504720); #153427=VERTEX_POINT('',#504722); #153428=VERTEX_POINT('',#504726); #153429=VERTEX_POINT('',#504728); #153430=VERTEX_POINT('',#504734); #153431=VERTEX_POINT('',#504736); #153432=VERTEX_POINT('',#504740); #153433=VERTEX_POINT('',#504742); #153434=VERTEX_POINT('',#504748); #153435=VERTEX_POINT('',#504750); #153436=VERTEX_POINT('',#504754); #153437=VERTEX_POINT('',#504756); #153438=VERTEX_POINT('',#504762); #153439=VERTEX_POINT('',#504764); #153440=VERTEX_POINT('',#504768); #153441=VERTEX_POINT('',#504770); #153442=VERTEX_POINT('',#504776); #153443=VERTEX_POINT('',#504778); #153444=VERTEX_POINT('',#504782); #153445=VERTEX_POINT('',#504784); #153446=VERTEX_POINT('',#504790); #153447=VERTEX_POINT('',#504792); #153448=VERTEX_POINT('',#504796); #153449=VERTEX_POINT('',#504798); #153450=VERTEX_POINT('',#504804); #153451=VERTEX_POINT('',#504806); #153452=VERTEX_POINT('',#504810); #153453=VERTEX_POINT('',#504812); #153454=VERTEX_POINT('',#504818); #153455=VERTEX_POINT('',#504820); #153456=VERTEX_POINT('',#504824); #153457=VERTEX_POINT('',#504826); #153458=VERTEX_POINT('',#504832); #153459=VERTEX_POINT('',#504834); #153460=VERTEX_POINT('',#504838); #153461=VERTEX_POINT('',#504840); #153462=VERTEX_POINT('',#504846); #153463=VERTEX_POINT('',#504848); #153464=VERTEX_POINT('',#504852); #153465=VERTEX_POINT('',#504854); #153466=VERTEX_POINT('',#504860); #153467=VERTEX_POINT('',#504862); #153468=VERTEX_POINT('',#504866); #153469=VERTEX_POINT('',#504868); #153470=VERTEX_POINT('',#504874); #153471=VERTEX_POINT('',#504876); #153472=VERTEX_POINT('',#504880); #153473=VERTEX_POINT('',#504882); #153474=VERTEX_POINT('',#504888); #153475=VERTEX_POINT('',#504890); #153476=VERTEX_POINT('',#504894); #153477=VERTEX_POINT('',#504896); #153478=VERTEX_POINT('',#504902); #153479=VERTEX_POINT('',#504904); #153480=VERTEX_POINT('',#504908); #153481=VERTEX_POINT('',#504910); #153482=VERTEX_POINT('',#504916); #153483=VERTEX_POINT('',#504918); #153484=VERTEX_POINT('',#504922); #153485=VERTEX_POINT('',#504924); #153486=VERTEX_POINT('',#504930); #153487=VERTEX_POINT('',#504932); #153488=VERTEX_POINT('',#504936); #153489=VERTEX_POINT('',#504938); #153490=VERTEX_POINT('',#504944); #153491=VERTEX_POINT('',#504946); #153492=VERTEX_POINT('',#504950); #153493=VERTEX_POINT('',#504952); #153494=VERTEX_POINT('',#504958); #153495=VERTEX_POINT('',#504960); #153496=VERTEX_POINT('',#504964); #153497=VERTEX_POINT('',#504966); #153498=VERTEX_POINT('',#504972); #153499=VERTEX_POINT('',#504974); #153500=VERTEX_POINT('',#504978); #153501=VERTEX_POINT('',#504980); #153502=VERTEX_POINT('',#504986); #153503=VERTEX_POINT('',#504988); #153504=VERTEX_POINT('',#504992); #153505=VERTEX_POINT('',#504994); #153506=VERTEX_POINT('',#505000); #153507=VERTEX_POINT('',#505002); #153508=VERTEX_POINT('',#505006); #153509=VERTEX_POINT('',#505008); #153510=VERTEX_POINT('',#505014); #153511=VERTEX_POINT('',#505016); #153512=VERTEX_POINT('',#505020); #153513=VERTEX_POINT('',#505022); #153514=VERTEX_POINT('',#505028); #153515=VERTEX_POINT('',#505030); #153516=VERTEX_POINT('',#505034); #153517=VERTEX_POINT('',#505036); #153518=VERTEX_POINT('',#505042); #153519=VERTEX_POINT('',#505044); #153520=VERTEX_POINT('',#505048); #153521=VERTEX_POINT('',#505050); #153522=VERTEX_POINT('',#505056); #153523=VERTEX_POINT('',#505058); #153524=VERTEX_POINT('',#505062); #153525=VERTEX_POINT('',#505064); #153526=VERTEX_POINT('',#505070); #153527=VERTEX_POINT('',#505072); #153528=VERTEX_POINT('',#505076); #153529=VERTEX_POINT('',#505078); #153530=VERTEX_POINT('',#505084); #153531=VERTEX_POINT('',#505086); #153532=VERTEX_POINT('',#505090); #153533=VERTEX_POINT('',#505092); #153534=VERTEX_POINT('',#505098); #153535=VERTEX_POINT('',#505100); #153536=VERTEX_POINT('',#505104); #153537=VERTEX_POINT('',#505106); #153538=VERTEX_POINT('',#505112); #153539=VERTEX_POINT('',#505114); #153540=VERTEX_POINT('',#505118); #153541=VERTEX_POINT('',#505120); #153542=VERTEX_POINT('',#505126); #153543=VERTEX_POINT('',#505128); #153544=VERTEX_POINT('',#505132); #153545=VERTEX_POINT('',#505134); #153546=VERTEX_POINT('',#505140); #153547=VERTEX_POINT('',#505142); #153548=VERTEX_POINT('',#505146); #153549=VERTEX_POINT('',#505148); #153550=VERTEX_POINT('',#505154); #153551=VERTEX_POINT('',#505156); #153552=VERTEX_POINT('',#505160); #153553=VERTEX_POINT('',#505162); #153554=VERTEX_POINT('',#505168); #153555=VERTEX_POINT('',#505170); #153556=VERTEX_POINT('',#505174); #153557=VERTEX_POINT('',#505176); #153558=VERTEX_POINT('',#505182); #153559=VERTEX_POINT('',#505184); #153560=VERTEX_POINT('',#505188); #153561=VERTEX_POINT('',#505190); #153562=VERTEX_POINT('',#505196); #153563=VERTEX_POINT('',#505198); #153564=VERTEX_POINT('',#505202); #153565=VERTEX_POINT('',#505204); #153566=VERTEX_POINT('',#505210); #153567=VERTEX_POINT('',#505212); #153568=VERTEX_POINT('',#505216); #153569=VERTEX_POINT('',#505218); #153570=VERTEX_POINT('',#505224); #153571=VERTEX_POINT('',#505226); #153572=VERTEX_POINT('',#505230); #153573=VERTEX_POINT('',#505232); #153574=VERTEX_POINT('',#505238); #153575=VERTEX_POINT('',#505240); #153576=VERTEX_POINT('',#505244); #153577=VERTEX_POINT('',#505246); #153578=VERTEX_POINT('',#505252); #153579=VERTEX_POINT('',#505254); #153580=VERTEX_POINT('',#505258); #153581=VERTEX_POINT('',#505260); #153582=VERTEX_POINT('',#505266); #153583=VERTEX_POINT('',#505268); #153584=VERTEX_POINT('',#505272); #153585=VERTEX_POINT('',#505274); #153586=VERTEX_POINT('',#505280); #153587=VERTEX_POINT('',#505282); #153588=VERTEX_POINT('',#505286); #153589=VERTEX_POINT('',#505288); #153590=VERTEX_POINT('',#505294); #153591=VERTEX_POINT('',#505296); #153592=VERTEX_POINT('',#505300); #153593=VERTEX_POINT('',#505302); #153594=VERTEX_POINT('',#505308); #153595=VERTEX_POINT('',#505310); #153596=VERTEX_POINT('',#505314); #153597=VERTEX_POINT('',#505316); #153598=VERTEX_POINT('',#505322); #153599=VERTEX_POINT('',#505324); #153600=VERTEX_POINT('',#505328); #153601=VERTEX_POINT('',#505330); #153602=VERTEX_POINT('',#505336); #153603=VERTEX_POINT('',#505338); #153604=VERTEX_POINT('',#505342); #153605=VERTEX_POINT('',#505344); #153606=VERTEX_POINT('',#505350); #153607=VERTEX_POINT('',#505352); #153608=VERTEX_POINT('',#505356); #153609=VERTEX_POINT('',#505358); #153610=VERTEX_POINT('',#505364); #153611=VERTEX_POINT('',#505366); #153612=VERTEX_POINT('',#505370); #153613=VERTEX_POINT('',#505372); #153614=VERTEX_POINT('',#505378); #153615=VERTEX_POINT('',#505380); #153616=VERTEX_POINT('',#505384); #153617=VERTEX_POINT('',#505386); #153618=VERTEX_POINT('',#505392); #153619=VERTEX_POINT('',#505394); #153620=VERTEX_POINT('',#505398); #153621=VERTEX_POINT('',#505400); #153622=VERTEX_POINT('',#505406); #153623=VERTEX_POINT('',#505408); #153624=VERTEX_POINT('',#505412); #153625=VERTEX_POINT('',#505414); #153626=VERTEX_POINT('',#505420); #153627=VERTEX_POINT('',#505422); #153628=VERTEX_POINT('',#505426); #153629=VERTEX_POINT('',#505428); #153630=VERTEX_POINT('',#505434); #153631=VERTEX_POINT('',#505436); #153632=VERTEX_POINT('',#505440); #153633=VERTEX_POINT('',#505442); #153634=VERTEX_POINT('',#505448); #153635=VERTEX_POINT('',#505450); #153636=VERTEX_POINT('',#505454); #153637=VERTEX_POINT('',#505456); #153638=VERTEX_POINT('',#505462); #153639=VERTEX_POINT('',#505464); #153640=VERTEX_POINT('',#505468); #153641=VERTEX_POINT('',#505470); #153642=VERTEX_POINT('',#505476); #153643=VERTEX_POINT('',#505478); #153644=VERTEX_POINT('',#505482); #153645=VERTEX_POINT('',#505484); #153646=VERTEX_POINT('',#505490); #153647=VERTEX_POINT('',#505492); #153648=VERTEX_POINT('',#505496); #153649=VERTEX_POINT('',#505498); #153650=VERTEX_POINT('',#505505); #153651=VERTEX_POINT('',#505507); #153652=VERTEX_POINT('',#505511); #153653=VERTEX_POINT('',#505512); #153654=VERTEX_POINT('',#505514); #153655=VERTEX_POINT('',#505516); #153656=VERTEX_POINT('',#505520); #153657=VERTEX_POINT('',#505522); #153658=VERTEX_POINT('',#505531); #153659=VERTEX_POINT('',#505532); #153660=VERTEX_POINT('',#505534); #153661=VERTEX_POINT('',#505536); #153662=VERTEX_POINT('',#505540); #153663=VERTEX_POINT('',#505542); #153664=VERTEX_POINT('',#505546); #153665=VERTEX_POINT('',#505548); #153666=VERTEX_POINT('',#505557); #153667=VERTEX_POINT('',#505558); #153668=VERTEX_POINT('',#505560); #153669=VERTEX_POINT('',#505562); #153670=VERTEX_POINT('',#505566); #153671=VERTEX_POINT('',#505568); #153672=VERTEX_POINT('',#505572); #153673=VERTEX_POINT('',#505574); #153674=VERTEX_POINT('',#505583); #153675=VERTEX_POINT('',#505584); #153676=VERTEX_POINT('',#505586); #153677=VERTEX_POINT('',#505588); #153678=VERTEX_POINT('',#505592); #153679=VERTEX_POINT('',#505594); #153680=VERTEX_POINT('',#505598); #153681=VERTEX_POINT('',#505600); #153682=VERTEX_POINT('',#505609); #153683=VERTEX_POINT('',#505610); #153684=VERTEX_POINT('',#505612); #153685=VERTEX_POINT('',#505614); #153686=VERTEX_POINT('',#505618); #153687=VERTEX_POINT('',#505620); #153688=VERTEX_POINT('',#505624); #153689=VERTEX_POINT('',#505626); #153690=VERTEX_POINT('',#505635); #153691=VERTEX_POINT('',#505636); #153692=VERTEX_POINT('',#505638); #153693=VERTEX_POINT('',#505640); #153694=VERTEX_POINT('',#505644); #153695=VERTEX_POINT('',#505646); #153696=VERTEX_POINT('',#505650); #153697=VERTEX_POINT('',#505652); #153698=VERTEX_POINT('',#505661); #153699=VERTEX_POINT('',#505662); #153700=VERTEX_POINT('',#505664); #153701=VERTEX_POINT('',#505666); #153702=VERTEX_POINT('',#505670); #153703=VERTEX_POINT('',#505672); #153704=VERTEX_POINT('',#505676); #153705=VERTEX_POINT('',#505678); #153706=VERTEX_POINT('',#505687); #153707=VERTEX_POINT('',#505688); #153708=VERTEX_POINT('',#505690); #153709=VERTEX_POINT('',#505692); #153710=VERTEX_POINT('',#505696); #153711=VERTEX_POINT('',#505698); #153712=VERTEX_POINT('',#505702); #153713=VERTEX_POINT('',#505704); #153714=VERTEX_POINT('',#505713); #153715=VERTEX_POINT('',#505714); #153716=VERTEX_POINT('',#505716); #153717=VERTEX_POINT('',#505718); #153718=VERTEX_POINT('',#505722); #153719=VERTEX_POINT('',#505724); #153720=VERTEX_POINT('',#505728); #153721=VERTEX_POINT('',#505730); #153722=VERTEX_POINT('',#505739); #153723=VERTEX_POINT('',#505740); #153724=VERTEX_POINT('',#505742); #153725=VERTEX_POINT('',#505744); #153726=VERTEX_POINT('',#505748); #153727=VERTEX_POINT('',#505750); #153728=VERTEX_POINT('',#505754); #153729=VERTEX_POINT('',#505756); #153730=VERTEX_POINT('',#505765); #153731=VERTEX_POINT('',#505766); #153732=VERTEX_POINT('',#505768); #153733=VERTEX_POINT('',#505770); #153734=VERTEX_POINT('',#505774); #153735=VERTEX_POINT('',#505776); #153736=VERTEX_POINT('',#505780); #153737=VERTEX_POINT('',#505782); #153738=VERTEX_POINT('',#505791); #153739=VERTEX_POINT('',#505793); #153740=VERTEX_POINT('',#505797); #153741=VERTEX_POINT('',#505798); #153742=VERTEX_POINT('',#505800); #153743=VERTEX_POINT('',#505802); #153744=VERTEX_POINT('',#505806); #153745=VERTEX_POINT('',#505808); #153746=VERTEX_POINT('',#505817); #153747=VERTEX_POINT('',#505819); #153748=VERTEX_POINT('',#505823); #153749=VERTEX_POINT('',#505825); #153750=VERTEX_POINT('',#505829); #153751=VERTEX_POINT('',#505830); #153752=VERTEX_POINT('',#505832); #153753=VERTEX_POINT('',#505834); #153754=VERTEX_POINT('',#505838); #153755=VERTEX_POINT('',#505840); #153756=VERTEX_POINT('',#505844); #153757=VERTEX_POINT('',#505846); #153758=VERTEX_POINT('',#505850); #153759=VERTEX_POINT('',#505852); #153760=VERTEX_POINT('',#505856); #153761=VERTEX_POINT('',#505858); #153762=VERTEX_POINT('',#505862); #153763=VERTEX_POINT('',#505864); #153764=VERTEX_POINT('',#505868); #153765=VERTEX_POINT('',#505870); #153766=VERTEX_POINT('',#505879); #153767=VERTEX_POINT('',#505881); #153768=VERTEX_POINT('',#505885); #153769=VERTEX_POINT('',#505886); #153770=VERTEX_POINT('',#505888); #153771=VERTEX_POINT('',#505890); #153772=VERTEX_POINT('',#505894); #153773=VERTEX_POINT('',#505896); #153774=VERTEX_POINT('',#505905); #153775=VERTEX_POINT('',#505907); #153776=VERTEX_POINT('',#505911); #153777=VERTEX_POINT('',#505912); #153778=VERTEX_POINT('',#505914); #153779=VERTEX_POINT('',#505916); #153780=VERTEX_POINT('',#505920); #153781=VERTEX_POINT('',#505922); #153782=VERTEX_POINT('',#505931); #153783=VERTEX_POINT('',#505933); #153784=VERTEX_POINT('',#505937); #153785=VERTEX_POINT('',#505938); #153786=VERTEX_POINT('',#505940); #153787=VERTEX_POINT('',#505942); #153788=VERTEX_POINT('',#505946); #153789=VERTEX_POINT('',#505948); #153790=VERTEX_POINT('',#505952); #153791=VERTEX_POINT('',#505954); #153792=VERTEX_POINT('',#505958); #153793=VERTEX_POINT('',#505960); #153794=VERTEX_POINT('',#505964); #153795=VERTEX_POINT('',#505966); #153796=VERTEX_POINT('',#505970); #153797=VERTEX_POINT('',#505972); #153798=VERTEX_POINT('',#505976); #153799=VERTEX_POINT('',#505978); #153800=VERTEX_POINT('',#505982); #153801=VERTEX_POINT('',#505984); #153802=VERTEX_POINT('',#505988); #153803=VERTEX_POINT('',#505990); #153804=VERTEX_POINT('',#505994); #153805=VERTEX_POINT('',#505996); #153806=VERTEX_POINT('',#506000); #153807=VERTEX_POINT('',#506002); #153808=VERTEX_POINT('',#506006); #153809=VERTEX_POINT('',#506008); #153810=VERTEX_POINT('',#506017); #153811=VERTEX_POINT('',#506019); #153812=VERTEX_POINT('',#506023); #153813=VERTEX_POINT('',#506024); #153814=VERTEX_POINT('',#506026); #153815=VERTEX_POINT('',#506028); #153816=VERTEX_POINT('',#506032); #153817=VERTEX_POINT('',#506034); #153818=VERTEX_POINT('',#506038); #153819=VERTEX_POINT('',#506040); #153820=VERTEX_POINT('',#506044); #153821=VERTEX_POINT('',#506046); #153822=VERTEX_POINT('',#506050); #153823=VERTEX_POINT('',#506052); #153824=VERTEX_POINT('',#506056); #153825=VERTEX_POINT('',#506058); #153826=VERTEX_POINT('',#506062); #153827=VERTEX_POINT('',#506064); #153828=VERTEX_POINT('',#506068); #153829=VERTEX_POINT('',#506070); #153830=VERTEX_POINT('',#506074); #153831=VERTEX_POINT('',#506076); #153832=VERTEX_POINT('',#506085); #153833=VERTEX_POINT('',#506086); #153834=VERTEX_POINT('',#506088); #153835=VERTEX_POINT('',#506090); #153836=VERTEX_POINT('',#506094); #153837=VERTEX_POINT('',#506096); #153838=VERTEX_POINT('',#506100); #153839=VERTEX_POINT('',#506102); #153840=VERTEX_POINT('',#506106); #153841=VERTEX_POINT('',#506108); #153842=VERTEX_POINT('',#506112); #153843=VERTEX_POINT('',#506114); #153844=VERTEX_POINT('',#506118); #153845=VERTEX_POINT('',#506120); #153846=VERTEX_POINT('',#506124); #153847=VERTEX_POINT('',#506126); #153848=VERTEX_POINT('',#506130); #153849=VERTEX_POINT('',#506132); #153850=VERTEX_POINT('',#506136); #153851=VERTEX_POINT('',#506138); #153852=VERTEX_POINT('',#506142); #153853=VERTEX_POINT('',#506144); #153854=VERTEX_POINT('',#506148); #153855=VERTEX_POINT('',#506150); #153856=VERTEX_POINT('',#506154); #153857=VERTEX_POINT('',#506156); #153858=VERTEX_POINT('',#506160); #153859=VERTEX_POINT('',#506162); #153860=VERTEX_POINT('',#506166); #153861=VERTEX_POINT('',#506168); #153862=VERTEX_POINT('',#506172); #153863=VERTEX_POINT('',#506174); #153864=VERTEX_POINT('',#506178); #153865=VERTEX_POINT('',#506180); #153866=VERTEX_POINT('',#506184); #153867=VERTEX_POINT('',#506186); #153868=VERTEX_POINT('',#506190); #153869=VERTEX_POINT('',#506192); #153870=VERTEX_POINT('',#506196); #153871=VERTEX_POINT('',#506198); #153872=VERTEX_POINT('',#506207); #153873=VERTEX_POINT('',#506208); #153874=VERTEX_POINT('',#506210); #153875=VERTEX_POINT('',#506212); #153876=VERTEX_POINT('',#506216); #153877=VERTEX_POINT('',#506218); #153878=VERTEX_POINT('',#506222); #153879=VERTEX_POINT('',#506224); #153880=VERTEX_POINT('',#506228); #153881=VERTEX_POINT('',#506230); #153882=VERTEX_POINT('',#506234); #153883=VERTEX_POINT('',#506236); #153884=VERTEX_POINT('',#506240); #153885=VERTEX_POINT('',#506242); #153886=VERTEX_POINT('',#506246); #153887=VERTEX_POINT('',#506248); #153888=VERTEX_POINT('',#506252); #153889=VERTEX_POINT('',#506254); #153890=VERTEX_POINT('',#506258); #153891=VERTEX_POINT('',#506260); #153892=VERTEX_POINT('',#506264); #153893=VERTEX_POINT('',#506266); #153894=VERTEX_POINT('',#506270); #153895=VERTEX_POINT('',#506272); #153896=VERTEX_POINT('',#506276); #153897=VERTEX_POINT('',#506278); #153898=VERTEX_POINT('',#506282); #153899=VERTEX_POINT('',#506284); #153900=VERTEX_POINT('',#506288); #153901=VERTEX_POINT('',#506290); #153902=VERTEX_POINT('',#506294); #153903=VERTEX_POINT('',#506296); #153904=VERTEX_POINT('',#506300); #153905=VERTEX_POINT('',#506302); #153906=VERTEX_POINT('',#506306); #153907=VERTEX_POINT('',#506308); #153908=VERTEX_POINT('',#506312); #153909=VERTEX_POINT('',#506314); #153910=VERTEX_POINT('',#506318); #153911=VERTEX_POINT('',#506320); #153912=VERTEX_POINT('',#506324); #153913=VERTEX_POINT('',#506326); #153914=VERTEX_POINT('',#506330); #153915=VERTEX_POINT('',#506332); #153916=VERTEX_POINT('',#506336); #153917=VERTEX_POINT('',#506338); #153918=VERTEX_POINT('',#506342); #153919=VERTEX_POINT('',#506344); #153920=VERTEX_POINT('',#506348); #153921=VERTEX_POINT('',#506350); #153922=VERTEX_POINT('',#506354); #153923=VERTEX_POINT('',#506356); #153924=VERTEX_POINT('',#506360); #153925=VERTEX_POINT('',#506362); #153926=VERTEX_POINT('',#506366); #153927=VERTEX_POINT('',#506368); #153928=VERTEX_POINT('',#506372); #153929=VERTEX_POINT('',#506374); #153930=VERTEX_POINT('',#506378); #153931=VERTEX_POINT('',#506380); #153932=VERTEX_POINT('',#506384); #153933=VERTEX_POINT('',#506386); #153934=VERTEX_POINT('',#506390); #153935=VERTEX_POINT('',#506392); #153936=VERTEX_POINT('',#506396); #153937=VERTEX_POINT('',#506398); #153938=VERTEX_POINT('',#506402); #153939=VERTEX_POINT('',#506404); #153940=VERTEX_POINT('',#506408); #153941=VERTEX_POINT('',#506410); #153942=VERTEX_POINT('',#506414); #153943=VERTEX_POINT('',#506416); #153944=VERTEX_POINT('',#506425); #153945=VERTEX_POINT('',#506426); #153946=VERTEX_POINT('',#506428); #153947=VERTEX_POINT('',#506430); #153948=VERTEX_POINT('',#506434); #153949=VERTEX_POINT('',#506436); #153950=VERTEX_POINT('',#506440); #153951=VERTEX_POINT('',#506442); #153952=VERTEX_POINT('',#506446); #153953=VERTEX_POINT('',#506448); #153954=VERTEX_POINT('',#506452); #153955=VERTEX_POINT('',#506454); #153956=VERTEX_POINT('',#506458); #153957=VERTEX_POINT('',#506460); #153958=VERTEX_POINT('',#506464); #153959=VERTEX_POINT('',#506466); #153960=VERTEX_POINT('',#506470); #153961=VERTEX_POINT('',#506472); #153962=VERTEX_POINT('',#506476); #153963=VERTEX_POINT('',#506478); #153964=VERTEX_POINT('',#506482); #153965=VERTEX_POINT('',#506484); #153966=VERTEX_POINT('',#506488); #153967=VERTEX_POINT('',#506490); #153968=VERTEX_POINT('',#506494); #153969=VERTEX_POINT('',#506496); #153970=VERTEX_POINT('',#506500); #153971=VERTEX_POINT('',#506502); #153972=VERTEX_POINT('',#506506); #153973=VERTEX_POINT('',#506508); #153974=VERTEX_POINT('',#506512); #153975=VERTEX_POINT('',#506514); #153976=VERTEX_POINT('',#506518); #153977=VERTEX_POINT('',#506520); #153978=VERTEX_POINT('',#506524); #153979=VERTEX_POINT('',#506526); #153980=VERTEX_POINT('',#506530); #153981=VERTEX_POINT('',#506532); #153982=VERTEX_POINT('',#506536); #153983=VERTEX_POINT('',#506538); #153984=VERTEX_POINT('',#506542); #153985=VERTEX_POINT('',#506544); #153986=VERTEX_POINT('',#506548); #153987=VERTEX_POINT('',#506550); #153988=VERTEX_POINT('',#506554); #153989=VERTEX_POINT('',#506556); #153990=VERTEX_POINT('',#506560); #153991=VERTEX_POINT('',#506562); #153992=VERTEX_POINT('',#506566); #153993=VERTEX_POINT('',#506568); #153994=VERTEX_POINT('',#506572); #153995=VERTEX_POINT('',#506574); #153996=VERTEX_POINT('',#506578); #153997=VERTEX_POINT('',#506580); #153998=VERTEX_POINT('',#506584); #153999=VERTEX_POINT('',#506586); #154000=VERTEX_POINT('',#506590); #154001=VERTEX_POINT('',#506592); #154002=VERTEX_POINT('',#506596); #154003=VERTEX_POINT('',#506598); #154004=VERTEX_POINT('',#506602); #154005=VERTEX_POINT('',#506604); #154006=VERTEX_POINT('',#506608); #154007=VERTEX_POINT('',#506610); #154008=VERTEX_POINT('',#506614); #154009=VERTEX_POINT('',#506616); #154010=VERTEX_POINT('',#506620); #154011=VERTEX_POINT('',#506622); #154012=VERTEX_POINT('',#506626); #154013=VERTEX_POINT('',#506628); #154014=VERTEX_POINT('',#506632); #154015=VERTEX_POINT('',#506634); #154016=VERTEX_POINT('',#506643); #154017=VERTEX_POINT('',#506645); #154018=VERTEX_POINT('',#506649); #154019=VERTEX_POINT('',#506650); #154020=VERTEX_POINT('',#506652); #154021=VERTEX_POINT('',#506654); #154022=VERTEX_POINT('',#506658); #154023=VERTEX_POINT('',#506660); #154024=VERTEX_POINT('',#506664); #154025=VERTEX_POINT('',#506666); #154026=VERTEX_POINT('',#506670); #154027=VERTEX_POINT('',#506672); #154028=VERTEX_POINT('',#506676); #154029=VERTEX_POINT('',#506678); #154030=VERTEX_POINT('',#506682); #154031=VERTEX_POINT('',#506684); #154032=VERTEX_POINT('',#506688); #154033=VERTEX_POINT('',#506690); #154034=VERTEX_POINT('',#506694); #154035=VERTEX_POINT('',#506696); #154036=VERTEX_POINT('',#506700); #154037=VERTEX_POINT('',#506702); #154038=VERTEX_POINT('',#506706); #154039=VERTEX_POINT('',#506708); #154040=VERTEX_POINT('',#506712); #154041=VERTEX_POINT('',#506714); #154042=VERTEX_POINT('',#506718); #154043=VERTEX_POINT('',#506720); #154044=VERTEX_POINT('',#506724); #154045=VERTEX_POINT('',#506726); #154046=VERTEX_POINT('',#506730); #154047=VERTEX_POINT('',#506732); #154048=VERTEX_POINT('',#506736); #154049=VERTEX_POINT('',#506738); #154050=VERTEX_POINT('',#506742); #154051=VERTEX_POINT('',#506744); #154052=VERTEX_POINT('',#506748); #154053=VERTEX_POINT('',#506750); #154054=VERTEX_POINT('',#506754); #154055=VERTEX_POINT('',#506756); #154056=VERTEX_POINT('',#506760); #154057=VERTEX_POINT('',#506762); #154058=VERTEX_POINT('',#506766); #154059=VERTEX_POINT('',#506768); #154060=VERTEX_POINT('',#506772); #154061=VERTEX_POINT('',#506774); #154062=VERTEX_POINT('',#506783); #154063=VERTEX_POINT('',#506785); #154064=VERTEX_POINT('',#506789); #154065=VERTEX_POINT('',#506790); #154066=VERTEX_POINT('',#506792); #154067=VERTEX_POINT('',#506794); #154068=VERTEX_POINT('',#506798); #154069=VERTEX_POINT('',#506800); #154070=VERTEX_POINT('',#506804); #154071=VERTEX_POINT('',#506806); #154072=VERTEX_POINT('',#506810); #154073=VERTEX_POINT('',#506812); #154074=VERTEX_POINT('',#506816); #154075=VERTEX_POINT('',#506818); #154076=VERTEX_POINT('',#506822); #154077=VERTEX_POINT('',#506824); #154078=VERTEX_POINT('',#506828); #154079=VERTEX_POINT('',#506830); #154080=VERTEX_POINT('',#506834); #154081=VERTEX_POINT('',#506836); #154082=VERTEX_POINT('',#506840); #154083=VERTEX_POINT('',#506842); #154084=VERTEX_POINT('',#506846); #154085=VERTEX_POINT('',#506848); #154086=VERTEX_POINT('',#506852); #154087=VERTEX_POINT('',#506854); #154088=VERTEX_POINT('',#506858); #154089=VERTEX_POINT('',#506860); #154090=VERTEX_POINT('',#506864); #154091=VERTEX_POINT('',#506866); #154092=VERTEX_POINT('',#506870); #154093=VERTEX_POINT('',#506872); #154094=VERTEX_POINT('',#506876); #154095=VERTEX_POINT('',#506878); #154096=VERTEX_POINT('',#506887); #154097=VERTEX_POINT('',#506889); #154098=VERTEX_POINT('',#506893); #154099=VERTEX_POINT('',#506894); #154100=VERTEX_POINT('',#506896); #154101=VERTEX_POINT('',#506898); #154102=VERTEX_POINT('',#506902); #154103=VERTEX_POINT('',#506904); #154104=VERTEX_POINT('',#506908); #154105=VERTEX_POINT('',#506910); #154106=VERTEX_POINT('',#506914); #154107=VERTEX_POINT('',#506916); #154108=VERTEX_POINT('',#506920); #154109=VERTEX_POINT('',#506922); #154110=VERTEX_POINT('',#506926); #154111=VERTEX_POINT('',#506928); #154112=VERTEX_POINT('',#506932); #154113=VERTEX_POINT('',#506934); #154114=VERTEX_POINT('',#506938); #154115=VERTEX_POINT('',#506940); #154116=VERTEX_POINT('',#506944); #154117=VERTEX_POINT('',#506946); #154118=VERTEX_POINT('',#506950); #154119=VERTEX_POINT('',#506952); #154120=VERTEX_POINT('',#506956); #154121=VERTEX_POINT('',#506958); #154122=VERTEX_POINT('',#506967); #154123=VERTEX_POINT('',#506969); #154124=VERTEX_POINT('',#506973); #154125=VERTEX_POINT('',#506974); #154126=VERTEX_POINT('',#506976); #154127=VERTEX_POINT('',#506978); #154128=VERTEX_POINT('',#506982); #154129=VERTEX_POINT('',#506984); #154130=VERTEX_POINT('',#506988); #154131=VERTEX_POINT('',#506990); #154132=VERTEX_POINT('',#506994); #154133=VERTEX_POINT('',#506996); #154134=VERTEX_POINT('',#507000); #154135=VERTEX_POINT('',#507002); #154136=VERTEX_POINT('',#507006); #154137=VERTEX_POINT('',#507008); #154138=VERTEX_POINT('',#507012); #154139=VERTEX_POINT('',#507014); #154140=VERTEX_POINT('',#507018); #154141=VERTEX_POINT('',#507020); #154142=VERTEX_POINT('',#507024); #154143=VERTEX_POINT('',#507026); #154144=VERTEX_POINT('',#507030); #154145=VERTEX_POINT('',#507032); #154146=VERTEX_POINT('',#507036); #154147=VERTEX_POINT('',#507038); #154148=VERTEX_POINT('',#507042); #154149=VERTEX_POINT('',#507044); #154150=VERTEX_POINT('',#507048); #154151=VERTEX_POINT('',#507050); #154152=VERTEX_POINT('',#507059); #154153=VERTEX_POINT('',#507061); #154154=VERTEX_POINT('',#507065); #154155=VERTEX_POINT('',#507067); #154156=VERTEX_POINT('',#507071); #154157=VERTEX_POINT('',#507072); #154158=VERTEX_POINT('',#507074); #154159=VERTEX_POINT('',#507076); #154160=VERTEX_POINT('',#507080); #154161=VERTEX_POINT('',#507082); #154162=VERTEX_POINT('',#507086); #154163=VERTEX_POINT('',#507088); #154164=VERTEX_POINT('',#507092); #154165=VERTEX_POINT('',#507094); #154166=VERTEX_POINT('',#507098); #154167=VERTEX_POINT('',#507100); #154168=VERTEX_POINT('',#507104); #154169=VERTEX_POINT('',#507106); #154170=VERTEX_POINT('',#507110); #154171=VERTEX_POINT('',#507112); #154172=VERTEX_POINT('',#507121); #154173=VERTEX_POINT('',#507123); #154174=VERTEX_POINT('',#507127); #154175=VERTEX_POINT('',#507128); #154176=VERTEX_POINT('',#507130); #154177=VERTEX_POINT('',#507132); #154178=VERTEX_POINT('',#507136); #154179=VERTEX_POINT('',#507138); #154180=VERTEX_POINT('',#507142); #154181=VERTEX_POINT('',#507144); #154182=VERTEX_POINT('',#507148); #154183=VERTEX_POINT('',#507150); #154184=VERTEX_POINT('',#507154); #154185=VERTEX_POINT('',#507156); #154186=VERTEX_POINT('',#507160); #154187=VERTEX_POINT('',#507162); #154188=VERTEX_POINT('',#507166); #154189=VERTEX_POINT('',#507168); #154190=VERTEX_POINT('',#507172); #154191=VERTEX_POINT('',#507174); #154192=VERTEX_POINT('',#507178); #154193=VERTEX_POINT('',#507180); #154194=VERTEX_POINT('',#507184); #154195=VERTEX_POINT('',#507186); #154196=VERTEX_POINT('',#507190); #154197=VERTEX_POINT('',#507192); #154198=VERTEX_POINT('',#507196); #154199=VERTEX_POINT('',#507198); #154200=VERTEX_POINT('',#507202); #154201=VERTEX_POINT('',#507204); #154202=VERTEX_POINT('',#507208); #154203=VERTEX_POINT('',#507210); #154204=VERTEX_POINT('',#507214); #154205=VERTEX_POINT('',#507216); #154206=VERTEX_POINT('',#507225); #154207=VERTEX_POINT('',#507227); #154208=VERTEX_POINT('',#507231); #154209=VERTEX_POINT('',#507232); #154210=VERTEX_POINT('',#507234); #154211=VERTEX_POINT('',#507236); #154212=VERTEX_POINT('',#507240); #154213=VERTEX_POINT('',#507242); #154214=VERTEX_POINT('',#507246); #154215=VERTEX_POINT('',#507248); #154216=VERTEX_POINT('',#507252); #154217=VERTEX_POINT('',#507254); #154218=VERTEX_POINT('',#507258); #154219=VERTEX_POINT('',#507260); #154220=VERTEX_POINT('',#507264); #154221=VERTEX_POINT('',#507266); #154222=VERTEX_POINT('',#507270); #154223=VERTEX_POINT('',#507272); #154224=VERTEX_POINT('',#507276); #154225=VERTEX_POINT('',#507278); #154226=VERTEX_POINT('',#507282); #154227=VERTEX_POINT('',#507284); #154228=VERTEX_POINT('',#507288); #154229=VERTEX_POINT('',#507290); #154230=VERTEX_POINT('',#507294); #154231=VERTEX_POINT('',#507296); #154232=VERTEX_POINT('',#507300); #154233=VERTEX_POINT('',#507302); #154234=VERTEX_POINT('',#507306); #154235=VERTEX_POINT('',#507308); #154236=VERTEX_POINT('',#507312); #154237=VERTEX_POINT('',#507314); #154238=VERTEX_POINT('',#507318); #154239=VERTEX_POINT('',#507320); #154240=VERTEX_POINT('',#507324); #154241=VERTEX_POINT('',#507326); #154242=VERTEX_POINT('',#507330); #154243=VERTEX_POINT('',#507332); #154244=VERTEX_POINT('',#507336); #154245=VERTEX_POINT('',#507338); #154246=VERTEX_POINT('',#507342); #154247=VERTEX_POINT('',#507344); #154248=VERTEX_POINT('',#507348); #154249=VERTEX_POINT('',#507350); #154250=VERTEX_POINT('',#507354); #154251=VERTEX_POINT('',#507356); #154252=VERTEX_POINT('',#507365); #154253=VERTEX_POINT('',#507366); #154254=VERTEX_POINT('',#507368); #154255=VERTEX_POINT('',#507370); #154256=VERTEX_POINT('',#507374); #154257=VERTEX_POINT('',#507376); #154258=VERTEX_POINT('',#507380); #154259=VERTEX_POINT('',#507382); #154260=VERTEX_POINT('',#507386); #154261=VERTEX_POINT('',#507388); #154262=VERTEX_POINT('',#507392); #154263=VERTEX_POINT('',#507394); #154264=VERTEX_POINT('',#507398); #154265=VERTEX_POINT('',#507400); #154266=VERTEX_POINT('',#507404); #154267=VERTEX_POINT('',#507406); #154268=VERTEX_POINT('',#507410); #154269=VERTEX_POINT('',#507412); #154270=VERTEX_POINT('',#507416); #154271=VERTEX_POINT('',#507418); #154272=VERTEX_POINT('',#507422); #154273=VERTEX_POINT('',#507424); #154274=VERTEX_POINT('',#507428); #154275=VERTEX_POINT('',#507430); #154276=VERTEX_POINT('',#507434); #154277=VERTEX_POINT('',#507436); #154278=VERTEX_POINT('',#507440); #154279=VERTEX_POINT('',#507442); #154280=VERTEX_POINT('',#507446); #154281=VERTEX_POINT('',#507448); #154282=VERTEX_POINT('',#507452); #154283=VERTEX_POINT('',#507454); #154284=VERTEX_POINT('',#507458); #154285=VERTEX_POINT('',#507460); #154286=VERTEX_POINT('',#507464); #154287=VERTEX_POINT('',#507466); #154288=VERTEX_POINT('',#507470); #154289=VERTEX_POINT('',#507472); #154290=VERTEX_POINT('',#507476); #154291=VERTEX_POINT('',#507478); #154292=VERTEX_POINT('',#507482); #154293=VERTEX_POINT('',#507484); #154294=VERTEX_POINT('',#507488); #154295=VERTEX_POINT('',#507490); #154296=VERTEX_POINT('',#507494); #154297=VERTEX_POINT('',#507496); #154298=VERTEX_POINT('',#507500); #154299=VERTEX_POINT('',#507502); #154300=VERTEX_POINT('',#507506); #154301=VERTEX_POINT('',#507508); #154302=VERTEX_POINT('',#507512); #154303=VERTEX_POINT('',#507514); #154304=VERTEX_POINT('',#507523); #154305=VERTEX_POINT('',#507525); #154306=VERTEX_POINT('',#507529); #154307=VERTEX_POINT('',#507530); #154308=VERTEX_POINT('',#507532); #154309=VERTEX_POINT('',#507534); #154310=VERTEX_POINT('',#507538); #154311=VERTEX_POINT('',#507540); #154312=VERTEX_POINT('',#507544); #154313=VERTEX_POINT('',#507546); #154314=VERTEX_POINT('',#507550); #154315=VERTEX_POINT('',#507552); #154316=VERTEX_POINT('',#507556); #154317=VERTEX_POINT('',#507558); #154318=VERTEX_POINT('',#507562); #154319=VERTEX_POINT('',#507564); #154320=VERTEX_POINT('',#507568); #154321=VERTEX_POINT('',#507570); #154322=VERTEX_POINT('',#507574); #154323=VERTEX_POINT('',#507576); #154324=VERTEX_POINT('',#507580); #154325=VERTEX_POINT('',#507582); #154326=VERTEX_POINT('',#507586); #154327=VERTEX_POINT('',#507588); #154328=VERTEX_POINT('',#507592); #154329=VERTEX_POINT('',#507594); #154330=VERTEX_POINT('',#507598); #154331=VERTEX_POINT('',#507600); #154332=VERTEX_POINT('',#507604); #154333=VERTEX_POINT('',#507606); #154334=VERTEX_POINT('',#507610); #154335=VERTEX_POINT('',#507612); #154336=VERTEX_POINT('',#507616); #154337=VERTEX_POINT('',#507618); #154338=VERTEX_POINT('',#507622); #154339=VERTEX_POINT('',#507624); #154340=VERTEX_POINT('',#507628); #154341=VERTEX_POINT('',#507630); #154342=VERTEX_POINT('',#507634); #154343=VERTEX_POINT('',#507636); #154344=VERTEX_POINT('',#507640); #154345=VERTEX_POINT('',#507642); #154346=VERTEX_POINT('',#507646); #154347=VERTEX_POINT('',#507648); #154348=VERTEX_POINT('',#507652); #154349=VERTEX_POINT('',#507654); #154350=VERTEX_POINT('',#507658); #154351=VERTEX_POINT('',#507660); #154352=VERTEX_POINT('',#507664); #154353=VERTEX_POINT('',#507666); #154354=VERTEX_POINT('',#507675); #154355=VERTEX_POINT('',#507677); #154356=VERTEX_POINT('',#507681); #154357=VERTEX_POINT('',#507682); #154358=VERTEX_POINT('',#507684); #154359=VERTEX_POINT('',#507686); #154360=VERTEX_POINT('',#507690); #154361=VERTEX_POINT('',#507692); #154362=VERTEX_POINT('',#507696); #154363=VERTEX_POINT('',#507698); #154364=VERTEX_POINT('',#507702); #154365=VERTEX_POINT('',#507704); #154366=VERTEX_POINT('',#507708); #154367=VERTEX_POINT('',#507710); #154368=VERTEX_POINT('',#507714); #154369=VERTEX_POINT('',#507716); #154370=VERTEX_POINT('',#507720); #154371=VERTEX_POINT('',#507722); #154372=VERTEX_POINT('',#507726); #154373=VERTEX_POINT('',#507728); #154374=VERTEX_POINT('',#507732); #154375=VERTEX_POINT('',#507734); #154376=VERTEX_POINT('',#507738); #154377=VERTEX_POINT('',#507740); #154378=VERTEX_POINT('',#507749); #154379=VERTEX_POINT('',#507750); #154380=VERTEX_POINT('',#507752); #154381=VERTEX_POINT('',#507754); #154382=VERTEX_POINT('',#507758); #154383=VERTEX_POINT('',#507760); #154384=VERTEX_POINT('',#507764); #154385=VERTEX_POINT('',#507766); #154386=VERTEX_POINT('',#507770); #154387=VERTEX_POINT('',#507772); #154388=VERTEX_POINT('',#507776); #154389=VERTEX_POINT('',#507778); #154390=VERTEX_POINT('',#507782); #154391=VERTEX_POINT('',#507784); #154392=VERTEX_POINT('',#507788); #154393=VERTEX_POINT('',#507790); #154394=VERTEX_POINT('',#507794); #154395=VERTEX_POINT('',#507796); #154396=VERTEX_POINT('',#507800); #154397=VERTEX_POINT('',#507802); #154398=VERTEX_POINT('',#507806); #154399=VERTEX_POINT('',#507808); #154400=VERTEX_POINT('',#507812); #154401=VERTEX_POINT('',#507814); #154402=VERTEX_POINT('',#507818); #154403=VERTEX_POINT('',#507820); #154404=VERTEX_POINT('',#507824); #154405=VERTEX_POINT('',#507826); #154406=VERTEX_POINT('',#507830); #154407=VERTEX_POINT('',#507832); #154408=VERTEX_POINT('',#507836); #154409=VERTEX_POINT('',#507838); #154410=VERTEX_POINT('',#507842); #154411=VERTEX_POINT('',#507844); #154412=VERTEX_POINT('',#507848); #154413=VERTEX_POINT('',#507850); #154414=VERTEX_POINT('',#507854); #154415=VERTEX_POINT('',#507856); #154416=VERTEX_POINT('',#507860); #154417=VERTEX_POINT('',#507862); #154418=VERTEX_POINT('',#507866); #154419=VERTEX_POINT('',#507868); #154420=VERTEX_POINT('',#507872); #154421=VERTEX_POINT('',#507874); #154422=VERTEX_POINT('',#507878); #154423=VERTEX_POINT('',#507880); #154424=VERTEX_POINT('',#507884); #154425=VERTEX_POINT('',#507886); #154426=VERTEX_POINT('',#507890); #154427=VERTEX_POINT('',#507892); #154428=VERTEX_POINT('',#507896); #154429=VERTEX_POINT('',#507898); #154430=VERTEX_POINT('',#507902); #154431=VERTEX_POINT('',#507904); #154432=VERTEX_POINT('',#507908); #154433=VERTEX_POINT('',#507910); #154434=VERTEX_POINT('',#507914); #154435=VERTEX_POINT('',#507916); #154436=VERTEX_POINT('',#507920); #154437=VERTEX_POINT('',#507922); #154438=VERTEX_POINT('',#507926); #154439=VERTEX_POINT('',#507928); #154440=VERTEX_POINT('',#507932); #154441=VERTEX_POINT('',#507934); #154442=VERTEX_POINT('',#507938); #154443=VERTEX_POINT('',#507940); #154444=VERTEX_POINT('',#507944); #154445=VERTEX_POINT('',#507946); #154446=VERTEX_POINT('',#507950); #154447=VERTEX_POINT('',#507952); #154448=VERTEX_POINT('',#507956); #154449=VERTEX_POINT('',#507958); #154450=VERTEX_POINT('',#507962); #154451=VERTEX_POINT('',#507964); #154452=VERTEX_POINT('',#507968); #154453=VERTEX_POINT('',#507970); #154454=VERTEX_POINT('',#507979); #154455=VERTEX_POINT('',#507980); #154456=VERTEX_POINT('',#507982); #154457=VERTEX_POINT('',#507984); #154458=VERTEX_POINT('',#507988); #154459=VERTEX_POINT('',#507990); #154460=VERTEX_POINT('',#507994); #154461=VERTEX_POINT('',#507996); #154462=VERTEX_POINT('',#508000); #154463=VERTEX_POINT('',#508002); #154464=VERTEX_POINT('',#508006); #154465=VERTEX_POINT('',#508008); #154466=VERTEX_POINT('',#508012); #154467=VERTEX_POINT('',#508014); #154468=VERTEX_POINT('',#508018); #154469=VERTEX_POINT('',#508020); #154470=VERTEX_POINT('',#508024); #154471=VERTEX_POINT('',#508026); #154472=VERTEX_POINT('',#508030); #154473=VERTEX_POINT('',#508032); #154474=VERTEX_POINT('',#508036); #154475=VERTEX_POINT('',#508038); #154476=VERTEX_POINT('',#508042); #154477=VERTEX_POINT('',#508044); #154478=VERTEX_POINT('',#508048); #154479=VERTEX_POINT('',#508050); #154480=VERTEX_POINT('',#508054); #154481=VERTEX_POINT('',#508056); #154482=VERTEX_POINT('',#508060); #154483=VERTEX_POINT('',#508062); #154484=VERTEX_POINT('',#508066); #154485=VERTEX_POINT('',#508068); #154486=VERTEX_POINT('',#508072); #154487=VERTEX_POINT('',#508074); #154488=VERTEX_POINT('',#508078); #154489=VERTEX_POINT('',#508080); #154490=VERTEX_POINT('',#508084); #154491=VERTEX_POINT('',#508086); #154492=VERTEX_POINT('',#508090); #154493=VERTEX_POINT('',#508092); #154494=VERTEX_POINT('',#508096); #154495=VERTEX_POINT('',#508098); #154496=VERTEX_POINT('',#508102); #154497=VERTEX_POINT('',#508104); #154498=VERTEX_POINT('',#508108); #154499=VERTEX_POINT('',#508110); #154500=VERTEX_POINT('',#508114); #154501=VERTEX_POINT('',#508116); #154502=VERTEX_POINT('',#508120); #154503=VERTEX_POINT('',#508122); #154504=VERTEX_POINT('',#508126); #154505=VERTEX_POINT('',#508128); #154506=VERTEX_POINT('',#508132); #154507=VERTEX_POINT('',#508134); #154508=VERTEX_POINT('',#508138); #154509=VERTEX_POINT('',#508140); #154510=VERTEX_POINT('',#508144); #154511=VERTEX_POINT('',#508146); #154512=VERTEX_POINT('',#508150); #154513=VERTEX_POINT('',#508152); #154514=VERTEX_POINT('',#508156); #154515=VERTEX_POINT('',#508158); #154516=VERTEX_POINT('',#508162); #154517=VERTEX_POINT('',#508164); #154518=VERTEX_POINT('',#508168); #154519=VERTEX_POINT('',#508170); #154520=VERTEX_POINT('',#508174); #154521=VERTEX_POINT('',#508176); #154522=VERTEX_POINT('',#508180); #154523=VERTEX_POINT('',#508182); #154524=VERTEX_POINT('',#508186); #154525=VERTEX_POINT('',#508188); #154526=VERTEX_POINT('',#508192); #154527=VERTEX_POINT('',#508194); #154528=VERTEX_POINT('',#508198); #154529=VERTEX_POINT('',#508200); #154530=VERTEX_POINT('',#508209); #154531=VERTEX_POINT('',#508210); #154532=VERTEX_POINT('',#508212); #154533=VERTEX_POINT('',#508214); #154534=VERTEX_POINT('',#508218); #154535=VERTEX_POINT('',#508220); #154536=VERTEX_POINT('',#508224); #154537=VERTEX_POINT('',#508226); #154538=VERTEX_POINT('',#508230); #154539=VERTEX_POINT('',#508232); #154540=VERTEX_POINT('',#508236); #154541=VERTEX_POINT('',#508238); #154542=VERTEX_POINT('',#508242); #154543=VERTEX_POINT('',#508244); #154544=VERTEX_POINT('',#508248); #154545=VERTEX_POINT('',#508250); #154546=VERTEX_POINT('',#508254); #154547=VERTEX_POINT('',#508256); #154548=VERTEX_POINT('',#508260); #154549=VERTEX_POINT('',#508262); #154550=VERTEX_POINT('',#508266); #154551=VERTEX_POINT('',#508268); #154552=VERTEX_POINT('',#508272); #154553=VERTEX_POINT('',#508274); #154554=VERTEX_POINT('',#508278); #154555=VERTEX_POINT('',#508280); #154556=VERTEX_POINT('',#508284); #154557=VERTEX_POINT('',#508286); #154558=VERTEX_POINT('',#508290); #154559=VERTEX_POINT('',#508292); #154560=VERTEX_POINT('',#508296); #154561=VERTEX_POINT('',#508298); #154562=VERTEX_POINT('',#508302); #154563=VERTEX_POINT('',#508304); #154564=VERTEX_POINT('',#508308); #154565=VERTEX_POINT('',#508310); #154566=VERTEX_POINT('',#508314); #154567=VERTEX_POINT('',#508316); #154568=VERTEX_POINT('',#508320); #154569=VERTEX_POINT('',#508322); #154570=VERTEX_POINT('',#508331); #154571=VERTEX_POINT('',#508333); #154572=VERTEX_POINT('',#508337); #154573=VERTEX_POINT('',#508338); #154574=VERTEX_POINT('',#508340); #154575=VERTEX_POINT('',#508342); #154576=VERTEX_POINT('',#508346); #154577=VERTEX_POINT('',#508348); #154578=VERTEX_POINT('',#508352); #154579=VERTEX_POINT('',#508354); #154580=VERTEX_POINT('',#508358); #154581=VERTEX_POINT('',#508360); #154582=VERTEX_POINT('',#508364); #154583=VERTEX_POINT('',#508366); #154584=VERTEX_POINT('',#508370); #154585=VERTEX_POINT('',#508372); #154586=VERTEX_POINT('',#508376); #154587=VERTEX_POINT('',#508378); #154588=VERTEX_POINT('',#508382); #154589=VERTEX_POINT('',#508384); #154590=VERTEX_POINT('',#508388); #154591=VERTEX_POINT('',#508390); #154592=VERTEX_POINT('',#508394); #154593=VERTEX_POINT('',#508396); #154594=VERTEX_POINT('',#508400); #154595=VERTEX_POINT('',#508402); #154596=VERTEX_POINT('',#508406); #154597=VERTEX_POINT('',#508408); #154598=VERTEX_POINT('',#508412); #154599=VERTEX_POINT('',#508414); #154600=VERTEX_POINT('',#508418); #154601=VERTEX_POINT('',#508420); #154602=VERTEX_POINT('',#508424); #154603=VERTEX_POINT('',#508426); #154604=VERTEX_POINT('',#508430); #154605=VERTEX_POINT('',#508432); #154606=VERTEX_POINT('',#508436); #154607=VERTEX_POINT('',#508438); #154608=VERTEX_POINT('',#508442); #154609=VERTEX_POINT('',#508444); #154610=VERTEX_POINT('',#508448); #154611=VERTEX_POINT('',#508450); #154612=VERTEX_POINT('',#508454); #154613=VERTEX_POINT('',#508456); #154614=VERTEX_POINT('',#508465); #154615=VERTEX_POINT('',#508467); #154616=VERTEX_POINT('',#508471); #154617=VERTEX_POINT('',#508472); #154618=VERTEX_POINT('',#508474); #154619=VERTEX_POINT('',#508476); #154620=VERTEX_POINT('',#508480); #154621=VERTEX_POINT('',#508482); #154622=VERTEX_POINT('',#508486); #154623=VERTEX_POINT('',#508488); #154624=VERTEX_POINT('',#508492); #154625=VERTEX_POINT('',#508494); #154626=VERTEX_POINT('',#508498); #154627=VERTEX_POINT('',#508500); #154628=VERTEX_POINT('',#508504); #154629=VERTEX_POINT('',#508506); #154630=VERTEX_POINT('',#508510); #154631=VERTEX_POINT('',#508512); #154632=VERTEX_POINT('',#508516); #154633=VERTEX_POINT('',#508518); #154634=VERTEX_POINT('',#508522); #154635=VERTEX_POINT('',#508524); #154636=VERTEX_POINT('',#508528); #154637=VERTEX_POINT('',#508530); #154638=VERTEX_POINT('',#508534); #154639=VERTEX_POINT('',#508536); #154640=VERTEX_POINT('',#508540); #154641=VERTEX_POINT('',#508542); #154642=VERTEX_POINT('',#508546); #154643=VERTEX_POINT('',#508548); #154644=VERTEX_POINT('',#508552); #154645=VERTEX_POINT('',#508554); #154646=VERTEX_POINT('',#508558); #154647=VERTEX_POINT('',#508560); #154648=VERTEX_POINT('',#508569); #154649=VERTEX_POINT('',#508571); #154650=VERTEX_POINT('',#508575); #154651=VERTEX_POINT('',#508576); #154652=VERTEX_POINT('',#508578); #154653=VERTEX_POINT('',#508580); #154654=VERTEX_POINT('',#508584); #154655=VERTEX_POINT('',#508586); #154656=VERTEX_POINT('',#508590); #154657=VERTEX_POINT('',#508592); #154658=VERTEX_POINT('',#508596); #154659=VERTEX_POINT('',#508598); #154660=VERTEX_POINT('',#508602); #154661=VERTEX_POINT('',#508604); #154662=VERTEX_POINT('',#508608); #154663=VERTEX_POINT('',#508610); #154664=VERTEX_POINT('',#508614); #154665=VERTEX_POINT('',#508616); #154666=VERTEX_POINT('',#508620); #154667=VERTEX_POINT('',#508622); #154668=VERTEX_POINT('',#508626); #154669=VERTEX_POINT('',#508628); #154670=VERTEX_POINT('',#508632); #154671=VERTEX_POINT('',#508634); #154672=VERTEX_POINT('',#508638); #154673=VERTEX_POINT('',#508640); #154674=VERTEX_POINT('',#508644); #154675=VERTEX_POINT('',#508646); #154676=VERTEX_POINT('',#508655); #154677=VERTEX_POINT('',#508657); #154678=VERTEX_POINT('',#508661); #154679=VERTEX_POINT('',#508662); #154680=VERTEX_POINT('',#508664); #154681=VERTEX_POINT('',#508666); #154682=VERTEX_POINT('',#508670); #154683=VERTEX_POINT('',#508672); #154684=VERTEX_POINT('',#508676); #154685=VERTEX_POINT('',#508678); #154686=VERTEX_POINT('',#508682); #154687=VERTEX_POINT('',#508684); #154688=VERTEX_POINT('',#508688); #154689=VERTEX_POINT('',#508690); #154690=VERTEX_POINT('',#508694); #154691=VERTEX_POINT('',#508696); #154692=VERTEX_POINT('',#508700); #154693=VERTEX_POINT('',#508702); #154694=VERTEX_POINT('',#508706); #154695=VERTEX_POINT('',#508708); #154696=VERTEX_POINT('',#508712); #154697=VERTEX_POINT('',#508714); #154698=VERTEX_POINT('',#508718); #154699=VERTEX_POINT('',#508720); #154700=VERTEX_POINT('',#508724); #154701=VERTEX_POINT('',#508726); #154702=VERTEX_POINT('',#508730); #154703=VERTEX_POINT('',#508732); #154704=VERTEX_POINT('',#508736); #154705=VERTEX_POINT('',#508738); #154706=VERTEX_POINT('',#508742); #154707=VERTEX_POINT('',#508744); #154708=VERTEX_POINT('',#508748); #154709=VERTEX_POINT('',#508750); #154710=VERTEX_POINT('',#508754); #154711=VERTEX_POINT('',#508756); #154712=VERTEX_POINT('',#508760); #154713=VERTEX_POINT('',#508762); #154714=VERTEX_POINT('',#508771); #154715=VERTEX_POINT('',#508773); #154716=VERTEX_POINT('',#508777); #154717=VERTEX_POINT('',#508778); #154718=VERTEX_POINT('',#508780); #154719=VERTEX_POINT('',#508782); #154720=VERTEX_POINT('',#508786); #154721=VERTEX_POINT('',#508788); #154722=VERTEX_POINT('',#508792); #154723=VERTEX_POINT('',#508794); #154724=VERTEX_POINT('',#508798); #154725=VERTEX_POINT('',#508800); #154726=VERTEX_POINT('',#508804); #154727=VERTEX_POINT('',#508806); #154728=VERTEX_POINT('',#508810); #154729=VERTEX_POINT('',#508812); #154730=VERTEX_POINT('',#508816); #154731=VERTEX_POINT('',#508818); #154732=VERTEX_POINT('',#508822); #154733=VERTEX_POINT('',#508824); #154734=VERTEX_POINT('',#508828); #154735=VERTEX_POINT('',#508830); #154736=VERTEX_POINT('',#508834); #154737=VERTEX_POINT('',#508836); #154738=VERTEX_POINT('',#508840); #154739=VERTEX_POINT('',#508842); #154740=VERTEX_POINT('',#508846); #154741=VERTEX_POINT('',#508848); #154742=VERTEX_POINT('',#508857); #154743=VERTEX_POINT('',#508859); #154744=VERTEX_POINT('',#508863); #154745=VERTEX_POINT('',#508864); #154746=VERTEX_POINT('',#508866); #154747=VERTEX_POINT('',#508868); #154748=VERTEX_POINT('',#508872); #154749=VERTEX_POINT('',#508874); #154750=VERTEX_POINT('',#508878); #154751=VERTEX_POINT('',#508880); #154752=VERTEX_POINT('',#508884); #154753=VERTEX_POINT('',#508886); #154754=VERTEX_POINT('',#508890); #154755=VERTEX_POINT('',#508892); #154756=VERTEX_POINT('',#508896); #154757=VERTEX_POINT('',#508898); #154758=VERTEX_POINT('',#508902); #154759=VERTEX_POINT('',#508904); #154760=VERTEX_POINT('',#508908); #154761=VERTEX_POINT('',#508910); #154762=VERTEX_POINT('',#508914); #154763=VERTEX_POINT('',#508916); #154764=VERTEX_POINT('',#508920); #154765=VERTEX_POINT('',#508922); #154766=VERTEX_POINT('',#508926); #154767=VERTEX_POINT('',#508928); #154768=VERTEX_POINT('',#508932); #154769=VERTEX_POINT('',#508934); #154770=VERTEX_POINT('',#508938); #154771=VERTEX_POINT('',#508940); #154772=VERTEX_POINT('',#508944); #154773=VERTEX_POINT('',#508946); #154774=VERTEX_POINT('',#508950); #154775=VERTEX_POINT('',#508952); #154776=VERTEX_POINT('',#508956); #154777=VERTEX_POINT('',#508958); #154778=VERTEX_POINT('',#508962); #154779=VERTEX_POINT('',#508964); #154780=VERTEX_POINT('',#508973); #154781=VERTEX_POINT('',#508975); #154782=VERTEX_POINT('',#508979); #154783=VERTEX_POINT('',#508980); #154784=VERTEX_POINT('',#508982); #154785=VERTEX_POINT('',#508984); #154786=VERTEX_POINT('',#508988); #154787=VERTEX_POINT('',#508990); #154788=VERTEX_POINT('',#508994); #154789=VERTEX_POINT('',#508996); #154790=VERTEX_POINT('',#509000); #154791=VERTEX_POINT('',#509002); #154792=VERTEX_POINT('',#509006); #154793=VERTEX_POINT('',#509008); #154794=VERTEX_POINT('',#509012); #154795=VERTEX_POINT('',#509014); #154796=VERTEX_POINT('',#509018); #154797=VERTEX_POINT('',#509020); #154798=VERTEX_POINT('',#509024); #154799=VERTEX_POINT('',#509026); #154800=VERTEX_POINT('',#509030); #154801=VERTEX_POINT('',#509032); #154802=VERTEX_POINT('',#509036); #154803=VERTEX_POINT('',#509038); #154804=VERTEX_POINT('',#509047); #154805=VERTEX_POINT('',#509049); #154806=VERTEX_POINT('',#509053); #154807=VERTEX_POINT('',#509054); #154808=VERTEX_POINT('',#509056); #154809=VERTEX_POINT('',#509058); #154810=VERTEX_POINT('',#509062); #154811=VERTEX_POINT('',#509064); #154812=VERTEX_POINT('',#509068); #154813=VERTEX_POINT('',#509070); #154814=VERTEX_POINT('',#509074); #154815=VERTEX_POINT('',#509076); #154816=VERTEX_POINT('',#509080); #154817=VERTEX_POINT('',#509082); #154818=VERTEX_POINT('',#509086); #154819=VERTEX_POINT('',#509088); #154820=VERTEX_POINT('',#509092); #154821=VERTEX_POINT('',#509094); #154822=VERTEX_POINT('',#509098); #154823=VERTEX_POINT('',#509100); #154824=VERTEX_POINT('',#509104); #154825=VERTEX_POINT('',#509106); #154826=VERTEX_POINT('',#509110); #154827=VERTEX_POINT('',#509112); #154828=VERTEX_POINT('',#509121); #154829=VERTEX_POINT('',#509123); #154830=VERTEX_POINT('',#509127); #154831=VERTEX_POINT('',#509128); #154832=VERTEX_POINT('',#509130); #154833=VERTEX_POINT('',#509132); #154834=VERTEX_POINT('',#509136); #154835=VERTEX_POINT('',#509138); #154836=VERTEX_POINT('',#509142); #154837=VERTEX_POINT('',#509144); #154838=VERTEX_POINT('',#509148); #154839=VERTEX_POINT('',#509150); #154840=VERTEX_POINT('',#509154); #154841=VERTEX_POINT('',#509156); #154842=VERTEX_POINT('',#509160); #154843=VERTEX_POINT('',#509162); #154844=VERTEX_POINT('',#509166); #154845=VERTEX_POINT('',#509168); #154846=VERTEX_POINT('',#509172); #154847=VERTEX_POINT('',#509174); #154848=VERTEX_POINT('',#509178); #154849=VERTEX_POINT('',#509180); #154850=VERTEX_POINT('',#509184); #154851=VERTEX_POINT('',#509186); #154852=VERTEX_POINT('',#509190); #154853=VERTEX_POINT('',#509192); #154854=VERTEX_POINT('',#509196); #154855=VERTEX_POINT('',#509198); #154856=VERTEX_POINT('',#509202); #154857=VERTEX_POINT('',#509204); #154858=VERTEX_POINT('',#509208); #154859=VERTEX_POINT('',#509210); #154860=VERTEX_POINT('',#509214); #154861=VERTEX_POINT('',#509216); #154862=VERTEX_POINT('',#509220); #154863=VERTEX_POINT('',#509222); #154864=VERTEX_POINT('',#509226); #154865=VERTEX_POINT('',#509228); #154866=VERTEX_POINT('',#509232); #154867=VERTEX_POINT('',#509234); #154868=VERTEX_POINT('',#509238); #154869=VERTEX_POINT('',#509240); #154870=VERTEX_POINT('',#509244); #154871=VERTEX_POINT('',#509246); #154872=VERTEX_POINT('',#509250); #154873=VERTEX_POINT('',#509252); #154874=VERTEX_POINT('',#509256); #154875=VERTEX_POINT('',#509258); #154876=VERTEX_POINT('',#509267); #154877=VERTEX_POINT('',#509269); #154878=VERTEX_POINT('',#509273); #154879=VERTEX_POINT('',#509274); #154880=VERTEX_POINT('',#509276); #154881=VERTEX_POINT('',#509278); #154882=VERTEX_POINT('',#509282); #154883=VERTEX_POINT('',#509284); #154884=VERTEX_POINT('',#509288); #154885=VERTEX_POINT('',#509290); #154886=VERTEX_POINT('',#509294); #154887=VERTEX_POINT('',#509296); #154888=VERTEX_POINT('',#509300); #154889=VERTEX_POINT('',#509302); #154890=VERTEX_POINT('',#509306); #154891=VERTEX_POINT('',#509308); #154892=VERTEX_POINT('',#509312); #154893=VERTEX_POINT('',#509314); #154894=VERTEX_POINT('',#509318); #154895=VERTEX_POINT('',#509320); #154896=VERTEX_POINT('',#509324); #154897=VERTEX_POINT('',#509326); #154898=VERTEX_POINT('',#509330); #154899=VERTEX_POINT('',#509332); #154900=VERTEX_POINT('',#509336); #154901=VERTEX_POINT('',#509338); #154902=VERTEX_POINT('',#509342); #154903=VERTEX_POINT('',#509344); #154904=VERTEX_POINT('',#509348); #154905=VERTEX_POINT('',#509350); #154906=VERTEX_POINT('',#509354); #154907=VERTEX_POINT('',#509356); #154908=VERTEX_POINT('',#509360); #154909=VERTEX_POINT('',#509362); #154910=VERTEX_POINT('',#509366); #154911=VERTEX_POINT('',#509368); #154912=VERTEX_POINT('',#509377); #154913=VERTEX_POINT('',#509379); #154914=VERTEX_POINT('',#509383); #154915=VERTEX_POINT('',#509384); #154916=VERTEX_POINT('',#509386); #154917=VERTEX_POINT('',#509388); #154918=VERTEX_POINT('',#509392); #154919=VERTEX_POINT('',#509394); #154920=VERTEX_POINT('',#509398); #154921=VERTEX_POINT('',#509400); #154922=VERTEX_POINT('',#509404); #154923=VERTEX_POINT('',#509406); #154924=VERTEX_POINT('',#509410); #154925=VERTEX_POINT('',#509412); #154926=VERTEX_POINT('',#509416); #154927=VERTEX_POINT('',#509418); #154928=VERTEX_POINT('',#509422); #154929=VERTEX_POINT('',#509424); #154930=VERTEX_POINT('',#509428); #154931=VERTEX_POINT('',#509430); #154932=VERTEX_POINT('',#509434); #154933=VERTEX_POINT('',#509436); #154934=VERTEX_POINT('',#509440); #154935=VERTEX_POINT('',#509442); #154936=VERTEX_POINT('',#509446); #154937=VERTEX_POINT('',#509448); #154938=VERTEX_POINT('',#509452); #154939=VERTEX_POINT('',#509454); #154940=VERTEX_POINT('',#509458); #154941=VERTEX_POINT('',#509460); #154942=VERTEX_POINT('',#509464); #154943=VERTEX_POINT('',#509466); #154944=VERTEX_POINT('',#509470); #154945=VERTEX_POINT('',#509472); #154946=VERTEX_POINT('',#509476); #154947=VERTEX_POINT('',#509478); #154948=VERTEX_POINT('',#509482); #154949=VERTEX_POINT('',#509484); #154950=VERTEX_POINT('',#509488); #154951=VERTEX_POINT('',#509490); #154952=VERTEX_POINT('',#509494); #154953=VERTEX_POINT('',#509496); #154954=VERTEX_POINT('',#509500); #154955=VERTEX_POINT('',#509502); #154956=VERTEX_POINT('',#509506); #154957=VERTEX_POINT('',#509508); #154958=VERTEX_POINT('',#509512); #154959=VERTEX_POINT('',#509514); #154960=VERTEX_POINT('',#509523); #154961=VERTEX_POINT('',#509524); #154962=VERTEX_POINT('',#509526); #154963=VERTEX_POINT('',#509528); #154964=VERTEX_POINT('',#509532); #154965=VERTEX_POINT('',#509534); #154966=VERTEX_POINT('',#509538); #154967=VERTEX_POINT('',#509540); #154968=VERTEX_POINT('',#509544); #154969=VERTEX_POINT('',#509546); #154970=VERTEX_POINT('',#509550); #154971=VERTEX_POINT('',#509552); #154972=VERTEX_POINT('',#509556); #154973=VERTEX_POINT('',#509558); #154974=VERTEX_POINT('',#509562); #154975=VERTEX_POINT('',#509564); #154976=VERTEX_POINT('',#509568); #154977=VERTEX_POINT('',#509570); #154978=VERTEX_POINT('',#509574); #154979=VERTEX_POINT('',#509576); #154980=VERTEX_POINT('',#509580); #154981=VERTEX_POINT('',#509582); #154982=VERTEX_POINT('',#509586); #154983=VERTEX_POINT('',#509588); #154984=VERTEX_POINT('',#509592); #154985=VERTEX_POINT('',#509594); #154986=VERTEX_POINT('',#509598); #154987=VERTEX_POINT('',#509600); #154988=VERTEX_POINT('',#509604); #154989=VERTEX_POINT('',#509606); #154990=VERTEX_POINT('',#509610); #154991=VERTEX_POINT('',#509612); #154992=VERTEX_POINT('',#509616); #154993=VERTEX_POINT('',#509618); #154994=VERTEX_POINT('',#509622); #154995=VERTEX_POINT('',#509624); #154996=VERTEX_POINT('',#509628); #154997=VERTEX_POINT('',#509630); #154998=VERTEX_POINT('',#509634); #154999=VERTEX_POINT('',#509636); #155000=VERTEX_POINT('',#509640); #155001=VERTEX_POINT('',#509642); #155002=VERTEX_POINT('',#509646); #155003=VERTEX_POINT('',#509648); #155004=VERTEX_POINT('',#509652); #155005=VERTEX_POINT('',#509654); #155006=VERTEX_POINT('',#509658); #155007=VERTEX_POINT('',#509660); #155008=VERTEX_POINT('',#509669); #155009=VERTEX_POINT('',#509671); #155010=VERTEX_POINT('',#509675); #155011=VERTEX_POINT('',#509676); #155012=VERTEX_POINT('',#509678); #155013=VERTEX_POINT('',#509680); #155014=VERTEX_POINT('',#509684); #155015=VERTEX_POINT('',#509686); #155016=VERTEX_POINT('',#509690); #155017=VERTEX_POINT('',#509692); #155018=VERTEX_POINT('',#509701); #155019=VERTEX_POINT('',#509703); #155020=VERTEX_POINT('',#509707); #155021=VERTEX_POINT('',#509708); #155022=VERTEX_POINT('',#509710); #155023=VERTEX_POINT('',#509712); #155024=VERTEX_POINT('',#509716); #155025=VERTEX_POINT('',#509718); #155026=VERTEX_POINT('',#509722); #155027=VERTEX_POINT('',#509724); #155028=VERTEX_POINT('',#509728); #155029=VERTEX_POINT('',#509730); #155030=VERTEX_POINT('',#509734); #155031=VERTEX_POINT('',#509736); #155032=VERTEX_POINT('',#509740); #155033=VERTEX_POINT('',#509742); #155034=VERTEX_POINT('',#509746); #155035=VERTEX_POINT('',#509748); #155036=VERTEX_POINT('',#509752); #155037=VERTEX_POINT('',#509754); #155038=VERTEX_POINT('',#509758); #155039=VERTEX_POINT('',#509760); #155040=VERTEX_POINT('',#509764); #155041=VERTEX_POINT('',#509766); #155042=VERTEX_POINT('',#509770); #155043=VERTEX_POINT('',#509772); #155044=VERTEX_POINT('',#509776); #155045=VERTEX_POINT('',#509778); #155046=VERTEX_POINT('',#509782); #155047=VERTEX_POINT('',#509784); #155048=VERTEX_POINT('',#509788); #155049=VERTEX_POINT('',#509790); #155050=VERTEX_POINT('',#509794); #155051=VERTEX_POINT('',#509796); #155052=VERTEX_POINT('',#509800); #155053=VERTEX_POINT('',#509802); #155054=VERTEX_POINT('',#509806); #155055=VERTEX_POINT('',#509808); #155056=VERTEX_POINT('',#509812); #155057=VERTEX_POINT('',#509814); #155058=VERTEX_POINT('',#509818); #155059=VERTEX_POINT('',#509820); #155060=VERTEX_POINT('',#509824); #155061=VERTEX_POINT('',#509826); #155062=VERTEX_POINT('',#509830); #155063=VERTEX_POINT('',#509832); #155064=VERTEX_POINT('',#509836); #155065=VERTEX_POINT('',#509838); #155066=VERTEX_POINT('',#509842); #155067=VERTEX_POINT('',#509844); #155068=VERTEX_POINT('',#509853); #155069=VERTEX_POINT('',#509855); #155070=VERTEX_POINT('',#509859); #155071=VERTEX_POINT('',#509860); #155072=VERTEX_POINT('',#509862); #155073=VERTEX_POINT('',#509864); #155074=VERTEX_POINT('',#509868); #155075=VERTEX_POINT('',#509870); #155076=VERTEX_POINT('',#509874); #155077=VERTEX_POINT('',#509876); #155078=VERTEX_POINT('',#509880); #155079=VERTEX_POINT('',#509882); #155080=VERTEX_POINT('',#509886); #155081=VERTEX_POINT('',#509888); #155082=VERTEX_POINT('',#509892); #155083=VERTEX_POINT('',#509894); #155084=VERTEX_POINT('',#509898); #155085=VERTEX_POINT('',#509900); #155086=VERTEX_POINT('',#509904); #155087=VERTEX_POINT('',#509906); #155088=VERTEX_POINT('',#509910); #155089=VERTEX_POINT('',#509912); #155090=VERTEX_POINT('',#509916); #155091=VERTEX_POINT('',#509918); #155092=VERTEX_POINT('',#509922); #155093=VERTEX_POINT('',#509924); #155094=VERTEX_POINT('',#509928); #155095=VERTEX_POINT('',#509930); #155096=VERTEX_POINT('',#509934); #155097=VERTEX_POINT('',#509936); #155098=VERTEX_POINT('',#509940); #155099=VERTEX_POINT('',#509942); #155100=VERTEX_POINT('',#509951); #155101=VERTEX_POINT('',#509953); #155102=VERTEX_POINT('',#509957); #155103=VERTEX_POINT('',#509958); #155104=VERTEX_POINT('',#509960); #155105=VERTEX_POINT('',#509962); #155106=VERTEX_POINT('',#509966); #155107=VERTEX_POINT('',#509968); #155108=VERTEX_POINT('',#509972); #155109=VERTEX_POINT('',#509974); #155110=VERTEX_POINT('',#509978); #155111=VERTEX_POINT('',#509980); #155112=VERTEX_POINT('',#509984); #155113=VERTEX_POINT('',#509986); #155114=VERTEX_POINT('',#509990); #155115=VERTEX_POINT('',#509992); #155116=VERTEX_POINT('',#509996); #155117=VERTEX_POINT('',#509998); #155118=VERTEX_POINT('',#510002); #155119=VERTEX_POINT('',#510004); #155120=VERTEX_POINT('',#510008); #155121=VERTEX_POINT('',#510010); #155122=VERTEX_POINT('',#510014); #155123=VERTEX_POINT('',#510016); #155124=VERTEX_POINT('',#510020); #155125=VERTEX_POINT('',#510022); #155126=VERTEX_POINT('',#510026); #155127=VERTEX_POINT('',#510028); #155128=VERTEX_POINT('',#510032); #155129=VERTEX_POINT('',#510034); #155130=VERTEX_POINT('',#510038); #155131=VERTEX_POINT('',#510040); #155132=VERTEX_POINT('',#510044); #155133=VERTEX_POINT('',#510046); #155134=VERTEX_POINT('',#510050); #155135=VERTEX_POINT('',#510052); #155136=VERTEX_POINT('',#510056); #155137=VERTEX_POINT('',#510058); #155138=VERTEX_POINT('',#510062); #155139=VERTEX_POINT('',#510064); #155140=VERTEX_POINT('',#510068); #155141=VERTEX_POINT('',#510070); #155142=VERTEX_POINT('',#510074); #155143=VERTEX_POINT('',#510076); #155144=VERTEX_POINT('',#510080); #155145=VERTEX_POINT('',#510082); #155146=VERTEX_POINT('',#510086); #155147=VERTEX_POINT('',#510088); #155148=VERTEX_POINT('',#510092); #155149=VERTEX_POINT('',#510094); #155150=VERTEX_POINT('',#510098); #155151=VERTEX_POINT('',#510100); #155152=VERTEX_POINT('',#510104); #155153=VERTEX_POINT('',#510106); #155154=VERTEX_POINT('',#510110); #155155=VERTEX_POINT('',#510112); #155156=VERTEX_POINT('',#510116); #155157=VERTEX_POINT('',#510118); #155158=VERTEX_POINT('',#510122); #155159=VERTEX_POINT('',#510124); #155160=VERTEX_POINT('',#510128); #155161=VERTEX_POINT('',#510130); #155162=VERTEX_POINT('',#510134); #155163=VERTEX_POINT('',#510136); #155164=VERTEX_POINT('',#510140); #155165=VERTEX_POINT('',#510142); #155166=VERTEX_POINT('',#510146); #155167=VERTEX_POINT('',#510148); #155168=VERTEX_POINT('',#510152); #155169=VERTEX_POINT('',#510154); #155170=VERTEX_POINT('',#510158); #155171=VERTEX_POINT('',#510160); #155172=VERTEX_POINT('',#510164); #155173=VERTEX_POINT('',#510166); #155174=VERTEX_POINT('',#510170); #155175=VERTEX_POINT('',#510172); #155176=VERTEX_POINT('',#510176); #155177=VERTEX_POINT('',#510178); #155178=VERTEX_POINT('',#510182); #155179=VERTEX_POINT('',#510184); #155180=VERTEX_POINT('',#510188); #155181=VERTEX_POINT('',#510190); #155182=VERTEX_POINT('',#510194); #155183=VERTEX_POINT('',#510196); #155184=VERTEX_POINT('',#510200); #155185=VERTEX_POINT('',#510202); #155186=VERTEX_POINT('',#510206); #155187=VERTEX_POINT('',#510208); #155188=VERTEX_POINT('',#510217); #155189=VERTEX_POINT('',#510219); #155190=VERTEX_POINT('',#510223); #155191=VERTEX_POINT('',#510224); #155192=VERTEX_POINT('',#510226); #155193=VERTEX_POINT('',#510228); #155194=VERTEX_POINT('',#510232); #155195=VERTEX_POINT('',#510234); #155196=VERTEX_POINT('',#510238); #155197=VERTEX_POINT('',#510240); #155198=VERTEX_POINT('',#510244); #155199=VERTEX_POINT('',#510246); #155200=VERTEX_POINT('',#510250); #155201=VERTEX_POINT('',#510252); #155202=VERTEX_POINT('',#510256); #155203=VERTEX_POINT('',#510258); #155204=VERTEX_POINT('',#510262); #155205=VERTEX_POINT('',#510264); #155206=VERTEX_POINT('',#510268); #155207=VERTEX_POINT('',#510270); #155208=VERTEX_POINT('',#510274); #155209=VERTEX_POINT('',#510276); #155210=VERTEX_POINT('',#510280); #155211=VERTEX_POINT('',#510282); #155212=VERTEX_POINT('',#510286); #155213=VERTEX_POINT('',#510288); #155214=VERTEX_POINT('',#510292); #155215=VERTEX_POINT('',#510294); #155216=VERTEX_POINT('',#510298); #155217=VERTEX_POINT('',#510300); #155218=VERTEX_POINT('',#510304); #155219=VERTEX_POINT('',#510306); #155220=VERTEX_POINT('',#510310); #155221=VERTEX_POINT('',#510312); #155222=VERTEX_POINT('',#510316); #155223=VERTEX_POINT('',#510318); #155224=VERTEX_POINT('',#510322); #155225=VERTEX_POINT('',#510324); #155226=VERTEX_POINT('',#510328); #155227=VERTEX_POINT('',#510330); #155228=VERTEX_POINT('',#510334); #155229=VERTEX_POINT('',#510336); #155230=VERTEX_POINT('',#510340); #155231=VERTEX_POINT('',#510342); #155232=VERTEX_POINT('',#510351); #155233=VERTEX_POINT('',#510353); #155234=VERTEX_POINT('',#510357); #155235=VERTEX_POINT('',#510358); #155236=VERTEX_POINT('',#510360); #155237=VERTEX_POINT('',#510362); #155238=VERTEX_POINT('',#510366); #155239=VERTEX_POINT('',#510368); #155240=VERTEX_POINT('',#510372); #155241=VERTEX_POINT('',#510374); #155242=VERTEX_POINT('',#510383); #155243=VERTEX_POINT('',#510385); #155244=VERTEX_POINT('',#510389); #155245=VERTEX_POINT('',#510390); #155246=VERTEX_POINT('',#510392); #155247=VERTEX_POINT('',#510394); #155248=VERTEX_POINT('',#510398); #155249=VERTEX_POINT('',#510400); #155250=VERTEX_POINT('',#510404); #155251=VERTEX_POINT('',#510406); #155252=VERTEX_POINT('',#510415); #155253=VERTEX_POINT('',#510417); #155254=VERTEX_POINT('',#510421); #155255=VERTEX_POINT('',#510422); #155256=VERTEX_POINT('',#510424); #155257=VERTEX_POINT('',#510426); #155258=VERTEX_POINT('',#510430); #155259=VERTEX_POINT('',#510432); #155260=VERTEX_POINT('',#510436); #155261=VERTEX_POINT('',#510438); #155262=VERTEX_POINT('',#510442); #155263=VERTEX_POINT('',#510444); #155264=VERTEX_POINT('',#510448); #155265=VERTEX_POINT('',#510450); #155266=VERTEX_POINT('',#510454); #155267=VERTEX_POINT('',#510456); #155268=VERTEX_POINT('',#510460); #155269=VERTEX_POINT('',#510462); #155270=VERTEX_POINT('',#510466); #155271=VERTEX_POINT('',#510468); #155272=VERTEX_POINT('',#510472); #155273=VERTEX_POINT('',#510474); #155274=VERTEX_POINT('',#510478); #155275=VERTEX_POINT('',#510480); #155276=VERTEX_POINT('',#510484); #155277=VERTEX_POINT('',#510486); #155278=VERTEX_POINT('',#510490); #155279=VERTEX_POINT('',#510492); #155280=VERTEX_POINT('',#510496); #155281=VERTEX_POINT('',#510498); #155282=VERTEX_POINT('',#510507); #155283=VERTEX_POINT('',#510509); #155284=VERTEX_POINT('',#510513); #155285=VERTEX_POINT('',#510514); #155286=VERTEX_POINT('',#510516); #155287=VERTEX_POINT('',#510518); #155288=VERTEX_POINT('',#510522); #155289=VERTEX_POINT('',#510524); #155290=VERTEX_POINT('',#510533); #155291=VERTEX_POINT('',#510535); #155292=VERTEX_POINT('',#510539); #155293=VERTEX_POINT('',#510541); #155294=VERTEX_POINT('',#510545); #155295=VERTEX_POINT('',#510546); #155296=VERTEX_POINT('',#510548); #155297=VERTEX_POINT('',#510550); #155298=VERTEX_POINT('',#510554); #155299=VERTEX_POINT('',#510556); #155300=VERTEX_POINT('',#510560); #155301=VERTEX_POINT('',#510562); #155302=VERTEX_POINT('',#510566); #155303=VERTEX_POINT('',#510568); #155304=VERTEX_POINT('',#510572); #155305=VERTEX_POINT('',#510574); #155306=VERTEX_POINT('',#510578); #155307=VERTEX_POINT('',#510580); #155308=VERTEX_POINT('',#510589); #155309=VERTEX_POINT('',#510591); #155310=VERTEX_POINT('',#510595); #155311=VERTEX_POINT('',#510596); #155312=VERTEX_POINT('',#510598); #155313=VERTEX_POINT('',#510600); #155314=VERTEX_POINT('',#510604); #155315=VERTEX_POINT('',#510606); #155316=VERTEX_POINT('',#510615); #155317=VERTEX_POINT('',#510617); #155318=VERTEX_POINT('',#510621); #155319=VERTEX_POINT('',#510622); #155320=VERTEX_POINT('',#510624); #155321=VERTEX_POINT('',#510626); #155322=VERTEX_POINT('',#510630); #155323=VERTEX_POINT('',#510632); #155324=VERTEX_POINT('',#510636); #155325=VERTEX_POINT('',#510638); #155326=VERTEX_POINT('',#510647); #155327=VERTEX_POINT('',#510649); #155328=VERTEX_POINT('',#510653); #155329=VERTEX_POINT('',#510654); #155330=VERTEX_POINT('',#510656); #155331=VERTEX_POINT('',#510658); #155332=VERTEX_POINT('',#510662); #155333=VERTEX_POINT('',#510664); #155334=VERTEX_POINT('',#510668); #155335=VERTEX_POINT('',#510670); #155336=VERTEX_POINT('',#510674); #155337=VERTEX_POINT('',#510676); #155338=VERTEX_POINT('',#510680); #155339=VERTEX_POINT('',#510682); #155340=VERTEX_POINT('',#510686); #155341=VERTEX_POINT('',#510688); #155342=VERTEX_POINT('',#510692); #155343=VERTEX_POINT('',#510694); #155344=VERTEX_POINT('',#510698); #155345=VERTEX_POINT('',#510700); #155346=VERTEX_POINT('',#510704); #155347=VERTEX_POINT('',#510706); #155348=VERTEX_POINT('',#510710); #155349=VERTEX_POINT('',#510712); #155350=VERTEX_POINT('',#510716); #155351=VERTEX_POINT('',#510718); #155352=VERTEX_POINT('',#510722); #155353=VERTEX_POINT('',#510724); #155354=VERTEX_POINT('',#510728); #155355=VERTEX_POINT('',#510730); #155356=VERTEX_POINT('',#510734); #155357=VERTEX_POINT('',#510736); #155358=VERTEX_POINT('',#510740); #155359=VERTEX_POINT('',#510742); #155360=VERTEX_POINT('',#510746); #155361=VERTEX_POINT('',#510748); #155362=VERTEX_POINT('',#510752); #155363=VERTEX_POINT('',#510754); #155364=VERTEX_POINT('',#510758); #155365=VERTEX_POINT('',#510760); #155366=VERTEX_POINT('',#510764); #155367=VERTEX_POINT('',#510766); #155368=VERTEX_POINT('',#510770); #155369=VERTEX_POINT('',#510772); #155370=VERTEX_POINT('',#510776); #155371=VERTEX_POINT('',#510778); #155372=VERTEX_POINT('',#510782); #155373=VERTEX_POINT('',#510784); #155374=VERTEX_POINT('',#510788); #155375=VERTEX_POINT('',#510790); #155376=VERTEX_POINT('',#510794); #155377=VERTEX_POINT('',#510796); #155378=VERTEX_POINT('',#510800); #155379=VERTEX_POINT('',#510802); #155380=VERTEX_POINT('',#510806); #155381=VERTEX_POINT('',#510808); #155382=VERTEX_POINT('',#510812); #155383=VERTEX_POINT('',#510814); #155384=VERTEX_POINT('',#510818); #155385=VERTEX_POINT('',#510820); #155386=VERTEX_POINT('',#510824); #155387=VERTEX_POINT('',#510826); #155388=VERTEX_POINT('',#510830); #155389=VERTEX_POINT('',#510832); #155390=VERTEX_POINT('',#510836); #155391=VERTEX_POINT('',#510838); #155392=VERTEX_POINT('',#510842); #155393=VERTEX_POINT('',#510844); #155394=VERTEX_POINT('',#510848); #155395=VERTEX_POINT('',#510850); #155396=VERTEX_POINT('',#510854); #155397=VERTEX_POINT('',#510856); #155398=VERTEX_POINT('',#510860); #155399=VERTEX_POINT('',#510862); #155400=VERTEX_POINT('',#510866); #155401=VERTEX_POINT('',#510868); #155402=VERTEX_POINT('',#510872); #155403=VERTEX_POINT('',#510874); #155404=VERTEX_POINT('',#510878); #155405=VERTEX_POINT('',#510880); #155406=VERTEX_POINT('',#510884); #155407=VERTEX_POINT('',#510886); #155408=VERTEX_POINT('',#510890); #155409=VERTEX_POINT('',#510892); #155410=VERTEX_POINT('',#510896); #155411=VERTEX_POINT('',#510898); #155412=VERTEX_POINT('',#510902); #155413=VERTEX_POINT('',#510904); #155414=VERTEX_POINT('',#510913); #155415=VERTEX_POINT('',#510915); #155416=VERTEX_POINT('',#510919); #155417=VERTEX_POINT('',#510920); #155418=VERTEX_POINT('',#510922); #155419=VERTEX_POINT('',#510924); #155420=VERTEX_POINT('',#510928); #155421=VERTEX_POINT('',#510930); #155422=VERTEX_POINT('',#510934); #155423=VERTEX_POINT('',#510936); #155424=VERTEX_POINT('',#510940); #155425=VERTEX_POINT('',#510942); #155426=VERTEX_POINT('',#510946); #155427=VERTEX_POINT('',#510948); #155428=VERTEX_POINT('',#510952); #155429=VERTEX_POINT('',#510954); #155430=VERTEX_POINT('',#510958); #155431=VERTEX_POINT('',#510960); #155432=VERTEX_POINT('',#510964); #155433=VERTEX_POINT('',#510966); #155434=VERTEX_POINT('',#510970); #155435=VERTEX_POINT('',#510972); #155436=VERTEX_POINT('',#510976); #155437=VERTEX_POINT('',#510978); #155438=VERTEX_POINT('',#510982); #155439=VERTEX_POINT('',#510984); #155440=VERTEX_POINT('',#510988); #155441=VERTEX_POINT('',#510990); #155442=VERTEX_POINT('',#510994); #155443=VERTEX_POINT('',#510996); #155444=VERTEX_POINT('',#511000); #155445=VERTEX_POINT('',#511002); #155446=VERTEX_POINT('',#511006); #155447=VERTEX_POINT('',#511008); #155448=VERTEX_POINT('',#511012); #155449=VERTEX_POINT('',#511014); #155450=VERTEX_POINT('',#511018); #155451=VERTEX_POINT('',#511020); #155452=VERTEX_POINT('',#511024); #155453=VERTEX_POINT('',#511026); #155454=VERTEX_POINT('',#511030); #155455=VERTEX_POINT('',#511032); #155456=VERTEX_POINT('',#511036); #155457=VERTEX_POINT('',#511038); #155458=VERTEX_POINT('',#511047); #155459=VERTEX_POINT('',#511048); #155460=VERTEX_POINT('',#511050); #155461=VERTEX_POINT('',#511052); #155462=VERTEX_POINT('',#511056); #155463=VERTEX_POINT('',#511058); #155464=VERTEX_POINT('',#511062); #155465=VERTEX_POINT('',#511064); #155466=VERTEX_POINT('',#511068); #155467=VERTEX_POINT('',#511070); #155468=VERTEX_POINT('',#511074); #155469=VERTEX_POINT('',#511076); #155470=VERTEX_POINT('',#511080); #155471=VERTEX_POINT('',#511082); #155472=VERTEX_POINT('',#511086); #155473=VERTEX_POINT('',#511088); #155474=VERTEX_POINT('',#511092); #155475=VERTEX_POINT('',#511094); #155476=VERTEX_POINT('',#511098); #155477=VERTEX_POINT('',#511100); #155478=VERTEX_POINT('',#511104); #155479=VERTEX_POINT('',#511106); #155480=VERTEX_POINT('',#511110); #155481=VERTEX_POINT('',#511112); #155482=VERTEX_POINT('',#511121); #155483=VERTEX_POINT('',#511122); #155484=VERTEX_POINT('',#511124); #155485=VERTEX_POINT('',#511126); #155486=VERTEX_POINT('',#511130); #155487=VERTEX_POINT('',#511132); #155488=VERTEX_POINT('',#511136); #155489=VERTEX_POINT('',#511138); #155490=VERTEX_POINT('',#511142); #155491=VERTEX_POINT('',#511144); #155492=VERTEX_POINT('',#511148); #155493=VERTEX_POINT('',#511150); #155494=VERTEX_POINT('',#511154); #155495=VERTEX_POINT('',#511156); #155496=VERTEX_POINT('',#511160); #155497=VERTEX_POINT('',#511162); #155498=VERTEX_POINT('',#511166); #155499=VERTEX_POINT('',#511168); #155500=VERTEX_POINT('',#511172); #155501=VERTEX_POINT('',#511174); #155502=VERTEX_POINT('',#511178); #155503=VERTEX_POINT('',#511180); #155504=VERTEX_POINT('',#511184); #155505=VERTEX_POINT('',#511186); #155506=VERTEX_POINT('',#511195); #155507=VERTEX_POINT('',#511197); #155508=VERTEX_POINT('',#511201); #155509=VERTEX_POINT('',#511202); #155510=VERTEX_POINT('',#511204); #155511=VERTEX_POINT('',#511206); #155512=VERTEX_POINT('',#511210); #155513=VERTEX_POINT('',#511212); #155514=VERTEX_POINT('',#511216); #155515=VERTEX_POINT('',#511218); #155516=VERTEX_POINT('',#511222); #155517=VERTEX_POINT('',#511224); #155518=VERTEX_POINT('',#511228); #155519=VERTEX_POINT('',#511230); #155520=VERTEX_POINT('',#511234); #155521=VERTEX_POINT('',#511236); #155522=VERTEX_POINT('',#511240); #155523=VERTEX_POINT('',#511242); #155524=VERTEX_POINT('',#511246); #155525=VERTEX_POINT('',#511248); #155526=VERTEX_POINT('',#511252); #155527=VERTEX_POINT('',#511254); #155528=VERTEX_POINT('',#511258); #155529=VERTEX_POINT('',#511260); #155530=VERTEX_POINT('',#511264); #155531=VERTEX_POINT('',#511266); #155532=VERTEX_POINT('',#511270); #155533=VERTEX_POINT('',#511272); #155534=VERTEX_POINT('',#511276); #155535=VERTEX_POINT('',#511278); #155536=VERTEX_POINT('',#511282); #155537=VERTEX_POINT('',#511284); #155538=VERTEX_POINT('',#511288); #155539=VERTEX_POINT('',#511290); #155540=VERTEX_POINT('',#511294); #155541=VERTEX_POINT('',#511296); #155542=VERTEX_POINT('',#511305); #155543=VERTEX_POINT('',#511307); #155544=VERTEX_POINT('',#511311); #155545=VERTEX_POINT('',#511312); #155546=VERTEX_POINT('',#511314); #155547=VERTEX_POINT('',#511316); #155548=VERTEX_POINT('',#511320); #155549=VERTEX_POINT('',#511322); #155550=VERTEX_POINT('',#511326); #155551=VERTEX_POINT('',#511328); #155552=VERTEX_POINT('',#511332); #155553=VERTEX_POINT('',#511334); #155554=VERTEX_POINT('',#511338); #155555=VERTEX_POINT('',#511340); #155556=VERTEX_POINT('',#511344); #155557=VERTEX_POINT('',#511346); #155558=VERTEX_POINT('',#511350); #155559=VERTEX_POINT('',#511352); #155560=VERTEX_POINT('',#511356); #155561=VERTEX_POINT('',#511358); #155562=VERTEX_POINT('',#511362); #155563=VERTEX_POINT('',#511364); #155564=VERTEX_POINT('',#511368); #155565=VERTEX_POINT('',#511370); #155566=VERTEX_POINT('',#511374); #155567=VERTEX_POINT('',#511376); #155568=VERTEX_POINT('',#511380); #155569=VERTEX_POINT('',#511382); #155570=VERTEX_POINT('',#511386); #155571=VERTEX_POINT('',#511388); #155572=VERTEX_POINT('',#511392); #155573=VERTEX_POINT('',#511394); #155574=VERTEX_POINT('',#511398); #155575=VERTEX_POINT('',#511400); #155576=VERTEX_POINT('',#511404); #155577=VERTEX_POINT('',#511406); #155578=VERTEX_POINT('',#511410); #155579=VERTEX_POINT('',#511412); #155580=VERTEX_POINT('',#511416); #155581=VERTEX_POINT('',#511418); #155582=VERTEX_POINT('',#511422); #155583=VERTEX_POINT('',#511424); #155584=VERTEX_POINT('',#511428); #155585=VERTEX_POINT('',#511430); #155586=VERTEX_POINT('',#511434); #155587=VERTEX_POINT('',#511436); #155588=VERTEX_POINT('',#511445); #155589=VERTEX_POINT('',#511447); #155590=VERTEX_POINT('',#511451); #155591=VERTEX_POINT('',#511452); #155592=VERTEX_POINT('',#511454); #155593=VERTEX_POINT('',#511456); #155594=VERTEX_POINT('',#511460); #155595=VERTEX_POINT('',#511462); #155596=VERTEX_POINT('',#511466); #155597=VERTEX_POINT('',#511468); #155598=VERTEX_POINT('',#511472); #155599=VERTEX_POINT('',#511474); #155600=VERTEX_POINT('',#511478); #155601=VERTEX_POINT('',#511480); #155602=VERTEX_POINT('',#511484); #155603=VERTEX_POINT('',#511486); #155604=VERTEX_POINT('',#511490); #155605=VERTEX_POINT('',#511492); #155606=VERTEX_POINT('',#511496); #155607=VERTEX_POINT('',#511498); #155608=VERTEX_POINT('',#511502); #155609=VERTEX_POINT('',#511504); #155610=VERTEX_POINT('',#511508); #155611=VERTEX_POINT('',#511510); #155612=VERTEX_POINT('',#511514); #155613=VERTEX_POINT('',#511516); #155614=VERTEX_POINT('',#511525); #155615=VERTEX_POINT('',#511526); #155616=VERTEX_POINT('',#511528); #155617=VERTEX_POINT('',#511530); #155618=VERTEX_POINT('',#511534); #155619=VERTEX_POINT('',#511536); #155620=VERTEX_POINT('',#511540); #155621=VERTEX_POINT('',#511542); #155622=VERTEX_POINT('',#511546); #155623=VERTEX_POINT('',#511548); #155624=VERTEX_POINT('',#511552); #155625=VERTEX_POINT('',#511554); #155626=VERTEX_POINT('',#511558); #155627=VERTEX_POINT('',#511560); #155628=VERTEX_POINT('',#511564); #155629=VERTEX_POINT('',#511566); #155630=VERTEX_POINT('',#511570); #155631=VERTEX_POINT('',#511572); #155632=VERTEX_POINT('',#511576); #155633=VERTEX_POINT('',#511578); #155634=VERTEX_POINT('',#511582); #155635=VERTEX_POINT('',#511584); #155636=VERTEX_POINT('',#511588); #155637=VERTEX_POINT('',#511590); #155638=VERTEX_POINT('',#511594); #155639=VERTEX_POINT('',#511596); #155640=VERTEX_POINT('',#511600); #155641=VERTEX_POINT('',#511602); #155642=VERTEX_POINT('',#511606); #155643=VERTEX_POINT('',#511608); #155644=VERTEX_POINT('',#511612); #155645=VERTEX_POINT('',#511614); #155646=VERTEX_POINT('',#511618); #155647=VERTEX_POINT('',#511620); #155648=VERTEX_POINT('',#511624); #155649=VERTEX_POINT('',#511626); #155650=VERTEX_POINT('',#511630); #155651=VERTEX_POINT('',#511632); #155652=VERTEX_POINT('',#511636); #155653=VERTEX_POINT('',#511638); #155654=VERTEX_POINT('',#511642); #155655=VERTEX_POINT('',#511644); #155656=VERTEX_POINT('',#511648); #155657=VERTEX_POINT('',#511650); #155658=VERTEX_POINT('',#511654); #155659=VERTEX_POINT('',#511656); #155660=VERTEX_POINT('',#511660); #155661=VERTEX_POINT('',#511662); #155662=VERTEX_POINT('',#511666); #155663=VERTEX_POINT('',#511668); #155664=VERTEX_POINT('',#511672); #155665=VERTEX_POINT('',#511674); #155666=VERTEX_POINT('',#511678); #155667=VERTEX_POINT('',#511680); #155668=VERTEX_POINT('',#511684); #155669=VERTEX_POINT('',#511686); #155670=VERTEX_POINT('',#511690); #155671=VERTEX_POINT('',#511692); #155672=VERTEX_POINT('',#511696); #155673=VERTEX_POINT('',#511698); #155674=VERTEX_POINT('',#511702); #155675=VERTEX_POINT('',#511704); #155676=VERTEX_POINT('',#511708); #155677=VERTEX_POINT('',#511710); #155678=VERTEX_POINT('',#511714); #155679=VERTEX_POINT('',#511716); #155680=VERTEX_POINT('',#511720); #155681=VERTEX_POINT('',#511722); #155682=VERTEX_POINT('',#511726); #155683=VERTEX_POINT('',#511728); #155684=VERTEX_POINT('',#511732); #155685=VERTEX_POINT('',#511734); #155686=VERTEX_POINT('',#511743); #155687=VERTEX_POINT('',#511745); #155688=VERTEX_POINT('',#511749); #155689=VERTEX_POINT('',#511750); #155690=VERTEX_POINT('',#511752); #155691=VERTEX_POINT('',#511754); #155692=VERTEX_POINT('',#511758); #155693=VERTEX_POINT('',#511760); #155694=VERTEX_POINT('',#511764); #155695=VERTEX_POINT('',#511766); #155696=VERTEX_POINT('',#511770); #155697=VERTEX_POINT('',#511772); #155698=VERTEX_POINT('',#511776); #155699=VERTEX_POINT('',#511778); #155700=VERTEX_POINT('',#511782); #155701=VERTEX_POINT('',#511784); #155702=VERTEX_POINT('',#511788); #155703=VERTEX_POINT('',#511790); #155704=VERTEX_POINT('',#511794); #155705=VERTEX_POINT('',#511796); #155706=VERTEX_POINT('',#511800); #155707=VERTEX_POINT('',#511802); #155708=VERTEX_POINT('',#511811); #155709=VERTEX_POINT('',#511813); #155710=VERTEX_POINT('',#511817); #155711=VERTEX_POINT('',#511819); #155712=VERTEX_POINT('',#511823); #155713=VERTEX_POINT('',#511824); #155714=VERTEX_POINT('',#511826); #155715=VERTEX_POINT('',#511828); #155716=VERTEX_POINT('',#511832); #155717=VERTEX_POINT('',#511834); #155718=VERTEX_POINT('',#511838); #155719=VERTEX_POINT('',#511840); #155720=VERTEX_POINT('',#511844); #155721=VERTEX_POINT('',#511846); #155722=VERTEX_POINT('',#511850); #155723=VERTEX_POINT('',#511852); #155724=VERTEX_POINT('',#511856); #155725=VERTEX_POINT('',#511858); #155726=VERTEX_POINT('',#511862); #155727=VERTEX_POINT('',#511864); #155728=VERTEX_POINT('',#511868); #155729=VERTEX_POINT('',#511870); #155730=VERTEX_POINT('',#511874); #155731=VERTEX_POINT('',#511876); #155732=VERTEX_POINT('',#511880); #155733=VERTEX_POINT('',#511882); #155734=VERTEX_POINT('',#511886); #155735=VERTEX_POINT('',#511888); #155736=VERTEX_POINT('',#511892); #155737=VERTEX_POINT('',#511894); #155738=VERTEX_POINT('',#511898); #155739=VERTEX_POINT('',#511900); #155740=VERTEX_POINT('',#511904); #155741=VERTEX_POINT('',#511906); #155742=VERTEX_POINT('',#511910); #155743=VERTEX_POINT('',#511912); #155744=VERTEX_POINT('',#511916); #155745=VERTEX_POINT('',#511918); #155746=VERTEX_POINT('',#511922); #155747=VERTEX_POINT('',#511924); #155748=VERTEX_POINT('',#511928); #155749=VERTEX_POINT('',#511930); #155750=VERTEX_POINT('',#511934); #155751=VERTEX_POINT('',#511936); #155752=VERTEX_POINT('',#511940); #155753=VERTEX_POINT('',#511942); #155754=VERTEX_POINT('',#511951); #155755=VERTEX_POINT('',#511952); #155756=VERTEX_POINT('',#511954); #155757=VERTEX_POINT('',#511956); #155758=VERTEX_POINT('',#511960); #155759=VERTEX_POINT('',#511962); #155760=VERTEX_POINT('',#511966); #155761=VERTEX_POINT('',#511968); #155762=VERTEX_POINT('',#511972); #155763=VERTEX_POINT('',#511974); #155764=VERTEX_POINT('',#511978); #155765=VERTEX_POINT('',#511980); #155766=VERTEX_POINT('',#511984); #155767=VERTEX_POINT('',#511986); #155768=VERTEX_POINT('',#511990); #155769=VERTEX_POINT('',#511992); #155770=VERTEX_POINT('',#511996); #155771=VERTEX_POINT('',#511998); #155772=VERTEX_POINT('',#512002); #155773=VERTEX_POINT('',#512004); #155774=VERTEX_POINT('',#512008); #155775=VERTEX_POINT('',#512010); #155776=VERTEX_POINT('',#512014); #155777=VERTEX_POINT('',#512016); #155778=VERTEX_POINT('',#512020); #155779=VERTEX_POINT('',#512022); #155780=VERTEX_POINT('',#512026); #155781=VERTEX_POINT('',#512028); #155782=VERTEX_POINT('',#512037); #155783=VERTEX_POINT('',#512039); #155784=VERTEX_POINT('',#512043); #155785=VERTEX_POINT('',#512045); #155786=VERTEX_POINT('',#512049); #155787=VERTEX_POINT('',#512051); #155788=VERTEX_POINT('',#512055); #155789=VERTEX_POINT('',#512057); #155790=VERTEX_POINT('',#512061); #155791=VERTEX_POINT('',#512063); #155792=VERTEX_POINT('',#512067); #155793=VERTEX_POINT('',#512069); #155794=VERTEX_POINT('',#512073); #155795=VERTEX_POINT('',#512075); #155796=VERTEX_POINT('',#512079); #155797=VERTEX_POINT('',#512081); #155798=VERTEX_POINT('',#512085); #155799=VERTEX_POINT('',#512087); #155800=VERTEX_POINT('',#512091); #155801=VERTEX_POINT('',#512093); #155802=VERTEX_POINT('',#512097); #155803=VERTEX_POINT('',#512099); #155804=VERTEX_POINT('',#512103); #155805=VERTEX_POINT('',#512104); #155806=VERTEX_POINT('',#512106); #155807=VERTEX_POINT('',#512108); #155808=VERTEX_POINT('',#512112); #155809=VERTEX_POINT('',#512114); #155810=VERTEX_POINT('',#512118); #155811=VERTEX_POINT('',#512120); #155812=VERTEX_POINT('',#512124); #155813=VERTEX_POINT('',#512126); #155814=VERTEX_POINT('',#512130); #155815=VERTEX_POINT('',#512132); #155816=VERTEX_POINT('',#512136); #155817=VERTEX_POINT('',#512138); #155818=VERTEX_POINT('',#512142); #155819=VERTEX_POINT('',#512144); #155820=VERTEX_POINT('',#512148); #155821=VERTEX_POINT('',#512150); #155822=VERTEX_POINT('',#512154); #155823=VERTEX_POINT('',#512156); #155824=VERTEX_POINT('',#512160); #155825=VERTEX_POINT('',#512162); #155826=VERTEX_POINT('',#512166); #155827=VERTEX_POINT('',#512168); #155828=VERTEX_POINT('',#512172); #155829=VERTEX_POINT('',#512174); #155830=VERTEX_POINT('',#512178); #155831=VERTEX_POINT('',#512180); #155832=VERTEX_POINT('',#512184); #155833=VERTEX_POINT('',#512186); #155834=VERTEX_POINT('',#512190); #155835=VERTEX_POINT('',#512192); #155836=VERTEX_POINT('',#512196); #155837=VERTEX_POINT('',#512198); #155838=VERTEX_POINT('',#512202); #155839=VERTEX_POINT('',#512204); #155840=VERTEX_POINT('',#512208); #155841=VERTEX_POINT('',#512210); #155842=VERTEX_POINT('',#512214); #155843=VERTEX_POINT('',#512216); #155844=VERTEX_POINT('',#512220); #155845=VERTEX_POINT('',#512222); #155846=VERTEX_POINT('',#512226); #155847=VERTEX_POINT('',#512228); #155848=VERTEX_POINT('',#512232); #155849=VERTEX_POINT('',#512234); #155850=VERTEX_POINT('',#512238); #155851=VERTEX_POINT('',#512240); #155852=VERTEX_POINT('',#512244); #155853=VERTEX_POINT('',#512246); #155854=VERTEX_POINT('',#512250); #155855=VERTEX_POINT('',#512252); #155856=VERTEX_POINT('',#512256); #155857=VERTEX_POINT('',#512258); #155858=VERTEX_POINT('',#512262); #155859=VERTEX_POINT('',#512264); #155860=VERTEX_POINT('',#512268); #155861=VERTEX_POINT('',#512270); #155862=VERTEX_POINT('',#512274); #155863=VERTEX_POINT('',#512276); #155864=VERTEX_POINT('',#512280); #155865=VERTEX_POINT('',#512282); #155866=VERTEX_POINT('',#512286); #155867=VERTEX_POINT('',#512288); #155868=VERTEX_POINT('',#512292); #155869=VERTEX_POINT('',#512294); #155870=VERTEX_POINT('',#512298); #155871=VERTEX_POINT('',#512300); #155872=VERTEX_POINT('',#512304); #155873=VERTEX_POINT('',#512306); #155874=VERTEX_POINT('',#512310); #155875=VERTEX_POINT('',#512312); #155876=VERTEX_POINT('',#512316); #155877=VERTEX_POINT('',#512318); #155878=VERTEX_POINT('',#512322); #155879=VERTEX_POINT('',#512324); #155880=VERTEX_POINT('',#512328); #155881=VERTEX_POINT('',#512330); #155882=VERTEX_POINT('',#512334); #155883=VERTEX_POINT('',#512336); #155884=VERTEX_POINT('',#512340); #155885=VERTEX_POINT('',#512342); #155886=VERTEX_POINT('',#512346); #155887=VERTEX_POINT('',#512348); #155888=VERTEX_POINT('',#512352); #155889=VERTEX_POINT('',#512354); #155890=VERTEX_POINT('',#512358); #155891=VERTEX_POINT('',#512360); #155892=VERTEX_POINT('',#512364); #155893=VERTEX_POINT('',#512366); #155894=VERTEX_POINT('',#512370); #155895=VERTEX_POINT('',#512372); #155896=VERTEX_POINT('',#512376); #155897=VERTEX_POINT('',#512378); #155898=VERTEX_POINT('',#512382); #155899=VERTEX_POINT('',#512384); #155900=VERTEX_POINT('',#512388); #155901=VERTEX_POINT('',#512390); #155902=VERTEX_POINT('',#512394); #155903=VERTEX_POINT('',#512396); #155904=VERTEX_POINT('',#512400); #155905=VERTEX_POINT('',#512402); #155906=VERTEX_POINT('',#512406); #155907=VERTEX_POINT('',#512408); #155908=VERTEX_POINT('',#512412); #155909=VERTEX_POINT('',#512414); #155910=VERTEX_POINT('',#512418); #155911=VERTEX_POINT('',#512420); #155912=VERTEX_POINT('',#512424); #155913=VERTEX_POINT('',#512426); #155914=VERTEX_POINT('',#512430); #155915=VERTEX_POINT('',#512432); #155916=VERTEX_POINT('',#512436); #155917=VERTEX_POINT('',#512438); #155918=VERTEX_POINT('',#512442); #155919=VERTEX_POINT('',#512444); #155920=VERTEX_POINT('',#512448); #155921=VERTEX_POINT('',#512450); #155922=VERTEX_POINT('',#512454); #155923=VERTEX_POINT('',#512456); #155924=VERTEX_POINT('',#512460); #155925=VERTEX_POINT('',#512462); #155926=VERTEX_POINT('',#512466); #155927=VERTEX_POINT('',#512468); #155928=VERTEX_POINT('',#512472); #155929=VERTEX_POINT('',#512474); #155930=VERTEX_POINT('',#512478); #155931=VERTEX_POINT('',#512480); #155932=VERTEX_POINT('',#512484); #155933=VERTEX_POINT('',#512486); #155934=VERTEX_POINT('',#512490); #155935=VERTEX_POINT('',#512492); #155936=VERTEX_POINT('',#512496); #155937=VERTEX_POINT('',#512498); #155938=VERTEX_POINT('',#512502); #155939=VERTEX_POINT('',#512504); #155940=VERTEX_POINT('',#512508); #155941=VERTEX_POINT('',#512510); #155942=VERTEX_POINT('',#512514); #155943=VERTEX_POINT('',#512516); #155944=VERTEX_POINT('',#512520); #155945=VERTEX_POINT('',#512522); #155946=VERTEX_POINT('',#512526); #155947=VERTEX_POINT('',#512528); #155948=VERTEX_POINT('',#512532); #155949=VERTEX_POINT('',#512534); #155950=VERTEX_POINT('',#512538); #155951=VERTEX_POINT('',#512540); #155952=VERTEX_POINT('',#512544); #155953=VERTEX_POINT('',#512546); #155954=VERTEX_POINT('',#512550); #155955=VERTEX_POINT('',#512552); #155956=VERTEX_POINT('',#512556); #155957=VERTEX_POINT('',#512558); #155958=VERTEX_POINT('',#512562); #155959=VERTEX_POINT('',#512564); #155960=VERTEX_POINT('',#512568); #155961=VERTEX_POINT('',#512570); #155962=VERTEX_POINT('',#512574); #155963=VERTEX_POINT('',#512576); #155964=VERTEX_POINT('',#512580); #155965=VERTEX_POINT('',#512582); #155966=VERTEX_POINT('',#512586); #155967=VERTEX_POINT('',#512588); #155968=VERTEX_POINT('',#512592); #155969=VERTEX_POINT('',#512594); #155970=VERTEX_POINT('',#512598); #155971=VERTEX_POINT('',#512600); #155972=VERTEX_POINT('',#512604); #155973=VERTEX_POINT('',#512606); #155974=VERTEX_POINT('',#512610); #155975=VERTEX_POINT('',#512612); #155976=VERTEX_POINT('',#512616); #155977=VERTEX_POINT('',#512618); #155978=VERTEX_POINT('',#512622); #155979=VERTEX_POINT('',#512624); #155980=VERTEX_POINT('',#512628); #155981=VERTEX_POINT('',#512630); #155982=VERTEX_POINT('',#512634); #155983=VERTEX_POINT('',#512636); #155984=VERTEX_POINT('',#512640); #155985=VERTEX_POINT('',#512642); #155986=VERTEX_POINT('',#512646); #155987=VERTEX_POINT('',#512648); #155988=VERTEX_POINT('',#512652); #155989=VERTEX_POINT('',#512654); #155990=VERTEX_POINT('',#512658); #155991=VERTEX_POINT('',#512660); #155992=VERTEX_POINT('',#512664); #155993=VERTEX_POINT('',#512666); #155994=VERTEX_POINT('',#512670); #155995=VERTEX_POINT('',#512672); #155996=VERTEX_POINT('',#512676); #155997=VERTEX_POINT('',#512678); #155998=VERTEX_POINT('',#512682); #155999=VERTEX_POINT('',#512684); #156000=VERTEX_POINT('',#512688); #156001=VERTEX_POINT('',#512690); #156002=VERTEX_POINT('',#512694); #156003=VERTEX_POINT('',#512696); #156004=VERTEX_POINT('',#512700); #156005=VERTEX_POINT('',#512702); #156006=VERTEX_POINT('',#512706); #156007=VERTEX_POINT('',#512708); #156008=VERTEX_POINT('',#512712); #156009=VERTEX_POINT('',#512714); #156010=VERTEX_POINT('',#512718); #156011=VERTEX_POINT('',#512720); #156012=VERTEX_POINT('',#512724); #156013=VERTEX_POINT('',#512726); #156014=VERTEX_POINT('',#512730); #156015=VERTEX_POINT('',#512732); #156016=VERTEX_POINT('',#512736); #156017=VERTEX_POINT('',#512738); #156018=VERTEX_POINT('',#512742); #156019=VERTEX_POINT('',#512744); #156020=VERTEX_POINT('',#512748); #156021=VERTEX_POINT('',#512750); #156022=VERTEX_POINT('',#512754); #156023=VERTEX_POINT('',#512756); #156024=VERTEX_POINT('',#512760); #156025=VERTEX_POINT('',#512762); #156026=VERTEX_POINT('',#512766); #156027=VERTEX_POINT('',#512768); #156028=VERTEX_POINT('',#512772); #156029=VERTEX_POINT('',#512774); #156030=VERTEX_POINT('',#512778); #156031=VERTEX_POINT('',#512780); #156032=VERTEX_POINT('',#512784); #156033=VERTEX_POINT('',#512786); #156034=VERTEX_POINT('',#512790); #156035=VERTEX_POINT('',#512792); #156036=VERTEX_POINT('',#512796); #156037=VERTEX_POINT('',#512798); #156038=VERTEX_POINT('',#512802); #156039=VERTEX_POINT('',#512804); #156040=VERTEX_POINT('',#512808); #156041=VERTEX_POINT('',#512810); #156042=VERTEX_POINT('',#512814); #156043=VERTEX_POINT('',#512816); #156044=VERTEX_POINT('',#512820); #156045=VERTEX_POINT('',#512822); #156046=VERTEX_POINT('',#512826); #156047=VERTEX_POINT('',#512828); #156048=VERTEX_POINT('',#512832); #156049=VERTEX_POINT('',#512834); #156050=VERTEX_POINT('',#512838); #156051=VERTEX_POINT('',#512840); #156052=VERTEX_POINT('',#512844); #156053=VERTEX_POINT('',#512846); #156054=VERTEX_POINT('',#512850); #156055=VERTEX_POINT('',#512852); #156056=VERTEX_POINT('',#512856); #156057=VERTEX_POINT('',#512858); #156058=VERTEX_POINT('',#512862); #156059=VERTEX_POINT('',#512864); #156060=VERTEX_POINT('',#512868); #156061=VERTEX_POINT('',#512870); #156062=VERTEX_POINT('',#512874); #156063=VERTEX_POINT('',#512876); #156064=VERTEX_POINT('',#512880); #156065=VERTEX_POINT('',#512882); #156066=VERTEX_POINT('',#512886); #156067=VERTEX_POINT('',#512888); #156068=VERTEX_POINT('',#512892); #156069=VERTEX_POINT('',#512894); #156070=VERTEX_POINT('',#512903); #156071=VERTEX_POINT('',#512905); #156072=VERTEX_POINT('',#512909); #156073=VERTEX_POINT('',#512910); #156074=VERTEX_POINT('',#512912); #156075=VERTEX_POINT('',#512914); #156076=VERTEX_POINT('',#512918); #156077=VERTEX_POINT('',#512920); #156078=VERTEX_POINT('',#512929); #156079=VERTEX_POINT('',#512930); #156080=VERTEX_POINT('',#512932); #156081=VERTEX_POINT('',#512934); #156082=VERTEX_POINT('',#512938); #156083=VERTEX_POINT('',#512940); #156084=VERTEX_POINT('',#512944); #156085=VERTEX_POINT('',#512946); #156086=VERTEX_POINT('',#512950); #156087=VERTEX_POINT('',#512952); #156088=VERTEX_POINT('',#512956); #156089=VERTEX_POINT('',#512958); #156090=VERTEX_POINT('',#512962); #156091=VERTEX_POINT('',#512964); #156092=VERTEX_POINT('',#512968); #156093=VERTEX_POINT('',#512970); #156094=VERTEX_POINT('',#512974); #156095=VERTEX_POINT('',#512976); #156096=VERTEX_POINT('',#512980); #156097=VERTEX_POINT('',#512982); #156098=VERTEX_POINT('',#512986); #156099=VERTEX_POINT('',#512988); #156100=VERTEX_POINT('',#512992); #156101=VERTEX_POINT('',#512994); #156102=VERTEX_POINT('',#512998); #156103=VERTEX_POINT('',#513000); #156104=VERTEX_POINT('',#513004); #156105=VERTEX_POINT('',#513006); #156106=VERTEX_POINT('',#513010); #156107=VERTEX_POINT('',#513012); #156108=VERTEX_POINT('',#513016); #156109=VERTEX_POINT('',#513018); #156110=VERTEX_POINT('',#513022); #156111=VERTEX_POINT('',#513024); #156112=VERTEX_POINT('',#513028); #156113=VERTEX_POINT('',#513030); #156114=VERTEX_POINT('',#513034); #156115=VERTEX_POINT('',#513036); #156116=VERTEX_POINT('',#513040); #156117=VERTEX_POINT('',#513042); #156118=VERTEX_POINT('',#513051); #156119=VERTEX_POINT('',#513052); #156120=VERTEX_POINT('',#513054); #156121=VERTEX_POINT('',#513056); #156122=VERTEX_POINT('',#513060); #156123=VERTEX_POINT('',#513062); #156124=VERTEX_POINT('',#513066); #156125=VERTEX_POINT('',#513068); #156126=VERTEX_POINT('',#513072); #156127=VERTEX_POINT('',#513074); #156128=VERTEX_POINT('',#513078); #156129=VERTEX_POINT('',#513080); #156130=VERTEX_POINT('',#513084); #156131=VERTEX_POINT('',#513086); #156132=VERTEX_POINT('',#513090); #156133=VERTEX_POINT('',#513092); #156134=VERTEX_POINT('',#513096); #156135=VERTEX_POINT('',#513098); #156136=VERTEX_POINT('',#513102); #156137=VERTEX_POINT('',#513104); #156138=VERTEX_POINT('',#513108); #156139=VERTEX_POINT('',#513110); #156140=VERTEX_POINT('',#513114); #156141=VERTEX_POINT('',#513116); #156142=VERTEX_POINT('',#513120); #156143=VERTEX_POINT('',#513122); #156144=VERTEX_POINT('',#513126); #156145=VERTEX_POINT('',#513128); #156146=VERTEX_POINT('',#513132); #156147=VERTEX_POINT('',#513134); #156148=VERTEX_POINT('',#513138); #156149=VERTEX_POINT('',#513140); #156150=VERTEX_POINT('',#513144); #156151=VERTEX_POINT('',#513146); #156152=VERTEX_POINT('',#513150); #156153=VERTEX_POINT('',#513152); #156154=VERTEX_POINT('',#513156); #156155=VERTEX_POINT('',#513158); #156156=VERTEX_POINT('',#513162); #156157=VERTEX_POINT('',#513164); #156158=VERTEX_POINT('',#513168); #156159=VERTEX_POINT('',#513170); #156160=VERTEX_POINT('',#513179); #156161=VERTEX_POINT('',#513180); #156162=VERTEX_POINT('',#513182); #156163=VERTEX_POINT('',#513184); #156164=VERTEX_POINT('',#513188); #156165=VERTEX_POINT('',#513190); #156166=VERTEX_POINT('',#513194); #156167=VERTEX_POINT('',#513196); #156168=VERTEX_POINT('',#513200); #156169=VERTEX_POINT('',#513202); #156170=VERTEX_POINT('',#513206); #156171=VERTEX_POINT('',#513208); #156172=VERTEX_POINT('',#513212); #156173=VERTEX_POINT('',#513214); #156174=VERTEX_POINT('',#513218); #156175=VERTEX_POINT('',#513220); #156176=VERTEX_POINT('',#513224); #156177=VERTEX_POINT('',#513226); #156178=VERTEX_POINT('',#513230); #156179=VERTEX_POINT('',#513232); #156180=VERTEX_POINT('',#513236); #156181=VERTEX_POINT('',#513238); #156182=VERTEX_POINT('',#513242); #156183=VERTEX_POINT('',#513244); #156184=VERTEX_POINT('',#513248); #156185=VERTEX_POINT('',#513250); #156186=VERTEX_POINT('',#513254); #156187=VERTEX_POINT('',#513256); #156188=VERTEX_POINT('',#513260); #156189=VERTEX_POINT('',#513262); #156190=VERTEX_POINT('',#513266); #156191=VERTEX_POINT('',#513268); #156192=VERTEX_POINT('',#513272); #156193=VERTEX_POINT('',#513274); #156194=VERTEX_POINT('',#513278); #156195=VERTEX_POINT('',#513280); #156196=VERTEX_POINT('',#513284); #156197=VERTEX_POINT('',#513286); #156198=VERTEX_POINT('',#513290); #156199=VERTEX_POINT('',#513292); #156200=VERTEX_POINT('',#513301); #156201=VERTEX_POINT('',#513302); #156202=VERTEX_POINT('',#513304); #156203=VERTEX_POINT('',#513306); #156204=VERTEX_POINT('',#513310); #156205=VERTEX_POINT('',#513312); #156206=VERTEX_POINT('',#513316); #156207=VERTEX_POINT('',#513318); #156208=VERTEX_POINT('',#513322); #156209=VERTEX_POINT('',#513324); #156210=VERTEX_POINT('',#513328); #156211=VERTEX_POINT('',#513330); #156212=VERTEX_POINT('',#513334); #156213=VERTEX_POINT('',#513336); #156214=VERTEX_POINT('',#513340); #156215=VERTEX_POINT('',#513342); #156216=VERTEX_POINT('',#513346); #156217=VERTEX_POINT('',#513348); #156218=VERTEX_POINT('',#513352); #156219=VERTEX_POINT('',#513354); #156220=VERTEX_POINT('',#513358); #156221=VERTEX_POINT('',#513360); #156222=VERTEX_POINT('',#513364); #156223=VERTEX_POINT('',#513366); #156224=VERTEX_POINT('',#513370); #156225=VERTEX_POINT('',#513372); #156226=VERTEX_POINT('',#513376); #156227=VERTEX_POINT('',#513378); #156228=VERTEX_POINT('',#513382); #156229=VERTEX_POINT('',#513384); #156230=VERTEX_POINT('',#513388); #156231=VERTEX_POINT('',#513390); #156232=VERTEX_POINT('',#513394); #156233=VERTEX_POINT('',#513396); #156234=VERTEX_POINT('',#513400); #156235=VERTEX_POINT('',#513402); #156236=VERTEX_POINT('',#513406); #156237=VERTEX_POINT('',#513408); #156238=VERTEX_POINT('',#513412); #156239=VERTEX_POINT('',#513414); #156240=VERTEX_POINT('',#513418); #156241=VERTEX_POINT('',#513420); #156242=VERTEX_POINT('',#513429); #156243=VERTEX_POINT('',#513431); #156244=VERTEX_POINT('',#513435); #156245=VERTEX_POINT('',#513437); #156246=VERTEX_POINT('',#513441); #156247=VERTEX_POINT('',#513443); #156248=VERTEX_POINT('',#513447); #156249=VERTEX_POINT('',#513448); #156250=VERTEX_POINT('',#513450); #156251=VERTEX_POINT('',#513452); #156252=VERTEX_POINT('',#513456); #156253=VERTEX_POINT('',#513458); #156254=VERTEX_POINT('',#513462); #156255=VERTEX_POINT('',#513464); #156256=VERTEX_POINT('',#513468); #156257=VERTEX_POINT('',#513470); #156258=VERTEX_POINT('',#513474); #156259=VERTEX_POINT('',#513476); #156260=VERTEX_POINT('',#513480); #156261=VERTEX_POINT('',#513482); #156262=VERTEX_POINT('',#513486); #156263=VERTEX_POINT('',#513488); #156264=VERTEX_POINT('',#513492); #156265=VERTEX_POINT('',#513494); #156266=VERTEX_POINT('',#513498); #156267=VERTEX_POINT('',#513500); #156268=VERTEX_POINT('',#513504); #156269=VERTEX_POINT('',#513506); #156270=VERTEX_POINT('',#513510); #156271=VERTEX_POINT('',#513512); #156272=VERTEX_POINT('',#513516); #156273=VERTEX_POINT('',#513518); #156274=VERTEX_POINT('',#513522); #156275=VERTEX_POINT('',#513524); #156276=VERTEX_POINT('',#513528); #156277=VERTEX_POINT('',#513530); #156278=VERTEX_POINT('',#513534); #156279=VERTEX_POINT('',#513536); #156280=VERTEX_POINT('',#513540); #156281=VERTEX_POINT('',#513542); #156282=VERTEX_POINT('',#513546); #156283=VERTEX_POINT('',#513548); #156284=VERTEX_POINT('',#513552); #156285=VERTEX_POINT('',#513554); #156286=VERTEX_POINT('',#513558); #156287=VERTEX_POINT('',#513560); #156288=VERTEX_POINT('',#513564); #156289=VERTEX_POINT('',#513566); #156290=VERTEX_POINT('',#513570); #156291=VERTEX_POINT('',#513572); #156292=VERTEX_POINT('',#513576); #156293=VERTEX_POINT('',#513578); #156294=VERTEX_POINT('',#513582); #156295=VERTEX_POINT('',#513584); #156296=VERTEX_POINT('',#513588); #156297=VERTEX_POINT('',#513590); #156298=VERTEX_POINT('',#513594); #156299=VERTEX_POINT('',#513596); #156300=VERTEX_POINT('',#513600); #156301=VERTEX_POINT('',#513602); #156302=VERTEX_POINT('',#513606); #156303=VERTEX_POINT('',#513608); #156304=VERTEX_POINT('',#513612); #156305=VERTEX_POINT('',#513614); #156306=VERTEX_POINT('',#513618); #156307=VERTEX_POINT('',#513620); #156308=VERTEX_POINT('',#513624); #156309=VERTEX_POINT('',#513626); #156310=VERTEX_POINT('',#513630); #156311=VERTEX_POINT('',#513632); #156312=VERTEX_POINT('',#513636); #156313=VERTEX_POINT('',#513638); #156314=VERTEX_POINT('',#513642); #156315=VERTEX_POINT('',#513644); #156316=VERTEX_POINT('',#513648); #156317=VERTEX_POINT('',#513650); #156318=VERTEX_POINT('',#513654); #156319=VERTEX_POINT('',#513656); #156320=VERTEX_POINT('',#513660); #156321=VERTEX_POINT('',#513662); #156322=VERTEX_POINT('',#513666); #156323=VERTEX_POINT('',#513668); #156324=VERTEX_POINT('',#513672); #156325=VERTEX_POINT('',#513674); #156326=VERTEX_POINT('',#513678); #156327=VERTEX_POINT('',#513680); #156328=VERTEX_POINT('',#513684); #156329=VERTEX_POINT('',#513686); #156330=VERTEX_POINT('',#513690); #156331=VERTEX_POINT('',#513692); #156332=VERTEX_POINT('',#513696); #156333=VERTEX_POINT('',#513698); #156334=VERTEX_POINT('',#513702); #156335=VERTEX_POINT('',#513704); #156336=VERTEX_POINT('',#513708); #156337=VERTEX_POINT('',#513710); #156338=VERTEX_POINT('',#513714); #156339=VERTEX_POINT('',#513716); #156340=VERTEX_POINT('',#513720); #156341=VERTEX_POINT('',#513722); #156342=VERTEX_POINT('',#513726); #156343=VERTEX_POINT('',#513728); #156344=VERTEX_POINT('',#513732); #156345=VERTEX_POINT('',#513734); #156346=VERTEX_POINT('',#513738); #156347=VERTEX_POINT('',#513740); #156348=VERTEX_POINT('',#513744); #156349=VERTEX_POINT('',#513746); #156350=VERTEX_POINT('',#513750); #156351=VERTEX_POINT('',#513752); #156352=VERTEX_POINT('',#513756); #156353=VERTEX_POINT('',#513758); #156354=VERTEX_POINT('',#513762); #156355=VERTEX_POINT('',#513764); #156356=VERTEX_POINT('',#513768); #156357=VERTEX_POINT('',#513770); #156358=VERTEX_POINT('',#513774); #156359=VERTEX_POINT('',#513776); #156360=VERTEX_POINT('',#513780); #156361=VERTEX_POINT('',#513782); #156362=VERTEX_POINT('',#513786); #156363=VERTEX_POINT('',#513788); #156364=VERTEX_POINT('',#513792); #156365=VERTEX_POINT('',#513794); #156366=VERTEX_POINT('',#513798); #156367=VERTEX_POINT('',#513800); #156368=VERTEX_POINT('',#513804); #156369=VERTEX_POINT('',#513806); #156370=VERTEX_POINT('',#513810); #156371=VERTEX_POINT('',#513812); #156372=VERTEX_POINT('',#513816); #156373=VERTEX_POINT('',#513818); #156374=VERTEX_POINT('',#513822); #156375=VERTEX_POINT('',#513824); #156376=VERTEX_POINT('',#513828); #156377=VERTEX_POINT('',#513830); #156378=VERTEX_POINT('',#513834); #156379=VERTEX_POINT('',#513836); #156380=VERTEX_POINT('',#513840); #156381=VERTEX_POINT('',#513842); #156382=VERTEX_POINT('',#513846); #156383=VERTEX_POINT('',#513848); #156384=VERTEX_POINT('',#513852); #156385=VERTEX_POINT('',#513854); #156386=VERTEX_POINT('',#513858); #156387=VERTEX_POINT('',#513860); #156388=VERTEX_POINT('',#513864); #156389=VERTEX_POINT('',#513866); #156390=VERTEX_POINT('',#513870); #156391=VERTEX_POINT('',#513872); #156392=VERTEX_POINT('',#513876); #156393=VERTEX_POINT('',#513878); #156394=VERTEX_POINT('',#513882); #156395=VERTEX_POINT('',#513884); #156396=VERTEX_POINT('',#513888); #156397=VERTEX_POINT('',#513890); #156398=VERTEX_POINT('',#513894); #156399=VERTEX_POINT('',#513896); #156400=VERTEX_POINT('',#513900); #156401=VERTEX_POINT('',#513902); #156402=VERTEX_POINT('',#513906); #156403=VERTEX_POINT('',#513908); #156404=VERTEX_POINT('',#513912); #156405=VERTEX_POINT('',#513914); #156406=VERTEX_POINT('',#513918); #156407=VERTEX_POINT('',#513920); #156408=VERTEX_POINT('',#513924); #156409=VERTEX_POINT('',#513926); #156410=VERTEX_POINT('',#513930); #156411=VERTEX_POINT('',#513932); #156412=VERTEX_POINT('',#513936); #156413=VERTEX_POINT('',#513938); #156414=VERTEX_POINT('',#513942); #156415=VERTEX_POINT('',#513944); #156416=VERTEX_POINT('',#513948); #156417=VERTEX_POINT('',#513950); #156418=VERTEX_POINT('',#513954); #156419=VERTEX_POINT('',#513956); #156420=VERTEX_POINT('',#513960); #156421=VERTEX_POINT('',#513962); #156422=VERTEX_POINT('',#513966); #156423=VERTEX_POINT('',#513968); #156424=VERTEX_POINT('',#513972); #156425=VERTEX_POINT('',#513974); #156426=VERTEX_POINT('',#513978); #156427=VERTEX_POINT('',#513980); #156428=VERTEX_POINT('',#513989); #156429=VERTEX_POINT('',#513990); #156430=VERTEX_POINT('',#513992); #156431=VERTEX_POINT('',#513994); #156432=VERTEX_POINT('',#513998); #156433=VERTEX_POINT('',#514000); #156434=VERTEX_POINT('',#514004); #156435=VERTEX_POINT('',#514006); #156436=VERTEX_POINT('',#514010); #156437=VERTEX_POINT('',#514012); #156438=VERTEX_POINT('',#514016); #156439=VERTEX_POINT('',#514018); #156440=VERTEX_POINT('',#514022); #156441=VERTEX_POINT('',#514024); #156442=VERTEX_POINT('',#514028); #156443=VERTEX_POINT('',#514030); #156444=VERTEX_POINT('',#514034); #156445=VERTEX_POINT('',#514036); #156446=VERTEX_POINT('',#514040); #156447=VERTEX_POINT('',#514042); #156448=VERTEX_POINT('',#514046); #156449=VERTEX_POINT('',#514048); #156450=VERTEX_POINT('',#514052); #156451=VERTEX_POINT('',#514054); #156452=VERTEX_POINT('',#514058); #156453=VERTEX_POINT('',#514060); #156454=VERTEX_POINT('',#514064); #156455=VERTEX_POINT('',#514066); #156456=VERTEX_POINT('',#514070); #156457=VERTEX_POINT('',#514072); #156458=VERTEX_POINT('',#514076); #156459=VERTEX_POINT('',#514078); #156460=VERTEX_POINT('',#514082); #156461=VERTEX_POINT('',#514084); #156462=VERTEX_POINT('',#514088); #156463=VERTEX_POINT('',#514090); #156464=VERTEX_POINT('',#514094); #156465=VERTEX_POINT('',#514096); #156466=VERTEX_POINT('',#514100); #156467=VERTEX_POINT('',#514102); #156468=VERTEX_POINT('',#514106); #156469=VERTEX_POINT('',#514108); #156470=VERTEX_POINT('',#514112); #156471=VERTEX_POINT('',#514114); #156472=VERTEX_POINT('',#514118); #156473=VERTEX_POINT('',#514120); #156474=VERTEX_POINT('',#514124); #156475=VERTEX_POINT('',#514126); #156476=VERTEX_POINT('',#514130); #156477=VERTEX_POINT('',#514132); #156478=VERTEX_POINT('',#514136); #156479=VERTEX_POINT('',#514138); #156480=VERTEX_POINT('',#514142); #156481=VERTEX_POINT('',#514144); #156482=VERTEX_POINT('',#514148); #156483=VERTEX_POINT('',#514150); #156484=VERTEX_POINT('',#514154); #156485=VERTEX_POINT('',#514156); #156486=VERTEX_POINT('',#514160); #156487=VERTEX_POINT('',#514162); #156488=VERTEX_POINT('',#514166); #156489=VERTEX_POINT('',#514168); #156490=VERTEX_POINT('',#514172); #156491=VERTEX_POINT('',#514174); #156492=VERTEX_POINT('',#514178); #156493=VERTEX_POINT('',#514180); #156494=VERTEX_POINT('',#514184); #156495=VERTEX_POINT('',#514186); #156496=VERTEX_POINT('',#514195); #156497=VERTEX_POINT('',#514196); #156498=VERTEX_POINT('',#514198); #156499=VERTEX_POINT('',#514200); #156500=VERTEX_POINT('',#514204); #156501=VERTEX_POINT('',#514206); #156502=VERTEX_POINT('',#514210); #156503=VERTEX_POINT('',#514212); #156504=VERTEX_POINT('',#514216); #156505=VERTEX_POINT('',#514218); #156506=VERTEX_POINT('',#514222); #156507=VERTEX_POINT('',#514224); #156508=VERTEX_POINT('',#514228); #156509=VERTEX_POINT('',#514230); #156510=VERTEX_POINT('',#514234); #156511=VERTEX_POINT('',#514236); #156512=VERTEX_POINT('',#514240); #156513=VERTEX_POINT('',#514242); #156514=VERTEX_POINT('',#514246); #156515=VERTEX_POINT('',#514248); #156516=VERTEX_POINT('',#514252); #156517=VERTEX_POINT('',#514254); #156518=VERTEX_POINT('',#514258); #156519=VERTEX_POINT('',#514260); #156520=VERTEX_POINT('',#514264); #156521=VERTEX_POINT('',#514266); #156522=VERTEX_POINT('',#514270); #156523=VERTEX_POINT('',#514272); #156524=VERTEX_POINT('',#514276); #156525=VERTEX_POINT('',#514278); #156526=VERTEX_POINT('',#514282); #156527=VERTEX_POINT('',#514284); #156528=VERTEX_POINT('',#514288); #156529=VERTEX_POINT('',#514290); #156530=VERTEX_POINT('',#514294); #156531=VERTEX_POINT('',#514296); #156532=VERTEX_POINT('',#514300); #156533=VERTEX_POINT('',#514302); #156534=VERTEX_POINT('',#514306); #156535=VERTEX_POINT('',#514308); #156536=VERTEX_POINT('',#514317); #156537=VERTEX_POINT('',#514318); #156538=VERTEX_POINT('',#514320); #156539=VERTEX_POINT('',#514322); #156540=VERTEX_POINT('',#514326); #156541=VERTEX_POINT('',#514328); #156542=VERTEX_POINT('',#514332); #156543=VERTEX_POINT('',#514334); #156544=VERTEX_POINT('',#514338); #156545=VERTEX_POINT('',#514340); #156546=VERTEX_POINT('',#514344); #156547=VERTEX_POINT('',#514346); #156548=VERTEX_POINT('',#514350); #156549=VERTEX_POINT('',#514352); #156550=VERTEX_POINT('',#514356); #156551=VERTEX_POINT('',#514358); #156552=VERTEX_POINT('',#514362); #156553=VERTEX_POINT('',#514364); #156554=VERTEX_POINT('',#514368); #156555=VERTEX_POINT('',#514370); #156556=VERTEX_POINT('',#514374); #156557=VERTEX_POINT('',#514376); #156558=VERTEX_POINT('',#514380); #156559=VERTEX_POINT('',#514382); #156560=VERTEX_POINT('',#514386); #156561=VERTEX_POINT('',#514388); #156562=VERTEX_POINT('',#514392); #156563=VERTEX_POINT('',#514394); #156564=VERTEX_POINT('',#514398); #156565=VERTEX_POINT('',#514400); #156566=VERTEX_POINT('',#514404); #156567=VERTEX_POINT('',#514406); #156568=VERTEX_POINT('',#514410); #156569=VERTEX_POINT('',#514412); #156570=VERTEX_POINT('',#514416); #156571=VERTEX_POINT('',#514418); #156572=VERTEX_POINT('',#514422); #156573=VERTEX_POINT('',#514424); #156574=VERTEX_POINT('',#514428); #156575=VERTEX_POINT('',#514430); #156576=VERTEX_POINT('',#514434); #156577=VERTEX_POINT('',#514436); #156578=VERTEX_POINT('',#514445); #156579=VERTEX_POINT('',#514447); #156580=VERTEX_POINT('',#514451); #156581=VERTEX_POINT('',#514452); #156582=VERTEX_POINT('',#514454); #156583=VERTEX_POINT('',#514456); #156584=VERTEX_POINT('',#514460); #156585=VERTEX_POINT('',#514462); #156586=VERTEX_POINT('',#514466); #156587=VERTEX_POINT('',#514468); #156588=VERTEX_POINT('',#514475); #156589=VERTEX_POINT('',#514477); #156590=VERTEX_POINT('',#514481); #156591=VERTEX_POINT('',#514483); #156592=VERTEX_POINT('',#514487); #156593=VERTEX_POINT('',#514489); #156594=VERTEX_POINT('',#514493); #156595=VERTEX_POINT('',#514495); #156596=VERTEX_POINT('',#514499); #156597=VERTEX_POINT('',#514501); #156598=VERTEX_POINT('',#514505); #156599=VERTEX_POINT('',#514507); #156600=VERTEX_POINT('',#514511); #156601=VERTEX_POINT('',#514513); #156602=VERTEX_POINT('',#514517); #156603=VERTEX_POINT('',#514519); #156604=VERTEX_POINT('',#514523); #156605=VERTEX_POINT('',#514525); #156606=VERTEX_POINT('',#514529); #156607=VERTEX_POINT('',#514530); #156608=VERTEX_POINT('',#514532); #156609=VERTEX_POINT('',#514534); #156610=VERTEX_POINT('',#514538); #156611=VERTEX_POINT('',#514540); #156612=VERTEX_POINT('',#514544); #156613=VERTEX_POINT('',#514546); #156614=VERTEX_POINT('',#514550); #156615=VERTEX_POINT('',#514552); #156616=VERTEX_POINT('',#514556); #156617=VERTEX_POINT('',#514558); #156618=VERTEX_POINT('',#514562); #156619=VERTEX_POINT('',#514564); #156620=VERTEX_POINT('',#514568); #156621=VERTEX_POINT('',#514570); #156622=VERTEX_POINT('',#514574); #156623=VERTEX_POINT('',#514576); #156624=VERTEX_POINT('',#514580); #156625=VERTEX_POINT('',#514582); #156626=VERTEX_POINT('',#514586); #156627=VERTEX_POINT('',#514588); #156628=VERTEX_POINT('',#514592); #156629=VERTEX_POINT('',#514594); #156630=VERTEX_POINT('',#514598); #156631=VERTEX_POINT('',#514600); #156632=VERTEX_POINT('',#514604); #156633=VERTEX_POINT('',#514606); #156634=VERTEX_POINT('',#514610); #156635=VERTEX_POINT('',#514612); #156636=VERTEX_POINT('',#514616); #156637=VERTEX_POINT('',#514618); #156638=VERTEX_POINT('',#514625); #156639=VERTEX_POINT('',#514626); #156640=VERTEX_POINT('',#514628); #156641=VERTEX_POINT('',#514630); #156642=VERTEX_POINT('',#514634); #156643=VERTEX_POINT('',#514636); #156644=VERTEX_POINT('',#514640); #156645=VERTEX_POINT('',#514642); #156646=VERTEX_POINT('',#514646); #156647=VERTEX_POINT('',#514648); #156648=VERTEX_POINT('',#514652); #156649=VERTEX_POINT('',#514654); #156650=VERTEX_POINT('',#514658); #156651=VERTEX_POINT('',#514660); #156652=VERTEX_POINT('',#514664); #156653=VERTEX_POINT('',#514666); #156654=VERTEX_POINT('',#514670); #156655=VERTEX_POINT('',#514672); #156656=VERTEX_POINT('',#514676); #156657=VERTEX_POINT('',#514678); #156658=VERTEX_POINT('',#514682); #156659=VERTEX_POINT('',#514684); #156660=VERTEX_POINT('',#514688); #156661=VERTEX_POINT('',#514690); #156662=VERTEX_POINT('',#514694); #156663=VERTEX_POINT('',#514696); #156664=VERTEX_POINT('',#514700); #156665=VERTEX_POINT('',#514702); #156666=VERTEX_POINT('',#514706); #156667=VERTEX_POINT('',#514708); #156668=VERTEX_POINT('',#514712); #156669=VERTEX_POINT('',#514714); #156670=VERTEX_POINT('',#514718); #156671=VERTEX_POINT('',#514720); #156672=VERTEX_POINT('',#514724); #156673=VERTEX_POINT('',#514726); #156674=VERTEX_POINT('',#514730); #156675=VERTEX_POINT('',#514732); #156676=VERTEX_POINT('',#514736); #156677=VERTEX_POINT('',#514738); #156678=VERTEX_POINT('',#514742); #156679=VERTEX_POINT('',#514744); #156680=VERTEX_POINT('',#514748); #156681=VERTEX_POINT('',#514750); #156682=VERTEX_POINT('',#514754); #156683=VERTEX_POINT('',#514756); #156684=VERTEX_POINT('',#514760); #156685=VERTEX_POINT('',#514762); #156686=VERTEX_POINT('',#514766); #156687=VERTEX_POINT('',#514768); #156688=VERTEX_POINT('',#514772); #156689=VERTEX_POINT('',#514774); #156690=VERTEX_POINT('',#514778); #156691=VERTEX_POINT('',#514780); #156692=VERTEX_POINT('',#514784); #156693=VERTEX_POINT('',#514786); #156694=VERTEX_POINT('',#514790); #156695=VERTEX_POINT('',#514792); #156696=VERTEX_POINT('',#514796); #156697=VERTEX_POINT('',#514798); #156698=VERTEX_POINT('',#514802); #156699=VERTEX_POINT('',#514804); #156700=VERTEX_POINT('',#514808); #156701=VERTEX_POINT('',#514810); #156702=VERTEX_POINT('',#514814); #156703=VERTEX_POINT('',#514816); #156704=VERTEX_POINT('',#514820); #156705=VERTEX_POINT('',#514822); #156706=VERTEX_POINT('',#514826); #156707=VERTEX_POINT('',#514828); #156708=VERTEX_POINT('',#514832); #156709=VERTEX_POINT('',#514834); #156710=VERTEX_POINT('',#514838); #156711=VERTEX_POINT('',#514840); #156712=VERTEX_POINT('',#514844); #156713=VERTEX_POINT('',#514846); #156714=VERTEX_POINT('',#514850); #156715=VERTEX_POINT('',#514852); #156716=VERTEX_POINT('',#514856); #156717=VERTEX_POINT('',#514858); #156718=VERTEX_POINT('',#514862); #156719=VERTEX_POINT('',#514864); #156720=VERTEX_POINT('',#514868); #156721=VERTEX_POINT('',#514870); #156722=VERTEX_POINT('',#514874); #156723=VERTEX_POINT('',#514876); #156724=VERTEX_POINT('',#514880); #156725=VERTEX_POINT('',#514882); #156726=VERTEX_POINT('',#514886); #156727=VERTEX_POINT('',#514888); #156728=VERTEX_POINT('',#514892); #156729=VERTEX_POINT('',#514894); #156730=VERTEX_POINT('',#514898); #156731=VERTEX_POINT('',#514900); #156732=VERTEX_POINT('',#514904); #156733=VERTEX_POINT('',#514906); #156734=VERTEX_POINT('',#514910); #156735=VERTEX_POINT('',#514912); #156736=VERTEX_POINT('',#514916); #156737=VERTEX_POINT('',#514918); #156738=VERTEX_POINT('',#514922); #156739=VERTEX_POINT('',#514924); #156740=VERTEX_POINT('',#514928); #156741=VERTEX_POINT('',#514930); #156742=VERTEX_POINT('',#514934); #156743=VERTEX_POINT('',#514936); #156744=VERTEX_POINT('',#514940); #156745=VERTEX_POINT('',#514942); #156746=VERTEX_POINT('',#514946); #156747=VERTEX_POINT('',#514948); #156748=VERTEX_POINT('',#514952); #156749=VERTEX_POINT('',#514954); #156750=VERTEX_POINT('',#514958); #156751=VERTEX_POINT('',#514960); #156752=VERTEX_POINT('',#514964); #156753=VERTEX_POINT('',#514966); #156754=VERTEX_POINT('',#514970); #156755=VERTEX_POINT('',#514972); #156756=VERTEX_POINT('',#514976); #156757=VERTEX_POINT('',#514978); #156758=VERTEX_POINT('',#514982); #156759=VERTEX_POINT('',#514984); #156760=VERTEX_POINT('',#514988); #156761=VERTEX_POINT('',#514990); #156762=VERTEX_POINT('',#514994); #156763=VERTEX_POINT('',#514996); #156764=VERTEX_POINT('',#515000); #156765=VERTEX_POINT('',#515002); #156766=VERTEX_POINT('',#515006); #156767=VERTEX_POINT('',#515008); #156768=VERTEX_POINT('',#515012); #156769=VERTEX_POINT('',#515014); #156770=VERTEX_POINT('',#515018); #156771=VERTEX_POINT('',#515020); #156772=VERTEX_POINT('',#515024); #156773=VERTEX_POINT('',#515026); #156774=VERTEX_POINT('',#515030); #156775=VERTEX_POINT('',#515032); #156776=VERTEX_POINT('',#515036); #156777=VERTEX_POINT('',#515038); #156778=VERTEX_POINT('',#515042); #156779=VERTEX_POINT('',#515044); #156780=VERTEX_POINT('',#515048); #156781=VERTEX_POINT('',#515050); #156782=VERTEX_POINT('',#515054); #156783=VERTEX_POINT('',#515056); #156784=VERTEX_POINT('',#515060); #156785=VERTEX_POINT('',#515062); #156786=VERTEX_POINT('',#515066); #156787=VERTEX_POINT('',#515068); #156788=VERTEX_POINT('',#515072); #156789=VERTEX_POINT('',#515074); #156790=VERTEX_POINT('',#515078); #156791=VERTEX_POINT('',#515080); #156792=VERTEX_POINT('',#515084); #156793=VERTEX_POINT('',#515086); #156794=VERTEX_POINT('',#515090); #156795=VERTEX_POINT('',#515092); #156796=VERTEX_POINT('',#515096); #156797=VERTEX_POINT('',#515098); #156798=VERTEX_POINT('',#515102); #156799=VERTEX_POINT('',#515104); #156800=VERTEX_POINT('',#515108); #156801=VERTEX_POINT('',#515110); #156802=VERTEX_POINT('',#515114); #156803=VERTEX_POINT('',#515116); #156804=VERTEX_POINT('',#515120); #156805=VERTEX_POINT('',#515122); #156806=VERTEX_POINT('',#515126); #156807=VERTEX_POINT('',#515128); #156808=VERTEX_POINT('',#515132); #156809=VERTEX_POINT('',#515134); #156810=VERTEX_POINT('',#515138); #156811=VERTEX_POINT('',#515140); #156812=VERTEX_POINT('',#515144); #156813=VERTEX_POINT('',#515146); #156814=VERTEX_POINT('',#515150); #156815=VERTEX_POINT('',#515152); #156816=VERTEX_POINT('',#515156); #156817=VERTEX_POINT('',#515158); #156818=VERTEX_POINT('',#515162); #156819=VERTEX_POINT('',#515164); #156820=VERTEX_POINT('',#515168); #156821=VERTEX_POINT('',#515170); #156822=VERTEX_POINT('',#515174); #156823=VERTEX_POINT('',#515176); #156824=VERTEX_POINT('',#515180); #156825=VERTEX_POINT('',#515182); #156826=VERTEX_POINT('',#515186); #156827=VERTEX_POINT('',#515188); #156828=VERTEX_POINT('',#515192); #156829=VERTEX_POINT('',#515194); #156830=VERTEX_POINT('',#515198); #156831=VERTEX_POINT('',#515200); #156832=VERTEX_POINT('',#515204); #156833=VERTEX_POINT('',#515206); #156834=VERTEX_POINT('',#515210); #156835=VERTEX_POINT('',#515212); #156836=VERTEX_POINT('',#515216); #156837=VERTEX_POINT('',#515218); #156838=VERTEX_POINT('',#515222); #156839=VERTEX_POINT('',#515224); #156840=VERTEX_POINT('',#515228); #156841=VERTEX_POINT('',#515230); #156842=VERTEX_POINT('',#515234); #156843=VERTEX_POINT('',#515236); #156844=VERTEX_POINT('',#515240); #156845=VERTEX_POINT('',#515242); #156846=VERTEX_POINT('',#515246); #156847=VERTEX_POINT('',#515248); #156848=VERTEX_POINT('',#515252); #156849=VERTEX_POINT('',#515254); #156850=VERTEX_POINT('',#515258); #156851=VERTEX_POINT('',#515260); #156852=VERTEX_POINT('',#515264); #156853=VERTEX_POINT('',#515266); #156854=VERTEX_POINT('',#515270); #156855=VERTEX_POINT('',#515272); #156856=VERTEX_POINT('',#515276); #156857=VERTEX_POINT('',#515278); #156858=VERTEX_POINT('',#515282); #156859=VERTEX_POINT('',#515284); #156860=VERTEX_POINT('',#515288); #156861=VERTEX_POINT('',#515290); #156862=VERTEX_POINT('',#515294); #156863=VERTEX_POINT('',#515296); #156864=VERTEX_POINT('',#515300); #156865=VERTEX_POINT('',#515302); #156866=VERTEX_POINT('',#515306); #156867=VERTEX_POINT('',#515308); #156868=VERTEX_POINT('',#515312); #156869=VERTEX_POINT('',#515314); #156870=VERTEX_POINT('',#515318); #156871=VERTEX_POINT('',#515320); #156872=VERTEX_POINT('',#515324); #156873=VERTEX_POINT('',#515326); #156874=VERTEX_POINT('',#515330); #156875=VERTEX_POINT('',#515332); #156876=VERTEX_POINT('',#515336); #156877=VERTEX_POINT('',#515338); #156878=VERTEX_POINT('',#515342); #156879=VERTEX_POINT('',#515344); #156880=VERTEX_POINT('',#515348); #156881=VERTEX_POINT('',#515350); #156882=VERTEX_POINT('',#515354); #156883=VERTEX_POINT('',#515356); #156884=VERTEX_POINT('',#515360); #156885=VERTEX_POINT('',#515362); #156886=VERTEX_POINT('',#515366); #156887=VERTEX_POINT('',#515368); #156888=VERTEX_POINT('',#515372); #156889=VERTEX_POINT('',#515374); #156890=VERTEX_POINT('',#515378); #156891=VERTEX_POINT('',#515380); #156892=VERTEX_POINT('',#515384); #156893=VERTEX_POINT('',#515386); #156894=VERTEX_POINT('',#515390); #156895=VERTEX_POINT('',#515392); #156896=VERTEX_POINT('',#515396); #156897=VERTEX_POINT('',#515398); #156898=VERTEX_POINT('',#515402); #156899=VERTEX_POINT('',#515404); #156900=VERTEX_POINT('',#515408); #156901=VERTEX_POINT('',#515410); #156902=VERTEX_POINT('',#515414); #156903=VERTEX_POINT('',#515416); #156904=VERTEX_POINT('',#515420); #156905=VERTEX_POINT('',#515422); #156906=VERTEX_POINT('',#515426); #156907=VERTEX_POINT('',#515428); #156908=VERTEX_POINT('',#515432); #156909=VERTEX_POINT('',#515434); #156910=VERTEX_POINT('',#515438); #156911=VERTEX_POINT('',#515440); #156912=VERTEX_POINT('',#515444); #156913=VERTEX_POINT('',#515446); #156914=VERTEX_POINT('',#515450); #156915=VERTEX_POINT('',#515452); #156916=VERTEX_POINT('',#515456); #156917=VERTEX_POINT('',#515458); #156918=VERTEX_POINT('',#515462); #156919=VERTEX_POINT('',#515464); #156920=VERTEX_POINT('',#515468); #156921=VERTEX_POINT('',#515470); #156922=VERTEX_POINT('',#515474); #156923=VERTEX_POINT('',#515476); #156924=VERTEX_POINT('',#515480); #156925=VERTEX_POINT('',#515482); #156926=VERTEX_POINT('',#515486); #156927=VERTEX_POINT('',#515488); #156928=VERTEX_POINT('',#515492); #156929=VERTEX_POINT('',#515494); #156930=VERTEX_POINT('',#515498); #156931=VERTEX_POINT('',#515500); #156932=VERTEX_POINT('',#515504); #156933=VERTEX_POINT('',#515506); #156934=VERTEX_POINT('',#515510); #156935=VERTEX_POINT('',#515512); #156936=VERTEX_POINT('',#515516); #156937=VERTEX_POINT('',#515518); #156938=VERTEX_POINT('',#515522); #156939=VERTEX_POINT('',#515524); #156940=VERTEX_POINT('',#515528); #156941=VERTEX_POINT('',#515530); #156942=VERTEX_POINT('',#515534); #156943=VERTEX_POINT('',#515536); #156944=VERTEX_POINT('',#515540); #156945=VERTEX_POINT('',#515542); #156946=VERTEX_POINT('',#515546); #156947=VERTEX_POINT('',#515548); #156948=VERTEX_POINT('',#515552); #156949=VERTEX_POINT('',#515554); #156950=VERTEX_POINT('',#515558); #156951=VERTEX_POINT('',#515560); #156952=VERTEX_POINT('',#515564); #156953=VERTEX_POINT('',#515566); #156954=VERTEX_POINT('',#515570); #156955=VERTEX_POINT('',#515572); #156956=VERTEX_POINT('',#515576); #156957=VERTEX_POINT('',#515578); #156958=VERTEX_POINT('',#515582); #156959=VERTEX_POINT('',#515584); #156960=VERTEX_POINT('',#515588); #156961=VERTEX_POINT('',#515590); #156962=VERTEX_POINT('',#515594); #156963=VERTEX_POINT('',#515596); #156964=VERTEX_POINT('',#515600); #156965=VERTEX_POINT('',#515602); #156966=VERTEX_POINT('',#515606); #156967=VERTEX_POINT('',#515608); #156968=VERTEX_POINT('',#515612); #156969=VERTEX_POINT('',#515614); #156970=VERTEX_POINT('',#515618); #156971=VERTEX_POINT('',#515620); #156972=VERTEX_POINT('',#515624); #156973=VERTEX_POINT('',#515626); #156974=VERTEX_POINT('',#515630); #156975=VERTEX_POINT('',#515632); #156976=VERTEX_POINT('',#515636); #156977=VERTEX_POINT('',#515638); #156978=VERTEX_POINT('',#515642); #156979=VERTEX_POINT('',#515644); #156980=VERTEX_POINT('',#515648); #156981=VERTEX_POINT('',#515650); #156982=VERTEX_POINT('',#515654); #156983=VERTEX_POINT('',#515656); #156984=VERTEX_POINT('',#515660); #156985=VERTEX_POINT('',#515662); #156986=VERTEX_POINT('',#515666); #156987=VERTEX_POINT('',#515668); #156988=VERTEX_POINT('',#515672); #156989=VERTEX_POINT('',#515674); #156990=VERTEX_POINT('',#515678); #156991=VERTEX_POINT('',#515680); #156992=VERTEX_POINT('',#515684); #156993=VERTEX_POINT('',#515686); #156994=VERTEX_POINT('',#515690); #156995=VERTEX_POINT('',#515692); #156996=VERTEX_POINT('',#515696); #156997=VERTEX_POINT('',#515698); #156998=VERTEX_POINT('',#515702); #156999=VERTEX_POINT('',#515704); #157000=VERTEX_POINT('',#515708); #157001=VERTEX_POINT('',#515710); #157002=VERTEX_POINT('',#515714); #157003=VERTEX_POINT('',#515716); #157004=VERTEX_POINT('',#515720); #157005=VERTEX_POINT('',#515722); #157006=VERTEX_POINT('',#515726); #157007=VERTEX_POINT('',#515728); #157008=VERTEX_POINT('',#515732); #157009=VERTEX_POINT('',#515734); #157010=VERTEX_POINT('',#515738); #157011=VERTEX_POINT('',#515740); #157012=VERTEX_POINT('',#515744); #157013=VERTEX_POINT('',#515746); #157014=VERTEX_POINT('',#515750); #157015=VERTEX_POINT('',#515752); #157016=VERTEX_POINT('',#515756); #157017=VERTEX_POINT('',#515758); #157018=VERTEX_POINT('',#515762); #157019=VERTEX_POINT('',#515764); #157020=VERTEX_POINT('',#515768); #157021=VERTEX_POINT('',#515770); #157022=VERTEX_POINT('',#515774); #157023=VERTEX_POINT('',#515776); #157024=VERTEX_POINT('',#515780); #157025=VERTEX_POINT('',#515782); #157026=VERTEX_POINT('',#515786); #157027=VERTEX_POINT('',#515788); #157028=VERTEX_POINT('',#515792); #157029=VERTEX_POINT('',#515794); #157030=VERTEX_POINT('',#515798); #157031=VERTEX_POINT('',#515800); #157032=VERTEX_POINT('',#515804); #157033=VERTEX_POINT('',#515806); #157034=VERTEX_POINT('',#515810); #157035=VERTEX_POINT('',#515812); #157036=VERTEX_POINT('',#515816); #157037=VERTEX_POINT('',#515818); #157038=VERTEX_POINT('',#515822); #157039=VERTEX_POINT('',#515824); #157040=VERTEX_POINT('',#515828); #157041=VERTEX_POINT('',#515830); #157042=VERTEX_POINT('',#515834); #157043=VERTEX_POINT('',#515836); #157044=VERTEX_POINT('',#515840); #157045=VERTEX_POINT('',#515842); #157046=VERTEX_POINT('',#515846); #157047=VERTEX_POINT('',#515848); #157048=VERTEX_POINT('',#515852); #157049=VERTEX_POINT('',#515854); #157050=VERTEX_POINT('',#515858); #157051=VERTEX_POINT('',#515860); #157052=VERTEX_POINT('',#515864); #157053=VERTEX_POINT('',#515866); #157054=VERTEX_POINT('',#515870); #157055=VERTEX_POINT('',#515872); #157056=VERTEX_POINT('',#515876); #157057=VERTEX_POINT('',#515878); #157058=VERTEX_POINT('',#515882); #157059=VERTEX_POINT('',#515884); #157060=VERTEX_POINT('',#515893); #157061=VERTEX_POINT('',#515894); #157062=VERTEX_POINT('',#515896); #157063=VERTEX_POINT('',#515898); #157064=VERTEX_POINT('',#515902); #157065=VERTEX_POINT('',#515904); #157066=VERTEX_POINT('',#515908); #157067=VERTEX_POINT('',#515910); #157068=VERTEX_POINT('',#515914); #157069=VERTEX_POINT('',#515916); #157070=VERTEX_POINT('',#515920); #157071=VERTEX_POINT('',#515922); #157072=VERTEX_POINT('',#515926); #157073=VERTEX_POINT('',#515928); #157074=VERTEX_POINT('',#515932); #157075=VERTEX_POINT('',#515934); #157076=VERTEX_POINT('',#515938); #157077=VERTEX_POINT('',#515940); #157078=VERTEX_POINT('',#515944); #157079=VERTEX_POINT('',#515946); #157080=VERTEX_POINT('',#515950); #157081=VERTEX_POINT('',#515952); #157082=VERTEX_POINT('',#515956); #157083=VERTEX_POINT('',#515958); #157084=VERTEX_POINT('',#515962); #157085=VERTEX_POINT('',#515964); #157086=VERTEX_POINT('',#515968); #157087=VERTEX_POINT('',#515970); #157088=VERTEX_POINT('',#515974); #157089=VERTEX_POINT('',#515976); #157090=VERTEX_POINT('',#515980); #157091=VERTEX_POINT('',#515982); #157092=VERTEX_POINT('',#515986); #157093=VERTEX_POINT('',#515988); #157094=VERTEX_POINT('',#515992); #157095=VERTEX_POINT('',#515994); #157096=VERTEX_POINT('',#515998); #157097=VERTEX_POINT('',#516000); #157098=VERTEX_POINT('',#516004); #157099=VERTEX_POINT('',#516006); #157100=VERTEX_POINT('',#516010); #157101=VERTEX_POINT('',#516012); #157102=VERTEX_POINT('',#516021); #157103=VERTEX_POINT('',#516022); #157104=VERTEX_POINT('',#516024); #157105=VERTEX_POINT('',#516026); #157106=VERTEX_POINT('',#516030); #157107=VERTEX_POINT('',#516032); #157108=VERTEX_POINT('',#516036); #157109=VERTEX_POINT('',#516038); #157110=VERTEX_POINT('',#516042); #157111=VERTEX_POINT('',#516044); #157112=VERTEX_POINT('',#516048); #157113=VERTEX_POINT('',#516050); #157114=VERTEX_POINT('',#516054); #157115=VERTEX_POINT('',#516056); #157116=VERTEX_POINT('',#516060); #157117=VERTEX_POINT('',#516062); #157118=VERTEX_POINT('',#516066); #157119=VERTEX_POINT('',#516068); #157120=VERTEX_POINT('',#516072); #157121=VERTEX_POINT('',#516074); #157122=VERTEX_POINT('',#516078); #157123=VERTEX_POINT('',#516080); #157124=VERTEX_POINT('',#516084); #157125=VERTEX_POINT('',#516086); #157126=VERTEX_POINT('',#516090); #157127=VERTEX_POINT('',#516092); #157128=VERTEX_POINT('',#516096); #157129=VERTEX_POINT('',#516098); #157130=VERTEX_POINT('',#516102); #157131=VERTEX_POINT('',#516104); #157132=VERTEX_POINT('',#516108); #157133=VERTEX_POINT('',#516110); #157134=VERTEX_POINT('',#516114); #157135=VERTEX_POINT('',#516116); #157136=VERTEX_POINT('',#516120); #157137=VERTEX_POINT('',#516122); #157138=VERTEX_POINT('',#516126); #157139=VERTEX_POINT('',#516128); #157140=VERTEX_POINT('',#516132); #157141=VERTEX_POINT('',#516134); #157142=VERTEX_POINT('',#516143); #157143=VERTEX_POINT('',#516145); #157144=VERTEX_POINT('',#516149); #157145=VERTEX_POINT('',#516151); #157146=VERTEX_POINT('',#516155); #157147=VERTEX_POINT('',#516157); #157148=VERTEX_POINT('',#516161); #157149=VERTEX_POINT('',#516163); #157150=VERTEX_POINT('',#516167); #157151=VERTEX_POINT('',#516169); #157152=VERTEX_POINT('',#516173); #157153=VERTEX_POINT('',#516175); #157154=VERTEX_POINT('',#516179); #157155=VERTEX_POINT('',#516181); #157156=VERTEX_POINT('',#516185); #157157=VERTEX_POINT('',#516187); #157158=VERTEX_POINT('',#516191); #157159=VERTEX_POINT('',#516193); #157160=VERTEX_POINT('',#516197); #157161=VERTEX_POINT('',#516199); #157162=VERTEX_POINT('',#516203); #157163=VERTEX_POINT('',#516205); #157164=VERTEX_POINT('',#516209); #157165=VERTEX_POINT('',#516211); #157166=VERTEX_POINT('',#516215); #157167=VERTEX_POINT('',#516217); #157168=VERTEX_POINT('',#516221); #157169=VERTEX_POINT('',#516223); #157170=VERTEX_POINT('',#516227); #157171=VERTEX_POINT('',#516229); #157172=VERTEX_POINT('',#516233); #157173=VERTEX_POINT('',#516235); #157174=VERTEX_POINT('',#516239); #157175=VERTEX_POINT('',#516241); #157176=VERTEX_POINT('',#516245); #157177=VERTEX_POINT('',#516247); #157178=VERTEX_POINT('',#516251); #157179=VERTEX_POINT('',#516253); #157180=VERTEX_POINT('',#516257); #157181=VERTEX_POINT('',#516259); #157182=VERTEX_POINT('',#516263); #157183=VERTEX_POINT('',#516265); #157184=VERTEX_POINT('',#516269); #157185=VERTEX_POINT('',#516271); #157186=VERTEX_POINT('',#516275); #157187=VERTEX_POINT('',#516277); #157188=VERTEX_POINT('',#516281); #157189=VERTEX_POINT('',#516283); #157190=VERTEX_POINT('',#516287); #157191=VERTEX_POINT('',#516289); #157192=VERTEX_POINT('',#516293); #157193=VERTEX_POINT('',#516295); #157194=VERTEX_POINT('',#516299); #157195=VERTEX_POINT('',#516301); #157196=VERTEX_POINT('',#516305); #157197=VERTEX_POINT('',#516307); #157198=VERTEX_POINT('',#516311); #157199=VERTEX_POINT('',#516313); #157200=VERTEX_POINT('',#516317); #157201=VERTEX_POINT('',#516319); #157202=VERTEX_POINT('',#516323); #157203=VERTEX_POINT('',#516325); #157204=VERTEX_POINT('',#516329); #157205=VERTEX_POINT('',#516331); #157206=VERTEX_POINT('',#516335); #157207=VERTEX_POINT('',#516337); #157208=VERTEX_POINT('',#516341); #157209=VERTEX_POINT('',#516343); #157210=VERTEX_POINT('',#516347); #157211=VERTEX_POINT('',#516349); #157212=VERTEX_POINT('',#516353); #157213=VERTEX_POINT('',#516354); #157214=VERTEX_POINT('',#516356); #157215=VERTEX_POINT('',#516358); #157216=VERTEX_POINT('',#516362); #157217=VERTEX_POINT('',#516364); #157218=VERTEX_POINT('',#516368); #157219=VERTEX_POINT('',#516370); #157220=VERTEX_POINT('',#516377); #157221=VERTEX_POINT('',#516379); #157222=VERTEX_POINT('',#516383); #157223=VERTEX_POINT('',#516385); #157224=VERTEX_POINT('',#516389); #157225=VERTEX_POINT('',#516391); #157226=VERTEX_POINT('',#516395); #157227=VERTEX_POINT('',#516397); #157228=VERTEX_POINT('',#516401); #157229=VERTEX_POINT('',#516402); #157230=VERTEX_POINT('',#516404); #157231=VERTEX_POINT('',#516406); #157232=VERTEX_POINT('',#516410); #157233=VERTEX_POINT('',#516412); #157234=VERTEX_POINT('',#516416); #157235=VERTEX_POINT('',#516418); #157236=VERTEX_POINT('',#516425); #157237=VERTEX_POINT('',#516426); #157238=VERTEX_POINT('',#516428); #157239=VERTEX_POINT('',#516430); #157240=VERTEX_POINT('',#516434); #157241=VERTEX_POINT('',#516436); #157242=VERTEX_POINT('',#516440); #157243=VERTEX_POINT('',#516442); #157244=VERTEX_POINT('',#516449); #157245=VERTEX_POINT('',#516450); #157246=VERTEX_POINT('',#516452); #157247=VERTEX_POINT('',#516454); #157248=VERTEX_POINT('',#516458); #157249=VERTEX_POINT('',#516460); #157250=VERTEX_POINT('',#516464); #157251=VERTEX_POINT('',#516466); #157252=VERTEX_POINT('',#516473); #157253=VERTEX_POINT('',#516475); #157254=VERTEX_POINT('',#516479); #157255=VERTEX_POINT('',#516481); #157256=VERTEX_POINT('',#516485); #157257=VERTEX_POINT('',#516487); #157258=VERTEX_POINT('',#516491); #157259=VERTEX_POINT('',#516493); #157260=VERTEX_POINT('',#516497); #157261=VERTEX_POINT('',#516499); #157262=VERTEX_POINT('',#516503); #157263=VERTEX_POINT('',#516505); #157264=VERTEX_POINT('',#516509); #157265=VERTEX_POINT('',#516511); #157266=VERTEX_POINT('',#516515); #157267=VERTEX_POINT('',#516517); #157268=VERTEX_POINT('',#516521); #157269=VERTEX_POINT('',#516523); #157270=VERTEX_POINT('',#516527); #157271=VERTEX_POINT('',#516529); #157272=VERTEX_POINT('',#516533); #157273=VERTEX_POINT('',#516535); #157274=VERTEX_POINT('',#516539); #157275=VERTEX_POINT('',#516541); #157276=VERTEX_POINT('',#516545); #157277=VERTEX_POINT('',#516547); #157278=VERTEX_POINT('',#516551); #157279=VERTEX_POINT('',#516553); #157280=VERTEX_POINT('',#516557); #157281=VERTEX_POINT('',#516559); #157282=VERTEX_POINT('',#516563); #157283=VERTEX_POINT('',#516565); #157284=VERTEX_POINT('',#516569); #157285=VERTEX_POINT('',#516571); #157286=VERTEX_POINT('',#516575); #157287=VERTEX_POINT('',#516577); #157288=VERTEX_POINT('',#516581); #157289=VERTEX_POINT('',#516583); #157290=VERTEX_POINT('',#516587); #157291=VERTEX_POINT('',#516588); #157292=VERTEX_POINT('',#516590); #157293=VERTEX_POINT('',#516592); #157294=VERTEX_POINT('',#516596); #157295=VERTEX_POINT('',#516598); #157296=VERTEX_POINT('',#516602); #157297=VERTEX_POINT('',#516604); #157298=VERTEX_POINT('',#516608); #157299=VERTEX_POINT('',#516610); #157300=VERTEX_POINT('',#516614); #157301=VERTEX_POINT('',#516616); #157302=VERTEX_POINT('',#516620); #157303=VERTEX_POINT('',#516622); #157304=VERTEX_POINT('',#516626); #157305=VERTEX_POINT('',#516628); #157306=VERTEX_POINT('',#516635); #157307=VERTEX_POINT('',#516636); #157308=VERTEX_POINT('',#516638); #157309=VERTEX_POINT('',#516640); #157310=VERTEX_POINT('',#516644); #157311=VERTEX_POINT('',#516646); #157312=VERTEX_POINT('',#516650); #157313=VERTEX_POINT('',#516652); #157314=VERTEX_POINT('',#516656); #157315=VERTEX_POINT('',#516658); #157316=VERTEX_POINT('',#516662); #157317=VERTEX_POINT('',#516664); #157318=VERTEX_POINT('',#516668); #157319=VERTEX_POINT('',#516670); #157320=VERTEX_POINT('',#516674); #157321=VERTEX_POINT('',#516676); #157322=VERTEX_POINT('',#516680); #157323=VERTEX_POINT('',#516682); #157324=VERTEX_POINT('',#516686); #157325=VERTEX_POINT('',#516688); #157326=VERTEX_POINT('',#516692); #157327=VERTEX_POINT('',#516694); #157328=VERTEX_POINT('',#516698); #157329=VERTEX_POINT('',#516700); #157330=VERTEX_POINT('',#516704); #157331=VERTEX_POINT('',#516706); #157332=VERTEX_POINT('',#516710); #157333=VERTEX_POINT('',#516712); #157334=VERTEX_POINT('',#516716); #157335=VERTEX_POINT('',#516718); #157336=VERTEX_POINT('',#516722); #157337=VERTEX_POINT('',#516724); #157338=VERTEX_POINT('',#516731); #157339=VERTEX_POINT('',#516732); #157340=VERTEX_POINT('',#516734); #157341=VERTEX_POINT('',#516736); #157342=VERTEX_POINT('',#516740); #157343=VERTEX_POINT('',#516742); #157344=VERTEX_POINT('',#516746); #157345=VERTEX_POINT('',#516748); #157346=VERTEX_POINT('',#516752); #157347=VERTEX_POINT('',#516754); #157348=VERTEX_POINT('',#516758); #157349=VERTEX_POINT('',#516760); #157350=VERTEX_POINT('',#516764); #157351=VERTEX_POINT('',#516766); #157352=VERTEX_POINT('',#516770); #157353=VERTEX_POINT('',#516772); #157354=VERTEX_POINT('',#516776); #157355=VERTEX_POINT('',#516778); #157356=VERTEX_POINT('',#516782); #157357=VERTEX_POINT('',#516784); #157358=VERTEX_POINT('',#516788); #157359=VERTEX_POINT('',#516790); #157360=VERTEX_POINT('',#516794); #157361=VERTEX_POINT('',#516796); #157362=VERTEX_POINT('',#516800); #157363=VERTEX_POINT('',#516802); #157364=VERTEX_POINT('',#516806); #157365=VERTEX_POINT('',#516808); #157366=VERTEX_POINT('',#516812); #157367=VERTEX_POINT('',#516814); #157368=VERTEX_POINT('',#516818); #157369=VERTEX_POINT('',#516820); #157370=VERTEX_POINT('',#516827); #157371=VERTEX_POINT('',#516828); #157372=VERTEX_POINT('',#516830); #157373=VERTEX_POINT('',#516832); #157374=VERTEX_POINT('',#516836); #157375=VERTEX_POINT('',#516838); #157376=VERTEX_POINT('',#516842); #157377=VERTEX_POINT('',#516844); #157378=VERTEX_POINT('',#516848); #157379=VERTEX_POINT('',#516850); #157380=VERTEX_POINT('',#516854); #157381=VERTEX_POINT('',#516856); #157382=VERTEX_POINT('',#516860); #157383=VERTEX_POINT('',#516862); #157384=VERTEX_POINT('',#516866); #157385=VERTEX_POINT('',#516868); #157386=VERTEX_POINT('',#516872); #157387=VERTEX_POINT('',#516874); #157388=VERTEX_POINT('',#516878); #157389=VERTEX_POINT('',#516880); #157390=VERTEX_POINT('',#516884); #157391=VERTEX_POINT('',#516886); #157392=VERTEX_POINT('',#516890); #157393=VERTEX_POINT('',#516892); #157394=VERTEX_POINT('',#516896); #157395=VERTEX_POINT('',#516898); #157396=VERTEX_POINT('',#516902); #157397=VERTEX_POINT('',#516904); #157398=VERTEX_POINT('',#516908); #157399=VERTEX_POINT('',#516910); #157400=VERTEX_POINT('',#516914); #157401=VERTEX_POINT('',#516916); #157402=VERTEX_POINT('',#516920); #157403=VERTEX_POINT('',#516922); #157404=VERTEX_POINT('',#516926); #157405=VERTEX_POINT('',#516928); #157406=VERTEX_POINT('',#516932); #157407=VERTEX_POINT('',#516934); #157408=VERTEX_POINT('',#516938); #157409=VERTEX_POINT('',#516940); #157410=VERTEX_POINT('',#516944); #157411=VERTEX_POINT('',#516946); #157412=VERTEX_POINT('',#516950); #157413=VERTEX_POINT('',#516952); #157414=VERTEX_POINT('',#516956); #157415=VERTEX_POINT('',#516958); #157416=VERTEX_POINT('',#516962); #157417=VERTEX_POINT('',#516964); #157418=VERTEX_POINT('',#516968); #157419=VERTEX_POINT('',#516970); #157420=VERTEX_POINT('',#516974); #157421=VERTEX_POINT('',#516976); #157422=VERTEX_POINT('',#516980); #157423=VERTEX_POINT('',#516982); #157424=VERTEX_POINT('',#516986); #157425=VERTEX_POINT('',#516988); #157426=VERTEX_POINT('',#516992); #157427=VERTEX_POINT('',#516994); #157428=VERTEX_POINT('',#516998); #157429=VERTEX_POINT('',#517000); #157430=VERTEX_POINT('',#517004); #157431=VERTEX_POINT('',#517006); #157432=VERTEX_POINT('',#517010); #157433=VERTEX_POINT('',#517012); #157434=VERTEX_POINT('',#517016); #157435=VERTEX_POINT('',#517018); #157436=VERTEX_POINT('',#517022); #157437=VERTEX_POINT('',#517024); #157438=VERTEX_POINT('',#517028); #157439=VERTEX_POINT('',#517030); #157440=VERTEX_POINT('',#517034); #157441=VERTEX_POINT('',#517036); #157442=VERTEX_POINT('',#517043); #157443=VERTEX_POINT('',#517044); #157444=VERTEX_POINT('',#517046); #157445=VERTEX_POINT('',#517048); #157446=VERTEX_POINT('',#517052); #157447=VERTEX_POINT('',#517054); #157448=VERTEX_POINT('',#517058); #157449=VERTEX_POINT('',#517060); #157450=VERTEX_POINT('',#517064); #157451=VERTEX_POINT('',#517066); #157452=VERTEX_POINT('',#517070); #157453=VERTEX_POINT('',#517072); #157454=VERTEX_POINT('',#517076); #157455=VERTEX_POINT('',#517078); #157456=VERTEX_POINT('',#517082); #157457=VERTEX_POINT('',#517084); #157458=VERTEX_POINT('',#517088); #157459=VERTEX_POINT('',#517090); #157460=VERTEX_POINT('',#517094); #157461=VERTEX_POINT('',#517096); #157462=VERTEX_POINT('',#517100); #157463=VERTEX_POINT('',#517102); #157464=VERTEX_POINT('',#517106); #157465=VERTEX_POINT('',#517108); #157466=VERTEX_POINT('',#517112); #157467=VERTEX_POINT('',#517114); #157468=VERTEX_POINT('',#517118); #157469=VERTEX_POINT('',#517120); #157470=VERTEX_POINT('',#517124); #157471=VERTEX_POINT('',#517126); #157472=VERTEX_POINT('',#517130); #157473=VERTEX_POINT('',#517132); #157474=VERTEX_POINT('',#517136); #157475=VERTEX_POINT('',#517138); #157476=VERTEX_POINT('',#517142); #157477=VERTEX_POINT('',#517144); #157478=VERTEX_POINT('',#517148); #157479=VERTEX_POINT('',#517150); #157480=VERTEX_POINT('',#517154); #157481=VERTEX_POINT('',#517156); #157482=VERTEX_POINT('',#517160); #157483=VERTEX_POINT('',#517162); #157484=VERTEX_POINT('',#517166); #157485=VERTEX_POINT('',#517168); #157486=VERTEX_POINT('',#517172); #157487=VERTEX_POINT('',#517174); #157488=VERTEX_POINT('',#517178); #157489=VERTEX_POINT('',#517180); #157490=VERTEX_POINT('',#517184); #157491=VERTEX_POINT('',#517186); #157492=VERTEX_POINT('',#517190); #157493=VERTEX_POINT('',#517192); #157494=VERTEX_POINT('',#517196); #157495=VERTEX_POINT('',#517198); #157496=VERTEX_POINT('',#517202); #157497=VERTEX_POINT('',#517204); #157498=VERTEX_POINT('',#517208); #157499=VERTEX_POINT('',#517210); #157500=VERTEX_POINT('',#517214); #157501=VERTEX_POINT('',#517216); #157502=VERTEX_POINT('',#517220); #157503=VERTEX_POINT('',#517222); #157504=VERTEX_POINT('',#517226); #157505=VERTEX_POINT('',#517228); #157506=VERTEX_POINT('',#517232); #157507=VERTEX_POINT('',#517234); #157508=VERTEX_POINT('',#517238); #157509=VERTEX_POINT('',#517240); #157510=VERTEX_POINT('',#517244); #157511=VERTEX_POINT('',#517246); #157512=VERTEX_POINT('',#517250); #157513=VERTEX_POINT('',#517252); #157514=VERTEX_POINT('',#517259); #157515=VERTEX_POINT('',#517260); #157516=VERTEX_POINT('',#517262); #157517=VERTEX_POINT('',#517264); #157518=VERTEX_POINT('',#517268); #157519=VERTEX_POINT('',#517270); #157520=VERTEX_POINT('',#517274); #157521=VERTEX_POINT('',#517276); #157522=VERTEX_POINT('',#517280); #157523=VERTEX_POINT('',#517282); #157524=VERTEX_POINT('',#517286); #157525=VERTEX_POINT('',#517288); #157526=VERTEX_POINT('',#517292); #157527=VERTEX_POINT('',#517294); #157528=VERTEX_POINT('',#517298); #157529=VERTEX_POINT('',#517300); #157530=VERTEX_POINT('',#517304); #157531=VERTEX_POINT('',#517306); #157532=VERTEX_POINT('',#517310); #157533=VERTEX_POINT('',#517312); #157534=VERTEX_POINT('',#517316); #157535=VERTEX_POINT('',#517318); #157536=VERTEX_POINT('',#517322); #157537=VERTEX_POINT('',#517324); #157538=VERTEX_POINT('',#517328); #157539=VERTEX_POINT('',#517330); #157540=VERTEX_POINT('',#517334); #157541=VERTEX_POINT('',#517336); #157542=VERTEX_POINT('',#517340); #157543=VERTEX_POINT('',#517342); #157544=VERTEX_POINT('',#517346); #157545=VERTEX_POINT('',#517348); #157546=VERTEX_POINT('',#517352); #157547=VERTEX_POINT('',#517354); #157548=VERTEX_POINT('',#517358); #157549=VERTEX_POINT('',#517360); #157550=VERTEX_POINT('',#517364); #157551=VERTEX_POINT('',#517366); #157552=VERTEX_POINT('',#517370); #157553=VERTEX_POINT('',#517372); #157554=VERTEX_POINT('',#517376); #157555=VERTEX_POINT('',#517378); #157556=VERTEX_POINT('',#517382); #157557=VERTEX_POINT('',#517384); #157558=VERTEX_POINT('',#517388); #157559=VERTEX_POINT('',#517390); #157560=VERTEX_POINT('',#517394); #157561=VERTEX_POINT('',#517396); #157562=VERTEX_POINT('',#517400); #157563=VERTEX_POINT('',#517402); #157564=VERTEX_POINT('',#517406); #157565=VERTEX_POINT('',#517408); #157566=VERTEX_POINT('',#517412); #157567=VERTEX_POINT('',#517414); #157568=VERTEX_POINT('',#517418); #157569=VERTEX_POINT('',#517420); #157570=VERTEX_POINT('',#517424); #157571=VERTEX_POINT('',#517426); #157572=VERTEX_POINT('',#517430); #157573=VERTEX_POINT('',#517432); #157574=VERTEX_POINT('',#517436); #157575=VERTEX_POINT('',#517438); #157576=VERTEX_POINT('',#517442); #157577=VERTEX_POINT('',#517444); #157578=VERTEX_POINT('',#517448); #157579=VERTEX_POINT('',#517450); #157580=VERTEX_POINT('',#517454); #157581=VERTEX_POINT('',#517456); #157582=VERTEX_POINT('',#517460); #157583=VERTEX_POINT('',#517462); #157584=VERTEX_POINT('',#517466); #157585=VERTEX_POINT('',#517468); #157586=VERTEX_POINT('',#517472); #157587=VERTEX_POINT('',#517474); #157588=VERTEX_POINT('',#517478); #157589=VERTEX_POINT('',#517480); #157590=VERTEX_POINT('',#517484); #157591=VERTEX_POINT('',#517486); #157592=VERTEX_POINT('',#517490); #157593=VERTEX_POINT('',#517492); #157594=VERTEX_POINT('',#517496); #157595=VERTEX_POINT('',#517498); #157596=VERTEX_POINT('',#517502); #157597=VERTEX_POINT('',#517504); #157598=VERTEX_POINT('',#517508); #157599=VERTEX_POINT('',#517510); #157600=VERTEX_POINT('',#517514); #157601=VERTEX_POINT('',#517516); #157602=VERTEX_POINT('',#517520); #157603=VERTEX_POINT('',#517522); #157604=VERTEX_POINT('',#517526); #157605=VERTEX_POINT('',#517528); #157606=VERTEX_POINT('',#517532); #157607=VERTEX_POINT('',#517534); #157608=VERTEX_POINT('',#517538); #157609=VERTEX_POINT('',#517540); #157610=VERTEX_POINT('',#517544); #157611=VERTEX_POINT('',#517546); #157612=VERTEX_POINT('',#517550); #157613=VERTEX_POINT('',#517552); #157614=VERTEX_POINT('',#517556); #157615=VERTEX_POINT('',#517558); #157616=VERTEX_POINT('',#517562); #157617=VERTEX_POINT('',#517564); #157618=VERTEX_POINT('',#517568); #157619=VERTEX_POINT('',#517570); #157620=VERTEX_POINT('',#517574); #157621=VERTEX_POINT('',#517576); #157622=VERTEX_POINT('',#517580); #157623=VERTEX_POINT('',#517582); #157624=VERTEX_POINT('',#517586); #157625=VERTEX_POINT('',#517588); #157626=VERTEX_POINT('',#517592); #157627=VERTEX_POINT('',#517594); #157628=VERTEX_POINT('',#517598); #157629=VERTEX_POINT('',#517600); #157630=VERTEX_POINT('',#517604); #157631=VERTEX_POINT('',#517606); #157632=VERTEX_POINT('',#517610); #157633=VERTEX_POINT('',#517612); #157634=VERTEX_POINT('',#517616); #157635=VERTEX_POINT('',#517618); #157636=VERTEX_POINT('',#517622); #157637=VERTEX_POINT('',#517624); #157638=VERTEX_POINT('',#517628); #157639=VERTEX_POINT('',#517630); #157640=VERTEX_POINT('',#517634); #157641=VERTEX_POINT('',#517636); #157642=VERTEX_POINT('',#517640); #157643=VERTEX_POINT('',#517642); #157644=VERTEX_POINT('',#517646); #157645=VERTEX_POINT('',#517648); #157646=VERTEX_POINT('',#517652); #157647=VERTEX_POINT('',#517654); #157648=VERTEX_POINT('',#517658); #157649=VERTEX_POINT('',#517660); #157650=VERTEX_POINT('',#517664); #157651=VERTEX_POINT('',#517666); #157652=VERTEX_POINT('',#517670); #157653=VERTEX_POINT('',#517672); #157654=VERTEX_POINT('',#517676); #157655=VERTEX_POINT('',#517678); #157656=VERTEX_POINT('',#517682); #157657=VERTEX_POINT('',#517684); #157658=VERTEX_POINT('',#517688); #157659=VERTEX_POINT('',#517690); #157660=VERTEX_POINT('',#517694); #157661=VERTEX_POINT('',#517696); #157662=VERTEX_POINT('',#517700); #157663=VERTEX_POINT('',#517702); #157664=VERTEX_POINT('',#517706); #157665=VERTEX_POINT('',#517708); #157666=VERTEX_POINT('',#517712); #157667=VERTEX_POINT('',#517714); #157668=VERTEX_POINT('',#517718); #157669=VERTEX_POINT('',#517720); #157670=VERTEX_POINT('',#517724); #157671=VERTEX_POINT('',#517726); #157672=VERTEX_POINT('',#517730); #157673=VERTEX_POINT('',#517732); #157674=VERTEX_POINT('',#517736); #157675=VERTEX_POINT('',#517738); #157676=VERTEX_POINT('',#517742); #157677=VERTEX_POINT('',#517744); #157678=VERTEX_POINT('',#517748); #157679=VERTEX_POINT('',#517750); #157680=VERTEX_POINT('',#517754); #157681=VERTEX_POINT('',#517756); #157682=VERTEX_POINT('',#517760); #157683=VERTEX_POINT('',#517762); #157684=VERTEX_POINT('',#517766); #157685=VERTEX_POINT('',#517768); #157686=VERTEX_POINT('',#517772); #157687=VERTEX_POINT('',#517774); #157688=VERTEX_POINT('',#517778); #157689=VERTEX_POINT('',#517780); #157690=VERTEX_POINT('',#517784); #157691=VERTEX_POINT('',#517786); #157692=VERTEX_POINT('',#517790); #157693=VERTEX_POINT('',#517792); #157694=VERTEX_POINT('',#517796); #157695=VERTEX_POINT('',#517798); #157696=VERTEX_POINT('',#517802); #157697=VERTEX_POINT('',#517804); #157698=VERTEX_POINT('',#517808); #157699=VERTEX_POINT('',#517810); #157700=VERTEX_POINT('',#517814); #157701=VERTEX_POINT('',#517816); #157702=VERTEX_POINT('',#517820); #157703=VERTEX_POINT('',#517822); #157704=VERTEX_POINT('',#517826); #157705=VERTEX_POINT('',#517828); #157706=VERTEX_POINT('',#517832); #157707=VERTEX_POINT('',#517834); #157708=VERTEX_POINT('',#517838); #157709=VERTEX_POINT('',#517840); #157710=VERTEX_POINT('',#517844); #157711=VERTEX_POINT('',#517846); #157712=VERTEX_POINT('',#517850); #157713=VERTEX_POINT('',#517852); #157714=VERTEX_POINT('',#517856); #157715=VERTEX_POINT('',#517858); #157716=VERTEX_POINT('',#517862); #157717=VERTEX_POINT('',#517864); #157718=VERTEX_POINT('',#517868); #157719=VERTEX_POINT('',#517870); #157720=VERTEX_POINT('',#517874); #157721=VERTEX_POINT('',#517876); #157722=VERTEX_POINT('',#517880); #157723=VERTEX_POINT('',#517882); #157724=VERTEX_POINT('',#517886); #157725=VERTEX_POINT('',#517888); #157726=VERTEX_POINT('',#517892); #157727=VERTEX_POINT('',#517894); #157728=VERTEX_POINT('',#517898); #157729=VERTEX_POINT('',#517900); #157730=VERTEX_POINT('',#517904); #157731=VERTEX_POINT('',#517906); #157732=VERTEX_POINT('',#517910); #157733=VERTEX_POINT('',#517912); #157734=VERTEX_POINT('',#517916); #157735=VERTEX_POINT('',#517918); #157736=VERTEX_POINT('',#517922); #157737=VERTEX_POINT('',#517924); #157738=VERTEX_POINT('',#517928); #157739=VERTEX_POINT('',#517930); #157740=VERTEX_POINT('',#517934); #157741=VERTEX_POINT('',#517936); #157742=VERTEX_POINT('',#517940); #157743=VERTEX_POINT('',#517942); #157744=VERTEX_POINT('',#517946); #157745=VERTEX_POINT('',#517948); #157746=VERTEX_POINT('',#517952); #157747=VERTEX_POINT('',#517954); #157748=VERTEX_POINT('',#517958); #157749=VERTEX_POINT('',#517960); #157750=VERTEX_POINT('',#517964); #157751=VERTEX_POINT('',#517966); #157752=VERTEX_POINT('',#517970); #157753=VERTEX_POINT('',#517972); #157754=VERTEX_POINT('',#517976); #157755=VERTEX_POINT('',#517978); #157756=VERTEX_POINT('',#517982); #157757=VERTEX_POINT('',#517984); #157758=VERTEX_POINT('',#517988); #157759=VERTEX_POINT('',#517990); #157760=VERTEX_POINT('',#517994); #157761=VERTEX_POINT('',#517996); #157762=VERTEX_POINT('',#518000); #157763=VERTEX_POINT('',#518002); #157764=VERTEX_POINT('',#518006); #157765=VERTEX_POINT('',#518008); #157766=VERTEX_POINT('',#518012); #157767=VERTEX_POINT('',#518014); #157768=VERTEX_POINT('',#518018); #157769=VERTEX_POINT('',#518020); #157770=VERTEX_POINT('',#518024); #157771=VERTEX_POINT('',#518026); #157772=VERTEX_POINT('',#518030); #157773=VERTEX_POINT('',#518032); #157774=VERTEX_POINT('',#518036); #157775=VERTEX_POINT('',#518038); #157776=VERTEX_POINT('',#518042); #157777=VERTEX_POINT('',#518044); #157778=VERTEX_POINT('',#518048); #157779=VERTEX_POINT('',#518050); #157780=VERTEX_POINT('',#518054); #157781=VERTEX_POINT('',#518056); #157782=VERTEX_POINT('',#518060); #157783=VERTEX_POINT('',#518062); #157784=VERTEX_POINT('',#518066); #157785=VERTEX_POINT('',#518068); #157786=VERTEX_POINT('',#518072); #157787=VERTEX_POINT('',#518074); #157788=VERTEX_POINT('',#518078); #157789=VERTEX_POINT('',#518080); #157790=VERTEX_POINT('',#518084); #157791=VERTEX_POINT('',#518086); #157792=VERTEX_POINT('',#518090); #157793=VERTEX_POINT('',#518092); #157794=VERTEX_POINT('',#518096); #157795=VERTEX_POINT('',#518098); #157796=VERTEX_POINT('',#518102); #157797=VERTEX_POINT('',#518104); #157798=VERTEX_POINT('',#518108); #157799=VERTEX_POINT('',#518110); #157800=VERTEX_POINT('',#518114); #157801=VERTEX_POINT('',#518116); #157802=VERTEX_POINT('',#518120); #157803=VERTEX_POINT('',#518122); #157804=VERTEX_POINT('',#518126); #157805=VERTEX_POINT('',#518128); #157806=VERTEX_POINT('',#518132); #157807=VERTEX_POINT('',#518134); #157808=VERTEX_POINT('',#518138); #157809=VERTEX_POINT('',#518140); #157810=VERTEX_POINT('',#518144); #157811=VERTEX_POINT('',#518146); #157812=VERTEX_POINT('',#518150); #157813=VERTEX_POINT('',#518152); #157814=VERTEX_POINT('',#518156); #157815=VERTEX_POINT('',#518158); #157816=VERTEX_POINT('',#518162); #157817=VERTEX_POINT('',#518164); #157818=VERTEX_POINT('',#518168); #157819=VERTEX_POINT('',#518170); #157820=VERTEX_POINT('',#518174); #157821=VERTEX_POINT('',#518176); #157822=VERTEX_POINT('',#518180); #157823=VERTEX_POINT('',#518182); #157824=VERTEX_POINT('',#518186); #157825=VERTEX_POINT('',#518188); #157826=VERTEX_POINT('',#518192); #157827=VERTEX_POINT('',#518194); #157828=VERTEX_POINT('',#518198); #157829=VERTEX_POINT('',#518200); #157830=VERTEX_POINT('',#518204); #157831=VERTEX_POINT('',#518206); #157832=VERTEX_POINT('',#518210); #157833=VERTEX_POINT('',#518212); #157834=VERTEX_POINT('',#518216); #157835=VERTEX_POINT('',#518218); #157836=VERTEX_POINT('',#518222); #157837=VERTEX_POINT('',#518224); #157838=VERTEX_POINT('',#518228); #157839=VERTEX_POINT('',#518230); #157840=VERTEX_POINT('',#518234); #157841=VERTEX_POINT('',#518236); #157842=VERTEX_POINT('',#518240); #157843=VERTEX_POINT('',#518242); #157844=VERTEX_POINT('',#518246); #157845=VERTEX_POINT('',#518248); #157846=VERTEX_POINT('',#518252); #157847=VERTEX_POINT('',#518254); #157848=VERTEX_POINT('',#518258); #157849=VERTEX_POINT('',#518260); #157850=VERTEX_POINT('',#518264); #157851=VERTEX_POINT('',#518266); #157852=VERTEX_POINT('',#518270); #157853=VERTEX_POINT('',#518272); #157854=VERTEX_POINT('',#518276); #157855=VERTEX_POINT('',#518278); #157856=VERTEX_POINT('',#518282); #157857=VERTEX_POINT('',#518284); #157858=VERTEX_POINT('',#518288); #157859=VERTEX_POINT('',#518290); #157860=VERTEX_POINT('',#518294); #157861=VERTEX_POINT('',#518296); #157862=VERTEX_POINT('',#518300); #157863=VERTEX_POINT('',#518302); #157864=VERTEX_POINT('',#518306); #157865=VERTEX_POINT('',#518308); #157866=VERTEX_POINT('',#518312); #157867=VERTEX_POINT('',#518314); #157868=VERTEX_POINT('',#518318); #157869=VERTEX_POINT('',#518320); #157870=VERTEX_POINT('',#518324); #157871=VERTEX_POINT('',#518326); #157872=VERTEX_POINT('',#518330); #157873=VERTEX_POINT('',#518332); #157874=VERTEX_POINT('',#518336); #157875=VERTEX_POINT('',#518338); #157876=VERTEX_POINT('',#518342); #157877=VERTEX_POINT('',#518344); #157878=VERTEX_POINT('',#518348); #157879=VERTEX_POINT('',#518350); #157880=VERTEX_POINT('',#518354); #157881=VERTEX_POINT('',#518356); #157882=VERTEX_POINT('',#518360); #157883=VERTEX_POINT('',#518362); #157884=VERTEX_POINT('',#518366); #157885=VERTEX_POINT('',#518368); #157886=VERTEX_POINT('',#518372); #157887=VERTEX_POINT('',#518374); #157888=VERTEX_POINT('',#518378); #157889=VERTEX_POINT('',#518380); #157890=VERTEX_POINT('',#518384); #157891=VERTEX_POINT('',#518386); #157892=VERTEX_POINT('',#518390); #157893=VERTEX_POINT('',#518392); #157894=VERTEX_POINT('',#518396); #157895=VERTEX_POINT('',#518398); #157896=VERTEX_POINT('',#518402); #157897=VERTEX_POINT('',#518404); #157898=VERTEX_POINT('',#518408); #157899=VERTEX_POINT('',#518410); #157900=VERTEX_POINT('',#518414); #157901=VERTEX_POINT('',#518416); #157902=VERTEX_POINT('',#518420); #157903=VERTEX_POINT('',#518422); #157904=VERTEX_POINT('',#518426); #157905=VERTEX_POINT('',#518428); #157906=VERTEX_POINT('',#518432); #157907=VERTEX_POINT('',#518434); #157908=VERTEX_POINT('',#518438); #157909=VERTEX_POINT('',#518440); #157910=VERTEX_POINT('',#518444); #157911=VERTEX_POINT('',#518446); #157912=VERTEX_POINT('',#518450); #157913=VERTEX_POINT('',#518452); #157914=VERTEX_POINT('',#518456); #157915=VERTEX_POINT('',#518458); #157916=VERTEX_POINT('',#518462); #157917=VERTEX_POINT('',#518464); #157918=VERTEX_POINT('',#518468); #157919=VERTEX_POINT('',#518470); #157920=VERTEX_POINT('',#518474); #157921=VERTEX_POINT('',#518476); #157922=VERTEX_POINT('',#518480); #157923=VERTEX_POINT('',#518482); #157924=VERTEX_POINT('',#518486); #157925=VERTEX_POINT('',#518488); #157926=VERTEX_POINT('',#518492); #157927=VERTEX_POINT('',#518494); #157928=VERTEX_POINT('',#518498); #157929=VERTEX_POINT('',#518500); #157930=VERTEX_POINT('',#518504); #157931=VERTEX_POINT('',#518506); #157932=VERTEX_POINT('',#518510); #157933=VERTEX_POINT('',#518512); #157934=VERTEX_POINT('',#518516); #157935=VERTEX_POINT('',#518518); #157936=VERTEX_POINT('',#518522); #157937=VERTEX_POINT('',#518524); #157938=VERTEX_POINT('',#518528); #157939=VERTEX_POINT('',#518530); #157940=VERTEX_POINT('',#518534); #157941=VERTEX_POINT('',#518536); #157942=VERTEX_POINT('',#518540); #157943=VERTEX_POINT('',#518542); #157944=VERTEX_POINT('',#518546); #157945=VERTEX_POINT('',#518548); #157946=VERTEX_POINT('',#518552); #157947=VERTEX_POINT('',#518554); #157948=VERTEX_POINT('',#518558); #157949=VERTEX_POINT('',#518560); #157950=VERTEX_POINT('',#518564); #157951=VERTEX_POINT('',#518566); #157952=VERTEX_POINT('',#518570); #157953=VERTEX_POINT('',#518572); #157954=VERTEX_POINT('',#518576); #157955=VERTEX_POINT('',#518578); #157956=VERTEX_POINT('',#518582); #157957=VERTEX_POINT('',#518584); #157958=VERTEX_POINT('',#518588); #157959=VERTEX_POINT('',#518590); #157960=VERTEX_POINT('',#518594); #157961=VERTEX_POINT('',#518596); #157962=VERTEX_POINT('',#518600); #157963=VERTEX_POINT('',#518602); #157964=VERTEX_POINT('',#518606); #157965=VERTEX_POINT('',#518608); #157966=VERTEX_POINT('',#518612); #157967=VERTEX_POINT('',#518614); #157968=VERTEX_POINT('',#518618); #157969=VERTEX_POINT('',#518620); #157970=VERTEX_POINT('',#518624); #157971=VERTEX_POINT('',#518626); #157972=VERTEX_POINT('',#518630); #157973=VERTEX_POINT('',#518632); #157974=VERTEX_POINT('',#518636); #157975=VERTEX_POINT('',#518638); #157976=VERTEX_POINT('',#518642); #157977=VERTEX_POINT('',#518644); #157978=VERTEX_POINT('',#518648); #157979=VERTEX_POINT('',#518650); #157980=VERTEX_POINT('',#518654); #157981=VERTEX_POINT('',#518656); #157982=VERTEX_POINT('',#518660); #157983=VERTEX_POINT('',#518662); #157984=VERTEX_POINT('',#518666); #157985=VERTEX_POINT('',#518668); #157986=VERTEX_POINT('',#518672); #157987=VERTEX_POINT('',#518674); #157988=VERTEX_POINT('',#518678); #157989=VERTEX_POINT('',#518680); #157990=VERTEX_POINT('',#518684); #157991=VERTEX_POINT('',#518686); #157992=VERTEX_POINT('',#518690); #157993=VERTEX_POINT('',#518692); #157994=VERTEX_POINT('',#518696); #157995=VERTEX_POINT('',#518698); #157996=VERTEX_POINT('',#518702); #157997=VERTEX_POINT('',#518704); #157998=VERTEX_POINT('',#518708); #157999=VERTEX_POINT('',#518710); #158000=VERTEX_POINT('',#518714); #158001=VERTEX_POINT('',#518716); #158002=VERTEX_POINT('',#518720); #158003=VERTEX_POINT('',#518722); #158004=VERTEX_POINT('',#518726); #158005=VERTEX_POINT('',#518728); #158006=VERTEX_POINT('',#518732); #158007=VERTEX_POINT('',#518734); #158008=VERTEX_POINT('',#518738); #158009=VERTEX_POINT('',#518740); #158010=VERTEX_POINT('',#518744); #158011=VERTEX_POINT('',#518746); #158012=VERTEX_POINT('',#518750); #158013=VERTEX_POINT('',#518752); #158014=VERTEX_POINT('',#518756); #158015=VERTEX_POINT('',#518758); #158016=VERTEX_POINT('',#518762); #158017=VERTEX_POINT('',#518764); #158018=VERTEX_POINT('',#518768); #158019=VERTEX_POINT('',#518770); #158020=VERTEX_POINT('',#518774); #158021=VERTEX_POINT('',#518776); #158022=VERTEX_POINT('',#518780); #158023=VERTEX_POINT('',#518782); #158024=VERTEX_POINT('',#518786); #158025=VERTEX_POINT('',#518788); #158026=VERTEX_POINT('',#518792); #158027=VERTEX_POINT('',#518794); #158028=VERTEX_POINT('',#518798); #158029=VERTEX_POINT('',#518800); #158030=VERTEX_POINT('',#518804); #158031=VERTEX_POINT('',#518806); #158032=VERTEX_POINT('',#518810); #158033=VERTEX_POINT('',#518812); #158034=VERTEX_POINT('',#518816); #158035=VERTEX_POINT('',#518818); #158036=VERTEX_POINT('',#518822); #158037=VERTEX_POINT('',#518824); #158038=VERTEX_POINT('',#518828); #158039=VERTEX_POINT('',#518830); #158040=VERTEX_POINT('',#518834); #158041=VERTEX_POINT('',#518836); #158042=VERTEX_POINT('',#518840); #158043=VERTEX_POINT('',#518842); #158044=VERTEX_POINT('',#518846); #158045=VERTEX_POINT('',#518848); #158046=VERTEX_POINT('',#518852); #158047=VERTEX_POINT('',#518854); #158048=VERTEX_POINT('',#518858); #158049=VERTEX_POINT('',#518860); #158050=VERTEX_POINT('',#518864); #158051=VERTEX_POINT('',#518866); #158052=VERTEX_POINT('',#518870); #158053=VERTEX_POINT('',#518872); #158054=VERTEX_POINT('',#518876); #158055=VERTEX_POINT('',#518878); #158056=VERTEX_POINT('',#518882); #158057=VERTEX_POINT('',#518884); #158058=VERTEX_POINT('',#518888); #158059=VERTEX_POINT('',#518890); #158060=VERTEX_POINT('',#518894); #158061=VERTEX_POINT('',#518896); #158062=VERTEX_POINT('',#518900); #158063=VERTEX_POINT('',#518902); #158064=VERTEX_POINT('',#518906); #158065=VERTEX_POINT('',#518908); #158066=VERTEX_POINT('',#518912); #158067=VERTEX_POINT('',#518914); #158068=VERTEX_POINT('',#518918); #158069=VERTEX_POINT('',#518920); #158070=VERTEX_POINT('',#518924); #158071=VERTEX_POINT('',#518926); #158072=VERTEX_POINT('',#518930); #158073=VERTEX_POINT('',#518932); #158074=VERTEX_POINT('',#518936); #158075=VERTEX_POINT('',#518938); #158076=VERTEX_POINT('',#518942); #158077=VERTEX_POINT('',#518944); #158078=VERTEX_POINT('',#518948); #158079=VERTEX_POINT('',#518950); #158080=VERTEX_POINT('',#518954); #158081=VERTEX_POINT('',#518956); #158082=VERTEX_POINT('',#518960); #158083=VERTEX_POINT('',#518962); #158084=VERTEX_POINT('',#518966); #158085=VERTEX_POINT('',#518968); #158086=VERTEX_POINT('',#518972); #158087=VERTEX_POINT('',#518974); #158088=VERTEX_POINT('',#518978); #158089=VERTEX_POINT('',#518980); #158090=VERTEX_POINT('',#518984); #158091=VERTEX_POINT('',#518986); #158092=VERTEX_POINT('',#518990); #158093=VERTEX_POINT('',#518992); #158094=VERTEX_POINT('',#518996); #158095=VERTEX_POINT('',#518998); #158096=VERTEX_POINT('',#519002); #158097=VERTEX_POINT('',#519004); #158098=VERTEX_POINT('',#519008); #158099=VERTEX_POINT('',#519010); #158100=VERTEX_POINT('',#519014); #158101=VERTEX_POINT('',#519016); #158102=VERTEX_POINT('',#519020); #158103=VERTEX_POINT('',#519022); #158104=VERTEX_POINT('',#519026); #158105=VERTEX_POINT('',#519028); #158106=VERTEX_POINT('',#519032); #158107=VERTEX_POINT('',#519034); #158108=VERTEX_POINT('',#519038); #158109=VERTEX_POINT('',#519040); #158110=VERTEX_POINT('',#519044); #158111=VERTEX_POINT('',#519046); #158112=VERTEX_POINT('',#519050); #158113=VERTEX_POINT('',#519052); #158114=VERTEX_POINT('',#519056); #158115=VERTEX_POINT('',#519058); #158116=VERTEX_POINT('',#519062); #158117=VERTEX_POINT('',#519064); #158118=VERTEX_POINT('',#519068); #158119=VERTEX_POINT('',#519070); #158120=VERTEX_POINT('',#519074); #158121=VERTEX_POINT('',#519076); #158122=VERTEX_POINT('',#519080); #158123=VERTEX_POINT('',#519082); #158124=VERTEX_POINT('',#519086); #158125=VERTEX_POINT('',#519088); #158126=VERTEX_POINT('',#519092); #158127=VERTEX_POINT('',#519094); #158128=VERTEX_POINT('',#519098); #158129=VERTEX_POINT('',#519100); #158130=VERTEX_POINT('',#519104); #158131=VERTEX_POINT('',#519106); #158132=VERTEX_POINT('',#519110); #158133=VERTEX_POINT('',#519112); #158134=VERTEX_POINT('',#519116); #158135=VERTEX_POINT('',#519118); #158136=VERTEX_POINT('',#519122); #158137=VERTEX_POINT('',#519124); #158138=VERTEX_POINT('',#519128); #158139=VERTEX_POINT('',#519130); #158140=VERTEX_POINT('',#519134); #158141=VERTEX_POINT('',#519136); #158142=VERTEX_POINT('',#519140); #158143=VERTEX_POINT('',#519142); #158144=VERTEX_POINT('',#519146); #158145=VERTEX_POINT('',#519148); #158146=VERTEX_POINT('',#519152); #158147=VERTEX_POINT('',#519154); #158148=VERTEX_POINT('',#519158); #158149=VERTEX_POINT('',#519160); #158150=VERTEX_POINT('',#519164); #158151=VERTEX_POINT('',#519166); #158152=VERTEX_POINT('',#519170); #158153=VERTEX_POINT('',#519172); #158154=VERTEX_POINT('',#519176); #158155=VERTEX_POINT('',#519178); #158156=VERTEX_POINT('',#519182); #158157=VERTEX_POINT('',#519184); #158158=VERTEX_POINT('',#519188); #158159=VERTEX_POINT('',#519190); #158160=VERTEX_POINT('',#519194); #158161=VERTEX_POINT('',#519196); #158162=VERTEX_POINT('',#519200); #158163=VERTEX_POINT('',#519202); #158164=VERTEX_POINT('',#519206); #158165=VERTEX_POINT('',#519208); #158166=VERTEX_POINT('',#519212); #158167=VERTEX_POINT('',#519214); #158168=VERTEX_POINT('',#519218); #158169=VERTEX_POINT('',#519220); #158170=VERTEX_POINT('',#519224); #158171=VERTEX_POINT('',#519226); #158172=VERTEX_POINT('',#519230); #158173=VERTEX_POINT('',#519232); #158174=VERTEX_POINT('',#519236); #158175=VERTEX_POINT('',#519238); #158176=VERTEX_POINT('',#519242); #158177=VERTEX_POINT('',#519244); #158178=VERTEX_POINT('',#519248); #158179=VERTEX_POINT('',#519250); #158180=VERTEX_POINT('',#519254); #158181=VERTEX_POINT('',#519256); #158182=VERTEX_POINT('',#519260); #158183=VERTEX_POINT('',#519262); #158184=VERTEX_POINT('',#519266); #158185=VERTEX_POINT('',#519268); #158186=VERTEX_POINT('',#519272); #158187=VERTEX_POINT('',#519274); #158188=VERTEX_POINT('',#519278); #158189=VERTEX_POINT('',#519280); #158190=VERTEX_POINT('',#519284); #158191=VERTEX_POINT('',#519286); #158192=VERTEX_POINT('',#519290); #158193=VERTEX_POINT('',#519292); #158194=VERTEX_POINT('',#519296); #158195=VERTEX_POINT('',#519298); #158196=VERTEX_POINT('',#519302); #158197=VERTEX_POINT('',#519304); #158198=VERTEX_POINT('',#519308); #158199=VERTEX_POINT('',#519310); #158200=VERTEX_POINT('',#519314); #158201=VERTEX_POINT('',#519316); #158202=VERTEX_POINT('',#519320); #158203=VERTEX_POINT('',#519322); #158204=VERTEX_POINT('',#519326); #158205=VERTEX_POINT('',#519328); #158206=VERTEX_POINT('',#519332); #158207=VERTEX_POINT('',#519334); #158208=VERTEX_POINT('',#519338); #158209=VERTEX_POINT('',#519340); #158210=VERTEX_POINT('',#519344); #158211=VERTEX_POINT('',#519346); #158212=VERTEX_POINT('',#519350); #158213=VERTEX_POINT('',#519352); #158214=VERTEX_POINT('',#519356); #158215=VERTEX_POINT('',#519358); #158216=VERTEX_POINT('',#519362); #158217=VERTEX_POINT('',#519364); #158218=VERTEX_POINT('',#519368); #158219=VERTEX_POINT('',#519370); #158220=VERTEX_POINT('',#519374); #158221=VERTEX_POINT('',#519376); #158222=VERTEX_POINT('',#519380); #158223=VERTEX_POINT('',#519382); #158224=VERTEX_POINT('',#519386); #158225=VERTEX_POINT('',#519388); #158226=VERTEX_POINT('',#519392); #158227=VERTEX_POINT('',#519394); #158228=VERTEX_POINT('',#519398); #158229=VERTEX_POINT('',#519400); #158230=VERTEX_POINT('',#519404); #158231=VERTEX_POINT('',#519406); #158232=VERTEX_POINT('',#519410); #158233=VERTEX_POINT('',#519412); #158234=VERTEX_POINT('',#519416); #158235=VERTEX_POINT('',#519418); #158236=VERTEX_POINT('',#519422); #158237=VERTEX_POINT('',#519424); #158238=VERTEX_POINT('',#519428); #158239=VERTEX_POINT('',#519430); #158240=VERTEX_POINT('',#519434); #158241=VERTEX_POINT('',#519436); #158242=VERTEX_POINT('',#519440); #158243=VERTEX_POINT('',#519442); #158244=VERTEX_POINT('',#519446); #158245=VERTEX_POINT('',#519448); #158246=VERTEX_POINT('',#519452); #158247=VERTEX_POINT('',#519454); #158248=VERTEX_POINT('',#519458); #158249=VERTEX_POINT('',#519460); #158250=VERTEX_POINT('',#519464); #158251=VERTEX_POINT('',#519466); #158252=VERTEX_POINT('',#519470); #158253=VERTEX_POINT('',#519472); #158254=VERTEX_POINT('',#519476); #158255=VERTEX_POINT('',#519478); #158256=VERTEX_POINT('',#519482); #158257=VERTEX_POINT('',#519484); #158258=VERTEX_POINT('',#519488); #158259=VERTEX_POINT('',#519490); #158260=VERTEX_POINT('',#519494); #158261=VERTEX_POINT('',#519496); #158262=VERTEX_POINT('',#519500); #158263=VERTEX_POINT('',#519502); #158264=VERTEX_POINT('',#519506); #158265=VERTEX_POINT('',#519508); #158266=VERTEX_POINT('',#519512); #158267=VERTEX_POINT('',#519514); #158268=VERTEX_POINT('',#519518); #158269=VERTEX_POINT('',#519520); #158270=VERTEX_POINT('',#519524); #158271=VERTEX_POINT('',#519526); #158272=VERTEX_POINT('',#519530); #158273=VERTEX_POINT('',#519532); #158274=VERTEX_POINT('',#519536); #158275=VERTEX_POINT('',#519538); #158276=VERTEX_POINT('',#519542); #158277=VERTEX_POINT('',#519544); #158278=VERTEX_POINT('',#519548); #158279=VERTEX_POINT('',#519550); #158280=VERTEX_POINT('',#519554); #158281=VERTEX_POINT('',#519556); #158282=VERTEX_POINT('',#519560); #158283=VERTEX_POINT('',#519562); #158284=VERTEX_POINT('',#519566); #158285=VERTEX_POINT('',#519568); #158286=VERTEX_POINT('',#519572); #158287=VERTEX_POINT('',#519574); #158288=VERTEX_POINT('',#519578); #158289=VERTEX_POINT('',#519580); #158290=VERTEX_POINT('',#519584); #158291=VERTEX_POINT('',#519586); #158292=VERTEX_POINT('',#519590); #158293=VERTEX_POINT('',#519592); #158294=VERTEX_POINT('',#519596); #158295=VERTEX_POINT('',#519598); #158296=VERTEX_POINT('',#519602); #158297=VERTEX_POINT('',#519604); #158298=VERTEX_POINT('',#519608); #158299=VERTEX_POINT('',#519610); #158300=VERTEX_POINT('',#519614); #158301=VERTEX_POINT('',#519616); #158302=VERTEX_POINT('',#519620); #158303=VERTEX_POINT('',#519622); #158304=VERTEX_POINT('',#519626); #158305=VERTEX_POINT('',#519628); #158306=VERTEX_POINT('',#519632); #158307=VERTEX_POINT('',#519634); #158308=VERTEX_POINT('',#519638); #158309=VERTEX_POINT('',#519640); #158310=VERTEX_POINT('',#519644); #158311=VERTEX_POINT('',#519646); #158312=VERTEX_POINT('',#519650); #158313=VERTEX_POINT('',#519652); #158314=VERTEX_POINT('',#519656); #158315=VERTEX_POINT('',#519658); #158316=VERTEX_POINT('',#519662); #158317=VERTEX_POINT('',#519664); #158318=VERTEX_POINT('',#519668); #158319=VERTEX_POINT('',#519670); #158320=VERTEX_POINT('',#519674); #158321=VERTEX_POINT('',#519676); #158322=VERTEX_POINT('',#519680); #158323=VERTEX_POINT('',#519682); #158324=VERTEX_POINT('',#519686); #158325=VERTEX_POINT('',#519688); #158326=VERTEX_POINT('',#519692); #158327=VERTEX_POINT('',#519694); #158328=VERTEX_POINT('',#519698); #158329=VERTEX_POINT('',#519700); #158330=VERTEX_POINT('',#519704); #158331=VERTEX_POINT('',#519706); #158332=VERTEX_POINT('',#519710); #158333=VERTEX_POINT('',#519712); #158334=VERTEX_POINT('',#519716); #158335=VERTEX_POINT('',#519718); #158336=VERTEX_POINT('',#519722); #158337=VERTEX_POINT('',#519724); #158338=VERTEX_POINT('',#519728); #158339=VERTEX_POINT('',#519730); #158340=VERTEX_POINT('',#519734); #158341=VERTEX_POINT('',#519736); #158342=VERTEX_POINT('',#519740); #158343=VERTEX_POINT('',#519742); #158344=VERTEX_POINT('',#519746); #158345=VERTEX_POINT('',#519748); #158346=VERTEX_POINT('',#519752); #158347=VERTEX_POINT('',#519754); #158348=VERTEX_POINT('',#519758); #158349=VERTEX_POINT('',#519760); #158350=VERTEX_POINT('',#519764); #158351=VERTEX_POINT('',#519766); #158352=VERTEX_POINT('',#519770); #158353=VERTEX_POINT('',#519772); #158354=VERTEX_POINT('',#519776); #158355=VERTEX_POINT('',#519778); #158356=VERTEX_POINT('',#519782); #158357=VERTEX_POINT('',#519784); #158358=VERTEX_POINT('',#519788); #158359=VERTEX_POINT('',#519790); #158360=VERTEX_POINT('',#519794); #158361=VERTEX_POINT('',#519796); #158362=VERTEX_POINT('',#519800); #158363=VERTEX_POINT('',#519802); #158364=VERTEX_POINT('',#519806); #158365=VERTEX_POINT('',#519808); #158366=VERTEX_POINT('',#519812); #158367=VERTEX_POINT('',#519814); #158368=VERTEX_POINT('',#519818); #158369=VERTEX_POINT('',#519820); #158370=VERTEX_POINT('',#519824); #158371=VERTEX_POINT('',#519826); #158372=VERTEX_POINT('',#519830); #158373=VERTEX_POINT('',#519832); #158374=VERTEX_POINT('',#519836); #158375=VERTEX_POINT('',#519838); #158376=VERTEX_POINT('',#519842); #158377=VERTEX_POINT('',#519844); #158378=VERTEX_POINT('',#519848); #158379=VERTEX_POINT('',#519850); #158380=VERTEX_POINT('',#519854); #158381=VERTEX_POINT('',#519856); #158382=VERTEX_POINT('',#519860); #158383=VERTEX_POINT('',#519862); #158384=VERTEX_POINT('',#519866); #158385=VERTEX_POINT('',#519868); #158386=VERTEX_POINT('',#519872); #158387=VERTEX_POINT('',#519874); #158388=VERTEX_POINT('',#519878); #158389=VERTEX_POINT('',#519880); #158390=VERTEX_POINT('',#519884); #158391=VERTEX_POINT('',#519886); #158392=VERTEX_POINT('',#519890); #158393=VERTEX_POINT('',#519892); #158394=VERTEX_POINT('',#519896); #158395=VERTEX_POINT('',#519898); #158396=VERTEX_POINT('',#519902); #158397=VERTEX_POINT('',#519904); #158398=VERTEX_POINT('',#519908); #158399=VERTEX_POINT('',#519910); #158400=VERTEX_POINT('',#519914); #158401=VERTEX_POINT('',#519916); #158402=VERTEX_POINT('',#519920); #158403=VERTEX_POINT('',#519922); #158404=VERTEX_POINT('',#519926); #158405=VERTEX_POINT('',#519928); #158406=VERTEX_POINT('',#519932); #158407=VERTEX_POINT('',#519934); #158408=VERTEX_POINT('',#519938); #158409=VERTEX_POINT('',#519940); #158410=VERTEX_POINT('',#519944); #158411=VERTEX_POINT('',#519946); #158412=VERTEX_POINT('',#519950); #158413=VERTEX_POINT('',#519952); #158414=VERTEX_POINT('',#519956); #158415=VERTEX_POINT('',#519958); #158416=VERTEX_POINT('',#519962); #158417=VERTEX_POINT('',#519964); #158418=VERTEX_POINT('',#519968); #158419=VERTEX_POINT('',#519970); #158420=VERTEX_POINT('',#519974); #158421=VERTEX_POINT('',#519976); #158422=VERTEX_POINT('',#519980); #158423=VERTEX_POINT('',#519982); #158424=VERTEX_POINT('',#519986); #158425=VERTEX_POINT('',#519988); #158426=VERTEX_POINT('',#519992); #158427=VERTEX_POINT('',#519994); #158428=VERTEX_POINT('',#519998); #158429=VERTEX_POINT('',#520000); #158430=VERTEX_POINT('',#520004); #158431=VERTEX_POINT('',#520006); #158432=VERTEX_POINT('',#520010); #158433=VERTEX_POINT('',#520012); #158434=VERTEX_POINT('',#520016); #158435=VERTEX_POINT('',#520018); #158436=VERTEX_POINT('',#520022); #158437=VERTEX_POINT('',#520024); #158438=VERTEX_POINT('',#520028); #158439=VERTEX_POINT('',#520030); #158440=VERTEX_POINT('',#520034); #158441=VERTEX_POINT('',#520036); #158442=VERTEX_POINT('',#520040); #158443=VERTEX_POINT('',#520042); #158444=VERTEX_POINT('',#520046); #158445=VERTEX_POINT('',#520048); #158446=VERTEX_POINT('',#520052); #158447=VERTEX_POINT('',#520054); #158448=VERTEX_POINT('',#520058); #158449=VERTEX_POINT('',#520060); #158450=VERTEX_POINT('',#520064); #158451=VERTEX_POINT('',#520066); #158452=VERTEX_POINT('',#520070); #158453=VERTEX_POINT('',#520072); #158454=VERTEX_POINT('',#520076); #158455=VERTEX_POINT('',#520078); #158456=VERTEX_POINT('',#520082); #158457=VERTEX_POINT('',#520084); #158458=VERTEX_POINT('',#520088); #158459=VERTEX_POINT('',#520090); #158460=VERTEX_POINT('',#520094); #158461=VERTEX_POINT('',#520096); #158462=VERTEX_POINT('',#520100); #158463=VERTEX_POINT('',#520102); #158464=VERTEX_POINT('',#520106); #158465=VERTEX_POINT('',#520108); #158466=VERTEX_POINT('',#520112); #158467=VERTEX_POINT('',#520114); #158468=VERTEX_POINT('',#520118); #158469=VERTEX_POINT('',#520120); #158470=VERTEX_POINT('',#520124); #158471=VERTEX_POINT('',#520126); #158472=VERTEX_POINT('',#520130); #158473=VERTEX_POINT('',#520132); #158474=VERTEX_POINT('',#520136); #158475=VERTEX_POINT('',#520138); #158476=VERTEX_POINT('',#520142); #158477=VERTEX_POINT('',#520144); #158478=VERTEX_POINT('',#520148); #158479=VERTEX_POINT('',#520150); #158480=VERTEX_POINT('',#520154); #158481=VERTEX_POINT('',#520156); #158482=VERTEX_POINT('',#520160); #158483=VERTEX_POINT('',#520162); #158484=VERTEX_POINT('',#520166); #158485=VERTEX_POINT('',#520168); #158486=VERTEX_POINT('',#520172); #158487=VERTEX_POINT('',#520174); #158488=VERTEX_POINT('',#520178); #158489=VERTEX_POINT('',#520180); #158490=VERTEX_POINT('',#520184); #158491=VERTEX_POINT('',#520186); #158492=VERTEX_POINT('',#520190); #158493=VERTEX_POINT('',#520192); #158494=VERTEX_POINT('',#520196); #158495=VERTEX_POINT('',#520198); #158496=VERTEX_POINT('',#520202); #158497=VERTEX_POINT('',#520204); #158498=VERTEX_POINT('',#520208); #158499=VERTEX_POINT('',#520210); #158500=VERTEX_POINT('',#520214); #158501=VERTEX_POINT('',#520216); #158502=VERTEX_POINT('',#520220); #158503=VERTEX_POINT('',#520222); #158504=VERTEX_POINT('',#520226); #158505=VERTEX_POINT('',#520228); #158506=VERTEX_POINT('',#520232); #158507=VERTEX_POINT('',#520234); #158508=VERTEX_POINT('',#520238); #158509=VERTEX_POINT('',#520240); #158510=VERTEX_POINT('',#520244); #158511=VERTEX_POINT('',#520246); #158512=VERTEX_POINT('',#520250); #158513=VERTEX_POINT('',#520252); #158514=VERTEX_POINT('',#520256); #158515=VERTEX_POINT('',#520258); #158516=VERTEX_POINT('',#520262); #158517=VERTEX_POINT('',#520264); #158518=VERTEX_POINT('',#520268); #158519=VERTEX_POINT('',#520270); #158520=VERTEX_POINT('',#520274); #158521=VERTEX_POINT('',#520276); #158522=VERTEX_POINT('',#520280); #158523=VERTEX_POINT('',#520282); #158524=VERTEX_POINT('',#520286); #158525=VERTEX_POINT('',#520288); #158526=VERTEX_POINT('',#520292); #158527=VERTEX_POINT('',#520294); #158528=VERTEX_POINT('',#520298); #158529=VERTEX_POINT('',#520300); #158530=VERTEX_POINT('',#520304); #158531=VERTEX_POINT('',#520306); #158532=VERTEX_POINT('',#520310); #158533=VERTEX_POINT('',#520312); #158534=VERTEX_POINT('',#520316); #158535=VERTEX_POINT('',#520318); #158536=VERTEX_POINT('',#520322); #158537=VERTEX_POINT('',#520324); #158538=VERTEX_POINT('',#520328); #158539=VERTEX_POINT('',#520330); #158540=VERTEX_POINT('',#520334); #158541=VERTEX_POINT('',#520336); #158542=VERTEX_POINT('',#520340); #158543=VERTEX_POINT('',#520342); #158544=VERTEX_POINT('',#520346); #158545=VERTEX_POINT('',#520348); #158546=VERTEX_POINT('',#520352); #158547=VERTEX_POINT('',#520354); #158548=VERTEX_POINT('',#520358); #158549=VERTEX_POINT('',#520360); #158550=VERTEX_POINT('',#520364); #158551=VERTEX_POINT('',#520366); #158552=VERTEX_POINT('',#520370); #158553=VERTEX_POINT('',#520372); #158554=VERTEX_POINT('',#520376); #158555=VERTEX_POINT('',#520378); #158556=VERTEX_POINT('',#520382); #158557=VERTEX_POINT('',#520384); #158558=VERTEX_POINT('',#520388); #158559=VERTEX_POINT('',#520390); #158560=VERTEX_POINT('',#520394); #158561=VERTEX_POINT('',#520396); #158562=VERTEX_POINT('',#520400); #158563=VERTEX_POINT('',#520402); #158564=VERTEX_POINT('',#520406); #158565=VERTEX_POINT('',#520408); #158566=VERTEX_POINT('',#520412); #158567=VERTEX_POINT('',#520414); #158568=VERTEX_POINT('',#520418); #158569=VERTEX_POINT('',#520420); #158570=VERTEX_POINT('',#520424); #158571=VERTEX_POINT('',#520426); #158572=VERTEX_POINT('',#520430); #158573=VERTEX_POINT('',#520432); #158574=VERTEX_POINT('',#520436); #158575=VERTEX_POINT('',#520438); #158576=VERTEX_POINT('',#520442); #158577=VERTEX_POINT('',#520444); #158578=VERTEX_POINT('',#520448); #158579=VERTEX_POINT('',#520450); #158580=VERTEX_POINT('',#520454); #158581=VERTEX_POINT('',#520456); #158582=VERTEX_POINT('',#520460); #158583=VERTEX_POINT('',#520462); #158584=VERTEX_POINT('',#520466); #158585=VERTEX_POINT('',#520468); #158586=VERTEX_POINT('',#520472); #158587=VERTEX_POINT('',#520474); #158588=VERTEX_POINT('',#520478); #158589=VERTEX_POINT('',#520480); #158590=VERTEX_POINT('',#520484); #158591=VERTEX_POINT('',#520486); #158592=VERTEX_POINT('',#520490); #158593=VERTEX_POINT('',#520492); #158594=VERTEX_POINT('',#520496); #158595=VERTEX_POINT('',#520498); #158596=VERTEX_POINT('',#520502); #158597=VERTEX_POINT('',#520504); #158598=VERTEX_POINT('',#520508); #158599=VERTEX_POINT('',#520510); #158600=VERTEX_POINT('',#520514); #158601=VERTEX_POINT('',#520516); #158602=VERTEX_POINT('',#520520); #158603=VERTEX_POINT('',#520522); #158604=VERTEX_POINT('',#520526); #158605=VERTEX_POINT('',#520528); #158606=VERTEX_POINT('',#520532); #158607=VERTEX_POINT('',#520534); #158608=VERTEX_POINT('',#520538); #158609=VERTEX_POINT('',#520540); #158610=VERTEX_POINT('',#520544); #158611=VERTEX_POINT('',#520546); #158612=VERTEX_POINT('',#520550); #158613=VERTEX_POINT('',#520552); #158614=VERTEX_POINT('',#520556); #158615=VERTEX_POINT('',#520558); #158616=VERTEX_POINT('',#520562); #158617=VERTEX_POINT('',#520564); #158618=VERTEX_POINT('',#520568); #158619=VERTEX_POINT('',#520570); #158620=VERTEX_POINT('',#520574); #158621=VERTEX_POINT('',#520576); #158622=VERTEX_POINT('',#520580); #158623=VERTEX_POINT('',#520582); #158624=VERTEX_POINT('',#520586); #158625=VERTEX_POINT('',#520588); #158626=VERTEX_POINT('',#520592); #158627=VERTEX_POINT('',#520594); #158628=VERTEX_POINT('',#520598); #158629=VERTEX_POINT('',#520600); #158630=VERTEX_POINT('',#520604); #158631=VERTEX_POINT('',#520606); #158632=VERTEX_POINT('',#520610); #158633=VERTEX_POINT('',#520612); #158634=VERTEX_POINT('',#520616); #158635=VERTEX_POINT('',#520618); #158636=VERTEX_POINT('',#520622); #158637=VERTEX_POINT('',#520624); #158638=VERTEX_POINT('',#520628); #158639=VERTEX_POINT('',#520630); #158640=VERTEX_POINT('',#520634); #158641=VERTEX_POINT('',#520636); #158642=VERTEX_POINT('',#520640); #158643=VERTEX_POINT('',#520642); #158644=VERTEX_POINT('',#520646); #158645=VERTEX_POINT('',#520648); #158646=VERTEX_POINT('',#520652); #158647=VERTEX_POINT('',#520654); #158648=VERTEX_POINT('',#520658); #158649=VERTEX_POINT('',#520660); #158650=VERTEX_POINT('',#520664); #158651=VERTEX_POINT('',#520666); #158652=VERTEX_POINT('',#520670); #158653=VERTEX_POINT('',#520672); #158654=VERTEX_POINT('',#520676); #158655=VERTEX_POINT('',#520678); #158656=VERTEX_POINT('',#520682); #158657=VERTEX_POINT('',#520684); #158658=VERTEX_POINT('',#520688); #158659=VERTEX_POINT('',#520690); #158660=VERTEX_POINT('',#520694); #158661=VERTEX_POINT('',#520696); #158662=VERTEX_POINT('',#520700); #158663=VERTEX_POINT('',#520702); #158664=VERTEX_POINT('',#520706); #158665=VERTEX_POINT('',#520708); #158666=VERTEX_POINT('',#520712); #158667=VERTEX_POINT('',#520714); #158668=VERTEX_POINT('',#520718); #158669=VERTEX_POINT('',#520720); #158670=VERTEX_POINT('',#520727); #158671=VERTEX_POINT('',#520728); #158672=VERTEX_POINT('',#520730); #158673=VERTEX_POINT('',#520732); #158674=VERTEX_POINT('',#520736); #158675=VERTEX_POINT('',#520738); #158676=VERTEX_POINT('',#520742); #158677=VERTEX_POINT('',#520744); #158678=VERTEX_POINT('',#520748); #158679=VERTEX_POINT('',#520750); #158680=VERTEX_POINT('',#520754); #158681=VERTEX_POINT('',#520756); #158682=VERTEX_POINT('',#520760); #158683=VERTEX_POINT('',#520762); #158684=VERTEX_POINT('',#520766); #158685=VERTEX_POINT('',#520768); #158686=VERTEX_POINT('',#520772); #158687=VERTEX_POINT('',#520774); #158688=VERTEX_POINT('',#520778); #158689=VERTEX_POINT('',#520780); #158690=VERTEX_POINT('',#520784); #158691=VERTEX_POINT('',#520786); #158692=VERTEX_POINT('',#520790); #158693=VERTEX_POINT('',#520792); #158694=VERTEX_POINT('',#520796); #158695=VERTEX_POINT('',#520798); #158696=VERTEX_POINT('',#520802); #158697=VERTEX_POINT('',#520804); #158698=VERTEX_POINT('',#520808); #158699=VERTEX_POINT('',#520810); #158700=VERTEX_POINT('',#520814); #158701=VERTEX_POINT('',#520816); #158702=VERTEX_POINT('',#520820); #158703=VERTEX_POINT('',#520822); #158704=VERTEX_POINT('',#520826); #158705=VERTEX_POINT('',#520828); #158706=VERTEX_POINT('',#520832); #158707=VERTEX_POINT('',#520834); #158708=VERTEX_POINT('',#520838); #158709=VERTEX_POINT('',#520840); #158710=VERTEX_POINT('',#520844); #158711=VERTEX_POINT('',#520846); #158712=VERTEX_POINT('',#520850); #158713=VERTEX_POINT('',#520852); #158714=VERTEX_POINT('',#520856); #158715=VERTEX_POINT('',#520858); #158716=VERTEX_POINT('',#520862); #158717=VERTEX_POINT('',#520864); #158718=VERTEX_POINT('',#520868); #158719=VERTEX_POINT('',#520870); #158720=VERTEX_POINT('',#520874); #158721=VERTEX_POINT('',#520876); #158722=VERTEX_POINT('',#520880); #158723=VERTEX_POINT('',#520882); #158724=VERTEX_POINT('',#520886); #158725=VERTEX_POINT('',#520888); #158726=VERTEX_POINT('',#520892); #158727=VERTEX_POINT('',#520894); #158728=VERTEX_POINT('',#520898); #158729=VERTEX_POINT('',#520900); #158730=VERTEX_POINT('',#520904); #158731=VERTEX_POINT('',#520906); #158732=VERTEX_POINT('',#520910); #158733=VERTEX_POINT('',#520912); #158734=VERTEX_POINT('',#520916); #158735=VERTEX_POINT('',#520918); #158736=VERTEX_POINT('',#520922); #158737=VERTEX_POINT('',#520924); #158738=VERTEX_POINT('',#520928); #158739=VERTEX_POINT('',#520930); #158740=VERTEX_POINT('',#520934); #158741=VERTEX_POINT('',#520936); #158742=VERTEX_POINT('',#520940); #158743=VERTEX_POINT('',#520942); #158744=VERTEX_POINT('',#520946); #158745=VERTEX_POINT('',#520948); #158746=VERTEX_POINT('',#520952); #158747=VERTEX_POINT('',#520954); #158748=VERTEX_POINT('',#520958); #158749=VERTEX_POINT('',#520960); #158750=VERTEX_POINT('',#520964); #158751=VERTEX_POINT('',#520966); #158752=VERTEX_POINT('',#520970); #158753=VERTEX_POINT('',#520972); #158754=VERTEX_POINT('',#520976); #158755=VERTEX_POINT('',#520978); #158756=VERTEX_POINT('',#520982); #158757=VERTEX_POINT('',#520984); #158758=VERTEX_POINT('',#520988); #158759=VERTEX_POINT('',#520990); #158760=VERTEX_POINT('',#520994); #158761=VERTEX_POINT('',#520996); #158762=VERTEX_POINT('',#521000); #158763=VERTEX_POINT('',#521002); #158764=VERTEX_POINT('',#521006); #158765=VERTEX_POINT('',#521008); #158766=VERTEX_POINT('',#521012); #158767=VERTEX_POINT('',#521014); #158768=VERTEX_POINT('',#521018); #158769=VERTEX_POINT('',#521020); #158770=VERTEX_POINT('',#521024); #158771=VERTEX_POINT('',#521026); #158772=VERTEX_POINT('',#521030); #158773=VERTEX_POINT('',#521032); #158774=VERTEX_POINT('',#521036); #158775=VERTEX_POINT('',#521038); #158776=VERTEX_POINT('',#521042); #158777=VERTEX_POINT('',#521044); #158778=VERTEX_POINT('',#521048); #158779=VERTEX_POINT('',#521050); #158780=VERTEX_POINT('',#521054); #158781=VERTEX_POINT('',#521056); #158782=VERTEX_POINT('',#521060); #158783=VERTEX_POINT('',#521062); #158784=VERTEX_POINT('',#521066); #158785=VERTEX_POINT('',#521068); #158786=VERTEX_POINT('',#521072); #158787=VERTEX_POINT('',#521074); #158788=VERTEX_POINT('',#521078); #158789=VERTEX_POINT('',#521080); #158790=VERTEX_POINT('',#521084); #158791=VERTEX_POINT('',#521086); #158792=VERTEX_POINT('',#521090); #158793=VERTEX_POINT('',#521092); #158794=VERTEX_POINT('',#521096); #158795=VERTEX_POINT('',#521098); #158796=VERTEX_POINT('',#521102); #158797=VERTEX_POINT('',#521104); #158798=VERTEX_POINT('',#521108); #158799=VERTEX_POINT('',#521110); #158800=VERTEX_POINT('',#521114); #158801=VERTEX_POINT('',#521116); #158802=VERTEX_POINT('',#521120); #158803=VERTEX_POINT('',#521122); #158804=VERTEX_POINT('',#521126); #158805=VERTEX_POINT('',#521128); #158806=VERTEX_POINT('',#521132); #158807=VERTEX_POINT('',#521134); #158808=VERTEX_POINT('',#521138); #158809=VERTEX_POINT('',#521140); #158810=VERTEX_POINT('',#521144); #158811=VERTEX_POINT('',#521146); #158812=VERTEX_POINT('',#521150); #158813=VERTEX_POINT('',#521152); #158814=VERTEX_POINT('',#521156); #158815=VERTEX_POINT('',#521158); #158816=VERTEX_POINT('',#521162); #158817=VERTEX_POINT('',#521164); #158818=VERTEX_POINT('',#521168); #158819=VERTEX_POINT('',#521170); #158820=VERTEX_POINT('',#521174); #158821=VERTEX_POINT('',#521176); #158822=VERTEX_POINT('',#521180); #158823=VERTEX_POINT('',#521182); #158824=VERTEX_POINT('',#521186); #158825=VERTEX_POINT('',#521188); #158826=VERTEX_POINT('',#521192); #158827=VERTEX_POINT('',#521194); #158828=VERTEX_POINT('',#521198); #158829=VERTEX_POINT('',#521200); #158830=VERTEX_POINT('',#521204); #158831=VERTEX_POINT('',#521206); #158832=VERTEX_POINT('',#521210); #158833=VERTEX_POINT('',#521212); #158834=VERTEX_POINT('',#521216); #158835=VERTEX_POINT('',#521218); #158836=VERTEX_POINT('',#521222); #158837=VERTEX_POINT('',#521224); #158838=VERTEX_POINT('',#521228); #158839=VERTEX_POINT('',#521230); #158840=VERTEX_POINT('',#521234); #158841=VERTEX_POINT('',#521236); #158842=VERTEX_POINT('',#521240); #158843=VERTEX_POINT('',#521242); #158844=VERTEX_POINT('',#521246); #158845=VERTEX_POINT('',#521248); #158846=VERTEX_POINT('',#521252); #158847=VERTEX_POINT('',#521254); #158848=VERTEX_POINT('',#521258); #158849=VERTEX_POINT('',#521260); #158850=VERTEX_POINT('',#521264); #158851=VERTEX_POINT('',#521266); #158852=VERTEX_POINT('',#521270); #158853=VERTEX_POINT('',#521272); #158854=VERTEX_POINT('',#521276); #158855=VERTEX_POINT('',#521278); #158856=VERTEX_POINT('',#521282); #158857=VERTEX_POINT('',#521284); #158858=VERTEX_POINT('',#521288); #158859=VERTEX_POINT('',#521290); #158860=VERTEX_POINT('',#521294); #158861=VERTEX_POINT('',#521296); #158862=VERTEX_POINT('',#521300); #158863=VERTEX_POINT('',#521302); #158864=VERTEX_POINT('',#521306); #158865=VERTEX_POINT('',#521308); #158866=VERTEX_POINT('',#521312); #158867=VERTEX_POINT('',#521314); #158868=VERTEX_POINT('',#521318); #158869=VERTEX_POINT('',#521320); #158870=VERTEX_POINT('',#521324); #158871=VERTEX_POINT('',#521326); #158872=VERTEX_POINT('',#521330); #158873=VERTEX_POINT('',#521332); #158874=VERTEX_POINT('',#521336); #158875=VERTEX_POINT('',#521338); #158876=VERTEX_POINT('',#521342); #158877=VERTEX_POINT('',#521344); #158878=VERTEX_POINT('',#521348); #158879=VERTEX_POINT('',#521350); #158880=VERTEX_POINT('',#521354); #158881=VERTEX_POINT('',#521356); #158882=VERTEX_POINT('',#521360); #158883=VERTEX_POINT('',#521362); #158884=VERTEX_POINT('',#521366); #158885=VERTEX_POINT('',#521368); #158886=VERTEX_POINT('',#521372); #158887=VERTEX_POINT('',#521374); #158888=VERTEX_POINT('',#521378); #158889=VERTEX_POINT('',#521380); #158890=VERTEX_POINT('',#521384); #158891=VERTEX_POINT('',#521386); #158892=VERTEX_POINT('',#521390); #158893=VERTEX_POINT('',#521392); #158894=VERTEX_POINT('',#521396); #158895=VERTEX_POINT('',#521398); #158896=VERTEX_POINT('',#521402); #158897=VERTEX_POINT('',#521404); #158898=VERTEX_POINT('',#521408); #158899=VERTEX_POINT('',#521410); #158900=VERTEX_POINT('',#521414); #158901=VERTEX_POINT('',#521416); #158902=VERTEX_POINT('',#521420); #158903=VERTEX_POINT('',#521422); #158904=VERTEX_POINT('',#521426); #158905=VERTEX_POINT('',#521428); #158906=VERTEX_POINT('',#521432); #158907=VERTEX_POINT('',#521434); #158908=VERTEX_POINT('',#521441); #158909=VERTEX_POINT('',#521442); #158910=VERTEX_POINT('',#521444); #158911=VERTEX_POINT('',#521446); #158912=VERTEX_POINT('',#521450); #158913=VERTEX_POINT('',#521452); #158914=VERTEX_POINT('',#521456); #158915=VERTEX_POINT('',#521458); #158916=VERTEX_POINT('',#521462); #158917=VERTEX_POINT('',#521464); #158918=VERTEX_POINT('',#521468); #158919=VERTEX_POINT('',#521470); #158920=VERTEX_POINT('',#521474); #158921=VERTEX_POINT('',#521476); #158922=VERTEX_POINT('',#521480); #158923=VERTEX_POINT('',#521482); #158924=VERTEX_POINT('',#521489); #158925=VERTEX_POINT('',#521490); #158926=VERTEX_POINT('',#521492); #158927=VERTEX_POINT('',#521494); #158928=VERTEX_POINT('',#521498); #158929=VERTEX_POINT('',#521500); #158930=VERTEX_POINT('',#521504); #158931=VERTEX_POINT('',#521506); #158932=VERTEX_POINT('',#521510); #158933=VERTEX_POINT('',#521512); #158934=VERTEX_POINT('',#521516); #158935=VERTEX_POINT('',#521518); #158936=VERTEX_POINT('',#521522); #158937=VERTEX_POINT('',#521524); #158938=VERTEX_POINT('',#521528); #158939=VERTEX_POINT('',#521530); #158940=VERTEX_POINT('',#521534); #158941=VERTEX_POINT('',#521536); #158942=VERTEX_POINT('',#521540); #158943=VERTEX_POINT('',#521542); #158944=VERTEX_POINT('',#521546); #158945=VERTEX_POINT('',#521548); #158946=VERTEX_POINT('',#521552); #158947=VERTEX_POINT('',#521554); #158948=VERTEX_POINT('',#521558); #158949=VERTEX_POINT('',#521560); #158950=VERTEX_POINT('',#521564); #158951=VERTEX_POINT('',#521566); #158952=VERTEX_POINT('',#521570); #158953=VERTEX_POINT('',#521572); #158954=VERTEX_POINT('',#521576); #158955=VERTEX_POINT('',#521578); #158956=VERTEX_POINT('',#521582); #158957=VERTEX_POINT('',#521584); #158958=VERTEX_POINT('',#521588); #158959=VERTEX_POINT('',#521590); #158960=VERTEX_POINT('',#521594); #158961=VERTEX_POINT('',#521596); #158962=VERTEX_POINT('',#521600); #158963=VERTEX_POINT('',#521602); #158964=VERTEX_POINT('',#521606); #158965=VERTEX_POINT('',#521608); #158966=VERTEX_POINT('',#521612); #158967=VERTEX_POINT('',#521614); #158968=VERTEX_POINT('',#521618); #158969=VERTEX_POINT('',#521620); #158970=VERTEX_POINT('',#521624); #158971=VERTEX_POINT('',#521626); #158972=VERTEX_POINT('',#521630); #158973=VERTEX_POINT('',#521632); #158974=VERTEX_POINT('',#521636); #158975=VERTEX_POINT('',#521638); #158976=VERTEX_POINT('',#521642); #158977=VERTEX_POINT('',#521644); #158978=VERTEX_POINT('',#521648); #158979=VERTEX_POINT('',#521650); #158980=VERTEX_POINT('',#521654); #158981=VERTEX_POINT('',#521656); #158982=VERTEX_POINT('',#521660); #158983=VERTEX_POINT('',#521662); #158984=VERTEX_POINT('',#521666); #158985=VERTEX_POINT('',#521668); #158986=VERTEX_POINT('',#521672); #158987=VERTEX_POINT('',#521674); #158988=VERTEX_POINT('',#521678); #158989=VERTEX_POINT('',#521680); #158990=VERTEX_POINT('',#521684); #158991=VERTEX_POINT('',#521686); #158992=VERTEX_POINT('',#521690); #158993=VERTEX_POINT('',#521692); #158994=VERTEX_POINT('',#521696); #158995=VERTEX_POINT('',#521698); #158996=VERTEX_POINT('',#521702); #158997=VERTEX_POINT('',#521704); #158998=VERTEX_POINT('',#521708); #158999=VERTEX_POINT('',#521710); #159000=VERTEX_POINT('',#521714); #159001=VERTEX_POINT('',#521716); #159002=VERTEX_POINT('',#521720); #159003=VERTEX_POINT('',#521722); #159004=VERTEX_POINT('',#521726); #159005=VERTEX_POINT('',#521728); #159006=VERTEX_POINT('',#521732); #159007=VERTEX_POINT('',#521734); #159008=VERTEX_POINT('',#521738); #159009=VERTEX_POINT('',#521740); #159010=VERTEX_POINT('',#521744); #159011=VERTEX_POINT('',#521746); #159012=VERTEX_POINT('',#521750); #159013=VERTEX_POINT('',#521752); #159014=VERTEX_POINT('',#521756); #159015=VERTEX_POINT('',#521758); #159016=VERTEX_POINT('',#521762); #159017=VERTEX_POINT('',#521764); #159018=VERTEX_POINT('',#521768); #159019=VERTEX_POINT('',#521770); #159020=VERTEX_POINT('',#521774); #159021=VERTEX_POINT('',#521776); #159022=VERTEX_POINT('',#521780); #159023=VERTEX_POINT('',#521782); #159024=VERTEX_POINT('',#521786); #159025=VERTEX_POINT('',#521788); #159026=VERTEX_POINT('',#521792); #159027=VERTEX_POINT('',#521794); #159028=VERTEX_POINT('',#521798); #159029=VERTEX_POINT('',#521800); #159030=VERTEX_POINT('',#521804); #159031=VERTEX_POINT('',#521806); #159032=VERTEX_POINT('',#521810); #159033=VERTEX_POINT('',#521812); #159034=VERTEX_POINT('',#521816); #159035=VERTEX_POINT('',#521818); #159036=VERTEX_POINT('',#521822); #159037=VERTEX_POINT('',#521824); #159038=VERTEX_POINT('',#521828); #159039=VERTEX_POINT('',#521830); #159040=VERTEX_POINT('',#521834); #159041=VERTEX_POINT('',#521836); #159042=VERTEX_POINT('',#521840); #159043=VERTEX_POINT('',#521842); #159044=VERTEX_POINT('',#521846); #159045=VERTEX_POINT('',#521848); #159046=VERTEX_POINT('',#521852); #159047=VERTEX_POINT('',#521854); #159048=VERTEX_POINT('',#521858); #159049=VERTEX_POINT('',#521860); #159050=VERTEX_POINT('',#521864); #159051=VERTEX_POINT('',#521866); #159052=VERTEX_POINT('',#521870); #159053=VERTEX_POINT('',#521872); #159054=VERTEX_POINT('',#521876); #159055=VERTEX_POINT('',#521878); #159056=VERTEX_POINT('',#521882); #159057=VERTEX_POINT('',#521884); #159058=VERTEX_POINT('',#521888); #159059=VERTEX_POINT('',#521890); #159060=VERTEX_POINT('',#521894); #159061=VERTEX_POINT('',#521896); #159062=VERTEX_POINT('',#521900); #159063=VERTEX_POINT('',#521902); #159064=VERTEX_POINT('',#521906); #159065=VERTEX_POINT('',#521908); #159066=VERTEX_POINT('',#521912); #159067=VERTEX_POINT('',#521914); #159068=VERTEX_POINT('',#521918); #159069=VERTEX_POINT('',#521920); #159070=VERTEX_POINT('',#521924); #159071=VERTEX_POINT('',#521926); #159072=VERTEX_POINT('',#521930); #159073=VERTEX_POINT('',#521932); #159074=VERTEX_POINT('',#521936); #159075=VERTEX_POINT('',#521938); #159076=VERTEX_POINT('',#521942); #159077=VERTEX_POINT('',#521944); #159078=VERTEX_POINT('',#521948); #159079=VERTEX_POINT('',#521950); #159080=VERTEX_POINT('',#521954); #159081=VERTEX_POINT('',#521956); #159082=VERTEX_POINT('',#521960); #159083=VERTEX_POINT('',#521962); #159084=VERTEX_POINT('',#521966); #159085=VERTEX_POINT('',#521968); #159086=VERTEX_POINT('',#521972); #159087=VERTEX_POINT('',#521974); #159088=VERTEX_POINT('',#521978); #159089=VERTEX_POINT('',#521980); #159090=VERTEX_POINT('',#521984); #159091=VERTEX_POINT('',#521986); #159092=VERTEX_POINT('',#521990); #159093=VERTEX_POINT('',#521992); #159094=VERTEX_POINT('',#521996); #159095=VERTEX_POINT('',#521998); #159096=VERTEX_POINT('',#522002); #159097=VERTEX_POINT('',#522004); #159098=VERTEX_POINT('',#522008); #159099=VERTEX_POINT('',#522010); #159100=VERTEX_POINT('',#522014); #159101=VERTEX_POINT('',#522016); #159102=VERTEX_POINT('',#522020); #159103=VERTEX_POINT('',#522022); #159104=VERTEX_POINT('',#522026); #159105=VERTEX_POINT('',#522028); #159106=VERTEX_POINT('',#522032); #159107=VERTEX_POINT('',#522034); #159108=VERTEX_POINT('',#522038); #159109=VERTEX_POINT('',#522040); #159110=VERTEX_POINT('',#522044); #159111=VERTEX_POINT('',#522046); #159112=VERTEX_POINT('',#522050); #159113=VERTEX_POINT('',#522052); #159114=VERTEX_POINT('',#522056); #159115=VERTEX_POINT('',#522058); #159116=VERTEX_POINT('',#522062); #159117=VERTEX_POINT('',#522064); #159118=VERTEX_POINT('',#522068); #159119=VERTEX_POINT('',#522070); #159120=VERTEX_POINT('',#522074); #159121=VERTEX_POINT('',#522076); #159122=VERTEX_POINT('',#522080); #159123=VERTEX_POINT('',#522082); #159124=VERTEX_POINT('',#522086); #159125=VERTEX_POINT('',#522088); #159126=VERTEX_POINT('',#522092); #159127=VERTEX_POINT('',#522094); #159128=VERTEX_POINT('',#522098); #159129=VERTEX_POINT('',#522100); #159130=VERTEX_POINT('',#522104); #159131=VERTEX_POINT('',#522106); #159132=VERTEX_POINT('',#522110); #159133=VERTEX_POINT('',#522112); #159134=VERTEX_POINT('',#522116); #159135=VERTEX_POINT('',#522118); #159136=VERTEX_POINT('',#522122); #159137=VERTEX_POINT('',#522124); #159138=VERTEX_POINT('',#522128); #159139=VERTEX_POINT('',#522130); #159140=VERTEX_POINT('',#522134); #159141=VERTEX_POINT('',#522136); #159142=VERTEX_POINT('',#522140); #159143=VERTEX_POINT('',#522142); #159144=VERTEX_POINT('',#522146); #159145=VERTEX_POINT('',#522148); #159146=VERTEX_POINT('',#522152); #159147=VERTEX_POINT('',#522154); #159148=VERTEX_POINT('',#522158); #159149=VERTEX_POINT('',#522160); #159150=VERTEX_POINT('',#522164); #159151=VERTEX_POINT('',#522166); #159152=VERTEX_POINT('',#522170); #159153=VERTEX_POINT('',#522172); #159154=VERTEX_POINT('',#522176); #159155=VERTEX_POINT('',#522178); #159156=VERTEX_POINT('',#522182); #159157=VERTEX_POINT('',#522184); #159158=VERTEX_POINT('',#522188); #159159=VERTEX_POINT('',#522190); #159160=VERTEX_POINT('',#522194); #159161=VERTEX_POINT('',#522196); #159162=VERTEX_POINT('',#522200); #159163=VERTEX_POINT('',#522202); #159164=VERTEX_POINT('',#522211); #159165=VERTEX_POINT('',#522212); #159166=VERTEX_POINT('',#522214); #159167=VERTEX_POINT('',#522216); #159168=VERTEX_POINT('',#522220); #159169=VERTEX_POINT('',#522222); #159170=VERTEX_POINT('',#522226); #159171=VERTEX_POINT('',#522228); #159172=VERTEX_POINT('',#522232); #159173=VERTEX_POINT('',#522234); #159174=VERTEX_POINT('',#522238); #159175=VERTEX_POINT('',#522240); #159176=VERTEX_POINT('',#522244); #159177=VERTEX_POINT('',#522246); #159178=VERTEX_POINT('',#522250); #159179=VERTEX_POINT('',#522252); #159180=VERTEX_POINT('',#522256); #159181=VERTEX_POINT('',#522258); #159182=VERTEX_POINT('',#522262); #159183=VERTEX_POINT('',#522264); #159184=VERTEX_POINT('',#522268); #159185=VERTEX_POINT('',#522270); #159186=VERTEX_POINT('',#522274); #159187=VERTEX_POINT('',#522276); #159188=VERTEX_POINT('',#522280); #159189=VERTEX_POINT('',#522282); #159190=VERTEX_POINT('',#522286); #159191=VERTEX_POINT('',#522288); #159192=VERTEX_POINT('',#522292); #159193=VERTEX_POINT('',#522294); #159194=VERTEX_POINT('',#522298); #159195=VERTEX_POINT('',#522300); #159196=VERTEX_POINT('',#522304); #159197=VERTEX_POINT('',#522306); #159198=VERTEX_POINT('',#522310); #159199=VERTEX_POINT('',#522312); #159200=VERTEX_POINT('',#522316); #159201=VERTEX_POINT('',#522318); #159202=VERTEX_POINT('',#522322); #159203=VERTEX_POINT('',#522324); #159204=VERTEX_POINT('',#522328); #159205=VERTEX_POINT('',#522330); #159206=VERTEX_POINT('',#522339); #159207=VERTEX_POINT('',#522340); #159208=VERTEX_POINT('',#522342); #159209=VERTEX_POINT('',#522344); #159210=VERTEX_POINT('',#522348); #159211=VERTEX_POINT('',#522350); #159212=VERTEX_POINT('',#522354); #159213=VERTEX_POINT('',#522356); #159214=VERTEX_POINT('',#522360); #159215=VERTEX_POINT('',#522362); #159216=VERTEX_POINT('',#522366); #159217=VERTEX_POINT('',#522368); #159218=VERTEX_POINT('',#522372); #159219=VERTEX_POINT('',#522374); #159220=VERTEX_POINT('',#522378); #159221=VERTEX_POINT('',#522380); #159222=VERTEX_POINT('',#522384); #159223=VERTEX_POINT('',#522386); #159224=VERTEX_POINT('',#522390); #159225=VERTEX_POINT('',#522392); #159226=VERTEX_POINT('',#522396); #159227=VERTEX_POINT('',#522398); #159228=VERTEX_POINT('',#522402); #159229=VERTEX_POINT('',#522404); #159230=VERTEX_POINT('',#522408); #159231=VERTEX_POINT('',#522410); #159232=VERTEX_POINT('',#522414); #159233=VERTEX_POINT('',#522416); #159234=VERTEX_POINT('',#522420); #159235=VERTEX_POINT('',#522422); #159236=VERTEX_POINT('',#522426); #159237=VERTEX_POINT('',#522428); #159238=VERTEX_POINT('',#522432); #159239=VERTEX_POINT('',#522434); #159240=VERTEX_POINT('',#522438); #159241=VERTEX_POINT('',#522440); #159242=VERTEX_POINT('',#522444); #159243=VERTEX_POINT('',#522446); #159244=VERTEX_POINT('',#522450); #159245=VERTEX_POINT('',#522452); #159246=VERTEX_POINT('',#522456); #159247=VERTEX_POINT('',#522458); #159248=VERTEX_POINT('',#522462); #159249=VERTEX_POINT('',#522464); #159250=VERTEX_POINT('',#522468); #159251=VERTEX_POINT('',#522470); #159252=VERTEX_POINT('',#522474); #159253=VERTEX_POINT('',#522476); #159254=VERTEX_POINT('',#522480); #159255=VERTEX_POINT('',#522482); #159256=VERTEX_POINT('',#522486); #159257=VERTEX_POINT('',#522488); #159258=VERTEX_POINT('',#522497); #159259=VERTEX_POINT('',#522498); #159260=VERTEX_POINT('',#522500); #159261=VERTEX_POINT('',#522502); #159262=VERTEX_POINT('',#522506); #159263=VERTEX_POINT('',#522508); #159264=VERTEX_POINT('',#522512); #159265=VERTEX_POINT('',#522514); #159266=VERTEX_POINT('',#522518); #159267=VERTEX_POINT('',#522520); #159268=VERTEX_POINT('',#522524); #159269=VERTEX_POINT('',#522526); #159270=VERTEX_POINT('',#522530); #159271=VERTEX_POINT('',#522532); #159272=VERTEX_POINT('',#522536); #159273=VERTEX_POINT('',#522538); #159274=VERTEX_POINT('',#522542); #159275=VERTEX_POINT('',#522544); #159276=VERTEX_POINT('',#522548); #159277=VERTEX_POINT('',#522550); #159278=VERTEX_POINT('',#522554); #159279=VERTEX_POINT('',#522556); #159280=VERTEX_POINT('',#522560); #159281=VERTEX_POINT('',#522562); #159282=VERTEX_POINT('',#522566); #159283=VERTEX_POINT('',#522568); #159284=VERTEX_POINT('',#522572); #159285=VERTEX_POINT('',#522574); #159286=VERTEX_POINT('',#522578); #159287=VERTEX_POINT('',#522580); #159288=VERTEX_POINT('',#522584); #159289=VERTEX_POINT('',#522586); #159290=VERTEX_POINT('',#522590); #159291=VERTEX_POINT('',#522592); #159292=VERTEX_POINT('',#522596); #159293=VERTEX_POINT('',#522598); #159294=VERTEX_POINT('',#522602); #159295=VERTEX_POINT('',#522604); #159296=VERTEX_POINT('',#522608); #159297=VERTEX_POINT('',#522610); #159298=VERTEX_POINT('',#522619); #159299=VERTEX_POINT('',#522620); #159300=VERTEX_POINT('',#522622); #159301=VERTEX_POINT('',#522624); #159302=VERTEX_POINT('',#522628); #159303=VERTEX_POINT('',#522630); #159304=VERTEX_POINT('',#522634); #159305=VERTEX_POINT('',#522636); #159306=VERTEX_POINT('',#522640); #159307=VERTEX_POINT('',#522642); #159308=VERTEX_POINT('',#522646); #159309=VERTEX_POINT('',#522648); #159310=VERTEX_POINT('',#522652); #159311=VERTEX_POINT('',#522654); #159312=VERTEX_POINT('',#522658); #159313=VERTEX_POINT('',#522660); #159314=VERTEX_POINT('',#522664); #159315=VERTEX_POINT('',#522666); #159316=VERTEX_POINT('',#522670); #159317=VERTEX_POINT('',#522672); #159318=VERTEX_POINT('',#522676); #159319=VERTEX_POINT('',#522678); #159320=VERTEX_POINT('',#522682); #159321=VERTEX_POINT('',#522684); #159322=VERTEX_POINT('',#522688); #159323=VERTEX_POINT('',#522690); #159324=VERTEX_POINT('',#522694); #159325=VERTEX_POINT('',#522696); #159326=VERTEX_POINT('',#522700); #159327=VERTEX_POINT('',#522702); #159328=VERTEX_POINT('',#522706); #159329=VERTEX_POINT('',#522708); #159330=VERTEX_POINT('',#522712); #159331=VERTEX_POINT('',#522714); #159332=VERTEX_POINT('',#522718); #159333=VERTEX_POINT('',#522720); #159334=VERTEX_POINT('',#522724); #159335=VERTEX_POINT('',#522726); #159336=VERTEX_POINT('',#522730); #159337=VERTEX_POINT('',#522732); #159338=VERTEX_POINT('',#522736); #159339=VERTEX_POINT('',#522738); #159340=VERTEX_POINT('',#522742); #159341=VERTEX_POINT('',#522744); #159342=VERTEX_POINT('',#522748); #159343=VERTEX_POINT('',#522750); #159344=VERTEX_POINT('',#522759); #159345=VERTEX_POINT('',#522760); #159346=VERTEX_POINT('',#522762); #159347=VERTEX_POINT('',#522764); #159348=VERTEX_POINT('',#522768); #159349=VERTEX_POINT('',#522770); #159350=VERTEX_POINT('',#522774); #159351=VERTEX_POINT('',#522776); #159352=VERTEX_POINT('',#522780); #159353=VERTEX_POINT('',#522782); #159354=VERTEX_POINT('',#522786); #159355=VERTEX_POINT('',#522788); #159356=VERTEX_POINT('',#522792); #159357=VERTEX_POINT('',#522794); #159358=VERTEX_POINT('',#522798); #159359=VERTEX_POINT('',#522800); #159360=VERTEX_POINT('',#522804); #159361=VERTEX_POINT('',#522806); #159362=VERTEX_POINT('',#522810); #159363=VERTEX_POINT('',#522812); #159364=VERTEX_POINT('',#522816); #159365=VERTEX_POINT('',#522818); #159366=VERTEX_POINT('',#522822); #159367=VERTEX_POINT('',#522824); #159368=VERTEX_POINT('',#522828); #159369=VERTEX_POINT('',#522830); #159370=VERTEX_POINT('',#522834); #159371=VERTEX_POINT('',#522836); #159372=VERTEX_POINT('',#522840); #159373=VERTEX_POINT('',#522842); #159374=VERTEX_POINT('',#522846); #159375=VERTEX_POINT('',#522848); #159376=VERTEX_POINT('',#522852); #159377=VERTEX_POINT('',#522854); #159378=VERTEX_POINT('',#522858); #159379=VERTEX_POINT('',#522860); #159380=VERTEX_POINT('',#522864); #159381=VERTEX_POINT('',#522866); #159382=VERTEX_POINT('',#522870); #159383=VERTEX_POINT('',#522872); #159384=VERTEX_POINT('',#522876); #159385=VERTEX_POINT('',#522878); #159386=VERTEX_POINT('',#522887); #159387=VERTEX_POINT('',#522888); #159388=VERTEX_POINT('',#522890); #159389=VERTEX_POINT('',#522892); #159390=VERTEX_POINT('',#522896); #159391=VERTEX_POINT('',#522898); #159392=VERTEX_POINT('',#522902); #159393=VERTEX_POINT('',#522904); #159394=VERTEX_POINT('',#522908); #159395=VERTEX_POINT('',#522910); #159396=VERTEX_POINT('',#522914); #159397=VERTEX_POINT('',#522916); #159398=VERTEX_POINT('',#522920); #159399=VERTEX_POINT('',#522922); #159400=VERTEX_POINT('',#522926); #159401=VERTEX_POINT('',#522928); #159402=VERTEX_POINT('',#522932); #159403=VERTEX_POINT('',#522934); #159404=VERTEX_POINT('',#522938); #159405=VERTEX_POINT('',#522940); #159406=VERTEX_POINT('',#522944); #159407=VERTEX_POINT('',#522946); #159408=VERTEX_POINT('',#522950); #159409=VERTEX_POINT('',#522952); #159410=VERTEX_POINT('',#522956); #159411=VERTEX_POINT('',#522958); #159412=VERTEX_POINT('',#522962); #159413=VERTEX_POINT('',#522964); #159414=VERTEX_POINT('',#522968); #159415=VERTEX_POINT('',#522970); #159416=VERTEX_POINT('',#522974); #159417=VERTEX_POINT('',#522976); #159418=VERTEX_POINT('',#522980); #159419=VERTEX_POINT('',#522982); #159420=VERTEX_POINT('',#522986); #159421=VERTEX_POINT('',#522988); #159422=VERTEX_POINT('',#522992); #159423=VERTEX_POINT('',#522994); #159424=VERTEX_POINT('',#522998); #159425=VERTEX_POINT('',#523000); #159426=VERTEX_POINT('',#523004); #159427=VERTEX_POINT('',#523006); #159428=VERTEX_POINT('',#523010); #159429=VERTEX_POINT('',#523012); #159430=VERTEX_POINT('',#523016); #159431=VERTEX_POINT('',#523018); #159432=VERTEX_POINT('',#523022); #159433=VERTEX_POINT('',#523024); #159434=VERTEX_POINT('',#523028); #159435=VERTEX_POINT('',#523030); #159436=VERTEX_POINT('',#523034); #159437=VERTEX_POINT('',#523036); #159438=VERTEX_POINT('',#523040); #159439=VERTEX_POINT('',#523042); #159440=VERTEX_POINT('',#523046); #159441=VERTEX_POINT('',#523048); #159442=VERTEX_POINT('',#523052); #159443=VERTEX_POINT('',#523054); #159444=VERTEX_POINT('',#523058); #159445=VERTEX_POINT('',#523060); #159446=VERTEX_POINT('',#523069); #159447=VERTEX_POINT('',#523070); #159448=VERTEX_POINT('',#523072); #159449=VERTEX_POINT('',#523074); #159450=VERTEX_POINT('',#523078); #159451=VERTEX_POINT('',#523080); #159452=VERTEX_POINT('',#523084); #159453=VERTEX_POINT('',#523086); #159454=VERTEX_POINT('',#523090); #159455=VERTEX_POINT('',#523092); #159456=VERTEX_POINT('',#523096); #159457=VERTEX_POINT('',#523098); #159458=VERTEX_POINT('',#523102); #159459=VERTEX_POINT('',#523104); #159460=VERTEX_POINT('',#523108); #159461=VERTEX_POINT('',#523110); #159462=VERTEX_POINT('',#523114); #159463=VERTEX_POINT('',#523116); #159464=VERTEX_POINT('',#523120); #159465=VERTEX_POINT('',#523122); #159466=VERTEX_POINT('',#523126); #159467=VERTEX_POINT('',#523128); #159468=VERTEX_POINT('',#523132); #159469=VERTEX_POINT('',#523134); #159470=VERTEX_POINT('',#523138); #159471=VERTEX_POINT('',#523140); #159472=VERTEX_POINT('',#523144); #159473=VERTEX_POINT('',#523146); #159474=VERTEX_POINT('',#523150); #159475=VERTEX_POINT('',#523152); #159476=VERTEX_POINT('',#523156); #159477=VERTEX_POINT('',#523158); #159478=VERTEX_POINT('',#523162); #159479=VERTEX_POINT('',#523164); #159480=VERTEX_POINT('',#523168); #159481=VERTEX_POINT('',#523170); #159482=VERTEX_POINT('',#523174); #159483=VERTEX_POINT('',#523176); #159484=VERTEX_POINT('',#523180); #159485=VERTEX_POINT('',#523182); #159486=VERTEX_POINT('',#523191); #159487=VERTEX_POINT('',#523192); #159488=VERTEX_POINT('',#523194); #159489=VERTEX_POINT('',#523196); #159490=VERTEX_POINT('',#523200); #159491=VERTEX_POINT('',#523202); #159492=VERTEX_POINT('',#523206); #159493=VERTEX_POINT('',#523208); #159494=VERTEX_POINT('',#523212); #159495=VERTEX_POINT('',#523214); #159496=VERTEX_POINT('',#523218); #159497=VERTEX_POINT('',#523220); #159498=VERTEX_POINT('',#523224); #159499=VERTEX_POINT('',#523226); #159500=VERTEX_POINT('',#523230); #159501=VERTEX_POINT('',#523232); #159502=VERTEX_POINT('',#523236); #159503=VERTEX_POINT('',#523238); #159504=VERTEX_POINT('',#523242); #159505=VERTEX_POINT('',#523244); #159506=VERTEX_POINT('',#523248); #159507=VERTEX_POINT('',#523250); #159508=VERTEX_POINT('',#523254); #159509=VERTEX_POINT('',#523256); #159510=VERTEX_POINT('',#523260); #159511=VERTEX_POINT('',#523262); #159512=VERTEX_POINT('',#523266); #159513=VERTEX_POINT('',#523268); #159514=VERTEX_POINT('',#523272); #159515=VERTEX_POINT('',#523274); #159516=VERTEX_POINT('',#523278); #159517=VERTEX_POINT('',#523280); #159518=VERTEX_POINT('',#523284); #159519=VERTEX_POINT('',#523286); #159520=VERTEX_POINT('',#523290); #159521=VERTEX_POINT('',#523292); #159522=VERTEX_POINT('',#523296); #159523=VERTEX_POINT('',#523298); #159524=VERTEX_POINT('',#523302); #159525=VERTEX_POINT('',#523304); #159526=VERTEX_POINT('',#523308); #159527=VERTEX_POINT('',#523310); #159528=VERTEX_POINT('',#523314); #159529=VERTEX_POINT('',#523316); #159530=VERTEX_POINT('',#523320); #159531=VERTEX_POINT('',#523322); #159532=VERTEX_POINT('',#523326); #159533=VERTEX_POINT('',#523328); #159534=VERTEX_POINT('',#523332); #159535=VERTEX_POINT('',#523334); #159536=VERTEX_POINT('',#523338); #159537=VERTEX_POINT('',#523340); #159538=VERTEX_POINT('',#523344); #159539=VERTEX_POINT('',#523346); #159540=VERTEX_POINT('',#523350); #159541=VERTEX_POINT('',#523352); #159542=VERTEX_POINT('',#523356); #159543=VERTEX_POINT('',#523358); #159544=VERTEX_POINT('',#523362); #159545=VERTEX_POINT('',#523364); #159546=VERTEX_POINT('',#523368); #159547=VERTEX_POINT('',#523370); #159548=VERTEX_POINT('',#523374); #159549=VERTEX_POINT('',#523376); #159550=VERTEX_POINT('',#523380); #159551=VERTEX_POINT('',#523382); #159552=VERTEX_POINT('',#523391); #159553=VERTEX_POINT('',#523393); #159554=VERTEX_POINT('',#523397); #159555=VERTEX_POINT('',#523399); #159556=VERTEX_POINT('',#523403); #159557=VERTEX_POINT('',#523405); #159558=VERTEX_POINT('',#523409); #159559=VERTEX_POINT('',#523410); #159560=VERTEX_POINT('',#523412); #159561=VERTEX_POINT('',#523414); #159562=VERTEX_POINT('',#523418); #159563=VERTEX_POINT('',#523420); #159564=VERTEX_POINT('',#523424); #159565=VERTEX_POINT('',#523426); #159566=VERTEX_POINT('',#523430); #159567=VERTEX_POINT('',#523432); #159568=VERTEX_POINT('',#523436); #159569=VERTEX_POINT('',#523438); #159570=VERTEX_POINT('',#523442); #159571=VERTEX_POINT('',#523444); #159572=VERTEX_POINT('',#523448); #159573=VERTEX_POINT('',#523450); #159574=VERTEX_POINT('',#523454); #159575=VERTEX_POINT('',#523456); #159576=VERTEX_POINT('',#523460); #159577=VERTEX_POINT('',#523462); #159578=VERTEX_POINT('',#523466); #159579=VERTEX_POINT('',#523468); #159580=VERTEX_POINT('',#523472); #159581=VERTEX_POINT('',#523474); #159582=VERTEX_POINT('',#523478); #159583=VERTEX_POINT('',#523480); #159584=VERTEX_POINT('',#523484); #159585=VERTEX_POINT('',#523486); #159586=VERTEX_POINT('',#523490); #159587=VERTEX_POINT('',#523492); #159588=VERTEX_POINT('',#523496); #159589=VERTEX_POINT('',#523498); #159590=VERTEX_POINT('',#523502); #159591=VERTEX_POINT('',#523504); #159592=VERTEX_POINT('',#523508); #159593=VERTEX_POINT('',#523510); #159594=VERTEX_POINT('',#523514); #159595=VERTEX_POINT('',#523516); #159596=VERTEX_POINT('',#523520); #159597=VERTEX_POINT('',#523522); #159598=VERTEX_POINT('',#523526); #159599=VERTEX_POINT('',#523528); #159600=VERTEX_POINT('',#523532); #159601=VERTEX_POINT('',#523534); #159602=VERTEX_POINT('',#523538); #159603=VERTEX_POINT('',#523540); #159604=VERTEX_POINT('',#523544); #159605=VERTEX_POINT('',#523546); #159606=VERTEX_POINT('',#523550); #159607=VERTEX_POINT('',#523552); #159608=VERTEX_POINT('',#523556); #159609=VERTEX_POINT('',#523558); #159610=VERTEX_POINT('',#523562); #159611=VERTEX_POINT('',#523564); #159612=VERTEX_POINT('',#523568); #159613=VERTEX_POINT('',#523570); #159614=VERTEX_POINT('',#523574); #159615=VERTEX_POINT('',#523576); #159616=VERTEX_POINT('',#523580); #159617=VERTEX_POINT('',#523582); #159618=VERTEX_POINT('',#523586); #159619=VERTEX_POINT('',#523588); #159620=VERTEX_POINT('',#523592); #159621=VERTEX_POINT('',#523594); #159622=VERTEX_POINT('',#523598); #159623=VERTEX_POINT('',#523600); #159624=VERTEX_POINT('',#523604); #159625=VERTEX_POINT('',#523606); #159626=VERTEX_POINT('',#523610); #159627=VERTEX_POINT('',#523612); #159628=VERTEX_POINT('',#523616); #159629=VERTEX_POINT('',#523618); #159630=VERTEX_POINT('',#523622); #159631=VERTEX_POINT('',#523624); #159632=VERTEX_POINT('',#523628); #159633=VERTEX_POINT('',#523630); #159634=VERTEX_POINT('',#523634); #159635=VERTEX_POINT('',#523636); #159636=VERTEX_POINT('',#523640); #159637=VERTEX_POINT('',#523642); #159638=VERTEX_POINT('',#523646); #159639=VERTEX_POINT('',#523648); #159640=VERTEX_POINT('',#523652); #159641=VERTEX_POINT('',#523654); #159642=VERTEX_POINT('',#523658); #159643=VERTEX_POINT('',#523660); #159644=VERTEX_POINT('',#523664); #159645=VERTEX_POINT('',#523666); #159646=VERTEX_POINT('',#523670); #159647=VERTEX_POINT('',#523672); #159648=VERTEX_POINT('',#523676); #159649=VERTEX_POINT('',#523678); #159650=VERTEX_POINT('',#523682); #159651=VERTEX_POINT('',#523684); #159652=VERTEX_POINT('',#523688); #159653=VERTEX_POINT('',#523690); #159654=VERTEX_POINT('',#523694); #159655=VERTEX_POINT('',#523696); #159656=VERTEX_POINT('',#523700); #159657=VERTEX_POINT('',#523702); #159658=VERTEX_POINT('',#523706); #159659=VERTEX_POINT('',#523708); #159660=VERTEX_POINT('',#523712); #159661=VERTEX_POINT('',#523714); #159662=VERTEX_POINT('',#523718); #159663=VERTEX_POINT('',#523720); #159664=VERTEX_POINT('',#523724); #159665=VERTEX_POINT('',#523726); #159666=VERTEX_POINT('',#523730); #159667=VERTEX_POINT('',#523732); #159668=VERTEX_POINT('',#523736); #159669=VERTEX_POINT('',#523738); #159670=VERTEX_POINT('',#523742); #159671=VERTEX_POINT('',#523744); #159672=VERTEX_POINT('',#523748); #159673=VERTEX_POINT('',#523750); #159674=VERTEX_POINT('',#523754); #159675=VERTEX_POINT('',#523756); #159676=VERTEX_POINT('',#523760); #159677=VERTEX_POINT('',#523762); #159678=VERTEX_POINT('',#523766); #159679=VERTEX_POINT('',#523768); #159680=VERTEX_POINT('',#523772); #159681=VERTEX_POINT('',#523774); #159682=VERTEX_POINT('',#523778); #159683=VERTEX_POINT('',#523780); #159684=VERTEX_POINT('',#523784); #159685=VERTEX_POINT('',#523786); #159686=VERTEX_POINT('',#523790); #159687=VERTEX_POINT('',#523792); #159688=VERTEX_POINT('',#523796); #159689=VERTEX_POINT('',#523798); #159690=VERTEX_POINT('',#523802); #159691=VERTEX_POINT('',#523804); #159692=VERTEX_POINT('',#523808); #159693=VERTEX_POINT('',#523810); #159694=VERTEX_POINT('',#523814); #159695=VERTEX_POINT('',#523816); #159696=VERTEX_POINT('',#523820); #159697=VERTEX_POINT('',#523822); #159698=VERTEX_POINT('',#523826); #159699=VERTEX_POINT('',#523828); #159700=VERTEX_POINT('',#523832); #159701=VERTEX_POINT('',#523834); #159702=VERTEX_POINT('',#523838); #159703=VERTEX_POINT('',#523840); #159704=VERTEX_POINT('',#523844); #159705=VERTEX_POINT('',#523846); #159706=VERTEX_POINT('',#523850); #159707=VERTEX_POINT('',#523852); #159708=VERTEX_POINT('',#523856); #159709=VERTEX_POINT('',#523858); #159710=VERTEX_POINT('',#523862); #159711=VERTEX_POINT('',#523864); #159712=VERTEX_POINT('',#523868); #159713=VERTEX_POINT('',#523870); #159714=VERTEX_POINT('',#523874); #159715=VERTEX_POINT('',#523876); #159716=VERTEX_POINT('',#523880); #159717=VERTEX_POINT('',#523882); #159718=VERTEX_POINT('',#523886); #159719=VERTEX_POINT('',#523888); #159720=VERTEX_POINT('',#523892); #159721=VERTEX_POINT('',#523894); #159722=VERTEX_POINT('',#523898); #159723=VERTEX_POINT('',#523900); #159724=VERTEX_POINT('',#523904); #159725=VERTEX_POINT('',#523906); #159726=VERTEX_POINT('',#523910); #159727=VERTEX_POINT('',#523912); #159728=VERTEX_POINT('',#523916); #159729=VERTEX_POINT('',#523918); #159730=VERTEX_POINT('',#523922); #159731=VERTEX_POINT('',#523924); #159732=VERTEX_POINT('',#523933); #159733=VERTEX_POINT('',#523934); #159734=VERTEX_POINT('',#523936); #159735=VERTEX_POINT('',#523938); #159736=VERTEX_POINT('',#523942); #159737=VERTEX_POINT('',#523944); #159738=VERTEX_POINT('',#523948); #159739=VERTEX_POINT('',#523950); #159740=VERTEX_POINT('',#523954); #159741=VERTEX_POINT('',#523956); #159742=VERTEX_POINT('',#523960); #159743=VERTEX_POINT('',#523962); #159744=VERTEX_POINT('',#523966); #159745=VERTEX_POINT('',#523968); #159746=VERTEX_POINT('',#523972); #159747=VERTEX_POINT('',#523974); #159748=VERTEX_POINT('',#523978); #159749=VERTEX_POINT('',#523980); #159750=VERTEX_POINT('',#523984); #159751=VERTEX_POINT('',#523986); #159752=VERTEX_POINT('',#523990); #159753=VERTEX_POINT('',#523992); #159754=VERTEX_POINT('',#523996); #159755=VERTEX_POINT('',#523998); #159756=VERTEX_POINT('',#524002); #159757=VERTEX_POINT('',#524004); #159758=VERTEX_POINT('',#524008); #159759=VERTEX_POINT('',#524010); #159760=VERTEX_POINT('',#524014); #159761=VERTEX_POINT('',#524016); #159762=VERTEX_POINT('',#524020); #159763=VERTEX_POINT('',#524022); #159764=VERTEX_POINT('',#524026); #159765=VERTEX_POINT('',#524028); #159766=VERTEX_POINT('',#524032); #159767=VERTEX_POINT('',#524034); #159768=VERTEX_POINT('',#524038); #159769=VERTEX_POINT('',#524040); #159770=VERTEX_POINT('',#524044); #159771=VERTEX_POINT('',#524046); #159772=VERTEX_POINT('',#524050); #159773=VERTEX_POINT('',#524052); #159774=VERTEX_POINT('',#524056); #159775=VERTEX_POINT('',#524058); #159776=VERTEX_POINT('',#524062); #159777=VERTEX_POINT('',#524064); #159778=VERTEX_POINT('',#524068); #159779=VERTEX_POINT('',#524070); #159780=VERTEX_POINT('',#524074); #159781=VERTEX_POINT('',#524076); #159782=VERTEX_POINT('',#524080); #159783=VERTEX_POINT('',#524082); #159784=VERTEX_POINT('',#524086); #159785=VERTEX_POINT('',#524088); #159786=VERTEX_POINT('',#524092); #159787=VERTEX_POINT('',#524094); #159788=VERTEX_POINT('',#524098); #159789=VERTEX_POINT('',#524100); #159790=VERTEX_POINT('',#524109); #159791=VERTEX_POINT('',#524110); #159792=VERTEX_POINT('',#524112); #159793=VERTEX_POINT('',#524114); #159794=VERTEX_POINT('',#524118); #159795=VERTEX_POINT('',#524120); #159796=VERTEX_POINT('',#524124); #159797=VERTEX_POINT('',#524126); #159798=VERTEX_POINT('',#524130); #159799=VERTEX_POINT('',#524132); #159800=VERTEX_POINT('',#524136); #159801=VERTEX_POINT('',#524138); #159802=VERTEX_POINT('',#524142); #159803=VERTEX_POINT('',#524144); #159804=VERTEX_POINT('',#524148); #159805=VERTEX_POINT('',#524150); #159806=VERTEX_POINT('',#524154); #159807=VERTEX_POINT('',#524156); #159808=VERTEX_POINT('',#524160); #159809=VERTEX_POINT('',#524162); #159810=VERTEX_POINT('',#524166); #159811=VERTEX_POINT('',#524168); #159812=VERTEX_POINT('',#524172); #159813=VERTEX_POINT('',#524174); #159814=VERTEX_POINT('',#524178); #159815=VERTEX_POINT('',#524180); #159816=VERTEX_POINT('',#524184); #159817=VERTEX_POINT('',#524186); #159818=VERTEX_POINT('',#524190); #159819=VERTEX_POINT('',#524192); #159820=VERTEX_POINT('',#524196); #159821=VERTEX_POINT('',#524198); #159822=VERTEX_POINT('',#524202); #159823=VERTEX_POINT('',#524204); #159824=VERTEX_POINT('',#524208); #159825=VERTEX_POINT('',#524210); #159826=VERTEX_POINT('',#524214); #159827=VERTEX_POINT('',#524216); #159828=VERTEX_POINT('',#524225); #159829=VERTEX_POINT('',#524227); #159830=VERTEX_POINT('',#524231); #159831=VERTEX_POINT('',#524233); #159832=VERTEX_POINT('',#524237); #159833=VERTEX_POINT('',#524239); #159834=VERTEX_POINT('',#524243); #159835=VERTEX_POINT('',#524245); #159836=VERTEX_POINT('',#524249); #159837=VERTEX_POINT('',#524251); #159838=VERTEX_POINT('',#524255); #159839=VERTEX_POINT('',#524257); #159840=VERTEX_POINT('',#524261); #159841=VERTEX_POINT('',#524263); #159842=VERTEX_POINT('',#524267); #159843=VERTEX_POINT('',#524269); #159844=VERTEX_POINT('',#524273); #159845=VERTEX_POINT('',#524275); #159846=VERTEX_POINT('',#524279); #159847=VERTEX_POINT('',#524281); #159848=VERTEX_POINT('',#524285); #159849=VERTEX_POINT('',#524287); #159850=VERTEX_POINT('',#524291); #159851=VERTEX_POINT('',#524293); #159852=VERTEX_POINT('',#524297); #159853=VERTEX_POINT('',#524299); #159854=VERTEX_POINT('',#524303); #159855=VERTEX_POINT('',#524305); #159856=VERTEX_POINT('',#524309); #159857=VERTEX_POINT('',#524311); #159858=VERTEX_POINT('',#524315); #159859=VERTEX_POINT('',#524317); #159860=VERTEX_POINT('',#524321); #159861=VERTEX_POINT('',#524323); #159862=VERTEX_POINT('',#524327); #159863=VERTEX_POINT('',#524329); #159864=VERTEX_POINT('',#524333); #159865=VERTEX_POINT('',#524335); #159866=VERTEX_POINT('',#524339); #159867=VERTEX_POINT('',#524341); #159868=VERTEX_POINT('',#524345); #159869=VERTEX_POINT('',#524347); #159870=VERTEX_POINT('',#524351); #159871=VERTEX_POINT('',#524353); #159872=VERTEX_POINT('',#524357); #159873=VERTEX_POINT('',#524359); #159874=VERTEX_POINT('',#524363); #159875=VERTEX_POINT('',#524365); #159876=VERTEX_POINT('',#524369); #159877=VERTEX_POINT('',#524371); #159878=VERTEX_POINT('',#524375); #159879=VERTEX_POINT('',#524377); #159880=VERTEX_POINT('',#524381); #159881=VERTEX_POINT('',#524383); #159882=VERTEX_POINT('',#524387); #159883=VERTEX_POINT('',#524389); #159884=VERTEX_POINT('',#524393); #159885=VERTEX_POINT('',#524395); #159886=VERTEX_POINT('',#524399); #159887=VERTEX_POINT('',#524401); #159888=VERTEX_POINT('',#524405); #159889=VERTEX_POINT('',#524407); #159890=VERTEX_POINT('',#524411); #159891=VERTEX_POINT('',#524413); #159892=VERTEX_POINT('',#524417); #159893=VERTEX_POINT('',#524419); #159894=VERTEX_POINT('',#524423); #159895=VERTEX_POINT('',#524425); #159896=VERTEX_POINT('',#524429); #159897=VERTEX_POINT('',#524431); #159898=VERTEX_POINT('',#524435); #159899=VERTEX_POINT('',#524437); #159900=VERTEX_POINT('',#524441); #159901=VERTEX_POINT('',#524443); #159902=VERTEX_POINT('',#524447); #159903=VERTEX_POINT('',#524449); #159904=VERTEX_POINT('',#524453); #159905=VERTEX_POINT('',#524455); #159906=VERTEX_POINT('',#524459); #159907=VERTEX_POINT('',#524461); #159908=VERTEX_POINT('',#524465); #159909=VERTEX_POINT('',#524467); #159910=VERTEX_POINT('',#524471); #159911=VERTEX_POINT('',#524473); #159912=VERTEX_POINT('',#524477); #159913=VERTEX_POINT('',#524479); #159914=VERTEX_POINT('',#524483); #159915=VERTEX_POINT('',#524485); #159916=VERTEX_POINT('',#524489); #159917=VERTEX_POINT('',#524491); #159918=VERTEX_POINT('',#524495); #159919=VERTEX_POINT('',#524497); #159920=VERTEX_POINT('',#524501); #159921=VERTEX_POINT('',#524503); #159922=VERTEX_POINT('',#524507); #159923=VERTEX_POINT('',#524509); #159924=VERTEX_POINT('',#524513); #159925=VERTEX_POINT('',#524515); #159926=VERTEX_POINT('',#524519); #159927=VERTEX_POINT('',#524520); #159928=VERTEX_POINT('',#524522); #159929=VERTEX_POINT('',#524524); #159930=VERTEX_POINT('',#524528); #159931=VERTEX_POINT('',#524530); #159932=VERTEX_POINT('',#524534); #159933=VERTEX_POINT('',#524536); #159934=VERTEX_POINT('',#524540); #159935=VERTEX_POINT('',#524542); #159936=VERTEX_POINT('',#524546); #159937=VERTEX_POINT('',#524548); #159938=VERTEX_POINT('',#524552); #159939=VERTEX_POINT('',#524554); #159940=VERTEX_POINT('',#524558); #159941=VERTEX_POINT('',#524560); #159942=VERTEX_POINT('',#524564); #159943=VERTEX_POINT('',#524566); #159944=VERTEX_POINT('',#524570); #159945=VERTEX_POINT('',#524572); #159946=VERTEX_POINT('',#524576); #159947=VERTEX_POINT('',#524578); #159948=VERTEX_POINT('',#524582); #159949=VERTEX_POINT('',#524584); #159950=VERTEX_POINT('',#524588); #159951=VERTEX_POINT('',#524590); #159952=VERTEX_POINT('',#524594); #159953=VERTEX_POINT('',#524596); #159954=VERTEX_POINT('',#524600); #159955=VERTEX_POINT('',#524602); #159956=VERTEX_POINT('',#524606); #159957=VERTEX_POINT('',#524608); #159958=VERTEX_POINT('',#524612); #159959=VERTEX_POINT('',#524614); #159960=VERTEX_POINT('',#524618); #159961=VERTEX_POINT('',#524620); #159962=VERTEX_POINT('',#524624); #159963=VERTEX_POINT('',#524626); #159964=VERTEX_POINT('',#524630); #159965=VERTEX_POINT('',#524632); #159966=VERTEX_POINT('',#524636); #159967=VERTEX_POINT('',#524638); #159968=VERTEX_POINT('',#524642); #159969=VERTEX_POINT('',#524644); #159970=VERTEX_POINT('',#524648); #159971=VERTEX_POINT('',#524650); #159972=VERTEX_POINT('',#524654); #159973=VERTEX_POINT('',#524656); #159974=VERTEX_POINT('',#524660); #159975=VERTEX_POINT('',#524662); #159976=VERTEX_POINT('',#524666); #159977=VERTEX_POINT('',#524668); #159978=VERTEX_POINT('',#524672); #159979=VERTEX_POINT('',#524674); #159980=VERTEX_POINT('',#524678); #159981=VERTEX_POINT('',#524680); #159982=VERTEX_POINT('',#524684); #159983=VERTEX_POINT('',#524686); #159984=VERTEX_POINT('',#524690); #159985=VERTEX_POINT('',#524692); #159986=VERTEX_POINT('',#524696); #159987=VERTEX_POINT('',#524698); #159988=VERTEX_POINT('',#524702); #159989=VERTEX_POINT('',#524704); #159990=VERTEX_POINT('',#524708); #159991=VERTEX_POINT('',#524710); #159992=VERTEX_POINT('',#524714); #159993=VERTEX_POINT('',#524716); #159994=VERTEX_POINT('',#524720); #159995=VERTEX_POINT('',#524722); #159996=VERTEX_POINT('',#524726); #159997=VERTEX_POINT('',#524728); #159998=VERTEX_POINT('',#524732); #159999=VERTEX_POINT('',#524734); #160000=VERTEX_POINT('',#524738); #160001=VERTEX_POINT('',#524740); #160002=VERTEX_POINT('',#524744); #160003=VERTEX_POINT('',#524746); #160004=VERTEX_POINT('',#524750); #160005=VERTEX_POINT('',#524752); #160006=VERTEX_POINT('',#524756); #160007=VERTEX_POINT('',#524758); #160008=VERTEX_POINT('',#524762); #160009=VERTEX_POINT('',#524764); #160010=VERTEX_POINT('',#524768); #160011=VERTEX_POINT('',#524770); #160012=VERTEX_POINT('',#524774); #160013=VERTEX_POINT('',#524776); #160014=VERTEX_POINT('',#524780); #160015=VERTEX_POINT('',#524782); #160016=VERTEX_POINT('',#524786); #160017=VERTEX_POINT('',#524788); #160018=VERTEX_POINT('',#524792); #160019=VERTEX_POINT('',#524794); #160020=VERTEX_POINT('',#524798); #160021=VERTEX_POINT('',#524800); #160022=VERTEX_POINT('',#524804); #160023=VERTEX_POINT('',#524806); #160024=VERTEX_POINT('',#524810); #160025=VERTEX_POINT('',#524812); #160026=VERTEX_POINT('',#524816); #160027=VERTEX_POINT('',#524818); #160028=VERTEX_POINT('',#524822); #160029=VERTEX_POINT('',#524824); #160030=VERTEX_POINT('',#524828); #160031=VERTEX_POINT('',#524830); #160032=VERTEX_POINT('',#524834); #160033=VERTEX_POINT('',#524836); #160034=VERTEX_POINT('',#524840); #160035=VERTEX_POINT('',#524842); #160036=VERTEX_POINT('',#524846); #160037=VERTEX_POINT('',#524848); #160038=VERTEX_POINT('',#524852); #160039=VERTEX_POINT('',#524854); #160040=VERTEX_POINT('',#524858); #160041=VERTEX_POINT('',#524860); #160042=VERTEX_POINT('',#524864); #160043=VERTEX_POINT('',#524866); #160044=VERTEX_POINT('',#524870); #160045=VERTEX_POINT('',#524872); #160046=VERTEX_POINT('',#524876); #160047=VERTEX_POINT('',#524878); #160048=VERTEX_POINT('',#524882); #160049=VERTEX_POINT('',#524884); #160050=VERTEX_POINT('',#524888); #160051=VERTEX_POINT('',#524890); #160052=VERTEX_POINT('',#524894); #160053=VERTEX_POINT('',#524896); #160054=VERTEX_POINT('',#524900); #160055=VERTEX_POINT('',#524902); #160056=VERTEX_POINT('',#524906); #160057=VERTEX_POINT('',#524908); #160058=VERTEX_POINT('',#524912); #160059=VERTEX_POINT('',#524914); #160060=VERTEX_POINT('',#524918); #160061=VERTEX_POINT('',#524920); #160062=VERTEX_POINT('',#524924); #160063=VERTEX_POINT('',#524926); #160064=VERTEX_POINT('',#524930); #160065=VERTEX_POINT('',#524932); #160066=VERTEX_POINT('',#524936); #160067=VERTEX_POINT('',#524938); #160068=VERTEX_POINT('',#524942); #160069=VERTEX_POINT('',#524944); #160070=VERTEX_POINT('',#524948); #160071=VERTEX_POINT('',#524950); #160072=VERTEX_POINT('',#524954); #160073=VERTEX_POINT('',#524956); #160074=VERTEX_POINT('',#524960); #160075=VERTEX_POINT('',#524962); #160076=VERTEX_POINT('',#524966); #160077=VERTEX_POINT('',#524968); #160078=VERTEX_POINT('',#524972); #160079=VERTEX_POINT('',#524974); #160080=VERTEX_POINT('',#524978); #160081=VERTEX_POINT('',#524980); #160082=VERTEX_POINT('',#524984); #160083=VERTEX_POINT('',#524986); #160084=VERTEX_POINT('',#524990); #160085=VERTEX_POINT('',#524992); #160086=VERTEX_POINT('',#524996); #160087=VERTEX_POINT('',#524998); #160088=VERTEX_POINT('',#525002); #160089=VERTEX_POINT('',#525004); #160090=VERTEX_POINT('',#525008); #160091=VERTEX_POINT('',#525010); #160092=VERTEX_POINT('',#525014); #160093=VERTEX_POINT('',#525016); #160094=VERTEX_POINT('',#525020); #160095=VERTEX_POINT('',#525022); #160096=VERTEX_POINT('',#525026); #160097=VERTEX_POINT('',#525028); #160098=VERTEX_POINT('',#525032); #160099=VERTEX_POINT('',#525034); #160100=VERTEX_POINT('',#525038); #160101=VERTEX_POINT('',#525040); #160102=VERTEX_POINT('',#525044); #160103=VERTEX_POINT('',#525046); #160104=VERTEX_POINT('',#525050); #160105=VERTEX_POINT('',#525052); #160106=VERTEX_POINT('',#525056); #160107=VERTEX_POINT('',#525058); #160108=VERTEX_POINT('',#525062); #160109=VERTEX_POINT('',#525064); #160110=VERTEX_POINT('',#525068); #160111=VERTEX_POINT('',#525070); #160112=VERTEX_POINT('',#525074); #160113=VERTEX_POINT('',#525076); #160114=VERTEX_POINT('',#525080); #160115=VERTEX_POINT('',#525082); #160116=VERTEX_POINT('',#525086); #160117=VERTEX_POINT('',#525088); #160118=VERTEX_POINT('',#525092); #160119=VERTEX_POINT('',#525094); #160120=VERTEX_POINT('',#525098); #160121=VERTEX_POINT('',#525100); #160122=VERTEX_POINT('',#525104); #160123=VERTEX_POINT('',#525106); #160124=VERTEX_POINT('',#525110); #160125=VERTEX_POINT('',#525112); #160126=VERTEX_POINT('',#525116); #160127=VERTEX_POINT('',#525118); #160128=VERTEX_POINT('',#525122); #160129=VERTEX_POINT('',#525124); #160130=VERTEX_POINT('',#525128); #160131=VERTEX_POINT('',#525130); #160132=VERTEX_POINT('',#525134); #160133=VERTEX_POINT('',#525136); #160134=VERTEX_POINT('',#525140); #160135=VERTEX_POINT('',#525142); #160136=VERTEX_POINT('',#525146); #160137=VERTEX_POINT('',#525148); #160138=VERTEX_POINT('',#525152); #160139=VERTEX_POINT('',#525154); #160140=VERTEX_POINT('',#525158); #160141=VERTEX_POINT('',#525160); #160142=VERTEX_POINT('',#525164); #160143=VERTEX_POINT('',#525166); #160144=VERTEX_POINT('',#525170); #160145=VERTEX_POINT('',#525172); #160146=VERTEX_POINT('',#525176); #160147=VERTEX_POINT('',#525178); #160148=VERTEX_POINT('',#525182); #160149=VERTEX_POINT('',#525184); #160150=VERTEX_POINT('',#525188); #160151=VERTEX_POINT('',#525190); #160152=VERTEX_POINT('',#525194); #160153=VERTEX_POINT('',#525196); #160154=VERTEX_POINT('',#525200); #160155=VERTEX_POINT('',#525202); #160156=VERTEX_POINT('',#525206); #160157=VERTEX_POINT('',#525208); #160158=VERTEX_POINT('',#525212); #160159=VERTEX_POINT('',#525214); #160160=VERTEX_POINT('',#525218); #160161=VERTEX_POINT('',#525220); #160162=VERTEX_POINT('',#525224); #160163=VERTEX_POINT('',#525226); #160164=VERTEX_POINT('',#525230); #160165=VERTEX_POINT('',#525232); #160166=VERTEX_POINT('',#525236); #160167=VERTEX_POINT('',#525238); #160168=VERTEX_POINT('',#525242); #160169=VERTEX_POINT('',#525244); #160170=VERTEX_POINT('',#525248); #160171=VERTEX_POINT('',#525250); #160172=VERTEX_POINT('',#525254); #160173=VERTEX_POINT('',#525256); #160174=VERTEX_POINT('',#525260); #160175=VERTEX_POINT('',#525262); #160176=VERTEX_POINT('',#525266); #160177=VERTEX_POINT('',#525268); #160178=VERTEX_POINT('',#525272); #160179=VERTEX_POINT('',#525274); #160180=VERTEX_POINT('',#525278); #160181=VERTEX_POINT('',#525280); #160182=VERTEX_POINT('',#525284); #160183=VERTEX_POINT('',#525286); #160184=VERTEX_POINT('',#525290); #160185=VERTEX_POINT('',#525292); #160186=VERTEX_POINT('',#525296); #160187=VERTEX_POINT('',#525298); #160188=VERTEX_POINT('',#525302); #160189=VERTEX_POINT('',#525304); #160190=VERTEX_POINT('',#525308); #160191=VERTEX_POINT('',#525310); #160192=VERTEX_POINT('',#525314); #160193=VERTEX_POINT('',#525316); #160194=VERTEX_POINT('',#525320); #160195=VERTEX_POINT('',#525322); #160196=VERTEX_POINT('',#525326); #160197=VERTEX_POINT('',#525328); #160198=VERTEX_POINT('',#525332); #160199=VERTEX_POINT('',#525334); #160200=VERTEX_POINT('',#525338); #160201=VERTEX_POINT('',#525340); #160202=VERTEX_POINT('',#525344); #160203=VERTEX_POINT('',#525346); #160204=VERTEX_POINT('',#525350); #160205=VERTEX_POINT('',#525352); #160206=VERTEX_POINT('',#525356); #160207=VERTEX_POINT('',#525358); #160208=VERTEX_POINT('',#525362); #160209=VERTEX_POINT('',#525364); #160210=VERTEX_POINT('',#525368); #160211=VERTEX_POINT('',#525370); #160212=VERTEX_POINT('',#525374); #160213=VERTEX_POINT('',#525376); #160214=VERTEX_POINT('',#525380); #160215=VERTEX_POINT('',#525382); #160216=VERTEX_POINT('',#525386); #160217=VERTEX_POINT('',#525388); #160218=VERTEX_POINT('',#525392); #160219=VERTEX_POINT('',#525394); #160220=VERTEX_POINT('',#525398); #160221=VERTEX_POINT('',#525400); #160222=VERTEX_POINT('',#525404); #160223=VERTEX_POINT('',#525406); #160224=VERTEX_POINT('',#525410); #160225=VERTEX_POINT('',#525412); #160226=VERTEX_POINT('',#525416); #160227=VERTEX_POINT('',#525418); #160228=VERTEX_POINT('',#525422); #160229=VERTEX_POINT('',#525424); #160230=VERTEX_POINT('',#525428); #160231=VERTEX_POINT('',#525430); #160232=VERTEX_POINT('',#525434); #160233=VERTEX_POINT('',#525436); #160234=VERTEX_POINT('',#525440); #160235=VERTEX_POINT('',#525442); #160236=VERTEX_POINT('',#525446); #160237=VERTEX_POINT('',#525448); #160238=VERTEX_POINT('',#525452); #160239=VERTEX_POINT('',#525454); #160240=VERTEX_POINT('',#525458); #160241=VERTEX_POINT('',#525460); #160242=VERTEX_POINT('',#525464); #160243=VERTEX_POINT('',#525466); #160244=VERTEX_POINT('',#525470); #160245=VERTEX_POINT('',#525472); #160246=VERTEX_POINT('',#525476); #160247=VERTEX_POINT('',#525478); #160248=VERTEX_POINT('',#525482); #160249=VERTEX_POINT('',#525484); #160250=VERTEX_POINT('',#525488); #160251=VERTEX_POINT('',#525490); #160252=VERTEX_POINT('',#525494); #160253=VERTEX_POINT('',#525496); #160254=VERTEX_POINT('',#525500); #160255=VERTEX_POINT('',#525502); #160256=VERTEX_POINT('',#525506); #160257=VERTEX_POINT('',#525508); #160258=VERTEX_POINT('',#525512); #160259=VERTEX_POINT('',#525514); #160260=VERTEX_POINT('',#525518); #160261=VERTEX_POINT('',#525520); #160262=VERTEX_POINT('',#525524); #160263=VERTEX_POINT('',#525526); #160264=VERTEX_POINT('',#525530); #160265=VERTEX_POINT('',#525532); #160266=VERTEX_POINT('',#525536); #160267=VERTEX_POINT('',#525538); #160268=VERTEX_POINT('',#525542); #160269=VERTEX_POINT('',#525544); #160270=VERTEX_POINT('',#525548); #160271=VERTEX_POINT('',#525550); #160272=VERTEX_POINT('',#525554); #160273=VERTEX_POINT('',#525556); #160274=VERTEX_POINT('',#525560); #160275=VERTEX_POINT('',#525562); #160276=VERTEX_POINT('',#525566); #160277=VERTEX_POINT('',#525568); #160278=VERTEX_POINT('',#525572); #160279=VERTEX_POINT('',#525574); #160280=VERTEX_POINT('',#525578); #160281=VERTEX_POINT('',#525580); #160282=VERTEX_POINT('',#525584); #160283=VERTEX_POINT('',#525586); #160284=VERTEX_POINT('',#525590); #160285=VERTEX_POINT('',#525592); #160286=VERTEX_POINT('',#525596); #160287=VERTEX_POINT('',#525598); #160288=VERTEX_POINT('',#525602); #160289=VERTEX_POINT('',#525604); #160290=VERTEX_POINT('',#525608); #160291=VERTEX_POINT('',#525610); #160292=VERTEX_POINT('',#525614); #160293=VERTEX_POINT('',#525616); #160294=VERTEX_POINT('',#525620); #160295=VERTEX_POINT('',#525622); #160296=VERTEX_POINT('',#525626); #160297=VERTEX_POINT('',#525628); #160298=VERTEX_POINT('',#525632); #160299=VERTEX_POINT('',#525634); #160300=VERTEX_POINT('',#525638); #160301=VERTEX_POINT('',#525640); #160302=VERTEX_POINT('',#525644); #160303=VERTEX_POINT('',#525646); #160304=VERTEX_POINT('',#525650); #160305=VERTEX_POINT('',#525652); #160306=VERTEX_POINT('',#525656); #160307=VERTEX_POINT('',#525658); #160308=VERTEX_POINT('',#525662); #160309=VERTEX_POINT('',#525664); #160310=VERTEX_POINT('',#525668); #160311=VERTEX_POINT('',#525670); #160312=VERTEX_POINT('',#525674); #160313=VERTEX_POINT('',#525676); #160314=VERTEX_POINT('',#525680); #160315=VERTEX_POINT('',#525682); #160316=VERTEX_POINT('',#525686); #160317=VERTEX_POINT('',#525688); #160318=VERTEX_POINT('',#525692); #160319=VERTEX_POINT('',#525694); #160320=VERTEX_POINT('',#525698); #160321=VERTEX_POINT('',#525700); #160322=VERTEX_POINT('',#525704); #160323=VERTEX_POINT('',#525706); #160324=VERTEX_POINT('',#525710); #160325=VERTEX_POINT('',#525712); #160326=VERTEX_POINT('',#525716); #160327=VERTEX_POINT('',#525718); #160328=VERTEX_POINT('',#525722); #160329=VERTEX_POINT('',#525724); #160330=VERTEX_POINT('',#525728); #160331=VERTEX_POINT('',#525730); #160332=VERTEX_POINT('',#525734); #160333=VERTEX_POINT('',#525736); #160334=VERTEX_POINT('',#525740); #160335=VERTEX_POINT('',#525742); #160336=VERTEX_POINT('',#525746); #160337=VERTEX_POINT('',#525748); #160338=VERTEX_POINT('',#525752); #160339=VERTEX_POINT('',#525754); #160340=VERTEX_POINT('',#525758); #160341=VERTEX_POINT('',#525760); #160342=VERTEX_POINT('',#525764); #160343=VERTEX_POINT('',#525766); #160344=VERTEX_POINT('',#525770); #160345=VERTEX_POINT('',#525772); #160346=VERTEX_POINT('',#525776); #160347=VERTEX_POINT('',#525778); #160348=VERTEX_POINT('',#525782); #160349=VERTEX_POINT('',#525784); #160350=VERTEX_POINT('',#525788); #160351=VERTEX_POINT('',#525790); #160352=VERTEX_POINT('',#525794); #160353=VERTEX_POINT('',#525796); #160354=VERTEX_POINT('',#525800); #160355=VERTEX_POINT('',#525802); #160356=VERTEX_POINT('',#525806); #160357=VERTEX_POINT('',#525808); #160358=VERTEX_POINT('',#525812); #160359=VERTEX_POINT('',#525814); #160360=VERTEX_POINT('',#525818); #160361=VERTEX_POINT('',#525820); #160362=VERTEX_POINT('',#525824); #160363=VERTEX_POINT('',#525826); #160364=VERTEX_POINT('',#525830); #160365=VERTEX_POINT('',#525832); #160366=VERTEX_POINT('',#525836); #160367=VERTEX_POINT('',#525838); #160368=VERTEX_POINT('',#525842); #160369=VERTEX_POINT('',#525844); #160370=VERTEX_POINT('',#525848); #160371=VERTEX_POINT('',#525850); #160372=VERTEX_POINT('',#525854); #160373=VERTEX_POINT('',#525856); #160374=VERTEX_POINT('',#525860); #160375=VERTEX_POINT('',#525862); #160376=VERTEX_POINT('',#525866); #160377=VERTEX_POINT('',#525868); #160378=VERTEX_POINT('',#525872); #160379=VERTEX_POINT('',#525874); #160380=VERTEX_POINT('',#525878); #160381=VERTEX_POINT('',#525880); #160382=VERTEX_POINT('',#525884); #160383=VERTEX_POINT('',#525886); #160384=VERTEX_POINT('',#525890); #160385=VERTEX_POINT('',#525892); #160386=VERTEX_POINT('',#525896); #160387=VERTEX_POINT('',#525898); #160388=VERTEX_POINT('',#525902); #160389=VERTEX_POINT('',#525904); #160390=VERTEX_POINT('',#525908); #160391=VERTEX_POINT('',#525910); #160392=VERTEX_POINT('',#525914); #160393=VERTEX_POINT('',#525916); #160394=VERTEX_POINT('',#525920); #160395=VERTEX_POINT('',#525922); #160396=VERTEX_POINT('',#525926); #160397=VERTEX_POINT('',#525928); #160398=VERTEX_POINT('',#525932); #160399=VERTEX_POINT('',#525934); #160400=VERTEX_POINT('',#525938); #160401=VERTEX_POINT('',#525940); #160402=VERTEX_POINT('',#525944); #160403=VERTEX_POINT('',#525946); #160404=VERTEX_POINT('',#525950); #160405=VERTEX_POINT('',#525952); #160406=VERTEX_POINT('',#525956); #160407=VERTEX_POINT('',#525958); #160408=VERTEX_POINT('',#525962); #160409=VERTEX_POINT('',#525964); #160410=VERTEX_POINT('',#525968); #160411=VERTEX_POINT('',#525970); #160412=VERTEX_POINT('',#525974); #160413=VERTEX_POINT('',#525976); #160414=VERTEX_POINT('',#525980); #160415=VERTEX_POINT('',#525982); #160416=VERTEX_POINT('',#525986); #160417=VERTEX_POINT('',#525988); #160418=VERTEX_POINT('',#525992); #160419=VERTEX_POINT('',#525994); #160420=VERTEX_POINT('',#525998); #160421=VERTEX_POINT('',#526000); #160422=VERTEX_POINT('',#526004); #160423=VERTEX_POINT('',#526006); #160424=VERTEX_POINT('',#526010); #160425=VERTEX_POINT('',#526012); #160426=VERTEX_POINT('',#526016); #160427=VERTEX_POINT('',#526018); #160428=VERTEX_POINT('',#526022); #160429=VERTEX_POINT('',#526024); #160430=VERTEX_POINT('',#526028); #160431=VERTEX_POINT('',#526030); #160432=VERTEX_POINT('',#526034); #160433=VERTEX_POINT('',#526036); #160434=VERTEX_POINT('',#526040); #160435=VERTEX_POINT('',#526042); #160436=VERTEX_POINT('',#526046); #160437=VERTEX_POINT('',#526048); #160438=VERTEX_POINT('',#526052); #160439=VERTEX_POINT('',#526054); #160440=VERTEX_POINT('',#526058); #160441=VERTEX_POINT('',#526060); #160442=VERTEX_POINT('',#526064); #160443=VERTEX_POINT('',#526066); #160444=VERTEX_POINT('',#526070); #160445=VERTEX_POINT('',#526072); #160446=VERTEX_POINT('',#526076); #160447=VERTEX_POINT('',#526078); #160448=VERTEX_POINT('',#526082); #160449=VERTEX_POINT('',#526084); #160450=VERTEX_POINT('',#526088); #160451=VERTEX_POINT('',#526090); #160452=VERTEX_POINT('',#526094); #160453=VERTEX_POINT('',#526096); #160454=VERTEX_POINT('',#526100); #160455=VERTEX_POINT('',#526102); #160456=VERTEX_POINT('',#526106); #160457=VERTEX_POINT('',#526108); #160458=VERTEX_POINT('',#526112); #160459=VERTEX_POINT('',#526114); #160460=VERTEX_POINT('',#526118); #160461=VERTEX_POINT('',#526120); #160462=VERTEX_POINT('',#526124); #160463=VERTEX_POINT('',#526126); #160464=VERTEX_POINT('',#526130); #160465=VERTEX_POINT('',#526132); #160466=VERTEX_POINT('',#526136); #160467=VERTEX_POINT('',#526138); #160468=VERTEX_POINT('',#526142); #160469=VERTEX_POINT('',#526144); #160470=VERTEX_POINT('',#526148); #160471=VERTEX_POINT('',#526150); #160472=VERTEX_POINT('',#526154); #160473=VERTEX_POINT('',#526156); #160474=VERTEX_POINT('',#526160); #160475=VERTEX_POINT('',#526162); #160476=VERTEX_POINT('',#526166); #160477=VERTEX_POINT('',#526168); #160478=VERTEX_POINT('',#526172); #160479=VERTEX_POINT('',#526174); #160480=VERTEX_POINT('',#526178); #160481=VERTEX_POINT('',#526180); #160482=VERTEX_POINT('',#526184); #160483=VERTEX_POINT('',#526186); #160484=VERTEX_POINT('',#526190); #160485=VERTEX_POINT('',#526192); #160486=VERTEX_POINT('',#526196); #160487=VERTEX_POINT('',#526198); #160488=VERTEX_POINT('',#526202); #160489=VERTEX_POINT('',#526204); #160490=VERTEX_POINT('',#526208); #160491=VERTEX_POINT('',#526210); #160492=VERTEX_POINT('',#526214); #160493=VERTEX_POINT('',#526216); #160494=VERTEX_POINT('',#526220); #160495=VERTEX_POINT('',#526222); #160496=VERTEX_POINT('',#526226); #160497=VERTEX_POINT('',#526228); #160498=VERTEX_POINT('',#526232); #160499=VERTEX_POINT('',#526234); #160500=VERTEX_POINT('',#526238); #160501=VERTEX_POINT('',#526240); #160502=VERTEX_POINT('',#526244); #160503=VERTEX_POINT('',#526246); #160504=VERTEX_POINT('',#526250); #160505=VERTEX_POINT('',#526252); #160506=VERTEX_POINT('',#526256); #160507=VERTEX_POINT('',#526258); #160508=VERTEX_POINT('',#526262); #160509=VERTEX_POINT('',#526264); #160510=VERTEX_POINT('',#526268); #160511=VERTEX_POINT('',#526270); #160512=VERTEX_POINT('',#526274); #160513=VERTEX_POINT('',#526276); #160514=VERTEX_POINT('',#526280); #160515=VERTEX_POINT('',#526282); #160516=VERTEX_POINT('',#526286); #160517=VERTEX_POINT('',#526288); #160518=VERTEX_POINT('',#526297); #160519=VERTEX_POINT('',#526298); #160520=VERTEX_POINT('',#526300); #160521=VERTEX_POINT('',#526302); #160522=VERTEX_POINT('',#526306); #160523=VERTEX_POINT('',#526308); #160524=VERTEX_POINT('',#526312); #160525=VERTEX_POINT('',#526314); #160526=VERTEX_POINT('',#526318); #160527=VERTEX_POINT('',#526320); #160528=VERTEX_POINT('',#526324); #160529=VERTEX_POINT('',#526326); #160530=VERTEX_POINT('',#526330); #160531=VERTEX_POINT('',#526332); #160532=VERTEX_POINT('',#526336); #160533=VERTEX_POINT('',#526338); #160534=VERTEX_POINT('',#526342); #160535=VERTEX_POINT('',#526344); #160536=VERTEX_POINT('',#526348); #160537=VERTEX_POINT('',#526350); #160538=VERTEX_POINT('',#526354); #160539=VERTEX_POINT('',#526356); #160540=VERTEX_POINT('',#526360); #160541=VERTEX_POINT('',#526362); #160542=VERTEX_POINT('',#526366); #160543=VERTEX_POINT('',#526368); #160544=VERTEX_POINT('',#526372); #160545=VERTEX_POINT('',#526374); #160546=VERTEX_POINT('',#526378); #160547=VERTEX_POINT('',#526380); #160548=VERTEX_POINT('',#526384); #160549=VERTEX_POINT('',#526386); #160550=VERTEX_POINT('',#526390); #160551=VERTEX_POINT('',#526392); #160552=VERTEX_POINT('',#526396); #160553=VERTEX_POINT('',#526398); #160554=VERTEX_POINT('',#526402); #160555=VERTEX_POINT('',#526404); #160556=VERTEX_POINT('',#526408); #160557=VERTEX_POINT('',#526410); #160558=VERTEX_POINT('',#526414); #160559=VERTEX_POINT('',#526416); #160560=VERTEX_POINT('',#526425); #160561=VERTEX_POINT('',#526426); #160562=VERTEX_POINT('',#526428); #160563=VERTEX_POINT('',#526430); #160564=VERTEX_POINT('',#526434); #160565=VERTEX_POINT('',#526436); #160566=VERTEX_POINT('',#526440); #160567=VERTEX_POINT('',#526442); #160568=VERTEX_POINT('',#526446); #160569=VERTEX_POINT('',#526448); #160570=VERTEX_POINT('',#526452); #160571=VERTEX_POINT('',#526454); #160572=VERTEX_POINT('',#526458); #160573=VERTEX_POINT('',#526460); #160574=VERTEX_POINT('',#526464); #160575=VERTEX_POINT('',#526466); #160576=VERTEX_POINT('',#526470); #160577=VERTEX_POINT('',#526472); #160578=VERTEX_POINT('',#526476); #160579=VERTEX_POINT('',#526478); #160580=VERTEX_POINT('',#526482); #160581=VERTEX_POINT('',#526484); #160582=VERTEX_POINT('',#526488); #160583=VERTEX_POINT('',#526490); #160584=VERTEX_POINT('',#526494); #160585=VERTEX_POINT('',#526496); #160586=VERTEX_POINT('',#526500); #160587=VERTEX_POINT('',#526502); #160588=VERTEX_POINT('',#526506); #160589=VERTEX_POINT('',#526508); #160590=VERTEX_POINT('',#526512); #160591=VERTEX_POINT('',#526514); #160592=VERTEX_POINT('',#526518); #160593=VERTEX_POINT('',#526520); #160594=VERTEX_POINT('',#526524); #160595=VERTEX_POINT('',#526526); #160596=VERTEX_POINT('',#526530); #160597=VERTEX_POINT('',#526532); #160598=VERTEX_POINT('',#526536); #160599=VERTEX_POINT('',#526538); #160600=VERTEX_POINT('',#526542); #160601=VERTEX_POINT('',#526544); #160602=VERTEX_POINT('',#526553); #160603=VERTEX_POINT('',#526554); #160604=VERTEX_POINT('',#526556); #160605=VERTEX_POINT('',#526558); #160606=VERTEX_POINT('',#526562); #160607=VERTEX_POINT('',#526564); #160608=VERTEX_POINT('',#526568); #160609=VERTEX_POINT('',#526570); #160610=VERTEX_POINT('',#526574); #160611=VERTEX_POINT('',#526576); #160612=VERTEX_POINT('',#526580); #160613=VERTEX_POINT('',#526582); #160614=VERTEX_POINT('',#526586); #160615=VERTEX_POINT('',#526588); #160616=VERTEX_POINT('',#526592); #160617=VERTEX_POINT('',#526594); #160618=VERTEX_POINT('',#526598); #160619=VERTEX_POINT('',#526600); #160620=VERTEX_POINT('',#526604); #160621=VERTEX_POINT('',#526606); #160622=VERTEX_POINT('',#526610); #160623=VERTEX_POINT('',#526612); #160624=VERTEX_POINT('',#526616); #160625=VERTEX_POINT('',#526618); #160626=VERTEX_POINT('',#526622); #160627=VERTEX_POINT('',#526624); #160628=VERTEX_POINT('',#526628); #160629=VERTEX_POINT('',#526630); #160630=VERTEX_POINT('',#526634); #160631=VERTEX_POINT('',#526636); #160632=VERTEX_POINT('',#526640); #160633=VERTEX_POINT('',#526642); #160634=VERTEX_POINT('',#526646); #160635=VERTEX_POINT('',#526648); #160636=VERTEX_POINT('',#526652); #160637=VERTEX_POINT('',#526654); #160638=VERTEX_POINT('',#526658); #160639=VERTEX_POINT('',#526660); #160640=VERTEX_POINT('',#526669); #160641=VERTEX_POINT('',#526670); #160642=VERTEX_POINT('',#526672); #160643=VERTEX_POINT('',#526674); #160644=VERTEX_POINT('',#526678); #160645=VERTEX_POINT('',#526680); #160646=VERTEX_POINT('',#526684); #160647=VERTEX_POINT('',#526686); #160648=VERTEX_POINT('',#526690); #160649=VERTEX_POINT('',#526692); #160650=VERTEX_POINT('',#526696); #160651=VERTEX_POINT('',#526698); #160652=VERTEX_POINT('',#526702); #160653=VERTEX_POINT('',#526704); #160654=VERTEX_POINT('',#526708); #160655=VERTEX_POINT('',#526710); #160656=VERTEX_POINT('',#526714); #160657=VERTEX_POINT('',#526716); #160658=VERTEX_POINT('',#526720); #160659=VERTEX_POINT('',#526722); #160660=VERTEX_POINT('',#526726); #160661=VERTEX_POINT('',#526728); #160662=VERTEX_POINT('',#526732); #160663=VERTEX_POINT('',#526734); #160664=VERTEX_POINT('',#526738); #160665=VERTEX_POINT('',#526740); #160666=VERTEX_POINT('',#526744); #160667=VERTEX_POINT('',#526746); #160668=VERTEX_POINT('',#526750); #160669=VERTEX_POINT('',#526752); #160670=VERTEX_POINT('',#526756); #160671=VERTEX_POINT('',#526758); #160672=VERTEX_POINT('',#526762); #160673=VERTEX_POINT('',#526764); #160674=VERTEX_POINT('',#526768); #160675=VERTEX_POINT('',#526770); #160676=VERTEX_POINT('',#526774); #160677=VERTEX_POINT('',#526776); #160678=VERTEX_POINT('',#526785); #160679=VERTEX_POINT('',#526786); #160680=VERTEX_POINT('',#526788); #160681=VERTEX_POINT('',#526790); #160682=VERTEX_POINT('',#526794); #160683=VERTEX_POINT('',#526796); #160684=VERTEX_POINT('',#526800); #160685=VERTEX_POINT('',#526802); #160686=VERTEX_POINT('',#526806); #160687=VERTEX_POINT('',#526808); #160688=VERTEX_POINT('',#526812); #160689=VERTEX_POINT('',#526814); #160690=VERTEX_POINT('',#526818); #160691=VERTEX_POINT('',#526820); #160692=VERTEX_POINT('',#526824); #160693=VERTEX_POINT('',#526826); #160694=VERTEX_POINT('',#526830); #160695=VERTEX_POINT('',#526832); #160696=VERTEX_POINT('',#526836); #160697=VERTEX_POINT('',#526838); #160698=VERTEX_POINT('',#526842); #160699=VERTEX_POINT('',#526844); #160700=VERTEX_POINT('',#526848); #160701=VERTEX_POINT('',#526850); #160702=VERTEX_POINT('',#526854); #160703=VERTEX_POINT('',#526856); #160704=VERTEX_POINT('',#526860); #160705=VERTEX_POINT('',#526862); #160706=VERTEX_POINT('',#526866); #160707=VERTEX_POINT('',#526868); #160708=VERTEX_POINT('',#526872); #160709=VERTEX_POINT('',#526874); #160710=VERTEX_POINT('',#526878); #160711=VERTEX_POINT('',#526880); #160712=VERTEX_POINT('',#526884); #160713=VERTEX_POINT('',#526886); #160714=VERTEX_POINT('',#526890); #160715=VERTEX_POINT('',#526892); #160716=VERTEX_POINT('',#526901); #160717=VERTEX_POINT('',#526902); #160718=VERTEX_POINT('',#526904); #160719=VERTEX_POINT('',#526906); #160720=VERTEX_POINT('',#526910); #160721=VERTEX_POINT('',#526912); #160722=VERTEX_POINT('',#526916); #160723=VERTEX_POINT('',#526918); #160724=VERTEX_POINT('',#526922); #160725=VERTEX_POINT('',#526924); #160726=VERTEX_POINT('',#526928); #160727=VERTEX_POINT('',#526930); #160728=VERTEX_POINT('',#526934); #160729=VERTEX_POINT('',#526936); #160730=VERTEX_POINT('',#526940); #160731=VERTEX_POINT('',#526942); #160732=VERTEX_POINT('',#526946); #160733=VERTEX_POINT('',#526948); #160734=VERTEX_POINT('',#526952); #160735=VERTEX_POINT('',#526954); #160736=VERTEX_POINT('',#526958); #160737=VERTEX_POINT('',#526960); #160738=VERTEX_POINT('',#526964); #160739=VERTEX_POINT('',#526966); #160740=VERTEX_POINT('',#526970); #160741=VERTEX_POINT('',#526972); #160742=VERTEX_POINT('',#526976); #160743=VERTEX_POINT('',#526978); #160744=VERTEX_POINT('',#526982); #160745=VERTEX_POINT('',#526984); #160746=VERTEX_POINT('',#526988); #160747=VERTEX_POINT('',#526990); #160748=VERTEX_POINT('',#526994); #160749=VERTEX_POINT('',#526996); #160750=VERTEX_POINT('',#527000); #160751=VERTEX_POINT('',#527002); #160752=VERTEX_POINT('',#527006); #160753=VERTEX_POINT('',#527008); #160754=VERTEX_POINT('',#527012); #160755=VERTEX_POINT('',#527014); #160756=VERTEX_POINT('',#527018); #160757=VERTEX_POINT('',#527020); #160758=VERTEX_POINT('',#527024); #160759=VERTEX_POINT('',#527026); #160760=VERTEX_POINT('',#527030); #160761=VERTEX_POINT('',#527032); #160762=VERTEX_POINT('',#527036); #160763=VERTEX_POINT('',#527038); #160764=VERTEX_POINT('',#527042); #160765=VERTEX_POINT('',#527044); #160766=VERTEX_POINT('',#527048); #160767=VERTEX_POINT('',#527050); #160768=VERTEX_POINT('',#527054); #160769=VERTEX_POINT('',#527056); #160770=VERTEX_POINT('',#527060); #160771=VERTEX_POINT('',#527062); #160772=VERTEX_POINT('',#527066); #160773=VERTEX_POINT('',#527068); #160774=VERTEX_POINT('',#527072); #160775=VERTEX_POINT('',#527074); #160776=VERTEX_POINT('',#527078); #160777=VERTEX_POINT('',#527080); #160778=VERTEX_POINT('',#527084); #160779=VERTEX_POINT('',#527086); #160780=VERTEX_POINT('',#527090); #160781=VERTEX_POINT('',#527092); #160782=VERTEX_POINT('',#527096); #160783=VERTEX_POINT('',#527098); #160784=VERTEX_POINT('',#527102); #160785=VERTEX_POINT('',#527104); #160786=VERTEX_POINT('',#527108); #160787=VERTEX_POINT('',#527110); #160788=VERTEX_POINT('',#527114); #160789=VERTEX_POINT('',#527116); #160790=VERTEX_POINT('',#527125); #160791=VERTEX_POINT('',#527126); #160792=VERTEX_POINT('',#527128); #160793=VERTEX_POINT('',#527130); #160794=VERTEX_POINT('',#527134); #160795=VERTEX_POINT('',#527136); #160796=VERTEX_POINT('',#527140); #160797=VERTEX_POINT('',#527142); #160798=VERTEX_POINT('',#527146); #160799=VERTEX_POINT('',#527148); #160800=VERTEX_POINT('',#527152); #160801=VERTEX_POINT('',#527154); #160802=VERTEX_POINT('',#527158); #160803=VERTEX_POINT('',#527160); #160804=VERTEX_POINT('',#527164); #160805=VERTEX_POINT('',#527166); #160806=VERTEX_POINT('',#527170); #160807=VERTEX_POINT('',#527172); #160808=VERTEX_POINT('',#527176); #160809=VERTEX_POINT('',#527178); #160810=VERTEX_POINT('',#527182); #160811=VERTEX_POINT('',#527184); #160812=VERTEX_POINT('',#527188); #160813=VERTEX_POINT('',#527190); #160814=VERTEX_POINT('',#527194); #160815=VERTEX_POINT('',#527196); #160816=VERTEX_POINT('',#527200); #160817=VERTEX_POINT('',#527202); #160818=VERTEX_POINT('',#527206); #160819=VERTEX_POINT('',#527208); #160820=VERTEX_POINT('',#527212); #160821=VERTEX_POINT('',#527214); #160822=VERTEX_POINT('',#527218); #160823=VERTEX_POINT('',#527220); #160824=VERTEX_POINT('',#527224); #160825=VERTEX_POINT('',#527226); #160826=VERTEX_POINT('',#527230); #160827=VERTEX_POINT('',#527232); #160828=VERTEX_POINT('',#527236); #160829=VERTEX_POINT('',#527238); #160830=VERTEX_POINT('',#527247); #160831=VERTEX_POINT('',#527248); #160832=VERTEX_POINT('',#527250); #160833=VERTEX_POINT('',#527252); #160834=VERTEX_POINT('',#527256); #160835=VERTEX_POINT('',#527258); #160836=VERTEX_POINT('',#527262); #160837=VERTEX_POINT('',#527264); #160838=VERTEX_POINT('',#527268); #160839=VERTEX_POINT('',#527270); #160840=VERTEX_POINT('',#527274); #160841=VERTEX_POINT('',#527276); #160842=VERTEX_POINT('',#527280); #160843=VERTEX_POINT('',#527282); #160844=VERTEX_POINT('',#527286); #160845=VERTEX_POINT('',#527288); #160846=VERTEX_POINT('',#527292); #160847=VERTEX_POINT('',#527294); #160848=VERTEX_POINT('',#527298); #160849=VERTEX_POINT('',#527300); #160850=VERTEX_POINT('',#527304); #160851=VERTEX_POINT('',#527306); #160852=VERTEX_POINT('',#527310); #160853=VERTEX_POINT('',#527312); #160854=VERTEX_POINT('',#527316); #160855=VERTEX_POINT('',#527318); #160856=VERTEX_POINT('',#527322); #160857=VERTEX_POINT('',#527324); #160858=VERTEX_POINT('',#527328); #160859=VERTEX_POINT('',#527330); #160860=VERTEX_POINT('',#527334); #160861=VERTEX_POINT('',#527336); #160862=VERTEX_POINT('',#527340); #160863=VERTEX_POINT('',#527342); #160864=VERTEX_POINT('',#527346); #160865=VERTEX_POINT('',#527348); #160866=VERTEX_POINT('',#527352); #160867=VERTEX_POINT('',#527354); #160868=VERTEX_POINT('',#527358); #160869=VERTEX_POINT('',#527360); #160870=VERTEX_POINT('',#527364); #160871=VERTEX_POINT('',#527366); #160872=VERTEX_POINT('',#527370); #160873=VERTEX_POINT('',#527372); #160874=VERTEX_POINT('',#527376); #160875=VERTEX_POINT('',#527378); #160876=VERTEX_POINT('',#527382); #160877=VERTEX_POINT('',#527384); #160878=VERTEX_POINT('',#527388); #160879=VERTEX_POINT('',#527390); #160880=VERTEX_POINT('',#527394); #160881=VERTEX_POINT('',#527396); #160882=VERTEX_POINT('',#527400); #160883=VERTEX_POINT('',#527402); #160884=VERTEX_POINT('',#527406); #160885=VERTEX_POINT('',#527408); #160886=VERTEX_POINT('',#527412); #160887=VERTEX_POINT('',#527414); #160888=VERTEX_POINT('',#527418); #160889=VERTEX_POINT('',#527420); #160890=VERTEX_POINT('',#527424); #160891=VERTEX_POINT('',#527426); #160892=VERTEX_POINT('',#527430); #160893=VERTEX_POINT('',#527432); #160894=VERTEX_POINT('',#527436); #160895=VERTEX_POINT('',#527438); #160896=VERTEX_POINT('',#527442); #160897=VERTEX_POINT('',#527444); #160898=VERTEX_POINT('',#527448); #160899=VERTEX_POINT('',#527450); #160900=VERTEX_POINT('',#527454); #160901=VERTEX_POINT('',#527456); #160902=VERTEX_POINT('',#527460); #160903=VERTEX_POINT('',#527462); #160904=VERTEX_POINT('',#527466); #160905=VERTEX_POINT('',#527468); #160906=VERTEX_POINT('',#527472); #160907=VERTEX_POINT('',#527474); #160908=VERTEX_POINT('',#527478); #160909=VERTEX_POINT('',#527480); #160910=VERTEX_POINT('',#527484); #160911=VERTEX_POINT('',#527486); #160912=VERTEX_POINT('',#527490); #160913=VERTEX_POINT('',#527492); #160914=VERTEX_POINT('',#527496); #160915=VERTEX_POINT('',#527498); #160916=VERTEX_POINT('',#527502); #160917=VERTEX_POINT('',#527504); #160918=VERTEX_POINT('',#527508); #160919=VERTEX_POINT('',#527510); #160920=VERTEX_POINT('',#527514); #160921=VERTEX_POINT('',#527516); #160922=VERTEX_POINT('',#527520); #160923=VERTEX_POINT('',#527522); #160924=VERTEX_POINT('',#527526); #160925=VERTEX_POINT('',#527528); #160926=VERTEX_POINT('',#527537); #160927=VERTEX_POINT('',#527538); #160928=VERTEX_POINT('',#527540); #160929=VERTEX_POINT('',#527542); #160930=VERTEX_POINT('',#527546); #160931=VERTEX_POINT('',#527548); #160932=VERTEX_POINT('',#527552); #160933=VERTEX_POINT('',#527554); #160934=VERTEX_POINT('',#527558); #160935=VERTEX_POINT('',#527560); #160936=VERTEX_POINT('',#527564); #160937=VERTEX_POINT('',#527566); #160938=VERTEX_POINT('',#527570); #160939=VERTEX_POINT('',#527572); #160940=VERTEX_POINT('',#527576); #160941=VERTEX_POINT('',#527578); #160942=VERTEX_POINT('',#527582); #160943=VERTEX_POINT('',#527584); #160944=VERTEX_POINT('',#527588); #160945=VERTEX_POINT('',#527590); #160946=VERTEX_POINT('',#527594); #160947=VERTEX_POINT('',#527596); #160948=VERTEX_POINT('',#527600); #160949=VERTEX_POINT('',#527602); #160950=VERTEX_POINT('',#527606); #160951=VERTEX_POINT('',#527608); #160952=VERTEX_POINT('',#527612); #160953=VERTEX_POINT('',#527614); #160954=VERTEX_POINT('',#527618); #160955=VERTEX_POINT('',#527620); #160956=VERTEX_POINT('',#527624); #160957=VERTEX_POINT('',#527626); #160958=VERTEX_POINT('',#527630); #160959=VERTEX_POINT('',#527632); #160960=VERTEX_POINT('',#527636); #160961=VERTEX_POINT('',#527638); #160962=VERTEX_POINT('',#527642); #160963=VERTEX_POINT('',#527644); #160964=VERTEX_POINT('',#527648); #160965=VERTEX_POINT('',#527650); #160966=VERTEX_POINT('',#527659); #160967=VERTEX_POINT('',#527660); #160968=VERTEX_POINT('',#527662); #160969=VERTEX_POINT('',#527664); #160970=VERTEX_POINT('',#527668); #160971=VERTEX_POINT('',#527670); #160972=VERTEX_POINT('',#527674); #160973=VERTEX_POINT('',#527676); #160974=VERTEX_POINT('',#527680); #160975=VERTEX_POINT('',#527682); #160976=VERTEX_POINT('',#527686); #160977=VERTEX_POINT('',#527688); #160978=VERTEX_POINT('',#527692); #160979=VERTEX_POINT('',#527694); #160980=VERTEX_POINT('',#527698); #160981=VERTEX_POINT('',#527700); #160982=VERTEX_POINT('',#527704); #160983=VERTEX_POINT('',#527706); #160984=VERTEX_POINT('',#527710); #160985=VERTEX_POINT('',#527712); #160986=VERTEX_POINT('',#527716); #160987=VERTEX_POINT('',#527718); #160988=VERTEX_POINT('',#527722); #160989=VERTEX_POINT('',#527724); #160990=VERTEX_POINT('',#527728); #160991=VERTEX_POINT('',#527730); #160992=VERTEX_POINT('',#527734); #160993=VERTEX_POINT('',#527736); #160994=VERTEX_POINT('',#527740); #160995=VERTEX_POINT('',#527742); #160996=VERTEX_POINT('',#527746); #160997=VERTEX_POINT('',#527748); #160998=VERTEX_POINT('',#527752); #160999=VERTEX_POINT('',#527754); #161000=VERTEX_POINT('',#527758); #161001=VERTEX_POINT('',#527760); #161002=VERTEX_POINT('',#527764); #161003=VERTEX_POINT('',#527766); #161004=VERTEX_POINT('',#527770); #161005=VERTEX_POINT('',#527772); #161006=VERTEX_POINT('',#527776); #161007=VERTEX_POINT('',#527778); #161008=VERTEX_POINT('',#527782); #161009=VERTEX_POINT('',#527784); #161010=VERTEX_POINT('',#527788); #161011=VERTEX_POINT('',#527790); #161012=VERTEX_POINT('',#527794); #161013=VERTEX_POINT('',#527796); #161014=VERTEX_POINT('',#527800); #161015=VERTEX_POINT('',#527802); #161016=VERTEX_POINT('',#527806); #161017=VERTEX_POINT('',#527808); #161018=VERTEX_POINT('',#527812); #161019=VERTEX_POINT('',#527814); #161020=VERTEX_POINT('',#527818); #161021=VERTEX_POINT('',#527820); #161022=VERTEX_POINT('',#527824); #161023=VERTEX_POINT('',#527826); #161024=VERTEX_POINT('',#527830); #161025=VERTEX_POINT('',#527832); #161026=VERTEX_POINT('',#527836); #161027=VERTEX_POINT('',#527838); #161028=VERTEX_POINT('',#527847); #161029=VERTEX_POINT('',#527848); #161030=VERTEX_POINT('',#527850); #161031=VERTEX_POINT('',#527852); #161032=VERTEX_POINT('',#527856); #161033=VERTEX_POINT('',#527858); #161034=VERTEX_POINT('',#527862); #161035=VERTEX_POINT('',#527864); #161036=VERTEX_POINT('',#527868); #161037=VERTEX_POINT('',#527870); #161038=VERTEX_POINT('',#527874); #161039=VERTEX_POINT('',#527876); #161040=VERTEX_POINT('',#527880); #161041=VERTEX_POINT('',#527882); #161042=VERTEX_POINT('',#527886); #161043=VERTEX_POINT('',#527888); #161044=VERTEX_POINT('',#527892); #161045=VERTEX_POINT('',#527894); #161046=VERTEX_POINT('',#527898); #161047=VERTEX_POINT('',#527900); #161048=VERTEX_POINT('',#527904); #161049=VERTEX_POINT('',#527906); #161050=VERTEX_POINT('',#527910); #161051=VERTEX_POINT('',#527912); #161052=VERTEX_POINT('',#527916); #161053=VERTEX_POINT('',#527918); #161054=VERTEX_POINT('',#527922); #161055=VERTEX_POINT('',#527924); #161056=VERTEX_POINT('',#527928); #161057=VERTEX_POINT('',#527930); #161058=VERTEX_POINT('',#527934); #161059=VERTEX_POINT('',#527936); #161060=VERTEX_POINT('',#527940); #161061=VERTEX_POINT('',#527942); #161062=VERTEX_POINT('',#527946); #161063=VERTEX_POINT('',#527948); #161064=VERTEX_POINT('',#527952); #161065=VERTEX_POINT('',#527954); #161066=VERTEX_POINT('',#527958); #161067=VERTEX_POINT('',#527960); #161068=VERTEX_POINT('',#527969); #161069=VERTEX_POINT('',#527970); #161070=VERTEX_POINT('',#527972); #161071=VERTEX_POINT('',#527974); #161072=VERTEX_POINT('',#527978); #161073=VERTEX_POINT('',#527980); #161074=VERTEX_POINT('',#527984); #161075=VERTEX_POINT('',#527986); #161076=VERTEX_POINT('',#527990); #161077=VERTEX_POINT('',#527992); #161078=VERTEX_POINT('',#527996); #161079=VERTEX_POINT('',#527998); #161080=VERTEX_POINT('',#528002); #161081=VERTEX_POINT('',#528004); #161082=VERTEX_POINT('',#528008); #161083=VERTEX_POINT('',#528010); #161084=VERTEX_POINT('',#528014); #161085=VERTEX_POINT('',#528016); #161086=VERTEX_POINT('',#528020); #161087=VERTEX_POINT('',#528022); #161088=VERTEX_POINT('',#528026); #161089=VERTEX_POINT('',#528028); #161090=VERTEX_POINT('',#528032); #161091=VERTEX_POINT('',#528034); #161092=VERTEX_POINT('',#528038); #161093=VERTEX_POINT('',#528040); #161094=VERTEX_POINT('',#528044); #161095=VERTEX_POINT('',#528046); #161096=VERTEX_POINT('',#528050); #161097=VERTEX_POINT('',#528052); #161098=VERTEX_POINT('',#528056); #161099=VERTEX_POINT('',#528058); #161100=VERTEX_POINT('',#528062); #161101=VERTEX_POINT('',#528064); #161102=VERTEX_POINT('',#528068); #161103=VERTEX_POINT('',#528070); #161104=VERTEX_POINT('',#528074); #161105=VERTEX_POINT('',#528076); #161106=VERTEX_POINT('',#528080); #161107=VERTEX_POINT('',#528082); #161108=VERTEX_POINT('',#528086); #161109=VERTEX_POINT('',#528088); #161110=VERTEX_POINT('',#528092); #161111=VERTEX_POINT('',#528094); #161112=VERTEX_POINT('',#528098); #161113=VERTEX_POINT('',#528100); #161114=VERTEX_POINT('',#528104); #161115=VERTEX_POINT('',#528106); #161116=VERTEX_POINT('',#528110); #161117=VERTEX_POINT('',#528112); #161118=VERTEX_POINT('',#528116); #161119=VERTEX_POINT('',#528118); #161120=VERTEX_POINT('',#528122); #161121=VERTEX_POINT('',#528124); #161122=VERTEX_POINT('',#528128); #161123=VERTEX_POINT('',#528130); #161124=VERTEX_POINT('',#528134); #161125=VERTEX_POINT('',#528136); #161126=VERTEX_POINT('',#528140); #161127=VERTEX_POINT('',#528142); #161128=VERTEX_POINT('',#528146); #161129=VERTEX_POINT('',#528148); #161130=VERTEX_POINT('',#528157); #161131=VERTEX_POINT('',#528158); #161132=VERTEX_POINT('',#528160); #161133=VERTEX_POINT('',#528162); #161134=VERTEX_POINT('',#528166); #161135=VERTEX_POINT('',#528168); #161136=VERTEX_POINT('',#528172); #161137=VERTEX_POINT('',#528174); #161138=VERTEX_POINT('',#528178); #161139=VERTEX_POINT('',#528180); #161140=VERTEX_POINT('',#528184); #161141=VERTEX_POINT('',#528186); #161142=VERTEX_POINT('',#528190); #161143=VERTEX_POINT('',#528192); #161144=VERTEX_POINT('',#528196); #161145=VERTEX_POINT('',#528198); #161146=VERTEX_POINT('',#528202); #161147=VERTEX_POINT('',#528204); #161148=VERTEX_POINT('',#528208); #161149=VERTEX_POINT('',#528210); #161150=VERTEX_POINT('',#528214); #161151=VERTEX_POINT('',#528216); #161152=VERTEX_POINT('',#528220); #161153=VERTEX_POINT('',#528222); #161154=VERTEX_POINT('',#528226); #161155=VERTEX_POINT('',#528228); #161156=VERTEX_POINT('',#528232); #161157=VERTEX_POINT('',#528234); #161158=VERTEX_POINT('',#528238); #161159=VERTEX_POINT('',#528240); #161160=VERTEX_POINT('',#528244); #161161=VERTEX_POINT('',#528246); #161162=VERTEX_POINT('',#528250); #161163=VERTEX_POINT('',#528252); #161164=VERTEX_POINT('',#528256); #161165=VERTEX_POINT('',#528258); #161166=VERTEX_POINT('',#528262); #161167=VERTEX_POINT('',#528264); #161168=VERTEX_POINT('',#528268); #161169=VERTEX_POINT('',#528270); #161170=VERTEX_POINT('',#528274); #161171=VERTEX_POINT('',#528276); #161172=VERTEX_POINT('',#528285); #161173=VERTEX_POINT('',#528286); #161174=VERTEX_POINT('',#528288); #161175=VERTEX_POINT('',#528290); #161176=VERTEX_POINT('',#528294); #161177=VERTEX_POINT('',#528296); #161178=VERTEX_POINT('',#528300); #161179=VERTEX_POINT('',#528302); #161180=VERTEX_POINT('',#528306); #161181=VERTEX_POINT('',#528308); #161182=VERTEX_POINT('',#528312); #161183=VERTEX_POINT('',#528314); #161184=VERTEX_POINT('',#528318); #161185=VERTEX_POINT('',#528320); #161186=VERTEX_POINT('',#528324); #161187=VERTEX_POINT('',#528326); #161188=VERTEX_POINT('',#528330); #161189=VERTEX_POINT('',#528332); #161190=VERTEX_POINT('',#528336); #161191=VERTEX_POINT('',#528338); #161192=VERTEX_POINT('',#528342); #161193=VERTEX_POINT('',#528344); #161194=VERTEX_POINT('',#528348); #161195=VERTEX_POINT('',#528350); #161196=VERTEX_POINT('',#528354); #161197=VERTEX_POINT('',#528356); #161198=VERTEX_POINT('',#528360); #161199=VERTEX_POINT('',#528362); #161200=VERTEX_POINT('',#528366); #161201=VERTEX_POINT('',#528368); #161202=VERTEX_POINT('',#528372); #161203=VERTEX_POINT('',#528374); #161204=VERTEX_POINT('',#528378); #161205=VERTEX_POINT('',#528380); #161206=VERTEX_POINT('',#528384); #161207=VERTEX_POINT('',#528386); #161208=VERTEX_POINT('',#528390); #161209=VERTEX_POINT('',#528392); #161210=VERTEX_POINT('',#528396); #161211=VERTEX_POINT('',#528398); #161212=VERTEX_POINT('',#528402); #161213=VERTEX_POINT('',#528404); #161214=VERTEX_POINT('',#528408); #161215=VERTEX_POINT('',#528410); #161216=VERTEX_POINT('',#528414); #161217=VERTEX_POINT('',#528416); #161218=VERTEX_POINT('',#528420); #161219=VERTEX_POINT('',#528422); #161220=VERTEX_POINT('',#528426); #161221=VERTEX_POINT('',#528428); #161222=VERTEX_POINT('',#528432); #161223=VERTEX_POINT('',#528434); #161224=VERTEX_POINT('',#528438); #161225=VERTEX_POINT('',#528440); #161226=VERTEX_POINT('',#528444); #161227=VERTEX_POINT('',#528446); #161228=VERTEX_POINT('',#528450); #161229=VERTEX_POINT('',#528452); #161230=VERTEX_POINT('',#528456); #161231=VERTEX_POINT('',#528458); #161232=VERTEX_POINT('',#528462); #161233=VERTEX_POINT('',#528464); #161234=VERTEX_POINT('',#528468); #161235=VERTEX_POINT('',#528470); #161236=VERTEX_POINT('',#528474); #161237=VERTEX_POINT('',#528476); #161238=VERTEX_POINT('',#528480); #161239=VERTEX_POINT('',#528482); #161240=VERTEX_POINT('',#528486); #161241=VERTEX_POINT('',#528488); #161242=VERTEX_POINT('',#528492); #161243=VERTEX_POINT('',#528494); #161244=VERTEX_POINT('',#528498); #161245=VERTEX_POINT('',#528500); #161246=VERTEX_POINT('',#528504); #161247=VERTEX_POINT('',#528506); #161248=VERTEX_POINT('',#528510); #161249=VERTEX_POINT('',#528512); #161250=VERTEX_POINT('',#528516); #161251=VERTEX_POINT('',#528518); #161252=VERTEX_POINT('',#528527); #161253=VERTEX_POINT('',#528528); #161254=VERTEX_POINT('',#528530); #161255=VERTEX_POINT('',#528532); #161256=VERTEX_POINT('',#528536); #161257=VERTEX_POINT('',#528538); #161258=VERTEX_POINT('',#528542); #161259=VERTEX_POINT('',#528544); #161260=VERTEX_POINT('',#528548); #161261=VERTEX_POINT('',#528550); #161262=VERTEX_POINT('',#528554); #161263=VERTEX_POINT('',#528556); #161264=VERTEX_POINT('',#528560); #161265=VERTEX_POINT('',#528562); #161266=VERTEX_POINT('',#528566); #161267=VERTEX_POINT('',#528568); #161268=VERTEX_POINT('',#528572); #161269=VERTEX_POINT('',#528574); #161270=VERTEX_POINT('',#528578); #161271=VERTEX_POINT('',#528580); #161272=VERTEX_POINT('',#528584); #161273=VERTEX_POINT('',#528586); #161274=VERTEX_POINT('',#528590); #161275=VERTEX_POINT('',#528592); #161276=VERTEX_POINT('',#528596); #161277=VERTEX_POINT('',#528598); #161278=VERTEX_POINT('',#528602); #161279=VERTEX_POINT('',#528604); #161280=VERTEX_POINT('',#528608); #161281=VERTEX_POINT('',#528610); #161282=VERTEX_POINT('',#528614); #161283=VERTEX_POINT('',#528616); #161284=VERTEX_POINT('',#528620); #161285=VERTEX_POINT('',#528622); #161286=VERTEX_POINT('',#528626); #161287=VERTEX_POINT('',#528628); #161288=VERTEX_POINT('',#528632); #161289=VERTEX_POINT('',#528634); #161290=VERTEX_POINT('',#528638); #161291=VERTEX_POINT('',#528640); #161292=VERTEX_POINT('',#528649); #161293=VERTEX_POINT('',#528650); #161294=VERTEX_POINT('',#528652); #161295=VERTEX_POINT('',#528654); #161296=VERTEX_POINT('',#528658); #161297=VERTEX_POINT('',#528660); #161298=VERTEX_POINT('',#528664); #161299=VERTEX_POINT('',#528666); #161300=VERTEX_POINT('',#528670); #161301=VERTEX_POINT('',#528672); #161302=VERTEX_POINT('',#528676); #161303=VERTEX_POINT('',#528678); #161304=VERTEX_POINT('',#528682); #161305=VERTEX_POINT('',#528684); #161306=VERTEX_POINT('',#528688); #161307=VERTEX_POINT('',#528690); #161308=VERTEX_POINT('',#528694); #161309=VERTEX_POINT('',#528696); #161310=VERTEX_POINT('',#528700); #161311=VERTEX_POINT('',#528702); #161312=VERTEX_POINT('',#528706); #161313=VERTEX_POINT('',#528708); #161314=VERTEX_POINT('',#528712); #161315=VERTEX_POINT('',#528714); #161316=VERTEX_POINT('',#528718); #161317=VERTEX_POINT('',#528720); #161318=VERTEX_POINT('',#528724); #161319=VERTEX_POINT('',#528726); #161320=VERTEX_POINT('',#528730); #161321=VERTEX_POINT('',#528732); #161322=VERTEX_POINT('',#528736); #161323=VERTEX_POINT('',#528738); #161324=VERTEX_POINT('',#528742); #161325=VERTEX_POINT('',#528744); #161326=VERTEX_POINT('',#528748); #161327=VERTEX_POINT('',#528750); #161328=VERTEX_POINT('',#528754); #161329=VERTEX_POINT('',#528756); #161330=VERTEX_POINT('',#528760); #161331=VERTEX_POINT('',#528762); #161332=VERTEX_POINT('',#528771); #161333=VERTEX_POINT('',#528772); #161334=VERTEX_POINT('',#528774); #161335=VERTEX_POINT('',#528776); #161336=VERTEX_POINT('',#528780); #161337=VERTEX_POINT('',#528782); #161338=VERTEX_POINT('',#528786); #161339=VERTEX_POINT('',#528788); #161340=VERTEX_POINT('',#528792); #161341=VERTEX_POINT('',#528794); #161342=VERTEX_POINT('',#528798); #161343=VERTEX_POINT('',#528800); #161344=VERTEX_POINT('',#528804); #161345=VERTEX_POINT('',#528806); #161346=VERTEX_POINT('',#528810); #161347=VERTEX_POINT('',#528812); #161348=VERTEX_POINT('',#528816); #161349=VERTEX_POINT('',#528818); #161350=VERTEX_POINT('',#528822); #161351=VERTEX_POINT('',#528824); #161352=VERTEX_POINT('',#528828); #161353=VERTEX_POINT('',#528830); #161354=VERTEX_POINT('',#528834); #161355=VERTEX_POINT('',#528836); #161356=VERTEX_POINT('',#528840); #161357=VERTEX_POINT('',#528842); #161358=VERTEX_POINT('',#528846); #161359=VERTEX_POINT('',#528848); #161360=VERTEX_POINT('',#528852); #161361=VERTEX_POINT('',#528854); #161362=VERTEX_POINT('',#528858); #161363=VERTEX_POINT('',#528860); #161364=VERTEX_POINT('',#528864); #161365=VERTEX_POINT('',#528866); #161366=VERTEX_POINT('',#528870); #161367=VERTEX_POINT('',#528872); #161368=VERTEX_POINT('',#528876); #161369=VERTEX_POINT('',#528878); #161370=VERTEX_POINT('',#528882); #161371=VERTEX_POINT('',#528884); #161372=VERTEX_POINT('',#528893); #161373=VERTEX_POINT('',#528894); #161374=VERTEX_POINT('',#528896); #161375=VERTEX_POINT('',#528898); #161376=VERTEX_POINT('',#528902); #161377=VERTEX_POINT('',#528904); #161378=VERTEX_POINT('',#528908); #161379=VERTEX_POINT('',#528910); #161380=VERTEX_POINT('',#528914); #161381=VERTEX_POINT('',#528916); #161382=VERTEX_POINT('',#528920); #161383=VERTEX_POINT('',#528922); #161384=VERTEX_POINT('',#528926); #161385=VERTEX_POINT('',#528928); #161386=VERTEX_POINT('',#528932); #161387=VERTEX_POINT('',#528934); #161388=VERTEX_POINT('',#528938); #161389=VERTEX_POINT('',#528940); #161390=VERTEX_POINT('',#528944); #161391=VERTEX_POINT('',#528946); #161392=VERTEX_POINT('',#528950); #161393=VERTEX_POINT('',#528952); #161394=VERTEX_POINT('',#528956); #161395=VERTEX_POINT('',#528958); #161396=VERTEX_POINT('',#528962); #161397=VERTEX_POINT('',#528964); #161398=VERTEX_POINT('',#528968); #161399=VERTEX_POINT('',#528970); #161400=VERTEX_POINT('',#528974); #161401=VERTEX_POINT('',#528976); #161402=VERTEX_POINT('',#528980); #161403=VERTEX_POINT('',#528982); #161404=VERTEX_POINT('',#528986); #161405=VERTEX_POINT('',#528988); #161406=VERTEX_POINT('',#528992); #161407=VERTEX_POINT('',#528994); #161408=VERTEX_POINT('',#528998); #161409=VERTEX_POINT('',#529000); #161410=VERTEX_POINT('',#529004); #161411=VERTEX_POINT('',#529006); #161412=VERTEX_POINT('',#529010); #161413=VERTEX_POINT('',#529012); #161414=VERTEX_POINT('',#529016); #161415=VERTEX_POINT('',#529018); #161416=VERTEX_POINT('',#529022); #161417=VERTEX_POINT('',#529024); #161418=VERTEX_POINT('',#529028); #161419=VERTEX_POINT('',#529030); #161420=VERTEX_POINT('',#529034); #161421=VERTEX_POINT('',#529036); #161422=VERTEX_POINT('',#529040); #161423=VERTEX_POINT('',#529042); #161424=VERTEX_POINT('',#529046); #161425=VERTEX_POINT('',#529048); #161426=VERTEX_POINT('',#529052); #161427=VERTEX_POINT('',#529054); #161428=VERTEX_POINT('',#529058); #161429=VERTEX_POINT('',#529060); #161430=VERTEX_POINT('',#529064); #161431=VERTEX_POINT('',#529066); #161432=VERTEX_POINT('',#529070); #161433=VERTEX_POINT('',#529072); #161434=VERTEX_POINT('',#529076); #161435=VERTEX_POINT('',#529078); #161436=VERTEX_POINT('',#529082); #161437=VERTEX_POINT('',#529084); #161438=VERTEX_POINT('',#529088); #161439=VERTEX_POINT('',#529090); #161440=VERTEX_POINT('',#529094); #161441=VERTEX_POINT('',#529096); #161442=VERTEX_POINT('',#529100); #161443=VERTEX_POINT('',#529102); #161444=VERTEX_POINT('',#529106); #161445=VERTEX_POINT('',#529108); #161446=VERTEX_POINT('',#529112); #161447=VERTEX_POINT('',#529114); #161448=VERTEX_POINT('',#529118); #161449=VERTEX_POINT('',#529120); #161450=VERTEX_POINT('',#529124); #161451=VERTEX_POINT('',#529126); #161452=VERTEX_POINT('',#529135); #161453=VERTEX_POINT('',#529137); #161454=VERTEX_POINT('',#529141); #161455=VERTEX_POINT('',#529142); #161456=VERTEX_POINT('',#529144); #161457=VERTEX_POINT('',#529146); #161458=VERTEX_POINT('',#529150); #161459=VERTEX_POINT('',#529152); #161460=VERTEX_POINT('',#529156); #161461=VERTEX_POINT('',#529158); #161462=VERTEX_POINT('',#529165); #161463=VERTEX_POINT('',#529166); #161464=VERTEX_POINT('',#529168); #161465=VERTEX_POINT('',#529170); #161466=VERTEX_POINT('',#529174); #161467=VERTEX_POINT('',#529176); #161468=VERTEX_POINT('',#529180); #161469=VERTEX_POINT('',#529182); #161470=VERTEX_POINT('',#529186); #161471=VERTEX_POINT('',#529188); #161472=VERTEX_POINT('',#529192); #161473=VERTEX_POINT('',#529194); #161474=VERTEX_POINT('',#529198); #161475=VERTEX_POINT('',#529200); #161476=VERTEX_POINT('',#529204); #161477=VERTEX_POINT('',#529206); #161478=VERTEX_POINT('',#529210); #161479=VERTEX_POINT('',#529212); #161480=VERTEX_POINT('',#529216); #161481=VERTEX_POINT('',#529218); #161482=VERTEX_POINT('',#529222); #161483=VERTEX_POINT('',#529224); #161484=VERTEX_POINT('',#529228); #161485=VERTEX_POINT('',#529230); #161486=VERTEX_POINT('',#529234); #161487=VERTEX_POINT('',#529236); #161488=VERTEX_POINT('',#529240); #161489=VERTEX_POINT('',#529242); #161490=VERTEX_POINT('',#529246); #161491=VERTEX_POINT('',#529248); #161492=VERTEX_POINT('',#529252); #161493=VERTEX_POINT('',#529254); #161494=VERTEX_POINT('',#529258); #161495=VERTEX_POINT('',#529260); #161496=VERTEX_POINT('',#529264); #161497=VERTEX_POINT('',#529266); #161498=VERTEX_POINT('',#529270); #161499=VERTEX_POINT('',#529272); #161500=VERTEX_POINT('',#529276); #161501=VERTEX_POINT('',#529278); #161502=VERTEX_POINT('',#529282); #161503=VERTEX_POINT('',#529284); #161504=VERTEX_POINT('',#529288); #161505=VERTEX_POINT('',#529290); #161506=VERTEX_POINT('',#529294); #161507=VERTEX_POINT('',#529296); #161508=VERTEX_POINT('',#529300); #161509=VERTEX_POINT('',#529302); #161510=VERTEX_POINT('',#529306); #161511=VERTEX_POINT('',#529308); #161512=VERTEX_POINT('',#529312); #161513=VERTEX_POINT('',#529314); #161514=VERTEX_POINT('',#529318); #161515=VERTEX_POINT('',#529320); #161516=VERTEX_POINT('',#529324); #161517=VERTEX_POINT('',#529326); #161518=VERTEX_POINT('',#529330); #161519=VERTEX_POINT('',#529332); #161520=VERTEX_POINT('',#529336); #161521=VERTEX_POINT('',#529338); #161522=VERTEX_POINT('',#529342); #161523=VERTEX_POINT('',#529344); #161524=VERTEX_POINT('',#529348); #161525=VERTEX_POINT('',#529350); #161526=VERTEX_POINT('',#529354); #161527=VERTEX_POINT('',#529356); #161528=VERTEX_POINT('',#529360); #161529=VERTEX_POINT('',#529362); #161530=VERTEX_POINT('',#529366); #161531=VERTEX_POINT('',#529368); #161532=VERTEX_POINT('',#529372); #161533=VERTEX_POINT('',#529374); #161534=VERTEX_POINT('',#529378); #161535=VERTEX_POINT('',#529380); #161536=VERTEX_POINT('',#529384); #161537=VERTEX_POINT('',#529386); #161538=VERTEX_POINT('',#529390); #161539=VERTEX_POINT('',#529392); #161540=VERTEX_POINT('',#529396); #161541=VERTEX_POINT('',#529398); #161542=VERTEX_POINT('',#529402); #161543=VERTEX_POINT('',#529404); #161544=VERTEX_POINT('',#529408); #161545=VERTEX_POINT('',#529410); #161546=VERTEX_POINT('',#529414); #161547=VERTEX_POINT('',#529416); #161548=VERTEX_POINT('',#529420); #161549=VERTEX_POINT('',#529422); #161550=VERTEX_POINT('',#529426); #161551=VERTEX_POINT('',#529428); #161552=VERTEX_POINT('',#529432); #161553=VERTEX_POINT('',#529434); #161554=VERTEX_POINT('',#529438); #161555=VERTEX_POINT('',#529440); #161556=VERTEX_POINT('',#529444); #161557=VERTEX_POINT('',#529446); #161558=VERTEX_POINT('',#529450); #161559=VERTEX_POINT('',#529452); #161560=VERTEX_POINT('',#529456); #161561=VERTEX_POINT('',#529458); #161562=VERTEX_POINT('',#529462); #161563=VERTEX_POINT('',#529464); #161564=VERTEX_POINT('',#529468); #161565=VERTEX_POINT('',#529470); #161566=VERTEX_POINT('',#529474); #161567=VERTEX_POINT('',#529476); #161568=VERTEX_POINT('',#529480); #161569=VERTEX_POINT('',#529482); #161570=VERTEX_POINT('',#529486); #161571=VERTEX_POINT('',#529488); #161572=VERTEX_POINT('',#529492); #161573=VERTEX_POINT('',#529494); #161574=VERTEX_POINT('',#529498); #161575=VERTEX_POINT('',#529500); #161576=VERTEX_POINT('',#529504); #161577=VERTEX_POINT('',#529506); #161578=VERTEX_POINT('',#529510); #161579=VERTEX_POINT('',#529512); #161580=VERTEX_POINT('',#529516); #161581=VERTEX_POINT('',#529518); #161582=VERTEX_POINT('',#529522); #161583=VERTEX_POINT('',#529524); #161584=VERTEX_POINT('',#529528); #161585=VERTEX_POINT('',#529530); #161586=VERTEX_POINT('',#529534); #161587=VERTEX_POINT('',#529536); #161588=VERTEX_POINT('',#529540); #161589=VERTEX_POINT('',#529542); #161590=VERTEX_POINT('',#529546); #161591=VERTEX_POINT('',#529548); #161592=VERTEX_POINT('',#529552); #161593=VERTEX_POINT('',#529554); #161594=VERTEX_POINT('',#529558); #161595=VERTEX_POINT('',#529560); #161596=VERTEX_POINT('',#529564); #161597=VERTEX_POINT('',#529566); #161598=VERTEX_POINT('',#529570); #161599=VERTEX_POINT('',#529572); #161600=VERTEX_POINT('',#529576); #161601=VERTEX_POINT('',#529578); #161602=VERTEX_POINT('',#529582); #161603=VERTEX_POINT('',#529584); #161604=VERTEX_POINT('',#529588); #161605=VERTEX_POINT('',#529590); #161606=VERTEX_POINT('',#529594); #161607=VERTEX_POINT('',#529596); #161608=VERTEX_POINT('',#529600); #161609=VERTEX_POINT('',#529602); #161610=VERTEX_POINT('',#529606); #161611=VERTEX_POINT('',#529608); #161612=VERTEX_POINT('',#529612); #161613=VERTEX_POINT('',#529614); #161614=VERTEX_POINT('',#529618); #161615=VERTEX_POINT('',#529620); #161616=VERTEX_POINT('',#529624); #161617=VERTEX_POINT('',#529626); #161618=VERTEX_POINT('',#529630); #161619=VERTEX_POINT('',#529632); #161620=VERTEX_POINT('',#529636); #161621=VERTEX_POINT('',#529638); #161622=VERTEX_POINT('',#529642); #161623=VERTEX_POINT('',#529644); #161624=VERTEX_POINT('',#529648); #161625=VERTEX_POINT('',#529650); #161626=VERTEX_POINT('',#529654); #161627=VERTEX_POINT('',#529656); #161628=VERTEX_POINT('',#529660); #161629=VERTEX_POINT('',#529662); #161630=VERTEX_POINT('',#529666); #161631=VERTEX_POINT('',#529668); #161632=VERTEX_POINT('',#529672); #161633=VERTEX_POINT('',#529674); #161634=VERTEX_POINT('',#529678); #161635=VERTEX_POINT('',#529680); #161636=VERTEX_POINT('',#529684); #161637=VERTEX_POINT('',#529686); #161638=VERTEX_POINT('',#529690); #161639=VERTEX_POINT('',#529692); #161640=VERTEX_POINT('',#529696); #161641=VERTEX_POINT('',#529698); #161642=VERTEX_POINT('',#529702); #161643=VERTEX_POINT('',#529704); #161644=VERTEX_POINT('',#529708); #161645=VERTEX_POINT('',#529710); #161646=VERTEX_POINT('',#529714); #161647=VERTEX_POINT('',#529716); #161648=VERTEX_POINT('',#529725); #161649=VERTEX_POINT('',#529726); #161650=VERTEX_POINT('',#529728); #161651=VERTEX_POINT('',#529730); #161652=VERTEX_POINT('',#529734); #161653=VERTEX_POINT('',#529736); #161654=VERTEX_POINT('',#529740); #161655=VERTEX_POINT('',#529742); #161656=VERTEX_POINT('',#529746); #161657=VERTEX_POINT('',#529748); #161658=VERTEX_POINT('',#529752); #161659=VERTEX_POINT('',#529754); #161660=VERTEX_POINT('',#529758); #161661=VERTEX_POINT('',#529760); #161662=VERTEX_POINT('',#529764); #161663=VERTEX_POINT('',#529766); #161664=VERTEX_POINT('',#529770); #161665=VERTEX_POINT('',#529772); #161666=VERTEX_POINT('',#529776); #161667=VERTEX_POINT('',#529778); #161668=VERTEX_POINT('',#529782); #161669=VERTEX_POINT('',#529784); #161670=VERTEX_POINT('',#529788); #161671=VERTEX_POINT('',#529790); #161672=VERTEX_POINT('',#529794); #161673=VERTEX_POINT('',#529796); #161674=VERTEX_POINT('',#529800); #161675=VERTEX_POINT('',#529802); #161676=VERTEX_POINT('',#529806); #161677=VERTEX_POINT('',#529808); #161678=VERTEX_POINT('',#529812); #161679=VERTEX_POINT('',#529814); #161680=VERTEX_POINT('',#529818); #161681=VERTEX_POINT('',#529820); #161682=VERTEX_POINT('',#529824); #161683=VERTEX_POINT('',#529826); #161684=VERTEX_POINT('',#529830); #161685=VERTEX_POINT('',#529832); #161686=VERTEX_POINT('',#529836); #161687=VERTEX_POINT('',#529838); #161688=VERTEX_POINT('',#529847); #161689=VERTEX_POINT('',#529849); #161690=VERTEX_POINT('',#529853); #161691=VERTEX_POINT('',#529855); #161692=VERTEX_POINT('',#529859); #161693=VERTEX_POINT('',#529861); #161694=VERTEX_POINT('',#529865); #161695=VERTEX_POINT('',#529867); #161696=VERTEX_POINT('',#529871); #161697=VERTEX_POINT('',#529873); #161698=VERTEX_POINT('',#529877); #161699=VERTEX_POINT('',#529879); #161700=VERTEX_POINT('',#529883); #161701=VERTEX_POINT('',#529885); #161702=VERTEX_POINT('',#529889); #161703=VERTEX_POINT('',#529891); #161704=VERTEX_POINT('',#529895); #161705=VERTEX_POINT('',#529897); #161706=VERTEX_POINT('',#529901); #161707=VERTEX_POINT('',#529903); #161708=VERTEX_POINT('',#529907); #161709=VERTEX_POINT('',#529909); #161710=VERTEX_POINT('',#529913); #161711=VERTEX_POINT('',#529915); #161712=VERTEX_POINT('',#529919); #161713=VERTEX_POINT('',#529921); #161714=VERTEX_POINT('',#529925); #161715=VERTEX_POINT('',#529927); #161716=VERTEX_POINT('',#529931); #161717=VERTEX_POINT('',#529933); #161718=VERTEX_POINT('',#529937); #161719=VERTEX_POINT('',#529938); #161720=VERTEX_POINT('',#529940); #161721=VERTEX_POINT('',#529942); #161722=VERTEX_POINT('',#529946); #161723=VERTEX_POINT('',#529948); #161724=VERTEX_POINT('',#529952); #161725=VERTEX_POINT('',#529954); #161726=VERTEX_POINT('',#529958); #161727=VERTEX_POINT('',#529960); #161728=VERTEX_POINT('',#529964); #161729=VERTEX_POINT('',#529966); #161730=VERTEX_POINT('',#529970); #161731=VERTEX_POINT('',#529972); #161732=VERTEX_POINT('',#529976); #161733=VERTEX_POINT('',#529978); #161734=VERTEX_POINT('',#529982); #161735=VERTEX_POINT('',#529984); #161736=VERTEX_POINT('',#529988); #161737=VERTEX_POINT('',#529990); #161738=VERTEX_POINT('',#529994); #161739=VERTEX_POINT('',#529996); #161740=VERTEX_POINT('',#530000); #161741=VERTEX_POINT('',#530002); #161742=VERTEX_POINT('',#530006); #161743=VERTEX_POINT('',#530008); #161744=VERTEX_POINT('',#530012); #161745=VERTEX_POINT('',#530014); #161746=VERTEX_POINT('',#530018); #161747=VERTEX_POINT('',#530020); #161748=VERTEX_POINT('',#530024); #161749=VERTEX_POINT('',#530026); #161750=VERTEX_POINT('',#530030); #161751=VERTEX_POINT('',#530032); #161752=VERTEX_POINT('',#530036); #161753=VERTEX_POINT('',#530038); #161754=VERTEX_POINT('',#530042); #161755=VERTEX_POINT('',#530044); #161756=VERTEX_POINT('',#530048); #161757=VERTEX_POINT('',#530050); #161758=VERTEX_POINT('',#530054); #161759=VERTEX_POINT('',#530056); #161760=VERTEX_POINT('',#530060); #161761=VERTEX_POINT('',#530062); #161762=VERTEX_POINT('',#530066); #161763=VERTEX_POINT('',#530068); #161764=VERTEX_POINT('',#530072); #161765=VERTEX_POINT('',#530074); #161766=VERTEX_POINT('',#530078); #161767=VERTEX_POINT('',#530080); #161768=VERTEX_POINT('',#530084); #161769=VERTEX_POINT('',#530086); #161770=VERTEX_POINT('',#530090); #161771=VERTEX_POINT('',#530092); #161772=VERTEX_POINT('',#530096); #161773=VERTEX_POINT('',#530098); #161774=VERTEX_POINT('',#530102); #161775=VERTEX_POINT('',#530104); #161776=VERTEX_POINT('',#530108); #161777=VERTEX_POINT('',#530110); #161778=VERTEX_POINT('',#530114); #161779=VERTEX_POINT('',#530116); #161780=VERTEX_POINT('',#530120); #161781=VERTEX_POINT('',#530122); #161782=VERTEX_POINT('',#530126); #161783=VERTEX_POINT('',#530128); #161784=VERTEX_POINT('',#530132); #161785=VERTEX_POINT('',#530134); #161786=VERTEX_POINT('',#530138); #161787=VERTEX_POINT('',#530140); #161788=VERTEX_POINT('',#530144); #161789=VERTEX_POINT('',#530146); #161790=VERTEX_POINT('',#530150); #161791=VERTEX_POINT('',#530152); #161792=VERTEX_POINT('',#530156); #161793=VERTEX_POINT('',#530158); #161794=VERTEX_POINT('',#530162); #161795=VERTEX_POINT('',#530164); #161796=VERTEX_POINT('',#530168); #161797=VERTEX_POINT('',#530170); #161798=VERTEX_POINT('',#530174); #161799=VERTEX_POINT('',#530176); #161800=VERTEX_POINT('',#530185); #161801=VERTEX_POINT('',#530187); #161802=VERTEX_POINT('',#530191); #161803=VERTEX_POINT('',#530192); #161804=VERTEX_POINT('',#530194); #161805=VERTEX_POINT('',#530196); #161806=VERTEX_POINT('',#530200); #161807=VERTEX_POINT('',#530202); #161808=VERTEX_POINT('',#530206); #161809=VERTEX_POINT('',#530208); #161810=VERTEX_POINT('',#530212); #161811=VERTEX_POINT('',#530214); #161812=VERTEX_POINT('',#530218); #161813=VERTEX_POINT('',#530220); #161814=VERTEX_POINT('',#530224); #161815=VERTEX_POINT('',#530226); #161816=VERTEX_POINT('',#530230); #161817=VERTEX_POINT('',#530232); #161818=VERTEX_POINT('',#530236); #161819=VERTEX_POINT('',#530238); #161820=VERTEX_POINT('',#530242); #161821=VERTEX_POINT('',#530244); #161822=VERTEX_POINT('',#530248); #161823=VERTEX_POINT('',#530250); #161824=VERTEX_POINT('',#530254); #161825=VERTEX_POINT('',#530256); #161826=VERTEX_POINT('',#530260); #161827=VERTEX_POINT('',#530262); #161828=VERTEX_POINT('',#530266); #161829=VERTEX_POINT('',#530268); #161830=VERTEX_POINT('',#530272); #161831=VERTEX_POINT('',#530274); #161832=VERTEX_POINT('',#530278); #161833=VERTEX_POINT('',#530280); #161834=VERTEX_POINT('',#530284); #161835=VERTEX_POINT('',#530286); #161836=VERTEX_POINT('',#530290); #161837=VERTEX_POINT('',#530292); #161838=VERTEX_POINT('',#530296); #161839=VERTEX_POINT('',#530298); #161840=VERTEX_POINT('',#530302); #161841=VERTEX_POINT('',#530304); #161842=VERTEX_POINT('',#530308); #161843=VERTEX_POINT('',#530310); #161844=VERTEX_POINT('',#530314); #161845=VERTEX_POINT('',#530316); #161846=VERTEX_POINT('',#530320); #161847=VERTEX_POINT('',#530322); #161848=VERTEX_POINT('',#530326); #161849=VERTEX_POINT('',#530328); #161850=VERTEX_POINT('',#530332); #161851=VERTEX_POINT('',#530334); #161852=VERTEX_POINT('',#530338); #161853=VERTEX_POINT('',#530340); #161854=VERTEX_POINT('',#530344); #161855=VERTEX_POINT('',#530346); #161856=VERTEX_POINT('',#530350); #161857=VERTEX_POINT('',#530352); #161858=VERTEX_POINT('',#530356); #161859=VERTEX_POINT('',#530358); #161860=VERTEX_POINT('',#530362); #161861=VERTEX_POINT('',#530364); #161862=VERTEX_POINT('',#530368); #161863=VERTEX_POINT('',#530370); #161864=VERTEX_POINT('',#530374); #161865=VERTEX_POINT('',#530376); #161866=VERTEX_POINT('',#530380); #161867=VERTEX_POINT('',#530382); #161868=VERTEX_POINT('',#530386); #161869=VERTEX_POINT('',#530388); #161870=VERTEX_POINT('',#530392); #161871=VERTEX_POINT('',#530394); #161872=VERTEX_POINT('',#530398); #161873=VERTEX_POINT('',#530400); #161874=VERTEX_POINT('',#530404); #161875=VERTEX_POINT('',#530406); #161876=VERTEX_POINT('',#530410); #161877=VERTEX_POINT('',#530412); #161878=VERTEX_POINT('',#530416); #161879=VERTEX_POINT('',#530418); #161880=VERTEX_POINT('',#530422); #161881=VERTEX_POINT('',#530424); #161882=VERTEX_POINT('',#530428); #161883=VERTEX_POINT('',#530430); #161884=VERTEX_POINT('',#530434); #161885=VERTEX_POINT('',#530436); #161886=VERTEX_POINT('',#530445); #161887=VERTEX_POINT('',#530447); #161888=VERTEX_POINT('',#530451); #161889=VERTEX_POINT('',#530453); #161890=VERTEX_POINT('',#530457); #161891=VERTEX_POINT('',#530459); #161892=VERTEX_POINT('',#530463); #161893=VERTEX_POINT('',#530465); #161894=VERTEX_POINT('',#530469); #161895=VERTEX_POINT('',#530471); #161896=VERTEX_POINT('',#530475); #161897=VERTEX_POINT('',#530477); #161898=VERTEX_POINT('',#530481); #161899=VERTEX_POINT('',#530483); #161900=VERTEX_POINT('',#530487); #161901=VERTEX_POINT('',#530489); #161902=VERTEX_POINT('',#530493); #161903=VERTEX_POINT('',#530495); #161904=VERTEX_POINT('',#530499); #161905=VERTEX_POINT('',#530501); #161906=VERTEX_POINT('',#530505); #161907=VERTEX_POINT('',#530507); #161908=VERTEX_POINT('',#530511); #161909=VERTEX_POINT('',#530513); #161910=VERTEX_POINT('',#530517); #161911=VERTEX_POINT('',#530519); #161912=VERTEX_POINT('',#530523); #161913=VERTEX_POINT('',#530525); #161914=VERTEX_POINT('',#530529); #161915=VERTEX_POINT('',#530531); #161916=VERTEX_POINT('',#530535); #161917=VERTEX_POINT('',#530536); #161918=VERTEX_POINT('',#530538); #161919=VERTEX_POINT('',#530540); #161920=VERTEX_POINT('',#530544); #161921=VERTEX_POINT('',#530546); #161922=VERTEX_POINT('',#530550); #161923=VERTEX_POINT('',#530552); #161924=VERTEX_POINT('',#530556); #161925=VERTEX_POINT('',#530558); #161926=VERTEX_POINT('',#530562); #161927=VERTEX_POINT('',#530564); #161928=VERTEX_POINT('',#530568); #161929=VERTEX_POINT('',#530570); #161930=VERTEX_POINT('',#530574); #161931=VERTEX_POINT('',#530576); #161932=VERTEX_POINT('',#530580); #161933=VERTEX_POINT('',#530582); #161934=VERTEX_POINT('',#530586); #161935=VERTEX_POINT('',#530588); #161936=VERTEX_POINT('',#530592); #161937=VERTEX_POINT('',#530594); #161938=VERTEX_POINT('',#530598); #161939=VERTEX_POINT('',#530600); #161940=VERTEX_POINT('',#530604); #161941=VERTEX_POINT('',#530606); #161942=VERTEX_POINT('',#530610); #161943=VERTEX_POINT('',#530612); #161944=VERTEX_POINT('',#530616); #161945=VERTEX_POINT('',#530618); #161946=VERTEX_POINT('',#530622); #161947=VERTEX_POINT('',#530624); #161948=VERTEX_POINT('',#530628); #161949=VERTEX_POINT('',#530630); #161950=VERTEX_POINT('',#530634); #161951=VERTEX_POINT('',#530636); #161952=VERTEX_POINT('',#530640); #161953=VERTEX_POINT('',#530642); #161954=VERTEX_POINT('',#530646); #161955=VERTEX_POINT('',#530648); #161956=VERTEX_POINT('',#530652); #161957=VERTEX_POINT('',#530654); #161958=VERTEX_POINT('',#530658); #161959=VERTEX_POINT('',#530660); #161960=VERTEX_POINT('',#530664); #161961=VERTEX_POINT('',#530666); #161962=VERTEX_POINT('',#530670); #161963=VERTEX_POINT('',#530672); #161964=VERTEX_POINT('',#530676); #161965=VERTEX_POINT('',#530678); #161966=VERTEX_POINT('',#530682); #161967=VERTEX_POINT('',#530684); #161968=VERTEX_POINT('',#530688); #161969=VERTEX_POINT('',#530690); #161970=VERTEX_POINT('',#530694); #161971=VERTEX_POINT('',#530696); #161972=VERTEX_POINT('',#530700); #161973=VERTEX_POINT('',#530702); #161974=VERTEX_POINT('',#530706); #161975=VERTEX_POINT('',#530708); #161976=VERTEX_POINT('',#530712); #161977=VERTEX_POINT('',#530714); #161978=VERTEX_POINT('',#530718); #161979=VERTEX_POINT('',#530720); #161980=VERTEX_POINT('',#530724); #161981=VERTEX_POINT('',#530726); #161982=VERTEX_POINT('',#530730); #161983=VERTEX_POINT('',#530732); #161984=VERTEX_POINT('',#530736); #161985=VERTEX_POINT('',#530738); #161986=VERTEX_POINT('',#530742); #161987=VERTEX_POINT('',#530744); #161988=VERTEX_POINT('',#530748); #161989=VERTEX_POINT('',#530750); #161990=VERTEX_POINT('',#530754); #161991=VERTEX_POINT('',#530756); #161992=VERTEX_POINT('',#530760); #161993=VERTEX_POINT('',#530762); #161994=VERTEX_POINT('',#530766); #161995=VERTEX_POINT('',#530768); #161996=VERTEX_POINT('',#530772); #161997=VERTEX_POINT('',#530774); #161998=VERTEX_POINT('',#530778); #161999=VERTEX_POINT('',#530780); #162000=VERTEX_POINT('',#530784); #162001=VERTEX_POINT('',#530786); #162002=VERTEX_POINT('',#530790); #162003=VERTEX_POINT('',#530792); #162004=VERTEX_POINT('',#530796); #162005=VERTEX_POINT('',#530798); #162006=VERTEX_POINT('',#530802); #162007=VERTEX_POINT('',#530804); #162008=VERTEX_POINT('',#530808); #162009=VERTEX_POINT('',#530810); #162010=VERTEX_POINT('',#530814); #162011=VERTEX_POINT('',#530816); #162012=VERTEX_POINT('',#530820); #162013=VERTEX_POINT('',#530822); #162014=VERTEX_POINT('',#530831); #162015=VERTEX_POINT('',#530833); #162016=VERTEX_POINT('',#530837); #162017=VERTEX_POINT('',#530838); #162018=VERTEX_POINT('',#530840); #162019=VERTEX_POINT('',#530842); #162020=VERTEX_POINT('',#530846); #162021=VERTEX_POINT('',#530848); #162022=VERTEX_POINT('',#530852); #162023=VERTEX_POINT('',#530854); #162024=VERTEX_POINT('',#530858); #162025=VERTEX_POINT('',#530860); #162026=VERTEX_POINT('',#530864); #162027=VERTEX_POINT('',#530866); #162028=VERTEX_POINT('',#530870); #162029=VERTEX_POINT('',#530872); #162030=VERTEX_POINT('',#530876); #162031=VERTEX_POINT('',#530878); #162032=VERTEX_POINT('',#530882); #162033=VERTEX_POINT('',#530884); #162034=VERTEX_POINT('',#530888); #162035=VERTEX_POINT('',#530890); #162036=VERTEX_POINT('',#530894); #162037=VERTEX_POINT('',#530896); #162038=VERTEX_POINT('',#530900); #162039=VERTEX_POINT('',#530902); #162040=VERTEX_POINT('',#530906); #162041=VERTEX_POINT('',#530908); #162042=VERTEX_POINT('',#530912); #162043=VERTEX_POINT('',#530914); #162044=VERTEX_POINT('',#530918); #162045=VERTEX_POINT('',#530920); #162046=VERTEX_POINT('',#530924); #162047=VERTEX_POINT('',#530926); #162048=VERTEX_POINT('',#530930); #162049=VERTEX_POINT('',#530932); #162050=VERTEX_POINT('',#530936); #162051=VERTEX_POINT('',#530938); #162052=VERTEX_POINT('',#530942); #162053=VERTEX_POINT('',#530944); #162054=VERTEX_POINT('',#530948); #162055=VERTEX_POINT('',#530950); #162056=VERTEX_POINT('',#530954); #162057=VERTEX_POINT('',#530956); #162058=VERTEX_POINT('',#530960); #162059=VERTEX_POINT('',#530962); #162060=VERTEX_POINT('',#530966); #162061=VERTEX_POINT('',#530968); #162062=VERTEX_POINT('',#530972); #162063=VERTEX_POINT('',#530974); #162064=VERTEX_POINT('',#530978); #162065=VERTEX_POINT('',#530980); #162066=VERTEX_POINT('',#530984); #162067=VERTEX_POINT('',#530986); #162068=VERTEX_POINT('',#530990); #162069=VERTEX_POINT('',#530992); #162070=VERTEX_POINT('',#530996); #162071=VERTEX_POINT('',#530998); #162072=VERTEX_POINT('',#531002); #162073=VERTEX_POINT('',#531004); #162074=VERTEX_POINT('',#531008); #162075=VERTEX_POINT('',#531010); #162076=VERTEX_POINT('',#531014); #162077=VERTEX_POINT('',#531016); #162078=VERTEX_POINT('',#531020); #162079=VERTEX_POINT('',#531022); #162080=VERTEX_POINT('',#531026); #162081=VERTEX_POINT('',#531028); #162082=VERTEX_POINT('',#531032); #162083=VERTEX_POINT('',#531034); #162084=VERTEX_POINT('',#531038); #162085=VERTEX_POINT('',#531040); #162086=VERTEX_POINT('',#531044); #162087=VERTEX_POINT('',#531046); #162088=VERTEX_POINT('',#531050); #162089=VERTEX_POINT('',#531052); #162090=VERTEX_POINT('',#531056); #162091=VERTEX_POINT('',#531058); #162092=VERTEX_POINT('',#531062); #162093=VERTEX_POINT('',#531064); #162094=VERTEX_POINT('',#531068); #162095=VERTEX_POINT('',#531070); #162096=VERTEX_POINT('',#531074); #162097=VERTEX_POINT('',#531076); #162098=VERTEX_POINT('',#531080); #162099=VERTEX_POINT('',#531082); #162100=VERTEX_POINT('',#531091); #162101=VERTEX_POINT('',#531093); #162102=VERTEX_POINT('',#531097); #162103=VERTEX_POINT('',#531099); #162104=VERTEX_POINT('',#531103); #162105=VERTEX_POINT('',#531105); #162106=VERTEX_POINT('',#531109); #162107=VERTEX_POINT('',#531111); #162108=VERTEX_POINT('',#531115); #162109=VERTEX_POINT('',#531117); #162110=VERTEX_POINT('',#531121); #162111=VERTEX_POINT('',#531123); #162112=VERTEX_POINT('',#531127); #162113=VERTEX_POINT('',#531129); #162114=VERTEX_POINT('',#531133); #162115=VERTEX_POINT('',#531135); #162116=VERTEX_POINT('',#531139); #162117=VERTEX_POINT('',#531141); #162118=VERTEX_POINT('',#531145); #162119=VERTEX_POINT('',#531147); #162120=VERTEX_POINT('',#531151); #162121=VERTEX_POINT('',#531153); #162122=VERTEX_POINT('',#531157); #162123=VERTEX_POINT('',#531159); #162124=VERTEX_POINT('',#531163); #162125=VERTEX_POINT('',#531165); #162126=VERTEX_POINT('',#531169); #162127=VERTEX_POINT('',#531171); #162128=VERTEX_POINT('',#531175); #162129=VERTEX_POINT('',#531177); #162130=VERTEX_POINT('',#531181); #162131=VERTEX_POINT('',#531183); #162132=VERTEX_POINT('',#531187); #162133=VERTEX_POINT('',#531189); #162134=VERTEX_POINT('',#531193); #162135=VERTEX_POINT('',#531195); #162136=VERTEX_POINT('',#531199); #162137=VERTEX_POINT('',#531201); #162138=VERTEX_POINT('',#531205); #162139=VERTEX_POINT('',#531207); #162140=VERTEX_POINT('',#531211); #162141=VERTEX_POINT('',#531213); #162142=VERTEX_POINT('',#531217); #162143=VERTEX_POINT('',#531219); #162144=VERTEX_POINT('',#531223); #162145=VERTEX_POINT('',#531225); #162146=VERTEX_POINT('',#531229); #162147=VERTEX_POINT('',#531231); #162148=VERTEX_POINT('',#531235); #162149=VERTEX_POINT('',#531237); #162150=VERTEX_POINT('',#531241); #162151=VERTEX_POINT('',#531243); #162152=VERTEX_POINT('',#531247); #162153=VERTEX_POINT('',#531249); #162154=VERTEX_POINT('',#531253); #162155=VERTEX_POINT('',#531255); #162156=VERTEX_POINT('',#531259); #162157=VERTEX_POINT('',#531261); #162158=VERTEX_POINT('',#531265); #162159=VERTEX_POINT('',#531267); #162160=VERTEX_POINT('',#531271); #162161=VERTEX_POINT('',#531273); #162162=VERTEX_POINT('',#531277); #162163=VERTEX_POINT('',#531278); #162164=VERTEX_POINT('',#531280); #162165=VERTEX_POINT('',#531282); #162166=VERTEX_POINT('',#531286); #162167=VERTEX_POINT('',#531288); #162168=VERTEX_POINT('',#531292); #162169=VERTEX_POINT('',#531294); #162170=VERTEX_POINT('',#531298); #162171=VERTEX_POINT('',#531300); #162172=VERTEX_POINT('',#531304); #162173=VERTEX_POINT('',#531306); #162174=VERTEX_POINT('',#531310); #162175=VERTEX_POINT('',#531312); #162176=VERTEX_POINT('',#531316); #162177=VERTEX_POINT('',#531318); #162178=VERTEX_POINT('',#531322); #162179=VERTEX_POINT('',#531324); #162180=VERTEX_POINT('',#531328); #162181=VERTEX_POINT('',#531330); #162182=VERTEX_POINT('',#531334); #162183=VERTEX_POINT('',#531336); #162184=VERTEX_POINT('',#531340); #162185=VERTEX_POINT('',#531342); #162186=VERTEX_POINT('',#531346); #162187=VERTEX_POINT('',#531348); #162188=VERTEX_POINT('',#531352); #162189=VERTEX_POINT('',#531354); #162190=VERTEX_POINT('',#531358); #162191=VERTEX_POINT('',#531360); #162192=VERTEX_POINT('',#531364); #162193=VERTEX_POINT('',#531366); #162194=VERTEX_POINT('',#531370); #162195=VERTEX_POINT('',#531372); #162196=VERTEX_POINT('',#531376); #162197=VERTEX_POINT('',#531378); #162198=VERTEX_POINT('',#531382); #162199=VERTEX_POINT('',#531384); #162200=VERTEX_POINT('',#531388); #162201=VERTEX_POINT('',#531390); #162202=VERTEX_POINT('',#531394); #162203=VERTEX_POINT('',#531396); #162204=VERTEX_POINT('',#531400); #162205=VERTEX_POINT('',#531402); #162206=VERTEX_POINT('',#531406); #162207=VERTEX_POINT('',#531408); #162208=VERTEX_POINT('',#531412); #162209=VERTEX_POINT('',#531414); #162210=VERTEX_POINT('',#531418); #162211=VERTEX_POINT('',#531420); #162212=VERTEX_POINT('',#531424); #162213=VERTEX_POINT('',#531426); #162214=VERTEX_POINT('',#531430); #162215=VERTEX_POINT('',#531432); #162216=VERTEX_POINT('',#531436); #162217=VERTEX_POINT('',#531438); #162218=VERTEX_POINT('',#531442); #162219=VERTEX_POINT('',#531444); #162220=VERTEX_POINT('',#531448); #162221=VERTEX_POINT('',#531450); #162222=VERTEX_POINT('',#531454); #162223=VERTEX_POINT('',#531456); #162224=VERTEX_POINT('',#531460); #162225=VERTEX_POINT('',#531462); #162226=VERTEX_POINT('',#531466); #162227=VERTEX_POINT('',#531468); #162228=VERTEX_POINT('',#531472); #162229=VERTEX_POINT('',#531474); #162230=VERTEX_POINT('',#531478); #162231=VERTEX_POINT('',#531480); #162232=VERTEX_POINT('',#531484); #162233=VERTEX_POINT('',#531486); #162234=VERTEX_POINT('',#531490); #162235=VERTEX_POINT('',#531492); #162236=VERTEX_POINT('',#531496); #162237=VERTEX_POINT('',#531498); #162238=VERTEX_POINT('',#531502); #162239=VERTEX_POINT('',#531504); #162240=VERTEX_POINT('',#531508); #162241=VERTEX_POINT('',#531510); #162242=VERTEX_POINT('',#531514); #162243=VERTEX_POINT('',#531516); #162244=VERTEX_POINT('',#531520); #162245=VERTEX_POINT('',#531522); #162246=VERTEX_POINT('',#531526); #162247=VERTEX_POINT('',#531528); #162248=VERTEX_POINT('',#531532); #162249=VERTEX_POINT('',#531534); #162250=VERTEX_POINT('',#531538); #162251=VERTEX_POINT('',#531540); #162252=VERTEX_POINT('',#531544); #162253=VERTEX_POINT('',#531546); #162254=VERTEX_POINT('',#531550); #162255=VERTEX_POINT('',#531552); #162256=VERTEX_POINT('',#531556); #162257=VERTEX_POINT('',#531558); #162258=VERTEX_POINT('',#531562); #162259=VERTEX_POINT('',#531564); #162260=VERTEX_POINT('',#531568); #162261=VERTEX_POINT('',#531570); #162262=VERTEX_POINT('',#531574); #162263=VERTEX_POINT('',#531576); #162264=VERTEX_POINT('',#531580); #162265=VERTEX_POINT('',#531582); #162266=VERTEX_POINT('',#531586); #162267=VERTEX_POINT('',#531588); #162268=VERTEX_POINT('',#531592); #162269=VERTEX_POINT('',#531594); #162270=VERTEX_POINT('',#531598); #162271=VERTEX_POINT('',#531600); #162272=VERTEX_POINT('',#531604); #162273=VERTEX_POINT('',#531606); #162274=VERTEX_POINT('',#531610); #162275=VERTEX_POINT('',#531612); #162276=VERTEX_POINT('',#531616); #162277=VERTEX_POINT('',#531618); #162278=VERTEX_POINT('',#531622); #162279=VERTEX_POINT('',#531624); #162280=VERTEX_POINT('',#531628); #162281=VERTEX_POINT('',#531630); #162282=VERTEX_POINT('',#531634); #162283=VERTEX_POINT('',#531636); #162284=VERTEX_POINT('',#531640); #162285=VERTEX_POINT('',#531642); #162286=VERTEX_POINT('',#531646); #162287=VERTEX_POINT('',#531648); #162288=VERTEX_POINT('',#531652); #162289=VERTEX_POINT('',#531654); #162290=VERTEX_POINT('',#531658); #162291=VERTEX_POINT('',#531660); #162292=VERTEX_POINT('',#531664); #162293=VERTEX_POINT('',#531666); #162294=VERTEX_POINT('',#531670); #162295=VERTEX_POINT('',#531672); #162296=VERTEX_POINT('',#531676); #162297=VERTEX_POINT('',#531678); #162298=VERTEX_POINT('',#531682); #162299=VERTEX_POINT('',#531684); #162300=VERTEX_POINT('',#531688); #162301=VERTEX_POINT('',#531690); #162302=VERTEX_POINT('',#531694); #162303=VERTEX_POINT('',#531696); #162304=VERTEX_POINT('',#531700); #162305=VERTEX_POINT('',#531702); #162306=VERTEX_POINT('',#531706); #162307=VERTEX_POINT('',#531708); #162308=VERTEX_POINT('',#531712); #162309=VERTEX_POINT('',#531714); #162310=VERTEX_POINT('',#531718); #162311=VERTEX_POINT('',#531720); #162312=VERTEX_POINT('',#531724); #162313=VERTEX_POINT('',#531726); #162314=VERTEX_POINT('',#531730); #162315=VERTEX_POINT('',#531732); #162316=VERTEX_POINT('',#531736); #162317=VERTEX_POINT('',#531738); #162318=VERTEX_POINT('',#531742); #162319=VERTEX_POINT('',#531744); #162320=VERTEX_POINT('',#531748); #162321=VERTEX_POINT('',#531750); #162322=VERTEX_POINT('',#531754); #162323=VERTEX_POINT('',#531756); #162324=VERTEX_POINT('',#531760); #162325=VERTEX_POINT('',#531762); #162326=VERTEX_POINT('',#531766); #162327=VERTEX_POINT('',#531768); #162328=VERTEX_POINT('',#531772); #162329=VERTEX_POINT('',#531774); #162330=VERTEX_POINT('',#531778); #162331=VERTEX_POINT('',#531780); #162332=VERTEX_POINT('',#531789); #162333=VERTEX_POINT('',#531791); #162334=VERTEX_POINT('',#531795); #162335=VERTEX_POINT('',#531796); #162336=VERTEX_POINT('',#531798); #162337=VERTEX_POINT('',#531800); #162338=VERTEX_POINT('',#531804); #162339=VERTEX_POINT('',#531806); #162340=VERTEX_POINT('',#531810); #162341=VERTEX_POINT('',#531812); #162342=VERTEX_POINT('',#531816); #162343=VERTEX_POINT('',#531818); #162344=VERTEX_POINT('',#531822); #162345=VERTEX_POINT('',#531824); #162346=VERTEX_POINT('',#531828); #162347=VERTEX_POINT('',#531830); #162348=VERTEX_POINT('',#531834); #162349=VERTEX_POINT('',#531836); #162350=VERTEX_POINT('',#531840); #162351=VERTEX_POINT('',#531842); #162352=VERTEX_POINT('',#531846); #162353=VERTEX_POINT('',#531848); #162354=VERTEX_POINT('',#531852); #162355=VERTEX_POINT('',#531854); #162356=VERTEX_POINT('',#531858); #162357=VERTEX_POINT('',#531860); #162358=VERTEX_POINT('',#531864); #162359=VERTEX_POINT('',#531866); #162360=VERTEX_POINT('',#531870); #162361=VERTEX_POINT('',#531872); #162362=VERTEX_POINT('',#531876); #162363=VERTEX_POINT('',#531878); #162364=VERTEX_POINT('',#531882); #162365=VERTEX_POINT('',#531884); #162366=VERTEX_POINT('',#531888); #162367=VERTEX_POINT('',#531890); #162368=VERTEX_POINT('',#531894); #162369=VERTEX_POINT('',#531896); #162370=VERTEX_POINT('',#531900); #162371=VERTEX_POINT('',#531902); #162372=VERTEX_POINT('',#531906); #162373=VERTEX_POINT('',#531908); #162374=VERTEX_POINT('',#531912); #162375=VERTEX_POINT('',#531914); #162376=VERTEX_POINT('',#531923); #162377=VERTEX_POINT('',#531925); #162378=VERTEX_POINT('',#531929); #162379=VERTEX_POINT('',#531931); #162380=VERTEX_POINT('',#531935); #162381=VERTEX_POINT('',#531937); #162382=VERTEX_POINT('',#531941); #162383=VERTEX_POINT('',#531943); #162384=VERTEX_POINT('',#531947); #162385=VERTEX_POINT('',#531949); #162386=VERTEX_POINT('',#531953); #162387=VERTEX_POINT('',#531955); #162388=VERTEX_POINT('',#531959); #162389=VERTEX_POINT('',#531961); #162390=VERTEX_POINT('',#531965); #162391=VERTEX_POINT('',#531967); #162392=VERTEX_POINT('',#531971); #162393=VERTEX_POINT('',#531973); #162394=VERTEX_POINT('',#531977); #162395=VERTEX_POINT('',#531979); #162396=VERTEX_POINT('',#531983); #162397=VERTEX_POINT('',#531985); #162398=VERTEX_POINT('',#531989); #162399=VERTEX_POINT('',#531990); #162400=VERTEX_POINT('',#531992); #162401=VERTEX_POINT('',#531994); #162402=VERTEX_POINT('',#531998); #162403=VERTEX_POINT('',#532000); #162404=VERTEX_POINT('',#532004); #162405=VERTEX_POINT('',#532006); #162406=VERTEX_POINT('',#532010); #162407=VERTEX_POINT('',#532012); #162408=VERTEX_POINT('',#532016); #162409=VERTEX_POINT('',#532018); #162410=VERTEX_POINT('',#532022); #162411=VERTEX_POINT('',#532024); #162412=VERTEX_POINT('',#532028); #162413=VERTEX_POINT('',#532030); #162414=VERTEX_POINT('',#532034); #162415=VERTEX_POINT('',#532036); #162416=VERTEX_POINT('',#532040); #162417=VERTEX_POINT('',#532042); #162418=VERTEX_POINT('',#532046); #162419=VERTEX_POINT('',#532048); #162420=VERTEX_POINT('',#532052); #162421=VERTEX_POINT('',#532054); #162422=VERTEX_POINT('',#532058); #162423=VERTEX_POINT('',#532060); #162424=VERTEX_POINT('',#532064); #162425=VERTEX_POINT('',#532066); #162426=VERTEX_POINT('',#532070); #162427=VERTEX_POINT('',#532072); #162428=VERTEX_POINT('',#532076); #162429=VERTEX_POINT('',#532078); #162430=VERTEX_POINT('',#532082); #162431=VERTEX_POINT('',#532084); #162432=VERTEX_POINT('',#532088); #162433=VERTEX_POINT('',#532090); #162434=VERTEX_POINT('',#532094); #162435=VERTEX_POINT('',#532096); #162436=VERTEX_POINT('',#532100); #162437=VERTEX_POINT('',#532102); #162438=VERTEX_POINT('',#532106); #162439=VERTEX_POINT('',#532108); #162440=VERTEX_POINT('',#532112); #162441=VERTEX_POINT('',#532114); #162442=VERTEX_POINT('',#532118); #162443=VERTEX_POINT('',#532120); #162444=VERTEX_POINT('',#532124); #162445=VERTEX_POINT('',#532126); #162446=VERTEX_POINT('',#532130); #162447=VERTEX_POINT('',#532132); #162448=VERTEX_POINT('',#532136); #162449=VERTEX_POINT('',#532138); #162450=VERTEX_POINT('',#532142); #162451=VERTEX_POINT('',#532144); #162452=VERTEX_POINT('',#532148); #162453=VERTEX_POINT('',#532150); #162454=VERTEX_POINT('',#532154); #162455=VERTEX_POINT('',#532156); #162456=VERTEX_POINT('',#532160); #162457=VERTEX_POINT('',#532162); #162458=VERTEX_POINT('',#532166); #162459=VERTEX_POINT('',#532168); #162460=VERTEX_POINT('',#532172); #162461=VERTEX_POINT('',#532174); #162462=VERTEX_POINT('',#532178); #162463=VERTEX_POINT('',#532180); #162464=VERTEX_POINT('',#532184); #162465=VERTEX_POINT('',#532186); #162466=VERTEX_POINT('',#532190); #162467=VERTEX_POINT('',#532192); #162468=VERTEX_POINT('',#532196); #162469=VERTEX_POINT('',#532198); #162470=VERTEX_POINT('',#532202); #162471=VERTEX_POINT('',#532204); #162472=VERTEX_POINT('',#532208); #162473=VERTEX_POINT('',#532210); #162474=VERTEX_POINT('',#532214); #162475=VERTEX_POINT('',#532216); #162476=VERTEX_POINT('',#532220); #162477=VERTEX_POINT('',#532222); #162478=VERTEX_POINT('',#532226); #162479=VERTEX_POINT('',#532228); #162480=VERTEX_POINT('',#532232); #162481=VERTEX_POINT('',#532234); #162482=VERTEX_POINT('',#532238); #162483=VERTEX_POINT('',#532240); #162484=VERTEX_POINT('',#532244); #162485=VERTEX_POINT('',#532246); #162486=VERTEX_POINT('',#532250); #162487=VERTEX_POINT('',#532252); #162488=VERTEX_POINT('',#532256); #162489=VERTEX_POINT('',#532258); #162490=VERTEX_POINT('',#532262); #162491=VERTEX_POINT('',#532264); #162492=VERTEX_POINT('',#532268); #162493=VERTEX_POINT('',#532270); #162494=VERTEX_POINT('',#532274); #162495=VERTEX_POINT('',#532276); #162496=VERTEX_POINT('',#532280); #162497=VERTEX_POINT('',#532282); #162498=VERTEX_POINT('',#532286); #162499=VERTEX_POINT('',#532288); #162500=VERTEX_POINT('',#532292); #162501=VERTEX_POINT('',#532294); #162502=VERTEX_POINT('',#532298); #162503=VERTEX_POINT('',#532300); #162504=VERTEX_POINT('',#532304); #162505=VERTEX_POINT('',#532306); #162506=VERTEX_POINT('',#532310); #162507=VERTEX_POINT('',#532312); #162508=VERTEX_POINT('',#532316); #162509=VERTEX_POINT('',#532318); #162510=VERTEX_POINT('',#532322); #162511=VERTEX_POINT('',#532324); #162512=VERTEX_POINT('',#532328); #162513=VERTEX_POINT('',#532330); #162514=VERTEX_POINT('',#532334); #162515=VERTEX_POINT('',#532336); #162516=VERTEX_POINT('',#532340); #162517=VERTEX_POINT('',#532342); #162518=VERTEX_POINT('',#532346); #162519=VERTEX_POINT('',#532348); #162520=VERTEX_POINT('',#532352); #162521=VERTEX_POINT('',#532354); #162522=VERTEX_POINT('',#532358); #162523=VERTEX_POINT('',#532360); #162524=VERTEX_POINT('',#532364); #162525=VERTEX_POINT('',#532366); #162526=VERTEX_POINT('',#532370); #162527=VERTEX_POINT('',#532372); #162528=VERTEX_POINT('',#532376); #162529=VERTEX_POINT('',#532378); #162530=VERTEX_POINT('',#532382); #162531=VERTEX_POINT('',#532384); #162532=VERTEX_POINT('',#532388); #162533=VERTEX_POINT('',#532390); #162534=VERTEX_POINT('',#532394); #162535=VERTEX_POINT('',#532396); #162536=VERTEX_POINT('',#532400); #162537=VERTEX_POINT('',#532402); #162538=VERTEX_POINT('',#532406); #162539=VERTEX_POINT('',#532408); #162540=VERTEX_POINT('',#532412); #162541=VERTEX_POINT('',#532414); #162542=VERTEX_POINT('',#532418); #162543=VERTEX_POINT('',#532420); #162544=VERTEX_POINT('',#532424); #162545=VERTEX_POINT('',#532426); #162546=VERTEX_POINT('',#532430); #162547=VERTEX_POINT('',#532432); #162548=VERTEX_POINT('',#532436); #162549=VERTEX_POINT('',#532438); #162550=VERTEX_POINT('',#532442); #162551=VERTEX_POINT('',#532444); #162552=VERTEX_POINT('',#532448); #162553=VERTEX_POINT('',#532450); #162554=VERTEX_POINT('',#532454); #162555=VERTEX_POINT('',#532456); #162556=VERTEX_POINT('',#532460); #162557=VERTEX_POINT('',#532462); #162558=VERTEX_POINT('',#532466); #162559=VERTEX_POINT('',#532468); #162560=VERTEX_POINT('',#532472); #162561=VERTEX_POINT('',#532474); #162562=VERTEX_POINT('',#532478); #162563=VERTEX_POINT('',#532480); #162564=VERTEX_POINT('',#532484); #162565=VERTEX_POINT('',#532486); #162566=VERTEX_POINT('',#532490); #162567=VERTEX_POINT('',#532492); #162568=VERTEX_POINT('',#532496); #162569=VERTEX_POINT('',#532498); #162570=VERTEX_POINT('',#532502); #162571=VERTEX_POINT('',#532504); #162572=VERTEX_POINT('',#532508); #162573=VERTEX_POINT('',#532510); #162574=VERTEX_POINT('',#532514); #162575=VERTEX_POINT('',#532516); #162576=VERTEX_POINT('',#532520); #162577=VERTEX_POINT('',#532522); #162578=VERTEX_POINT('',#532526); #162579=VERTEX_POINT('',#532528); #162580=VERTEX_POINT('',#532532); #162581=VERTEX_POINT('',#532534); #162582=VERTEX_POINT('',#532538); #162583=VERTEX_POINT('',#532540); #162584=VERTEX_POINT('',#532544); #162585=VERTEX_POINT('',#532546); #162586=VERTEX_POINT('',#532550); #162587=VERTEX_POINT('',#532552); #162588=VERTEX_POINT('',#532556); #162589=VERTEX_POINT('',#532558); #162590=VERTEX_POINT('',#532562); #162591=VERTEX_POINT('',#532564); #162592=VERTEX_POINT('',#532568); #162593=VERTEX_POINT('',#532570); #162594=VERTEX_POINT('',#532574); #162595=VERTEX_POINT('',#532576); #162596=VERTEX_POINT('',#532580); #162597=VERTEX_POINT('',#532582); #162598=VERTEX_POINT('',#532586); #162599=VERTEX_POINT('',#532588); #162600=VERTEX_POINT('',#532592); #162601=VERTEX_POINT('',#532594); #162602=VERTEX_POINT('',#532598); #162603=VERTEX_POINT('',#532600); #162604=VERTEX_POINT('',#532604); #162605=VERTEX_POINT('',#532606); #162606=VERTEX_POINT('',#532610); #162607=VERTEX_POINT('',#532612); #162608=VERTEX_POINT('',#532616); #162609=VERTEX_POINT('',#532618); #162610=VERTEX_POINT('',#532622); #162611=VERTEX_POINT('',#532624); #162612=VERTEX_POINT('',#532628); #162613=VERTEX_POINT('',#532630); #162614=VERTEX_POINT('',#532634); #162615=VERTEX_POINT('',#532636); #162616=VERTEX_POINT('',#532640); #162617=VERTEX_POINT('',#532642); #162618=VERTEX_POINT('',#532646); #162619=VERTEX_POINT('',#532648); #162620=VERTEX_POINT('',#532652); #162621=VERTEX_POINT('',#532654); #162622=VERTEX_POINT('',#532658); #162623=VERTEX_POINT('',#532660); #162624=VERTEX_POINT('',#532664); #162625=VERTEX_POINT('',#532666); #162626=VERTEX_POINT('',#532670); #162627=VERTEX_POINT('',#532672); #162628=VERTEX_POINT('',#532676); #162629=VERTEX_POINT('',#532678); #162630=VERTEX_POINT('',#532682); #162631=VERTEX_POINT('',#532684); #162632=VERTEX_POINT('',#532688); #162633=VERTEX_POINT('',#532690); #162634=VERTEX_POINT('',#532694); #162635=VERTEX_POINT('',#532696); #162636=VERTEX_POINT('',#532700); #162637=VERTEX_POINT('',#532702); #162638=VERTEX_POINT('',#532706); #162639=VERTEX_POINT('',#532708); #162640=VERTEX_POINT('',#532712); #162641=VERTEX_POINT('',#532714); #162642=VERTEX_POINT('',#532718); #162643=VERTEX_POINT('',#532720); #162644=VERTEX_POINT('',#532724); #162645=VERTEX_POINT('',#532726); #162646=VERTEX_POINT('',#532735); #162647=VERTEX_POINT('',#532737); #162648=VERTEX_POINT('',#532741); #162649=VERTEX_POINT('',#532743); #162650=VERTEX_POINT('',#532747); #162651=VERTEX_POINT('',#532749); #162652=VERTEX_POINT('',#532753); #162653=VERTEX_POINT('',#532755); #162654=VERTEX_POINT('',#532759); #162655=VERTEX_POINT('',#532761); #162656=VERTEX_POINT('',#532765); #162657=VERTEX_POINT('',#532767); #162658=VERTEX_POINT('',#532771); #162659=VERTEX_POINT('',#532773); #162660=VERTEX_POINT('',#532777); #162661=VERTEX_POINT('',#532779); #162662=VERTEX_POINT('',#532783); #162663=VERTEX_POINT('',#532785); #162664=VERTEX_POINT('',#532789); #162665=VERTEX_POINT('',#532791); #162666=VERTEX_POINT('',#532795); #162667=VERTEX_POINT('',#532797); #162668=VERTEX_POINT('',#532801); #162669=VERTEX_POINT('',#532803); #162670=VERTEX_POINT('',#532807); #162671=VERTEX_POINT('',#532809); #162672=VERTEX_POINT('',#532813); #162673=VERTEX_POINT('',#532815); #162674=VERTEX_POINT('',#532819); #162675=VERTEX_POINT('',#532821); #162676=VERTEX_POINT('',#532825); #162677=VERTEX_POINT('',#532827); #162678=VERTEX_POINT('',#532831); #162679=VERTEX_POINT('',#532833); #162680=VERTEX_POINT('',#532837); #162681=VERTEX_POINT('',#532839); #162682=VERTEX_POINT('',#532843); #162683=VERTEX_POINT('',#532845); #162684=VERTEX_POINT('',#532849); #162685=VERTEX_POINT('',#532851); #162686=VERTEX_POINT('',#532855); #162687=VERTEX_POINT('',#532857); #162688=VERTEX_POINT('',#532861); #162689=VERTEX_POINT('',#532863); #162690=VERTEX_POINT('',#532867); #162691=VERTEX_POINT('',#532869); #162692=VERTEX_POINT('',#532873); #162693=VERTEX_POINT('',#532875); #162694=VERTEX_POINT('',#532879); #162695=VERTEX_POINT('',#532881); #162696=VERTEX_POINT('',#532885); #162697=VERTEX_POINT('',#532887); #162698=VERTEX_POINT('',#532891); #162699=VERTEX_POINT('',#532893); #162700=VERTEX_POINT('',#532897); #162701=VERTEX_POINT('',#532899); #162702=VERTEX_POINT('',#532903); #162703=VERTEX_POINT('',#532905); #162704=VERTEX_POINT('',#532909); #162705=VERTEX_POINT('',#532911); #162706=VERTEX_POINT('',#532915); #162707=VERTEX_POINT('',#532917); #162708=VERTEX_POINT('',#532921); #162709=VERTEX_POINT('',#532922); #162710=VERTEX_POINT('',#532924); #162711=VERTEX_POINT('',#532926); #162712=VERTEX_POINT('',#532930); #162713=VERTEX_POINT('',#532932); #162714=VERTEX_POINT('',#532936); #162715=VERTEX_POINT('',#532938); #162716=VERTEX_POINT('',#532942); #162717=VERTEX_POINT('',#532944); #162718=VERTEX_POINT('',#532948); #162719=VERTEX_POINT('',#532950); #162720=VERTEX_POINT('',#532954); #162721=VERTEX_POINT('',#532956); #162722=VERTEX_POINT('',#532960); #162723=VERTEX_POINT('',#532962); #162724=VERTEX_POINT('',#532966); #162725=VERTEX_POINT('',#532968); #162726=VERTEX_POINT('',#532972); #162727=VERTEX_POINT('',#532974); #162728=VERTEX_POINT('',#532978); #162729=VERTEX_POINT('',#532980); #162730=VERTEX_POINT('',#532984); #162731=VERTEX_POINT('',#532986); #162732=VERTEX_POINT('',#532990); #162733=VERTEX_POINT('',#532992); #162734=VERTEX_POINT('',#532996); #162735=VERTEX_POINT('',#532998); #162736=VERTEX_POINT('',#533002); #162737=VERTEX_POINT('',#533004); #162738=VERTEX_POINT('',#533008); #162739=VERTEX_POINT('',#533010); #162740=VERTEX_POINT('',#533014); #162741=VERTEX_POINT('',#533016); #162742=VERTEX_POINT('',#533020); #162743=VERTEX_POINT('',#533022); #162744=VERTEX_POINT('',#533026); #162745=VERTEX_POINT('',#533028); #162746=VERTEX_POINT('',#533032); #162747=VERTEX_POINT('',#533034); #162748=VERTEX_POINT('',#533038); #162749=VERTEX_POINT('',#533040); #162750=VERTEX_POINT('',#533044); #162751=VERTEX_POINT('',#533046); #162752=VERTEX_POINT('',#533050); #162753=VERTEX_POINT('',#533052); #162754=VERTEX_POINT('',#533056); #162755=VERTEX_POINT('',#533058); #162756=VERTEX_POINT('',#533062); #162757=VERTEX_POINT('',#533064); #162758=VERTEX_POINT('',#533068); #162759=VERTEX_POINT('',#533070); #162760=VERTEX_POINT('',#533074); #162761=VERTEX_POINT('',#533076); #162762=VERTEX_POINT('',#533080); #162763=VERTEX_POINT('',#533082); #162764=VERTEX_POINT('',#533086); #162765=VERTEX_POINT('',#533088); #162766=VERTEX_POINT('',#533092); #162767=VERTEX_POINT('',#533094); #162768=VERTEX_POINT('',#533098); #162769=VERTEX_POINT('',#533100); #162770=VERTEX_POINT('',#533104); #162771=VERTEX_POINT('',#533106); #162772=VERTEX_POINT('',#533110); #162773=VERTEX_POINT('',#533112); #162774=VERTEX_POINT('',#533116); #162775=VERTEX_POINT('',#533118); #162776=VERTEX_POINT('',#533122); #162777=VERTEX_POINT('',#533124); #162778=VERTEX_POINT('',#533128); #162779=VERTEX_POINT('',#533130); #162780=VERTEX_POINT('',#533134); #162781=VERTEX_POINT('',#533136); #162782=VERTEX_POINT('',#533140); #162783=VERTEX_POINT('',#533142); #162784=VERTEX_POINT('',#533146); #162785=VERTEX_POINT('',#533148); #162786=VERTEX_POINT('',#533152); #162787=VERTEX_POINT('',#533154); #162788=VERTEX_POINT('',#533158); #162789=VERTEX_POINT('',#533160); #162790=VERTEX_POINT('',#533164); #162791=VERTEX_POINT('',#533166); #162792=VERTEX_POINT('',#533170); #162793=VERTEX_POINT('',#533172); #162794=VERTEX_POINT('',#533176); #162795=VERTEX_POINT('',#533178); #162796=VERTEX_POINT('',#533182); #162797=VERTEX_POINT('',#533184); #162798=VERTEX_POINT('',#533188); #162799=VERTEX_POINT('',#533190); #162800=VERTEX_POINT('',#533194); #162801=VERTEX_POINT('',#533196); #162802=VERTEX_POINT('',#533200); #162803=VERTEX_POINT('',#533202); #162804=VERTEX_POINT('',#533206); #162805=VERTEX_POINT('',#533208); #162806=VERTEX_POINT('',#533212); #162807=VERTEX_POINT('',#533214); #162808=VERTEX_POINT('',#533218); #162809=VERTEX_POINT('',#533220); #162810=VERTEX_POINT('',#533224); #162811=VERTEX_POINT('',#533226); #162812=VERTEX_POINT('',#533230); #162813=VERTEX_POINT('',#533232); #162814=VERTEX_POINT('',#533236); #162815=VERTEX_POINT('',#533238); #162816=VERTEX_POINT('',#533242); #162817=VERTEX_POINT('',#533244); #162818=VERTEX_POINT('',#533248); #162819=VERTEX_POINT('',#533250); #162820=VERTEX_POINT('',#533254); #162821=VERTEX_POINT('',#533256); #162822=VERTEX_POINT('',#533260); #162823=VERTEX_POINT('',#533262); #162824=VERTEX_POINT('',#533266); #162825=VERTEX_POINT('',#533268); #162826=VERTEX_POINT('',#533272); #162827=VERTEX_POINT('',#533274); #162828=VERTEX_POINT('',#533278); #162829=VERTEX_POINT('',#533280); #162830=VERTEX_POINT('',#533284); #162831=VERTEX_POINT('',#533286); #162832=VERTEX_POINT('',#533290); #162833=VERTEX_POINT('',#533292); #162834=VERTEX_POINT('',#533296); #162835=VERTEX_POINT('',#533298); #162836=VERTEX_POINT('',#533302); #162837=VERTEX_POINT('',#533304); #162838=VERTEX_POINT('',#533308); #162839=VERTEX_POINT('',#533310); #162840=VERTEX_POINT('',#533314); #162841=VERTEX_POINT('',#533316); #162842=VERTEX_POINT('',#533320); #162843=VERTEX_POINT('',#533322); #162844=VERTEX_POINT('',#533326); #162845=VERTEX_POINT('',#533328); #162846=VERTEX_POINT('',#533332); #162847=VERTEX_POINT('',#533334); #162848=VERTEX_POINT('',#533338); #162849=VERTEX_POINT('',#533340); #162850=VERTEX_POINT('',#533344); #162851=VERTEX_POINT('',#533346); #162852=VERTEX_POINT('',#533350); #162853=VERTEX_POINT('',#533352); #162854=VERTEX_POINT('',#533356); #162855=VERTEX_POINT('',#533358); #162856=VERTEX_POINT('',#533362); #162857=VERTEX_POINT('',#533364); #162858=VERTEX_POINT('',#533368); #162859=VERTEX_POINT('',#533370); #162860=VERTEX_POINT('',#533374); #162861=VERTEX_POINT('',#533376); #162862=VERTEX_POINT('',#533380); #162863=VERTEX_POINT('',#533382); #162864=VERTEX_POINT('',#533386); #162865=VERTEX_POINT('',#533388); #162866=VERTEX_POINT('',#533392); #162867=VERTEX_POINT('',#533394); #162868=VERTEX_POINT('',#533403); #162869=VERTEX_POINT('',#533405); #162870=VERTEX_POINT('',#533409); #162871=VERTEX_POINT('',#533410); #162872=VERTEX_POINT('',#533412); #162873=VERTEX_POINT('',#533414); #162874=VERTEX_POINT('',#533418); #162875=VERTEX_POINT('',#533420); #162876=VERTEX_POINT('',#533424); #162877=VERTEX_POINT('',#533426); #162878=VERTEX_POINT('',#533430); #162879=VERTEX_POINT('',#533432); #162880=VERTEX_POINT('',#533436); #162881=VERTEX_POINT('',#533438); #162882=VERTEX_POINT('',#533442); #162883=VERTEX_POINT('',#533444); #162884=VERTEX_POINT('',#533448); #162885=VERTEX_POINT('',#533450); #162886=VERTEX_POINT('',#533454); #162887=VERTEX_POINT('',#533456); #162888=VERTEX_POINT('',#533460); #162889=VERTEX_POINT('',#533462); #162890=VERTEX_POINT('',#533466); #162891=VERTEX_POINT('',#533468); #162892=VERTEX_POINT('',#533472); #162893=VERTEX_POINT('',#533474); #162894=VERTEX_POINT('',#533478); #162895=VERTEX_POINT('',#533480); #162896=VERTEX_POINT('',#533484); #162897=VERTEX_POINT('',#533486); #162898=VERTEX_POINT('',#533490); #162899=VERTEX_POINT('',#533492); #162900=VERTEX_POINT('',#533496); #162901=VERTEX_POINT('',#533498); #162902=VERTEX_POINT('',#533502); #162903=VERTEX_POINT('',#533504); #162904=VERTEX_POINT('',#533508); #162905=VERTEX_POINT('',#533510); #162906=VERTEX_POINT('',#533514); #162907=VERTEX_POINT('',#533516); #162908=VERTEX_POINT('',#533520); #162909=VERTEX_POINT('',#533522); #162910=VERTEX_POINT('',#533526); #162911=VERTEX_POINT('',#533528); #162912=VERTEX_POINT('',#533537); #162913=VERTEX_POINT('',#533539); #162914=VERTEX_POINT('',#533543); #162915=VERTEX_POINT('',#533545); #162916=VERTEX_POINT('',#533549); #162917=VERTEX_POINT('',#533551); #162918=VERTEX_POINT('',#533555); #162919=VERTEX_POINT('',#533557); #162920=VERTEX_POINT('',#533561); #162921=VERTEX_POINT('',#533563); #162922=VERTEX_POINT('',#533567); #162923=VERTEX_POINT('',#533569); #162924=VERTEX_POINT('',#533573); #162925=VERTEX_POINT('',#533575); #162926=VERTEX_POINT('',#533579); #162927=VERTEX_POINT('',#533581); #162928=VERTEX_POINT('',#533585); #162929=VERTEX_POINT('',#533587); #162930=VERTEX_POINT('',#533591); #162931=VERTEX_POINT('',#533593); #162932=VERTEX_POINT('',#533597); #162933=VERTEX_POINT('',#533599); #162934=VERTEX_POINT('',#533603); #162935=VERTEX_POINT('',#533605); #162936=VERTEX_POINT('',#533609); #162937=VERTEX_POINT('',#533611); #162938=VERTEX_POINT('',#533615); #162939=VERTEX_POINT('',#533617); #162940=VERTEX_POINT('',#533621); #162941=VERTEX_POINT('',#533623); #162942=VERTEX_POINT('',#533627); #162943=VERTEX_POINT('',#533629); #162944=VERTEX_POINT('',#533633); #162945=VERTEX_POINT('',#533634); #162946=VERTEX_POINT('',#533636); #162947=VERTEX_POINT('',#533638); #162948=VERTEX_POINT('',#533642); #162949=VERTEX_POINT('',#533644); #162950=VERTEX_POINT('',#533648); #162951=VERTEX_POINT('',#533650); #162952=VERTEX_POINT('',#533654); #162953=VERTEX_POINT('',#533656); #162954=VERTEX_POINT('',#533660); #162955=VERTEX_POINT('',#533662); #162956=VERTEX_POINT('',#533666); #162957=VERTEX_POINT('',#533668); #162958=VERTEX_POINT('',#533672); #162959=VERTEX_POINT('',#533674); #162960=VERTEX_POINT('',#533678); #162961=VERTEX_POINT('',#533680); #162962=VERTEX_POINT('',#533684); #162963=VERTEX_POINT('',#533686); #162964=VERTEX_POINT('',#533690); #162965=VERTEX_POINT('',#533692); #162966=VERTEX_POINT('',#533696); #162967=VERTEX_POINT('',#533698); #162968=VERTEX_POINT('',#533702); #162969=VERTEX_POINT('',#533704); #162970=VERTEX_POINT('',#533708); #162971=VERTEX_POINT('',#533710); #162972=VERTEX_POINT('',#533714); #162973=VERTEX_POINT('',#533716); #162974=VERTEX_POINT('',#533720); #162975=VERTEX_POINT('',#533722); #162976=VERTEX_POINT('',#533726); #162977=VERTEX_POINT('',#533728); #162978=VERTEX_POINT('',#533732); #162979=VERTEX_POINT('',#533734); #162980=VERTEX_POINT('',#533738); #162981=VERTEX_POINT('',#533740); #162982=VERTEX_POINT('',#533744); #162983=VERTEX_POINT('',#533746); #162984=VERTEX_POINT('',#533750); #162985=VERTEX_POINT('',#533752); #162986=VERTEX_POINT('',#533756); #162987=VERTEX_POINT('',#533758); #162988=VERTEX_POINT('',#533762); #162989=VERTEX_POINT('',#533764); #162990=VERTEX_POINT('',#533768); #162991=VERTEX_POINT('',#533770); #162992=VERTEX_POINT('',#533777); #162993=VERTEX_POINT('',#533778); #162994=VERTEX_POINT('',#533780); #162995=VERTEX_POINT('',#533782); #162996=VERTEX_POINT('',#533786); #162997=VERTEX_POINT('',#533788); #162998=VERTEX_POINT('',#533792); #162999=VERTEX_POINT('',#533794); #163000=VERTEX_POINT('',#533798); #163001=VERTEX_POINT('',#533800); #163002=VERTEX_POINT('',#533804); #163003=VERTEX_POINT('',#533806); #163004=VERTEX_POINT('',#533810); #163005=VERTEX_POINT('',#533812); #163006=VERTEX_POINT('',#533816); #163007=VERTEX_POINT('',#533818); #163008=VERTEX_POINT('',#533822); #163009=VERTEX_POINT('',#533824); #163010=VERTEX_POINT('',#533828); #163011=VERTEX_POINT('',#533830); #163012=VERTEX_POINT('',#533834); #163013=VERTEX_POINT('',#533836); #163014=VERTEX_POINT('',#533840); #163015=VERTEX_POINT('',#533842); #163016=VERTEX_POINT('',#533846); #163017=VERTEX_POINT('',#533848); #163018=VERTEX_POINT('',#533852); #163019=VERTEX_POINT('',#533854); #163020=VERTEX_POINT('',#533858); #163021=VERTEX_POINT('',#533860); #163022=VERTEX_POINT('',#533864); #163023=VERTEX_POINT('',#533866); #163024=VERTEX_POINT('',#533870); #163025=VERTEX_POINT('',#533872); #163026=VERTEX_POINT('',#533876); #163027=VERTEX_POINT('',#533878); #163028=VERTEX_POINT('',#533882); #163029=VERTEX_POINT('',#533884); #163030=VERTEX_POINT('',#533888); #163031=VERTEX_POINT('',#533890); #163032=VERTEX_POINT('',#533894); #163033=VERTEX_POINT('',#533896); #163034=VERTEX_POINT('',#533900); #163035=VERTEX_POINT('',#533902); #163036=VERTEX_POINT('',#533906); #163037=VERTEX_POINT('',#533908); #163038=VERTEX_POINT('',#533912); #163039=VERTEX_POINT('',#533914); #163040=VERTEX_POINT('',#533918); #163041=VERTEX_POINT('',#533920); #163042=VERTEX_POINT('',#533924); #163043=VERTEX_POINT('',#533926); #163044=VERTEX_POINT('',#533930); #163045=VERTEX_POINT('',#533932); #163046=VERTEX_POINT('',#533936); #163047=VERTEX_POINT('',#533938); #163048=VERTEX_POINT('',#533942); #163049=VERTEX_POINT('',#533944); #163050=VERTEX_POINT('',#533948); #163051=VERTEX_POINT('',#533950); #163052=VERTEX_POINT('',#533954); #163053=VERTEX_POINT('',#533956); #163054=VERTEX_POINT('',#533960); #163055=VERTEX_POINT('',#533962); #163056=VERTEX_POINT('',#533966); #163057=VERTEX_POINT('',#533968); #163058=VERTEX_POINT('',#533972); #163059=VERTEX_POINT('',#533974); #163060=VERTEX_POINT('',#533978); #163061=VERTEX_POINT('',#533980); #163062=VERTEX_POINT('',#533984); #163063=VERTEX_POINT('',#533986); #163064=VERTEX_POINT('',#533990); #163065=VERTEX_POINT('',#533992); #163066=VERTEX_POINT('',#533996); #163067=VERTEX_POINT('',#533998); #163068=VERTEX_POINT('',#534002); #163069=VERTEX_POINT('',#534004); #163070=VERTEX_POINT('',#534008); #163071=VERTEX_POINT('',#534010); #163072=VERTEX_POINT('',#534014); #163073=VERTEX_POINT('',#534016); #163074=VERTEX_POINT('',#534020); #163075=VERTEX_POINT('',#534022); #163076=VERTEX_POINT('',#534026); #163077=VERTEX_POINT('',#534028); #163078=VERTEX_POINT('',#534032); #163079=VERTEX_POINT('',#534034); #163080=VERTEX_POINT('',#534038); #163081=VERTEX_POINT('',#534040); #163082=VERTEX_POINT('',#534044); #163083=VERTEX_POINT('',#534046); #163084=VERTEX_POINT('',#534050); #163085=VERTEX_POINT('',#534052); #163086=VERTEX_POINT('',#534056); #163087=VERTEX_POINT('',#534058); #163088=VERTEX_POINT('',#534062); #163089=VERTEX_POINT('',#534064); #163090=VERTEX_POINT('',#534068); #163091=VERTEX_POINT('',#534070); #163092=VERTEX_POINT('',#534074); #163093=VERTEX_POINT('',#534076); #163094=VERTEX_POINT('',#534080); #163095=VERTEX_POINT('',#534082); #163096=VERTEX_POINT('',#534086); #163097=VERTEX_POINT('',#534088); #163098=VERTEX_POINT('',#534092); #163099=VERTEX_POINT('',#534094); #163100=VERTEX_POINT('',#534098); #163101=VERTEX_POINT('',#534100); #163102=VERTEX_POINT('',#534104); #163103=VERTEX_POINT('',#534106); #163104=VERTEX_POINT('',#534110); #163105=VERTEX_POINT('',#534112); #163106=VERTEX_POINT('',#534116); #163107=VERTEX_POINT('',#534118); #163108=VERTEX_POINT('',#534122); #163109=VERTEX_POINT('',#534124); #163110=VERTEX_POINT('',#534128); #163111=VERTEX_POINT('',#534130); #163112=VERTEX_POINT('',#534134); #163113=VERTEX_POINT('',#534136); #163114=VERTEX_POINT('',#534140); #163115=VERTEX_POINT('',#534142); #163116=VERTEX_POINT('',#534146); #163117=VERTEX_POINT('',#534148); #163118=VERTEX_POINT('',#534152); #163119=VERTEX_POINT('',#534154); #163120=VERTEX_POINT('',#534158); #163121=VERTEX_POINT('',#534160); #163122=VERTEX_POINT('',#534164); #163123=VERTEX_POINT('',#534166); #163124=VERTEX_POINT('',#534170); #163125=VERTEX_POINT('',#534172); #163126=VERTEX_POINT('',#534176); #163127=VERTEX_POINT('',#534178); #163128=VERTEX_POINT('',#534182); #163129=VERTEX_POINT('',#534184); #163130=VERTEX_POINT('',#534188); #163131=VERTEX_POINT('',#534190); #163132=VERTEX_POINT('',#534194); #163133=VERTEX_POINT('',#534196); #163134=VERTEX_POINT('',#534200); #163135=VERTEX_POINT('',#534202); #163136=VERTEX_POINT('',#534206); #163137=VERTEX_POINT('',#534208); #163138=VERTEX_POINT('',#534212); #163139=VERTEX_POINT('',#534214); #163140=VERTEX_POINT('',#534218); #163141=VERTEX_POINT('',#534220); #163142=VERTEX_POINT('',#534224); #163143=VERTEX_POINT('',#534226); #163144=VERTEX_POINT('',#534230); #163145=VERTEX_POINT('',#534232); #163146=VERTEX_POINT('',#534236); #163147=VERTEX_POINT('',#534238); #163148=VERTEX_POINT('',#534242); #163149=VERTEX_POINT('',#534244); #163150=VERTEX_POINT('',#534248); #163151=VERTEX_POINT('',#534250); #163152=VERTEX_POINT('',#534254); #163153=VERTEX_POINT('',#534256); #163154=VERTEX_POINT('',#534260); #163155=VERTEX_POINT('',#534262); #163156=VERTEX_POINT('',#534266); #163157=VERTEX_POINT('',#534268); #163158=VERTEX_POINT('',#534272); #163159=VERTEX_POINT('',#534274); #163160=VERTEX_POINT('',#534278); #163161=VERTEX_POINT('',#534280); #163162=VERTEX_POINT('',#534284); #163163=VERTEX_POINT('',#534286); #163164=VERTEX_POINT('',#534290); #163165=VERTEX_POINT('',#534292); #163166=VERTEX_POINT('',#534296); #163167=VERTEX_POINT('',#534298); #163168=VERTEX_POINT('',#534302); #163169=VERTEX_POINT('',#534304); #163170=VERTEX_POINT('',#534308); #163171=VERTEX_POINT('',#534310); #163172=VERTEX_POINT('',#534314); #163173=VERTEX_POINT('',#534316); #163174=VERTEX_POINT('',#534320); #163175=VERTEX_POINT('',#534322); #163176=VERTEX_POINT('',#534326); #163177=VERTEX_POINT('',#534328); #163178=VERTEX_POINT('',#534332); #163179=VERTEX_POINT('',#534334); #163180=VERTEX_POINT('',#534338); #163181=VERTEX_POINT('',#534340); #163182=VERTEX_POINT('',#534344); #163183=VERTEX_POINT('',#534346); #163184=VERTEX_POINT('',#534350); #163185=VERTEX_POINT('',#534352); #163186=VERTEX_POINT('',#534356); #163187=VERTEX_POINT('',#534358); #163188=VERTEX_POINT('',#534362); #163189=VERTEX_POINT('',#534364); #163190=VERTEX_POINT('',#534368); #163191=VERTEX_POINT('',#534370); #163192=VERTEX_POINT('',#534374); #163193=VERTEX_POINT('',#534376); #163194=VERTEX_POINT('',#534380); #163195=VERTEX_POINT('',#534382); #163196=VERTEX_POINT('',#534386); #163197=VERTEX_POINT('',#534388); #163198=VERTEX_POINT('',#534392); #163199=VERTEX_POINT('',#534394); #163200=VERTEX_POINT('',#534398); #163201=VERTEX_POINT('',#534400); #163202=VERTEX_POINT('',#534404); #163203=VERTEX_POINT('',#534406); #163204=VERTEX_POINT('',#534410); #163205=VERTEX_POINT('',#534412); #163206=VERTEX_POINT('',#534416); #163207=VERTEX_POINT('',#534418); #163208=VERTEX_POINT('',#534422); #163209=VERTEX_POINT('',#534424); #163210=VERTEX_POINT('',#534428); #163211=VERTEX_POINT('',#534430); #163212=VERTEX_POINT('',#534434); #163213=VERTEX_POINT('',#534436); #163214=VERTEX_POINT('',#534440); #163215=VERTEX_POINT('',#534442); #163216=VERTEX_POINT('',#534446); #163217=VERTEX_POINT('',#534448); #163218=VERTEX_POINT('',#534452); #163219=VERTEX_POINT('',#534454); #163220=VERTEX_POINT('',#534458); #163221=VERTEX_POINT('',#534460); #163222=VERTEX_POINT('',#534464); #163223=VERTEX_POINT('',#534466); #163224=VERTEX_POINT('',#534470); #163225=VERTEX_POINT('',#534472); #163226=VERTEX_POINT('',#534476); #163227=VERTEX_POINT('',#534478); #163228=VERTEX_POINT('',#534482); #163229=VERTEX_POINT('',#534484); #163230=VERTEX_POINT('',#534488); #163231=VERTEX_POINT('',#534490); #163232=VERTEX_POINT('',#534494); #163233=VERTEX_POINT('',#534496); #163234=VERTEX_POINT('',#534500); #163235=VERTEX_POINT('',#534502); #163236=VERTEX_POINT('',#534506); #163237=VERTEX_POINT('',#534508); #163238=VERTEX_POINT('',#534512); #163239=VERTEX_POINT('',#534514); #163240=VERTEX_POINT('',#534518); #163241=VERTEX_POINT('',#534520); #163242=VERTEX_POINT('',#534524); #163243=VERTEX_POINT('',#534526); #163244=VERTEX_POINT('',#534530); #163245=VERTEX_POINT('',#534532); #163246=VERTEX_POINT('',#534536); #163247=VERTEX_POINT('',#534538); #163248=VERTEX_POINT('',#534542); #163249=VERTEX_POINT('',#534544); #163250=VERTEX_POINT('',#534548); #163251=VERTEX_POINT('',#534550); #163252=VERTEX_POINT('',#534554); #163253=VERTEX_POINT('',#534556); #163254=VERTEX_POINT('',#534560); #163255=VERTEX_POINT('',#534562); #163256=VERTEX_POINT('',#534566); #163257=VERTEX_POINT('',#534568); #163258=VERTEX_POINT('',#534572); #163259=VERTEX_POINT('',#534574); #163260=VERTEX_POINT('',#534578); #163261=VERTEX_POINT('',#534580); #163262=VERTEX_POINT('',#534584); #163263=VERTEX_POINT('',#534586); #163264=VERTEX_POINT('',#534590); #163265=VERTEX_POINT('',#534592); #163266=VERTEX_POINT('',#534596); #163267=VERTEX_POINT('',#534598); #163268=VERTEX_POINT('',#534602); #163269=VERTEX_POINT('',#534604); #163270=VERTEX_POINT('',#534608); #163271=VERTEX_POINT('',#534610); #163272=VERTEX_POINT('',#534614); #163273=VERTEX_POINT('',#534616); #163274=VERTEX_POINT('',#534620); #163275=VERTEX_POINT('',#534622); #163276=VERTEX_POINT('',#534626); #163277=VERTEX_POINT('',#534628); #163278=VERTEX_POINT('',#534632); #163279=VERTEX_POINT('',#534634); #163280=VERTEX_POINT('',#534638); #163281=VERTEX_POINT('',#534640); #163282=VERTEX_POINT('',#534644); #163283=VERTEX_POINT('',#534646); #163284=VERTEX_POINT('',#534650); #163285=VERTEX_POINT('',#534652); #163286=VERTEX_POINT('',#534656); #163287=VERTEX_POINT('',#534658); #163288=VERTEX_POINT('',#534662); #163289=VERTEX_POINT('',#534664); #163290=VERTEX_POINT('',#534668); #163291=VERTEX_POINT('',#534670); #163292=VERTEX_POINT('',#534674); #163293=VERTEX_POINT('',#534676); #163294=VERTEX_POINT('',#534680); #163295=VERTEX_POINT('',#534682); #163296=VERTEX_POINT('',#534686); #163297=VERTEX_POINT('',#534688); #163298=VERTEX_POINT('',#534692); #163299=VERTEX_POINT('',#534694); #163300=VERTEX_POINT('',#534698); #163301=VERTEX_POINT('',#534700); #163302=VERTEX_POINT('',#534704); #163303=VERTEX_POINT('',#534706); #163304=VERTEX_POINT('',#534710); #163305=VERTEX_POINT('',#534712); #163306=VERTEX_POINT('',#534716); #163307=VERTEX_POINT('',#534718); #163308=VERTEX_POINT('',#534722); #163309=VERTEX_POINT('',#534724); #163310=VERTEX_POINT('',#534728); #163311=VERTEX_POINT('',#534730); #163312=VERTEX_POINT('',#534734); #163313=VERTEX_POINT('',#534736); #163314=VERTEX_POINT('',#534740); #163315=VERTEX_POINT('',#534742); #163316=VERTEX_POINT('',#534746); #163317=VERTEX_POINT('',#534748); #163318=VERTEX_POINT('',#534752); #163319=VERTEX_POINT('',#534754); #163320=VERTEX_POINT('',#534758); #163321=VERTEX_POINT('',#534760); #163322=VERTEX_POINT('',#534764); #163323=VERTEX_POINT('',#534766); #163324=VERTEX_POINT('',#534770); #163325=VERTEX_POINT('',#534772); #163326=VERTEX_POINT('',#534776); #163327=VERTEX_POINT('',#534778); #163328=VERTEX_POINT('',#534782); #163329=VERTEX_POINT('',#534784); #163330=VERTEX_POINT('',#534788); #163331=VERTEX_POINT('',#534790); #163332=VERTEX_POINT('',#534794); #163333=VERTEX_POINT('',#534796); #163334=VERTEX_POINT('',#534800); #163335=VERTEX_POINT('',#534802); #163336=VERTEX_POINT('',#534806); #163337=VERTEX_POINT('',#534808); #163338=VERTEX_POINT('',#534812); #163339=VERTEX_POINT('',#534814); #163340=VERTEX_POINT('',#534818); #163341=VERTEX_POINT('',#534820); #163342=VERTEX_POINT('',#534824); #163343=VERTEX_POINT('',#534826); #163344=VERTEX_POINT('',#534830); #163345=VERTEX_POINT('',#534832); #163346=VERTEX_POINT('',#534836); #163347=VERTEX_POINT('',#534838); #163348=VERTEX_POINT('',#534842); #163349=VERTEX_POINT('',#534844); #163350=VERTEX_POINT('',#534848); #163351=VERTEX_POINT('',#534850); #163352=VERTEX_POINT('',#534854); #163353=VERTEX_POINT('',#534856); #163354=VERTEX_POINT('',#534860); #163355=VERTEX_POINT('',#534862); #163356=VERTEX_POINT('',#534866); #163357=VERTEX_POINT('',#534868); #163358=VERTEX_POINT('',#534872); #163359=VERTEX_POINT('',#534874); #163360=VERTEX_POINT('',#534878); #163361=VERTEX_POINT('',#534880); #163362=VERTEX_POINT('',#534884); #163363=VERTEX_POINT('',#534886); #163364=VERTEX_POINT('',#534890); #163365=VERTEX_POINT('',#534892); #163366=VERTEX_POINT('',#534896); #163367=VERTEX_POINT('',#534898); #163368=VERTEX_POINT('',#534902); #163369=VERTEX_POINT('',#534904); #163370=VERTEX_POINT('',#534908); #163371=VERTEX_POINT('',#534910); #163372=VERTEX_POINT('',#534914); #163373=VERTEX_POINT('',#534916); #163374=VERTEX_POINT('',#534920); #163375=VERTEX_POINT('',#534922); #163376=VERTEX_POINT('',#534926); #163377=VERTEX_POINT('',#534928); #163378=VERTEX_POINT('',#534932); #163379=VERTEX_POINT('',#534934); #163380=VERTEX_POINT('',#534938); #163381=VERTEX_POINT('',#534940); #163382=VERTEX_POINT('',#534944); #163383=VERTEX_POINT('',#534946); #163384=VERTEX_POINT('',#534950); #163385=VERTEX_POINT('',#534952); #163386=VERTEX_POINT('',#534956); #163387=VERTEX_POINT('',#534958); #163388=VERTEX_POINT('',#534962); #163389=VERTEX_POINT('',#534964); #163390=VERTEX_POINT('',#534968); #163391=VERTEX_POINT('',#534970); #163392=VERTEX_POINT('',#534974); #163393=VERTEX_POINT('',#534976); #163394=VERTEX_POINT('',#534980); #163395=VERTEX_POINT('',#534982); #163396=VERTEX_POINT('',#534986); #163397=VERTEX_POINT('',#534988); #163398=VERTEX_POINT('',#534992); #163399=VERTEX_POINT('',#534994); #163400=VERTEX_POINT('',#534998); #163401=VERTEX_POINT('',#535000); #163402=VERTEX_POINT('',#535004); #163403=VERTEX_POINT('',#535006); #163404=VERTEX_POINT('',#535010); #163405=VERTEX_POINT('',#535012); #163406=VERTEX_POINT('',#535016); #163407=VERTEX_POINT('',#535018); #163408=VERTEX_POINT('',#535022); #163409=VERTEX_POINT('',#535024); #163410=VERTEX_POINT('',#535028); #163411=VERTEX_POINT('',#535030); #163412=VERTEX_POINT('',#535034); #163413=VERTEX_POINT('',#535036); #163414=VERTEX_POINT('',#535040); #163415=VERTEX_POINT('',#535042); #163416=VERTEX_POINT('',#535046); #163417=VERTEX_POINT('',#535048); #163418=VERTEX_POINT('',#535052); #163419=VERTEX_POINT('',#535054); #163420=VERTEX_POINT('',#535058); #163421=VERTEX_POINT('',#535060); #163422=VERTEX_POINT('',#535064); #163423=VERTEX_POINT('',#535066); #163424=VERTEX_POINT('',#535070); #163425=VERTEX_POINT('',#535072); #163426=VERTEX_POINT('',#535076); #163427=VERTEX_POINT('',#535078); #163428=VERTEX_POINT('',#535082); #163429=VERTEX_POINT('',#535084); #163430=VERTEX_POINT('',#535088); #163431=VERTEX_POINT('',#535090); #163432=VERTEX_POINT('',#535094); #163433=VERTEX_POINT('',#535096); #163434=VERTEX_POINT('',#535100); #163435=VERTEX_POINT('',#535102); #163436=VERTEX_POINT('',#535106); #163437=VERTEX_POINT('',#535108); #163438=VERTEX_POINT('',#535112); #163439=VERTEX_POINT('',#535114); #163440=VERTEX_POINT('',#535118); #163441=VERTEX_POINT('',#535120); #163442=VERTEX_POINT('',#535124); #163443=VERTEX_POINT('',#535126); #163444=VERTEX_POINT('',#535130); #163445=VERTEX_POINT('',#535132); #163446=VERTEX_POINT('',#535136); #163447=VERTEX_POINT('',#535138); #163448=VERTEX_POINT('',#535142); #163449=VERTEX_POINT('',#535144); #163450=VERTEX_POINT('',#535148); #163451=VERTEX_POINT('',#535150); #163452=VERTEX_POINT('',#535154); #163453=VERTEX_POINT('',#535156); #163454=VERTEX_POINT('',#535160); #163455=VERTEX_POINT('',#535162); #163456=VERTEX_POINT('',#535166); #163457=VERTEX_POINT('',#535168); #163458=VERTEX_POINT('',#535172); #163459=VERTEX_POINT('',#535174); #163460=VERTEX_POINT('',#535178); #163461=VERTEX_POINT('',#535180); #163462=VERTEX_POINT('',#535184); #163463=VERTEX_POINT('',#535186); #163464=VERTEX_POINT('',#535190); #163465=VERTEX_POINT('',#535192); #163466=VERTEX_POINT('',#535196); #163467=VERTEX_POINT('',#535198); #163468=VERTEX_POINT('',#535202); #163469=VERTEX_POINT('',#535204); #163470=VERTEX_POINT('',#535208); #163471=VERTEX_POINT('',#535210); #163472=VERTEX_POINT('',#535214); #163473=VERTEX_POINT('',#535216); #163474=VERTEX_POINT('',#535220); #163475=VERTEX_POINT('',#535222); #163476=VERTEX_POINT('',#535226); #163477=VERTEX_POINT('',#535228); #163478=VERTEX_POINT('',#535232); #163479=VERTEX_POINT('',#535234); #163480=VERTEX_POINT('',#535238); #163481=VERTEX_POINT('',#535240); #163482=VERTEX_POINT('',#535244); #163483=VERTEX_POINT('',#535246); #163484=VERTEX_POINT('',#535250); #163485=VERTEX_POINT('',#535252); #163486=VERTEX_POINT('',#535256); #163487=VERTEX_POINT('',#535258); #163488=VERTEX_POINT('',#535262); #163489=VERTEX_POINT('',#535264); #163490=VERTEX_POINT('',#535268); #163491=VERTEX_POINT('',#535270); #163492=VERTEX_POINT('',#535274); #163493=VERTEX_POINT('',#535276); #163494=VERTEX_POINT('',#535280); #163495=VERTEX_POINT('',#535282); #163496=VERTEX_POINT('',#535286); #163497=VERTEX_POINT('',#535288); #163498=VERTEX_POINT('',#535292); #163499=VERTEX_POINT('',#535294); #163500=VERTEX_POINT('',#535298); #163501=VERTEX_POINT('',#535300); #163502=VERTEX_POINT('',#535304); #163503=VERTEX_POINT('',#535306); #163504=VERTEX_POINT('',#535310); #163505=VERTEX_POINT('',#535312); #163506=VERTEX_POINT('',#535316); #163507=VERTEX_POINT('',#535318); #163508=VERTEX_POINT('',#535322); #163509=VERTEX_POINT('',#535324); #163510=VERTEX_POINT('',#535328); #163511=VERTEX_POINT('',#535330); #163512=VERTEX_POINT('',#535334); #163513=VERTEX_POINT('',#535336); #163514=VERTEX_POINT('',#535340); #163515=VERTEX_POINT('',#535342); #163516=VERTEX_POINT('',#535346); #163517=VERTEX_POINT('',#535348); #163518=VERTEX_POINT('',#535352); #163519=VERTEX_POINT('',#535354); #163520=VERTEX_POINT('',#535358); #163521=VERTEX_POINT('',#535360); #163522=VERTEX_POINT('',#535364); #163523=VERTEX_POINT('',#535366); #163524=VERTEX_POINT('',#535370); #163525=VERTEX_POINT('',#535372); #163526=VERTEX_POINT('',#535376); #163527=VERTEX_POINT('',#535378); #163528=VERTEX_POINT('',#535382); #163529=VERTEX_POINT('',#535384); #163530=VERTEX_POINT('',#535388); #163531=VERTEX_POINT('',#535390); #163532=VERTEX_POINT('',#535394); #163533=VERTEX_POINT('',#535396); #163534=VERTEX_POINT('',#535400); #163535=VERTEX_POINT('',#535402); #163536=VERTEX_POINT('',#535406); #163537=VERTEX_POINT('',#535408); #163538=VERTEX_POINT('',#535412); #163539=VERTEX_POINT('',#535414); #163540=VERTEX_POINT('',#535418); #163541=VERTEX_POINT('',#535420); #163542=VERTEX_POINT('',#535424); #163543=VERTEX_POINT('',#535426); #163544=VERTEX_POINT('',#535430); #163545=VERTEX_POINT('',#535432); #163546=VERTEX_POINT('',#535436); #163547=VERTEX_POINT('',#535438); #163548=VERTEX_POINT('',#535442); #163549=VERTEX_POINT('',#535444); #163550=VERTEX_POINT('',#535448); #163551=VERTEX_POINT('',#535450); #163552=VERTEX_POINT('',#535454); #163553=VERTEX_POINT('',#535456); #163554=VERTEX_POINT('',#535460); #163555=VERTEX_POINT('',#535462); #163556=VERTEX_POINT('',#535466); #163557=VERTEX_POINT('',#535468); #163558=VERTEX_POINT('',#535472); #163559=VERTEX_POINT('',#535474); #163560=VERTEX_POINT('',#535478); #163561=VERTEX_POINT('',#535480); #163562=VERTEX_POINT('',#535484); #163563=VERTEX_POINT('',#535486); #163564=VERTEX_POINT('',#535490); #163565=VERTEX_POINT('',#535492); #163566=VERTEX_POINT('',#535496); #163567=VERTEX_POINT('',#535498); #163568=VERTEX_POINT('',#535502); #163569=VERTEX_POINT('',#535504); #163570=VERTEX_POINT('',#535508); #163571=VERTEX_POINT('',#535510); #163572=VERTEX_POINT('',#535514); #163573=VERTEX_POINT('',#535516); #163574=VERTEX_POINT('',#535520); #163575=VERTEX_POINT('',#535522); #163576=VERTEX_POINT('',#535526); #163577=VERTEX_POINT('',#535528); #163578=VERTEX_POINT('',#535532); #163579=VERTEX_POINT('',#535534); #163580=VERTEX_POINT('',#535538); #163581=VERTEX_POINT('',#535540); #163582=VERTEX_POINT('',#535544); #163583=VERTEX_POINT('',#535546); #163584=VERTEX_POINT('',#535550); #163585=VERTEX_POINT('',#535552); #163586=VERTEX_POINT('',#535556); #163587=VERTEX_POINT('',#535558); #163588=VERTEX_POINT('',#535567); #163589=VERTEX_POINT('',#535568); #163590=VERTEX_POINT('',#535570); #163591=VERTEX_POINT('',#535572); #163592=VERTEX_POINT('',#535576); #163593=VERTEX_POINT('',#535578); #163594=VERTEX_POINT('',#535582); #163595=VERTEX_POINT('',#535584); #163596=VERTEX_POINT('',#535588); #163597=VERTEX_POINT('',#535590); #163598=VERTEX_POINT('',#535594); #163599=VERTEX_POINT('',#535596); #163600=VERTEX_POINT('',#535600); #163601=VERTEX_POINT('',#535602); #163602=VERTEX_POINT('',#535606); #163603=VERTEX_POINT('',#535608); #163604=VERTEX_POINT('',#535612); #163605=VERTEX_POINT('',#535614); #163606=VERTEX_POINT('',#535618); #163607=VERTEX_POINT('',#535620); #163608=VERTEX_POINT('',#535624); #163609=VERTEX_POINT('',#535626); #163610=VERTEX_POINT('',#535630); #163611=VERTEX_POINT('',#535632); #163612=VERTEX_POINT('',#535636); #163613=VERTEX_POINT('',#535638); #163614=VERTEX_POINT('',#535642); #163615=VERTEX_POINT('',#535644); #163616=VERTEX_POINT('',#535648); #163617=VERTEX_POINT('',#535650); #163618=VERTEX_POINT('',#535654); #163619=VERTEX_POINT('',#535656); #163620=VERTEX_POINT('',#535660); #163621=VERTEX_POINT('',#535662); #163622=VERTEX_POINT('',#535666); #163623=VERTEX_POINT('',#535668); #163624=VERTEX_POINT('',#535672); #163625=VERTEX_POINT('',#535674); #163626=VERTEX_POINT('',#535678); #163627=VERTEX_POINT('',#535680); #163628=VERTEX_POINT('',#535689); #163629=VERTEX_POINT('',#535690); #163630=VERTEX_POINT('',#535692); #163631=VERTEX_POINT('',#535694); #163632=VERTEX_POINT('',#535698); #163633=VERTEX_POINT('',#535700); #163634=VERTEX_POINT('',#535704); #163635=VERTEX_POINT('',#535706); #163636=VERTEX_POINT('',#535710); #163637=VERTEX_POINT('',#535712); #163638=VERTEX_POINT('',#535716); #163639=VERTEX_POINT('',#535718); #163640=VERTEX_POINT('',#535722); #163641=VERTEX_POINT('',#535724); #163642=VERTEX_POINT('',#535728); #163643=VERTEX_POINT('',#535730); #163644=VERTEX_POINT('',#535734); #163645=VERTEX_POINT('',#535736); #163646=VERTEX_POINT('',#535740); #163647=VERTEX_POINT('',#535742); #163648=VERTEX_POINT('',#535746); #163649=VERTEX_POINT('',#535748); #163650=VERTEX_POINT('',#535752); #163651=VERTEX_POINT('',#535754); #163652=VERTEX_POINT('',#535758); #163653=VERTEX_POINT('',#535760); #163654=VERTEX_POINT('',#535764); #163655=VERTEX_POINT('',#535766); #163656=VERTEX_POINT('',#535770); #163657=VERTEX_POINT('',#535772); #163658=VERTEX_POINT('',#535776); #163659=VERTEX_POINT('',#535778); #163660=VERTEX_POINT('',#535782); #163661=VERTEX_POINT('',#535784); #163662=VERTEX_POINT('',#535788); #163663=VERTEX_POINT('',#535790); #163664=VERTEX_POINT('',#535794); #163665=VERTEX_POINT('',#535796); #163666=VERTEX_POINT('',#535800); #163667=VERTEX_POINT('',#535802); #163668=VERTEX_POINT('',#535811); #163669=VERTEX_POINT('',#535812); #163670=VERTEX_POINT('',#535814); #163671=VERTEX_POINT('',#535816); #163672=VERTEX_POINT('',#535820); #163673=VERTEX_POINT('',#535822); #163674=VERTEX_POINT('',#535826); #163675=VERTEX_POINT('',#535828); #163676=VERTEX_POINT('',#535832); #163677=VERTEX_POINT('',#535834); #163678=VERTEX_POINT('',#535838); #163679=VERTEX_POINT('',#535840); #163680=VERTEX_POINT('',#535844); #163681=VERTEX_POINT('',#535846); #163682=VERTEX_POINT('',#535850); #163683=VERTEX_POINT('',#535852); #163684=VERTEX_POINT('',#535856); #163685=VERTEX_POINT('',#535858); #163686=VERTEX_POINT('',#535862); #163687=VERTEX_POINT('',#535864); #163688=VERTEX_POINT('',#535868); #163689=VERTEX_POINT('',#535870); #163690=VERTEX_POINT('',#535874); #163691=VERTEX_POINT('',#535876); #163692=VERTEX_POINT('',#535880); #163693=VERTEX_POINT('',#535882); #163694=VERTEX_POINT('',#535886); #163695=VERTEX_POINT('',#535888); #163696=VERTEX_POINT('',#535892); #163697=VERTEX_POINT('',#535894); #163698=VERTEX_POINT('',#535898); #163699=VERTEX_POINT('',#535900); #163700=VERTEX_POINT('',#535904); #163701=VERTEX_POINT('',#535906); #163702=VERTEX_POINT('',#535910); #163703=VERTEX_POINT('',#535912); #163704=VERTEX_POINT('',#535916); #163705=VERTEX_POINT('',#535918); #163706=VERTEX_POINT('',#535922); #163707=VERTEX_POINT('',#535924); #163708=VERTEX_POINT('',#535933); #163709=VERTEX_POINT('',#535934); #163710=VERTEX_POINT('',#535936); #163711=VERTEX_POINT('',#535938); #163712=VERTEX_POINT('',#535942); #163713=VERTEX_POINT('',#535944); #163714=VERTEX_POINT('',#535948); #163715=VERTEX_POINT('',#535950); #163716=VERTEX_POINT('',#535954); #163717=VERTEX_POINT('',#535956); #163718=VERTEX_POINT('',#535960); #163719=VERTEX_POINT('',#535962); #163720=VERTEX_POINT('',#535966); #163721=VERTEX_POINT('',#535968); #163722=VERTEX_POINT('',#535972); #163723=VERTEX_POINT('',#535974); #163724=VERTEX_POINT('',#535978); #163725=VERTEX_POINT('',#535980); #163726=VERTEX_POINT('',#535984); #163727=VERTEX_POINT('',#535986); #163728=VERTEX_POINT('',#535990); #163729=VERTEX_POINT('',#535992); #163730=VERTEX_POINT('',#535996); #163731=VERTEX_POINT('',#535998); #163732=VERTEX_POINT('',#536002); #163733=VERTEX_POINT('',#536004); #163734=VERTEX_POINT('',#536008); #163735=VERTEX_POINT('',#536010); #163736=VERTEX_POINT('',#536014); #163737=VERTEX_POINT('',#536016); #163738=VERTEX_POINT('',#536020); #163739=VERTEX_POINT('',#536022); #163740=VERTEX_POINT('',#536026); #163741=VERTEX_POINT('',#536028); #163742=VERTEX_POINT('',#536032); #163743=VERTEX_POINT('',#536034); #163744=VERTEX_POINT('',#536038); #163745=VERTEX_POINT('',#536040); #163746=VERTEX_POINT('',#536044); #163747=VERTEX_POINT('',#536046); #163748=VERTEX_POINT('',#536050); #163749=VERTEX_POINT('',#536052); #163750=VERTEX_POINT('',#536056); #163751=VERTEX_POINT('',#536058); #163752=VERTEX_POINT('',#536062); #163753=VERTEX_POINT('',#536064); #163754=VERTEX_POINT('',#536073); #163755=VERTEX_POINT('',#536074); #163756=VERTEX_POINT('',#536076); #163757=VERTEX_POINT('',#536078); #163758=VERTEX_POINT('',#536082); #163759=VERTEX_POINT('',#536084); #163760=VERTEX_POINT('',#536088); #163761=VERTEX_POINT('',#536090); #163762=VERTEX_POINT('',#536094); #163763=VERTEX_POINT('',#536096); #163764=VERTEX_POINT('',#536100); #163765=VERTEX_POINT('',#536102); #163766=VERTEX_POINT('',#536106); #163767=VERTEX_POINT('',#536108); #163768=VERTEX_POINT('',#536112); #163769=VERTEX_POINT('',#536114); #163770=VERTEX_POINT('',#536118); #163771=VERTEX_POINT('',#536120); #163772=VERTEX_POINT('',#536124); #163773=VERTEX_POINT('',#536126); #163774=VERTEX_POINT('',#536130); #163775=VERTEX_POINT('',#536132); #163776=VERTEX_POINT('',#536136); #163777=VERTEX_POINT('',#536138); #163778=VERTEX_POINT('',#536142); #163779=VERTEX_POINT('',#536144); #163780=VERTEX_POINT('',#536148); #163781=VERTEX_POINT('',#536150); #163782=VERTEX_POINT('',#536154); #163783=VERTEX_POINT('',#536156); #163784=VERTEX_POINT('',#536160); #163785=VERTEX_POINT('',#536162); #163786=VERTEX_POINT('',#536166); #163787=VERTEX_POINT('',#536168); #163788=VERTEX_POINT('',#536172); #163789=VERTEX_POINT('',#536174); #163790=VERTEX_POINT('',#536178); #163791=VERTEX_POINT('',#536180); #163792=VERTEX_POINT('',#536184); #163793=VERTEX_POINT('',#536186); #163794=VERTEX_POINT('',#536195); #163795=VERTEX_POINT('',#536196); #163796=VERTEX_POINT('',#536198); #163797=VERTEX_POINT('',#536200); #163798=VERTEX_POINT('',#536204); #163799=VERTEX_POINT('',#536206); #163800=VERTEX_POINT('',#536210); #163801=VERTEX_POINT('',#536212); #163802=VERTEX_POINT('',#536216); #163803=VERTEX_POINT('',#536218); #163804=VERTEX_POINT('',#536222); #163805=VERTEX_POINT('',#536224); #163806=VERTEX_POINT('',#536228); #163807=VERTEX_POINT('',#536230); #163808=VERTEX_POINT('',#536234); #163809=VERTEX_POINT('',#536236); #163810=VERTEX_POINT('',#536240); #163811=VERTEX_POINT('',#536242); #163812=VERTEX_POINT('',#536246); #163813=VERTEX_POINT('',#536248); #163814=VERTEX_POINT('',#536252); #163815=VERTEX_POINT('',#536254); #163816=VERTEX_POINT('',#536258); #163817=VERTEX_POINT('',#536260); #163818=VERTEX_POINT('',#536264); #163819=VERTEX_POINT('',#536266); #163820=VERTEX_POINT('',#536270); #163821=VERTEX_POINT('',#536272); #163822=VERTEX_POINT('',#536276); #163823=VERTEX_POINT('',#536278); #163824=VERTEX_POINT('',#536282); #163825=VERTEX_POINT('',#536284); #163826=VERTEX_POINT('',#536288); #163827=VERTEX_POINT('',#536290); #163828=VERTEX_POINT('',#536294); #163829=VERTEX_POINT('',#536296); #163830=VERTEX_POINT('',#536300); #163831=VERTEX_POINT('',#536302); #163832=VERTEX_POINT('',#536306); #163833=VERTEX_POINT('',#536308); #163834=VERTEX_POINT('',#536312); #163835=VERTEX_POINT('',#536314); #163836=VERTEX_POINT('',#536323); #163837=VERTEX_POINT('',#536325); #163838=VERTEX_POINT('',#536329); #163839=VERTEX_POINT('',#536330); #163840=VERTEX_POINT('',#536332); #163841=VERTEX_POINT('',#536334); #163842=VERTEX_POINT('',#536338); #163843=VERTEX_POINT('',#536340); #163844=VERTEX_POINT('',#536349); #163845=VERTEX_POINT('',#536351); #163846=VERTEX_POINT('',#536355); #163847=VERTEX_POINT('',#536356); #163848=VERTEX_POINT('',#536358); #163849=VERTEX_POINT('',#536360); #163850=VERTEX_POINT('',#536364); #163851=VERTEX_POINT('',#536366); #163852=VERTEX_POINT('',#536375); #163853=VERTEX_POINT('',#536377); #163854=VERTEX_POINT('',#536381); #163855=VERTEX_POINT('',#536382); #163856=VERTEX_POINT('',#536384); #163857=VERTEX_POINT('',#536386); #163858=VERTEX_POINT('',#536390); #163859=VERTEX_POINT('',#536392); #163860=VERTEX_POINT('',#536401); #163861=VERTEX_POINT('',#536403); #163862=VERTEX_POINT('',#536407); #163863=VERTEX_POINT('',#536408); #163864=VERTEX_POINT('',#536410); #163865=VERTEX_POINT('',#536412); #163866=VERTEX_POINT('',#536416); #163867=VERTEX_POINT('',#536418); #163868=VERTEX_POINT('',#536427); #163869=VERTEX_POINT('',#536429); #163870=VERTEX_POINT('',#536433); #163871=VERTEX_POINT('',#536434); #163872=VERTEX_POINT('',#536436); #163873=VERTEX_POINT('',#536438); #163874=VERTEX_POINT('',#536442); #163875=VERTEX_POINT('',#536444); #163876=VERTEX_POINT('',#536448); #163877=VERTEX_POINT('',#536450); #163878=VERTEX_POINT('',#536459); #163879=VERTEX_POINT('',#536461); #163880=VERTEX_POINT('',#536465); #163881=VERTEX_POINT('',#536466); #163882=VERTEX_POINT('',#536468); #163883=VERTEX_POINT('',#536470); #163884=VERTEX_POINT('',#536474); #163885=VERTEX_POINT('',#536476); #163886=VERTEX_POINT('',#536485); #163887=VERTEX_POINT('',#536487); #163888=VERTEX_POINT('',#536491); #163889=VERTEX_POINT('',#536492); #163890=VERTEX_POINT('',#536494); #163891=VERTEX_POINT('',#536496); #163892=VERTEX_POINT('',#536500); #163893=VERTEX_POINT('',#536502); #163894=VERTEX_POINT('',#536511); #163895=VERTEX_POINT('',#536513); #163896=VERTEX_POINT('',#536517); #163897=VERTEX_POINT('',#536518); #163898=VERTEX_POINT('',#536520); #163899=VERTEX_POINT('',#536522); #163900=VERTEX_POINT('',#536526); #163901=VERTEX_POINT('',#536528); #163902=VERTEX_POINT('',#536537); #163903=VERTEX_POINT('',#536539); #163904=VERTEX_POINT('',#536543); #163905=VERTEX_POINT('',#536544); #163906=VERTEX_POINT('',#536546); #163907=VERTEX_POINT('',#536548); #163908=VERTEX_POINT('',#536552); #163909=VERTEX_POINT('',#536554); #163910=VERTEX_POINT('',#536563); #163911=VERTEX_POINT('',#536564); #163912=VERTEX_POINT('',#536566); #163913=VERTEX_POINT('',#536568); #163914=VERTEX_POINT('',#536572); #163915=VERTEX_POINT('',#536574); #163916=VERTEX_POINT('',#536578); #163917=VERTEX_POINT('',#536580); #163918=VERTEX_POINT('',#536587); #163919=VERTEX_POINT('',#536588); #163920=VERTEX_POINT('',#536590); #163921=VERTEX_POINT('',#536592); #163922=VERTEX_POINT('',#536596); #163923=VERTEX_POINT('',#536598); #163924=VERTEX_POINT('',#536602); #163925=VERTEX_POINT('',#536604); #163926=VERTEX_POINT('',#536608); #163927=VERTEX_POINT('',#536610); #163928=VERTEX_POINT('',#536614); #163929=VERTEX_POINT('',#536616); #163930=VERTEX_POINT('',#536620); #163931=VERTEX_POINT('',#536622); #163932=VERTEX_POINT('',#536626); #163933=VERTEX_POINT('',#536628); #163934=VERTEX_POINT('',#536632); #163935=VERTEX_POINT('',#536634); #163936=VERTEX_POINT('',#536638); #163937=VERTEX_POINT('',#536640); #163938=VERTEX_POINT('',#536644); #163939=VERTEX_POINT('',#536646); #163940=VERTEX_POINT('',#536650); #163941=VERTEX_POINT('',#536652); #163942=VERTEX_POINT('',#536656); #163943=VERTEX_POINT('',#536658); #163944=VERTEX_POINT('',#536662); #163945=VERTEX_POINT('',#536664); #163946=VERTEX_POINT('',#536668); #163947=VERTEX_POINT('',#536670); #163948=VERTEX_POINT('',#536674); #163949=VERTEX_POINT('',#536676); #163950=VERTEX_POINT('',#536680); #163951=VERTEX_POINT('',#536682); #163952=VERTEX_POINT('',#536686); #163953=VERTEX_POINT('',#536688); #163954=VERTEX_POINT('',#536692); #163955=VERTEX_POINT('',#536694); #163956=VERTEX_POINT('',#536698); #163957=VERTEX_POINT('',#536700); #163958=VERTEX_POINT('',#536704); #163959=VERTEX_POINT('',#536706); #163960=VERTEX_POINT('',#536710); #163961=VERTEX_POINT('',#536712); #163962=VERTEX_POINT('',#536716); #163963=VERTEX_POINT('',#536718); #163964=VERTEX_POINT('',#536722); #163965=VERTEX_POINT('',#536724); #163966=VERTEX_POINT('',#536728); #163967=VERTEX_POINT('',#536730); #163968=VERTEX_POINT('',#536734); #163969=VERTEX_POINT('',#536736); #163970=VERTEX_POINT('',#536740); #163971=VERTEX_POINT('',#536742); #163972=VERTEX_POINT('',#536746); #163973=VERTEX_POINT('',#536748); #163974=VERTEX_POINT('',#536752); #163975=VERTEX_POINT('',#536754); #163976=VERTEX_POINT('',#536758); #163977=VERTEX_POINT('',#536760); #163978=VERTEX_POINT('',#536764); #163979=VERTEX_POINT('',#536766); #163980=VERTEX_POINT('',#536770); #163981=VERTEX_POINT('',#536772); #163982=VERTEX_POINT('',#536776); #163983=VERTEX_POINT('',#536778); #163984=VERTEX_POINT('',#536782); #163985=VERTEX_POINT('',#536784); #163986=VERTEX_POINT('',#536788); #163987=VERTEX_POINT('',#536790); #163988=VERTEX_POINT('',#536794); #163989=VERTEX_POINT('',#536796); #163990=VERTEX_POINT('',#536800); #163991=VERTEX_POINT('',#536802); #163992=VERTEX_POINT('',#536806); #163993=VERTEX_POINT('',#536808); #163994=VERTEX_POINT('',#536812); #163995=VERTEX_POINT('',#536814); #163996=VERTEX_POINT('',#536818); #163997=VERTEX_POINT('',#536820); #163998=VERTEX_POINT('',#536824); #163999=VERTEX_POINT('',#536826); #164000=VERTEX_POINT('',#536830); #164001=VERTEX_POINT('',#536832); #164002=VERTEX_POINT('',#536836); #164003=VERTEX_POINT('',#536838); #164004=VERTEX_POINT('',#536842); #164005=VERTEX_POINT('',#536844); #164006=VERTEX_POINT('',#536848); #164007=VERTEX_POINT('',#536850); #164008=VERTEX_POINT('',#536854); #164009=VERTEX_POINT('',#536856); #164010=VERTEX_POINT('',#536860); #164011=VERTEX_POINT('',#536862); #164012=VERTEX_POINT('',#536866); #164013=VERTEX_POINT('',#536868); #164014=VERTEX_POINT('',#536872); #164015=VERTEX_POINT('',#536874); #164016=VERTEX_POINT('',#536878); #164017=VERTEX_POINT('',#536880); #164018=VERTEX_POINT('',#536884); #164019=VERTEX_POINT('',#536886); #164020=VERTEX_POINT('',#536890); #164021=VERTEX_POINT('',#536892); #164022=VERTEX_POINT('',#536896); #164023=VERTEX_POINT('',#536898); #164024=VERTEX_POINT('',#536902); #164025=VERTEX_POINT('',#536904); #164026=VERTEX_POINT('',#536908); #164027=VERTEX_POINT('',#536910); #164028=VERTEX_POINT('',#536914); #164029=VERTEX_POINT('',#536916); #164030=VERTEX_POINT('',#536925); #164031=VERTEX_POINT('',#536926); #164032=VERTEX_POINT('',#536928); #164033=VERTEX_POINT('',#536930); #164034=VERTEX_POINT('',#536934); #164035=VERTEX_POINT('',#536936); #164036=VERTEX_POINT('',#536940); #164037=VERTEX_POINT('',#536942); #164038=VERTEX_POINT('',#536949); #164039=VERTEX_POINT('',#536950); #164040=VERTEX_POINT('',#536952); #164041=VERTEX_POINT('',#536954); #164042=VERTEX_POINT('',#536958); #164043=VERTEX_POINT('',#536960); #164044=VERTEX_POINT('',#536964); #164045=VERTEX_POINT('',#536966); #164046=VERTEX_POINT('',#536970); #164047=VERTEX_POINT('',#536972); #164048=VERTEX_POINT('',#536976); #164049=VERTEX_POINT('',#536978); #164050=VERTEX_POINT('',#536982); #164051=VERTEX_POINT('',#536984); #164052=VERTEX_POINT('',#536988); #164053=VERTEX_POINT('',#536990); #164054=VERTEX_POINT('',#536994); #164055=VERTEX_POINT('',#536996); #164056=VERTEX_POINT('',#537000); #164057=VERTEX_POINT('',#537002); #164058=VERTEX_POINT('',#537006); #164059=VERTEX_POINT('',#537008); #164060=VERTEX_POINT('',#537012); #164061=VERTEX_POINT('',#537014); #164062=VERTEX_POINT('',#537018); #164063=VERTEX_POINT('',#537020); #164064=VERTEX_POINT('',#537024); #164065=VERTEX_POINT('',#537026); #164066=VERTEX_POINT('',#537030); #164067=VERTEX_POINT('',#537032); #164068=VERTEX_POINT('',#537036); #164069=VERTEX_POINT('',#537038); #164070=VERTEX_POINT('',#537042); #164071=VERTEX_POINT('',#537044); #164072=VERTEX_POINT('',#537048); #164073=VERTEX_POINT('',#537050); #164074=VERTEX_POINT('',#537054); #164075=VERTEX_POINT('',#537056); #164076=VERTEX_POINT('',#537060); #164077=VERTEX_POINT('',#537062); #164078=VERTEX_POINT('',#537066); #164079=VERTEX_POINT('',#537068); #164080=VERTEX_POINT('',#537072); #164081=VERTEX_POINT('',#537074); #164082=VERTEX_POINT('',#537078); #164083=VERTEX_POINT('',#537080); #164084=VERTEX_POINT('',#537084); #164085=VERTEX_POINT('',#537086); #164086=VERTEX_POINT('',#537090); #164087=VERTEX_POINT('',#537092); #164088=VERTEX_POINT('',#537096); #164089=VERTEX_POINT('',#537098); #164090=VERTEX_POINT('',#537102); #164091=VERTEX_POINT('',#537104); #164092=VERTEX_POINT('',#537108); #164093=VERTEX_POINT('',#537110); #164094=VERTEX_POINT('',#537114); #164095=VERTEX_POINT('',#537116); #164096=VERTEX_POINT('',#537120); #164097=VERTEX_POINT('',#537122); #164098=VERTEX_POINT('',#537126); #164099=VERTEX_POINT('',#537128); #164100=VERTEX_POINT('',#537132); #164101=VERTEX_POINT('',#537134); #164102=VERTEX_POINT('',#537138); #164103=VERTEX_POINT('',#537140); #164104=VERTEX_POINT('',#537144); #164105=VERTEX_POINT('',#537146); #164106=VERTEX_POINT('',#537150); #164107=VERTEX_POINT('',#537152); #164108=VERTEX_POINT('',#537156); #164109=VERTEX_POINT('',#537158); #164110=VERTEX_POINT('',#537162); #164111=VERTEX_POINT('',#537164); #164112=VERTEX_POINT('',#537168); #164113=VERTEX_POINT('',#537170); #164114=VERTEX_POINT('',#537174); #164115=VERTEX_POINT('',#537176); #164116=VERTEX_POINT('',#537180); #164117=VERTEX_POINT('',#537182); #164118=VERTEX_POINT('',#537186); #164119=VERTEX_POINT('',#537188); #164120=VERTEX_POINT('',#537192); #164121=VERTEX_POINT('',#537194); #164122=VERTEX_POINT('',#537198); #164123=VERTEX_POINT('',#537200); #164124=VERTEX_POINT('',#537204); #164125=VERTEX_POINT('',#537206); #164126=VERTEX_POINT('',#537210); #164127=VERTEX_POINT('',#537212); #164128=VERTEX_POINT('',#537216); #164129=VERTEX_POINT('',#537218); #164130=VERTEX_POINT('',#537222); #164131=VERTEX_POINT('',#537224); #164132=VERTEX_POINT('',#537228); #164133=VERTEX_POINT('',#537230); #164134=VERTEX_POINT('',#537234); #164135=VERTEX_POINT('',#537236); #164136=VERTEX_POINT('',#537240); #164137=VERTEX_POINT('',#537242); #164138=VERTEX_POINT('',#537246); #164139=VERTEX_POINT('',#537248); #164140=VERTEX_POINT('',#537252); #164141=VERTEX_POINT('',#537254); #164142=VERTEX_POINT('',#537258); #164143=VERTEX_POINT('',#537260); #164144=VERTEX_POINT('',#537264); #164145=VERTEX_POINT('',#537266); #164146=VERTEX_POINT('',#537270); #164147=VERTEX_POINT('',#537272); #164148=VERTEX_POINT('',#537276); #164149=VERTEX_POINT('',#537278); #164150=VERTEX_POINT('',#537282); #164151=VERTEX_POINT('',#537284); #164152=VERTEX_POINT('',#537288); #164153=VERTEX_POINT('',#537290); #164154=VERTEX_POINT('',#537294); #164155=VERTEX_POINT('',#537296); #164156=VERTEX_POINT('',#537300); #164157=VERTEX_POINT('',#537302); #164158=VERTEX_POINT('',#537306); #164159=VERTEX_POINT('',#537308); #164160=VERTEX_POINT('',#537312); #164161=VERTEX_POINT('',#537314); #164162=VERTEX_POINT('',#537318); #164163=VERTEX_POINT('',#537320); #164164=VERTEX_POINT('',#537324); #164165=VERTEX_POINT('',#537326); #164166=VERTEX_POINT('',#537330); #164167=VERTEX_POINT('',#537332); #164168=VERTEX_POINT('',#537336); #164169=VERTEX_POINT('',#537338); #164170=VERTEX_POINT('',#537342); #164171=VERTEX_POINT('',#537344); #164172=VERTEX_POINT('',#537348); #164173=VERTEX_POINT('',#537350); #164174=VERTEX_POINT('',#537354); #164175=VERTEX_POINT('',#537356); #164176=VERTEX_POINT('',#537360); #164177=VERTEX_POINT('',#537362); #164178=VERTEX_POINT('',#537366); #164179=VERTEX_POINT('',#537368); #164180=VERTEX_POINT('',#537372); #164181=VERTEX_POINT('',#537374); #164182=VERTEX_POINT('',#537383); #164183=VERTEX_POINT('',#537384); #164184=VERTEX_POINT('',#537386); #164185=VERTEX_POINT('',#537388); #164186=VERTEX_POINT('',#537392); #164187=VERTEX_POINT('',#537394); #164188=VERTEX_POINT('',#537398); #164189=VERTEX_POINT('',#537400); #164190=VERTEX_POINT('',#537407); #164191=VERTEX_POINT('',#537408); #164192=VERTEX_POINT('',#537410); #164193=VERTEX_POINT('',#537412); #164194=VERTEX_POINT('',#537416); #164195=VERTEX_POINT('',#537418); #164196=VERTEX_POINT('',#537422); #164197=VERTEX_POINT('',#537424); #164198=VERTEX_POINT('',#537428); #164199=VERTEX_POINT('',#537430); #164200=VERTEX_POINT('',#537434); #164201=VERTEX_POINT('',#537436); #164202=VERTEX_POINT('',#537440); #164203=VERTEX_POINT('',#537442); #164204=VERTEX_POINT('',#537446); #164205=VERTEX_POINT('',#537448); #164206=VERTEX_POINT('',#537452); #164207=VERTEX_POINT('',#537454); #164208=VERTEX_POINT('',#537458); #164209=VERTEX_POINT('',#537460); #164210=VERTEX_POINT('',#537464); #164211=VERTEX_POINT('',#537466); #164212=VERTEX_POINT('',#537470); #164213=VERTEX_POINT('',#537472); #164214=VERTEX_POINT('',#537476); #164215=VERTEX_POINT('',#537478); #164216=VERTEX_POINT('',#537482); #164217=VERTEX_POINT('',#537484); #164218=VERTEX_POINT('',#537488); #164219=VERTEX_POINT('',#537490); #164220=VERTEX_POINT('',#537494); #164221=VERTEX_POINT('',#537496); #164222=VERTEX_POINT('',#537500); #164223=VERTEX_POINT('',#537502); #164224=VERTEX_POINT('',#537506); #164225=VERTEX_POINT('',#537508); #164226=VERTEX_POINT('',#537512); #164227=VERTEX_POINT('',#537514); #164228=VERTEX_POINT('',#537518); #164229=VERTEX_POINT('',#537520); #164230=VERTEX_POINT('',#537524); #164231=VERTEX_POINT('',#537526); #164232=VERTEX_POINT('',#537530); #164233=VERTEX_POINT('',#537532); #164234=VERTEX_POINT('',#537536); #164235=VERTEX_POINT('',#537538); #164236=VERTEX_POINT('',#537542); #164237=VERTEX_POINT('',#537544); #164238=VERTEX_POINT('',#537548); #164239=VERTEX_POINT('',#537550); #164240=VERTEX_POINT('',#537554); #164241=VERTEX_POINT('',#537556); #164242=VERTEX_POINT('',#537560); #164243=VERTEX_POINT('',#537562); #164244=VERTEX_POINT('',#537566); #164245=VERTEX_POINT('',#537568); #164246=VERTEX_POINT('',#537572); #164247=VERTEX_POINT('',#537574); #164248=VERTEX_POINT('',#537578); #164249=VERTEX_POINT('',#537580); #164250=VERTEX_POINT('',#537584); #164251=VERTEX_POINT('',#537586); #164252=VERTEX_POINT('',#537590); #164253=VERTEX_POINT('',#537592); #164254=VERTEX_POINT('',#537596); #164255=VERTEX_POINT('',#537598); #164256=VERTEX_POINT('',#537602); #164257=VERTEX_POINT('',#537604); #164258=VERTEX_POINT('',#537608); #164259=VERTEX_POINT('',#537610); #164260=VERTEX_POINT('',#537614); #164261=VERTEX_POINT('',#537616); #164262=VERTEX_POINT('',#537620); #164263=VERTEX_POINT('',#537622); #164264=VERTEX_POINT('',#537626); #164265=VERTEX_POINT('',#537628); #164266=VERTEX_POINT('',#537632); #164267=VERTEX_POINT('',#537634); #164268=VERTEX_POINT('',#537638); #164269=VERTEX_POINT('',#537640); #164270=VERTEX_POINT('',#537644); #164271=VERTEX_POINT('',#537646); #164272=VERTEX_POINT('',#537650); #164273=VERTEX_POINT('',#537652); #164274=VERTEX_POINT('',#537656); #164275=VERTEX_POINT('',#537658); #164276=VERTEX_POINT('',#537662); #164277=VERTEX_POINT('',#537664); #164278=VERTEX_POINT('',#537668); #164279=VERTEX_POINT('',#537670); #164280=VERTEX_POINT('',#537674); #164281=VERTEX_POINT('',#537676); #164282=VERTEX_POINT('',#537680); #164283=VERTEX_POINT('',#537682); #164284=VERTEX_POINT('',#537686); #164285=VERTEX_POINT('',#537688); #164286=VERTEX_POINT('',#537692); #164287=VERTEX_POINT('',#537694); #164288=VERTEX_POINT('',#537698); #164289=VERTEX_POINT('',#537700); #164290=VERTEX_POINT('',#537704); #164291=VERTEX_POINT('',#537706); #164292=VERTEX_POINT('',#537710); #164293=VERTEX_POINT('',#537712); #164294=VERTEX_POINT('',#537716); #164295=VERTEX_POINT('',#537718); #164296=VERTEX_POINT('',#537722); #164297=VERTEX_POINT('',#537724); #164298=VERTEX_POINT('',#537728); #164299=VERTEX_POINT('',#537730); #164300=VERTEX_POINT('',#537734); #164301=VERTEX_POINT('',#537736); #164302=VERTEX_POINT('',#537745); #164303=VERTEX_POINT('',#537747); #164304=VERTEX_POINT('',#537751); #164305=VERTEX_POINT('',#537752); #164306=VERTEX_POINT('',#537754); #164307=VERTEX_POINT('',#537756); #164308=VERTEX_POINT('',#537760); #164309=VERTEX_POINT('',#537762); #164310=VERTEX_POINT('',#537766); #164311=VERTEX_POINT('',#537768); #164312=VERTEX_POINT('',#537777); #164313=VERTEX_POINT('',#537778); #164314=VERTEX_POINT('',#537780); #164315=VERTEX_POINT('',#537782); #164316=VERTEX_POINT('',#537786); #164317=VERTEX_POINT('',#537788); #164318=VERTEX_POINT('',#537792); #164319=VERTEX_POINT('',#537794); #164320=VERTEX_POINT('',#537801); #164321=VERTEX_POINT('',#537802); #164322=VERTEX_POINT('',#537804); #164323=VERTEX_POINT('',#537806); #164324=VERTEX_POINT('',#537810); #164325=VERTEX_POINT('',#537812); #164326=VERTEX_POINT('',#537816); #164327=VERTEX_POINT('',#537818); #164328=VERTEX_POINT('',#537822); #164329=VERTEX_POINT('',#537824); #164330=VERTEX_POINT('',#537828); #164331=VERTEX_POINT('',#537830); #164332=VERTEX_POINT('',#537834); #164333=VERTEX_POINT('',#537836); #164334=VERTEX_POINT('',#537840); #164335=VERTEX_POINT('',#537842); #164336=VERTEX_POINT('',#537846); #164337=VERTEX_POINT('',#537848); #164338=VERTEX_POINT('',#537852); #164339=VERTEX_POINT('',#537854); #164340=VERTEX_POINT('',#537858); #164341=VERTEX_POINT('',#537860); #164342=VERTEX_POINT('',#537864); #164343=VERTEX_POINT('',#537866); #164344=VERTEX_POINT('',#537870); #164345=VERTEX_POINT('',#537872); #164346=VERTEX_POINT('',#537876); #164347=VERTEX_POINT('',#537878); #164348=VERTEX_POINT('',#537882); #164349=VERTEX_POINT('',#537884); #164350=VERTEX_POINT('',#537888); #164351=VERTEX_POINT('',#537890); #164352=VERTEX_POINT('',#537894); #164353=VERTEX_POINT('',#537896); #164354=VERTEX_POINT('',#537900); #164355=VERTEX_POINT('',#537902); #164356=VERTEX_POINT('',#537906); #164357=VERTEX_POINT('',#537908); #164358=VERTEX_POINT('',#537912); #164359=VERTEX_POINT('',#537914); #164360=VERTEX_POINT('',#537918); #164361=VERTEX_POINT('',#537920); #164362=VERTEX_POINT('',#537924); #164363=VERTEX_POINT('',#537926); #164364=VERTEX_POINT('',#537930); #164365=VERTEX_POINT('',#537932); #164366=VERTEX_POINT('',#537936); #164367=VERTEX_POINT('',#537938); #164368=VERTEX_POINT('',#537942); #164369=VERTEX_POINT('',#537944); #164370=VERTEX_POINT('',#537948); #164371=VERTEX_POINT('',#537950); #164372=VERTEX_POINT('',#537954); #164373=VERTEX_POINT('',#537956); #164374=VERTEX_POINT('',#537960); #164375=VERTEX_POINT('',#537962); #164376=VERTEX_POINT('',#537966); #164377=VERTEX_POINT('',#537968); #164378=VERTEX_POINT('',#537972); #164379=VERTEX_POINT('',#537974); #164380=VERTEX_POINT('',#537978); #164381=VERTEX_POINT('',#537980); #164382=VERTEX_POINT('',#537984); #164383=VERTEX_POINT('',#537986); #164384=VERTEX_POINT('',#537990); #164385=VERTEX_POINT('',#537992); #164386=VERTEX_POINT('',#537996); #164387=VERTEX_POINT('',#537998); #164388=VERTEX_POINT('',#538002); #164389=VERTEX_POINT('',#538004); #164390=VERTEX_POINT('',#538008); #164391=VERTEX_POINT('',#538010); #164392=VERTEX_POINT('',#538014); #164393=VERTEX_POINT('',#538016); #164394=VERTEX_POINT('',#538020); #164395=VERTEX_POINT('',#538022); #164396=VERTEX_POINT('',#538026); #164397=VERTEX_POINT('',#538028); #164398=VERTEX_POINT('',#538032); #164399=VERTEX_POINT('',#538034); #164400=VERTEX_POINT('',#538038); #164401=VERTEX_POINT('',#538040); #164402=VERTEX_POINT('',#538044); #164403=VERTEX_POINT('',#538046); #164404=VERTEX_POINT('',#538050); #164405=VERTEX_POINT('',#538052); #164406=VERTEX_POINT('',#538056); #164407=VERTEX_POINT('',#538058); #164408=VERTEX_POINT('',#538062); #164409=VERTEX_POINT('',#538064); #164410=VERTEX_POINT('',#538068); #164411=VERTEX_POINT('',#538070); #164412=VERTEX_POINT('',#538074); #164413=VERTEX_POINT('',#538076); #164414=VERTEX_POINT('',#538080); #164415=VERTEX_POINT('',#538082); #164416=VERTEX_POINT('',#538086); #164417=VERTEX_POINT('',#538088); #164418=VERTEX_POINT('',#538092); #164419=VERTEX_POINT('',#538094); #164420=VERTEX_POINT('',#538098); #164421=VERTEX_POINT('',#538100); #164422=VERTEX_POINT('',#538104); #164423=VERTEX_POINT('',#538106); #164424=VERTEX_POINT('',#538110); #164425=VERTEX_POINT('',#538112); #164426=VERTEX_POINT('',#538116); #164427=VERTEX_POINT('',#538118); #164428=VERTEX_POINT('',#538122); #164429=VERTEX_POINT('',#538124); #164430=VERTEX_POINT('',#538128); #164431=VERTEX_POINT('',#538130); #164432=VERTEX_POINT('',#538139); #164433=VERTEX_POINT('',#538140); #164434=VERTEX_POINT('',#538142); #164435=VERTEX_POINT('',#538144); #164436=VERTEX_POINT('',#538148); #164437=VERTEX_POINT('',#538150); #164438=VERTEX_POINT('',#538154); #164439=VERTEX_POINT('',#538156); #164440=VERTEX_POINT('',#538163); #164441=VERTEX_POINT('',#538164); #164442=VERTEX_POINT('',#538166); #164443=VERTEX_POINT('',#538168); #164444=VERTEX_POINT('',#538172); #164445=VERTEX_POINT('',#538174); #164446=VERTEX_POINT('',#538178); #164447=VERTEX_POINT('',#538180); #164448=VERTEX_POINT('',#538184); #164449=VERTEX_POINT('',#538186); #164450=VERTEX_POINT('',#538190); #164451=VERTEX_POINT('',#538192); #164452=VERTEX_POINT('',#538196); #164453=VERTEX_POINT('',#538198); #164454=VERTEX_POINT('',#538202); #164455=VERTEX_POINT('',#538204); #164456=VERTEX_POINT('',#538208); #164457=VERTEX_POINT('',#538210); #164458=VERTEX_POINT('',#538214); #164459=VERTEX_POINT('',#538216); #164460=VERTEX_POINT('',#538220); #164461=VERTEX_POINT('',#538222); #164462=VERTEX_POINT('',#538226); #164463=VERTEX_POINT('',#538228); #164464=VERTEX_POINT('',#538232); #164465=VERTEX_POINT('',#538234); #164466=VERTEX_POINT('',#538238); #164467=VERTEX_POINT('',#538240); #164468=VERTEX_POINT('',#538244); #164469=VERTEX_POINT('',#538246); #164470=VERTEX_POINT('',#538250); #164471=VERTEX_POINT('',#538252); #164472=VERTEX_POINT('',#538256); #164473=VERTEX_POINT('',#538258); #164474=VERTEX_POINT('',#538262); #164475=VERTEX_POINT('',#538264); #164476=VERTEX_POINT('',#538268); #164477=VERTEX_POINT('',#538270); #164478=VERTEX_POINT('',#538274); #164479=VERTEX_POINT('',#538276); #164480=VERTEX_POINT('',#538280); #164481=VERTEX_POINT('',#538282); #164482=VERTEX_POINT('',#538286); #164483=VERTEX_POINT('',#538288); #164484=VERTEX_POINT('',#538292); #164485=VERTEX_POINT('',#538294); #164486=VERTEX_POINT('',#538298); #164487=VERTEX_POINT('',#538300); #164488=VERTEX_POINT('',#538304); #164489=VERTEX_POINT('',#538306); #164490=VERTEX_POINT('',#538310); #164491=VERTEX_POINT('',#538312); #164492=VERTEX_POINT('',#538316); #164493=VERTEX_POINT('',#538318); #164494=VERTEX_POINT('',#538322); #164495=VERTEX_POINT('',#538324); #164496=VERTEX_POINT('',#538328); #164497=VERTEX_POINT('',#538330); #164498=VERTEX_POINT('',#538334); #164499=VERTEX_POINT('',#538336); #164500=VERTEX_POINT('',#538340); #164501=VERTEX_POINT('',#538342); #164502=VERTEX_POINT('',#538346); #164503=VERTEX_POINT('',#538348); #164504=VERTEX_POINT('',#538352); #164505=VERTEX_POINT('',#538354); #164506=VERTEX_POINT('',#538358); #164507=VERTEX_POINT('',#538360); #164508=VERTEX_POINT('',#538364); #164509=VERTEX_POINT('',#538366); #164510=VERTEX_POINT('',#538370); #164511=VERTEX_POINT('',#538372); #164512=VERTEX_POINT('',#538376); #164513=VERTEX_POINT('',#538378); #164514=VERTEX_POINT('',#538382); #164515=VERTEX_POINT('',#538384); #164516=VERTEX_POINT('',#538388); #164517=VERTEX_POINT('',#538390); #164518=VERTEX_POINT('',#538394); #164519=VERTEX_POINT('',#538396); #164520=VERTEX_POINT('',#538400); #164521=VERTEX_POINT('',#538402); #164522=VERTEX_POINT('',#538406); #164523=VERTEX_POINT('',#538408); #164524=VERTEX_POINT('',#538412); #164525=VERTEX_POINT('',#538414); #164526=VERTEX_POINT('',#538418); #164527=VERTEX_POINT('',#538420); #164528=VERTEX_POINT('',#538424); #164529=VERTEX_POINT('',#538426); #164530=VERTEX_POINT('',#538430); #164531=VERTEX_POINT('',#538432); #164532=VERTEX_POINT('',#538436); #164533=VERTEX_POINT('',#538438); #164534=VERTEX_POINT('',#538442); #164535=VERTEX_POINT('',#538444); #164536=VERTEX_POINT('',#538448); #164537=VERTEX_POINT('',#538450); #164538=VERTEX_POINT('',#538454); #164539=VERTEX_POINT('',#538456); #164540=VERTEX_POINT('',#538460); #164541=VERTEX_POINT('',#538462); #164542=VERTEX_POINT('',#538466); #164543=VERTEX_POINT('',#538468); #164544=VERTEX_POINT('',#538472); #164545=VERTEX_POINT('',#538474); #164546=VERTEX_POINT('',#538478); #164547=VERTEX_POINT('',#538480); #164548=VERTEX_POINT('',#538484); #164549=VERTEX_POINT('',#538486); #164550=VERTEX_POINT('',#538490); #164551=VERTEX_POINT('',#538492); #164552=VERTEX_POINT('',#538496); #164553=VERTEX_POINT('',#538498); #164554=VERTEX_POINT('',#538502); #164555=VERTEX_POINT('',#538504); #164556=VERTEX_POINT('',#538508); #164557=VERTEX_POINT('',#538510); #164558=VERTEX_POINT('',#538514); #164559=VERTEX_POINT('',#538516); #164560=VERTEX_POINT('',#538520); #164561=VERTEX_POINT('',#538522); #164562=VERTEX_POINT('',#538526); #164563=VERTEX_POINT('',#538528); #164564=VERTEX_POINT('',#538532); #164565=VERTEX_POINT('',#538534); #164566=VERTEX_POINT('',#538538); #164567=VERTEX_POINT('',#538540); #164568=VERTEX_POINT('',#538544); #164569=VERTEX_POINT('',#538546); #164570=VERTEX_POINT('',#538550); #164571=VERTEX_POINT('',#538552); #164572=VERTEX_POINT('',#538556); #164573=VERTEX_POINT('',#538558); #164574=VERTEX_POINT('',#538562); #164575=VERTEX_POINT('',#538564); #164576=VERTEX_POINT('',#538568); #164577=VERTEX_POINT('',#538570); #164578=VERTEX_POINT('',#538574); #164579=VERTEX_POINT('',#538576); #164580=VERTEX_POINT('',#538580); #164581=VERTEX_POINT('',#538582); #164582=VERTEX_POINT('',#538586); #164583=VERTEX_POINT('',#538588); #164584=VERTEX_POINT('',#538597); #164585=VERTEX_POINT('',#538599); #164586=VERTEX_POINT('',#538603); #164587=VERTEX_POINT('',#538604); #164588=VERTEX_POINT('',#538606); #164589=VERTEX_POINT('',#538608); #164590=VERTEX_POINT('',#538612); #164591=VERTEX_POINT('',#538614); #164592=VERTEX_POINT('',#538623); #164593=VERTEX_POINT('',#538625); #164594=VERTEX_POINT('',#538629); #164595=VERTEX_POINT('',#538630); #164596=VERTEX_POINT('',#538632); #164597=VERTEX_POINT('',#538634); #164598=VERTEX_POINT('',#538638); #164599=VERTEX_POINT('',#538640); #164600=VERTEX_POINT('',#538649); #164601=VERTEX_POINT('',#538650); #164602=VERTEX_POINT('',#538652); #164603=VERTEX_POINT('',#538654); #164604=VERTEX_POINT('',#538658); #164605=VERTEX_POINT('',#538660); #164606=VERTEX_POINT('',#538664); #164607=VERTEX_POINT('',#538666); #164608=VERTEX_POINT('',#538673); #164609=VERTEX_POINT('',#538674); #164610=VERTEX_POINT('',#538676); #164611=VERTEX_POINT('',#538678); #164612=VERTEX_POINT('',#538682); #164613=VERTEX_POINT('',#538684); #164614=VERTEX_POINT('',#538688); #164615=VERTEX_POINT('',#538690); #164616=VERTEX_POINT('',#538694); #164617=VERTEX_POINT('',#538696); #164618=VERTEX_POINT('',#538700); #164619=VERTEX_POINT('',#538702); #164620=VERTEX_POINT('',#538706); #164621=VERTEX_POINT('',#538708); #164622=VERTEX_POINT('',#538712); #164623=VERTEX_POINT('',#538714); #164624=VERTEX_POINT('',#538718); #164625=VERTEX_POINT('',#538720); #164626=VERTEX_POINT('',#538724); #164627=VERTEX_POINT('',#538726); #164628=VERTEX_POINT('',#538730); #164629=VERTEX_POINT('',#538732); #164630=VERTEX_POINT('',#538736); #164631=VERTEX_POINT('',#538738); #164632=VERTEX_POINT('',#538742); #164633=VERTEX_POINT('',#538744); #164634=VERTEX_POINT('',#538748); #164635=VERTEX_POINT('',#538750); #164636=VERTEX_POINT('',#538754); #164637=VERTEX_POINT('',#538756); #164638=VERTEX_POINT('',#538760); #164639=VERTEX_POINT('',#538762); #164640=VERTEX_POINT('',#538766); #164641=VERTEX_POINT('',#538768); #164642=VERTEX_POINT('',#538772); #164643=VERTEX_POINT('',#538774); #164644=VERTEX_POINT('',#538778); #164645=VERTEX_POINT('',#538780); #164646=VERTEX_POINT('',#538784); #164647=VERTEX_POINT('',#538786); #164648=VERTEX_POINT('',#538790); #164649=VERTEX_POINT('',#538792); #164650=VERTEX_POINT('',#538796); #164651=VERTEX_POINT('',#538798); #164652=VERTEX_POINT('',#538802); #164653=VERTEX_POINT('',#538804); #164654=VERTEX_POINT('',#538808); #164655=VERTEX_POINT('',#538810); #164656=VERTEX_POINT('',#538814); #164657=VERTEX_POINT('',#538816); #164658=VERTEX_POINT('',#538820); #164659=VERTEX_POINT('',#538822); #164660=VERTEX_POINT('',#538826); #164661=VERTEX_POINT('',#538828); #164662=VERTEX_POINT('',#538832); #164663=VERTEX_POINT('',#538834); #164664=VERTEX_POINT('',#538838); #164665=VERTEX_POINT('',#538840); #164666=VERTEX_POINT('',#538844); #164667=VERTEX_POINT('',#538846); #164668=VERTEX_POINT('',#538850); #164669=VERTEX_POINT('',#538852); #164670=VERTEX_POINT('',#538856); #164671=VERTEX_POINT('',#538858); #164672=VERTEX_POINT('',#538862); #164673=VERTEX_POINT('',#538864); #164674=VERTEX_POINT('',#538868); #164675=VERTEX_POINT('',#538870); #164676=VERTEX_POINT('',#538874); #164677=VERTEX_POINT('',#538876); #164678=VERTEX_POINT('',#538880); #164679=VERTEX_POINT('',#538882); #164680=VERTEX_POINT('',#538886); #164681=VERTEX_POINT('',#538888); #164682=VERTEX_POINT('',#538892); #164683=VERTEX_POINT('',#538894); #164684=VERTEX_POINT('',#538898); #164685=VERTEX_POINT('',#538900); #164686=VERTEX_POINT('',#538904); #164687=VERTEX_POINT('',#538906); #164688=VERTEX_POINT('',#538910); #164689=VERTEX_POINT('',#538912); #164690=VERTEX_POINT('',#538916); #164691=VERTEX_POINT('',#538918); #164692=VERTEX_POINT('',#538922); #164693=VERTEX_POINT('',#538924); #164694=VERTEX_POINT('',#538928); #164695=VERTEX_POINT('',#538930); #164696=VERTEX_POINT('',#538934); #164697=VERTEX_POINT('',#538936); #164698=VERTEX_POINT('',#538940); #164699=VERTEX_POINT('',#538942); #164700=VERTEX_POINT('',#538946); #164701=VERTEX_POINT('',#538948); #164702=VERTEX_POINT('',#538952); #164703=VERTEX_POINT('',#538954); #164704=VERTEX_POINT('',#538958); #164705=VERTEX_POINT('',#538960); #164706=VERTEX_POINT('',#538964); #164707=VERTEX_POINT('',#538966); #164708=VERTEX_POINT('',#538970); #164709=VERTEX_POINT('',#538972); #164710=VERTEX_POINT('',#538976); #164711=VERTEX_POINT('',#538978); #164712=VERTEX_POINT('',#538982); #164713=VERTEX_POINT('',#538984); #164714=VERTEX_POINT('',#538988); #164715=VERTEX_POINT('',#538990); #164716=VERTEX_POINT('',#538994); #164717=VERTEX_POINT('',#538996); #164718=VERTEX_POINT('',#539000); #164719=VERTEX_POINT('',#539002); #164720=VERTEX_POINT('',#539011); #164721=VERTEX_POINT('',#539013); #164722=VERTEX_POINT('',#539017); #164723=VERTEX_POINT('',#539018); #164724=VERTEX_POINT('',#539020); #164725=VERTEX_POINT('',#539022); #164726=VERTEX_POINT('',#539026); #164727=VERTEX_POINT('',#539028); #164728=VERTEX_POINT('',#539037); #164729=VERTEX_POINT('',#539039); #164730=VERTEX_POINT('',#539043); #164731=VERTEX_POINT('',#539044); #164732=VERTEX_POINT('',#539046); #164733=VERTEX_POINT('',#539048); #164734=VERTEX_POINT('',#539052); #164735=VERTEX_POINT('',#539054); #164736=VERTEX_POINT('',#539063); #164737=VERTEX_POINT('',#539065); #164738=VERTEX_POINT('',#539069); #164739=VERTEX_POINT('',#539070); #164740=VERTEX_POINT('',#539072); #164741=VERTEX_POINT('',#539074); #164742=VERTEX_POINT('',#539078); #164743=VERTEX_POINT('',#539080); #164744=VERTEX_POINT('',#539089); #164745=VERTEX_POINT('',#539091); #164746=VERTEX_POINT('',#539095); #164747=VERTEX_POINT('',#539096); #164748=VERTEX_POINT('',#539098); #164749=VERTEX_POINT('',#539100); #164750=VERTEX_POINT('',#539104); #164751=VERTEX_POINT('',#539106); #164752=VERTEX_POINT('',#539110); #164753=VERTEX_POINT('',#539112); #164754=VERTEX_POINT('',#539121); #164755=VERTEX_POINT('',#539123); #164756=VERTEX_POINT('',#539127); #164757=VERTEX_POINT('',#539128); #164758=VERTEX_POINT('',#539130); #164759=VERTEX_POINT('',#539132); #164760=VERTEX_POINT('',#539136); #164761=VERTEX_POINT('',#539138); #164762=VERTEX_POINT('',#539147); #164763=VERTEX_POINT('',#539148); #164764=VERTEX_POINT('',#539150); #164765=VERTEX_POINT('',#539152); #164766=VERTEX_POINT('',#539156); #164767=VERTEX_POINT('',#539158); #164768=VERTEX_POINT('',#539162); #164769=VERTEX_POINT('',#539164); #164770=VERTEX_POINT('',#539173); #164771=VERTEX_POINT('',#539174); #164772=VERTEX_POINT('',#539176); #164773=VERTEX_POINT('',#539178); #164774=VERTEX_POINT('',#539182); #164775=VERTEX_POINT('',#539184); #164776=VERTEX_POINT('',#539188); #164777=VERTEX_POINT('',#539190); #164778=VERTEX_POINT('',#539199); #164779=VERTEX_POINT('',#539200); #164780=VERTEX_POINT('',#539202); #164781=VERTEX_POINT('',#539204); #164782=VERTEX_POINT('',#539208); #164783=VERTEX_POINT('',#539210); #164784=VERTEX_POINT('',#539214); #164785=VERTEX_POINT('',#539216); #164786=VERTEX_POINT('',#539225); #164787=VERTEX_POINT('',#539226); #164788=VERTEX_POINT('',#539228); #164789=VERTEX_POINT('',#539230); #164790=VERTEX_POINT('',#539234); #164791=VERTEX_POINT('',#539236); #164792=VERTEX_POINT('',#539240); #164793=VERTEX_POINT('',#539242); #164794=VERTEX_POINT('',#539251); #164795=VERTEX_POINT('',#539252); #164796=VERTEX_POINT('',#539254); #164797=VERTEX_POINT('',#539256); #164798=VERTEX_POINT('',#539260); #164799=VERTEX_POINT('',#539262); #164800=VERTEX_POINT('',#539266); #164801=VERTEX_POINT('',#539268); #164802=VERTEX_POINT('',#539277); #164803=VERTEX_POINT('',#539278); #164804=VERTEX_POINT('',#539280); #164805=VERTEX_POINT('',#539282); #164806=VERTEX_POINT('',#539286); #164807=VERTEX_POINT('',#539288); #164808=VERTEX_POINT('',#539292); #164809=VERTEX_POINT('',#539294); #164810=VERTEX_POINT('',#539303); #164811=VERTEX_POINT('',#539304); #164812=VERTEX_POINT('',#539306); #164813=VERTEX_POINT('',#539308); #164814=VERTEX_POINT('',#539312); #164815=VERTEX_POINT('',#539314); #164816=VERTEX_POINT('',#539318); #164817=VERTEX_POINT('',#539320); #164818=VERTEX_POINT('',#539329); #164819=VERTEX_POINT('',#539330); #164820=VERTEX_POINT('',#539332); #164821=VERTEX_POINT('',#539334); #164822=VERTEX_POINT('',#539338); #164823=VERTEX_POINT('',#539340); #164824=VERTEX_POINT('',#539344); #164825=VERTEX_POINT('',#539346); #164826=VERTEX_POINT('',#539355); #164827=VERTEX_POINT('',#539356); #164828=VERTEX_POINT('',#539358); #164829=VERTEX_POINT('',#539360); #164830=VERTEX_POINT('',#539364); #164831=VERTEX_POINT('',#539366); #164832=VERTEX_POINT('',#539370); #164833=VERTEX_POINT('',#539372); #164834=VERTEX_POINT('',#539381); #164835=VERTEX_POINT('',#539382); #164836=VERTEX_POINT('',#539384); #164837=VERTEX_POINT('',#539386); #164838=VERTEX_POINT('',#539390); #164839=VERTEX_POINT('',#539392); #164840=VERTEX_POINT('',#539396); #164841=VERTEX_POINT('',#539398); #164842=VERTEX_POINT('',#539407); #164843=VERTEX_POINT('',#539408); #164844=VERTEX_POINT('',#539410); #164845=VERTEX_POINT('',#539412); #164846=VERTEX_POINT('',#539416); #164847=VERTEX_POINT('',#539418); #164848=VERTEX_POINT('',#539422); #164849=VERTEX_POINT('',#539424); #164850=VERTEX_POINT('',#539433); #164851=VERTEX_POINT('',#539434); #164852=VERTEX_POINT('',#539436); #164853=VERTEX_POINT('',#539438); #164854=VERTEX_POINT('',#539442); #164855=VERTEX_POINT('',#539444); #164856=VERTEX_POINT('',#539448); #164857=VERTEX_POINT('',#539450); #164858=VERTEX_POINT('',#539459); #164859=VERTEX_POINT('',#539460); #164860=VERTEX_POINT('',#539462); #164861=VERTEX_POINT('',#539464); #164862=VERTEX_POINT('',#539468); #164863=VERTEX_POINT('',#539470); #164864=VERTEX_POINT('',#539474); #164865=VERTEX_POINT('',#539476); #164866=VERTEX_POINT('',#539485); #164867=VERTEX_POINT('',#539487); #164868=VERTEX_POINT('',#539491); #164869=VERTEX_POINT('',#539493); #164870=VERTEX_POINT('',#539497); #164871=VERTEX_POINT('',#539499); #164872=VERTEX_POINT('',#539503); #164873=VERTEX_POINT('',#539505); #164874=VERTEX_POINT('',#539509); #164875=VERTEX_POINT('',#539511); #164876=VERTEX_POINT('',#539515); #164877=VERTEX_POINT('',#539517); #164878=VERTEX_POINT('',#539521); #164879=VERTEX_POINT('',#539522); #164880=VERTEX_POINT('',#539524); #164881=VERTEX_POINT('',#539526); #164882=VERTEX_POINT('',#539530); #164883=VERTEX_POINT('',#539532); #164884=VERTEX_POINT('',#539536); #164885=VERTEX_POINT('',#539538); #164886=VERTEX_POINT('',#539547); #164887=VERTEX_POINT('',#539548); #164888=VERTEX_POINT('',#539550); #164889=VERTEX_POINT('',#539552); #164890=VERTEX_POINT('',#539556); #164891=VERTEX_POINT('',#539558); #164892=VERTEX_POINT('',#539562); #164893=VERTEX_POINT('',#539564); #164894=VERTEX_POINT('',#539573); #164895=VERTEX_POINT('',#539574); #164896=VERTEX_POINT('',#539576); #164897=VERTEX_POINT('',#539578); #164898=VERTEX_POINT('',#539582); #164899=VERTEX_POINT('',#539584); #164900=VERTEX_POINT('',#539588); #164901=VERTEX_POINT('',#539590); #164902=VERTEX_POINT('',#539599); #164903=VERTEX_POINT('',#539600); #164904=VERTEX_POINT('',#539602); #164905=VERTEX_POINT('',#539604); #164906=VERTEX_POINT('',#539608); #164907=VERTEX_POINT('',#539610); #164908=VERTEX_POINT('',#539614); #164909=VERTEX_POINT('',#539616); #164910=VERTEX_POINT('',#539625); #164911=VERTEX_POINT('',#539626); #164912=VERTEX_POINT('',#539628); #164913=VERTEX_POINT('',#539630); #164914=VERTEX_POINT('',#539634); #164915=VERTEX_POINT('',#539636); #164916=VERTEX_POINT('',#539640); #164917=VERTEX_POINT('',#539642); #164918=VERTEX_POINT('',#539651); #164919=VERTEX_POINT('',#539652); #164920=VERTEX_POINT('',#539654); #164921=VERTEX_POINT('',#539656); #164922=VERTEX_POINT('',#539660); #164923=VERTEX_POINT('',#539662); #164924=VERTEX_POINT('',#539666); #164925=VERTEX_POINT('',#539668); #164926=VERTEX_POINT('',#539677); #164927=VERTEX_POINT('',#539678); #164928=VERTEX_POINT('',#539680); #164929=VERTEX_POINT('',#539682); #164930=VERTEX_POINT('',#539686); #164931=VERTEX_POINT('',#539688); #164932=VERTEX_POINT('',#539692); #164933=VERTEX_POINT('',#539694); #164934=VERTEX_POINT('',#539703); #164935=VERTEX_POINT('',#539704); #164936=VERTEX_POINT('',#539706); #164937=VERTEX_POINT('',#539708); #164938=VERTEX_POINT('',#539712); #164939=VERTEX_POINT('',#539714); #164940=VERTEX_POINT('',#539718); #164941=VERTEX_POINT('',#539720); #164942=VERTEX_POINT('',#539729); #164943=VERTEX_POINT('',#539730); #164944=VERTEX_POINT('',#539732); #164945=VERTEX_POINT('',#539734); #164946=VERTEX_POINT('',#539738); #164947=VERTEX_POINT('',#539740); #164948=VERTEX_POINT('',#539744); #164949=VERTEX_POINT('',#539746); #164950=VERTEX_POINT('',#539755); #164951=VERTEX_POINT('',#539756); #164952=VERTEX_POINT('',#539758); #164953=VERTEX_POINT('',#539760); #164954=VERTEX_POINT('',#539764); #164955=VERTEX_POINT('',#539766); #164956=VERTEX_POINT('',#539770); #164957=VERTEX_POINT('',#539772); #164958=VERTEX_POINT('',#539781); #164959=VERTEX_POINT('',#539782); #164960=VERTEX_POINT('',#539784); #164961=VERTEX_POINT('',#539786); #164962=VERTEX_POINT('',#539790); #164963=VERTEX_POINT('',#539792); #164964=VERTEX_POINT('',#539796); #164965=VERTEX_POINT('',#539798); #164966=VERTEX_POINT('',#539807); #164967=VERTEX_POINT('',#539808); #164968=VERTEX_POINT('',#539810); #164969=VERTEX_POINT('',#539812); #164970=VERTEX_POINT('',#539816); #164971=VERTEX_POINT('',#539818); #164972=VERTEX_POINT('',#539822); #164973=VERTEX_POINT('',#539824); #164974=VERTEX_POINT('',#539833); #164975=VERTEX_POINT('',#539834); #164976=VERTEX_POINT('',#539836); #164977=VERTEX_POINT('',#539838); #164978=VERTEX_POINT('',#539842); #164979=VERTEX_POINT('',#539844); #164980=VERTEX_POINT('',#539848); #164981=VERTEX_POINT('',#539850); #164982=VERTEX_POINT('',#539859); #164983=VERTEX_POINT('',#539860); #164984=VERTEX_POINT('',#539862); #164985=VERTEX_POINT('',#539864); #164986=VERTEX_POINT('',#539868); #164987=VERTEX_POINT('',#539870); #164988=VERTEX_POINT('',#539874); #164989=VERTEX_POINT('',#539876); #164990=VERTEX_POINT('',#539885); #164991=VERTEX_POINT('',#539886); #164992=VERTEX_POINT('',#539888); #164993=VERTEX_POINT('',#539890); #164994=VERTEX_POINT('',#539894); #164995=VERTEX_POINT('',#539896); #164996=VERTEX_POINT('',#539900); #164997=VERTEX_POINT('',#539902); #164998=VERTEX_POINT('',#539911); #164999=VERTEX_POINT('',#539912); #165000=VERTEX_POINT('',#539914); #165001=VERTEX_POINT('',#539916); #165002=VERTEX_POINT('',#539920); #165003=VERTEX_POINT('',#539922); #165004=VERTEX_POINT('',#539926); #165005=VERTEX_POINT('',#539928); #165006=VERTEX_POINT('',#539937); #165007=VERTEX_POINT('',#539938); #165008=VERTEX_POINT('',#539940); #165009=VERTEX_POINT('',#539942); #165010=VERTEX_POINT('',#539946); #165011=VERTEX_POINT('',#539948); #165012=VERTEX_POINT('',#539952); #165013=VERTEX_POINT('',#539954); #165014=VERTEX_POINT('',#539963); #165015=VERTEX_POINT('',#539964); #165016=VERTEX_POINT('',#539966); #165017=VERTEX_POINT('',#539968); #165018=VERTEX_POINT('',#539972); #165019=VERTEX_POINT('',#539974); #165020=VERTEX_POINT('',#539978); #165021=VERTEX_POINT('',#539980); #165022=VERTEX_POINT('',#539989); #165023=VERTEX_POINT('',#539990); #165024=VERTEX_POINT('',#539992); #165025=VERTEX_POINT('',#539994); #165026=VERTEX_POINT('',#539998); #165027=VERTEX_POINT('',#540000); #165028=VERTEX_POINT('',#540004); #165029=VERTEX_POINT('',#540006); #165030=VERTEX_POINT('',#540015); #165031=VERTEX_POINT('',#540016); #165032=VERTEX_POINT('',#540018); #165033=VERTEX_POINT('',#540020); #165034=VERTEX_POINT('',#540024); #165035=VERTEX_POINT('',#540026); #165036=VERTEX_POINT('',#540030); #165037=VERTEX_POINT('',#540032); #165038=VERTEX_POINT('',#540041); #165039=VERTEX_POINT('',#540042); #165040=VERTEX_POINT('',#540044); #165041=VERTEX_POINT('',#540046); #165042=VERTEX_POINT('',#540050); #165043=VERTEX_POINT('',#540052); #165044=VERTEX_POINT('',#540056); #165045=VERTEX_POINT('',#540058); #165046=VERTEX_POINT('',#540067); #165047=VERTEX_POINT('',#540068); #165048=VERTEX_POINT('',#540070); #165049=VERTEX_POINT('',#540072); #165050=VERTEX_POINT('',#540076); #165051=VERTEX_POINT('',#540078); #165052=VERTEX_POINT('',#540082); #165053=VERTEX_POINT('',#540084); #165054=VERTEX_POINT('',#540093); #165055=VERTEX_POINT('',#540094); #165056=VERTEX_POINT('',#540096); #165057=VERTEX_POINT('',#540098); #165058=VERTEX_POINT('',#540102); #165059=VERTEX_POINT('',#540104); #165060=VERTEX_POINT('',#540108); #165061=VERTEX_POINT('',#540110); #165062=VERTEX_POINT('',#540119); #165063=VERTEX_POINT('',#540120); #165064=VERTEX_POINT('',#540122); #165065=VERTEX_POINT('',#540124); #165066=VERTEX_POINT('',#540128); #165067=VERTEX_POINT('',#540130); #165068=VERTEX_POINT('',#540134); #165069=VERTEX_POINT('',#540136); #165070=VERTEX_POINT('',#540145); #165071=VERTEX_POINT('',#540146); #165072=VERTEX_POINT('',#540148); #165073=VERTEX_POINT('',#540150); #165074=VERTEX_POINT('',#540154); #165075=VERTEX_POINT('',#540156); #165076=VERTEX_POINT('',#540160); #165077=VERTEX_POINT('',#540162); #165078=VERTEX_POINT('',#540171); #165079=VERTEX_POINT('',#540172); #165080=VERTEX_POINT('',#540174); #165081=VERTEX_POINT('',#540176); #165082=VERTEX_POINT('',#540180); #165083=VERTEX_POINT('',#540182); #165084=VERTEX_POINT('',#540186); #165085=VERTEX_POINT('',#540188); #165086=VERTEX_POINT('',#540197); #165087=VERTEX_POINT('',#540198); #165088=VERTEX_POINT('',#540200); #165089=VERTEX_POINT('',#540202); #165090=VERTEX_POINT('',#540206); #165091=VERTEX_POINT('',#540208); #165092=VERTEX_POINT('',#540212); #165093=VERTEX_POINT('',#540214); #165094=VERTEX_POINT('',#540223); #165095=VERTEX_POINT('',#540225); #165096=VERTEX_POINT('',#540229); #165097=VERTEX_POINT('',#540231); #165098=VERTEX_POINT('',#540235); #165099=VERTEX_POINT('',#540237); #165100=VERTEX_POINT('',#540241); #165101=VERTEX_POINT('',#540243); #165102=VERTEX_POINT('',#540247); #165103=VERTEX_POINT('',#540249); #165104=VERTEX_POINT('',#540253); #165105=VERTEX_POINT('',#540255); #165106=VERTEX_POINT('',#540259); #165107=VERTEX_POINT('',#540260); #165108=VERTEX_POINT('',#540262); #165109=VERTEX_POINT('',#540264); #165110=VERTEX_POINT('',#540268); #165111=VERTEX_POINT('',#540270); #165112=VERTEX_POINT('',#540274); #165113=VERTEX_POINT('',#540276); #165114=VERTEX_POINT('',#540285); #165115=VERTEX_POINT('',#540286); #165116=VERTEX_POINT('',#540288); #165117=VERTEX_POINT('',#540290); #165118=VERTEX_POINT('',#540294); #165119=VERTEX_POINT('',#540296); #165120=VERTEX_POINT('',#540300); #165121=VERTEX_POINT('',#540302); #165122=VERTEX_POINT('',#540311); #165123=VERTEX_POINT('',#540312); #165124=VERTEX_POINT('',#540314); #165125=VERTEX_POINT('',#540316); #165126=VERTEX_POINT('',#540320); #165127=VERTEX_POINT('',#540322); #165128=VERTEX_POINT('',#540326); #165129=VERTEX_POINT('',#540328); #165130=VERTEX_POINT('',#540337); #165131=VERTEX_POINT('',#540338); #165132=VERTEX_POINT('',#540340); #165133=VERTEX_POINT('',#540342); #165134=VERTEX_POINT('',#540346); #165135=VERTEX_POINT('',#540348); #165136=VERTEX_POINT('',#540352); #165137=VERTEX_POINT('',#540354); #165138=VERTEX_POINT('',#540363); #165139=VERTEX_POINT('',#540364); #165140=VERTEX_POINT('',#540366); #165141=VERTEX_POINT('',#540368); #165142=VERTEX_POINT('',#540372); #165143=VERTEX_POINT('',#540374); #165144=VERTEX_POINT('',#540378); #165145=VERTEX_POINT('',#540380); #165146=VERTEX_POINT('',#540389); #165147=VERTEX_POINT('',#540390); #165148=VERTEX_POINT('',#540392); #165149=VERTEX_POINT('',#540394); #165150=VERTEX_POINT('',#540398); #165151=VERTEX_POINT('',#540400); #165152=VERTEX_POINT('',#540404); #165153=VERTEX_POINT('',#540406); #165154=VERTEX_POINT('',#540415); #165155=VERTEX_POINT('',#540416); #165156=VERTEX_POINT('',#540418); #165157=VERTEX_POINT('',#540420); #165158=VERTEX_POINT('',#540424); #165159=VERTEX_POINT('',#540426); #165160=VERTEX_POINT('',#540430); #165161=VERTEX_POINT('',#540432); #165162=VERTEX_POINT('',#540441); #165163=VERTEX_POINT('',#540443); #165164=VERTEX_POINT('',#540447); #165165=VERTEX_POINT('',#540449); #165166=VERTEX_POINT('',#540453); #165167=VERTEX_POINT('',#540455); #165168=VERTEX_POINT('',#540459); #165169=VERTEX_POINT('',#540461); #165170=VERTEX_POINT('',#540465); #165171=VERTEX_POINT('',#540467); #165172=VERTEX_POINT('',#540471); #165173=VERTEX_POINT('',#540473); #165174=VERTEX_POINT('',#540477); #165175=VERTEX_POINT('',#540478); #165176=VERTEX_POINT('',#540480); #165177=VERTEX_POINT('',#540482); #165178=VERTEX_POINT('',#540486); #165179=VERTEX_POINT('',#540488); #165180=VERTEX_POINT('',#540492); #165181=VERTEX_POINT('',#540494); #165182=VERTEX_POINT('',#540503); #165183=VERTEX_POINT('',#540504); #165184=VERTEX_POINT('',#540506); #165185=VERTEX_POINT('',#540508); #165186=VERTEX_POINT('',#540512); #165187=VERTEX_POINT('',#540514); #165188=VERTEX_POINT('',#540518); #165189=VERTEX_POINT('',#540520); #165190=VERTEX_POINT('',#540529); #165191=VERTEX_POINT('',#540530); #165192=VERTEX_POINT('',#540532); #165193=VERTEX_POINT('',#540534); #165194=VERTEX_POINT('',#540538); #165195=VERTEX_POINT('',#540540); #165196=VERTEX_POINT('',#540544); #165197=VERTEX_POINT('',#540546); #165198=VERTEX_POINT('',#540555); #165199=VERTEX_POINT('',#540557); #165200=VERTEX_POINT('',#540561); #165201=VERTEX_POINT('',#540563); #165202=VERTEX_POINT('',#540567); #165203=VERTEX_POINT('',#540569); #165204=VERTEX_POINT('',#540573); #165205=VERTEX_POINT('',#540575); #165206=VERTEX_POINT('',#540579); #165207=VERTEX_POINT('',#540581); #165208=VERTEX_POINT('',#540585); #165209=VERTEX_POINT('',#540587); #165210=VERTEX_POINT('',#540591); #165211=VERTEX_POINT('',#540593); #165212=VERTEX_POINT('',#540597); #165213=VERTEX_POINT('',#540599); #165214=VERTEX_POINT('',#540603); #165215=VERTEX_POINT('',#540605); #165216=VERTEX_POINT('',#540609); #165217=VERTEX_POINT('',#540611); #165218=VERTEX_POINT('',#540615); #165219=VERTEX_POINT('',#540617); #165220=VERTEX_POINT('',#540621); #165221=VERTEX_POINT('',#540623); #165222=VERTEX_POINT('',#540627); #165223=VERTEX_POINT('',#540629); #165224=VERTEX_POINT('',#540633); #165225=VERTEX_POINT('',#540635); #165226=VERTEX_POINT('',#540639); #165227=VERTEX_POINT('',#540641); #165228=VERTEX_POINT('',#540645); #165229=VERTEX_POINT('',#540647); #165230=VERTEX_POINT('',#540651); #165231=VERTEX_POINT('',#540653); #165232=VERTEX_POINT('',#540657); #165233=VERTEX_POINT('',#540659); #165234=VERTEX_POINT('',#540663); #165235=VERTEX_POINT('',#540665); #165236=VERTEX_POINT('',#540669); #165237=VERTEX_POINT('',#540671); #165238=VERTEX_POINT('',#540675); #165239=VERTEX_POINT('',#540677); #165240=VERTEX_POINT('',#540681); #165241=VERTEX_POINT('',#540683); #165242=VERTEX_POINT('',#540687); #165243=VERTEX_POINT('',#540689); #165244=VERTEX_POINT('',#540693); #165245=VERTEX_POINT('',#540695); #165246=VERTEX_POINT('',#540699); #165247=VERTEX_POINT('',#540701); #165248=VERTEX_POINT('',#540705); #165249=VERTEX_POINT('',#540707); #165250=VERTEX_POINT('',#540711); #165251=VERTEX_POINT('',#540713); #165252=VERTEX_POINT('',#540717); #165253=VERTEX_POINT('',#540719); #165254=VERTEX_POINT('',#540723); #165255=VERTEX_POINT('',#540725); #165256=VERTEX_POINT('',#540729); #165257=VERTEX_POINT('',#540731); #165258=VERTEX_POINT('',#540735); #165259=VERTEX_POINT('',#540737); #165260=VERTEX_POINT('',#540741); #165261=VERTEX_POINT('',#540743); #165262=VERTEX_POINT('',#540747); #165263=VERTEX_POINT('',#540749); #165264=VERTEX_POINT('',#540753); #165265=VERTEX_POINT('',#540755); #165266=VERTEX_POINT('',#540759); #165267=VERTEX_POINT('',#540761); #165268=VERTEX_POINT('',#540765); #165269=VERTEX_POINT('',#540767); #165270=VERTEX_POINT('',#540771); #165271=VERTEX_POINT('',#540773); #165272=VERTEX_POINT('',#540777); #165273=VERTEX_POINT('',#540779); #165274=VERTEX_POINT('',#540783); #165275=VERTEX_POINT('',#540785); #165276=VERTEX_POINT('',#540789); #165277=VERTEX_POINT('',#540791); #165278=VERTEX_POINT('',#540795); #165279=VERTEX_POINT('',#540797); #165280=VERTEX_POINT('',#540801); #165281=VERTEX_POINT('',#540803); #165282=VERTEX_POINT('',#540807); #165283=VERTEX_POINT('',#540809); #165284=VERTEX_POINT('',#540813); #165285=VERTEX_POINT('',#540815); #165286=VERTEX_POINT('',#540819); #165287=VERTEX_POINT('',#540821); #165288=VERTEX_POINT('',#540825); #165289=VERTEX_POINT('',#540827); #165290=VERTEX_POINT('',#540831); #165291=VERTEX_POINT('',#540833); #165292=VERTEX_POINT('',#540837); #165293=VERTEX_POINT('',#540839); #165294=VERTEX_POINT('',#540843); #165295=VERTEX_POINT('',#540845); #165296=VERTEX_POINT('',#540849); #165297=VERTEX_POINT('',#540850); #165298=VERTEX_POINT('',#540852); #165299=VERTEX_POINT('',#540854); #165300=VERTEX_POINT('',#540858); #165301=VERTEX_POINT('',#540860); #165302=VERTEX_POINT('',#540864); #165303=VERTEX_POINT('',#540866); #165304=VERTEX_POINT('',#540875); #165305=VERTEX_POINT('',#540876); #165306=VERTEX_POINT('',#540878); #165307=VERTEX_POINT('',#540880); #165308=VERTEX_POINT('',#540884); #165309=VERTEX_POINT('',#540886); #165310=VERTEX_POINT('',#540890); #165311=VERTEX_POINT('',#540892); #165312=VERTEX_POINT('',#540901); #165313=VERTEX_POINT('',#540902); #165314=VERTEX_POINT('',#540904); #165315=VERTEX_POINT('',#540906); #165316=VERTEX_POINT('',#540910); #165317=VERTEX_POINT('',#540912); #165318=VERTEX_POINT('',#540916); #165319=VERTEX_POINT('',#540918); #165320=VERTEX_POINT('',#540927); #165321=VERTEX_POINT('',#540928); #165322=VERTEX_POINT('',#540930); #165323=VERTEX_POINT('',#540932); #165324=VERTEX_POINT('',#540936); #165325=VERTEX_POINT('',#540938); #165326=VERTEX_POINT('',#540942); #165327=VERTEX_POINT('',#540944); #165328=VERTEX_POINT('',#540953); #165329=VERTEX_POINT('',#540954); #165330=VERTEX_POINT('',#540956); #165331=VERTEX_POINT('',#540958); #165332=VERTEX_POINT('',#540962); #165333=VERTEX_POINT('',#540964); #165334=VERTEX_POINT('',#540968); #165335=VERTEX_POINT('',#540970); #165336=VERTEX_POINT('',#540979); #165337=VERTEX_POINT('',#540980); #165338=VERTEX_POINT('',#540982); #165339=VERTEX_POINT('',#540984); #165340=VERTEX_POINT('',#540988); #165341=VERTEX_POINT('',#540990); #165342=VERTEX_POINT('',#540994); #165343=VERTEX_POINT('',#540996); #165344=VERTEX_POINT('',#541005); #165345=VERTEX_POINT('',#541006); #165346=VERTEX_POINT('',#541008); #165347=VERTEX_POINT('',#541010); #165348=VERTEX_POINT('',#541014); #165349=VERTEX_POINT('',#541016); #165350=VERTEX_POINT('',#541020); #165351=VERTEX_POINT('',#541022); #165352=VERTEX_POINT('',#541031); #165353=VERTEX_POINT('',#541032); #165354=VERTEX_POINT('',#541034); #165355=VERTEX_POINT('',#541036); #165356=VERTEX_POINT('',#541040); #165357=VERTEX_POINT('',#541042); #165358=VERTEX_POINT('',#541046); #165359=VERTEX_POINT('',#541048); #165360=VERTEX_POINT('',#541057); #165361=VERTEX_POINT('',#541058); #165362=VERTEX_POINT('',#541060); #165363=VERTEX_POINT('',#541062); #165364=VERTEX_POINT('',#541066); #165365=VERTEX_POINT('',#541068); #165366=VERTEX_POINT('',#541072); #165367=VERTEX_POINT('',#541074); #165368=VERTEX_POINT('',#541083); #165369=VERTEX_POINT('',#541084); #165370=VERTEX_POINT('',#541086); #165371=VERTEX_POINT('',#541088); #165372=VERTEX_POINT('',#541092); #165373=VERTEX_POINT('',#541094); #165374=VERTEX_POINT('',#541098); #165375=VERTEX_POINT('',#541100); #165376=VERTEX_POINT('',#541109); #165377=VERTEX_POINT('',#541110); #165378=VERTEX_POINT('',#541112); #165379=VERTEX_POINT('',#541114); #165380=VERTEX_POINT('',#541118); #165381=VERTEX_POINT('',#541120); #165382=VERTEX_POINT('',#541124); #165383=VERTEX_POINT('',#541126); #165384=VERTEX_POINT('',#541135); #165385=VERTEX_POINT('',#541136); #165386=VERTEX_POINT('',#541138); #165387=VERTEX_POINT('',#541140); #165388=VERTEX_POINT('',#541144); #165389=VERTEX_POINT('',#541146); #165390=VERTEX_POINT('',#541150); #165391=VERTEX_POINT('',#541152); #165392=VERTEX_POINT('',#541161); #165393=VERTEX_POINT('',#541162); #165394=VERTEX_POINT('',#541164); #165395=VERTEX_POINT('',#541166); #165396=VERTEX_POINT('',#541170); #165397=VERTEX_POINT('',#541172); #165398=VERTEX_POINT('',#541176); #165399=VERTEX_POINT('',#541178); #165400=VERTEX_POINT('',#541187); #165401=VERTEX_POINT('',#541188); #165402=VERTEX_POINT('',#541190); #165403=VERTEX_POINT('',#541192); #165404=VERTEX_POINT('',#541196); #165405=VERTEX_POINT('',#541198); #165406=VERTEX_POINT('',#541202); #165407=VERTEX_POINT('',#541204); #165408=VERTEX_POINT('',#541213); #165409=VERTEX_POINT('',#541214); #165410=VERTEX_POINT('',#541216); #165411=VERTEX_POINT('',#541218); #165412=VERTEX_POINT('',#541222); #165413=VERTEX_POINT('',#541224); #165414=VERTEX_POINT('',#541228); #165415=VERTEX_POINT('',#541230); #165416=VERTEX_POINT('',#541239); #165417=VERTEX_POINT('',#541240); #165418=VERTEX_POINT('',#541242); #165419=VERTEX_POINT('',#541244); #165420=VERTEX_POINT('',#541248); #165421=VERTEX_POINT('',#541250); #165422=VERTEX_POINT('',#541254); #165423=VERTEX_POINT('',#541256); #165424=VERTEX_POINT('',#541265); #165425=VERTEX_POINT('',#541266); #165426=VERTEX_POINT('',#541268); #165427=VERTEX_POINT('',#541270); #165428=VERTEX_POINT('',#541274); #165429=VERTEX_POINT('',#541276); #165430=VERTEX_POINT('',#541280); #165431=VERTEX_POINT('',#541282); #165432=VERTEX_POINT('',#541291); #165433=VERTEX_POINT('',#541292); #165434=VERTEX_POINT('',#541294); #165435=VERTEX_POINT('',#541296); #165436=VERTEX_POINT('',#541300); #165437=VERTEX_POINT('',#541302); #165438=VERTEX_POINT('',#541306); #165439=VERTEX_POINT('',#541308); #165440=VERTEX_POINT('',#541317); #165441=VERTEX_POINT('',#541318); #165442=VERTEX_POINT('',#541320); #165443=VERTEX_POINT('',#541322); #165444=VERTEX_POINT('',#541326); #165445=VERTEX_POINT('',#541328); #165446=VERTEX_POINT('',#541332); #165447=VERTEX_POINT('',#541334); #165448=VERTEX_POINT('',#541343); #165449=VERTEX_POINT('',#541345); #165450=VERTEX_POINT('',#541349); #165451=VERTEX_POINT('',#541350); #165452=VERTEX_POINT('',#541352); #165453=VERTEX_POINT('',#541354); #165454=VERTEX_POINT('',#541358); #165455=VERTEX_POINT('',#541360); #165456=VERTEX_POINT('',#541364); #165457=VERTEX_POINT('',#541366); #165458=VERTEX_POINT('',#541375); #165459=VERTEX_POINT('',#541376); #165460=VERTEX_POINT('',#541378); #165461=VERTEX_POINT('',#541380); #165462=VERTEX_POINT('',#541384); #165463=VERTEX_POINT('',#541386); #165464=VERTEX_POINT('',#541390); #165465=VERTEX_POINT('',#541392); #165466=VERTEX_POINT('',#541401); #165467=VERTEX_POINT('',#541402); #165468=VERTEX_POINT('',#541404); #165469=VERTEX_POINT('',#541406); #165470=VERTEX_POINT('',#541410); #165471=VERTEX_POINT('',#541412); #165472=VERTEX_POINT('',#541416); #165473=VERTEX_POINT('',#541418); #165474=VERTEX_POINT('',#541427); #165475=VERTEX_POINT('',#541429); #165476=VERTEX_POINT('',#541433); #165477=VERTEX_POINT('',#541434); #165478=VERTEX_POINT('',#541436); #165479=VERTEX_POINT('',#541438); #165480=VERTEX_POINT('',#541442); #165481=VERTEX_POINT('',#541444); #165482=VERTEX_POINT('',#541448); #165483=VERTEX_POINT('',#541450); #165484=VERTEX_POINT('',#541459); #165485=VERTEX_POINT('',#541460); #165486=VERTEX_POINT('',#541462); #165487=VERTEX_POINT('',#541464); #165488=VERTEX_POINT('',#541468); #165489=VERTEX_POINT('',#541470); #165490=VERTEX_POINT('',#541474); #165491=VERTEX_POINT('',#541476); #165492=VERTEX_POINT('',#541485); #165493=VERTEX_POINT('',#541486); #165494=VERTEX_POINT('',#541488); #165495=VERTEX_POINT('',#541490); #165496=VERTEX_POINT('',#541494); #165497=VERTEX_POINT('',#541496); #165498=VERTEX_POINT('',#541500); #165499=VERTEX_POINT('',#541502); #165500=VERTEX_POINT('',#541511); #165501=VERTEX_POINT('',#541512); #165502=VERTEX_POINT('',#541514); #165503=VERTEX_POINT('',#541516); #165504=VERTEX_POINT('',#541520); #165505=VERTEX_POINT('',#541522); #165506=VERTEX_POINT('',#541526); #165507=VERTEX_POINT('',#541528); #165508=VERTEX_POINT('',#541537); #165509=VERTEX_POINT('',#541538); #165510=VERTEX_POINT('',#541540); #165511=VERTEX_POINT('',#541542); #165512=VERTEX_POINT('',#541546); #165513=VERTEX_POINT('',#541548); #165514=VERTEX_POINT('',#541552); #165515=VERTEX_POINT('',#541554); #165516=VERTEX_POINT('',#541563); #165517=VERTEX_POINT('',#541564); #165518=VERTEX_POINT('',#541566); #165519=VERTEX_POINT('',#541568); #165520=VERTEX_POINT('',#541572); #165521=VERTEX_POINT('',#541574); #165522=VERTEX_POINT('',#541578); #165523=VERTEX_POINT('',#541580); #165524=VERTEX_POINT('',#541589); #165525=VERTEX_POINT('',#541590); #165526=VERTEX_POINT('',#541592); #165527=VERTEX_POINT('',#541594); #165528=VERTEX_POINT('',#541598); #165529=VERTEX_POINT('',#541600); #165530=VERTEX_POINT('',#541604); #165531=VERTEX_POINT('',#541606); #165532=VERTEX_POINT('',#541615); #165533=VERTEX_POINT('',#541616); #165534=VERTEX_POINT('',#541618); #165535=VERTEX_POINT('',#541620); #165536=VERTEX_POINT('',#541624); #165537=VERTEX_POINT('',#541626); #165538=VERTEX_POINT('',#541630); #165539=VERTEX_POINT('',#541632); #165540=VERTEX_POINT('',#541641); #165541=VERTEX_POINT('',#541642); #165542=VERTEX_POINT('',#541644); #165543=VERTEX_POINT('',#541646); #165544=VERTEX_POINT('',#541650); #165545=VERTEX_POINT('',#541652); #165546=VERTEX_POINT('',#541656); #165547=VERTEX_POINT('',#541658); #165548=VERTEX_POINT('',#541667); #165549=VERTEX_POINT('',#541668); #165550=VERTEX_POINT('',#541670); #165551=VERTEX_POINT('',#541672); #165552=VERTEX_POINT('',#541676); #165553=VERTEX_POINT('',#541678); #165554=VERTEX_POINT('',#541682); #165555=VERTEX_POINT('',#541684); #165556=VERTEX_POINT('',#541693); #165557=VERTEX_POINT('',#541694); #165558=VERTEX_POINT('',#541696); #165559=VERTEX_POINT('',#541698); #165560=VERTEX_POINT('',#541702); #165561=VERTEX_POINT('',#541704); #165562=VERTEX_POINT('',#541708); #165563=VERTEX_POINT('',#541710); #165564=VERTEX_POINT('',#541719); #165565=VERTEX_POINT('',#541720); #165566=VERTEX_POINT('',#541722); #165567=VERTEX_POINT('',#541724); #165568=VERTEX_POINT('',#541728); #165569=VERTEX_POINT('',#541730); #165570=VERTEX_POINT('',#541734); #165571=VERTEX_POINT('',#541736); #165572=VERTEX_POINT('',#541745); #165573=VERTEX_POINT('',#541746); #165574=VERTEX_POINT('',#541748); #165575=VERTEX_POINT('',#541750); #165576=VERTEX_POINT('',#541754); #165577=VERTEX_POINT('',#541755); #165578=VERTEX_POINT('',#541757); #165579=VERTEX_POINT('',#541759); #165580=VERTEX_POINT('',#541763); #165581=VERTEX_POINT('',#541765); #165582=VERTEX_POINT('',#541769); #165583=VERTEX_POINT('',#541770); #165584=VERTEX_POINT('',#541772); #165585=VERTEX_POINT('',#541774); #165586=VERTEX_POINT('',#541778); #165587=VERTEX_POINT('',#541779); #165588=VERTEX_POINT('',#541781); #165589=VERTEX_POINT('',#541783); #165590=VERTEX_POINT('',#541787); #165591=VERTEX_POINT('',#541788); #165592=VERTEX_POINT('',#541790); #165593=VERTEX_POINT('',#541792); #165594=VERTEX_POINT('',#541796); #165595=VERTEX_POINT('',#541797); #165596=VERTEX_POINT('',#541799); #165597=VERTEX_POINT('',#541801); #165598=VERTEX_POINT('',#541805); #165599=VERTEX_POINT('',#541806); #165600=VERTEX_POINT('',#541808); #165601=VERTEX_POINT('',#541810); #165602=VERTEX_POINT('',#541814); #165603=VERTEX_POINT('',#541815); #165604=VERTEX_POINT('',#541817); #165605=VERTEX_POINT('',#541819); #165606=VERTEX_POINT('',#541823); #165607=VERTEX_POINT('',#541825); #165608=VERTEX_POINT('',#541832); #165609=VERTEX_POINT('',#541834); #165610=VERTEX_POINT('',#541861); #165611=VERTEX_POINT('',#541863); #165612=VERTEX_POINT('',#541867); #165613=VERTEX_POINT('',#541868); #165614=VERTEX_POINT('',#541870); #165615=VERTEX_POINT('',#541872); #165616=VERTEX_POINT('',#541876); #165617=VERTEX_POINT('',#541878); #165618=VERTEX_POINT('',#541882); #165619=VERTEX_POINT('',#541884); #165620=VERTEX_POINT('',#541893); #165621=VERTEX_POINT('',#541894); #165622=VERTEX_POINT('',#541896); #165623=VERTEX_POINT('',#541898); #165624=VERTEX_POINT('',#541902); #165625=VERTEX_POINT('',#541904); #165626=VERTEX_POINT('',#541908); #165627=VERTEX_POINT('',#541910); #165628=VERTEX_POINT('',#541919); #165629=VERTEX_POINT('',#541920); #165630=VERTEX_POINT('',#541922); #165631=VERTEX_POINT('',#541924); #165632=VERTEX_POINT('',#541928); #165633=VERTEX_POINT('',#541930); #165634=VERTEX_POINT('',#541934); #165635=VERTEX_POINT('',#541936); #165636=VERTEX_POINT('',#541945); #165637=VERTEX_POINT('',#541946); #165638=VERTEX_POINT('',#541948); #165639=VERTEX_POINT('',#541950); #165640=VERTEX_POINT('',#541954); #165641=VERTEX_POINT('',#541956); #165642=VERTEX_POINT('',#541960); #165643=VERTEX_POINT('',#541962); #165644=VERTEX_POINT('',#541971); #165645=VERTEX_POINT('',#541972); #165646=VERTEX_POINT('',#541974); #165647=VERTEX_POINT('',#541976); #165648=VERTEX_POINT('',#541980); #165649=VERTEX_POINT('',#541982); #165650=VERTEX_POINT('',#541986); #165651=VERTEX_POINT('',#541988); #165652=VERTEX_POINT('',#541997); #165653=VERTEX_POINT('',#541998); #165654=VERTEX_POINT('',#542000); #165655=VERTEX_POINT('',#542002); #165656=VERTEX_POINT('',#542006); #165657=VERTEX_POINT('',#542008); #165658=VERTEX_POINT('',#542012); #165659=VERTEX_POINT('',#542014); #165660=VERTEX_POINT('',#542023); #165661=VERTEX_POINT('',#542025); #165662=VERTEX_POINT('',#542029); #165663=VERTEX_POINT('',#542030); #165664=VERTEX_POINT('',#542032); #165665=VERTEX_POINT('',#542034); #165666=VERTEX_POINT('',#542038); #165667=VERTEX_POINT('',#542040); #165668=VERTEX_POINT('',#542044); #165669=VERTEX_POINT('',#542046); #165670=VERTEX_POINT('',#542055); #165671=VERTEX_POINT('',#542057); #165672=VERTEX_POINT('',#542061); #165673=VERTEX_POINT('',#542062); #165674=VERTEX_POINT('',#542064); #165675=VERTEX_POINT('',#542066); #165676=VERTEX_POINT('',#542070); #165677=VERTEX_POINT('',#542072); #165678=VERTEX_POINT('',#542076); #165679=VERTEX_POINT('',#542078); #165680=VERTEX_POINT('',#542087); #165681=VERTEX_POINT('',#542088); #165682=VERTEX_POINT('',#542090); #165683=VERTEX_POINT('',#542092); #165684=VERTEX_POINT('',#542096); #165685=VERTEX_POINT('',#542098); #165686=VERTEX_POINT('',#542102); #165687=VERTEX_POINT('',#542104); #165688=VERTEX_POINT('',#542113); #165689=VERTEX_POINT('',#542114); #165690=VERTEX_POINT('',#542116); #165691=VERTEX_POINT('',#542118); #165692=VERTEX_POINT('',#542122); #165693=VERTEX_POINT('',#542124); #165694=VERTEX_POINT('',#542128); #165695=VERTEX_POINT('',#542130); #165696=VERTEX_POINT('',#542139); #165697=VERTEX_POINT('',#542140); #165698=VERTEX_POINT('',#542142); #165699=VERTEX_POINT('',#542144); #165700=VERTEX_POINT('',#542148); #165701=VERTEX_POINT('',#542150); #165702=VERTEX_POINT('',#542154); #165703=VERTEX_POINT('',#542156); #165704=VERTEX_POINT('',#542165); #165705=VERTEX_POINT('',#542166); #165706=VERTEX_POINT('',#542168); #165707=VERTEX_POINT('',#542170); #165708=VERTEX_POINT('',#542174); #165709=VERTEX_POINT('',#542176); #165710=VERTEX_POINT('',#542180); #165711=VERTEX_POINT('',#542182); #165712=VERTEX_POINT('',#542191); #165713=VERTEX_POINT('',#542192); #165714=VERTEX_POINT('',#542194); #165715=VERTEX_POINT('',#542196); #165716=VERTEX_POINT('',#542200); #165717=VERTEX_POINT('',#542202); #165718=VERTEX_POINT('',#542206); #165719=VERTEX_POINT('',#542208); #165720=VERTEX_POINT('',#542217); #165721=VERTEX_POINT('',#542218); #165722=VERTEX_POINT('',#542220); #165723=VERTEX_POINT('',#542222); #165724=VERTEX_POINT('',#542226); #165725=VERTEX_POINT('',#542228); #165726=VERTEX_POINT('',#542232); #165727=VERTEX_POINT('',#542234); #165728=VERTEX_POINT('',#542243); #165729=VERTEX_POINT('',#542244); #165730=VERTEX_POINT('',#542246); #165731=VERTEX_POINT('',#542248); #165732=VERTEX_POINT('',#542252); #165733=VERTEX_POINT('',#542254); #165734=VERTEX_POINT('',#542258); #165735=VERTEX_POINT('',#542260); #165736=VERTEX_POINT('',#542269); #165737=VERTEX_POINT('',#542270); #165738=VERTEX_POINT('',#542272); #165739=VERTEX_POINT('',#542274); #165740=VERTEX_POINT('',#542278); #165741=VERTEX_POINT('',#542280); #165742=VERTEX_POINT('',#542284); #165743=VERTEX_POINT('',#542286); #165744=VERTEX_POINT('',#542295); #165745=VERTEX_POINT('',#542296); #165746=VERTEX_POINT('',#542298); #165747=VERTEX_POINT('',#542300); #165748=VERTEX_POINT('',#542304); #165749=VERTEX_POINT('',#542306); #165750=VERTEX_POINT('',#542310); #165751=VERTEX_POINT('',#542312); #165752=VERTEX_POINT('',#542321); #165753=VERTEX_POINT('',#542322); #165754=VERTEX_POINT('',#542324); #165755=VERTEX_POINT('',#542326); #165756=VERTEX_POINT('',#542330); #165757=VERTEX_POINT('',#542332); #165758=VERTEX_POINT('',#542336); #165759=VERTEX_POINT('',#542338); #165760=VERTEX_POINT('',#542347); #165761=VERTEX_POINT('',#542348); #165762=VERTEX_POINT('',#542350); #165763=VERTEX_POINT('',#542352); #165764=VERTEX_POINT('',#542356); #165765=VERTEX_POINT('',#542358); #165766=VERTEX_POINT('',#542362); #165767=VERTEX_POINT('',#542364); #165768=VERTEX_POINT('',#542373); #165769=VERTEX_POINT('',#542375); #165770=VERTEX_POINT('',#542379); #165771=VERTEX_POINT('',#542381); #165772=VERTEX_POINT('',#542385); #165773=VERTEX_POINT('',#542387); #165774=VERTEX_POINT('',#542391); #165775=VERTEX_POINT('',#542393); #165776=VERTEX_POINT('',#542397); #165777=VERTEX_POINT('',#542399); #165778=VERTEX_POINT('',#542403); #165779=VERTEX_POINT('',#542405); #165780=VERTEX_POINT('',#542409); #165781=VERTEX_POINT('',#542410); #165782=VERTEX_POINT('',#542412); #165783=VERTEX_POINT('',#542414); #165784=VERTEX_POINT('',#542418); #165785=VERTEX_POINT('',#542420); #165786=VERTEX_POINT('',#542424); #165787=VERTEX_POINT('',#542426); #165788=VERTEX_POINT('',#542435); #165789=VERTEX_POINT('',#542436); #165790=VERTEX_POINT('',#542438); #165791=VERTEX_POINT('',#542440); #165792=VERTEX_POINT('',#542444); #165793=VERTEX_POINT('',#542446); #165794=VERTEX_POINT('',#542450); #165795=VERTEX_POINT('',#542452); #165796=VERTEX_POINT('',#542461); #165797=VERTEX_POINT('',#542462); #165798=VERTEX_POINT('',#542464); #165799=VERTEX_POINT('',#542466); #165800=VERTEX_POINT('',#542470); #165801=VERTEX_POINT('',#542472); #165802=VERTEX_POINT('',#542476); #165803=VERTEX_POINT('',#542478); #165804=VERTEX_POINT('',#542487); #165805=VERTEX_POINT('',#542488); #165806=VERTEX_POINT('',#542490); #165807=VERTEX_POINT('',#542492); #165808=VERTEX_POINT('',#542496); #165809=VERTEX_POINT('',#542498); #165810=VERTEX_POINT('',#542502); #165811=VERTEX_POINT('',#542504); #165812=VERTEX_POINT('',#542513); #165813=VERTEX_POINT('',#542514); #165814=VERTEX_POINT('',#542516); #165815=VERTEX_POINT('',#542518); #165816=VERTEX_POINT('',#542522); #165817=VERTEX_POINT('',#542524); #165818=VERTEX_POINT('',#542528); #165819=VERTEX_POINT('',#542529); #165820=VERTEX_POINT('',#542531); #165821=VERTEX_POINT('',#542533); #165822=VERTEX_POINT('',#542537); #165823=VERTEX_POINT('',#542538); #165824=VERTEX_POINT('',#542540); #165825=VERTEX_POINT('',#542542); #165826=VERTEX_POINT('',#542546); #165827=VERTEX_POINT('',#542547); #165828=VERTEX_POINT('',#542549); #165829=VERTEX_POINT('',#542551); #165830=VERTEX_POINT('',#542555); #165831=VERTEX_POINT('',#542557); #165832=VERTEX_POINT('',#542561); #165833=VERTEX_POINT('',#542563); #165834=VERTEX_POINT('',#542567); #165835=VERTEX_POINT('',#542569); #165836=VERTEX_POINT('',#542576); #165837=VERTEX_POINT('',#542578); #165838=VERTEX_POINT('',#542582); #165839=VERTEX_POINT('',#542584); #165840=VERTEX_POINT('',#542599); #165841=VERTEX_POINT('',#542600); #165842=VERTEX_POINT('',#542602); #165843=VERTEX_POINT('',#542604); #165844=VERTEX_POINT('',#542608); #165845=VERTEX_POINT('',#542610); #165846=VERTEX_POINT('',#542614); #165847=VERTEX_POINT('',#542616); #165848=VERTEX_POINT('',#542625); #165849=VERTEX_POINT('',#542626); #165850=VERTEX_POINT('',#542628); #165851=VERTEX_POINT('',#542630); #165852=VERTEX_POINT('',#542634); #165853=VERTEX_POINT('',#542636); #165854=VERTEX_POINT('',#542640); #165855=VERTEX_POINT('',#542642); #165856=VERTEX_POINT('',#542651); #165857=VERTEX_POINT('',#542652); #165858=VERTEX_POINT('',#542654); #165859=VERTEX_POINT('',#542656); #165860=VERTEX_POINT('',#542660); #165861=VERTEX_POINT('',#542662); #165862=VERTEX_POINT('',#542666); #165863=VERTEX_POINT('',#542668); #165864=VERTEX_POINT('',#542677); #165865=VERTEX_POINT('',#542678); #165866=VERTEX_POINT('',#542680); #165867=VERTEX_POINT('',#542682); #165868=VERTEX_POINT('',#542686); #165869=VERTEX_POINT('',#542688); #165870=VERTEX_POINT('',#542692); #165871=VERTEX_POINT('',#542694); #165872=VERTEX_POINT('',#542703); #165873=VERTEX_POINT('',#542704); #165874=VERTEX_POINT('',#542706); #165875=VERTEX_POINT('',#542708); #165876=VERTEX_POINT('',#542712); #165877=VERTEX_POINT('',#542714); #165878=VERTEX_POINT('',#542718); #165879=VERTEX_POINT('',#542720); #165880=VERTEX_POINT('',#542729); #165881=VERTEX_POINT('',#542730); #165882=VERTEX_POINT('',#542732); #165883=VERTEX_POINT('',#542734); #165884=VERTEX_POINT('',#542738); #165885=VERTEX_POINT('',#542740); #165886=VERTEX_POINT('',#542744); #165887=VERTEX_POINT('',#542746); #165888=VERTEX_POINT('',#542755); #165889=VERTEX_POINT('',#542756); #165890=VERTEX_POINT('',#542758); #165891=VERTEX_POINT('',#542760); #165892=VERTEX_POINT('',#542764); #165893=VERTEX_POINT('',#542766); #165894=VERTEX_POINT('',#542770); #165895=VERTEX_POINT('',#542772); #165896=VERTEX_POINT('',#542781); #165897=VERTEX_POINT('',#542782); #165898=VERTEX_POINT('',#542784); #165899=VERTEX_POINT('',#542786); #165900=VERTEX_POINT('',#542790); #165901=VERTEX_POINT('',#542792); #165902=VERTEX_POINT('',#542796); #165903=VERTEX_POINT('',#542798); #165904=VERTEX_POINT('',#542807); #165905=VERTEX_POINT('',#542808); #165906=VERTEX_POINT('',#542810); #165907=VERTEX_POINT('',#542812); #165908=VERTEX_POINT('',#542816); #165909=VERTEX_POINT('',#542818); #165910=VERTEX_POINT('',#542822); #165911=VERTEX_POINT('',#542824); #165912=VERTEX_POINT('',#542833); #165913=VERTEX_POINT('',#542834); #165914=VERTEX_POINT('',#542836); #165915=VERTEX_POINT('',#542838); #165916=VERTEX_POINT('',#542842); #165917=VERTEX_POINT('',#542844); #165918=VERTEX_POINT('',#542848); #165919=VERTEX_POINT('',#542850); #165920=VERTEX_POINT('',#542859); #165921=VERTEX_POINT('',#542860); #165922=VERTEX_POINT('',#542862); #165923=VERTEX_POINT('',#542864); #165924=VERTEX_POINT('',#542868); #165925=VERTEX_POINT('',#542870); #165926=VERTEX_POINT('',#542874); #165927=VERTEX_POINT('',#542876); #165928=VERTEX_POINT('',#542885); #165929=VERTEX_POINT('',#542886); #165930=VERTEX_POINT('',#542888); #165931=VERTEX_POINT('',#542890); #165932=VERTEX_POINT('',#542894); #165933=VERTEX_POINT('',#542896); #165934=VERTEX_POINT('',#542900); #165935=VERTEX_POINT('',#542902); #165936=VERTEX_POINT('',#542911); #165937=VERTEX_POINT('',#542912); #165938=VERTEX_POINT('',#542914); #165939=VERTEX_POINT('',#542916); #165940=VERTEX_POINT('',#542920); #165941=VERTEX_POINT('',#542922); #165942=VERTEX_POINT('',#542926); #165943=VERTEX_POINT('',#542928); #165944=VERTEX_POINT('',#542937); #165945=VERTEX_POINT('',#542938); #165946=VERTEX_POINT('',#542940); #165947=VERTEX_POINT('',#542942); #165948=VERTEX_POINT('',#542946); #165949=VERTEX_POINT('',#542948); #165950=VERTEX_POINT('',#542952); #165951=VERTEX_POINT('',#542954); #165952=VERTEX_POINT('',#542963); #165953=VERTEX_POINT('',#542964); #165954=VERTEX_POINT('',#542966); #165955=VERTEX_POINT('',#542968); #165956=VERTEX_POINT('',#542972); #165957=VERTEX_POINT('',#542974); #165958=VERTEX_POINT('',#542978); #165959=VERTEX_POINT('',#542980); #165960=VERTEX_POINT('',#542989); #165961=VERTEX_POINT('',#542990); #165962=VERTEX_POINT('',#542992); #165963=VERTEX_POINT('',#542994); #165964=VERTEX_POINT('',#542998); #165965=VERTEX_POINT('',#543000); #165966=VERTEX_POINT('',#543004); #165967=VERTEX_POINT('',#543006); #165968=VERTEX_POINT('',#543015); #165969=VERTEX_POINT('',#543016); #165970=VERTEX_POINT('',#543018); #165971=VERTEX_POINT('',#543020); #165972=VERTEX_POINT('',#543024); #165973=VERTEX_POINT('',#543026); #165974=VERTEX_POINT('',#543030); #165975=VERTEX_POINT('',#543032); #165976=VERTEX_POINT('',#543041); #165977=VERTEX_POINT('',#543042); #165978=VERTEX_POINT('',#543044); #165979=VERTEX_POINT('',#543046); #165980=VERTEX_POINT('',#543050); #165981=VERTEX_POINT('',#543052); #165982=VERTEX_POINT('',#543056); #165983=VERTEX_POINT('',#543058); #165984=VERTEX_POINT('',#543067); #165985=VERTEX_POINT('',#543068); #165986=VERTEX_POINT('',#543070); #165987=VERTEX_POINT('',#543072); #165988=VERTEX_POINT('',#543076); #165989=VERTEX_POINT('',#543078); #165990=VERTEX_POINT('',#543082); #165991=VERTEX_POINT('',#543084); #165992=VERTEX_POINT('',#543093); #165993=VERTEX_POINT('',#543094); #165994=VERTEX_POINT('',#543096); #165995=VERTEX_POINT('',#543098); #165996=VERTEX_POINT('',#543102); #165997=VERTEX_POINT('',#543104); #165998=VERTEX_POINT('',#543108); #165999=VERTEX_POINT('',#543110); #166000=VERTEX_POINT('',#543119); #166001=VERTEX_POINT('',#543120); #166002=VERTEX_POINT('',#543122); #166003=VERTEX_POINT('',#543124); #166004=VERTEX_POINT('',#543128); #166005=VERTEX_POINT('',#543130); #166006=VERTEX_POINT('',#543134); #166007=VERTEX_POINT('',#543136); #166008=VERTEX_POINT('',#543146); #166009=VERTEX_POINT('',#543148); #166010=VERTEX_POINT('',#543152); #166011=VERTEX_POINT('',#543153); #166012=VERTEX_POINT('',#543155); #166013=VERTEX_POINT('',#543157); #166014=VERTEX_POINT('',#543161); #166015=VERTEX_POINT('',#543163); #166016=VERTEX_POINT('',#543172); #166017=VERTEX_POINT('',#543173); #166018=VERTEX_POINT('',#543175); #166019=VERTEX_POINT('',#543177); #166020=VERTEX_POINT('',#543181); #166021=VERTEX_POINT('',#543183); #166022=VERTEX_POINT('',#543187); #166023=VERTEX_POINT('',#543189); #166024=VERTEX_POINT('',#543198); #166025=VERTEX_POINT('',#543199); #166026=VERTEX_POINT('',#543201); #166027=VERTEX_POINT('',#543203); #166028=VERTEX_POINT('',#543207); #166029=VERTEX_POINT('',#543209); #166030=VERTEX_POINT('',#543213); #166031=VERTEX_POINT('',#543215); #166032=VERTEX_POINT('',#543224); #166033=VERTEX_POINT('',#543226); #166034=VERTEX_POINT('',#543230); #166035=VERTEX_POINT('',#543232); #166036=VERTEX_POINT('',#543236); #166037=VERTEX_POINT('',#543237); #166038=VERTEX_POINT('',#543239); #166039=VERTEX_POINT('',#543241); #166040=VERTEX_POINT('',#543245); #166041=VERTEX_POINT('',#543247); #166042=VERTEX_POINT('',#543251); #166043=VERTEX_POINT('',#543253); #166044=VERTEX_POINT('',#543262); #166045=VERTEX_POINT('',#543263); #166046=VERTEX_POINT('',#543265); #166047=VERTEX_POINT('',#543267); #166048=VERTEX_POINT('',#543271); #166049=VERTEX_POINT('',#543273); #166050=VERTEX_POINT('',#543277); #166051=VERTEX_POINT('',#543279); #166052=VERTEX_POINT('',#543288); #166053=VERTEX_POINT('',#543290); #166054=VERTEX_POINT('',#543294); #166055=VERTEX_POINT('',#543296); #166056=VERTEX_POINT('',#543300); #166057=VERTEX_POINT('',#543301); #166058=VERTEX_POINT('',#543303); #166059=VERTEX_POINT('',#543305); #166060=VERTEX_POINT('',#543309); #166061=VERTEX_POINT('',#543311); #166062=VERTEX_POINT('',#543315); #166063=VERTEX_POINT('',#543317); #166064=VERTEX_POINT('',#543326); #166065=VERTEX_POINT('',#543327); #166066=VERTEX_POINT('',#543329); #166067=VERTEX_POINT('',#543331); #166068=VERTEX_POINT('',#543335); #166069=VERTEX_POINT('',#543337); #166070=VERTEX_POINT('',#543341); #166071=VERTEX_POINT('',#543343); #166072=VERTEX_POINT('',#543352); #166073=VERTEX_POINT('',#543354); #166074=VERTEX_POINT('',#543358); #166075=VERTEX_POINT('',#543360); #166076=VERTEX_POINT('',#543364); #166077=VERTEX_POINT('',#543365); #166078=VERTEX_POINT('',#543367); #166079=VERTEX_POINT('',#543369); #166080=VERTEX_POINT('',#543373); #166081=VERTEX_POINT('',#543375); #166082=VERTEX_POINT('',#543379); #166083=VERTEX_POINT('',#543381); #166084=VERTEX_POINT('',#543390); #166085=VERTEX_POINT('',#543391); #166086=VERTEX_POINT('',#543393); #166087=VERTEX_POINT('',#543395); #166088=VERTEX_POINT('',#543399); #166089=VERTEX_POINT('',#543401); #166090=VERTEX_POINT('',#543405); #166091=VERTEX_POINT('',#543407); #166092=VERTEX_POINT('',#543416); #166093=VERTEX_POINT('',#543418); #166094=VERTEX_POINT('',#543422); #166095=VERTEX_POINT('',#543424); #166096=VERTEX_POINT('',#543428); #166097=VERTEX_POINT('',#543429); #166098=VERTEX_POINT('',#543431); #166099=VERTEX_POINT('',#543433); #166100=VERTEX_POINT('',#543437); #166101=VERTEX_POINT('',#543439); #166102=VERTEX_POINT('',#543443); #166103=VERTEX_POINT('',#543445); #166104=VERTEX_POINT('',#543454); #166105=VERTEX_POINT('',#543455); #166106=VERTEX_POINT('',#543457); #166107=VERTEX_POINT('',#543459); #166108=VERTEX_POINT('',#543463); #166109=VERTEX_POINT('',#543465); #166110=VERTEX_POINT('',#543469); #166111=VERTEX_POINT('',#543471); #166112=VERTEX_POINT('',#543480); #166113=VERTEX_POINT('',#543481); #166114=VERTEX_POINT('',#543483); #166115=VERTEX_POINT('',#543485); #166116=VERTEX_POINT('',#543489); #166117=VERTEX_POINT('',#543491); #166118=VERTEX_POINT('',#543495); #166119=VERTEX_POINT('',#543497); #166120=VERTEX_POINT('',#543501); #166121=VERTEX_POINT('',#543503); #166122=VERTEX_POINT('',#543507); #166123=VERTEX_POINT('',#543509); #166124=VERTEX_POINT('',#543513); #166125=VERTEX_POINT('',#543515); #166126=VERTEX_POINT('',#543519); #166127=VERTEX_POINT('',#543521); #166128=VERTEX_POINT('',#543525); #166129=VERTEX_POINT('',#543527); #166130=VERTEX_POINT('',#543531); #166131=VERTEX_POINT('',#543533); #166132=VERTEX_POINT('',#543537); #166133=VERTEX_POINT('',#543539); #166134=VERTEX_POINT('',#543543); #166135=VERTEX_POINT('',#543545); #166136=VERTEX_POINT('',#543549); #166137=VERTEX_POINT('',#543551); #166138=VERTEX_POINT('',#543555); #166139=VERTEX_POINT('',#543557); #166140=VERTEX_POINT('',#543561); #166141=VERTEX_POINT('',#543563); #166142=VERTEX_POINT('',#543567); #166143=VERTEX_POINT('',#543569); #166144=VERTEX_POINT('',#543573); #166145=VERTEX_POINT('',#543575); #166146=VERTEX_POINT('',#543579); #166147=VERTEX_POINT('',#543581); #166148=VERTEX_POINT('',#543585); #166149=VERTEX_POINT('',#543587); #166150=VERTEX_POINT('',#543591); #166151=VERTEX_POINT('',#543593); #166152=VERTEX_POINT('',#543597); #166153=VERTEX_POINT('',#543599); #166154=VERTEX_POINT('',#543603); #166155=VERTEX_POINT('',#543605); #166156=VERTEX_POINT('',#543609); #166157=VERTEX_POINT('',#543611); #166158=VERTEX_POINT('',#543615); #166159=VERTEX_POINT('',#543617); #166160=VERTEX_POINT('',#543621); #166161=VERTEX_POINT('',#543623); #166162=VERTEX_POINT('',#543627); #166163=VERTEX_POINT('',#543629); #166164=VERTEX_POINT('',#543633); #166165=VERTEX_POINT('',#543635); #166166=VERTEX_POINT('',#543639); #166167=VERTEX_POINT('',#543641); #166168=VERTEX_POINT('',#543645); #166169=VERTEX_POINT('',#543647); #166170=VERTEX_POINT('',#543651); #166171=VERTEX_POINT('',#543653); #166172=VERTEX_POINT('',#543657); #166173=VERTEX_POINT('',#543659); #166174=VERTEX_POINT('',#543663); #166175=VERTEX_POINT('',#543665); #166176=VERTEX_POINT('',#543669); #166177=VERTEX_POINT('',#543671); #166178=VERTEX_POINT('',#543675); #166179=VERTEX_POINT('',#543677); #166180=VERTEX_POINT('',#543681); #166181=VERTEX_POINT('',#543683); #166182=VERTEX_POINT('',#543687); #166183=VERTEX_POINT('',#543689); #166184=VERTEX_POINT('',#543693); #166185=VERTEX_POINT('',#543695); #166186=VERTEX_POINT('',#543699); #166187=VERTEX_POINT('',#543701); #166188=VERTEX_POINT('',#543705); #166189=VERTEX_POINT('',#543707); #166190=VERTEX_POINT('',#543711); #166191=VERTEX_POINT('',#543713); #166192=VERTEX_POINT('',#543717); #166193=VERTEX_POINT('',#543719); #166194=VERTEX_POINT('',#543723); #166195=VERTEX_POINT('',#543725); #166196=VERTEX_POINT('',#543729); #166197=VERTEX_POINT('',#543731); #166198=VERTEX_POINT('',#543735); #166199=VERTEX_POINT('',#543737); #166200=VERTEX_POINT('',#543741); #166201=VERTEX_POINT('',#543743); #166202=VERTEX_POINT('',#543747); #166203=VERTEX_POINT('',#543749); #166204=VERTEX_POINT('',#543753); #166205=VERTEX_POINT('',#543755); #166206=VERTEX_POINT('',#543759); #166207=VERTEX_POINT('',#543761); #166208=VERTEX_POINT('',#543765); #166209=VERTEX_POINT('',#543767); #166210=VERTEX_POINT('',#543771); #166211=VERTEX_POINT('',#543773); #166212=VERTEX_POINT('',#543777); #166213=VERTEX_POINT('',#543779); #166214=VERTEX_POINT('',#543783); #166215=VERTEX_POINT('',#543785); #166216=VERTEX_POINT('',#543789); #166217=VERTEX_POINT('',#543791); #166218=VERTEX_POINT('',#543795); #166219=VERTEX_POINT('',#543797); #166220=VERTEX_POINT('',#543801); #166221=VERTEX_POINT('',#543803); #166222=VERTEX_POINT('',#543807); #166223=VERTEX_POINT('',#543809); #166224=VERTEX_POINT('',#543813); #166225=VERTEX_POINT('',#543815); #166226=VERTEX_POINT('',#543819); #166227=VERTEX_POINT('',#543821); #166228=VERTEX_POINT('',#543830); #166229=VERTEX_POINT('',#543832); #166230=VERTEX_POINT('',#543836); #166231=VERTEX_POINT('',#543838); #166232=VERTEX_POINT('',#543842); #166233=VERTEX_POINT('',#543843); #166234=VERTEX_POINT('',#543845); #166235=VERTEX_POINT('',#543847); #166236=VERTEX_POINT('',#543851); #166237=VERTEX_POINT('',#543853); #166238=VERTEX_POINT('',#543857); #166239=VERTEX_POINT('',#543859); #166240=VERTEX_POINT('',#543863); #166241=VERTEX_POINT('',#543865); #166242=VERTEX_POINT('',#543869); #166243=VERTEX_POINT('',#543871); #166244=VERTEX_POINT('',#543875); #166245=VERTEX_POINT('',#543877); #166246=VERTEX_POINT('',#543881); #166247=VERTEX_POINT('',#543883); #166248=VERTEX_POINT('',#543892); #166249=VERTEX_POINT('',#543894); #166250=VERTEX_POINT('',#543898); #166251=VERTEX_POINT('',#543899); #166252=VERTEX_POINT('',#543901); #166253=VERTEX_POINT('',#543903); #166254=VERTEX_POINT('',#543907); #166255=VERTEX_POINT('',#543909); #166256=VERTEX_POINT('',#543913); #166257=VERTEX_POINT('',#543915); #166258=VERTEX_POINT('',#543919); #166259=VERTEX_POINT('',#543921); #166260=VERTEX_POINT('',#543925); #166261=VERTEX_POINT('',#543927); #166262=VERTEX_POINT('',#543931); #166263=VERTEX_POINT('',#543933); #166264=VERTEX_POINT('',#543937); #166265=VERTEX_POINT('',#543939); #166266=VERTEX_POINT('',#543943); #166267=VERTEX_POINT('',#543945); #166268=VERTEX_POINT('',#543949); #166269=VERTEX_POINT('',#543951); #166270=VERTEX_POINT('',#543960); #166271=VERTEX_POINT('',#543962); #166272=VERTEX_POINT('',#543966); #166273=VERTEX_POINT('',#543967); #166274=VERTEX_POINT('',#543969); #166275=VERTEX_POINT('',#543971); #166276=VERTEX_POINT('',#543975); #166277=VERTEX_POINT('',#543977); #166278=VERTEX_POINT('',#543981); #166279=VERTEX_POINT('',#543983); #166280=VERTEX_POINT('',#543987); #166281=VERTEX_POINT('',#543989); #166282=VERTEX_POINT('',#543993); #166283=VERTEX_POINT('',#543995); #166284=VERTEX_POINT('',#543999); #166285=VERTEX_POINT('',#544001); #166286=VERTEX_POINT('',#544005); #166287=VERTEX_POINT('',#544007); #166288=VERTEX_POINT('',#544011); #166289=VERTEX_POINT('',#544013); #166290=VERTEX_POINT('',#544017); #166291=VERTEX_POINT('',#544019); #166292=VERTEX_POINT('',#544023); #166293=VERTEX_POINT('',#544025); #166294=VERTEX_POINT('',#544029); #166295=VERTEX_POINT('',#544031); #166296=VERTEX_POINT('',#544035); #166297=VERTEX_POINT('',#544037); #166298=VERTEX_POINT('',#544046); #166299=VERTEX_POINT('',#544048); #166300=VERTEX_POINT('',#544052); #166301=VERTEX_POINT('',#544054); #166302=VERTEX_POINT('',#544058); #166303=VERTEX_POINT('',#544059); #166304=VERTEX_POINT('',#544061); #166305=VERTEX_POINT('',#544063); #166306=VERTEX_POINT('',#544067); #166307=VERTEX_POINT('',#544069); #166308=VERTEX_POINT('',#544073); #166309=VERTEX_POINT('',#544075); #166310=VERTEX_POINT('',#544079); #166311=VERTEX_POINT('',#544081); #166312=VERTEX_POINT('',#544085); #166313=VERTEX_POINT('',#544087); #166314=VERTEX_POINT('',#544091); #166315=VERTEX_POINT('',#544093); #166316=VERTEX_POINT('',#544097); #166317=VERTEX_POINT('',#544099); #166318=VERTEX_POINT('',#544103); #166319=VERTEX_POINT('',#544105); #166320=VERTEX_POINT('',#544109); #166321=VERTEX_POINT('',#544111); #166322=VERTEX_POINT('',#544115); #166323=VERTEX_POINT('',#544117); #166324=VERTEX_POINT('',#544121); #166325=VERTEX_POINT('',#544123); #166326=VERTEX_POINT('',#544127); #166327=VERTEX_POINT('',#544129); #166328=VERTEX_POINT('',#544133); #166329=VERTEX_POINT('',#544135); #166330=VERTEX_POINT('',#544139); #166331=VERTEX_POINT('',#544141); #166332=VERTEX_POINT('',#544145); #166333=VERTEX_POINT('',#544147); #166334=VERTEX_POINT('',#544151); #166335=VERTEX_POINT('',#544153); #166336=VERTEX_POINT('',#544157); #166337=VERTEX_POINT('',#544159); #166338=VERTEX_POINT('',#544163); #166339=VERTEX_POINT('',#544165); #166340=VERTEX_POINT('',#544169); #166341=VERTEX_POINT('',#544171); #166342=VERTEX_POINT('',#544175); #166343=VERTEX_POINT('',#544177); #166344=VERTEX_POINT('',#544181); #166345=VERTEX_POINT('',#544183); #166346=VERTEX_POINT('',#544187); #166347=VERTEX_POINT('',#544189); #166348=VERTEX_POINT('',#544193); #166349=VERTEX_POINT('',#544195); #166350=VERTEX_POINT('',#544199); #166351=VERTEX_POINT('',#544201); #166352=VERTEX_POINT('',#544205); #166353=VERTEX_POINT('',#544207); #166354=VERTEX_POINT('',#544211); #166355=VERTEX_POINT('',#544213); #166356=VERTEX_POINT('',#544217); #166357=VERTEX_POINT('',#544219); #166358=VERTEX_POINT('',#544223); #166359=VERTEX_POINT('',#544225); #166360=VERTEX_POINT('',#544229); #166361=VERTEX_POINT('',#544231); #166362=VERTEX_POINT('',#544235); #166363=VERTEX_POINT('',#544237); #166364=VERTEX_POINT('',#544241); #166365=VERTEX_POINT('',#544243); #166366=VERTEX_POINT('',#544247); #166367=VERTEX_POINT('',#544249); #166368=VERTEX_POINT('',#544253); #166369=VERTEX_POINT('',#544255); #166370=VERTEX_POINT('',#544259); #166371=VERTEX_POINT('',#544261); #166372=VERTEX_POINT('',#544265); #166373=VERTEX_POINT('',#544267); #166374=VERTEX_POINT('',#544271); #166375=VERTEX_POINT('',#544273); #166376=VERTEX_POINT('',#544277); #166377=VERTEX_POINT('',#544279); #166378=VERTEX_POINT('',#544283); #166379=VERTEX_POINT('',#544285); #166380=VERTEX_POINT('',#544289); #166381=VERTEX_POINT('',#544291); #166382=VERTEX_POINT('',#544295); #166383=VERTEX_POINT('',#544297); #166384=VERTEX_POINT('',#544301); #166385=VERTEX_POINT('',#544303); #166386=VERTEX_POINT('',#544307); #166387=VERTEX_POINT('',#544309); #166388=VERTEX_POINT('',#544313); #166389=VERTEX_POINT('',#544315); #166390=VERTEX_POINT('',#544324); #166391=VERTEX_POINT('',#544326); #166392=VERTEX_POINT('',#544330); #166393=VERTEX_POINT('',#544332); #166394=VERTEX_POINT('',#544336); #166395=VERTEX_POINT('',#544337); #166396=VERTEX_POINT('',#544339); #166397=VERTEX_POINT('',#544341); #166398=VERTEX_POINT('',#544345); #166399=VERTEX_POINT('',#544347); #166400=VERTEX_POINT('',#544351); #166401=VERTEX_POINT('',#544353); #166402=VERTEX_POINT('',#544357); #166403=VERTEX_POINT('',#544359); #166404=VERTEX_POINT('',#544363); #166405=VERTEX_POINT('',#544365); #166406=VERTEX_POINT('',#544369); #166407=VERTEX_POINT('',#544371); #166408=VERTEX_POINT('',#544375); #166409=VERTEX_POINT('',#544377); #166410=VERTEX_POINT('',#544381); #166411=VERTEX_POINT('',#544383); #166412=VERTEX_POINT('',#544387); #166413=VERTEX_POINT('',#544389); #166414=VERTEX_POINT('',#544393); #166415=VERTEX_POINT('',#544395); #166416=VERTEX_POINT('',#544404); #166417=VERTEX_POINT('',#544406); #166418=VERTEX_POINT('',#544410); #166419=VERTEX_POINT('',#544411); #166420=VERTEX_POINT('',#544413); #166421=VERTEX_POINT('',#544415); #166422=VERTEX_POINT('',#544419); #166423=VERTEX_POINT('',#544421); #166424=VERTEX_POINT('',#544425); #166425=VERTEX_POINT('',#544427); #166426=VERTEX_POINT('',#544431); #166427=VERTEX_POINT('',#544433); #166428=VERTEX_POINT('',#544437); #166429=VERTEX_POINT('',#544439); #166430=VERTEX_POINT('',#544443); #166431=VERTEX_POINT('',#544445); #166432=VERTEX_POINT('',#544449); #166433=VERTEX_POINT('',#544451); #166434=VERTEX_POINT('',#544455); #166435=VERTEX_POINT('',#544457); #166436=VERTEX_POINT('',#544461); #166437=VERTEX_POINT('',#544463); #166438=VERTEX_POINT('',#544467); #166439=VERTEX_POINT('',#544469); #166440=VERTEX_POINT('',#544473); #166441=VERTEX_POINT('',#544475); #166442=VERTEX_POINT('',#544479); #166443=VERTEX_POINT('',#544481); #166444=VERTEX_POINT('',#544485); #166445=VERTEX_POINT('',#544487); #166446=VERTEX_POINT('',#544491); #166447=VERTEX_POINT('',#544493); #166448=VERTEX_POINT('',#544497); #166449=VERTEX_POINT('',#544499); #166450=VERTEX_POINT('',#544503); #166451=VERTEX_POINT('',#544505); #166452=VERTEX_POINT('',#544509); #166453=VERTEX_POINT('',#544511); #166454=VERTEX_POINT('',#544515); #166455=VERTEX_POINT('',#544517); #166456=VERTEX_POINT('',#544521); #166457=VERTEX_POINT('',#544523); #166458=VERTEX_POINT('',#544527); #166459=VERTEX_POINT('',#544529); #166460=VERTEX_POINT('',#544533); #166461=VERTEX_POINT('',#544535); #166462=VERTEX_POINT('',#544539); #166463=VERTEX_POINT('',#544541); #166464=VERTEX_POINT('',#544545); #166465=VERTEX_POINT('',#544547); #166466=VERTEX_POINT('',#544556); #166467=VERTEX_POINT('',#544558); #166468=VERTEX_POINT('',#544562); #166469=VERTEX_POINT('',#544563); #166470=VERTEX_POINT('',#544565); #166471=VERTEX_POINT('',#544567); #166472=VERTEX_POINT('',#544571); #166473=VERTEX_POINT('',#544573); #166474=VERTEX_POINT('',#544577); #166475=VERTEX_POINT('',#544579); #166476=VERTEX_POINT('',#544583); #166477=VERTEX_POINT('',#544585); #166478=VERTEX_POINT('',#544589); #166479=VERTEX_POINT('',#544591); #166480=VERTEX_POINT('',#544595); #166481=VERTEX_POINT('',#544597); #166482=VERTEX_POINT('',#544601); #166483=VERTEX_POINT('',#544603); #166484=VERTEX_POINT('',#544607); #166485=VERTEX_POINT('',#544609); #166486=VERTEX_POINT('',#544613); #166487=VERTEX_POINT('',#544615); #166488=VERTEX_POINT('',#544619); #166489=VERTEX_POINT('',#544621); #166490=VERTEX_POINT('',#544625); #166491=VERTEX_POINT('',#544627); #166492=VERTEX_POINT('',#544631); #166493=VERTEX_POINT('',#544633); #166494=VERTEX_POINT('',#544637); #166495=VERTEX_POINT('',#544639); #166496=VERTEX_POINT('',#544643); #166497=VERTEX_POINT('',#544645); #166498=VERTEX_POINT('',#544649); #166499=VERTEX_POINT('',#544651); #166500=VERTEX_POINT('',#544655); #166501=VERTEX_POINT('',#544657); #166502=VERTEX_POINT('',#544661); #166503=VERTEX_POINT('',#544663); #166504=VERTEX_POINT('',#544667); #166505=VERTEX_POINT('',#544669); #166506=VERTEX_POINT('',#544673); #166507=VERTEX_POINT('',#544675); #166508=VERTEX_POINT('',#544679); #166509=VERTEX_POINT('',#544681); #166510=VERTEX_POINT('',#544685); #166511=VERTEX_POINT('',#544687); #166512=VERTEX_POINT('',#544691); #166513=VERTEX_POINT('',#544693); #166514=VERTEX_POINT('',#544697); #166515=VERTEX_POINT('',#544699); #166516=VERTEX_POINT('',#544703); #166517=VERTEX_POINT('',#544705); #166518=VERTEX_POINT('',#544709); #166519=VERTEX_POINT('',#544711); #166520=VERTEX_POINT('',#544720); #166521=VERTEX_POINT('',#544722); #166522=VERTEX_POINT('',#544726); #166523=VERTEX_POINT('',#544728); #166524=VERTEX_POINT('',#544732); #166525=VERTEX_POINT('',#544733); #166526=VERTEX_POINT('',#544735); #166527=VERTEX_POINT('',#544737); #166528=VERTEX_POINT('',#544741); #166529=VERTEX_POINT('',#544743); #166530=VERTEX_POINT('',#544747); #166531=VERTEX_POINT('',#544749); #166532=VERTEX_POINT('',#544753); #166533=VERTEX_POINT('',#544755); #166534=VERTEX_POINT('',#544759); #166535=VERTEX_POINT('',#544761); #166536=VERTEX_POINT('',#544765); #166537=VERTEX_POINT('',#544767); #166538=VERTEX_POINT('',#544771); #166539=VERTEX_POINT('',#544773); #166540=VERTEX_POINT('',#544777); #166541=VERTEX_POINT('',#544779); #166542=VERTEX_POINT('',#544783); #166543=VERTEX_POINT('',#544785); #166544=VERTEX_POINT('',#544789); #166545=VERTEX_POINT('',#544791); #166546=VERTEX_POINT('',#544795); #166547=VERTEX_POINT('',#544797); #166548=VERTEX_POINT('',#544801); #166549=VERTEX_POINT('',#544803); #166550=VERTEX_POINT('',#544807); #166551=VERTEX_POINT('',#544809); #166552=VERTEX_POINT('',#544813); #166553=VERTEX_POINT('',#544815); #166554=VERTEX_POINT('',#544819); #166555=VERTEX_POINT('',#544821); #166556=VERTEX_POINT('',#544825); #166557=VERTEX_POINT('',#544827); #166558=VERTEX_POINT('',#544831); #166559=VERTEX_POINT('',#544833); #166560=VERTEX_POINT('',#544837); #166561=VERTEX_POINT('',#544839); #166562=VERTEX_POINT('',#544843); #166563=VERTEX_POINT('',#544845); #166564=VERTEX_POINT('',#544849); #166565=VERTEX_POINT('',#544851); #166566=VERTEX_POINT('',#544855); #166567=VERTEX_POINT('',#544857); #166568=VERTEX_POINT('',#544861); #166569=VERTEX_POINT('',#544863); #166570=VERTEX_POINT('',#544867); #166571=VERTEX_POINT('',#544869); #166572=VERTEX_POINT('',#544873); #166573=VERTEX_POINT('',#544875); #166574=VERTEX_POINT('',#544879); #166575=VERTEX_POINT('',#544881); #166576=VERTEX_POINT('',#544885); #166577=VERTEX_POINT('',#544887); #166578=VERTEX_POINT('',#544891); #166579=VERTEX_POINT('',#544893); #166580=VERTEX_POINT('',#544897); #166581=VERTEX_POINT('',#544899); #166582=VERTEX_POINT('',#544903); #166583=VERTEX_POINT('',#544905); #166584=VERTEX_POINT('',#544909); #166585=VERTEX_POINT('',#544911); #166586=VERTEX_POINT('',#544915); #166587=VERTEX_POINT('',#544917); #166588=VERTEX_POINT('',#544921); #166589=VERTEX_POINT('',#544923); #166590=VERTEX_POINT('',#544927); #166591=VERTEX_POINT('',#544929); #166592=VERTEX_POINT('',#544933); #166593=VERTEX_POINT('',#544935); #166594=VERTEX_POINT('',#544939); #166595=VERTEX_POINT('',#544941); #166596=VERTEX_POINT('',#544945); #166597=VERTEX_POINT('',#544947); #166598=VERTEX_POINT('',#544951); #166599=VERTEX_POINT('',#544953); #166600=VERTEX_POINT('',#544957); #166601=VERTEX_POINT('',#544959); #166602=VERTEX_POINT('',#544963); #166603=VERTEX_POINT('',#544965); #166604=VERTEX_POINT('',#544969); #166605=VERTEX_POINT('',#544971); #166606=VERTEX_POINT('',#544975); #166607=VERTEX_POINT('',#544977); #166608=VERTEX_POINT('',#544981); #166609=VERTEX_POINT('',#544983); #166610=VERTEX_POINT('',#544987); #166611=VERTEX_POINT('',#544989); #166612=VERTEX_POINT('',#544993); #166613=VERTEX_POINT('',#544995); #166614=VERTEX_POINT('',#544999); #166615=VERTEX_POINT('',#545001); #166616=VERTEX_POINT('',#545005); #166617=VERTEX_POINT('',#545007); #166618=VERTEX_POINT('',#545011); #166619=VERTEX_POINT('',#545013); #166620=VERTEX_POINT('',#545017); #166621=VERTEX_POINT('',#545019); #166622=VERTEX_POINT('',#545023); #166623=VERTEX_POINT('',#545025); #166624=VERTEX_POINT('',#545029); #166625=VERTEX_POINT('',#545031); #166626=VERTEX_POINT('',#545035); #166627=VERTEX_POINT('',#545037); #166628=VERTEX_POINT('',#545041); #166629=VERTEX_POINT('',#545043); #166630=VERTEX_POINT('',#545047); #166631=VERTEX_POINT('',#545049); #166632=VERTEX_POINT('',#545053); #166633=VERTEX_POINT('',#545055); #166634=VERTEX_POINT('',#545059); #166635=VERTEX_POINT('',#545061); #166636=VERTEX_POINT('',#545065); #166637=VERTEX_POINT('',#545067); #166638=VERTEX_POINT('',#545071); #166639=VERTEX_POINT('',#545073); #166640=VERTEX_POINT('',#545077); #166641=VERTEX_POINT('',#545079); #166642=VERTEX_POINT('',#545083); #166643=VERTEX_POINT('',#545085); #166644=VERTEX_POINT('',#545089); #166645=VERTEX_POINT('',#545091); #166646=VERTEX_POINT('',#545100); #166647=VERTEX_POINT('',#545102); #166648=VERTEX_POINT('',#545106); #166649=VERTEX_POINT('',#545108); #166650=VERTEX_POINT('',#545112); #166651=VERTEX_POINT('',#545113); #166652=VERTEX_POINT('',#545115); #166653=VERTEX_POINT('',#545117); #166654=VERTEX_POINT('',#545121); #166655=VERTEX_POINT('',#545123); #166656=VERTEX_POINT('',#545127); #166657=VERTEX_POINT('',#545129); #166658=VERTEX_POINT('',#545133); #166659=VERTEX_POINT('',#545135); #166660=VERTEX_POINT('',#545139); #166661=VERTEX_POINT('',#545141); #166662=VERTEX_POINT('',#545145); #166663=VERTEX_POINT('',#545147); #166664=VERTEX_POINT('',#545151); #166665=VERTEX_POINT('',#545153); #166666=VERTEX_POINT('',#545162); #166667=VERTEX_POINT('',#545164); #166668=VERTEX_POINT('',#545168); #166669=VERTEX_POINT('',#545170); #166670=VERTEX_POINT('',#545174); #166671=VERTEX_POINT('',#545175); #166672=VERTEX_POINT('',#545177); #166673=VERTEX_POINT('',#545179); #166674=VERTEX_POINT('',#545183); #166675=VERTEX_POINT('',#545185); #166676=VERTEX_POINT('',#545189); #166677=VERTEX_POINT('',#545191); #166678=VERTEX_POINT('',#545195); #166679=VERTEX_POINT('',#545197); #166680=VERTEX_POINT('',#545201); #166681=VERTEX_POINT('',#545203); #166682=VERTEX_POINT('',#545207); #166683=VERTEX_POINT('',#545209); #166684=VERTEX_POINT('',#545213); #166685=VERTEX_POINT('',#545215); #166686=VERTEX_POINT('',#545224); #166687=VERTEX_POINT('',#545226); #166688=VERTEX_POINT('',#545230); #166689=VERTEX_POINT('',#545232); #166690=VERTEX_POINT('',#545236); #166691=VERTEX_POINT('',#545237); #166692=VERTEX_POINT('',#545239); #166693=VERTEX_POINT('',#545241); #166694=VERTEX_POINT('',#545245); #166695=VERTEX_POINT('',#545247); #166696=VERTEX_POINT('',#545251); #166697=VERTEX_POINT('',#545253); #166698=VERTEX_POINT('',#545257); #166699=VERTEX_POINT('',#545259); #166700=VERTEX_POINT('',#545263); #166701=VERTEX_POINT('',#545265); #166702=VERTEX_POINT('',#545269); #166703=VERTEX_POINT('',#545271); #166704=VERTEX_POINT('',#545275); #166705=VERTEX_POINT('',#545277); #166706=VERTEX_POINT('',#545286); #166707=VERTEX_POINT('',#545288); #166708=VERTEX_POINT('',#545292); #166709=VERTEX_POINT('',#545294); #166710=VERTEX_POINT('',#545298); #166711=VERTEX_POINT('',#545299); #166712=VERTEX_POINT('',#545301); #166713=VERTEX_POINT('',#545303); #166714=VERTEX_POINT('',#545307); #166715=VERTEX_POINT('',#545309); #166716=VERTEX_POINT('',#545313); #166717=VERTEX_POINT('',#545315); #166718=VERTEX_POINT('',#545319); #166719=VERTEX_POINT('',#545321); #166720=VERTEX_POINT('',#545325); #166721=VERTEX_POINT('',#545327); #166722=VERTEX_POINT('',#545331); #166723=VERTEX_POINT('',#545333); #166724=VERTEX_POINT('',#545337); #166725=VERTEX_POINT('',#545339); #166726=VERTEX_POINT('',#545343); #166727=VERTEX_POINT('',#545345); #166728=VERTEX_POINT('',#545349); #166729=VERTEX_POINT('',#545351); #166730=VERTEX_POINT('',#545355); #166731=VERTEX_POINT('',#545357); #166732=VERTEX_POINT('',#545361); #166733=VERTEX_POINT('',#545363); #166734=VERTEX_POINT('',#545367); #166735=VERTEX_POINT('',#545369); #166736=VERTEX_POINT('',#545378); #166737=VERTEX_POINT('',#545380); #166738=VERTEX_POINT('',#545384); #166739=VERTEX_POINT('',#545385); #166740=VERTEX_POINT('',#545387); #166741=VERTEX_POINT('',#545389); #166742=VERTEX_POINT('',#545393); #166743=VERTEX_POINT('',#545395); #166744=VERTEX_POINT('',#545399); #166745=VERTEX_POINT('',#545401); #166746=VERTEX_POINT('',#545410); #166747=VERTEX_POINT('',#545412); #166748=VERTEX_POINT('',#545416); #166749=VERTEX_POINT('',#545417); #166750=VERTEX_POINT('',#545419); #166751=VERTEX_POINT('',#545421); #166752=VERTEX_POINT('',#545425); #166753=VERTEX_POINT('',#545427); #166754=VERTEX_POINT('',#545431); #166755=VERTEX_POINT('',#545433); #166756=VERTEX_POINT('',#545442); #166757=VERTEX_POINT('',#545444); #166758=VERTEX_POINT('',#545448); #166759=VERTEX_POINT('',#545449); #166760=VERTEX_POINT('',#545451); #166761=VERTEX_POINT('',#545453); #166762=VERTEX_POINT('',#545457); #166763=VERTEX_POINT('',#545459); #166764=VERTEX_POINT('',#545463); #166765=VERTEX_POINT('',#545465); #166766=VERTEX_POINT('',#545474); #166767=VERTEX_POINT('',#545476); #166768=VERTEX_POINT('',#545480); #166769=VERTEX_POINT('',#545481); #166770=VERTEX_POINT('',#545483); #166771=VERTEX_POINT('',#545485); #166772=VERTEX_POINT('',#545489); #166773=VERTEX_POINT('',#545491); #166774=VERTEX_POINT('',#545495); #166775=VERTEX_POINT('',#545497); #166776=VERTEX_POINT('',#545501); #166777=VERTEX_POINT('',#545503); #166778=VERTEX_POINT('',#545507); #166779=VERTEX_POINT('',#545509); #166780=VERTEX_POINT('',#545513); #166781=VERTEX_POINT('',#545515); #166782=VERTEX_POINT('',#545519); #166783=VERTEX_POINT('',#545521); #166784=VERTEX_POINT('',#545525); #166785=VERTEX_POINT('',#545527); #166786=VERTEX_POINT('',#545531); #166787=VERTEX_POINT('',#545533); #166788=VERTEX_POINT('',#545542); #166789=VERTEX_POINT('',#545544); #166790=VERTEX_POINT('',#545548); #166791=VERTEX_POINT('',#545550); #166792=VERTEX_POINT('',#545554); #166793=VERTEX_POINT('',#545555); #166794=VERTEX_POINT('',#545557); #166795=VERTEX_POINT('',#545559); #166796=VERTEX_POINT('',#545563); #166797=VERTEX_POINT('',#545565); #166798=VERTEX_POINT('',#545569); #166799=VERTEX_POINT('',#545571); #166800=VERTEX_POINT('',#545575); #166801=VERTEX_POINT('',#545577); #166802=VERTEX_POINT('',#545581); #166803=VERTEX_POINT('',#545583); #166804=VERTEX_POINT('',#545587); #166805=VERTEX_POINT('',#545589); #166806=VERTEX_POINT('',#545593); #166807=VERTEX_POINT('',#545595); #166808=VERTEX_POINT('',#545599); #166809=VERTEX_POINT('',#545601); #166810=VERTEX_POINT('',#545605); #166811=VERTEX_POINT('',#545607); #166812=VERTEX_POINT('',#545611); #166813=VERTEX_POINT('',#545613); #166814=VERTEX_POINT('',#545617); #166815=VERTEX_POINT('',#545619); #166816=VERTEX_POINT('',#545623); #166817=VERTEX_POINT('',#545625); #166818=VERTEX_POINT('',#545629); #166819=VERTEX_POINT('',#545631); #166820=VERTEX_POINT('',#545635); #166821=VERTEX_POINT('',#545637); #166822=VERTEX_POINT('',#545641); #166823=VERTEX_POINT('',#545643); #166824=VERTEX_POINT('',#545647); #166825=VERTEX_POINT('',#545649); #166826=VERTEX_POINT('',#545653); #166827=VERTEX_POINT('',#545655); #166828=VERTEX_POINT('',#545659); #166829=VERTEX_POINT('',#545661); #166830=VERTEX_POINT('',#545665); #166831=VERTEX_POINT('',#545667); #166832=VERTEX_POINT('',#545671); #166833=VERTEX_POINT('',#545673); #166834=VERTEX_POINT('',#545677); #166835=VERTEX_POINT('',#545679); #166836=VERTEX_POINT('',#545683); #166837=VERTEX_POINT('',#545685); #166838=VERTEX_POINT('',#545689); #166839=VERTEX_POINT('',#545691); #166840=VERTEX_POINT('',#545695); #166841=VERTEX_POINT('',#545697); #166842=VERTEX_POINT('',#545701); #166843=VERTEX_POINT('',#545703); #166844=VERTEX_POINT('',#545707); #166845=VERTEX_POINT('',#545709); #166846=VERTEX_POINT('',#545713); #166847=VERTEX_POINT('',#545715); #166848=VERTEX_POINT('',#545719); #166849=VERTEX_POINT('',#545721); #166850=VERTEX_POINT('',#545725); #166851=VERTEX_POINT('',#545727); #166852=VERTEX_POINT('',#545731); #166853=VERTEX_POINT('',#545733); #166854=VERTEX_POINT('',#545737); #166855=VERTEX_POINT('',#545739); #166856=VERTEX_POINT('',#545743); #166857=VERTEX_POINT('',#545745); #166858=VERTEX_POINT('',#545749); #166859=VERTEX_POINT('',#545751); #166860=VERTEX_POINT('',#545755); #166861=VERTEX_POINT('',#545757); #166862=VERTEX_POINT('',#545761); #166863=VERTEX_POINT('',#545763); #166864=VERTEX_POINT('',#545767); #166865=VERTEX_POINT('',#545769); #166866=VERTEX_POINT('',#545773); #166867=VERTEX_POINT('',#545775); #166868=VERTEX_POINT('',#545779); #166869=VERTEX_POINT('',#545781); #166870=VERTEX_POINT('',#545785); #166871=VERTEX_POINT('',#545787); #166872=VERTEX_POINT('',#545791); #166873=VERTEX_POINT('',#545793); #166874=VERTEX_POINT('',#545797); #166875=VERTEX_POINT('',#545799); #166876=VERTEX_POINT('',#545803); #166877=VERTEX_POINT('',#545805); #166878=VERTEX_POINT('',#545809); #166879=VERTEX_POINT('',#545811); #166880=VERTEX_POINT('',#545815); #166881=VERTEX_POINT('',#545817); #166882=VERTEX_POINT('',#545821); #166883=VERTEX_POINT('',#545823); #166884=VERTEX_POINT('',#545827); #166885=VERTEX_POINT('',#545829); #166886=VERTEX_POINT('',#545833); #166887=VERTEX_POINT('',#545835); #166888=VERTEX_POINT('',#545839); #166889=VERTEX_POINT('',#545841); #166890=VERTEX_POINT('',#545845); #166891=VERTEX_POINT('',#545847); #166892=VERTEX_POINT('',#545851); #166893=VERTEX_POINT('',#545853); #166894=VERTEX_POINT('',#545857); #166895=VERTEX_POINT('',#545859); #166896=VERTEX_POINT('',#545863); #166897=VERTEX_POINT('',#545865); #166898=VERTEX_POINT('',#545869); #166899=VERTEX_POINT('',#545871); #166900=VERTEX_POINT('',#545875); #166901=VERTEX_POINT('',#545877); #166902=VERTEX_POINT('',#545881); #166903=VERTEX_POINT('',#545883); #166904=VERTEX_POINT('',#545887); #166905=VERTEX_POINT('',#545889); #166906=VERTEX_POINT('',#545893); #166907=VERTEX_POINT('',#545895); #166908=VERTEX_POINT('',#545899); #166909=VERTEX_POINT('',#545901); #166910=VERTEX_POINT('',#545905); #166911=VERTEX_POINT('',#545907); #166912=VERTEX_POINT('',#545911); #166913=VERTEX_POINT('',#545913); #166914=VERTEX_POINT('',#545922); #166915=VERTEX_POINT('',#545924); #166916=VERTEX_POINT('',#545928); #166917=VERTEX_POINT('',#545930); #166918=VERTEX_POINT('',#545934); #166919=VERTEX_POINT('',#545935); #166920=VERTEX_POINT('',#545937); #166921=VERTEX_POINT('',#545939); #166922=VERTEX_POINT('',#545943); #166923=VERTEX_POINT('',#545945); #166924=VERTEX_POINT('',#545949); #166925=VERTEX_POINT('',#545951); #166926=VERTEX_POINT('',#545955); #166927=VERTEX_POINT('',#545957); #166928=VERTEX_POINT('',#545961); #166929=VERTEX_POINT('',#545963); #166930=VERTEX_POINT('',#545967); #166931=VERTEX_POINT('',#545969); #166932=VERTEX_POINT('',#545978); #166933=VERTEX_POINT('',#545980); #166934=VERTEX_POINT('',#545984); #166935=VERTEX_POINT('',#545985); #166936=VERTEX_POINT('',#545987); #166937=VERTEX_POINT('',#545989); #166938=VERTEX_POINT('',#545993); #166939=VERTEX_POINT('',#545995); #166940=VERTEX_POINT('',#545999); #166941=VERTEX_POINT('',#546001); #166942=VERTEX_POINT('',#546005); #166943=VERTEX_POINT('',#546007); #166944=VERTEX_POINT('',#546011); #166945=VERTEX_POINT('',#546013); #166946=VERTEX_POINT('',#546017); #166947=VERTEX_POINT('',#546019); #166948=VERTEX_POINT('',#546023); #166949=VERTEX_POINT('',#546025); #166950=VERTEX_POINT('',#546029); #166951=VERTEX_POINT('',#546031); #166952=VERTEX_POINT('',#546035); #166953=VERTEX_POINT('',#546037); #166954=VERTEX_POINT('',#546046); #166955=VERTEX_POINT('',#546048); #166956=VERTEX_POINT('',#546052); #166957=VERTEX_POINT('',#546053); #166958=VERTEX_POINT('',#546055); #166959=VERTEX_POINT('',#546057); #166960=VERTEX_POINT('',#546061); #166961=VERTEX_POINT('',#546063); #166962=VERTEX_POINT('',#546067); #166963=VERTEX_POINT('',#546069); #166964=VERTEX_POINT('',#546073); #166965=VERTEX_POINT('',#546075); #166966=VERTEX_POINT('',#546079); #166967=VERTEX_POINT('',#546081); #166968=VERTEX_POINT('',#546085); #166969=VERTEX_POINT('',#546087); #166970=VERTEX_POINT('',#546091); #166971=VERTEX_POINT('',#546093); #166972=VERTEX_POINT('',#546097); #166973=VERTEX_POINT('',#546099); #166974=VERTEX_POINT('',#546103); #166975=VERTEX_POINT('',#546105); #166976=VERTEX_POINT('',#546114); #166977=VERTEX_POINT('',#546116); #166978=VERTEX_POINT('',#546120); #166979=VERTEX_POINT('',#546121); #166980=VERTEX_POINT('',#546123); #166981=VERTEX_POINT('',#546125); #166982=VERTEX_POINT('',#546129); #166983=VERTEX_POINT('',#546131); #166984=VERTEX_POINT('',#546135); #166985=VERTEX_POINT('',#546137); #166986=VERTEX_POINT('',#546141); #166987=VERTEX_POINT('',#546143); #166988=VERTEX_POINT('',#546147); #166989=VERTEX_POINT('',#546149); #166990=VERTEX_POINT('',#546153); #166991=VERTEX_POINT('',#546155); #166992=VERTEX_POINT('',#546159); #166993=VERTEX_POINT('',#546161); #166994=VERTEX_POINT('',#546165); #166995=VERTEX_POINT('',#546167); #166996=VERTEX_POINT('',#546171); #166997=VERTEX_POINT('',#546173); #166998=VERTEX_POINT('',#546177); #166999=VERTEX_POINT('',#546179); #167000=VERTEX_POINT('',#546183); #167001=VERTEX_POINT('',#546185); #167002=VERTEX_POINT('',#546189); #167003=VERTEX_POINT('',#546191); #167004=VERTEX_POINT('',#546195); #167005=VERTEX_POINT('',#546197); #167006=VERTEX_POINT('',#546201); #167007=VERTEX_POINT('',#546203); #167008=VERTEX_POINT('',#546207); #167009=VERTEX_POINT('',#546209); #167010=VERTEX_POINT('',#546213); #167011=VERTEX_POINT('',#546215); #167012=VERTEX_POINT('',#546224); #167013=VERTEX_POINT('',#546226); #167014=VERTEX_POINT('',#546230); #167015=VERTEX_POINT('',#546231); #167016=VERTEX_POINT('',#546233); #167017=VERTEX_POINT('',#546235); #167018=VERTEX_POINT('',#546239); #167019=VERTEX_POINT('',#546241); #167020=VERTEX_POINT('',#546245); #167021=VERTEX_POINT('',#546247); #167022=VERTEX_POINT('',#546251); #167023=VERTEX_POINT('',#546253); #167024=VERTEX_POINT('',#546257); #167025=VERTEX_POINT('',#546259); #167026=VERTEX_POINT('',#546263); #167027=VERTEX_POINT('',#546265); #167028=VERTEX_POINT('',#546269); #167029=VERTEX_POINT('',#546271); #167030=VERTEX_POINT('',#546275); #167031=VERTEX_POINT('',#546277); #167032=VERTEX_POINT('',#546281); #167033=VERTEX_POINT('',#546283); #167034=VERTEX_POINT('',#546287); #167035=VERTEX_POINT('',#546289); #167036=VERTEX_POINT('',#546293); #167037=VERTEX_POINT('',#546295); #167038=VERTEX_POINT('',#546299); #167039=VERTEX_POINT('',#546301); #167040=VERTEX_POINT('',#546305); #167041=VERTEX_POINT('',#546307); #167042=VERTEX_POINT('',#546311); #167043=VERTEX_POINT('',#546313); #167044=VERTEX_POINT('',#546322); #167045=VERTEX_POINT('',#546324); #167046=VERTEX_POINT('',#546328); #167047=VERTEX_POINT('',#546329); #167048=VERTEX_POINT('',#546331); #167049=VERTEX_POINT('',#546333); #167050=VERTEX_POINT('',#546337); #167051=VERTEX_POINT('',#546339); #167052=VERTEX_POINT('',#546348); #167053=VERTEX_POINT('',#546350); #167054=VERTEX_POINT('',#546354); #167055=VERTEX_POINT('',#546355); #167056=VERTEX_POINT('',#546357); #167057=VERTEX_POINT('',#546359); #167058=VERTEX_POINT('',#546363); #167059=VERTEX_POINT('',#546365); #167060=VERTEX_POINT('',#546369); #167061=VERTEX_POINT('',#546371); #167062=VERTEX_POINT('',#546375); #167063=VERTEX_POINT('',#546377); #167064=VERTEX_POINT('',#546381); #167065=VERTEX_POINT('',#546383); #167066=VERTEX_POINT('',#546387); #167067=VERTEX_POINT('',#546389); #167068=VERTEX_POINT('',#546393); #167069=VERTEX_POINT('',#546395); #167070=VERTEX_POINT('',#546399); #167071=VERTEX_POINT('',#546401); #167072=VERTEX_POINT('',#546405); #167073=VERTEX_POINT('',#546407); #167074=VERTEX_POINT('',#546411); #167075=VERTEX_POINT('',#546413); #167076=VERTEX_POINT('',#546417); #167077=VERTEX_POINT('',#546419); #167078=VERTEX_POINT('',#546423); #167079=VERTEX_POINT('',#546425); #167080=VERTEX_POINT('',#546429); #167081=VERTEX_POINT('',#546431); #167082=VERTEX_POINT('',#546435); #167083=VERTEX_POINT('',#546437); #167084=VERTEX_POINT('',#546441); #167085=VERTEX_POINT('',#546443); #167086=VERTEX_POINT('',#546447); #167087=VERTEX_POINT('',#546449); #167088=VERTEX_POINT('',#546453); #167089=VERTEX_POINT('',#546455); #167090=VERTEX_POINT('',#546459); #167091=VERTEX_POINT('',#546461); #167092=VERTEX_POINT('',#546465); #167093=VERTEX_POINT('',#546467); #167094=VERTEX_POINT('',#546471); #167095=VERTEX_POINT('',#546473); #167096=VERTEX_POINT('',#546482); #167097=VERTEX_POINT('',#546484); #167098=VERTEX_POINT('',#546488); #167099=VERTEX_POINT('',#546489); #167100=VERTEX_POINT('',#546491); #167101=VERTEX_POINT('',#546493); #167102=VERTEX_POINT('',#546497); #167103=VERTEX_POINT('',#546499); #167104=VERTEX_POINT('',#546503); #167105=VERTEX_POINT('',#546505); #167106=VERTEX_POINT('',#546509); #167107=VERTEX_POINT('',#546511); #167108=VERTEX_POINT('',#546515); #167109=VERTEX_POINT('',#546517); #167110=VERTEX_POINT('',#546521); #167111=VERTEX_POINT('',#546523); #167112=VERTEX_POINT('',#546527); #167113=VERTEX_POINT('',#546529); #167114=VERTEX_POINT('',#546533); #167115=VERTEX_POINT('',#546535); #167116=VERTEX_POINT('',#546539); #167117=VERTEX_POINT('',#546541); #167118=VERTEX_POINT('',#546545); #167119=VERTEX_POINT('',#546547); #167120=VERTEX_POINT('',#546551); #167121=VERTEX_POINT('',#546553); #167122=VERTEX_POINT('',#546557); #167123=VERTEX_POINT('',#546559); #167124=VERTEX_POINT('',#546563); #167125=VERTEX_POINT('',#546565); #167126=VERTEX_POINT('',#546569); #167127=VERTEX_POINT('',#546571); #167128=VERTEX_POINT('',#546580); #167129=VERTEX_POINT('',#546581); #167130=VERTEX_POINT('',#546583); #167131=VERTEX_POINT('',#546585); #167132=VERTEX_POINT('',#546589); #167133=VERTEX_POINT('',#546591); #167134=VERTEX_POINT('',#546595); #167135=VERTEX_POINT('',#546597); #167136=VERTEX_POINT('',#546601); #167137=VERTEX_POINT('',#546603); #167138=VERTEX_POINT('',#546607); #167139=VERTEX_POINT('',#546609); #167140=VERTEX_POINT('',#546613); #167141=VERTEX_POINT('',#546615); #167142=VERTEX_POINT('',#546619); #167143=VERTEX_POINT('',#546621); #167144=VERTEX_POINT('',#546625); #167145=VERTEX_POINT('',#546627); #167146=VERTEX_POINT('',#546631); #167147=VERTEX_POINT('',#546633); #167148=VERTEX_POINT('',#546637); #167149=VERTEX_POINT('',#546639); #167150=VERTEX_POINT('',#546643); #167151=VERTEX_POINT('',#546645); #167152=VERTEX_POINT('',#546649); #167153=VERTEX_POINT('',#546651); #167154=VERTEX_POINT('',#546655); #167155=VERTEX_POINT('',#546657); #167156=VERTEX_POINT('',#546666); #167157=VERTEX_POINT('',#546667); #167158=VERTEX_POINT('',#546669); #167159=VERTEX_POINT('',#546671); #167160=VERTEX_POINT('',#546675); #167161=VERTEX_POINT('',#546677); #167162=VERTEX_POINT('',#546681); #167163=VERTEX_POINT('',#546683); #167164=VERTEX_POINT('',#546687); #167165=VERTEX_POINT('',#546689); #167166=VERTEX_POINT('',#546693); #167167=VERTEX_POINT('',#546695); #167168=VERTEX_POINT('',#546699); #167169=VERTEX_POINT('',#546701); #167170=VERTEX_POINT('',#546705); #167171=VERTEX_POINT('',#546707); #167172=VERTEX_POINT('',#546711); #167173=VERTEX_POINT('',#546713); #167174=VERTEX_POINT('',#546717); #167175=VERTEX_POINT('',#546719); #167176=VERTEX_POINT('',#546723); #167177=VERTEX_POINT('',#546725); #167178=VERTEX_POINT('',#546729); #167179=VERTEX_POINT('',#546731); #167180=VERTEX_POINT('',#546735); #167181=VERTEX_POINT('',#546737); #167182=VERTEX_POINT('',#546741); #167183=VERTEX_POINT('',#546743); #167184=VERTEX_POINT('',#546752); #167185=VERTEX_POINT('',#546754); #167186=VERTEX_POINT('',#546758); #167187=VERTEX_POINT('',#546759); #167188=VERTEX_POINT('',#546761); #167189=VERTEX_POINT('',#546763); #167190=VERTEX_POINT('',#546767); #167191=VERTEX_POINT('',#546769); #167192=VERTEX_POINT('',#546773); #167193=VERTEX_POINT('',#546775); #167194=VERTEX_POINT('',#546779); #167195=VERTEX_POINT('',#546781); #167196=VERTEX_POINT('',#546785); #167197=VERTEX_POINT('',#546787); #167198=VERTEX_POINT('',#546791); #167199=VERTEX_POINT('',#546793); #167200=VERTEX_POINT('',#546797); #167201=VERTEX_POINT('',#546799); #167202=VERTEX_POINT('',#546803); #167203=VERTEX_POINT('',#546805); #167204=VERTEX_POINT('',#546809); #167205=VERTEX_POINT('',#546811); #167206=VERTEX_POINT('',#546815); #167207=VERTEX_POINT('',#546817); #167208=VERTEX_POINT('',#546821); #167209=VERTEX_POINT('',#546823); #167210=VERTEX_POINT('',#546827); #167211=VERTEX_POINT('',#546829); #167212=VERTEX_POINT('',#546833); #167213=VERTEX_POINT('',#546835); #167214=VERTEX_POINT('',#546839); #167215=VERTEX_POINT('',#546841); #167216=VERTEX_POINT('',#546845); #167217=VERTEX_POINT('',#546847); #167218=VERTEX_POINT('',#546851); #167219=VERTEX_POINT('',#546853); #167220=VERTEX_POINT('',#546857); #167221=VERTEX_POINT('',#546859); #167222=VERTEX_POINT('',#546863); #167223=VERTEX_POINT('',#546865); #167224=VERTEX_POINT('',#546869); #167225=VERTEX_POINT('',#546871); #167226=VERTEX_POINT('',#546875); #167227=VERTEX_POINT('',#546877); #167228=VERTEX_POINT('',#546881); #167229=VERTEX_POINT('',#546883); #167230=VERTEX_POINT('',#546887); #167231=VERTEX_POINT('',#546889); #167232=VERTEX_POINT('',#546893); #167233=VERTEX_POINT('',#546895); #167234=VERTEX_POINT('',#546899); #167235=VERTEX_POINT('',#546901); #167236=VERTEX_POINT('',#546905); #167237=VERTEX_POINT('',#546907); #167238=VERTEX_POINT('',#546911); #167239=VERTEX_POINT('',#546913); #167240=VERTEX_POINT('',#546917); #167241=VERTEX_POINT('',#546919); #167242=VERTEX_POINT('',#546923); #167243=VERTEX_POINT('',#546925); #167244=VERTEX_POINT('',#546929); #167245=VERTEX_POINT('',#546931); #167246=VERTEX_POINT('',#546935); #167247=VERTEX_POINT('',#546937); #167248=VERTEX_POINT('',#546941); #167249=VERTEX_POINT('',#546943); #167250=VERTEX_POINT('',#546947); #167251=VERTEX_POINT('',#546949); #167252=VERTEX_POINT('',#546953); #167253=VERTEX_POINT('',#546955); #167254=VERTEX_POINT('',#546959); #167255=VERTEX_POINT('',#546961); #167256=VERTEX_POINT('',#546965); #167257=VERTEX_POINT('',#546967); #167258=VERTEX_POINT('',#546971); #167259=VERTEX_POINT('',#546973); #167260=VERTEX_POINT('',#546977); #167261=VERTEX_POINT('',#546979); #167262=VERTEX_POINT('',#546983); #167263=VERTEX_POINT('',#546985); #167264=VERTEX_POINT('',#546994); #167265=VERTEX_POINT('',#546996); #167266=VERTEX_POINT('',#547000); #167267=VERTEX_POINT('',#547002); #167268=VERTEX_POINT('',#547006); #167269=VERTEX_POINT('',#547007); #167270=VERTEX_POINT('',#547009); #167271=VERTEX_POINT('',#547011); #167272=VERTEX_POINT('',#547015); #167273=VERTEX_POINT('',#547017); #167274=VERTEX_POINT('',#547021); #167275=VERTEX_POINT('',#547023); #167276=VERTEX_POINT('',#547027); #167277=VERTEX_POINT('',#547029); #167278=VERTEX_POINT('',#547033); #167279=VERTEX_POINT('',#547035); #167280=VERTEX_POINT('',#547039); #167281=VERTEX_POINT('',#547041); #167282=VERTEX_POINT('',#547050); #167283=VERTEX_POINT('',#547052); #167284=VERTEX_POINT('',#547056); #167285=VERTEX_POINT('',#547057); #167286=VERTEX_POINT('',#547059); #167287=VERTEX_POINT('',#547061); #167288=VERTEX_POINT('',#547065); #167289=VERTEX_POINT('',#547067); #167290=VERTEX_POINT('',#547071); #167291=VERTEX_POINT('',#547073); #167292=VERTEX_POINT('',#547082); #167293=VERTEX_POINT('',#547084); #167294=VERTEX_POINT('',#547088); #167295=VERTEX_POINT('',#547090); #167296=VERTEX_POINT('',#547094); #167297=VERTEX_POINT('',#547095); #167298=VERTEX_POINT('',#547097); #167299=VERTEX_POINT('',#547099); #167300=VERTEX_POINT('',#547103); #167301=VERTEX_POINT('',#547105); #167302=VERTEX_POINT('',#547109); #167303=VERTEX_POINT('',#547111); #167304=VERTEX_POINT('',#547115); #167305=VERTEX_POINT('',#547117); #167306=VERTEX_POINT('',#547121); #167307=VERTEX_POINT('',#547123); #167308=VERTEX_POINT('',#547127); #167309=VERTEX_POINT('',#547129); #167310=VERTEX_POINT('',#547133); #167311=VERTEX_POINT('',#547135); #167312=VERTEX_POINT('',#547139); #167313=VERTEX_POINT('',#547141); #167314=VERTEX_POINT('',#547145); #167315=VERTEX_POINT('',#547147); #167316=VERTEX_POINT('',#547151); #167317=VERTEX_POINT('',#547153); #167318=VERTEX_POINT('',#547157); #167319=VERTEX_POINT('',#547159); #167320=VERTEX_POINT('',#547163); #167321=VERTEX_POINT('',#547165); #167322=VERTEX_POINT('',#547169); #167323=VERTEX_POINT('',#547171); #167324=VERTEX_POINT('',#547175); #167325=VERTEX_POINT('',#547177); #167326=VERTEX_POINT('',#547181); #167327=VERTEX_POINT('',#547183); #167328=VERTEX_POINT('',#547187); #167329=VERTEX_POINT('',#547189); #167330=VERTEX_POINT('',#547193); #167331=VERTEX_POINT('',#547195); #167332=VERTEX_POINT('',#547199); #167333=VERTEX_POINT('',#547201); #167334=VERTEX_POINT('',#547205); #167335=VERTEX_POINT('',#547207); #167336=VERTEX_POINT('',#547211); #167337=VERTEX_POINT('',#547213); #167338=VERTEX_POINT('',#547217); #167339=VERTEX_POINT('',#547219); #167340=VERTEX_POINT('',#547223); #167341=VERTEX_POINT('',#547225); #167342=VERTEX_POINT('',#547234); #167343=VERTEX_POINT('',#547236); #167344=VERTEX_POINT('',#547240); #167345=VERTEX_POINT('',#547242); #167346=VERTEX_POINT('',#547246); #167347=VERTEX_POINT('',#547247); #167348=VERTEX_POINT('',#547249); #167349=VERTEX_POINT('',#547251); #167350=VERTEX_POINT('',#547255); #167351=VERTEX_POINT('',#547257); #167352=VERTEX_POINT('',#547261); #167353=VERTEX_POINT('',#547263); #167354=VERTEX_POINT('',#547267); #167355=VERTEX_POINT('',#547269); #167356=VERTEX_POINT('',#547273); #167357=VERTEX_POINT('',#547275); #167358=VERTEX_POINT('',#547279); #167359=VERTEX_POINT('',#547281); #167360=VERTEX_POINT('',#547285); #167361=VERTEX_POINT('',#547287); #167362=VERTEX_POINT('',#547296); #167363=VERTEX_POINT('',#547298); #167364=VERTEX_POINT('',#547302); #167365=VERTEX_POINT('',#547303); #167366=VERTEX_POINT('',#547305); #167367=VERTEX_POINT('',#547307); #167368=VERTEX_POINT('',#547311); #167369=VERTEX_POINT('',#547313); #167370=VERTEX_POINT('',#547317); #167371=VERTEX_POINT('',#547319); #167372=VERTEX_POINT('',#547328); #167373=VERTEX_POINT('',#547330); #167374=VERTEX_POINT('',#547334); #167375=VERTEX_POINT('',#547336); #167376=VERTEX_POINT('',#547340); #167377=VERTEX_POINT('',#547342); #167378=VERTEX_POINT('',#547346); #167379=VERTEX_POINT('',#547348); #167380=VERTEX_POINT('',#547352); #167381=VERTEX_POINT('',#547353); #167382=VERTEX_POINT('',#547355); #167383=VERTEX_POINT('',#547357); #167384=VERTEX_POINT('',#547361); #167385=VERTEX_POINT('',#547363); #167386=VERTEX_POINT('',#547367); #167387=VERTEX_POINT('',#547369); #167388=VERTEX_POINT('',#547373); #167389=VERTEX_POINT('',#547375); #167390=VERTEX_POINT('',#547379); #167391=VERTEX_POINT('',#547381); #167392=VERTEX_POINT('',#547385); #167393=VERTEX_POINT('',#547387); #167394=VERTEX_POINT('',#547391); #167395=VERTEX_POINT('',#547393); #167396=VERTEX_POINT('',#547397); #167397=VERTEX_POINT('',#547399); #167398=VERTEX_POINT('',#547403); #167399=VERTEX_POINT('',#547405); #167400=VERTEX_POINT('',#547409); #167401=VERTEX_POINT('',#547411); #167402=VERTEX_POINT('',#547415); #167403=VERTEX_POINT('',#547417); #167404=VERTEX_POINT('',#547421); #167405=VERTEX_POINT('',#547423); #167406=VERTEX_POINT('',#547427); #167407=VERTEX_POINT('',#547429); #167408=VERTEX_POINT('',#547433); #167409=VERTEX_POINT('',#547435); #167410=VERTEX_POINT('',#547439); #167411=VERTEX_POINT('',#547441); #167412=VERTEX_POINT('',#547448); #167413=VERTEX_POINT('',#547449); #167414=VERTEX_POINT('',#547451); #167415=VERTEX_POINT('',#547453); #167416=VERTEX_POINT('',#547457); #167417=VERTEX_POINT('',#547459); #167418=VERTEX_POINT('',#547463); #167419=VERTEX_POINT('',#547465); #167420=VERTEX_POINT('',#547469); #167421=VERTEX_POINT('',#547471); #167422=VERTEX_POINT('',#547475); #167423=VERTEX_POINT('',#547477); #167424=VERTEX_POINT('',#547481); #167425=VERTEX_POINT('',#547483); #167426=VERTEX_POINT('',#547487); #167427=VERTEX_POINT('',#547489); #167428=VERTEX_POINT('',#547493); #167429=VERTEX_POINT('',#547495); #167430=VERTEX_POINT('',#547499); #167431=VERTEX_POINT('',#547501); #167432=VERTEX_POINT('',#547505); #167433=VERTEX_POINT('',#547507); #167434=VERTEX_POINT('',#547511); #167435=VERTEX_POINT('',#547513); #167436=VERTEX_POINT('',#547517); #167437=VERTEX_POINT('',#547519); #167438=VERTEX_POINT('',#547523); #167439=VERTEX_POINT('',#547525); #167440=VERTEX_POINT('',#547529); #167441=VERTEX_POINT('',#547531); #167442=VERTEX_POINT('',#547535); #167443=VERTEX_POINT('',#547537); #167444=VERTEX_POINT('',#547541); #167445=VERTEX_POINT('',#547543); #167446=VERTEX_POINT('',#547547); #167447=VERTEX_POINT('',#547549); #167448=VERTEX_POINT('',#547553); #167449=VERTEX_POINT('',#547555); #167450=VERTEX_POINT('',#547559); #167451=VERTEX_POINT('',#547561); #167452=VERTEX_POINT('',#547565); #167453=VERTEX_POINT('',#547567); #167454=VERTEX_POINT('',#547571); #167455=VERTEX_POINT('',#547573); #167456=VERTEX_POINT('',#547577); #167457=VERTEX_POINT('',#547579); #167458=VERTEX_POINT('',#547583); #167459=VERTEX_POINT('',#547585); #167460=VERTEX_POINT('',#547589); #167461=VERTEX_POINT('',#547591); #167462=VERTEX_POINT('',#547595); #167463=VERTEX_POINT('',#547597); #167464=VERTEX_POINT('',#547601); #167465=VERTEX_POINT('',#547603); #167466=VERTEX_POINT('',#547607); #167467=VERTEX_POINT('',#547609); #167468=VERTEX_POINT('',#547613); #167469=VERTEX_POINT('',#547615); #167470=VERTEX_POINT('',#547619); #167471=VERTEX_POINT('',#547621); #167472=VERTEX_POINT('',#547625); #167473=VERTEX_POINT('',#547627); #167474=VERTEX_POINT('',#547631); #167475=VERTEX_POINT('',#547633); #167476=VERTEX_POINT('',#547637); #167477=VERTEX_POINT('',#547639); #167478=VERTEX_POINT('',#547643); #167479=VERTEX_POINT('',#547645); #167480=VERTEX_POINT('',#547649); #167481=VERTEX_POINT('',#547651); #167482=VERTEX_POINT('',#547655); #167483=VERTEX_POINT('',#547657); #167484=VERTEX_POINT('',#547661); #167485=VERTEX_POINT('',#547663); #167486=VERTEX_POINT('',#547667); #167487=VERTEX_POINT('',#547669); #167488=VERTEX_POINT('',#547673); #167489=VERTEX_POINT('',#547675); #167490=VERTEX_POINT('',#547679); #167491=VERTEX_POINT('',#547681); #167492=VERTEX_POINT('',#547685); #167493=VERTEX_POINT('',#547687); #167494=VERTEX_POINT('',#547691); #167495=VERTEX_POINT('',#547693); #167496=VERTEX_POINT('',#547697); #167497=VERTEX_POINT('',#547699); #167498=VERTEX_POINT('',#547703); #167499=VERTEX_POINT('',#547705); #167500=VERTEX_POINT('',#547709); #167501=VERTEX_POINT('',#547711); #167502=VERTEX_POINT('',#547715); #167503=VERTEX_POINT('',#547717); #167504=VERTEX_POINT('',#547721); #167505=VERTEX_POINT('',#547723); #167506=VERTEX_POINT('',#547727); #167507=VERTEX_POINT('',#547729); #167508=VERTEX_POINT('',#547733); #167509=VERTEX_POINT('',#547735); #167510=VERTEX_POINT('',#547739); #167511=VERTEX_POINT('',#547741); #167512=VERTEX_POINT('',#547745); #167513=VERTEX_POINT('',#547747); #167514=VERTEX_POINT('',#547751); #167515=VERTEX_POINT('',#547753); #167516=VERTEX_POINT('',#547757); #167517=VERTEX_POINT('',#547759); #167518=VERTEX_POINT('',#547763); #167519=VERTEX_POINT('',#547765); #167520=VERTEX_POINT('',#547769); #167521=VERTEX_POINT('',#547771); #167522=VERTEX_POINT('',#547775); #167523=VERTEX_POINT('',#547777); #167524=VERTEX_POINT('',#547781); #167525=VERTEX_POINT('',#547783); #167526=VERTEX_POINT('',#547787); #167527=VERTEX_POINT('',#547789); #167528=VERTEX_POINT('',#547793); #167529=VERTEX_POINT('',#547795); #167530=VERTEX_POINT('',#547799); #167531=VERTEX_POINT('',#547801); #167532=VERTEX_POINT('',#547805); #167533=VERTEX_POINT('',#547807); #167534=VERTEX_POINT('',#547811); #167535=VERTEX_POINT('',#547813); #167536=VERTEX_POINT('',#547817); #167537=VERTEX_POINT('',#547819); #167538=VERTEX_POINT('',#547823); #167539=VERTEX_POINT('',#547825); #167540=VERTEX_POINT('',#547829); #167541=VERTEX_POINT('',#547831); #167542=VERTEX_POINT('',#547835); #167543=VERTEX_POINT('',#547837); #167544=VERTEX_POINT('',#547841); #167545=VERTEX_POINT('',#547843); #167546=VERTEX_POINT('',#547847); #167547=VERTEX_POINT('',#547849); #167548=VERTEX_POINT('',#547853); #167549=VERTEX_POINT('',#547855); #167550=VERTEX_POINT('',#547859); #167551=VERTEX_POINT('',#547861); #167552=VERTEX_POINT('',#547865); #167553=VERTEX_POINT('',#547867); #167554=VERTEX_POINT('',#547871); #167555=VERTEX_POINT('',#547873); #167556=VERTEX_POINT('',#547877); #167557=VERTEX_POINT('',#547879); #167558=VERTEX_POINT('',#547883); #167559=VERTEX_POINT('',#547885); #167560=VERTEX_POINT('',#547894); #167561=VERTEX_POINT('',#547896); #167562=VERTEX_POINT('',#547900); #167563=VERTEX_POINT('',#547901); #167564=VERTEX_POINT('',#547903); #167565=VERTEX_POINT('',#547905); #167566=VERTEX_POINT('',#547909); #167567=VERTEX_POINT('',#547911); #167568=VERTEX_POINT('',#547915); #167569=VERTEX_POINT('',#547917); #167570=VERTEX_POINT('',#547921); #167571=VERTEX_POINT('',#547923); #167572=VERTEX_POINT('',#547927); #167573=VERTEX_POINT('',#547929); #167574=VERTEX_POINT('',#547933); #167575=VERTEX_POINT('',#547935); #167576=VERTEX_POINT('',#547939); #167577=VERTEX_POINT('',#547941); #167578=VERTEX_POINT('',#547945); #167579=VERTEX_POINT('',#547947); #167580=VERTEX_POINT('',#547951); #167581=VERTEX_POINT('',#547953); #167582=VERTEX_POINT('',#547957); #167583=VERTEX_POINT('',#547959); #167584=VERTEX_POINT('',#547963); #167585=VERTEX_POINT('',#547965); #167586=VERTEX_POINT('',#547969); #167587=VERTEX_POINT('',#547971); #167588=VERTEX_POINT('',#547975); #167589=VERTEX_POINT('',#547977); #167590=VERTEX_POINT('',#547981); #167591=VERTEX_POINT('',#547983); #167592=VERTEX_POINT('',#547987); #167593=VERTEX_POINT('',#547989); #167594=VERTEX_POINT('',#547993); #167595=VERTEX_POINT('',#547995); #167596=VERTEX_POINT('',#547999); #167597=VERTEX_POINT('',#548001); #167598=VERTEX_POINT('',#548005); #167599=VERTEX_POINT('',#548007); #167600=VERTEX_POINT('',#548011); #167601=VERTEX_POINT('',#548013); #167602=VERTEX_POINT('',#548017); #167603=VERTEX_POINT('',#548019); #167604=VERTEX_POINT('',#548023); #167605=VERTEX_POINT('',#548025); #167606=VERTEX_POINT('',#548029); #167607=VERTEX_POINT('',#548031); #167608=VERTEX_POINT('',#548035); #167609=VERTEX_POINT('',#548037); #167610=VERTEX_POINT('',#548041); #167611=VERTEX_POINT('',#548043); #167612=VERTEX_POINT('',#548047); #167613=VERTEX_POINT('',#548049); #167614=VERTEX_POINT('',#548053); #167615=VERTEX_POINT('',#548055); #167616=VERTEX_POINT('',#548059); #167617=VERTEX_POINT('',#548061); #167618=VERTEX_POINT('',#548065); #167619=VERTEX_POINT('',#548067); #167620=VERTEX_POINT('',#548071); #167621=VERTEX_POINT('',#548073); #167622=VERTEX_POINT('',#548077); #167623=VERTEX_POINT('',#548079); #167624=VERTEX_POINT('',#548083); #167625=VERTEX_POINT('',#548085); #167626=VERTEX_POINT('',#548089); #167627=VERTEX_POINT('',#548091); #167628=VERTEX_POINT('',#548095); #167629=VERTEX_POINT('',#548097); #167630=VERTEX_POINT('',#548101); #167631=VERTEX_POINT('',#548103); #167632=VERTEX_POINT('',#548107); #167633=VERTEX_POINT('',#548109); #167634=VERTEX_POINT('',#548113); #167635=VERTEX_POINT('',#548115); #167636=VERTEX_POINT('',#548119); #167637=VERTEX_POINT('',#548121); #167638=VERTEX_POINT('',#548125); #167639=VERTEX_POINT('',#548127); #167640=VERTEX_POINT('',#548131); #167641=VERTEX_POINT('',#548133); #167642=VERTEX_POINT('',#548137); #167643=VERTEX_POINT('',#548139); #167644=VERTEX_POINT('',#548143); #167645=VERTEX_POINT('',#548145); #167646=VERTEX_POINT('',#548149); #167647=VERTEX_POINT('',#548151); #167648=VERTEX_POINT('',#548155); #167649=VERTEX_POINT('',#548157); #167650=VERTEX_POINT('',#548161); #167651=VERTEX_POINT('',#548163); #167652=VERTEX_POINT('',#548167); #167653=VERTEX_POINT('',#548169); #167654=VERTEX_POINT('',#548173); #167655=VERTEX_POINT('',#548175); #167656=VERTEX_POINT('',#548179); #167657=VERTEX_POINT('',#548181); #167658=VERTEX_POINT('',#548190); #167659=VERTEX_POINT('',#548192); #167660=VERTEX_POINT('',#548196); #167661=VERTEX_POINT('',#548198); #167662=VERTEX_POINT('',#548202); #167663=VERTEX_POINT('',#548204); #167664=VERTEX_POINT('',#548208); #167665=VERTEX_POINT('',#548210); #167666=VERTEX_POINT('',#548214); #167667=VERTEX_POINT('',#548216); #167668=VERTEX_POINT('',#548220); #167669=VERTEX_POINT('',#548222); #167670=VERTEX_POINT('',#548226); #167671=VERTEX_POINT('',#548228); #167672=VERTEX_POINT('',#548232); #167673=VERTEX_POINT('',#548234); #167674=VERTEX_POINT('',#548238); #167675=VERTEX_POINT('',#548240); #167676=VERTEX_POINT('',#548244); #167677=VERTEX_POINT('',#548246); #167678=VERTEX_POINT('',#548250); #167679=VERTEX_POINT('',#548252); #167680=VERTEX_POINT('',#548256); #167681=VERTEX_POINT('',#548258); #167682=VERTEX_POINT('',#548262); #167683=VERTEX_POINT('',#548263); #167684=VERTEX_POINT('',#548265); #167685=VERTEX_POINT('',#548267); #167686=VERTEX_POINT('',#548271); #167687=VERTEX_POINT('',#548273); #167688=VERTEX_POINT('',#548277); #167689=VERTEX_POINT('',#548279); #167690=VERTEX_POINT('',#548283); #167691=VERTEX_POINT('',#548285); #167692=VERTEX_POINT('',#548289); #167693=VERTEX_POINT('',#548291); #167694=VERTEX_POINT('',#548295); #167695=VERTEX_POINT('',#548297); #167696=VERTEX_POINT('',#548301); #167697=VERTEX_POINT('',#548303); #167698=VERTEX_POINT('',#548307); #167699=VERTEX_POINT('',#548309); #167700=VERTEX_POINT('',#548313); #167701=VERTEX_POINT('',#548315); #167702=VERTEX_POINT('',#548319); #167703=VERTEX_POINT('',#548321); #167704=VERTEX_POINT('',#548325); #167705=VERTEX_POINT('',#548327); #167706=VERTEX_POINT('',#548331); #167707=VERTEX_POINT('',#548333); #167708=VERTEX_POINT('',#548337); #167709=VERTEX_POINT('',#548339); #167710=VERTEX_POINT('',#548343); #167711=VERTEX_POINT('',#548345); #167712=VERTEX_POINT('',#548349); #167713=VERTEX_POINT('',#548351); #167714=VERTEX_POINT('',#548355); #167715=VERTEX_POINT('',#548357); #167716=VERTEX_POINT('',#548361); #167717=VERTEX_POINT('',#548363); #167718=VERTEX_POINT('',#548367); #167719=VERTEX_POINT('',#548369); #167720=VERTEX_POINT('',#548373); #167721=VERTEX_POINT('',#548375); #167722=VERTEX_POINT('',#548379); #167723=VERTEX_POINT('',#548381); #167724=VERTEX_POINT('',#548385); #167725=VERTEX_POINT('',#548387); #167726=VERTEX_POINT('',#548391); #167727=VERTEX_POINT('',#548393); #167728=VERTEX_POINT('',#548397); #167729=VERTEX_POINT('',#548399); #167730=VERTEX_POINT('',#548403); #167731=VERTEX_POINT('',#548405); #167732=VERTEX_POINT('',#548409); #167733=VERTEX_POINT('',#548411); #167734=VERTEX_POINT('',#548415); #167735=VERTEX_POINT('',#548417); #167736=VERTEX_POINT('',#548421); #167737=VERTEX_POINT('',#548423); #167738=VERTEX_POINT('',#548427); #167739=VERTEX_POINT('',#548429); #167740=VERTEX_POINT('',#548433); #167741=VERTEX_POINT('',#548435); #167742=VERTEX_POINT('',#548439); #167743=VERTEX_POINT('',#548441); #167744=VERTEX_POINT('',#548445); #167745=VERTEX_POINT('',#548447); #167746=VERTEX_POINT('',#548451); #167747=VERTEX_POINT('',#548453); #167748=VERTEX_POINT('',#548457); #167749=VERTEX_POINT('',#548459); #167750=VERTEX_POINT('',#548463); #167751=VERTEX_POINT('',#548465); #167752=VERTEX_POINT('',#548469); #167753=VERTEX_POINT('',#548471); #167754=VERTEX_POINT('',#548475); #167755=VERTEX_POINT('',#548477); #167756=VERTEX_POINT('',#548481); #167757=VERTEX_POINT('',#548483); #167758=VERTEX_POINT('',#548487); #167759=VERTEX_POINT('',#548489); #167760=VERTEX_POINT('',#548493); #167761=VERTEX_POINT('',#548495); #167762=VERTEX_POINT('',#548499); #167763=VERTEX_POINT('',#548501); #167764=VERTEX_POINT('',#548505); #167765=VERTEX_POINT('',#548507); #167766=VERTEX_POINT('',#548511); #167767=VERTEX_POINT('',#548513); #167768=VERTEX_POINT('',#548517); #167769=VERTEX_POINT('',#548519); #167770=VERTEX_POINT('',#548523); #167771=VERTEX_POINT('',#548525); #167772=VERTEX_POINT('',#548529); #167773=VERTEX_POINT('',#548531); #167774=VERTEX_POINT('',#548535); #167775=VERTEX_POINT('',#548537); #167776=VERTEX_POINT('',#548541); #167777=VERTEX_POINT('',#548543); #167778=VERTEX_POINT('',#548547); #167779=VERTEX_POINT('',#548549); #167780=VERTEX_POINT('',#548553); #167781=VERTEX_POINT('',#548555); #167782=VERTEX_POINT('',#548559); #167783=VERTEX_POINT('',#548561); #167784=VERTEX_POINT('',#548565); #167785=VERTEX_POINT('',#548567); #167786=VERTEX_POINT('',#548571); #167787=VERTEX_POINT('',#548573); #167788=VERTEX_POINT('',#548577); #167789=VERTEX_POINT('',#548579); #167790=VERTEX_POINT('',#548583); #167791=VERTEX_POINT('',#548585); #167792=VERTEX_POINT('',#548589); #167793=VERTEX_POINT('',#548591); #167794=VERTEX_POINT('',#548595); #167795=VERTEX_POINT('',#548597); #167796=VERTEX_POINT('',#548601); #167797=VERTEX_POINT('',#548603); #167798=VERTEX_POINT('',#548607); #167799=VERTEX_POINT('',#548609); #167800=VERTEX_POINT('',#548613); #167801=VERTEX_POINT('',#548615); #167802=VERTEX_POINT('',#548619); #167803=VERTEX_POINT('',#548621); #167804=VERTEX_POINT('',#548625); #167805=VERTEX_POINT('',#548627); #167806=VERTEX_POINT('',#548631); #167807=VERTEX_POINT('',#548633); #167808=VERTEX_POINT('',#548637); #167809=VERTEX_POINT('',#548639); #167810=VERTEX_POINT('',#548643); #167811=VERTEX_POINT('',#548645); #167812=VERTEX_POINT('',#548649); #167813=VERTEX_POINT('',#548651); #167814=VERTEX_POINT('',#548655); #167815=VERTEX_POINT('',#548657); #167816=VERTEX_POINT('',#548661); #167817=VERTEX_POINT('',#548663); #167818=VERTEX_POINT('',#548667); #167819=VERTEX_POINT('',#548669); #167820=VERTEX_POINT('',#548673); #167821=VERTEX_POINT('',#548675); #167822=VERTEX_POINT('',#548679); #167823=VERTEX_POINT('',#548681); #167824=VERTEX_POINT('',#548685); #167825=VERTEX_POINT('',#548687); #167826=VERTEX_POINT('',#548691); #167827=VERTEX_POINT('',#548693); #167828=VERTEX_POINT('',#548697); #167829=VERTEX_POINT('',#548699); #167830=VERTEX_POINT('',#548703); #167831=VERTEX_POINT('',#548705); #167832=VERTEX_POINT('',#548709); #167833=VERTEX_POINT('',#548711); #167834=VERTEX_POINT('',#548715); #167835=VERTEX_POINT('',#548717); #167836=VERTEX_POINT('',#548721); #167837=VERTEX_POINT('',#548723); #167838=VERTEX_POINT('',#548727); #167839=VERTEX_POINT('',#548729); #167840=VERTEX_POINT('',#548733); #167841=VERTEX_POINT('',#548735); #167842=VERTEX_POINT('',#548739); #167843=VERTEX_POINT('',#548741); #167844=VERTEX_POINT('',#548745); #167845=VERTEX_POINT('',#548747); #167846=VERTEX_POINT('',#548751); #167847=VERTEX_POINT('',#548753); #167848=VERTEX_POINT('',#548757); #167849=VERTEX_POINT('',#548759); #167850=VERTEX_POINT('',#548763); #167851=VERTEX_POINT('',#548765); #167852=VERTEX_POINT('',#548769); #167853=VERTEX_POINT('',#548771); #167854=VERTEX_POINT('',#548775); #167855=VERTEX_POINT('',#548777); #167856=VERTEX_POINT('',#548781); #167857=VERTEX_POINT('',#548783); #167858=VERTEX_POINT('',#548787); #167859=VERTEX_POINT('',#548789); #167860=VERTEX_POINT('',#548793); #167861=VERTEX_POINT('',#548795); #167862=VERTEX_POINT('',#548799); #167863=VERTEX_POINT('',#548801); #167864=VERTEX_POINT('',#548805); #167865=VERTEX_POINT('',#548807); #167866=VERTEX_POINT('',#548811); #167867=VERTEX_POINT('',#548813); #167868=VERTEX_POINT('',#548817); #167869=VERTEX_POINT('',#548819); #167870=VERTEX_POINT('',#548823); #167871=VERTEX_POINT('',#548825); #167872=VERTEX_POINT('',#548829); #167873=VERTEX_POINT('',#548831); #167874=VERTEX_POINT('',#548835); #167875=VERTEX_POINT('',#548837); #167876=VERTEX_POINT('',#548846); #167877=VERTEX_POINT('',#548847); #167878=VERTEX_POINT('',#548849); #167879=VERTEX_POINT('',#548851); #167880=VERTEX_POINT('',#548855); #167881=VERTEX_POINT('',#548857); #167882=VERTEX_POINT('',#548861); #167883=VERTEX_POINT('',#548863); #167884=VERTEX_POINT('',#548867); #167885=VERTEX_POINT('',#548869); #167886=VERTEX_POINT('',#548873); #167887=VERTEX_POINT('',#548875); #167888=VERTEX_POINT('',#548879); #167889=VERTEX_POINT('',#548881); #167890=VERTEX_POINT('',#548885); #167891=VERTEX_POINT('',#548887); #167892=VERTEX_POINT('',#548891); #167893=VERTEX_POINT('',#548893); #167894=VERTEX_POINT('',#548897); #167895=VERTEX_POINT('',#548899); #167896=VERTEX_POINT('',#548903); #167897=VERTEX_POINT('',#548905); #167898=VERTEX_POINT('',#548909); #167899=VERTEX_POINT('',#548911); #167900=VERTEX_POINT('',#548915); #167901=VERTEX_POINT('',#548917); #167902=VERTEX_POINT('',#548921); #167903=VERTEX_POINT('',#548923); #167904=VERTEX_POINT('',#548927); #167905=VERTEX_POINT('',#548929); #167906=VERTEX_POINT('',#548933); #167907=VERTEX_POINT('',#548935); #167908=VERTEX_POINT('',#548939); #167909=VERTEX_POINT('',#548941); #167910=VERTEX_POINT('',#548945); #167911=VERTEX_POINT('',#548947); #167912=VERTEX_POINT('',#548951); #167913=VERTEX_POINT('',#548953); #167914=VERTEX_POINT('',#548957); #167915=VERTEX_POINT('',#548959); #167916=VERTEX_POINT('',#548963); #167917=VERTEX_POINT('',#548965); #167918=VERTEX_POINT('',#548974); #167919=VERTEX_POINT('',#548975); #167920=VERTEX_POINT('',#548977); #167921=VERTEX_POINT('',#548979); #167922=VERTEX_POINT('',#548983); #167923=VERTEX_POINT('',#548985); #167924=VERTEX_POINT('',#548989); #167925=VERTEX_POINT('',#548991); #167926=VERTEX_POINT('',#548995); #167927=VERTEX_POINT('',#548997); #167928=VERTEX_POINT('',#549001); #167929=VERTEX_POINT('',#549003); #167930=VERTEX_POINT('',#549007); #167931=VERTEX_POINT('',#549009); #167932=VERTEX_POINT('',#549013); #167933=VERTEX_POINT('',#549015); #167934=VERTEX_POINT('',#549019); #167935=VERTEX_POINT('',#549021); #167936=VERTEX_POINT('',#549025); #167937=VERTEX_POINT('',#549027); #167938=VERTEX_POINT('',#549031); #167939=VERTEX_POINT('',#549033); #167940=VERTEX_POINT('',#549037); #167941=VERTEX_POINT('',#549039); #167942=VERTEX_POINT('',#549043); #167943=VERTEX_POINT('',#549045); #167944=VERTEX_POINT('',#549049); #167945=VERTEX_POINT('',#549051); #167946=VERTEX_POINT('',#549055); #167947=VERTEX_POINT('',#549057); #167948=VERTEX_POINT('',#549061); #167949=VERTEX_POINT('',#549063); #167950=VERTEX_POINT('',#549067); #167951=VERTEX_POINT('',#549069); #167952=VERTEX_POINT('',#549073); #167953=VERTEX_POINT('',#549075); #167954=VERTEX_POINT('',#549079); #167955=VERTEX_POINT('',#549081); #167956=VERTEX_POINT('',#549085); #167957=VERTEX_POINT('',#549087); #167958=VERTEX_POINT('',#549096); #167959=VERTEX_POINT('',#549097); #167960=VERTEX_POINT('',#549099); #167961=VERTEX_POINT('',#549101); #167962=VERTEX_POINT('',#549105); #167963=VERTEX_POINT('',#549107); #167964=VERTEX_POINT('',#549111); #167965=VERTEX_POINT('',#549113); #167966=VERTEX_POINT('',#549117); #167967=VERTEX_POINT('',#549119); #167968=VERTEX_POINT('',#549123); #167969=VERTEX_POINT('',#549125); #167970=VERTEX_POINT('',#549129); #167971=VERTEX_POINT('',#549131); #167972=VERTEX_POINT('',#549135); #167973=VERTEX_POINT('',#549137); #167974=VERTEX_POINT('',#549141); #167975=VERTEX_POINT('',#549143); #167976=VERTEX_POINT('',#549147); #167977=VERTEX_POINT('',#549149); #167978=VERTEX_POINT('',#549153); #167979=VERTEX_POINT('',#549155); #167980=VERTEX_POINT('',#549159); #167981=VERTEX_POINT('',#549161); #167982=VERTEX_POINT('',#549165); #167983=VERTEX_POINT('',#549167); #167984=VERTEX_POINT('',#549171); #167985=VERTEX_POINT('',#549173); #167986=VERTEX_POINT('',#549177); #167987=VERTEX_POINT('',#549179); #167988=VERTEX_POINT('',#549183); #167989=VERTEX_POINT('',#549185); #167990=VERTEX_POINT('',#549189); #167991=VERTEX_POINT('',#549191); #167992=VERTEX_POINT('',#549195); #167993=VERTEX_POINT('',#549197); #167994=VERTEX_POINT('',#549201); #167995=VERTEX_POINT('',#549203); #167996=VERTEX_POINT('',#549207); #167997=VERTEX_POINT('',#549209); #167998=VERTEX_POINT('',#549213); #167999=VERTEX_POINT('',#549215); #168000=VERTEX_POINT('',#549219); #168001=VERTEX_POINT('',#549221); #168002=VERTEX_POINT('',#549225); #168003=VERTEX_POINT('',#549227); #168004=VERTEX_POINT('',#549231); #168005=VERTEX_POINT('',#549233); #168006=VERTEX_POINT('',#549242); #168007=VERTEX_POINT('',#549243); #168008=VERTEX_POINT('',#549245); #168009=VERTEX_POINT('',#549247); #168010=VERTEX_POINT('',#549251); #168011=VERTEX_POINT('',#549253); #168012=VERTEX_POINT('',#549257); #168013=VERTEX_POINT('',#549259); #168014=VERTEX_POINT('',#549263); #168015=VERTEX_POINT('',#549265); #168016=VERTEX_POINT('',#549269); #168017=VERTEX_POINT('',#549271); #168018=VERTEX_POINT('',#549275); #168019=VERTEX_POINT('',#549277); #168020=VERTEX_POINT('',#549281); #168021=VERTEX_POINT('',#549283); #168022=VERTEX_POINT('',#549287); #168023=VERTEX_POINT('',#549289); #168024=VERTEX_POINT('',#549293); #168025=VERTEX_POINT('',#549295); #168026=VERTEX_POINT('',#549299); #168027=VERTEX_POINT('',#549301); #168028=VERTEX_POINT('',#549305); #168029=VERTEX_POINT('',#549307); #168030=VERTEX_POINT('',#549311); #168031=VERTEX_POINT('',#549313); #168032=VERTEX_POINT('',#549317); #168033=VERTEX_POINT('',#549319); #168034=VERTEX_POINT('',#549323); #168035=VERTEX_POINT('',#549325); #168036=VERTEX_POINT('',#549329); #168037=VERTEX_POINT('',#549331); #168038=VERTEX_POINT('',#549335); #168039=VERTEX_POINT('',#549337); #168040=VERTEX_POINT('',#549341); #168041=VERTEX_POINT('',#549343); #168042=VERTEX_POINT('',#549347); #168043=VERTEX_POINT('',#549349); #168044=VERTEX_POINT('',#549353); #168045=VERTEX_POINT('',#549355); #168046=VERTEX_POINT('',#549359); #168047=VERTEX_POINT('',#549361); #168048=VERTEX_POINT('',#549370); #168049=VERTEX_POINT('',#549371); #168050=VERTEX_POINT('',#549373); #168051=VERTEX_POINT('',#549375); #168052=VERTEX_POINT('',#549379); #168053=VERTEX_POINT('',#549381); #168054=VERTEX_POINT('',#549385); #168055=VERTEX_POINT('',#549387); #168056=VERTEX_POINT('',#549391); #168057=VERTEX_POINT('',#549393); #168058=VERTEX_POINT('',#549397); #168059=VERTEX_POINT('',#549399); #168060=VERTEX_POINT('',#549403); #168061=VERTEX_POINT('',#549405); #168062=VERTEX_POINT('',#549409); #168063=VERTEX_POINT('',#549411); #168064=VERTEX_POINT('',#549415); #168065=VERTEX_POINT('',#549417); #168066=VERTEX_POINT('',#549421); #168067=VERTEX_POINT('',#549423); #168068=VERTEX_POINT('',#549427); #168069=VERTEX_POINT('',#549429); #168070=VERTEX_POINT('',#549433); #168071=VERTEX_POINT('',#549435); #168072=VERTEX_POINT('',#549439); #168073=VERTEX_POINT('',#549441); #168074=VERTEX_POINT('',#549445); #168075=VERTEX_POINT('',#549447); #168076=VERTEX_POINT('',#549451); #168077=VERTEX_POINT('',#549453); #168078=VERTEX_POINT('',#549457); #168079=VERTEX_POINT('',#549459); #168080=VERTEX_POINT('',#549463); #168081=VERTEX_POINT('',#549465); #168082=VERTEX_POINT('',#549469); #168083=VERTEX_POINT('',#549471); #168084=VERTEX_POINT('',#549475); #168085=VERTEX_POINT('',#549477); #168086=VERTEX_POINT('',#549481); #168087=VERTEX_POINT('',#549483); #168088=VERTEX_POINT('',#549487); #168089=VERTEX_POINT('',#549489); #168090=VERTEX_POINT('',#549493); #168091=VERTEX_POINT('',#549495); #168092=VERTEX_POINT('',#549499); #168093=VERTEX_POINT('',#549501); #168094=VERTEX_POINT('',#549505); #168095=VERTEX_POINT('',#549507); #168096=VERTEX_POINT('',#549511); #168097=VERTEX_POINT('',#549513); #168098=VERTEX_POINT('',#549517); #168099=VERTEX_POINT('',#549519); #168100=VERTEX_POINT('',#549523); #168101=VERTEX_POINT('',#549525); #168102=VERTEX_POINT('',#549529); #168103=VERTEX_POINT('',#549531); #168104=VERTEX_POINT('',#549535); #168105=VERTEX_POINT('',#549537); #168106=VERTEX_POINT('',#549541); #168107=VERTEX_POINT('',#549543); #168108=VERTEX_POINT('',#549547); #168109=VERTEX_POINT('',#549549); #168110=VERTEX_POINT('',#549553); #168111=VERTEX_POINT('',#549555); #168112=VERTEX_POINT('',#549559); #168113=VERTEX_POINT('',#549561); #168114=VERTEX_POINT('',#549565); #168115=VERTEX_POINT('',#549567); #168116=VERTEX_POINT('',#549571); #168117=VERTEX_POINT('',#549573); #168118=VERTEX_POINT('',#549582); #168119=VERTEX_POINT('',#549583); #168120=VERTEX_POINT('',#549585); #168121=VERTEX_POINT('',#549587); #168122=VERTEX_POINT('',#549591); #168123=VERTEX_POINT('',#549593); #168124=VERTEX_POINT('',#549597); #168125=VERTEX_POINT('',#549599); #168126=VERTEX_POINT('',#549603); #168127=VERTEX_POINT('',#549605); #168128=VERTEX_POINT('',#549609); #168129=VERTEX_POINT('',#549611); #168130=VERTEX_POINT('',#549615); #168131=VERTEX_POINT('',#549617); #168132=VERTEX_POINT('',#549621); #168133=VERTEX_POINT('',#549623); #168134=VERTEX_POINT('',#549627); #168135=VERTEX_POINT('',#549629); #168136=VERTEX_POINT('',#549633); #168137=VERTEX_POINT('',#549635); #168138=VERTEX_POINT('',#549639); #168139=VERTEX_POINT('',#549641); #168140=VERTEX_POINT('',#549645); #168141=VERTEX_POINT('',#549647); #168142=VERTEX_POINT('',#549651); #168143=VERTEX_POINT('',#549653); #168144=VERTEX_POINT('',#549657); #168145=VERTEX_POINT('',#549659); #168146=VERTEX_POINT('',#549663); #168147=VERTEX_POINT('',#549665); #168148=VERTEX_POINT('',#549669); #168149=VERTEX_POINT('',#549671); #168150=VERTEX_POINT('',#549675); #168151=VERTEX_POINT('',#549677); #168152=VERTEX_POINT('',#549681); #168153=VERTEX_POINT('',#549683); #168154=VERTEX_POINT('',#549687); #168155=VERTEX_POINT('',#549689); #168156=VERTEX_POINT('',#549693); #168157=VERTEX_POINT('',#549695); #168158=VERTEX_POINT('',#549699); #168159=VERTEX_POINT('',#549701); #168160=VERTEX_POINT('',#549710); #168161=VERTEX_POINT('',#549711); #168162=VERTEX_POINT('',#549713); #168163=VERTEX_POINT('',#549715); #168164=VERTEX_POINT('',#549719); #168165=VERTEX_POINT('',#549721); #168166=VERTEX_POINT('',#549725); #168167=VERTEX_POINT('',#549727); #168168=VERTEX_POINT('',#549731); #168169=VERTEX_POINT('',#549733); #168170=VERTEX_POINT('',#549737); #168171=VERTEX_POINT('',#549739); #168172=VERTEX_POINT('',#549743); #168173=VERTEX_POINT('',#549745); #168174=VERTEX_POINT('',#549749); #168175=VERTEX_POINT('',#549751); #168176=VERTEX_POINT('',#549755); #168177=VERTEX_POINT('',#549757); #168178=VERTEX_POINT('',#549761); #168179=VERTEX_POINT('',#549763); #168180=VERTEX_POINT('',#549767); #168181=VERTEX_POINT('',#549769); #168182=VERTEX_POINT('',#549773); #168183=VERTEX_POINT('',#549775); #168184=VERTEX_POINT('',#549779); #168185=VERTEX_POINT('',#549781); #168186=VERTEX_POINT('',#549785); #168187=VERTEX_POINT('',#549787); #168188=VERTEX_POINT('',#549791); #168189=VERTEX_POINT('',#549793); #168190=VERTEX_POINT('',#549797); #168191=VERTEX_POINT('',#549799); #168192=VERTEX_POINT('',#549803); #168193=VERTEX_POINT('',#549805); #168194=VERTEX_POINT('',#549809); #168195=VERTEX_POINT('',#549811); #168196=VERTEX_POINT('',#549815); #168197=VERTEX_POINT('',#549817); #168198=VERTEX_POINT('',#549821); #168199=VERTEX_POINT('',#549823); #168200=VERTEX_POINT('',#549827); #168201=VERTEX_POINT('',#549829); #168202=VERTEX_POINT('',#549833); #168203=VERTEX_POINT('',#549835); #168204=VERTEX_POINT('',#549839); #168205=VERTEX_POINT('',#549841); #168206=VERTEX_POINT('',#549845); #168207=VERTEX_POINT('',#549847); #168208=VERTEX_POINT('',#549851); #168209=VERTEX_POINT('',#549853); #168210=VERTEX_POINT('',#549857); #168211=VERTEX_POINT('',#549859); #168212=VERTEX_POINT('',#549863); #168213=VERTEX_POINT('',#549865); #168214=VERTEX_POINT('',#549869); #168215=VERTEX_POINT('',#549871); #168216=VERTEX_POINT('',#549875); #168217=VERTEX_POINT('',#549877); #168218=VERTEX_POINT('',#549881); #168219=VERTEX_POINT('',#549883); #168220=VERTEX_POINT('',#549887); #168221=VERTEX_POINT('',#549889); #168222=VERTEX_POINT('',#549898); #168223=VERTEX_POINT('',#549899); #168224=VERTEX_POINT('',#549901); #168225=VERTEX_POINT('',#549903); #168226=VERTEX_POINT('',#549907); #168227=VERTEX_POINT('',#549909); #168228=VERTEX_POINT('',#549913); #168229=VERTEX_POINT('',#549915); #168230=VERTEX_POINT('',#549919); #168231=VERTEX_POINT('',#549921); #168232=VERTEX_POINT('',#549925); #168233=VERTEX_POINT('',#549927); #168234=VERTEX_POINT('',#549931); #168235=VERTEX_POINT('',#549933); #168236=VERTEX_POINT('',#549937); #168237=VERTEX_POINT('',#549939); #168238=VERTEX_POINT('',#549943); #168239=VERTEX_POINT('',#549945); #168240=VERTEX_POINT('',#549949); #168241=VERTEX_POINT('',#549951); #168242=VERTEX_POINT('',#549955); #168243=VERTEX_POINT('',#549957); #168244=VERTEX_POINT('',#549961); #168245=VERTEX_POINT('',#549963); #168246=VERTEX_POINT('',#549967); #168247=VERTEX_POINT('',#549969); #168248=VERTEX_POINT('',#549973); #168249=VERTEX_POINT('',#549975); #168250=VERTEX_POINT('',#549979); #168251=VERTEX_POINT('',#549981); #168252=VERTEX_POINT('',#549985); #168253=VERTEX_POINT('',#549987); #168254=VERTEX_POINT('',#549991); #168255=VERTEX_POINT('',#549993); #168256=VERTEX_POINT('',#549997); #168257=VERTEX_POINT('',#549999); #168258=VERTEX_POINT('',#550003); #168259=VERTEX_POINT('',#550005); #168260=VERTEX_POINT('',#550009); #168261=VERTEX_POINT('',#550011); #168262=VERTEX_POINT('',#550015); #168263=VERTEX_POINT('',#550017); #168264=VERTEX_POINT('',#550021); #168265=VERTEX_POINT('',#550023); #168266=VERTEX_POINT('',#550032); #168267=VERTEX_POINT('',#550033); #168268=VERTEX_POINT('',#550035); #168269=VERTEX_POINT('',#550037); #168270=VERTEX_POINT('',#550041); #168271=VERTEX_POINT('',#550043); #168272=VERTEX_POINT('',#550047); #168273=VERTEX_POINT('',#550049); #168274=VERTEX_POINT('',#550053); #168275=VERTEX_POINT('',#550055); #168276=VERTEX_POINT('',#550059); #168277=VERTEX_POINT('',#550061); #168278=VERTEX_POINT('',#550065); #168279=VERTEX_POINT('',#550067); #168280=VERTEX_POINT('',#550071); #168281=VERTEX_POINT('',#550073); #168282=VERTEX_POINT('',#550077); #168283=VERTEX_POINT('',#550079); #168284=VERTEX_POINT('',#550083); #168285=VERTEX_POINT('',#550085); #168286=VERTEX_POINT('',#550089); #168287=VERTEX_POINT('',#550091); #168288=VERTEX_POINT('',#550095); #168289=VERTEX_POINT('',#550097); #168290=VERTEX_POINT('',#550101); #168291=VERTEX_POINT('',#550103); #168292=VERTEX_POINT('',#550107); #168293=VERTEX_POINT('',#550109); #168294=VERTEX_POINT('',#550113); #168295=VERTEX_POINT('',#550115); #168296=VERTEX_POINT('',#550119); #168297=VERTEX_POINT('',#550121); #168298=VERTEX_POINT('',#550125); #168299=VERTEX_POINT('',#550127); #168300=VERTEX_POINT('',#550131); #168301=VERTEX_POINT('',#550133); #168302=VERTEX_POINT('',#550137); #168303=VERTEX_POINT('',#550139); #168304=VERTEX_POINT('',#550143); #168305=VERTEX_POINT('',#550145); #168306=VERTEX_POINT('',#550154); #168307=VERTEX_POINT('',#550156); #168308=VERTEX_POINT('',#550160); #168309=VERTEX_POINT('',#550162); #168310=VERTEX_POINT('',#550166); #168311=VERTEX_POINT('',#550168); #168312=VERTEX_POINT('',#550172); #168313=VERTEX_POINT('',#550174); #168314=VERTEX_POINT('',#550178); #168315=VERTEX_POINT('',#550180); #168316=VERTEX_POINT('',#550184); #168317=VERTEX_POINT('',#550186); #168318=VERTEX_POINT('',#550190); #168319=VERTEX_POINT('',#550192); #168320=VERTEX_POINT('',#550196); #168321=VERTEX_POINT('',#550198); #168322=VERTEX_POINT('',#550202); #168323=VERTEX_POINT('',#550204); #168324=VERTEX_POINT('',#550208); #168325=VERTEX_POINT('',#550210); #168326=VERTEX_POINT('',#550214); #168327=VERTEX_POINT('',#550216); #168328=VERTEX_POINT('',#550220); #168329=VERTEX_POINT('',#550222); #168330=VERTEX_POINT('',#550226); #168331=VERTEX_POINT('',#550228); #168332=VERTEX_POINT('',#550232); #168333=VERTEX_POINT('',#550234); #168334=VERTEX_POINT('',#550238); #168335=VERTEX_POINT('',#550240); #168336=VERTEX_POINT('',#550244); #168337=VERTEX_POINT('',#550246); #168338=VERTEX_POINT('',#550250); #168339=VERTEX_POINT('',#550252); #168340=VERTEX_POINT('',#550256); #168341=VERTEX_POINT('',#550258); #168342=VERTEX_POINT('',#550262); #168343=VERTEX_POINT('',#550264); #168344=VERTEX_POINT('',#550268); #168345=VERTEX_POINT('',#550270); #168346=VERTEX_POINT('',#550274); #168347=VERTEX_POINT('',#550276); #168348=VERTEX_POINT('',#550280); #168349=VERTEX_POINT('',#550282); #168350=VERTEX_POINT('',#550286); #168351=VERTEX_POINT('',#550288); #168352=VERTEX_POINT('',#550292); #168353=VERTEX_POINT('',#550294); #168354=VERTEX_POINT('',#550298); #168355=VERTEX_POINT('',#550300); #168356=VERTEX_POINT('',#550304); #168357=VERTEX_POINT('',#550306); #168358=VERTEX_POINT('',#550310); #168359=VERTEX_POINT('',#550312); #168360=VERTEX_POINT('',#550316); #168361=VERTEX_POINT('',#550318); #168362=VERTEX_POINT('',#550322); #168363=VERTEX_POINT('',#550324); #168364=VERTEX_POINT('',#550328); #168365=VERTEX_POINT('',#550330); #168366=VERTEX_POINT('',#550334); #168367=VERTEX_POINT('',#550336); #168368=VERTEX_POINT('',#550340); #168369=VERTEX_POINT('',#550342); #168370=VERTEX_POINT('',#550346); #168371=VERTEX_POINT('',#550348); #168372=VERTEX_POINT('',#550352); #168373=VERTEX_POINT('',#550354); #168374=VERTEX_POINT('',#550358); #168375=VERTEX_POINT('',#550360); #168376=VERTEX_POINT('',#550364); #168377=VERTEX_POINT('',#550366); #168378=VERTEX_POINT('',#550370); #168379=VERTEX_POINT('',#550372); #168380=VERTEX_POINT('',#550376); #168381=VERTEX_POINT('',#550378); #168382=VERTEX_POINT('',#550382); #168383=VERTEX_POINT('',#550384); #168384=VERTEX_POINT('',#550388); #168385=VERTEX_POINT('',#550390); #168386=VERTEX_POINT('',#550394); #168387=VERTEX_POINT('',#550396); #168388=VERTEX_POINT('',#550400); #168389=VERTEX_POINT('',#550402); #168390=VERTEX_POINT('',#550406); #168391=VERTEX_POINT('',#550408); #168392=VERTEX_POINT('',#550412); #168393=VERTEX_POINT('',#550414); #168394=VERTEX_POINT('',#550418); #168395=VERTEX_POINT('',#550420); #168396=VERTEX_POINT('',#550424); #168397=VERTEX_POINT('',#550426); #168398=VERTEX_POINT('',#550430); #168399=VERTEX_POINT('',#550432); #168400=VERTEX_POINT('',#550436); #168401=VERTEX_POINT('',#550438); #168402=VERTEX_POINT('',#550442); #168403=VERTEX_POINT('',#550444); #168404=VERTEX_POINT('',#550448); #168405=VERTEX_POINT('',#550450); #168406=VERTEX_POINT('',#550454); #168407=VERTEX_POINT('',#550456); #168408=VERTEX_POINT('',#550460); #168409=VERTEX_POINT('',#550462); #168410=VERTEX_POINT('',#550466); #168411=VERTEX_POINT('',#550468); #168412=VERTEX_POINT('',#550472); #168413=VERTEX_POINT('',#550474); #168414=VERTEX_POINT('',#550478); #168415=VERTEX_POINT('',#550480); #168416=VERTEX_POINT('',#550484); #168417=VERTEX_POINT('',#550486); #168418=VERTEX_POINT('',#550490); #168419=VERTEX_POINT('',#550492); #168420=VERTEX_POINT('',#550496); #168421=VERTEX_POINT('',#550498); #168422=VERTEX_POINT('',#550502); #168423=VERTEX_POINT('',#550504); #168424=VERTEX_POINT('',#550508); #168425=VERTEX_POINT('',#550510); #168426=VERTEX_POINT('',#550514); #168427=VERTEX_POINT('',#550516); #168428=VERTEX_POINT('',#550520); #168429=VERTEX_POINT('',#550522); #168430=VERTEX_POINT('',#550526); #168431=VERTEX_POINT('',#550528); #168432=VERTEX_POINT('',#550532); #168433=VERTEX_POINT('',#550534); #168434=VERTEX_POINT('',#550538); #168435=VERTEX_POINT('',#550539); #168436=VERTEX_POINT('',#550541); #168437=VERTEX_POINT('',#550543); #168438=VERTEX_POINT('',#550547); #168439=VERTEX_POINT('',#550549); #168440=VERTEX_POINT('',#550553); #168441=VERTEX_POINT('',#550555); #168442=VERTEX_POINT('',#550562); #168443=VERTEX_POINT('',#550563); #168444=VERTEX_POINT('',#550565); #168445=VERTEX_POINT('',#550567); #168446=VERTEX_POINT('',#550571); #168447=VERTEX_POINT('',#550573); #168448=VERTEX_POINT('',#550577); #168449=VERTEX_POINT('',#550579); #168450=VERTEX_POINT('',#550586); #168451=VERTEX_POINT('',#550588); #168452=VERTEX_POINT('',#550592); #168453=VERTEX_POINT('',#550594); #168454=VERTEX_POINT('',#550598); #168455=VERTEX_POINT('',#550599); #168456=VERTEX_POINT('',#550601); #168457=VERTEX_POINT('',#550603); #168458=VERTEX_POINT('',#550607); #168459=VERTEX_POINT('',#550609); #168460=VERTEX_POINT('',#550613); #168461=VERTEX_POINT('',#550615); #168462=VERTEX_POINT('',#550622); #168463=VERTEX_POINT('',#550624); #168464=VERTEX_POINT('',#550628); #168465=VERTEX_POINT('',#550630); #168466=VERTEX_POINT('',#550634); #168467=VERTEX_POINT('',#550636); #168468=VERTEX_POINT('',#550640); #168469=VERTEX_POINT('',#550642); #168470=VERTEX_POINT('',#550646); #168471=VERTEX_POINT('',#550648); #168472=VERTEX_POINT('',#550652); #168473=VERTEX_POINT('',#550653); #168474=VERTEX_POINT('',#550655); #168475=VERTEX_POINT('',#550657); #168476=VERTEX_POINT('',#550661); #168477=VERTEX_POINT('',#550663); #168478=VERTEX_POINT('',#550667); #168479=VERTEX_POINT('',#550669); #168480=VERTEX_POINT('',#550676); #168481=VERTEX_POINT('',#550678); #168482=VERTEX_POINT('',#550682); #168483=VERTEX_POINT('',#550683); #168484=VERTEX_POINT('',#550685); #168485=VERTEX_POINT('',#550687); #168486=VERTEX_POINT('',#550691); #168487=VERTEX_POINT('',#550693); #168488=VERTEX_POINT('',#550697); #168489=VERTEX_POINT('',#550699); #168490=VERTEX_POINT('',#550706); #168491=VERTEX_POINT('',#550707); #168492=VERTEX_POINT('',#550709); #168493=VERTEX_POINT('',#550711); #168494=VERTEX_POINT('',#550715); #168495=VERTEX_POINT('',#550717); #168496=VERTEX_POINT('',#550721); #168497=VERTEX_POINT('',#550723); #168498=VERTEX_POINT('',#550730); #168499=VERTEX_POINT('',#550732); #168500=VERTEX_POINT('',#550736); #168501=VERTEX_POINT('',#550738); #168502=VERTEX_POINT('',#550742); #168503=VERTEX_POINT('',#550744); #168504=VERTEX_POINT('',#550748); #168505=VERTEX_POINT('',#550750); #168506=VERTEX_POINT('',#550754); #168507=VERTEX_POINT('',#550756); #168508=VERTEX_POINT('',#550760); #168509=VERTEX_POINT('',#550762); #168510=VERTEX_POINT('',#550766); #168511=VERTEX_POINT('',#550768); #168512=VERTEX_POINT('',#550772); #168513=VERTEX_POINT('',#550774); #168514=VERTEX_POINT('',#550778); #168515=VERTEX_POINT('',#550780); #168516=VERTEX_POINT('',#550784); #168517=VERTEX_POINT('',#550786); #168518=VERTEX_POINT('',#550790); #168519=VERTEX_POINT('',#550792); #168520=VERTEX_POINT('',#550796); #168521=VERTEX_POINT('',#550798); #168522=VERTEX_POINT('',#550802); #168523=VERTEX_POINT('',#550804); #168524=VERTEX_POINT('',#550808); #168525=VERTEX_POINT('',#550810); #168526=VERTEX_POINT('',#550814); #168527=VERTEX_POINT('',#550816); #168528=VERTEX_POINT('',#550820); #168529=VERTEX_POINT('',#550822); #168530=VERTEX_POINT('',#550826); #168531=VERTEX_POINT('',#550828); #168532=VERTEX_POINT('',#550832); #168533=VERTEX_POINT('',#550834); #168534=VERTEX_POINT('',#550838); #168535=VERTEX_POINT('',#550840); #168536=VERTEX_POINT('',#550844); #168537=VERTEX_POINT('',#550846); #168538=VERTEX_POINT('',#550850); #168539=VERTEX_POINT('',#550852); #168540=VERTEX_POINT('',#550856); #168541=VERTEX_POINT('',#550858); #168542=VERTEX_POINT('',#550862); #168543=VERTEX_POINT('',#550864); #168544=VERTEX_POINT('',#550868); #168545=VERTEX_POINT('',#550870); #168546=VERTEX_POINT('',#550874); #168547=VERTEX_POINT('',#550876); #168548=VERTEX_POINT('',#550880); #168549=VERTEX_POINT('',#550882); #168550=VERTEX_POINT('',#550886); #168551=VERTEX_POINT('',#550888); #168552=VERTEX_POINT('',#550892); #168553=VERTEX_POINT('',#550894); #168554=VERTEX_POINT('',#550898); #168555=VERTEX_POINT('',#550900); #168556=VERTEX_POINT('',#550904); #168557=VERTEX_POINT('',#550906); #168558=VERTEX_POINT('',#550910); #168559=VERTEX_POINT('',#550912); #168560=VERTEX_POINT('',#550916); #168561=VERTEX_POINT('',#550918); #168562=VERTEX_POINT('',#550922); #168563=VERTEX_POINT('',#550924); #168564=VERTEX_POINT('',#550928); #168565=VERTEX_POINT('',#550930); #168566=VERTEX_POINT('',#550934); #168567=VERTEX_POINT('',#550936); #168568=VERTEX_POINT('',#550940); #168569=VERTEX_POINT('',#550942); #168570=VERTEX_POINT('',#550946); #168571=VERTEX_POINT('',#550948); #168572=VERTEX_POINT('',#550952); #168573=VERTEX_POINT('',#550954); #168574=VERTEX_POINT('',#550958); #168575=VERTEX_POINT('',#550960); #168576=VERTEX_POINT('',#550964); #168577=VERTEX_POINT('',#550966); #168578=VERTEX_POINT('',#550970); #168579=VERTEX_POINT('',#550972); #168580=VERTEX_POINT('',#550976); #168581=VERTEX_POINT('',#550978); #168582=VERTEX_POINT('',#550982); #168583=VERTEX_POINT('',#550984); #168584=VERTEX_POINT('',#550988); #168585=VERTEX_POINT('',#550990); #168586=VERTEX_POINT('',#550994); #168587=VERTEX_POINT('',#550996); #168588=VERTEX_POINT('',#551000); #168589=VERTEX_POINT('',#551002); #168590=VERTEX_POINT('',#551006); #168591=VERTEX_POINT('',#551008); #168592=VERTEX_POINT('',#551012); #168593=VERTEX_POINT('',#551014); #168594=VERTEX_POINT('',#551018); #168595=VERTEX_POINT('',#551020); #168596=VERTEX_POINT('',#551024); #168597=VERTEX_POINT('',#551026); #168598=VERTEX_POINT('',#551030); #168599=VERTEX_POINT('',#551032); #168600=VERTEX_POINT('',#551036); #168601=VERTEX_POINT('',#551038); #168602=VERTEX_POINT('',#551042); #168603=VERTEX_POINT('',#551043); #168604=VERTEX_POINT('',#551045); #168605=VERTEX_POINT('',#551047); #168606=VERTEX_POINT('',#551051); #168607=VERTEX_POINT('',#551053); #168608=VERTEX_POINT('',#551057); #168609=VERTEX_POINT('',#551059); #168610=VERTEX_POINT('',#551063); #168611=VERTEX_POINT('',#551065); #168612=VERTEX_POINT('',#551069); #168613=VERTEX_POINT('',#551071); #168614=VERTEX_POINT('',#551075); #168615=VERTEX_POINT('',#551077); #168616=VERTEX_POINT('',#551081); #168617=VERTEX_POINT('',#551083); #168618=VERTEX_POINT('',#551087); #168619=VERTEX_POINT('',#551089); #168620=VERTEX_POINT('',#551093); #168621=VERTEX_POINT('',#551095); #168622=VERTEX_POINT('',#551099); #168623=VERTEX_POINT('',#551101); #168624=VERTEX_POINT('',#551105); #168625=VERTEX_POINT('',#551107); #168626=VERTEX_POINT('',#551111); #168627=VERTEX_POINT('',#551113); #168628=VERTEX_POINT('',#551117); #168629=VERTEX_POINT('',#551119); #168630=VERTEX_POINT('',#551123); #168631=VERTEX_POINT('',#551125); #168632=VERTEX_POINT('',#551129); #168633=VERTEX_POINT('',#551131); #168634=VERTEX_POINT('',#551135); #168635=VERTEX_POINT('',#551137); #168636=VERTEX_POINT('',#551141); #168637=VERTEX_POINT('',#551143); #168638=VERTEX_POINT('',#551147); #168639=VERTEX_POINT('',#551149); #168640=VERTEX_POINT('',#551153); #168641=VERTEX_POINT('',#551155); #168642=VERTEX_POINT('',#551159); #168643=VERTEX_POINT('',#551161); #168644=VERTEX_POINT('',#551165); #168645=VERTEX_POINT('',#551167); #168646=VERTEX_POINT('',#551171); #168647=VERTEX_POINT('',#551173); #168648=VERTEX_POINT('',#551177); #168649=VERTEX_POINT('',#551179); #168650=VERTEX_POINT('',#551183); #168651=VERTEX_POINT('',#551185); #168652=VERTEX_POINT('',#551189); #168653=VERTEX_POINT('',#551191); #168654=VERTEX_POINT('',#551195); #168655=VERTEX_POINT('',#551197); #168656=VERTEX_POINT('',#551201); #168657=VERTEX_POINT('',#551203); #168658=VERTEX_POINT('',#551207); #168659=VERTEX_POINT('',#551209); #168660=VERTEX_POINT('',#551213); #168661=VERTEX_POINT('',#551215); #168662=VERTEX_POINT('',#551219); #168663=VERTEX_POINT('',#551221); #168664=VERTEX_POINT('',#551225); #168665=VERTEX_POINT('',#551227); #168666=VERTEX_POINT('',#551231); #168667=VERTEX_POINT('',#551233); #168668=VERTEX_POINT('',#551237); #168669=VERTEX_POINT('',#551239); #168670=VERTEX_POINT('',#551243); #168671=VERTEX_POINT('',#551245); #168672=VERTEX_POINT('',#551249); #168673=VERTEX_POINT('',#551251); #168674=VERTEX_POINT('',#551255); #168675=VERTEX_POINT('',#551257); #168676=VERTEX_POINT('',#551261); #168677=VERTEX_POINT('',#551263); #168678=VERTEX_POINT('',#551267); #168679=VERTEX_POINT('',#551269); #168680=VERTEX_POINT('',#551273); #168681=VERTEX_POINT('',#551275); #168682=VERTEX_POINT('',#551279); #168683=VERTEX_POINT('',#551281); #168684=VERTEX_POINT('',#551285); #168685=VERTEX_POINT('',#551287); #168686=VERTEX_POINT('',#551291); #168687=VERTEX_POINT('',#551293); #168688=VERTEX_POINT('',#551297); #168689=VERTEX_POINT('',#551299); #168690=VERTEX_POINT('',#551303); #168691=VERTEX_POINT('',#551305); #168692=VERTEX_POINT('',#551309); #168693=VERTEX_POINT('',#551311); #168694=VERTEX_POINT('',#551315); #168695=VERTEX_POINT('',#551317); #168696=VERTEX_POINT('',#551321); #168697=VERTEX_POINT('',#551323); #168698=VERTEX_POINT('',#551327); #168699=VERTEX_POINT('',#551329); #168700=VERTEX_POINT('',#551333); #168701=VERTEX_POINT('',#551335); #168702=VERTEX_POINT('',#551339); #168703=VERTEX_POINT('',#551341); #168704=VERTEX_POINT('',#551345); #168705=VERTEX_POINT('',#551347); #168706=VERTEX_POINT('',#551351); #168707=VERTEX_POINT('',#551353); #168708=VERTEX_POINT('',#551357); #168709=VERTEX_POINT('',#551359); #168710=VERTEX_POINT('',#551363); #168711=VERTEX_POINT('',#551365); #168712=VERTEX_POINT('',#551369); #168713=VERTEX_POINT('',#551371); #168714=VERTEX_POINT('',#551375); #168715=VERTEX_POINT('',#551377); #168716=VERTEX_POINT('',#551381); #168717=VERTEX_POINT('',#551383); #168718=VERTEX_POINT('',#551387); #168719=VERTEX_POINT('',#551389); #168720=VERTEX_POINT('',#551393); #168721=VERTEX_POINT('',#551395); #168722=VERTEX_POINT('',#551399); #168723=VERTEX_POINT('',#551401); #168724=VERTEX_POINT('',#551405); #168725=VERTEX_POINT('',#551407); #168726=VERTEX_POINT('',#551411); #168727=VERTEX_POINT('',#551413); #168728=VERTEX_POINT('',#551417); #168729=VERTEX_POINT('',#551419); #168730=VERTEX_POINT('',#551423); #168731=VERTEX_POINT('',#551425); #168732=VERTEX_POINT('',#551429); #168733=VERTEX_POINT('',#551431); #168734=VERTEX_POINT('',#551435); #168735=VERTEX_POINT('',#551437); #168736=VERTEX_POINT('',#551441); #168737=VERTEX_POINT('',#551443); #168738=VERTEX_POINT('',#551447); #168739=VERTEX_POINT('',#551449); #168740=VERTEX_POINT('',#551453); #168741=VERTEX_POINT('',#551455); #168742=VERTEX_POINT('',#551459); #168743=VERTEX_POINT('',#551461); #168744=VERTEX_POINT('',#551465); #168745=VERTEX_POINT('',#551467); #168746=VERTEX_POINT('',#551471); #168747=VERTEX_POINT('',#551473); #168748=VERTEX_POINT('',#551477); #168749=VERTEX_POINT('',#551479); #168750=VERTEX_POINT('',#551483); #168751=VERTEX_POINT('',#551485); #168752=VERTEX_POINT('',#551489); #168753=VERTEX_POINT('',#551491); #168754=VERTEX_POINT('',#551495); #168755=VERTEX_POINT('',#551497); #168756=VERTEX_POINT('',#551501); #168757=VERTEX_POINT('',#551503); #168758=VERTEX_POINT('',#551507); #168759=VERTEX_POINT('',#551509); #168760=VERTEX_POINT('',#551513); #168761=VERTEX_POINT('',#551515); #168762=VERTEX_POINT('',#551519); #168763=VERTEX_POINT('',#551521); #168764=VERTEX_POINT('',#551525); #168765=VERTEX_POINT('',#551527); #168766=VERTEX_POINT('',#551531); #168767=VERTEX_POINT('',#551533); #168768=VERTEX_POINT('',#551537); #168769=VERTEX_POINT('',#551539); #168770=VERTEX_POINT('',#551543); #168771=VERTEX_POINT('',#551545); #168772=VERTEX_POINT('',#551549); #168773=VERTEX_POINT('',#551551); #168774=VERTEX_POINT('',#551555); #168775=VERTEX_POINT('',#551557); #168776=VERTEX_POINT('',#551561); #168777=VERTEX_POINT('',#551563); #168778=VERTEX_POINT('',#551567); #168779=VERTEX_POINT('',#551569); #168780=VERTEX_POINT('',#551573); #168781=VERTEX_POINT('',#551575); #168782=VERTEX_POINT('',#551579); #168783=VERTEX_POINT('',#551581); #168784=VERTEX_POINT('',#551585); #168785=VERTEX_POINT('',#551587); #168786=VERTEX_POINT('',#551591); #168787=VERTEX_POINT('',#551593); #168788=VERTEX_POINT('',#551597); #168789=VERTEX_POINT('',#551599); #168790=VERTEX_POINT('',#551603); #168791=VERTEX_POINT('',#551605); #168792=VERTEX_POINT('',#551609); #168793=VERTEX_POINT('',#551611); #168794=VERTEX_POINT('',#551615); #168795=VERTEX_POINT('',#551617); #168796=VERTEX_POINT('',#551621); #168797=VERTEX_POINT('',#551623); #168798=VERTEX_POINT('',#551627); #168799=VERTEX_POINT('',#551629); #168800=VERTEX_POINT('',#551633); #168801=VERTEX_POINT('',#551635); #168802=VERTEX_POINT('',#551639); #168803=VERTEX_POINT('',#551641); #168804=VERTEX_POINT('',#551645); #168805=VERTEX_POINT('',#551647); #168806=VERTEX_POINT('',#551651); #168807=VERTEX_POINT('',#551653); #168808=VERTEX_POINT('',#551657); #168809=VERTEX_POINT('',#551659); #168810=VERTEX_POINT('',#551663); #168811=VERTEX_POINT('',#551665); #168812=VERTEX_POINT('',#551669); #168813=VERTEX_POINT('',#551671); #168814=VERTEX_POINT('',#551675); #168815=VERTEX_POINT('',#551677); #168816=VERTEX_POINT('',#551681); #168817=VERTEX_POINT('',#551683); #168818=VERTEX_POINT('',#551687); #168819=VERTEX_POINT('',#551689); #168820=VERTEX_POINT('',#551693); #168821=VERTEX_POINT('',#551695); #168822=VERTEX_POINT('',#551699); #168823=VERTEX_POINT('',#551701); #168824=VERTEX_POINT('',#551705); #168825=VERTEX_POINT('',#551707); #168826=VERTEX_POINT('',#551711); #168827=VERTEX_POINT('',#551713); #168828=VERTEX_POINT('',#551717); #168829=VERTEX_POINT('',#551719); #168830=VERTEX_POINT('',#551723); #168831=VERTEX_POINT('',#551725); #168832=VERTEX_POINT('',#551729); #168833=VERTEX_POINT('',#551731); #168834=VERTEX_POINT('',#551735); #168835=VERTEX_POINT('',#551737); #168836=VERTEX_POINT('',#551741); #168837=VERTEX_POINT('',#551743); #168838=VERTEX_POINT('',#551747); #168839=VERTEX_POINT('',#551749); #168840=VERTEX_POINT('',#551753); #168841=VERTEX_POINT('',#551755); #168842=VERTEX_POINT('',#551759); #168843=VERTEX_POINT('',#551761); #168844=VERTEX_POINT('',#551765); #168845=VERTEX_POINT('',#551767); #168846=VERTEX_POINT('',#551771); #168847=VERTEX_POINT('',#551773); #168848=VERTEX_POINT('',#551777); #168849=VERTEX_POINT('',#551779); #168850=VERTEX_POINT('',#551783); #168851=VERTEX_POINT('',#551785); #168852=VERTEX_POINT('',#551789); #168853=VERTEX_POINT('',#551791); #168854=VERTEX_POINT('',#551795); #168855=VERTEX_POINT('',#551797); #168856=VERTEX_POINT('',#551801); #168857=VERTEX_POINT('',#551803); #168858=VERTEX_POINT('',#551807); #168859=VERTEX_POINT('',#551809); #168860=VERTEX_POINT('',#551813); #168861=VERTEX_POINT('',#551815); #168862=VERTEX_POINT('',#551819); #168863=VERTEX_POINT('',#551821); #168864=VERTEX_POINT('',#551825); #168865=VERTEX_POINT('',#551827); #168866=VERTEX_POINT('',#551834); #168867=VERTEX_POINT('',#551835); #168868=VERTEX_POINT('',#551837); #168869=VERTEX_POINT('',#551839); #168870=VERTEX_POINT('',#551843); #168871=VERTEX_POINT('',#551845); #168872=VERTEX_POINT('',#551849); #168873=VERTEX_POINT('',#551851); #168874=VERTEX_POINT('',#551855); #168875=VERTEX_POINT('',#551857); #168876=VERTEX_POINT('',#551861); #168877=VERTEX_POINT('',#551863); #168878=VERTEX_POINT('',#551867); #168879=VERTEX_POINT('',#551869); #168880=VERTEX_POINT('',#551873); #168881=VERTEX_POINT('',#551875); #168882=VERTEX_POINT('',#551882); #168883=VERTEX_POINT('',#551883); #168884=VERTEX_POINT('',#551885); #168885=VERTEX_POINT('',#551887); #168886=VERTEX_POINT('',#551891); #168887=VERTEX_POINT('',#551893); #168888=VERTEX_POINT('',#551897); #168889=VERTEX_POINT('',#551899); #168890=VERTEX_POINT('',#551903); #168891=VERTEX_POINT('',#551905); #168892=VERTEX_POINT('',#551909); #168893=VERTEX_POINT('',#551911); #168894=VERTEX_POINT('',#551915); #168895=VERTEX_POINT('',#551917); #168896=VERTEX_POINT('',#551921); #168897=VERTEX_POINT('',#551923); #168898=VERTEX_POINT('',#551930); #168899=VERTEX_POINT('',#551931); #168900=VERTEX_POINT('',#551933); #168901=VERTEX_POINT('',#551935); #168902=VERTEX_POINT('',#551939); #168903=VERTEX_POINT('',#551941); #168904=VERTEX_POINT('',#551945); #168905=VERTEX_POINT('',#551947); #168906=VERTEX_POINT('',#551951); #168907=VERTEX_POINT('',#551953); #168908=VERTEX_POINT('',#551957); #168909=VERTEX_POINT('',#551959); #168910=VERTEX_POINT('',#551963); #168911=VERTEX_POINT('',#551965); #168912=VERTEX_POINT('',#551969); #168913=VERTEX_POINT('',#551971); #168914=VERTEX_POINT('',#551978); #168915=VERTEX_POINT('',#551979); #168916=VERTEX_POINT('',#551981); #168917=VERTEX_POINT('',#551983); #168918=VERTEX_POINT('',#551987); #168919=VERTEX_POINT('',#551989); #168920=VERTEX_POINT('',#551993); #168921=VERTEX_POINT('',#551995); #168922=VERTEX_POINT('',#551999); #168923=VERTEX_POINT('',#552001); #168924=VERTEX_POINT('',#552005); #168925=VERTEX_POINT('',#552007); #168926=VERTEX_POINT('',#552011); #168927=VERTEX_POINT('',#552013); #168928=VERTEX_POINT('',#552017); #168929=VERTEX_POINT('',#552019); #168930=VERTEX_POINT('',#552023); #168931=VERTEX_POINT('',#552025); #168932=VERTEX_POINT('',#552029); #168933=VERTEX_POINT('',#552031); #168934=VERTEX_POINT('',#552035); #168935=VERTEX_POINT('',#552037); #168936=VERTEX_POINT('',#552041); #168937=VERTEX_POINT('',#552043); #168938=VERTEX_POINT('',#552047); #168939=VERTEX_POINT('',#552049); #168940=VERTEX_POINT('',#552053); #168941=VERTEX_POINT('',#552055); #168942=VERTEX_POINT('',#552059); #168943=VERTEX_POINT('',#552061); #168944=VERTEX_POINT('',#552065); #168945=VERTEX_POINT('',#552067); #168946=VERTEX_POINT('',#552071); #168947=VERTEX_POINT('',#552073); #168948=VERTEX_POINT('',#552077); #168949=VERTEX_POINT('',#552079); #168950=VERTEX_POINT('',#552083); #168951=VERTEX_POINT('',#552085); #168952=VERTEX_POINT('',#552089); #168953=VERTEX_POINT('',#552091); #168954=VERTEX_POINT('',#552095); #168955=VERTEX_POINT('',#552097); #168956=VERTEX_POINT('',#552101); #168957=VERTEX_POINT('',#552103); #168958=VERTEX_POINT('',#552107); #168959=VERTEX_POINT('',#552109); #168960=VERTEX_POINT('',#552113); #168961=VERTEX_POINT('',#552115); #168962=VERTEX_POINT('',#552119); #168963=VERTEX_POINT('',#552121); #168964=VERTEX_POINT('',#552125); #168965=VERTEX_POINT('',#552127); #168966=VERTEX_POINT('',#552131); #168967=VERTEX_POINT('',#552133); #168968=VERTEX_POINT('',#552137); #168969=VERTEX_POINT('',#552139); #168970=VERTEX_POINT('',#552143); #168971=VERTEX_POINT('',#552145); #168972=VERTEX_POINT('',#552149); #168973=VERTEX_POINT('',#552151); #168974=VERTEX_POINT('',#552155); #168975=VERTEX_POINT('',#552157); #168976=VERTEX_POINT('',#552161); #168977=VERTEX_POINT('',#552163); #168978=VERTEX_POINT('',#552167); #168979=VERTEX_POINT('',#552169); #168980=VERTEX_POINT('',#552173); #168981=VERTEX_POINT('',#552175); #168982=VERTEX_POINT('',#552182); #168983=VERTEX_POINT('',#552183); #168984=VERTEX_POINT('',#552185); #168985=VERTEX_POINT('',#552187); #168986=VERTEX_POINT('',#552191); #168987=VERTEX_POINT('',#552193); #168988=VERTEX_POINT('',#552197); #168989=VERTEX_POINT('',#552199); #168990=VERTEX_POINT('',#552203); #168991=VERTEX_POINT('',#552205); #168992=VERTEX_POINT('',#552209); #168993=VERTEX_POINT('',#552211); #168994=VERTEX_POINT('',#552215); #168995=VERTEX_POINT('',#552217); #168996=VERTEX_POINT('',#552221); #168997=VERTEX_POINT('',#552223); #168998=VERTEX_POINT('',#552227); #168999=VERTEX_POINT('',#552229); #169000=VERTEX_POINT('',#552233); #169001=VERTEX_POINT('',#552235); #169002=VERTEX_POINT('',#552239); #169003=VERTEX_POINT('',#552241); #169004=VERTEX_POINT('',#552245); #169005=VERTEX_POINT('',#552247); #169006=VERTEX_POINT('',#552251); #169007=VERTEX_POINT('',#552253); #169008=VERTEX_POINT('',#552257); #169009=VERTEX_POINT('',#552259); #169010=VERTEX_POINT('',#552263); #169011=VERTEX_POINT('',#552265); #169012=VERTEX_POINT('',#552269); #169013=VERTEX_POINT('',#552271); #169014=VERTEX_POINT('',#552275); #169015=VERTEX_POINT('',#552277); #169016=VERTEX_POINT('',#552281); #169017=VERTEX_POINT('',#552283); #169018=VERTEX_POINT('',#552287); #169019=VERTEX_POINT('',#552289); #169020=VERTEX_POINT('',#552293); #169021=VERTEX_POINT('',#552295); #169022=VERTEX_POINT('',#552299); #169023=VERTEX_POINT('',#552301); #169024=VERTEX_POINT('',#552305); #169025=VERTEX_POINT('',#552307); #169026=VERTEX_POINT('',#552311); #169027=VERTEX_POINT('',#552313); #169028=VERTEX_POINT('',#552317); #169029=VERTEX_POINT('',#552319); #169030=VERTEX_POINT('',#552323); #169031=VERTEX_POINT('',#552325); #169032=VERTEX_POINT('',#552329); #169033=VERTEX_POINT('',#552331); #169034=VERTEX_POINT('',#552335); #169035=VERTEX_POINT('',#552337); #169036=VERTEX_POINT('',#552341); #169037=VERTEX_POINT('',#552343); #169038=VERTEX_POINT('',#552347); #169039=VERTEX_POINT('',#552349); #169040=VERTEX_POINT('',#552353); #169041=VERTEX_POINT('',#552355); #169042=VERTEX_POINT('',#552359); #169043=VERTEX_POINT('',#552361); #169044=VERTEX_POINT('',#552365); #169045=VERTEX_POINT('',#552367); #169046=VERTEX_POINT('',#552371); #169047=VERTEX_POINT('',#552373); #169048=VERTEX_POINT('',#552377); #169049=VERTEX_POINT('',#552379); #169050=VERTEX_POINT('',#552386); #169051=VERTEX_POINT('',#552387); #169052=VERTEX_POINT('',#552389); #169053=VERTEX_POINT('',#552391); #169054=VERTEX_POINT('',#552395); #169055=VERTEX_POINT('',#552397); #169056=VERTEX_POINT('',#552401); #169057=VERTEX_POINT('',#552403); #169058=VERTEX_POINT('',#552407); #169059=VERTEX_POINT('',#552409); #169060=VERTEX_POINT('',#552413); #169061=VERTEX_POINT('',#552415); #169062=VERTEX_POINT('',#552419); #169063=VERTEX_POINT('',#552421); #169064=VERTEX_POINT('',#552425); #169065=VERTEX_POINT('',#552427); #169066=VERTEX_POINT('',#552431); #169067=VERTEX_POINT('',#552433); #169068=VERTEX_POINT('',#552437); #169069=VERTEX_POINT('',#552439); #169070=VERTEX_POINT('',#552443); #169071=VERTEX_POINT('',#552445); #169072=VERTEX_POINT('',#552449); #169073=VERTEX_POINT('',#552451); #169074=VERTEX_POINT('',#552455); #169075=VERTEX_POINT('',#552457); #169076=VERTEX_POINT('',#552461); #169077=VERTEX_POINT('',#552463); #169078=VERTEX_POINT('',#552467); #169079=VERTEX_POINT('',#552469); #169080=VERTEX_POINT('',#552473); #169081=VERTEX_POINT('',#552475); #169082=VERTEX_POINT('',#552479); #169083=VERTEX_POINT('',#552481); #169084=VERTEX_POINT('',#552485); #169085=VERTEX_POINT('',#552487); #169086=VERTEX_POINT('',#552491); #169087=VERTEX_POINT('',#552493); #169088=VERTEX_POINT('',#552497); #169089=VERTEX_POINT('',#552499); #169090=VERTEX_POINT('',#552503); #169091=VERTEX_POINT('',#552505); #169092=VERTEX_POINT('',#552509); #169093=VERTEX_POINT('',#552511); #169094=VERTEX_POINT('',#552515); #169095=VERTEX_POINT('',#552517); #169096=VERTEX_POINT('',#552521); #169097=VERTEX_POINT('',#552523); #169098=VERTEX_POINT('',#552527); #169099=VERTEX_POINT('',#552529); #169100=VERTEX_POINT('',#552533); #169101=VERTEX_POINT('',#552535); #169102=VERTEX_POINT('',#552539); #169103=VERTEX_POINT('',#552541); #169104=VERTEX_POINT('',#552545); #169105=VERTEX_POINT('',#552547); #169106=VERTEX_POINT('',#552551); #169107=VERTEX_POINT('',#552553); #169108=VERTEX_POINT('',#552557); #169109=VERTEX_POINT('',#552559); #169110=VERTEX_POINT('',#552563); #169111=VERTEX_POINT('',#552565); #169112=VERTEX_POINT('',#552569); #169113=VERTEX_POINT('',#552571); #169114=VERTEX_POINT('',#552575); #169115=VERTEX_POINT('',#552577); #169116=VERTEX_POINT('',#552581); #169117=VERTEX_POINT('',#552583); #169118=VERTEX_POINT('',#552587); #169119=VERTEX_POINT('',#552589); #169120=VERTEX_POINT('',#552593); #169121=VERTEX_POINT('',#552595); #169122=VERTEX_POINT('',#552599); #169123=VERTEX_POINT('',#552601); #169124=VERTEX_POINT('',#552605); #169125=VERTEX_POINT('',#552607); #169126=VERTEX_POINT('',#552611); #169127=VERTEX_POINT('',#552613); #169128=VERTEX_POINT('',#552617); #169129=VERTEX_POINT('',#552619); #169130=VERTEX_POINT('',#552626); #169131=VERTEX_POINT('',#552627); #169132=VERTEX_POINT('',#552629); #169133=VERTEX_POINT('',#552631); #169134=VERTEX_POINT('',#552635); #169135=VERTEX_POINT('',#552637); #169136=VERTEX_POINT('',#552641); #169137=VERTEX_POINT('',#552643); #169138=VERTEX_POINT('',#552647); #169139=VERTEX_POINT('',#552649); #169140=VERTEX_POINT('',#552653); #169141=VERTEX_POINT('',#552655); #169142=VERTEX_POINT('',#552659); #169143=VERTEX_POINT('',#552661); #169144=VERTEX_POINT('',#552665); #169145=VERTEX_POINT('',#552667); #169146=VERTEX_POINT('',#552671); #169147=VERTEX_POINT('',#552673); #169148=VERTEX_POINT('',#552677); #169149=VERTEX_POINT('',#552679); #169150=VERTEX_POINT('',#552683); #169151=VERTEX_POINT('',#552685); #169152=VERTEX_POINT('',#552689); #169153=VERTEX_POINT('',#552691); #169154=VERTEX_POINT('',#552695); #169155=VERTEX_POINT('',#552697); #169156=VERTEX_POINT('',#552701); #169157=VERTEX_POINT('',#552703); #169158=VERTEX_POINT('',#552707); #169159=VERTEX_POINT('',#552709); #169160=VERTEX_POINT('',#552713); #169161=VERTEX_POINT('',#552715); #169162=VERTEX_POINT('',#552719); #169163=VERTEX_POINT('',#552721); #169164=VERTEX_POINT('',#552725); #169165=VERTEX_POINT('',#552727); #169166=VERTEX_POINT('',#552731); #169167=VERTEX_POINT('',#552733); #169168=VERTEX_POINT('',#552737); #169169=VERTEX_POINT('',#552739); #169170=VERTEX_POINT('',#552743); #169171=VERTEX_POINT('',#552745); #169172=VERTEX_POINT('',#552749); #169173=VERTEX_POINT('',#552751); #169174=VERTEX_POINT('',#552755); #169175=VERTEX_POINT('',#552757); #169176=VERTEX_POINT('',#552761); #169177=VERTEX_POINT('',#552763); #169178=VERTEX_POINT('',#552767); #169179=VERTEX_POINT('',#552769); #169180=VERTEX_POINT('',#552773); #169181=VERTEX_POINT('',#552775); #169182=VERTEX_POINT('',#552779); #169183=VERTEX_POINT('',#552781); #169184=VERTEX_POINT('',#552785); #169185=VERTEX_POINT('',#552787); #169186=VERTEX_POINT('',#552791); #169187=VERTEX_POINT('',#552793); #169188=VERTEX_POINT('',#552797); #169189=VERTEX_POINT('',#552799); #169190=VERTEX_POINT('',#552803); #169191=VERTEX_POINT('',#552805); #169192=VERTEX_POINT('',#552809); #169193=VERTEX_POINT('',#552811); #169194=VERTEX_POINT('',#552815); #169195=VERTEX_POINT('',#552817); #169196=VERTEX_POINT('',#552821); #169197=VERTEX_POINT('',#552823); #169198=VERTEX_POINT('',#552827); #169199=VERTEX_POINT('',#552829); #169200=VERTEX_POINT('',#552833); #169201=VERTEX_POINT('',#552835); #169202=VERTEX_POINT('',#552839); #169203=VERTEX_POINT('',#552841); #169204=VERTEX_POINT('',#552845); #169205=VERTEX_POINT('',#552847); #169206=VERTEX_POINT('',#552854); #169207=VERTEX_POINT('',#552855); #169208=VERTEX_POINT('',#552857); #169209=VERTEX_POINT('',#552859); #169210=VERTEX_POINT('',#552863); #169211=VERTEX_POINT('',#552865); #169212=VERTEX_POINT('',#552869); #169213=VERTEX_POINT('',#552871); #169214=VERTEX_POINT('',#552875); #169215=VERTEX_POINT('',#552877); #169216=VERTEX_POINT('',#552881); #169217=VERTEX_POINT('',#552883); #169218=VERTEX_POINT('',#552887); #169219=VERTEX_POINT('',#552889); #169220=VERTEX_POINT('',#552893); #169221=VERTEX_POINT('',#552895); #169222=VERTEX_POINT('',#552899); #169223=VERTEX_POINT('',#552901); #169224=VERTEX_POINT('',#552905); #169225=VERTEX_POINT('',#552907); #169226=VERTEX_POINT('',#552911); #169227=VERTEX_POINT('',#552913); #169228=VERTEX_POINT('',#552917); #169229=VERTEX_POINT('',#552919); #169230=VERTEX_POINT('',#552923); #169231=VERTEX_POINT('',#552925); #169232=VERTEX_POINT('',#552929); #169233=VERTEX_POINT('',#552931); #169234=VERTEX_POINT('',#552935); #169235=VERTEX_POINT('',#552937); #169236=VERTEX_POINT('',#552941); #169237=VERTEX_POINT('',#552943); #169238=VERTEX_POINT('',#552947); #169239=VERTEX_POINT('',#552949); #169240=VERTEX_POINT('',#552953); #169241=VERTEX_POINT('',#552955); #169242=VERTEX_POINT('',#552959); #169243=VERTEX_POINT('',#552961); #169244=VERTEX_POINT('',#552965); #169245=VERTEX_POINT('',#552967); #169246=VERTEX_POINT('',#552971); #169247=VERTEX_POINT('',#552973); #169248=VERTEX_POINT('',#552977); #169249=VERTEX_POINT('',#552979); #169250=VERTEX_POINT('',#552983); #169251=VERTEX_POINT('',#552985); #169252=VERTEX_POINT('',#552989); #169253=VERTEX_POINT('',#552991); #169254=VERTEX_POINT('',#552998); #169255=VERTEX_POINT('',#552999); #169256=VERTEX_POINT('',#553001); #169257=VERTEX_POINT('',#553003); #169258=VERTEX_POINT('',#553007); #169259=VERTEX_POINT('',#553009); #169260=VERTEX_POINT('',#553013); #169261=VERTEX_POINT('',#553015); #169262=VERTEX_POINT('',#553019); #169263=VERTEX_POINT('',#553021); #169264=VERTEX_POINT('',#553025); #169265=VERTEX_POINT('',#553027); #169266=VERTEX_POINT('',#553031); #169267=VERTEX_POINT('',#553033); #169268=VERTEX_POINT('',#553037); #169269=VERTEX_POINT('',#553039); #169270=VERTEX_POINT('',#553043); #169271=VERTEX_POINT('',#553045); #169272=VERTEX_POINT('',#553049); #169273=VERTEX_POINT('',#553051); #169274=VERTEX_POINT('',#553055); #169275=VERTEX_POINT('',#553057); #169276=VERTEX_POINT('',#553061); #169277=VERTEX_POINT('',#553063); #169278=VERTEX_POINT('',#553067); #169279=VERTEX_POINT('',#553069); #169280=VERTEX_POINT('',#553073); #169281=VERTEX_POINT('',#553075); #169282=VERTEX_POINT('',#553079); #169283=VERTEX_POINT('',#553081); #169284=VERTEX_POINT('',#553085); #169285=VERTEX_POINT('',#553087); #169286=VERTEX_POINT('',#553091); #169287=VERTEX_POINT('',#553093); #169288=VERTEX_POINT('',#553097); #169289=VERTEX_POINT('',#553099); #169290=VERTEX_POINT('',#553103); #169291=VERTEX_POINT('',#553105); #169292=VERTEX_POINT('',#553109); #169293=VERTEX_POINT('',#553111); #169294=VERTEX_POINT('',#553115); #169295=VERTEX_POINT('',#553117); #169296=VERTEX_POINT('',#553121); #169297=VERTEX_POINT('',#553123); #169298=VERTEX_POINT('',#553127); #169299=VERTEX_POINT('',#553129); #169300=VERTEX_POINT('',#553133); #169301=VERTEX_POINT('',#553135); #169302=VERTEX_POINT('',#553139); #169303=VERTEX_POINT('',#553141); #169304=VERTEX_POINT('',#553145); #169305=VERTEX_POINT('',#553147); #169306=VERTEX_POINT('',#553151); #169307=VERTEX_POINT('',#553153); #169308=VERTEX_POINT('',#553157); #169309=VERTEX_POINT('',#553159); #169310=VERTEX_POINT('',#553163); #169311=VERTEX_POINT('',#553165); #169312=VERTEX_POINT('',#553169); #169313=VERTEX_POINT('',#553171); #169314=VERTEX_POINT('',#553175); #169315=VERTEX_POINT('',#553177); #169316=VERTEX_POINT('',#553181); #169317=VERTEX_POINT('',#553183); #169318=VERTEX_POINT('',#553187); #169319=VERTEX_POINT('',#553189); #169320=VERTEX_POINT('',#553193); #169321=VERTEX_POINT('',#553195); #169322=VERTEX_POINT('',#553199); #169323=VERTEX_POINT('',#553201); #169324=VERTEX_POINT('',#553205); #169325=VERTEX_POINT('',#553207); #169326=VERTEX_POINT('',#553211); #169327=VERTEX_POINT('',#553213); #169328=VERTEX_POINT('',#553217); #169329=VERTEX_POINT('',#553219); #169330=VERTEX_POINT('',#553223); #169331=VERTEX_POINT('',#553225); #169332=VERTEX_POINT('',#553229); #169333=VERTEX_POINT('',#553231); #169334=VERTEX_POINT('',#553235); #169335=VERTEX_POINT('',#553237); #169336=VERTEX_POINT('',#553241); #169337=VERTEX_POINT('',#553243); #169338=VERTEX_POINT('',#553247); #169339=VERTEX_POINT('',#553249); #169340=VERTEX_POINT('',#553253); #169341=VERTEX_POINT('',#553255); #169342=VERTEX_POINT('',#553259); #169343=VERTEX_POINT('',#553261); #169344=VERTEX_POINT('',#553265); #169345=VERTEX_POINT('',#553267); #169346=VERTEX_POINT('',#553271); #169347=VERTEX_POINT('',#553273); #169348=VERTEX_POINT('',#553277); #169349=VERTEX_POINT('',#553279); #169350=VERTEX_POINT('',#553283); #169351=VERTEX_POINT('',#553285); #169352=VERTEX_POINT('',#553289); #169353=VERTEX_POINT('',#553291); #169354=VERTEX_POINT('',#553295); #169355=VERTEX_POINT('',#553297); #169356=VERTEX_POINT('',#553301); #169357=VERTEX_POINT('',#553303); #169358=VERTEX_POINT('',#553307); #169359=VERTEX_POINT('',#553309); #169360=VERTEX_POINT('',#553313); #169361=VERTEX_POINT('',#553315); #169362=VERTEX_POINT('',#553319); #169363=VERTEX_POINT('',#553321); #169364=VERTEX_POINT('',#553325); #169365=VERTEX_POINT('',#553327); #169366=VERTEX_POINT('',#553331); #169367=VERTEX_POINT('',#553333); #169368=VERTEX_POINT('',#553337); #169369=VERTEX_POINT('',#553339); #169370=VERTEX_POINT('',#553343); #169371=VERTEX_POINT('',#553345); #169372=VERTEX_POINT('',#553349); #169373=VERTEX_POINT('',#553351); #169374=VERTEX_POINT('',#553355); #169375=VERTEX_POINT('',#553357); #169376=VERTEX_POINT('',#553361); #169377=VERTEX_POINT('',#553363); #169378=VERTEX_POINT('',#553367); #169379=VERTEX_POINT('',#553369); #169380=VERTEX_POINT('',#553373); #169381=VERTEX_POINT('',#553375); #169382=VERTEX_POINT('',#553379); #169383=VERTEX_POINT('',#553381); #169384=VERTEX_POINT('',#553385); #169385=VERTEX_POINT('',#553387); #169386=VERTEX_POINT('',#553391); #169387=VERTEX_POINT('',#553393); #169388=VERTEX_POINT('',#553397); #169389=VERTEX_POINT('',#553399); #169390=VERTEX_POINT('',#553403); #169391=VERTEX_POINT('',#553405); #169392=VERTEX_POINT('',#553409); #169393=VERTEX_POINT('',#553411); #169394=VERTEX_POINT('',#553415); #169395=VERTEX_POINT('',#553417); #169396=VERTEX_POINT('',#553421); #169397=VERTEX_POINT('',#553423); #169398=VERTEX_POINT('',#553427); #169399=VERTEX_POINT('',#553429); #169400=VERTEX_POINT('',#553433); #169401=VERTEX_POINT('',#553435); #169402=VERTEX_POINT('',#553439); #169403=VERTEX_POINT('',#553441); #169404=VERTEX_POINT('',#553445); #169405=VERTEX_POINT('',#553447); #169406=VERTEX_POINT('',#553451); #169407=VERTEX_POINT('',#553453); #169408=VERTEX_POINT('',#553457); #169409=VERTEX_POINT('',#553459); #169410=VERTEX_POINT('',#553463); #169411=VERTEX_POINT('',#553465); #169412=VERTEX_POINT('',#553469); #169413=VERTEX_POINT('',#553471); #169414=VERTEX_POINT('',#553475); #169415=VERTEX_POINT('',#553477); #169416=VERTEX_POINT('',#553481); #169417=VERTEX_POINT('',#553483); #169418=VERTEX_POINT('',#553487); #169419=VERTEX_POINT('',#553489); #169420=VERTEX_POINT('',#553493); #169421=VERTEX_POINT('',#553495); #169422=VERTEX_POINT('',#553499); #169423=VERTEX_POINT('',#553501); #169424=VERTEX_POINT('',#553505); #169425=VERTEX_POINT('',#553507); #169426=VERTEX_POINT('',#553511); #169427=VERTEX_POINT('',#553513); #169428=VERTEX_POINT('',#553517); #169429=VERTEX_POINT('',#553519); #169430=VERTEX_POINT('',#553523); #169431=VERTEX_POINT('',#553525); #169432=VERTEX_POINT('',#553529); #169433=VERTEX_POINT('',#553531); #169434=VERTEX_POINT('',#553535); #169435=VERTEX_POINT('',#553537); #169436=VERTEX_POINT('',#553541); #169437=VERTEX_POINT('',#553543); #169438=VERTEX_POINT('',#553547); #169439=VERTEX_POINT('',#553549); #169440=VERTEX_POINT('',#553553); #169441=VERTEX_POINT('',#553555); #169442=VERTEX_POINT('',#553559); #169443=VERTEX_POINT('',#553561); #169444=VERTEX_POINT('',#553565); #169445=VERTEX_POINT('',#553567); #169446=VERTEX_POINT('',#553571); #169447=VERTEX_POINT('',#553573); #169448=VERTEX_POINT('',#553577); #169449=VERTEX_POINT('',#553579); #169450=VERTEX_POINT('',#553583); #169451=VERTEX_POINT('',#553585); #169452=VERTEX_POINT('',#553589); #169453=VERTEX_POINT('',#553591); #169454=VERTEX_POINT('',#553595); #169455=VERTEX_POINT('',#553597); #169456=VERTEX_POINT('',#553601); #169457=VERTEX_POINT('',#553603); #169458=VERTEX_POINT('',#553607); #169459=VERTEX_POINT('',#553609); #169460=VERTEX_POINT('',#553613); #169461=VERTEX_POINT('',#553615); #169462=VERTEX_POINT('',#553619); #169463=VERTEX_POINT('',#553621); #169464=VERTEX_POINT('',#553625); #169465=VERTEX_POINT('',#553627); #169466=VERTEX_POINT('',#553631); #169467=VERTEX_POINT('',#553633); #169468=VERTEX_POINT('',#553637); #169469=VERTEX_POINT('',#553639); #169470=VERTEX_POINT('',#553643); #169471=VERTEX_POINT('',#553645); #169472=VERTEX_POINT('',#553649); #169473=VERTEX_POINT('',#553651); #169474=VERTEX_POINT('',#553655); #169475=VERTEX_POINT('',#553657); #169476=VERTEX_POINT('',#553661); #169477=VERTEX_POINT('',#553663); #169478=VERTEX_POINT('',#553667); #169479=VERTEX_POINT('',#553669); #169480=VERTEX_POINT('',#553673); #169481=VERTEX_POINT('',#553675); #169482=VERTEX_POINT('',#553679); #169483=VERTEX_POINT('',#553681); #169484=VERTEX_POINT('',#553685); #169485=VERTEX_POINT('',#553687); #169486=VERTEX_POINT('',#553691); #169487=VERTEX_POINT('',#553693); #169488=VERTEX_POINT('',#553697); #169489=VERTEX_POINT('',#553699); #169490=VERTEX_POINT('',#553703); #169491=VERTEX_POINT('',#553705); #169492=VERTEX_POINT('',#553709); #169493=VERTEX_POINT('',#553711); #169494=VERTEX_POINT('',#553715); #169495=VERTEX_POINT('',#553717); #169496=VERTEX_POINT('',#553721); #169497=VERTEX_POINT('',#553723); #169498=VERTEX_POINT('',#553727); #169499=VERTEX_POINT('',#553729); #169500=VERTEX_POINT('',#553733); #169501=VERTEX_POINT('',#553735); #169502=VERTEX_POINT('',#553739); #169503=VERTEX_POINT('',#553741); #169504=VERTEX_POINT('',#553745); #169505=VERTEX_POINT('',#553747); #169506=VERTEX_POINT('',#553751); #169507=VERTEX_POINT('',#553753); #169508=VERTEX_POINT('',#553757); #169509=VERTEX_POINT('',#553759); #169510=VERTEX_POINT('',#553763); #169511=VERTEX_POINT('',#553765); #169512=VERTEX_POINT('',#553769); #169513=VERTEX_POINT('',#553771); #169514=VERTEX_POINT('',#553775); #169515=VERTEX_POINT('',#553777); #169516=VERTEX_POINT('',#553781); #169517=VERTEX_POINT('',#553783); #169518=VERTEX_POINT('',#553787); #169519=VERTEX_POINT('',#553789); #169520=VERTEX_POINT('',#553793); #169521=VERTEX_POINT('',#553795); #169522=VERTEX_POINT('',#553799); #169523=VERTEX_POINT('',#553801); #169524=VERTEX_POINT('',#553805); #169525=VERTEX_POINT('',#553807); #169526=VERTEX_POINT('',#553811); #169527=VERTEX_POINT('',#553813); #169528=VERTEX_POINT('',#553817); #169529=VERTEX_POINT('',#553819); #169530=VERTEX_POINT('',#553823); #169531=VERTEX_POINT('',#553825); #169532=VERTEX_POINT('',#553829); #169533=VERTEX_POINT('',#553831); #169534=VERTEX_POINT('',#553835); #169535=VERTEX_POINT('',#553837); #169536=VERTEX_POINT('',#553841); #169537=VERTEX_POINT('',#553843); #169538=VERTEX_POINT('',#553847); #169539=VERTEX_POINT('',#553849); #169540=VERTEX_POINT('',#553853); #169541=VERTEX_POINT('',#553855); #169542=VERTEX_POINT('',#553859); #169543=VERTEX_POINT('',#553861); #169544=VERTEX_POINT('',#553865); #169545=VERTEX_POINT('',#553867); #169546=VERTEX_POINT('',#553871); #169547=VERTEX_POINT('',#553873); #169548=VERTEX_POINT('',#553877); #169549=VERTEX_POINT('',#553879); #169550=VERTEX_POINT('',#553883); #169551=VERTEX_POINT('',#553885); #169552=VERTEX_POINT('',#553889); #169553=VERTEX_POINT('',#553891); #169554=VERTEX_POINT('',#553895); #169555=VERTEX_POINT('',#553897); #169556=VERTEX_POINT('',#553901); #169557=VERTEX_POINT('',#553903); #169558=VERTEX_POINT('',#553907); #169559=VERTEX_POINT('',#553909); #169560=VERTEX_POINT('',#553913); #169561=VERTEX_POINT('',#553915); #169562=VERTEX_POINT('',#553919); #169563=VERTEX_POINT('',#553921); #169564=VERTEX_POINT('',#553925); #169565=VERTEX_POINT('',#553927); #169566=VERTEX_POINT('',#553931); #169567=VERTEX_POINT('',#553933); #169568=VERTEX_POINT('',#553937); #169569=VERTEX_POINT('',#553939); #169570=VERTEX_POINT('',#553943); #169571=VERTEX_POINT('',#553945); #169572=VERTEX_POINT('',#553949); #169573=VERTEX_POINT('',#553951); #169574=VERTEX_POINT('',#553955); #169575=VERTEX_POINT('',#553957); #169576=VERTEX_POINT('',#553961); #169577=VERTEX_POINT('',#553963); #169578=VERTEX_POINT('',#553967); #169579=VERTEX_POINT('',#553969); #169580=VERTEX_POINT('',#553973); #169581=VERTEX_POINT('',#553975); #169582=VERTEX_POINT('',#553979); #169583=VERTEX_POINT('',#553981); #169584=VERTEX_POINT('',#553985); #169585=VERTEX_POINT('',#553987); #169586=VERTEX_POINT('',#553991); #169587=VERTEX_POINT('',#553993); #169588=VERTEX_POINT('',#553997); #169589=VERTEX_POINT('',#553999); #169590=VERTEX_POINT('',#554003); #169591=VERTEX_POINT('',#554005); #169592=VERTEX_POINT('',#554009); #169593=VERTEX_POINT('',#554011); #169594=VERTEX_POINT('',#554015); #169595=VERTEX_POINT('',#554017); #169596=VERTEX_POINT('',#554021); #169597=VERTEX_POINT('',#554023); #169598=VERTEX_POINT('',#554027); #169599=VERTEX_POINT('',#554029); #169600=VERTEX_POINT('',#554033); #169601=VERTEX_POINT('',#554035); #169602=VERTEX_POINT('',#554039); #169603=VERTEX_POINT('',#554041); #169604=VERTEX_POINT('',#554045); #169605=VERTEX_POINT('',#554047); #169606=VERTEX_POINT('',#554051); #169607=VERTEX_POINT('',#554053); #169608=VERTEX_POINT('',#554057); #169609=VERTEX_POINT('',#554059); #169610=VERTEX_POINT('',#554063); #169611=VERTEX_POINT('',#554065); #169612=VERTEX_POINT('',#554069); #169613=VERTEX_POINT('',#554071); #169614=VERTEX_POINT('',#554075); #169615=VERTEX_POINT('',#554077); #169616=VERTEX_POINT('',#554081); #169617=VERTEX_POINT('',#554083); #169618=VERTEX_POINT('',#554087); #169619=VERTEX_POINT('',#554089); #169620=VERTEX_POINT('',#554093); #169621=VERTEX_POINT('',#554095); #169622=VERTEX_POINT('',#554099); #169623=VERTEX_POINT('',#554101); #169624=VERTEX_POINT('',#554105); #169625=VERTEX_POINT('',#554107); #169626=VERTEX_POINT('',#554111); #169627=VERTEX_POINT('',#554113); #169628=VERTEX_POINT('',#554117); #169629=VERTEX_POINT('',#554119); #169630=VERTEX_POINT('',#554123); #169631=VERTEX_POINT('',#554125); #169632=VERTEX_POINT('',#554129); #169633=VERTEX_POINT('',#554131); #169634=VERTEX_POINT('',#554135); #169635=VERTEX_POINT('',#554137); #169636=VERTEX_POINT('',#554141); #169637=VERTEX_POINT('',#554143); #169638=VERTEX_POINT('',#554147); #169639=VERTEX_POINT('',#554149); #169640=VERTEX_POINT('',#554153); #169641=VERTEX_POINT('',#554155); #169642=VERTEX_POINT('',#554159); #169643=VERTEX_POINT('',#554161); #169644=VERTEX_POINT('',#554165); #169645=VERTEX_POINT('',#554167); #169646=VERTEX_POINT('',#554171); #169647=VERTEX_POINT('',#554173); #169648=VERTEX_POINT('',#554177); #169649=VERTEX_POINT('',#554179); #169650=VERTEX_POINT('',#554183); #169651=VERTEX_POINT('',#554185); #169652=VERTEX_POINT('',#554189); #169653=VERTEX_POINT('',#554191); #169654=VERTEX_POINT('',#554195); #169655=VERTEX_POINT('',#554197); #169656=VERTEX_POINT('',#554201); #169657=VERTEX_POINT('',#554203); #169658=VERTEX_POINT('',#554207); #169659=VERTEX_POINT('',#554209); #169660=VERTEX_POINT('',#554213); #169661=VERTEX_POINT('',#554215); #169662=VERTEX_POINT('',#554219); #169663=VERTEX_POINT('',#554221); #169664=VERTEX_POINT('',#554225); #169665=VERTEX_POINT('',#554227); #169666=VERTEX_POINT('',#554231); #169667=VERTEX_POINT('',#554233); #169668=VERTEX_POINT('',#554237); #169669=VERTEX_POINT('',#554239); #169670=VERTEX_POINT('',#554243); #169671=VERTEX_POINT('',#554245); #169672=VERTEX_POINT('',#554249); #169673=VERTEX_POINT('',#554251); #169674=VERTEX_POINT('',#554255); #169675=VERTEX_POINT('',#554257); #169676=VERTEX_POINT('',#554261); #169677=VERTEX_POINT('',#554263); #169678=VERTEX_POINT('',#554267); #169679=VERTEX_POINT('',#554269); #169680=VERTEX_POINT('',#554273); #169681=VERTEX_POINT('',#554275); #169682=VERTEX_POINT('',#554279); #169683=VERTEX_POINT('',#554281); #169684=VERTEX_POINT('',#554285); #169685=VERTEX_POINT('',#554287); #169686=VERTEX_POINT('',#554291); #169687=VERTEX_POINT('',#554293); #169688=VERTEX_POINT('',#554297); #169689=VERTEX_POINT('',#554299); #169690=VERTEX_POINT('',#554303); #169691=VERTEX_POINT('',#554305); #169692=VERTEX_POINT('',#554309); #169693=VERTEX_POINT('',#554311); #169694=VERTEX_POINT('',#554315); #169695=VERTEX_POINT('',#554317); #169696=VERTEX_POINT('',#554321); #169697=VERTEX_POINT('',#554323); #169698=VERTEX_POINT('',#554327); #169699=VERTEX_POINT('',#554329); #169700=VERTEX_POINT('',#554333); #169701=VERTEX_POINT('',#554335); #169702=VERTEX_POINT('',#554339); #169703=VERTEX_POINT('',#554341); #169704=VERTEX_POINT('',#554345); #169705=VERTEX_POINT('',#554347); #169706=VERTEX_POINT('',#554351); #169707=VERTEX_POINT('',#554353); #169708=VERTEX_POINT('',#554357); #169709=VERTEX_POINT('',#554359); #169710=VERTEX_POINT('',#554363); #169711=VERTEX_POINT('',#554365); #169712=VERTEX_POINT('',#554369); #169713=VERTEX_POINT('',#554371); #169714=VERTEX_POINT('',#554375); #169715=VERTEX_POINT('',#554377); #169716=VERTEX_POINT('',#554381); #169717=VERTEX_POINT('',#554383); #169718=VERTEX_POINT('',#554387); #169719=VERTEX_POINT('',#554389); #169720=VERTEX_POINT('',#554393); #169721=VERTEX_POINT('',#554395); #169722=VERTEX_POINT('',#554399); #169723=VERTEX_POINT('',#554401); #169724=VERTEX_POINT('',#554405); #169725=VERTEX_POINT('',#554407); #169726=VERTEX_POINT('',#554411); #169727=VERTEX_POINT('',#554413); #169728=VERTEX_POINT('',#554417); #169729=VERTEX_POINT('',#554419); #169730=VERTEX_POINT('',#554423); #169731=VERTEX_POINT('',#554425); #169732=VERTEX_POINT('',#554429); #169733=VERTEX_POINT('',#554431); #169734=VERTEX_POINT('',#554435); #169735=VERTEX_POINT('',#554437); #169736=VERTEX_POINT('',#554441); #169737=VERTEX_POINT('',#554443); #169738=VERTEX_POINT('',#554447); #169739=VERTEX_POINT('',#554449); #169740=VERTEX_POINT('',#554453); #169741=VERTEX_POINT('',#554455); #169742=VERTEX_POINT('',#554459); #169743=VERTEX_POINT('',#554461); #169744=VERTEX_POINT('',#554465); #169745=VERTEX_POINT('',#554467); #169746=VERTEX_POINT('',#554471); #169747=VERTEX_POINT('',#554473); #169748=VERTEX_POINT('',#554477); #169749=VERTEX_POINT('',#554479); #169750=VERTEX_POINT('',#554483); #169751=VERTEX_POINT('',#554485); #169752=VERTEX_POINT('',#554489); #169753=VERTEX_POINT('',#554491); #169754=VERTEX_POINT('',#554495); #169755=VERTEX_POINT('',#554497); #169756=VERTEX_POINT('',#554501); #169757=VERTEX_POINT('',#554503); #169758=VERTEX_POINT('',#554507); #169759=VERTEX_POINT('',#554509); #169760=VERTEX_POINT('',#554513); #169761=VERTEX_POINT('',#554515); #169762=VERTEX_POINT('',#554519); #169763=VERTEX_POINT('',#554521); #169764=VERTEX_POINT('',#554525); #169765=VERTEX_POINT('',#554527); #169766=VERTEX_POINT('',#554531); #169767=VERTEX_POINT('',#554533); #169768=VERTEX_POINT('',#554537); #169769=VERTEX_POINT('',#554539); #169770=VERTEX_POINT('',#554543); #169771=VERTEX_POINT('',#554545); #169772=VERTEX_POINT('',#554549); #169773=VERTEX_POINT('',#554551); #169774=VERTEX_POINT('',#554555); #169775=VERTEX_POINT('',#554557); #169776=VERTEX_POINT('',#554561); #169777=VERTEX_POINT('',#554563); #169778=VERTEX_POINT('',#554567); #169779=VERTEX_POINT('',#554569); #169780=VERTEX_POINT('',#554573); #169781=VERTEX_POINT('',#554575); #169782=VERTEX_POINT('',#554579); #169783=VERTEX_POINT('',#554581); #169784=VERTEX_POINT('',#554585); #169785=VERTEX_POINT('',#554587); #169786=VERTEX_POINT('',#554591); #169787=VERTEX_POINT('',#554593); #169788=VERTEX_POINT('',#554597); #169789=VERTEX_POINT('',#554599); #169790=VERTEX_POINT('',#554603); #169791=VERTEX_POINT('',#554605); #169792=VERTEX_POINT('',#554609); #169793=VERTEX_POINT('',#554611); #169794=VERTEX_POINT('',#554615); #169795=VERTEX_POINT('',#554617); #169796=VERTEX_POINT('',#554621); #169797=VERTEX_POINT('',#554623); #169798=VERTEX_POINT('',#554627); #169799=VERTEX_POINT('',#554629); #169800=VERTEX_POINT('',#554633); #169801=VERTEX_POINT('',#554635); #169802=VERTEX_POINT('',#554639); #169803=VERTEX_POINT('',#554641); #169804=VERTEX_POINT('',#554645); #169805=VERTEX_POINT('',#554647); #169806=VERTEX_POINT('',#554651); #169807=VERTEX_POINT('',#554653); #169808=VERTEX_POINT('',#554657); #169809=VERTEX_POINT('',#554659); #169810=VERTEX_POINT('',#554663); #169811=VERTEX_POINT('',#554665); #169812=VERTEX_POINT('',#554669); #169813=VERTEX_POINT('',#554671); #169814=VERTEX_POINT('',#554675); #169815=VERTEX_POINT('',#554677); #169816=VERTEX_POINT('',#554681); #169817=VERTEX_POINT('',#554683); #169818=VERTEX_POINT('',#554687); #169819=VERTEX_POINT('',#554689); #169820=VERTEX_POINT('',#554693); #169821=VERTEX_POINT('',#554695); #169822=VERTEX_POINT('',#554699); #169823=VERTEX_POINT('',#554701); #169824=VERTEX_POINT('',#554705); #169825=VERTEX_POINT('',#554707); #169826=VERTEX_POINT('',#554711); #169827=VERTEX_POINT('',#554713); #169828=VERTEX_POINT('',#554717); #169829=VERTEX_POINT('',#554719); #169830=VERTEX_POINT('',#554723); #169831=VERTEX_POINT('',#554725); #169832=VERTEX_POINT('',#554729); #169833=VERTEX_POINT('',#554731); #169834=VERTEX_POINT('',#554735); #169835=VERTEX_POINT('',#554737); #169836=VERTEX_POINT('',#554741); #169837=VERTEX_POINT('',#554743); #169838=VERTEX_POINT('',#554747); #169839=VERTEX_POINT('',#554749); #169840=VERTEX_POINT('',#554753); #169841=VERTEX_POINT('',#554755); #169842=VERTEX_POINT('',#554759); #169843=VERTEX_POINT('',#554761); #169844=VERTEX_POINT('',#554765); #169845=VERTEX_POINT('',#554767); #169846=VERTEX_POINT('',#554771); #169847=VERTEX_POINT('',#554773); #169848=VERTEX_POINT('',#554777); #169849=VERTEX_POINT('',#554779); #169850=VERTEX_POINT('',#554783); #169851=VERTEX_POINT('',#554785); #169852=VERTEX_POINT('',#554789); #169853=VERTEX_POINT('',#554791); #169854=VERTEX_POINT('',#554795); #169855=VERTEX_POINT('',#554797); #169856=VERTEX_POINT('',#554801); #169857=VERTEX_POINT('',#554803); #169858=VERTEX_POINT('',#554807); #169859=VERTEX_POINT('',#554809); #169860=VERTEX_POINT('',#554813); #169861=VERTEX_POINT('',#554815); #169862=VERTEX_POINT('',#554819); #169863=VERTEX_POINT('',#554821); #169864=VERTEX_POINT('',#554825); #169865=VERTEX_POINT('',#554827); #169866=VERTEX_POINT('',#554831); #169867=VERTEX_POINT('',#554833); #169868=VERTEX_POINT('',#554837); #169869=VERTEX_POINT('',#554839); #169870=VERTEX_POINT('',#554843); #169871=VERTEX_POINT('',#554845); #169872=VERTEX_POINT('',#554849); #169873=VERTEX_POINT('',#554851); #169874=VERTEX_POINT('',#554855); #169875=VERTEX_POINT('',#554857); #169876=VERTEX_POINT('',#554861); #169877=VERTEX_POINT('',#554863); #169878=VERTEX_POINT('',#554867); #169879=VERTEX_POINT('',#554869); #169880=VERTEX_POINT('',#554873); #169881=VERTEX_POINT('',#554875); #169882=VERTEX_POINT('',#554879); #169883=VERTEX_POINT('',#554881); #169884=VERTEX_POINT('',#554885); #169885=VERTEX_POINT('',#554887); #169886=VERTEX_POINT('',#554891); #169887=VERTEX_POINT('',#554893); #169888=VERTEX_POINT('',#554897); #169889=VERTEX_POINT('',#554899); #169890=VERTEX_POINT('',#554903); #169891=VERTEX_POINT('',#554905); #169892=VERTEX_POINT('',#554909); #169893=VERTEX_POINT('',#554911); #169894=VERTEX_POINT('',#554915); #169895=VERTEX_POINT('',#554917); #169896=VERTEX_POINT('',#554921); #169897=VERTEX_POINT('',#554923); #169898=VERTEX_POINT('',#554927); #169899=VERTEX_POINT('',#554929); #169900=VERTEX_POINT('',#554933); #169901=VERTEX_POINT('',#554935); #169902=VERTEX_POINT('',#554939); #169903=VERTEX_POINT('',#554941); #169904=VERTEX_POINT('',#554945); #169905=VERTEX_POINT('',#554947); #169906=VERTEX_POINT('',#554951); #169907=VERTEX_POINT('',#554953); #169908=VERTEX_POINT('',#554957); #169909=VERTEX_POINT('',#554959); #169910=VERTEX_POINT('',#554963); #169911=VERTEX_POINT('',#554965); #169912=VERTEX_POINT('',#554969); #169913=VERTEX_POINT('',#554971); #169914=VERTEX_POINT('',#554975); #169915=VERTEX_POINT('',#554977); #169916=VERTEX_POINT('',#554981); #169917=VERTEX_POINT('',#554983); #169918=VERTEX_POINT('',#554987); #169919=VERTEX_POINT('',#554989); #169920=VERTEX_POINT('',#554993); #169921=VERTEX_POINT('',#554995); #169922=VERTEX_POINT('',#554999); #169923=VERTEX_POINT('',#555001); #169924=VERTEX_POINT('',#555005); #169925=VERTEX_POINT('',#555007); #169926=VERTEX_POINT('',#555011); #169927=VERTEX_POINT('',#555013); #169928=VERTEX_POINT('',#555017); #169929=VERTEX_POINT('',#555019); #169930=VERTEX_POINT('',#555023); #169931=VERTEX_POINT('',#555025); #169932=VERTEX_POINT('',#555029); #169933=VERTEX_POINT('',#555031); #169934=VERTEX_POINT('',#555035); #169935=VERTEX_POINT('',#555037); #169936=VERTEX_POINT('',#555041); #169937=VERTEX_POINT('',#555043); #169938=VERTEX_POINT('',#555047); #169939=VERTEX_POINT('',#555049); #169940=VERTEX_POINT('',#555053); #169941=VERTEX_POINT('',#555055); #169942=VERTEX_POINT('',#555059); #169943=VERTEX_POINT('',#555061); #169944=VERTEX_POINT('',#555065); #169945=VERTEX_POINT('',#555067); #169946=VERTEX_POINT('',#555071); #169947=VERTEX_POINT('',#555073); #169948=VERTEX_POINT('',#555077); #169949=VERTEX_POINT('',#555079); #169950=VERTEX_POINT('',#555083); #169951=VERTEX_POINT('',#555085); #169952=VERTEX_POINT('',#555089); #169953=VERTEX_POINT('',#555091); #169954=VERTEX_POINT('',#555095); #169955=VERTEX_POINT('',#555097); #169956=VERTEX_POINT('',#555101); #169957=VERTEX_POINT('',#555103); #169958=VERTEX_POINT('',#555107); #169959=VERTEX_POINT('',#555109); #169960=VERTEX_POINT('',#555113); #169961=VERTEX_POINT('',#555115); #169962=VERTEX_POINT('',#555119); #169963=VERTEX_POINT('',#555121); #169964=VERTEX_POINT('',#555125); #169965=VERTEX_POINT('',#555127); #169966=VERTEX_POINT('',#555131); #169967=VERTEX_POINT('',#555133); #169968=VERTEX_POINT('',#555137); #169969=VERTEX_POINT('',#555139); #169970=VERTEX_POINT('',#555143); #169971=VERTEX_POINT('',#555145); #169972=VERTEX_POINT('',#555149); #169973=VERTEX_POINT('',#555151); #169974=VERTEX_POINT('',#555155); #169975=VERTEX_POINT('',#555157); #169976=VERTEX_POINT('',#555161); #169977=VERTEX_POINT('',#555163); #169978=VERTEX_POINT('',#555167); #169979=VERTEX_POINT('',#555169); #169980=VERTEX_POINT('',#555173); #169981=VERTEX_POINT('',#555175); #169982=VERTEX_POINT('',#555179); #169983=VERTEX_POINT('',#555181); #169984=VERTEX_POINT('',#555185); #169985=VERTEX_POINT('',#555187); #169986=VERTEX_POINT('',#555191); #169987=VERTEX_POINT('',#555193); #169988=VERTEX_POINT('',#555197); #169989=VERTEX_POINT('',#555199); #169990=VERTEX_POINT('',#555203); #169991=VERTEX_POINT('',#555205); #169992=VERTEX_POINT('',#555209); #169993=VERTEX_POINT('',#555211); #169994=VERTEX_POINT('',#555215); #169995=VERTEX_POINT('',#555217); #169996=VERTEX_POINT('',#555221); #169997=VERTEX_POINT('',#555223); #169998=VERTEX_POINT('',#555227); #169999=VERTEX_POINT('',#555229); #170000=VERTEX_POINT('',#555233); #170001=VERTEX_POINT('',#555235); #170002=VERTEX_POINT('',#555239); #170003=VERTEX_POINT('',#555241); #170004=VERTEX_POINT('',#555245); #170005=VERTEX_POINT('',#555247); #170006=VERTEX_POINT('',#555251); #170007=VERTEX_POINT('',#555253); #170008=VERTEX_POINT('',#555257); #170009=VERTEX_POINT('',#555259); #170010=VERTEX_POINT('',#555263); #170011=VERTEX_POINT('',#555265); #170012=VERTEX_POINT('',#555269); #170013=VERTEX_POINT('',#555271); #170014=VERTEX_POINT('',#555275); #170015=VERTEX_POINT('',#555277); #170016=VERTEX_POINT('',#555281); #170017=VERTEX_POINT('',#555283); #170018=VERTEX_POINT('',#555287); #170019=VERTEX_POINT('',#555289); #170020=VERTEX_POINT('',#555293); #170021=VERTEX_POINT('',#555295); #170022=VERTEX_POINT('',#555299); #170023=VERTEX_POINT('',#555301); #170024=VERTEX_POINT('',#555305); #170025=VERTEX_POINT('',#555307); #170026=VERTEX_POINT('',#555311); #170027=VERTEX_POINT('',#555313); #170028=VERTEX_POINT('',#555317); #170029=VERTEX_POINT('',#555319); #170030=VERTEX_POINT('',#555323); #170031=VERTEX_POINT('',#555325); #170032=VERTEX_POINT('',#555329); #170033=VERTEX_POINT('',#555331); #170034=VERTEX_POINT('',#555335); #170035=VERTEX_POINT('',#555337); #170036=VERTEX_POINT('',#555341); #170037=VERTEX_POINT('',#555343); #170038=VERTEX_POINT('',#555347); #170039=VERTEX_POINT('',#555349); #170040=VERTEX_POINT('',#555353); #170041=VERTEX_POINT('',#555355); #170042=VERTEX_POINT('',#555359); #170043=VERTEX_POINT('',#555361); #170044=VERTEX_POINT('',#555365); #170045=VERTEX_POINT('',#555367); #170046=VERTEX_POINT('',#555371); #170047=VERTEX_POINT('',#555373); #170048=VERTEX_POINT('',#555377); #170049=VERTEX_POINT('',#555379); #170050=VERTEX_POINT('',#555383); #170051=VERTEX_POINT('',#555385); #170052=VERTEX_POINT('',#555389); #170053=VERTEX_POINT('',#555391); #170054=VERTEX_POINT('',#555395); #170055=VERTEX_POINT('',#555397); #170056=VERTEX_POINT('',#555401); #170057=VERTEX_POINT('',#555403); #170058=VERTEX_POINT('',#555407); #170059=VERTEX_POINT('',#555409); #170060=VERTEX_POINT('',#555413); #170061=VERTEX_POINT('',#555415); #170062=VERTEX_POINT('',#555419); #170063=VERTEX_POINT('',#555421); #170064=VERTEX_POINT('',#555425); #170065=VERTEX_POINT('',#555427); #170066=VERTEX_POINT('',#555431); #170067=VERTEX_POINT('',#555433); #170068=VERTEX_POINT('',#555437); #170069=VERTEX_POINT('',#555439); #170070=VERTEX_POINT('',#555443); #170071=VERTEX_POINT('',#555445); #170072=VERTEX_POINT('',#555449); #170073=VERTEX_POINT('',#555451); #170074=VERTEX_POINT('',#555455); #170075=VERTEX_POINT('',#555457); #170076=VERTEX_POINT('',#555461); #170077=VERTEX_POINT('',#555463); #170078=VERTEX_POINT('',#555467); #170079=VERTEX_POINT('',#555469); #170080=VERTEX_POINT('',#555473); #170081=VERTEX_POINT('',#555475); #170082=VERTEX_POINT('',#555479); #170083=VERTEX_POINT('',#555481); #170084=VERTEX_POINT('',#555485); #170085=VERTEX_POINT('',#555487); #170086=VERTEX_POINT('',#555491); #170087=VERTEX_POINT('',#555493); #170088=VERTEX_POINT('',#555497); #170089=VERTEX_POINT('',#555499); #170090=VERTEX_POINT('',#555503); #170091=VERTEX_POINT('',#555505); #170092=VERTEX_POINT('',#555509); #170093=VERTEX_POINT('',#555511); #170094=VERTEX_POINT('',#555515); #170095=VERTEX_POINT('',#555517); #170096=VERTEX_POINT('',#555521); #170097=VERTEX_POINT('',#555523); #170098=VERTEX_POINT('',#555527); #170099=VERTEX_POINT('',#555529); #170100=VERTEX_POINT('',#555533); #170101=VERTEX_POINT('',#555535); #170102=VERTEX_POINT('',#555539); #170103=VERTEX_POINT('',#555541); #170104=VERTEX_POINT('',#555545); #170105=VERTEX_POINT('',#555547); #170106=VERTEX_POINT('',#555551); #170107=VERTEX_POINT('',#555553); #170108=VERTEX_POINT('',#555557); #170109=VERTEX_POINT('',#555559); #170110=VERTEX_POINT('',#555563); #170111=VERTEX_POINT('',#555565); #170112=VERTEX_POINT('',#555569); #170113=VERTEX_POINT('',#555571); #170114=VERTEX_POINT('',#555575); #170115=VERTEX_POINT('',#555577); #170116=VERTEX_POINT('',#555581); #170117=VERTEX_POINT('',#555583); #170118=VERTEX_POINT('',#555587); #170119=VERTEX_POINT('',#555589); #170120=VERTEX_POINT('',#555593); #170121=VERTEX_POINT('',#555595); #170122=VERTEX_POINT('',#555599); #170123=VERTEX_POINT('',#555601); #170124=VERTEX_POINT('',#555605); #170125=VERTEX_POINT('',#555607); #170126=VERTEX_POINT('',#555611); #170127=VERTEX_POINT('',#555613); #170128=VERTEX_POINT('',#555617); #170129=VERTEX_POINT('',#555619); #170130=VERTEX_POINT('',#555623); #170131=VERTEX_POINT('',#555625); #170132=VERTEX_POINT('',#555629); #170133=VERTEX_POINT('',#555631); #170134=VERTEX_POINT('',#555635); #170135=VERTEX_POINT('',#555637); #170136=VERTEX_POINT('',#555641); #170137=VERTEX_POINT('',#555643); #170138=VERTEX_POINT('',#555647); #170139=VERTEX_POINT('',#555649); #170140=VERTEX_POINT('',#555653); #170141=VERTEX_POINT('',#555655); #170142=VERTEX_POINT('',#555659); #170143=VERTEX_POINT('',#555661); #170144=VERTEX_POINT('',#555665); #170145=VERTEX_POINT('',#555667); #170146=VERTEX_POINT('',#555671); #170147=VERTEX_POINT('',#555673); #170148=VERTEX_POINT('',#555677); #170149=VERTEX_POINT('',#555679); #170150=VERTEX_POINT('',#555683); #170151=VERTEX_POINT('',#555685); #170152=VERTEX_POINT('',#555689); #170153=VERTEX_POINT('',#555691); #170154=VERTEX_POINT('',#555695); #170155=VERTEX_POINT('',#555697); #170156=VERTEX_POINT('',#555701); #170157=VERTEX_POINT('',#555703); #170158=VERTEX_POINT('',#555707); #170159=VERTEX_POINT('',#555709); #170160=VERTEX_POINT('',#555713); #170161=VERTEX_POINT('',#555715); #170162=VERTEX_POINT('',#555719); #170163=VERTEX_POINT('',#555721); #170164=VERTEX_POINT('',#555725); #170165=VERTEX_POINT('',#555727); #170166=VERTEX_POINT('',#555731); #170167=VERTEX_POINT('',#555733); #170168=VERTEX_POINT('',#555737); #170169=VERTEX_POINT('',#555739); #170170=VERTEX_POINT('',#555743); #170171=VERTEX_POINT('',#555745); #170172=VERTEX_POINT('',#555749); #170173=VERTEX_POINT('',#555751); #170174=VERTEX_POINT('',#555755); #170175=VERTEX_POINT('',#555757); #170176=VERTEX_POINT('',#555761); #170177=VERTEX_POINT('',#555763); #170178=VERTEX_POINT('',#555767); #170179=VERTEX_POINT('',#555769); #170180=VERTEX_POINT('',#555773); #170181=VERTEX_POINT('',#555775); #170182=VERTEX_POINT('',#555779); #170183=VERTEX_POINT('',#555781); #170184=VERTEX_POINT('',#555785); #170185=VERTEX_POINT('',#555787); #170186=VERTEX_POINT('',#555791); #170187=VERTEX_POINT('',#555793); #170188=VERTEX_POINT('',#555797); #170189=VERTEX_POINT('',#555799); #170190=VERTEX_POINT('',#555803); #170191=VERTEX_POINT('',#555805); #170192=VERTEX_POINT('',#555809); #170193=VERTEX_POINT('',#555811); #170194=VERTEX_POINT('',#555815); #170195=VERTEX_POINT('',#555817); #170196=VERTEX_POINT('',#555821); #170197=VERTEX_POINT('',#555823); #170198=VERTEX_POINT('',#555827); #170199=VERTEX_POINT('',#555829); #170200=VERTEX_POINT('',#555833); #170201=VERTEX_POINT('',#555835); #170202=VERTEX_POINT('',#555839); #170203=VERTEX_POINT('',#555841); #170204=VERTEX_POINT('',#555845); #170205=VERTEX_POINT('',#555847); #170206=VERTEX_POINT('',#555851); #170207=VERTEX_POINT('',#555853); #170208=VERTEX_POINT('',#555857); #170209=VERTEX_POINT('',#555859); #170210=VERTEX_POINT('',#555863); #170211=VERTEX_POINT('',#555865); #170212=VERTEX_POINT('',#555869); #170213=VERTEX_POINT('',#555871); #170214=VERTEX_POINT('',#555875); #170215=VERTEX_POINT('',#555877); #170216=VERTEX_POINT('',#555881); #170217=VERTEX_POINT('',#555883); #170218=VERTEX_POINT('',#555887); #170219=VERTEX_POINT('',#555889); #170220=VERTEX_POINT('',#555893); #170221=VERTEX_POINT('',#555895); #170222=VERTEX_POINT('',#555899); #170223=VERTEX_POINT('',#555901); #170224=VERTEX_POINT('',#555905); #170225=VERTEX_POINT('',#555907); #170226=VERTEX_POINT('',#555911); #170227=VERTEX_POINT('',#555913); #170228=VERTEX_POINT('',#555917); #170229=VERTEX_POINT('',#555919); #170230=VERTEX_POINT('',#555923); #170231=VERTEX_POINT('',#555925); #170232=VERTEX_POINT('',#555929); #170233=VERTEX_POINT('',#555931); #170234=VERTEX_POINT('',#555935); #170235=VERTEX_POINT('',#555937); #170236=VERTEX_POINT('',#555941); #170237=VERTEX_POINT('',#555943); #170238=VERTEX_POINT('',#555947); #170239=VERTEX_POINT('',#555949); #170240=VERTEX_POINT('',#555953); #170241=VERTEX_POINT('',#555955); #170242=VERTEX_POINT('',#555959); #170243=VERTEX_POINT('',#555961); #170244=VERTEX_POINT('',#555965); #170245=VERTEX_POINT('',#555967); #170246=VERTEX_POINT('',#555971); #170247=VERTEX_POINT('',#555973); #170248=VERTEX_POINT('',#555977); #170249=VERTEX_POINT('',#555979); #170250=VERTEX_POINT('',#555983); #170251=VERTEX_POINT('',#555985); #170252=VERTEX_POINT('',#555989); #170253=VERTEX_POINT('',#555991); #170254=VERTEX_POINT('',#555995); #170255=VERTEX_POINT('',#555997); #170256=VERTEX_POINT('',#556001); #170257=VERTEX_POINT('',#556003); #170258=VERTEX_POINT('',#556007); #170259=VERTEX_POINT('',#556009); #170260=VERTEX_POINT('',#556013); #170261=VERTEX_POINT('',#556015); #170262=VERTEX_POINT('',#556019); #170263=VERTEX_POINT('',#556021); #170264=VERTEX_POINT('',#556025); #170265=VERTEX_POINT('',#556027); #170266=VERTEX_POINT('',#556031); #170267=VERTEX_POINT('',#556033); #170268=VERTEX_POINT('',#556037); #170269=VERTEX_POINT('',#556039); #170270=VERTEX_POINT('',#556043); #170271=VERTEX_POINT('',#556045); #170272=VERTEX_POINT('',#556049); #170273=VERTEX_POINT('',#556051); #170274=VERTEX_POINT('',#556055); #170275=VERTEX_POINT('',#556057); #170276=VERTEX_POINT('',#556061); #170277=VERTEX_POINT('',#556063); #170278=VERTEX_POINT('',#556067); #170279=VERTEX_POINT('',#556069); #170280=VERTEX_POINT('',#556073); #170281=VERTEX_POINT('',#556075); #170282=VERTEX_POINT('',#556079); #170283=VERTEX_POINT('',#556081); #170284=VERTEX_POINT('',#556085); #170285=VERTEX_POINT('',#556087); #170286=VERTEX_POINT('',#556091); #170287=VERTEX_POINT('',#556093); #170288=VERTEX_POINT('',#556097); #170289=VERTEX_POINT('',#556099); #170290=VERTEX_POINT('',#556103); #170291=VERTEX_POINT('',#556105); #170292=VERTEX_POINT('',#556109); #170293=VERTEX_POINT('',#556111); #170294=VERTEX_POINT('',#556115); #170295=VERTEX_POINT('',#556117); #170296=VERTEX_POINT('',#556121); #170297=VERTEX_POINT('',#556123); #170298=VERTEX_POINT('',#556127); #170299=VERTEX_POINT('',#556129); #170300=VERTEX_POINT('',#556133); #170301=VERTEX_POINT('',#556135); #170302=VERTEX_POINT('',#556139); #170303=VERTEX_POINT('',#556141); #170304=VERTEX_POINT('',#556145); #170305=VERTEX_POINT('',#556147); #170306=VERTEX_POINT('',#556151); #170307=VERTEX_POINT('',#556153); #170308=VERTEX_POINT('',#556157); #170309=VERTEX_POINT('',#556159); #170310=VERTEX_POINT('',#556163); #170311=VERTEX_POINT('',#556165); #170312=VERTEX_POINT('',#556169); #170313=VERTEX_POINT('',#556171); #170314=VERTEX_POINT('',#556175); #170315=VERTEX_POINT('',#556177); #170316=VERTEX_POINT('',#556181); #170317=VERTEX_POINT('',#556183); #170318=VERTEX_POINT('',#556187); #170319=VERTEX_POINT('',#556189); #170320=VERTEX_POINT('',#556193); #170321=VERTEX_POINT('',#556195); #170322=VERTEX_POINT('',#556199); #170323=VERTEX_POINT('',#556201); #170324=VERTEX_POINT('',#556205); #170325=VERTEX_POINT('',#556207); #170326=VERTEX_POINT('',#556211); #170327=VERTEX_POINT('',#556213); #170328=VERTEX_POINT('',#556217); #170329=VERTEX_POINT('',#556219); #170330=VERTEX_POINT('',#556223); #170331=VERTEX_POINT('',#556225); #170332=VERTEX_POINT('',#556229); #170333=VERTEX_POINT('',#556231); #170334=VERTEX_POINT('',#556235); #170335=VERTEX_POINT('',#556237); #170336=VERTEX_POINT('',#556241); #170337=VERTEX_POINT('',#556243); #170338=VERTEX_POINT('',#556247); #170339=VERTEX_POINT('',#556249); #170340=VERTEX_POINT('',#556253); #170341=VERTEX_POINT('',#556255); #170342=VERTEX_POINT('',#556259); #170343=VERTEX_POINT('',#556261); #170344=VERTEX_POINT('',#556265); #170345=VERTEX_POINT('',#556267); #170346=VERTEX_POINT('',#556271); #170347=VERTEX_POINT('',#556273); #170348=VERTEX_POINT('',#556277); #170349=VERTEX_POINT('',#556279); #170350=VERTEX_POINT('',#556283); #170351=VERTEX_POINT('',#556285); #170352=VERTEX_POINT('',#556289); #170353=VERTEX_POINT('',#556291); #170354=VERTEX_POINT('',#556295); #170355=VERTEX_POINT('',#556297); #170356=VERTEX_POINT('',#556301); #170357=VERTEX_POINT('',#556303); #170358=VERTEX_POINT('',#556307); #170359=VERTEX_POINT('',#556309); #170360=VERTEX_POINT('',#556313); #170361=VERTEX_POINT('',#556315); #170362=VERTEX_POINT('',#556319); #170363=VERTEX_POINT('',#556321); #170364=VERTEX_POINT('',#556325); #170365=VERTEX_POINT('',#556327); #170366=VERTEX_POINT('',#556331); #170367=VERTEX_POINT('',#556333); #170368=VERTEX_POINT('',#556337); #170369=VERTEX_POINT('',#556339); #170370=VERTEX_POINT('',#556343); #170371=VERTEX_POINT('',#556345); #170372=VERTEX_POINT('',#556349); #170373=VERTEX_POINT('',#556351); #170374=VERTEX_POINT('',#556355); #170375=VERTEX_POINT('',#556357); #170376=VERTEX_POINT('',#556361); #170377=VERTEX_POINT('',#556363); #170378=VERTEX_POINT('',#556367); #170379=VERTEX_POINT('',#556369); #170380=VERTEX_POINT('',#556373); #170381=VERTEX_POINT('',#556375); #170382=VERTEX_POINT('',#556379); #170383=VERTEX_POINT('',#556381); #170384=VERTEX_POINT('',#556385); #170385=VERTEX_POINT('',#556387); #170386=VERTEX_POINT('',#556391); #170387=VERTEX_POINT('',#556393); #170388=VERTEX_POINT('',#556397); #170389=VERTEX_POINT('',#556399); #170390=VERTEX_POINT('',#556403); #170391=VERTEX_POINT('',#556405); #170392=VERTEX_POINT('',#556409); #170393=VERTEX_POINT('',#556411); #170394=VERTEX_POINT('',#556415); #170395=VERTEX_POINT('',#556417); #170396=VERTEX_POINT('',#556421); #170397=VERTEX_POINT('',#556423); #170398=VERTEX_POINT('',#556427); #170399=VERTEX_POINT('',#556429); #170400=VERTEX_POINT('',#556433); #170401=VERTEX_POINT('',#556435); #170402=VERTEX_POINT('',#556439); #170403=VERTEX_POINT('',#556441); #170404=VERTEX_POINT('',#556445); #170405=VERTEX_POINT('',#556447); #170406=VERTEX_POINT('',#556451); #170407=VERTEX_POINT('',#556453); #170408=VERTEX_POINT('',#556457); #170409=VERTEX_POINT('',#556459); #170410=VERTEX_POINT('',#556463); #170411=VERTEX_POINT('',#556465); #170412=VERTEX_POINT('',#556469); #170413=VERTEX_POINT('',#556471); #170414=VERTEX_POINT('',#556475); #170415=VERTEX_POINT('',#556477); #170416=VERTEX_POINT('',#556481); #170417=VERTEX_POINT('',#556483); #170418=VERTEX_POINT('',#556487); #170419=VERTEX_POINT('',#556489); #170420=VERTEX_POINT('',#556493); #170421=VERTEX_POINT('',#556495); #170422=VERTEX_POINT('',#556499); #170423=VERTEX_POINT('',#556501); #170424=VERTEX_POINT('',#556505); #170425=VERTEX_POINT('',#556507); #170426=VERTEX_POINT('',#556511); #170427=VERTEX_POINT('',#556513); #170428=VERTEX_POINT('',#556517); #170429=VERTEX_POINT('',#556519); #170430=VERTEX_POINT('',#556523); #170431=VERTEX_POINT('',#556525); #170432=VERTEX_POINT('',#556529); #170433=VERTEX_POINT('',#556531); #170434=VERTEX_POINT('',#556535); #170435=VERTEX_POINT('',#556537); #170436=VERTEX_POINT('',#556541); #170437=VERTEX_POINT('',#556543); #170438=VERTEX_POINT('',#556547); #170439=VERTEX_POINT('',#556549); #170440=VERTEX_POINT('',#556553); #170441=VERTEX_POINT('',#556555); #170442=VERTEX_POINT('',#556559); #170443=VERTEX_POINT('',#556561); #170444=VERTEX_POINT('',#556565); #170445=VERTEX_POINT('',#556567); #170446=VERTEX_POINT('',#556571); #170447=VERTEX_POINT('',#556573); #170448=VERTEX_POINT('',#556577); #170449=VERTEX_POINT('',#556579); #170450=VERTEX_POINT('',#556583); #170451=VERTEX_POINT('',#556585); #170452=VERTEX_POINT('',#556589); #170453=VERTEX_POINT('',#556591); #170454=VERTEX_POINT('',#556595); #170455=VERTEX_POINT('',#556597); #170456=VERTEX_POINT('',#556601); #170457=VERTEX_POINT('',#556603); #170458=VERTEX_POINT('',#556607); #170459=VERTEX_POINT('',#556609); #170460=VERTEX_POINT('',#556613); #170461=VERTEX_POINT('',#556615); #170462=VERTEX_POINT('',#556619); #170463=VERTEX_POINT('',#556621); #170464=VERTEX_POINT('',#556625); #170465=VERTEX_POINT('',#556627); #170466=VERTEX_POINT('',#556631); #170467=VERTEX_POINT('',#556633); #170468=VERTEX_POINT('',#556637); #170469=VERTEX_POINT('',#556639); #170470=VERTEX_POINT('',#556643); #170471=VERTEX_POINT('',#556645); #170472=VERTEX_POINT('',#556649); #170473=VERTEX_POINT('',#556651); #170474=VERTEX_POINT('',#556655); #170475=VERTEX_POINT('',#556657); #170476=VERTEX_POINT('',#556661); #170477=VERTEX_POINT('',#556663); #170478=VERTEX_POINT('',#556667); #170479=VERTEX_POINT('',#556669); #170480=VERTEX_POINT('',#556673); #170481=VERTEX_POINT('',#556675); #170482=VERTEX_POINT('',#556679); #170483=VERTEX_POINT('',#556681); #170484=VERTEX_POINT('',#556685); #170485=VERTEX_POINT('',#556687); #170486=VERTEX_POINT('',#556691); #170487=VERTEX_POINT('',#556693); #170488=VERTEX_POINT('',#556697); #170489=VERTEX_POINT('',#556699); #170490=VERTEX_POINT('',#556703); #170491=VERTEX_POINT('',#556705); #170492=VERTEX_POINT('',#556709); #170493=VERTEX_POINT('',#556711); #170494=VERTEX_POINT('',#556715); #170495=VERTEX_POINT('',#556717); #170496=VERTEX_POINT('',#556721); #170497=VERTEX_POINT('',#556723); #170498=VERTEX_POINT('',#556727); #170499=VERTEX_POINT('',#556729); #170500=VERTEX_POINT('',#556733); #170501=VERTEX_POINT('',#556735); #170502=VERTEX_POINT('',#556739); #170503=VERTEX_POINT('',#556741); #170504=VERTEX_POINT('',#556745); #170505=VERTEX_POINT('',#556747); #170506=VERTEX_POINT('',#556751); #170507=VERTEX_POINT('',#556753); #170508=VERTEX_POINT('',#556757); #170509=VERTEX_POINT('',#556759); #170510=VERTEX_POINT('',#556763); #170511=VERTEX_POINT('',#556765); #170512=VERTEX_POINT('',#556769); #170513=VERTEX_POINT('',#556771); #170514=VERTEX_POINT('',#556775); #170515=VERTEX_POINT('',#556777); #170516=VERTEX_POINT('',#556781); #170517=VERTEX_POINT('',#556783); #170518=VERTEX_POINT('',#556787); #170519=VERTEX_POINT('',#556789); #170520=VERTEX_POINT('',#556793); #170521=VERTEX_POINT('',#556795); #170522=VERTEX_POINT('',#556799); #170523=VERTEX_POINT('',#556801); #170524=VERTEX_POINT('',#556805); #170525=VERTEX_POINT('',#556807); #170526=VERTEX_POINT('',#556811); #170527=VERTEX_POINT('',#556813); #170528=VERTEX_POINT('',#556817); #170529=VERTEX_POINT('',#556819); #170530=VERTEX_POINT('',#556823); #170531=VERTEX_POINT('',#556825); #170532=VERTEX_POINT('',#556829); #170533=VERTEX_POINT('',#556831); #170534=VERTEX_POINT('',#556835); #170535=VERTEX_POINT('',#556837); #170536=VERTEX_POINT('',#556841); #170537=VERTEX_POINT('',#556843); #170538=VERTEX_POINT('',#556847); #170539=VERTEX_POINT('',#556849); #170540=VERTEX_POINT('',#556853); #170541=VERTEX_POINT('',#556855); #170542=VERTEX_POINT('',#556859); #170543=VERTEX_POINT('',#556861); #170544=VERTEX_POINT('',#556865); #170545=VERTEX_POINT('',#556867); #170546=VERTEX_POINT('',#556874); #170547=VERTEX_POINT('',#556875); #170548=VERTEX_POINT('',#556877); #170549=VERTEX_POINT('',#556879); #170550=VERTEX_POINT('',#556883); #170551=VERTEX_POINT('',#556885); #170552=VERTEX_POINT('',#556889); #170553=VERTEX_POINT('',#556891); #170554=VERTEX_POINT('',#556895); #170555=VERTEX_POINT('',#556897); #170556=VERTEX_POINT('',#556901); #170557=VERTEX_POINT('',#556903); #170558=VERTEX_POINT('',#556907); #170559=VERTEX_POINT('',#556909); #170560=VERTEX_POINT('',#556913); #170561=VERTEX_POINT('',#556915); #170562=VERTEX_POINT('',#556919); #170563=VERTEX_POINT('',#556921); #170564=VERTEX_POINT('',#556925); #170565=VERTEX_POINT('',#556927); #170566=VERTEX_POINT('',#556931); #170567=VERTEX_POINT('',#556933); #170568=VERTEX_POINT('',#556937); #170569=VERTEX_POINT('',#556939); #170570=VERTEX_POINT('',#556943); #170571=VERTEX_POINT('',#556945); #170572=VERTEX_POINT('',#556949); #170573=VERTEX_POINT('',#556951); #170574=VERTEX_POINT('',#556955); #170575=VERTEX_POINT('',#556957); #170576=VERTEX_POINT('',#556961); #170577=VERTEX_POINT('',#556963); #170578=VERTEX_POINT('',#556967); #170579=VERTEX_POINT('',#556969); #170580=VERTEX_POINT('',#556973); #170581=VERTEX_POINT('',#556975); #170582=VERTEX_POINT('',#556979); #170583=VERTEX_POINT('',#556981); #170584=VERTEX_POINT('',#556985); #170585=VERTEX_POINT('',#556987); #170586=VERTEX_POINT('',#556991); #170587=VERTEX_POINT('',#556993); #170588=VERTEX_POINT('',#556997); #170589=VERTEX_POINT('',#556999); #170590=VERTEX_POINT('',#557003); #170591=VERTEX_POINT('',#557005); #170592=VERTEX_POINT('',#557009); #170593=VERTEX_POINT('',#557011); #170594=VERTEX_POINT('',#557015); #170595=VERTEX_POINT('',#557017); #170596=VERTEX_POINT('',#557021); #170597=VERTEX_POINT('',#557023); #170598=VERTEX_POINT('',#557027); #170599=VERTEX_POINT('',#557029); #170600=VERTEX_POINT('',#557033); #170601=VERTEX_POINT('',#557035); #170602=VERTEX_POINT('',#557039); #170603=VERTEX_POINT('',#557041); #170604=VERTEX_POINT('',#557045); #170605=VERTEX_POINT('',#557047); #170606=VERTEX_POINT('',#557051); #170607=VERTEX_POINT('',#557053); #170608=VERTEX_POINT('',#557057); #170609=VERTEX_POINT('',#557059); #170610=VERTEX_POINT('',#557063); #170611=VERTEX_POINT('',#557065); #170612=VERTEX_POINT('',#557069); #170613=VERTEX_POINT('',#557071); #170614=VERTEX_POINT('',#557075); #170615=VERTEX_POINT('',#557077); #170616=VERTEX_POINT('',#557081); #170617=VERTEX_POINT('',#557083); #170618=VERTEX_POINT('',#557087); #170619=VERTEX_POINT('',#557089); #170620=VERTEX_POINT('',#557093); #170621=VERTEX_POINT('',#557095); #170622=VERTEX_POINT('',#557099); #170623=VERTEX_POINT('',#557101); #170624=VERTEX_POINT('',#557105); #170625=VERTEX_POINT('',#557107); #170626=VERTEX_POINT('',#557111); #170627=VERTEX_POINT('',#557113); #170628=VERTEX_POINT('',#557117); #170629=VERTEX_POINT('',#557119); #170630=VERTEX_POINT('',#557123); #170631=VERTEX_POINT('',#557125); #170632=VERTEX_POINT('',#557129); #170633=VERTEX_POINT('',#557131); #170634=VERTEX_POINT('',#557135); #170635=VERTEX_POINT('',#557137); #170636=VERTEX_POINT('',#557141); #170637=VERTEX_POINT('',#557143); #170638=VERTEX_POINT('',#557147); #170639=VERTEX_POINT('',#557149); #170640=VERTEX_POINT('',#557153); #170641=VERTEX_POINT('',#557155); #170642=VERTEX_POINT('',#557159); #170643=VERTEX_POINT('',#557161); #170644=VERTEX_POINT('',#557165); #170645=VERTEX_POINT('',#557167); #170646=VERTEX_POINT('',#557171); #170647=VERTEX_POINT('',#557173); #170648=VERTEX_POINT('',#557177); #170649=VERTEX_POINT('',#557179); #170650=VERTEX_POINT('',#557183); #170651=VERTEX_POINT('',#557185); #170652=VERTEX_POINT('',#557189); #170653=VERTEX_POINT('',#557191); #170654=VERTEX_POINT('',#557195); #170655=VERTEX_POINT('',#557197); #170656=VERTEX_POINT('',#557201); #170657=VERTEX_POINT('',#557203); #170658=VERTEX_POINT('',#557207); #170659=VERTEX_POINT('',#557209); #170660=VERTEX_POINT('',#557213); #170661=VERTEX_POINT('',#557215); #170662=VERTEX_POINT('',#557219); #170663=VERTEX_POINT('',#557221); #170664=VERTEX_POINT('',#557225); #170665=VERTEX_POINT('',#557227); #170666=VERTEX_POINT('',#557231); #170667=VERTEX_POINT('',#557233); #170668=VERTEX_POINT('',#557237); #170669=VERTEX_POINT('',#557239); #170670=VERTEX_POINT('',#557243); #170671=VERTEX_POINT('',#557245); #170672=VERTEX_POINT('',#557249); #170673=VERTEX_POINT('',#557251); #170674=VERTEX_POINT('',#557255); #170675=VERTEX_POINT('',#557257); #170676=VERTEX_POINT('',#557261); #170677=VERTEX_POINT('',#557263); #170678=VERTEX_POINT('',#557267); #170679=VERTEX_POINT('',#557269); #170680=VERTEX_POINT('',#557273); #170681=VERTEX_POINT('',#557275); #170682=VERTEX_POINT('',#557279); #170683=VERTEX_POINT('',#557281); #170684=VERTEX_POINT('',#557285); #170685=VERTEX_POINT('',#557287); #170686=VERTEX_POINT('',#557291); #170687=VERTEX_POINT('',#557293); #170688=VERTEX_POINT('',#557297); #170689=VERTEX_POINT('',#557299); #170690=VERTEX_POINT('',#557303); #170691=VERTEX_POINT('',#557305); #170692=VERTEX_POINT('',#557309); #170693=VERTEX_POINT('',#557311); #170694=VERTEX_POINT('',#557315); #170695=VERTEX_POINT('',#557317); #170696=VERTEX_POINT('',#557321); #170697=VERTEX_POINT('',#557323); #170698=VERTEX_POINT('',#557327); #170699=VERTEX_POINT('',#557329); #170700=VERTEX_POINT('',#557333); #170701=VERTEX_POINT('',#557335); #170702=VERTEX_POINT('',#557339); #170703=VERTEX_POINT('',#557341); #170704=VERTEX_POINT('',#557345); #170705=VERTEX_POINT('',#557347); #170706=VERTEX_POINT('',#557351); #170707=VERTEX_POINT('',#557353); #170708=VERTEX_POINT('',#557357); #170709=VERTEX_POINT('',#557359); #170710=VERTEX_POINT('',#557363); #170711=VERTEX_POINT('',#557365); #170712=VERTEX_POINT('',#557369); #170713=VERTEX_POINT('',#557371); #170714=VERTEX_POINT('',#557375); #170715=VERTEX_POINT('',#557377); #170716=VERTEX_POINT('',#557381); #170717=VERTEX_POINT('',#557383); #170718=VERTEX_POINT('',#557387); #170719=VERTEX_POINT('',#557389); #170720=VERTEX_POINT('',#557393); #170721=VERTEX_POINT('',#557395); #170722=VERTEX_POINT('',#557399); #170723=VERTEX_POINT('',#557401); #170724=VERTEX_POINT('',#557405); #170725=VERTEX_POINT('',#557407); #170726=VERTEX_POINT('',#557411); #170727=VERTEX_POINT('',#557413); #170728=VERTEX_POINT('',#557417); #170729=VERTEX_POINT('',#557419); #170730=VERTEX_POINT('',#557423); #170731=VERTEX_POINT('',#557425); #170732=VERTEX_POINT('',#557429); #170733=VERTEX_POINT('',#557431); #170734=VERTEX_POINT('',#557435); #170735=VERTEX_POINT('',#557437); #170736=VERTEX_POINT('',#557441); #170737=VERTEX_POINT('',#557443); #170738=VERTEX_POINT('',#557447); #170739=VERTEX_POINT('',#557449); #170740=VERTEX_POINT('',#557453); #170741=VERTEX_POINT('',#557455); #170742=VERTEX_POINT('',#557459); #170743=VERTEX_POINT('',#557461); #170744=VERTEX_POINT('',#557465); #170745=VERTEX_POINT('',#557467); #170746=VERTEX_POINT('',#557474); #170747=VERTEX_POINT('',#557475); #170748=VERTEX_POINT('',#557477); #170749=VERTEX_POINT('',#557479); #170750=VERTEX_POINT('',#557483); #170751=VERTEX_POINT('',#557485); #170752=VERTEX_POINT('',#557489); #170753=VERTEX_POINT('',#557491); #170754=VERTEX_POINT('',#557495); #170755=VERTEX_POINT('',#557497); #170756=VERTEX_POINT('',#557501); #170757=VERTEX_POINT('',#557503); #170758=VERTEX_POINT('',#557507); #170759=VERTEX_POINT('',#557509); #170760=VERTEX_POINT('',#557513); #170761=VERTEX_POINT('',#557515); #170762=VERTEX_POINT('',#557519); #170763=VERTEX_POINT('',#557521); #170764=VERTEX_POINT('',#557525); #170765=VERTEX_POINT('',#557527); #170766=VERTEX_POINT('',#557531); #170767=VERTEX_POINT('',#557533); #170768=VERTEX_POINT('',#557537); #170769=VERTEX_POINT('',#557539); #170770=VERTEX_POINT('',#557543); #170771=VERTEX_POINT('',#557545); #170772=VERTEX_POINT('',#557549); #170773=VERTEX_POINT('',#557551); #170774=VERTEX_POINT('',#557555); #170775=VERTEX_POINT('',#557557); #170776=VERTEX_POINT('',#557561); #170777=VERTEX_POINT('',#557563); #170778=VERTEX_POINT('',#557567); #170779=VERTEX_POINT('',#557569); #170780=VERTEX_POINT('',#557573); #170781=VERTEX_POINT('',#557575); #170782=VERTEX_POINT('',#557579); #170783=VERTEX_POINT('',#557581); #170784=VERTEX_POINT('',#557585); #170785=VERTEX_POINT('',#557587); #170786=VERTEX_POINT('',#557591); #170787=VERTEX_POINT('',#557593); #170788=VERTEX_POINT('',#557597); #170789=VERTEX_POINT('',#557599); #170790=VERTEX_POINT('',#557603); #170791=VERTEX_POINT('',#557605); #170792=VERTEX_POINT('',#557609); #170793=VERTEX_POINT('',#557611); #170794=VERTEX_POINT('',#557615); #170795=VERTEX_POINT('',#557617); #170796=VERTEX_POINT('',#557621); #170797=VERTEX_POINT('',#557623); #170798=VERTEX_POINT('',#557627); #170799=VERTEX_POINT('',#557629); #170800=VERTEX_POINT('',#557633); #170801=VERTEX_POINT('',#557635); #170802=VERTEX_POINT('',#557639); #170803=VERTEX_POINT('',#557641); #170804=VERTEX_POINT('',#557645); #170805=VERTEX_POINT('',#557647); #170806=VERTEX_POINT('',#557651); #170807=VERTEX_POINT('',#557653); #170808=VERTEX_POINT('',#557657); #170809=VERTEX_POINT('',#557659); #170810=VERTEX_POINT('',#557663); #170811=VERTEX_POINT('',#557665); #170812=VERTEX_POINT('',#557669); #170813=VERTEX_POINT('',#557671); #170814=VERTEX_POINT('',#557675); #170815=VERTEX_POINT('',#557677); #170816=VERTEX_POINT('',#557681); #170817=VERTEX_POINT('',#557683); #170818=VERTEX_POINT('',#557687); #170819=VERTEX_POINT('',#557689); #170820=VERTEX_POINT('',#557693); #170821=VERTEX_POINT('',#557695); #170822=VERTEX_POINT('',#557699); #170823=VERTEX_POINT('',#557701); #170824=VERTEX_POINT('',#557705); #170825=VERTEX_POINT('',#557707); #170826=VERTEX_POINT('',#557711); #170827=VERTEX_POINT('',#557713); #170828=VERTEX_POINT('',#557717); #170829=VERTEX_POINT('',#557719); #170830=VERTEX_POINT('',#557723); #170831=VERTEX_POINT('',#557725); #170832=VERTEX_POINT('',#557729); #170833=VERTEX_POINT('',#557731); #170834=VERTEX_POINT('',#557735); #170835=VERTEX_POINT('',#557737); #170836=VERTEX_POINT('',#557741); #170837=VERTEX_POINT('',#557743); #170838=VERTEX_POINT('',#557747); #170839=VERTEX_POINT('',#557749); #170840=VERTEX_POINT('',#557753); #170841=VERTEX_POINT('',#557755); #170842=VERTEX_POINT('',#557759); #170843=VERTEX_POINT('',#557761); #170844=VERTEX_POINT('',#557765); #170845=VERTEX_POINT('',#557767); #170846=VERTEX_POINT('',#557771); #170847=VERTEX_POINT('',#557773); #170848=VERTEX_POINT('',#557777); #170849=VERTEX_POINT('',#557779); #170850=VERTEX_POINT('',#557783); #170851=VERTEX_POINT('',#557785); #170852=VERTEX_POINT('',#557789); #170853=VERTEX_POINT('',#557791); #170854=VERTEX_POINT('',#557795); #170855=VERTEX_POINT('',#557797); #170856=VERTEX_POINT('',#557801); #170857=VERTEX_POINT('',#557803); #170858=VERTEX_POINT('',#557807); #170859=VERTEX_POINT('',#557809); #170860=VERTEX_POINT('',#557813); #170861=VERTEX_POINT('',#557815); #170862=VERTEX_POINT('',#557819); #170863=VERTEX_POINT('',#557821); #170864=VERTEX_POINT('',#557825); #170865=VERTEX_POINT('',#557827); #170866=VERTEX_POINT('',#557831); #170867=VERTEX_POINT('',#557833); #170868=VERTEX_POINT('',#557837); #170869=VERTEX_POINT('',#557839); #170870=VERTEX_POINT('',#557843); #170871=VERTEX_POINT('',#557845); #170872=VERTEX_POINT('',#557849); #170873=VERTEX_POINT('',#557851); #170874=VERTEX_POINT('',#557855); #170875=VERTEX_POINT('',#557857); #170876=VERTEX_POINT('',#557861); #170877=VERTEX_POINT('',#557863); #170878=VERTEX_POINT('',#557867); #170879=VERTEX_POINT('',#557869); #170880=VERTEX_POINT('',#557873); #170881=VERTEX_POINT('',#557875); #170882=VERTEX_POINT('',#557879); #170883=VERTEX_POINT('',#557881); #170884=VERTEX_POINT('',#557885); #170885=VERTEX_POINT('',#557887); #170886=VERTEX_POINT('',#557891); #170887=VERTEX_POINT('',#557893); #170888=VERTEX_POINT('',#557897); #170889=VERTEX_POINT('',#557899); #170890=VERTEX_POINT('',#557903); #170891=VERTEX_POINT('',#557905); #170892=VERTEX_POINT('',#557909); #170893=VERTEX_POINT('',#557911); #170894=VERTEX_POINT('',#557915); #170895=VERTEX_POINT('',#557917); #170896=VERTEX_POINT('',#557921); #170897=VERTEX_POINT('',#557923); #170898=VERTEX_POINT('',#557927); #170899=VERTEX_POINT('',#557929); #170900=VERTEX_POINT('',#557933); #170901=VERTEX_POINT('',#557935); #170902=VERTEX_POINT('',#557939); #170903=VERTEX_POINT('',#557941); #170904=VERTEX_POINT('',#557945); #170905=VERTEX_POINT('',#557947); #170906=VERTEX_POINT('',#557951); #170907=VERTEX_POINT('',#557953); #170908=VERTEX_POINT('',#557957); #170909=VERTEX_POINT('',#557959); #170910=VERTEX_POINT('',#557963); #170911=VERTEX_POINT('',#557965); #170912=VERTEX_POINT('',#557969); #170913=VERTEX_POINT('',#557971); #170914=VERTEX_POINT('',#557975); #170915=VERTEX_POINT('',#557977); #170916=VERTEX_POINT('',#557981); #170917=VERTEX_POINT('',#557983); #170918=VERTEX_POINT('',#557987); #170919=VERTEX_POINT('',#557989); #170920=VERTEX_POINT('',#557993); #170921=VERTEX_POINT('',#557995); #170922=VERTEX_POINT('',#557999); #170923=VERTEX_POINT('',#558001); #170924=VERTEX_POINT('',#558005); #170925=VERTEX_POINT('',#558007); #170926=VERTEX_POINT('',#558011); #170927=VERTEX_POINT('',#558013); #170928=VERTEX_POINT('',#558017); #170929=VERTEX_POINT('',#558019); #170930=VERTEX_POINT('',#558023); #170931=VERTEX_POINT('',#558025); #170932=VERTEX_POINT('',#558029); #170933=VERTEX_POINT('',#558031); #170934=VERTEX_POINT('',#558035); #170935=VERTEX_POINT('',#558037); #170936=VERTEX_POINT('',#558041); #170937=VERTEX_POINT('',#558043); #170938=VERTEX_POINT('',#558047); #170939=VERTEX_POINT('',#558049); #170940=VERTEX_POINT('',#558053); #170941=VERTEX_POINT('',#558055); #170942=VERTEX_POINT('',#558059); #170943=VERTEX_POINT('',#558061); #170944=VERTEX_POINT('',#558065); #170945=VERTEX_POINT('',#558067); #170946=VERTEX_POINT('',#558071); #170947=VERTEX_POINT('',#558073); #170948=VERTEX_POINT('',#558077); #170949=VERTEX_POINT('',#558079); #170950=VERTEX_POINT('',#558083); #170951=VERTEX_POINT('',#558085); #170952=VERTEX_POINT('',#558089); #170953=VERTEX_POINT('',#558091); #170954=VERTEX_POINT('',#558095); #170955=VERTEX_POINT('',#558097); #170956=VERTEX_POINT('',#558101); #170957=VERTEX_POINT('',#558103); #170958=VERTEX_POINT('',#558107); #170959=VERTEX_POINT('',#558109); #170960=VERTEX_POINT('',#558113); #170961=VERTEX_POINT('',#558115); #170962=VERTEX_POINT('',#558119); #170963=VERTEX_POINT('',#558121); #170964=VERTEX_POINT('',#558125); #170965=VERTEX_POINT('',#558127); #170966=VERTEX_POINT('',#558131); #170967=VERTEX_POINT('',#558133); #170968=VERTEX_POINT('',#558137); #170969=VERTEX_POINT('',#558139); #170970=VERTEX_POINT('',#558143); #170971=VERTEX_POINT('',#558145); #170972=VERTEX_POINT('',#558149); #170973=VERTEX_POINT('',#558151); #170974=VERTEX_POINT('',#558155); #170975=VERTEX_POINT('',#558157); #170976=VERTEX_POINT('',#558161); #170977=VERTEX_POINT('',#558163); #170978=VERTEX_POINT('',#558167); #170979=VERTEX_POINT('',#558169); #170980=VERTEX_POINT('',#558173); #170981=VERTEX_POINT('',#558175); #170982=VERTEX_POINT('',#558179); #170983=VERTEX_POINT('',#558181); #170984=VERTEX_POINT('',#558185); #170985=VERTEX_POINT('',#558187); #170986=VERTEX_POINT('',#558191); #170987=VERTEX_POINT('',#558193); #170988=VERTEX_POINT('',#558197); #170989=VERTEX_POINT('',#558199); #170990=VERTEX_POINT('',#558203); #170991=VERTEX_POINT('',#558205); #170992=VERTEX_POINT('',#558209); #170993=VERTEX_POINT('',#558211); #170994=VERTEX_POINT('',#558215); #170995=VERTEX_POINT('',#558217); #170996=VERTEX_POINT('',#558221); #170997=VERTEX_POINT('',#558223); #170998=VERTEX_POINT('',#558227); #170999=VERTEX_POINT('',#558229); #171000=VERTEX_POINT('',#558233); #171001=VERTEX_POINT('',#558235); #171002=VERTEX_POINT('',#558239); #171003=VERTEX_POINT('',#558241); #171004=VERTEX_POINT('',#558245); #171005=VERTEX_POINT('',#558247); #171006=VERTEX_POINT('',#558251); #171007=VERTEX_POINT('',#558253); #171008=VERTEX_POINT('',#558257); #171009=VERTEX_POINT('',#558259); #171010=VERTEX_POINT('',#558263); #171011=VERTEX_POINT('',#558265); #171012=VERTEX_POINT('',#558269); #171013=VERTEX_POINT('',#558271); #171014=VERTEX_POINT('',#558275); #171015=VERTEX_POINT('',#558277); #171016=VERTEX_POINT('',#558281); #171017=VERTEX_POINT('',#558283); #171018=VERTEX_POINT('',#558287); #171019=VERTEX_POINT('',#558289); #171020=VERTEX_POINT('',#558293); #171021=VERTEX_POINT('',#558295); #171022=VERTEX_POINT('',#558299); #171023=VERTEX_POINT('',#558301); #171024=VERTEX_POINT('',#558305); #171025=VERTEX_POINT('',#558307); #171026=VERTEX_POINT('',#558311); #171027=VERTEX_POINT('',#558313); #171028=VERTEX_POINT('',#558317); #171029=VERTEX_POINT('',#558319); #171030=VERTEX_POINT('',#558323); #171031=VERTEX_POINT('',#558325); #171032=VERTEX_POINT('',#558329); #171033=VERTEX_POINT('',#558331); #171034=VERTEX_POINT('',#558335); #171035=VERTEX_POINT('',#558337); #171036=VERTEX_POINT('',#558341); #171037=VERTEX_POINT('',#558343); #171038=VERTEX_POINT('',#558347); #171039=VERTEX_POINT('',#558349); #171040=VERTEX_POINT('',#558353); #171041=VERTEX_POINT('',#558355); #171042=VERTEX_POINT('',#558359); #171043=VERTEX_POINT('',#558361); #171044=VERTEX_POINT('',#558365); #171045=VERTEX_POINT('',#558367); #171046=VERTEX_POINT('',#558371); #171047=VERTEX_POINT('',#558373); #171048=VERTEX_POINT('',#558377); #171049=VERTEX_POINT('',#558379); #171050=VERTEX_POINT('',#558383); #171051=VERTEX_POINT('',#558385); #171052=VERTEX_POINT('',#558389); #171053=VERTEX_POINT('',#558391); #171054=VERTEX_POINT('',#558395); #171055=VERTEX_POINT('',#558397); #171056=VERTEX_POINT('',#558401); #171057=VERTEX_POINT('',#558403); #171058=VERTEX_POINT('',#558407); #171059=VERTEX_POINT('',#558409); #171060=VERTEX_POINT('',#558413); #171061=VERTEX_POINT('',#558415); #171062=VERTEX_POINT('',#558419); #171063=VERTEX_POINT('',#558421); #171064=VERTEX_POINT('',#558425); #171065=VERTEX_POINT('',#558427); #171066=VERTEX_POINT('',#558431); #171067=VERTEX_POINT('',#558433); #171068=VERTEX_POINT('',#558437); #171069=VERTEX_POINT('',#558439); #171070=VERTEX_POINT('',#558443); #171071=VERTEX_POINT('',#558445); #171072=VERTEX_POINT('',#558449); #171073=VERTEX_POINT('',#558451); #171074=VERTEX_POINT('',#558455); #171075=VERTEX_POINT('',#558457); #171076=VERTEX_POINT('',#558461); #171077=VERTEX_POINT('',#558463); #171078=VERTEX_POINT('',#558467); #171079=VERTEX_POINT('',#558469); #171080=VERTEX_POINT('',#558473); #171081=VERTEX_POINT('',#558475); #171082=VERTEX_POINT('',#558479); #171083=VERTEX_POINT('',#558481); #171084=VERTEX_POINT('',#558485); #171085=VERTEX_POINT('',#558487); #171086=VERTEX_POINT('',#558491); #171087=VERTEX_POINT('',#558493); #171088=VERTEX_POINT('',#558497); #171089=VERTEX_POINT('',#558499); #171090=VERTEX_POINT('',#558503); #171091=VERTEX_POINT('',#558505); #171092=VERTEX_POINT('',#558509); #171093=VERTEX_POINT('',#558511); #171094=VERTEX_POINT('',#558515); #171095=VERTEX_POINT('',#558517); #171096=VERTEX_POINT('',#558521); #171097=VERTEX_POINT('',#558523); #171098=VERTEX_POINT('',#558527); #171099=VERTEX_POINT('',#558529); #171100=VERTEX_POINT('',#558533); #171101=VERTEX_POINT('',#558535); #171102=VERTEX_POINT('',#558539); #171103=VERTEX_POINT('',#558541); #171104=VERTEX_POINT('',#558545); #171105=VERTEX_POINT('',#558547); #171106=VERTEX_POINT('',#558551); #171107=VERTEX_POINT('',#558553); #171108=VERTEX_POINT('',#558557); #171109=VERTEX_POINT('',#558559); #171110=VERTEX_POINT('',#558563); #171111=VERTEX_POINT('',#558565); #171112=VERTEX_POINT('',#558569); #171113=VERTEX_POINT('',#558571); #171114=VERTEX_POINT('',#558575); #171115=VERTEX_POINT('',#558577); #171116=VERTEX_POINT('',#558581); #171117=VERTEX_POINT('',#558583); #171118=VERTEX_POINT('',#558587); #171119=VERTEX_POINT('',#558589); #171120=VERTEX_POINT('',#558593); #171121=VERTEX_POINT('',#558595); #171122=VERTEX_POINT('',#558599); #171123=VERTEX_POINT('',#558601); #171124=VERTEX_POINT('',#558605); #171125=VERTEX_POINT('',#558607); #171126=VERTEX_POINT('',#558611); #171127=VERTEX_POINT('',#558613); #171128=VERTEX_POINT('',#558617); #171129=VERTEX_POINT('',#558619); #171130=VERTEX_POINT('',#558623); #171131=VERTEX_POINT('',#558625); #171132=VERTEX_POINT('',#558629); #171133=VERTEX_POINT('',#558631); #171134=VERTEX_POINT('',#558635); #171135=VERTEX_POINT('',#558637); #171136=VERTEX_POINT('',#558641); #171137=VERTEX_POINT('',#558643); #171138=VERTEX_POINT('',#558647); #171139=VERTEX_POINT('',#558649); #171140=VERTEX_POINT('',#558653); #171141=VERTEX_POINT('',#558655); #171142=VERTEX_POINT('',#558659); #171143=VERTEX_POINT('',#558661); #171144=VERTEX_POINT('',#558665); #171145=VERTEX_POINT('',#558667); #171146=VERTEX_POINT('',#558671); #171147=VERTEX_POINT('',#558673); #171148=VERTEX_POINT('',#558677); #171149=VERTEX_POINT('',#558679); #171150=VERTEX_POINT('',#558683); #171151=VERTEX_POINT('',#558685); #171152=VERTEX_POINT('',#558689); #171153=VERTEX_POINT('',#558691); #171154=VERTEX_POINT('',#558695); #171155=VERTEX_POINT('',#558697); #171156=VERTEX_POINT('',#558701); #171157=VERTEX_POINT('',#558703); #171158=VERTEX_POINT('',#558707); #171159=VERTEX_POINT('',#558709); #171160=VERTEX_POINT('',#558713); #171161=VERTEX_POINT('',#558715); #171162=VERTEX_POINT('',#558719); #171163=VERTEX_POINT('',#558721); #171164=VERTEX_POINT('',#558725); #171165=VERTEX_POINT('',#558727); #171166=VERTEX_POINT('',#558731); #171167=VERTEX_POINT('',#558733); #171168=VERTEX_POINT('',#558737); #171169=VERTEX_POINT('',#558739); #171170=VERTEX_POINT('',#558743); #171171=VERTEX_POINT('',#558745); #171172=VERTEX_POINT('',#558749); #171173=VERTEX_POINT('',#558751); #171174=VERTEX_POINT('',#558755); #171175=VERTEX_POINT('',#558757); #171176=VERTEX_POINT('',#558761); #171177=VERTEX_POINT('',#558763); #171178=VERTEX_POINT('',#558767); #171179=VERTEX_POINT('',#558769); #171180=VERTEX_POINT('',#558773); #171181=VERTEX_POINT('',#558775); #171182=VERTEX_POINT('',#558779); #171183=VERTEX_POINT('',#558781); #171184=VERTEX_POINT('',#558785); #171185=VERTEX_POINT('',#558787); #171186=VERTEX_POINT('',#558791); #171187=VERTEX_POINT('',#558793); #171188=VERTEX_POINT('',#558797); #171189=VERTEX_POINT('',#558799); #171190=VERTEX_POINT('',#558803); #171191=VERTEX_POINT('',#558805); #171192=VERTEX_POINT('',#558809); #171193=VERTEX_POINT('',#558811); #171194=VERTEX_POINT('',#558815); #171195=VERTEX_POINT('',#558817); #171196=VERTEX_POINT('',#558821); #171197=VERTEX_POINT('',#558823); #171198=VERTEX_POINT('',#558827); #171199=VERTEX_POINT('',#558829); #171200=VERTEX_POINT('',#558833); #171201=VERTEX_POINT('',#558835); #171202=VERTEX_POINT('',#558839); #171203=VERTEX_POINT('',#558841); #171204=VERTEX_POINT('',#558845); #171205=VERTEX_POINT('',#558847); #171206=VERTEX_POINT('',#558851); #171207=VERTEX_POINT('',#558853); #171208=VERTEX_POINT('',#558857); #171209=VERTEX_POINT('',#558859); #171210=VERTEX_POINT('',#558863); #171211=VERTEX_POINT('',#558865); #171212=VERTEX_POINT('',#558869); #171213=VERTEX_POINT('',#558871); #171214=VERTEX_POINT('',#558875); #171215=VERTEX_POINT('',#558877); #171216=VERTEX_POINT('',#558881); #171217=VERTEX_POINT('',#558883); #171218=VERTEX_POINT('',#558887); #171219=VERTEX_POINT('',#558889); #171220=VERTEX_POINT('',#558893); #171221=VERTEX_POINT('',#558895); #171222=VERTEX_POINT('',#558899); #171223=VERTEX_POINT('',#558901); #171224=VERTEX_POINT('',#558905); #171225=VERTEX_POINT('',#558907); #171226=VERTEX_POINT('',#558911); #171227=VERTEX_POINT('',#558913); #171228=VERTEX_POINT('',#558917); #171229=VERTEX_POINT('',#558919); #171230=VERTEX_POINT('',#558923); #171231=VERTEX_POINT('',#558925); #171232=VERTEX_POINT('',#558929); #171233=VERTEX_POINT('',#558931); #171234=VERTEX_POINT('',#558935); #171235=VERTEX_POINT('',#558937); #171236=VERTEX_POINT('',#558941); #171237=VERTEX_POINT('',#558943); #171238=VERTEX_POINT('',#558947); #171239=VERTEX_POINT('',#558949); #171240=VERTEX_POINT('',#558953); #171241=VERTEX_POINT('',#558955); #171242=VERTEX_POINT('',#558959); #171243=VERTEX_POINT('',#558961); #171244=VERTEX_POINT('',#558965); #171245=VERTEX_POINT('',#558967); #171246=VERTEX_POINT('',#558971); #171247=VERTEX_POINT('',#558973); #171248=VERTEX_POINT('',#558980); #171249=VERTEX_POINT('',#558981); #171250=VERTEX_POINT('',#558983); #171251=VERTEX_POINT('',#558985); #171252=VERTEX_POINT('',#558989); #171253=VERTEX_POINT('',#558991); #171254=VERTEX_POINT('',#558995); #171255=VERTEX_POINT('',#558997); #171256=VERTEX_POINT('',#559001); #171257=VERTEX_POINT('',#559003); #171258=VERTEX_POINT('',#559007); #171259=VERTEX_POINT('',#559009); #171260=VERTEX_POINT('',#559013); #171261=VERTEX_POINT('',#559015); #171262=VERTEX_POINT('',#559019); #171263=VERTEX_POINT('',#559021); #171264=VERTEX_POINT('',#559025); #171265=VERTEX_POINT('',#559027); #171266=VERTEX_POINT('',#559031); #171267=VERTEX_POINT('',#559033); #171268=VERTEX_POINT('',#559037); #171269=VERTEX_POINT('',#559039); #171270=VERTEX_POINT('',#559043); #171271=VERTEX_POINT('',#559045); #171272=VERTEX_POINT('',#559049); #171273=VERTEX_POINT('',#559051); #171274=VERTEX_POINT('',#559055); #171275=VERTEX_POINT('',#559057); #171276=VERTEX_POINT('',#559061); #171277=VERTEX_POINT('',#559063); #171278=VERTEX_POINT('',#559067); #171279=VERTEX_POINT('',#559069); #171280=VERTEX_POINT('',#559073); #171281=VERTEX_POINT('',#559075); #171282=VERTEX_POINT('',#559079); #171283=VERTEX_POINT('',#559081); #171284=VERTEX_POINT('',#559085); #171285=VERTEX_POINT('',#559087); #171286=VERTEX_POINT('',#559091); #171287=VERTEX_POINT('',#559093); #171288=VERTEX_POINT('',#559097); #171289=VERTEX_POINT('',#559099); #171290=VERTEX_POINT('',#559103); #171291=VERTEX_POINT('',#559105); #171292=VERTEX_POINT('',#559109); #171293=VERTEX_POINT('',#559111); #171294=VERTEX_POINT('',#559115); #171295=VERTEX_POINT('',#559117); #171296=VERTEX_POINT('',#559121); #171297=VERTEX_POINT('',#559123); #171298=VERTEX_POINT('',#559127); #171299=VERTEX_POINT('',#559129); #171300=VERTEX_POINT('',#559133); #171301=VERTEX_POINT('',#559135); #171302=VERTEX_POINT('',#559139); #171303=VERTEX_POINT('',#559141); #171304=VERTEX_POINT('',#559145); #171305=VERTEX_POINT('',#559147); #171306=VERTEX_POINT('',#559151); #171307=VERTEX_POINT('',#559153); #171308=VERTEX_POINT('',#559160); #171309=VERTEX_POINT('',#559161); #171310=VERTEX_POINT('',#559163); #171311=VERTEX_POINT('',#559165); #171312=VERTEX_POINT('',#559169); #171313=VERTEX_POINT('',#559171); #171314=VERTEX_POINT('',#559175); #171315=VERTEX_POINT('',#559177); #171316=VERTEX_POINT('',#559181); #171317=VERTEX_POINT('',#559183); #171318=VERTEX_POINT('',#559187); #171319=VERTEX_POINT('',#559189); #171320=VERTEX_POINT('',#559193); #171321=VERTEX_POINT('',#559195); #171322=VERTEX_POINT('',#559199); #171323=VERTEX_POINT('',#559201); #171324=VERTEX_POINT('',#559205); #171325=VERTEX_POINT('',#559207); #171326=VERTEX_POINT('',#559211); #171327=VERTEX_POINT('',#559213); #171328=VERTEX_POINT('',#559217); #171329=VERTEX_POINT('',#559219); #171330=VERTEX_POINT('',#559223); #171331=VERTEX_POINT('',#559225); #171332=VERTEX_POINT('',#559229); #171333=VERTEX_POINT('',#559231); #171334=VERTEX_POINT('',#559235); #171335=VERTEX_POINT('',#559237); #171336=VERTEX_POINT('',#559241); #171337=VERTEX_POINT('',#559243); #171338=VERTEX_POINT('',#559247); #171339=VERTEX_POINT('',#559249); #171340=VERTEX_POINT('',#559253); #171341=VERTEX_POINT('',#559255); #171342=VERTEX_POINT('',#559259); #171343=VERTEX_POINT('',#559261); #171344=VERTEX_POINT('',#559265); #171345=VERTEX_POINT('',#559267); #171346=VERTEX_POINT('',#559271); #171347=VERTEX_POINT('',#559273); #171348=VERTEX_POINT('',#559277); #171349=VERTEX_POINT('',#559279); #171350=VERTEX_POINT('',#559283); #171351=VERTEX_POINT('',#559285); #171352=VERTEX_POINT('',#559289); #171353=VERTEX_POINT('',#559291); #171354=VERTEX_POINT('',#559295); #171355=VERTEX_POINT('',#559297); #171356=VERTEX_POINT('',#559301); #171357=VERTEX_POINT('',#559303); #171358=VERTEX_POINT('',#559307); #171359=VERTEX_POINT('',#559309); #171360=VERTEX_POINT('',#559313); #171361=VERTEX_POINT('',#559315); #171362=VERTEX_POINT('',#559319); #171363=VERTEX_POINT('',#559321); #171364=VERTEX_POINT('',#559325); #171365=VERTEX_POINT('',#559327); #171366=VERTEX_POINT('',#559331); #171367=VERTEX_POINT('',#559333); #171368=VERTEX_POINT('',#559340); #171369=VERTEX_POINT('',#559341); #171370=VERTEX_POINT('',#559343); #171371=VERTEX_POINT('',#559345); #171372=VERTEX_POINT('',#559349); #171373=VERTEX_POINT('',#559351); #171374=VERTEX_POINT('',#559355); #171375=VERTEX_POINT('',#559357); #171376=VERTEX_POINT('',#559361); #171377=VERTEX_POINT('',#559363); #171378=VERTEX_POINT('',#559367); #171379=VERTEX_POINT('',#559369); #171380=VERTEX_POINT('',#559373); #171381=VERTEX_POINT('',#559375); #171382=VERTEX_POINT('',#559379); #171383=VERTEX_POINT('',#559381); #171384=VERTEX_POINT('',#559385); #171385=VERTEX_POINT('',#559387); #171386=VERTEX_POINT('',#559391); #171387=VERTEX_POINT('',#559393); #171388=VERTEX_POINT('',#559397); #171389=VERTEX_POINT('',#559399); #171390=VERTEX_POINT('',#559403); #171391=VERTEX_POINT('',#559405); #171392=VERTEX_POINT('',#559409); #171393=VERTEX_POINT('',#559411); #171394=VERTEX_POINT('',#559415); #171395=VERTEX_POINT('',#559417); #171396=VERTEX_POINT('',#559421); #171397=VERTEX_POINT('',#559423); #171398=VERTEX_POINT('',#559427); #171399=VERTEX_POINT('',#559429); #171400=VERTEX_POINT('',#559433); #171401=VERTEX_POINT('',#559435); #171402=VERTEX_POINT('',#559439); #171403=VERTEX_POINT('',#559441); #171404=VERTEX_POINT('',#559445); #171405=VERTEX_POINT('',#559447); #171406=VERTEX_POINT('',#559451); #171407=VERTEX_POINT('',#559453); #171408=VERTEX_POINT('',#559457); #171409=VERTEX_POINT('',#559459); #171410=VERTEX_POINT('',#559463); #171411=VERTEX_POINT('',#559465); #171412=VERTEX_POINT('',#559469); #171413=VERTEX_POINT('',#559471); #171414=VERTEX_POINT('',#559475); #171415=VERTEX_POINT('',#559477); #171416=VERTEX_POINT('',#559481); #171417=VERTEX_POINT('',#559483); #171418=VERTEX_POINT('',#559487); #171419=VERTEX_POINT('',#559489); #171420=VERTEX_POINT('',#559493); #171421=VERTEX_POINT('',#559495); #171422=VERTEX_POINT('',#559499); #171423=VERTEX_POINT('',#559501); #171424=VERTEX_POINT('',#559505); #171425=VERTEX_POINT('',#559507); #171426=VERTEX_POINT('',#559511); #171427=VERTEX_POINT('',#559513); #171428=VERTEX_POINT('',#559520); #171429=VERTEX_POINT('',#559521); #171430=VERTEX_POINT('',#559523); #171431=VERTEX_POINT('',#559525); #171432=VERTEX_POINT('',#559529); #171433=VERTEX_POINT('',#559531); #171434=VERTEX_POINT('',#559535); #171435=VERTEX_POINT('',#559537); #171436=VERTEX_POINT('',#559541); #171437=VERTEX_POINT('',#559543); #171438=VERTEX_POINT('',#559547); #171439=VERTEX_POINT('',#559549); #171440=VERTEX_POINT('',#559553); #171441=VERTEX_POINT('',#559555); #171442=VERTEX_POINT('',#559559); #171443=VERTEX_POINT('',#559561); #171444=VERTEX_POINT('',#559565); #171445=VERTEX_POINT('',#559567); #171446=VERTEX_POINT('',#559571); #171447=VERTEX_POINT('',#559573); #171448=VERTEX_POINT('',#559577); #171449=VERTEX_POINT('',#559579); #171450=VERTEX_POINT('',#559583); #171451=VERTEX_POINT('',#559585); #171452=VERTEX_POINT('',#559589); #171453=VERTEX_POINT('',#559591); #171454=VERTEX_POINT('',#559595); #171455=VERTEX_POINT('',#559597); #171456=VERTEX_POINT('',#559601); #171457=VERTEX_POINT('',#559603); #171458=VERTEX_POINT('',#559607); #171459=VERTEX_POINT('',#559609); #171460=VERTEX_POINT('',#559613); #171461=VERTEX_POINT('',#559615); #171462=VERTEX_POINT('',#559619); #171463=VERTEX_POINT('',#559621); #171464=VERTEX_POINT('',#559625); #171465=VERTEX_POINT('',#559627); #171466=VERTEX_POINT('',#559631); #171467=VERTEX_POINT('',#559633); #171468=VERTEX_POINT('',#559637); #171469=VERTEX_POINT('',#559639); #171470=VERTEX_POINT('',#559643); #171471=VERTEX_POINT('',#559645); #171472=VERTEX_POINT('',#559649); #171473=VERTEX_POINT('',#559651); #171474=VERTEX_POINT('',#559655); #171475=VERTEX_POINT('',#559657); #171476=VERTEX_POINT('',#559661); #171477=VERTEX_POINT('',#559663); #171478=VERTEX_POINT('',#559667); #171479=VERTEX_POINT('',#559669); #171480=VERTEX_POINT('',#559673); #171481=VERTEX_POINT('',#559675); #171482=VERTEX_POINT('',#559679); #171483=VERTEX_POINT('',#559681); #171484=VERTEX_POINT('',#559685); #171485=VERTEX_POINT('',#559687); #171486=VERTEX_POINT('',#559691); #171487=VERTEX_POINT('',#559693); #171488=VERTEX_POINT('',#559697); #171489=VERTEX_POINT('',#559699); #171490=VERTEX_POINT('',#559703); #171491=VERTEX_POINT('',#559705); #171492=VERTEX_POINT('',#559709); #171493=VERTEX_POINT('',#559711); #171494=VERTEX_POINT('',#559715); #171495=VERTEX_POINT('',#559717); #171496=VERTEX_POINT('',#559721); #171497=VERTEX_POINT('',#559723); #171498=VERTEX_POINT('',#559727); #171499=VERTEX_POINT('',#559729); #171500=VERTEX_POINT('',#559733); #171501=VERTEX_POINT('',#559735); #171502=VERTEX_POINT('',#559739); #171503=VERTEX_POINT('',#559741); #171504=VERTEX_POINT('',#559745); #171505=VERTEX_POINT('',#559747); #171506=VERTEX_POINT('',#559751); #171507=VERTEX_POINT('',#559753); #171508=VERTEX_POINT('',#559757); #171509=VERTEX_POINT('',#559759); #171510=VERTEX_POINT('',#559763); #171511=VERTEX_POINT('',#559765); #171512=VERTEX_POINT('',#559769); #171513=VERTEX_POINT('',#559771); #171514=VERTEX_POINT('',#559775); #171515=VERTEX_POINT('',#559777); #171516=VERTEX_POINT('',#559781); #171517=VERTEX_POINT('',#559783); #171518=VERTEX_POINT('',#559787); #171519=VERTEX_POINT('',#559789); #171520=VERTEX_POINT('',#559793); #171521=VERTEX_POINT('',#559795); #171522=VERTEX_POINT('',#559799); #171523=VERTEX_POINT('',#559801); #171524=VERTEX_POINT('',#559805); #171525=VERTEX_POINT('',#559807); #171526=VERTEX_POINT('',#559811); #171527=VERTEX_POINT('',#559813); #171528=VERTEX_POINT('',#559817); #171529=VERTEX_POINT('',#559819); #171530=VERTEX_POINT('',#559823); #171531=VERTEX_POINT('',#559825); #171532=VERTEX_POINT('',#559829); #171533=VERTEX_POINT('',#559831); #171534=VERTEX_POINT('',#559835); #171535=VERTEX_POINT('',#559837); #171536=VERTEX_POINT('',#559841); #171537=VERTEX_POINT('',#559843); #171538=VERTEX_POINT('',#559847); #171539=VERTEX_POINT('',#559849); #171540=VERTEX_POINT('',#559853); #171541=VERTEX_POINT('',#559855); #171542=VERTEX_POINT('',#559859); #171543=VERTEX_POINT('',#559861); #171544=VERTEX_POINT('',#559865); #171545=VERTEX_POINT('',#559867); #171546=VERTEX_POINT('',#559871); #171547=VERTEX_POINT('',#559873); #171548=VERTEX_POINT('',#559877); #171549=VERTEX_POINT('',#559879); #171550=VERTEX_POINT('',#559883); #171551=VERTEX_POINT('',#559885); #171552=VERTEX_POINT('',#559889); #171553=VERTEX_POINT('',#559891); #171554=VERTEX_POINT('',#559895); #171555=VERTEX_POINT('',#559897); #171556=VERTEX_POINT('',#559901); #171557=VERTEX_POINT('',#559903); #171558=VERTEX_POINT('',#559907); #171559=VERTEX_POINT('',#559909); #171560=VERTEX_POINT('',#559913); #171561=VERTEX_POINT('',#559915); #171562=VERTEX_POINT('',#559919); #171563=VERTEX_POINT('',#559921); #171564=VERTEX_POINT('',#559925); #171565=VERTEX_POINT('',#559927); #171566=VERTEX_POINT('',#559931); #171567=VERTEX_POINT('',#559933); #171568=VERTEX_POINT('',#559937); #171569=VERTEX_POINT('',#559939); #171570=VERTEX_POINT('',#559943); #171571=VERTEX_POINT('',#559945); #171572=VERTEX_POINT('',#559949); #171573=VERTEX_POINT('',#559951); #171574=VERTEX_POINT('',#559955); #171575=VERTEX_POINT('',#559957); #171576=VERTEX_POINT('',#559961); #171577=VERTEX_POINT('',#559963); #171578=VERTEX_POINT('',#559967); #171579=VERTEX_POINT('',#559969); #171580=VERTEX_POINT('',#559973); #171581=VERTEX_POINT('',#559975); #171582=VERTEX_POINT('',#559979); #171583=VERTEX_POINT('',#559981); #171584=VERTEX_POINT('',#559985); #171585=VERTEX_POINT('',#559987); #171586=VERTEX_POINT('',#559991); #171587=VERTEX_POINT('',#559993); #171588=VERTEX_POINT('',#559997); #171589=VERTEX_POINT('',#559999); #171590=VERTEX_POINT('',#560003); #171591=VERTEX_POINT('',#560005); #171592=VERTEX_POINT('',#560009); #171593=VERTEX_POINT('',#560011); #171594=VERTEX_POINT('',#560015); #171595=VERTEX_POINT('',#560017); #171596=VERTEX_POINT('',#560021); #171597=VERTEX_POINT('',#560023); #171598=VERTEX_POINT('',#560027); #171599=VERTEX_POINT('',#560029); #171600=VERTEX_POINT('',#560033); #171601=VERTEX_POINT('',#560035); #171602=VERTEX_POINT('',#560039); #171603=VERTEX_POINT('',#560041); #171604=VERTEX_POINT('',#560045); #171605=VERTEX_POINT('',#560047); #171606=VERTEX_POINT('',#560051); #171607=VERTEX_POINT('',#560053); #171608=VERTEX_POINT('',#560057); #171609=VERTEX_POINT('',#560059); #171610=VERTEX_POINT('',#560063); #171611=VERTEX_POINT('',#560065); #171612=VERTEX_POINT('',#560069); #171613=VERTEX_POINT('',#560071); #171614=VERTEX_POINT('',#560075); #171615=VERTEX_POINT('',#560077); #171616=VERTEX_POINT('',#560081); #171617=VERTEX_POINT('',#560083); #171618=VERTEX_POINT('',#560087); #171619=VERTEX_POINT('',#560089); #171620=VERTEX_POINT('',#560093); #171621=VERTEX_POINT('',#560095); #171622=VERTEX_POINT('',#560099); #171623=VERTEX_POINT('',#560101); #171624=VERTEX_POINT('',#560105); #171625=VERTEX_POINT('',#560107); #171626=VERTEX_POINT('',#560111); #171627=VERTEX_POINT('',#560113); #171628=VERTEX_POINT('',#560117); #171629=VERTEX_POINT('',#560119); #171630=VERTEX_POINT('',#560123); #171631=VERTEX_POINT('',#560125); #171632=VERTEX_POINT('',#560129); #171633=VERTEX_POINT('',#560131); #171634=VERTEX_POINT('',#560135); #171635=VERTEX_POINT('',#560137); #171636=VERTEX_POINT('',#560141); #171637=VERTEX_POINT('',#560143); #171638=VERTEX_POINT('',#560147); #171639=VERTEX_POINT('',#560149); #171640=VERTEX_POINT('',#560153); #171641=VERTEX_POINT('',#560155); #171642=VERTEX_POINT('',#560159); #171643=VERTEX_POINT('',#560161); #171644=VERTEX_POINT('',#560165); #171645=VERTEX_POINT('',#560167); #171646=VERTEX_POINT('',#560171); #171647=VERTEX_POINT('',#560173); #171648=VERTEX_POINT('',#560177); #171649=VERTEX_POINT('',#560179); #171650=VERTEX_POINT('',#560183); #171651=VERTEX_POINT('',#560185); #171652=VERTEX_POINT('',#560189); #171653=VERTEX_POINT('',#560191); #171654=VERTEX_POINT('',#560195); #171655=VERTEX_POINT('',#560197); #171656=VERTEX_POINT('',#560201); #171657=VERTEX_POINT('',#560203); #171658=VERTEX_POINT('',#560207); #171659=VERTEX_POINT('',#560209); #171660=VERTEX_POINT('',#560213); #171661=VERTEX_POINT('',#560215); #171662=VERTEX_POINT('',#560219); #171663=VERTEX_POINT('',#560221); #171664=VERTEX_POINT('',#560225); #171665=VERTEX_POINT('',#560227); #171666=VERTEX_POINT('',#560231); #171667=VERTEX_POINT('',#560233); #171668=VERTEX_POINT('',#560242); #171669=VERTEX_POINT('',#560243); #171670=VERTEX_POINT('',#560245); #171671=VERTEX_POINT('',#560247); #171672=VERTEX_POINT('',#560251); #171673=VERTEX_POINT('',#560253); #171674=VERTEX_POINT('',#560257); #171675=VERTEX_POINT('',#560259); #171676=VERTEX_POINT('',#560263); #171677=VERTEX_POINT('',#560265); #171678=VERTEX_POINT('',#560269); #171679=VERTEX_POINT('',#560271); #171680=VERTEX_POINT('',#560275); #171681=VERTEX_POINT('',#560277); #171682=VERTEX_POINT('',#560281); #171683=VERTEX_POINT('',#560283); #171684=VERTEX_POINT('',#560287); #171685=VERTEX_POINT('',#560289); #171686=VERTEX_POINT('',#560293); #171687=VERTEX_POINT('',#560295); #171688=VERTEX_POINT('',#560299); #171689=VERTEX_POINT('',#560301); #171690=VERTEX_POINT('',#560305); #171691=VERTEX_POINT('',#560307); #171692=VERTEX_POINT('',#560311); #171693=VERTEX_POINT('',#560313); #171694=VERTEX_POINT('',#560317); #171695=VERTEX_POINT('',#560319); #171696=VERTEX_POINT('',#560323); #171697=VERTEX_POINT('',#560325); #171698=VERTEX_POINT('',#560329); #171699=VERTEX_POINT('',#560331); #171700=VERTEX_POINT('',#560335); #171701=VERTEX_POINT('',#560337); #171702=VERTEX_POINT('',#560341); #171703=VERTEX_POINT('',#560343); #171704=VERTEX_POINT('',#560347); #171705=VERTEX_POINT('',#560349); #171706=VERTEX_POINT('',#560353); #171707=VERTEX_POINT('',#560355); #171708=VERTEX_POINT('',#560359); #171709=VERTEX_POINT('',#560361); #171710=VERTEX_POINT('',#560365); #171711=VERTEX_POINT('',#560367); #171712=VERTEX_POINT('',#560371); #171713=VERTEX_POINT('',#560373); #171714=VERTEX_POINT('',#560377); #171715=VERTEX_POINT('',#560379); #171716=VERTEX_POINT('',#560383); #171717=VERTEX_POINT('',#560385); #171718=VERTEX_POINT('',#560389); #171719=VERTEX_POINT('',#560391); #171720=VERTEX_POINT('',#560395); #171721=VERTEX_POINT('',#560397); #171722=VERTEX_POINT('',#560406); #171723=VERTEX_POINT('',#560407); #171724=VERTEX_POINT('',#560409); #171725=VERTEX_POINT('',#560411); #171726=VERTEX_POINT('',#560415); #171727=VERTEX_POINT('',#560417); #171728=VERTEX_POINT('',#560421); #171729=VERTEX_POINT('',#560423); #171730=VERTEX_POINT('',#560427); #171731=VERTEX_POINT('',#560429); #171732=VERTEX_POINT('',#560433); #171733=VERTEX_POINT('',#560435); #171734=VERTEX_POINT('',#560439); #171735=VERTEX_POINT('',#560441); #171736=VERTEX_POINT('',#560445); #171737=VERTEX_POINT('',#560447); #171738=VERTEX_POINT('',#560451); #171739=VERTEX_POINT('',#560453); #171740=VERTEX_POINT('',#560457); #171741=VERTEX_POINT('',#560459); #171742=VERTEX_POINT('',#560463); #171743=VERTEX_POINT('',#560465); #171744=VERTEX_POINT('',#560469); #171745=VERTEX_POINT('',#560471); #171746=VERTEX_POINT('',#560475); #171747=VERTEX_POINT('',#560477); #171748=VERTEX_POINT('',#560481); #171749=VERTEX_POINT('',#560483); #171750=VERTEX_POINT('',#560487); #171751=VERTEX_POINT('',#560489); #171752=VERTEX_POINT('',#560493); #171753=VERTEX_POINT('',#560495); #171754=VERTEX_POINT('',#560499); #171755=VERTEX_POINT('',#560501); #171756=VERTEX_POINT('',#560505); #171757=VERTEX_POINT('',#560507); #171758=VERTEX_POINT('',#560511); #171759=VERTEX_POINT('',#560513); #171760=VERTEX_POINT('',#560517); #171761=VERTEX_POINT('',#560519); #171762=VERTEX_POINT('',#560523); #171763=VERTEX_POINT('',#560525); #171764=VERTEX_POINT('',#560534); #171765=VERTEX_POINT('',#560535); #171766=VERTEX_POINT('',#560537); #171767=VERTEX_POINT('',#560539); #171768=VERTEX_POINT('',#560543); #171769=VERTEX_POINT('',#560545); #171770=VERTEX_POINT('',#560549); #171771=VERTEX_POINT('',#560551); #171772=VERTEX_POINT('',#560555); #171773=VERTEX_POINT('',#560557); #171774=VERTEX_POINT('',#560561); #171775=VERTEX_POINT('',#560563); #171776=VERTEX_POINT('',#560567); #171777=VERTEX_POINT('',#560569); #171778=VERTEX_POINT('',#560573); #171779=VERTEX_POINT('',#560575); #171780=VERTEX_POINT('',#560579); #171781=VERTEX_POINT('',#560581); #171782=VERTEX_POINT('',#560585); #171783=VERTEX_POINT('',#560587); #171784=VERTEX_POINT('',#560591); #171785=VERTEX_POINT('',#560593); #171786=VERTEX_POINT('',#560597); #171787=VERTEX_POINT('',#560599); #171788=VERTEX_POINT('',#560603); #171789=VERTEX_POINT('',#560605); #171790=VERTEX_POINT('',#560609); #171791=VERTEX_POINT('',#560611); #171792=VERTEX_POINT('',#560615); #171793=VERTEX_POINT('',#560617); #171794=VERTEX_POINT('',#560621); #171795=VERTEX_POINT('',#560623); #171796=VERTEX_POINT('',#560627); #171797=VERTEX_POINT('',#560629); #171798=VERTEX_POINT('',#560633); #171799=VERTEX_POINT('',#560635); #171800=VERTEX_POINT('',#560639); #171801=VERTEX_POINT('',#560641); #171802=VERTEX_POINT('',#560645); #171803=VERTEX_POINT('',#560647); #171804=VERTEX_POINT('',#560656); #171805=VERTEX_POINT('',#560657); #171806=VERTEX_POINT('',#560659); #171807=VERTEX_POINT('',#560661); #171808=VERTEX_POINT('',#560665); #171809=VERTEX_POINT('',#560667); #171810=VERTEX_POINT('',#560671); #171811=VERTEX_POINT('',#560673); #171812=VERTEX_POINT('',#560677); #171813=VERTEX_POINT('',#560679); #171814=VERTEX_POINT('',#560683); #171815=VERTEX_POINT('',#560685); #171816=VERTEX_POINT('',#560689); #171817=VERTEX_POINT('',#560691); #171818=VERTEX_POINT('',#560695); #171819=VERTEX_POINT('',#560697); #171820=VERTEX_POINT('',#560701); #171821=VERTEX_POINT('',#560703); #171822=VERTEX_POINT('',#560707); #171823=VERTEX_POINT('',#560709); #171824=VERTEX_POINT('',#560713); #171825=VERTEX_POINT('',#560715); #171826=VERTEX_POINT('',#560719); #171827=VERTEX_POINT('',#560721); #171828=VERTEX_POINT('',#560725); #171829=VERTEX_POINT('',#560727); #171830=VERTEX_POINT('',#560731); #171831=VERTEX_POINT('',#560733); #171832=VERTEX_POINT('',#560737); #171833=VERTEX_POINT('',#560739); #171834=VERTEX_POINT('',#560743); #171835=VERTEX_POINT('',#560745); #171836=VERTEX_POINT('',#560749); #171837=VERTEX_POINT('',#560751); #171838=VERTEX_POINT('',#560755); #171839=VERTEX_POINT('',#560757); #171840=VERTEX_POINT('',#560761); #171841=VERTEX_POINT('',#560763); #171842=VERTEX_POINT('',#560767); #171843=VERTEX_POINT('',#560769); #171844=VERTEX_POINT('',#560773); #171845=VERTEX_POINT('',#560775); #171846=VERTEX_POINT('',#560779); #171847=VERTEX_POINT('',#560781); #171848=VERTEX_POINT('',#560785); #171849=VERTEX_POINT('',#560787); #171850=VERTEX_POINT('',#560791); #171851=VERTEX_POINT('',#560793); #171852=VERTEX_POINT('',#560797); #171853=VERTEX_POINT('',#560799); #171854=VERTEX_POINT('',#560803); #171855=VERTEX_POINT('',#560805); #171856=VERTEX_POINT('',#560809); #171857=VERTEX_POINT('',#560811); #171858=VERTEX_POINT('',#560815); #171859=VERTEX_POINT('',#560817); #171860=VERTEX_POINT('',#560821); #171861=VERTEX_POINT('',#560823); #171862=VERTEX_POINT('',#560827); #171863=VERTEX_POINT('',#560829); #171864=VERTEX_POINT('',#560833); #171865=VERTEX_POINT('',#560835); #171866=VERTEX_POINT('',#560839); #171867=VERTEX_POINT('',#560841); #171868=VERTEX_POINT('',#560845); #171869=VERTEX_POINT('',#560847); #171870=VERTEX_POINT('',#560851); #171871=VERTEX_POINT('',#560853); #171872=VERTEX_POINT('',#560857); #171873=VERTEX_POINT('',#560859); #171874=VERTEX_POINT('',#560863); #171875=VERTEX_POINT('',#560865); #171876=VERTEX_POINT('',#560869); #171877=VERTEX_POINT('',#560871); #171878=VERTEX_POINT('',#560875); #171879=VERTEX_POINT('',#560877); #171880=VERTEX_POINT('',#560881); #171881=VERTEX_POINT('',#560883); #171882=VERTEX_POINT('',#560887); #171883=VERTEX_POINT('',#560889); #171884=VERTEX_POINT('',#560893); #171885=VERTEX_POINT('',#560895); #171886=VERTEX_POINT('',#560899); #171887=VERTEX_POINT('',#560901); #171888=VERTEX_POINT('',#560905); #171889=VERTEX_POINT('',#560907); #171890=VERTEX_POINT('',#560911); #171891=VERTEX_POINT('',#560913); #171892=VERTEX_POINT('',#560917); #171893=VERTEX_POINT('',#560919); #171894=VERTEX_POINT('',#560923); #171895=VERTEX_POINT('',#560925); #171896=VERTEX_POINT('',#560929); #171897=VERTEX_POINT('',#560931); #171898=VERTEX_POINT('',#560940); #171899=VERTEX_POINT('',#560941); #171900=VERTEX_POINT('',#560943); #171901=VERTEX_POINT('',#560945); #171902=VERTEX_POINT('',#560949); #171903=VERTEX_POINT('',#560951); #171904=VERTEX_POINT('',#560955); #171905=VERTEX_POINT('',#560957); #171906=VERTEX_POINT('',#560961); #171907=VERTEX_POINT('',#560963); #171908=VERTEX_POINT('',#560967); #171909=VERTEX_POINT('',#560969); #171910=VERTEX_POINT('',#560973); #171911=VERTEX_POINT('',#560975); #171912=VERTEX_POINT('',#560979); #171913=VERTEX_POINT('',#560981); #171914=VERTEX_POINT('',#560985); #171915=VERTEX_POINT('',#560987); #171916=VERTEX_POINT('',#560991); #171917=VERTEX_POINT('',#560993); #171918=VERTEX_POINT('',#560997); #171919=VERTEX_POINT('',#560999); #171920=VERTEX_POINT('',#561003); #171921=VERTEX_POINT('',#561005); #171922=VERTEX_POINT('',#561009); #171923=VERTEX_POINT('',#561011); #171924=VERTEX_POINT('',#561015); #171925=VERTEX_POINT('',#561017); #171926=VERTEX_POINT('',#561021); #171927=VERTEX_POINT('',#561023); #171928=VERTEX_POINT('',#561027); #171929=VERTEX_POINT('',#561029); #171930=VERTEX_POINT('',#561033); #171931=VERTEX_POINT('',#561035); #171932=VERTEX_POINT('',#561039); #171933=VERTEX_POINT('',#561041); #171934=VERTEX_POINT('',#561045); #171935=VERTEX_POINT('',#561047); #171936=VERTEX_POINT('',#561051); #171937=VERTEX_POINT('',#561053); #171938=VERTEX_POINT('',#561057); #171939=VERTEX_POINT('',#561059); #171940=VERTEX_POINT('',#561063); #171941=VERTEX_POINT('',#561065); #171942=VERTEX_POINT('',#561069); #171943=VERTEX_POINT('',#561071); #171944=VERTEX_POINT('',#561075); #171945=VERTEX_POINT('',#561077); #171946=VERTEX_POINT('',#561081); #171947=VERTEX_POINT('',#561083); #171948=VERTEX_POINT('',#561087); #171949=VERTEX_POINT('',#561089); #171950=VERTEX_POINT('',#561093); #171951=VERTEX_POINT('',#561095); #171952=VERTEX_POINT('',#561099); #171953=VERTEX_POINT('',#561101); #171954=VERTEX_POINT('',#561105); #171955=VERTEX_POINT('',#561107); #171956=VERTEX_POINT('',#561111); #171957=VERTEX_POINT('',#561113); #171958=VERTEX_POINT('',#561117); #171959=VERTEX_POINT('',#561119); #171960=VERTEX_POINT('',#561123); #171961=VERTEX_POINT('',#561125); #171962=VERTEX_POINT('',#561129); #171963=VERTEX_POINT('',#561131); #171964=VERTEX_POINT('',#561135); #171965=VERTEX_POINT('',#561137); #171966=VERTEX_POINT('',#561146); #171967=VERTEX_POINT('',#561147); #171968=VERTEX_POINT('',#561149); #171969=VERTEX_POINT('',#561151); #171970=VERTEX_POINT('',#561155); #171971=VERTEX_POINT('',#561157); #171972=VERTEX_POINT('',#561161); #171973=VERTEX_POINT('',#561163); #171974=VERTEX_POINT('',#561167); #171975=VERTEX_POINT('',#561169); #171976=VERTEX_POINT('',#561173); #171977=VERTEX_POINT('',#561175); #171978=VERTEX_POINT('',#561179); #171979=VERTEX_POINT('',#561181); #171980=VERTEX_POINT('',#561185); #171981=VERTEX_POINT('',#561187); #171982=VERTEX_POINT('',#561191); #171983=VERTEX_POINT('',#561193); #171984=VERTEX_POINT('',#561197); #171985=VERTEX_POINT('',#561199); #171986=VERTEX_POINT('',#561203); #171987=VERTEX_POINT('',#561205); #171988=VERTEX_POINT('',#561209); #171989=VERTEX_POINT('',#561211); #171990=VERTEX_POINT('',#561215); #171991=VERTEX_POINT('',#561217); #171992=VERTEX_POINT('',#561221); #171993=VERTEX_POINT('',#561223); #171994=VERTEX_POINT('',#561227); #171995=VERTEX_POINT('',#561229); #171996=VERTEX_POINT('',#561233); #171997=VERTEX_POINT('',#561235); #171998=VERTEX_POINT('',#561239); #171999=VERTEX_POINT('',#561241); #172000=VERTEX_POINT('',#561245); #172001=VERTEX_POINT('',#561247); #172002=VERTEX_POINT('',#561251); #172003=VERTEX_POINT('',#561253); #172004=VERTEX_POINT('',#561257); #172005=VERTEX_POINT('',#561259); #172006=VERTEX_POINT('',#561263); #172007=VERTEX_POINT('',#561265); #172008=VERTEX_POINT('',#561269); #172009=VERTEX_POINT('',#561271); #172010=VERTEX_POINT('',#561280); #172011=VERTEX_POINT('',#561281); #172012=VERTEX_POINT('',#561283); #172013=VERTEX_POINT('',#561285); #172014=VERTEX_POINT('',#561289); #172015=VERTEX_POINT('',#561291); #172016=VERTEX_POINT('',#561295); #172017=VERTEX_POINT('',#561297); #172018=VERTEX_POINT('',#561301); #172019=VERTEX_POINT('',#561303); #172020=VERTEX_POINT('',#561307); #172021=VERTEX_POINT('',#561309); #172022=VERTEX_POINT('',#561313); #172023=VERTEX_POINT('',#561315); #172024=VERTEX_POINT('',#561319); #172025=VERTEX_POINT('',#561321); #172026=VERTEX_POINT('',#561325); #172027=VERTEX_POINT('',#561327); #172028=VERTEX_POINT('',#561331); #172029=VERTEX_POINT('',#561333); #172030=VERTEX_POINT('',#561337); #172031=VERTEX_POINT('',#561339); #172032=VERTEX_POINT('',#561343); #172033=VERTEX_POINT('',#561345); #172034=VERTEX_POINT('',#561349); #172035=VERTEX_POINT('',#561351); #172036=VERTEX_POINT('',#561355); #172037=VERTEX_POINT('',#561357); #172038=VERTEX_POINT('',#561361); #172039=VERTEX_POINT('',#561363); #172040=VERTEX_POINT('',#561367); #172041=VERTEX_POINT('',#561369); #172042=VERTEX_POINT('',#561373); #172043=VERTEX_POINT('',#561375); #172044=VERTEX_POINT('',#561379); #172045=VERTEX_POINT('',#561381); #172046=VERTEX_POINT('',#561385); #172047=VERTEX_POINT('',#561387); #172048=VERTEX_POINT('',#561391); #172049=VERTEX_POINT('',#561393); #172050=VERTEX_POINT('',#561402); #172051=VERTEX_POINT('',#561403); #172052=VERTEX_POINT('',#561405); #172053=VERTEX_POINT('',#561407); #172054=VERTEX_POINT('',#561411); #172055=VERTEX_POINT('',#561413); #172056=VERTEX_POINT('',#561417); #172057=VERTEX_POINT('',#561419); #172058=VERTEX_POINT('',#561423); #172059=VERTEX_POINT('',#561425); #172060=VERTEX_POINT('',#561429); #172061=VERTEX_POINT('',#561431); #172062=VERTEX_POINT('',#561435); #172063=VERTEX_POINT('',#561437); #172064=VERTEX_POINT('',#561441); #172065=VERTEX_POINT('',#561443); #172066=VERTEX_POINT('',#561447); #172067=VERTEX_POINT('',#561449); #172068=VERTEX_POINT('',#561453); #172069=VERTEX_POINT('',#561455); #172070=VERTEX_POINT('',#561459); #172071=VERTEX_POINT('',#561461); #172072=VERTEX_POINT('',#561465); #172073=VERTEX_POINT('',#561467); #172074=VERTEX_POINT('',#561471); #172075=VERTEX_POINT('',#561473); #172076=VERTEX_POINT('',#561477); #172077=VERTEX_POINT('',#561479); #172078=VERTEX_POINT('',#561483); #172079=VERTEX_POINT('',#561485); #172080=VERTEX_POINT('',#561489); #172081=VERTEX_POINT('',#561491); #172082=VERTEX_POINT('',#561495); #172083=VERTEX_POINT('',#561497); #172084=VERTEX_POINT('',#561501); #172085=VERTEX_POINT('',#561503); #172086=VERTEX_POINT('',#561507); #172087=VERTEX_POINT('',#561509); #172088=VERTEX_POINT('',#561513); #172089=VERTEX_POINT('',#561515); #172090=VERTEX_POINT('',#561519); #172091=VERTEX_POINT('',#561521); #172092=VERTEX_POINT('',#561525); #172093=VERTEX_POINT('',#561527); #172094=VERTEX_POINT('',#561531); #172095=VERTEX_POINT('',#561533); #172096=VERTEX_POINT('',#561537); #172097=VERTEX_POINT('',#561539); #172098=VERTEX_POINT('',#561543); #172099=VERTEX_POINT('',#561545); #172100=VERTEX_POINT('',#561549); #172101=VERTEX_POINT('',#561551); #172102=VERTEX_POINT('',#561555); #172103=VERTEX_POINT('',#561557); #172104=VERTEX_POINT('',#561561); #172105=VERTEX_POINT('',#561563); #172106=VERTEX_POINT('',#561567); #172107=VERTEX_POINT('',#561569); #172108=VERTEX_POINT('',#561573); #172109=VERTEX_POINT('',#561575); #172110=VERTEX_POINT('',#561579); #172111=VERTEX_POINT('',#561581); #172112=VERTEX_POINT('',#561585); #172113=VERTEX_POINT('',#561587); #172114=VERTEX_POINT('',#561591); #172115=VERTEX_POINT('',#561593); #172116=VERTEX_POINT('',#561597); #172117=VERTEX_POINT('',#561599); #172118=VERTEX_POINT('',#561603); #172119=VERTEX_POINT('',#561605); #172120=VERTEX_POINT('',#561609); #172121=VERTEX_POINT('',#561611); #172122=VERTEX_POINT('',#561615); #172123=VERTEX_POINT('',#561617); #172124=VERTEX_POINT('',#561621); #172125=VERTEX_POINT('',#561623); #172126=VERTEX_POINT('',#561627); #172127=VERTEX_POINT('',#561629); #172128=VERTEX_POINT('',#561633); #172129=VERTEX_POINT('',#561635); #172130=VERTEX_POINT('',#561639); #172131=VERTEX_POINT('',#561641); #172132=VERTEX_POINT('',#561645); #172133=VERTEX_POINT('',#561647); #172134=VERTEX_POINT('',#561651); #172135=VERTEX_POINT('',#561653); #172136=VERTEX_POINT('',#561657); #172137=VERTEX_POINT('',#561659); #172138=VERTEX_POINT('',#561663); #172139=VERTEX_POINT('',#561665); #172140=VERTEX_POINT('',#561669); #172141=VERTEX_POINT('',#561671); #172142=VERTEX_POINT('',#561675); #172143=VERTEX_POINT('',#561677); #172144=VERTEX_POINT('',#561681); #172145=VERTEX_POINT('',#561683); #172146=VERTEX_POINT('',#561687); #172147=VERTEX_POINT('',#561689); #172148=VERTEX_POINT('',#561693); #172149=VERTEX_POINT('',#561695); #172150=VERTEX_POINT('',#561699); #172151=VERTEX_POINT('',#561701); #172152=VERTEX_POINT('',#561705); #172153=VERTEX_POINT('',#561707); #172154=VERTEX_POINT('',#561711); #172155=VERTEX_POINT('',#561713); #172156=VERTEX_POINT('',#561717); #172157=VERTEX_POINT('',#561719); #172158=VERTEX_POINT('',#561723); #172159=VERTEX_POINT('',#561725); #172160=VERTEX_POINT('',#561729); #172161=VERTEX_POINT('',#561731); #172162=VERTEX_POINT('',#561735); #172163=VERTEX_POINT('',#561737); #172164=VERTEX_POINT('',#561741); #172165=VERTEX_POINT('',#561743); #172166=VERTEX_POINT('',#561747); #172167=VERTEX_POINT('',#561749); #172168=VERTEX_POINT('',#561753); #172169=VERTEX_POINT('',#561755); #172170=VERTEX_POINT('',#561759); #172171=VERTEX_POINT('',#561761); #172172=VERTEX_POINT('',#561765); #172173=VERTEX_POINT('',#561767); #172174=VERTEX_POINT('',#561771); #172175=VERTEX_POINT('',#561773); #172176=VERTEX_POINT('',#561777); #172177=VERTEX_POINT('',#561779); #172178=VERTEX_POINT('',#561783); #172179=VERTEX_POINT('',#561785); #172180=VERTEX_POINT('',#561789); #172181=VERTEX_POINT('',#561791); #172182=VERTEX_POINT('',#561795); #172183=VERTEX_POINT('',#561797); #172184=VERTEX_POINT('',#561801); #172185=VERTEX_POINT('',#561803); #172186=VERTEX_POINT('',#561807); #172187=VERTEX_POINT('',#561809); #172188=VERTEX_POINT('',#561813); #172189=VERTEX_POINT('',#561815); #172190=VERTEX_POINT('',#561819); #172191=VERTEX_POINT('',#561821); #172192=VERTEX_POINT('',#561825); #172193=VERTEX_POINT('',#561827); #172194=VERTEX_POINT('',#561836); #172195=VERTEX_POINT('',#561837); #172196=VERTEX_POINT('',#561839); #172197=VERTEX_POINT('',#561841); #172198=VERTEX_POINT('',#561845); #172199=VERTEX_POINT('',#561847); #172200=VERTEX_POINT('',#561851); #172201=VERTEX_POINT('',#561853); #172202=VERTEX_POINT('',#561857); #172203=VERTEX_POINT('',#561859); #172204=VERTEX_POINT('',#561863); #172205=VERTEX_POINT('',#561865); #172206=VERTEX_POINT('',#561869); #172207=VERTEX_POINT('',#561871); #172208=VERTEX_POINT('',#561875); #172209=VERTEX_POINT('',#561877); #172210=VERTEX_POINT('',#561881); #172211=VERTEX_POINT('',#561883); #172212=VERTEX_POINT('',#561887); #172213=VERTEX_POINT('',#561889); #172214=VERTEX_POINT('',#561893); #172215=VERTEX_POINT('',#561895); #172216=VERTEX_POINT('',#561899); #172217=VERTEX_POINT('',#561901); #172218=VERTEX_POINT('',#561905); #172219=VERTEX_POINT('',#561907); #172220=VERTEX_POINT('',#561911); #172221=VERTEX_POINT('',#561913); #172222=VERTEX_POINT('',#561917); #172223=VERTEX_POINT('',#561919); #172224=VERTEX_POINT('',#561923); #172225=VERTEX_POINT('',#561925); #172226=VERTEX_POINT('',#561929); #172227=VERTEX_POINT('',#561931); #172228=VERTEX_POINT('',#561935); #172229=VERTEX_POINT('',#561937); #172230=VERTEX_POINT('',#561941); #172231=VERTEX_POINT('',#561943); #172232=VERTEX_POINT('',#561947); #172233=VERTEX_POINT('',#561949); #172234=VERTEX_POINT('',#561953); #172235=VERTEX_POINT('',#561955); #172236=VERTEX_POINT('',#561959); #172237=VERTEX_POINT('',#561961); #172238=VERTEX_POINT('',#561965); #172239=VERTEX_POINT('',#561967); #172240=VERTEX_POINT('',#561971); #172241=VERTEX_POINT('',#561973); #172242=VERTEX_POINT('',#561977); #172243=VERTEX_POINT('',#561979); #172244=VERTEX_POINT('',#561983); #172245=VERTEX_POINT('',#561985); #172246=VERTEX_POINT('',#561989); #172247=VERTEX_POINT('',#561991); #172248=VERTEX_POINT('',#561995); #172249=VERTEX_POINT('',#561997); #172250=VERTEX_POINT('',#562006); #172251=VERTEX_POINT('',#562008); #172252=VERTEX_POINT('',#562012); #172253=VERTEX_POINT('',#562014); #172254=VERTEX_POINT('',#562018); #172255=VERTEX_POINT('',#562020); #172256=VERTEX_POINT('',#562024); #172257=VERTEX_POINT('',#562026); #172258=VERTEX_POINT('',#562030); #172259=VERTEX_POINT('',#562032); #172260=VERTEX_POINT('',#562036); #172261=VERTEX_POINT('',#562038); #172262=VERTEX_POINT('',#562042); #172263=VERTEX_POINT('',#562044); #172264=VERTEX_POINT('',#562048); #172265=VERTEX_POINT('',#562050); #172266=VERTEX_POINT('',#562054); #172267=VERTEX_POINT('',#562056); #172268=VERTEX_POINT('',#562060); #172269=VERTEX_POINT('',#562062); #172270=VERTEX_POINT('',#562066); #172271=VERTEX_POINT('',#562068); #172272=VERTEX_POINT('',#562072); #172273=VERTEX_POINT('',#562074); #172274=VERTEX_POINT('',#562078); #172275=VERTEX_POINT('',#562080); #172276=VERTEX_POINT('',#562084); #172277=VERTEX_POINT('',#562086); #172278=VERTEX_POINT('',#562090); #172279=VERTEX_POINT('',#562092); #172280=VERTEX_POINT('',#562096); #172281=VERTEX_POINT('',#562098); #172282=VERTEX_POINT('',#562102); #172283=VERTEX_POINT('',#562103); #172284=VERTEX_POINT('',#562105); #172285=VERTEX_POINT('',#562107); #172286=VERTEX_POINT('',#562111); #172287=VERTEX_POINT('',#562113); #172288=VERTEX_POINT('',#562117); #172289=VERTEX_POINT('',#562119); #172290=VERTEX_POINT('',#562123); #172291=VERTEX_POINT('',#562125); #172292=VERTEX_POINT('',#562129); #172293=VERTEX_POINT('',#562131); #172294=VERTEX_POINT('',#562135); #172295=VERTEX_POINT('',#562137); #172296=VERTEX_POINT('',#562141); #172297=VERTEX_POINT('',#562143); #172298=VERTEX_POINT('',#562147); #172299=VERTEX_POINT('',#562149); #172300=VERTEX_POINT('',#562153); #172301=VERTEX_POINT('',#562155); #172302=VERTEX_POINT('',#562159); #172303=VERTEX_POINT('',#562161); #172304=VERTEX_POINT('',#562165); #172305=VERTEX_POINT('',#562167); #172306=VERTEX_POINT('',#562171); #172307=VERTEX_POINT('',#562173); #172308=VERTEX_POINT('',#562177); #172309=VERTEX_POINT('',#562179); #172310=VERTEX_POINT('',#562183); #172311=VERTEX_POINT('',#562185); #172312=VERTEX_POINT('',#562189); #172313=VERTEX_POINT('',#562191); #172314=VERTEX_POINT('',#562195); #172315=VERTEX_POINT('',#562197); #172316=VERTEX_POINT('',#562201); #172317=VERTEX_POINT('',#562203); #172318=VERTEX_POINT('',#562207); #172319=VERTEX_POINT('',#562209); #172320=VERTEX_POINT('',#562213); #172321=VERTEX_POINT('',#562215); #172322=VERTEX_POINT('',#562219); #172323=VERTEX_POINT('',#562221); #172324=VERTEX_POINT('',#562225); #172325=VERTEX_POINT('',#562227); #172326=VERTEX_POINT('',#562231); #172327=VERTEX_POINT('',#562233); #172328=VERTEX_POINT('',#562237); #172329=VERTEX_POINT('',#562239); #172330=VERTEX_POINT('',#562243); #172331=VERTEX_POINT('',#562245); #172332=VERTEX_POINT('',#562249); #172333=VERTEX_POINT('',#562251); #172334=VERTEX_POINT('',#562255); #172335=VERTEX_POINT('',#562257); #172336=VERTEX_POINT('',#562261); #172337=VERTEX_POINT('',#562263); #172338=VERTEX_POINT('',#562267); #172339=VERTEX_POINT('',#562269); #172340=VERTEX_POINT('',#562273); #172341=VERTEX_POINT('',#562275); #172342=VERTEX_POINT('',#562279); #172343=VERTEX_POINT('',#562281); #172344=VERTEX_POINT('',#562285); #172345=VERTEX_POINT('',#562287); #172346=VERTEX_POINT('',#562291); #172347=VERTEX_POINT('',#562293); #172348=VERTEX_POINT('',#562297); #172349=VERTEX_POINT('',#562299); #172350=VERTEX_POINT('',#562303); #172351=VERTEX_POINT('',#562305); #172352=VERTEX_POINT('',#562309); #172353=VERTEX_POINT('',#562311); #172354=VERTEX_POINT('',#562315); #172355=VERTEX_POINT('',#562317); #172356=VERTEX_POINT('',#562321); #172357=VERTEX_POINT('',#562323); #172358=VERTEX_POINT('',#562327); #172359=VERTEX_POINT('',#562329); #172360=VERTEX_POINT('',#562333); #172361=VERTEX_POINT('',#562335); #172362=VERTEX_POINT('',#562339); #172363=VERTEX_POINT('',#562341); #172364=VERTEX_POINT('',#562345); #172365=VERTEX_POINT('',#562347); #172366=VERTEX_POINT('',#562351); #172367=VERTEX_POINT('',#562353); #172368=VERTEX_POINT('',#562357); #172369=VERTEX_POINT('',#562359); #172370=VERTEX_POINT('',#562363); #172371=VERTEX_POINT('',#562365); #172372=VERTEX_POINT('',#562369); #172373=VERTEX_POINT('',#562371); #172374=VERTEX_POINT('',#562375); #172375=VERTEX_POINT('',#562377); #172376=VERTEX_POINT('',#562381); #172377=VERTEX_POINT('',#562383); #172378=VERTEX_POINT('',#562387); #172379=VERTEX_POINT('',#562389); #172380=VERTEX_POINT('',#562393); #172381=VERTEX_POINT('',#562395); #172382=VERTEX_POINT('',#562399); #172383=VERTEX_POINT('',#562401); #172384=VERTEX_POINT('',#562405); #172385=VERTEX_POINT('',#562407); #172386=VERTEX_POINT('',#562411); #172387=VERTEX_POINT('',#562413); #172388=VERTEX_POINT('',#562417); #172389=VERTEX_POINT('',#562419); #172390=VERTEX_POINT('',#562423); #172391=VERTEX_POINT('',#562425); #172392=VERTEX_POINT('',#562429); #172393=VERTEX_POINT('',#562431); #172394=VERTEX_POINT('',#562435); #172395=VERTEX_POINT('',#562437); #172396=VERTEX_POINT('',#562441); #172397=VERTEX_POINT('',#562443); #172398=VERTEX_POINT('',#562447); #172399=VERTEX_POINT('',#562449); #172400=VERTEX_POINT('',#562453); #172401=VERTEX_POINT('',#562455); #172402=VERTEX_POINT('',#562459); #172403=VERTEX_POINT('',#562461); #172404=VERTEX_POINT('',#562465); #172405=VERTEX_POINT('',#562467); #172406=VERTEX_POINT('',#562471); #172407=VERTEX_POINT('',#562473); #172408=VERTEX_POINT('',#562477); #172409=VERTEX_POINT('',#562479); #172410=VERTEX_POINT('',#562483); #172411=VERTEX_POINT('',#562485); #172412=VERTEX_POINT('',#562489); #172413=VERTEX_POINT('',#562491); #172414=VERTEX_POINT('',#562495); #172415=VERTEX_POINT('',#562497); #172416=VERTEX_POINT('',#562501); #172417=VERTEX_POINT('',#562503); #172418=VERTEX_POINT('',#562507); #172419=VERTEX_POINT('',#562509); #172420=VERTEX_POINT('',#562513); #172421=VERTEX_POINT('',#562515); #172422=VERTEX_POINT('',#562519); #172423=VERTEX_POINT('',#562521); #172424=VERTEX_POINT('',#562525); #172425=VERTEX_POINT('',#562527); #172426=VERTEX_POINT('',#562531); #172427=VERTEX_POINT('',#562533); #172428=VERTEX_POINT('',#562537); #172429=VERTEX_POINT('',#562539); #172430=VERTEX_POINT('',#562548); #172431=VERTEX_POINT('',#562550); #172432=VERTEX_POINT('',#562554); #172433=VERTEX_POINT('',#562556); #172434=VERTEX_POINT('',#562560); #172435=VERTEX_POINT('',#562562); #172436=VERTEX_POINT('',#562566); #172437=VERTEX_POINT('',#562568); #172438=VERTEX_POINT('',#562572); #172439=VERTEX_POINT('',#562574); #172440=VERTEX_POINT('',#562578); #172441=VERTEX_POINT('',#562580); #172442=VERTEX_POINT('',#562584); #172443=VERTEX_POINT('',#562586); #172444=VERTEX_POINT('',#562590); #172445=VERTEX_POINT('',#562592); #172446=VERTEX_POINT('',#562596); #172447=VERTEX_POINT('',#562598); #172448=VERTEX_POINT('',#562602); #172449=VERTEX_POINT('',#562604); #172450=VERTEX_POINT('',#562608); #172451=VERTEX_POINT('',#562610); #172452=VERTEX_POINT('',#562614); #172453=VERTEX_POINT('',#562616); #172454=VERTEX_POINT('',#562620); #172455=VERTEX_POINT('',#562622); #172456=VERTEX_POINT('',#562626); #172457=VERTEX_POINT('',#562628); #172458=VERTEX_POINT('',#562632); #172459=VERTEX_POINT('',#562634); #172460=VERTEX_POINT('',#562638); #172461=VERTEX_POINT('',#562640); #172462=VERTEX_POINT('',#562644); #172463=VERTEX_POINT('',#562645); #172464=VERTEX_POINT('',#562647); #172465=VERTEX_POINT('',#562649); #172466=VERTEX_POINT('',#562653); #172467=VERTEX_POINT('',#562655); #172468=VERTEX_POINT('',#562659); #172469=VERTEX_POINT('',#562661); #172470=VERTEX_POINT('',#562665); #172471=VERTEX_POINT('',#562667); #172472=VERTEX_POINT('',#562671); #172473=VERTEX_POINT('',#562673); #172474=VERTEX_POINT('',#562677); #172475=VERTEX_POINT('',#562679); #172476=VERTEX_POINT('',#562683); #172477=VERTEX_POINT('',#562685); #172478=VERTEX_POINT('',#562689); #172479=VERTEX_POINT('',#562691); #172480=VERTEX_POINT('',#562695); #172481=VERTEX_POINT('',#562697); #172482=VERTEX_POINT('',#562701); #172483=VERTEX_POINT('',#562703); #172484=VERTEX_POINT('',#562707); #172485=VERTEX_POINT('',#562709); #172486=VERTEX_POINT('',#562713); #172487=VERTEX_POINT('',#562715); #172488=VERTEX_POINT('',#562719); #172489=VERTEX_POINT('',#562721); #172490=VERTEX_POINT('',#562725); #172491=VERTEX_POINT('',#562727); #172492=VERTEX_POINT('',#562731); #172493=VERTEX_POINT('',#562733); #172494=VERTEX_POINT('',#562737); #172495=VERTEX_POINT('',#562739); #172496=VERTEX_POINT('',#562743); #172497=VERTEX_POINT('',#562745); #172498=VERTEX_POINT('',#562749); #172499=VERTEX_POINT('',#562751); #172500=VERTEX_POINT('',#562755); #172501=VERTEX_POINT('',#562757); #172502=VERTEX_POINT('',#562761); #172503=VERTEX_POINT('',#562763); #172504=VERTEX_POINT('',#562767); #172505=VERTEX_POINT('',#562769); #172506=VERTEX_POINT('',#562773); #172507=VERTEX_POINT('',#562775); #172508=VERTEX_POINT('',#562779); #172509=VERTEX_POINT('',#562781); #172510=VERTEX_POINT('',#562785); #172511=VERTEX_POINT('',#562787); #172512=VERTEX_POINT('',#562791); #172513=VERTEX_POINT('',#562793); #172514=VERTEX_POINT('',#562797); #172515=VERTEX_POINT('',#562799); #172516=VERTEX_POINT('',#562803); #172517=VERTEX_POINT('',#562805); #172518=VERTEX_POINT('',#562809); #172519=VERTEX_POINT('',#562811); #172520=VERTEX_POINT('',#562815); #172521=VERTEX_POINT('',#562817); #172522=VERTEX_POINT('',#562821); #172523=VERTEX_POINT('',#562823); #172524=VERTEX_POINT('',#562827); #172525=VERTEX_POINT('',#562829); #172526=VERTEX_POINT('',#562833); #172527=VERTEX_POINT('',#562835); #172528=VERTEX_POINT('',#562839); #172529=VERTEX_POINT('',#562841); #172530=VERTEX_POINT('',#562845); #172531=VERTEX_POINT('',#562847); #172532=VERTEX_POINT('',#562851); #172533=VERTEX_POINT('',#562853); #172534=VERTEX_POINT('',#562857); #172535=VERTEX_POINT('',#562859); #172536=VERTEX_POINT('',#562863); #172537=VERTEX_POINT('',#562865); #172538=VERTEX_POINT('',#562869); #172539=VERTEX_POINT('',#562871); #172540=VERTEX_POINT('',#562875); #172541=VERTEX_POINT('',#562877); #172542=VERTEX_POINT('',#562881); #172543=VERTEX_POINT('',#562883); #172544=VERTEX_POINT('',#562887); #172545=VERTEX_POINT('',#562889); #172546=VERTEX_POINT('',#562893); #172547=VERTEX_POINT('',#562895); #172548=VERTEX_POINT('',#562899); #172549=VERTEX_POINT('',#562901); #172550=VERTEX_POINT('',#562905); #172551=VERTEX_POINT('',#562907); #172552=VERTEX_POINT('',#562911); #172553=VERTEX_POINT('',#562913); #172554=VERTEX_POINT('',#562917); #172555=VERTEX_POINT('',#562919); #172556=VERTEX_POINT('',#562923); #172557=VERTEX_POINT('',#562925); #172558=VERTEX_POINT('',#562929); #172559=VERTEX_POINT('',#562931); #172560=VERTEX_POINT('',#562935); #172561=VERTEX_POINT('',#562937); #172562=VERTEX_POINT('',#562941); #172563=VERTEX_POINT('',#562943); #172564=VERTEX_POINT('',#562947); #172565=VERTEX_POINT('',#562949); #172566=VERTEX_POINT('',#562953); #172567=VERTEX_POINT('',#562955); #172568=VERTEX_POINT('',#562959); #172569=VERTEX_POINT('',#562961); #172570=VERTEX_POINT('',#562965); #172571=VERTEX_POINT('',#562967); #172572=VERTEX_POINT('',#562971); #172573=VERTEX_POINT('',#562973); #172574=VERTEX_POINT('',#562977); #172575=VERTEX_POINT('',#562979); #172576=VERTEX_POINT('',#562983); #172577=VERTEX_POINT('',#562985); #172578=VERTEX_POINT('',#562989); #172579=VERTEX_POINT('',#562991); #172580=VERTEX_POINT('',#562995); #172581=VERTEX_POINT('',#562997); #172582=VERTEX_POINT('',#563001); #172583=VERTEX_POINT('',#563003); #172584=VERTEX_POINT('',#563007); #172585=VERTEX_POINT('',#563009); #172586=VERTEX_POINT('',#563013); #172587=VERTEX_POINT('',#563015); #172588=VERTEX_POINT('',#563019); #172589=VERTEX_POINT('',#563021); #172590=VERTEX_POINT('',#563025); #172591=VERTEX_POINT('',#563027); #172592=VERTEX_POINT('',#563031); #172593=VERTEX_POINT('',#563033); #172594=VERTEX_POINT('',#563037); #172595=VERTEX_POINT('',#563039); #172596=VERTEX_POINT('',#563043); #172597=VERTEX_POINT('',#563045); #172598=VERTEX_POINT('',#563049); #172599=VERTEX_POINT('',#563051); #172600=VERTEX_POINT('',#563055); #172601=VERTEX_POINT('',#563057); #172602=VERTEX_POINT('',#563066); #172603=VERTEX_POINT('',#563068); #172604=VERTEX_POINT('',#563072); #172605=VERTEX_POINT('',#563074); #172606=VERTEX_POINT('',#563078); #172607=VERTEX_POINT('',#563080); #172608=VERTEX_POINT('',#563084); #172609=VERTEX_POINT('',#563086); #172610=VERTEX_POINT('',#563090); #172611=VERTEX_POINT('',#563092); #172612=VERTEX_POINT('',#563096); #172613=VERTEX_POINT('',#563098); #172614=VERTEX_POINT('',#563102); #172615=VERTEX_POINT('',#563104); #172616=VERTEX_POINT('',#563108); #172617=VERTEX_POINT('',#563110); #172618=VERTEX_POINT('',#563114); #172619=VERTEX_POINT('',#563116); #172620=VERTEX_POINT('',#563120); #172621=VERTEX_POINT('',#563122); #172622=VERTEX_POINT('',#563126); #172623=VERTEX_POINT('',#563128); #172624=VERTEX_POINT('',#563132); #172625=VERTEX_POINT('',#563134); #172626=VERTEX_POINT('',#563138); #172627=VERTEX_POINT('',#563140); #172628=VERTEX_POINT('',#563144); #172629=VERTEX_POINT('',#563146); #172630=VERTEX_POINT('',#563150); #172631=VERTEX_POINT('',#563152); #172632=VERTEX_POINT('',#563156); #172633=VERTEX_POINT('',#563158); #172634=VERTEX_POINT('',#563162); #172635=VERTEX_POINT('',#563164); #172636=VERTEX_POINT('',#563168); #172637=VERTEX_POINT('',#563170); #172638=VERTEX_POINT('',#563174); #172639=VERTEX_POINT('',#563176); #172640=VERTEX_POINT('',#563180); #172641=VERTEX_POINT('',#563182); #172642=VERTEX_POINT('',#563186); #172643=VERTEX_POINT('',#563188); #172644=VERTEX_POINT('',#563192); #172645=VERTEX_POINT('',#563194); #172646=VERTEX_POINT('',#563198); #172647=VERTEX_POINT('',#563200); #172648=VERTEX_POINT('',#563204); #172649=VERTEX_POINT('',#563206); #172650=VERTEX_POINT('',#563210); #172651=VERTEX_POINT('',#563212); #172652=VERTEX_POINT('',#563216); #172653=VERTEX_POINT('',#563218); #172654=VERTEX_POINT('',#563222); #172655=VERTEX_POINT('',#563224); #172656=VERTEX_POINT('',#563228); #172657=VERTEX_POINT('',#563230); #172658=VERTEX_POINT('',#563234); #172659=VERTEX_POINT('',#563236); #172660=VERTEX_POINT('',#563240); #172661=VERTEX_POINT('',#563242); #172662=VERTEX_POINT('',#563246); #172663=VERTEX_POINT('',#563247); #172664=VERTEX_POINT('',#563249); #172665=VERTEX_POINT('',#563251); #172666=VERTEX_POINT('',#563255); #172667=VERTEX_POINT('',#563257); #172668=VERTEX_POINT('',#563261); #172669=VERTEX_POINT('',#563263); #172670=VERTEX_POINT('',#563267); #172671=VERTEX_POINT('',#563269); #172672=VERTEX_POINT('',#563273); #172673=VERTEX_POINT('',#563275); #172674=VERTEX_POINT('',#563279); #172675=VERTEX_POINT('',#563281); #172676=VERTEX_POINT('',#563285); #172677=VERTEX_POINT('',#563287); #172678=VERTEX_POINT('',#563291); #172679=VERTEX_POINT('',#563293); #172680=VERTEX_POINT('',#563297); #172681=VERTEX_POINT('',#563299); #172682=VERTEX_POINT('',#563303); #172683=VERTEX_POINT('',#563305); #172684=VERTEX_POINT('',#563309); #172685=VERTEX_POINT('',#563311); #172686=VERTEX_POINT('',#563315); #172687=VERTEX_POINT('',#563317); #172688=VERTEX_POINT('',#563321); #172689=VERTEX_POINT('',#563323); #172690=VERTEX_POINT('',#563327); #172691=VERTEX_POINT('',#563329); #172692=VERTEX_POINT('',#563333); #172693=VERTEX_POINT('',#563335); #172694=VERTEX_POINT('',#563339); #172695=VERTEX_POINT('',#563341); #172696=VERTEX_POINT('',#563345); #172697=VERTEX_POINT('',#563347); #172698=VERTEX_POINT('',#563351); #172699=VERTEX_POINT('',#563353); #172700=VERTEX_POINT('',#563357); #172701=VERTEX_POINT('',#563359); #172702=VERTEX_POINT('',#563363); #172703=VERTEX_POINT('',#563365); #172704=VERTEX_POINT('',#563369); #172705=VERTEX_POINT('',#563371); #172706=VERTEX_POINT('',#563375); #172707=VERTEX_POINT('',#563377); #172708=VERTEX_POINT('',#563381); #172709=VERTEX_POINT('',#563383); #172710=VERTEX_POINT('',#563387); #172711=VERTEX_POINT('',#563389); #172712=VERTEX_POINT('',#563393); #172713=VERTEX_POINT('',#563395); #172714=VERTEX_POINT('',#563399); #172715=VERTEX_POINT('',#563401); #172716=VERTEX_POINT('',#563405); #172717=VERTEX_POINT('',#563407); #172718=VERTEX_POINT('',#563411); #172719=VERTEX_POINT('',#563413); #172720=VERTEX_POINT('',#563417); #172721=VERTEX_POINT('',#563419); #172722=VERTEX_POINT('',#563423); #172723=VERTEX_POINT('',#563425); #172724=VERTEX_POINT('',#563429); #172725=VERTEX_POINT('',#563431); #172726=VERTEX_POINT('',#563435); #172727=VERTEX_POINT('',#563437); #172728=VERTEX_POINT('',#563441); #172729=VERTEX_POINT('',#563443); #172730=VERTEX_POINT('',#563447); #172731=VERTEX_POINT('',#563449); #172732=VERTEX_POINT('',#563453); #172733=VERTEX_POINT('',#563455); #172734=VERTEX_POINT('',#563459); #172735=VERTEX_POINT('',#563461); #172736=VERTEX_POINT('',#563465); #172737=VERTEX_POINT('',#563467); #172738=VERTEX_POINT('',#563471); #172739=VERTEX_POINT('',#563473); #172740=VERTEX_POINT('',#563477); #172741=VERTEX_POINT('',#563479); #172742=VERTEX_POINT('',#563483); #172743=VERTEX_POINT('',#563485); #172744=VERTEX_POINT('',#563489); #172745=VERTEX_POINT('',#563491); #172746=VERTEX_POINT('',#563495); #172747=VERTEX_POINT('',#563497); #172748=VERTEX_POINT('',#563501); #172749=VERTEX_POINT('',#563503); #172750=VERTEX_POINT('',#563507); #172751=VERTEX_POINT('',#563509); #172752=VERTEX_POINT('',#563518); #172753=VERTEX_POINT('',#563520); #172754=VERTEX_POINT('',#563524); #172755=VERTEX_POINT('',#563526); #172756=VERTEX_POINT('',#563530); #172757=VERTEX_POINT('',#563531); #172758=VERTEX_POINT('',#563533); #172759=VERTEX_POINT('',#563535); #172760=VERTEX_POINT('',#563539); #172761=VERTEX_POINT('',#563541); #172762=VERTEX_POINT('',#563545); #172763=VERTEX_POINT('',#563547); #172764=VERTEX_POINT('',#563551); #172765=VERTEX_POINT('',#563553); #172766=VERTEX_POINT('',#563557); #172767=VERTEX_POINT('',#563559); #172768=VERTEX_POINT('',#563563); #172769=VERTEX_POINT('',#563565); #172770=VERTEX_POINT('',#563574); #172771=VERTEX_POINT('',#563576); #172772=VERTEX_POINT('',#563580); #172773=VERTEX_POINT('',#563582); #172774=VERTEX_POINT('',#563586); #172775=VERTEX_POINT('',#563588); #172776=VERTEX_POINT('',#563592); #172777=VERTEX_POINT('',#563594); #172778=VERTEX_POINT('',#563598); #172779=VERTEX_POINT('',#563600); #172780=VERTEX_POINT('',#563604); #172781=VERTEX_POINT('',#563606); #172782=VERTEX_POINT('',#563610); #172783=VERTEX_POINT('',#563612); #172784=VERTEX_POINT('',#563616); #172785=VERTEX_POINT('',#563618); #172786=VERTEX_POINT('',#563622); #172787=VERTEX_POINT('',#563624); #172788=VERTEX_POINT('',#563628); #172789=VERTEX_POINT('',#563630); #172790=VERTEX_POINT('',#563634); #172791=VERTEX_POINT('',#563635); #172792=VERTEX_POINT('',#563637); #172793=VERTEX_POINT('',#563639); #172794=VERTEX_POINT('',#563643); #172795=VERTEX_POINT('',#563645); #172796=VERTEX_POINT('',#563649); #172797=VERTEX_POINT('',#563651); #172798=VERTEX_POINT('',#563655); #172799=VERTEX_POINT('',#563657); #172800=VERTEX_POINT('',#563661); #172801=VERTEX_POINT('',#563663); #172802=VERTEX_POINT('',#563667); #172803=VERTEX_POINT('',#563669); #172804=VERTEX_POINT('',#563673); #172805=VERTEX_POINT('',#563675); #172806=VERTEX_POINT('',#563679); #172807=VERTEX_POINT('',#563681); #172808=VERTEX_POINT('',#563685); #172809=VERTEX_POINT('',#563687); #172810=VERTEX_POINT('',#563691); #172811=VERTEX_POINT('',#563693); #172812=VERTEX_POINT('',#563697); #172813=VERTEX_POINT('',#563699); #172814=VERTEX_POINT('',#563703); #172815=VERTEX_POINT('',#563705); #172816=VERTEX_POINT('',#563709); #172817=VERTEX_POINT('',#563711); #172818=VERTEX_POINT('',#563715); #172819=VERTEX_POINT('',#563717); #172820=VERTEX_POINT('',#563721); #172821=VERTEX_POINT('',#563723); #172822=VERTEX_POINT('',#563727); #172823=VERTEX_POINT('',#563729); #172824=VERTEX_POINT('',#563733); #172825=VERTEX_POINT('',#563735); #172826=VERTEX_POINT('',#563739); #172827=VERTEX_POINT('',#563741); #172828=VERTEX_POINT('',#563745); #172829=VERTEX_POINT('',#563747); #172830=VERTEX_POINT('',#563751); #172831=VERTEX_POINT('',#563753); #172832=VERTEX_POINT('',#563757); #172833=VERTEX_POINT('',#563759); #172834=VERTEX_POINT('',#563763); #172835=VERTEX_POINT('',#563765); #172836=VERTEX_POINT('',#563769); #172837=VERTEX_POINT('',#563771); #172838=VERTEX_POINT('',#563780); #172839=VERTEX_POINT('',#563782); #172840=VERTEX_POINT('',#563786); #172841=VERTEX_POINT('',#563787); #172842=VERTEX_POINT('',#563789); #172843=VERTEX_POINT('',#563791); #172844=VERTEX_POINT('',#563795); #172845=VERTEX_POINT('',#563797); #172846=VERTEX_POINT('',#563806); #172847=VERTEX_POINT('',#563808); #172848=VERTEX_POINT('',#563812); #172849=VERTEX_POINT('',#563814); #172850=VERTEX_POINT('',#563818); #172851=VERTEX_POINT('',#563820); #172852=VERTEX_POINT('',#563824); #172853=VERTEX_POINT('',#563826); #172854=VERTEX_POINT('',#563830); #172855=VERTEX_POINT('',#563832); #172856=VERTEX_POINT('',#563836); #172857=VERTEX_POINT('',#563838); #172858=VERTEX_POINT('',#563842); #172859=VERTEX_POINT('',#563844); #172860=VERTEX_POINT('',#563848); #172861=VERTEX_POINT('',#563850); #172862=VERTEX_POINT('',#563854); #172863=VERTEX_POINT('',#563856); #172864=VERTEX_POINT('',#563860); #172865=VERTEX_POINT('',#563862); #172866=VERTEX_POINT('',#563866); #172867=VERTEX_POINT('',#563868); #172868=VERTEX_POINT('',#563872); #172869=VERTEX_POINT('',#563874); #172870=VERTEX_POINT('',#563878); #172871=VERTEX_POINT('',#563880); #172872=VERTEX_POINT('',#563884); #172873=VERTEX_POINT('',#563886); #172874=VERTEX_POINT('',#563890); #172875=VERTEX_POINT('',#563892); #172876=VERTEX_POINT('',#563896); #172877=VERTEX_POINT('',#563898); #172878=VERTEX_POINT('',#563902); #172879=VERTEX_POINT('',#563904); #172880=VERTEX_POINT('',#563908); #172881=VERTEX_POINT('',#563910); #172882=VERTEX_POINT('',#563914); #172883=VERTEX_POINT('',#563916); #172884=VERTEX_POINT('',#563920); #172885=VERTEX_POINT('',#563922); #172886=VERTEX_POINT('',#563926); #172887=VERTEX_POINT('',#563928); #172888=VERTEX_POINT('',#563932); #172889=VERTEX_POINT('',#563934); #172890=VERTEX_POINT('',#563938); #172891=VERTEX_POINT('',#563940); #172892=VERTEX_POINT('',#563944); #172893=VERTEX_POINT('',#563946); #172894=VERTEX_POINT('',#563950); #172895=VERTEX_POINT('',#563952); #172896=VERTEX_POINT('',#563956); #172897=VERTEX_POINT('',#563958); #172898=VERTEX_POINT('',#563962); #172899=VERTEX_POINT('',#563964); #172900=VERTEX_POINT('',#563968); #172901=VERTEX_POINT('',#563970); #172902=VERTEX_POINT('',#563974); #172903=VERTEX_POINT('',#563976); #172904=VERTEX_POINT('',#563980); #172905=VERTEX_POINT('',#563982); #172906=VERTEX_POINT('',#563986); #172907=VERTEX_POINT('',#563987); #172908=VERTEX_POINT('',#563989); #172909=VERTEX_POINT('',#563991); #172910=VERTEX_POINT('',#563995); #172911=VERTEX_POINT('',#563997); #172912=VERTEX_POINT('',#564001); #172913=VERTEX_POINT('',#564003); #172914=VERTEX_POINT('',#564007); #172915=VERTEX_POINT('',#564009); #172916=VERTEX_POINT('',#564013); #172917=VERTEX_POINT('',#564015); #172918=VERTEX_POINT('',#564019); #172919=VERTEX_POINT('',#564021); #172920=VERTEX_POINT('',#564025); #172921=VERTEX_POINT('',#564027); #172922=VERTEX_POINT('',#564031); #172923=VERTEX_POINT('',#564033); #172924=VERTEX_POINT('',#564037); #172925=VERTEX_POINT('',#564039); #172926=VERTEX_POINT('',#564043); #172927=VERTEX_POINT('',#564045); #172928=VERTEX_POINT('',#564049); #172929=VERTEX_POINT('',#564051); #172930=VERTEX_POINT('',#564055); #172931=VERTEX_POINT('',#564057); #172932=VERTEX_POINT('',#564061); #172933=VERTEX_POINT('',#564063); #172934=VERTEX_POINT('',#564067); #172935=VERTEX_POINT('',#564069); #172936=VERTEX_POINT('',#564073); #172937=VERTEX_POINT('',#564075); #172938=VERTEX_POINT('',#564079); #172939=VERTEX_POINT('',#564081); #172940=VERTEX_POINT('',#564085); #172941=VERTEX_POINT('',#564087); #172942=VERTEX_POINT('',#564091); #172943=VERTEX_POINT('',#564093); #172944=VERTEX_POINT('',#564097); #172945=VERTEX_POINT('',#564099); #172946=VERTEX_POINT('',#564103); #172947=VERTEX_POINT('',#564105); #172948=VERTEX_POINT('',#564109); #172949=VERTEX_POINT('',#564111); #172950=VERTEX_POINT('',#564115); #172951=VERTEX_POINT('',#564117); #172952=VERTEX_POINT('',#564121); #172953=VERTEX_POINT('',#564123); #172954=VERTEX_POINT('',#564127); #172955=VERTEX_POINT('',#564129); #172956=VERTEX_POINT('',#564133); #172957=VERTEX_POINT('',#564135); #172958=VERTEX_POINT('',#564139); #172959=VERTEX_POINT('',#564141); #172960=VERTEX_POINT('',#564145); #172961=VERTEX_POINT('',#564147); #172962=VERTEX_POINT('',#564151); #172963=VERTEX_POINT('',#564153); #172964=VERTEX_POINT('',#564157); #172965=VERTEX_POINT('',#564159); #172966=VERTEX_POINT('',#564163); #172967=VERTEX_POINT('',#564165); #172968=VERTEX_POINT('',#564169); #172969=VERTEX_POINT('',#564171); #172970=VERTEX_POINT('',#564175); #172971=VERTEX_POINT('',#564177); #172972=VERTEX_POINT('',#564181); #172973=VERTEX_POINT('',#564183); #172974=VERTEX_POINT('',#564187); #172975=VERTEX_POINT('',#564189); #172976=VERTEX_POINT('',#564193); #172977=VERTEX_POINT('',#564195); #172978=VERTEX_POINT('',#564199); #172979=VERTEX_POINT('',#564201); #172980=VERTEX_POINT('',#564205); #172981=VERTEX_POINT('',#564207); #172982=VERTEX_POINT('',#564211); #172983=VERTEX_POINT('',#564213); #172984=VERTEX_POINT('',#564217); #172985=VERTEX_POINT('',#564219); #172986=VERTEX_POINT('',#564223); #172987=VERTEX_POINT('',#564225); #172988=VERTEX_POINT('',#564229); #172989=VERTEX_POINT('',#564231); #172990=VERTEX_POINT('',#564235); #172991=VERTEX_POINT('',#564237); #172992=VERTEX_POINT('',#564241); #172993=VERTEX_POINT('',#564243); #172994=VERTEX_POINT('',#564247); #172995=VERTEX_POINT('',#564249); #172996=VERTEX_POINT('',#564258); #172997=VERTEX_POINT('',#564260); #172998=VERTEX_POINT('',#564264); #172999=VERTEX_POINT('',#564266); #173000=VERTEX_POINT('',#564270); #173001=VERTEX_POINT('',#564271); #173002=VERTEX_POINT('',#564273); #173003=VERTEX_POINT('',#564275); #173004=VERTEX_POINT('',#564279); #173005=VERTEX_POINT('',#564281); #173006=VERTEX_POINT('',#564285); #173007=VERTEX_POINT('',#564287); #173008=VERTEX_POINT('',#564291); #173009=VERTEX_POINT('',#564293); #173010=VERTEX_POINT('',#564297); #173011=VERTEX_POINT('',#564299); #173012=VERTEX_POINT('',#564303); #173013=VERTEX_POINT('',#564305); #173014=VERTEX_POINT('',#564314); #173015=VERTEX_POINT('',#564316); #173016=VERTEX_POINT('',#564320); #173017=VERTEX_POINT('',#564321); #173018=VERTEX_POINT('',#564323); #173019=VERTEX_POINT('',#564325); #173020=VERTEX_POINT('',#564329); #173021=VERTEX_POINT('',#564331); #173022=VERTEX_POINT('',#564340); #173023=VERTEX_POINT('',#564342); #173024=VERTEX_POINT('',#564346); #173025=VERTEX_POINT('',#564348); #173026=VERTEX_POINT('',#564352); #173027=VERTEX_POINT('',#564354); #173028=VERTEX_POINT('',#564358); #173029=VERTEX_POINT('',#564360); #173030=VERTEX_POINT('',#564364); #173031=VERTEX_POINT('',#564366); #173032=VERTEX_POINT('',#564370); #173033=VERTEX_POINT('',#564372); #173034=VERTEX_POINT('',#564376); #173035=VERTEX_POINT('',#564378); #173036=VERTEX_POINT('',#564382); #173037=VERTEX_POINT('',#564384); #173038=VERTEX_POINT('',#564388); #173039=VERTEX_POINT('',#564390); #173040=VERTEX_POINT('',#564394); #173041=VERTEX_POINT('',#564396); #173042=VERTEX_POINT('',#564400); #173043=VERTEX_POINT('',#564402); #173044=VERTEX_POINT('',#564406); #173045=VERTEX_POINT('',#564408); #173046=VERTEX_POINT('',#564412); #173047=VERTEX_POINT('',#564414); #173048=VERTEX_POINT('',#564418); #173049=VERTEX_POINT('',#564420); #173050=VERTEX_POINT('',#564424); #173051=VERTEX_POINT('',#564426); #173052=VERTEX_POINT('',#564430); #173053=VERTEX_POINT('',#564431); #173054=VERTEX_POINT('',#564433); #173055=VERTEX_POINT('',#564435); #173056=VERTEX_POINT('',#564439); #173057=VERTEX_POINT('',#564441); #173058=VERTEX_POINT('',#564445); #173059=VERTEX_POINT('',#564447); #173060=VERTEX_POINT('',#564451); #173061=VERTEX_POINT('',#564453); #173062=VERTEX_POINT('',#564457); #173063=VERTEX_POINT('',#564459); #173064=VERTEX_POINT('',#564463); #173065=VERTEX_POINT('',#564465); #173066=VERTEX_POINT('',#564469); #173067=VERTEX_POINT('',#564471); #173068=VERTEX_POINT('',#564475); #173069=VERTEX_POINT('',#564477); #173070=VERTEX_POINT('',#564481); #173071=VERTEX_POINT('',#564483); #173072=VERTEX_POINT('',#564487); #173073=VERTEX_POINT('',#564489); #173074=VERTEX_POINT('',#564493); #173075=VERTEX_POINT('',#564495); #173076=VERTEX_POINT('',#564499); #173077=VERTEX_POINT('',#564501); #173078=VERTEX_POINT('',#564505); #173079=VERTEX_POINT('',#564507); #173080=VERTEX_POINT('',#564511); #173081=VERTEX_POINT('',#564513); #173082=VERTEX_POINT('',#564517); #173083=VERTEX_POINT('',#564519); #173084=VERTEX_POINT('',#564523); #173085=VERTEX_POINT('',#564525); #173086=VERTEX_POINT('',#564529); #173087=VERTEX_POINT('',#564531); #173088=VERTEX_POINT('',#564535); #173089=VERTEX_POINT('',#564537); #173090=VERTEX_POINT('',#564541); #173091=VERTEX_POINT('',#564543); #173092=VERTEX_POINT('',#564547); #173093=VERTEX_POINT('',#564549); #173094=VERTEX_POINT('',#564553); #173095=VERTEX_POINT('',#564555); #173096=VERTEX_POINT('',#564559); #173097=VERTEX_POINT('',#564561); #173098=VERTEX_POINT('',#564565); #173099=VERTEX_POINT('',#564567); #173100=VERTEX_POINT('',#564571); #173101=VERTEX_POINT('',#564573); #173102=VERTEX_POINT('',#564577); #173103=VERTEX_POINT('',#564579); #173104=VERTEX_POINT('',#564583); #173105=VERTEX_POINT('',#564585); #173106=VERTEX_POINT('',#564589); #173107=VERTEX_POINT('',#564591); #173108=VERTEX_POINT('',#564595); #173109=VERTEX_POINT('',#564597); #173110=VERTEX_POINT('',#564601); #173111=VERTEX_POINT('',#564603); #173112=VERTEX_POINT('',#564607); #173113=VERTEX_POINT('',#564609); #173114=VERTEX_POINT('',#564613); #173115=VERTEX_POINT('',#564615); #173116=VERTEX_POINT('',#564619); #173117=VERTEX_POINT('',#564621); #173118=VERTEX_POINT('',#564625); #173119=VERTEX_POINT('',#564627); #173120=VERTEX_POINT('',#564631); #173121=VERTEX_POINT('',#564633); #173122=VERTEX_POINT('',#564637); #173123=VERTEX_POINT('',#564639); #173124=VERTEX_POINT('',#564643); #173125=VERTEX_POINT('',#564645); #173126=VERTEX_POINT('',#564649); #173127=VERTEX_POINT('',#564651); #173128=VERTEX_POINT('',#564655); #173129=VERTEX_POINT('',#564657); #173130=VERTEX_POINT('',#564661); #173131=VERTEX_POINT('',#564663); #173132=VERTEX_POINT('',#564667); #173133=VERTEX_POINT('',#564669); #173134=VERTEX_POINT('',#564673); #173135=VERTEX_POINT('',#564675); #173136=VERTEX_POINT('',#564679); #173137=VERTEX_POINT('',#564681); #173138=VERTEX_POINT('',#564685); #173139=VERTEX_POINT('',#564687); #173140=VERTEX_POINT('',#564691); #173141=VERTEX_POINT('',#564693); #173142=VERTEX_POINT('',#564697); #173143=VERTEX_POINT('',#564699); #173144=VERTEX_POINT('',#564703); #173145=VERTEX_POINT('',#564705); #173146=VERTEX_POINT('',#564709); #173147=VERTEX_POINT('',#564711); #173148=VERTEX_POINT('',#564715); #173149=VERTEX_POINT('',#564717); #173150=VERTEX_POINT('',#564721); #173151=VERTEX_POINT('',#564723); #173152=VERTEX_POINT('',#564727); #173153=VERTEX_POINT('',#564729); #173154=VERTEX_POINT('',#564733); #173155=VERTEX_POINT('',#564735); #173156=VERTEX_POINT('',#564739); #173157=VERTEX_POINT('',#564741); #173158=VERTEX_POINT('',#564745); #173159=VERTEX_POINT('',#564747); #173160=VERTEX_POINT('',#564751); #173161=VERTEX_POINT('',#564753); #173162=VERTEX_POINT('',#564757); #173163=VERTEX_POINT('',#564759); #173164=VERTEX_POINT('',#564763); #173165=VERTEX_POINT('',#564765); #173166=VERTEX_POINT('',#564769); #173167=VERTEX_POINT('',#564771); #173168=VERTEX_POINT('',#564775); #173169=VERTEX_POINT('',#564777); #173170=VERTEX_POINT('',#564781); #173171=VERTEX_POINT('',#564783); #173172=VERTEX_POINT('',#564787); #173173=VERTEX_POINT('',#564789); #173174=VERTEX_POINT('',#564793); #173175=VERTEX_POINT('',#564795); #173176=VERTEX_POINT('',#564799); #173177=VERTEX_POINT('',#564801); #173178=VERTEX_POINT('',#564805); #173179=VERTEX_POINT('',#564807); #173180=VERTEX_POINT('',#564811); #173181=VERTEX_POINT('',#564813); #173182=VERTEX_POINT('',#564817); #173183=VERTEX_POINT('',#564819); #173184=VERTEX_POINT('',#564823); #173185=VERTEX_POINT('',#564825); #173186=VERTEX_POINT('',#564829); #173187=VERTEX_POINT('',#564831); #173188=VERTEX_POINT('',#564835); #173189=VERTEX_POINT('',#564837); #173190=VERTEX_POINT('',#564841); #173191=VERTEX_POINT('',#564843); #173192=VERTEX_POINT('',#564847); #173193=VERTEX_POINT('',#564849); #173194=VERTEX_POINT('',#564853); #173195=VERTEX_POINT('',#564855); #173196=VERTEX_POINT('',#564862); #173197=VERTEX_POINT('',#564863); #173198=VERTEX_POINT('',#564865); #173199=VERTEX_POINT('',#564867); #173200=VERTEX_POINT('',#564871); #173201=VERTEX_POINT('',#564873); #173202=VERTEX_POINT('',#564877); #173203=VERTEX_POINT('',#564879); #173204=VERTEX_POINT('',#564883); #173205=VERTEX_POINT('',#564885); #173206=VERTEX_POINT('',#564889); #173207=VERTEX_POINT('',#564891); #173208=VERTEX_POINT('',#564895); #173209=VERTEX_POINT('',#564897); #173210=VERTEX_POINT('',#564901); #173211=VERTEX_POINT('',#564903); #173212=VERTEX_POINT('',#564907); #173213=VERTEX_POINT('',#564909); #173214=VERTEX_POINT('',#564913); #173215=VERTEX_POINT('',#564915); #173216=VERTEX_POINT('',#564919); #173217=VERTEX_POINT('',#564921); #173218=VERTEX_POINT('',#564925); #173219=VERTEX_POINT('',#564927); #173220=VERTEX_POINT('',#564931); #173221=VERTEX_POINT('',#564933); #173222=VERTEX_POINT('',#564937); #173223=VERTEX_POINT('',#564939); #173224=VERTEX_POINT('',#564943); #173225=VERTEX_POINT('',#564945); #173226=VERTEX_POINT('',#564949); #173227=VERTEX_POINT('',#564951); #173228=VERTEX_POINT('',#564955); #173229=VERTEX_POINT('',#564957); #173230=VERTEX_POINT('',#564961); #173231=VERTEX_POINT('',#564963); #173232=VERTEX_POINT('',#564967); #173233=VERTEX_POINT('',#564969); #173234=VERTEX_POINT('',#564973); #173235=VERTEX_POINT('',#564975); #173236=VERTEX_POINT('',#564979); #173237=VERTEX_POINT('',#564981); #173238=VERTEX_POINT('',#564985); #173239=VERTEX_POINT('',#564987); #173240=VERTEX_POINT('',#564991); #173241=VERTEX_POINT('',#564993); #173242=VERTEX_POINT('',#564997); #173243=VERTEX_POINT('',#564999); #173244=VERTEX_POINT('',#565003); #173245=VERTEX_POINT('',#565005); #173246=VERTEX_POINT('',#565009); #173247=VERTEX_POINT('',#565011); #173248=VERTEX_POINT('',#565015); #173249=VERTEX_POINT('',#565017); #173250=VERTEX_POINT('',#565021); #173251=VERTEX_POINT('',#565023); #173252=VERTEX_POINT('',#565027); #173253=VERTEX_POINT('',#565029); #173254=VERTEX_POINT('',#565033); #173255=VERTEX_POINT('',#565035); #173256=VERTEX_POINT('',#565039); #173257=VERTEX_POINT('',#565041); #173258=VERTEX_POINT('',#565045); #173259=VERTEX_POINT('',#565047); #173260=VERTEX_POINT('',#565051); #173261=VERTEX_POINT('',#565053); #173262=VERTEX_POINT('',#565057); #173263=VERTEX_POINT('',#565059); #173264=VERTEX_POINT('',#565063); #173265=VERTEX_POINT('',#565065); #173266=VERTEX_POINT('',#565069); #173267=VERTEX_POINT('',#565071); #173268=VERTEX_POINT('',#565075); #173269=VERTEX_POINT('',#565077); #173270=VERTEX_POINT('',#565081); #173271=VERTEX_POINT('',#565083); #173272=VERTEX_POINT('',#565087); #173273=VERTEX_POINT('',#565089); #173274=VERTEX_POINT('',#565093); #173275=VERTEX_POINT('',#565095); #173276=VERTEX_POINT('',#565099); #173277=VERTEX_POINT('',#565101); #173278=VERTEX_POINT('',#565105); #173279=VERTEX_POINT('',#565107); #173280=VERTEX_POINT('',#565111); #173281=VERTEX_POINT('',#565113); #173282=VERTEX_POINT('',#565117); #173283=VERTEX_POINT('',#565119); #173284=VERTEX_POINT('',#565123); #173285=VERTEX_POINT('',#565125); #173286=VERTEX_POINT('',#565129); #173287=VERTEX_POINT('',#565131); #173288=VERTEX_POINT('',#565135); #173289=VERTEX_POINT('',#565137); #173290=VERTEX_POINT('',#565141); #173291=VERTEX_POINT('',#565143); #173292=VERTEX_POINT('',#565147); #173293=VERTEX_POINT('',#565149); #173294=VERTEX_POINT('',#565153); #173295=VERTEX_POINT('',#565155); #173296=VERTEX_POINT('',#565159); #173297=VERTEX_POINT('',#565161); #173298=VERTEX_POINT('',#565165); #173299=VERTEX_POINT('',#565167); #173300=VERTEX_POINT('',#565171); #173301=VERTEX_POINT('',#565173); #173302=VERTEX_POINT('',#565177); #173303=VERTEX_POINT('',#565179); #173304=VERTEX_POINT('',#565183); #173305=VERTEX_POINT('',#565185); #173306=VERTEX_POINT('',#565189); #173307=VERTEX_POINT('',#565191); #173308=VERTEX_POINT('',#565195); #173309=VERTEX_POINT('',#565197); #173310=VERTEX_POINT('',#565201); #173311=VERTEX_POINT('',#565203); #173312=VERTEX_POINT('',#565207); #173313=VERTEX_POINT('',#565209); #173314=VERTEX_POINT('',#565213); #173315=VERTEX_POINT('',#565215); #173316=VERTEX_POINT('',#565219); #173317=VERTEX_POINT('',#565221); #173318=VERTEX_POINT('',#565225); #173319=VERTEX_POINT('',#565227); #173320=VERTEX_POINT('',#565231); #173321=VERTEX_POINT('',#565233); #173322=VERTEX_POINT('',#565237); #173323=VERTEX_POINT('',#565239); #173324=VERTEX_POINT('',#565243); #173325=VERTEX_POINT('',#565245); #173326=VERTEX_POINT('',#565249); #173327=VERTEX_POINT('',#565251); #173328=VERTEX_POINT('',#565255); #173329=VERTEX_POINT('',#565257); #173330=VERTEX_POINT('',#565261); #173331=VERTEX_POINT('',#565263); #173332=VERTEX_POINT('',#565267); #173333=VERTEX_POINT('',#565269); #173334=VERTEX_POINT('',#565273); #173335=VERTEX_POINT('',#565275); #173336=VERTEX_POINT('',#565279); #173337=VERTEX_POINT('',#565281); #173338=VERTEX_POINT('',#565285); #173339=VERTEX_POINT('',#565287); #173340=VERTEX_POINT('',#565291); #173341=VERTEX_POINT('',#565293); #173342=VERTEX_POINT('',#565297); #173343=VERTEX_POINT('',#565299); #173344=VERTEX_POINT('',#565303); #173345=VERTEX_POINT('',#565305); #173346=VERTEX_POINT('',#565309); #173347=VERTEX_POINT('',#565311); #173348=VERTEX_POINT('',#565315); #173349=VERTEX_POINT('',#565317); #173350=VERTEX_POINT('',#565321); #173351=VERTEX_POINT('',#565323); #173352=VERTEX_POINT('',#565327); #173353=VERTEX_POINT('',#565329); #173354=VERTEX_POINT('',#565333); #173355=VERTEX_POINT('',#565335); #173356=VERTEX_POINT('',#565339); #173357=VERTEX_POINT('',#565341); #173358=VERTEX_POINT('',#565345); #173359=VERTEX_POINT('',#565347); #173360=VERTEX_POINT('',#565351); #173361=VERTEX_POINT('',#565353); #173362=VERTEX_POINT('',#565357); #173363=VERTEX_POINT('',#565359); #173364=VERTEX_POINT('',#565363); #173365=VERTEX_POINT('',#565365); #173366=VERTEX_POINT('',#565369); #173367=VERTEX_POINT('',#565371); #173368=VERTEX_POINT('',#565375); #173369=VERTEX_POINT('',#565377); #173370=VERTEX_POINT('',#565381); #173371=VERTEX_POINT('',#565383); #173372=VERTEX_POINT('',#565387); #173373=VERTEX_POINT('',#565389); #173374=VERTEX_POINT('',#565393); #173375=VERTEX_POINT('',#565395); #173376=VERTEX_POINT('',#565399); #173377=VERTEX_POINT('',#565401); #173378=VERTEX_POINT('',#565405); #173379=VERTEX_POINT('',#565407); #173380=VERTEX_POINT('',#565411); #173381=VERTEX_POINT('',#565413); #173382=VERTEX_POINT('',#565417); #173383=VERTEX_POINT('',#565419); #173384=VERTEX_POINT('',#565423); #173385=VERTEX_POINT('',#565425); #173386=VERTEX_POINT('',#565434); #173387=VERTEX_POINT('',#565436); #173388=VERTEX_POINT('',#565440); #173389=VERTEX_POINT('',#565441); #173390=VERTEX_POINT('',#565443); #173391=VERTEX_POINT('',#565445); #173392=VERTEX_POINT('',#565449); #173393=VERTEX_POINT('',#565451); #173394=VERTEX_POINT('',#565460); #173395=VERTEX_POINT('',#565462); #173396=VERTEX_POINT('',#565466); #173397=VERTEX_POINT('',#565467); #173398=VERTEX_POINT('',#565469); #173399=VERTEX_POINT('',#565471); #173400=VERTEX_POINT('',#565475); #173401=VERTEX_POINT('',#565477); #173402=VERTEX_POINT('',#565486); #173403=VERTEX_POINT('',#565488); #173404=VERTEX_POINT('',#565492); #173405=VERTEX_POINT('',#565493); #173406=VERTEX_POINT('',#565495); #173407=VERTEX_POINT('',#565497); #173408=VERTEX_POINT('',#565501); #173409=VERTEX_POINT('',#565503); #173410=VERTEX_POINT('',#565512); #173411=VERTEX_POINT('',#565514); #173412=VERTEX_POINT('',#565518); #173413=VERTEX_POINT('',#565519); #173414=VERTEX_POINT('',#565521); #173415=VERTEX_POINT('',#565523); #173416=VERTEX_POINT('',#565527); #173417=VERTEX_POINT('',#565529); #173418=VERTEX_POINT('',#565538); #173419=VERTEX_POINT('',#565540); #173420=VERTEX_POINT('',#565544); #173421=VERTEX_POINT('',#565545); #173422=VERTEX_POINT('',#565547); #173423=VERTEX_POINT('',#565549); #173424=VERTEX_POINT('',#565553); #173425=VERTEX_POINT('',#565555); #173426=VERTEX_POINT('',#565559); #173427=VERTEX_POINT('',#565561); #173428=VERTEX_POINT('',#565570); #173429=VERTEX_POINT('',#565572); #173430=VERTEX_POINT('',#565576); #173431=VERTEX_POINT('',#565577); #173432=VERTEX_POINT('',#565579); #173433=VERTEX_POINT('',#565581); #173434=VERTEX_POINT('',#565585); #173435=VERTEX_POINT('',#565587); #173436=VERTEX_POINT('',#565596); #173437=VERTEX_POINT('',#565598); #173438=VERTEX_POINT('',#565602); #173439=VERTEX_POINT('',#565603); #173440=VERTEX_POINT('',#565605); #173441=VERTEX_POINT('',#565607); #173442=VERTEX_POINT('',#565611); #173443=VERTEX_POINT('',#565613); #173444=VERTEX_POINT('',#565622); #173445=VERTEX_POINT('',#565624); #173446=VERTEX_POINT('',#565628); #173447=VERTEX_POINT('',#565629); #173448=VERTEX_POINT('',#565631); #173449=VERTEX_POINT('',#565633); #173450=VERTEX_POINT('',#565637); #173451=VERTEX_POINT('',#565639); #173452=VERTEX_POINT('',#565648); #173453=VERTEX_POINT('',#565650); #173454=VERTEX_POINT('',#565654); #173455=VERTEX_POINT('',#565655); #173456=VERTEX_POINT('',#565657); #173457=VERTEX_POINT('',#565659); #173458=VERTEX_POINT('',#565663); #173459=VERTEX_POINT('',#565665); #173460=VERTEX_POINT('',#565674); #173461=VERTEX_POINT('',#565675); #173462=VERTEX_POINT('',#565677); #173463=VERTEX_POINT('',#565679); #173464=VERTEX_POINT('',#565683); #173465=VERTEX_POINT('',#565685); #173466=VERTEX_POINT('',#565689); #173467=VERTEX_POINT('',#565691); #173468=VERTEX_POINT('',#565698); #173469=VERTEX_POINT('',#565699); #173470=VERTEX_POINT('',#565701); #173471=VERTEX_POINT('',#565703); #173472=VERTEX_POINT('',#565707); #173473=VERTEX_POINT('',#565709); #173474=VERTEX_POINT('',#565713); #173475=VERTEX_POINT('',#565715); #173476=VERTEX_POINT('',#565719); #173477=VERTEX_POINT('',#565721); #173478=VERTEX_POINT('',#565725); #173479=VERTEX_POINT('',#565727); #173480=VERTEX_POINT('',#565731); #173481=VERTEX_POINT('',#565733); #173482=VERTEX_POINT('',#565737); #173483=VERTEX_POINT('',#565739); #173484=VERTEX_POINT('',#565743); #173485=VERTEX_POINT('',#565745); #173486=VERTEX_POINT('',#565749); #173487=VERTEX_POINT('',#565751); #173488=VERTEX_POINT('',#565755); #173489=VERTEX_POINT('',#565757); #173490=VERTEX_POINT('',#565761); #173491=VERTEX_POINT('',#565763); #173492=VERTEX_POINT('',#565767); #173493=VERTEX_POINT('',#565769); #173494=VERTEX_POINT('',#565773); #173495=VERTEX_POINT('',#565775); #173496=VERTEX_POINT('',#565779); #173497=VERTEX_POINT('',#565781); #173498=VERTEX_POINT('',#565785); #173499=VERTEX_POINT('',#565787); #173500=VERTEX_POINT('',#565791); #173501=VERTEX_POINT('',#565793); #173502=VERTEX_POINT('',#565797); #173503=VERTEX_POINT('',#565799); #173504=VERTEX_POINT('',#565803); #173505=VERTEX_POINT('',#565805); #173506=VERTEX_POINT('',#565809); #173507=VERTEX_POINT('',#565811); #173508=VERTEX_POINT('',#565815); #173509=VERTEX_POINT('',#565817); #173510=VERTEX_POINT('',#565821); #173511=VERTEX_POINT('',#565823); #173512=VERTEX_POINT('',#565827); #173513=VERTEX_POINT('',#565829); #173514=VERTEX_POINT('',#565833); #173515=VERTEX_POINT('',#565835); #173516=VERTEX_POINT('',#565839); #173517=VERTEX_POINT('',#565841); #173518=VERTEX_POINT('',#565845); #173519=VERTEX_POINT('',#565847); #173520=VERTEX_POINT('',#565851); #173521=VERTEX_POINT('',#565853); #173522=VERTEX_POINT('',#565857); #173523=VERTEX_POINT('',#565859); #173524=VERTEX_POINT('',#565863); #173525=VERTEX_POINT('',#565865); #173526=VERTEX_POINT('',#565869); #173527=VERTEX_POINT('',#565871); #173528=VERTEX_POINT('',#565875); #173529=VERTEX_POINT('',#565877); #173530=VERTEX_POINT('',#565881); #173531=VERTEX_POINT('',#565883); #173532=VERTEX_POINT('',#565887); #173533=VERTEX_POINT('',#565889); #173534=VERTEX_POINT('',#565893); #173535=VERTEX_POINT('',#565895); #173536=VERTEX_POINT('',#565899); #173537=VERTEX_POINT('',#565901); #173538=VERTEX_POINT('',#565905); #173539=VERTEX_POINT('',#565907); #173540=VERTEX_POINT('',#565911); #173541=VERTEX_POINT('',#565913); #173542=VERTEX_POINT('',#565917); #173543=VERTEX_POINT('',#565919); #173544=VERTEX_POINT('',#565923); #173545=VERTEX_POINT('',#565925); #173546=VERTEX_POINT('',#565929); #173547=VERTEX_POINT('',#565931); #173548=VERTEX_POINT('',#565935); #173549=VERTEX_POINT('',#565937); #173550=VERTEX_POINT('',#565941); #173551=VERTEX_POINT('',#565943); #173552=VERTEX_POINT('',#565947); #173553=VERTEX_POINT('',#565949); #173554=VERTEX_POINT('',#565953); #173555=VERTEX_POINT('',#565955); #173556=VERTEX_POINT('',#565959); #173557=VERTEX_POINT('',#565961); #173558=VERTEX_POINT('',#565965); #173559=VERTEX_POINT('',#565967); #173560=VERTEX_POINT('',#565971); #173561=VERTEX_POINT('',#565973); #173562=VERTEX_POINT('',#565977); #173563=VERTEX_POINT('',#565979); #173564=VERTEX_POINT('',#565983); #173565=VERTEX_POINT('',#565985); #173566=VERTEX_POINT('',#565989); #173567=VERTEX_POINT('',#565991); #173568=VERTEX_POINT('',#565995); #173569=VERTEX_POINT('',#565997); #173570=VERTEX_POINT('',#566001); #173571=VERTEX_POINT('',#566003); #173572=VERTEX_POINT('',#566007); #173573=VERTEX_POINT('',#566009); #173574=VERTEX_POINT('',#566013); #173575=VERTEX_POINT('',#566015); #173576=VERTEX_POINT('',#566019); #173577=VERTEX_POINT('',#566021); #173578=VERTEX_POINT('',#566025); #173579=VERTEX_POINT('',#566027); #173580=VERTEX_POINT('',#566036); #173581=VERTEX_POINT('',#566037); #173582=VERTEX_POINT('',#566039); #173583=VERTEX_POINT('',#566041); #173584=VERTEX_POINT('',#566045); #173585=VERTEX_POINT('',#566047); #173586=VERTEX_POINT('',#566051); #173587=VERTEX_POINT('',#566053); #173588=VERTEX_POINT('',#566060); #173589=VERTEX_POINT('',#566061); #173590=VERTEX_POINT('',#566063); #173591=VERTEX_POINT('',#566065); #173592=VERTEX_POINT('',#566069); #173593=VERTEX_POINT('',#566071); #173594=VERTEX_POINT('',#566075); #173595=VERTEX_POINT('',#566077); #173596=VERTEX_POINT('',#566081); #173597=VERTEX_POINT('',#566083); #173598=VERTEX_POINT('',#566087); #173599=VERTEX_POINT('',#566089); #173600=VERTEX_POINT('',#566093); #173601=VERTEX_POINT('',#566095); #173602=VERTEX_POINT('',#566099); #173603=VERTEX_POINT('',#566101); #173604=VERTEX_POINT('',#566105); #173605=VERTEX_POINT('',#566107); #173606=VERTEX_POINT('',#566111); #173607=VERTEX_POINT('',#566113); #173608=VERTEX_POINT('',#566117); #173609=VERTEX_POINT('',#566119); #173610=VERTEX_POINT('',#566123); #173611=VERTEX_POINT('',#566125); #173612=VERTEX_POINT('',#566129); #173613=VERTEX_POINT('',#566131); #173614=VERTEX_POINT('',#566135); #173615=VERTEX_POINT('',#566137); #173616=VERTEX_POINT('',#566141); #173617=VERTEX_POINT('',#566143); #173618=VERTEX_POINT('',#566147); #173619=VERTEX_POINT('',#566149); #173620=VERTEX_POINT('',#566153); #173621=VERTEX_POINT('',#566155); #173622=VERTEX_POINT('',#566159); #173623=VERTEX_POINT('',#566161); #173624=VERTEX_POINT('',#566165); #173625=VERTEX_POINT('',#566167); #173626=VERTEX_POINT('',#566171); #173627=VERTEX_POINT('',#566173); #173628=VERTEX_POINT('',#566177); #173629=VERTEX_POINT('',#566179); #173630=VERTEX_POINT('',#566183); #173631=VERTEX_POINT('',#566185); #173632=VERTEX_POINT('',#566189); #173633=VERTEX_POINT('',#566191); #173634=VERTEX_POINT('',#566195); #173635=VERTEX_POINT('',#566197); #173636=VERTEX_POINT('',#566201); #173637=VERTEX_POINT('',#566203); #173638=VERTEX_POINT('',#566207); #173639=VERTEX_POINT('',#566209); #173640=VERTEX_POINT('',#566213); #173641=VERTEX_POINT('',#566215); #173642=VERTEX_POINT('',#566219); #173643=VERTEX_POINT('',#566221); #173644=VERTEX_POINT('',#566225); #173645=VERTEX_POINT('',#566227); #173646=VERTEX_POINT('',#566231); #173647=VERTEX_POINT('',#566233); #173648=VERTEX_POINT('',#566237); #173649=VERTEX_POINT('',#566239); #173650=VERTEX_POINT('',#566243); #173651=VERTEX_POINT('',#566245); #173652=VERTEX_POINT('',#566249); #173653=VERTEX_POINT('',#566251); #173654=VERTEX_POINT('',#566255); #173655=VERTEX_POINT('',#566257); #173656=VERTEX_POINT('',#566261); #173657=VERTEX_POINT('',#566263); #173658=VERTEX_POINT('',#566267); #173659=VERTEX_POINT('',#566269); #173660=VERTEX_POINT('',#566273); #173661=VERTEX_POINT('',#566275); #173662=VERTEX_POINT('',#566279); #173663=VERTEX_POINT('',#566281); #173664=VERTEX_POINT('',#566285); #173665=VERTEX_POINT('',#566287); #173666=VERTEX_POINT('',#566291); #173667=VERTEX_POINT('',#566293); #173668=VERTEX_POINT('',#566297); #173669=VERTEX_POINT('',#566299); #173670=VERTEX_POINT('',#566303); #173671=VERTEX_POINT('',#566305); #173672=VERTEX_POINT('',#566309); #173673=VERTEX_POINT('',#566311); #173674=VERTEX_POINT('',#566315); #173675=VERTEX_POINT('',#566317); #173676=VERTEX_POINT('',#566321); #173677=VERTEX_POINT('',#566323); #173678=VERTEX_POINT('',#566327); #173679=VERTEX_POINT('',#566329); #173680=VERTEX_POINT('',#566333); #173681=VERTEX_POINT('',#566335); #173682=VERTEX_POINT('',#566339); #173683=VERTEX_POINT('',#566341); #173684=VERTEX_POINT('',#566345); #173685=VERTEX_POINT('',#566347); #173686=VERTEX_POINT('',#566351); #173687=VERTEX_POINT('',#566353); #173688=VERTEX_POINT('',#566357); #173689=VERTEX_POINT('',#566359); #173690=VERTEX_POINT('',#566363); #173691=VERTEX_POINT('',#566365); #173692=VERTEX_POINT('',#566369); #173693=VERTEX_POINT('',#566371); #173694=VERTEX_POINT('',#566375); #173695=VERTEX_POINT('',#566377); #173696=VERTEX_POINT('',#566381); #173697=VERTEX_POINT('',#566383); #173698=VERTEX_POINT('',#566387); #173699=VERTEX_POINT('',#566389); #173700=VERTEX_POINT('',#566393); #173701=VERTEX_POINT('',#566395); #173702=VERTEX_POINT('',#566399); #173703=VERTEX_POINT('',#566401); #173704=VERTEX_POINT('',#566405); #173705=VERTEX_POINT('',#566407); #173706=VERTEX_POINT('',#566411); #173707=VERTEX_POINT('',#566413); #173708=VERTEX_POINT('',#566417); #173709=VERTEX_POINT('',#566419); #173710=VERTEX_POINT('',#566423); #173711=VERTEX_POINT('',#566425); #173712=VERTEX_POINT('',#566429); #173713=VERTEX_POINT('',#566431); #173714=VERTEX_POINT('',#566435); #173715=VERTEX_POINT('',#566437); #173716=VERTEX_POINT('',#566441); #173717=VERTEX_POINT('',#566443); #173718=VERTEX_POINT('',#566447); #173719=VERTEX_POINT('',#566449); #173720=VERTEX_POINT('',#566453); #173721=VERTEX_POINT('',#566455); #173722=VERTEX_POINT('',#566459); #173723=VERTEX_POINT('',#566461); #173724=VERTEX_POINT('',#566465); #173725=VERTEX_POINT('',#566467); #173726=VERTEX_POINT('',#566471); #173727=VERTEX_POINT('',#566473); #173728=VERTEX_POINT('',#566477); #173729=VERTEX_POINT('',#566479); #173730=VERTEX_POINT('',#566483); #173731=VERTEX_POINT('',#566485); #173732=VERTEX_POINT('',#566494); #173733=VERTEX_POINT('',#566495); #173734=VERTEX_POINT('',#566497); #173735=VERTEX_POINT('',#566499); #173736=VERTEX_POINT('',#566503); #173737=VERTEX_POINT('',#566505); #173738=VERTEX_POINT('',#566509); #173739=VERTEX_POINT('',#566511); #173740=VERTEX_POINT('',#566518); #173741=VERTEX_POINT('',#566519); #173742=VERTEX_POINT('',#566521); #173743=VERTEX_POINT('',#566523); #173744=VERTEX_POINT('',#566527); #173745=VERTEX_POINT('',#566529); #173746=VERTEX_POINT('',#566533); #173747=VERTEX_POINT('',#566535); #173748=VERTEX_POINT('',#566539); #173749=VERTEX_POINT('',#566541); #173750=VERTEX_POINT('',#566545); #173751=VERTEX_POINT('',#566547); #173752=VERTEX_POINT('',#566551); #173753=VERTEX_POINT('',#566553); #173754=VERTEX_POINT('',#566557); #173755=VERTEX_POINT('',#566559); #173756=VERTEX_POINT('',#566563); #173757=VERTEX_POINT('',#566565); #173758=VERTEX_POINT('',#566569); #173759=VERTEX_POINT('',#566571); #173760=VERTEX_POINT('',#566575); #173761=VERTEX_POINT('',#566577); #173762=VERTEX_POINT('',#566581); #173763=VERTEX_POINT('',#566583); #173764=VERTEX_POINT('',#566587); #173765=VERTEX_POINT('',#566589); #173766=VERTEX_POINT('',#566593); #173767=VERTEX_POINT('',#566595); #173768=VERTEX_POINT('',#566599); #173769=VERTEX_POINT('',#566601); #173770=VERTEX_POINT('',#566605); #173771=VERTEX_POINT('',#566607); #173772=VERTEX_POINT('',#566611); #173773=VERTEX_POINT('',#566613); #173774=VERTEX_POINT('',#566617); #173775=VERTEX_POINT('',#566619); #173776=VERTEX_POINT('',#566623); #173777=VERTEX_POINT('',#566625); #173778=VERTEX_POINT('',#566629); #173779=VERTEX_POINT('',#566631); #173780=VERTEX_POINT('',#566635); #173781=VERTEX_POINT('',#566637); #173782=VERTEX_POINT('',#566641); #173783=VERTEX_POINT('',#566643); #173784=VERTEX_POINT('',#566647); #173785=VERTEX_POINT('',#566649); #173786=VERTEX_POINT('',#566653); #173787=VERTEX_POINT('',#566655); #173788=VERTEX_POINT('',#566659); #173789=VERTEX_POINT('',#566661); #173790=VERTEX_POINT('',#566665); #173791=VERTEX_POINT('',#566667); #173792=VERTEX_POINT('',#566671); #173793=VERTEX_POINT('',#566673); #173794=VERTEX_POINT('',#566677); #173795=VERTEX_POINT('',#566679); #173796=VERTEX_POINT('',#566683); #173797=VERTEX_POINT('',#566685); #173798=VERTEX_POINT('',#566689); #173799=VERTEX_POINT('',#566691); #173800=VERTEX_POINT('',#566695); #173801=VERTEX_POINT('',#566697); #173802=VERTEX_POINT('',#566701); #173803=VERTEX_POINT('',#566703); #173804=VERTEX_POINT('',#566707); #173805=VERTEX_POINT('',#566709); #173806=VERTEX_POINT('',#566713); #173807=VERTEX_POINT('',#566715); #173808=VERTEX_POINT('',#566719); #173809=VERTEX_POINT('',#566721); #173810=VERTEX_POINT('',#566725); #173811=VERTEX_POINT('',#566727); #173812=VERTEX_POINT('',#566731); #173813=VERTEX_POINT('',#566733); #173814=VERTEX_POINT('',#566737); #173815=VERTEX_POINT('',#566739); #173816=VERTEX_POINT('',#566743); #173817=VERTEX_POINT('',#566745); #173818=VERTEX_POINT('',#566749); #173819=VERTEX_POINT('',#566751); #173820=VERTEX_POINT('',#566755); #173821=VERTEX_POINT('',#566757); #173822=VERTEX_POINT('',#566761); #173823=VERTEX_POINT('',#566763); #173824=VERTEX_POINT('',#566767); #173825=VERTEX_POINT('',#566769); #173826=VERTEX_POINT('',#566773); #173827=VERTEX_POINT('',#566775); #173828=VERTEX_POINT('',#566779); #173829=VERTEX_POINT('',#566781); #173830=VERTEX_POINT('',#566785); #173831=VERTEX_POINT('',#566787); #173832=VERTEX_POINT('',#566791); #173833=VERTEX_POINT('',#566793); #173834=VERTEX_POINT('',#566797); #173835=VERTEX_POINT('',#566799); #173836=VERTEX_POINT('',#566803); #173837=VERTEX_POINT('',#566805); #173838=VERTEX_POINT('',#566809); #173839=VERTEX_POINT('',#566811); #173840=VERTEX_POINT('',#566815); #173841=VERTEX_POINT('',#566817); #173842=VERTEX_POINT('',#566821); #173843=VERTEX_POINT('',#566823); #173844=VERTEX_POINT('',#566827); #173845=VERTEX_POINT('',#566829); #173846=VERTEX_POINT('',#566833); #173847=VERTEX_POINT('',#566835); #173848=VERTEX_POINT('',#566839); #173849=VERTEX_POINT('',#566841); #173850=VERTEX_POINT('',#566845); #173851=VERTEX_POINT('',#566847); #173852=VERTEX_POINT('',#566856); #173853=VERTEX_POINT('',#566858); #173854=VERTEX_POINT('',#566862); #173855=VERTEX_POINT('',#566863); #173856=VERTEX_POINT('',#566865); #173857=VERTEX_POINT('',#566867); #173858=VERTEX_POINT('',#566871); #173859=VERTEX_POINT('',#566873); #173860=VERTEX_POINT('',#566877); #173861=VERTEX_POINT('',#566879); #173862=VERTEX_POINT('',#566888); #173863=VERTEX_POINT('',#566889); #173864=VERTEX_POINT('',#566891); #173865=VERTEX_POINT('',#566893); #173866=VERTEX_POINT('',#566897); #173867=VERTEX_POINT('',#566899); #173868=VERTEX_POINT('',#566903); #173869=VERTEX_POINT('',#566905); #173870=VERTEX_POINT('',#566912); #173871=VERTEX_POINT('',#566913); #173872=VERTEX_POINT('',#566915); #173873=VERTEX_POINT('',#566917); #173874=VERTEX_POINT('',#566921); #173875=VERTEX_POINT('',#566923); #173876=VERTEX_POINT('',#566927); #173877=VERTEX_POINT('',#566929); #173878=VERTEX_POINT('',#566933); #173879=VERTEX_POINT('',#566935); #173880=VERTEX_POINT('',#566939); #173881=VERTEX_POINT('',#566941); #173882=VERTEX_POINT('',#566945); #173883=VERTEX_POINT('',#566947); #173884=VERTEX_POINT('',#566951); #173885=VERTEX_POINT('',#566953); #173886=VERTEX_POINT('',#566957); #173887=VERTEX_POINT('',#566959); #173888=VERTEX_POINT('',#566963); #173889=VERTEX_POINT('',#566965); #173890=VERTEX_POINT('',#566969); #173891=VERTEX_POINT('',#566971); #173892=VERTEX_POINT('',#566975); #173893=VERTEX_POINT('',#566977); #173894=VERTEX_POINT('',#566981); #173895=VERTEX_POINT('',#566983); #173896=VERTEX_POINT('',#566987); #173897=VERTEX_POINT('',#566989); #173898=VERTEX_POINT('',#566993); #173899=VERTEX_POINT('',#566995); #173900=VERTEX_POINT('',#566999); #173901=VERTEX_POINT('',#567001); #173902=VERTEX_POINT('',#567005); #173903=VERTEX_POINT('',#567007); #173904=VERTEX_POINT('',#567011); #173905=VERTEX_POINT('',#567013); #173906=VERTEX_POINT('',#567017); #173907=VERTEX_POINT('',#567019); #173908=VERTEX_POINT('',#567023); #173909=VERTEX_POINT('',#567025); #173910=VERTEX_POINT('',#567029); #173911=VERTEX_POINT('',#567031); #173912=VERTEX_POINT('',#567035); #173913=VERTEX_POINT('',#567037); #173914=VERTEX_POINT('',#567041); #173915=VERTEX_POINT('',#567043); #173916=VERTEX_POINT('',#567047); #173917=VERTEX_POINT('',#567049); #173918=VERTEX_POINT('',#567053); #173919=VERTEX_POINT('',#567055); #173920=VERTEX_POINT('',#567059); #173921=VERTEX_POINT('',#567061); #173922=VERTEX_POINT('',#567065); #173923=VERTEX_POINT('',#567067); #173924=VERTEX_POINT('',#567071); #173925=VERTEX_POINT('',#567073); #173926=VERTEX_POINT('',#567077); #173927=VERTEX_POINT('',#567079); #173928=VERTEX_POINT('',#567083); #173929=VERTEX_POINT('',#567085); #173930=VERTEX_POINT('',#567089); #173931=VERTEX_POINT('',#567091); #173932=VERTEX_POINT('',#567095); #173933=VERTEX_POINT('',#567097); #173934=VERTEX_POINT('',#567101); #173935=VERTEX_POINT('',#567103); #173936=VERTEX_POINT('',#567107); #173937=VERTEX_POINT('',#567109); #173938=VERTEX_POINT('',#567113); #173939=VERTEX_POINT('',#567115); #173940=VERTEX_POINT('',#567119); #173941=VERTEX_POINT('',#567121); #173942=VERTEX_POINT('',#567125); #173943=VERTEX_POINT('',#567127); #173944=VERTEX_POINT('',#567131); #173945=VERTEX_POINT('',#567133); #173946=VERTEX_POINT('',#567137); #173947=VERTEX_POINT('',#567139); #173948=VERTEX_POINT('',#567143); #173949=VERTEX_POINT('',#567145); #173950=VERTEX_POINT('',#567149); #173951=VERTEX_POINT('',#567151); #173952=VERTEX_POINT('',#567155); #173953=VERTEX_POINT('',#567157); #173954=VERTEX_POINT('',#567161); #173955=VERTEX_POINT('',#567163); #173956=VERTEX_POINT('',#567167); #173957=VERTEX_POINT('',#567169); #173958=VERTEX_POINT('',#567173); #173959=VERTEX_POINT('',#567175); #173960=VERTEX_POINT('',#567179); #173961=VERTEX_POINT('',#567181); #173962=VERTEX_POINT('',#567185); #173963=VERTEX_POINT('',#567187); #173964=VERTEX_POINT('',#567191); #173965=VERTEX_POINT('',#567193); #173966=VERTEX_POINT('',#567197); #173967=VERTEX_POINT('',#567199); #173968=VERTEX_POINT('',#567203); #173969=VERTEX_POINT('',#567205); #173970=VERTEX_POINT('',#567209); #173971=VERTEX_POINT('',#567211); #173972=VERTEX_POINT('',#567215); #173973=VERTEX_POINT('',#567217); #173974=VERTEX_POINT('',#567221); #173975=VERTEX_POINT('',#567223); #173976=VERTEX_POINT('',#567227); #173977=VERTEX_POINT('',#567229); #173978=VERTEX_POINT('',#567233); #173979=VERTEX_POINT('',#567235); #173980=VERTEX_POINT('',#567239); #173981=VERTEX_POINT('',#567241); #173982=VERTEX_POINT('',#567250); #173983=VERTEX_POINT('',#567251); #173984=VERTEX_POINT('',#567253); #173985=VERTEX_POINT('',#567255); #173986=VERTEX_POINT('',#567259); #173987=VERTEX_POINT('',#567261); #173988=VERTEX_POINT('',#567265); #173989=VERTEX_POINT('',#567267); #173990=VERTEX_POINT('',#567274); #173991=VERTEX_POINT('',#567275); #173992=VERTEX_POINT('',#567277); #173993=VERTEX_POINT('',#567279); #173994=VERTEX_POINT('',#567283); #173995=VERTEX_POINT('',#567285); #173996=VERTEX_POINT('',#567289); #173997=VERTEX_POINT('',#567291); #173998=VERTEX_POINT('',#567295); #173999=VERTEX_POINT('',#567297); #174000=VERTEX_POINT('',#567301); #174001=VERTEX_POINT('',#567303); #174002=VERTEX_POINT('',#567307); #174003=VERTEX_POINT('',#567309); #174004=VERTEX_POINT('',#567313); #174005=VERTEX_POINT('',#567315); #174006=VERTEX_POINT('',#567319); #174007=VERTEX_POINT('',#567321); #174008=VERTEX_POINT('',#567325); #174009=VERTEX_POINT('',#567327); #174010=VERTEX_POINT('',#567331); #174011=VERTEX_POINT('',#567333); #174012=VERTEX_POINT('',#567337); #174013=VERTEX_POINT('',#567339); #174014=VERTEX_POINT('',#567343); #174015=VERTEX_POINT('',#567345); #174016=VERTEX_POINT('',#567349); #174017=VERTEX_POINT('',#567351); #174018=VERTEX_POINT('',#567355); #174019=VERTEX_POINT('',#567357); #174020=VERTEX_POINT('',#567361); #174021=VERTEX_POINT('',#567363); #174022=VERTEX_POINT('',#567367); #174023=VERTEX_POINT('',#567369); #174024=VERTEX_POINT('',#567373); #174025=VERTEX_POINT('',#567375); #174026=VERTEX_POINT('',#567379); #174027=VERTEX_POINT('',#567381); #174028=VERTEX_POINT('',#567385); #174029=VERTEX_POINT('',#567387); #174030=VERTEX_POINT('',#567391); #174031=VERTEX_POINT('',#567393); #174032=VERTEX_POINT('',#567397); #174033=VERTEX_POINT('',#567399); #174034=VERTEX_POINT('',#567403); #174035=VERTEX_POINT('',#567405); #174036=VERTEX_POINT('',#567409); #174037=VERTEX_POINT('',#567411); #174038=VERTEX_POINT('',#567415); #174039=VERTEX_POINT('',#567417); #174040=VERTEX_POINT('',#567421); #174041=VERTEX_POINT('',#567423); #174042=VERTEX_POINT('',#567427); #174043=VERTEX_POINT('',#567429); #174044=VERTEX_POINT('',#567433); #174045=VERTEX_POINT('',#567435); #174046=VERTEX_POINT('',#567439); #174047=VERTEX_POINT('',#567441); #174048=VERTEX_POINT('',#567445); #174049=VERTEX_POINT('',#567447); #174050=VERTEX_POINT('',#567451); #174051=VERTEX_POINT('',#567453); #174052=VERTEX_POINT('',#567457); #174053=VERTEX_POINT('',#567459); #174054=VERTEX_POINT('',#567463); #174055=VERTEX_POINT('',#567465); #174056=VERTEX_POINT('',#567469); #174057=VERTEX_POINT('',#567471); #174058=VERTEX_POINT('',#567475); #174059=VERTEX_POINT('',#567477); #174060=VERTEX_POINT('',#567481); #174061=VERTEX_POINT('',#567483); #174062=VERTEX_POINT('',#567487); #174063=VERTEX_POINT('',#567489); #174064=VERTEX_POINT('',#567493); #174065=VERTEX_POINT('',#567495); #174066=VERTEX_POINT('',#567499); #174067=VERTEX_POINT('',#567501); #174068=VERTEX_POINT('',#567505); #174069=VERTEX_POINT('',#567507); #174070=VERTEX_POINT('',#567511); #174071=VERTEX_POINT('',#567513); #174072=VERTEX_POINT('',#567517); #174073=VERTEX_POINT('',#567519); #174074=VERTEX_POINT('',#567523); #174075=VERTEX_POINT('',#567525); #174076=VERTEX_POINT('',#567529); #174077=VERTEX_POINT('',#567531); #174078=VERTEX_POINT('',#567535); #174079=VERTEX_POINT('',#567537); #174080=VERTEX_POINT('',#567541); #174081=VERTEX_POINT('',#567543); #174082=VERTEX_POINT('',#567547); #174083=VERTEX_POINT('',#567549); #174084=VERTEX_POINT('',#567553); #174085=VERTEX_POINT('',#567555); #174086=VERTEX_POINT('',#567559); #174087=VERTEX_POINT('',#567561); #174088=VERTEX_POINT('',#567565); #174089=VERTEX_POINT('',#567567); #174090=VERTEX_POINT('',#567571); #174091=VERTEX_POINT('',#567573); #174092=VERTEX_POINT('',#567577); #174093=VERTEX_POINT('',#567579); #174094=VERTEX_POINT('',#567583); #174095=VERTEX_POINT('',#567585); #174096=VERTEX_POINT('',#567589); #174097=VERTEX_POINT('',#567591); #174098=VERTEX_POINT('',#567595); #174099=VERTEX_POINT('',#567597); #174100=VERTEX_POINT('',#567601); #174101=VERTEX_POINT('',#567603); #174102=VERTEX_POINT('',#567607); #174103=VERTEX_POINT('',#567609); #174104=VERTEX_POINT('',#567613); #174105=VERTEX_POINT('',#567615); #174106=VERTEX_POINT('',#567619); #174107=VERTEX_POINT('',#567621); #174108=VERTEX_POINT('',#567625); #174109=VERTEX_POINT('',#567627); #174110=VERTEX_POINT('',#567631); #174111=VERTEX_POINT('',#567633); #174112=VERTEX_POINT('',#567637); #174113=VERTEX_POINT('',#567639); #174114=VERTEX_POINT('',#567643); #174115=VERTEX_POINT('',#567645); #174116=VERTEX_POINT('',#567649); #174117=VERTEX_POINT('',#567651); #174118=VERTEX_POINT('',#567655); #174119=VERTEX_POINT('',#567657); #174120=VERTEX_POINT('',#567661); #174121=VERTEX_POINT('',#567663); #174122=VERTEX_POINT('',#567667); #174123=VERTEX_POINT('',#567669); #174124=VERTEX_POINT('',#567673); #174125=VERTEX_POINT('',#567675); #174126=VERTEX_POINT('',#567679); #174127=VERTEX_POINT('',#567681); #174128=VERTEX_POINT('',#567685); #174129=VERTEX_POINT('',#567687); #174130=VERTEX_POINT('',#567691); #174131=VERTEX_POINT('',#567693); #174132=VERTEX_POINT('',#567697); #174133=VERTEX_POINT('',#567699); #174134=VERTEX_POINT('',#567708); #174135=VERTEX_POINT('',#567710); #174136=VERTEX_POINT('',#567714); #174137=VERTEX_POINT('',#567715); #174138=VERTEX_POINT('',#567717); #174139=VERTEX_POINT('',#567719); #174140=VERTEX_POINT('',#567723); #174141=VERTEX_POINT('',#567725); #174142=VERTEX_POINT('',#567734); #174143=VERTEX_POINT('',#567736); #174144=VERTEX_POINT('',#567740); #174145=VERTEX_POINT('',#567741); #174146=VERTEX_POINT('',#567743); #174147=VERTEX_POINT('',#567745); #174148=VERTEX_POINT('',#567749); #174149=VERTEX_POINT('',#567751); #174150=VERTEX_POINT('',#567760); #174151=VERTEX_POINT('',#567761); #174152=VERTEX_POINT('',#567763); #174153=VERTEX_POINT('',#567765); #174154=VERTEX_POINT('',#567769); #174155=VERTEX_POINT('',#567771); #174156=VERTEX_POINT('',#567775); #174157=VERTEX_POINT('',#567777); #174158=VERTEX_POINT('',#567784); #174159=VERTEX_POINT('',#567785); #174160=VERTEX_POINT('',#567787); #174161=VERTEX_POINT('',#567789); #174162=VERTEX_POINT('',#567793); #174163=VERTEX_POINT('',#567795); #174164=VERTEX_POINT('',#567799); #174165=VERTEX_POINT('',#567801); #174166=VERTEX_POINT('',#567805); #174167=VERTEX_POINT('',#567807); #174168=VERTEX_POINT('',#567811); #174169=VERTEX_POINT('',#567813); #174170=VERTEX_POINT('',#567817); #174171=VERTEX_POINT('',#567819); #174172=VERTEX_POINT('',#567823); #174173=VERTEX_POINT('',#567825); #174174=VERTEX_POINT('',#567829); #174175=VERTEX_POINT('',#567831); #174176=VERTEX_POINT('',#567835); #174177=VERTEX_POINT('',#567837); #174178=VERTEX_POINT('',#567841); #174179=VERTEX_POINT('',#567843); #174180=VERTEX_POINT('',#567847); #174181=VERTEX_POINT('',#567849); #174182=VERTEX_POINT('',#567853); #174183=VERTEX_POINT('',#567855); #174184=VERTEX_POINT('',#567859); #174185=VERTEX_POINT('',#567861); #174186=VERTEX_POINT('',#567865); #174187=VERTEX_POINT('',#567867); #174188=VERTEX_POINT('',#567871); #174189=VERTEX_POINT('',#567873); #174190=VERTEX_POINT('',#567877); #174191=VERTEX_POINT('',#567879); #174192=VERTEX_POINT('',#567883); #174193=VERTEX_POINT('',#567885); #174194=VERTEX_POINT('',#567889); #174195=VERTEX_POINT('',#567891); #174196=VERTEX_POINT('',#567895); #174197=VERTEX_POINT('',#567897); #174198=VERTEX_POINT('',#567901); #174199=VERTEX_POINT('',#567903); #174200=VERTEX_POINT('',#567907); #174201=VERTEX_POINT('',#567909); #174202=VERTEX_POINT('',#567913); #174203=VERTEX_POINT('',#567915); #174204=VERTEX_POINT('',#567919); #174205=VERTEX_POINT('',#567921); #174206=VERTEX_POINT('',#567925); #174207=VERTEX_POINT('',#567927); #174208=VERTEX_POINT('',#567931); #174209=VERTEX_POINT('',#567933); #174210=VERTEX_POINT('',#567937); #174211=VERTEX_POINT('',#567939); #174212=VERTEX_POINT('',#567943); #174213=VERTEX_POINT('',#567945); #174214=VERTEX_POINT('',#567949); #174215=VERTEX_POINT('',#567951); #174216=VERTEX_POINT('',#567955); #174217=VERTEX_POINT('',#567957); #174218=VERTEX_POINT('',#567961); #174219=VERTEX_POINT('',#567963); #174220=VERTEX_POINT('',#567967); #174221=VERTEX_POINT('',#567969); #174222=VERTEX_POINT('',#567973); #174223=VERTEX_POINT('',#567975); #174224=VERTEX_POINT('',#567979); #174225=VERTEX_POINT('',#567981); #174226=VERTEX_POINT('',#567985); #174227=VERTEX_POINT('',#567987); #174228=VERTEX_POINT('',#567991); #174229=VERTEX_POINT('',#567993); #174230=VERTEX_POINT('',#567997); #174231=VERTEX_POINT('',#567999); #174232=VERTEX_POINT('',#568003); #174233=VERTEX_POINT('',#568005); #174234=VERTEX_POINT('',#568009); #174235=VERTEX_POINT('',#568011); #174236=VERTEX_POINT('',#568015); #174237=VERTEX_POINT('',#568017); #174238=VERTEX_POINT('',#568021); #174239=VERTEX_POINT('',#568023); #174240=VERTEX_POINT('',#568027); #174241=VERTEX_POINT('',#568029); #174242=VERTEX_POINT('',#568033); #174243=VERTEX_POINT('',#568035); #174244=VERTEX_POINT('',#568039); #174245=VERTEX_POINT('',#568041); #174246=VERTEX_POINT('',#568045); #174247=VERTEX_POINT('',#568047); #174248=VERTEX_POINT('',#568051); #174249=VERTEX_POINT('',#568053); #174250=VERTEX_POINT('',#568057); #174251=VERTEX_POINT('',#568059); #174252=VERTEX_POINT('',#568063); #174253=VERTEX_POINT('',#568065); #174254=VERTEX_POINT('',#568069); #174255=VERTEX_POINT('',#568071); #174256=VERTEX_POINT('',#568075); #174257=VERTEX_POINT('',#568077); #174258=VERTEX_POINT('',#568081); #174259=VERTEX_POINT('',#568083); #174260=VERTEX_POINT('',#568087); #174261=VERTEX_POINT('',#568089); #174262=VERTEX_POINT('',#568093); #174263=VERTEX_POINT('',#568095); #174264=VERTEX_POINT('',#568099); #174265=VERTEX_POINT('',#568101); #174266=VERTEX_POINT('',#568105); #174267=VERTEX_POINT('',#568107); #174268=VERTEX_POINT('',#568111); #174269=VERTEX_POINT('',#568113); #174270=VERTEX_POINT('',#568122); #174271=VERTEX_POINT('',#568124); #174272=VERTEX_POINT('',#568128); #174273=VERTEX_POINT('',#568129); #174274=VERTEX_POINT('',#568131); #174275=VERTEX_POINT('',#568133); #174276=VERTEX_POINT('',#568137); #174277=VERTEX_POINT('',#568139); #174278=VERTEX_POINT('',#568148); #174279=VERTEX_POINT('',#568150); #174280=VERTEX_POINT('',#568154); #174281=VERTEX_POINT('',#568155); #174282=VERTEX_POINT('',#568157); #174283=VERTEX_POINT('',#568159); #174284=VERTEX_POINT('',#568163); #174285=VERTEX_POINT('',#568165); #174286=VERTEX_POINT('',#568174); #174287=VERTEX_POINT('',#568176); #174288=VERTEX_POINT('',#568180); #174289=VERTEX_POINT('',#568181); #174290=VERTEX_POINT('',#568183); #174291=VERTEX_POINT('',#568185); #174292=VERTEX_POINT('',#568189); #174293=VERTEX_POINT('',#568191); #174294=VERTEX_POINT('',#568200); #174295=VERTEX_POINT('',#568202); #174296=VERTEX_POINT('',#568206); #174297=VERTEX_POINT('',#568207); #174298=VERTEX_POINT('',#568209); #174299=VERTEX_POINT('',#568211); #174300=VERTEX_POINT('',#568215); #174301=VERTEX_POINT('',#568217); #174302=VERTEX_POINT('',#568221); #174303=VERTEX_POINT('',#568223); #174304=VERTEX_POINT('',#568232); #174305=VERTEX_POINT('',#568234); #174306=VERTEX_POINT('',#568238); #174307=VERTEX_POINT('',#568239); #174308=VERTEX_POINT('',#568241); #174309=VERTEX_POINT('',#568243); #174310=VERTEX_POINT('',#568247); #174311=VERTEX_POINT('',#568249); #174312=VERTEX_POINT('',#568258); #174313=VERTEX_POINT('',#568259); #174314=VERTEX_POINT('',#568261); #174315=VERTEX_POINT('',#568263); #174316=VERTEX_POINT('',#568267); #174317=VERTEX_POINT('',#568269); #174318=VERTEX_POINT('',#568273); #174319=VERTEX_POINT('',#568275); #174320=VERTEX_POINT('',#568284); #174321=VERTEX_POINT('',#568285); #174322=VERTEX_POINT('',#568287); #174323=VERTEX_POINT('',#568289); #174324=VERTEX_POINT('',#568293); #174325=VERTEX_POINT('',#568295); #174326=VERTEX_POINT('',#568299); #174327=VERTEX_POINT('',#568301); #174328=VERTEX_POINT('',#568310); #174329=VERTEX_POINT('',#568311); #174330=VERTEX_POINT('',#568313); #174331=VERTEX_POINT('',#568315); #174332=VERTEX_POINT('',#568319); #174333=VERTEX_POINT('',#568321); #174334=VERTEX_POINT('',#568325); #174335=VERTEX_POINT('',#568327); #174336=VERTEX_POINT('',#568336); #174337=VERTEX_POINT('',#568337); #174338=VERTEX_POINT('',#568339); #174339=VERTEX_POINT('',#568341); #174340=VERTEX_POINT('',#568345); #174341=VERTEX_POINT('',#568347); #174342=VERTEX_POINT('',#568351); #174343=VERTEX_POINT('',#568353); #174344=VERTEX_POINT('',#568362); #174345=VERTEX_POINT('',#568363); #174346=VERTEX_POINT('',#568365); #174347=VERTEX_POINT('',#568367); #174348=VERTEX_POINT('',#568371); #174349=VERTEX_POINT('',#568373); #174350=VERTEX_POINT('',#568377); #174351=VERTEX_POINT('',#568379); #174352=VERTEX_POINT('',#568388); #174353=VERTEX_POINT('',#568389); #174354=VERTEX_POINT('',#568391); #174355=VERTEX_POINT('',#568393); #174356=VERTEX_POINT('',#568397); #174357=VERTEX_POINT('',#568399); #174358=VERTEX_POINT('',#568403); #174359=VERTEX_POINT('',#568405); #174360=VERTEX_POINT('',#568414); #174361=VERTEX_POINT('',#568415); #174362=VERTEX_POINT('',#568417); #174363=VERTEX_POINT('',#568419); #174364=VERTEX_POINT('',#568423); #174365=VERTEX_POINT('',#568425); #174366=VERTEX_POINT('',#568429); #174367=VERTEX_POINT('',#568431); #174368=VERTEX_POINT('',#568440); #174369=VERTEX_POINT('',#568441); #174370=VERTEX_POINT('',#568443); #174371=VERTEX_POINT('',#568445); #174372=VERTEX_POINT('',#568449); #174373=VERTEX_POINT('',#568451); #174374=VERTEX_POINT('',#568455); #174375=VERTEX_POINT('',#568457); #174376=VERTEX_POINT('',#568466); #174377=VERTEX_POINT('',#568467); #174378=VERTEX_POINT('',#568469); #174379=VERTEX_POINT('',#568471); #174380=VERTEX_POINT('',#568475); #174381=VERTEX_POINT('',#568477); #174382=VERTEX_POINT('',#568481); #174383=VERTEX_POINT('',#568483); #174384=VERTEX_POINT('',#568492); #174385=VERTEX_POINT('',#568493); #174386=VERTEX_POINT('',#568495); #174387=VERTEX_POINT('',#568497); #174388=VERTEX_POINT('',#568501); #174389=VERTEX_POINT('',#568503); #174390=VERTEX_POINT('',#568507); #174391=VERTEX_POINT('',#568509); #174392=VERTEX_POINT('',#568518); #174393=VERTEX_POINT('',#568519); #174394=VERTEX_POINT('',#568521); #174395=VERTEX_POINT('',#568523); #174396=VERTEX_POINT('',#568527); #174397=VERTEX_POINT('',#568529); #174398=VERTEX_POINT('',#568533); #174399=VERTEX_POINT('',#568535); #174400=VERTEX_POINT('',#568544); #174401=VERTEX_POINT('',#568545); #174402=VERTEX_POINT('',#568547); #174403=VERTEX_POINT('',#568549); #174404=VERTEX_POINT('',#568553); #174405=VERTEX_POINT('',#568555); #174406=VERTEX_POINT('',#568559); #174407=VERTEX_POINT('',#568561); #174408=VERTEX_POINT('',#568570); #174409=VERTEX_POINT('',#568571); #174410=VERTEX_POINT('',#568573); #174411=VERTEX_POINT('',#568575); #174412=VERTEX_POINT('',#568579); #174413=VERTEX_POINT('',#568581); #174414=VERTEX_POINT('',#568585); #174415=VERTEX_POINT('',#568587); #174416=VERTEX_POINT('',#568596); #174417=VERTEX_POINT('',#568597); #174418=VERTEX_POINT('',#568599); #174419=VERTEX_POINT('',#568601); #174420=VERTEX_POINT('',#568605); #174421=VERTEX_POINT('',#568607); #174422=VERTEX_POINT('',#568611); #174423=VERTEX_POINT('',#568613); #174424=VERTEX_POINT('',#568622); #174425=VERTEX_POINT('',#568623); #174426=VERTEX_POINT('',#568625); #174427=VERTEX_POINT('',#568627); #174428=VERTEX_POINT('',#568631); #174429=VERTEX_POINT('',#568633); #174430=VERTEX_POINT('',#568637); #174431=VERTEX_POINT('',#568639); #174432=VERTEX_POINT('',#568648); #174433=VERTEX_POINT('',#568649); #174434=VERTEX_POINT('',#568651); #174435=VERTEX_POINT('',#568653); #174436=VERTEX_POINT('',#568657); #174437=VERTEX_POINT('',#568659); #174438=VERTEX_POINT('',#568663); #174439=VERTEX_POINT('',#568665); #174440=VERTEX_POINT('',#568674); #174441=VERTEX_POINT('',#568675); #174442=VERTEX_POINT('',#568677); #174443=VERTEX_POINT('',#568679); #174444=VERTEX_POINT('',#568683); #174445=VERTEX_POINT('',#568685); #174446=VERTEX_POINT('',#568689); #174447=VERTEX_POINT('',#568691); #174448=VERTEX_POINT('',#568700); #174449=VERTEX_POINT('',#568701); #174450=VERTEX_POINT('',#568703); #174451=VERTEX_POINT('',#568705); #174452=VERTEX_POINT('',#568709); #174453=VERTEX_POINT('',#568711); #174454=VERTEX_POINT('',#568715); #174455=VERTEX_POINT('',#568717); #174456=VERTEX_POINT('',#568726); #174457=VERTEX_POINT('',#568727); #174458=VERTEX_POINT('',#568729); #174459=VERTEX_POINT('',#568731); #174460=VERTEX_POINT('',#568735); #174461=VERTEX_POINT('',#568737); #174462=VERTEX_POINT('',#568741); #174463=VERTEX_POINT('',#568743); #174464=VERTEX_POINT('',#568752); #174465=VERTEX_POINT('',#568753); #174466=VERTEX_POINT('',#568755); #174467=VERTEX_POINT('',#568757); #174468=VERTEX_POINT('',#568761); #174469=VERTEX_POINT('',#568763); #174470=VERTEX_POINT('',#568767); #174471=VERTEX_POINT('',#568769); #174472=VERTEX_POINT('',#568778); #174473=VERTEX_POINT('',#568779); #174474=VERTEX_POINT('',#568781); #174475=VERTEX_POINT('',#568783); #174476=VERTEX_POINT('',#568787); #174477=VERTEX_POINT('',#568789); #174478=VERTEX_POINT('',#568793); #174479=VERTEX_POINT('',#568795); #174480=VERTEX_POINT('',#568804); #174481=VERTEX_POINT('',#568805); #174482=VERTEX_POINT('',#568807); #174483=VERTEX_POINT('',#568809); #174484=VERTEX_POINT('',#568813); #174485=VERTEX_POINT('',#568815); #174486=VERTEX_POINT('',#568819); #174487=VERTEX_POINT('',#568821); #174488=VERTEX_POINT('',#568830); #174489=VERTEX_POINT('',#568831); #174490=VERTEX_POINT('',#568833); #174491=VERTEX_POINT('',#568835); #174492=VERTEX_POINT('',#568839); #174493=VERTEX_POINT('',#568841); #174494=VERTEX_POINT('',#568845); #174495=VERTEX_POINT('',#568847); #174496=VERTEX_POINT('',#568856); #174497=VERTEX_POINT('',#568858); #174498=VERTEX_POINT('',#568862); #174499=VERTEX_POINT('',#568864); #174500=VERTEX_POINT('',#568868); #174501=VERTEX_POINT('',#568869); #174502=VERTEX_POINT('',#568871); #174503=VERTEX_POINT('',#568873); #174504=VERTEX_POINT('',#568877); #174505=VERTEX_POINT('',#568879); #174506=VERTEX_POINT('',#568883); #174507=VERTEX_POINT('',#568885); #174508=VERTEX_POINT('',#568894); #174509=VERTEX_POINT('',#568895); #174510=VERTEX_POINT('',#568897); #174511=VERTEX_POINT('',#568899); #174512=VERTEX_POINT('',#568903); #174513=VERTEX_POINT('',#568905); #174514=VERTEX_POINT('',#568909); #174515=VERTEX_POINT('',#568911); #174516=VERTEX_POINT('',#568920); #174517=VERTEX_POINT('',#568922); #174518=VERTEX_POINT('',#568926); #174519=VERTEX_POINT('',#568928); #174520=VERTEX_POINT('',#568932); #174521=VERTEX_POINT('',#568933); #174522=VERTEX_POINT('',#568935); #174523=VERTEX_POINT('',#568937); #174524=VERTEX_POINT('',#568941); #174525=VERTEX_POINT('',#568943); #174526=VERTEX_POINT('',#568947); #174527=VERTEX_POINT('',#568949); #174528=VERTEX_POINT('',#568958); #174529=VERTEX_POINT('',#568959); #174530=VERTEX_POINT('',#568961); #174531=VERTEX_POINT('',#568963); #174532=VERTEX_POINT('',#568967); #174533=VERTEX_POINT('',#568969); #174534=VERTEX_POINT('',#568973); #174535=VERTEX_POINT('',#568975); #174536=VERTEX_POINT('',#568984); #174537=VERTEX_POINT('',#568985); #174538=VERTEX_POINT('',#568987); #174539=VERTEX_POINT('',#568989); #174540=VERTEX_POINT('',#568993); #174541=VERTEX_POINT('',#568995); #174542=VERTEX_POINT('',#568999); #174543=VERTEX_POINT('',#569001); #174544=VERTEX_POINT('',#569010); #174545=VERTEX_POINT('',#569011); #174546=VERTEX_POINT('',#569013); #174547=VERTEX_POINT('',#569015); #174548=VERTEX_POINT('',#569019); #174549=VERTEX_POINT('',#569021); #174550=VERTEX_POINT('',#569025); #174551=VERTEX_POINT('',#569027); #174552=VERTEX_POINT('',#569036); #174553=VERTEX_POINT('',#569037); #174554=VERTEX_POINT('',#569039); #174555=VERTEX_POINT('',#569041); #174556=VERTEX_POINT('',#569045); #174557=VERTEX_POINT('',#569047); #174558=VERTEX_POINT('',#569051); #174559=VERTEX_POINT('',#569053); #174560=VERTEX_POINT('',#569062); #174561=VERTEX_POINT('',#569063); #174562=VERTEX_POINT('',#569065); #174563=VERTEX_POINT('',#569067); #174564=VERTEX_POINT('',#569071); #174565=VERTEX_POINT('',#569073); #174566=VERTEX_POINT('',#569077); #174567=VERTEX_POINT('',#569079); #174568=VERTEX_POINT('',#569088); #174569=VERTEX_POINT('',#569089); #174570=VERTEX_POINT('',#569091); #174571=VERTEX_POINT('',#569093); #174572=VERTEX_POINT('',#569097); #174573=VERTEX_POINT('',#569099); #174574=VERTEX_POINT('',#569103); #174575=VERTEX_POINT('',#569105); #174576=VERTEX_POINT('',#569114); #174577=VERTEX_POINT('',#569115); #174578=VERTEX_POINT('',#569117); #174579=VERTEX_POINT('',#569119); #174580=VERTEX_POINT('',#569123); #174581=VERTEX_POINT('',#569125); #174582=VERTEX_POINT('',#569129); #174583=VERTEX_POINT('',#569131); #174584=VERTEX_POINT('',#569140); #174585=VERTEX_POINT('',#569141); #174586=VERTEX_POINT('',#569143); #174587=VERTEX_POINT('',#569145); #174588=VERTEX_POINT('',#569149); #174589=VERTEX_POINT('',#569151); #174590=VERTEX_POINT('',#569155); #174591=VERTEX_POINT('',#569157); #174592=VERTEX_POINT('',#569166); #174593=VERTEX_POINT('',#569167); #174594=VERTEX_POINT('',#569169); #174595=VERTEX_POINT('',#569171); #174596=VERTEX_POINT('',#569175); #174597=VERTEX_POINT('',#569177); #174598=VERTEX_POINT('',#569181); #174599=VERTEX_POINT('',#569183); #174600=VERTEX_POINT('',#569192); #174601=VERTEX_POINT('',#569193); #174602=VERTEX_POINT('',#569195); #174603=VERTEX_POINT('',#569197); #174604=VERTEX_POINT('',#569201); #174605=VERTEX_POINT('',#569203); #174606=VERTEX_POINT('',#569207); #174607=VERTEX_POINT('',#569209); #174608=VERTEX_POINT('',#569218); #174609=VERTEX_POINT('',#569219); #174610=VERTEX_POINT('',#569221); #174611=VERTEX_POINT('',#569223); #174612=VERTEX_POINT('',#569227); #174613=VERTEX_POINT('',#569229); #174614=VERTEX_POINT('',#569233); #174615=VERTEX_POINT('',#569235); #174616=VERTEX_POINT('',#569244); #174617=VERTEX_POINT('',#569245); #174618=VERTEX_POINT('',#569247); #174619=VERTEX_POINT('',#569249); #174620=VERTEX_POINT('',#569253); #174621=VERTEX_POINT('',#569255); #174622=VERTEX_POINT('',#569259); #174623=VERTEX_POINT('',#569261); #174624=VERTEX_POINT('',#569270); #174625=VERTEX_POINT('',#569271); #174626=VERTEX_POINT('',#569273); #174627=VERTEX_POINT('',#569275); #174628=VERTEX_POINT('',#569279); #174629=VERTEX_POINT('',#569281); #174630=VERTEX_POINT('',#569285); #174631=VERTEX_POINT('',#569287); #174632=VERTEX_POINT('',#569296); #174633=VERTEX_POINT('',#569297); #174634=VERTEX_POINT('',#569299); #174635=VERTEX_POINT('',#569301); #174636=VERTEX_POINT('',#569305); #174637=VERTEX_POINT('',#569307); #174638=VERTEX_POINT('',#569311); #174639=VERTEX_POINT('',#569313); #174640=VERTEX_POINT('',#569322); #174641=VERTEX_POINT('',#569323); #174642=VERTEX_POINT('',#569325); #174643=VERTEX_POINT('',#569327); #174644=VERTEX_POINT('',#569331); #174645=VERTEX_POINT('',#569333); #174646=VERTEX_POINT('',#569337); #174647=VERTEX_POINT('',#569339); #174648=VERTEX_POINT('',#569348); #174649=VERTEX_POINT('',#569349); #174650=VERTEX_POINT('',#569351); #174651=VERTEX_POINT('',#569353); #174652=VERTEX_POINT('',#569357); #174653=VERTEX_POINT('',#569359); #174654=VERTEX_POINT('',#569363); #174655=VERTEX_POINT('',#569365); #174656=VERTEX_POINT('',#569374); #174657=VERTEX_POINT('',#569376); #174658=VERTEX_POINT('',#569380); #174659=VERTEX_POINT('',#569381); #174660=VERTEX_POINT('',#569383); #174661=VERTEX_POINT('',#569385); #174662=VERTEX_POINT('',#569389); #174663=VERTEX_POINT('',#569391); #174664=VERTEX_POINT('',#569395); #174665=VERTEX_POINT('',#569397); #174666=VERTEX_POINT('',#569406); #174667=VERTEX_POINT('',#569407); #174668=VERTEX_POINT('',#569409); #174669=VERTEX_POINT('',#569411); #174670=VERTEX_POINT('',#569415); #174671=VERTEX_POINT('',#569417); #174672=VERTEX_POINT('',#569421); #174673=VERTEX_POINT('',#569423); #174674=VERTEX_POINT('',#569432); #174675=VERTEX_POINT('',#569433); #174676=VERTEX_POINT('',#569435); #174677=VERTEX_POINT('',#569437); #174678=VERTEX_POINT('',#569441); #174679=VERTEX_POINT('',#569443); #174680=VERTEX_POINT('',#569447); #174681=VERTEX_POINT('',#569449); #174682=VERTEX_POINT('',#569458); #174683=VERTEX_POINT('',#569459); #174684=VERTEX_POINT('',#569461); #174685=VERTEX_POINT('',#569463); #174686=VERTEX_POINT('',#569467); #174687=VERTEX_POINT('',#569469); #174688=VERTEX_POINT('',#569473); #174689=VERTEX_POINT('',#569475); #174690=VERTEX_POINT('',#569484); #174691=VERTEX_POINT('',#569485); #174692=VERTEX_POINT('',#569487); #174693=VERTEX_POINT('',#569489); #174694=VERTEX_POINT('',#569493); #174695=VERTEX_POINT('',#569495); #174696=VERTEX_POINT('',#569499); #174697=VERTEX_POINT('',#569501); #174698=VERTEX_POINT('',#569510); #174699=VERTEX_POINT('',#569512); #174700=VERTEX_POINT('',#569516); #174701=VERTEX_POINT('',#569518); #174702=VERTEX_POINT('',#569522); #174703=VERTEX_POINT('',#569524); #174704=VERTEX_POINT('',#569528); #174705=VERTEX_POINT('',#569530); #174706=VERTEX_POINT('',#569534); #174707=VERTEX_POINT('',#569536); #174708=VERTEX_POINT('',#569540); #174709=VERTEX_POINT('',#569542); #174710=VERTEX_POINT('',#569546); #174711=VERTEX_POINT('',#569548); #174712=VERTEX_POINT('',#569552); #174713=VERTEX_POINT('',#569554); #174714=VERTEX_POINT('',#569558); #174715=VERTEX_POINT('',#569560); #174716=VERTEX_POINT('',#569564); #174717=VERTEX_POINT('',#569566); #174718=VERTEX_POINT('',#569570); #174719=VERTEX_POINT('',#569572); #174720=VERTEX_POINT('',#569576); #174721=VERTEX_POINT('',#569578); #174722=VERTEX_POINT('',#569582); #174723=VERTEX_POINT('',#569584); #174724=VERTEX_POINT('',#569588); #174725=VERTEX_POINT('',#569590); #174726=VERTEX_POINT('',#569594); #174727=VERTEX_POINT('',#569596); #174728=VERTEX_POINT('',#569600); #174729=VERTEX_POINT('',#569601); #174730=VERTEX_POINT('',#569603); #174731=VERTEX_POINT('',#569605); #174732=VERTEX_POINT('',#569609); #174733=VERTEX_POINT('',#569611); #174734=VERTEX_POINT('',#569615); #174735=VERTEX_POINT('',#569617); #174736=VERTEX_POINT('',#569626); #174737=VERTEX_POINT('',#569627); #174738=VERTEX_POINT('',#569629); #174739=VERTEX_POINT('',#569631); #174740=VERTEX_POINT('',#569635); #174741=VERTEX_POINT('',#569637); #174742=VERTEX_POINT('',#569641); #174743=VERTEX_POINT('',#569643); #174744=VERTEX_POINT('',#569652); #174745=VERTEX_POINT('',#569653); #174746=VERTEX_POINT('',#569655); #174747=VERTEX_POINT('',#569657); #174748=VERTEX_POINT('',#569661); #174749=VERTEX_POINT('',#569663); #174750=VERTEX_POINT('',#569667); #174751=VERTEX_POINT('',#569669); #174752=VERTEX_POINT('',#569678); #174753=VERTEX_POINT('',#569679); #174754=VERTEX_POINT('',#569681); #174755=VERTEX_POINT('',#569683); #174756=VERTEX_POINT('',#569687); #174757=VERTEX_POINT('',#569689); #174758=VERTEX_POINT('',#569693); #174759=VERTEX_POINT('',#569695); #174760=VERTEX_POINT('',#569704); #174761=VERTEX_POINT('',#569706); #174762=VERTEX_POINT('',#569710); #174763=VERTEX_POINT('',#569711); #174764=VERTEX_POINT('',#569713); #174765=VERTEX_POINT('',#569715); #174766=VERTEX_POINT('',#569719); #174767=VERTEX_POINT('',#569721); #174768=VERTEX_POINT('',#569725); #174769=VERTEX_POINT('',#569727); #174770=VERTEX_POINT('',#569736); #174771=VERTEX_POINT('',#569737); #174772=VERTEX_POINT('',#569739); #174773=VERTEX_POINT('',#569741); #174774=VERTEX_POINT('',#569745); #174775=VERTEX_POINT('',#569747); #174776=VERTEX_POINT('',#569751); #174777=VERTEX_POINT('',#569753); #174778=VERTEX_POINT('',#569762); #174779=VERTEX_POINT('',#569763); #174780=VERTEX_POINT('',#569765); #174781=VERTEX_POINT('',#569767); #174782=VERTEX_POINT('',#569771); #174783=VERTEX_POINT('',#569773); #174784=VERTEX_POINT('',#569777); #174785=VERTEX_POINT('',#569779); #174786=VERTEX_POINT('',#569788); #174787=VERTEX_POINT('',#569789); #174788=VERTEX_POINT('',#569791); #174789=VERTEX_POINT('',#569793); #174790=VERTEX_POINT('',#569797); #174791=VERTEX_POINT('',#569799); #174792=VERTEX_POINT('',#569803); #174793=VERTEX_POINT('',#569805); #174794=VERTEX_POINT('',#569814); #174795=VERTEX_POINT('',#569815); #174796=VERTEX_POINT('',#569817); #174797=VERTEX_POINT('',#569819); #174798=VERTEX_POINT('',#569823); #174799=VERTEX_POINT('',#569825); #174800=VERTEX_POINT('',#569829); #174801=VERTEX_POINT('',#569831); #174802=VERTEX_POINT('',#569840); #174803=VERTEX_POINT('',#569842); #174804=VERTEX_POINT('',#569846); #174805=VERTEX_POINT('',#569848); #174806=VERTEX_POINT('',#569852); #174807=VERTEX_POINT('',#569854); #174808=VERTEX_POINT('',#569858); #174809=VERTEX_POINT('',#569860); #174810=VERTEX_POINT('',#569864); #174811=VERTEX_POINT('',#569866); #174812=VERTEX_POINT('',#569870); #174813=VERTEX_POINT('',#569872); #174814=VERTEX_POINT('',#569876); #174815=VERTEX_POINT('',#569878); #174816=VERTEX_POINT('',#569882); #174817=VERTEX_POINT('',#569884); #174818=VERTEX_POINT('',#569888); #174819=VERTEX_POINT('',#569890); #174820=VERTEX_POINT('',#569894); #174821=VERTEX_POINT('',#569896); #174822=VERTEX_POINT('',#569900); #174823=VERTEX_POINT('',#569902); #174824=VERTEX_POINT('',#569906); #174825=VERTEX_POINT('',#569908); #174826=VERTEX_POINT('',#569912); #174827=VERTEX_POINT('',#569914); #174828=VERTEX_POINT('',#569918); #174829=VERTEX_POINT('',#569920); #174830=VERTEX_POINT('',#569924); #174831=VERTEX_POINT('',#569926); #174832=VERTEX_POINT('',#569930); #174833=VERTEX_POINT('',#569931); #174834=VERTEX_POINT('',#569933); #174835=VERTEX_POINT('',#569935); #174836=VERTEX_POINT('',#569939); #174837=VERTEX_POINT('',#569941); #174838=VERTEX_POINT('',#569945); #174839=VERTEX_POINT('',#569947); #174840=VERTEX_POINT('',#569956); #174841=VERTEX_POINT('',#569957); #174842=VERTEX_POINT('',#569959); #174843=VERTEX_POINT('',#569961); #174844=VERTEX_POINT('',#569965); #174845=VERTEX_POINT('',#569967); #174846=VERTEX_POINT('',#569971); #174847=VERTEX_POINT('',#569973); #174848=VERTEX_POINT('',#569982); #174849=VERTEX_POINT('',#569983); #174850=VERTEX_POINT('',#569985); #174851=VERTEX_POINT('',#569987); #174852=VERTEX_POINT('',#569991); #174853=VERTEX_POINT('',#569993); #174854=VERTEX_POINT('',#569997); #174855=VERTEX_POINT('',#569999); #174856=VERTEX_POINT('',#570008); #174857=VERTEX_POINT('',#570009); #174858=VERTEX_POINT('',#570011); #174859=VERTEX_POINT('',#570013); #174860=VERTEX_POINT('',#570017); #174861=VERTEX_POINT('',#570019); #174862=VERTEX_POINT('',#570023); #174863=VERTEX_POINT('',#570025); #174864=VERTEX_POINT('',#570034); #174865=VERTEX_POINT('',#570036); #174866=VERTEX_POINT('',#570040); #174867=VERTEX_POINT('',#570041); #174868=VERTEX_POINT('',#570043); #174869=VERTEX_POINT('',#570045); #174870=VERTEX_POINT('',#570049); #174871=VERTEX_POINT('',#570051); #174872=VERTEX_POINT('',#570055); #174873=VERTEX_POINT('',#570057); #174874=VERTEX_POINT('',#570066); #174875=VERTEX_POINT('',#570068); #174876=VERTEX_POINT('',#570072); #174877=VERTEX_POINT('',#570073); #174878=VERTEX_POINT('',#570075); #174879=VERTEX_POINT('',#570077); #174880=VERTEX_POINT('',#570081); #174881=VERTEX_POINT('',#570083); #174882=VERTEX_POINT('',#570087); #174883=VERTEX_POINT('',#570089); #174884=VERTEX_POINT('',#570098); #174885=VERTEX_POINT('',#570100); #174886=VERTEX_POINT('',#570104); #174887=VERTEX_POINT('',#570105); #174888=VERTEX_POINT('',#570107); #174889=VERTEX_POINT('',#570109); #174890=VERTEX_POINT('',#570113); #174891=VERTEX_POINT('',#570115); #174892=VERTEX_POINT('',#570119); #174893=VERTEX_POINT('',#570121); #174894=VERTEX_POINT('',#570130); #174895=VERTEX_POINT('',#570132); #174896=VERTEX_POINT('',#570136); #174897=VERTEX_POINT('',#570138); #174898=VERTEX_POINT('',#570142); #174899=VERTEX_POINT('',#570144); #174900=VERTEX_POINT('',#570148); #174901=VERTEX_POINT('',#570150); #174902=VERTEX_POINT('',#570154); #174903=VERTEX_POINT('',#570156); #174904=VERTEX_POINT('',#570160); #174905=VERTEX_POINT('',#570162); #174906=VERTEX_POINT('',#570166); #174907=VERTEX_POINT('',#570168); #174908=VERTEX_POINT('',#570172); #174909=VERTEX_POINT('',#570174); #174910=VERTEX_POINT('',#570178); #174911=VERTEX_POINT('',#570180); #174912=VERTEX_POINT('',#570184); #174913=VERTEX_POINT('',#570186); #174914=VERTEX_POINT('',#570190); #174915=VERTEX_POINT('',#570192); #174916=VERTEX_POINT('',#570196); #174917=VERTEX_POINT('',#570198); #174918=VERTEX_POINT('',#570202); #174919=VERTEX_POINT('',#570204); #174920=VERTEX_POINT('',#570208); #174921=VERTEX_POINT('',#570210); #174922=VERTEX_POINT('',#570214); #174923=VERTEX_POINT('',#570216); #174924=VERTEX_POINT('',#570220); #174925=VERTEX_POINT('',#570221); #174926=VERTEX_POINT('',#570223); #174927=VERTEX_POINT('',#570225); #174928=VERTEX_POINT('',#570229); #174929=VERTEX_POINT('',#570231); #174930=VERTEX_POINT('',#570235); #174931=VERTEX_POINT('',#570237); #174932=VERTEX_POINT('',#570246); #174933=VERTEX_POINT('',#570247); #174934=VERTEX_POINT('',#570249); #174935=VERTEX_POINT('',#570251); #174936=VERTEX_POINT('',#570255); #174937=VERTEX_POINT('',#570257); #174938=VERTEX_POINT('',#570261); #174939=VERTEX_POINT('',#570263); #174940=VERTEX_POINT('',#570272); #174941=VERTEX_POINT('',#570273); #174942=VERTEX_POINT('',#570275); #174943=VERTEX_POINT('',#570277); #174944=VERTEX_POINT('',#570281); #174945=VERTEX_POINT('',#570283); #174946=VERTEX_POINT('',#570287); #174947=VERTEX_POINT('',#570289); #174948=VERTEX_POINT('',#570298); #174949=VERTEX_POINT('',#570299); #174950=VERTEX_POINT('',#570301); #174951=VERTEX_POINT('',#570303); #174952=VERTEX_POINT('',#570307); #174953=VERTEX_POINT('',#570309); #174954=VERTEX_POINT('',#570313); #174955=VERTEX_POINT('',#570315); #174956=VERTEX_POINT('',#570324); #174957=VERTEX_POINT('',#570325); #174958=VERTEX_POINT('',#570327); #174959=VERTEX_POINT('',#570329); #174960=VERTEX_POINT('',#570333); #174961=VERTEX_POINT('',#570335); #174962=VERTEX_POINT('',#570339); #174963=VERTEX_POINT('',#570341); #174964=VERTEX_POINT('',#570350); #174965=VERTEX_POINT('',#570351); #174966=VERTEX_POINT('',#570353); #174967=VERTEX_POINT('',#570355); #174968=VERTEX_POINT('',#570359); #174969=VERTEX_POINT('',#570361); #174970=VERTEX_POINT('',#570365); #174971=VERTEX_POINT('',#570367); #174972=VERTEX_POINT('',#570376); #174973=VERTEX_POINT('',#570377); #174974=VERTEX_POINT('',#570379); #174975=VERTEX_POINT('',#570381); #174976=VERTEX_POINT('',#570385); #174977=VERTEX_POINT('',#570387); #174978=VERTEX_POINT('',#570391); #174979=VERTEX_POINT('',#570393); #174980=VERTEX_POINT('',#570402); #174981=VERTEX_POINT('',#570403); #174982=VERTEX_POINT('',#570405); #174983=VERTEX_POINT('',#570407); #174984=VERTEX_POINT('',#570411); #174985=VERTEX_POINT('',#570413); #174986=VERTEX_POINT('',#570417); #174987=VERTEX_POINT('',#570419); #174988=VERTEX_POINT('',#570428); #174989=VERTEX_POINT('',#570429); #174990=VERTEX_POINT('',#570431); #174991=VERTEX_POINT('',#570433); #174992=VERTEX_POINT('',#570437); #174993=VERTEX_POINT('',#570439); #174994=VERTEX_POINT('',#570443); #174995=VERTEX_POINT('',#570445); #174996=VERTEX_POINT('',#570454); #174997=VERTEX_POINT('',#570455); #174998=VERTEX_POINT('',#570457); #174999=VERTEX_POINT('',#570459); #175000=VERTEX_POINT('',#570463); #175001=VERTEX_POINT('',#570465); #175002=VERTEX_POINT('',#570469); #175003=VERTEX_POINT('',#570471); #175004=VERTEX_POINT('',#570480); #175005=VERTEX_POINT('',#570481); #175006=VERTEX_POINT('',#570483); #175007=VERTEX_POINT('',#570485); #175008=VERTEX_POINT('',#570489); #175009=VERTEX_POINT('',#570491); #175010=VERTEX_POINT('',#570495); #175011=VERTEX_POINT('',#570497); #175012=VERTEX_POINT('',#570506); #175013=VERTEX_POINT('',#570507); #175014=VERTEX_POINT('',#570509); #175015=VERTEX_POINT('',#570511); #175016=VERTEX_POINT('',#570515); #175017=VERTEX_POINT('',#570517); #175018=VERTEX_POINT('',#570521); #175019=VERTEX_POINT('',#570523); #175020=VERTEX_POINT('',#570532); #175021=VERTEX_POINT('',#570533); #175022=VERTEX_POINT('',#570535); #175023=VERTEX_POINT('',#570537); #175024=VERTEX_POINT('',#570541); #175025=VERTEX_POINT('',#570543); #175026=VERTEX_POINT('',#570547); #175027=VERTEX_POINT('',#570549); #175028=VERTEX_POINT('',#570558); #175029=VERTEX_POINT('',#570559); #175030=VERTEX_POINT('',#570561); #175031=VERTEX_POINT('',#570563); #175032=VERTEX_POINT('',#570567); #175033=VERTEX_POINT('',#570569); #175034=VERTEX_POINT('',#570573); #175035=VERTEX_POINT('',#570575); #175036=VERTEX_POINT('',#570584); #175037=VERTEX_POINT('',#570585); #175038=VERTEX_POINT('',#570587); #175039=VERTEX_POINT('',#570589); #175040=VERTEX_POINT('',#570593); #175041=VERTEX_POINT('',#570595); #175042=VERTEX_POINT('',#570599); #175043=VERTEX_POINT('',#570601); #175044=VERTEX_POINT('',#570610); #175045=VERTEX_POINT('',#570611); #175046=VERTEX_POINT('',#570613); #175047=VERTEX_POINT('',#570615); #175048=VERTEX_POINT('',#570619); #175049=VERTEX_POINT('',#570621); #175050=VERTEX_POINT('',#570625); #175051=VERTEX_POINT('',#570627); #175052=VERTEX_POINT('',#570636); #175053=VERTEX_POINT('',#570637); #175054=VERTEX_POINT('',#570639); #175055=VERTEX_POINT('',#570641); #175056=VERTEX_POINT('',#570645); #175057=VERTEX_POINT('',#570647); #175058=VERTEX_POINT('',#570651); #175059=VERTEX_POINT('',#570653); #175060=VERTEX_POINT('',#570662); #175061=VERTEX_POINT('',#570663); #175062=VERTEX_POINT('',#570665); #175063=VERTEX_POINT('',#570667); #175064=VERTEX_POINT('',#570671); #175065=VERTEX_POINT('',#570673); #175066=VERTEX_POINT('',#570677); #175067=VERTEX_POINT('',#570679); #175068=VERTEX_POINT('',#570688); #175069=VERTEX_POINT('',#570689); #175070=VERTEX_POINT('',#570691); #175071=VERTEX_POINT('',#570693); #175072=VERTEX_POINT('',#570697); #175073=VERTEX_POINT('',#570699); #175074=VERTEX_POINT('',#570703); #175075=VERTEX_POINT('',#570705); #175076=VERTEX_POINT('',#570714); #175077=VERTEX_POINT('',#570715); #175078=VERTEX_POINT('',#570717); #175079=VERTEX_POINT('',#570719); #175080=VERTEX_POINT('',#570723); #175081=VERTEX_POINT('',#570725); #175082=VERTEX_POINT('',#570729); #175083=VERTEX_POINT('',#570731); #175084=VERTEX_POINT('',#570740); #175085=VERTEX_POINT('',#570741); #175086=VERTEX_POINT('',#570743); #175087=VERTEX_POINT('',#570745); #175088=VERTEX_POINT('',#570749); #175089=VERTEX_POINT('',#570751); #175090=VERTEX_POINT('',#570755); #175091=VERTEX_POINT('',#570757); #175092=VERTEX_POINT('',#570766); #175093=VERTEX_POINT('',#570768); #175094=VERTEX_POINT('',#570772); #175095=VERTEX_POINT('',#570774); #175096=VERTEX_POINT('',#570778); #175097=VERTEX_POINT('',#570780); #175098=VERTEX_POINT('',#570784); #175099=VERTEX_POINT('',#570786); #175100=VERTEX_POINT('',#570790); #175101=VERTEX_POINT('',#570792); #175102=VERTEX_POINT('',#570796); #175103=VERTEX_POINT('',#570798); #175104=VERTEX_POINT('',#570802); #175105=VERTEX_POINT('',#570804); #175106=VERTEX_POINT('',#570808); #175107=VERTEX_POINT('',#570810); #175108=VERTEX_POINT('',#570814); #175109=VERTEX_POINT('',#570816); #175110=VERTEX_POINT('',#570820); #175111=VERTEX_POINT('',#570822); #175112=VERTEX_POINT('',#570826); #175113=VERTEX_POINT('',#570828); #175114=VERTEX_POINT('',#570832); #175115=VERTEX_POINT('',#570834); #175116=VERTEX_POINT('',#570838); #175117=VERTEX_POINT('',#570840); #175118=VERTEX_POINT('',#570844); #175119=VERTEX_POINT('',#570846); #175120=VERTEX_POINT('',#570850); #175121=VERTEX_POINT('',#570852); #175122=VERTEX_POINT('',#570856); #175123=VERTEX_POINT('',#570857); #175124=VERTEX_POINT('',#570859); #175125=VERTEX_POINT('',#570861); #175126=VERTEX_POINT('',#570865); #175127=VERTEX_POINT('',#570867); #175128=VERTEX_POINT('',#570871); #175129=VERTEX_POINT('',#570873); #175130=VERTEX_POINT('',#570882); #175131=VERTEX_POINT('',#570883); #175132=VERTEX_POINT('',#570885); #175133=VERTEX_POINT('',#570887); #175134=VERTEX_POINT('',#570891); #175135=VERTEX_POINT('',#570893); #175136=VERTEX_POINT('',#570897); #175137=VERTEX_POINT('',#570899); #175138=VERTEX_POINT('',#570908); #175139=VERTEX_POINT('',#570909); #175140=VERTEX_POINT('',#570911); #175141=VERTEX_POINT('',#570913); #175142=VERTEX_POINT('',#570917); #175143=VERTEX_POINT('',#570919); #175144=VERTEX_POINT('',#570923); #175145=VERTEX_POINT('',#570925); #175146=VERTEX_POINT('',#570934); #175147=VERTEX_POINT('',#570935); #175148=VERTEX_POINT('',#570937); #175149=VERTEX_POINT('',#570939); #175150=VERTEX_POINT('',#570943); #175151=VERTEX_POINT('',#570945); #175152=VERTEX_POINT('',#570949); #175153=VERTEX_POINT('',#570951); #175154=VERTEX_POINT('',#570960); #175155=VERTEX_POINT('',#570961); #175156=VERTEX_POINT('',#570963); #175157=VERTEX_POINT('',#570965); #175158=VERTEX_POINT('',#570969); #175159=VERTEX_POINT('',#570971); #175160=VERTEX_POINT('',#570975); #175161=VERTEX_POINT('',#570977); #175162=VERTEX_POINT('',#570986); #175163=VERTEX_POINT('',#570987); #175164=VERTEX_POINT('',#570989); #175165=VERTEX_POINT('',#570991); #175166=VERTEX_POINT('',#570995); #175167=VERTEX_POINT('',#570997); #175168=VERTEX_POINT('',#571001); #175169=VERTEX_POINT('',#571003); #175170=VERTEX_POINT('',#571012); #175171=VERTEX_POINT('',#571013); #175172=VERTEX_POINT('',#571015); #175173=VERTEX_POINT('',#571017); #175174=VERTEX_POINT('',#571021); #175175=VERTEX_POINT('',#571023); #175176=VERTEX_POINT('',#571027); #175177=VERTEX_POINT('',#571029); #175178=VERTEX_POINT('',#571038); #175179=VERTEX_POINT('',#571040); #175180=VERTEX_POINT('',#571044); #175181=VERTEX_POINT('',#571045); #175182=VERTEX_POINT('',#571047); #175183=VERTEX_POINT('',#571049); #175184=VERTEX_POINT('',#571053); #175185=VERTEX_POINT('',#571055); #175186=VERTEX_POINT('',#571059); #175187=VERTEX_POINT('',#571061); #175188=VERTEX_POINT('',#571070); #175189=VERTEX_POINT('',#571072); #175190=VERTEX_POINT('',#571076); #175191=VERTEX_POINT('',#571078); #175192=VERTEX_POINT('',#571082); #175193=VERTEX_POINT('',#571083); #175194=VERTEX_POINT('',#571085); #175195=VERTEX_POINT('',#571087); #175196=VERTEX_POINT('',#571091); #175197=VERTEX_POINT('',#571093); #175198=VERTEX_POINT('',#571097); #175199=VERTEX_POINT('',#571099); #175200=VERTEX_POINT('',#571108); #175201=VERTEX_POINT('',#571110); #175202=VERTEX_POINT('',#571114); #175203=VERTEX_POINT('',#571116); #175204=VERTEX_POINT('',#571120); #175205=VERTEX_POINT('',#571121); #175206=VERTEX_POINT('',#571123); #175207=VERTEX_POINT('',#571125); #175208=VERTEX_POINT('',#571129); #175209=VERTEX_POINT('',#571131); #175210=VERTEX_POINT('',#571135); #175211=VERTEX_POINT('',#571137); #175212=VERTEX_POINT('',#571146); #175213=VERTEX_POINT('',#571148); #175214=VERTEX_POINT('',#571152); #175215=VERTEX_POINT('',#571153); #175216=VERTEX_POINT('',#571155); #175217=VERTEX_POINT('',#571157); #175218=VERTEX_POINT('',#571161); #175219=VERTEX_POINT('',#571163); #175220=VERTEX_POINT('',#571167); #175221=VERTEX_POINT('',#571169); #175222=VERTEX_POINT('',#571179); #175223=VERTEX_POINT('',#571181); #175224=VERTEX_POINT('',#571185); #175225=VERTEX_POINT('',#571187); #175226=VERTEX_POINT('',#571191); #175227=VERTEX_POINT('',#571193); #175228=VERTEX_POINT('',#571197); #175229=VERTEX_POINT('',#571199); #175230=VERTEX_POINT('',#571203); #175231=VERTEX_POINT('',#571205); #175232=VERTEX_POINT('',#571209); #175233=VERTEX_POINT('',#571211); #175234=VERTEX_POINT('',#571215); #175235=VERTEX_POINT('',#571217); #175236=VERTEX_POINT('',#571221); #175237=VERTEX_POINT('',#571223); #175238=VERTEX_POINT('',#571227); #175239=VERTEX_POINT('',#571229); #175240=VERTEX_POINT('',#571233); #175241=VERTEX_POINT('',#571235); #175242=VERTEX_POINT('',#571239); #175243=VERTEX_POINT('',#571241); #175244=VERTEX_POINT('',#571245); #175245=VERTEX_POINT('',#571247); #175246=VERTEX_POINT('',#571251); #175247=VERTEX_POINT('',#571253); #175248=VERTEX_POINT('',#571257); #175249=VERTEX_POINT('',#571259); #175250=VERTEX_POINT('',#571263); #175251=VERTEX_POINT('',#571265); #175252=VERTEX_POINT('',#571269); #175253=VERTEX_POINT('',#571271); #175254=VERTEX_POINT('',#571275); #175255=VERTEX_POINT('',#571277); #175256=VERTEX_POINT('',#571281); #175257=VERTEX_POINT('',#571283); #175258=VERTEX_POINT('',#571287); #175259=VERTEX_POINT('',#571289); #175260=VERTEX_POINT('',#571293); #175261=VERTEX_POINT('',#571295); #175262=VERTEX_POINT('',#571299); #175263=VERTEX_POINT('',#571301); #175264=VERTEX_POINT('',#571305); #175265=VERTEX_POINT('',#571307); #175266=VERTEX_POINT('',#571311); #175267=VERTEX_POINT('',#571313); #175268=VERTEX_POINT('',#571317); #175269=VERTEX_POINT('',#571319); #175270=VERTEX_POINT('',#571323); #175271=VERTEX_POINT('',#571325); #175272=VERTEX_POINT('',#571329); #175273=VERTEX_POINT('',#571331); #175274=VERTEX_POINT('',#571335); #175275=VERTEX_POINT('',#571337); #175276=VERTEX_POINT('',#571341); #175277=VERTEX_POINT('',#571343); #175278=VERTEX_POINT('',#571347); #175279=VERTEX_POINT('',#571349); #175280=VERTEX_POINT('',#571353); #175281=VERTEX_POINT('',#571355); #175282=VERTEX_POINT('',#571359); #175283=VERTEX_POINT('',#571361); #175284=VERTEX_POINT('',#571365); #175285=VERTEX_POINT('',#571367); #175286=VERTEX_POINT('',#571371); #175287=VERTEX_POINT('',#571373); #175288=VERTEX_POINT('',#571377); #175289=VERTEX_POINT('',#571379); #175290=VERTEX_POINT('',#571383); #175291=VERTEX_POINT('',#571385); #175292=VERTEX_POINT('',#571389); #175293=VERTEX_POINT('',#571391); #175294=VERTEX_POINT('',#571395); #175295=VERTEX_POINT('',#571397); #175296=VERTEX_POINT('',#571401); #175297=VERTEX_POINT('',#571403); #175298=VERTEX_POINT('',#571407); #175299=VERTEX_POINT('',#571409); #175300=VERTEX_POINT('',#571413); #175301=VERTEX_POINT('',#571415); #175302=VERTEX_POINT('',#571419); #175303=VERTEX_POINT('',#571421); #175304=VERTEX_POINT('',#571425); #175305=VERTEX_POINT('',#571427); #175306=VERTEX_POINT('',#571431); #175307=VERTEX_POINT('',#571433); #175308=VERTEX_POINT('',#571437); #175309=VERTEX_POINT('',#571439); #175310=VERTEX_POINT('',#571443); #175311=VERTEX_POINT('',#571445); #175312=VERTEX_POINT('',#571449); #175313=VERTEX_POINT('',#571451); #175314=VERTEX_POINT('',#571455); #175315=VERTEX_POINT('',#571457); #175316=VERTEX_POINT('',#571461); #175317=VERTEX_POINT('',#571463); #175318=VERTEX_POINT('',#571467); #175319=VERTEX_POINT('',#571469); #175320=VERTEX_POINT('',#571473); #175321=VERTEX_POINT('',#571475); #175322=VERTEX_POINT('',#571479); #175323=VERTEX_POINT('',#571481); #175324=VERTEX_POINT('',#571485); #175325=VERTEX_POINT('',#571487); #175326=VERTEX_POINT('',#571491); #175327=VERTEX_POINT('',#571493); #175328=VERTEX_POINT('',#571497); #175329=VERTEX_POINT('',#571499); #175330=VERTEX_POINT('',#571503); #175331=VERTEX_POINT('',#571505); #175332=VERTEX_POINT('',#571509); #175333=VERTEX_POINT('',#571511); #175334=VERTEX_POINT('',#571515); #175335=VERTEX_POINT('',#571517); #175336=VERTEX_POINT('',#571521); #175337=VERTEX_POINT('',#571523); #175338=VERTEX_POINT('',#571527); #175339=VERTEX_POINT('',#571529); #175340=VERTEX_POINT('',#571533); #175341=VERTEX_POINT('',#571535); #175342=VERTEX_POINT('',#571539); #175343=VERTEX_POINT('',#571541); #175344=VERTEX_POINT('',#571545); #175345=VERTEX_POINT('',#571547); #175346=VERTEX_POINT('',#571551); #175347=VERTEX_POINT('',#571553); #175348=VERTEX_POINT('',#571557); #175349=VERTEX_POINT('',#571559); #175350=VERTEX_POINT('',#571563); #175351=VERTEX_POINT('',#571565); #175352=VERTEX_POINT('',#571569); #175353=VERTEX_POINT('',#571571); #175354=VERTEX_POINT('',#571575); #175355=VERTEX_POINT('',#571577); #175356=VERTEX_POINT('',#571581); #175357=VERTEX_POINT('',#571583); #175358=VERTEX_POINT('',#571587); #175359=VERTEX_POINT('',#571589); #175360=VERTEX_POINT('',#571593); #175361=VERTEX_POINT('',#571595); #175362=VERTEX_POINT('',#571599); #175363=VERTEX_POINT('',#571601); #175364=VERTEX_POINT('',#571605); #175365=VERTEX_POINT('',#571607); #175366=VERTEX_POINT('',#571611); #175367=VERTEX_POINT('',#571613); #175368=VERTEX_POINT('',#571617); #175369=VERTEX_POINT('',#571619); #175370=VERTEX_POINT('',#571623); #175371=VERTEX_POINT('',#571625); #175372=VERTEX_POINT('',#571629); #175373=VERTEX_POINT('',#571631); #175374=VERTEX_POINT('',#571635); #175375=VERTEX_POINT('',#571637); #175376=VERTEX_POINT('',#571641); #175377=VERTEX_POINT('',#571643); #175378=VERTEX_POINT('',#571647); #175379=VERTEX_POINT('',#571649); #175380=VERTEX_POINT('',#571653); #175381=VERTEX_POINT('',#571655); #175382=VERTEX_POINT('',#571659); #175383=VERTEX_POINT('',#571661); #175384=VERTEX_POINT('',#571665); #175385=VERTEX_POINT('',#571667); #175386=VERTEX_POINT('',#571671); #175387=VERTEX_POINT('',#571673); #175388=VERTEX_POINT('',#571677); #175389=VERTEX_POINT('',#571679); #175390=VERTEX_POINT('',#571683); #175391=VERTEX_POINT('',#571685); #175392=VERTEX_POINT('',#571689); #175393=VERTEX_POINT('',#571691); #175394=VERTEX_POINT('',#571695); #175395=VERTEX_POINT('',#571697); #175396=VERTEX_POINT('',#571701); #175397=VERTEX_POINT('',#571703); #175398=VERTEX_POINT('',#571707); #175399=VERTEX_POINT('',#571709); #175400=VERTEX_POINT('',#571713); #175401=VERTEX_POINT('',#571715); #175402=VERTEX_POINT('',#571719); #175403=VERTEX_POINT('',#571721); #175404=VERTEX_POINT('',#571725); #175405=VERTEX_POINT('',#571727); #175406=VERTEX_POINT('',#571731); #175407=VERTEX_POINT('',#571733); #175408=VERTEX_POINT('',#571737); #175409=VERTEX_POINT('',#571739); #175410=VERTEX_POINT('',#571743); #175411=VERTEX_POINT('',#571745); #175412=VERTEX_POINT('',#571749); #175413=VERTEX_POINT('',#571751); #175414=VERTEX_POINT('',#571755); #175415=VERTEX_POINT('',#571757); #175416=VERTEX_POINT('',#571761); #175417=VERTEX_POINT('',#571763); #175418=VERTEX_POINT('',#571767); #175419=VERTEX_POINT('',#571769); #175420=VERTEX_POINT('',#571773); #175421=VERTEX_POINT('',#571775); #175422=VERTEX_POINT('',#571779); #175423=VERTEX_POINT('',#571781); #175424=VERTEX_POINT('',#571785); #175425=VERTEX_POINT('',#571787); #175426=VERTEX_POINT('',#571791); #175427=VERTEX_POINT('',#571793); #175428=VERTEX_POINT('',#571797); #175429=VERTEX_POINT('',#571799); #175430=VERTEX_POINT('',#571803); #175431=VERTEX_POINT('',#571805); #175432=VERTEX_POINT('',#571809); #175433=VERTEX_POINT('',#571811); #175434=VERTEX_POINT('',#571815); #175435=VERTEX_POINT('',#571817); #175436=VERTEX_POINT('',#571821); #175437=VERTEX_POINT('',#571823); #175438=VERTEX_POINT('',#571827); #175439=VERTEX_POINT('',#571829); #175440=VERTEX_POINT('',#571833); #175441=VERTEX_POINT('',#571835); #175442=VERTEX_POINT('',#571839); #175443=VERTEX_POINT('',#571841); #175444=VERTEX_POINT('',#571845); #175445=VERTEX_POINT('',#571847); #175446=VERTEX_POINT('',#571851); #175447=VERTEX_POINT('',#571853); #175448=VERTEX_POINT('',#571857); #175449=VERTEX_POINT('',#571859); #175450=VERTEX_POINT('',#571863); #175451=VERTEX_POINT('',#571865); #175452=VERTEX_POINT('',#571869); #175453=VERTEX_POINT('',#571871); #175454=VERTEX_POINT('',#571875); #175455=VERTEX_POINT('',#571877); #175456=VERTEX_POINT('',#571881); #175457=VERTEX_POINT('',#571883); #175458=VERTEX_POINT('',#571887); #175459=VERTEX_POINT('',#571889); #175460=VERTEX_POINT('',#571893); #175461=VERTEX_POINT('',#571895); #175462=VERTEX_POINT('',#571899); #175463=VERTEX_POINT('',#571901); #175464=VERTEX_POINT('',#571905); #175465=VERTEX_POINT('',#571907); #175466=VERTEX_POINT('',#571911); #175467=VERTEX_POINT('',#571913); #175468=VERTEX_POINT('',#571917); #175469=VERTEX_POINT('',#571919); #175470=VERTEX_POINT('',#571923); #175471=VERTEX_POINT('',#571925); #175472=VERTEX_POINT('',#571929); #175473=VERTEX_POINT('',#571931); #175474=VERTEX_POINT('',#571935); #175475=VERTEX_POINT('',#571937); #175476=VERTEX_POINT('',#571941); #175477=VERTEX_POINT('',#571943); #175478=VERTEX_POINT('',#571947); #175479=VERTEX_POINT('',#571949); #175480=VERTEX_POINT('',#571953); #175481=VERTEX_POINT('',#571955); #175482=VERTEX_POINT('',#571959); #175483=VERTEX_POINT('',#571961); #175484=VERTEX_POINT('',#571965); #175485=VERTEX_POINT('',#571967); #175486=VERTEX_POINT('',#571971); #175487=VERTEX_POINT('',#571973); #175488=VERTEX_POINT('',#571977); #175489=VERTEX_POINT('',#571979); #175490=VERTEX_POINT('',#571983); #175491=VERTEX_POINT('',#571985); #175492=VERTEX_POINT('',#571989); #175493=VERTEX_POINT('',#571991); #175494=VERTEX_POINT('',#571995); #175495=VERTEX_POINT('',#571997); #175496=VERTEX_POINT('',#572001); #175497=VERTEX_POINT('',#572003); #175498=VERTEX_POINT('',#572007); #175499=VERTEX_POINT('',#572009); #175500=VERTEX_POINT('',#572013); #175501=VERTEX_POINT('',#572015); #175502=VERTEX_POINT('',#572019); #175503=VERTEX_POINT('',#572021); #175504=VERTEX_POINT('',#572025); #175505=VERTEX_POINT('',#572027); #175506=VERTEX_POINT('',#572031); #175507=VERTEX_POINT('',#572033); #175508=VERTEX_POINT('',#572037); #175509=VERTEX_POINT('',#572039); #175510=VERTEX_POINT('',#572043); #175511=VERTEX_POINT('',#572045); #175512=VERTEX_POINT('',#572049); #175513=VERTEX_POINT('',#572051); #175514=VERTEX_POINT('',#572055); #175515=VERTEX_POINT('',#572057); #175516=VERTEX_POINT('',#572061); #175517=VERTEX_POINT('',#572063); #175518=VERTEX_POINT('',#572067); #175519=VERTEX_POINT('',#572069); #175520=VERTEX_POINT('',#572073); #175521=VERTEX_POINT('',#572075); #175522=VERTEX_POINT('',#572079); #175523=VERTEX_POINT('',#572081); #175524=VERTEX_POINT('',#572085); #175525=VERTEX_POINT('',#572087); #175526=VERTEX_POINT('',#572091); #175527=VERTEX_POINT('',#572093); #175528=VERTEX_POINT('',#572097); #175529=VERTEX_POINT('',#572099); #175530=VERTEX_POINT('',#572103); #175531=VERTEX_POINT('',#572105); #175532=VERTEX_POINT('',#572109); #175533=VERTEX_POINT('',#572111); #175534=VERTEX_POINT('',#572115); #175535=VERTEX_POINT('',#572117); #175536=VERTEX_POINT('',#572121); #175537=VERTEX_POINT('',#572123); #175538=VERTEX_POINT('',#572127); #175539=VERTEX_POINT('',#572129); #175540=VERTEX_POINT('',#572133); #175541=VERTEX_POINT('',#572135); #175542=VERTEX_POINT('',#572139); #175543=VERTEX_POINT('',#572141); #175544=VERTEX_POINT('',#572145); #175545=VERTEX_POINT('',#572147); #175546=VERTEX_POINT('',#572151); #175547=VERTEX_POINT('',#572153); #175548=VERTEX_POINT('',#572157); #175549=VERTEX_POINT('',#572158); #175550=VERTEX_POINT('',#572160); #175551=VERTEX_POINT('',#572162); #175552=VERTEX_POINT('',#572166); #175553=VERTEX_POINT('',#572168); #175554=VERTEX_POINT('',#572172); #175555=VERTEX_POINT('',#572174); #175556=VERTEX_POINT('',#572181); #175557=VERTEX_POINT('',#572183); #175558=VERTEX_POINT('',#572187); #175559=VERTEX_POINT('',#572188); #175560=VERTEX_POINT('',#572190); #175561=VERTEX_POINT('',#572192); #175562=VERTEX_POINT('',#572196); #175563=VERTEX_POINT('',#572198); #175564=VERTEX_POINT('',#572202); #175565=VERTEX_POINT('',#572204); #175566=VERTEX_POINT('',#572211); #175567=VERTEX_POINT('',#572213); #175568=VERTEX_POINT('',#572217); #175569=VERTEX_POINT('',#572219); #175570=VERTEX_POINT('',#572223); #175571=VERTEX_POINT('',#572225); #175572=VERTEX_POINT('',#572229); #175573=VERTEX_POINT('',#572230); #175574=VERTEX_POINT('',#572232); #175575=VERTEX_POINT('',#572234); #175576=VERTEX_POINT('',#572238); #175577=VERTEX_POINT('',#572240); #175578=VERTEX_POINT('',#572244); #175579=VERTEX_POINT('',#572246); #175580=VERTEX_POINT('',#572253); #175581=VERTEX_POINT('',#572255); #175582=VERTEX_POINT('',#572259); #175583=VERTEX_POINT('',#572261); #175584=VERTEX_POINT('',#572265); #175585=VERTEX_POINT('',#572267); #175586=VERTEX_POINT('',#572271); #175587=VERTEX_POINT('',#572273); #175588=VERTEX_POINT('',#572277); #175589=VERTEX_POINT('',#572279); #175590=VERTEX_POINT('',#572283); #175591=VERTEX_POINT('',#572285); #175592=VERTEX_POINT('',#572289); #175593=VERTEX_POINT('',#572291); #175594=VERTEX_POINT('',#572295); #175595=VERTEX_POINT('',#572297); #175596=VERTEX_POINT('',#572301); #175597=VERTEX_POINT('',#572303); #175598=VERTEX_POINT('',#572307); #175599=VERTEX_POINT('',#572309); #175600=VERTEX_POINT('',#572313); #175601=VERTEX_POINT('',#572314); #175602=VERTEX_POINT('',#572316); #175603=VERTEX_POINT('',#572318); #175604=VERTEX_POINT('',#572322); #175605=VERTEX_POINT('',#572324); #175606=VERTEX_POINT('',#572328); #175607=VERTEX_POINT('',#572330); #175608=VERTEX_POINT('',#572337); #175609=VERTEX_POINT('',#572339); #175610=VERTEX_POINT('',#572343); #175611=VERTEX_POINT('',#572344); #175612=VERTEX_POINT('',#572346); #175613=VERTEX_POINT('',#572348); #175614=VERTEX_POINT('',#572352); #175615=VERTEX_POINT('',#572354); #175616=VERTEX_POINT('',#572358); #175617=VERTEX_POINT('',#572360); #175618=VERTEX_POINT('',#572367); #175619=VERTEX_POINT('',#572369); #175620=VERTEX_POINT('',#572373); #175621=VERTEX_POINT('',#572374); #175622=VERTEX_POINT('',#572376); #175623=VERTEX_POINT('',#572378); #175624=VERTEX_POINT('',#572382); #175625=VERTEX_POINT('',#572384); #175626=VERTEX_POINT('',#572388); #175627=VERTEX_POINT('',#572390); #175628=VERTEX_POINT('',#572397); #175629=VERTEX_POINT('',#572399); #175630=VERTEX_POINT('',#572403); #175631=VERTEX_POINT('',#572405); #175632=VERTEX_POINT('',#572409); #175633=VERTEX_POINT('',#572411); #175634=VERTEX_POINT('',#572415); #175635=VERTEX_POINT('',#572417); #175636=VERTEX_POINT('',#572421); #175637=VERTEX_POINT('',#572423); #175638=VERTEX_POINT('',#572427); #175639=VERTEX_POINT('',#572429); #175640=VERTEX_POINT('',#572433); #175641=VERTEX_POINT('',#572435); #175642=VERTEX_POINT('',#572439); #175643=VERTEX_POINT('',#572441); #175644=VERTEX_POINT('',#572445); #175645=VERTEX_POINT('',#572447); #175646=VERTEX_POINT('',#572451); #175647=VERTEX_POINT('',#572453); #175648=VERTEX_POINT('',#572457); #175649=VERTEX_POINT('',#572459); #175650=VERTEX_POINT('',#572463); #175651=VERTEX_POINT('',#572465); #175652=VERTEX_POINT('',#572469); #175653=VERTEX_POINT('',#572471); #175654=VERTEX_POINT('',#572475); #175655=VERTEX_POINT('',#572477); #175656=VERTEX_POINT('',#572481); #175657=VERTEX_POINT('',#572483); #175658=VERTEX_POINT('',#572487); #175659=VERTEX_POINT('',#572489); #175660=VERTEX_POINT('',#572493); #175661=VERTEX_POINT('',#572495); #175662=VERTEX_POINT('',#572499); #175663=VERTEX_POINT('',#572501); #175664=VERTEX_POINT('',#572505); #175665=VERTEX_POINT('',#572507); #175666=VERTEX_POINT('',#572511); #175667=VERTEX_POINT('',#572513); #175668=VERTEX_POINT('',#572517); #175669=VERTEX_POINT('',#572519); #175670=VERTEX_POINT('',#572523); #175671=VERTEX_POINT('',#572525); #175672=VERTEX_POINT('',#572529); #175673=VERTEX_POINT('',#572531); #175674=VERTEX_POINT('',#572535); #175675=VERTEX_POINT('',#572537); #175676=VERTEX_POINT('',#572541); #175677=VERTEX_POINT('',#572543); #175678=VERTEX_POINT('',#572547); #175679=VERTEX_POINT('',#572549); #175680=VERTEX_POINT('',#572553); #175681=VERTEX_POINT('',#572555); #175682=VERTEX_POINT('',#572559); #175683=VERTEX_POINT('',#572561); #175684=VERTEX_POINT('',#572565); #175685=VERTEX_POINT('',#572567); #175686=VERTEX_POINT('',#572571); #175687=VERTEX_POINT('',#572573); #175688=VERTEX_POINT('',#572577); #175689=VERTEX_POINT('',#572579); #175690=VERTEX_POINT('',#572583); #175691=VERTEX_POINT('',#572585); #175692=VERTEX_POINT('',#572589); #175693=VERTEX_POINT('',#572591); #175694=VERTEX_POINT('',#572595); #175695=VERTEX_POINT('',#572597); #175696=VERTEX_POINT('',#572601); #175697=VERTEX_POINT('',#572603); #175698=VERTEX_POINT('',#572607); #175699=VERTEX_POINT('',#572609); #175700=VERTEX_POINT('',#572613); #175701=VERTEX_POINT('',#572615); #175702=VERTEX_POINT('',#572619); #175703=VERTEX_POINT('',#572621); #175704=VERTEX_POINT('',#572625); #175705=VERTEX_POINT('',#572627); #175706=VERTEX_POINT('',#572631); #175707=VERTEX_POINT('',#572633); #175708=VERTEX_POINT('',#572637); #175709=VERTEX_POINT('',#572639); #175710=VERTEX_POINT('',#572643); #175711=VERTEX_POINT('',#572645); #175712=VERTEX_POINT('',#572649); #175713=VERTEX_POINT('',#572651); #175714=VERTEX_POINT('',#572655); #175715=VERTEX_POINT('',#572657); #175716=VERTEX_POINT('',#572661); #175717=VERTEX_POINT('',#572663); #175718=VERTEX_POINT('',#572667); #175719=VERTEX_POINT('',#572669); #175720=VERTEX_POINT('',#572673); #175721=VERTEX_POINT('',#572675); #175722=VERTEX_POINT('',#572679); #175723=VERTEX_POINT('',#572681); #175724=VERTEX_POINT('',#572685); #175725=VERTEX_POINT('',#572687); #175726=VERTEX_POINT('',#572691); #175727=VERTEX_POINT('',#572693); #175728=VERTEX_POINT('',#572697); #175729=VERTEX_POINT('',#572699); #175730=VERTEX_POINT('',#572703); #175731=VERTEX_POINT('',#572705); #175732=VERTEX_POINT('',#572709); #175733=VERTEX_POINT('',#572711); #175734=VERTEX_POINT('',#572715); #175735=VERTEX_POINT('',#572717); #175736=VERTEX_POINT('',#572721); #175737=VERTEX_POINT('',#572723); #175738=VERTEX_POINT('',#572727); #175739=VERTEX_POINT('',#572729); #175740=VERTEX_POINT('',#572733); #175741=VERTEX_POINT('',#572735); #175742=VERTEX_POINT('',#572739); #175743=VERTEX_POINT('',#572741); #175744=VERTEX_POINT('',#572745); #175745=VERTEX_POINT('',#572747); #175746=VERTEX_POINT('',#572751); #175747=VERTEX_POINT('',#572753); #175748=VERTEX_POINT('',#572757); #175749=VERTEX_POINT('',#572759); #175750=VERTEX_POINT('',#572763); #175751=VERTEX_POINT('',#572765); #175752=VERTEX_POINT('',#572769); #175753=VERTEX_POINT('',#572771); #175754=VERTEX_POINT('',#572775); #175755=VERTEX_POINT('',#572777); #175756=VERTEX_POINT('',#572781); #175757=VERTEX_POINT('',#572783); #175758=VERTEX_POINT('',#572787); #175759=VERTEX_POINT('',#572789); #175760=VERTEX_POINT('',#572793); #175761=VERTEX_POINT('',#572795); #175762=VERTEX_POINT('',#572799); #175763=VERTEX_POINT('',#572801); #175764=VERTEX_POINT('',#572805); #175765=VERTEX_POINT('',#572807); #175766=VERTEX_POINT('',#572811); #175767=VERTEX_POINT('',#572813); #175768=VERTEX_POINT('',#572817); #175769=VERTEX_POINT('',#572819); #175770=VERTEX_POINT('',#572823); #175771=VERTEX_POINT('',#572825); #175772=VERTEX_POINT('',#572829); #175773=VERTEX_POINT('',#572831); #175774=VERTEX_POINT('',#572835); #175775=VERTEX_POINT('',#572837); #175776=VERTEX_POINT('',#572841); #175777=VERTEX_POINT('',#572843); #175778=VERTEX_POINT('',#572847); #175779=VERTEX_POINT('',#572849); #175780=VERTEX_POINT('',#572853); #175781=VERTEX_POINT('',#572855); #175782=VERTEX_POINT('',#572859); #175783=VERTEX_POINT('',#572861); #175784=VERTEX_POINT('',#572865); #175785=VERTEX_POINT('',#572867); #175786=VERTEX_POINT('',#572871); #175787=VERTEX_POINT('',#572873); #175788=VERTEX_POINT('',#572877); #175789=VERTEX_POINT('',#572879); #175790=VERTEX_POINT('',#572883); #175791=VERTEX_POINT('',#572885); #175792=VERTEX_POINT('',#572889); #175793=VERTEX_POINT('',#572891); #175794=VERTEX_POINT('',#572895); #175795=VERTEX_POINT('',#572897); #175796=VERTEX_POINT('',#572901); #175797=VERTEX_POINT('',#572903); #175798=VERTEX_POINT('',#572907); #175799=VERTEX_POINT('',#572909); #175800=VERTEX_POINT('',#572913); #175801=VERTEX_POINT('',#572915); #175802=VERTEX_POINT('',#572919); #175803=VERTEX_POINT('',#572921); #175804=VERTEX_POINT('',#572925); #175805=VERTEX_POINT('',#572927); #175806=VERTEX_POINT('',#572931); #175807=VERTEX_POINT('',#572933); #175808=VERTEX_POINT('',#572937); #175809=VERTEX_POINT('',#572939); #175810=VERTEX_POINT('',#572943); #175811=VERTEX_POINT('',#572945); #175812=VERTEX_POINT('',#572949); #175813=VERTEX_POINT('',#572951); #175814=VERTEX_POINT('',#572955); #175815=VERTEX_POINT('',#572957); #175816=VERTEX_POINT('',#572961); #175817=VERTEX_POINT('',#572963); #175818=VERTEX_POINT('',#572967); #175819=VERTEX_POINT('',#572969); #175820=VERTEX_POINT('',#572973); #175821=VERTEX_POINT('',#572975); #175822=VERTEX_POINT('',#572979); #175823=VERTEX_POINT('',#572981); #175824=VERTEX_POINT('',#572985); #175825=VERTEX_POINT('',#572987); #175826=VERTEX_POINT('',#572991); #175827=VERTEX_POINT('',#572993); #175828=VERTEX_POINT('',#572997); #175829=VERTEX_POINT('',#572999); #175830=VERTEX_POINT('',#573003); #175831=VERTEX_POINT('',#573005); #175832=VERTEX_POINT('',#573009); #175833=VERTEX_POINT('',#573011); #175834=VERTEX_POINT('',#573015); #175835=VERTEX_POINT('',#573017); #175836=VERTEX_POINT('',#573021); #175837=VERTEX_POINT('',#573023); #175838=VERTEX_POINT('',#573027); #175839=VERTEX_POINT('',#573029); #175840=VERTEX_POINT('',#573033); #175841=VERTEX_POINT('',#573035); #175842=VERTEX_POINT('',#573039); #175843=VERTEX_POINT('',#573041); #175844=VERTEX_POINT('',#573045); #175845=VERTEX_POINT('',#573047); #175846=VERTEX_POINT('',#573051); #175847=VERTEX_POINT('',#573053); #175848=VERTEX_POINT('',#573057); #175849=VERTEX_POINT('',#573059); #175850=VERTEX_POINT('',#573063); #175851=VERTEX_POINT('',#573065); #175852=VERTEX_POINT('',#573069); #175853=VERTEX_POINT('',#573071); #175854=VERTEX_POINT('',#573075); #175855=VERTEX_POINT('',#573077); #175856=VERTEX_POINT('',#573081); #175857=VERTEX_POINT('',#573083); #175858=VERTEX_POINT('',#573087); #175859=VERTEX_POINT('',#573089); #175860=VERTEX_POINT('',#573093); #175861=VERTEX_POINT('',#573095); #175862=VERTEX_POINT('',#573099); #175863=VERTEX_POINT('',#573101); #175864=VERTEX_POINT('',#573105); #175865=VERTEX_POINT('',#573107); #175866=VERTEX_POINT('',#573111); #175867=VERTEX_POINT('',#573113); #175868=VERTEX_POINT('',#573117); #175869=VERTEX_POINT('',#573119); #175870=VERTEX_POINT('',#573123); #175871=VERTEX_POINT('',#573125); #175872=VERTEX_POINT('',#573129); #175873=VERTEX_POINT('',#573131); #175874=VERTEX_POINT('',#573135); #175875=VERTEX_POINT('',#573137); #175876=VERTEX_POINT('',#573141); #175877=VERTEX_POINT('',#573143); #175878=VERTEX_POINT('',#573147); #175879=VERTEX_POINT('',#573149); #175880=VERTEX_POINT('',#573153); #175881=VERTEX_POINT('',#573155); #175882=VERTEX_POINT('',#573159); #175883=VERTEX_POINT('',#573161); #175884=VERTEX_POINT('',#573165); #175885=VERTEX_POINT('',#573167); #175886=VERTEX_POINT('',#573171); #175887=VERTEX_POINT('',#573173); #175888=VERTEX_POINT('',#573177); #175889=VERTEX_POINT('',#573179); #175890=VERTEX_POINT('',#573183); #175891=VERTEX_POINT('',#573185); #175892=VERTEX_POINT('',#573189); #175893=VERTEX_POINT('',#573191); #175894=VERTEX_POINT('',#573198); #175895=VERTEX_POINT('',#573200); #175896=VERTEX_POINT('',#573204); #175897=VERTEX_POINT('',#573206); #175898=VERTEX_POINT('',#573210); #175899=VERTEX_POINT('',#573212); #175900=VERTEX_POINT('',#573216); #175901=VERTEX_POINT('',#573218); #175902=VERTEX_POINT('',#573222); #175903=VERTEX_POINT('',#573224); #175904=VERTEX_POINT('',#573228); #175905=VERTEX_POINT('',#573230); #175906=VERTEX_POINT('',#573234); #175907=VERTEX_POINT('',#573236); #175908=VERTEX_POINT('',#573240); #175909=VERTEX_POINT('',#573242); #175910=VERTEX_POINT('',#573246); #175911=VERTEX_POINT('',#573248); #175912=VERTEX_POINT('',#573252); #175913=VERTEX_POINT('',#573254); #175914=VERTEX_POINT('',#573258); #175915=VERTEX_POINT('',#573260); #175916=VERTEX_POINT('',#573264); #175917=VERTEX_POINT('',#573266); #175918=VERTEX_POINT('',#573270); #175919=VERTEX_POINT('',#573272); #175920=VERTEX_POINT('',#573276); #175921=VERTEX_POINT('',#573278); #175922=VERTEX_POINT('',#573282); #175923=VERTEX_POINT('',#573284); #175924=VERTEX_POINT('',#573288); #175925=VERTEX_POINT('',#573290); #175926=VERTEX_POINT('',#573294); #175927=VERTEX_POINT('',#573296); #175928=VERTEX_POINT('',#573300); #175929=VERTEX_POINT('',#573302); #175930=VERTEX_POINT('',#573306); #175931=VERTEX_POINT('',#573308); #175932=VERTEX_POINT('',#573312); #175933=VERTEX_POINT('',#573314); #175934=VERTEX_POINT('',#573318); #175935=VERTEX_POINT('',#573320); #175936=VERTEX_POINT('',#573324); #175937=VERTEX_POINT('',#573326); #175938=VERTEX_POINT('',#573330); #175939=VERTEX_POINT('',#573332); #175940=VERTEX_POINT('',#573336); #175941=VERTEX_POINT('',#573338); #175942=VERTEX_POINT('',#573342); #175943=VERTEX_POINT('',#573344); #175944=VERTEX_POINT('',#573348); #175945=VERTEX_POINT('',#573350); #175946=VERTEX_POINT('',#573354); #175947=VERTEX_POINT('',#573356); #175948=VERTEX_POINT('',#573360); #175949=VERTEX_POINT('',#573362); #175950=VERTEX_POINT('',#573366); #175951=VERTEX_POINT('',#573368); #175952=VERTEX_POINT('',#573372); #175953=VERTEX_POINT('',#573374); #175954=VERTEX_POINT('',#573378); #175955=VERTEX_POINT('',#573380); #175956=VERTEX_POINT('',#573384); #175957=VERTEX_POINT('',#573386); #175958=VERTEX_POINT('',#573390); #175959=VERTEX_POINT('',#573392); #175960=VERTEX_POINT('',#573396); #175961=VERTEX_POINT('',#573398); #175962=VERTEX_POINT('',#573402); #175963=VERTEX_POINT('',#573404); #175964=VERTEX_POINT('',#573408); #175965=VERTEX_POINT('',#573410); #175966=VERTEX_POINT('',#573414); #175967=VERTEX_POINT('',#573416); #175968=VERTEX_POINT('',#573420); #175969=VERTEX_POINT('',#573422); #175970=VERTEX_POINT('',#573426); #175971=VERTEX_POINT('',#573428); #175972=VERTEX_POINT('',#573432); #175973=VERTEX_POINT('',#573434); #175974=VERTEX_POINT('',#573438); #175975=VERTEX_POINT('',#573440); #175976=VERTEX_POINT('',#573444); #175977=VERTEX_POINT('',#573446); #175978=VERTEX_POINT('',#573450); #175979=VERTEX_POINT('',#573452); #175980=VERTEX_POINT('',#573456); #175981=VERTEX_POINT('',#573458); #175982=VERTEX_POINT('',#573462); #175983=VERTEX_POINT('',#573464); #175984=VERTEX_POINT('',#573468); #175985=VERTEX_POINT('',#573470); #175986=VERTEX_POINT('',#573474); #175987=VERTEX_POINT('',#573476); #175988=VERTEX_POINT('',#573480); #175989=VERTEX_POINT('',#573482); #175990=VERTEX_POINT('',#573486); #175991=VERTEX_POINT('',#573488); #175992=VERTEX_POINT('',#573492); #175993=VERTEX_POINT('',#573494); #175994=VERTEX_POINT('',#573498); #175995=VERTEX_POINT('',#573500); #175996=VERTEX_POINT('',#573504); #175997=VERTEX_POINT('',#573506); #175998=VERTEX_POINT('',#573510); #175999=VERTEX_POINT('',#573512); #176000=VERTEX_POINT('',#573516); #176001=VERTEX_POINT('',#573518); #176002=VERTEX_POINT('',#573522); #176003=VERTEX_POINT('',#573524); #176004=VERTEX_POINT('',#573528); #176005=VERTEX_POINT('',#573530); #176006=VERTEX_POINT('',#573534); #176007=VERTEX_POINT('',#573536); #176008=VERTEX_POINT('',#573540); #176009=VERTEX_POINT('',#573542); #176010=VERTEX_POINT('',#573546); #176011=VERTEX_POINT('',#573548); #176012=VERTEX_POINT('',#573552); #176013=VERTEX_POINT('',#573554); #176014=VERTEX_POINT('',#573558); #176015=VERTEX_POINT('',#573560); #176016=VERTEX_POINT('',#573564); #176017=VERTEX_POINT('',#573566); #176018=VERTEX_POINT('',#573570); #176019=VERTEX_POINT('',#573572); #176020=VERTEX_POINT('',#573576); #176021=VERTEX_POINT('',#573578); #176022=VERTEX_POINT('',#573582); #176023=VERTEX_POINT('',#573584); #176024=VERTEX_POINT('',#573588); #176025=VERTEX_POINT('',#573590); #176026=VERTEX_POINT('',#573594); #176027=VERTEX_POINT('',#573596); #176028=VERTEX_POINT('',#573600); #176029=VERTEX_POINT('',#573602); #176030=VERTEX_POINT('',#573606); #176031=VERTEX_POINT('',#573608); #176032=VERTEX_POINT('',#573612); #176033=VERTEX_POINT('',#573614); #176034=VERTEX_POINT('',#573618); #176035=VERTEX_POINT('',#573620); #176036=VERTEX_POINT('',#573624); #176037=VERTEX_POINT('',#573626); #176038=VERTEX_POINT('',#573630); #176039=VERTEX_POINT('',#573632); #176040=VERTEX_POINT('',#573636); #176041=VERTEX_POINT('',#573638); #176042=VERTEX_POINT('',#573642); #176043=VERTEX_POINT('',#573644); #176044=VERTEX_POINT('',#573648); #176045=VERTEX_POINT('',#573650); #176046=VERTEX_POINT('',#573654); #176047=VERTEX_POINT('',#573656); #176048=VERTEX_POINT('',#573660); #176049=VERTEX_POINT('',#573662); #176050=VERTEX_POINT('',#573666); #176051=VERTEX_POINT('',#573668); #176052=VERTEX_POINT('',#573672); #176053=VERTEX_POINT('',#573674); #176054=VERTEX_POINT('',#573678); #176055=VERTEX_POINT('',#573680); #176056=VERTEX_POINT('',#573684); #176057=VERTEX_POINT('',#573686); #176058=VERTEX_POINT('',#573690); #176059=VERTEX_POINT('',#573692); #176060=VERTEX_POINT('',#573696); #176061=VERTEX_POINT('',#573698); #176062=VERTEX_POINT('',#573702); #176063=VERTEX_POINT('',#573704); #176064=VERTEX_POINT('',#573708); #176065=VERTEX_POINT('',#573710); #176066=VERTEX_POINT('',#573714); #176067=VERTEX_POINT('',#573716); #176068=VERTEX_POINT('',#573720); #176069=VERTEX_POINT('',#573722); #176070=VERTEX_POINT('',#573726); #176071=VERTEX_POINT('',#573728); #176072=VERTEX_POINT('',#573732); #176073=VERTEX_POINT('',#573734); #176074=VERTEX_POINT('',#573738); #176075=VERTEX_POINT('',#573740); #176076=VERTEX_POINT('',#573744); #176077=VERTEX_POINT('',#573746); #176078=VERTEX_POINT('',#573750); #176079=VERTEX_POINT('',#573752); #176080=VERTEX_POINT('',#573756); #176081=VERTEX_POINT('',#573758); #176082=VERTEX_POINT('',#573762); #176083=VERTEX_POINT('',#573764); #176084=VERTEX_POINT('',#573768); #176085=VERTEX_POINT('',#573770); #176086=VERTEX_POINT('',#573774); #176087=VERTEX_POINT('',#573776); #176088=VERTEX_POINT('',#573780); #176089=VERTEX_POINT('',#573782); #176090=VERTEX_POINT('',#573786); #176091=VERTEX_POINT('',#573788); #176092=VERTEX_POINT('',#573792); #176093=VERTEX_POINT('',#573794); #176094=VERTEX_POINT('',#573798); #176095=VERTEX_POINT('',#573800); #176096=VERTEX_POINT('',#573804); #176097=VERTEX_POINT('',#573806); #176098=VERTEX_POINT('',#573810); #176099=VERTEX_POINT('',#573812); #176100=VERTEX_POINT('',#573816); #176101=VERTEX_POINT('',#573818); #176102=VERTEX_POINT('',#573822); #176103=VERTEX_POINT('',#573824); #176104=VERTEX_POINT('',#573828); #176105=VERTEX_POINT('',#573830); #176106=VERTEX_POINT('',#573834); #176107=VERTEX_POINT('',#573836); #176108=VERTEX_POINT('',#573840); #176109=VERTEX_POINT('',#573842); #176110=VERTEX_POINT('',#573846); #176111=VERTEX_POINT('',#573848); #176112=VERTEX_POINT('',#573852); #176113=VERTEX_POINT('',#573854); #176114=VERTEX_POINT('',#573858); #176115=VERTEX_POINT('',#573860); #176116=VERTEX_POINT('',#573864); #176117=VERTEX_POINT('',#573866); #176118=VERTEX_POINT('',#573870); #176119=VERTEX_POINT('',#573872); #176120=VERTEX_POINT('',#573876); #176121=VERTEX_POINT('',#573878); #176122=VERTEX_POINT('',#573882); #176123=VERTEX_POINT('',#573884); #176124=VERTEX_POINT('',#573888); #176125=VERTEX_POINT('',#573890); #176126=VERTEX_POINT('',#573894); #176127=VERTEX_POINT('',#573896); #176128=VERTEX_POINT('',#573900); #176129=VERTEX_POINT('',#573902); #176130=VERTEX_POINT('',#573906); #176131=VERTEX_POINT('',#573908); #176132=VERTEX_POINT('',#573912); #176133=VERTEX_POINT('',#573914); #176134=VERTEX_POINT('',#573918); #176135=VERTEX_POINT('',#573920); #176136=VERTEX_POINT('',#573924); #176137=VERTEX_POINT('',#573926); #176138=VERTEX_POINT('',#573930); #176139=VERTEX_POINT('',#573932); #176140=VERTEX_POINT('',#573936); #176141=VERTEX_POINT('',#573938); #176142=VERTEX_POINT('',#573942); #176143=VERTEX_POINT('',#573944); #176144=VERTEX_POINT('',#573948); #176145=VERTEX_POINT('',#573950); #176146=VERTEX_POINT('',#573954); #176147=VERTEX_POINT('',#573956); #176148=VERTEX_POINT('',#573960); #176149=VERTEX_POINT('',#573962); #176150=VERTEX_POINT('',#573966); #176151=VERTEX_POINT('',#573968); #176152=VERTEX_POINT('',#573972); #176153=VERTEX_POINT('',#573974); #176154=VERTEX_POINT('',#573978); #176155=VERTEX_POINT('',#573980); #176156=VERTEX_POINT('',#573984); #176157=VERTEX_POINT('',#573986); #176158=VERTEX_POINT('',#573990); #176159=VERTEX_POINT('',#573992); #176160=VERTEX_POINT('',#573996); #176161=VERTEX_POINT('',#573998); #176162=VERTEX_POINT('',#574002); #176163=VERTEX_POINT('',#574004); #176164=VERTEX_POINT('',#574008); #176165=VERTEX_POINT('',#574010); #176166=VERTEX_POINT('',#574014); #176167=VERTEX_POINT('',#574016); #176168=VERTEX_POINT('',#574020); #176169=VERTEX_POINT('',#574022); #176170=VERTEX_POINT('',#574026); #176171=VERTEX_POINT('',#574028); #176172=VERTEX_POINT('',#574032); #176173=VERTEX_POINT('',#574034); #176174=VERTEX_POINT('',#574038); #176175=VERTEX_POINT('',#574040); #176176=VERTEX_POINT('',#574044); #176177=VERTEX_POINT('',#574046); #176178=VERTEX_POINT('',#574050); #176179=VERTEX_POINT('',#574052); #176180=VERTEX_POINT('',#574056); #176181=VERTEX_POINT('',#574058); #176182=VERTEX_POINT('',#574062); #176183=VERTEX_POINT('',#574064); #176184=VERTEX_POINT('',#574068); #176185=VERTEX_POINT('',#574070); #176186=VERTEX_POINT('',#574074); #176187=VERTEX_POINT('',#574076); #176188=VERTEX_POINT('',#574080); #176189=VERTEX_POINT('',#574082); #176190=VERTEX_POINT('',#574086); #176191=VERTEX_POINT('',#574088); #176192=VERTEX_POINT('',#574092); #176193=VERTEX_POINT('',#574094); #176194=VERTEX_POINT('',#574098); #176195=VERTEX_POINT('',#574100); #176196=VERTEX_POINT('',#574104); #176197=VERTEX_POINT('',#574106); #176198=VERTEX_POINT('',#574110); #176199=VERTEX_POINT('',#574112); #176200=VERTEX_POINT('',#574116); #176201=VERTEX_POINT('',#574118); #176202=VERTEX_POINT('',#574122); #176203=VERTEX_POINT('',#574124); #176204=VERTEX_POINT('',#574128); #176205=VERTEX_POINT('',#574130); #176206=VERTEX_POINT('',#574134); #176207=VERTEX_POINT('',#574136); #176208=VERTEX_POINT('',#574140); #176209=VERTEX_POINT('',#574142); #176210=VERTEX_POINT('',#574146); #176211=VERTEX_POINT('',#574148); #176212=VERTEX_POINT('',#574152); #176213=VERTEX_POINT('',#574154); #176214=VERTEX_POINT('',#574158); #176215=VERTEX_POINT('',#574160); #176216=VERTEX_POINT('',#574164); #176217=VERTEX_POINT('',#574166); #176218=VERTEX_POINT('',#574170); #176219=VERTEX_POINT('',#574172); #176220=VERTEX_POINT('',#574176); #176221=VERTEX_POINT('',#574177); #176222=VERTEX_POINT('',#574179); #176223=VERTEX_POINT('',#574181); #176224=VERTEX_POINT('',#574185); #176225=VERTEX_POINT('',#574187); #176226=VERTEX_POINT('',#574191); #176227=VERTEX_POINT('',#574193); #176228=VERTEX_POINT('',#574200); #176229=VERTEX_POINT('',#574202); #176230=VERTEX_POINT('',#574206); #176231=VERTEX_POINT('',#574207); #176232=VERTEX_POINT('',#574209); #176233=VERTEX_POINT('',#574211); #176234=VERTEX_POINT('',#574215); #176235=VERTEX_POINT('',#574217); #176236=VERTEX_POINT('',#574221); #176237=VERTEX_POINT('',#574223); #176238=VERTEX_POINT('',#574230); #176239=VERTEX_POINT('',#574232); #176240=VERTEX_POINT('',#574236); #176241=VERTEX_POINT('',#574238); #176242=VERTEX_POINT('',#574242); #176243=VERTEX_POINT('',#574244); #176244=VERTEX_POINT('',#574248); #176245=VERTEX_POINT('',#574249); #176246=VERTEX_POINT('',#574251); #176247=VERTEX_POINT('',#574253); #176248=VERTEX_POINT('',#574257); #176249=VERTEX_POINT('',#574259); #176250=VERTEX_POINT('',#574263); #176251=VERTEX_POINT('',#574265); #176252=VERTEX_POINT('',#574272); #176253=VERTEX_POINT('',#574274); #176254=VERTEX_POINT('',#574278); #176255=VERTEX_POINT('',#574280); #176256=VERTEX_POINT('',#574284); #176257=VERTEX_POINT('',#574286); #176258=VERTEX_POINT('',#574290); #176259=VERTEX_POINT('',#574292); #176260=VERTEX_POINT('',#574296); #176261=VERTEX_POINT('',#574298); #176262=VERTEX_POINT('',#574302); #176263=VERTEX_POINT('',#574304); #176264=VERTEX_POINT('',#574308); #176265=VERTEX_POINT('',#574310); #176266=VERTEX_POINT('',#574314); #176267=VERTEX_POINT('',#574316); #176268=VERTEX_POINT('',#574320); #176269=VERTEX_POINT('',#574322); #176270=VERTEX_POINT('',#574326); #176271=VERTEX_POINT('',#574328); #176272=VERTEX_POINT('',#574332); #176273=VERTEX_POINT('',#574333); #176274=VERTEX_POINT('',#574335); #176275=VERTEX_POINT('',#574337); #176276=VERTEX_POINT('',#574341); #176277=VERTEX_POINT('',#574343); #176278=VERTEX_POINT('',#574347); #176279=VERTEX_POINT('',#574349); #176280=VERTEX_POINT('',#574356); #176281=VERTEX_POINT('',#574358); #176282=VERTEX_POINT('',#574362); #176283=VERTEX_POINT('',#574363); #176284=VERTEX_POINT('',#574365); #176285=VERTEX_POINT('',#574367); #176286=VERTEX_POINT('',#574371); #176287=VERTEX_POINT('',#574373); #176288=VERTEX_POINT('',#574377); #176289=VERTEX_POINT('',#574379); #176290=VERTEX_POINT('',#574386); #176291=VERTEX_POINT('',#574388); #176292=VERTEX_POINT('',#574392); #176293=VERTEX_POINT('',#574393); #176294=VERTEX_POINT('',#574395); #176295=VERTEX_POINT('',#574397); #176296=VERTEX_POINT('',#574401); #176297=VERTEX_POINT('',#574403); #176298=VERTEX_POINT('',#574407); #176299=VERTEX_POINT('',#574409); #176300=VERTEX_POINT('',#574416); #176301=VERTEX_POINT('',#574418); #176302=VERTEX_POINT('',#574422); #176303=VERTEX_POINT('',#574424); #176304=VERTEX_POINT('',#574428); #176305=VERTEX_POINT('',#574430); #176306=VERTEX_POINT('',#574434); #176307=VERTEX_POINT('',#574436); #176308=VERTEX_POINT('',#574440); #176309=VERTEX_POINT('',#574442); #176310=VERTEX_POINT('',#574446); #176311=VERTEX_POINT('',#574448); #176312=VERTEX_POINT('',#574452); #176313=VERTEX_POINT('',#574454); #176314=VERTEX_POINT('',#574458); #176315=VERTEX_POINT('',#574460); #176316=VERTEX_POINT('',#574464); #176317=VERTEX_POINT('',#574466); #176318=VERTEX_POINT('',#574470); #176319=VERTEX_POINT('',#574472); #176320=VERTEX_POINT('',#574476); #176321=VERTEX_POINT('',#574478); #176322=VERTEX_POINT('',#574482); #176323=VERTEX_POINT('',#574484); #176324=VERTEX_POINT('',#574488); #176325=VERTEX_POINT('',#574490); #176326=VERTEX_POINT('',#574494); #176327=VERTEX_POINT('',#574496); #176328=VERTEX_POINT('',#574500); #176329=VERTEX_POINT('',#574502); #176330=VERTEX_POINT('',#574506); #176331=VERTEX_POINT('',#574508); #176332=VERTEX_POINT('',#574512); #176333=VERTEX_POINT('',#574514); #176334=VERTEX_POINT('',#574518); #176335=VERTEX_POINT('',#574520); #176336=VERTEX_POINT('',#574524); #176337=VERTEX_POINT('',#574526); #176338=VERTEX_POINT('',#574530); #176339=VERTEX_POINT('',#574532); #176340=VERTEX_POINT('',#574536); #176341=VERTEX_POINT('',#574538); #176342=VERTEX_POINT('',#574542); #176343=VERTEX_POINT('',#574544); #176344=VERTEX_POINT('',#574548); #176345=VERTEX_POINT('',#574550); #176346=VERTEX_POINT('',#574554); #176347=VERTEX_POINT('',#574556); #176348=VERTEX_POINT('',#574560); #176349=VERTEX_POINT('',#574562); #176350=VERTEX_POINT('',#574566); #176351=VERTEX_POINT('',#574568); #176352=VERTEX_POINT('',#574572); #176353=VERTEX_POINT('',#574574); #176354=VERTEX_POINT('',#574578); #176355=VERTEX_POINT('',#574580); #176356=VERTEX_POINT('',#574584); #176357=VERTEX_POINT('',#574586); #176358=VERTEX_POINT('',#574590); #176359=VERTEX_POINT('',#574592); #176360=VERTEX_POINT('',#574596); #176361=VERTEX_POINT('',#574598); #176362=VERTEX_POINT('',#574602); #176363=VERTEX_POINT('',#574604); #176364=VERTEX_POINT('',#574608); #176365=VERTEX_POINT('',#574610); #176366=VERTEX_POINT('',#574614); #176367=VERTEX_POINT('',#574616); #176368=VERTEX_POINT('',#574620); #176369=VERTEX_POINT('',#574622); #176370=VERTEX_POINT('',#574626); #176371=VERTEX_POINT('',#574628); #176372=VERTEX_POINT('',#574632); #176373=VERTEX_POINT('',#574634); #176374=VERTEX_POINT('',#574638); #176375=VERTEX_POINT('',#574640); #176376=VERTEX_POINT('',#574644); #176377=VERTEX_POINT('',#574646); #176378=VERTEX_POINT('',#574650); #176379=VERTEX_POINT('',#574652); #176380=VERTEX_POINT('',#574656); #176381=VERTEX_POINT('',#574658); #176382=VERTEX_POINT('',#574662); #176383=VERTEX_POINT('',#574664); #176384=VERTEX_POINT('',#574668); #176385=VERTEX_POINT('',#574670); #176386=VERTEX_POINT('',#574674); #176387=VERTEX_POINT('',#574676); #176388=VERTEX_POINT('',#574680); #176389=VERTEX_POINT('',#574682); #176390=VERTEX_POINT('',#574686); #176391=VERTEX_POINT('',#574688); #176392=VERTEX_POINT('',#574692); #176393=VERTEX_POINT('',#574694); #176394=VERTEX_POINT('',#574698); #176395=VERTEX_POINT('',#574700); #176396=VERTEX_POINT('',#574704); #176397=VERTEX_POINT('',#574706); #176398=VERTEX_POINT('',#574710); #176399=VERTEX_POINT('',#574712); #176400=VERTEX_POINT('',#574716); #176401=VERTEX_POINT('',#574718); #176402=VERTEX_POINT('',#574722); #176403=VERTEX_POINT('',#574724); #176404=VERTEX_POINT('',#574728); #176405=VERTEX_POINT('',#574730); #176406=VERTEX_POINT('',#574734); #176407=VERTEX_POINT('',#574736); #176408=VERTEX_POINT('',#574740); #176409=VERTEX_POINT('',#574742); #176410=VERTEX_POINT('',#574746); #176411=VERTEX_POINT('',#574748); #176412=VERTEX_POINT('',#574752); #176413=VERTEX_POINT('',#574754); #176414=VERTEX_POINT('',#574758); #176415=VERTEX_POINT('',#574760); #176416=VERTEX_POINT('',#574764); #176417=VERTEX_POINT('',#574766); #176418=VERTEX_POINT('',#574770); #176419=VERTEX_POINT('',#574772); #176420=VERTEX_POINT('',#574776); #176421=VERTEX_POINT('',#574778); #176422=VERTEX_POINT('',#574782); #176423=VERTEX_POINT('',#574784); #176424=VERTEX_POINT('',#574788); #176425=VERTEX_POINT('',#574790); #176426=VERTEX_POINT('',#574794); #176427=VERTEX_POINT('',#574796); #176428=VERTEX_POINT('',#574800); #176429=VERTEX_POINT('',#574802); #176430=VERTEX_POINT('',#574806); #176431=VERTEX_POINT('',#574808); #176432=VERTEX_POINT('',#574812); #176433=VERTEX_POINT('',#574814); #176434=VERTEX_POINT('',#574818); #176435=VERTEX_POINT('',#574820); #176436=VERTEX_POINT('',#574824); #176437=VERTEX_POINT('',#574826); #176438=VERTEX_POINT('',#574830); #176439=VERTEX_POINT('',#574832); #176440=VERTEX_POINT('',#574836); #176441=VERTEX_POINT('',#574838); #176442=VERTEX_POINT('',#574842); #176443=VERTEX_POINT('',#574844); #176444=VERTEX_POINT('',#574848); #176445=VERTEX_POINT('',#574850); #176446=VERTEX_POINT('',#574854); #176447=VERTEX_POINT('',#574856); #176448=VERTEX_POINT('',#574860); #176449=VERTEX_POINT('',#574862); #176450=VERTEX_POINT('',#574866); #176451=VERTEX_POINT('',#574868); #176452=VERTEX_POINT('',#574872); #176453=VERTEX_POINT('',#574874); #176454=VERTEX_POINT('',#574878); #176455=VERTEX_POINT('',#574880); #176456=VERTEX_POINT('',#574884); #176457=VERTEX_POINT('',#574886); #176458=VERTEX_POINT('',#574890); #176459=VERTEX_POINT('',#574892); #176460=VERTEX_POINT('',#574896); #176461=VERTEX_POINT('',#574898); #176462=VERTEX_POINT('',#574902); #176463=VERTEX_POINT('',#574904); #176464=VERTEX_POINT('',#574908); #176465=VERTEX_POINT('',#574910); #176466=VERTEX_POINT('',#574914); #176467=VERTEX_POINT('',#574916); #176468=VERTEX_POINT('',#574920); #176469=VERTEX_POINT('',#574922); #176470=VERTEX_POINT('',#574926); #176471=VERTEX_POINT('',#574928); #176472=VERTEX_POINT('',#574932); #176473=VERTEX_POINT('',#574934); #176474=VERTEX_POINT('',#574938); #176475=VERTEX_POINT('',#574940); #176476=VERTEX_POINT('',#574944); #176477=VERTEX_POINT('',#574946); #176478=VERTEX_POINT('',#574950); #176479=VERTEX_POINT('',#574952); #176480=VERTEX_POINT('',#574956); #176481=VERTEX_POINT('',#574958); #176482=VERTEX_POINT('',#574962); #176483=VERTEX_POINT('',#574964); #176484=VERTEX_POINT('',#574968); #176485=VERTEX_POINT('',#574970); #176486=VERTEX_POINT('',#574974); #176487=VERTEX_POINT('',#574976); #176488=VERTEX_POINT('',#574980); #176489=VERTEX_POINT('',#574982); #176490=VERTEX_POINT('',#574986); #176491=VERTEX_POINT('',#574988); #176492=VERTEX_POINT('',#574992); #176493=VERTEX_POINT('',#574994); #176494=VERTEX_POINT('',#574998); #176495=VERTEX_POINT('',#575000); #176496=VERTEX_POINT('',#575004); #176497=VERTEX_POINT('',#575006); #176498=VERTEX_POINT('',#575010); #176499=VERTEX_POINT('',#575012); #176500=VERTEX_POINT('',#575016); #176501=VERTEX_POINT('',#575018); #176502=VERTEX_POINT('',#575022); #176503=VERTEX_POINT('',#575024); #176504=VERTEX_POINT('',#575028); #176505=VERTEX_POINT('',#575030); #176506=VERTEX_POINT('',#575034); #176507=VERTEX_POINT('',#575036); #176508=VERTEX_POINT('',#575040); #176509=VERTEX_POINT('',#575042); #176510=VERTEX_POINT('',#575046); #176511=VERTEX_POINT('',#575048); #176512=VERTEX_POINT('',#575052); #176513=VERTEX_POINT('',#575054); #176514=VERTEX_POINT('',#575058); #176515=VERTEX_POINT('',#575060); #176516=VERTEX_POINT('',#575064); #176517=VERTEX_POINT('',#575066); #176518=VERTEX_POINT('',#575070); #176519=VERTEX_POINT('',#575072); #176520=VERTEX_POINT('',#575076); #176521=VERTEX_POINT('',#575078); #176522=VERTEX_POINT('',#575082); #176523=VERTEX_POINT('',#575084); #176524=VERTEX_POINT('',#575088); #176525=VERTEX_POINT('',#575090); #176526=VERTEX_POINT('',#575094); #176527=VERTEX_POINT('',#575096); #176528=VERTEX_POINT('',#575100); #176529=VERTEX_POINT('',#575102); #176530=VERTEX_POINT('',#575106); #176531=VERTEX_POINT('',#575108); #176532=VERTEX_POINT('',#575112); #176533=VERTEX_POINT('',#575114); #176534=VERTEX_POINT('',#575118); #176535=VERTEX_POINT('',#575120); #176536=VERTEX_POINT('',#575124); #176537=VERTEX_POINT('',#575126); #176538=VERTEX_POINT('',#575130); #176539=VERTEX_POINT('',#575132); #176540=VERTEX_POINT('',#575136); #176541=VERTEX_POINT('',#575138); #176542=VERTEX_POINT('',#575142); #176543=VERTEX_POINT('',#575144); #176544=VERTEX_POINT('',#575148); #176545=VERTEX_POINT('',#575150); #176546=VERTEX_POINT('',#575154); #176547=VERTEX_POINT('',#575156); #176548=VERTEX_POINT('',#575160); #176549=VERTEX_POINT('',#575162); #176550=VERTEX_POINT('',#575166); #176551=VERTEX_POINT('',#575168); #176552=VERTEX_POINT('',#575172); #176553=VERTEX_POINT('',#575174); #176554=VERTEX_POINT('',#575178); #176555=VERTEX_POINT('',#575180); #176556=VERTEX_POINT('',#575184); #176557=VERTEX_POINT('',#575186); #176558=VERTEX_POINT('',#575190); #176559=VERTEX_POINT('',#575192); #176560=VERTEX_POINT('',#575196); #176561=VERTEX_POINT('',#575198); #176562=VERTEX_POINT('',#575202); #176563=VERTEX_POINT('',#575204); #176564=VERTEX_POINT('',#575208); #176565=VERTEX_POINT('',#575210); #176566=VERTEX_POINT('',#575219); #176567=VERTEX_POINT('',#575220); #176568=VERTEX_POINT('',#575222); #176569=VERTEX_POINT('',#575224); #176570=VERTEX_POINT('',#575228); #176571=VERTEX_POINT('',#575230); #176572=VERTEX_POINT('',#575234); #176573=VERTEX_POINT('',#575236); #176574=VERTEX_POINT('',#575238); #176575=VERTEX_POINT('',#575240); #176576=VERTEX_POINT('',#575242); #176577=VERTEX_POINT('',#575244); #176578=VERTEX_POINT('',#575246); #176579=VERTEX_POINT('',#575248); #176580=VERTEX_POINT('',#575250); #176581=VERTEX_POINT('',#575252); #176582=VERTEX_POINT('',#575254); #176583=VERTEX_POINT('',#575256); #176584=VERTEX_POINT('',#575258); #176585=VERTEX_POINT('',#575260); #176586=VERTEX_POINT('',#575262); #176587=VERTEX_POINT('',#575264); #176588=VERTEX_POINT('',#575266); #176589=VERTEX_POINT('',#575268); #176590=VERTEX_POINT('',#575270); #176591=VERTEX_POINT('',#575272); #176592=VERTEX_POINT('',#575274); #176593=VERTEX_POINT('',#575276); #176594=VERTEX_POINT('',#575278); #176595=VERTEX_POINT('',#575282); #176596=VERTEX_POINT('',#575286); #176597=VERTEX_POINT('',#575288); #176598=VERTEX_POINT('',#575292); #176599=VERTEX_POINT('',#575294); #176600=VERTEX_POINT('',#575296); #176601=VERTEX_POINT('',#575298); #176602=VERTEX_POINT('',#575302); #176603=VERTEX_POINT('',#575305); #176604=VERTEX_POINT('',#575307); #176605=VERTEX_POINT('',#575310); #176606=VERTEX_POINT('',#575311); #176607=VERTEX_POINT('',#575313); #176608=VERTEX_POINT('',#575315); #176609=VERTEX_POINT('',#575318); #176610=VERTEX_POINT('',#575319); #176611=VERTEX_POINT('',#575321); #176612=VERTEX_POINT('',#575323); #176613=VERTEX_POINT('',#575326); #176614=VERTEX_POINT('',#575327); #176615=VERTEX_POINT('',#575329); #176616=VERTEX_POINT('',#575331); #176617=VERTEX_POINT('',#575334); #176618=VERTEX_POINT('',#575335); #176619=VERTEX_POINT('',#575337); #176620=VERTEX_POINT('',#575339); #176621=VERTEX_POINT('',#575343); #176622=VERTEX_POINT('',#575344); #176623=VERTEX_POINT('',#575348); #176624=VERTEX_POINT('',#575349); #176625=VERTEX_POINT('',#575351); #176626=VERTEX_POINT('',#575353); #176627=VERTEX_POINT('',#575357); #176628=VERTEX_POINT('',#575358); #176629=VERTEX_POINT('',#575362); #176630=VERTEX_POINT('',#575363); #176631=VERTEX_POINT('',#575365); #176632=VERTEX_POINT('',#575367); #176633=VERTEX_POINT('',#575371); #176634=VERTEX_POINT('',#575372); #176635=VERTEX_POINT('',#575376); #176636=VERTEX_POINT('',#575377); #176637=VERTEX_POINT('',#575379); #176638=VERTEX_POINT('',#575381); #176639=VERTEX_POINT('',#575385); #176640=VERTEX_POINT('',#575386); #176641=VERTEX_POINT('',#575391); #176642=VERTEX_POINT('',#575392); #176643=VERTEX_POINT('',#575397); #176644=VERTEX_POINT('',#575398); #176645=VERTEX_POINT('',#575402); #176646=VERTEX_POINT('',#575403); #176647=VERTEX_POINT('',#575405); #176648=VERTEX_POINT('',#575407); #176649=VERTEX_POINT('',#575411); #176650=VERTEX_POINT('',#575413); #176651=VERTEX_POINT('',#575417); #176652=VERTEX_POINT('',#575419); #176653=VERTEX_POINT('',#575426); #176654=VERTEX_POINT('',#575428); #176655=VERTEX_POINT('',#575439); #176656=VERTEX_POINT('',#575441); #176657=VERTEX_POINT('',#575443); #176658=VERTEX_POINT('',#575456); #176659=VERTEX_POINT('',#575457); #176660=VERTEX_POINT('',#575459); #176661=VERTEX_POINT('',#575461); #176662=VERTEX_POINT('',#575465); #176663=VERTEX_POINT('',#575467); #176664=VERTEX_POINT('',#575471); #176665=VERTEX_POINT('',#575473); #176666=VERTEX_POINT('',#575481); #176667=VERTEX_POINT('',#575483); #176668=VERTEX_POINT('',#575487); #176669=VERTEX_POINT('',#575489); #176670=VERTEX_POINT('',#575497); #176671=VERTEX_POINT('',#575498); #176672=VERTEX_POINT('',#575500); #176673=VERTEX_POINT('',#575502); #176674=VERTEX_POINT('',#575530); #176675=VERTEX_POINT('',#575531); #176676=VERTEX_POINT('',#575533); #176677=VERTEX_POINT('',#575535); #176678=VERTEX_POINT('',#575539); #176679=VERTEX_POINT('',#575541); #176680=VERTEX_POINT('',#575545); #176681=VERTEX_POINT('',#575547); #176682=VERTEX_POINT('',#575555); #176683=VERTEX_POINT('',#575557); #176684=VERTEX_POINT('',#575561); #176685=VERTEX_POINT('',#575563); #176686=VERTEX_POINT('',#575571); #176687=VERTEX_POINT('',#575572); #176688=VERTEX_POINT('',#575574); #176689=VERTEX_POINT('',#575576); #176690=VERTEX_POINT('',#575580); #176691=VERTEX_POINT('',#575581); #176692=VERTEX_POINT('',#575583); #176693=VERTEX_POINT('',#575585); #176694=VERTEX_POINT('',#575589); #176695=VERTEX_POINT('',#575591); #176696=VERTEX_POINT('',#575595); #176697=VERTEX_POINT('',#575597); #176698=VERTEX_POINT('',#575605); #176699=VERTEX_POINT('',#575607); #176700=VERTEX_POINT('',#575611); #176701=VERTEX_POINT('',#575613); #176702=VERTEX_POINT('',#575621); #176703=VERTEX_POINT('',#575622); #176704=VERTEX_POINT('',#575624); #176705=VERTEX_POINT('',#575626); #176706=VERTEX_POINT('',#575630); #176707=VERTEX_POINT('',#575631); #176708=VERTEX_POINT('',#575633); #176709=VERTEX_POINT('',#575635); #176710=VERTEX_POINT('',#575639); #176711=VERTEX_POINT('',#575641); #176712=VERTEX_POINT('',#575645); #176713=VERTEX_POINT('',#575647); #176714=VERTEX_POINT('',#575655); #176715=VERTEX_POINT('',#575657); #176716=VERTEX_POINT('',#575661); #176717=VERTEX_POINT('',#575663); #176718=VERTEX_POINT('',#575671); #176719=VERTEX_POINT('',#575672); #176720=VERTEX_POINT('',#575674); #176721=VERTEX_POINT('',#575676); #176722=VERTEX_POINT('',#575734); #176723=VERTEX_POINT('',#575735); #176724=VERTEX_POINT('',#575737); #176725=VERTEX_POINT('',#575741); #176726=VERTEX_POINT('',#575742); #176727=VERTEX_POINT('',#575744); #176728=VERTEX_POINT('',#575748); #176729=VERTEX_POINT('',#575749); #176730=VERTEX_POINT('',#575751); #176731=VERTEX_POINT('',#575755); #176732=VERTEX_POINT('',#575756); #176733=VERTEX_POINT('',#575758); #176734=VERTEX_POINT('',#575762); #176735=VERTEX_POINT('',#575763); #176736=VERTEX_POINT('',#575765); #176737=VERTEX_POINT('',#575772); #176738=VERTEX_POINT('',#575773); #176739=VERTEX_POINT('',#575775); #176740=VERTEX_POINT('',#575779); #176741=VERTEX_POINT('',#575780); #176742=VERTEX_POINT('',#575782); #176743=VERTEX_POINT('',#575792); #176744=VERTEX_POINT('',#575793); #176745=VERTEX_POINT('',#575795); #176746=VERTEX_POINT('',#575832); #176747=VERTEX_POINT('',#575833); #176748=VERTEX_POINT('',#575835); #176749=VERTEX_POINT('',#575839); #176750=VERTEX_POINT('',#575840); #176751=VERTEX_POINT('',#575842); #176752=VERTEX_POINT('',#575846); #176753=VERTEX_POINT('',#575847); #176754=VERTEX_POINT('',#575849); #176755=VERTEX_POINT('',#575853); #176756=VERTEX_POINT('',#575854); #176757=VERTEX_POINT('',#575856); #176758=VERTEX_POINT('',#575860); #176759=VERTEX_POINT('',#575861); #176760=VERTEX_POINT('',#575863); #176761=VERTEX_POINT('',#575870); #176762=VERTEX_POINT('',#575871); #176763=VERTEX_POINT('',#575873); #176764=VERTEX_POINT('',#575877); #176765=VERTEX_POINT('',#575878); #176766=VERTEX_POINT('',#575880); #176767=VERTEX_POINT('',#575890); #176768=VERTEX_POINT('',#575891); #176769=VERTEX_POINT('',#575893); #176770=VERTEX_POINT('',#575930); #176771=VERTEX_POINT('',#575931); #176772=VERTEX_POINT('',#575933); #176773=VERTEX_POINT('',#575935); #176774=VERTEX_POINT('',#575939); #176775=VERTEX_POINT('',#575941); #176776=VERTEX_POINT('',#575945); #176777=VERTEX_POINT('',#575947); #176778=VERTEX_POINT('',#575956); #176779=VERTEX_POINT('',#575957); #176780=VERTEX_POINT('',#575959); #176781=VERTEX_POINT('',#575961); #176782=VERTEX_POINT('',#575965); #176783=VERTEX_POINT('',#575966); #176784=VERTEX_POINT('',#575968); #176785=VERTEX_POINT('',#575970); #176786=VERTEX_POINT('',#575982); #176787=VERTEX_POINT('',#575983); #176788=VERTEX_POINT('',#575985); #176789=VERTEX_POINT('',#575987); #176790=VERTEX_POINT('',#575991); #176791=VERTEX_POINT('',#575993); #176792=VERTEX_POINT('',#575997); #176793=VERTEX_POINT('',#575999); #176794=VERTEX_POINT('',#576037); #176795=VERTEX_POINT('',#576038); #176796=VERTEX_POINT('',#576040); #176797=VERTEX_POINT('',#576042); #176798=VERTEX_POINT('',#576044); #176799=VERTEX_POINT('',#576046); #176800=VERTEX_POINT('',#576048); #176801=VERTEX_POINT('',#576050); #176802=VERTEX_POINT('',#576052); #176803=VERTEX_POINT('',#576054); #176804=VERTEX_POINT('',#576056); #176805=VERTEX_POINT('',#576058); #176806=VERTEX_POINT('',#576060); #176807=VERTEX_POINT('',#576062); #176808=VERTEX_POINT('',#576064); #176809=VERTEX_POINT('',#576066); #176810=VERTEX_POINT('',#576070); #176811=VERTEX_POINT('',#576071); #176812=VERTEX_POINT('',#576073); #176813=VERTEX_POINT('',#576075); #176814=VERTEX_POINT('',#576079); #176815=VERTEX_POINT('',#576080); #176816=VERTEX_POINT('',#576082); #176817=VERTEX_POINT('',#576084); #176818=VERTEX_POINT('',#576086); #176819=VERTEX_POINT('',#576088); #176820=VERTEX_POINT('',#576090); #176821=VERTEX_POINT('',#576092); #176822=VERTEX_POINT('',#576096); #176823=VERTEX_POINT('',#576097); #176824=VERTEX_POINT('',#576099); #176825=VERTEX_POINT('',#576101); #176826=VERTEX_POINT('',#576103); #176827=VERTEX_POINT('',#576105); #176828=VERTEX_POINT('',#576107); #176829=VERTEX_POINT('',#576109); #176830=VERTEX_POINT('',#576119); #176831=VERTEX_POINT('',#576120); #176832=VERTEX_POINT('',#576122); #176833=VERTEX_POINT('',#576124); #176834=VERTEX_POINT('',#576126); #176835=VERTEX_POINT('',#576128); #176836=VERTEX_POINT('',#576130); #176837=VERTEX_POINT('',#576132); #176838=VERTEX_POINT('',#576134); #176839=VERTEX_POINT('',#576136); #176840=VERTEX_POINT('',#576138); #176841=VERTEX_POINT('',#576140); #176842=VERTEX_POINT('',#576142); #176843=VERTEX_POINT('',#576144); #176844=VERTEX_POINT('',#576146); #176845=VERTEX_POINT('',#576148); #176846=VERTEX_POINT('',#576152); #176847=VERTEX_POINT('',#576154); #176848=VERTEX_POINT('',#576158); #176849=VERTEX_POINT('',#576159); #176850=VERTEX_POINT('',#576161); #176851=VERTEX_POINT('',#576163); #176852=VERTEX_POINT('',#576165); #176853=VERTEX_POINT('',#576167); #176854=VERTEX_POINT('',#576169); #176855=VERTEX_POINT('',#576171); #176856=VERTEX_POINT('',#576173); #176857=VERTEX_POINT('',#576175); #176858=VERTEX_POINT('',#576177); #176859=VERTEX_POINT('',#576179); #176860=VERTEX_POINT('',#576181); #176861=VERTEX_POINT('',#576183); #176862=VERTEX_POINT('',#576185); #176863=VERTEX_POINT('',#576187); #176864=VERTEX_POINT('',#576189); #176865=VERTEX_POINT('',#576191); #176866=VERTEX_POINT('',#576193); #176867=VERTEX_POINT('',#576197); #176868=VERTEX_POINT('',#576199); #176869=VERTEX_POINT('',#576201); #176870=VERTEX_POINT('',#576203); #176871=VERTEX_POINT('',#576207); #176872=VERTEX_POINT('',#576208); #176873=VERTEX_POINT('',#576211); #176874=VERTEX_POINT('',#576213); #176875=VERTEX_POINT('',#576217); #176876=VERTEX_POINT('',#576218); #176877=VERTEX_POINT('',#576224); #176878=VERTEX_POINT('',#576227); #176879=VERTEX_POINT('',#576229); #176880=VERTEX_POINT('',#576236); #176881=VERTEX_POINT('',#576237); #176882=VERTEX_POINT('',#576239); #176883=VERTEX_POINT('',#576241); #176884=VERTEX_POINT('',#576245); #176885=VERTEX_POINT('',#576246); #176886=VERTEX_POINT('',#576248); #176887=VERTEX_POINT('',#576250); #176888=VERTEX_POINT('',#576254); #176889=VERTEX_POINT('',#576255); #176890=VERTEX_POINT('',#576257); #176891=VERTEX_POINT('',#576259); #176892=VERTEX_POINT('',#576263); #176893=VERTEX_POINT('',#576264); #176894=VERTEX_POINT('',#576266); #176895=VERTEX_POINT('',#576268); #176896=VERTEX_POINT('',#576272); #176897=VERTEX_POINT('',#576273); #176898=VERTEX_POINT('',#576275); #176899=VERTEX_POINT('',#576277); #176900=VERTEX_POINT('',#576281); #176901=VERTEX_POINT('',#576282); #176902=VERTEX_POINT('',#576284); #176903=VERTEX_POINT('',#576286); #176904=VERTEX_POINT('',#576290); #176905=VERTEX_POINT('',#576291); #176906=VERTEX_POINT('',#576293); #176907=VERTEX_POINT('',#576295); #176908=VERTEX_POINT('',#576299); #176909=VERTEX_POINT('',#576300); #176910=VERTEX_POINT('',#576302); #176911=VERTEX_POINT('',#576304); #176912=VERTEX_POINT('',#576308); #176913=VERTEX_POINT('',#576309); #176914=VERTEX_POINT('',#576311); #176915=VERTEX_POINT('',#576313); #176916=VERTEX_POINT('',#576317); #176917=VERTEX_POINT('',#576318); #176918=VERTEX_POINT('',#576320); #176919=VERTEX_POINT('',#576322); #176920=VERTEX_POINT('',#576326); #176921=VERTEX_POINT('',#576327); #176922=VERTEX_POINT('',#576329); #176923=VERTEX_POINT('',#576331); #176924=VERTEX_POINT('',#576335); #176925=VERTEX_POINT('',#576336); #176926=VERTEX_POINT('',#576338); #176927=VERTEX_POINT('',#576340); #176928=VERTEX_POINT('',#576344); #176929=VERTEX_POINT('',#576346); #176930=VERTEX_POINT('',#576350); #176931=VERTEX_POINT('',#576352); #176932=VERTEX_POINT('',#576356); #176933=VERTEX_POINT('',#576357); #176934=VERTEX_POINT('',#576359); #176935=VERTEX_POINT('',#576361); #176936=VERTEX_POINT('',#576368); #176937=VERTEX_POINT('',#576370); #176938=VERTEX_POINT('',#576374); #176939=VERTEX_POINT('',#576376); #176940=VERTEX_POINT('',#576380); #176941=VERTEX_POINT('',#576381); #176942=VERTEX_POINT('',#576383); #176943=VERTEX_POINT('',#576385); #176944=VERTEX_POINT('',#576392); #176945=VERTEX_POINT('',#576394); #176946=VERTEX_POINT('',#576398); #176947=VERTEX_POINT('',#576400); #176948=VERTEX_POINT('',#576404); #176949=VERTEX_POINT('',#576405); #176950=VERTEX_POINT('',#576407); #176951=VERTEX_POINT('',#576409); #176952=VERTEX_POINT('',#576416); #176953=VERTEX_POINT('',#576418); #176954=VERTEX_POINT('',#576422); #176955=VERTEX_POINT('',#576424); #176956=VERTEX_POINT('',#576428); #176957=VERTEX_POINT('',#576429); #176958=VERTEX_POINT('',#576431); #176959=VERTEX_POINT('',#576433); #176960=VERTEX_POINT('',#576440); #176961=VERTEX_POINT('',#576442); #176962=VERTEX_POINT('',#576446); #176963=VERTEX_POINT('',#576448); #176964=VERTEX_POINT('',#576452); #176965=VERTEX_POINT('',#576453); #176966=VERTEX_POINT('',#576455); #176967=VERTEX_POINT('',#576457); #176968=VERTEX_POINT('',#576464); #176969=VERTEX_POINT('',#576466); #176970=VERTEX_POINT('',#576470); #176971=VERTEX_POINT('',#576472); #176972=VERTEX_POINT('',#576476); #176973=VERTEX_POINT('',#576477); #176974=VERTEX_POINT('',#576479); #176975=VERTEX_POINT('',#576481); #176976=VERTEX_POINT('',#576488); #176977=VERTEX_POINT('',#576490); #176978=VERTEX_POINT('',#576494); #176979=VERTEX_POINT('',#576496); #176980=VERTEX_POINT('',#576500); #176981=VERTEX_POINT('',#576502); #176982=VERTEX_POINT('',#576506); #176983=VERTEX_POINT('',#576508); #176984=VERTEX_POINT('',#576512); #176985=VERTEX_POINT('',#576513); #176986=VERTEX_POINT('',#576515); #176987=VERTEX_POINT('',#576517); #176988=VERTEX_POINT('',#576524); #176989=VERTEX_POINT('',#576526); #176990=VERTEX_POINT('',#576530); #176991=VERTEX_POINT('',#576532); #176992=VERTEX_POINT('',#576536); #176993=VERTEX_POINT('',#576537); #176994=VERTEX_POINT('',#576539); #176995=VERTEX_POINT('',#576541); #176996=VERTEX_POINT('',#576548); #176997=VERTEX_POINT('',#576550); #176998=VERTEX_POINT('',#576554); #176999=VERTEX_POINT('',#576556); #177000=VERTEX_POINT('',#576560); #177001=VERTEX_POINT('',#576561); #177002=VERTEX_POINT('',#576563); #177003=VERTEX_POINT('',#576565); #177004=VERTEX_POINT('',#576572); #177005=VERTEX_POINT('',#576574); #177006=VERTEX_POINT('',#576578); #177007=VERTEX_POINT('',#576580); #177008=VERTEX_POINT('',#576584); #177009=VERTEX_POINT('',#576585); #177010=VERTEX_POINT('',#576587); #177011=VERTEX_POINT('',#576589); #177012=VERTEX_POINT('',#576596); #177013=VERTEX_POINT('',#576598); #177014=VERTEX_POINT('',#576602); #177015=VERTEX_POINT('',#576604); #177016=VERTEX_POINT('',#576608); #177017=VERTEX_POINT('',#576609); #177018=VERTEX_POINT('',#576611); #177019=VERTEX_POINT('',#576613); #177020=VERTEX_POINT('',#576620); #177021=VERTEX_POINT('',#576622); #177022=VERTEX_POINT('',#576626); #177023=VERTEX_POINT('',#576628); #177024=VERTEX_POINT('',#576632); #177025=VERTEX_POINT('',#576633); #177026=VERTEX_POINT('',#576635); #177027=VERTEX_POINT('',#576640); #177028=VERTEX_POINT('',#576642); #177029=VERTEX_POINT('',#576644); #177030=VERTEX_POINT('',#576646); #177031=VERTEX_POINT('',#576650); #177032=VERTEX_POINT('',#576669); #177033=VERTEX_POINT('',#576671); #177034=VERTEX_POINT('',#576673); #177035=VERTEX_POINT('',#576675); #177036=VERTEX_POINT('',#576679); #177037=VERTEX_POINT('',#576681); #177038=VERTEX_POINT('',#576683); #177039=VERTEX_POINT('',#576685); #177040=VERTEX_POINT('',#576689); #177041=VERTEX_POINT('',#576690); #177042=VERTEX_POINT('',#576692); #177043=VERTEX_POINT('',#576694); #177044=VERTEX_POINT('',#576698); #177045=VERTEX_POINT('',#576700); #177046=VERTEX_POINT('',#576704); #177047=VERTEX_POINT('',#576705); #177048=VERTEX_POINT('',#576707); #177049=VERTEX_POINT('',#576709); #177050=VERTEX_POINT('',#576713); #177051=VERTEX_POINT('',#576714); #177052=VERTEX_POINT('',#576716); #177053=VERTEX_POINT('',#576718); #177054=VERTEX_POINT('',#576720); #177055=VERTEX_POINT('',#576722); #177056=VERTEX_POINT('',#576724); #177057=VERTEX_POINT('',#576726); #177058=VERTEX_POINT('',#576728); #177059=VERTEX_POINT('',#576730); #177060=VERTEX_POINT('',#576732); #177061=VERTEX_POINT('',#576734); #177062=VERTEX_POINT('',#576736); #177063=VERTEX_POINT('',#576738); #177064=VERTEX_POINT('',#576742); #177065=VERTEX_POINT('',#576743); #177066=VERTEX_POINT('',#576745); #177067=VERTEX_POINT('',#576747); #177068=VERTEX_POINT('',#576751); #177069=VERTEX_POINT('',#576753); #177070=VERTEX_POINT('',#576757); #177071=VERTEX_POINT('',#576758); #177072=VERTEX_POINT('',#576760); #177073=VERTEX_POINT('',#576762); #177074=VERTEX_POINT('',#576764); #177075=VERTEX_POINT('',#576766); #177076=VERTEX_POINT('',#576768); #177077=VERTEX_POINT('',#576770); #177078=VERTEX_POINT('',#576772); #177079=VERTEX_POINT('',#576774); #177080=VERTEX_POINT('',#576785); #177081=VERTEX_POINT('',#576788); #177082=VERTEX_POINT('',#576790); #177083=VERTEX_POINT('',#576792); #177084=VERTEX_POINT('',#576794); #177085=VERTEX_POINT('',#576796); #177086=VERTEX_POINT('',#576800); #177087=VERTEX_POINT('',#576801); #177088=VERTEX_POINT('',#576803); #177089=VERTEX_POINT('',#576805); #177090=VERTEX_POINT('',#576818); #177091=VERTEX_POINT('',#576820); #177092=VERTEX_POINT('',#576824); #177093=VERTEX_POINT('',#576826); #177094=VERTEX_POINT('',#576834); #177095=VERTEX_POINT('',#576842); #177096=VERTEX_POINT('',#576845); #177097=VERTEX_POINT('',#576847); #177098=VERTEX_POINT('',#576849); #177099=VERTEX_POINT('',#576852); #177100=VERTEX_POINT('',#576854); #177101=VERTEX_POINT('',#576856); #177102=VERTEX_POINT('',#576860); #177103=VERTEX_POINT('',#576862); #177104=VERTEX_POINT('',#576864); #177105=VERTEX_POINT('',#576866); #177106=VERTEX_POINT('',#576870); #177107=VERTEX_POINT('',#576872); #177108=VERTEX_POINT('',#576876); #177109=VERTEX_POINT('',#576877); #177110=VERTEX_POINT('',#576880); #177111=VERTEX_POINT('',#576886); #177112=VERTEX_POINT('',#576888); #177113=VERTEX_POINT('',#576891); #177114=VERTEX_POINT('',#576893); #177115=VERTEX_POINT('',#576897); #177116=VERTEX_POINT('',#576898); #177117=VERTEX_POINT('',#576900); #177118=VERTEX_POINT('',#576902); #177119=VERTEX_POINT('',#576904); #177120=VERTEX_POINT('',#576906); #177121=VERTEX_POINT('',#576908); #177122=VERTEX_POINT('',#576910); #177123=VERTEX_POINT('',#576913); #177124=VERTEX_POINT('',#576914); #177125=VERTEX_POINT('',#576916); #177126=VERTEX_POINT('',#576918); #177127=VERTEX_POINT('',#576922); #177128=VERTEX_POINT('',#576924); #177129=VERTEX_POINT('',#576928); #177130=VERTEX_POINT('',#576930); #177131=VERTEX_POINT('',#576934); #177132=VERTEX_POINT('',#576936); #177133=VERTEX_POINT('',#576940); #177134=VERTEX_POINT('',#576942); #177135=VERTEX_POINT('',#576946); #177136=VERTEX_POINT('',#576948); #177137=VERTEX_POINT('',#576952); #177138=VERTEX_POINT('',#576953); #177139=VERTEX_POINT('',#576955); #177140=VERTEX_POINT('',#576957); #177141=VERTEX_POINT('',#576961); #177142=VERTEX_POINT('',#576962); #177143=VERTEX_POINT('',#576964); #177144=VERTEX_POINT('',#576966); #177145=VERTEX_POINT('',#576970); #177146=VERTEX_POINT('',#576972); #177147=VERTEX_POINT('',#576979); #177148=VERTEX_POINT('',#576981); #177149=VERTEX_POINT('',#576985); #177150=VERTEX_POINT('',#576987); #177151=VERTEX_POINT('',#577037); #177152=VERTEX_POINT('',#577039); #177153=VERTEX_POINT('',#577047); #177154=VERTEX_POINT('',#577049); #177155=VERTEX_POINT('',#577051); #177156=VERTEX_POINT('',#577054); #177157=VERTEX_POINT('',#577058); #177158=VERTEX_POINT('',#577059); #177159=VERTEX_POINT('',#577061); #177160=VERTEX_POINT('',#577063); #177161=VERTEX_POINT('',#577072); #177162=VERTEX_POINT('',#577074); #177163=VERTEX_POINT('',#577089); #177164=VERTEX_POINT('',#577093); #177165=VERTEX_POINT('',#577095); #177166=VERTEX_POINT('',#577098); #177167=VERTEX_POINT('',#577106); #177168=VERTEX_POINT('',#577108); #177169=VERTEX_POINT('',#577111); #177170=VERTEX_POINT('',#577115); #177171=VERTEX_POINT('',#577118); #177172=VERTEX_POINT('',#577122); #177173=VERTEX_POINT('',#577130); #177174=VERTEX_POINT('',#577134); #177175=VERTEX_POINT('',#577139); #177176=VERTEX_POINT('',#577141); #177177=VERTEX_POINT('',#577204); #177178=VERTEX_POINT('',#577205); #177179=VERTEX_POINT('',#577207); #177180=VERTEX_POINT('',#577210); #177181=VERTEX_POINT('',#577214); #177182=VERTEX_POINT('',#577219); #177183=VERTEX_POINT('',#577221); #177184=VERTEX_POINT('',#577227); #177185=VERTEX_POINT('',#577233); #177186=VERTEX_POINT('',#577235); #177187=VERTEX_POINT('',#577240); #177188=VERTEX_POINT('',#577242); #177189=VERTEX_POINT('',#577244); #177190=VERTEX_POINT('',#577248); #177191=VERTEX_POINT('',#577250); #177192=VERTEX_POINT('',#577252); #177193=VERTEX_POINT('',#577254); #177194=VERTEX_POINT('',#577256); #177195=VERTEX_POINT('',#577258); #177196=VERTEX_POINT('',#577260); #177197=VERTEX_POINT('',#577262); #177198=VERTEX_POINT('',#577264); #177199=VERTEX_POINT('',#577266); #177200=VERTEX_POINT('',#577268); #177201=VERTEX_POINT('',#577270); #177202=VERTEX_POINT('',#577272); #177203=VERTEX_POINT('',#577274); #177204=VERTEX_POINT('',#577278); #177205=VERTEX_POINT('',#577282); #177206=VERTEX_POINT('',#577290); #177207=VERTEX_POINT('',#577294); #177208=VERTEX_POINT('',#577302); #177209=VERTEX_POINT('',#577306); #177210=VERTEX_POINT('',#577310); #177211=VERTEX_POINT('',#577314); #177212=VERTEX_POINT('',#577318); #177213=VERTEX_POINT('',#577322); #177214=VERTEX_POINT('',#577326); #177215=VERTEX_POINT('',#577330); #177216=VERTEX_POINT('',#577334); #177217=VERTEX_POINT('',#577338); #177218=VERTEX_POINT('',#577342); #177219=VERTEX_POINT('',#577346); #177220=VERTEX_POINT('',#577350); #177221=VERTEX_POINT('',#577354); #177222=VERTEX_POINT('',#577360); #177223=VERTEX_POINT('',#577364); #177224=VERTEX_POINT('',#577368); #177225=VERTEX_POINT('',#577372); #177226=VERTEX_POINT('',#577376); #177227=VERTEX_POINT('',#577380); #177228=VERTEX_POINT('',#577384); #177229=VERTEX_POINT('',#577388); #177230=VERTEX_POINT('',#577392); #177231=VERTEX_POINT('',#577396); #177232=VERTEX_POINT('',#577400); #177233=VERTEX_POINT('',#577404); #177234=VERTEX_POINT('',#577408); #177235=VERTEX_POINT('',#577412); #177236=VERTEX_POINT('',#577435); #177237=VERTEX_POINT('',#577436); #177238=VERTEX_POINT('',#577438); #177239=VERTEX_POINT('',#577440); #177240=VERTEX_POINT('',#577444); #177241=VERTEX_POINT('',#577446); #177242=VERTEX_POINT('',#577448); #177243=VERTEX_POINT('',#577450); #177244=VERTEX_POINT('',#577452); #177245=VERTEX_POINT('',#577454); #177246=VERTEX_POINT('',#577456); #177247=VERTEX_POINT('',#577458); #177248=VERTEX_POINT('',#577460); #177249=VERTEX_POINT('',#577462); #177250=VERTEX_POINT('',#577466); #177251=VERTEX_POINT('',#577467); #177252=VERTEX_POINT('',#577469); #177253=VERTEX_POINT('',#577471); #177254=VERTEX_POINT('',#577473); #177255=VERTEX_POINT('',#577475); #177256=VERTEX_POINT('',#577477); #177257=VERTEX_POINT('',#577479); #177258=VERTEX_POINT('',#577481); #177259=VERTEX_POINT('',#577483); #177260=VERTEX_POINT('',#577485); #177261=VERTEX_POINT('',#577487); #177262=VERTEX_POINT('',#577491); #177263=VERTEX_POINT('',#577493); #177264=VERTEX_POINT('',#577497); #177265=VERTEX_POINT('',#577498); #177266=VERTEX_POINT('',#577500); #177267=VERTEX_POINT('',#577503); #177268=VERTEX_POINT('',#577507); #177269=VERTEX_POINT('',#577508); #177270=VERTEX_POINT('',#577513); #177271=VERTEX_POINT('',#577516); #177272=VERTEX_POINT('',#577546); #177273=VERTEX_POINT('',#577547); #177274=VERTEX_POINT('',#577549); #177275=VERTEX_POINT('',#577551); #177276=VERTEX_POINT('',#577555); #177277=VERTEX_POINT('',#577557); #177278=VERTEX_POINT('',#577559); #177279=VERTEX_POINT('',#577561); #177280=VERTEX_POINT('',#577563); #177281=VERTEX_POINT('',#577565); #177282=VERTEX_POINT('',#577567); #177283=VERTEX_POINT('',#577569); #177284=VERTEX_POINT('',#577571); #177285=VERTEX_POINT('',#577573); #177286=VERTEX_POINT('',#577577); #177287=VERTEX_POINT('',#577578); #177288=VERTEX_POINT('',#577580); #177289=VERTEX_POINT('',#577582); #177290=VERTEX_POINT('',#577584); #177291=VERTEX_POINT('',#577586); #177292=VERTEX_POINT('',#577588); #177293=VERTEX_POINT('',#577590); #177294=VERTEX_POINT('',#577592); #177295=VERTEX_POINT('',#577594); #177296=VERTEX_POINT('',#577596); #177297=VERTEX_POINT('',#577598); #177298=VERTEX_POINT('',#577602); #177299=VERTEX_POINT('',#577604); #177300=VERTEX_POINT('',#577608); #177301=VERTEX_POINT('',#577609); #177302=VERTEX_POINT('',#577611); #177303=VERTEX_POINT('',#577614); #177304=VERTEX_POINT('',#577618); #177305=VERTEX_POINT('',#577619); #177306=VERTEX_POINT('',#577624); #177307=VERTEX_POINT('',#577627); #177308=VERTEX_POINT('',#577657); #177309=VERTEX_POINT('',#577658); #177310=VERTEX_POINT('',#577660); #177311=VERTEX_POINT('',#577662); #177312=VERTEX_POINT('',#577666); #177313=VERTEX_POINT('',#577668); #177314=VERTEX_POINT('',#577670); #177315=VERTEX_POINT('',#577672); #177316=VERTEX_POINT('',#577674); #177317=VERTEX_POINT('',#577676); #177318=VERTEX_POINT('',#577678); #177319=VERTEX_POINT('',#577680); #177320=VERTEX_POINT('',#577682); #177321=VERTEX_POINT('',#577684); #177322=VERTEX_POINT('',#577688); #177323=VERTEX_POINT('',#577689); #177324=VERTEX_POINT('',#577691); #177325=VERTEX_POINT('',#577693); #177326=VERTEX_POINT('',#577695); #177327=VERTEX_POINT('',#577697); #177328=VERTEX_POINT('',#577699); #177329=VERTEX_POINT('',#577701); #177330=VERTEX_POINT('',#577703); #177331=VERTEX_POINT('',#577705); #177332=VERTEX_POINT('',#577707); #177333=VERTEX_POINT('',#577709); #177334=VERTEX_POINT('',#577713); #177335=VERTEX_POINT('',#577715); #177336=VERTEX_POINT('',#577719); #177337=VERTEX_POINT('',#577720); #177338=VERTEX_POINT('',#577722); #177339=VERTEX_POINT('',#577725); #177340=VERTEX_POINT('',#577729); #177341=VERTEX_POINT('',#577730); #177342=VERTEX_POINT('',#577735); #177343=VERTEX_POINT('',#577738); #177344=VERTEX_POINT('',#577768); #177345=VERTEX_POINT('',#577769); #177346=VERTEX_POINT('',#577771); #177347=VERTEX_POINT('',#577773); #177348=VERTEX_POINT('',#577777); #177349=VERTEX_POINT('',#577779); #177350=VERTEX_POINT('',#577781); #177351=VERTEX_POINT('',#577783); #177352=VERTEX_POINT('',#577785); #177353=VERTEX_POINT('',#577787); #177354=VERTEX_POINT('',#577789); #177355=VERTEX_POINT('',#577791); #177356=VERTEX_POINT('',#577793); #177357=VERTEX_POINT('',#577795); #177358=VERTEX_POINT('',#577799); #177359=VERTEX_POINT('',#577800); #177360=VERTEX_POINT('',#577802); #177361=VERTEX_POINT('',#577804); #177362=VERTEX_POINT('',#577806); #177363=VERTEX_POINT('',#577808); #177364=VERTEX_POINT('',#577810); #177365=VERTEX_POINT('',#577812); #177366=VERTEX_POINT('',#577814); #177367=VERTEX_POINT('',#577816); #177368=VERTEX_POINT('',#577818); #177369=VERTEX_POINT('',#577820); #177370=VERTEX_POINT('',#577824); #177371=VERTEX_POINT('',#577826); #177372=VERTEX_POINT('',#577830); #177373=VERTEX_POINT('',#577831); #177374=VERTEX_POINT('',#577833); #177375=VERTEX_POINT('',#577836); #177376=VERTEX_POINT('',#577840); #177377=VERTEX_POINT('',#577841); #177378=VERTEX_POINT('',#577846); #177379=VERTEX_POINT('',#577849); #177380=VERTEX_POINT('',#577879); #177381=VERTEX_POINT('',#577880); #177382=VERTEX_POINT('',#577882); #177383=VERTEX_POINT('',#577884); #177384=VERTEX_POINT('',#577888); #177385=VERTEX_POINT('',#577890); #177386=VERTEX_POINT('',#577892); #177387=VERTEX_POINT('',#577894); #177388=VERTEX_POINT('',#577896); #177389=VERTEX_POINT('',#577898); #177390=VERTEX_POINT('',#577900); #177391=VERTEX_POINT('',#577902); #177392=VERTEX_POINT('',#577904); #177393=VERTEX_POINT('',#577906); #177394=VERTEX_POINT('',#577910); #177395=VERTEX_POINT('',#577911); #177396=VERTEX_POINT('',#577913); #177397=VERTEX_POINT('',#577915); #177398=VERTEX_POINT('',#577917); #177399=VERTEX_POINT('',#577919); #177400=VERTEX_POINT('',#577921); #177401=VERTEX_POINT('',#577923); #177402=VERTEX_POINT('',#577925); #177403=VERTEX_POINT('',#577927); #177404=VERTEX_POINT('',#577929); #177405=VERTEX_POINT('',#577931); #177406=VERTEX_POINT('',#577935); #177407=VERTEX_POINT('',#577937); #177408=VERTEX_POINT('',#577941); #177409=VERTEX_POINT('',#577942); #177410=VERTEX_POINT('',#577944); #177411=VERTEX_POINT('',#577947); #177412=VERTEX_POINT('',#577951); #177413=VERTEX_POINT('',#577952); #177414=VERTEX_POINT('',#577957); #177415=VERTEX_POINT('',#577960); #177416=VERTEX_POINT('',#577990); #177417=VERTEX_POINT('',#577991); #177418=VERTEX_POINT('',#577993); #177419=VERTEX_POINT('',#577995); #177420=VERTEX_POINT('',#577999); #177421=VERTEX_POINT('',#578001); #177422=VERTEX_POINT('',#578003); #177423=VERTEX_POINT('',#578005); #177424=VERTEX_POINT('',#578007); #177425=VERTEX_POINT('',#578009); #177426=VERTEX_POINT('',#578011); #177427=VERTEX_POINT('',#578013); #177428=VERTEX_POINT('',#578015); #177429=VERTEX_POINT('',#578017); #177430=VERTEX_POINT('',#578021); #177431=VERTEX_POINT('',#578022); #177432=VERTEX_POINT('',#578024); #177433=VERTEX_POINT('',#578026); #177434=VERTEX_POINT('',#578028); #177435=VERTEX_POINT('',#578030); #177436=VERTEX_POINT('',#578032); #177437=VERTEX_POINT('',#578034); #177438=VERTEX_POINT('',#578036); #177439=VERTEX_POINT('',#578038); #177440=VERTEX_POINT('',#578040); #177441=VERTEX_POINT('',#578042); #177442=VERTEX_POINT('',#578046); #177443=VERTEX_POINT('',#578048); #177444=VERTEX_POINT('',#578052); #177445=VERTEX_POINT('',#578053); #177446=VERTEX_POINT('',#578055); #177447=VERTEX_POINT('',#578058); #177448=VERTEX_POINT('',#578062); #177449=VERTEX_POINT('',#578063); #177450=VERTEX_POINT('',#578068); #177451=VERTEX_POINT('',#578071); #177452=VERTEX_POINT('',#578101); #177453=VERTEX_POINT('',#578102); #177454=VERTEX_POINT('',#578104); #177455=VERTEX_POINT('',#578106); #177456=VERTEX_POINT('',#578110); #177457=VERTEX_POINT('',#578112); #177458=VERTEX_POINT('',#578114); #177459=VERTEX_POINT('',#578116); #177460=VERTEX_POINT('',#578118); #177461=VERTEX_POINT('',#578120); #177462=VERTEX_POINT('',#578122); #177463=VERTEX_POINT('',#578124); #177464=VERTEX_POINT('',#578126); #177465=VERTEX_POINT('',#578128); #177466=VERTEX_POINT('',#578132); #177467=VERTEX_POINT('',#578133); #177468=VERTEX_POINT('',#578135); #177469=VERTEX_POINT('',#578137); #177470=VERTEX_POINT('',#578139); #177471=VERTEX_POINT('',#578141); #177472=VERTEX_POINT('',#578143); #177473=VERTEX_POINT('',#578145); #177474=VERTEX_POINT('',#578147); #177475=VERTEX_POINT('',#578149); #177476=VERTEX_POINT('',#578151); #177477=VERTEX_POINT('',#578153); #177478=VERTEX_POINT('',#578157); #177479=VERTEX_POINT('',#578159); #177480=VERTEX_POINT('',#578163); #177481=VERTEX_POINT('',#578164); #177482=VERTEX_POINT('',#578166); #177483=VERTEX_POINT('',#578169); #177484=VERTEX_POINT('',#578173); #177485=VERTEX_POINT('',#578174); #177486=VERTEX_POINT('',#578179); #177487=VERTEX_POINT('',#578182); #177488=VERTEX_POINT('',#578212); #177489=VERTEX_POINT('',#578213); #177490=VERTEX_POINT('',#578215); #177491=VERTEX_POINT('',#578217); #177492=VERTEX_POINT('',#578221); #177493=VERTEX_POINT('',#578223); #177494=VERTEX_POINT('',#578225); #177495=VERTEX_POINT('',#578227); #177496=VERTEX_POINT('',#578229); #177497=VERTEX_POINT('',#578231); #177498=VERTEX_POINT('',#578233); #177499=VERTEX_POINT('',#578235); #177500=VERTEX_POINT('',#578237); #177501=VERTEX_POINT('',#578239); #177502=VERTEX_POINT('',#578243); #177503=VERTEX_POINT('',#578244); #177504=VERTEX_POINT('',#578246); #177505=VERTEX_POINT('',#578248); #177506=VERTEX_POINT('',#578250); #177507=VERTEX_POINT('',#578252); #177508=VERTEX_POINT('',#578254); #177509=VERTEX_POINT('',#578256); #177510=VERTEX_POINT('',#578258); #177511=VERTEX_POINT('',#578260); #177512=VERTEX_POINT('',#578262); #177513=VERTEX_POINT('',#578264); #177514=VERTEX_POINT('',#578268); #177515=VERTEX_POINT('',#578270); #177516=VERTEX_POINT('',#578274); #177517=VERTEX_POINT('',#578275); #177518=VERTEX_POINT('',#578277); #177519=VERTEX_POINT('',#578280); #177520=VERTEX_POINT('',#578284); #177521=VERTEX_POINT('',#578285); #177522=VERTEX_POINT('',#578290); #177523=VERTEX_POINT('',#578292); #177524=VERTEX_POINT('',#578323); #177525=VERTEX_POINT('',#578324); #177526=VERTEX_POINT('',#578326); #177527=VERTEX_POINT('',#578328); #177528=VERTEX_POINT('',#578332); #177529=VERTEX_POINT('',#578334); #177530=VERTEX_POINT('',#578336); #177531=VERTEX_POINT('',#578338); #177532=VERTEX_POINT('',#578340); #177533=VERTEX_POINT('',#578342); #177534=VERTEX_POINT('',#578344); #177535=VERTEX_POINT('',#578346); #177536=VERTEX_POINT('',#578348); #177537=VERTEX_POINT('',#578350); #177538=VERTEX_POINT('',#578354); #177539=VERTEX_POINT('',#578355); #177540=VERTEX_POINT('',#578357); #177541=VERTEX_POINT('',#578359); #177542=VERTEX_POINT('',#578361); #177543=VERTEX_POINT('',#578363); #177544=VERTEX_POINT('',#578365); #177545=VERTEX_POINT('',#578367); #177546=VERTEX_POINT('',#578369); #177547=VERTEX_POINT('',#578371); #177548=VERTEX_POINT('',#578373); #177549=VERTEX_POINT('',#578375); #177550=VERTEX_POINT('',#578379); #177551=VERTEX_POINT('',#578381); #177552=VERTEX_POINT('',#578385); #177553=VERTEX_POINT('',#578386); #177554=VERTEX_POINT('',#578388); #177555=VERTEX_POINT('',#578391); #177556=VERTEX_POINT('',#578395); #177557=VERTEX_POINT('',#578396); #177558=VERTEX_POINT('',#578401); #177559=VERTEX_POINT('',#578403); #177560=VERTEX_POINT('',#578434); #177561=VERTEX_POINT('',#578435); #177562=VERTEX_POINT('',#578437); #177563=VERTEX_POINT('',#578439); #177564=VERTEX_POINT('',#578443); #177565=VERTEX_POINT('',#578445); #177566=VERTEX_POINT('',#578447); #177567=VERTEX_POINT('',#578449); #177568=VERTEX_POINT('',#578451); #177569=VERTEX_POINT('',#578453); #177570=VERTEX_POINT('',#578455); #177571=VERTEX_POINT('',#578457); #177572=VERTEX_POINT('',#578459); #177573=VERTEX_POINT('',#578461); #177574=VERTEX_POINT('',#578465); #177575=VERTEX_POINT('',#578466); #177576=VERTEX_POINT('',#578468); #177577=VERTEX_POINT('',#578470); #177578=VERTEX_POINT('',#578472); #177579=VERTEX_POINT('',#578474); #177580=VERTEX_POINT('',#578476); #177581=VERTEX_POINT('',#578478); #177582=VERTEX_POINT('',#578480); #177583=VERTEX_POINT('',#578482); #177584=VERTEX_POINT('',#578484); #177585=VERTEX_POINT('',#578486); #177586=VERTEX_POINT('',#578490); #177587=VERTEX_POINT('',#578492); #177588=VERTEX_POINT('',#578496); #177589=VERTEX_POINT('',#578497); #177590=VERTEX_POINT('',#578499); #177591=VERTEX_POINT('',#578502); #177592=VERTEX_POINT('',#578506); #177593=VERTEX_POINT('',#578507); #177594=VERTEX_POINT('',#578512); #177595=VERTEX_POINT('',#578514); #177596=VERTEX_POINT('',#578545); #177597=VERTEX_POINT('',#578546); #177598=VERTEX_POINT('',#578548); #177599=VERTEX_POINT('',#578550); #177600=VERTEX_POINT('',#578554); #177601=VERTEX_POINT('',#578556); #177602=VERTEX_POINT('',#578558); #177603=VERTEX_POINT('',#578560); #177604=VERTEX_POINT('',#578562); #177605=VERTEX_POINT('',#578564); #177606=VERTEX_POINT('',#578566); #177607=VERTEX_POINT('',#578568); #177608=VERTEX_POINT('',#578570); #177609=VERTEX_POINT('',#578572); #177610=VERTEX_POINT('',#578576); #177611=VERTEX_POINT('',#578577); #177612=VERTEX_POINT('',#578579); #177613=VERTEX_POINT('',#578581); #177614=VERTEX_POINT('',#578583); #177615=VERTEX_POINT('',#578585); #177616=VERTEX_POINT('',#578587); #177617=VERTEX_POINT('',#578589); #177618=VERTEX_POINT('',#578591); #177619=VERTEX_POINT('',#578593); #177620=VERTEX_POINT('',#578595); #177621=VERTEX_POINT('',#578597); #177622=VERTEX_POINT('',#578601); #177623=VERTEX_POINT('',#578603); #177624=VERTEX_POINT('',#578607); #177625=VERTEX_POINT('',#578608); #177626=VERTEX_POINT('',#578610); #177627=VERTEX_POINT('',#578613); #177628=VERTEX_POINT('',#578617); #177629=VERTEX_POINT('',#578618); #177630=VERTEX_POINT('',#578623); #177631=VERTEX_POINT('',#578625); #177632=VERTEX_POINT('',#578656); #177633=VERTEX_POINT('',#578657); #177634=VERTEX_POINT('',#578659); #177635=VERTEX_POINT('',#578661); #177636=VERTEX_POINT('',#578665); #177637=VERTEX_POINT('',#578667); #177638=VERTEX_POINT('',#578669); #177639=VERTEX_POINT('',#578671); #177640=VERTEX_POINT('',#578673); #177641=VERTEX_POINT('',#578675); #177642=VERTEX_POINT('',#578677); #177643=VERTEX_POINT('',#578679); #177644=VERTEX_POINT('',#578681); #177645=VERTEX_POINT('',#578683); #177646=VERTEX_POINT('',#578687); #177647=VERTEX_POINT('',#578688); #177648=VERTEX_POINT('',#578690); #177649=VERTEX_POINT('',#578692); #177650=VERTEX_POINT('',#578694); #177651=VERTEX_POINT('',#578696); #177652=VERTEX_POINT('',#578698); #177653=VERTEX_POINT('',#578700); #177654=VERTEX_POINT('',#578702); #177655=VERTEX_POINT('',#578704); #177656=VERTEX_POINT('',#578706); #177657=VERTEX_POINT('',#578708); #177658=VERTEX_POINT('',#578712); #177659=VERTEX_POINT('',#578714); #177660=VERTEX_POINT('',#578718); #177661=VERTEX_POINT('',#578719); #177662=VERTEX_POINT('',#578721); #177663=VERTEX_POINT('',#578724); #177664=VERTEX_POINT('',#578728); #177665=VERTEX_POINT('',#578729); #177666=VERTEX_POINT('',#578734); #177667=VERTEX_POINT('',#578736); #177668=VERTEX_POINT('',#578767); #177669=VERTEX_POINT('',#578768); #177670=VERTEX_POINT('',#578770); #177671=VERTEX_POINT('',#578772); #177672=VERTEX_POINT('',#578776); #177673=VERTEX_POINT('',#578778); #177674=VERTEX_POINT('',#578780); #177675=VERTEX_POINT('',#578782); #177676=VERTEX_POINT('',#578784); #177677=VERTEX_POINT('',#578786); #177678=VERTEX_POINT('',#578788); #177679=VERTEX_POINT('',#578790); #177680=VERTEX_POINT('',#578792); #177681=VERTEX_POINT('',#578794); #177682=VERTEX_POINT('',#578798); #177683=VERTEX_POINT('',#578799); #177684=VERTEX_POINT('',#578801); #177685=VERTEX_POINT('',#578803); #177686=VERTEX_POINT('',#578805); #177687=VERTEX_POINT('',#578807); #177688=VERTEX_POINT('',#578809); #177689=VERTEX_POINT('',#578811); #177690=VERTEX_POINT('',#578813); #177691=VERTEX_POINT('',#578815); #177692=VERTEX_POINT('',#578817); #177693=VERTEX_POINT('',#578819); #177694=VERTEX_POINT('',#578823); #177695=VERTEX_POINT('',#578825); #177696=VERTEX_POINT('',#578829); #177697=VERTEX_POINT('',#578830); #177698=VERTEX_POINT('',#578832); #177699=VERTEX_POINT('',#578835); #177700=VERTEX_POINT('',#578839); #177701=VERTEX_POINT('',#578840); #177702=VERTEX_POINT('',#578845); #177703=VERTEX_POINT('',#578847); #177704=VERTEX_POINT('',#578878); #177705=VERTEX_POINT('',#578879); #177706=VERTEX_POINT('',#578881); #177707=VERTEX_POINT('',#578883); #177708=VERTEX_POINT('',#578887); #177709=VERTEX_POINT('',#578889); #177710=VERTEX_POINT('',#578891); #177711=VERTEX_POINT('',#578893); #177712=VERTEX_POINT('',#578895); #177713=VERTEX_POINT('',#578897); #177714=VERTEX_POINT('',#578899); #177715=VERTEX_POINT('',#578901); #177716=VERTEX_POINT('',#578903); #177717=VERTEX_POINT('',#578905); #177718=VERTEX_POINT('',#578909); #177719=VERTEX_POINT('',#578910); #177720=VERTEX_POINT('',#578912); #177721=VERTEX_POINT('',#578914); #177722=VERTEX_POINT('',#578916); #177723=VERTEX_POINT('',#578918); #177724=VERTEX_POINT('',#578920); #177725=VERTEX_POINT('',#578922); #177726=VERTEX_POINT('',#578924); #177727=VERTEX_POINT('',#578926); #177728=VERTEX_POINT('',#578928); #177729=VERTEX_POINT('',#578930); #177730=VERTEX_POINT('',#578934); #177731=VERTEX_POINT('',#578936); #177732=VERTEX_POINT('',#578940); #177733=VERTEX_POINT('',#578941); #177734=VERTEX_POINT('',#578943); #177735=VERTEX_POINT('',#578946); #177736=VERTEX_POINT('',#578950); #177737=VERTEX_POINT('',#578951); #177738=VERTEX_POINT('',#578956); #177739=VERTEX_POINT('',#578958); #177740=VERTEX_POINT('',#578989); #177741=VERTEX_POINT('',#578990); #177742=VERTEX_POINT('',#578992); #177743=VERTEX_POINT('',#578994); #177744=VERTEX_POINT('',#578998); #177745=VERTEX_POINT('',#579000); #177746=VERTEX_POINT('',#579004); #177747=VERTEX_POINT('',#579006); #177748=VERTEX_POINT('',#579010); #177749=VERTEX_POINT('',#579012); #177750=VERTEX_POINT('',#579016); #177751=VERTEX_POINT('',#579018); #177752=VERTEX_POINT('',#579022); #177753=VERTEX_POINT('',#579024); #177754=VERTEX_POINT('',#579028); #177755=VERTEX_POINT('',#579030); #177756=VERTEX_POINT('',#579034); #177757=VERTEX_POINT('',#579036); #177758=VERTEX_POINT('',#579040); #177759=VERTEX_POINT('',#579042); #177760=VERTEX_POINT('',#579046); #177761=VERTEX_POINT('',#579048); #177762=VERTEX_POINT('',#579052); #177763=VERTEX_POINT('',#579054); #177764=VERTEX_POINT('',#579058); #177765=VERTEX_POINT('',#579060); #177766=VERTEX_POINT('',#579064); #177767=VERTEX_POINT('',#579066); #177768=VERTEX_POINT('',#579070); #177769=VERTEX_POINT('',#579072); #177770=VERTEX_POINT('',#579076); #177771=VERTEX_POINT('',#579078); #177772=VERTEX_POINT('',#579082); #177773=VERTEX_POINT('',#579084); #177774=VERTEX_POINT('',#579088); #177775=VERTEX_POINT('',#579090); #177776=VERTEX_POINT('',#579094); #177777=VERTEX_POINT('',#579096); #177778=VERTEX_POINT('',#579100); #177779=VERTEX_POINT('',#579102); #177780=VERTEX_POINT('',#579106); #177781=VERTEX_POINT('',#579108); #177782=VERTEX_POINT('',#579112); #177783=VERTEX_POINT('',#579114); #177784=VERTEX_POINT('',#579118); #177785=VERTEX_POINT('',#579120); #177786=VERTEX_POINT('',#579124); #177787=VERTEX_POINT('',#579126); #177788=VERTEX_POINT('',#579130); #177789=VERTEX_POINT('',#579132); #177790=VERTEX_POINT('',#579136); #177791=VERTEX_POINT('',#579138); #177792=VERTEX_POINT('',#579142); #177793=VERTEX_POINT('',#579144); #177794=VERTEX_POINT('',#579148); #177795=VERTEX_POINT('',#579150); #177796=VERTEX_POINT('',#579154); #177797=VERTEX_POINT('',#579156); #177798=VERTEX_POINT('',#579160); #177799=VERTEX_POINT('',#579162); #177800=VERTEX_POINT('',#579166); #177801=VERTEX_POINT('',#579168); #177802=VERTEX_POINT('',#579172); #177803=VERTEX_POINT('',#579174); #177804=VERTEX_POINT('',#579178); #177805=VERTEX_POINT('',#579180); #177806=VERTEX_POINT('',#579184); #177807=VERTEX_POINT('',#579186); #177808=VERTEX_POINT('',#579190); #177809=VERTEX_POINT('',#579192); #177810=VERTEX_POINT('',#579196); #177811=VERTEX_POINT('',#579198); #177812=VERTEX_POINT('',#579208); #177813=VERTEX_POINT('',#579209); #177814=VERTEX_POINT('',#579211); #177815=VERTEX_POINT('',#579213); #177816=VERTEX_POINT('',#579217); #177817=VERTEX_POINT('',#579218); #177818=VERTEX_POINT('',#579220); #177819=VERTEX_POINT('',#579222); #177820=VERTEX_POINT('',#579226); #177821=VERTEX_POINT('',#579227); #177822=VERTEX_POINT('',#579229); #177823=VERTEX_POINT('',#579231); #177824=VERTEX_POINT('',#579233); #177825=VERTEX_POINT('',#579235); #177826=VERTEX_POINT('',#579237); #177827=VERTEX_POINT('',#579239); #177828=VERTEX_POINT('',#579241); #177829=VERTEX_POINT('',#579243); #177830=VERTEX_POINT('',#579245); #177831=VERTEX_POINT('',#579247); #177832=VERTEX_POINT('',#579249); #177833=VERTEX_POINT('',#579251); #177834=VERTEX_POINT('',#579253); #177835=VERTEX_POINT('',#579255); #177836=VERTEX_POINT('',#579257); #177837=VERTEX_POINT('',#579259); #177838=VERTEX_POINT('',#579261); #177839=VERTEX_POINT('',#579263); #177840=VERTEX_POINT('',#579265); #177841=VERTEX_POINT('',#579267); #177842=VERTEX_POINT('',#579269); #177843=VERTEX_POINT('',#579271); #177844=VERTEX_POINT('',#579273); #177845=VERTEX_POINT('',#579275); #177846=VERTEX_POINT('',#579277); #177847=VERTEX_POINT('',#579279); #177848=VERTEX_POINT('',#579281); #177849=VERTEX_POINT('',#579283); #177850=VERTEX_POINT('',#579285); #177851=VERTEX_POINT('',#579287); #177852=VERTEX_POINT('',#579289); #177853=VERTEX_POINT('',#579291); #177854=VERTEX_POINT('',#579293); #177855=VERTEX_POINT('',#579295); #177856=VERTEX_POINT('',#579297); #177857=VERTEX_POINT('',#579299); #177858=VERTEX_POINT('',#579301); #177859=VERTEX_POINT('',#579304); #177860=VERTEX_POINT('',#579305); #177861=VERTEX_POINT('',#579307); #177862=VERTEX_POINT('',#579309); #177863=VERTEX_POINT('',#579311); #177864=VERTEX_POINT('',#579313); #177865=VERTEX_POINT('',#579315); #177866=VERTEX_POINT('',#579317); #177867=VERTEX_POINT('',#579321); #177868=VERTEX_POINT('',#579322); #177869=VERTEX_POINT('',#579324); #177870=VERTEX_POINT('',#579326); #177871=VERTEX_POINT('',#579328); #177872=VERTEX_POINT('',#579330); #177873=VERTEX_POINT('',#579332); #177874=VERTEX_POINT('',#579334); #177875=VERTEX_POINT('',#579336); #177876=VERTEX_POINT('',#579338); #177877=VERTEX_POINT('',#579340); #177878=VERTEX_POINT('',#579342); #177879=VERTEX_POINT('',#579344); #177880=VERTEX_POINT('',#579346); #177881=VERTEX_POINT('',#579348); #177882=VERTEX_POINT('',#579350); #177883=VERTEX_POINT('',#579352); #177884=VERTEX_POINT('',#579354); #177885=VERTEX_POINT('',#579356); #177886=VERTEX_POINT('',#579358); #177887=VERTEX_POINT('',#579360); #177888=VERTEX_POINT('',#579362); #177889=VERTEX_POINT('',#579364); #177890=VERTEX_POINT('',#579366); #177891=VERTEX_POINT('',#579368); #177892=VERTEX_POINT('',#579370); #177893=VERTEX_POINT('',#579372); #177894=VERTEX_POINT('',#579374); #177895=VERTEX_POINT('',#579376); #177896=VERTEX_POINT('',#579378); #177897=VERTEX_POINT('',#579380); #177898=VERTEX_POINT('',#579382); #177899=VERTEX_POINT('',#579384); #177900=VERTEX_POINT('',#579386); #177901=VERTEX_POINT('',#579388); #177902=VERTEX_POINT('',#579390); #177903=VERTEX_POINT('',#579392); #177904=VERTEX_POINT('',#579394); #177905=VERTEX_POINT('',#579396); #177906=VERTEX_POINT('',#579399); #177907=VERTEX_POINT('',#579400); #177908=VERTEX_POINT('',#579402); #177909=VERTEX_POINT('',#579404); #177910=VERTEX_POINT('',#579406); #177911=VERTEX_POINT('',#579408); #177912=VERTEX_POINT('',#579410); #177913=VERTEX_POINT('',#579412); #177914=VERTEX_POINT('',#579420); #177915=VERTEX_POINT('',#579424); #177916=VERTEX_POINT('',#579425); #177917=VERTEX_POINT('',#579427); #177918=VERTEX_POINT('',#579429); #177919=VERTEX_POINT('',#579431); #177920=VERTEX_POINT('',#579435); #177921=VERTEX_POINT('',#579436); #177922=VERTEX_POINT('',#579438); #177923=VERTEX_POINT('',#579440); #177924=VERTEX_POINT('',#579442); #177925=VERTEX_POINT('',#579446); #177926=VERTEX_POINT('',#579447); #177927=VERTEX_POINT('',#579450); #177928=VERTEX_POINT('',#579452); #177929=VERTEX_POINT('',#579455); #177930=VERTEX_POINT('',#579457); #177931=VERTEX_POINT('',#579459); #177932=VERTEX_POINT('',#579461); #177933=VERTEX_POINT('',#579464); #177934=VERTEX_POINT('',#579465); #177935=VERTEX_POINT('',#579467); #177936=VERTEX_POINT('',#579469); #177937=VERTEX_POINT('',#579471); #177938=VERTEX_POINT('',#579473); #177939=VERTEX_POINT('',#579475); #177940=VERTEX_POINT('',#579477); #177941=VERTEX_POINT('',#579480); #177942=VERTEX_POINT('',#579481); #177943=VERTEX_POINT('',#579483); #177944=VERTEX_POINT('',#579485); #177945=VERTEX_POINT('',#579487); #177946=VERTEX_POINT('',#579489); #177947=VERTEX_POINT('',#579491); #177948=VERTEX_POINT('',#579493); #177949=VERTEX_POINT('',#579497); #177950=VERTEX_POINT('',#579498); #177951=VERTEX_POINT('',#579500); #177952=VERTEX_POINT('',#579502); #177953=VERTEX_POINT('',#579504); #177954=VERTEX_POINT('',#579506); #177955=VERTEX_POINT('',#579508); #177956=VERTEX_POINT('',#579510); #177957=VERTEX_POINT('',#579512); #177958=VERTEX_POINT('',#579514); #177959=VERTEX_POINT('',#579516); #177960=VERTEX_POINT('',#579518); #177961=VERTEX_POINT('',#579520); #177962=VERTEX_POINT('',#579522); #177963=VERTEX_POINT('',#579524); #177964=VERTEX_POINT('',#579526); #177965=VERTEX_POINT('',#579528); #177966=VERTEX_POINT('',#579530); #177967=VERTEX_POINT('',#579532); #177968=VERTEX_POINT('',#579534); #177969=VERTEX_POINT('',#579536); #177970=VERTEX_POINT('',#579538); #177971=VERTEX_POINT('',#579540); #177972=VERTEX_POINT('',#579542); #177973=VERTEX_POINT('',#579544); #177974=VERTEX_POINT('',#579546); #177975=VERTEX_POINT('',#579548); #177976=VERTEX_POINT('',#579550); #177977=VERTEX_POINT('',#579552); #177978=VERTEX_POINT('',#579554); #177979=VERTEX_POINT('',#579556); #177980=VERTEX_POINT('',#579558); #177981=VERTEX_POINT('',#579560); #177982=VERTEX_POINT('',#579562); #177983=VERTEX_POINT('',#579564); #177984=VERTEX_POINT('',#579566); #177985=VERTEX_POINT('',#579568); #177986=VERTEX_POINT('',#579570); #177987=VERTEX_POINT('',#579572); #177988=VERTEX_POINT('',#579574); #177989=VERTEX_POINT('',#579576); #177990=VERTEX_POINT('',#579578); #177991=VERTEX_POINT('',#579581); #177992=VERTEX_POINT('',#579582); #177993=VERTEX_POINT('',#579584); #177994=VERTEX_POINT('',#579586); #177995=VERTEX_POINT('',#579590); #177996=VERTEX_POINT('',#579591); #177997=VERTEX_POINT('',#579593); #177998=VERTEX_POINT('',#579595); #177999=VERTEX_POINT('',#579597); #178000=VERTEX_POINT('',#579599); #178001=VERTEX_POINT('',#579601); #178002=VERTEX_POINT('',#579603); #178003=VERTEX_POINT('',#579607); #178004=VERTEX_POINT('',#579608); #178005=VERTEX_POINT('',#579611); #178006=VERTEX_POINT('',#579613); #178007=VERTEX_POINT('',#579615); #178008=VERTEX_POINT('',#579617); #178009=VERTEX_POINT('',#579619); #178010=VERTEX_POINT('',#579621); #178011=VERTEX_POINT('',#579623); #178012=VERTEX_POINT('',#579625); #178013=VERTEX_POINT('',#579627); #178014=VERTEX_POINT('',#579629); #178015=VERTEX_POINT('',#579631); #178016=VERTEX_POINT('',#579633); #178017=VERTEX_POINT('',#579635); #178018=VERTEX_POINT('',#579637); #178019=VERTEX_POINT('',#579639); #178020=VERTEX_POINT('',#579641); #178021=VERTEX_POINT('',#579643); #178022=VERTEX_POINT('',#579645); #178023=VERTEX_POINT('',#579647); #178024=VERTEX_POINT('',#579649); #178025=VERTEX_POINT('',#579651); #178026=VERTEX_POINT('',#579653); #178027=VERTEX_POINT('',#579655); #178028=VERTEX_POINT('',#579657); #178029=VERTEX_POINT('',#579659); #178030=VERTEX_POINT('',#579662); #178031=VERTEX_POINT('',#579664); #178032=VERTEX_POINT('',#579666); #178033=VERTEX_POINT('',#579668); #178034=VERTEX_POINT('',#579670); #178035=VERTEX_POINT('',#579672); #178036=VERTEX_POINT('',#579676); #178037=VERTEX_POINT('',#579677); #178038=VERTEX_POINT('',#579679); #178039=VERTEX_POINT('',#579681); #178040=VERTEX_POINT('',#579683); #178041=VERTEX_POINT('',#579685); #178042=VERTEX_POINT('',#579687); #178043=VERTEX_POINT('',#579689); #178044=VERTEX_POINT('',#579693); #178045=VERTEX_POINT('',#579695); #178046=VERTEX_POINT('',#579699); #178047=VERTEX_POINT('',#579701); #178048=VERTEX_POINT('',#579705); #178049=VERTEX_POINT('',#579707); #178050=VERTEX_POINT('',#579711); #178051=VERTEX_POINT('',#579713); #178052=VERTEX_POINT('',#579717); #178053=VERTEX_POINT('',#579718); #178054=VERTEX_POINT('',#579720); #178055=VERTEX_POINT('',#579722); #178056=VERTEX_POINT('',#579724); #178057=VERTEX_POINT('',#579726); #178058=VERTEX_POINT('',#579730); #178059=VERTEX_POINT('',#579732); #178060=VERTEX_POINT('',#579734); #178061=VERTEX_POINT('',#579739); #178062=VERTEX_POINT('',#579740); #178063=VERTEX_POINT('',#579742); #178064=VERTEX_POINT('',#579744); #178065=VERTEX_POINT('',#579746); #178066=VERTEX_POINT('',#579748); #178067=VERTEX_POINT('',#579750); #178068=VERTEX_POINT('',#579752); #178069=VERTEX_POINT('',#579754); #178070=VERTEX_POINT('',#579756); #178071=VERTEX_POINT('',#579758); #178072=VERTEX_POINT('',#579760); #178073=VERTEX_POINT('',#579762); #178074=VERTEX_POINT('',#579764); #178075=VERTEX_POINT('',#579766); #178076=VERTEX_POINT('',#579768); #178077=VERTEX_POINT('',#579770); #178078=VERTEX_POINT('',#579772); #178079=VERTEX_POINT('',#579774); #178080=VERTEX_POINT('',#579776); #178081=VERTEX_POINT('',#579778); #178082=VERTEX_POINT('',#579780); #178083=VERTEX_POINT('',#579782); #178084=VERTEX_POINT('',#579784); #178085=VERTEX_POINT('',#579786); #178086=VERTEX_POINT('',#579788); #178087=VERTEX_POINT('',#579790); #178088=VERTEX_POINT('',#579792); #178089=VERTEX_POINT('',#579794); #178090=VERTEX_POINT('',#579796); #178091=VERTEX_POINT('',#579798); #178092=VERTEX_POINT('',#579800); #178093=VERTEX_POINT('',#579802); #178094=VERTEX_POINT('',#579804); #178095=VERTEX_POINT('',#579806); #178096=VERTEX_POINT('',#579808); #178097=VERTEX_POINT('',#579810); #178098=VERTEX_POINT('',#579812); #178099=VERTEX_POINT('',#579814); #178100=VERTEX_POINT('',#579816); #178101=VERTEX_POINT('',#579818); #178102=VERTEX_POINT('',#579820); #178103=VERTEX_POINT('',#579823); #178104=VERTEX_POINT('',#579824); #178105=VERTEX_POINT('',#579826); #178106=VERTEX_POINT('',#579828); #178107=VERTEX_POINT('',#579832); #178108=VERTEX_POINT('',#579843); #178109=VERTEX_POINT('',#579845); #178110=VERTEX_POINT('',#579849); #178111=VERTEX_POINT('',#579851); #178112=VERTEX_POINT('',#579855); #178113=VERTEX_POINT('',#579856); #178114=VERTEX_POINT('',#579858); #178115=VERTEX_POINT('',#579860); #178116=VERTEX_POINT('',#579862); #178117=VERTEX_POINT('',#579864); #178118=VERTEX_POINT('',#579866); #178119=VERTEX_POINT('',#579868); #178120=VERTEX_POINT('',#579870); #178121=VERTEX_POINT('',#579872); #178122=VERTEX_POINT('',#579874); #178123=VERTEX_POINT('',#579876); #178124=VERTEX_POINT('',#579878); #178125=VERTEX_POINT('',#579880); #178126=VERTEX_POINT('',#579882); #178127=VERTEX_POINT('',#579884); #178128=VERTEX_POINT('',#579886); #178129=VERTEX_POINT('',#579888); #178130=VERTEX_POINT('',#579890); #178131=VERTEX_POINT('',#579892); #178132=VERTEX_POINT('',#579894); #178133=VERTEX_POINT('',#579896); #178134=VERTEX_POINT('',#579898); #178135=VERTEX_POINT('',#579900); #178136=VERTEX_POINT('',#579902); #178137=VERTEX_POINT('',#579904); #178138=VERTEX_POINT('',#579906); #178139=VERTEX_POINT('',#579908); #178140=VERTEX_POINT('',#579910); #178141=VERTEX_POINT('',#579912); #178142=VERTEX_POINT('',#579914); #178143=VERTEX_POINT('',#579916); #178144=VERTEX_POINT('',#579918); #178145=VERTEX_POINT('',#579920); #178146=VERTEX_POINT('',#579922); #178147=VERTEX_POINT('',#579924); #178148=VERTEX_POINT('',#579926); #178149=VERTEX_POINT('',#579928); #178150=VERTEX_POINT('',#579930); #178151=VERTEX_POINT('',#579933); #178152=VERTEX_POINT('',#579934); #178153=VERTEX_POINT('',#579936); #178154=VERTEX_POINT('',#579938); #178155=VERTEX_POINT('',#579940); #178156=VERTEX_POINT('',#579942); #178157=VERTEX_POINT('',#579946); #178158=VERTEX_POINT('',#579948); #178159=VERTEX_POINT('',#579950); #178160=VERTEX_POINT('',#579952); #178161=VERTEX_POINT('',#579956); #178162=VERTEX_POINT('',#579958); #178163=VERTEX_POINT('',#579961); #178164=VERTEX_POINT('',#579963); #178165=VERTEX_POINT('',#579965); #178166=VERTEX_POINT('',#579967); #178167=VERTEX_POINT('',#579969); #178168=VERTEX_POINT('',#579971); #178169=VERTEX_POINT('',#579974); #178170=VERTEX_POINT('',#579976); #178171=VERTEX_POINT('',#579978); #178172=VERTEX_POINT('',#579980); #178173=VERTEX_POINT('',#579982); #178174=VERTEX_POINT('',#579984); #178175=VERTEX_POINT('',#579986); #178176=VERTEX_POINT('',#579988); #178177=VERTEX_POINT('',#579990); #178178=VERTEX_POINT('',#579992); #178179=VERTEX_POINT('',#579994); #178180=VERTEX_POINT('',#579996); #178181=VERTEX_POINT('',#579998); #178182=VERTEX_POINT('',#580000); #178183=VERTEX_POINT('',#580002); #178184=VERTEX_POINT('',#580004); #178185=VERTEX_POINT('',#580006); #178186=VERTEX_POINT('',#580008); #178187=VERTEX_POINT('',#580010); #178188=VERTEX_POINT('',#580012); #178189=VERTEX_POINT('',#580014); #178190=VERTEX_POINT('',#580016); #178191=VERTEX_POINT('',#580018); #178192=VERTEX_POINT('',#580020); #178193=VERTEX_POINT('',#580022); #178194=VERTEX_POINT('',#580025); #178195=VERTEX_POINT('',#580027); #178196=VERTEX_POINT('',#580030); #178197=VERTEX_POINT('',#580032); #178198=VERTEX_POINT('',#580039); #178199=VERTEX_POINT('',#580045); #178200=VERTEX_POINT('',#580058); #178201=VERTEX_POINT('',#580060); #178202=VERTEX_POINT('',#580064); #178203=VERTEX_POINT('',#580069); #178204=VERTEX_POINT('',#580071); #178205=VERTEX_POINT('',#580073); #178206=VERTEX_POINT('',#580096); #178207=VERTEX_POINT('',#580100); #178208=VERTEX_POINT('',#580103); #178209=VERTEX_POINT('',#580107); #178210=VERTEX_POINT('',#580111); #178211=VERTEX_POINT('',#580113); #178212=VERTEX_POINT('',#580115); #178213=VERTEX_POINT('',#580117); #178214=VERTEX_POINT('',#580119); #178215=VERTEX_POINT('',#580121); #178216=VERTEX_POINT('',#580123); #178217=VERTEX_POINT('',#580125); #178218=VERTEX_POINT('',#580128); #178219=VERTEX_POINT('',#580130); #178220=VERTEX_POINT('',#580132); #178221=VERTEX_POINT('',#580140); #178222=VERTEX_POINT('',#580146); #178223=VERTEX_POINT('',#580160); #178224=VERTEX_POINT('',#580162); #178225=VERTEX_POINT('',#580164); #178226=VERTEX_POINT('',#580166); #178227=VERTEX_POINT('',#580168); #178228=VERTEX_POINT('',#580170); #178229=VERTEX_POINT('',#580172); #178230=VERTEX_POINT('',#580174); #178231=VERTEX_POINT('',#580176); #178232=VERTEX_POINT('',#580178); #178233=VERTEX_POINT('',#580180); #178234=VERTEX_POINT('',#580182); #178235=VERTEX_POINT('',#580184); #178236=VERTEX_POINT('',#580186); #178237=VERTEX_POINT('',#580189); #178238=VERTEX_POINT('',#580191); #178239=VERTEX_POINT('',#580193); #178240=VERTEX_POINT('',#580195); #178241=VERTEX_POINT('',#580197); #178242=VERTEX_POINT('',#580199); #178243=VERTEX_POINT('',#580201); #178244=VERTEX_POINT('',#580203); #178245=VERTEX_POINT('',#580205); #178246=VERTEX_POINT('',#580207); #178247=VERTEX_POINT('',#580209); #178248=VERTEX_POINT('',#580211); #178249=VERTEX_POINT('',#580213); #178250=VERTEX_POINT('',#580215); #178251=VERTEX_POINT('',#580217); #178252=VERTEX_POINT('',#580219); #178253=VERTEX_POINT('',#580221); #178254=VERTEX_POINT('',#580223); #178255=VERTEX_POINT('',#580226); #178256=VERTEX_POINT('',#580227); #178257=VERTEX_POINT('',#580229); #178258=VERTEX_POINT('',#580231); #178259=VERTEX_POINT('',#580233); #178260=VERTEX_POINT('',#580235); #178261=VERTEX_POINT('',#580247); #178262=VERTEX_POINT('',#580251); #178263=VERTEX_POINT('',#580254); #178264=VERTEX_POINT('',#580256); #178265=VERTEX_POINT('',#580259); #178266=VERTEX_POINT('',#580261); #178267=VERTEX_POINT('',#580264); #178268=VERTEX_POINT('',#580266); #178269=VERTEX_POINT('',#580269); #178270=VERTEX_POINT('',#580271); #178271=VERTEX_POINT('',#580282); #178272=VERTEX_POINT('',#580288); #178273=VERTEX_POINT('',#580301); #178274=VERTEX_POINT('',#580305); #178275=VERTEX_POINT('',#580314); #178276=VERTEX_POINT('',#580316); #178277=VERTEX_POINT('',#580318); #178278=VERTEX_POINT('',#580320); #178279=VERTEX_POINT('',#580351); #178280=VERTEX_POINT('',#580438); #178281=VERTEX_POINT('',#580496); #178282=VERTEX_POINT('',#580498); #178283=VERTEX_POINT('',#580500); #178284=VERTEX_POINT('',#580502); #178285=VERTEX_POINT('',#580504); #178286=VERTEX_POINT('',#580506); #178287=VERTEX_POINT('',#580509); #178288=VERTEX_POINT('',#580511); #178289=VERTEX_POINT('',#580513); #178290=VERTEX_POINT('',#580515); #178291=VERTEX_POINT('',#580517); #178292=VERTEX_POINT('',#580519); #178293=VERTEX_POINT('',#580523); #178294=VERTEX_POINT('',#580524); #178295=VERTEX_POINT('',#580526); #178296=VERTEX_POINT('',#580528); #178297=VERTEX_POINT('',#580530); #178298=VERTEX_POINT('',#580532); #178299=VERTEX_POINT('',#580535); #178300=VERTEX_POINT('',#580537); #178301=VERTEX_POINT('',#580539); #178302=VERTEX_POINT('',#580541); #178303=VERTEX_POINT('',#580543); #178304=VERTEX_POINT('',#580545); #178305=VERTEX_POINT('',#580584); #178306=VERTEX_POINT('',#580586); #178307=VERTEX_POINT('',#580588); #178308=VERTEX_POINT('',#580590); #178309=VERTEX_POINT('',#580592); #178310=VERTEX_POINT('',#580594); #178311=VERTEX_POINT('',#580597); #178312=VERTEX_POINT('',#580599); #178313=VERTEX_POINT('',#580601); #178314=VERTEX_POINT('',#580603); #178315=VERTEX_POINT('',#580605); #178316=VERTEX_POINT('',#580607); #178317=VERTEX_POINT('',#580611); #178318=VERTEX_POINT('',#580612); #178319=VERTEX_POINT('',#580614); #178320=VERTEX_POINT('',#580616); #178321=VERTEX_POINT('',#580618); #178322=VERTEX_POINT('',#580620); #178323=VERTEX_POINT('',#580623); #178324=VERTEX_POINT('',#580625); #178325=VERTEX_POINT('',#580627); #178326=VERTEX_POINT('',#580629); #178327=VERTEX_POINT('',#580631); #178328=VERTEX_POINT('',#580633); #178329=VERTEX_POINT('',#580664); #178330=VERTEX_POINT('',#580665); #178331=VERTEX_POINT('',#580667); #178332=VERTEX_POINT('',#580669); #178333=VERTEX_POINT('',#580673); #178334=VERTEX_POINT('',#580675); #178335=VERTEX_POINT('',#580679); #178336=VERTEX_POINT('',#580681); #178337=VERTEX_POINT('',#580688); #178338=VERTEX_POINT('',#580692); #178339=VERTEX_POINT('',#580693); #178340=VERTEX_POINT('',#580752); #178341=VERTEX_POINT('',#580753); #178342=VERTEX_POINT('',#580758); #178343=VERTEX_POINT('',#580759); #178344=VERTEX_POINT('',#580773); #178345=VERTEX_POINT('',#580775); #178346=VERTEX_POINT('',#580779); #178347=VERTEX_POINT('',#580781); #178348=VERTEX_POINT('',#580844); #178349=VERTEX_POINT('',#580868); #178350=VERTEX_POINT('',#580870); #178351=VERTEX_POINT('',#580872); #178352=VERTEX_POINT('',#580874); #178353=VERTEX_POINT('',#580876); #178354=VERTEX_POINT('',#580878); #178355=VERTEX_POINT('',#580881); #178356=VERTEX_POINT('',#580883); #178357=VERTEX_POINT('',#580885); #178358=VERTEX_POINT('',#580887); #178359=VERTEX_POINT('',#580889); #178360=VERTEX_POINT('',#580891); #178361=VERTEX_POINT('',#580895); #178362=VERTEX_POINT('',#580896); #178363=VERTEX_POINT('',#580898); #178364=VERTEX_POINT('',#580900); #178365=VERTEX_POINT('',#580902); #178366=VERTEX_POINT('',#580904); #178367=VERTEX_POINT('',#580907); #178368=VERTEX_POINT('',#580909); #178369=VERTEX_POINT('',#580911); #178370=VERTEX_POINT('',#580913); #178371=VERTEX_POINT('',#580915); #178372=VERTEX_POINT('',#580917); #178373=VERTEX_POINT('',#580956); #178374=VERTEX_POINT('',#580958); #178375=VERTEX_POINT('',#580960); #178376=VERTEX_POINT('',#580962); #178377=VERTEX_POINT('',#580964); #178378=VERTEX_POINT('',#580966); #178379=VERTEX_POINT('',#580969); #178380=VERTEX_POINT('',#580971); #178381=VERTEX_POINT('',#580973); #178382=VERTEX_POINT('',#580975); #178383=VERTEX_POINT('',#580977); #178384=VERTEX_POINT('',#580979); #178385=VERTEX_POINT('',#580983); #178386=VERTEX_POINT('',#580984); #178387=VERTEX_POINT('',#580986); #178388=VERTEX_POINT('',#580988); #178389=VERTEX_POINT('',#580990); #178390=VERTEX_POINT('',#580992); #178391=VERTEX_POINT('',#580995); #178392=VERTEX_POINT('',#580997); #178393=VERTEX_POINT('',#580999); #178394=VERTEX_POINT('',#581001); #178395=VERTEX_POINT('',#581003); #178396=VERTEX_POINT('',#581005); #178397=VERTEX_POINT('',#581036); #178398=VERTEX_POINT('',#581037); #178399=VERTEX_POINT('',#581039); #178400=VERTEX_POINT('',#581041); #178401=VERTEX_POINT('',#581045); #178402=VERTEX_POINT('',#581047); #178403=VERTEX_POINT('',#581054); #178404=VERTEX_POINT('',#581055); #178405=VERTEX_POINT('',#581060); #178406=VERTEX_POINT('',#581062); #178407=VERTEX_POINT('',#581064); #178408=VERTEX_POINT('',#581124); #178409=VERTEX_POINT('',#581126); #178410=VERTEX_POINT('',#581130); #178411=VERTEX_POINT('',#581131); #178412=VERTEX_POINT('',#581145); #178413=VERTEX_POINT('',#581147); #178414=VERTEX_POINT('',#581151); #178415=VERTEX_POINT('',#581153); #178416=VERTEX_POINT('',#581162); #178417=VERTEX_POINT('',#581163); #178418=VERTEX_POINT('',#581165); #178419=VERTEX_POINT('',#581167); #178420=VERTEX_POINT('',#581171); #178421=VERTEX_POINT('',#581173); #178422=VERTEX_POINT('',#581177); #178423=VERTEX_POINT('',#581178); #178424=VERTEX_POINT('',#581180); #178425=VERTEX_POINT('',#581182); #178426=VERTEX_POINT('',#581186); #178427=VERTEX_POINT('',#581188); #178428=VERTEX_POINT('',#581192); #178429=VERTEX_POINT('',#581194); #178430=VERTEX_POINT('',#581198); #178431=VERTEX_POINT('',#581200); #178432=VERTEX_POINT('',#581204); #178433=VERTEX_POINT('',#581206); #178434=VERTEX_POINT('',#581210); #178435=VERTEX_POINT('',#581212); #178436=VERTEX_POINT('',#581216); #178437=VERTEX_POINT('',#581218); #178438=VERTEX_POINT('',#581222); #178439=VERTEX_POINT('',#581224); #178440=VERTEX_POINT('',#581228); #178441=VERTEX_POINT('',#581230); #178442=VERTEX_POINT('',#581234); #178443=VERTEX_POINT('',#581236); #178444=VERTEX_POINT('',#581240); #178445=VERTEX_POINT('',#581242); #178446=VERTEX_POINT('',#581249); #178447=VERTEX_POINT('',#581250); #178448=VERTEX_POINT('',#581252); #178449=VERTEX_POINT('',#581254); #178450=VERTEX_POINT('',#581258); #178451=VERTEX_POINT('',#581260); #178452=VERTEX_POINT('',#581264); #178453=VERTEX_POINT('',#581266); #178454=VERTEX_POINT('',#581270); #178455=VERTEX_POINT('',#581272); #178456=VERTEX_POINT('',#581276); #178457=VERTEX_POINT('',#581278); #178458=VERTEX_POINT('',#581282); #178459=VERTEX_POINT('',#581284); #178460=VERTEX_POINT('',#581288); #178461=VERTEX_POINT('',#581290); #178462=VERTEX_POINT('',#581294); #178463=VERTEX_POINT('',#581296); #178464=VERTEX_POINT('',#581300); #178465=VERTEX_POINT('',#581302); #178466=VERTEX_POINT('',#581306); #178467=VERTEX_POINT('',#581308); #178468=VERTEX_POINT('',#581312); #178469=VERTEX_POINT('',#581314); #178470=VERTEX_POINT('',#581321); #178471=VERTEX_POINT('',#581322); #178472=VERTEX_POINT('',#581324); #178473=VERTEX_POINT('',#581326); #178474=VERTEX_POINT('',#581330); #178475=VERTEX_POINT('',#581331); #178476=VERTEX_POINT('',#581343); #178477=VERTEX_POINT('',#581344); #178478=VERTEX_POINT('',#581346); #178479=VERTEX_POINT('',#581351); #178480=VERTEX_POINT('',#581353); #178481=VERTEX_POINT('',#581380); #178482=VERTEX_POINT('',#581381); #178483=VERTEX_POINT('',#581431); #178484=VERTEX_POINT('',#581433); #178485=VERTEX_POINT('',#581436); #178486=VERTEX_POINT('',#581440); #178487=VERTEX_POINT('',#581442); #178488=VERTEX_POINT('',#581446); #178489=VERTEX_POINT('',#581450); #178490=VERTEX_POINT('',#581454); #178491=VERTEX_POINT('',#581458); #178492=VERTEX_POINT('',#581459); #178493=VERTEX_POINT('',#581461); #178494=VERTEX_POINT('',#581463); #178495=VERTEX_POINT('',#581468); #178496=VERTEX_POINT('',#581472); #178497=VERTEX_POINT('',#581487); #178498=VERTEX_POINT('',#581488); #178499=VERTEX_POINT('',#581490); #178500=VERTEX_POINT('',#581519); #178501=VERTEX_POINT('',#581541); #178502=VERTEX_POINT('',#581543); #178503=VERTEX_POINT('',#581557); #178504=VERTEX_POINT('',#581558); #178505=VERTEX_POINT('',#581564); #178506=VERTEX_POINT('',#581580); #178507=VERTEX_POINT('',#581584); #178508=VERTEX_POINT('',#581585); #178509=VERTEX_POINT('',#581587); #178510=VERTEX_POINT('',#581589); #178511=VERTEX_POINT('',#581593); #178512=VERTEX_POINT('',#581594); #178513=VERTEX_POINT('',#581596); #178514=VERTEX_POINT('',#581598); #178515=VERTEX_POINT('',#581602); #178516=VERTEX_POINT('',#581606); #178517=VERTEX_POINT('',#581607); #178518=VERTEX_POINT('',#581609); #178519=VERTEX_POINT('',#581611); #178520=VERTEX_POINT('',#581615); #178521=VERTEX_POINT('',#581617); #178522=VERTEX_POINT('',#581621); #178523=VERTEX_POINT('',#581623); #178524=VERTEX_POINT('',#581630); #178525=VERTEX_POINT('',#581634); #178526=VERTEX_POINT('',#581636); #178527=VERTEX_POINT('',#581640); #178528=VERTEX_POINT('',#581642); #178529=VERTEX_POINT('',#581646); #178530=VERTEX_POINT('',#581648); #178531=VERTEX_POINT('',#581652); #178532=VERTEX_POINT('',#581654); #178533=VERTEX_POINT('',#581658); #178534=VERTEX_POINT('',#581660); #178535=VERTEX_POINT('',#581664); #178536=VERTEX_POINT('',#581666); #178537=VERTEX_POINT('',#581670); #178538=VERTEX_POINT('',#581675); #178539=VERTEX_POINT('',#581679); #178540=VERTEX_POINT('',#581681); #178541=VERTEX_POINT('',#581685); #178542=VERTEX_POINT('',#581687); #178543=VERTEX_POINT('',#581691); #178544=VERTEX_POINT('',#581693); #178545=VERTEX_POINT('',#581697); #178546=VERTEX_POINT('',#581699); #178547=VERTEX_POINT('',#581703); #178548=VERTEX_POINT('',#581708); #178549=VERTEX_POINT('',#581712); #178550=VERTEX_POINT('',#581714); #178551=VERTEX_POINT('',#581718); #178552=VERTEX_POINT('',#581720); #178553=VERTEX_POINT('',#581724); #178554=VERTEX_POINT('',#581726); #178555=VERTEX_POINT('',#581730); #178556=VERTEX_POINT('',#581732); #178557=VERTEX_POINT('',#581736); #178558=VERTEX_POINT('',#581738); #178559=VERTEX_POINT('',#581742); #178560=VERTEX_POINT('',#581744); #178561=VERTEX_POINT('',#581749); #178562=VERTEX_POINT('',#581755); #178563=VERTEX_POINT('',#581757); #178564=VERTEX_POINT('',#581761); #178565=VERTEX_POINT('',#581763); #178566=VERTEX_POINT('',#581767); #178567=VERTEX_POINT('',#581769); #178568=VERTEX_POINT('',#581773); #178569=VERTEX_POINT('',#581775); #178570=VERTEX_POINT('',#581779); #178571=VERTEX_POINT('',#581780); #178572=VERTEX_POINT('',#581782); #178573=VERTEX_POINT('',#581784); #178574=VERTEX_POINT('',#581788); #178575=VERTEX_POINT('',#581790); #178576=VERTEX_POINT('',#581794); #178577=VERTEX_POINT('',#581796); #178578=VERTEX_POINT('',#581800); #178579=VERTEX_POINT('',#581802); #178580=VERTEX_POINT('',#581804); #178581=VERTEX_POINT('',#581806); #178582=VERTEX_POINT('',#581810); #178583=VERTEX_POINT('',#581812); #178584=VERTEX_POINT('',#581815); #178585=VERTEX_POINT('',#581819); #178586=VERTEX_POINT('',#581820); #178587=VERTEX_POINT('',#581825); #178588=VERTEX_POINT('',#581826); #178589=VERTEX_POINT('',#581832); #178590=VERTEX_POINT('',#581836); #178591=VERTEX_POINT('',#581838); #178592=VERTEX_POINT('',#581853); #178593=VERTEX_POINT('',#581855); #178594=VERTEX_POINT('',#581859); #178595=VERTEX_POINT('',#581861); #178596=VERTEX_POINT('',#581940); #178597=VERTEX_POINT('',#581948); #178598=VERTEX_POINT('',#581958); #178599=VERTEX_POINT('',#581960); #178600=VERTEX_POINT('',#582033); #178601=VERTEX_POINT('',#582034); #178602=VERTEX_POINT('',#582036); #178603=VERTEX_POINT('',#582038); #178604=VERTEX_POINT('',#582042); #178605=VERTEX_POINT('',#582044); #178606=VERTEX_POINT('',#582046); #178607=VERTEX_POINT('',#582048); #178608=VERTEX_POINT('',#582050); #178609=VERTEX_POINT('',#582052); #178610=VERTEX_POINT('',#582054); #178611=VERTEX_POINT('',#582056); #178612=VERTEX_POINT('',#582058); #178613=VERTEX_POINT('',#582060); #178614=VERTEX_POINT('',#582064); #178615=VERTEX_POINT('',#582065); #178616=VERTEX_POINT('',#582067); #178617=VERTEX_POINT('',#582069); #178618=VERTEX_POINT('',#582071); #178619=VERTEX_POINT('',#582073); #178620=VERTEX_POINT('',#582075); #178621=VERTEX_POINT('',#582077); #178622=VERTEX_POINT('',#582079); #178623=VERTEX_POINT('',#582081); #178624=VERTEX_POINT('',#582083); #178625=VERTEX_POINT('',#582085); #178626=VERTEX_POINT('',#582089); #178627=VERTEX_POINT('',#582091); #178628=VERTEX_POINT('',#582095); #178629=VERTEX_POINT('',#582096); #178630=VERTEX_POINT('',#582098); #178631=VERTEX_POINT('',#582101); #178632=VERTEX_POINT('',#582105); #178633=VERTEX_POINT('',#582106); #178634=VERTEX_POINT('',#582111); #178635=VERTEX_POINT('',#582114); #178636=VERTEX_POINT('',#582167); #178637=VERTEX_POINT('',#582168); #178638=VERTEX_POINT('',#582170); #178639=VERTEX_POINT('',#582172); #178640=VERTEX_POINT('',#582174); #178641=VERTEX_POINT('',#582176); #178642=VERTEX_POINT('',#582178); #178643=VERTEX_POINT('',#582180); #178644=VERTEX_POINT('',#582182); #178645=VERTEX_POINT('',#582184); #178646=VERTEX_POINT('',#582186); #178647=VERTEX_POINT('',#582188); #178648=VERTEX_POINT('',#582192); #178649=VERTEX_POINT('',#582193); #178650=VERTEX_POINT('',#582195); #178651=VERTEX_POINT('',#582197); #178652=VERTEX_POINT('',#582201); #178653=VERTEX_POINT('',#582202); #178654=VERTEX_POINT('',#582204); #178655=VERTEX_POINT('',#582206); #178656=VERTEX_POINT('',#582210); #178657=VERTEX_POINT('',#582211); #178658=VERTEX_POINT('',#582213); #178659=VERTEX_POINT('',#582215); #178660=VERTEX_POINT('',#582218); #178661=VERTEX_POINT('',#582219); #178662=VERTEX_POINT('',#582221); #178663=VERTEX_POINT('',#582223); #178664=VERTEX_POINT('',#582227); #178665=VERTEX_POINT('',#582228); #178666=VERTEX_POINT('',#582230); #178667=VERTEX_POINT('',#582232); #178668=VERTEX_POINT('',#582235); #178669=VERTEX_POINT('',#582236); #178670=VERTEX_POINT('',#582238); #178671=VERTEX_POINT('',#582240); #178672=VERTEX_POINT('',#582244); #178673=VERTEX_POINT('',#582245); #178674=VERTEX_POINT('',#582247); #178675=VERTEX_POINT('',#582249); #178676=VERTEX_POINT('',#582252); #178677=VERTEX_POINT('',#582253); #178678=VERTEX_POINT('',#582255); #178679=VERTEX_POINT('',#582257); #178680=VERTEX_POINT('',#582260); #178681=VERTEX_POINT('',#582261); #178682=VERTEX_POINT('',#582263); #178683=VERTEX_POINT('',#582265); #178684=VERTEX_POINT('',#582269); #178685=VERTEX_POINT('',#582272); #178686=VERTEX_POINT('',#582276); #178687=VERTEX_POINT('',#582278); #178688=VERTEX_POINT('',#582283); #178689=VERTEX_POINT('',#582287); #178690=VERTEX_POINT('',#582290); #178691=VERTEX_POINT('',#582294); #178692=VERTEX_POINT('',#582299); #178693=VERTEX_POINT('',#582303); #178694=VERTEX_POINT('',#582304); #178695=VERTEX_POINT('',#582306); #178696=VERTEX_POINT('',#582308); #178697=VERTEX_POINT('',#582310); #178698=VERTEX_POINT('',#582312); #178699=VERTEX_POINT('',#582317); #178700=VERTEX_POINT('',#582318); #178701=VERTEX_POINT('',#582320); #178702=VERTEX_POINT('',#582326); #178703=VERTEX_POINT('',#582328); #178704=VERTEX_POINT('',#582330); #178705=VERTEX_POINT('',#582333); #178706=VERTEX_POINT('',#582337); #178707=VERTEX_POINT('',#582338); #178708=VERTEX_POINT('',#582340); #178709=VERTEX_POINT('',#582342); #178710=VERTEX_POINT('',#582344); #178711=VERTEX_POINT('',#582346); #178712=VERTEX_POINT('',#582348); #178713=VERTEX_POINT('',#582354); #178714=VERTEX_POINT('',#582356); #178715=VERTEX_POINT('',#582358); #178716=VERTEX_POINT('',#582363); #178717=VERTEX_POINT('',#582364); #178718=VERTEX_POINT('',#582366); #178719=VERTEX_POINT('',#582368); #178720=VERTEX_POINT('',#582370); #178721=VERTEX_POINT('',#582372); #178722=VERTEX_POINT('',#582374); #178723=VERTEX_POINT('',#582377); #178724=VERTEX_POINT('',#582380); #178725=VERTEX_POINT('',#582383); #178726=VERTEX_POINT('',#582385); #178727=VERTEX_POINT('',#582387); #178728=VERTEX_POINT('',#582391); #178729=VERTEX_POINT('',#582393); #178730=VERTEX_POINT('',#582395); #178731=VERTEX_POINT('',#582397); #178732=VERTEX_POINT('',#582401); #178733=VERTEX_POINT('',#582405); #178734=VERTEX_POINT('',#582406); #178735=VERTEX_POINT('',#582409); #178736=VERTEX_POINT('',#582438); #178737=VERTEX_POINT('',#582440); #178738=VERTEX_POINT('',#582444); #178739=VERTEX_POINT('',#582448); #178740=VERTEX_POINT('',#582454); #178741=VERTEX_POINT('',#582455); #178742=VERTEX_POINT('',#582457); #178743=VERTEX_POINT('',#582459); #178744=VERTEX_POINT('',#582471); #178745=VERTEX_POINT('',#582473); #178746=VERTEX_POINT('',#582477); #178747=VERTEX_POINT('',#582481); #178748=VERTEX_POINT('',#582487); #178749=VERTEX_POINT('',#582488); #178750=VERTEX_POINT('',#582490); #178751=VERTEX_POINT('',#582492); #178752=VERTEX_POINT('',#582504); #178753=VERTEX_POINT('',#582506); #178754=VERTEX_POINT('',#582510); #178755=VERTEX_POINT('',#582511); #178756=VERTEX_POINT('',#582513); #178757=VERTEX_POINT('',#582515); #178758=VERTEX_POINT('',#582519); #178759=VERTEX_POINT('',#582521); #178760=VERTEX_POINT('',#582537); #178761=VERTEX_POINT('',#582539); #178762=VERTEX_POINT('',#582543); #178763=VERTEX_POINT('',#582544); #178764=VERTEX_POINT('',#582546); #178765=VERTEX_POINT('',#582548); #178766=VERTEX_POINT('',#582552); #178767=VERTEX_POINT('',#582554); #178768=VERTEX_POINT('',#582591); #178769=VERTEX_POINT('',#582592); #178770=VERTEX_POINT('',#582594); #178771=VERTEX_POINT('',#582598); #178772=VERTEX_POINT('',#582599); #178773=VERTEX_POINT('',#582601); #178774=VERTEX_POINT('',#582605); #178775=VERTEX_POINT('',#582606); #178776=VERTEX_POINT('',#582608); #178777=VERTEX_POINT('',#582612); #178778=VERTEX_POINT('',#582613); #178779=VERTEX_POINT('',#582615); #178780=VERTEX_POINT('',#582619); #178781=VERTEX_POINT('',#582620); #178782=VERTEX_POINT('',#582622); #178783=VERTEX_POINT('',#582629); #178784=VERTEX_POINT('',#582630); #178785=VERTEX_POINT('',#582632); #178786=VERTEX_POINT('',#582636); #178787=VERTEX_POINT('',#582637); #178788=VERTEX_POINT('',#582639); #178789=VERTEX_POINT('',#582649); #178790=VERTEX_POINT('',#582650); #178791=VERTEX_POINT('',#582652); #178792=VERTEX_POINT('',#582689); #178793=VERTEX_POINT('',#582690); #178794=VERTEX_POINT('',#582692); #178795=VERTEX_POINT('',#582696); #178796=VERTEX_POINT('',#582697); #178797=VERTEX_POINT('',#582699); #178798=VERTEX_POINT('',#582703); #178799=VERTEX_POINT('',#582704); #178800=VERTEX_POINT('',#582706); #178801=VERTEX_POINT('',#582710); #178802=VERTEX_POINT('',#582711); #178803=VERTEX_POINT('',#582713); #178804=VERTEX_POINT('',#582717); #178805=VERTEX_POINT('',#582718); #178806=VERTEX_POINT('',#582720); #178807=VERTEX_POINT('',#582727); #178808=VERTEX_POINT('',#582728); #178809=VERTEX_POINT('',#582730); #178810=VERTEX_POINT('',#582734); #178811=VERTEX_POINT('',#582735); #178812=VERTEX_POINT('',#582737); #178813=VERTEX_POINT('',#582747); #178814=VERTEX_POINT('',#582748); #178815=VERTEX_POINT('',#582750); #178816=VERTEX_POINT('',#582787); #178817=VERTEX_POINT('',#582788); #178818=VERTEX_POINT('',#582790); #178819=VERTEX_POINT('',#582792); #178820=VERTEX_POINT('',#582796); #178821=VERTEX_POINT('',#582798); #178822=VERTEX_POINT('',#582802); #178823=VERTEX_POINT('',#582804); #178824=VERTEX_POINT('',#582838); #178825=VERTEX_POINT('',#582839); #178826=VERTEX_POINT('',#582841); #178827=VERTEX_POINT('',#582845); #178828=VERTEX_POINT('',#582846); #178829=VERTEX_POINT('',#582848); #178830=VERTEX_POINT('',#582852); #178831=VERTEX_POINT('',#582853); #178832=VERTEX_POINT('',#582855); #178833=VERTEX_POINT('',#582859); #178834=VERTEX_POINT('',#582860); #178835=VERTEX_POINT('',#582862); #178836=VERTEX_POINT('',#582866); #178837=VERTEX_POINT('',#582867); #178838=VERTEX_POINT('',#582869); #178839=VERTEX_POINT('',#582876); #178840=VERTEX_POINT('',#582877); #178841=VERTEX_POINT('',#582879); #178842=VERTEX_POINT('',#582883); #178843=VERTEX_POINT('',#582884); #178844=VERTEX_POINT('',#582886); #178845=VERTEX_POINT('',#582896); #178846=VERTEX_POINT('',#582897); #178847=VERTEX_POINT('',#582899); #178848=VERTEX_POINT('',#582936); #178849=VERTEX_POINT('',#582937); #178850=VERTEX_POINT('',#582939); #178851=VERTEX_POINT('',#582943); #178852=VERTEX_POINT('',#582944); #178853=VERTEX_POINT('',#582946); #178854=VERTEX_POINT('',#582950); #178855=VERTEX_POINT('',#582951); #178856=VERTEX_POINT('',#582953); #178857=VERTEX_POINT('',#582957); #178858=VERTEX_POINT('',#582958); #178859=VERTEX_POINT('',#582960); #178860=VERTEX_POINT('',#582964); #178861=VERTEX_POINT('',#582965); #178862=VERTEX_POINT('',#582967); #178863=VERTEX_POINT('',#582974); #178864=VERTEX_POINT('',#582975); #178865=VERTEX_POINT('',#582977); #178866=VERTEX_POINT('',#582981); #178867=VERTEX_POINT('',#582982); #178868=VERTEX_POINT('',#582984); #178869=VERTEX_POINT('',#582994); #178870=VERTEX_POINT('',#582995); #178871=VERTEX_POINT('',#582997); #178872=VERTEX_POINT('',#583034); #178873=VERTEX_POINT('',#583035); #178874=VERTEX_POINT('',#583037); #178875=VERTEX_POINT('',#583039); #178876=VERTEX_POINT('',#583043); #178877=VERTEX_POINT('',#583045); #178878=VERTEX_POINT('',#583049); #178879=VERTEX_POINT('',#583051); #178880=VERTEX_POINT('',#583063); #178881=VERTEX_POINT('',#583064); #178882=VERTEX_POINT('',#583066); #178883=VERTEX_POINT('',#583070); #178884=VERTEX_POINT('',#583071); #178885=VERTEX_POINT('',#583073); #178886=VERTEX_POINT('',#583077); #178887=VERTEX_POINT('',#583078); #178888=VERTEX_POINT('',#583080); #178889=VERTEX_POINT('',#583084); #178890=VERTEX_POINT('',#583085); #178891=VERTEX_POINT('',#583087); #178892=VERTEX_POINT('',#583091); #178893=VERTEX_POINT('',#583092); #178894=VERTEX_POINT('',#583094); #178895=VERTEX_POINT('',#583101); #178896=VERTEX_POINT('',#583102); #178897=VERTEX_POINT('',#583104); #178898=VERTEX_POINT('',#583108); #178899=VERTEX_POINT('',#583109); #178900=VERTEX_POINT('',#583111); #178901=VERTEX_POINT('',#583121); #178902=VERTEX_POINT('',#583122); #178903=VERTEX_POINT('',#583124); #178904=VERTEX_POINT('',#583161); #178905=VERTEX_POINT('',#583162); #178906=VERTEX_POINT('',#583164); #178907=VERTEX_POINT('',#583168); #178908=VERTEX_POINT('',#583169); #178909=VERTEX_POINT('',#583171); #178910=VERTEX_POINT('',#583175); #178911=VERTEX_POINT('',#583176); #178912=VERTEX_POINT('',#583178); #178913=VERTEX_POINT('',#583182); #178914=VERTEX_POINT('',#583183); #178915=VERTEX_POINT('',#583185); #178916=VERTEX_POINT('',#583189); #178917=VERTEX_POINT('',#583190); #178918=VERTEX_POINT('',#583192); #178919=VERTEX_POINT('',#583199); #178920=VERTEX_POINT('',#583200); #178921=VERTEX_POINT('',#583202); #178922=VERTEX_POINT('',#583206); #178923=VERTEX_POINT('',#583207); #178924=VERTEX_POINT('',#583209); #178925=VERTEX_POINT('',#583219); #178926=VERTEX_POINT('',#583220); #178927=VERTEX_POINT('',#583222); #178928=VERTEX_POINT('',#583259); #178929=VERTEX_POINT('',#583260); #178930=VERTEX_POINT('',#583262); #178931=VERTEX_POINT('',#583264); #178932=VERTEX_POINT('',#583268); #178933=VERTEX_POINT('',#583270); #178934=VERTEX_POINT('',#583274); #178935=VERTEX_POINT('',#583276); #178936=VERTEX_POINT('',#583288); #178937=VERTEX_POINT('',#583290); #178938=VERTEX_POINT('',#583295); #178939=VERTEX_POINT('',#583298); #178940=VERTEX_POINT('',#583302); #178941=VERTEX_POINT('',#583306); #178942=VERTEX_POINT('',#583310); #178943=VERTEX_POINT('',#583314); #178944=VERTEX_POINT('',#583318); #178945=VERTEX_POINT('',#583322); #178946=VERTEX_POINT('',#583327); #178947=VERTEX_POINT('',#583328); #178948=VERTEX_POINT('',#583330); #178949=VERTEX_POINT('',#583332); #178950=VERTEX_POINT('',#583334); #178951=VERTEX_POINT('',#583336); #178952=VERTEX_POINT('',#583338); #178953=VERTEX_POINT('',#583340); #178954=VERTEX_POINT('',#583342); #178955=VERTEX_POINT('',#583344); #178956=VERTEX_POINT('',#583346); #178957=VERTEX_POINT('',#583348); #178958=VERTEX_POINT('',#583350); #178959=VERTEX_POINT('',#583352); #178960=VERTEX_POINT('',#583354); #178961=VERTEX_POINT('',#583356); #178962=VERTEX_POINT('',#583360); #178963=VERTEX_POINT('',#583362); #178964=VERTEX_POINT('',#583366); #178965=VERTEX_POINT('',#583370); #178966=VERTEX_POINT('',#583374); #178967=VERTEX_POINT('',#583378); #178968=VERTEX_POINT('',#583382); #178969=VERTEX_POINT('',#583386); #178970=VERTEX_POINT('',#583390); #178971=VERTEX_POINT('',#583394); #178972=VERTEX_POINT('',#583398); #178973=VERTEX_POINT('',#583402); #178974=VERTEX_POINT('',#583406); #178975=VERTEX_POINT('',#583410); #178976=VERTEX_POINT('',#583414); #178977=VERTEX_POINT('',#583418); #178978=VERTEX_POINT('',#583425); #178979=VERTEX_POINT('',#583427); #178980=VERTEX_POINT('',#583433); #178981=VERTEX_POINT('',#583435); #178982=VERTEX_POINT('',#583441); #178983=VERTEX_POINT('',#583442); #178984=VERTEX_POINT('',#583444); #178985=VERTEX_POINT('',#583446); #178986=VERTEX_POINT('',#583450); #178987=VERTEX_POINT('',#583452); #178988=VERTEX_POINT('',#583456); #178989=VERTEX_POINT('',#583460); #178990=VERTEX_POINT('',#583467); #178991=VERTEX_POINT('',#583468); #178992=VERTEX_POINT('',#583470); #178993=VERTEX_POINT('',#583472); #178994=VERTEX_POINT('',#583476); #178995=VERTEX_POINT('',#583478); #178996=VERTEX_POINT('',#583482); #178997=VERTEX_POINT('',#583486); #178998=VERTEX_POINT('',#583493); #178999=VERTEX_POINT('',#583494); #179000=VERTEX_POINT('',#583496); #179001=VERTEX_POINT('',#583498); #179002=VERTEX_POINT('',#583502); #179003=VERTEX_POINT('',#583504); #179004=VERTEX_POINT('',#583508); #179005=VERTEX_POINT('',#583512); #179006=VERTEX_POINT('',#583519); #179007=VERTEX_POINT('',#583520); #179008=VERTEX_POINT('',#583522); #179009=VERTEX_POINT('',#583524); #179010=VERTEX_POINT('',#583528); #179011=VERTEX_POINT('',#583530); #179012=VERTEX_POINT('',#583534); #179013=VERTEX_POINT('',#583538); #179014=VERTEX_POINT('',#583545); #179015=VERTEX_POINT('',#583546); #179016=VERTEX_POINT('',#583548); #179017=VERTEX_POINT('',#583550); #179018=VERTEX_POINT('',#583554); #179019=VERTEX_POINT('',#583556); #179020=VERTEX_POINT('',#583560); #179021=VERTEX_POINT('',#583564); #179022=VERTEX_POINT('',#583571); #179023=VERTEX_POINT('',#583572); #179024=VERTEX_POINT('',#583574); #179025=VERTEX_POINT('',#583576); #179026=VERTEX_POINT('',#583580); #179027=VERTEX_POINT('',#583582); #179028=VERTEX_POINT('',#583586); #179029=VERTEX_POINT('',#583590); #179030=VERTEX_POINT('',#583597); #179031=VERTEX_POINT('',#583598); #179032=VERTEX_POINT('',#583600); #179033=VERTEX_POINT('',#583602); #179034=VERTEX_POINT('',#583606); #179035=VERTEX_POINT('',#583608); #179036=VERTEX_POINT('',#583612); #179037=VERTEX_POINT('',#583616); #179038=VERTEX_POINT('',#583623); #179039=VERTEX_POINT('',#583624); #179040=VERTEX_POINT('',#583626); #179041=VERTEX_POINT('',#583628); #179042=VERTEX_POINT('',#583632); #179043=VERTEX_POINT('',#583634); #179044=VERTEX_POINT('',#583638); #179045=VERTEX_POINT('',#583642); #179046=VERTEX_POINT('',#583649); #179047=VERTEX_POINT('',#583650); #179048=VERTEX_POINT('',#583652); #179049=VERTEX_POINT('',#583654); #179050=VERTEX_POINT('',#583658); #179051=VERTEX_POINT('',#583660); #179052=VERTEX_POINT('',#583664); #179053=VERTEX_POINT('',#583668); #179054=VERTEX_POINT('',#583678); #179055=VERTEX_POINT('',#583680); #179056=VERTEX_POINT('',#583685); #179057=VERTEX_POINT('',#583686); #179058=VERTEX_POINT('',#583688); #179059=VERTEX_POINT('',#583690); #179060=VERTEX_POINT('',#583694); #179061=VERTEX_POINT('',#583696); #179062=VERTEX_POINT('',#583700); #179063=VERTEX_POINT('',#583702); #179064=VERTEX_POINT('',#583709); #179065=VERTEX_POINT('',#583711); #179066=VERTEX_POINT('',#583715); #179067=VERTEX_POINT('',#583720); #179068=VERTEX_POINT('',#583727); #179069=VERTEX_POINT('',#583728); #179070=VERTEX_POINT('',#583730); #179071=VERTEX_POINT('',#583732); #179072=VERTEX_POINT('',#583736); #179073=VERTEX_POINT('',#583738); #179074=VERTEX_POINT('',#583742); #179075=VERTEX_POINT('',#583744); #179076=VERTEX_POINT('',#583753); #179077=VERTEX_POINT('',#583754); #179078=VERTEX_POINT('',#583756); #179079=VERTEX_POINT('',#583758); #179080=VERTEX_POINT('',#583762); #179081=VERTEX_POINT('',#583764); #179082=VERTEX_POINT('',#583768); #179083=VERTEX_POINT('',#583770); #179084=VERTEX_POINT('',#583774); #179085=VERTEX_POINT('',#583776); #179086=VERTEX_POINT('',#583780); #179087=VERTEX_POINT('',#583782); #179088=VERTEX_POINT('',#583791); #179089=VERTEX_POINT('',#583792); #179090=VERTEX_POINT('',#583794); #179091=VERTEX_POINT('',#583796); #179092=VERTEX_POINT('',#583800); #179093=VERTEX_POINT('',#583802); #179094=VERTEX_POINT('',#583806); #179095=VERTEX_POINT('',#583808); #179096=VERTEX_POINT('',#583812); #179097=VERTEX_POINT('',#583814); #179098=VERTEX_POINT('',#583818); #179099=VERTEX_POINT('',#583820); #179100=VERTEX_POINT('',#583829); #179101=VERTEX_POINT('',#583830); #179102=VERTEX_POINT('',#583832); #179103=VERTEX_POINT('',#583834); #179104=VERTEX_POINT('',#583838); #179105=VERTEX_POINT('',#583840); #179106=VERTEX_POINT('',#583844); #179107=VERTEX_POINT('',#583846); #179108=VERTEX_POINT('',#583850); #179109=VERTEX_POINT('',#583852); #179110=VERTEX_POINT('',#583856); #179111=VERTEX_POINT('',#583858); #179112=VERTEX_POINT('',#583867); #179113=VERTEX_POINT('',#583868); #179114=VERTEX_POINT('',#583870); #179115=VERTEX_POINT('',#583872); #179116=VERTEX_POINT('',#583876); #179117=VERTEX_POINT('',#583878); #179118=VERTEX_POINT('',#583882); #179119=VERTEX_POINT('',#583884); #179120=VERTEX_POINT('',#583888); #179121=VERTEX_POINT('',#583890); #179122=VERTEX_POINT('',#583894); #179123=VERTEX_POINT('',#583896); #179124=VERTEX_POINT('',#583905); #179125=VERTEX_POINT('',#583906); #179126=VERTEX_POINT('',#583908); #179127=VERTEX_POINT('',#583910); #179128=VERTEX_POINT('',#583914); #179129=VERTEX_POINT('',#583916); #179130=VERTEX_POINT('',#583920); #179131=VERTEX_POINT('',#583922); #179132=VERTEX_POINT('',#583926); #179133=VERTEX_POINT('',#583928); #179134=VERTEX_POINT('',#583932); #179135=VERTEX_POINT('',#583934); #179136=VERTEX_POINT('',#583943); #179137=VERTEX_POINT('',#583944); #179138=VERTEX_POINT('',#583946); #179139=VERTEX_POINT('',#583948); #179140=VERTEX_POINT('',#583952); #179141=VERTEX_POINT('',#583954); #179142=VERTEX_POINT('',#583958); #179143=VERTEX_POINT('',#583960); #179144=VERTEX_POINT('',#583964); #179145=VERTEX_POINT('',#583966); #179146=VERTEX_POINT('',#583970); #179147=VERTEX_POINT('',#583972); #179148=VERTEX_POINT('',#583981); #179149=VERTEX_POINT('',#583982); #179150=VERTEX_POINT('',#583984); #179151=VERTEX_POINT('',#583986); #179152=VERTEX_POINT('',#583990); #179153=VERTEX_POINT('',#583992); #179154=VERTEX_POINT('',#583996); #179155=VERTEX_POINT('',#583998); #179156=VERTEX_POINT('',#584002); #179157=VERTEX_POINT('',#584004); #179158=VERTEX_POINT('',#584008); #179159=VERTEX_POINT('',#584010); #179160=VERTEX_POINT('',#584019); #179161=VERTEX_POINT('',#584020); #179162=VERTEX_POINT('',#584022); #179163=VERTEX_POINT('',#584024); #179164=VERTEX_POINT('',#584028); #179165=VERTEX_POINT('',#584030); #179166=VERTEX_POINT('',#584034); #179167=VERTEX_POINT('',#584036); #179168=VERTEX_POINT('',#584040); #179169=VERTEX_POINT('',#584042); #179170=VERTEX_POINT('',#584046); #179171=VERTEX_POINT('',#584048); #179172=VERTEX_POINT('',#584057); #179173=VERTEX_POINT('',#584058); #179174=VERTEX_POINT('',#584060); #179175=VERTEX_POINT('',#584062); #179176=VERTEX_POINT('',#584066); #179177=VERTEX_POINT('',#584068); #179178=VERTEX_POINT('',#584072); #179179=VERTEX_POINT('',#584074); #179180=VERTEX_POINT('',#584083); #179181=VERTEX_POINT('',#584084); #179182=VERTEX_POINT('',#584086); #179183=VERTEX_POINT('',#584088); #179184=VERTEX_POINT('',#584092); #179185=VERTEX_POINT('',#584094); #179186=VERTEX_POINT('',#584098); #179187=VERTEX_POINT('',#584100); #179188=VERTEX_POINT('',#584109); #179189=VERTEX_POINT('',#584110); #179190=VERTEX_POINT('',#584112); #179191=VERTEX_POINT('',#584114); #179192=VERTEX_POINT('',#584118); #179193=VERTEX_POINT('',#584120); #179194=VERTEX_POINT('',#584124); #179195=VERTEX_POINT('',#584126); #179196=VERTEX_POINT('',#584135); #179197=VERTEX_POINT('',#584136); #179198=VERTEX_POINT('',#584138); #179199=VERTEX_POINT('',#584140); #179200=VERTEX_POINT('',#584144); #179201=VERTEX_POINT('',#584146); #179202=VERTEX_POINT('',#584150); #179203=VERTEX_POINT('',#584152); #179204=VERTEX_POINT('',#584161); #179205=VERTEX_POINT('',#584162); #179206=VERTEX_POINT('',#584164); #179207=VERTEX_POINT('',#584166); #179208=VERTEX_POINT('',#584170); #179209=VERTEX_POINT('',#584172); #179210=VERTEX_POINT('',#584176); #179211=VERTEX_POINT('',#584178); #179212=VERTEX_POINT('',#584187); #179213=VERTEX_POINT('',#584188); #179214=VERTEX_POINT('',#584190); #179215=VERTEX_POINT('',#584192); #179216=VERTEX_POINT('',#584196); #179217=VERTEX_POINT('',#584198); #179218=VERTEX_POINT('',#584202); #179219=VERTEX_POINT('',#584204); #179220=VERTEX_POINT('',#584213); #179221=VERTEX_POINT('',#584214); #179222=VERTEX_POINT('',#584216); #179223=VERTEX_POINT('',#584218); #179224=VERTEX_POINT('',#584222); #179225=VERTEX_POINT('',#584224); #179226=VERTEX_POINT('',#584228); #179227=VERTEX_POINT('',#584230); #179228=VERTEX_POINT('',#584239); #179229=VERTEX_POINT('',#584240); #179230=VERTEX_POINT('',#584242); #179231=VERTEX_POINT('',#584244); #179232=VERTEX_POINT('',#584248); #179233=VERTEX_POINT('',#584250); #179234=VERTEX_POINT('',#584254); #179235=VERTEX_POINT('',#584256); #179236=VERTEX_POINT('',#584268); #179237=VERTEX_POINT('',#584270); #179238=VERTEX_POINT('',#584275); #179239=VERTEX_POINT('',#584276); #179240=VERTEX_POINT('',#584278); #179241=VERTEX_POINT('',#584280); #179242=VERTEX_POINT('',#584284); #179243=VERTEX_POINT('',#584286); #179244=VERTEX_POINT('',#584290); #179245=VERTEX_POINT('',#584292); #179246=VERTEX_POINT('',#584299); #179247=VERTEX_POINT('',#584301); #179248=VERTEX_POINT('',#584305); #179249=VERTEX_POINT('',#584310); #179250=VERTEX_POINT('',#584317); #179251=VERTEX_POINT('',#584318); #179252=VERTEX_POINT('',#584320); #179253=VERTEX_POINT('',#584322); #179254=VERTEX_POINT('',#584326); #179255=VERTEX_POINT('',#584328); #179256=VERTEX_POINT('',#584332); #179257=VERTEX_POINT('',#584334); #179258=VERTEX_POINT('',#584343); #179259=VERTEX_POINT('',#584344); #179260=VERTEX_POINT('',#584346); #179261=VERTEX_POINT('',#584348); #179262=VERTEX_POINT('',#584352); #179263=VERTEX_POINT('',#584354); #179264=VERTEX_POINT('',#584358); #179265=VERTEX_POINT('',#584360); #179266=VERTEX_POINT('',#584364); #179267=VERTEX_POINT('',#584366); #179268=VERTEX_POINT('',#584370); #179269=VERTEX_POINT('',#584372); #179270=VERTEX_POINT('',#584381); #179271=VERTEX_POINT('',#584382); #179272=VERTEX_POINT('',#584384); #179273=VERTEX_POINT('',#584386); #179274=VERTEX_POINT('',#584390); #179275=VERTEX_POINT('',#584392); #179276=VERTEX_POINT('',#584396); #179277=VERTEX_POINT('',#584398); #179278=VERTEX_POINT('',#584402); #179279=VERTEX_POINT('',#584404); #179280=VERTEX_POINT('',#584408); #179281=VERTEX_POINT('',#584410); #179282=VERTEX_POINT('',#584419); #179283=VERTEX_POINT('',#584420); #179284=VERTEX_POINT('',#584422); #179285=VERTEX_POINT('',#584424); #179286=VERTEX_POINT('',#584428); #179287=VERTEX_POINT('',#584430); #179288=VERTEX_POINT('',#584434); #179289=VERTEX_POINT('',#584436); #179290=VERTEX_POINT('',#584440); #179291=VERTEX_POINT('',#584442); #179292=VERTEX_POINT('',#584446); #179293=VERTEX_POINT('',#584448); #179294=VERTEX_POINT('',#584457); #179295=VERTEX_POINT('',#584458); #179296=VERTEX_POINT('',#584460); #179297=VERTEX_POINT('',#584462); #179298=VERTEX_POINT('',#584466); #179299=VERTEX_POINT('',#584468); #179300=VERTEX_POINT('',#584472); #179301=VERTEX_POINT('',#584474); #179302=VERTEX_POINT('',#584478); #179303=VERTEX_POINT('',#584480); #179304=VERTEX_POINT('',#584484); #179305=VERTEX_POINT('',#584486); #179306=VERTEX_POINT('',#584495); #179307=VERTEX_POINT('',#584496); #179308=VERTEX_POINT('',#584498); #179309=VERTEX_POINT('',#584500); #179310=VERTEX_POINT('',#584504); #179311=VERTEX_POINT('',#584506); #179312=VERTEX_POINT('',#584510); #179313=VERTEX_POINT('',#584512); #179314=VERTEX_POINT('',#584516); #179315=VERTEX_POINT('',#584518); #179316=VERTEX_POINT('',#584522); #179317=VERTEX_POINT('',#584524); #179318=VERTEX_POINT('',#584533); #179319=VERTEX_POINT('',#584534); #179320=VERTEX_POINT('',#584536); #179321=VERTEX_POINT('',#584538); #179322=VERTEX_POINT('',#584542); #179323=VERTEX_POINT('',#584544); #179324=VERTEX_POINT('',#584548); #179325=VERTEX_POINT('',#584550); #179326=VERTEX_POINT('',#584554); #179327=VERTEX_POINT('',#584556); #179328=VERTEX_POINT('',#584560); #179329=VERTEX_POINT('',#584562); #179330=VERTEX_POINT('',#584571); #179331=VERTEX_POINT('',#584572); #179332=VERTEX_POINT('',#584574); #179333=VERTEX_POINT('',#584576); #179334=VERTEX_POINT('',#584580); #179335=VERTEX_POINT('',#584582); #179336=VERTEX_POINT('',#584586); #179337=VERTEX_POINT('',#584588); #179338=VERTEX_POINT('',#584592); #179339=VERTEX_POINT('',#584594); #179340=VERTEX_POINT('',#584598); #179341=VERTEX_POINT('',#584600); #179342=VERTEX_POINT('',#584609); #179343=VERTEX_POINT('',#584610); #179344=VERTEX_POINT('',#584612); #179345=VERTEX_POINT('',#584614); #179346=VERTEX_POINT('',#584618); #179347=VERTEX_POINT('',#584620); #179348=VERTEX_POINT('',#584624); #179349=VERTEX_POINT('',#584626); #179350=VERTEX_POINT('',#584630); #179351=VERTEX_POINT('',#584632); #179352=VERTEX_POINT('',#584636); #179353=VERTEX_POINT('',#584638); #179354=VERTEX_POINT('',#584647); #179355=VERTEX_POINT('',#584648); #179356=VERTEX_POINT('',#584650); #179357=VERTEX_POINT('',#584652); #179358=VERTEX_POINT('',#584656); #179359=VERTEX_POINT('',#584658); #179360=VERTEX_POINT('',#584662); #179361=VERTEX_POINT('',#584664); #179362=VERTEX_POINT('',#584673); #179363=VERTEX_POINT('',#584674); #179364=VERTEX_POINT('',#584676); #179365=VERTEX_POINT('',#584678); #179366=VERTEX_POINT('',#584682); #179367=VERTEX_POINT('',#584684); #179368=VERTEX_POINT('',#584688); #179369=VERTEX_POINT('',#584690); #179370=VERTEX_POINT('',#584699); #179371=VERTEX_POINT('',#584700); #179372=VERTEX_POINT('',#584702); #179373=VERTEX_POINT('',#584704); #179374=VERTEX_POINT('',#584708); #179375=VERTEX_POINT('',#584710); #179376=VERTEX_POINT('',#584714); #179377=VERTEX_POINT('',#584716); #179378=VERTEX_POINT('',#584725); #179379=VERTEX_POINT('',#584726); #179380=VERTEX_POINT('',#584728); #179381=VERTEX_POINT('',#584730); #179382=VERTEX_POINT('',#584734); #179383=VERTEX_POINT('',#584736); #179384=VERTEX_POINT('',#584740); #179385=VERTEX_POINT('',#584742); #179386=VERTEX_POINT('',#584751); #179387=VERTEX_POINT('',#584752); #179388=VERTEX_POINT('',#584754); #179389=VERTEX_POINT('',#584756); #179390=VERTEX_POINT('',#584760); #179391=VERTEX_POINT('',#584762); #179392=VERTEX_POINT('',#584766); #179393=VERTEX_POINT('',#584768); #179394=VERTEX_POINT('',#584777); #179395=VERTEX_POINT('',#584778); #179396=VERTEX_POINT('',#584780); #179397=VERTEX_POINT('',#584782); #179398=VERTEX_POINT('',#584786); #179399=VERTEX_POINT('',#584788); #179400=VERTEX_POINT('',#584792); #179401=VERTEX_POINT('',#584794); #179402=VERTEX_POINT('',#584803); #179403=VERTEX_POINT('',#584804); #179404=VERTEX_POINT('',#584806); #179405=VERTEX_POINT('',#584808); #179406=VERTEX_POINT('',#584812); #179407=VERTEX_POINT('',#584814); #179408=VERTEX_POINT('',#584818); #179409=VERTEX_POINT('',#584820); #179410=VERTEX_POINT('',#584829); #179411=VERTEX_POINT('',#584830); #179412=VERTEX_POINT('',#584832); #179413=VERTEX_POINT('',#584834); #179414=VERTEX_POINT('',#584838); #179415=VERTEX_POINT('',#584840); #179416=VERTEX_POINT('',#584844); #179417=VERTEX_POINT('',#584846); #179418=VERTEX_POINT('',#584858); #179419=VERTEX_POINT('',#584859); #179420=VERTEX_POINT('',#584861); #179421=VERTEX_POINT('',#584863); #179422=VERTEX_POINT('',#584867); #179423=VERTEX_POINT('',#584869); #179424=VERTEX_POINT('',#584873); #179425=VERTEX_POINT('',#584875); #179426=VERTEX_POINT('',#584882); #179427=VERTEX_POINT('',#584884); #179428=VERTEX_POINT('',#584888); #179429=VERTEX_POINT('',#584893); #179430=VERTEX_POINT('',#584900); #179431=VERTEX_POINT('',#584901); #179432=VERTEX_POINT('',#584903); #179433=VERTEX_POINT('',#584905); #179434=VERTEX_POINT('',#584909); #179435=VERTEX_POINT('',#584911); #179436=VERTEX_POINT('',#584915); #179437=VERTEX_POINT('',#584917); #179438=VERTEX_POINT('',#584926); #179439=VERTEX_POINT('',#584927); #179440=VERTEX_POINT('',#584929); #179441=VERTEX_POINT('',#584931); #179442=VERTEX_POINT('',#584935); #179443=VERTEX_POINT('',#584937); #179444=VERTEX_POINT('',#584941); #179445=VERTEX_POINT('',#584943); #179446=VERTEX_POINT('',#584952); #179447=VERTEX_POINT('',#584953); #179448=VERTEX_POINT('',#584955); #179449=VERTEX_POINT('',#584957); #179450=VERTEX_POINT('',#584961); #179451=VERTEX_POINT('',#584963); #179452=VERTEX_POINT('',#584967); #179453=VERTEX_POINT('',#584969); #179454=VERTEX_POINT('',#584978); #179455=VERTEX_POINT('',#584979); #179456=VERTEX_POINT('',#584981); #179457=VERTEX_POINT('',#584983); #179458=VERTEX_POINT('',#584987); #179459=VERTEX_POINT('',#584989); #179460=VERTEX_POINT('',#584993); #179461=VERTEX_POINT('',#584995); #179462=VERTEX_POINT('',#585007); #179463=VERTEX_POINT('',#585009); #179464=VERTEX_POINT('',#585013); #179465=VERTEX_POINT('',#585015); #179466=VERTEX_POINT('',#585021); #179467=VERTEX_POINT('',#585022); #179468=VERTEX_POINT('',#585024); #179469=VERTEX_POINT('',#585038); #179470=VERTEX_POINT('',#585047); #179471=VERTEX_POINT('',#585074); #179472=VERTEX_POINT('',#585075); #179473=VERTEX_POINT('',#585128); #179474=VERTEX_POINT('',#585129); #179475=VERTEX_POINT('',#585131); #179476=VERTEX_POINT('',#585139); #179477=VERTEX_POINT('',#585155); #179478=VERTEX_POINT('',#585156); #179479=VERTEX_POINT('',#585158); #179480=VERTEX_POINT('',#585175); #179481=VERTEX_POINT('',#585200); #179482=VERTEX_POINT('',#585201); #179483=VERTEX_POINT('',#585251); #179484=VERTEX_POINT('',#585252); #179485=VERTEX_POINT('',#585254); #179486=VERTEX_POINT('',#585259); #179487=VERTEX_POINT('',#585268); #179488=VERTEX_POINT('',#585883); #179489=VERTEX_POINT('',#585888); #179490=VERTEX_POINT('',#585909); #179491=VERTEX_POINT('',#585932); #179492=VERTEX_POINT('',#585985); #179493=VERTEX_POINT('',#586006); #179494=VERTEX_POINT('',#586052); #179495=VERTEX_POINT('',#586053); #179496=VERTEX_POINT('',#586055); #179497=VERTEX_POINT('',#586057); #179498=VERTEX_POINT('',#586059); #179499=VERTEX_POINT('',#586061); #179500=VERTEX_POINT('',#586077); #179501=VERTEX_POINT('',#586078); #179502=VERTEX_POINT('',#586080); #179503=VERTEX_POINT('',#586091); #179504=VERTEX_POINT('',#586101); #179505=VERTEX_POINT('',#586102); #179506=VERTEX_POINT('',#586149); #179507=VERTEX_POINT('',#586150); #179508=VERTEX_POINT('',#586197); #179509=VERTEX_POINT('',#586198); #179510=VERTEX_POINT('',#586245); #179511=VERTEX_POINT('',#586246); #179512=VERTEX_POINT('',#586299); #179513=VERTEX_POINT('',#586300); #179514=VERTEX_POINT('',#586347); #179515=VERTEX_POINT('',#586348); #179516=VERTEX_POINT('',#586395); #179517=VERTEX_POINT('',#586396); #179518=VERTEX_POINT('',#586398); #179519=VERTEX_POINT('',#586405); #179520=VERTEX_POINT('',#586406); #179521=VERTEX_POINT('',#586418); #179522=VERTEX_POINT('',#586430); #179523=VERTEX_POINT('',#586431); #179524=VERTEX_POINT('',#586454); #179525=VERTEX_POINT('',#586455); #179526=VERTEX_POINT('',#586479); #179527=VERTEX_POINT('',#586480); #179528=VERTEX_POINT('',#586504); #179529=VERTEX_POINT('',#586505); #179530=VERTEX_POINT('',#586528); #179531=VERTEX_POINT('',#586529); #179532=VERTEX_POINT('',#586552); #179533=VERTEX_POINT('',#586553); #179534=VERTEX_POINT('',#586555); #179535=VERTEX_POINT('',#586557); #179536=VERTEX_POINT('',#586561); #179537=VERTEX_POINT('',#586562); #179538=VERTEX_POINT('',#586564); #179539=VERTEX_POINT('',#586566); #179540=VERTEX_POINT('',#586570); #179541=VERTEX_POINT('',#586571); #179542=VERTEX_POINT('',#586573); #179543=VERTEX_POINT('',#586575); #179544=VERTEX_POINT('',#586579); #179545=VERTEX_POINT('',#586580); #179546=VERTEX_POINT('',#586582); #179547=VERTEX_POINT('',#586584); #179548=VERTEX_POINT('',#586588); #179549=VERTEX_POINT('',#586589); #179550=VERTEX_POINT('',#586591); #179551=VERTEX_POINT('',#586593); #179552=VERTEX_POINT('',#586597); #179553=VERTEX_POINT('',#586598); #179554=VERTEX_POINT('',#586600); #179555=VERTEX_POINT('',#586602); #179556=VERTEX_POINT('',#586606); #179557=VERTEX_POINT('',#586607); #179558=VERTEX_POINT('',#586609); #179559=VERTEX_POINT('',#586611); #179560=VERTEX_POINT('',#586622); #179561=VERTEX_POINT('',#586627); #179562=VERTEX_POINT('',#586641); #179563=VERTEX_POINT('',#586642); #179564=VERTEX_POINT('',#586647); #179565=VERTEX_POINT('',#586649); #179566=VERTEX_POINT('',#586656); #179567=VERTEX_POINT('',#586658); #179568=VERTEX_POINT('',#586665); #179569=VERTEX_POINT('',#586667); #179570=VERTEX_POINT('',#586669); #179571=VERTEX_POINT('',#586671); #179572=VERTEX_POINT('',#586673); #179573=VERTEX_POINT('',#586675); #179574=VERTEX_POINT('',#586677); #179575=VERTEX_POINT('',#586679); #179576=VERTEX_POINT('',#586681); #179577=VERTEX_POINT('',#586685); #179578=VERTEX_POINT('',#586687); #179579=VERTEX_POINT('',#586698); #179580=VERTEX_POINT('',#586703); #179581=VERTEX_POINT('',#586717); #179582=VERTEX_POINT('',#586718); #179583=VERTEX_POINT('',#586723); #179584=VERTEX_POINT('',#586725); #179585=VERTEX_POINT('',#586737); #179586=VERTEX_POINT('',#586738); #179587=VERTEX_POINT('',#586740); #179588=VERTEX_POINT('',#586742); #179589=VERTEX_POINT('',#586748); #179590=VERTEX_POINT('',#586750); #179591=VERTEX_POINT('',#586756); #179592=VERTEX_POINT('',#586757); #179593=VERTEX_POINT('',#586759); #179594=VERTEX_POINT('',#586761); #179595=VERTEX_POINT('',#586767); #179596=VERTEX_POINT('',#586769); #179597=VERTEX_POINT('',#586775); #179598=VERTEX_POINT('',#586776); #179599=VERTEX_POINT('',#586778); #179600=VERTEX_POINT('',#586780); #179601=VERTEX_POINT('',#586786); #179602=VERTEX_POINT('',#586788); #179603=VERTEX_POINT('',#586794); #179604=VERTEX_POINT('',#586800); #179605=VERTEX_POINT('',#586806); #179606=VERTEX_POINT('',#586807); #179607=VERTEX_POINT('',#586809); #179608=VERTEX_POINT('',#586811); #179609=VERTEX_POINT('',#586817); #179610=VERTEX_POINT('',#586819); #179611=VERTEX_POINT('',#586825); #179612=VERTEX_POINT('',#586826); #179613=VERTEX_POINT('',#586828); #179614=VERTEX_POINT('',#586830); #179615=VERTEX_POINT('',#586836); #179616=VERTEX_POINT('',#586838); #179617=VERTEX_POINT('',#586844); #179618=VERTEX_POINT('',#586845); #179619=VERTEX_POINT('',#586847); #179620=VERTEX_POINT('',#586849); #179621=VERTEX_POINT('',#586855); #179622=VERTEX_POINT('',#586857); #179623=VERTEX_POINT('',#586863); #179624=VERTEX_POINT('',#586864); #179625=VERTEX_POINT('',#586866); #179626=VERTEX_POINT('',#586868); #179627=VERTEX_POINT('',#586874); #179628=VERTEX_POINT('',#586876); #179629=VERTEX_POINT('',#586919); #179630=VERTEX_POINT('',#586920); #179631=VERTEX_POINT('',#586922); #179632=VERTEX_POINT('',#586924); #179633=VERTEX_POINT('',#586930); #179634=VERTEX_POINT('',#586932); #179635=VERTEX_POINT('',#586938); #179636=VERTEX_POINT('',#586939); #179637=VERTEX_POINT('',#586941); #179638=VERTEX_POINT('',#586943); #179639=VERTEX_POINT('',#586949); #179640=VERTEX_POINT('',#586951); #179641=VERTEX_POINT('',#586957); #179642=VERTEX_POINT('',#586958); #179643=VERTEX_POINT('',#586960); #179644=VERTEX_POINT('',#586962); #179645=VERTEX_POINT('',#586968); #179646=VERTEX_POINT('',#586970); #179647=VERTEX_POINT('',#586976); #179648=VERTEX_POINT('',#586977); #179649=VERTEX_POINT('',#586979); #179650=VERTEX_POINT('',#586981); #179651=VERTEX_POINT('',#586987); #179652=VERTEX_POINT('',#586989); #179653=VERTEX_POINT('',#586995); #179654=VERTEX_POINT('',#587008); #179655=VERTEX_POINT('',#587009); #179656=VERTEX_POINT('',#587016); #179657=VERTEX_POINT('',#587020); #179658=VERTEX_POINT('',#587022); #179659=VERTEX_POINT('',#587028); #179660=VERTEX_POINT('',#587032); #179661=VERTEX_POINT('',#587034); #179662=VERTEX_POINT('',#587045); #179663=VERTEX_POINT('',#587049); #179664=VERTEX_POINT('',#587059); #179665=VERTEX_POINT('',#587060); #179666=VERTEX_POINT('',#587065); #179667=VERTEX_POINT('',#587069); #179668=VERTEX_POINT('',#587070); #179669=VERTEX_POINT('',#587075); #179670=VERTEX_POINT('',#587079); #179671=VERTEX_POINT('',#587080); #179672=VERTEX_POINT('',#587085); #179673=VERTEX_POINT('',#587105); #179674=VERTEX_POINT('',#587109); #179675=VERTEX_POINT('',#587110); #179676=VERTEX_POINT('',#587112); #179677=VERTEX_POINT('',#587114); #179678=VERTEX_POINT('',#587118); #179679=VERTEX_POINT('',#587119); #179680=VERTEX_POINT('',#587121); #179681=VERTEX_POINT('',#587123); #179682=VERTEX_POINT('',#587127); #179683=VERTEX_POINT('',#587129); #179684=VERTEX_POINT('',#587133); #179685=VERTEX_POINT('',#587135); #179686=VERTEX_POINT('',#587139); #179687=VERTEX_POINT('',#587141); #179688=VERTEX_POINT('',#587143); #179689=VERTEX_POINT('',#587145); #179690=VERTEX_POINT('',#587147); #179691=VERTEX_POINT('',#587149); #179692=VERTEX_POINT('',#587151); #179693=VERTEX_POINT('',#587153); #179694=VERTEX_POINT('',#587155); #179695=VERTEX_POINT('',#587157); #179696=VERTEX_POINT('',#587161); #179697=VERTEX_POINT('',#587166); #179698=VERTEX_POINT('',#587168); #179699=VERTEX_POINT('',#587172); #179700=VERTEX_POINT('',#587174); #179701=VERTEX_POINT('',#587178); #179702=VERTEX_POINT('',#587182); #179703=VERTEX_POINT('',#587186); #179704=VERTEX_POINT('',#587192); #179705=VERTEX_POINT('',#587196); #179706=VERTEX_POINT('',#587206); #179707=VERTEX_POINT('',#587207); #179708=VERTEX_POINT('',#587209); #179709=VERTEX_POINT('',#587211); #179710=VERTEX_POINT('',#587213); #179711=VERTEX_POINT('',#587215); #179712=VERTEX_POINT('',#587217); #179713=VERTEX_POINT('',#587219); #179714=VERTEX_POINT('',#587221); #179715=VERTEX_POINT('',#587223); #179716=VERTEX_POINT('',#587225); #179717=VERTEX_POINT('',#587227); #179718=VERTEX_POINT('',#587231); #179719=VERTEX_POINT('',#587233); #179720=VERTEX_POINT('',#587237); #179721=VERTEX_POINT('',#587239); #179722=VERTEX_POINT('',#587241); #179723=VERTEX_POINT('',#587243); #179724=VERTEX_POINT('',#587245); #179725=VERTEX_POINT('',#587247); #179726=VERTEX_POINT('',#587249); #179727=VERTEX_POINT('',#587251); #179728=VERTEX_POINT('',#587253); #179729=VERTEX_POINT('',#587255); #179730=VERTEX_POINT('',#587279); #179731=VERTEX_POINT('',#587280); #179732=VERTEX_POINT('',#587282); #179733=VERTEX_POINT('',#587284); #179734=VERTEX_POINT('',#587288); #179735=VERTEX_POINT('',#587290); #179736=VERTEX_POINT('',#587294); #179737=VERTEX_POINT('',#587295); #179738=VERTEX_POINT('',#587297); #179739=VERTEX_POINT('',#587299); #179740=VERTEX_POINT('',#587303); #179741=VERTEX_POINT('',#587305); #179742=VERTEX_POINT('',#587309); #179743=VERTEX_POINT('',#587311); #179744=VERTEX_POINT('',#587313); #179745=VERTEX_POINT('',#587315); #179746=VERTEX_POINT('',#587317); #179747=VERTEX_POINT('',#587319); #179748=VERTEX_POINT('',#587321); #179749=VERTEX_POINT('',#587323); #179750=VERTEX_POINT('',#587325); #179751=VERTEX_POINT('',#587327); #179752=VERTEX_POINT('',#587331); #179753=VERTEX_POINT('',#587336); #179754=VERTEX_POINT('',#587337); #179755=VERTEX_POINT('',#587342); #179756=VERTEX_POINT('',#587344); #179757=VERTEX_POINT('',#587346); #179758=VERTEX_POINT('',#587349); #179759=VERTEX_POINT('',#587351); #179760=VERTEX_POINT('',#587353); #179761=VERTEX_POINT('',#587355); #179762=VERTEX_POINT('',#587376); #179763=VERTEX_POINT('',#587377); #179764=VERTEX_POINT('',#587379); #179765=VERTEX_POINT('',#587381); #179766=VERTEX_POINT('',#587385); #179767=VERTEX_POINT('',#587386); #179768=VERTEX_POINT('',#587388); #179769=VERTEX_POINT('',#587390); #179770=VERTEX_POINT('',#587394); #179771=VERTEX_POINT('',#587396); #179772=VERTEX_POINT('',#587400); #179773=VERTEX_POINT('',#587402); #179774=VERTEX_POINT('',#587406); #179775=VERTEX_POINT('',#587408); #179776=VERTEX_POINT('',#587410); #179777=VERTEX_POINT('',#587412); #179778=VERTEX_POINT('',#587414); #179779=VERTEX_POINT('',#587416); #179780=VERTEX_POINT('',#587418); #179781=VERTEX_POINT('',#587420); #179782=VERTEX_POINT('',#587422); #179783=VERTEX_POINT('',#587424); #179784=VERTEX_POINT('',#587428); #179785=VERTEX_POINT('',#587433); #179786=VERTEX_POINT('',#587435); #179787=VERTEX_POINT('',#587439); #179788=VERTEX_POINT('',#587441); #179789=VERTEX_POINT('',#587445); #179790=VERTEX_POINT('',#587449); #179791=VERTEX_POINT('',#587453); #179792=VERTEX_POINT('',#587459); #179793=VERTEX_POINT('',#587463); #179794=VERTEX_POINT('',#587473); #179795=VERTEX_POINT('',#587474); #179796=VERTEX_POINT('',#587476); #179797=VERTEX_POINT('',#587478); #179798=VERTEX_POINT('',#587480); #179799=VERTEX_POINT('',#587482); #179800=VERTEX_POINT('',#587484); #179801=VERTEX_POINT('',#587486); #179802=VERTEX_POINT('',#587488); #179803=VERTEX_POINT('',#587490); #179804=VERTEX_POINT('',#587492); #179805=VERTEX_POINT('',#587494); #179806=VERTEX_POINT('',#587498); #179807=VERTEX_POINT('',#587500); #179808=VERTEX_POINT('',#587504); #179809=VERTEX_POINT('',#587506); #179810=VERTEX_POINT('',#587508); #179811=VERTEX_POINT('',#587510); #179812=VERTEX_POINT('',#587512); #179813=VERTEX_POINT('',#587514); #179814=VERTEX_POINT('',#587516); #179815=VERTEX_POINT('',#587518); #179816=VERTEX_POINT('',#587520); #179817=VERTEX_POINT('',#587522); #179818=VERTEX_POINT('',#587546); #179819=VERTEX_POINT('',#587548); #179820=VERTEX_POINT('',#587559); #179821=VERTEX_POINT('',#587561); #179822=VERTEX_POINT('',#587563); #179823=VERTEX_POINT('',#587565); #179824=VERTEX_POINT('',#587569); #179825=VERTEX_POINT('',#587571); #179826=VERTEX_POINT('',#587573); #179827=VERTEX_POINT('',#587575); #179828=VERTEX_POINT('',#587577); #179829=VERTEX_POINT('',#587579); #179830=VERTEX_POINT('',#587584); #179831=VERTEX_POINT('',#587586); #179832=VERTEX_POINT('',#587588); #179833=VERTEX_POINT('',#587590); #179834=VERTEX_POINT('',#587592); #179835=VERTEX_POINT('',#587594); #179836=VERTEX_POINT('',#587607); #179837=VERTEX_POINT('',#587608); #179838=VERTEX_POINT('',#587610); #179839=VERTEX_POINT('',#587612); #179840=VERTEX_POINT('',#587614); #179841=VERTEX_POINT('',#587619); #179842=VERTEX_POINT('',#587621); #179843=VERTEX_POINT('',#587623); #179844=VERTEX_POINT('',#587625); #179845=VERTEX_POINT('',#587627); #179846=VERTEX_POINT('',#587630); #179847=VERTEX_POINT('',#587632); #179848=VERTEX_POINT('',#587634); #179849=VERTEX_POINT('',#587636); #179850=VERTEX_POINT('',#587638); #179851=VERTEX_POINT('',#587640); #179852=VERTEX_POINT('',#587642); #179853=VERTEX_POINT('',#587644); #179854=VERTEX_POINT('',#587646); #179855=VERTEX_POINT('',#587837); #179856=VERTEX_POINT('',#587942); #179857=VERTEX_POINT('',#588047); #179858=VERTEX_POINT('',#588245); #179859=VERTEX_POINT('',#588444); #179860=VERTEX_POINT('',#588445); #179861=VERTEX_POINT('',#588447); #179862=VERTEX_POINT('',#588449); #179863=VERTEX_POINT('',#588451); #179864=VERTEX_POINT('',#588453); #179865=VERTEX_POINT('',#588457); #179866=VERTEX_POINT('',#588459); #179867=VERTEX_POINT('',#588463); #179868=VERTEX_POINT('',#588465); #179869=VERTEX_POINT('',#588469); #179870=VERTEX_POINT('',#588473); #179871=VERTEX_POINT('',#588479); #179872=VERTEX_POINT('',#588481); #179873=VERTEX_POINT('',#588483); #179874=VERTEX_POINT('',#588485); #179875=VERTEX_POINT('',#588487); #179876=VERTEX_POINT('',#588489); #179877=VERTEX_POINT('',#588491); #179878=VERTEX_POINT('',#588493); #179879=VERTEX_POINT('',#588498); #179880=VERTEX_POINT('',#588499); #179881=VERTEX_POINT('',#588501); #179882=VERTEX_POINT('',#588503); #179883=VERTEX_POINT('',#588507); #179884=VERTEX_POINT('',#588509); #179885=VERTEX_POINT('',#588513); #179886=VERTEX_POINT('',#588514); #179887=VERTEX_POINT('',#588516); #179888=VERTEX_POINT('',#588518); #179889=VERTEX_POINT('',#588522); #179890=VERTEX_POINT('',#588523); #179891=VERTEX_POINT('',#588525); #179892=VERTEX_POINT('',#588527); #179893=VERTEX_POINT('',#588531); #179894=VERTEX_POINT('',#588533); #179895=VERTEX_POINT('',#588537); #179896=VERTEX_POINT('',#588538); #179897=VERTEX_POINT('',#588540); #179898=VERTEX_POINT('',#588542); #179899=VERTEX_POINT('',#588546); #179900=VERTEX_POINT('',#588548); #179901=VERTEX_POINT('',#588552); #179902=VERTEX_POINT('',#588553); #179903=VERTEX_POINT('',#588558); #179904=VERTEX_POINT('',#588560); #179905=VERTEX_POINT('',#588562); #179906=VERTEX_POINT('',#588564); #179907=VERTEX_POINT('',#588566); #179908=VERTEX_POINT('',#588570); #179909=VERTEX_POINT('',#588572); #179910=VERTEX_POINT('',#588576); #179911=VERTEX_POINT('',#588580); #179912=VERTEX_POINT('',#588586); #179913=VERTEX_POINT('',#588587); #179914=VERTEX_POINT('',#588589); #179915=VERTEX_POINT('',#588591); #179916=VERTEX_POINT('',#588595); #179917=VERTEX_POINT('',#588597); #179918=VERTEX_POINT('',#588601); #179919=VERTEX_POINT('',#588602); #179920=VERTEX_POINT('',#588607); #179921=VERTEX_POINT('',#588608); #179922=VERTEX_POINT('',#588610); #179923=VERTEX_POINT('',#588612); #179924=VERTEX_POINT('',#588619); #179925=VERTEX_POINT('',#588620); #179926=VERTEX_POINT('',#588622); #179927=VERTEX_POINT('',#588624); #179928=VERTEX_POINT('',#588635); #179929=VERTEX_POINT('',#588636); #179930=VERTEX_POINT('',#588638); #179931=VERTEX_POINT('',#588640); #179932=VERTEX_POINT('',#588644); #179933=VERTEX_POINT('',#588645); #179934=VERTEX_POINT('',#588648); #179935=VERTEX_POINT('',#588650); #179936=VERTEX_POINT('',#588652); #179937=VERTEX_POINT('',#588654); #179938=VERTEX_POINT('',#588656); #179939=VERTEX_POINT('',#588658); #179940=VERTEX_POINT('',#588660); #179941=VERTEX_POINT('',#588663); #179942=VERTEX_POINT('',#588667); #179943=VERTEX_POINT('',#588668); #179944=VERTEX_POINT('',#588673); #179945=VERTEX_POINT('',#588678); #179946=VERTEX_POINT('',#588679); #179947=VERTEX_POINT('',#588681); #179948=VERTEX_POINT('',#588683); #179949=VERTEX_POINT('',#588686); #179950=VERTEX_POINT('',#588688); #179951=VERTEX_POINT('',#588695); #179952=VERTEX_POINT('',#588696); #179953=VERTEX_POINT('',#588699); #179954=VERTEX_POINT('',#588702); #179955=VERTEX_POINT('',#588704); #179956=VERTEX_POINT('',#588706); #179957=VERTEX_POINT('',#588712); #179958=VERTEX_POINT('',#588713); #179959=VERTEX_POINT('',#588715); #179960=VERTEX_POINT('',#588717); #179961=VERTEX_POINT('',#588721); #179962=VERTEX_POINT('',#588723); #179963=VERTEX_POINT('',#588727); #179964=VERTEX_POINT('',#588729); #179965=VERTEX_POINT('',#588733); #179966=VERTEX_POINT('',#588735); #179967=VERTEX_POINT('',#588739); #179968=VERTEX_POINT('',#588741); #179969=VERTEX_POINT('',#588745); #179970=VERTEX_POINT('',#588750); #179971=VERTEX_POINT('',#588754); #179972=VERTEX_POINT('',#588755); #179973=VERTEX_POINT('',#588760); #179974=VERTEX_POINT('',#588764); #179975=VERTEX_POINT('',#588768); #179976=VERTEX_POINT('',#588772); #179977=VERTEX_POINT('',#588778); #179978=VERTEX_POINT('',#588784); #179979=VERTEX_POINT('',#588785); #179980=VERTEX_POINT('',#588793); #179981=VERTEX_POINT('',#588794); #179982=VERTEX_POINT('',#588797); #179983=VERTEX_POINT('',#588799); #179984=VERTEX_POINT('',#588808); #179985=VERTEX_POINT('',#588812); #179986=VERTEX_POINT('',#588824); #179987=VERTEX_POINT('',#588827); #179988=VERTEX_POINT('',#588834); #179989=VERTEX_POINT('',#588841); #179990=VERTEX_POINT('',#588842); #179991=VERTEX_POINT('',#588845); #179992=VERTEX_POINT('',#588847); #179993=VERTEX_POINT('',#588849); #179994=VERTEX_POINT('',#588858); #179995=VERTEX_POINT('',#588860); #179996=VERTEX_POINT('',#588866); #179997=VERTEX_POINT('',#588868); #179998=VERTEX_POINT('',#588870); #179999=VERTEX_POINT('',#588872); #180000=VERTEX_POINT('',#588874); #180001=VERTEX_POINT('',#588876); #180002=VERTEX_POINT('',#588878); #180003=VERTEX_POINT('',#588880); #180004=VERTEX_POINT('',#588882); #180005=VERTEX_POINT('',#588884); #180006=VERTEX_POINT('',#588887); #180007=VERTEX_POINT('',#588889); #180008=VERTEX_POINT('',#588891); #180009=VERTEX_POINT('',#588902); #180010=VERTEX_POINT('',#588904); #180011=VERTEX_POINT('',#588906); #180012=VERTEX_POINT('',#588908); #180013=VERTEX_POINT('',#588910); #180014=VERTEX_POINT('',#588912); #180015=VERTEX_POINT('',#588914); #180016=VERTEX_POINT('',#588916); #180017=VERTEX_POINT('',#588918); #180018=VERTEX_POINT('',#588930); #180019=VERTEX_POINT('',#588932); #180020=VERTEX_POINT('',#588934); #180021=VERTEX_POINT('',#588936); #180022=VERTEX_POINT('',#588938); #180023=VERTEX_POINT('',#588940); #180024=VERTEX_POINT('',#588944); #180025=VERTEX_POINT('',#588946); #180026=VERTEX_POINT('',#588948); #180027=VERTEX_POINT('',#588950); #180028=VERTEX_POINT('',#588952); #180029=VERTEX_POINT('',#588954); #180030=VERTEX_POINT('',#588956); #180031=VERTEX_POINT('',#588958); #180032=VERTEX_POINT('',#588960); #180033=VERTEX_POINT('',#588962); #180034=VERTEX_POINT('',#588990); #180035=VERTEX_POINT('',#588991); #180036=VERTEX_POINT('',#588993); #180037=VERTEX_POINT('',#588995); #180038=VERTEX_POINT('',#588999); #180039=VERTEX_POINT('',#589000); #180040=VERTEX_POINT('',#589002); #180041=VERTEX_POINT('',#589004); #180042=VERTEX_POINT('',#589021); #180043=VERTEX_POINT('',#589023); #180044=VERTEX_POINT('',#589027); #180045=VERTEX_POINT('',#589031); #180046=VERTEX_POINT('',#589043); #180047=VERTEX_POINT('',#589044); #180048=VERTEX_POINT('',#589046); #180049=VERTEX_POINT('',#589048); #180050=VERTEX_POINT('',#589052); #180051=VERTEX_POINT('',#589053); #180052=VERTEX_POINT('',#589055); #180053=VERTEX_POINT('',#589057); #180054=VERTEX_POINT('',#589073); #180055=VERTEX_POINT('',#589075); #180056=VERTEX_POINT('',#589079); #180057=VERTEX_POINT('',#589083); #180058=VERTEX_POINT('',#589100); #180059=VERTEX_POINT('',#589102); #180060=VERTEX_POINT('',#589104); #180061=VERTEX_POINT('',#589106); #180062=VERTEX_POINT('',#589110); #180063=VERTEX_POINT('',#589112); #180064=VERTEX_POINT('',#589116); #180065=VERTEX_POINT('',#589118); #180066=VERTEX_POINT('',#589122); #180067=VERTEX_POINT('',#589124); #180068=VERTEX_POINT('',#589126); #180069=VERTEX_POINT('',#589128); #180070=VERTEX_POINT('',#589135); #180071=VERTEX_POINT('',#589137); #180072=VERTEX_POINT('',#589142); #180073=VERTEX_POINT('',#589146); #180074=VERTEX_POINT('',#589151); #180075=VERTEX_POINT('',#589152); #180076=VERTEX_POINT('',#589154); #180077=VERTEX_POINT('',#589156); #180078=VERTEX_POINT('',#589160); #180079=VERTEX_POINT('',#589162); #180080=VERTEX_POINT('',#589164); #180081=VERTEX_POINT('',#589166); #180082=VERTEX_POINT('',#589168); #180083=VERTEX_POINT('',#589170); #180084=VERTEX_POINT('',#589174); #180085=VERTEX_POINT('',#589176); #180086=VERTEX_POINT('',#589184); #180087=VERTEX_POINT('',#589185); #180088=VERTEX_POINT('',#589190); #180089=VERTEX_POINT('',#589192); #180090=VERTEX_POINT('',#589195); #180091=VERTEX_POINT('',#589201); #180092=VERTEX_POINT('',#589261); #180093=VERTEX_POINT('',#589262); #180094=VERTEX_POINT('',#589264); #180095=VERTEX_POINT('',#589266); #180096=VERTEX_POINT('',#589270); #180097=VERTEX_POINT('',#589271); #180098=VERTEX_POINT('',#589273); #180099=VERTEX_POINT('',#589275); #180100=VERTEX_POINT('',#589279); #180101=VERTEX_POINT('',#589280); #180102=VERTEX_POINT('',#589282); #180103=VERTEX_POINT('',#589284); #180104=VERTEX_POINT('',#589288); #180105=VERTEX_POINT('',#589289); #180106=VERTEX_POINT('',#589291); #180107=VERTEX_POINT('',#589293); #180108=VERTEX_POINT('',#589297); #180109=VERTEX_POINT('',#589298); #180110=VERTEX_POINT('',#589303); #180111=VERTEX_POINT('',#589305); #180112=VERTEX_POINT('',#589316); #180113=VERTEX_POINT('',#589318); #180114=VERTEX_POINT('',#589322); #180115=VERTEX_POINT('',#589324); #180116=VERTEX_POINT('',#589341); #180117=VERTEX_POINT('',#589342); #180118=VERTEX_POINT('',#589347); #180119=VERTEX_POINT('',#589348); #180120=VERTEX_POINT('',#589370); #180121=VERTEX_POINT('',#589371); #180122=VERTEX_POINT('',#589373); #180123=VERTEX_POINT('',#589375); #180124=VERTEX_POINT('',#589379); #180125=VERTEX_POINT('',#589380); #180126=VERTEX_POINT('',#589382); #180127=VERTEX_POINT('',#589384); #180128=VERTEX_POINT('',#589388); #180129=VERTEX_POINT('',#589389); #180130=VERTEX_POINT('',#589391); #180131=VERTEX_POINT('',#589393); #180132=VERTEX_POINT('',#589397); #180133=VERTEX_POINT('',#589398); #180134=VERTEX_POINT('',#589400); #180135=VERTEX_POINT('',#589402); #180136=VERTEX_POINT('',#589406); #180137=VERTEX_POINT('',#589408); #180138=VERTEX_POINT('',#589412); #180139=VERTEX_POINT('',#589414); #180140=VERTEX_POINT('',#589425); #180141=VERTEX_POINT('',#589426); #180142=VERTEX_POINT('',#589431); #180143=VERTEX_POINT('',#589433); #180144=VERTEX_POINT('',#589447); #180145=VERTEX_POINT('',#589449); #180146=VERTEX_POINT('',#589453); #180147=VERTEX_POINT('',#589454); #180148=VERTEX_POINT('',#589482); #180149=VERTEX_POINT('',#589484); #180150=VERTEX_POINT('',#589489); #180151=VERTEX_POINT('',#589490); #180152=VERTEX_POINT('',#589492); #180153=VERTEX_POINT('',#589494); #180154=VERTEX_POINT('',#589498); #180155=VERTEX_POINT('',#589500); #180156=VERTEX_POINT('',#589504); #180157=VERTEX_POINT('',#589506); #180158=VERTEX_POINT('',#589513); #180159=VERTEX_POINT('',#589515); #180160=VERTEX_POINT('',#589519); #180161=VERTEX_POINT('',#589524); #180162=VERTEX_POINT('',#589531); #180163=VERTEX_POINT('',#589532); #180164=VERTEX_POINT('',#589534); #180165=VERTEX_POINT('',#589536); #180166=VERTEX_POINT('',#589540); #180167=VERTEX_POINT('',#589542); #180168=VERTEX_POINT('',#589546); #180169=VERTEX_POINT('',#589547); #180170=VERTEX_POINT('',#589549); #180171=VERTEX_POINT('',#589551); #180172=VERTEX_POINT('',#589558); #180173=VERTEX_POINT('',#589560); #180174=VERTEX_POINT('',#589569); #180175=VERTEX_POINT('',#589570); #180176=VERTEX_POINT('',#589572); #180177=VERTEX_POINT('',#589574); #180178=VERTEX_POINT('',#589578); #180179=VERTEX_POINT('',#589580); #180180=VERTEX_POINT('',#589584); #180181=VERTEX_POINT('',#589585); #180182=VERTEX_POINT('',#589587); #180183=VERTEX_POINT('',#589589); #180184=VERTEX_POINT('',#589596); #180185=VERTEX_POINT('',#589598); #180186=VERTEX_POINT('',#589607); #180187=VERTEX_POINT('',#589608); #180188=VERTEX_POINT('',#589610); #180189=VERTEX_POINT('',#589612); #180190=VERTEX_POINT('',#589616); #180191=VERTEX_POINT('',#589618); #180192=VERTEX_POINT('',#589622); #180193=VERTEX_POINT('',#589623); #180194=VERTEX_POINT('',#589625); #180195=VERTEX_POINT('',#589627); #180196=VERTEX_POINT('',#589634); #180197=VERTEX_POINT('',#589636); #180198=VERTEX_POINT('',#589645); #180199=VERTEX_POINT('',#589646); #180200=VERTEX_POINT('',#589648); #180201=VERTEX_POINT('',#589650); #180202=VERTEX_POINT('',#589654); #180203=VERTEX_POINT('',#589656); #180204=VERTEX_POINT('',#589660); #180205=VERTEX_POINT('',#589661); #180206=VERTEX_POINT('',#589663); #180207=VERTEX_POINT('',#589665); #180208=VERTEX_POINT('',#589672); #180209=VERTEX_POINT('',#589674); #180210=VERTEX_POINT('',#589683); #180211=VERTEX_POINT('',#589684); #180212=VERTEX_POINT('',#589686); #180213=VERTEX_POINT('',#589688); #180214=VERTEX_POINT('',#589692); #180215=VERTEX_POINT('',#589694); #180216=VERTEX_POINT('',#589698); #180217=VERTEX_POINT('',#589699); #180218=VERTEX_POINT('',#589701); #180219=VERTEX_POINT('',#589703); #180220=VERTEX_POINT('',#589710); #180221=VERTEX_POINT('',#589712); #180222=VERTEX_POINT('',#589721); #180223=VERTEX_POINT('',#589722); #180224=VERTEX_POINT('',#589724); #180225=VERTEX_POINT('',#589726); #180226=VERTEX_POINT('',#589730); #180227=VERTEX_POINT('',#589732); #180228=VERTEX_POINT('',#589736); #180229=VERTEX_POINT('',#589737); #180230=VERTEX_POINT('',#589739); #180231=VERTEX_POINT('',#589741); #180232=VERTEX_POINT('',#589748); #180233=VERTEX_POINT('',#589750); #180234=VERTEX_POINT('',#589759); #180235=VERTEX_POINT('',#589760); #180236=VERTEX_POINT('',#589762); #180237=VERTEX_POINT('',#589764); #180238=VERTEX_POINT('',#589768); #180239=VERTEX_POINT('',#589770); #180240=VERTEX_POINT('',#589774); #180241=VERTEX_POINT('',#589775); #180242=VERTEX_POINT('',#589777); #180243=VERTEX_POINT('',#589779); #180244=VERTEX_POINT('',#589786); #180245=VERTEX_POINT('',#589788); #180246=VERTEX_POINT('',#589797); #180247=VERTEX_POINT('',#589798); #180248=VERTEX_POINT('',#589800); #180249=VERTEX_POINT('',#589802); #180250=VERTEX_POINT('',#589806); #180251=VERTEX_POINT('',#589808); #180252=VERTEX_POINT('',#589812); #180253=VERTEX_POINT('',#589813); #180254=VERTEX_POINT('',#589815); #180255=VERTEX_POINT('',#589817); #180256=VERTEX_POINT('',#589824); #180257=VERTEX_POINT('',#589826); #180258=VERTEX_POINT('',#589835); #180259=VERTEX_POINT('',#589836); #180260=VERTEX_POINT('',#589838); #180261=VERTEX_POINT('',#589840); #180262=VERTEX_POINT('',#589844); #180263=VERTEX_POINT('',#589846); #180264=VERTEX_POINT('',#589850); #180265=VERTEX_POINT('',#589851); #180266=VERTEX_POINT('',#589853); #180267=VERTEX_POINT('',#589855); #180268=VERTEX_POINT('',#589862); #180269=VERTEX_POINT('',#589864); #180270=VERTEX_POINT('',#589873); #180271=VERTEX_POINT('',#589874); #180272=VERTEX_POINT('',#589876); #180273=VERTEX_POINT('',#589878); #180274=VERTEX_POINT('',#589882); #180275=VERTEX_POINT('',#589884); #180276=VERTEX_POINT('',#589888); #180277=VERTEX_POINT('',#589889); #180278=VERTEX_POINT('',#589891); #180279=VERTEX_POINT('',#589893); #180280=VERTEX_POINT('',#589900); #180281=VERTEX_POINT('',#589902); #180282=VERTEX_POINT('',#589911); #180283=VERTEX_POINT('',#589912); #180284=VERTEX_POINT('',#589914); #180285=VERTEX_POINT('',#589916); #180286=VERTEX_POINT('',#589920); #180287=VERTEX_POINT('',#589922); #180288=VERTEX_POINT('',#589926); #180289=VERTEX_POINT('',#589927); #180290=VERTEX_POINT('',#589929); #180291=VERTEX_POINT('',#589931); #180292=VERTEX_POINT('',#589938); #180293=VERTEX_POINT('',#589940); #180294=VERTEX_POINT('',#589949); #180295=VERTEX_POINT('',#589950); #180296=VERTEX_POINT('',#589952); #180297=VERTEX_POINT('',#589954); #180298=VERTEX_POINT('',#589958); #180299=VERTEX_POINT('',#589960); #180300=VERTEX_POINT('',#589964); #180301=VERTEX_POINT('',#589965); #180302=VERTEX_POINT('',#589967); #180303=VERTEX_POINT('',#589969); #180304=VERTEX_POINT('',#589976); #180305=VERTEX_POINT('',#589978); #180306=VERTEX_POINT('',#589987); #180307=VERTEX_POINT('',#589988); #180308=VERTEX_POINT('',#589990); #180309=VERTEX_POINT('',#589992); #180310=VERTEX_POINT('',#589996); #180311=VERTEX_POINT('',#589998); #180312=VERTEX_POINT('',#590002); #180313=VERTEX_POINT('',#590003); #180314=VERTEX_POINT('',#590005); #180315=VERTEX_POINT('',#590007); #180316=VERTEX_POINT('',#590014); #180317=VERTEX_POINT('',#590016); #180318=VERTEX_POINT('',#590025); #180319=VERTEX_POINT('',#590026); #180320=VERTEX_POINT('',#590028); #180321=VERTEX_POINT('',#590030); #180322=VERTEX_POINT('',#590034); #180323=VERTEX_POINT('',#590036); #180324=VERTEX_POINT('',#590040); #180325=VERTEX_POINT('',#590041); #180326=VERTEX_POINT('',#590043); #180327=VERTEX_POINT('',#590045); #180328=VERTEX_POINT('',#590052); #180329=VERTEX_POINT('',#590054); #180330=VERTEX_POINT('',#590063); #180331=VERTEX_POINT('',#590064); #180332=VERTEX_POINT('',#590066); #180333=VERTEX_POINT('',#590068); #180334=VERTEX_POINT('',#590072); #180335=VERTEX_POINT('',#590074); #180336=VERTEX_POINT('',#590078); #180337=VERTEX_POINT('',#590079); #180338=VERTEX_POINT('',#590081); #180339=VERTEX_POINT('',#590083); #180340=VERTEX_POINT('',#590090); #180341=VERTEX_POINT('',#590092); #180342=VERTEX_POINT('',#590101); #180343=VERTEX_POINT('',#590102); #180344=VERTEX_POINT('',#590104); #180345=VERTEX_POINT('',#590106); #180346=VERTEX_POINT('',#590110); #180347=VERTEX_POINT('',#590112); #180348=VERTEX_POINT('',#590116); #180349=VERTEX_POINT('',#590117); #180350=VERTEX_POINT('',#590119); #180351=VERTEX_POINT('',#590121); #180352=VERTEX_POINT('',#590128); #180353=VERTEX_POINT('',#590130); #180354=VERTEX_POINT('',#590139); #180355=VERTEX_POINT('',#590140); #180356=VERTEX_POINT('',#590142); #180357=VERTEX_POINT('',#590144); #180358=VERTEX_POINT('',#590148); #180359=VERTEX_POINT('',#590150); #180360=VERTEX_POINT('',#590154); #180361=VERTEX_POINT('',#590155); #180362=VERTEX_POINT('',#590157); #180363=VERTEX_POINT('',#590159); #180364=VERTEX_POINT('',#590166); #180365=VERTEX_POINT('',#590168); #180366=VERTEX_POINT('',#590177); #180367=VERTEX_POINT('',#590178); #180368=VERTEX_POINT('',#590180); #180369=VERTEX_POINT('',#590182); #180370=VERTEX_POINT('',#590186); #180371=VERTEX_POINT('',#590188); #180372=VERTEX_POINT('',#590192); #180373=VERTEX_POINT('',#590193); #180374=VERTEX_POINT('',#590195); #180375=VERTEX_POINT('',#590197); #180376=VERTEX_POINT('',#590204); #180377=VERTEX_POINT('',#590206); #180378=VERTEX_POINT('',#590215); #180379=VERTEX_POINT('',#590216); #180380=VERTEX_POINT('',#590218); #180381=VERTEX_POINT('',#590220); #180382=VERTEX_POINT('',#590224); #180383=VERTEX_POINT('',#590226); #180384=VERTEX_POINT('',#590230); #180385=VERTEX_POINT('',#590231); #180386=VERTEX_POINT('',#590233); #180387=VERTEX_POINT('',#590235); #180388=VERTEX_POINT('',#590242); #180389=VERTEX_POINT('',#590244); #180390=VERTEX_POINT('',#590253); #180391=VERTEX_POINT('',#590254); #180392=VERTEX_POINT('',#590256); #180393=VERTEX_POINT('',#590258); #180394=VERTEX_POINT('',#590262); #180395=VERTEX_POINT('',#590264); #180396=VERTEX_POINT('',#590268); #180397=VERTEX_POINT('',#590269); #180398=VERTEX_POINT('',#590271); #180399=VERTEX_POINT('',#590273); #180400=VERTEX_POINT('',#590280); #180401=VERTEX_POINT('',#590282); #180402=VERTEX_POINT('',#590291); #180403=VERTEX_POINT('',#590292); #180404=VERTEX_POINT('',#590294); #180405=VERTEX_POINT('',#590296); #180406=VERTEX_POINT('',#590300); #180407=VERTEX_POINT('',#590302); #180408=VERTEX_POINT('',#590306); #180409=VERTEX_POINT('',#590307); #180410=VERTEX_POINT('',#590309); #180411=VERTEX_POINT('',#590311); #180412=VERTEX_POINT('',#590318); #180413=VERTEX_POINT('',#590320); #180414=VERTEX_POINT('',#590329); #180415=VERTEX_POINT('',#590330); #180416=VERTEX_POINT('',#590332); #180417=VERTEX_POINT('',#590334); #180418=VERTEX_POINT('',#590338); #180419=VERTEX_POINT('',#590340); #180420=VERTEX_POINT('',#590344); #180421=VERTEX_POINT('',#590345); #180422=VERTEX_POINT('',#590347); #180423=VERTEX_POINT('',#590349); #180424=VERTEX_POINT('',#590356); #180425=VERTEX_POINT('',#590358); #180426=VERTEX_POINT('',#590367); #180427=VERTEX_POINT('',#590368); #180428=VERTEX_POINT('',#590370); #180429=VERTEX_POINT('',#590372); #180430=VERTEX_POINT('',#590376); #180431=VERTEX_POINT('',#590378); #180432=VERTEX_POINT('',#590382); #180433=VERTEX_POINT('',#590383); #180434=VERTEX_POINT('',#590385); #180435=VERTEX_POINT('',#590387); #180436=VERTEX_POINT('',#590394); #180437=VERTEX_POINT('',#590396); #180438=VERTEX_POINT('',#590405); #180439=VERTEX_POINT('',#590406); #180440=VERTEX_POINT('',#590408); #180441=VERTEX_POINT('',#590410); #180442=VERTEX_POINT('',#590414); #180443=VERTEX_POINT('',#590416); #180444=VERTEX_POINT('',#590420); #180445=VERTEX_POINT('',#590421); #180446=VERTEX_POINT('',#590423); #180447=VERTEX_POINT('',#590425); #180448=VERTEX_POINT('',#590432); #180449=VERTEX_POINT('',#590434); #180450=VERTEX_POINT('',#590443); #180451=VERTEX_POINT('',#590444); #180452=VERTEX_POINT('',#590446); #180453=VERTEX_POINT('',#590448); #180454=VERTEX_POINT('',#590452); #180455=VERTEX_POINT('',#590453); #180456=VERTEX_POINT('',#590458); #180457=VERTEX_POINT('',#590460); #180458=VERTEX_POINT('',#590464); #180459=VERTEX_POINT('',#590466); #180460=VERTEX_POINT('',#590470); #180461=VERTEX_POINT('',#590472); #180462=VERTEX_POINT('',#590481); #180463=VERTEX_POINT('',#590482); #180464=VERTEX_POINT('',#590484); #180465=VERTEX_POINT('',#590486); #180466=VERTEX_POINT('',#590490); #180467=VERTEX_POINT('',#590491); #180468=VERTEX_POINT('',#590496); #180469=VERTEX_POINT('',#590498); #180470=VERTEX_POINT('',#590502); #180471=VERTEX_POINT('',#590504); #180472=VERTEX_POINT('',#590508); #180473=VERTEX_POINT('',#590510); #180474=VERTEX_POINT('',#590519); #180475=VERTEX_POINT('',#590520); #180476=VERTEX_POINT('',#590522); #180477=VERTEX_POINT('',#590524); #180478=VERTEX_POINT('',#590528); #180479=VERTEX_POINT('',#590529); #180480=VERTEX_POINT('',#590534); #180481=VERTEX_POINT('',#590536); #180482=VERTEX_POINT('',#590540); #180483=VERTEX_POINT('',#590542); #180484=VERTEX_POINT('',#590546); #180485=VERTEX_POINT('',#590548); #180486=VERTEX_POINT('',#590557); #180487=VERTEX_POINT('',#590558); #180488=VERTEX_POINT('',#590560); #180489=VERTEX_POINT('',#590562); #180490=VERTEX_POINT('',#590566); #180491=VERTEX_POINT('',#590567); #180492=VERTEX_POINT('',#590572); #180493=VERTEX_POINT('',#590574); #180494=VERTEX_POINT('',#590578); #180495=VERTEX_POINT('',#590580); #180496=VERTEX_POINT('',#590584); #180497=VERTEX_POINT('',#590586); #180498=VERTEX_POINT('',#590595); #180499=VERTEX_POINT('',#590596); #180500=VERTEX_POINT('',#590598); #180501=VERTEX_POINT('',#590600); #180502=VERTEX_POINT('',#590604); #180503=VERTEX_POINT('',#590605); #180504=VERTEX_POINT('',#590610); #180505=VERTEX_POINT('',#590612); #180506=VERTEX_POINT('',#590616); #180507=VERTEX_POINT('',#590618); #180508=VERTEX_POINT('',#590622); #180509=VERTEX_POINT('',#590624); #180510=VERTEX_POINT('',#590633); #180511=VERTEX_POINT('',#590634); #180512=VERTEX_POINT('',#590636); #180513=VERTEX_POINT('',#590638); #180514=VERTEX_POINT('',#590642); #180515=VERTEX_POINT('',#590643); #180516=VERTEX_POINT('',#590648); #180517=VERTEX_POINT('',#590650); #180518=VERTEX_POINT('',#590654); #180519=VERTEX_POINT('',#590656); #180520=VERTEX_POINT('',#590660); #180521=VERTEX_POINT('',#590662); #180522=VERTEX_POINT('',#590671); #180523=VERTEX_POINT('',#590672); #180524=VERTEX_POINT('',#590674); #180525=VERTEX_POINT('',#590676); #180526=VERTEX_POINT('',#590680); #180527=VERTEX_POINT('',#590681); #180528=VERTEX_POINT('',#590686); #180529=VERTEX_POINT('',#590688); #180530=VERTEX_POINT('',#590692); #180531=VERTEX_POINT('',#590694); #180532=VERTEX_POINT('',#590698); #180533=VERTEX_POINT('',#590700); #180534=VERTEX_POINT('',#590709); #180535=VERTEX_POINT('',#590710); #180536=VERTEX_POINT('',#590712); #180537=VERTEX_POINT('',#590714); #180538=VERTEX_POINT('',#590718); #180539=VERTEX_POINT('',#590719); #180540=VERTEX_POINT('',#590724); #180541=VERTEX_POINT('',#590726); #180542=VERTEX_POINT('',#590730); #180543=VERTEX_POINT('',#590732); #180544=VERTEX_POINT('',#590736); #180545=VERTEX_POINT('',#590738); #180546=VERTEX_POINT('',#590747); #180547=VERTEX_POINT('',#590748); #180548=VERTEX_POINT('',#590750); #180549=VERTEX_POINT('',#590752); #180550=VERTEX_POINT('',#590756); #180551=VERTEX_POINT('',#590757); #180552=VERTEX_POINT('',#590762); #180553=VERTEX_POINT('',#590764); #180554=VERTEX_POINT('',#590768); #180555=VERTEX_POINT('',#590770); #180556=VERTEX_POINT('',#590774); #180557=VERTEX_POINT('',#590776); #180558=VERTEX_POINT('',#590785); #180559=VERTEX_POINT('',#590786); #180560=VERTEX_POINT('',#590788); #180561=VERTEX_POINT('',#590790); #180562=VERTEX_POINT('',#590794); #180563=VERTEX_POINT('',#590795); #180564=VERTEX_POINT('',#590800); #180565=VERTEX_POINT('',#590802); #180566=VERTEX_POINT('',#590806); #180567=VERTEX_POINT('',#590808); #180568=VERTEX_POINT('',#590812); #180569=VERTEX_POINT('',#590814); #180570=VERTEX_POINT('',#590823); #180571=VERTEX_POINT('',#590824); #180572=VERTEX_POINT('',#590826); #180573=VERTEX_POINT('',#590828); #180574=VERTEX_POINT('',#590832); #180575=VERTEX_POINT('',#590833); #180576=VERTEX_POINT('',#590838); #180577=VERTEX_POINT('',#590840); #180578=VERTEX_POINT('',#590844); #180579=VERTEX_POINT('',#590846); #180580=VERTEX_POINT('',#590850); #180581=VERTEX_POINT('',#590852); #180582=VERTEX_POINT('',#590861); #180583=VERTEX_POINT('',#590862); #180584=VERTEX_POINT('',#590864); #180585=VERTEX_POINT('',#590866); #180586=VERTEX_POINT('',#590870); #180587=VERTEX_POINT('',#590871); #180588=VERTEX_POINT('',#590876); #180589=VERTEX_POINT('',#590878); #180590=VERTEX_POINT('',#590882); #180591=VERTEX_POINT('',#590884); #180592=VERTEX_POINT('',#590888); #180593=VERTEX_POINT('',#590890); #180594=VERTEX_POINT('',#590899); #180595=VERTEX_POINT('',#590900); #180596=VERTEX_POINT('',#590902); #180597=VERTEX_POINT('',#590904); #180598=VERTEX_POINT('',#590908); #180599=VERTEX_POINT('',#590909); #180600=VERTEX_POINT('',#590914); #180601=VERTEX_POINT('',#590916); #180602=VERTEX_POINT('',#590920); #180603=VERTEX_POINT('',#590922); #180604=VERTEX_POINT('',#590926); #180605=VERTEX_POINT('',#590928); #180606=VERTEX_POINT('',#590937); #180607=VERTEX_POINT('',#590938); #180608=VERTEX_POINT('',#590940); #180609=VERTEX_POINT('',#590942); #180610=VERTEX_POINT('',#590946); #180611=VERTEX_POINT('',#590947); #180612=VERTEX_POINT('',#590952); #180613=VERTEX_POINT('',#590954); #180614=VERTEX_POINT('',#590958); #180615=VERTEX_POINT('',#590960); #180616=VERTEX_POINT('',#590964); #180617=VERTEX_POINT('',#590966); #180618=VERTEX_POINT('',#590975); #180619=VERTEX_POINT('',#590976); #180620=VERTEX_POINT('',#590978); #180621=VERTEX_POINT('',#590980); #180622=VERTEX_POINT('',#590984); #180623=VERTEX_POINT('',#590985); #180624=VERTEX_POINT('',#590990); #180625=VERTEX_POINT('',#590992); #180626=VERTEX_POINT('',#590996); #180627=VERTEX_POINT('',#590998); #180628=VERTEX_POINT('',#591002); #180629=VERTEX_POINT('',#591004); #180630=VERTEX_POINT('',#591013); #180631=VERTEX_POINT('',#591014); #180632=VERTEX_POINT('',#591016); #180633=VERTEX_POINT('',#591018); #180634=VERTEX_POINT('',#591022); #180635=VERTEX_POINT('',#591023); #180636=VERTEX_POINT('',#591028); #180637=VERTEX_POINT('',#591030); #180638=VERTEX_POINT('',#591034); #180639=VERTEX_POINT('',#591036); #180640=VERTEX_POINT('',#591040); #180641=VERTEX_POINT('',#591042); #180642=VERTEX_POINT('',#591051); #180643=VERTEX_POINT('',#591052); #180644=VERTEX_POINT('',#591054); #180645=VERTEX_POINT('',#591056); #180646=VERTEX_POINT('',#591060); #180647=VERTEX_POINT('',#591061); #180648=VERTEX_POINT('',#591066); #180649=VERTEX_POINT('',#591068); #180650=VERTEX_POINT('',#591072); #180651=VERTEX_POINT('',#591074); #180652=VERTEX_POINT('',#591078); #180653=VERTEX_POINT('',#591080); #180654=VERTEX_POINT('',#591089); #180655=VERTEX_POINT('',#591090); #180656=VERTEX_POINT('',#591092); #180657=VERTEX_POINT('',#591094); #180658=VERTEX_POINT('',#591098); #180659=VERTEX_POINT('',#591099); #180660=VERTEX_POINT('',#591104); #180661=VERTEX_POINT('',#591106); #180662=VERTEX_POINT('',#591110); #180663=VERTEX_POINT('',#591112); #180664=VERTEX_POINT('',#591116); #180665=VERTEX_POINT('',#591118); #180666=VERTEX_POINT('',#591127); #180667=VERTEX_POINT('',#591128); #180668=VERTEX_POINT('',#591130); #180669=VERTEX_POINT('',#591132); #180670=VERTEX_POINT('',#591136); #180671=VERTEX_POINT('',#591137); #180672=VERTEX_POINT('',#591142); #180673=VERTEX_POINT('',#591144); #180674=VERTEX_POINT('',#591148); #180675=VERTEX_POINT('',#591150); #180676=VERTEX_POINT('',#591154); #180677=VERTEX_POINT('',#591156); #180678=VERTEX_POINT('',#591165); #180679=VERTEX_POINT('',#591166); #180680=VERTEX_POINT('',#591168); #180681=VERTEX_POINT('',#591170); #180682=VERTEX_POINT('',#591174); #180683=VERTEX_POINT('',#591175); #180684=VERTEX_POINT('',#591180); #180685=VERTEX_POINT('',#591182); #180686=VERTEX_POINT('',#591186); #180687=VERTEX_POINT('',#591188); #180688=VERTEX_POINT('',#591192); #180689=VERTEX_POINT('',#591194); #180690=VERTEX_POINT('',#591203); #180691=VERTEX_POINT('',#591204); #180692=VERTEX_POINT('',#591206); #180693=VERTEX_POINT('',#591208); #180694=VERTEX_POINT('',#591212); #180695=VERTEX_POINT('',#591213); #180696=VERTEX_POINT('',#591218); #180697=VERTEX_POINT('',#591220); #180698=VERTEX_POINT('',#591224); #180699=VERTEX_POINT('',#591226); #180700=VERTEX_POINT('',#591230); #180701=VERTEX_POINT('',#591232); #180702=VERTEX_POINT('',#591241); #180703=VERTEX_POINT('',#591242); #180704=VERTEX_POINT('',#591244); #180705=VERTEX_POINT('',#591246); #180706=VERTEX_POINT('',#591250); #180707=VERTEX_POINT('',#591251); #180708=VERTEX_POINT('',#591256); #180709=VERTEX_POINT('',#591258); #180710=VERTEX_POINT('',#591262); #180711=VERTEX_POINT('',#591264); #180712=VERTEX_POINT('',#591268); #180713=VERTEX_POINT('',#591270); #180714=VERTEX_POINT('',#591279); #180715=VERTEX_POINT('',#591280); #180716=VERTEX_POINT('',#591282); #180717=VERTEX_POINT('',#591284); #180718=VERTEX_POINT('',#591288); #180719=VERTEX_POINT('',#591289); #180720=VERTEX_POINT('',#591294); #180721=VERTEX_POINT('',#591296); #180722=VERTEX_POINT('',#591300); #180723=VERTEX_POINT('',#591302); #180724=VERTEX_POINT('',#591306); #180725=VERTEX_POINT('',#591308); #180726=VERTEX_POINT('',#591317); #180727=VERTEX_POINT('',#591318); #180728=VERTEX_POINT('',#591320); #180729=VERTEX_POINT('',#591322); #180730=VERTEX_POINT('',#591326); #180731=VERTEX_POINT('',#591327); #180732=VERTEX_POINT('',#591332); #180733=VERTEX_POINT('',#591334); #180734=VERTEX_POINT('',#591338); #180735=VERTEX_POINT('',#591340); #180736=VERTEX_POINT('',#591344); #180737=VERTEX_POINT('',#591346); #180738=VERTEX_POINT('',#591355); #180739=VERTEX_POINT('',#591356); #180740=VERTEX_POINT('',#591358); #180741=VERTEX_POINT('',#591360); #180742=VERTEX_POINT('',#591364); #180743=VERTEX_POINT('',#591366); #180744=VERTEX_POINT('',#591370); #180745=VERTEX_POINT('',#591372); #180746=VERTEX_POINT('',#591384); #180747=VERTEX_POINT('',#591385); #180748=VERTEX_POINT('',#591387); #180749=VERTEX_POINT('',#591391); #180750=VERTEX_POINT('',#591392); #180751=VERTEX_POINT('',#591394); #180752=VERTEX_POINT('',#591398); #180753=VERTEX_POINT('',#591399); #180754=VERTEX_POINT('',#591401); #180755=VERTEX_POINT('',#591405); #180756=VERTEX_POINT('',#591406); #180757=VERTEX_POINT('',#591408); #180758=VERTEX_POINT('',#591412); #180759=VERTEX_POINT('',#591413); #180760=VERTEX_POINT('',#591415); #180761=VERTEX_POINT('',#591422); #180762=VERTEX_POINT('',#591423); #180763=VERTEX_POINT('',#591425); #180764=VERTEX_POINT('',#591429); #180765=VERTEX_POINT('',#591430); #180766=VERTEX_POINT('',#591432); #180767=VERTEX_POINT('',#591442); #180768=VERTEX_POINT('',#591443); #180769=VERTEX_POINT('',#591445); #180770=VERTEX_POINT('',#591482); #180771=VERTEX_POINT('',#591483); #180772=VERTEX_POINT('',#591485); #180773=VERTEX_POINT('',#591489); #180774=VERTEX_POINT('',#591490); #180775=VERTEX_POINT('',#591492); #180776=VERTEX_POINT('',#591496); #180777=VERTEX_POINT('',#591497); #180778=VERTEX_POINT('',#591499); #180779=VERTEX_POINT('',#591503); #180780=VERTEX_POINT('',#591504); #180781=VERTEX_POINT('',#591506); #180782=VERTEX_POINT('',#591510); #180783=VERTEX_POINT('',#591511); #180784=VERTEX_POINT('',#591513); #180785=VERTEX_POINT('',#591520); #180786=VERTEX_POINT('',#591521); #180787=VERTEX_POINT('',#591523); #180788=VERTEX_POINT('',#591527); #180789=VERTEX_POINT('',#591528); #180790=VERTEX_POINT('',#591530); #180791=VERTEX_POINT('',#591540); #180792=VERTEX_POINT('',#591541); #180793=VERTEX_POINT('',#591543); #180794=VERTEX_POINT('',#591580); #180795=VERTEX_POINT('',#591581); #180796=VERTEX_POINT('',#591583); #180797=VERTEX_POINT('',#591585); #180798=VERTEX_POINT('',#591589); #180799=VERTEX_POINT('',#591591); #180800=VERTEX_POINT('',#591595); #180801=VERTEX_POINT('',#591597); #180802=VERTEX_POINT('',#591609); #180803=VERTEX_POINT('',#591610); #180804=VERTEX_POINT('',#591612); #180805=VERTEX_POINT('',#591614); #180806=VERTEX_POINT('',#591618); #180807=VERTEX_POINT('',#591620); #180808=VERTEX_POINT('',#591624); #180809=VERTEX_POINT('',#591626); #180810=VERTEX_POINT('',#591633); #180811=VERTEX_POINT('',#591635); #180812=VERTEX_POINT('',#591639); #180813=VERTEX_POINT('',#591644); #180814=VERTEX_POINT('',#591651); #180815=VERTEX_POINT('',#591652); #180816=VERTEX_POINT('',#591654); #180817=VERTEX_POINT('',#591656); #180818=VERTEX_POINT('',#591660); #180819=VERTEX_POINT('',#591662); #180820=VERTEX_POINT('',#591666); #180821=VERTEX_POINT('',#591668); #180822=VERTEX_POINT('',#591677); #180823=VERTEX_POINT('',#591678); #180824=VERTEX_POINT('',#591680); #180825=VERTEX_POINT('',#591682); #180826=VERTEX_POINT('',#591686); #180827=VERTEX_POINT('',#591688); #180828=VERTEX_POINT('',#591692); #180829=VERTEX_POINT('',#591694); #180830=VERTEX_POINT('',#591703); #180831=VERTEX_POINT('',#591704); #180832=VERTEX_POINT('',#591706); #180833=VERTEX_POINT('',#591708); #180834=VERTEX_POINT('',#591712); #180835=VERTEX_POINT('',#591714); #180836=VERTEX_POINT('',#591718); #180837=VERTEX_POINT('',#591720); #180838=VERTEX_POINT('',#591729); #180839=VERTEX_POINT('',#591730); #180840=VERTEX_POINT('',#591732); #180841=VERTEX_POINT('',#591734); #180842=VERTEX_POINT('',#591738); #180843=VERTEX_POINT('',#591740); #180844=VERTEX_POINT('',#591744); #180845=VERTEX_POINT('',#591746); #180846=VERTEX_POINT('',#591755); #180847=VERTEX_POINT('',#591756); #180848=VERTEX_POINT('',#591758); #180849=VERTEX_POINT('',#591760); #180850=VERTEX_POINT('',#591764); #180851=VERTEX_POINT('',#591766); #180852=VERTEX_POINT('',#591770); #180853=VERTEX_POINT('',#591772); #180854=VERTEX_POINT('',#591784); #180855=VERTEX_POINT('',#591786); #180856=VERTEX_POINT('',#591791); #180857=VERTEX_POINT('',#591792); #180858=VERTEX_POINT('',#591794); #180859=VERTEX_POINT('',#591796); #180860=VERTEX_POINT('',#591800); #180861=VERTEX_POINT('',#591802); #180862=VERTEX_POINT('',#591806); #180863=VERTEX_POINT('',#591808); #180864=VERTEX_POINT('',#591815); #180865=VERTEX_POINT('',#591817); #180866=VERTEX_POINT('',#591821); #180867=VERTEX_POINT('',#591826); #180868=VERTEX_POINT('',#591828); #180869=VERTEX_POINT('',#591830); #180870=VERTEX_POINT('',#591834); #180871=VERTEX_POINT('',#591839); #180872=VERTEX_POINT('',#591849); #180873=VERTEX_POINT('',#591850); #180874=VERTEX_POINT('',#591852); #180875=VERTEX_POINT('',#591854); #180876=VERTEX_POINT('',#591856); #180877=VERTEX_POINT('',#591858); #180878=VERTEX_POINT('',#591860); #180879=VERTEX_POINT('',#591862); #180880=VERTEX_POINT('',#591864); #180881=VERTEX_POINT('',#591866); #180882=VERTEX_POINT('',#591868); #180883=VERTEX_POINT('',#591870); #180884=VERTEX_POINT('',#591874); #180885=VERTEX_POINT('',#591876); #180886=VERTEX_POINT('',#591880); #180887=VERTEX_POINT('',#591884); #180888=VERTEX_POINT('',#591888); #180889=VERTEX_POINT('',#591892); #180890=VERTEX_POINT('',#591896); #180891=VERTEX_POINT('',#591900); #180892=VERTEX_POINT('',#591904); #180893=VERTEX_POINT('',#591908); #180894=VERTEX_POINT('',#591912); #180895=VERTEX_POINT('',#591916); #180896=VERTEX_POINT('',#591923); #180897=VERTEX_POINT('',#591924); #180898=VERTEX_POINT('',#591926); #180899=VERTEX_POINT('',#591928); #180900=VERTEX_POINT('',#591930); #180901=VERTEX_POINT('',#591932); #180902=VERTEX_POINT('',#591934); #180903=VERTEX_POINT('',#591936); #180904=VERTEX_POINT('',#591938); #180905=VERTEX_POINT('',#591940); #180906=VERTEX_POINT('',#591942); #180907=VERTEX_POINT('',#591944); #180908=VERTEX_POINT('',#591948); #180909=VERTEX_POINT('',#591950); #180910=VERTEX_POINT('',#591954); #180911=VERTEX_POINT('',#591958); #180912=VERTEX_POINT('',#591962); #180913=VERTEX_POINT('',#591966); #180914=VERTEX_POINT('',#591970); #180915=VERTEX_POINT('',#591974); #180916=VERTEX_POINT('',#591978); #180917=VERTEX_POINT('',#591982); #180918=VERTEX_POINT('',#591986); #180919=VERTEX_POINT('',#591990); #180920=VERTEX_POINT('',#591997); #180921=VERTEX_POINT('',#591998); #180922=VERTEX_POINT('',#592000); #180923=VERTEX_POINT('',#592002); #180924=VERTEX_POINT('',#592004); #180925=VERTEX_POINT('',#592006); #180926=VERTEX_POINT('',#592008); #180927=VERTEX_POINT('',#592010); #180928=VERTEX_POINT('',#592012); #180929=VERTEX_POINT('',#592014); #180930=VERTEX_POINT('',#592016); #180931=VERTEX_POINT('',#592018); #180932=VERTEX_POINT('',#592022); #180933=VERTEX_POINT('',#592024); #180934=VERTEX_POINT('',#592028); #180935=VERTEX_POINT('',#592032); #180936=VERTEX_POINT('',#592036); #180937=VERTEX_POINT('',#592040); #180938=VERTEX_POINT('',#592044); #180939=VERTEX_POINT('',#592048); #180940=VERTEX_POINT('',#592052); #180941=VERTEX_POINT('',#592056); #180942=VERTEX_POINT('',#592060); #180943=VERTEX_POINT('',#592064); #180944=VERTEX_POINT('',#592071); #180945=VERTEX_POINT('',#592072); #180946=VERTEX_POINT('',#592074); #180947=VERTEX_POINT('',#592076); #180948=VERTEX_POINT('',#592078); #180949=VERTEX_POINT('',#592080); #180950=VERTEX_POINT('',#592082); #180951=VERTEX_POINT('',#592084); #180952=VERTEX_POINT('',#592086); #180953=VERTEX_POINT('',#592088); #180954=VERTEX_POINT('',#592090); #180955=VERTEX_POINT('',#592092); #180956=VERTEX_POINT('',#592096); #180957=VERTEX_POINT('',#592098); #180958=VERTEX_POINT('',#592102); #180959=VERTEX_POINT('',#592106); #180960=VERTEX_POINT('',#592110); #180961=VERTEX_POINT('',#592114); #180962=VERTEX_POINT('',#592118); #180963=VERTEX_POINT('',#592122); #180964=VERTEX_POINT('',#592126); #180965=VERTEX_POINT('',#592130); #180966=VERTEX_POINT('',#592134); #180967=VERTEX_POINT('',#592138); #180968=VERTEX_POINT('',#592145); #180969=VERTEX_POINT('',#592146); #180970=VERTEX_POINT('',#592148); #180971=VERTEX_POINT('',#592150); #180972=VERTEX_POINT('',#592152); #180973=VERTEX_POINT('',#592154); #180974=VERTEX_POINT('',#592156); #180975=VERTEX_POINT('',#592158); #180976=VERTEX_POINT('',#592160); #180977=VERTEX_POINT('',#592162); #180978=VERTEX_POINT('',#592164); #180979=VERTEX_POINT('',#592166); #180980=VERTEX_POINT('',#592170); #180981=VERTEX_POINT('',#592172); #180982=VERTEX_POINT('',#592176); #180983=VERTEX_POINT('',#592180); #180984=VERTEX_POINT('',#592184); #180985=VERTEX_POINT('',#592188); #180986=VERTEX_POINT('',#592192); #180987=VERTEX_POINT('',#592196); #180988=VERTEX_POINT('',#592200); #180989=VERTEX_POINT('',#592204); #180990=VERTEX_POINT('',#592208); #180991=VERTEX_POINT('',#592212); #180992=VERTEX_POINT('',#592219); #180993=VERTEX_POINT('',#592220); #180994=VERTEX_POINT('',#592222); #180995=VERTEX_POINT('',#592224); #180996=VERTEX_POINT('',#592226); #180997=VERTEX_POINT('',#592228); #180998=VERTEX_POINT('',#592230); #180999=VERTEX_POINT('',#592232); #181000=VERTEX_POINT('',#592234); #181001=VERTEX_POINT('',#592236); #181002=VERTEX_POINT('',#592238); #181003=VERTEX_POINT('',#592240); #181004=VERTEX_POINT('',#592244); #181005=VERTEX_POINT('',#592246); #181006=VERTEX_POINT('',#592250); #181007=VERTEX_POINT('',#592254); #181008=VERTEX_POINT('',#592258); #181009=VERTEX_POINT('',#592262); #181010=VERTEX_POINT('',#592266); #181011=VERTEX_POINT('',#592270); #181012=VERTEX_POINT('',#592274); #181013=VERTEX_POINT('',#592278); #181014=VERTEX_POINT('',#592282); #181015=VERTEX_POINT('',#592286); #181016=VERTEX_POINT('',#592293); #181017=VERTEX_POINT('',#592294); #181018=VERTEX_POINT('',#592296); #181019=VERTEX_POINT('',#592298); #181020=VERTEX_POINT('',#592300); #181021=VERTEX_POINT('',#592302); #181022=VERTEX_POINT('',#592304); #181023=VERTEX_POINT('',#592306); #181024=VERTEX_POINT('',#592308); #181025=VERTEX_POINT('',#592310); #181026=VERTEX_POINT('',#592312); #181027=VERTEX_POINT('',#592314); #181028=VERTEX_POINT('',#592318); #181029=VERTEX_POINT('',#592320); #181030=VERTEX_POINT('',#592324); #181031=VERTEX_POINT('',#592328); #181032=VERTEX_POINT('',#592332); #181033=VERTEX_POINT('',#592336); #181034=VERTEX_POINT('',#592340); #181035=VERTEX_POINT('',#592344); #181036=VERTEX_POINT('',#592348); #181037=VERTEX_POINT('',#592352); #181038=VERTEX_POINT('',#592356); #181039=VERTEX_POINT('',#592360); #181040=VERTEX_POINT('',#592367); #181041=VERTEX_POINT('',#592368); #181042=VERTEX_POINT('',#592370); #181043=VERTEX_POINT('',#592372); #181044=VERTEX_POINT('',#592374); #181045=VERTEX_POINT('',#592376); #181046=VERTEX_POINT('',#592378); #181047=VERTEX_POINT('',#592380); #181048=VERTEX_POINT('',#592382); #181049=VERTEX_POINT('',#592384); #181050=VERTEX_POINT('',#592386); #181051=VERTEX_POINT('',#592388); #181052=VERTEX_POINT('',#592392); #181053=VERTEX_POINT('',#592394); #181054=VERTEX_POINT('',#592398); #181055=VERTEX_POINT('',#592402); #181056=VERTEX_POINT('',#592406); #181057=VERTEX_POINT('',#592410); #181058=VERTEX_POINT('',#592414); #181059=VERTEX_POINT('',#592418); #181060=VERTEX_POINT('',#592422); #181061=VERTEX_POINT('',#592426); #181062=VERTEX_POINT('',#592430); #181063=VERTEX_POINT('',#592434); #181064=VERTEX_POINT('',#592444); #181065=VERTEX_POINT('',#592446); #181066=VERTEX_POINT('',#592451); #181067=VERTEX_POINT('',#592452); #181068=VERTEX_POINT('',#592454); #181069=VERTEX_POINT('',#592456); #181070=VERTEX_POINT('',#592460); #181071=VERTEX_POINT('',#592462); #181072=VERTEX_POINT('',#592466); #181073=VERTEX_POINT('',#592468); #181074=VERTEX_POINT('',#592475); #181075=VERTEX_POINT('',#592477); #181076=VERTEX_POINT('',#592481); #181077=VERTEX_POINT('',#592486); #181078=VERTEX_POINT('',#592488); #181079=VERTEX_POINT('',#592490); #181080=VERTEX_POINT('',#592494); #181081=VERTEX_POINT('',#592499); #181082=VERTEX_POINT('',#592509); #181083=VERTEX_POINT('',#592510); #181084=VERTEX_POINT('',#592512); #181085=VERTEX_POINT('',#592514); #181086=VERTEX_POINT('',#592516); #181087=VERTEX_POINT('',#592518); #181088=VERTEX_POINT('',#592520); #181089=VERTEX_POINT('',#592522); #181090=VERTEX_POINT('',#592524); #181091=VERTEX_POINT('',#592526); #181092=VERTEX_POINT('',#592528); #181093=VERTEX_POINT('',#592530); #181094=VERTEX_POINT('',#592534); #181095=VERTEX_POINT('',#592536); #181096=VERTEX_POINT('',#592540); #181097=VERTEX_POINT('',#592544); #181098=VERTEX_POINT('',#592548); #181099=VERTEX_POINT('',#592552); #181100=VERTEX_POINT('',#592556); #181101=VERTEX_POINT('',#592560); #181102=VERTEX_POINT('',#592564); #181103=VERTEX_POINT('',#592568); #181104=VERTEX_POINT('',#592572); #181105=VERTEX_POINT('',#592576); #181106=VERTEX_POINT('',#592583); #181107=VERTEX_POINT('',#592584); #181108=VERTEX_POINT('',#592586); #181109=VERTEX_POINT('',#592588); #181110=VERTEX_POINT('',#592590); #181111=VERTEX_POINT('',#592592); #181112=VERTEX_POINT('',#592594); #181113=VERTEX_POINT('',#592596); #181114=VERTEX_POINT('',#592598); #181115=VERTEX_POINT('',#592600); #181116=VERTEX_POINT('',#592602); #181117=VERTEX_POINT('',#592604); #181118=VERTEX_POINT('',#592608); #181119=VERTEX_POINT('',#592610); #181120=VERTEX_POINT('',#592614); #181121=VERTEX_POINT('',#592618); #181122=VERTEX_POINT('',#592622); #181123=VERTEX_POINT('',#592626); #181124=VERTEX_POINT('',#592630); #181125=VERTEX_POINT('',#592634); #181126=VERTEX_POINT('',#592638); #181127=VERTEX_POINT('',#592642); #181128=VERTEX_POINT('',#592646); #181129=VERTEX_POINT('',#592650); #181130=VERTEX_POINT('',#592657); #181131=VERTEX_POINT('',#592658); #181132=VERTEX_POINT('',#592660); #181133=VERTEX_POINT('',#592662); #181134=VERTEX_POINT('',#592664); #181135=VERTEX_POINT('',#592666); #181136=VERTEX_POINT('',#592668); #181137=VERTEX_POINT('',#592670); #181138=VERTEX_POINT('',#592672); #181139=VERTEX_POINT('',#592674); #181140=VERTEX_POINT('',#592676); #181141=VERTEX_POINT('',#592678); #181142=VERTEX_POINT('',#592682); #181143=VERTEX_POINT('',#592684); #181144=VERTEX_POINT('',#592688); #181145=VERTEX_POINT('',#592692); #181146=VERTEX_POINT('',#592696); #181147=VERTEX_POINT('',#592700); #181148=VERTEX_POINT('',#592704); #181149=VERTEX_POINT('',#592708); #181150=VERTEX_POINT('',#592712); #181151=VERTEX_POINT('',#592716); #181152=VERTEX_POINT('',#592720); #181153=VERTEX_POINT('',#592724); #181154=VERTEX_POINT('',#592731); #181155=VERTEX_POINT('',#592732); #181156=VERTEX_POINT('',#592734); #181157=VERTEX_POINT('',#592736); #181158=VERTEX_POINT('',#592738); #181159=VERTEX_POINT('',#592740); #181160=VERTEX_POINT('',#592742); #181161=VERTEX_POINT('',#592744); #181162=VERTEX_POINT('',#592746); #181163=VERTEX_POINT('',#592748); #181164=VERTEX_POINT('',#592750); #181165=VERTEX_POINT('',#592752); #181166=VERTEX_POINT('',#592756); #181167=VERTEX_POINT('',#592758); #181168=VERTEX_POINT('',#592762); #181169=VERTEX_POINT('',#592766); #181170=VERTEX_POINT('',#592770); #181171=VERTEX_POINT('',#592774); #181172=VERTEX_POINT('',#592778); #181173=VERTEX_POINT('',#592782); #181174=VERTEX_POINT('',#592786); #181175=VERTEX_POINT('',#592790); #181176=VERTEX_POINT('',#592794); #181177=VERTEX_POINT('',#592798); #181178=VERTEX_POINT('',#592805); #181179=VERTEX_POINT('',#592806); #181180=VERTEX_POINT('',#592808); #181181=VERTEX_POINT('',#592810); #181182=VERTEX_POINT('',#592812); #181183=VERTEX_POINT('',#592814); #181184=VERTEX_POINT('',#592816); #181185=VERTEX_POINT('',#592818); #181186=VERTEX_POINT('',#592820); #181187=VERTEX_POINT('',#592822); #181188=VERTEX_POINT('',#592824); #181189=VERTEX_POINT('',#592826); #181190=VERTEX_POINT('',#592830); #181191=VERTEX_POINT('',#592832); #181192=VERTEX_POINT('',#592836); #181193=VERTEX_POINT('',#592840); #181194=VERTEX_POINT('',#592844); #181195=VERTEX_POINT('',#592848); #181196=VERTEX_POINT('',#592852); #181197=VERTEX_POINT('',#592856); #181198=VERTEX_POINT('',#592860); #181199=VERTEX_POINT('',#592864); #181200=VERTEX_POINT('',#592868); #181201=VERTEX_POINT('',#592872); #181202=VERTEX_POINT('',#592882); #181203=VERTEX_POINT('',#592883); #181204=VERTEX_POINT('',#592885); #181205=VERTEX_POINT('',#592889); #181206=VERTEX_POINT('',#592890); #181207=VERTEX_POINT('',#592892); #181208=VERTEX_POINT('',#592896); #181209=VERTEX_POINT('',#592897); #181210=VERTEX_POINT('',#592899); #181211=VERTEX_POINT('',#592903); #181212=VERTEX_POINT('',#592904); #181213=VERTEX_POINT('',#592906); #181214=VERTEX_POINT('',#592910); #181215=VERTEX_POINT('',#592911); #181216=VERTEX_POINT('',#592913); #181217=VERTEX_POINT('',#592920); #181218=VERTEX_POINT('',#592921); #181219=VERTEX_POINT('',#592923); #181220=VERTEX_POINT('',#592927); #181221=VERTEX_POINT('',#592928); #181222=VERTEX_POINT('',#592930); #181223=VERTEX_POINT('',#592940); #181224=VERTEX_POINT('',#592941); #181225=VERTEX_POINT('',#592943); #181226=VERTEX_POINT('',#592980); #181227=VERTEX_POINT('',#592981); #181228=VERTEX_POINT('',#592983); #181229=VERTEX_POINT('',#592987); #181230=VERTEX_POINT('',#592988); #181231=VERTEX_POINT('',#592990); #181232=VERTEX_POINT('',#592994); #181233=VERTEX_POINT('',#592995); #181234=VERTEX_POINT('',#592997); #181235=VERTEX_POINT('',#593001); #181236=VERTEX_POINT('',#593002); #181237=VERTEX_POINT('',#593004); #181238=VERTEX_POINT('',#593008); #181239=VERTEX_POINT('',#593009); #181240=VERTEX_POINT('',#593011); #181241=VERTEX_POINT('',#593018); #181242=VERTEX_POINT('',#593019); #181243=VERTEX_POINT('',#593021); #181244=VERTEX_POINT('',#593025); #181245=VERTEX_POINT('',#593026); #181246=VERTEX_POINT('',#593028); #181247=VERTEX_POINT('',#593038); #181248=VERTEX_POINT('',#593039); #181249=VERTEX_POINT('',#593041); #181250=VERTEX_POINT('',#593078); #181251=VERTEX_POINT('',#593079); #181252=VERTEX_POINT('',#593081); #181253=VERTEX_POINT('',#593083); #181254=VERTEX_POINT('',#593087); #181255=VERTEX_POINT('',#593089); #181256=VERTEX_POINT('',#593093); #181257=VERTEX_POINT('',#593095); #181258=VERTEX_POINT('',#593181); #181259=VERTEX_POINT('',#593183); #181260=VERTEX_POINT('',#593187); #181261=VERTEX_POINT('',#593189); #181262=VERTEX_POINT('',#593193); #181263=VERTEX_POINT('',#593194); #181264=VERTEX_POINT('',#593196); #181265=VERTEX_POINT('',#593198); #181266=VERTEX_POINT('',#593202); #181267=VERTEX_POINT('',#593204); #181268=VERTEX_POINT('',#593208); #181269=VERTEX_POINT('',#593210); #181270=VERTEX_POINT('',#593214); #181271=VERTEX_POINT('',#593216); #181272=VERTEX_POINT('',#593220); #181273=VERTEX_POINT('',#593222); #181274=VERTEX_POINT('',#593226); #181275=VERTEX_POINT('',#593228); #181276=VERTEX_POINT('',#593232); #181277=VERTEX_POINT('',#593234); #181278=VERTEX_POINT('',#593238); #181279=VERTEX_POINT('',#593240); #181280=VERTEX_POINT('',#593244); #181281=VERTEX_POINT('',#593246); #181282=VERTEX_POINT('',#593250); #181283=VERTEX_POINT('',#593252); #181284=VERTEX_POINT('',#593256); #181285=VERTEX_POINT('',#593258); #181286=VERTEX_POINT('',#593268); #181287=VERTEX_POINT('',#593270); #181288=VERTEX_POINT('',#593274); #181289=VERTEX_POINT('',#593276); #181290=VERTEX_POINT('',#593280); #181291=VERTEX_POINT('',#593281); #181292=VERTEX_POINT('',#593283); #181293=VERTEX_POINT('',#593285); #181294=VERTEX_POINT('',#593289); #181295=VERTEX_POINT('',#593291); #181296=VERTEX_POINT('',#593295); #181297=VERTEX_POINT('',#593297); #181298=VERTEX_POINT('',#593301); #181299=VERTEX_POINT('',#593303); #181300=VERTEX_POINT('',#593307); #181301=VERTEX_POINT('',#593309); #181302=VERTEX_POINT('',#593313); #181303=VERTEX_POINT('',#593315); #181304=VERTEX_POINT('',#593319); #181305=VERTEX_POINT('',#593321); #181306=VERTEX_POINT('',#593325); #181307=VERTEX_POINT('',#593327); #181308=VERTEX_POINT('',#593331); #181309=VERTEX_POINT('',#593333); #181310=VERTEX_POINT('',#593337); #181311=VERTEX_POINT('',#593339); #181312=VERTEX_POINT('',#593343); #181313=VERTEX_POINT('',#593345); #181314=VERTEX_POINT('',#593355); #181315=VERTEX_POINT('',#593357); #181316=VERTEX_POINT('',#593361); #181317=VERTEX_POINT('',#593363); #181318=VERTEX_POINT('',#593367); #181319=VERTEX_POINT('',#593368); #181320=VERTEX_POINT('',#593370); #181321=VERTEX_POINT('',#593372); #181322=VERTEX_POINT('',#593376); #181323=VERTEX_POINT('',#593378); #181324=VERTEX_POINT('',#593382); #181325=VERTEX_POINT('',#593384); #181326=VERTEX_POINT('',#593388); #181327=VERTEX_POINT('',#593390); #181328=VERTEX_POINT('',#593394); #181329=VERTEX_POINT('',#593396); #181330=VERTEX_POINT('',#593400); #181331=VERTEX_POINT('',#593402); #181332=VERTEX_POINT('',#593406); #181333=VERTEX_POINT('',#593408); #181334=VERTEX_POINT('',#593412); #181335=VERTEX_POINT('',#593414); #181336=VERTEX_POINT('',#593418); #181337=VERTEX_POINT('',#593420); #181338=VERTEX_POINT('',#593424); #181339=VERTEX_POINT('',#593426); #181340=VERTEX_POINT('',#593430); #181341=VERTEX_POINT('',#593432); #181342=VERTEX_POINT('',#593441); #181343=VERTEX_POINT('',#593442); #181344=VERTEX_POINT('',#593444); #181345=VERTEX_POINT('',#593446); #181346=VERTEX_POINT('',#593450); #181347=VERTEX_POINT('',#593452); #181348=VERTEX_POINT('',#593456); #181349=VERTEX_POINT('',#593460); #181350=VERTEX_POINT('',#593470); #181351=VERTEX_POINT('',#593472); #181352=VERTEX_POINT('',#593476); #181353=VERTEX_POINT('',#593477); #181354=VERTEX_POINT('',#593479); #181355=VERTEX_POINT('',#593481); #181356=VERTEX_POINT('',#593485); #181357=VERTEX_POINT('',#593486); #181358=VERTEX_POINT('',#593491); #181359=VERTEX_POINT('',#593493); #181360=VERTEX_POINT('',#593497); #181361=VERTEX_POINT('',#593501); #181362=VERTEX_POINT('',#593507); #181363=VERTEX_POINT('',#593509); #181364=VERTEX_POINT('',#593513); #181365=VERTEX_POINT('',#593516); #181366=VERTEX_POINT('',#593519); #181367=VERTEX_POINT('',#593520); #181368=VERTEX_POINT('',#593522); #181369=VERTEX_POINT('',#593524); #181370=VERTEX_POINT('',#593527); #181371=VERTEX_POINT('',#593528); #181372=VERTEX_POINT('',#593530); #181373=VERTEX_POINT('',#593532); #181374=VERTEX_POINT('',#593535); #181375=VERTEX_POINT('',#593536); #181376=VERTEX_POINT('',#593538); #181377=VERTEX_POINT('',#593540); #181378=VERTEX_POINT('',#593544); #181379=VERTEX_POINT('',#593548); #181380=VERTEX_POINT('',#593554); #181381=VERTEX_POINT('',#593558); #181382=VERTEX_POINT('',#593564); #181383=VERTEX_POINT('',#593568); #181384=VERTEX_POINT('',#593574); #181385=VERTEX_POINT('',#593578); #181386=VERTEX_POINT('',#593584); #181387=VERTEX_POINT('',#593588); #181388=VERTEX_POINT('',#593594); #181389=VERTEX_POINT('',#593598); #181390=VERTEX_POINT('',#593604); #181391=VERTEX_POINT('',#593608); #181392=VERTEX_POINT('',#593629); #181393=VERTEX_POINT('',#593634); #181394=VERTEX_POINT('',#593635); #181395=VERTEX_POINT('',#593637); #181396=VERTEX_POINT('',#593642); #181397=VERTEX_POINT('',#593649); #181398=VERTEX_POINT('',#593650); #181399=VERTEX_POINT('',#593655); #181400=VERTEX_POINT('',#593657); #181401=VERTEX_POINT('',#593666); #181402=VERTEX_POINT('',#593668); #181403=VERTEX_POINT('',#593675); #181404=VERTEX_POINT('',#593676); #181405=VERTEX_POINT('',#593686); #181406=VERTEX_POINT('',#593688); #181407=VERTEX_POINT('',#593692); #181408=VERTEX_POINT('',#593697); #181409=VERTEX_POINT('',#593703); #181410=VERTEX_POINT('',#593704); #181411=VERTEX_POINT('',#593706); #181412=VERTEX_POINT('',#593710); #181413=VERTEX_POINT('',#593711); #181414=VERTEX_POINT('',#593713); #181415=VERTEX_POINT('',#593715); #181416=VERTEX_POINT('',#593717); #181417=VERTEX_POINT('',#593719); #181418=VERTEX_POINT('',#593723); #181419=VERTEX_POINT('',#593724); #181420=VERTEX_POINT('',#593735); #181421=VERTEX_POINT('',#593739); #181422=VERTEX_POINT('',#593740); #181423=VERTEX_POINT('',#593751); #181424=VERTEX_POINT('',#593755); #181425=VERTEX_POINT('',#593756); #181426=VERTEX_POINT('',#593767); #181427=VERTEX_POINT('',#593771); #181428=VERTEX_POINT('',#593772); #181429=VERTEX_POINT('',#593783); #181430=VERTEX_POINT('',#593787); #181431=VERTEX_POINT('',#593788); #181432=VERTEX_POINT('',#593790); #181433=VERTEX_POINT('',#593794); #181434=VERTEX_POINT('',#593796); #181435=VERTEX_POINT('',#593809); #181436=VERTEX_POINT('',#593811); #181437=VERTEX_POINT('',#593815); #181438=VERTEX_POINT('',#593820); #181439=VERTEX_POINT('',#593833); #181440=VERTEX_POINT('',#593846); #181441=VERTEX_POINT('',#593848); #181442=VERTEX_POINT('',#593850); #181443=VERTEX_POINT('',#593854); #181444=VERTEX_POINT('',#593856); #181445=VERTEX_POINT('',#593869); #181446=VERTEX_POINT('',#593871); #181447=VERTEX_POINT('',#593884); #181448=VERTEX_POINT('',#593887); #181449=VERTEX_POINT('',#593890); #181450=VERTEX_POINT('',#593894); #181451=VERTEX_POINT('',#593907); #181452=VERTEX_POINT('',#593921); #181453=VERTEX_POINT('',#593926); #181454=VERTEX_POINT('',#593941); #181455=VERTEX_POINT('',#593942); #181456=VERTEX_POINT('',#593944); #181457=VERTEX_POINT('',#593946); #181458=VERTEX_POINT('',#593949); #181459=VERTEX_POINT('',#593951); #181460=VERTEX_POINT('',#593953); #181461=VERTEX_POINT('',#593955); #181462=VERTEX_POINT('',#593958); #181463=VERTEX_POINT('',#593960); #181464=VERTEX_POINT('',#593962); #181465=VERTEX_POINT('',#593964); #181466=VERTEX_POINT('',#593966); #181467=VERTEX_POINT('',#593968); #181468=VERTEX_POINT('',#593970); #181469=VERTEX_POINT('',#593972); #181470=VERTEX_POINT('',#593974); #181471=VERTEX_POINT('',#593976); #181472=VERTEX_POINT('',#593980); #181473=VERTEX_POINT('',#593984); #181474=VERTEX_POINT('',#593986); #181475=VERTEX_POINT('',#593990); #181476=VERTEX_POINT('',#593992); #181477=VERTEX_POINT('',#593996); #181478=VERTEX_POINT('',#594004); #181479=VERTEX_POINT('',#594006); #181480=VERTEX_POINT('',#594009); #181481=VERTEX_POINT('',#594013); #181482=VERTEX_POINT('',#594016); #181483=VERTEX_POINT('',#594018); #181484=VERTEX_POINT('',#594022); #181485=VERTEX_POINT('',#594024); #181486=VERTEX_POINT('',#594026); #181487=VERTEX_POINT('',#594053); #181488=VERTEX_POINT('',#594054); #181489=VERTEX_POINT('',#594056); #181490=VERTEX_POINT('',#594058); #181491=VERTEX_POINT('',#594062); #181492=VERTEX_POINT('',#594064); #181493=VERTEX_POINT('',#594068); #181494=VERTEX_POINT('',#594069); #181495=VERTEX_POINT('',#594071); #181496=VERTEX_POINT('',#594073); #181497=VERTEX_POINT('',#594077); #181498=VERTEX_POINT('',#594079); #181499=VERTEX_POINT('',#594083); #181500=VERTEX_POINT('',#594085); #181501=VERTEX_POINT('',#594092); #181502=VERTEX_POINT('',#594094); #181503=VERTEX_POINT('',#594101); #181504=VERTEX_POINT('',#594103); #181505=VERTEX_POINT('',#594107); #181506=VERTEX_POINT('',#594108); #181507=VERTEX_POINT('',#594110); #181508=VERTEX_POINT('',#594112); #181509=VERTEX_POINT('',#594115); #181510=VERTEX_POINT('',#594117); #181511=VERTEX_POINT('',#594118); #181512=VERTEX_POINT('',#594121); #181513=VERTEX_POINT('',#594122); #181514=VERTEX_POINT('',#594126); #181515=VERTEX_POINT('',#594130); #181516=VERTEX_POINT('',#594134); #181517=VERTEX_POINT('',#594138); #181518=VERTEX_POINT('',#594140); #181519=VERTEX_POINT('',#594144); #181520=VERTEX_POINT('',#594145); #181521=VERTEX_POINT('',#594148); #181522=VERTEX_POINT('',#594150); #181523=VERTEX_POINT('',#594151); #181524=VERTEX_POINT('',#594154); #181525=VERTEX_POINT('',#594155); #181526=VERTEX_POINT('',#594159); #181527=VERTEX_POINT('',#594163); #181528=VERTEX_POINT('',#594167); #181529=VERTEX_POINT('',#594171); #181530=VERTEX_POINT('',#594172); #181531=VERTEX_POINT('',#594185); #181532=VERTEX_POINT('',#594187); #181533=VERTEX_POINT('',#594203); #181534=VERTEX_POINT('',#594208); #181535=VERTEX_POINT('',#594217); #181536=VERTEX_POINT('',#594219); #181537=VERTEX_POINT('',#594237); #181538=VERTEX_POINT('',#594238); #181539=VERTEX_POINT('',#594240); #181540=VERTEX_POINT('',#594242); #181541=VERTEX_POINT('',#594246); #181542=VERTEX_POINT('',#594247); #181543=VERTEX_POINT('',#594256); #181544=VERTEX_POINT('',#594260); #181545=VERTEX_POINT('',#594266); #181546=VERTEX_POINT('',#594273); #181547=VERTEX_POINT('',#594279); #181548=VERTEX_POINT('',#594280); #181549=VERTEX_POINT('',#594282); #181550=VERTEX_POINT('',#594284); #181551=VERTEX_POINT('',#594296); #181552=VERTEX_POINT('',#594297); #181553=VERTEX_POINT('',#594299); #181554=VERTEX_POINT('',#594303); #181555=VERTEX_POINT('',#594304); #181556=VERTEX_POINT('',#594307); #181557=VERTEX_POINT('',#594311); #181558=VERTEX_POINT('',#594315); #181559=VERTEX_POINT('',#594317); #181560=VERTEX_POINT('',#594321); #181561=VERTEX_POINT('',#594322); #181562=VERTEX_POINT('',#594325); #181563=VERTEX_POINT('',#594332); #181564=VERTEX_POINT('',#594333); #181565=VERTEX_POINT('',#594335); #181566=VERTEX_POINT('',#594339); #181567=VERTEX_POINT('',#594341); #181568=VERTEX_POINT('',#594345); #181569=VERTEX_POINT('',#594346); #181570=VERTEX_POINT('',#594349); #181571=VERTEX_POINT('',#594353); #181572=VERTEX_POINT('',#594357); #181573=VERTEX_POINT('',#594358); #181574=VERTEX_POINT('',#594360); #181575=VERTEX_POINT('',#594368); #181576=VERTEX_POINT('',#594370); #181577=VERTEX_POINT('',#594374); #181578=VERTEX_POINT('',#594376); #181579=VERTEX_POINT('',#594380); #181580=VERTEX_POINT('',#594381); #181581=VERTEX_POINT('',#594384); #181582=VERTEX_POINT('',#594388); #181583=VERTEX_POINT('',#594392); #181584=VERTEX_POINT('',#594394); #181585=VERTEX_POINT('',#594402); #181586=VERTEX_POINT('',#594403); #181587=VERTEX_POINT('',#594405); #181588=VERTEX_POINT('',#594407); #181589=VERTEX_POINT('',#594414); #181590=VERTEX_POINT('',#594418); #181591=VERTEX_POINT('',#594424); #181592=VERTEX_POINT('',#594426); #181593=VERTEX_POINT('',#594430); #181594=VERTEX_POINT('',#594432); #181595=VERTEX_POINT('',#594436); #181596=VERTEX_POINT('',#594438); #181597=VERTEX_POINT('',#594442); #181598=VERTEX_POINT('',#594444); #181599=VERTEX_POINT('',#594446); #181600=VERTEX_POINT('',#594450); #181601=VERTEX_POINT('',#594454); #181602=VERTEX_POINT('',#594456); #181603=VERTEX_POINT('',#594460); #181604=VERTEX_POINT('',#594462); #181605=VERTEX_POINT('',#594466); #181606=VERTEX_POINT('',#594468); #181607=VERTEX_POINT('',#594472); #181608=VERTEX_POINT('',#594473); #181609=VERTEX_POINT('',#594475); #181610=VERTEX_POINT('',#594477); #181611=VERTEX_POINT('',#594484); #181612=VERTEX_POINT('',#594485); #181613=VERTEX_POINT('',#594487); #181614=VERTEX_POINT('',#594489); #181615=VERTEX_POINT('',#594494); #181616=VERTEX_POINT('',#594496); #181617=VERTEX_POINT('',#594500); #181618=VERTEX_POINT('',#594501); #181619=VERTEX_POINT('',#594503); #181620=VERTEX_POINT('',#594505); #181621=VERTEX_POINT('',#594512); #181622=VERTEX_POINT('',#594513); #181623=VERTEX_POINT('',#594515); #181624=VERTEX_POINT('',#594517); #181625=VERTEX_POINT('',#594522); #181626=VERTEX_POINT('',#594524); #181627=VERTEX_POINT('',#594526); #181628=VERTEX_POINT('',#594530); #181629=VERTEX_POINT('',#594534); #181630=VERTEX_POINT('',#594541); #181631=VERTEX_POINT('',#594543); #181632=VERTEX_POINT('',#594547); #181633=VERTEX_POINT('',#594548); #181634=VERTEX_POINT('',#594550); #181635=VERTEX_POINT('',#594552); #181636=VERTEX_POINT('',#594554); #181637=VERTEX_POINT('',#594556); #181638=VERTEX_POINT('',#594558); #181639=VERTEX_POINT('',#594560); #181640=VERTEX_POINT('',#594567); #181641=VERTEX_POINT('',#594568); #181642=VERTEX_POINT('',#594570); #181643=VERTEX_POINT('',#594572); #181644=VERTEX_POINT('',#594574); #181645=VERTEX_POINT('',#594576); #181646=VERTEX_POINT('',#594578); #181647=VERTEX_POINT('',#594580); #181648=VERTEX_POINT('',#594587); #181649=VERTEX_POINT('',#594592); #181650=VERTEX_POINT('',#594594); #181651=VERTEX_POINT('',#594603); #181652=VERTEX_POINT('',#594605); #181653=VERTEX_POINT('',#594607); #181654=VERTEX_POINT('',#594615); #181655=VERTEX_POINT('',#594621); #181656=VERTEX_POINT('',#594623); #181657=VERTEX_POINT('',#594625); #181658=VERTEX_POINT('',#594627); #181659=VERTEX_POINT('',#594631); #181660=VERTEX_POINT('',#594633); #181661=VERTEX_POINT('',#594637); #181662=VERTEX_POINT('',#594639); #181663=VERTEX_POINT('',#594650); #181664=VERTEX_POINT('',#594651); #181665=VERTEX_POINT('',#594653); #181666=VERTEX_POINT('',#594655); #181667=VERTEX_POINT('',#594659); #181668=VERTEX_POINT('',#594661); #181669=VERTEX_POINT('',#594665); #181670=VERTEX_POINT('',#594666); #181671=VERTEX_POINT('',#594668); #181672=VERTEX_POINT('',#594670); #181673=VERTEX_POINT('',#594674); #181674=VERTEX_POINT('',#594676); #181675=VERTEX_POINT('',#594680); #181676=VERTEX_POINT('',#594682); #181677=VERTEX_POINT('',#594689); #181678=VERTEX_POINT('',#594691); #181679=VERTEX_POINT('',#594698); #181680=VERTEX_POINT('',#594699); #181681=VERTEX_POINT('',#594701); #181682=VERTEX_POINT('',#594703); #181683=VERTEX_POINT('',#594707); #181684=VERTEX_POINT('',#594709); #181685=VERTEX_POINT('',#594713); #181686=VERTEX_POINT('',#594715); #181687=VERTEX_POINT('',#594722); #181688=VERTEX_POINT('',#594724); #181689=VERTEX_POINT('',#594728); #181690=VERTEX_POINT('',#594729); #181691=VERTEX_POINT('',#594731); #181692=VERTEX_POINT('',#594733); #181693=VERTEX_POINT('',#594737); #181694=VERTEX_POINT('',#594739); #181695=VERTEX_POINT('',#594743); #181696=VERTEX_POINT('',#594745); #181697=VERTEX_POINT('',#594781); #181698=VERTEX_POINT('',#594782); #181699=VERTEX_POINT('',#594784); #181700=VERTEX_POINT('',#594789); #181701=VERTEX_POINT('',#594824); #181702=VERTEX_POINT('',#594825); #181703=VERTEX_POINT('',#594828); #181704=VERTEX_POINT('',#594863); #181705=VERTEX_POINT('',#594865); #181706=VERTEX_POINT('',#594916); #181707=VERTEX_POINT('',#594918); #181708=VERTEX_POINT('',#594957); #181709=VERTEX_POINT('',#594959); #181710=VERTEX_POINT('',#594990); #181711=VERTEX_POINT('',#594992); #181712=VERTEX_POINT('',#595026); #181713=VERTEX_POINT('',#595028); #181714=VERTEX_POINT('',#595091); #181715=VERTEX_POINT('',#595092); #181716=VERTEX_POINT('',#595094); #181717=VERTEX_POINT('',#595096); #181718=VERTEX_POINT('',#595098); #181719=VERTEX_POINT('',#595100); #181720=VERTEX_POINT('',#595102); #181721=VERTEX_POINT('',#595104); #181722=VERTEX_POINT('',#595123); #181723=VERTEX_POINT('',#595125); #181724=VERTEX_POINT('',#595156); #181725=VERTEX_POINT('',#595199); #181726=VERTEX_POINT('',#595230); #181727=VERTEX_POINT('',#595249); #181728=VERTEX_POINT('',#595251); #181729=VERTEX_POINT('',#595282); #181730=VERTEX_POINT('',#595325); #181731=VERTEX_POINT('',#595358); #181732=VERTEX_POINT('',#595360); #181733=VERTEX_POINT('',#595364); #181734=VERTEX_POINT('',#595368); #181735=VERTEX_POINT('',#595371); #181736=VERTEX_POINT('',#595378); #181737=VERTEX_POINT('',#595380); #181738=VERTEX_POINT('',#595386); #181739=VERTEX_POINT('',#595388); #181740=VERTEX_POINT('',#595394); #181741=VERTEX_POINT('',#595396); #181742=VERTEX_POINT('',#595402); #181743=VERTEX_POINT('',#595404); #181744=EDGE_CURVE('',#149945,#149946,#72111,.T.); #181745=EDGE_CURVE('',#149945,#149947,#63681,.T.); #181746=EDGE_CURVE('',#149948,#149947,#63001,.T.); #181747=EDGE_CURVE('',#149946,#149948,#63002,.T.); #181748=EDGE_CURVE('',#149949,#149950,#72112,.T.); #181749=EDGE_CURVE('',#149949,#149951,#63682,.T.); #181750=EDGE_CURVE('',#149952,#149951,#72113,.T.); #181751=EDGE_CURVE('',#149952,#149950,#63683,.T.); #181752=EDGE_CURVE('',#149950,#149953,#72114,.T.); #181753=EDGE_CURVE('',#149953,#149954,#72115,.T.); #181754=EDGE_CURVE('',#149954,#149955,#72116,.T.); #181755=EDGE_CURVE('',#149955,#149956,#72117,.T.); #181756=EDGE_CURVE('',#149956,#149957,#72118,.T.); #181757=EDGE_CURVE('',#149957,#149958,#72119,.T.); #181758=EDGE_CURVE('',#149958,#149959,#63684,.T.); #181759=EDGE_CURVE('',#149959,#149960,#72120,.T.); #181760=EDGE_CURVE('',#149960,#149961,#72121,.T.); #181761=EDGE_CURVE('',#149961,#149962,#72122,.T.); #181762=EDGE_CURVE('',#149962,#149963,#72123,.T.); #181763=EDGE_CURVE('',#149963,#149949,#72124,.T.); #181764=EDGE_CURVE('',#149964,#149963,#63685,.T.); #181765=EDGE_CURVE('',#149964,#149951,#72125,.T.); #181766=EDGE_CURVE('',#149965,#149966,#72126,.T.); #181767=EDGE_CURVE('',#149966,#149967,#72127,.T.); #181768=EDGE_CURVE('',#149967,#149968,#7982,.T.); #181769=EDGE_CURVE('',#149968,#149969,#72128,.T.); #181770=EDGE_CURVE('',#149970,#149969,#72129,.T.); #181771=EDGE_CURVE('',#149970,#149971,#72130,.T.); #181772=EDGE_CURVE('',#149972,#149971,#72131,.T.); #181773=EDGE_CURVE('',#149972,#149973,#72132,.T.); #181774=EDGE_CURVE('',#149952,#149973,#72133,.T.); #181775=EDGE_CURVE('',#149964,#149974,#72134,.T.); #181776=EDGE_CURVE('',#149974,#149965,#72135,.T.); #181777=EDGE_CURVE('',#149975,#149976,#72136,.T.); #181778=EDGE_CURVE('',#149976,#149966,#72137,.T.); #181779=EDGE_CURVE('',#149965,#149975,#72138,.T.); #181780=EDGE_CURVE('',#149975,#149977,#72139,.T.); #181781=EDGE_CURVE('',#149977,#149978,#72140,.T.); #181782=EDGE_CURVE('',#149978,#149979,#72141,.T.); #181783=EDGE_CURVE('',#149979,#149980,#72142,.T.); #181784=EDGE_CURVE('',#149980,#149981,#72143,.T.); #181785=EDGE_CURVE('',#149981,#149982,#72144,.T.); #181786=EDGE_CURVE('',#149983,#149982,#72145,.T.); #181787=EDGE_CURVE('',#149983,#149984,#72146,.T.); #181788=EDGE_CURVE('',#149985,#149984,#72147,.T.); #181789=EDGE_CURVE('',#149985,#149986,#72148,.T.); #181790=EDGE_CURVE('',#149986,#149987,#7983,.T.); #181791=EDGE_CURVE('',#149987,#149976,#72149,.T.); #181792=EDGE_CURVE('',#149988,#149989,#72150,.T.); #181793=EDGE_CURVE('',#149990,#149988,#63686,.T.); #181794=EDGE_CURVE('',#149990,#149991,#72151,.T.); #181795=EDGE_CURVE('',#149992,#149991,#63687,.T.); #181796=EDGE_CURVE('',#149993,#149992,#72152,.T.); #181797=EDGE_CURVE('',#149994,#149993,#63688,.T.); #181798=EDGE_CURVE('',#149995,#149994,#72153,.T.); #181799=EDGE_CURVE('',#149996,#149995,#63689,.T.); #181800=EDGE_CURVE('',#149997,#149996,#72154,.T.); #181801=EDGE_CURVE('',#149998,#149997,#63690,.T.); #181802=EDGE_CURVE('',#149999,#149998,#72155,.T.); #181803=EDGE_CURVE('',#150000,#149999,#63691,.T.); #181804=EDGE_CURVE('',#150001,#150000,#72156,.T.); #181805=EDGE_CURVE('',#150002,#150001,#72157,.T.); #181806=EDGE_CURVE('',#150003,#150002,#63692,.T.); #181807=EDGE_CURVE('',#150004,#150003,#72158,.T.); #181808=EDGE_CURVE('',#150005,#150004,#63693,.T.); #181809=EDGE_CURVE('',#150006,#150005,#72159,.T.); #181810=EDGE_CURVE('',#150007,#150006,#63694,.T.); #181811=EDGE_CURVE('',#150008,#150007,#63695,.T.); #181812=EDGE_CURVE('',#150009,#150008,#63696,.T.); #181813=EDGE_CURVE('',#150010,#150009,#72160,.T.); #181814=EDGE_CURVE('',#150011,#150010,#63697,.T.); #181815=EDGE_CURVE('',#150012,#150011,#72161,.T.); #181816=EDGE_CURVE('',#150013,#150012,#63698,.T.); #181817=EDGE_CURVE('',#150014,#150013,#72162,.T.); #181818=EDGE_CURVE('',#150015,#150014,#72163,.T.); #181819=EDGE_CURVE('',#150016,#150015,#72164,.T.); #181820=EDGE_CURVE('',#150017,#150016,#63699,.T.); #181821=EDGE_CURVE('',#150018,#150017,#72165,.T.); #181822=EDGE_CURVE('',#150019,#150018,#63700,.T.); #181823=EDGE_CURVE('',#150020,#150019,#72166,.T.); #181824=EDGE_CURVE('',#150021,#150020,#63701,.T.); #181825=EDGE_CURVE('',#150022,#150021,#63702,.T.); #181826=EDGE_CURVE('',#150023,#150022,#63703,.T.); #181827=EDGE_CURVE('',#150024,#150023,#72167,.T.); #181828=EDGE_CURVE('',#149960,#150024,#63704,.T.); #181829=EDGE_CURVE('',#149967,#149959,#63705,.T.); #181830=EDGE_CURVE('',#150025,#149987,#63706,.T.); #181831=EDGE_CURVE('',#150026,#150025,#72168,.T.); #181832=EDGE_CURVE('',#150027,#150026,#63707,.T.); #181833=EDGE_CURVE('',#150028,#150027,#72169,.T.); #181834=EDGE_CURVE('',#150029,#150028,#63708,.T.); #181835=EDGE_CURVE('',#150030,#150029,#63709,.T.); #181836=EDGE_CURVE('',#150031,#150030,#63710,.T.); #181837=EDGE_CURVE('',#150032,#150031,#72170,.T.); #181838=EDGE_CURVE('',#150033,#150032,#63711,.T.); #181839=EDGE_CURVE('',#150034,#150033,#72171,.T.); #181840=EDGE_CURVE('',#150035,#150034,#63712,.T.); #181841=EDGE_CURVE('',#150036,#150035,#72172,.T.); #181842=EDGE_CURVE('',#150037,#150036,#72173,.T.); #181843=EDGE_CURVE('',#150038,#150037,#72174,.T.); #181844=EDGE_CURVE('',#150039,#150038,#63713,.T.); #181845=EDGE_CURVE('',#150040,#150039,#72175,.T.); #181846=EDGE_CURVE('',#150041,#150040,#63714,.T.); #181847=EDGE_CURVE('',#150042,#150041,#72176,.T.); #181848=EDGE_CURVE('',#150043,#150042,#63715,.T.); #181849=EDGE_CURVE('',#150044,#150043,#63716,.T.); #181850=EDGE_CURVE('',#150045,#150044,#63717,.T.); #181851=EDGE_CURVE('',#150046,#150045,#72177,.T.); #181852=EDGE_CURVE('',#150047,#150046,#63718,.T.); #181853=EDGE_CURVE('',#150048,#150047,#72178,.T.); #181854=EDGE_CURVE('',#150049,#150048,#63719,.T.); #181855=EDGE_CURVE('',#150050,#150049,#72179,.T.); #181856=EDGE_CURVE('',#150051,#150050,#72180,.T.); #181857=EDGE_CURVE('',#150052,#150051,#72181,.T.); #181858=EDGE_CURVE('',#150053,#150052,#72182,.T.); #181859=EDGE_CURVE('',#150054,#150053,#63720,.T.); #181860=EDGE_CURVE('',#150055,#150054,#72183,.T.); #181861=EDGE_CURVE('',#150056,#150055,#72184,.T.); #181862=EDGE_CURVE('',#150057,#150056,#63721,.T.); #181863=EDGE_CURVE('',#149989,#150057,#63722,.T.); #181864=EDGE_CURVE('',#150058,#150058,#63723,.T.); #181865=EDGE_CURVE('',#150059,#150059,#63724,.T.); #181866=EDGE_CURVE('',#150060,#150060,#63725,.T.); #181867=EDGE_CURVE('',#150061,#150061,#63726,.T.); #181868=EDGE_CURVE('',#150062,#150063,#72185,.T.); #181869=EDGE_CURVE('',#150063,#149988,#72186,.T.); #181870=EDGE_CURVE('',#149989,#150062,#72187,.T.); #181871=EDGE_CURVE('',#150064,#150065,#72188,.T.); #181872=EDGE_CURVE('',#150063,#150064,#63727,.T.); #181873=EDGE_CURVE('',#150066,#150062,#63728,.T.); #181874=EDGE_CURVE('',#150067,#150066,#63729,.T.); #181875=EDGE_CURVE('',#150068,#150067,#72189,.T.); #181876=EDGE_CURVE('',#150069,#150068,#72190,.T.); #181877=EDGE_CURVE('',#150070,#150069,#63730,.T.); #181878=EDGE_CURVE('',#150071,#150070,#72191,.T.); #181879=EDGE_CURVE('',#150072,#150071,#72192,.T.); #181880=EDGE_CURVE('',#150073,#150072,#63731,.T.); #181881=EDGE_CURVE('',#150074,#150073,#72193,.T.); #181882=EDGE_CURVE('',#150075,#150074,#63732,.T.); #181883=EDGE_CURVE('',#150076,#150075,#72194,.T.); #181884=EDGE_CURVE('',#150077,#150076,#63733,.T.); #181885=EDGE_CURVE('',#150078,#150077,#72195,.T.); #181886=EDGE_CURVE('',#150079,#150078,#63734,.T.); #181887=EDGE_CURVE('',#150080,#150079,#72196,.T.); #181888=EDGE_CURVE('',#150065,#150080,#63735,.T.); #181889=EDGE_CURVE('',#150081,#150082,#63736,.T.); #181890=EDGE_CURVE('',#150083,#150081,#63737,.T.); #181891=EDGE_CURVE('',#150084,#150083,#63738,.T.); #181892=EDGE_CURVE('',#150085,#150084,#72197,.T.); #181893=EDGE_CURVE('',#150086,#150085,#63739,.T.); #181894=EDGE_CURVE('',#150087,#150086,#63740,.T.); #181895=EDGE_CURVE('',#150088,#150087,#63741,.T.); #181896=EDGE_CURVE('',#150089,#150088,#72198,.T.); #181897=EDGE_CURVE('',#150090,#150089,#63742,.T.); #181898=EDGE_CURVE('',#150091,#150090,#63743,.T.); #181899=EDGE_CURVE('',#150092,#150091,#63744,.T.); #181900=EDGE_CURVE('',#150093,#150092,#72199,.T.); #181901=EDGE_CURVE('',#150094,#150093,#63745,.T.); #181902=EDGE_CURVE('',#150095,#150094,#63746,.T.); #181903=EDGE_CURVE('',#150096,#150095,#63747,.T.); #181904=EDGE_CURVE('',#150082,#150096,#72200,.T.); #181905=EDGE_CURVE('',#150097,#150097,#63748,.T.); #181906=EDGE_CURVE('',#150098,#150098,#63749,.T.); #181907=EDGE_CURVE('',#150099,#150099,#63750,.T.); #181908=EDGE_CURVE('',#150100,#150100,#63751,.T.); #181909=EDGE_CURVE('',#149990,#150064,#72201,.T.); #181910=EDGE_CURVE('',#150065,#149991,#72202,.T.); #181911=EDGE_CURVE('',#149992,#150080,#72203,.T.); #181912=EDGE_CURVE('',#150079,#149993,#72204,.T.); #181913=EDGE_CURVE('',#149994,#150078,#72205,.T.); #181914=EDGE_CURVE('',#150077,#149995,#72206,.T.); #181915=EDGE_CURVE('',#149996,#150076,#72207,.T.); #181916=EDGE_CURVE('',#150075,#149997,#72208,.T.); #181917=EDGE_CURVE('',#149998,#150074,#72209,.T.); #181918=EDGE_CURVE('',#150073,#149999,#72210,.T.); #181919=EDGE_CURVE('',#150000,#150072,#72211,.T.); #181920=EDGE_CURVE('',#150071,#150001,#72212,.T.); #181921=EDGE_CURVE('',#150070,#150002,#72213,.T.); #181922=EDGE_CURVE('',#150003,#150069,#72214,.T.); #181923=EDGE_CURVE('',#150068,#150004,#72215,.T.); #181924=EDGE_CURVE('',#150101,#150102,#72216,.T.); #181925=EDGE_CURVE('',#150102,#150103,#72217,.T.); #181926=EDGE_CURVE('',#150103,#150104,#72218,.T.); #181927=EDGE_CURVE('',#150104,#150105,#72219,.T.); #181928=EDGE_CURVE('',#150105,#150106,#72220,.T.); #181929=EDGE_CURVE('',#150106,#150107,#72221,.T.); #181930=EDGE_CURVE('',#150107,#150108,#72222,.T.); #181931=EDGE_CURVE('',#150108,#150109,#72223,.T.); #181932=EDGE_CURVE('',#150109,#150110,#72224,.T.); #181933=EDGE_CURVE('',#150110,#150111,#72225,.T.); #181934=EDGE_CURVE('',#150111,#150112,#72226,.T.); #181935=EDGE_CURVE('',#150112,#150113,#72227,.T.); #181936=EDGE_CURVE('',#150114,#150113,#72228,.T.); #181937=EDGE_CURVE('',#150114,#150004,#72229,.T.); #181938=EDGE_CURVE('',#150067,#150056,#72230,.T.); #181939=EDGE_CURVE('',#150101,#150055,#72231,.T.); #181940=EDGE_CURVE('',#150115,#150116,#72232,.T.); #181941=EDGE_CURVE('',#150115,#150102,#63752,.T.); #181942=EDGE_CURVE('',#150101,#150116,#63753,.T.); #181943=EDGE_CURVE('',#150116,#150052,#72233,.T.); #181944=EDGE_CURVE('',#150117,#150051,#72234,.T.); #181945=EDGE_CURVE('',#150117,#150118,#72235,.T.); #181946=EDGE_CURVE('',#150118,#150119,#72236,.T.); #181947=EDGE_CURVE('',#150120,#150119,#72237,.T.); #181948=EDGE_CURVE('',#150120,#150121,#72238,.T.); #181949=EDGE_CURVE('',#150122,#150121,#72239,.T.); #181950=EDGE_CURVE('',#150122,#150123,#72240,.T.); #181951=EDGE_CURVE('',#150124,#150123,#72241,.T.); #181952=EDGE_CURVE('',#150124,#150125,#72242,.T.); #181953=EDGE_CURVE('',#150115,#150125,#72243,.T.); #181954=EDGE_CURVE('',#150103,#150125,#63754,.T.); #181955=EDGE_CURVE('',#150124,#150104,#63755,.T.); #181956=EDGE_CURVE('',#150105,#150123,#63756,.T.); #181957=EDGE_CURVE('',#150122,#150106,#63757,.T.); #181958=EDGE_CURVE('',#150107,#150121,#63758,.T.); #181959=EDGE_CURVE('',#150120,#150108,#63759,.T.); #181960=EDGE_CURVE('',#150109,#150119,#63760,.T.); #181961=EDGE_CURVE('',#150118,#150110,#63761,.T.); #181962=EDGE_CURVE('',#150126,#150127,#72244,.T.); #181963=EDGE_CURVE('',#150128,#150126,#63762,.T.); #181964=EDGE_CURVE('',#150129,#150128,#72245,.T.); #181965=EDGE_CURVE('',#150130,#150129,#63763,.T.); #181966=EDGE_CURVE('',#150111,#150130,#63764,.T.); #181967=EDGE_CURVE('',#150131,#150117,#72246,.T.); #181968=EDGE_CURVE('',#150132,#150131,#72247,.T.); #181969=EDGE_CURVE('',#150133,#150132,#63765,.T.); #181970=EDGE_CURVE('',#150134,#150133,#72248,.T.); #181971=EDGE_CURVE('',#150135,#150134,#63766,.T.); #181972=EDGE_CURVE('',#150136,#150135,#72249,.T.); #181973=EDGE_CURVE('',#150137,#150136,#63767,.T.); #181974=EDGE_CURVE('',#150138,#150137,#72250,.T.); #181975=EDGE_CURVE('',#150139,#150138,#63768,.T.); #181976=EDGE_CURVE('',#150140,#150139,#72251,.T.); #181977=EDGE_CURVE('',#150141,#150140,#63769,.T.); #181978=EDGE_CURVE('',#150142,#150141,#72252,.T.); #181979=EDGE_CURVE('',#150143,#150142,#72253,.T.); #181980=EDGE_CURVE('',#150144,#150143,#72254,.T.); #181981=EDGE_CURVE('',#150145,#150144,#63770,.T.); #181982=EDGE_CURVE('',#150146,#150145,#72255,.T.); #181983=EDGE_CURVE('',#150147,#150146,#63771,.T.); #181984=EDGE_CURVE('',#150148,#150147,#72256,.T.); #181985=EDGE_CURVE('',#150149,#150148,#63772,.T.); #181986=EDGE_CURVE('',#150150,#150149,#72257,.T.); #181987=EDGE_CURVE('',#150151,#150150,#63773,.T.); #181988=EDGE_CURVE('',#150152,#150151,#72258,.T.); #181989=EDGE_CURVE('',#149977,#150152,#63774,.T.); #181990=EDGE_CURVE('',#149962,#149974,#63775,.T.); #181991=EDGE_CURVE('',#150153,#149961,#63776,.T.); #181992=EDGE_CURVE('',#150154,#150153,#72259,.T.); #181993=EDGE_CURVE('',#150155,#150154,#63777,.T.); #181994=EDGE_CURVE('',#150156,#150155,#72260,.T.); #181995=EDGE_CURVE('',#150157,#150156,#63778,.T.); #181996=EDGE_CURVE('',#150158,#150157,#72261,.T.); #181997=EDGE_CURVE('',#150159,#150158,#63779,.T.); #181998=EDGE_CURVE('',#150160,#150159,#72262,.T.); #181999=EDGE_CURVE('',#150161,#150160,#72263,.T.); #182000=EDGE_CURVE('',#150162,#150161,#72264,.T.); #182001=EDGE_CURVE('',#150163,#150162,#63780,.T.); #182002=EDGE_CURVE('',#150164,#150163,#72265,.T.); #182003=EDGE_CURVE('',#150165,#150164,#63781,.T.); #182004=EDGE_CURVE('',#150166,#150165,#72266,.T.); #182005=EDGE_CURVE('',#150167,#150166,#63782,.T.); #182006=EDGE_CURVE('',#150168,#150167,#72267,.T.); #182007=EDGE_CURVE('',#150114,#150168,#63783,.T.); #182008=EDGE_CURVE('',#150169,#150114,#72268,.T.); #182009=EDGE_CURVE('',#150170,#150169,#63784,.T.); #182010=EDGE_CURVE('',#150171,#150170,#72269,.T.); #182011=EDGE_CURVE('',#150172,#150171,#72270,.T.); #182012=EDGE_CURVE('',#150173,#150172,#63785,.T.); #182013=EDGE_CURVE('',#150174,#150173,#72271,.T.); #182014=EDGE_CURVE('',#150175,#150174,#63786,.T.); #182015=EDGE_CURVE('',#150176,#150175,#72272,.T.); #182016=EDGE_CURVE('',#150177,#150176,#63787,.T.); #182017=EDGE_CURVE('',#150178,#150177,#72273,.T.); #182018=EDGE_CURVE('',#150179,#150178,#63788,.T.); #182019=EDGE_CURVE('',#150180,#150179,#72274,.T.); #182020=EDGE_CURVE('',#150127,#150180,#63789,.T.); #182021=EDGE_CURVE('',#150181,#150181,#63790,.T.); #182022=EDGE_CURVE('',#150182,#150182,#63791,.T.); #182023=EDGE_CURVE('',#150183,#150183,#63792,.T.); #182024=EDGE_CURVE('',#150184,#150184,#63793,.T.); #182025=EDGE_CURVE('',#150185,#150186,#72275,.T.); #182026=EDGE_CURVE('',#150185,#150126,#72276,.T.); #182027=EDGE_CURVE('',#150127,#150186,#72277,.T.); #182028=EDGE_CURVE('',#150187,#150188,#72278,.T.); #182029=EDGE_CURVE('',#150185,#150187,#63794,.T.); #182030=EDGE_CURVE('',#150189,#150186,#63795,.T.); #182031=EDGE_CURVE('',#150190,#150189,#72279,.T.); #182032=EDGE_CURVE('',#150191,#150190,#63796,.T.); #182033=EDGE_CURVE('',#150192,#150191,#72280,.T.); #182034=EDGE_CURVE('',#150193,#150192,#63797,.T.); #182035=EDGE_CURVE('',#150194,#150193,#72281,.T.); #182036=EDGE_CURVE('',#150195,#150194,#63798,.T.); #182037=EDGE_CURVE('',#150196,#150195,#72282,.T.); #182038=EDGE_CURVE('',#150197,#150196,#63799,.T.); #182039=EDGE_CURVE('',#150198,#150197,#72283,.T.); #182040=EDGE_CURVE('',#150199,#150198,#72284,.T.); #182041=EDGE_CURVE('',#150200,#150199,#63800,.T.); #182042=EDGE_CURVE('',#150113,#150200,#72285,.T.); #182043=EDGE_CURVE('',#150201,#150112,#63801,.T.); #182044=EDGE_CURVE('',#150188,#150201,#63802,.T.); #182045=EDGE_CURVE('',#150202,#150203,#63803,.T.); #182046=EDGE_CURVE('',#150204,#150202,#63804,.T.); #182047=EDGE_CURVE('',#150205,#150204,#63805,.T.); #182048=EDGE_CURVE('',#150206,#150205,#72286,.T.); #182049=EDGE_CURVE('',#150207,#150206,#63806,.T.); #182050=EDGE_CURVE('',#150208,#150207,#63807,.T.); #182051=EDGE_CURVE('',#150209,#150208,#63808,.T.); #182052=EDGE_CURVE('',#150210,#150209,#72287,.T.); #182053=EDGE_CURVE('',#150211,#150210,#63809,.T.); #182054=EDGE_CURVE('',#150212,#150211,#63810,.T.); #182055=EDGE_CURVE('',#150213,#150212,#63811,.T.); #182056=EDGE_CURVE('',#150214,#150213,#72288,.T.); #182057=EDGE_CURVE('',#150215,#150214,#63812,.T.); #182058=EDGE_CURVE('',#150216,#150215,#63813,.T.); #182059=EDGE_CURVE('',#150217,#150216,#63814,.T.); #182060=EDGE_CURVE('',#150203,#150217,#72289,.T.); #182061=EDGE_CURVE('',#150218,#150218,#63815,.T.); #182062=EDGE_CURVE('',#150219,#150219,#63816,.T.); #182063=EDGE_CURVE('',#150220,#150220,#63817,.T.); #182064=EDGE_CURVE('',#150221,#150221,#63818,.T.); #182065=EDGE_CURVE('',#150128,#150187,#72290,.T.); #182066=EDGE_CURVE('',#150188,#150129,#72291,.T.); #182067=EDGE_CURVE('',#150130,#150201,#72292,.T.); #182068=EDGE_CURVE('',#150200,#150169,#72293,.T.); #182069=EDGE_CURVE('',#150170,#150199,#72294,.T.); #182070=EDGE_CURVE('',#150171,#150198,#72295,.T.); #182071=EDGE_CURVE('',#150197,#150172,#72296,.T.); #182072=EDGE_CURVE('',#150173,#150196,#72297,.T.); #182073=EDGE_CURVE('',#150195,#150174,#72298,.T.); #182074=EDGE_CURVE('',#150175,#150194,#72299,.T.); #182075=EDGE_CURVE('',#150193,#150176,#72300,.T.); #182076=EDGE_CURVE('',#150177,#150192,#72301,.T.); #182077=EDGE_CURVE('',#150191,#150178,#72302,.T.); #182078=EDGE_CURVE('',#150179,#150190,#72303,.T.); #182079=EDGE_CURVE('',#150189,#150180,#72304,.T.); #182080=EDGE_CURVE('',#150202,#150204,#63003,.T.); #182081=EDGE_CURVE('',#150222,#150203,#7984,.T.); #182082=EDGE_CURVE('',#150223,#150222,#63819,.T.); #182083=EDGE_CURVE('',#150205,#150223,#7985,.T.); #182084=EDGE_CURVE('',#150223,#150206,#7986,.T.); #182085=EDGE_CURVE('',#150207,#150208,#63004,.T.); #182086=EDGE_CURVE('',#150224,#150223,#63820,.T.); #182087=EDGE_CURVE('',#150209,#150224,#7987,.T.); #182088=EDGE_CURVE('',#150224,#150210,#7988,.T.); #182089=EDGE_CURVE('',#150211,#150212,#63005,.T.); #182090=EDGE_CURVE('',#150225,#150224,#63821,.T.); #182091=EDGE_CURVE('',#150213,#150225,#7989,.T.); #182092=EDGE_CURVE('',#150225,#150214,#7990,.T.); #182093=EDGE_CURVE('',#150215,#150216,#63006,.T.); #182094=EDGE_CURVE('',#150222,#150225,#63822,.T.); #182095=EDGE_CURVE('',#150217,#150222,#7991,.T.); #182096=EDGE_CURVE('',#150226,#150227,#63823,.T.); #182097=EDGE_CURVE('',#150228,#150227,#63007,.F.); #182098=EDGE_CURVE('',#150228,#150229,#63008,.T.); #182099=EDGE_CURVE('',#150229,#150230,#63824,.T.); #182100=EDGE_CURVE('',#150230,#150231,#63009,.T.); #182101=EDGE_CURVE('',#150231,#150232,#63010,.T.); #182102=EDGE_CURVE('',#150232,#150233,#63825,.T.); #182103=EDGE_CURVE('',#150233,#150234,#63826,.T.); #182104=EDGE_CURVE('',#150235,#150234,#63011,.T.); #182105=EDGE_CURVE('',#150235,#150236,#63012,.T.); #182106=EDGE_CURVE('',#150236,#150237,#63827,.T.); #182107=EDGE_CURVE('',#150237,#150222,#72305,.T.); #182108=EDGE_CURVE('',#150237,#150053,#63828,.T.); #182109=EDGE_CURVE('',#150238,#150053,#72306,.T.); #182110=EDGE_CURVE('',#150238,#150239,#63829,.T.); #182111=EDGE_CURVE('',#150054,#150239,#72307,.T.); #182112=EDGE_CURVE('',#150054,#150240,#63830,.T.); #182113=EDGE_CURVE('',#150240,#150241,#63013,.T.); #182114=EDGE_CURVE('',#150241,#150242,#63014,.T.); #182115=EDGE_CURVE('',#150242,#150243,#63831,.T.); #182116=EDGE_CURVE('',#150243,#150244,#63832,.T.); #182117=EDGE_CURVE('',#150244,#150245,#63015,.T.); #182118=EDGE_CURVE('',#150245,#150246,#63016,.T.); #182119=EDGE_CURVE('',#150246,#150226,#63833,.T.); #182120=EDGE_CURVE('',#150227,#150247,#63017,.T.); #182121=EDGE_CURVE('',#150247,#150248,#63018,.T.); #182122=EDGE_CURVE('',#150248,#150249,#63019,.T.); #182123=EDGE_CURVE('',#150250,#150249,#63020,.T.); #182124=EDGE_CURVE('',#150251,#150250,#63021,.T.); #182125=EDGE_CURVE('',#150252,#150251,#63022,.T.); #182126=EDGE_CURVE('',#150253,#150252,#63023,.T.); #182127=EDGE_CURVE('',#150254,#150253,#63024,.T.); #182128=EDGE_CURVE('',#150255,#150254,#63025,.T.); #182129=EDGE_CURVE('',#150256,#150255,#63026,.T.); #182130=EDGE_CURVE('',#150256,#150228,#63027,.T.); #182131=EDGE_CURVE('',#150256,#150257,#63028,.T.); #182132=EDGE_CURVE('',#150257,#150258,#63029,.T.); #182133=EDGE_CURVE('',#150258,#150259,#63030,.T.); #182134=EDGE_CURVE('',#150259,#150260,#63031,.T.); #182135=EDGE_CURVE('',#150261,#150260,#63032,.T.); #182136=EDGE_CURVE('',#150262,#150261,#63033,.T.); #182137=EDGE_CURVE('',#150263,#150262,#63034,.T.); #182138=EDGE_CURVE('',#150264,#150263,#63035,.T.); #182139=EDGE_CURVE('',#150265,#150264,#63036,.T.); #182140=EDGE_CURVE('',#150266,#150265,#63037,.T.); #182141=EDGE_CURVE('',#150266,#150229,#63038,.T.); #182142=EDGE_CURVE('',#150267,#150268,#63834,.T.); #182143=EDGE_CURVE('',#150247,#150267,#63039,.T.); #182144=EDGE_CURVE('',#150227,#150269,#63835,.T.); #182145=EDGE_CURVE('',#150269,#150270,#63836,.T.); #182146=EDGE_CURVE('',#150270,#150229,#63837,.T.); #182147=EDGE_CURVE('',#150268,#150266,#63040,.T.); #182148=EDGE_CURVE('',#150271,#149946,#63041,.T.); #182149=EDGE_CURVE('',#150272,#150271,#63042,.T.); #182150=EDGE_CURVE('',#150273,#150272,#63043,.T.); #182151=EDGE_CURVE('',#150274,#150273,#63044,.T.); #182152=EDGE_CURVE('',#150275,#150274,#63045,.T.); #182153=EDGE_CURVE('',#150276,#150275,#63046,.T.); #182154=EDGE_CURVE('',#150277,#150276,#63047,.T.); #182155=EDGE_CURVE('',#150278,#150277,#63048,.T.); #182156=EDGE_CURVE('',#150278,#150279,#63838,.T.); #182157=EDGE_CURVE('',#150280,#150279,#63049,.T.); #182158=EDGE_CURVE('',#150281,#150280,#63050,.T.); #182159=EDGE_CURVE('',#150281,#150282,#72308,.T.); #182160=EDGE_CURVE('',#150282,#150282,#63839,.T.); #182161=EDGE_CURVE('',#150283,#150281,#63051,.T.); #182162=EDGE_CURVE('',#150284,#150283,#63052,.T.); #182163=EDGE_CURVE('',#150285,#150284,#63053,.T.); #182164=EDGE_CURVE('',#150286,#150285,#63054,.T.); #182165=EDGE_CURVE('',#150287,#150286,#63055,.T.); #182166=EDGE_CURVE('',#150288,#150287,#63056,.T.); #182167=EDGE_CURVE('',#150289,#150288,#63057,.T.); #182168=EDGE_CURVE('',#150290,#150289,#63058,.T.); #182169=EDGE_CURVE('',#150290,#150291,#63840,.T.); #182170=EDGE_CURVE('',#150292,#150291,#63059,.T.); #182171=EDGE_CURVE('',#150293,#150292,#63060,.T.); #182172=EDGE_CURVE('',#150294,#150293,#63061,.T.); #182173=EDGE_CURVE('',#150295,#150294,#63062,.T.); #182174=EDGE_CURVE('',#150296,#150295,#63063,.T.); #182175=EDGE_CURVE('',#150297,#150296,#63064,.T.); #182176=EDGE_CURVE('',#150298,#150297,#63065,.T.); #182177=EDGE_CURVE('',#150299,#150298,#63066,.T.); #182178=EDGE_CURVE('',#150299,#150300,#63841,.T.); #182179=EDGE_CURVE('',#150301,#150300,#63067,.T.); #182180=EDGE_CURVE('',#150302,#150301,#63068,.T.); #182181=EDGE_CURVE('',#150303,#150302,#63069,.T.); #182182=EDGE_CURVE('',#150304,#150303,#63070,.T.); #182183=EDGE_CURVE('',#150305,#150304,#63071,.T.); #182184=EDGE_CURVE('',#150306,#150305,#63072,.T.); #182185=EDGE_CURVE('',#150306,#150307,#63842,.T.); #182186=EDGE_CURVE('',#150308,#150307,#63073,.T.); #182187=EDGE_CURVE('',#150309,#150308,#63074,.T.); #182188=EDGE_CURVE('',#150310,#150309,#63075,.T.); #182189=EDGE_CURVE('',#150311,#150310,#63076,.T.); #182190=EDGE_CURVE('',#150312,#150311,#63077,.T.); #182191=EDGE_CURVE('',#150313,#150312,#63078,.T.); #182192=EDGE_CURVE('',#150314,#150313,#63079,.T.); #182193=EDGE_CURVE('',#150267,#150314,#63080,.T.); #182194=EDGE_CURVE('',#150315,#150268,#63081,.T.); #182195=EDGE_CURVE('',#150316,#150315,#63082,.T.); #182196=EDGE_CURVE('',#150317,#150316,#63083,.T.); #182197=EDGE_CURVE('',#150318,#150317,#63084,.T.); #182198=EDGE_CURVE('',#150319,#150318,#63085,.T.); #182199=EDGE_CURVE('',#150320,#150319,#63086,.T.); #182200=EDGE_CURVE('',#150321,#150320,#63087,.T.); #182201=EDGE_CURVE('',#150322,#150321,#63088,.T.); #182202=EDGE_CURVE('',#150322,#150323,#63843,.T.); #182203=EDGE_CURVE('',#150324,#150323,#63089,.T.); #182204=EDGE_CURVE('',#150325,#150324,#63090,.T.); #182205=EDGE_CURVE('',#150326,#150325,#63091,.T.); #182206=EDGE_CURVE('',#150327,#150326,#63092,.T.); #182207=EDGE_CURVE('',#150328,#150327,#63093,.T.); #182208=EDGE_CURVE('',#150329,#150328,#63094,.T.); #182209=EDGE_CURVE('',#150330,#150329,#63095,.T.); #182210=EDGE_CURVE('',#150331,#150330,#63096,.T.); #182211=EDGE_CURVE('',#150331,#150332,#63844,.T.); #182212=EDGE_CURVE('',#150333,#150332,#63097,.T.); #182213=EDGE_CURVE('',#150334,#150333,#63098,.T.); #182214=EDGE_CURVE('',#150335,#150334,#63099,.T.); #182215=EDGE_CURVE('',#150336,#150335,#63100,.T.); #182216=EDGE_CURVE('',#150337,#150336,#63101,.T.); #182217=EDGE_CURVE('',#150338,#150337,#63102,.T.); #182218=EDGE_CURVE('',#150339,#150338,#63103,.T.); #182219=EDGE_CURVE('',#150340,#150339,#63104,.T.); #182220=EDGE_CURVE('',#150340,#150341,#63845,.T.); #182221=EDGE_CURVE('',#150342,#150341,#63105,.T.); #182222=EDGE_CURVE('',#150343,#150342,#63106,.T.); #182223=EDGE_CURVE('',#150344,#150343,#63107,.T.); #182224=EDGE_CURVE('',#150345,#150344,#63108,.T.); #182225=EDGE_CURVE('',#150346,#150345,#63109,.T.); #182226=EDGE_CURVE('',#150347,#150346,#63110,.T.); #182227=EDGE_CURVE('',#150348,#150347,#63111,.T.); #182228=EDGE_CURVE('',#150349,#150348,#63112,.T.); #182229=EDGE_CURVE('',#150349,#150350,#63846,.T.); #182230=EDGE_CURVE('',#150351,#150350,#63113,.T.); #182231=EDGE_CURVE('',#150352,#150351,#63114,.T.); #182232=EDGE_CURVE('',#150353,#150352,#63115,.T.); #182233=EDGE_CURVE('',#150354,#150353,#63116,.T.); #182234=EDGE_CURVE('',#150355,#150354,#63117,.T.); #182235=EDGE_CURVE('',#150356,#150355,#63118,.T.); #182236=EDGE_CURVE('',#150357,#150356,#63119,.T.); #182237=EDGE_CURVE('',#150358,#150357,#63120,.T.); #182238=EDGE_CURVE('',#150358,#149945,#63847,.T.); #182239=EDGE_CURVE('',#150307,#150322,#63121,.T.); #182240=EDGE_CURVE('',#150291,#150306,#63848,.T.); #182241=EDGE_CURVE('',#149947,#150290,#63122,.T.); #182242=EDGE_CURVE('',#150341,#150358,#63849,.T.); #182243=EDGE_CURVE('',#150323,#150340,#63123,.T.); #182244=EDGE_CURVE('',#150359,#150340,#63124,.T.); #182245=EDGE_CURVE('',#150323,#150359,#63125,.T.); #182246=EDGE_CURVE('',#150360,#150359,#63126,.T.); #182247=EDGE_CURVE('',#150361,#150360,#63127,.T.); #182248=EDGE_CURVE('',#150362,#150361,#3162,.T.); #182249=EDGE_CURVE('',#150362,#150363,#63128,.T.); #182250=EDGE_CURVE('',#150324,#150363,#63850,.T.); #182251=EDGE_CURVE('',#150364,#150325,#63129,.T.); #182252=EDGE_CURVE('',#150363,#150364,#63130,.T.); #182253=EDGE_CURVE('',#150365,#150326,#63131,.T.); #182254=EDGE_CURVE('',#150364,#150365,#63132,.T.); #182255=EDGE_CURVE('',#150366,#150327,#63851,.T.); #182256=EDGE_CURVE('',#150365,#150366,#63133,.T.); #182257=EDGE_CURVE('',#150367,#150328,#63852,.T.); #182258=EDGE_CURVE('',#150366,#150367,#63134,.T.); #182259=EDGE_CURVE('',#150368,#150329,#63853,.T.); #182260=EDGE_CURVE('',#150367,#150368,#63135,.T.); #182261=EDGE_CURVE('',#150369,#150368,#63136,.T.); #182262=EDGE_CURVE('',#150369,#150330,#63854,.T.); #182263=EDGE_CURVE('',#150370,#150331,#63137,.T.); #182264=EDGE_CURVE('',#150370,#150369,#63138,.T.); #182265=EDGE_CURVE('',#150230,#150370,#63139,.T.); #182266=EDGE_CURVE('',#150230,#150232,#63855,.T.); #182267=EDGE_CURVE('',#150371,#150232,#63140,.T.); #182268=EDGE_CURVE('',#150332,#150371,#63141,.T.); #182269=EDGE_CURVE('',#150371,#150372,#63142,.T.); #182270=EDGE_CURVE('',#150333,#150372,#63856,.T.); #182271=EDGE_CURVE('',#150372,#150373,#63143,.T.); #182272=EDGE_CURVE('',#150334,#150373,#63857,.T.); #182273=EDGE_CURVE('',#150373,#150374,#63144,.T.); #182274=EDGE_CURVE('',#150335,#150374,#63858,.T.); #182275=EDGE_CURVE('',#150336,#150375,#63859,.T.); #182276=EDGE_CURVE('',#150374,#150375,#63145,.T.); #182277=EDGE_CURVE('',#150375,#150376,#63146,.T.); #182278=EDGE_CURVE('',#150377,#150376,#63860,.T.); #182279=EDGE_CURVE('',#150337,#150377,#63861,.T.); #182280=EDGE_CURVE('',#150338,#150378,#63862,.T.); #182281=EDGE_CURVE('',#150376,#150378,#63147,.T.); #182282=EDGE_CURVE('',#150379,#150378,#63148,.T.); #182283=EDGE_CURVE('',#150339,#150379,#63863,.F.); #182284=EDGE_CURVE('',#150360,#150379,#63149,.T.); #182285=EDGE_CURVE('',#150231,#150380,#63150,.T.); #182286=EDGE_CURVE('',#150380,#150361,#63151,.T.); #182287=EDGE_CURVE('',#150380,#150362,#63152,.T.); #182288=EDGE_CURVE('',#150270,#150381,#63153,.T.); #182289=EDGE_CURVE('',#150381,#150096,#7992,.T.); #182290=EDGE_CURVE('',#150094,#150095,#63154,.T.); #182291=EDGE_CURVE('',#150233,#150093,#7993,.T.); #182292=EDGE_CURVE('',#150233,#150092,#7994,.T.); #182293=EDGE_CURVE('',#150234,#150236,#63864,.T.); #182294=EDGE_CURVE('',#150090,#150091,#63155,.T.); #182295=EDGE_CURVE('',#150237,#150089,#7995,.T.); #182296=EDGE_CURVE('',#150382,#150349,#63156,.T.); #182297=EDGE_CURVE('',#150234,#150382,#63157,.T.); #182298=EDGE_CURVE('',#150383,#150236,#63158,.T.); #182299=EDGE_CURVE('',#150350,#150383,#63159,.T.); #182300=EDGE_CURVE('',#150383,#150384,#63160,.T.); #182301=EDGE_CURVE('',#150351,#150384,#63865,.T.); #182302=EDGE_CURVE('',#150357,#150385,#63866,.T.); #182303=EDGE_CURVE('',#150386,#150385,#63161,.T.); #182304=EDGE_CURVE('',#150386,#150387,#63162,.T.); #182305=EDGE_CURVE('',#150387,#150358,#63163,.T.); #182306=EDGE_CURVE('',#150341,#150387,#63164,.T.); #182307=EDGE_CURVE('',#150388,#150386,#63165,.T.); #182308=EDGE_CURVE('',#150389,#150388,#3163,.T.); #182309=EDGE_CURVE('',#150389,#150390,#63166,.T.); #182310=EDGE_CURVE('',#150342,#150390,#63867,.T.); #182311=EDGE_CURVE('',#150391,#150343,#63167,.T.); #182312=EDGE_CURVE('',#150390,#150391,#63168,.T.); #182313=EDGE_CURVE('',#150392,#150344,#63169,.T.); #182314=EDGE_CURVE('',#150391,#150392,#63170,.T.); #182315=EDGE_CURVE('',#150393,#150345,#63868,.T.); #182316=EDGE_CURVE('',#150392,#150393,#63171,.T.); #182317=EDGE_CURVE('',#150394,#150346,#63869,.T.); #182318=EDGE_CURVE('',#150393,#150394,#63172,.T.); #182319=EDGE_CURVE('',#150395,#150347,#63870,.T.); #182320=EDGE_CURVE('',#150394,#150395,#63173,.T.); #182321=EDGE_CURVE('',#150396,#150395,#63174,.T.); #182322=EDGE_CURVE('',#150396,#150348,#63871,.T.); #182323=EDGE_CURVE('',#150382,#150396,#63175,.T.); #182324=EDGE_CURVE('',#150397,#150389,#63176,.T.); #182325=EDGE_CURVE('',#150235,#150397,#63177,.T.); #182326=EDGE_CURVE('',#150397,#150388,#63178,.T.); #182327=EDGE_CURVE('',#150385,#150398,#63179,.T.); #182328=EDGE_CURVE('',#150399,#150398,#63180,.T.); #182329=EDGE_CURVE('',#150400,#150399,#63181,.T.); #182330=EDGE_CURVE('',#150401,#150400,#63182,.T.); #182331=EDGE_CURVE('',#150402,#150401,#63183,.T.); #182332=EDGE_CURVE('',#150384,#150402,#63184,.T.); #182333=EDGE_CURVE('',#150352,#150402,#63872,.F.); #182334=EDGE_CURVE('',#150353,#150401,#63873,.F.); #182335=EDGE_CURVE('',#150354,#150400,#63874,.T.); #182336=EDGE_CURVE('',#150399,#150355,#63875,.T.); #182337=EDGE_CURVE('',#150356,#150398,#63876,.T.); #182338=EDGE_CURVE('',#150403,#150278,#63185,.T.); #182339=EDGE_CURVE('',#150404,#150277,#63877,.T.); #182340=EDGE_CURVE('',#150403,#150404,#63186,.T.); #182341=EDGE_CURVE('',#150240,#150403,#63187,.T.); #182342=EDGE_CURVE('',#150240,#150242,#63878,.T.); #182343=EDGE_CURVE('',#150405,#150242,#63188,.T.); #182344=EDGE_CURVE('',#150279,#150405,#63189,.T.); #182345=EDGE_CURVE('',#150405,#150406,#63190,.T.); #182346=EDGE_CURVE('',#150283,#150406,#63879,.T.); #182347=EDGE_CURVE('',#150406,#150407,#63191,.T.); #182348=EDGE_CURVE('',#150284,#150407,#63880,.T.); #182349=EDGE_CURVE('',#150285,#150408,#63881,.T.); #182350=EDGE_CURVE('',#150407,#150408,#63192,.T.); #182351=EDGE_CURVE('',#150286,#150409,#63882,.T.); #182352=EDGE_CURVE('',#150408,#150409,#63193,.T.); #182353=EDGE_CURVE('',#150409,#150410,#63194,.T.); #182354=EDGE_CURVE('',#150287,#150410,#63883,.T.); #182355=EDGE_CURVE('',#150288,#150411,#63884,.T.); #182356=EDGE_CURVE('',#150410,#150411,#63195,.T.); #182357=EDGE_CURVE('',#150412,#150411,#63196,.T.); #182358=EDGE_CURVE('',#150289,#150412,#63885,.T.); #182359=EDGE_CURVE('',#150413,#150412,#63197,.T.); #182360=EDGE_CURVE('',#150413,#150414,#63198,.T.); #182361=EDGE_CURVE('',#150414,#150290,#63199,.T.); #182362=EDGE_CURVE('',#149947,#150414,#63200,.T.); #182363=EDGE_CURVE('',#150415,#150413,#63201,.T.); #182364=EDGE_CURVE('',#150416,#150415,#3164,.T.); #182365=EDGE_CURVE('',#150416,#150417,#63202,.T.); #182366=EDGE_CURVE('',#149948,#150417,#63886,.T.); #182367=EDGE_CURVE('',#150418,#150272,#63203,.T.); #182368=EDGE_CURVE('',#150417,#150418,#63204,.T.); #182369=EDGE_CURVE('',#150419,#150273,#63205,.T.); #182370=EDGE_CURVE('',#150418,#150419,#63206,.T.); #182371=EDGE_CURVE('',#150420,#150274,#63887,.T.); #182372=EDGE_CURVE('',#150419,#150420,#63207,.T.); #182373=EDGE_CURVE('',#150421,#150275,#63888,.T.); #182374=EDGE_CURVE('',#150420,#150421,#63208,.T.); #182375=EDGE_CURVE('',#150422,#150276,#63889,.T.); #182376=EDGE_CURVE('',#150421,#150422,#63209,.T.); #182377=EDGE_CURVE('',#150404,#150422,#63210,.T.); #182378=EDGE_CURVE('',#150423,#150416,#63211,.T.); #182379=EDGE_CURVE('',#150423,#150241,#63212,.T.); #182380=EDGE_CURVE('',#150239,#150101,#72309,.T.); #182381=EDGE_CURVE('',#150116,#150238,#72310,.T.); #182382=EDGE_CURVE('',#150237,#150088,#7996,.T.); #182383=EDGE_CURVE('',#150086,#150087,#63213,.T.); #182384=EDGE_CURVE('',#150243,#150085,#7997,.T.); #182385=EDGE_CURVE('',#150243,#150084,#7998,.T.); #182386=EDGE_CURVE('',#150244,#150246,#63890,.T.); #182387=EDGE_CURVE('',#150081,#150083,#63214,.T.); #182388=EDGE_CURVE('',#150082,#150424,#7999,.T.); #182389=EDGE_CURVE('',#150226,#150424,#8000,.T.); #182390=EDGE_CURVE('',#150425,#150299,#63215,.T.); #182391=EDGE_CURVE('',#150425,#150426,#63216,.T.); #182392=EDGE_CURVE('',#150244,#150426,#63217,.T.); #182393=EDGE_CURVE('',#150427,#150246,#63218,.T.); #182394=EDGE_CURVE('',#150427,#150428,#63219,.T.); #182395=EDGE_CURVE('',#150300,#150428,#63220,.T.); #182396=EDGE_CURVE('',#150428,#150429,#63221,.T.); #182397=EDGE_CURVE('',#150301,#150429,#63891,.T.); #182398=EDGE_CURVE('',#150429,#150430,#63222,.T.); #182399=EDGE_CURVE('',#150302,#150430,#63892,.T.); #182400=EDGE_CURVE('',#150430,#150431,#63223,.T.); #182401=EDGE_CURVE('',#150303,#150431,#63893,.T.); #182402=EDGE_CURVE('',#150431,#150432,#63224,.T.); #182403=EDGE_CURVE('',#150304,#150432,#63894,.T.); #182404=EDGE_CURVE('',#150433,#150432,#63225,.T.); #182405=EDGE_CURVE('',#150305,#150433,#63895,.T.); #182406=EDGE_CURVE('',#150434,#150433,#63226,.T.); #182407=EDGE_CURVE('',#150434,#150435,#63227,.T.); #182408=EDGE_CURVE('',#150306,#150435,#63228,.T.); #182409=EDGE_CURVE('',#150291,#150435,#63229,.T.); #182410=EDGE_CURVE('',#150436,#150434,#63230,.T.); #182411=EDGE_CURVE('',#150437,#150436,#3165,.T.); #182412=EDGE_CURVE('',#150437,#150438,#63231,.T.); #182413=EDGE_CURVE('',#150292,#150438,#63896,.T.); #182414=EDGE_CURVE('',#150438,#150439,#63232,.T.); #182415=EDGE_CURVE('',#150439,#150293,#63233,.T.); #182416=EDGE_CURVE('',#150439,#150440,#63234,.T.); #182417=EDGE_CURVE('',#150440,#150294,#63235,.T.); #182418=EDGE_CURVE('',#150440,#150441,#63236,.T.); #182419=EDGE_CURVE('',#150441,#150295,#63897,.T.); #182420=EDGE_CURVE('',#150442,#150296,#63898,.T.); #182421=EDGE_CURVE('',#150441,#150442,#63237,.T.); #182422=EDGE_CURVE('',#150442,#150443,#63238,.T.); #182423=EDGE_CURVE('',#150443,#150297,#63899,.T.); #182424=EDGE_CURVE('',#150443,#150444,#63239,.T.); #182425=EDGE_CURVE('',#150444,#150298,#63900,.T.); #182426=EDGE_CURVE('',#150425,#150444,#63240,.T.); #182427=EDGE_CURVE('',#150445,#150437,#63241,.T.); #182428=EDGE_CURVE('',#150445,#150245,#63242,.T.); #182429=EDGE_CURVE('',#150436,#150445,#63243,.T.); #182430=EDGE_CURVE('',#150446,#150226,#72311,.T.); #182431=EDGE_CURVE('',#150424,#150446,#72312,.T.); #182432=EDGE_CURVE('',#150269,#150446,#72313,.T.); #182433=EDGE_CURVE('',#150381,#150447,#3166,.T.); #182434=EDGE_CURVE('',#150447,#150269,#72314,.T.); #182435=EDGE_CURVE('',#150270,#150447,#3167,.T.); #182436=EDGE_CURVE('',#150423,#150415,#63244,.T.); #182437=EDGE_CURVE('',#150448,#150322,#63245,.T.); #182438=EDGE_CURVE('',#150307,#150448,#63246,.T.); #182439=EDGE_CURVE('',#150258,#150448,#63247,.T.); #182440=EDGE_CURVE('',#150255,#150257,#3168,.T.); #182441=EDGE_CURVE('',#150308,#150254,#63901,.T.); #182442=EDGE_CURVE('',#150253,#150309,#63248,.T.); #182443=EDGE_CURVE('',#150252,#150310,#63249,.T.); #182444=EDGE_CURVE('',#150251,#150311,#63902,.T.); #182445=EDGE_CURVE('',#150250,#150312,#63903,.T.); #182446=EDGE_CURVE('',#150249,#150313,#63904,.T.); #182447=EDGE_CURVE('',#150248,#150314,#63905,.T.); #182448=EDGE_CURVE('',#150321,#150449,#3169,.T.); #182449=EDGE_CURVE('',#150449,#150259,#3170,.T.); #182450=EDGE_CURVE('',#150320,#150260,#63906,.T.); #182451=EDGE_CURVE('',#150261,#150319,#63907,.F.); #182452=EDGE_CURVE('',#150318,#150262,#63908,.T.); #182453=EDGE_CURVE('',#150317,#150263,#63909,.T.); #182454=EDGE_CURVE('',#150316,#150264,#63910,.T.); #182455=EDGE_CURVE('',#150315,#150265,#63911,.T.); #182456=EDGE_CURVE('',#150181,#150218,#72315,.T.); #182457=EDGE_CURVE('',#150183,#150219,#72316,.T.); #182458=EDGE_CURVE('',#150184,#150220,#72317,.T.); #182459=EDGE_CURVE('',#150182,#150221,#72318,.T.); #182460=EDGE_CURVE('',#150005,#150168,#72319,.T.); #182461=EDGE_CURVE('',#150450,#150451,#72320,.T.); #182462=EDGE_CURVE('',#150451,#150452,#72321,.T.); #182463=EDGE_CURVE('',#150452,#150453,#72322,.T.); #182464=EDGE_CURVE('',#150453,#150454,#72323,.T.); #182465=EDGE_CURVE('',#150454,#150455,#72324,.T.); #182466=EDGE_CURVE('',#150455,#150456,#72325,.T.); #182467=EDGE_CURVE('',#150456,#150457,#72326,.T.); #182468=EDGE_CURVE('',#150457,#150006,#63250,.T.); #182469=EDGE_CURVE('',#150167,#150458,#72327,.T.); #182470=EDGE_CURVE('',#150458,#150450,#72328,.T.); #182471=EDGE_CURVE('',#150459,#150460,#72329,.T.); #182472=EDGE_CURVE('',#150459,#150451,#63912,.T.); #182473=EDGE_CURVE('',#150450,#150460,#63913,.T.); #182474=EDGE_CURVE('',#150461,#150462,#72330,.T.); #182475=EDGE_CURVE('',#150462,#150459,#72331,.T.); #182476=EDGE_CURVE('',#150460,#150463,#72332,.T.); #182477=EDGE_CURVE('',#150463,#150166,#72333,.T.); #182478=EDGE_CURVE('',#150165,#150010,#72334,.T.); #182479=EDGE_CURVE('',#150009,#150464,#63251,.T.); #182480=EDGE_CURVE('',#150464,#150465,#72335,.T.); #182481=EDGE_CURVE('',#150465,#150466,#72336,.T.); #182482=EDGE_CURVE('',#150466,#150467,#72337,.T.); #182483=EDGE_CURVE('',#150467,#150461,#72338,.T.); #182484=EDGE_CURVE('',#150461,#150453,#63914,.T.); #182485=EDGE_CURVE('',#150452,#150462,#63915,.T.); #182486=EDGE_CURVE('',#150454,#150467,#63916,.T.); #182487=EDGE_CURVE('',#150466,#150455,#63917,.T.); #182488=EDGE_CURVE('',#150456,#150465,#63918,.T.); #182489=EDGE_CURVE('',#150464,#150457,#63919,.T.); #182490=EDGE_CURVE('',#150007,#150008,#63252,.T.); #182491=EDGE_CURVE('',#150011,#150164,#72339,.T.); #182492=EDGE_CURVE('',#150468,#150469,#72340,.T.); #182493=EDGE_CURVE('',#150469,#150470,#72341,.T.); #182494=EDGE_CURVE('',#150470,#150471,#72342,.T.); #182495=EDGE_CURVE('',#150471,#150472,#72343,.T.); #182496=EDGE_CURVE('',#150472,#150473,#72344,.T.); #182497=EDGE_CURVE('',#150473,#150474,#72345,.T.); #182498=EDGE_CURVE('',#150474,#150475,#72346,.T.); #182499=EDGE_CURVE('',#150475,#150012,#63920,.T.); #182500=EDGE_CURVE('',#150163,#150476,#72347,.T.); #182501=EDGE_CURVE('',#150476,#150468,#72348,.T.); #182502=EDGE_CURVE('',#150468,#150477,#63921,.T.); #182503=EDGE_CURVE('',#150478,#150477,#72349,.T.); #182504=EDGE_CURVE('',#150478,#150469,#63922,.T.); #182505=EDGE_CURVE('',#150478,#150479,#72350,.T.); #182506=EDGE_CURVE('',#150470,#150479,#63923,.T.); #182507=EDGE_CURVE('',#150480,#150479,#72351,.T.); #182508=EDGE_CURVE('',#150480,#150471,#63924,.T.); #182509=EDGE_CURVE('',#150472,#150481,#63925,.T.); #182510=EDGE_CURVE('',#150480,#150481,#72352,.T.); #182511=EDGE_CURVE('',#150482,#150481,#72353,.T.); #182512=EDGE_CURVE('',#150482,#150473,#63926,.T.); #182513=EDGE_CURVE('',#150482,#150483,#72354,.T.); #182514=EDGE_CURVE('',#150474,#150483,#63927,.T.); #182515=EDGE_CURVE('',#150484,#150483,#72355,.T.); #182516=EDGE_CURVE('',#150484,#150475,#63928,.T.); #182517=EDGE_CURVE('',#150013,#150484,#8001,.T.); #182518=EDGE_CURVE('',#150161,#150014,#72356,.T.); #182519=EDGE_CURVE('',#150485,#150477,#72357,.T.); #182520=EDGE_CURVE('',#150485,#150162,#72358,.T.); #182521=EDGE_CURVE('',#150160,#150015,#72359,.T.); #182522=EDGE_CURVE('',#150159,#150486,#72360,.T.); #182523=EDGE_CURVE('',#150486,#150487,#72361,.T.); #182524=EDGE_CURVE('',#150487,#150488,#72362,.T.); #182525=EDGE_CURVE('',#150488,#150489,#72363,.T.); #182526=EDGE_CURVE('',#150489,#150490,#72364,.T.); #182527=EDGE_CURVE('',#150491,#150490,#72365,.T.); #182528=EDGE_CURVE('',#150491,#150492,#72366,.T.); #182529=EDGE_CURVE('',#150493,#150492,#72367,.T.); #182530=EDGE_CURVE('',#150493,#150494,#72368,.T.); #182531=EDGE_CURVE('',#150494,#150016,#8002,.T.); #182532=EDGE_CURVE('',#150017,#150495,#63929,.T.); #182533=EDGE_CURVE('',#150495,#150494,#63930,.T.); #182534=EDGE_CURVE('',#150496,#150497,#72369,.T.); #182535=EDGE_CURVE('',#150497,#150498,#72370,.T.); #182536=EDGE_CURVE('',#150498,#150499,#72371,.T.); #182537=EDGE_CURVE('',#150499,#150500,#72372,.T.); #182538=EDGE_CURVE('',#150500,#150158,#72373,.T.); #182539=EDGE_CURVE('',#150157,#150018,#72374,.T.); #182540=EDGE_CURVE('',#150495,#150501,#72375,.T.); #182541=EDGE_CURVE('',#150501,#150502,#72376,.T.); #182542=EDGE_CURVE('',#150502,#150503,#72377,.T.); #182543=EDGE_CURVE('',#150503,#150496,#72378,.T.); #182544=EDGE_CURVE('',#150496,#150490,#63931,.T.); #182545=EDGE_CURVE('',#150489,#150497,#63932,.T.); #182546=EDGE_CURVE('',#150498,#150488,#63933,.T.); #182547=EDGE_CURVE('',#150487,#150499,#63934,.T.); #182548=EDGE_CURVE('',#150500,#150486,#63935,.T.); #182549=EDGE_CURVE('',#150491,#150503,#63936,.T.); #182550=EDGE_CURVE('',#150502,#150492,#63937,.T.); #182551=EDGE_CURVE('',#150493,#150501,#63938,.T.); #182552=EDGE_CURVE('',#150019,#150156,#72379,.T.); #182553=EDGE_CURVE('',#150504,#150505,#72380,.T.); #182554=EDGE_CURVE('',#150505,#150506,#72381,.T.); #182555=EDGE_CURVE('',#150506,#150507,#72382,.T.); #182556=EDGE_CURVE('',#150507,#150508,#72383,.T.); #182557=EDGE_CURVE('',#150508,#150509,#72384,.T.); #182558=EDGE_CURVE('',#150509,#150510,#72385,.T.); #182559=EDGE_CURVE('',#150510,#150511,#72386,.T.); #182560=EDGE_CURVE('',#150511,#150020,#63253,.T.); #182561=EDGE_CURVE('',#150155,#150512,#72387,.T.); #182562=EDGE_CURVE('',#150512,#150504,#72388,.T.); #182563=EDGE_CURVE('',#150513,#150514,#72389,.T.); #182564=EDGE_CURVE('',#150513,#150505,#63939,.T.); #182565=EDGE_CURVE('',#150504,#150514,#63940,.T.); #182566=EDGE_CURVE('',#150515,#150516,#72390,.T.); #182567=EDGE_CURVE('',#150516,#150513,#72391,.T.); #182568=EDGE_CURVE('',#150514,#150517,#72392,.T.); #182569=EDGE_CURVE('',#150517,#150154,#72393,.T.); #182570=EDGE_CURVE('',#150153,#150024,#72394,.T.); #182571=EDGE_CURVE('',#150023,#150518,#63254,.T.); #182572=EDGE_CURVE('',#150518,#150519,#72395,.T.); #182573=EDGE_CURVE('',#150519,#150520,#72396,.T.); #182574=EDGE_CURVE('',#150520,#150521,#72397,.T.); #182575=EDGE_CURVE('',#150521,#150515,#72398,.T.); #182576=EDGE_CURVE('',#150515,#150507,#63941,.T.); #182577=EDGE_CURVE('',#150506,#150516,#63942,.T.); #182578=EDGE_CURVE('',#150508,#150521,#63943,.T.); #182579=EDGE_CURVE('',#150520,#150509,#63944,.T.); #182580=EDGE_CURVE('',#150510,#150519,#63945,.T.); #182581=EDGE_CURVE('',#150518,#150511,#63946,.T.); #182582=EDGE_CURVE('',#150021,#150022,#63255,.T.); #182583=EDGE_CURVE('',#150517,#150512,#63947,.T.); #182584=EDGE_CURVE('',#150485,#150476,#63948,.T.); #182585=EDGE_CURVE('',#150463,#150458,#63949,.T.); #182586=EDGE_CURVE('',#150522,#150152,#72399,.T.); #182587=EDGE_CURVE('',#150522,#149978,#63950,.T.); #182588=EDGE_CURVE('',#150523,#150524,#72400,.T.); #182589=EDGE_CURVE('',#150524,#150525,#72401,.T.); #182590=EDGE_CURVE('',#150525,#150526,#72402,.T.); #182591=EDGE_CURVE('',#150526,#150522,#72403,.T.); #182592=EDGE_CURVE('',#150151,#150026,#72404,.T.); #182593=EDGE_CURVE('',#150025,#150527,#63951,.T.); #182594=EDGE_CURVE('',#150527,#150528,#72405,.T.); #182595=EDGE_CURVE('',#150528,#150529,#72406,.T.); #182596=EDGE_CURVE('',#150529,#150530,#72407,.T.); #182597=EDGE_CURVE('',#150530,#150523,#72408,.T.); #182598=EDGE_CURVE('',#150523,#149982,#63952,.T.); #182599=EDGE_CURVE('',#149981,#150524,#63953,.T.); #182600=EDGE_CURVE('',#150525,#149980,#63954,.T.); #182601=EDGE_CURVE('',#149979,#150526,#63955,.T.); #182602=EDGE_CURVE('',#149983,#150530,#63956,.T.); #182603=EDGE_CURVE('',#150529,#149984,#63957,.T.); #182604=EDGE_CURVE('',#149985,#150528,#63958,.T.); #182605=EDGE_CURVE('',#150527,#149986,#63959,.T.); #182606=EDGE_CURVE('',#150027,#150150,#72409,.T.); #182607=EDGE_CURVE('',#150531,#150532,#72410,.T.); #182608=EDGE_CURVE('',#150532,#150533,#72411,.T.); #182609=EDGE_CURVE('',#150533,#150534,#72412,.T.); #182610=EDGE_CURVE('',#150534,#150535,#72413,.T.); #182611=EDGE_CURVE('',#150535,#150536,#72414,.T.); #182612=EDGE_CURVE('',#150536,#150537,#72415,.T.); #182613=EDGE_CURVE('',#150537,#150538,#72416,.T.); #182614=EDGE_CURVE('',#150538,#150028,#63256,.T.); #182615=EDGE_CURVE('',#150149,#150539,#72417,.T.); #182616=EDGE_CURVE('',#150539,#150531,#72418,.T.); #182617=EDGE_CURVE('',#150540,#150541,#72419,.T.); #182618=EDGE_CURVE('',#150540,#150532,#63960,.T.); #182619=EDGE_CURVE('',#150531,#150541,#63961,.T.); #182620=EDGE_CURVE('',#150542,#150543,#72420,.T.); #182621=EDGE_CURVE('',#150543,#150540,#72421,.T.); #182622=EDGE_CURVE('',#150541,#150544,#72422,.T.); #182623=EDGE_CURVE('',#150544,#150148,#72423,.T.); #182624=EDGE_CURVE('',#150147,#150032,#72424,.T.); #182625=EDGE_CURVE('',#150031,#150545,#63257,.T.); #182626=EDGE_CURVE('',#150545,#150546,#72425,.T.); #182627=EDGE_CURVE('',#150546,#150547,#72426,.T.); #182628=EDGE_CURVE('',#150547,#150548,#72427,.T.); #182629=EDGE_CURVE('',#150548,#150542,#72428,.T.); #182630=EDGE_CURVE('',#150542,#150534,#63962,.T.); #182631=EDGE_CURVE('',#150533,#150543,#63963,.T.); #182632=EDGE_CURVE('',#150535,#150548,#63964,.T.); #182633=EDGE_CURVE('',#150547,#150536,#63965,.T.); #182634=EDGE_CURVE('',#150537,#150546,#63966,.T.); #182635=EDGE_CURVE('',#150545,#150538,#63967,.T.); #182636=EDGE_CURVE('',#150029,#150030,#63258,.T.); #182637=EDGE_CURVE('',#150033,#150146,#72429,.T.); #182638=EDGE_CURVE('',#150549,#150550,#72430,.T.); #182639=EDGE_CURVE('',#150550,#150551,#72431,.T.); #182640=EDGE_CURVE('',#150551,#150552,#72432,.T.); #182641=EDGE_CURVE('',#150552,#150553,#72433,.T.); #182642=EDGE_CURVE('',#150553,#150554,#72434,.T.); #182643=EDGE_CURVE('',#150554,#150555,#72435,.T.); #182644=EDGE_CURVE('',#150555,#150556,#72436,.T.); #182645=EDGE_CURVE('',#150556,#150034,#63968,.T.); #182646=EDGE_CURVE('',#150145,#150557,#72437,.T.); #182647=EDGE_CURVE('',#150557,#150549,#72438,.T.); #182648=EDGE_CURVE('',#150549,#150558,#63969,.T.); #182649=EDGE_CURVE('',#150559,#150558,#72439,.T.); #182650=EDGE_CURVE('',#150559,#150550,#63970,.T.); #182651=EDGE_CURVE('',#150559,#150560,#72440,.T.); #182652=EDGE_CURVE('',#150551,#150560,#63971,.T.); #182653=EDGE_CURVE('',#150561,#150560,#72441,.T.); #182654=EDGE_CURVE('',#150561,#150552,#63972,.T.); #182655=EDGE_CURVE('',#150553,#150562,#63973,.T.); #182656=EDGE_CURVE('',#150561,#150562,#72442,.T.); #182657=EDGE_CURVE('',#150563,#150562,#72443,.T.); #182658=EDGE_CURVE('',#150563,#150554,#63974,.T.); #182659=EDGE_CURVE('',#150563,#150564,#72444,.T.); #182660=EDGE_CURVE('',#150555,#150564,#63975,.T.); #182661=EDGE_CURVE('',#150565,#150564,#72445,.T.); #182662=EDGE_CURVE('',#150565,#150556,#63976,.T.); #182663=EDGE_CURVE('',#150035,#150565,#8003,.T.); #182664=EDGE_CURVE('',#150143,#150036,#72446,.T.); #182665=EDGE_CURVE('',#150566,#150558,#72447,.T.); #182666=EDGE_CURVE('',#150566,#150144,#72448,.T.); #182667=EDGE_CURVE('',#150142,#150037,#72449,.T.); #182668=EDGE_CURVE('',#150141,#150567,#72450,.T.); #182669=EDGE_CURVE('',#150567,#150568,#72451,.T.); #182670=EDGE_CURVE('',#150568,#150569,#72452,.T.); #182671=EDGE_CURVE('',#150569,#150570,#72453,.T.); #182672=EDGE_CURVE('',#150570,#150571,#72454,.T.); #182673=EDGE_CURVE('',#150572,#150571,#72455,.T.); #182674=EDGE_CURVE('',#150572,#150573,#72456,.T.); #182675=EDGE_CURVE('',#150574,#150573,#72457,.T.); #182676=EDGE_CURVE('',#150574,#150575,#72458,.T.); #182677=EDGE_CURVE('',#150575,#150038,#8004,.T.); #182678=EDGE_CURVE('',#150039,#150576,#63977,.T.); #182679=EDGE_CURVE('',#150576,#150575,#63978,.T.); #182680=EDGE_CURVE('',#150577,#150578,#72459,.T.); #182681=EDGE_CURVE('',#150578,#150579,#72460,.T.); #182682=EDGE_CURVE('',#150579,#150580,#72461,.T.); #182683=EDGE_CURVE('',#150580,#150581,#72462,.T.); #182684=EDGE_CURVE('',#150581,#150140,#72463,.T.); #182685=EDGE_CURVE('',#150139,#150040,#72464,.T.); #182686=EDGE_CURVE('',#150576,#150582,#72465,.T.); #182687=EDGE_CURVE('',#150582,#150583,#72466,.T.); #182688=EDGE_CURVE('',#150583,#150584,#72467,.T.); #182689=EDGE_CURVE('',#150584,#150577,#72468,.T.); #182690=EDGE_CURVE('',#150577,#150571,#63979,.T.); #182691=EDGE_CURVE('',#150570,#150578,#63980,.T.); #182692=EDGE_CURVE('',#150579,#150569,#63981,.T.); #182693=EDGE_CURVE('',#150568,#150580,#63982,.T.); #182694=EDGE_CURVE('',#150581,#150567,#63983,.T.); #182695=EDGE_CURVE('',#150572,#150584,#63984,.T.); #182696=EDGE_CURVE('',#150583,#150573,#63985,.T.); #182697=EDGE_CURVE('',#150574,#150582,#63986,.T.); #182698=EDGE_CURVE('',#150041,#150138,#72469,.T.); #182699=EDGE_CURVE('',#150585,#150586,#72470,.T.); #182700=EDGE_CURVE('',#150586,#150587,#72471,.T.); #182701=EDGE_CURVE('',#150587,#150588,#72472,.T.); #182702=EDGE_CURVE('',#150588,#150589,#72473,.T.); #182703=EDGE_CURVE('',#150589,#150590,#72474,.T.); #182704=EDGE_CURVE('',#150590,#150591,#72475,.T.); #182705=EDGE_CURVE('',#150591,#150592,#72476,.T.); #182706=EDGE_CURVE('',#150592,#150042,#63259,.T.); #182707=EDGE_CURVE('',#150137,#150593,#72477,.T.); #182708=EDGE_CURVE('',#150593,#150585,#72478,.T.); #182709=EDGE_CURVE('',#150594,#150595,#72479,.T.); #182710=EDGE_CURVE('',#150594,#150586,#63987,.T.); #182711=EDGE_CURVE('',#150585,#150595,#63988,.T.); #182712=EDGE_CURVE('',#150596,#150597,#72480,.T.); #182713=EDGE_CURVE('',#150597,#150594,#72481,.T.); #182714=EDGE_CURVE('',#150595,#150598,#72482,.T.); #182715=EDGE_CURVE('',#150598,#150136,#72483,.T.); #182716=EDGE_CURVE('',#150135,#150046,#72484,.T.); #182717=EDGE_CURVE('',#150045,#150599,#63260,.T.); #182718=EDGE_CURVE('',#150599,#150600,#72485,.T.); #182719=EDGE_CURVE('',#150600,#150601,#72486,.T.); #182720=EDGE_CURVE('',#150601,#150602,#72487,.T.); #182721=EDGE_CURVE('',#150602,#150596,#72488,.T.); #182722=EDGE_CURVE('',#150596,#150588,#63989,.T.); #182723=EDGE_CURVE('',#150587,#150597,#63990,.T.); #182724=EDGE_CURVE('',#150589,#150602,#63991,.T.); #182725=EDGE_CURVE('',#150601,#150590,#63992,.T.); #182726=EDGE_CURVE('',#150591,#150600,#63993,.T.); #182727=EDGE_CURVE('',#150599,#150592,#63994,.T.); #182728=EDGE_CURVE('',#150043,#150044,#63261,.T.); #182729=EDGE_CURVE('',#150047,#150134,#72489,.T.); #182730=EDGE_CURVE('',#150603,#150604,#72490,.T.); #182731=EDGE_CURVE('',#150604,#150605,#72491,.T.); #182732=EDGE_CURVE('',#150605,#150606,#72492,.T.); #182733=EDGE_CURVE('',#150606,#150607,#72493,.T.); #182734=EDGE_CURVE('',#150607,#150608,#72494,.T.); #182735=EDGE_CURVE('',#150608,#150609,#72495,.T.); #182736=EDGE_CURVE('',#150609,#150610,#72496,.T.); #182737=EDGE_CURVE('',#150610,#150048,#63995,.T.); #182738=EDGE_CURVE('',#150133,#150611,#72497,.T.); #182739=EDGE_CURVE('',#150611,#150603,#72498,.T.); #182740=EDGE_CURVE('',#150603,#150612,#63996,.T.); #182741=EDGE_CURVE('',#150613,#150612,#72499,.T.); #182742=EDGE_CURVE('',#150613,#150604,#63997,.T.); #182743=EDGE_CURVE('',#150613,#150614,#72500,.T.); #182744=EDGE_CURVE('',#150605,#150614,#63998,.T.); #182745=EDGE_CURVE('',#150615,#150614,#72501,.T.); #182746=EDGE_CURVE('',#150615,#150606,#63999,.T.); #182747=EDGE_CURVE('',#150607,#150616,#64000,.T.); #182748=EDGE_CURVE('',#150615,#150616,#72502,.T.); #182749=EDGE_CURVE('',#150617,#150616,#72503,.T.); #182750=EDGE_CURVE('',#150617,#150608,#64001,.T.); #182751=EDGE_CURVE('',#150617,#150618,#72504,.T.); #182752=EDGE_CURVE('',#150609,#150618,#64002,.T.); #182753=EDGE_CURVE('',#150619,#150618,#72505,.T.); #182754=EDGE_CURVE('',#150619,#150610,#64003,.T.); #182755=EDGE_CURVE('',#150049,#150619,#8005,.T.); #182756=EDGE_CURVE('',#150131,#150050,#72506,.T.); #182757=EDGE_CURVE('',#150620,#150612,#72507,.T.); #182758=EDGE_CURVE('',#150620,#150132,#72508,.T.); #182759=EDGE_CURVE('',#150620,#150611,#64004,.T.); #182760=EDGE_CURVE('',#150598,#150593,#64005,.T.); #182761=EDGE_CURVE('',#150566,#150557,#64006,.T.); #182762=EDGE_CURVE('',#150544,#150539,#64007,.T.); #182763=EDGE_CURVE('',#150066,#150057,#72509,.T.); #182764=EDGE_CURVE('',#150097,#150061,#72510,.T.); #182765=EDGE_CURVE('',#150098,#150058,#72511,.T.); #182766=EDGE_CURVE('',#150099,#150060,#72512,.T.); #182767=EDGE_CURVE('',#150100,#150059,#72513,.T.); #182768=EDGE_CURVE('',#149968,#149958,#64008,.T.); #182769=EDGE_CURVE('',#149957,#149969,#64009,.T.); #182770=EDGE_CURVE('',#149970,#149956,#64010,.T.); #182771=EDGE_CURVE('',#149955,#149971,#64011,.T.); #182772=EDGE_CURVE('',#149972,#149954,#64012,.T.); #182773=EDGE_CURVE('',#149953,#149973,#64013,.T.); #182774=EDGE_CURVE('',#150621,#150622,#64014,.T.); #182775=EDGE_CURVE('',#150621,#150623,#63262,.T.); #182776=EDGE_CURVE('',#150624,#150623,#64015,.T.); #182777=EDGE_CURVE('',#150625,#150624,#64016,.T.); #182778=EDGE_CURVE('',#150625,#150622,#63263,.T.); #182779=EDGE_CURVE('',#150626,#150627,#64017,.T.); #182780=EDGE_CURVE('',#150621,#150627,#63264,.T.); #182781=EDGE_CURVE('',#150626,#150622,#63265,.T.); #182782=EDGE_CURVE('',#150626,#150628,#63266,.T.); #182783=EDGE_CURVE('',#150629,#150628,#64018,.T.); #182784=EDGE_CURVE('',#150629,#150630,#63267,.T.); #182785=EDGE_CURVE('',#150630,#150627,#63268,.T.); #182786=EDGE_CURVE('',#150631,#150630,#72514,.T.); #182787=EDGE_CURVE('',#150631,#150632,#63269,.T.); #182788=EDGE_CURVE('',#150633,#150632,#63270,.T.); #182789=EDGE_CURVE('',#150623,#150633,#63271,.T.); #182790=EDGE_CURVE('',#150624,#150634,#72515,.T.); #182791=EDGE_CURVE('',#150634,#150634,#64019,.T.); #182792=EDGE_CURVE('',#150633,#150635,#63272,.T.); #182793=EDGE_CURVE('',#150635,#150636,#64020,.T.); #182794=EDGE_CURVE('',#150636,#150637,#63273,.T.); #182795=EDGE_CURVE('',#150637,#150638,#63274,.T.); #182796=EDGE_CURVE('',#150638,#150639,#64021,.T.); #182797=EDGE_CURVE('',#150639,#150640,#63275,.T.); #182798=EDGE_CURVE('',#150640,#150641,#63276,.T.); #182799=EDGE_CURVE('',#150641,#150642,#64022,.T.); #182800=EDGE_CURVE('',#150642,#150643,#63277,.T.); #182801=EDGE_CURVE('',#150643,#150644,#63278,.T.); #182802=EDGE_CURVE('',#150644,#150645,#64023,.T.); #182803=EDGE_CURVE('',#150645,#150646,#63279,.T.); #182804=EDGE_CURVE('',#150646,#150625,#63280,.T.); #182805=EDGE_CURVE('',#150647,#150648,#63281,.T.); #182806=EDGE_CURVE('',#150649,#150648,#63282,.T.); #182807=EDGE_CURVE('',#150650,#150649,#72516,.T.); #182808=EDGE_CURVE('',#150650,#150651,#63283,.T.); #182809=EDGE_CURVE('',#150640,#150651,#63284,.T.); #182810=EDGE_CURVE('',#150647,#150639,#63285,.T.); #182811=EDGE_CURVE('',#150647,#150652,#64024,.T.); #182812=EDGE_CURVE('',#150653,#150652,#63286,.T.); #182813=EDGE_CURVE('',#150653,#150648,#64025,.T.); #182814=EDGE_CURVE('',#150638,#150652,#63287,.T.); #182815=EDGE_CURVE('',#150637,#150654,#63288,.T.); #182816=EDGE_CURVE('',#150654,#150655,#63289,.T.); #182817=EDGE_CURVE('',#150656,#150655,#72517,.T.); #182818=EDGE_CURVE('',#150657,#150656,#72518,.T.); #182819=EDGE_CURVE('',#150657,#150658,#63290,.T.); #182820=EDGE_CURVE('',#150653,#150658,#63291,.F.); #182821=EDGE_CURVE('',#150659,#150658,#64026,.T.); #182822=EDGE_CURVE('',#150659,#150649,#63292,.T.); #182823=EDGE_CURVE('',#150659,#150660,#63293,.T.); #182824=EDGE_CURVE('',#150661,#150660,#72519,.T.); #182825=EDGE_CURVE('',#150661,#150650,#63294,.T.); #182826=EDGE_CURVE('',#150657,#150662,#64027,.T.); #182827=EDGE_CURVE('',#150662,#150660,#63295,.T.); #182828=EDGE_CURVE('',#150663,#150662,#63296,.T.); #182829=EDGE_CURVE('',#150663,#150664,#72520,.T.); #182830=EDGE_CURVE('',#150664,#150661,#63297,.T.); #182831=EDGE_CURVE('',#150651,#150664,#63298,.T.); #182832=EDGE_CURVE('',#150665,#150666,#63299,.T.); #182833=EDGE_CURVE('',#150641,#150666,#63300,.T.); #182834=EDGE_CURVE('',#150667,#150663,#72521,.T.); #182835=EDGE_CURVE('',#150667,#150668,#63301,.T.); #182836=EDGE_CURVE('',#150665,#150668,#63302,.T.); #182837=EDGE_CURVE('',#150669,#150666,#64028,.F.); #182838=EDGE_CURVE('',#150665,#150670,#64029,.T.); #182839=EDGE_CURVE('',#150669,#150670,#63303,.T.); #182840=EDGE_CURVE('',#150669,#150642,#63304,.T.); #182841=EDGE_CURVE('',#150671,#150670,#63305,.T.); #182842=EDGE_CURVE('',#150672,#150671,#72522,.T.); #182843=EDGE_CURVE('',#150672,#150673,#63306,.T.); #182844=EDGE_CURVE('',#150643,#150673,#63307,.T.); #182845=EDGE_CURVE('',#150674,#150675,#63308,.T.); #182846=EDGE_CURVE('',#150644,#150675,#63309,.T.); #182847=EDGE_CURVE('',#150673,#150676,#63310,.T.); #182848=EDGE_CURVE('',#150677,#150676,#72523,.T.); #182849=EDGE_CURVE('',#150678,#150677,#63311,.T.); #182850=EDGE_CURVE('',#150678,#150679,#63312,.T.); #182851=EDGE_CURVE('',#150674,#150679,#63313,.T.); #182852=EDGE_CURVE('',#150680,#150675,#64030,.F.); #182853=EDGE_CURVE('',#150674,#150681,#64031,.T.); #182854=EDGE_CURVE('',#150680,#150681,#63314,.T.); #182855=EDGE_CURVE('',#150680,#150645,#63315,.T.); #182856=EDGE_CURVE('',#150682,#150681,#63316,.T.); #182857=EDGE_CURVE('',#150683,#150682,#72524,.T.); #182858=EDGE_CURVE('',#150683,#150684,#63317,.T.); #182859=EDGE_CURVE('',#150646,#150684,#63318,.T.); #182860=EDGE_CURVE('',#150684,#150685,#63319,.T.); #182861=EDGE_CURVE('',#150686,#150685,#72525,.T.); #182862=EDGE_CURVE('',#150687,#150686,#72526,.T.); #182863=EDGE_CURVE('',#150687,#150628,#63320,.T.); #182864=EDGE_CURVE('',#150629,#150688,#63321,.T.); #182865=EDGE_CURVE('',#150687,#150689,#64032,.T.); #182866=EDGE_CURVE('',#150689,#150688,#63322,.T.); #182867=EDGE_CURVE('',#150690,#150688,#72527,.T.); #182868=EDGE_CURVE('',#150690,#150631,#63323,.T.); #182869=EDGE_CURVE('',#150691,#150690,#63324,.T.); #182870=EDGE_CURVE('',#150632,#150691,#63325,.T.); #182871=EDGE_CURVE('',#150692,#150693,#63326,.T.); #182872=EDGE_CURVE('',#150635,#150693,#63327,.T.); #182873=EDGE_CURVE('',#150694,#150691,#72528,.T.); #182874=EDGE_CURVE('',#150695,#150694,#72529,.T.); #182875=EDGE_CURVE('',#150695,#150696,#63328,.T.); #182876=EDGE_CURVE('',#150692,#150696,#63329,.T.); #182877=EDGE_CURVE('',#150697,#150693,#64033,.T.); #182878=EDGE_CURVE('',#150692,#150698,#64034,.T.); #182879=EDGE_CURVE('',#150697,#150698,#63330,.T.); #182880=EDGE_CURVE('',#150697,#150636,#63331,.T.); #182881=EDGE_CURVE('',#150699,#150698,#63332,.T.); #182882=EDGE_CURVE('',#150700,#150699,#72530,.T.); #182883=EDGE_CURVE('',#150700,#150654,#63333,.T.); #182884=EDGE_CURVE('',#150701,#150700,#63334,.T.); #182885=EDGE_CURVE('',#150655,#150701,#63335,.T.); #182886=EDGE_CURVE('',#150702,#150703,#63336,.T.); #182887=EDGE_CURVE('',#150656,#150702,#63337,.T.); #182888=EDGE_CURVE('',#150701,#150703,#72531,.T.); #182889=EDGE_CURVE('',#150704,#150703,#63338,.T.); #182890=EDGE_CURVE('',#150704,#150696,#64035,.T.); #182891=EDGE_CURVE('',#150695,#150702,#64036,.T.); #182892=EDGE_CURVE('',#150704,#150699,#63339,.T.); #182893=EDGE_CURVE('',#150678,#150705,#64037,.T.); #182894=EDGE_CURVE('',#150706,#150678,#64038,.T.); #182895=EDGE_CURVE('',#150667,#150706,#64039,.T.); #182896=EDGE_CURVE('',#150662,#150667,#64040,.T.); #182897=EDGE_CURVE('',#150702,#150657,#64041,.T.); #182898=EDGE_CURVE('',#150689,#150695,#64042,.T.); #182899=EDGE_CURVE('',#150705,#150687,#64043,.T.); #182900=EDGE_CURVE('',#150705,#150707,#63340,.T.); #182901=EDGE_CURVE('',#150708,#150707,#63341,.T.); #182902=EDGE_CURVE('',#150708,#150679,#64044,.T.); #182903=EDGE_CURVE('',#150708,#150682,#63342,.T.); #182904=EDGE_CURVE('',#150709,#150707,#72532,.T.); #182905=EDGE_CURVE('',#150709,#150683,#63343,.T.); #182906=EDGE_CURVE('',#150686,#150705,#63344,.T.); #182907=EDGE_CURVE('',#150685,#150709,#63345,.T.); #182908=EDGE_CURVE('',#150694,#150689,#63346,.T.); #182909=EDGE_CURVE('',#150710,#150711,#63347,.T.); #182910=EDGE_CURVE('',#150710,#150668,#64045,.T.); #182911=EDGE_CURVE('',#150706,#150711,#63348,.T.); #182912=EDGE_CURVE('',#150712,#150711,#72533,.T.); #182913=EDGE_CURVE('',#150712,#150672,#63349,.T.); #182914=EDGE_CURVE('',#150710,#150671,#63350,.T.); #182915=EDGE_CURVE('',#150676,#150712,#63351,.T.); #182916=EDGE_CURVE('',#150677,#150706,#63352,.T.); #182917=EDGE_CURVE('',#150634,#150713,#64046,.T.); #182918=EDGE_CURVE('',#150713,#150713,#64047,.T.); #182919=EDGE_CURVE('',#150714,#150715,#72534,.T.); #182920=EDGE_CURVE('',#150716,#150714,#72535,.T.); #182921=EDGE_CURVE('',#150717,#150716,#72536,.T.); #182922=EDGE_CURVE('',#150715,#150717,#64048,.T.); #182923=EDGE_CURVE('',#150718,#150719,#72537,.T.); #182924=EDGE_CURVE('',#150720,#150718,#72538,.T.); #182925=EDGE_CURVE('',#150719,#150720,#64049,.T.); #182926=EDGE_CURVE('',#150721,#150722,#72539,.T.); #182927=EDGE_CURVE('',#150723,#150721,#72540,.T.); #182928=EDGE_CURVE('',#150724,#150723,#72541,.T.); #182929=EDGE_CURVE('',#150722,#150724,#64050,.T.); #182930=EDGE_CURVE('',#150725,#150726,#72542,.T.); #182931=EDGE_CURVE('',#150727,#150725,#64051,.T.); #182932=EDGE_CURVE('',#150726,#150727,#72543,.T.); #182933=EDGE_CURVE('',#150728,#150729,#72544,.T.); #182934=EDGE_CURVE('',#150729,#150728,#64052,.T.); #182935=EDGE_CURVE('',#150730,#150731,#72545,.T.); #182936=EDGE_CURVE('',#150731,#150732,#64053,.T.); #182937=EDGE_CURVE('',#150732,#150730,#64054,.T.); #182938=EDGE_CURVE('',#150733,#150714,#72546,.T.); #182939=EDGE_CURVE('',#150734,#150733,#72547,.T.); #182940=EDGE_CURVE('',#150734,#150716,#72548,.T.); #182941=EDGE_CURVE('',#150735,#150736,#72549,.T.); #182942=EDGE_CURVE('',#150736,#150735,#64055,.T.); #182943=EDGE_CURVE('',#150737,#150720,#72550,.T.); #182944=EDGE_CURVE('',#150738,#150718,#72551,.T.); #182945=EDGE_CURVE('',#150739,#150738,#72552,.T.); #182946=EDGE_CURVE('',#150739,#150726,#72553,.T.); #182947=EDGE_CURVE('',#150727,#150740,#72554,.T.); #182948=EDGE_CURVE('',#150737,#150740,#72555,.T.); #182949=EDGE_CURVE('',#150741,#150742,#72556,.T.); #182950=EDGE_CURVE('',#150743,#150741,#72557,.T.); #182951=EDGE_CURVE('',#150743,#150744,#72558,.T.); #182952=EDGE_CURVE('',#150742,#150744,#72559,.T.); #182953=EDGE_CURVE('',#150745,#150746,#72560,.T.); #182954=EDGE_CURVE('',#150747,#150745,#72561,.T.); #182955=EDGE_CURVE('',#150747,#150748,#72562,.T.); #182956=EDGE_CURVE('',#150746,#150748,#72563,.T.); #182957=EDGE_CURVE('',#150744,#150747,#72564,.T.); #182958=EDGE_CURVE('',#150748,#150743,#72565,.T.); #182959=EDGE_CURVE('',#150749,#150746,#72566,.T.); #182960=EDGE_CURVE('',#150749,#150750,#72567,.T.); #182961=EDGE_CURVE('',#150750,#150751,#72568,.T.); #182962=EDGE_CURVE('',#150751,#150752,#72569,.T.); #182963=EDGE_CURVE('',#150752,#150745,#72570,.T.); #182964=EDGE_CURVE('',#150742,#150753,#72571,.T.); #182965=EDGE_CURVE('',#150753,#150754,#72572,.T.); #182966=EDGE_CURVE('',#150754,#150755,#72573,.T.); #182967=EDGE_CURVE('',#150756,#150755,#72574,.T.); #182968=EDGE_CURVE('',#150741,#150756,#72575,.T.); #182969=EDGE_CURVE('',#150752,#150757,#72576,.T.); #182970=EDGE_CURVE('',#150757,#150758,#72577,.T.); #182971=EDGE_CURVE('',#150753,#150758,#72578,.T.); #182972=EDGE_CURVE('',#150759,#150760,#3171,.T.); #182973=EDGE_CURVE('',#150759,#150761,#72579,.T.); #182974=EDGE_CURVE('',#150761,#150762,#3172,.T.); #182975=EDGE_CURVE('',#150762,#150760,#72580,.T.); #182976=EDGE_CURVE('',#150760,#150763,#64056,.T.); #182977=EDGE_CURVE('',#150763,#150764,#72581,.T.); #182978=EDGE_CURVE('',#150765,#150764,#64057,.T.); #182979=EDGE_CURVE('',#150765,#150766,#72582,.T.); #182980=EDGE_CURVE('',#150767,#150766,#64058,.T.); #182981=EDGE_CURVE('',#150759,#150767,#72583,.T.); #182982=EDGE_CURVE('',#150768,#150762,#64059,.T.); #182983=EDGE_CURVE('',#150769,#150768,#72584,.T.); #182984=EDGE_CURVE('',#150769,#150770,#72585,.T.); #182985=EDGE_CURVE('',#150763,#150770,#72586,.T.); #182986=EDGE_CURVE('',#150771,#150772,#3173,.T.); #182987=EDGE_CURVE('',#150771,#150773,#72587,.T.); #182988=EDGE_CURVE('',#150773,#150774,#3174,.T.); #182989=EDGE_CURVE('',#150774,#150772,#72588,.T.); #182990=EDGE_CURVE('',#150771,#150775,#72589,.T.); #182991=EDGE_CURVE('',#150731,#150775,#72590,.T.); #182992=EDGE_CURVE('',#150730,#150717,#72591,.T.); #182993=EDGE_CURVE('',#150776,#150734,#72592,.T.); #182994=EDGE_CURVE('',#150773,#150776,#72593,.T.); #182995=EDGE_CURVE('',#150777,#150778,#72594,.T.); #182996=EDGE_CURVE('',#150777,#150779,#72595,.T.); #182997=EDGE_CURVE('',#150779,#150780,#72596,.T.); #182998=EDGE_CURVE('',#150780,#150778,#72597,.T.); #182999=EDGE_CURVE('',#150781,#150780,#72598,.T.); #183000=EDGE_CURVE('',#150755,#150781,#64060,.T.); #183001=EDGE_CURVE('',#150782,#150754,#72599,.T.); #183002=EDGE_CURVE('',#150782,#150783,#72600,.T.); #183003=EDGE_CURVE('',#150783,#150784,#64061,.T.); #183004=EDGE_CURVE('',#150784,#150778,#72601,.T.); #183005=EDGE_CURVE('',#150785,#150786,#72602,.T.); #183006=EDGE_CURVE('',#150785,#150787,#72603,.T.); #183007=EDGE_CURVE('',#150787,#150788,#72604,.T.); #183008=EDGE_CURVE('',#150788,#150786,#72605,.T.); #183009=EDGE_CURVE('',#150789,#150788,#72606,.T.); #183010=EDGE_CURVE('',#150790,#150789,#64062,.T.); #183011=EDGE_CURVE('',#150790,#150791,#72607,.T.); #183012=EDGE_CURVE('',#150791,#150792,#64063,.T.); #183013=EDGE_CURVE('',#150792,#150786,#72608,.T.); #183014=EDGE_CURVE('',#150793,#150794,#3175,.T.); #183015=EDGE_CURVE('',#150793,#150795,#72609,.T.); #183016=EDGE_CURVE('',#150795,#150796,#3176,.T.); #183017=EDGE_CURVE('',#150796,#150794,#72610,.T.); #183018=EDGE_CURVE('',#150793,#150797,#72611,.T.); #183019=EDGE_CURVE('',#150798,#150797,#72612,.T.); #183020=EDGE_CURVE('',#150799,#150798,#72613,.T.); #183021=EDGE_CURVE('',#150800,#150799,#72614,.T.); #183022=EDGE_CURVE('',#150801,#150800,#72615,.T.); #183023=EDGE_CURVE('',#150802,#150801,#72616,.T.); #183024=EDGE_CURVE('',#150795,#150802,#72617,.T.); #183025=EDGE_CURVE('',#150803,#150804,#72618,.T.); #183026=EDGE_CURVE('',#150803,#150805,#72619,.T.); #183027=EDGE_CURVE('',#150805,#150806,#72620,.T.); #183028=EDGE_CURVE('',#150806,#150804,#72621,.T.); #183029=EDGE_CURVE('',#150807,#150806,#72622,.T.); #183030=EDGE_CURVE('',#150808,#150807,#64064,.T.); #183031=EDGE_CURVE('',#150808,#150765,#72623,.T.); #183032=EDGE_CURVE('',#150764,#150804,#72624,.T.); #183033=EDGE_CURVE('',#150809,#150810,#3177,.T.); #183034=EDGE_CURVE('',#150809,#150811,#72625,.T.); #183035=EDGE_CURVE('',#150811,#150812,#3178,.T.); #183036=EDGE_CURVE('',#150812,#150810,#72626,.T.); #183037=EDGE_CURVE('',#150809,#150813,#72627,.T.); #183038=EDGE_CURVE('',#150814,#150813,#72628,.T.); #183039=EDGE_CURVE('',#150815,#150814,#72629,.T.); #183040=EDGE_CURVE('',#150816,#150815,#72630,.T.); #183041=EDGE_CURVE('',#150817,#150816,#72631,.T.); #183042=EDGE_CURVE('',#150811,#150817,#72632,.T.); #183043=EDGE_CURVE('',#150818,#150819,#72633,.T.); #183044=EDGE_CURVE('',#150818,#150820,#72634,.T.); #183045=EDGE_CURVE('',#150820,#150821,#72635,.T.); #183046=EDGE_CURVE('',#150821,#150819,#72636,.T.); #183047=EDGE_CURVE('',#150822,#150821,#72637,.T.); #183048=EDGE_CURVE('',#150823,#150822,#64065,.T.); #183049=EDGE_CURVE('',#150824,#150823,#72638,.T.); #183050=EDGE_CURVE('',#150825,#150824,#72639,.T.); #183051=EDGE_CURVE('',#150825,#150826,#72640,.T.); #183052=EDGE_CURVE('',#150826,#150827,#64066,.T.); #183053=EDGE_CURVE('',#150827,#150819,#72641,.T.); #183054=EDGE_CURVE('',#150766,#150828,#72642,.T.); #183055=EDGE_CURVE('',#150828,#150829,#64067,.T.); #183056=EDGE_CURVE('',#150829,#150767,#72643,.T.); #183057=EDGE_CURVE('',#150830,#150808,#72644,.T.); #183058=EDGE_CURVE('',#150831,#150807,#72645,.T.); #183059=EDGE_CURVE('',#150830,#150831,#64068,.T.); #183060=EDGE_CURVE('',#150761,#150832,#72646,.T.); #183061=EDGE_CURVE('',#150833,#150832,#64069,.T.); #183062=EDGE_CURVE('',#150833,#150834,#72647,.T.); #183063=EDGE_CURVE('',#150834,#150796,#64070,.T.); #183064=EDGE_CURVE('',#150835,#150802,#64071,.T.); #183065=EDGE_CURVE('',#150768,#150835,#72648,.T.); #183066=EDGE_CURVE('',#150770,#150818,#72649,.T.); #183067=EDGE_CURVE('',#150820,#150769,#72650,.T.); #183068=EDGE_CURVE('',#150803,#150836,#72651,.T.); #183069=EDGE_CURVE('',#150837,#150836,#72652,.T.); #183070=EDGE_CURVE('',#150805,#150837,#72653,.T.); #183071=EDGE_CURVE('',#150838,#150835,#72654,.T.); #183072=EDGE_CURVE('',#150838,#150822,#72655,.T.); #183073=EDGE_CURVE('',#150837,#150839,#72656,.T.); #183074=EDGE_CURVE('',#150839,#150840,#72657,.T.); #183075=EDGE_CURVE('',#150831,#150840,#72658,.T.); #183076=EDGE_CURVE('',#150841,#150836,#72659,.T.); #183077=EDGE_CURVE('',#150842,#150841,#64072,.T.); #183078=EDGE_CURVE('',#150843,#150842,#72660,.T.); #183079=EDGE_CURVE('',#150844,#150843,#72661,.T.); #183080=EDGE_CURVE('',#150845,#150844,#72662,.T.); #183081=EDGE_CURVE('',#150839,#150845,#64073,.T.); #183082=EDGE_CURVE('',#150841,#150827,#72663,.T.); #183083=EDGE_CURVE('',#150842,#150826,#72664,.T.); #183084=EDGE_CURVE('',#150843,#150825,#72665,.T.); #183085=EDGE_CURVE('',#150846,#150845,#72666,.T.); #183086=EDGE_CURVE('',#150846,#150812,#64074,.T.); #183087=EDGE_CURVE('',#150840,#150817,#64075,.T.); #183088=EDGE_CURVE('',#150844,#150847,#72667,.T.); #183089=EDGE_CURVE('',#150847,#150846,#72668,.T.); #183090=EDGE_CURVE('',#150797,#150848,#64076,.T.); #183091=EDGE_CURVE('',#150848,#150849,#72669,.T.); #183092=EDGE_CURVE('',#150849,#150798,#64077,.T.); #183093=EDGE_CURVE('',#150850,#150790,#72670,.T.); #183094=EDGE_CURVE('',#150789,#150851,#72671,.T.); #183095=EDGE_CURVE('',#150850,#150851,#64078,.T.); #183096=EDGE_CURVE('',#150785,#150852,#72672,.T.); #183097=EDGE_CURVE('',#150853,#150852,#72673,.T.); #183098=EDGE_CURVE('',#150787,#150853,#72674,.T.); #183099=EDGE_CURVE('',#150854,#150777,#72675,.T.); #183100=EDGE_CURVE('',#150855,#150854,#72676,.T.); #183101=EDGE_CURVE('',#150779,#150855,#72677,.T.); #183102=EDGE_CURVE('',#150856,#150784,#72678,.T.); #183103=EDGE_CURVE('',#150856,#150852,#72679,.T.); #183104=EDGE_CURVE('',#150857,#150792,#72680,.T.); #183105=EDGE_CURVE('',#150857,#150854,#72681,.T.); #183106=EDGE_CURVE('',#150853,#150858,#72682,.T.); #183107=EDGE_CURVE('',#150858,#150859,#72683,.T.); #183108=EDGE_CURVE('',#150851,#150859,#72684,.T.); #183109=EDGE_CURVE('',#150860,#150856,#64079,.T.); #183110=EDGE_CURVE('',#150861,#150860,#72685,.T.); #183111=EDGE_CURVE('',#150862,#150861,#72686,.T.); #183112=EDGE_CURVE('',#150863,#150862,#72687,.T.); #183113=EDGE_CURVE('',#150858,#150863,#64080,.T.); #183114=EDGE_CURVE('',#150794,#150857,#64081,.T.); #183115=EDGE_CURVE('',#150791,#150848,#72688,.T.); #183116=EDGE_CURVE('',#150855,#150834,#72689,.T.); #183117=EDGE_CURVE('',#150860,#150783,#72690,.T.); #183118=EDGE_CURVE('',#150861,#150782,#72691,.T.); #183119=EDGE_CURVE('',#150864,#150833,#72692,.T.); #183120=EDGE_CURVE('',#150864,#150781,#72693,.T.); #183121=EDGE_CURVE('',#150863,#150865,#72694,.T.); #183122=EDGE_CURVE('',#150865,#150774,#64082,.T.); #183123=EDGE_CURVE('',#150859,#150776,#64083,.T.); #183124=EDGE_CURVE('',#150862,#150866,#72695,.T.); #183125=EDGE_CURVE('',#150866,#150865,#72696,.T.); #183126=EDGE_CURVE('',#150775,#150867,#64084,.T.); #183127=EDGE_CURVE('',#150867,#150728,#72697,.T.); #183128=EDGE_CURVE('',#150728,#150731,#64085,.T.); #183129=EDGE_CURVE('',#150868,#150869,#72698,.T.); #183130=EDGE_CURVE('',#150869,#150870,#64086,.T.); #183131=EDGE_CURVE('',#150870,#150871,#72699,.T.); #183132=EDGE_CURVE('',#150868,#150871,#64087,.T.); #183133=EDGE_CURVE('',#150869,#150872,#72700,.T.); #183134=EDGE_CURVE('',#150873,#150872,#64088,.T.); #183135=EDGE_CURVE('',#150874,#150873,#72701,.T.); #183136=EDGE_CURVE('',#150875,#150874,#72702,.T.); #183137=EDGE_CURVE('',#150870,#150875,#72703,.T.); #183138=EDGE_CURVE('',#150876,#150772,#64089,.T.); #183139=EDGE_CURVE('',#150873,#150876,#72704,.T.); #183140=EDGE_CURVE('',#150872,#150867,#72705,.T.); #183141=EDGE_CURVE('',#150877,#150866,#72706,.T.); #183142=EDGE_CURVE('',#150877,#150876,#72707,.T.); #183143=EDGE_CURVE('',#150874,#150877,#72708,.T.); #183144=EDGE_CURVE('',#150756,#150864,#64090,.T.); #183145=EDGE_CURVE('',#150823,#150878,#72709,.T.); #183146=EDGE_CURVE('',#150871,#150878,#72710,.T.); #183147=EDGE_CURVE('',#150875,#150879,#72711,.T.); #183148=EDGE_CURVE('',#150879,#150880,#72712,.T.); #183149=EDGE_CURVE('',#150880,#150824,#72713,.T.); #183150=EDGE_CURVE('',#150813,#150881,#64091,.T.); #183151=EDGE_CURVE('',#150881,#150882,#72714,.T.); #183152=EDGE_CURVE('',#150882,#150814,#64092,.T.); #183153=EDGE_CURVE('',#150883,#150884,#72715,.T.); #183154=EDGE_CURVE('',#150884,#150750,#64093,.T.); #183155=EDGE_CURVE('',#150883,#150749,#64094,.T.); #183156=EDGE_CURVE('',#150884,#150885,#72716,.T.); #183157=EDGE_CURVE('',#150886,#150885,#64095,.T.); #183158=EDGE_CURVE('',#150887,#150886,#72717,.T.); #183159=EDGE_CURVE('',#150751,#150887,#72718,.T.); #183160=EDGE_CURVE('',#150888,#150810,#64096,.T.); #183161=EDGE_CURVE('',#150886,#150888,#72719,.T.); #183162=EDGE_CURVE('',#150885,#150881,#72720,.T.); #183163=EDGE_CURVE('',#150889,#150847,#72721,.T.); #183164=EDGE_CURVE('',#150889,#150888,#72722,.T.); #183165=EDGE_CURVE('',#150887,#150889,#72723,.T.); #183166=EDGE_CURVE('',#150878,#150838,#64097,.T.); #183167=EDGE_CURVE('',#150758,#150890,#72724,.T.); #183168=EDGE_CURVE('',#150879,#150890,#72725,.T.); #183169=EDGE_CURVE('',#150880,#150891,#72726,.T.); #183170=EDGE_CURVE('',#150891,#150757,#72727,.T.); #183171=EDGE_CURVE('',#150890,#150891,#72728,.T.); #183172=EDGE_CURVE('',#150740,#150736,#64098,.T.); #183173=EDGE_CURVE('',#150736,#150800,#72729,.T.); #183174=EDGE_CURVE('',#150799,#150737,#64099,.T.); #183175=EDGE_CURVE('',#150738,#150850,#72730,.T.); #183176=EDGE_CURVE('',#150892,#150719,#72731,.T.); #183177=EDGE_CURVE('',#150849,#150892,#72732,.T.); #183178=EDGE_CURVE('',#150893,#150894,#72733,.T.); #183179=EDGE_CURVE('',#150893,#150725,#72734,.T.); #183180=EDGE_CURVE('',#150895,#150739,#72735,.T.); #183181=EDGE_CURVE('',#150895,#150721,#72736,.T.); #183182=EDGE_CURVE('',#150894,#150722,#72737,.T.); #183183=EDGE_CURVE('',#150896,#150895,#72738,.T.); #183184=EDGE_CURVE('',#150896,#150723,#72739,.T.); #183185=EDGE_CURVE('',#150735,#150724,#72740,.T.); #183186=EDGE_CURVE('',#150801,#150896,#72741,.T.); #183187=EDGE_CURVE('',#150733,#150868,#72742,.T.); #183188=EDGE_CURVE('',#150729,#150715,#72743,.T.); #183189=EDGE_CURVE('',#150798,#150799,#64100,.T.); #183190=EDGE_CURVE('',#150892,#150897,#64101,.T.); #183191=EDGE_CURVE('',#150897,#150849,#64102,.T.); #183192=EDGE_CURVE('',#150898,#150899,#64103,.T.); #183193=EDGE_CURVE('',#150899,#150900,#72744,.T.); #183194=EDGE_CURVE('',#150900,#150901,#72745,.T.); #183195=EDGE_CURVE('',#150901,#150902,#64104,.T.); #183196=EDGE_CURVE('',#150902,#150898,#72746,.T.); #183197=EDGE_CURVE('',#150903,#150904,#72747,.T.); #183198=EDGE_CURVE('',#150905,#150903,#72748,.T.); #183199=EDGE_CURVE('',#150906,#150905,#72749,.T.); #183200=EDGE_CURVE('',#150906,#150904,#72750,.T.); #183201=EDGE_CURVE('',#150904,#150899,#72751,.T.); #183202=EDGE_CURVE('',#150907,#150906,#72752,.T.); #183203=EDGE_CURVE('',#150907,#150900,#72753,.T.); #183204=EDGE_CURVE('',#150901,#150829,#72754,.T.); #183205=EDGE_CURVE('',#150832,#150907,#72755,.T.); #183206=EDGE_CURVE('',#150908,#150830,#72756,.T.); #183207=EDGE_CURVE('',#150908,#150909,#72757,.T.); #183208=EDGE_CURVE('',#150828,#150909,#72758,.T.); #183209=EDGE_CURVE('',#150909,#150902,#72759,.T.); #183210=EDGE_CURVE('',#150910,#150908,#72760,.T.); #183211=EDGE_CURVE('',#150910,#150911,#72761,.T.); #183212=EDGE_CURVE('',#150898,#150911,#72762,.T.); #183213=EDGE_CURVE('',#150911,#150903,#72763,.T.); #183214=EDGE_CURVE('',#150905,#150910,#72764,.T.); #183215=EDGE_CURVE('',#150912,#150815,#72765,.T.); #183216=EDGE_CURVE('',#150913,#150912,#72766,.T.); #183217=EDGE_CURVE('',#150816,#150913,#72767,.T.); #183218=EDGE_CURVE('',#150913,#150883,#72768,.T.); #183219=EDGE_CURVE('',#150882,#150912,#72769,.T.); #183220=EDGE_CURVE('',#150894,#150914,#64105,.T.); #183221=EDGE_CURVE('',#150914,#150893,#64106,.T.); #183222=EDGE_CURVE('',#150914,#150915,#72770,.T.); #183223=EDGE_CURVE('',#150814,#150915,#64107,.T.); #183224=EDGE_CURVE('',#150915,#150882,#64108,.T.); #183225=EDGE_CURVE('',#150902,#150828,#64109,.T.); #183226=EDGE_CURVE('',#150829,#150916,#64110,.T.); #183227=EDGE_CURVE('',#150916,#150901,#64111,.T.); #183228=EDGE_CURVE('',#150897,#150916,#72771,.T.); #183229=EDGE_CURVE('',#150732,#150917,#72772,.T.); #183230=EDGE_CURVE('',#150899,#150917,#64112,.T.); #183231=EDGE_CURVE('',#150917,#150898,#64113,.T.); #183232=EDGE_CURVE('',#150918,#150919,#72773,.T.); #183233=EDGE_CURVE('',#150918,#150920,#72774,.T.); #183234=EDGE_CURVE('',#150920,#150921,#72775,.T.); #183235=EDGE_CURVE('',#150921,#150919,#72776,.T.); #183236=EDGE_CURVE('',#150919,#150922,#72777,.T.); #183237=EDGE_CURVE('',#150921,#150923,#72778,.T.); #183238=EDGE_CURVE('',#150923,#150922,#72779,.T.); #183239=EDGE_CURVE('',#150923,#150924,#72780,.T.); #183240=EDGE_CURVE('',#150924,#150925,#72781,.T.); #183241=EDGE_CURVE('',#150922,#150925,#72782,.T.); #183242=EDGE_CURVE('',#150926,#150925,#72783,.T.); #183243=EDGE_CURVE('',#150927,#150926,#72784,.T.); #183244=EDGE_CURVE('',#150927,#150928,#72785,.T.); #183245=EDGE_CURVE('',#150928,#150929,#72786,.T.); #183246=EDGE_CURVE('',#150918,#150929,#72787,.T.); #183247=EDGE_CURVE('',#150930,#150931,#72788,.T.); #183248=EDGE_CURVE('',#150932,#150931,#72789,.T.); #183249=EDGE_CURVE('',#150932,#150933,#72790,.T.); #183250=EDGE_CURVE('',#150934,#150933,#72791,.T.); #183251=EDGE_CURVE('',#150934,#150935,#72792,.T.); #183252=EDGE_CURVE('',#150935,#150936,#64114,.T.); #183253=EDGE_CURVE('',#150936,#150937,#72793,.T.); #183254=EDGE_CURVE('',#150937,#150938,#64115,.T.); #183255=EDGE_CURVE('',#150938,#150939,#72794,.T.); #183256=EDGE_CURVE('',#150939,#150930,#64116,.T.); #183257=EDGE_CURVE('',#150924,#150920,#72795,.T.); #183258=EDGE_CURVE('',#150929,#150940,#64117,.T.); #183259=EDGE_CURVE('',#150940,#150941,#72796,.T.); #183260=EDGE_CURVE('',#150941,#150942,#64118,.T.); #183261=EDGE_CURVE('',#150942,#150943,#72797,.T.); #183262=EDGE_CURVE('',#150943,#150926,#64119,.T.); #183263=EDGE_CURVE('',#150944,#150945,#72798,.T.); #183264=EDGE_CURVE('',#150945,#150934,#72799,.T.); #183265=EDGE_CURVE('',#150944,#150933,#72800,.T.); #183266=EDGE_CURVE('',#150946,#150945,#72801,.T.); #183267=EDGE_CURVE('',#150946,#150935,#72802,.T.); #183268=EDGE_CURVE('',#150931,#150947,#72803,.T.); #183269=EDGE_CURVE('',#150947,#150948,#72804,.T.); #183270=EDGE_CURVE('',#150948,#150932,#72805,.T.); #183271=EDGE_CURVE('',#150930,#150949,#72806,.T.); #183272=EDGE_CURVE('',#150947,#150949,#72807,.T.); #183273=EDGE_CURVE('',#150950,#150951,#64120,.T.); #183274=EDGE_CURVE('',#150952,#150950,#72808,.T.); #183275=EDGE_CURVE('',#150928,#150952,#64121,.T.); #183276=EDGE_CURVE('',#150953,#150927,#64122,.T.); #183277=EDGE_CURVE('',#150951,#150953,#72809,.T.); #183278=EDGE_CURVE('',#150944,#150948,#72810,.T.); #183279=EDGE_CURVE('',#150949,#150954,#64123,.T.); #183280=EDGE_CURVE('',#150954,#150955,#72811,.T.); #183281=EDGE_CURVE('',#150955,#150956,#64124,.T.); #183282=EDGE_CURVE('',#150956,#150957,#72812,.T.); #183283=EDGE_CURVE('',#150957,#150946,#64125,.T.); #183284=EDGE_CURVE('',#150951,#150942,#72813,.T.); #183285=EDGE_CURVE('',#150950,#150941,#72814,.T.); #183286=EDGE_CURVE('',#150943,#150953,#72815,.T.); #183287=EDGE_CURVE('',#150956,#150937,#72816,.T.); #183288=EDGE_CURVE('',#150957,#150936,#72817,.T.); #183289=EDGE_CURVE('',#150955,#150938,#72818,.T.); #183290=EDGE_CURVE('',#150954,#150939,#72819,.T.); #183291=EDGE_CURVE('',#150952,#150940,#72820,.T.); #183292=EDGE_CURVE('',#150958,#150959,#72821,.T.); #183293=EDGE_CURVE('',#150960,#150959,#72822,.T.); #183294=EDGE_CURVE('',#150960,#150961,#72823,.T.); #183295=EDGE_CURVE('',#150961,#150958,#72824,.T.); #183296=EDGE_CURVE('',#150962,#150961,#72825,.T.); #183297=EDGE_CURVE('',#150962,#150963,#72826,.T.); #183298=EDGE_CURVE('',#150958,#150963,#72827,.T.); #183299=EDGE_CURVE('',#150960,#150964,#72828,.T.); #183300=EDGE_CURVE('',#150964,#150965,#64126,.T.); #183301=EDGE_CURVE('',#150965,#150966,#72829,.T.); #183302=EDGE_CURVE('',#150966,#150967,#64127,.T.); #183303=EDGE_CURVE('',#150967,#150968,#72830,.T.); #183304=EDGE_CURVE('',#150968,#150969,#64128,.T.); #183305=EDGE_CURVE('',#150969,#150970,#72831,.T.); #183306=EDGE_CURVE('',#150971,#150970,#72832,.T.); #183307=EDGE_CURVE('',#150971,#150972,#72833,.T.); #183308=EDGE_CURVE('',#150972,#150973,#72834,.T.); #183309=EDGE_CURVE('',#150973,#150974,#72835,.T.); #183310=EDGE_CURVE('',#150974,#150962,#72836,.T.); #183311=EDGE_CURVE('',#150975,#150976,#72837,.T.); #183312=EDGE_CURVE('',#150976,#150971,#72838,.T.); #183313=EDGE_CURVE('',#150970,#150975,#72839,.T.); #183314=EDGE_CURVE('',#150977,#150976,#72840,.T.); #183315=EDGE_CURVE('',#150977,#150972,#72841,.T.); #183316=EDGE_CURVE('',#150973,#150978,#72842,.T.); #183317=EDGE_CURVE('',#150979,#150978,#72843,.T.); #183318=EDGE_CURVE('',#150974,#150979,#72844,.T.); #183319=EDGE_CURVE('',#150963,#150979,#72845,.T.); #183320=EDGE_CURVE('',#150978,#150977,#72846,.T.); #183321=EDGE_CURVE('',#150980,#150959,#72847,.T.); #183322=EDGE_CURVE('',#150964,#150980,#72848,.T.); #183323=EDGE_CURVE('',#150981,#150980,#64129,.T.); #183324=EDGE_CURVE('',#150965,#150981,#72849,.T.); #183325=EDGE_CURVE('',#150982,#150981,#72850,.T.); #183326=EDGE_CURVE('',#150966,#150982,#72851,.T.); #183327=EDGE_CURVE('',#150983,#150982,#64130,.T.); #183328=EDGE_CURVE('',#150967,#150983,#72852,.T.); #183329=EDGE_CURVE('',#150984,#150983,#72853,.T.); #183330=EDGE_CURVE('',#150968,#150984,#72854,.T.); #183331=EDGE_CURVE('',#150985,#150984,#64131,.T.); #183332=EDGE_CURVE('',#150969,#150985,#72855,.T.); #183333=EDGE_CURVE('',#150975,#150985,#72856,.T.); #183334=EDGE_CURVE('',#150986,#150987,#72857,.T.); #183335=EDGE_CURVE('',#150988,#150987,#72858,.T.); #183336=EDGE_CURVE('',#150989,#150988,#72859,.T.); #183337=EDGE_CURVE('',#150989,#150990,#72860,.T.); #183338=EDGE_CURVE('',#150990,#150986,#8006,.T.); #183339=EDGE_CURVE('',#150991,#150991,#64132,.T.); #183340=EDGE_CURVE('',#150991,#150992,#72861,.T.); #183341=EDGE_CURVE('',#150992,#150992,#64133,.T.); #183342=EDGE_CURVE('',#150993,#150993,#64134,.T.); #183343=EDGE_CURVE('',#150993,#150992,#72862,.T.); #183344=EDGE_CURVE('',#150994,#150995,#63353,.T.); #183345=EDGE_CURVE('',#150995,#150996,#64135,.T.); #183346=EDGE_CURVE('',#150996,#150997,#72863,.T.); #183347=EDGE_CURVE('',#150997,#150994,#64136,.T.); #183348=EDGE_CURVE('',#150996,#150998,#72864,.T.); #183349=EDGE_CURVE('',#150998,#150999,#72865,.T.); #183350=EDGE_CURVE('',#150999,#150997,#72866,.T.); #183351=EDGE_CURVE('',#151000,#151001,#72867,.T.); #183352=EDGE_CURVE('',#151001,#150999,#64137,.T.); #183353=EDGE_CURVE('',#150998,#151000,#64138,.T.); #183354=EDGE_CURVE('',#151000,#151002,#72868,.T.); #183355=EDGE_CURVE('',#151002,#151003,#72869,.T.); #183356=EDGE_CURVE('',#151003,#151001,#72870,.T.); #183357=EDGE_CURVE('',#151004,#151005,#72871,.T.); #183358=EDGE_CURVE('',#151005,#151003,#64139,.T.); #183359=EDGE_CURVE('',#151002,#151004,#64140,.T.); #183360=EDGE_CURVE('',#151004,#151006,#72872,.T.); #183361=EDGE_CURVE('',#151006,#151007,#72873,.T.); #183362=EDGE_CURVE('',#151007,#151005,#72874,.T.); #183363=EDGE_CURVE('',#151008,#151009,#63354,.T.); #183364=EDGE_CURVE('',#151009,#151007,#64141,.T.); #183365=EDGE_CURVE('',#151006,#151008,#64142,.T.); #183366=EDGE_CURVE('',#151010,#150995,#64143,.T.); #183367=EDGE_CURVE('',#151011,#151010,#64144,.T.); #183368=EDGE_CURVE('',#151012,#151011,#72875,.T.); #183369=EDGE_CURVE('',#151013,#151012,#64145,.T.); #183370=EDGE_CURVE('',#151014,#151013,#72876,.T.); #183371=EDGE_CURVE('',#151015,#151014,#64146,.T.); #183372=EDGE_CURVE('',#151016,#151015,#72877,.T.); #183373=EDGE_CURVE('',#151017,#151016,#64147,.T.); #183374=EDGE_CURVE('',#151008,#151017,#64148,.T.); #183375=EDGE_CURVE('',#151018,#151017,#63355,.T.); #183376=EDGE_CURVE('',#151016,#151019,#72878,.T.); #183377=EDGE_CURVE('',#151019,#151018,#64149,.T.); #183378=EDGE_CURVE('',#151015,#151020,#72879,.T.); #183379=EDGE_CURVE('',#151020,#151019,#72880,.T.); #183380=EDGE_CURVE('',#151014,#151021,#72881,.T.); #183381=EDGE_CURVE('',#151021,#151020,#64150,.T.); #183382=EDGE_CURVE('',#151013,#151022,#72882,.T.); #183383=EDGE_CURVE('',#151022,#151021,#72883,.T.); #183384=EDGE_CURVE('',#151012,#151023,#72884,.T.); #183385=EDGE_CURVE('',#151023,#151022,#64151,.T.); #183386=EDGE_CURVE('',#151011,#151024,#72885,.T.); #183387=EDGE_CURVE('',#151024,#151023,#72886,.T.); #183388=EDGE_CURVE('',#151010,#151025,#63356,.T.); #183389=EDGE_CURVE('',#151025,#151024,#64152,.T.); #183390=EDGE_CURVE('',#151026,#151027,#63357,.T.); #183391=EDGE_CURVE('',#151027,#151028,#64153,.T.); #183392=EDGE_CURVE('',#151028,#151029,#72887,.T.); #183393=EDGE_CURVE('',#151029,#151026,#64154,.T.); #183394=EDGE_CURVE('',#151028,#151030,#72888,.T.); #183395=EDGE_CURVE('',#151030,#151031,#72889,.T.); #183396=EDGE_CURVE('',#151031,#151029,#72890,.T.); #183397=EDGE_CURVE('',#151032,#151033,#72891,.T.); #183398=EDGE_CURVE('',#151033,#151031,#64155,.T.); #183399=EDGE_CURVE('',#151030,#151032,#64156,.T.); #183400=EDGE_CURVE('',#151032,#151034,#72892,.T.); #183401=EDGE_CURVE('',#151034,#151035,#72893,.T.); #183402=EDGE_CURVE('',#151035,#151033,#72894,.T.); #183403=EDGE_CURVE('',#151036,#151037,#72895,.T.); #183404=EDGE_CURVE('',#151037,#151035,#64157,.T.); #183405=EDGE_CURVE('',#151034,#151036,#64158,.T.); #183406=EDGE_CURVE('',#151036,#151038,#72896,.T.); #183407=EDGE_CURVE('',#151038,#151039,#72897,.T.); #183408=EDGE_CURVE('',#151039,#151037,#72898,.T.); #183409=EDGE_CURVE('',#151040,#151041,#63358,.T.); #183410=EDGE_CURVE('',#151041,#151039,#64159,.T.); #183411=EDGE_CURVE('',#151038,#151040,#64160,.T.); #183412=EDGE_CURVE('',#151042,#151027,#64161,.T.); #183413=EDGE_CURVE('',#151043,#151042,#64162,.T.); #183414=EDGE_CURVE('',#151044,#151043,#72899,.T.); #183415=EDGE_CURVE('',#151045,#151044,#64163,.T.); #183416=EDGE_CURVE('',#151046,#151045,#72900,.T.); #183417=EDGE_CURVE('',#151047,#151046,#64164,.T.); #183418=EDGE_CURVE('',#151048,#151047,#72901,.T.); #183419=EDGE_CURVE('',#151049,#151048,#64165,.T.); #183420=EDGE_CURVE('',#151040,#151049,#64166,.T.); #183421=EDGE_CURVE('',#151050,#151049,#63359,.T.); #183422=EDGE_CURVE('',#151048,#151051,#72902,.T.); #183423=EDGE_CURVE('',#151051,#151050,#64167,.T.); #183424=EDGE_CURVE('',#151047,#151052,#72903,.T.); #183425=EDGE_CURVE('',#151052,#151051,#72904,.T.); #183426=EDGE_CURVE('',#151046,#151053,#72905,.T.); #183427=EDGE_CURVE('',#151053,#151052,#64168,.T.); #183428=EDGE_CURVE('',#151045,#151054,#72906,.T.); #183429=EDGE_CURVE('',#151054,#151053,#72907,.T.); #183430=EDGE_CURVE('',#151044,#151055,#72908,.T.); #183431=EDGE_CURVE('',#151055,#151054,#64169,.T.); #183432=EDGE_CURVE('',#151043,#151056,#72909,.T.); #183433=EDGE_CURVE('',#151056,#151055,#72910,.T.); #183434=EDGE_CURVE('',#151042,#151057,#63360,.T.); #183435=EDGE_CURVE('',#151057,#151056,#64170,.T.); #183436=EDGE_CURVE('',#151058,#151059,#63361,.T.); #183437=EDGE_CURVE('',#151059,#151060,#64171,.T.); #183438=EDGE_CURVE('',#151060,#151061,#72911,.T.); #183439=EDGE_CURVE('',#151061,#151058,#64172,.T.); #183440=EDGE_CURVE('',#151060,#151062,#72912,.T.); #183441=EDGE_CURVE('',#151062,#151063,#72913,.T.); #183442=EDGE_CURVE('',#151063,#151061,#72914,.T.); #183443=EDGE_CURVE('',#151064,#151065,#72915,.T.); #183444=EDGE_CURVE('',#151065,#151063,#64173,.T.); #183445=EDGE_CURVE('',#151062,#151064,#64174,.T.); #183446=EDGE_CURVE('',#151064,#151066,#72916,.T.); #183447=EDGE_CURVE('',#151066,#151067,#72917,.T.); #183448=EDGE_CURVE('',#151067,#151065,#72918,.T.); #183449=EDGE_CURVE('',#151068,#151069,#72919,.T.); #183450=EDGE_CURVE('',#151069,#151067,#64175,.T.); #183451=EDGE_CURVE('',#151066,#151068,#64176,.T.); #183452=EDGE_CURVE('',#151068,#151070,#72920,.T.); #183453=EDGE_CURVE('',#151070,#151071,#72921,.T.); #183454=EDGE_CURVE('',#151071,#151069,#72922,.T.); #183455=EDGE_CURVE('',#151072,#151073,#63362,.T.); #183456=EDGE_CURVE('',#151073,#151071,#64177,.T.); #183457=EDGE_CURVE('',#151070,#151072,#64178,.T.); #183458=EDGE_CURVE('',#151074,#151059,#64179,.T.); #183459=EDGE_CURVE('',#151075,#151074,#64180,.T.); #183460=EDGE_CURVE('',#151076,#151075,#72923,.T.); #183461=EDGE_CURVE('',#151077,#151076,#64181,.T.); #183462=EDGE_CURVE('',#151078,#151077,#72924,.T.); #183463=EDGE_CURVE('',#151079,#151078,#64182,.T.); #183464=EDGE_CURVE('',#151080,#151079,#72925,.T.); #183465=EDGE_CURVE('',#151081,#151080,#64183,.T.); #183466=EDGE_CURVE('',#151072,#151081,#64184,.T.); #183467=EDGE_CURVE('',#151082,#151081,#63363,.T.); #183468=EDGE_CURVE('',#151080,#151083,#72926,.T.); #183469=EDGE_CURVE('',#151083,#151082,#64185,.T.); #183470=EDGE_CURVE('',#151079,#151084,#72927,.T.); #183471=EDGE_CURVE('',#151084,#151083,#72928,.T.); #183472=EDGE_CURVE('',#151078,#151085,#72929,.T.); #183473=EDGE_CURVE('',#151085,#151084,#64186,.T.); #183474=EDGE_CURVE('',#151077,#151086,#72930,.T.); #183475=EDGE_CURVE('',#151086,#151085,#72931,.T.); #183476=EDGE_CURVE('',#151076,#151087,#72932,.T.); #183477=EDGE_CURVE('',#151087,#151086,#64187,.T.); #183478=EDGE_CURVE('',#151075,#151088,#72933,.T.); #183479=EDGE_CURVE('',#151088,#151087,#72934,.T.); #183480=EDGE_CURVE('',#151074,#151089,#63364,.T.); #183481=EDGE_CURVE('',#151089,#151088,#64188,.T.); #183482=EDGE_CURVE('',#151090,#151091,#63365,.T.); #183483=EDGE_CURVE('',#151091,#151092,#64189,.T.); #183484=EDGE_CURVE('',#151092,#151093,#72935,.T.); #183485=EDGE_CURVE('',#151093,#151090,#64190,.T.); #183486=EDGE_CURVE('',#151092,#151094,#72936,.T.); #183487=EDGE_CURVE('',#151094,#151095,#72937,.T.); #183488=EDGE_CURVE('',#151095,#151093,#72938,.T.); #183489=EDGE_CURVE('',#151096,#151097,#72939,.T.); #183490=EDGE_CURVE('',#151097,#151095,#64191,.T.); #183491=EDGE_CURVE('',#151094,#151096,#64192,.T.); #183492=EDGE_CURVE('',#151096,#151098,#72940,.T.); #183493=EDGE_CURVE('',#151098,#151099,#72941,.T.); #183494=EDGE_CURVE('',#151099,#151097,#72942,.T.); #183495=EDGE_CURVE('',#151100,#151101,#72943,.T.); #183496=EDGE_CURVE('',#151101,#151099,#64193,.T.); #183497=EDGE_CURVE('',#151098,#151100,#64194,.T.); #183498=EDGE_CURVE('',#151100,#151102,#72944,.T.); #183499=EDGE_CURVE('',#151102,#151103,#72945,.T.); #183500=EDGE_CURVE('',#151103,#151101,#72946,.T.); #183501=EDGE_CURVE('',#151104,#151105,#63366,.T.); #183502=EDGE_CURVE('',#151105,#151103,#64195,.T.); #183503=EDGE_CURVE('',#151102,#151104,#64196,.T.); #183504=EDGE_CURVE('',#151106,#151091,#64197,.T.); #183505=EDGE_CURVE('',#151107,#151106,#64198,.T.); #183506=EDGE_CURVE('',#151108,#151107,#72947,.T.); #183507=EDGE_CURVE('',#151109,#151108,#64199,.T.); #183508=EDGE_CURVE('',#151110,#151109,#72948,.T.); #183509=EDGE_CURVE('',#151111,#151110,#64200,.T.); #183510=EDGE_CURVE('',#151112,#151111,#72949,.T.); #183511=EDGE_CURVE('',#151113,#151112,#64201,.T.); #183512=EDGE_CURVE('',#151104,#151113,#64202,.T.); #183513=EDGE_CURVE('',#151114,#151113,#63367,.T.); #183514=EDGE_CURVE('',#151112,#151115,#72950,.T.); #183515=EDGE_CURVE('',#151115,#151114,#64203,.T.); #183516=EDGE_CURVE('',#151111,#151116,#72951,.T.); #183517=EDGE_CURVE('',#151116,#151115,#72952,.T.); #183518=EDGE_CURVE('',#151110,#151117,#72953,.T.); #183519=EDGE_CURVE('',#151117,#151116,#64204,.T.); #183520=EDGE_CURVE('',#151109,#151118,#72954,.T.); #183521=EDGE_CURVE('',#151118,#151117,#72955,.T.); #183522=EDGE_CURVE('',#151108,#151119,#72956,.T.); #183523=EDGE_CURVE('',#151119,#151118,#64205,.T.); #183524=EDGE_CURVE('',#151107,#151120,#72957,.T.); #183525=EDGE_CURVE('',#151120,#151119,#72958,.T.); #183526=EDGE_CURVE('',#151106,#151121,#63368,.T.); #183527=EDGE_CURVE('',#151121,#151120,#64206,.T.); #183528=EDGE_CURVE('',#151005,#151095,#72959,.T.); #183529=EDGE_CURVE('',#151097,#151003,#72960,.T.); #183530=EDGE_CURVE('',#151093,#151007,#72961,.T.); #183531=EDGE_CURVE('',#151001,#151099,#72962,.T.); #183532=EDGE_CURVE('',#151101,#150999,#72963,.T.); #183533=EDGE_CURVE('',#150997,#151103,#72964,.T.); #183534=EDGE_CURVE('',#151055,#151084,#72965,.T.); #183535=EDGE_CURVE('',#151085,#151054,#72966,.T.); #183536=EDGE_CURVE('',#151083,#151056,#72967,.T.); #183537=EDGE_CURVE('',#151053,#151086,#72968,.T.); #183538=EDGE_CURVE('',#151087,#151052,#72969,.T.); #183539=EDGE_CURVE('',#151051,#151088,#72970,.T.); #183540=EDGE_CURVE('',#151033,#151067,#72971,.T.); #183541=EDGE_CURVE('',#151069,#151031,#72972,.T.); #183542=EDGE_CURVE('',#151065,#151035,#72973,.T.); #183543=EDGE_CURVE('',#151037,#151063,#72974,.T.); #183544=EDGE_CURVE('',#151061,#151039,#72975,.T.); #183545=EDGE_CURVE('',#151057,#151082,#72976,.T.); #183546=EDGE_CURVE('',#151041,#151058,#72977,.T.); #183547=EDGE_CURVE('',#151029,#151071,#72978,.T.); #183548=EDGE_CURVE('',#151073,#151026,#72979,.T.); #183549=EDGE_CURVE('',#151089,#151050,#72980,.T.); #183550=EDGE_CURVE('',#151023,#151116,#72981,.T.); #183551=EDGE_CURVE('',#151117,#151022,#72982,.T.); #183552=EDGE_CURVE('',#151115,#151024,#72983,.T.); #183553=EDGE_CURVE('',#151021,#151118,#72984,.T.); #183554=EDGE_CURVE('',#151119,#151020,#72985,.T.); #183555=EDGE_CURVE('',#151009,#151090,#72986,.T.); #183556=EDGE_CURVE('',#151025,#151114,#72987,.T.); #183557=EDGE_CURVE('',#151019,#151120,#72988,.T.); #183558=EDGE_CURVE('',#151121,#151018,#72989,.T.); #183559=EDGE_CURVE('',#151105,#150994,#72990,.T.); #183560=EDGE_CURVE('',#151122,#151123,#63369,.T.); #183561=EDGE_CURVE('',#151122,#150988,#64207,.T.); #183562=EDGE_CURVE('',#151124,#150988,#72991,.T.); #183563=EDGE_CURVE('',#151125,#151124,#64208,.T.); #183564=EDGE_CURVE('',#151125,#151126,#72992,.T.); #183565=EDGE_CURVE('',#151126,#151123,#64209,.T.); #183566=EDGE_CURVE('',#151123,#151127,#63370,.F.); #183567=EDGE_CURVE('',#151127,#151128,#64210,.T.); #183568=EDGE_CURVE('',#151122,#151128,#63371,.T.); #183569=EDGE_CURVE('',#151129,#151130,#63372,.T.); #183570=EDGE_CURVE('',#151131,#151129,#63373,.T.); #183571=EDGE_CURVE('',#151132,#151131,#72993,.T.); #183572=EDGE_CURVE('',#151133,#151132,#63374,.T.); #183573=EDGE_CURVE('',#151134,#151133,#64211,.T.); #183574=EDGE_CURVE('',#151135,#151134,#63375,.T.); #183575=EDGE_CURVE('',#151136,#151135,#72994,.T.); #183576=EDGE_CURVE('',#151137,#151136,#63376,.T.); #183577=EDGE_CURVE('',#151138,#151137,#72995,.T.); #183578=EDGE_CURVE('',#151134,#151138,#63377,.T.); #183579=EDGE_CURVE('',#151139,#151134,#64212,.T.); #183580=EDGE_CURVE('',#151140,#151139,#63378,.T.); #183581=EDGE_CURVE('',#151141,#151140,#72996,.T.); #183582=EDGE_CURVE('',#151142,#151141,#63379,.T.); #183583=EDGE_CURVE('',#151143,#151142,#72997,.T.); #183584=EDGE_CURVE('',#151139,#151143,#63380,.T.); #183585=EDGE_CURVE('',#151144,#151139,#64213,.T.); #183586=EDGE_CURVE('',#151145,#151144,#63381,.T.); #183587=EDGE_CURVE('',#151146,#151145,#72998,.T.); #183588=EDGE_CURVE('',#151147,#151146,#63382,.T.); #183589=EDGE_CURVE('',#151148,#151147,#72999,.T.); #183590=EDGE_CURVE('',#151144,#151148,#63383,.T.); #183591=EDGE_CURVE('',#151149,#151144,#64214,.T.); #183592=EDGE_CURVE('',#151150,#151149,#63384,.T.); #183593=EDGE_CURVE('',#151151,#151150,#73000,.T.); #183594=EDGE_CURVE('',#151152,#151151,#63385,.T.); #183595=EDGE_CURVE('',#151153,#151152,#73001,.T.); #183596=EDGE_CURVE('',#151149,#151153,#63386,.T.); #183597=EDGE_CURVE('',#151154,#151149,#64215,.T.); #183598=EDGE_CURVE('',#151155,#151154,#63387,.T.); #183599=EDGE_CURVE('',#151156,#151155,#73002,.T.); #183600=EDGE_CURVE('',#151157,#151156,#63388,.T.); #183601=EDGE_CURVE('',#151158,#151157,#73003,.T.); #183602=EDGE_CURVE('',#151154,#151158,#63389,.T.); #183603=EDGE_CURVE('',#151159,#151154,#64216,.T.); #183604=EDGE_CURVE('',#151160,#151159,#63390,.T.); #183605=EDGE_CURVE('',#151161,#151160,#73004,.T.); #183606=EDGE_CURVE('',#151162,#151161,#63391,.T.); #183607=EDGE_CURVE('',#151163,#151162,#73005,.T.); #183608=EDGE_CURVE('',#151159,#151163,#63392,.T.); #183609=EDGE_CURVE('',#151164,#151159,#64217,.T.); #183610=EDGE_CURVE('',#151165,#151164,#63393,.T.); #183611=EDGE_CURVE('',#151166,#151165,#73006,.T.); #183612=EDGE_CURVE('',#151167,#151166,#63394,.T.); #183613=EDGE_CURVE('',#151168,#151167,#73007,.T.); #183614=EDGE_CURVE('',#151164,#151168,#63395,.T.); #183615=EDGE_CURVE('',#151169,#151164,#64218,.T.); #183616=EDGE_CURVE('',#151170,#151169,#63396,.T.); #183617=EDGE_CURVE('',#151171,#151170,#73008,.T.); #183618=EDGE_CURVE('',#151172,#151171,#63397,.T.); #183619=EDGE_CURVE('',#151173,#151172,#73009,.T.); #183620=EDGE_CURVE('',#151169,#151173,#63398,.T.); #183621=EDGE_CURVE('',#151174,#151169,#64219,.T.); #183622=EDGE_CURVE('',#151175,#151174,#63399,.T.); #183623=EDGE_CURVE('',#151176,#151175,#73010,.T.); #183624=EDGE_CURVE('',#151177,#151176,#63400,.T.); #183625=EDGE_CURVE('',#151177,#151178,#73011,.T.); #183626=EDGE_CURVE('',#151178,#151179,#64220,.T.); #183627=EDGE_CURVE('',#151128,#151179,#73012,.T.); #183628=EDGE_CURVE('',#151127,#151180,#73013,.T.); #183629=EDGE_CURVE('',#151180,#151178,#64221,.T.); #183630=EDGE_CURVE('',#151181,#151177,#63401,.T.); #183631=EDGE_CURVE('',#151182,#151181,#73014,.T.); #183632=EDGE_CURVE('',#151174,#151182,#63402,.T.); #183633=EDGE_CURVE('',#151183,#151174,#64222,.T.); #183634=EDGE_CURVE('',#151184,#151183,#63403,.T.); #183635=EDGE_CURVE('',#151185,#151184,#73015,.T.); #183636=EDGE_CURVE('',#151186,#151185,#63404,.T.); #183637=EDGE_CURVE('',#151187,#151186,#73016,.T.); #183638=EDGE_CURVE('',#151183,#151187,#63405,.T.); #183639=EDGE_CURVE('',#151188,#151183,#64223,.T.); #183640=EDGE_CURVE('',#151189,#151188,#63406,.T.); #183641=EDGE_CURVE('',#151190,#151189,#73017,.T.); #183642=EDGE_CURVE('',#151191,#151190,#63407,.T.); #183643=EDGE_CURVE('',#151192,#151191,#73018,.T.); #183644=EDGE_CURVE('',#151188,#151192,#63408,.T.); #183645=EDGE_CURVE('',#151193,#151188,#64224,.T.); #183646=EDGE_CURVE('',#151194,#151193,#63409,.T.); #183647=EDGE_CURVE('',#151195,#151194,#73019,.T.); #183648=EDGE_CURVE('',#151196,#151195,#63410,.T.); #183649=EDGE_CURVE('',#151197,#151196,#73020,.T.); #183650=EDGE_CURVE('',#151193,#151197,#63411,.T.); #183651=EDGE_CURVE('',#151198,#151193,#64225,.T.); #183652=EDGE_CURVE('',#151199,#151198,#63412,.T.); #183653=EDGE_CURVE('',#151200,#151199,#73021,.T.); #183654=EDGE_CURVE('',#151201,#151200,#63413,.T.); #183655=EDGE_CURVE('',#151202,#151201,#73022,.T.); #183656=EDGE_CURVE('',#151198,#151202,#63414,.T.); #183657=EDGE_CURVE('',#151203,#151198,#64226,.T.); #183658=EDGE_CURVE('',#151204,#151203,#63415,.T.); #183659=EDGE_CURVE('',#151205,#151204,#73023,.T.); #183660=EDGE_CURVE('',#151206,#151205,#63416,.T.); #183661=EDGE_CURVE('',#151207,#151206,#73024,.T.); #183662=EDGE_CURVE('',#151203,#151207,#63417,.T.); #183663=EDGE_CURVE('',#151208,#151203,#64227,.T.); #183664=EDGE_CURVE('',#151209,#151208,#63418,.T.); #183665=EDGE_CURVE('',#151210,#151209,#73025,.T.); #183666=EDGE_CURVE('',#151211,#151210,#63419,.T.); #183667=EDGE_CURVE('',#151212,#151211,#73026,.T.); #183668=EDGE_CURVE('',#151208,#151212,#63420,.T.); #183669=EDGE_CURVE('',#151133,#151208,#64228,.T.); #183670=EDGE_CURVE('',#151213,#151133,#63421,.T.); #183671=EDGE_CURVE('',#151214,#151213,#73027,.T.); #183672=EDGE_CURVE('',#151130,#151214,#63422,.T.); #183673=EDGE_CURVE('',#151128,#150989,#64229,.T.); #183674=EDGE_CURVE('',#151215,#150986,#64230,.T.); #183675=EDGE_CURVE('',#150987,#151215,#73028,.T.); #183676=EDGE_CURVE('',#151216,#151217,#8007,.T.); #183677=EDGE_CURVE('',#151217,#151218,#73029,.T.); #183678=EDGE_CURVE('',#151218,#151219,#73030,.T.); #183679=EDGE_CURVE('',#151219,#151216,#73031,.T.); #183680=EDGE_CURVE('',#151126,#151220,#64231,.T.); #183681=EDGE_CURVE('',#151220,#151219,#64232,.T.); #183682=EDGE_CURVE('',#151218,#151127,#64233,.T.); #183683=EDGE_CURVE('',#151221,#151220,#73032,.T.); #183684=EDGE_CURVE('',#151222,#151221,#73033,.T.); #183685=EDGE_CURVE('',#151223,#151222,#64234,.T.); #183686=EDGE_CURVE('',#151224,#151223,#73034,.T.); #183687=EDGE_CURVE('',#151216,#151224,#64235,.T.); #183688=EDGE_CURVE('',#151218,#151225,#73035,.T.); #183689=EDGE_CURVE('',#151180,#151225,#63423,.T.); #183690=EDGE_CURVE('',#151225,#151217,#8008,.T.); #183691=EDGE_CURVE('',#151226,#151179,#63424,.T.); #183692=EDGE_CURVE('',#150989,#151226,#73036,.T.); #183693=EDGE_CURVE('',#150990,#151226,#8009,.T.); #183694=EDGE_CURVE('',#151227,#151224,#64236,.T.); #183695=EDGE_CURVE('',#151227,#151228,#64237,.T.); #183696=EDGE_CURVE('',#151229,#151228,#64238,.T.); #183697=EDGE_CURVE('',#151229,#151230,#64239,.T.); #183698=EDGE_CURVE('',#151231,#151230,#64240,.T.); #183699=EDGE_CURVE('',#151231,#151232,#64241,.T.); #183700=EDGE_CURVE('',#151215,#151232,#64242,.T.); #183701=EDGE_CURVE('',#151233,#151126,#64243,.T.); #183702=EDGE_CURVE('',#151220,#151233,#73037,.T.); #183703=EDGE_CURVE('',#151234,#151233,#73038,.T.); #183704=EDGE_CURVE('',#151235,#151234,#73039,.T.); #183705=EDGE_CURVE('',#151221,#151235,#73040,.F.); #183706=EDGE_CURVE('',#151236,#150987,#73041,.F.); #183707=EDGE_CURVE('',#151124,#151236,#73042,.T.); #183708=EDGE_CURVE('',#151234,#151125,#64244,.T.); #183709=EDGE_CURVE('',#151237,#151235,#73043,.F.); #183710=EDGE_CURVE('',#151237,#151222,#73044,.T.); #183711=EDGE_CURVE('',#151215,#151232,#73045,.T.); #183712=EDGE_CURVE('',#151232,#151238,#73046,.T.); #183713=EDGE_CURVE('',#151239,#151238,#73047,.T.); #183714=EDGE_CURVE('',#151236,#151239,#73048,.F.); #183715=EDGE_CURVE('',#151240,#151240,#64245,.T.); #183716=EDGE_CURVE('',#151240,#151241,#73049,.T.); #183717=EDGE_CURVE('',#151241,#151241,#64246,.T.); #183718=EDGE_CURVE('',#151242,#151242,#64247,.T.); #183719=EDGE_CURVE('',#151243,#151243,#64248,.T.); #183720=EDGE_CURVE('',#151243,#151244,#73050,.T.); #183721=EDGE_CURVE('',#151244,#151244,#64249,.T.); #183722=EDGE_CURVE('',#151245,#151245,#64250,.T.); #183723=EDGE_CURVE('',#151246,#151246,#64251,.T.); #183724=EDGE_CURVE('',#151246,#151247,#73051,.T.); #183725=EDGE_CURVE('',#151247,#151247,#64252,.T.); #183726=EDGE_CURVE('',#151248,#151248,#64253,.T.); #183727=EDGE_CURVE('',#151249,#151249,#64254,.T.); #183728=EDGE_CURVE('',#151249,#151250,#73052,.T.); #183729=EDGE_CURVE('',#151250,#151250,#64255,.T.); #183730=EDGE_CURVE('',#151251,#151251,#64256,.T.); #183731=EDGE_CURVE('',#151242,#151252,#73053,.T.); #183732=EDGE_CURVE('',#151252,#151252,#64257,.T.); #183733=EDGE_CURVE('',#151251,#151253,#73054,.T.); #183734=EDGE_CURVE('',#151253,#151253,#64258,.T.); #183735=EDGE_CURVE('',#151248,#151254,#73055,.T.); #183736=EDGE_CURVE('',#151254,#151254,#64259,.T.); #183737=EDGE_CURVE('',#151245,#151255,#73056,.T.); #183738=EDGE_CURVE('',#151255,#151255,#64260,.T.); #183739=EDGE_CURVE('',#151256,#151256,#64261,.T.); #183740=EDGE_CURVE('',#151174,#150991,#73057,.T.); #183741=EDGE_CURVE('',#151257,#151205,#73058,.T.); #183742=EDGE_CURVE('',#151204,#151258,#73059,.T.); #183743=EDGE_CURVE('',#151258,#151257,#73060,.T.); #183744=EDGE_CURVE('',#151259,#151206,#73061,.T.); #183745=EDGE_CURVE('',#151257,#151259,#63425,.T.); #183746=EDGE_CURVE('',#151260,#151207,#73062,.T.); #183747=EDGE_CURVE('',#151259,#151260,#73063,.T.); #183748=EDGE_CURVE('',#151260,#151258,#63426,.T.); #183749=EDGE_CURVE('',#151257,#151261,#73064,.T.); #183750=EDGE_CURVE('',#151262,#151261,#63427,.T.); #183751=EDGE_CURVE('',#151259,#151262,#73065,.T.); #183752=EDGE_CURVE('',#151258,#151263,#73066,.T.); #183753=EDGE_CURVE('',#151261,#151263,#73067,.T.); #183754=EDGE_CURVE('',#151263,#151264,#73068,.T.); #183755=EDGE_CURVE('',#151264,#151265,#73069,.T.); #183756=EDGE_CURVE('',#151265,#151261,#73070,.T.); #183757=EDGE_CURVE('',#151266,#151267,#73071,.T.); #183758=EDGE_CURVE('',#151267,#151264,#63428,.T.); #183759=EDGE_CURVE('',#151263,#151266,#63429,.T.); #183760=EDGE_CURVE('',#151262,#151268,#73072,.T.); #183761=EDGE_CURVE('',#151268,#151267,#73073,.T.); #183762=EDGE_CURVE('',#151266,#151262,#73074,.T.); #183763=EDGE_CURVE('',#151265,#151268,#63430,.T.); #183764=EDGE_CURVE('',#151260,#151266,#73075,.T.); #183765=EDGE_CURVE('',#151256,#151269,#73076,.T.); #183766=EDGE_CURVE('',#151270,#151269,#63431,.T.); #183767=EDGE_CURVE('',#151271,#151270,#73077,.T.); #183768=EDGE_CURVE('',#151272,#151271,#63432,.T.); #183769=EDGE_CURVE('',#151272,#151273,#73078,.T.); #183770=EDGE_CURVE('',#151273,#151273,#64262,.T.); #183771=EDGE_CURVE('',#151274,#151272,#63433,.T.); #183772=EDGE_CURVE('',#151275,#151274,#73079,.T.); #183773=EDGE_CURVE('',#151269,#151275,#63434,.T.); #183774=EDGE_CURVE('',#151276,#151277,#73080,.T.); #183775=EDGE_CURVE('',#151278,#151276,#63435,.T.); #183776=EDGE_CURVE('',#151279,#151278,#73081,.T.); #183777=EDGE_CURVE('',#151277,#151279,#63436,.T.); #183778=EDGE_CURVE('',#151280,#151281,#73082,.T.); #183779=EDGE_CURVE('',#151282,#151280,#63437,.T.); #183780=EDGE_CURVE('',#151283,#151282,#73083,.T.); #183781=EDGE_CURVE('',#151281,#151283,#63438,.T.); #183782=EDGE_CURVE('',#151284,#151285,#73084,.T.); #183783=EDGE_CURVE('',#151286,#151284,#63439,.T.); #183784=EDGE_CURVE('',#151287,#151286,#73085,.T.); #183785=EDGE_CURVE('',#151285,#151287,#63440,.T.); #183786=EDGE_CURVE('',#151288,#151289,#73086,.T.); #183787=EDGE_CURVE('',#151290,#151288,#63441,.T.); #183788=EDGE_CURVE('',#151291,#151290,#73087,.T.); #183789=EDGE_CURVE('',#151289,#151291,#63442,.T.); #183790=EDGE_CURVE('',#151292,#151293,#73088,.T.); #183791=EDGE_CURVE('',#151294,#151292,#63443,.T.); #183792=EDGE_CURVE('',#151295,#151294,#73089,.T.); #183793=EDGE_CURVE('',#151293,#151295,#63444,.T.); #183794=EDGE_CURVE('',#151296,#151297,#73090,.T.); #183795=EDGE_CURVE('',#151298,#151296,#63445,.T.); #183796=EDGE_CURVE('',#151299,#151298,#73091,.T.); #183797=EDGE_CURVE('',#151297,#151299,#63446,.T.); #183798=EDGE_CURVE('',#151300,#151301,#73092,.T.); #183799=EDGE_CURVE('',#151302,#151300,#63447,.T.); #183800=EDGE_CURVE('',#151303,#151302,#73093,.T.); #183801=EDGE_CURVE('',#151301,#151303,#63448,.T.); #183802=EDGE_CURVE('',#151304,#151305,#73094,.T.); #183803=EDGE_CURVE('',#151306,#151304,#63449,.T.); #183804=EDGE_CURVE('',#151307,#151306,#73095,.T.); #183805=EDGE_CURVE('',#151305,#151307,#63450,.T.); #183806=EDGE_CURVE('',#151308,#151309,#73096,.T.); #183807=EDGE_CURVE('',#151310,#151308,#63451,.T.); #183808=EDGE_CURVE('',#151311,#151310,#73097,.T.); #183809=EDGE_CURVE('',#151309,#151311,#63452,.T.); #183810=EDGE_CURVE('',#151312,#151313,#73098,.T.); #183811=EDGE_CURVE('',#151314,#151312,#63453,.T.); #183812=EDGE_CURVE('',#151315,#151314,#73099,.T.); #183813=EDGE_CURVE('',#151313,#151315,#63454,.T.); #183814=EDGE_CURVE('',#151316,#151317,#73100,.T.); #183815=EDGE_CURVE('',#151318,#151316,#63455,.T.); #183816=EDGE_CURVE('',#151319,#151318,#73101,.T.); #183817=EDGE_CURVE('',#151317,#151319,#63456,.T.); #183818=EDGE_CURVE('',#151320,#151321,#73102,.T.); #183819=EDGE_CURVE('',#151322,#151320,#63457,.T.); #183820=EDGE_CURVE('',#151323,#151322,#73103,.T.); #183821=EDGE_CURVE('',#151321,#151323,#63458,.T.); #183822=EDGE_CURVE('',#151324,#151325,#73104,.T.); #183823=EDGE_CURVE('',#151326,#151324,#63459,.T.); #183824=EDGE_CURVE('',#151327,#151326,#73105,.T.); #183825=EDGE_CURVE('',#151325,#151327,#63460,.T.); #183826=EDGE_CURVE('',#151328,#151329,#73106,.T.); #183827=EDGE_CURVE('',#151330,#151328,#63461,.T.); #183828=EDGE_CURVE('',#151331,#151330,#73107,.T.); #183829=EDGE_CURVE('',#151329,#151331,#63462,.T.); #183830=EDGE_CURVE('',#151332,#151210,#73108,.T.); #183831=EDGE_CURVE('',#151209,#151333,#73109,.T.); #183832=EDGE_CURVE('',#151333,#151332,#73110,.T.); #183833=EDGE_CURVE('',#151334,#151211,#73111,.T.); #183834=EDGE_CURVE('',#151332,#151334,#63463,.T.); #183835=EDGE_CURVE('',#151335,#151212,#73112,.T.); #183836=EDGE_CURVE('',#151334,#151335,#73113,.T.); #183837=EDGE_CURVE('',#151335,#151333,#63464,.T.); #183838=EDGE_CURVE('',#151332,#151336,#73114,.T.); #183839=EDGE_CURVE('',#151337,#151336,#63465,.T.); #183840=EDGE_CURVE('',#151334,#151337,#73115,.T.); #183841=EDGE_CURVE('',#151333,#151338,#73116,.T.); #183842=EDGE_CURVE('',#151336,#151338,#73117,.T.); #183843=EDGE_CURVE('',#151338,#151276,#73118,.T.); #183844=EDGE_CURVE('',#151277,#151336,#73119,.T.); #183845=EDGE_CURVE('',#151339,#151278,#73120,.T.); #183846=EDGE_CURVE('',#151338,#151339,#63466,.T.); #183847=EDGE_CURVE('',#151337,#151279,#73121,.T.); #183848=EDGE_CURVE('',#151339,#151337,#73122,.T.); #183849=EDGE_CURVE('',#151335,#151339,#73123,.T.); #183850=EDGE_CURVE('',#151340,#151214,#73124,.T.); #183851=EDGE_CURVE('',#151213,#151341,#73125,.T.); #183852=EDGE_CURVE('',#151341,#151340,#73126,.T.); #183853=EDGE_CURVE('',#151342,#151131,#73127,.T.); #183854=EDGE_CURVE('',#151340,#151342,#63467,.T.); #183855=EDGE_CURVE('',#151343,#151132,#73128,.T.); #183856=EDGE_CURVE('',#151342,#151343,#73129,.T.); #183857=EDGE_CURVE('',#151343,#151341,#63468,.T.); #183858=EDGE_CURVE('',#151340,#151344,#73130,.T.); #183859=EDGE_CURVE('',#151345,#151344,#63469,.T.); #183860=EDGE_CURVE('',#151342,#151345,#73131,.T.); #183861=EDGE_CURVE('',#151341,#151346,#73132,.T.); #183862=EDGE_CURVE('',#151344,#151346,#73133,.T.); #183863=EDGE_CURVE('',#151346,#151328,#73134,.T.); #183864=EDGE_CURVE('',#151329,#151344,#73135,.T.); #183865=EDGE_CURVE('',#151347,#151330,#73136,.T.); #183866=EDGE_CURVE('',#151346,#151347,#63470,.T.); #183867=EDGE_CURVE('',#151345,#151331,#73137,.T.); #183868=EDGE_CURVE('',#151347,#151345,#73138,.T.); #183869=EDGE_CURVE('',#151343,#151347,#73139,.T.); #183870=EDGE_CURVE('',#151348,#151136,#73140,.T.); #183871=EDGE_CURVE('',#151135,#151349,#73141,.T.); #183872=EDGE_CURVE('',#151349,#151348,#73142,.T.); #183873=EDGE_CURVE('',#151350,#151137,#73143,.T.); #183874=EDGE_CURVE('',#151348,#151350,#63471,.T.); #183875=EDGE_CURVE('',#151351,#151138,#73144,.T.); #183876=EDGE_CURVE('',#151350,#151351,#73145,.T.); #183877=EDGE_CURVE('',#151351,#151349,#63472,.T.); #183878=EDGE_CURVE('',#151348,#151352,#73146,.T.); #183879=EDGE_CURVE('',#151353,#151352,#63473,.T.); #183880=EDGE_CURVE('',#151350,#151353,#73147,.T.); #183881=EDGE_CURVE('',#151349,#151354,#73148,.T.); #183882=EDGE_CURVE('',#151352,#151354,#73149,.T.); #183883=EDGE_CURVE('',#151354,#151280,#73150,.T.); #183884=EDGE_CURVE('',#151281,#151352,#73151,.T.); #183885=EDGE_CURVE('',#151355,#151282,#73152,.T.); #183886=EDGE_CURVE('',#151354,#151355,#63474,.T.); #183887=EDGE_CURVE('',#151353,#151283,#73153,.T.); #183888=EDGE_CURVE('',#151355,#151353,#73154,.T.); #183889=EDGE_CURVE('',#151351,#151355,#73155,.T.); #183890=EDGE_CURVE('',#151356,#151141,#73156,.T.); #183891=EDGE_CURVE('',#151140,#151357,#73157,.T.); #183892=EDGE_CURVE('',#151357,#151356,#73158,.T.); #183893=EDGE_CURVE('',#151358,#151142,#73159,.T.); #183894=EDGE_CURVE('',#151356,#151358,#63475,.T.); #183895=EDGE_CURVE('',#151359,#151143,#73160,.T.); #183896=EDGE_CURVE('',#151358,#151359,#73161,.T.); #183897=EDGE_CURVE('',#151359,#151357,#63476,.T.); #183898=EDGE_CURVE('',#151356,#151360,#73162,.T.); #183899=EDGE_CURVE('',#151361,#151360,#63477,.T.); #183900=EDGE_CURVE('',#151358,#151361,#73163,.T.); #183901=EDGE_CURVE('',#151357,#151362,#73164,.T.); #183902=EDGE_CURVE('',#151360,#151362,#73165,.T.); #183903=EDGE_CURVE('',#151362,#151324,#73166,.T.); #183904=EDGE_CURVE('',#151325,#151360,#73167,.T.); #183905=EDGE_CURVE('',#151363,#151326,#73168,.T.); #183906=EDGE_CURVE('',#151362,#151363,#63478,.T.); #183907=EDGE_CURVE('',#151361,#151327,#73169,.T.); #183908=EDGE_CURVE('',#151363,#151361,#73170,.T.); #183909=EDGE_CURVE('',#151359,#151363,#73171,.T.); #183910=EDGE_CURVE('',#151364,#151146,#73172,.T.); #183911=EDGE_CURVE('',#151145,#151365,#73173,.T.); #183912=EDGE_CURVE('',#151365,#151364,#73174,.T.); #183913=EDGE_CURVE('',#151366,#151147,#73175,.T.); #183914=EDGE_CURVE('',#151364,#151366,#63479,.T.); #183915=EDGE_CURVE('',#151367,#151148,#73176,.T.); #183916=EDGE_CURVE('',#151366,#151367,#73177,.T.); #183917=EDGE_CURVE('',#151367,#151365,#63480,.T.); #183918=EDGE_CURVE('',#151364,#151368,#73178,.T.); #183919=EDGE_CURVE('',#151369,#151368,#63481,.T.); #183920=EDGE_CURVE('',#151366,#151369,#73179,.T.); #183921=EDGE_CURVE('',#151365,#151370,#73180,.T.); #183922=EDGE_CURVE('',#151368,#151370,#73181,.T.); #183923=EDGE_CURVE('',#151370,#151284,#73182,.T.); #183924=EDGE_CURVE('',#151285,#151368,#73183,.T.); #183925=EDGE_CURVE('',#151371,#151286,#73184,.T.); #183926=EDGE_CURVE('',#151370,#151371,#63482,.T.); #183927=EDGE_CURVE('',#151369,#151287,#73185,.T.); #183928=EDGE_CURVE('',#151371,#151369,#73186,.T.); #183929=EDGE_CURVE('',#151367,#151371,#73187,.T.); #183930=EDGE_CURVE('',#151372,#151151,#73188,.T.); #183931=EDGE_CURVE('',#151150,#151373,#73189,.T.); #183932=EDGE_CURVE('',#151373,#151372,#73190,.T.); #183933=EDGE_CURVE('',#151374,#151152,#73191,.T.); #183934=EDGE_CURVE('',#151372,#151374,#63483,.T.); #183935=EDGE_CURVE('',#151375,#151153,#73192,.T.); #183936=EDGE_CURVE('',#151374,#151375,#73193,.T.); #183937=EDGE_CURVE('',#151375,#151373,#63484,.T.); #183938=EDGE_CURVE('',#151372,#151376,#73194,.T.); #183939=EDGE_CURVE('',#151377,#151376,#63485,.T.); #183940=EDGE_CURVE('',#151374,#151377,#73195,.T.); #183941=EDGE_CURVE('',#151373,#151378,#73196,.T.); #183942=EDGE_CURVE('',#151376,#151378,#73197,.T.); #183943=EDGE_CURVE('',#151378,#151320,#73198,.T.); #183944=EDGE_CURVE('',#151321,#151376,#73199,.T.); #183945=EDGE_CURVE('',#151379,#151322,#73200,.T.); #183946=EDGE_CURVE('',#151378,#151379,#63486,.T.); #183947=EDGE_CURVE('',#151377,#151323,#73201,.T.); #183948=EDGE_CURVE('',#151379,#151377,#73202,.T.); #183949=EDGE_CURVE('',#151375,#151379,#73203,.T.); #183950=EDGE_CURVE('',#151380,#151156,#73204,.T.); #183951=EDGE_CURVE('',#151155,#151381,#73205,.T.); #183952=EDGE_CURVE('',#151381,#151380,#73206,.T.); #183953=EDGE_CURVE('',#151382,#151157,#73207,.T.); #183954=EDGE_CURVE('',#151380,#151382,#63487,.T.); #183955=EDGE_CURVE('',#151383,#151158,#73208,.T.); #183956=EDGE_CURVE('',#151382,#151383,#73209,.T.); #183957=EDGE_CURVE('',#151383,#151381,#63488,.T.); #183958=EDGE_CURVE('',#151380,#151384,#73210,.T.); #183959=EDGE_CURVE('',#151385,#151384,#63489,.T.); #183960=EDGE_CURVE('',#151382,#151385,#73211,.T.); #183961=EDGE_CURVE('',#151381,#151386,#73212,.T.); #183962=EDGE_CURVE('',#151384,#151386,#73213,.T.); #183963=EDGE_CURVE('',#151386,#151288,#73214,.T.); #183964=EDGE_CURVE('',#151289,#151384,#73215,.T.); #183965=EDGE_CURVE('',#151387,#151290,#73216,.T.); #183966=EDGE_CURVE('',#151386,#151387,#63490,.T.); #183967=EDGE_CURVE('',#151385,#151291,#73217,.T.); #183968=EDGE_CURVE('',#151387,#151385,#73218,.T.); #183969=EDGE_CURVE('',#151383,#151387,#73219,.T.); #183970=EDGE_CURVE('',#151388,#151161,#73220,.T.); #183971=EDGE_CURVE('',#151160,#151389,#73221,.T.); #183972=EDGE_CURVE('',#151389,#151388,#73222,.T.); #183973=EDGE_CURVE('',#151390,#151162,#73223,.T.); #183974=EDGE_CURVE('',#151388,#151390,#63491,.T.); #183975=EDGE_CURVE('',#151391,#151163,#73224,.T.); #183976=EDGE_CURVE('',#151390,#151391,#73225,.T.); #183977=EDGE_CURVE('',#151391,#151389,#63492,.T.); #183978=EDGE_CURVE('',#151388,#151392,#73226,.T.); #183979=EDGE_CURVE('',#151393,#151392,#63493,.T.); #183980=EDGE_CURVE('',#151390,#151393,#73227,.T.); #183981=EDGE_CURVE('',#151389,#151394,#73228,.T.); #183982=EDGE_CURVE('',#151392,#151394,#73229,.T.); #183983=EDGE_CURVE('',#151394,#151316,#73230,.T.); #183984=EDGE_CURVE('',#151317,#151392,#73231,.T.); #183985=EDGE_CURVE('',#151395,#151318,#73232,.T.); #183986=EDGE_CURVE('',#151394,#151395,#63494,.T.); #183987=EDGE_CURVE('',#151393,#151319,#73233,.T.); #183988=EDGE_CURVE('',#151395,#151393,#73234,.T.); #183989=EDGE_CURVE('',#151391,#151395,#73235,.T.); #183990=EDGE_CURVE('',#151396,#151166,#73236,.T.); #183991=EDGE_CURVE('',#151165,#151397,#73237,.T.); #183992=EDGE_CURVE('',#151397,#151396,#73238,.T.); #183993=EDGE_CURVE('',#151398,#151167,#73239,.T.); #183994=EDGE_CURVE('',#151396,#151398,#63495,.T.); #183995=EDGE_CURVE('',#151399,#151168,#73240,.T.); #183996=EDGE_CURVE('',#151398,#151399,#73241,.T.); #183997=EDGE_CURVE('',#151399,#151397,#63496,.T.); #183998=EDGE_CURVE('',#151396,#151400,#73242,.T.); #183999=EDGE_CURVE('',#151401,#151400,#63497,.T.); #184000=EDGE_CURVE('',#151398,#151401,#73243,.T.); #184001=EDGE_CURVE('',#151397,#151402,#73244,.T.); #184002=EDGE_CURVE('',#151400,#151402,#73245,.T.); #184003=EDGE_CURVE('',#151402,#151292,#73246,.T.); #184004=EDGE_CURVE('',#151293,#151400,#73247,.T.); #184005=EDGE_CURVE('',#151403,#151294,#73248,.T.); #184006=EDGE_CURVE('',#151402,#151403,#63498,.T.); #184007=EDGE_CURVE('',#151401,#151295,#73249,.T.); #184008=EDGE_CURVE('',#151403,#151401,#73250,.T.); #184009=EDGE_CURVE('',#151399,#151403,#73251,.T.); #184010=EDGE_CURVE('',#151404,#151171,#73252,.T.); #184011=EDGE_CURVE('',#151170,#151405,#73253,.T.); #184012=EDGE_CURVE('',#151405,#151404,#73254,.T.); #184013=EDGE_CURVE('',#151406,#151172,#73255,.T.); #184014=EDGE_CURVE('',#151404,#151406,#63499,.T.); #184015=EDGE_CURVE('',#151407,#151173,#73256,.T.); #184016=EDGE_CURVE('',#151406,#151407,#73257,.T.); #184017=EDGE_CURVE('',#151407,#151405,#63500,.T.); #184018=EDGE_CURVE('',#151404,#151408,#73258,.T.); #184019=EDGE_CURVE('',#151409,#151408,#63501,.T.); #184020=EDGE_CURVE('',#151406,#151409,#73259,.T.); #184021=EDGE_CURVE('',#151405,#151410,#73260,.T.); #184022=EDGE_CURVE('',#151408,#151410,#73261,.T.); #184023=EDGE_CURVE('',#151410,#151312,#73262,.T.); #184024=EDGE_CURVE('',#151313,#151408,#73263,.T.); #184025=EDGE_CURVE('',#151411,#151314,#73264,.T.); #184026=EDGE_CURVE('',#151410,#151411,#63502,.T.); #184027=EDGE_CURVE('',#151409,#151315,#73265,.T.); #184028=EDGE_CURVE('',#151411,#151409,#73266,.T.); #184029=EDGE_CURVE('',#151407,#151411,#73267,.T.); #184030=EDGE_CURVE('',#151412,#151176,#73268,.T.); #184031=EDGE_CURVE('',#151175,#151413,#73269,.T.); #184032=EDGE_CURVE('',#151413,#151412,#73270,.T.); #184033=EDGE_CURVE('',#151414,#151181,#73271,.T.); #184034=EDGE_CURVE('',#151412,#151414,#63503,.T.); #184035=EDGE_CURVE('',#151415,#151182,#73272,.T.); #184036=EDGE_CURVE('',#151414,#151415,#73273,.T.); #184037=EDGE_CURVE('',#151415,#151413,#63504,.T.); #184038=EDGE_CURVE('',#151412,#151416,#73274,.T.); #184039=EDGE_CURVE('',#151417,#151416,#63505,.T.); #184040=EDGE_CURVE('',#151414,#151417,#73275,.T.); #184041=EDGE_CURVE('',#151413,#151418,#73276,.T.); #184042=EDGE_CURVE('',#151416,#151418,#73277,.T.); #184043=EDGE_CURVE('',#151418,#151275,#73278,.T.); #184044=EDGE_CURVE('',#151274,#151416,#73279,.T.); #184045=EDGE_CURVE('',#151419,#151270,#73280,.T.); #184046=EDGE_CURVE('',#151418,#151419,#63506,.T.); #184047=EDGE_CURVE('',#151417,#151271,#73281,.T.); #184048=EDGE_CURVE('',#151419,#151417,#73282,.T.); #184049=EDGE_CURVE('',#151415,#151419,#73283,.T.); #184050=EDGE_CURVE('',#151420,#151185,#73284,.T.); #184051=EDGE_CURVE('',#151184,#151421,#73285,.T.); #184052=EDGE_CURVE('',#151421,#151420,#73286,.T.); #184053=EDGE_CURVE('',#151422,#151186,#73287,.T.); #184054=EDGE_CURVE('',#151420,#151422,#63507,.T.); #184055=EDGE_CURVE('',#151423,#151187,#73288,.T.); #184056=EDGE_CURVE('',#151422,#151423,#73289,.T.); #184057=EDGE_CURVE('',#151423,#151421,#63508,.T.); #184058=EDGE_CURVE('',#151420,#151424,#73290,.T.); #184059=EDGE_CURVE('',#151425,#151424,#63509,.T.); #184060=EDGE_CURVE('',#151422,#151425,#73291,.T.); #184061=EDGE_CURVE('',#151421,#151426,#73292,.T.); #184062=EDGE_CURVE('',#151424,#151426,#73293,.T.); #184063=EDGE_CURVE('',#151426,#151308,#73294,.T.); #184064=EDGE_CURVE('',#151309,#151424,#73295,.T.); #184065=EDGE_CURVE('',#151427,#151310,#73296,.T.); #184066=EDGE_CURVE('',#151426,#151427,#63510,.T.); #184067=EDGE_CURVE('',#151425,#151311,#73297,.T.); #184068=EDGE_CURVE('',#151427,#151425,#73298,.T.); #184069=EDGE_CURVE('',#151423,#151427,#73299,.T.); #184070=EDGE_CURVE('',#151428,#151190,#73300,.T.); #184071=EDGE_CURVE('',#151189,#151429,#73301,.T.); #184072=EDGE_CURVE('',#151429,#151428,#73302,.T.); #184073=EDGE_CURVE('',#151430,#151191,#73303,.T.); #184074=EDGE_CURVE('',#151428,#151430,#63511,.T.); #184075=EDGE_CURVE('',#151431,#151192,#73304,.T.); #184076=EDGE_CURVE('',#151430,#151431,#73305,.T.); #184077=EDGE_CURVE('',#151431,#151429,#63512,.T.); #184078=EDGE_CURVE('',#151428,#151432,#73306,.T.); #184079=EDGE_CURVE('',#151433,#151432,#63513,.T.); #184080=EDGE_CURVE('',#151430,#151433,#73307,.T.); #184081=EDGE_CURVE('',#151429,#151434,#73308,.T.); #184082=EDGE_CURVE('',#151432,#151434,#73309,.T.); #184083=EDGE_CURVE('',#151434,#151296,#73310,.T.); #184084=EDGE_CURVE('',#151297,#151432,#73311,.T.); #184085=EDGE_CURVE('',#151435,#151298,#73312,.T.); #184086=EDGE_CURVE('',#151434,#151435,#63514,.T.); #184087=EDGE_CURVE('',#151433,#151299,#73313,.T.); #184088=EDGE_CURVE('',#151435,#151433,#73314,.T.); #184089=EDGE_CURVE('',#151431,#151435,#73315,.T.); #184090=EDGE_CURVE('',#151436,#151195,#73316,.T.); #184091=EDGE_CURVE('',#151194,#151437,#73317,.T.); #184092=EDGE_CURVE('',#151437,#151436,#73318,.T.); #184093=EDGE_CURVE('',#151438,#151196,#73319,.T.); #184094=EDGE_CURVE('',#151436,#151438,#63515,.T.); #184095=EDGE_CURVE('',#151439,#151197,#73320,.T.); #184096=EDGE_CURVE('',#151438,#151439,#73321,.T.); #184097=EDGE_CURVE('',#151439,#151437,#63516,.T.); #184098=EDGE_CURVE('',#151436,#151440,#73322,.T.); #184099=EDGE_CURVE('',#151441,#151440,#63517,.T.); #184100=EDGE_CURVE('',#151438,#151441,#73323,.T.); #184101=EDGE_CURVE('',#151437,#151442,#73324,.T.); #184102=EDGE_CURVE('',#151440,#151442,#73325,.T.); #184103=EDGE_CURVE('',#151442,#151304,#73326,.T.); #184104=EDGE_CURVE('',#151305,#151440,#73327,.T.); #184105=EDGE_CURVE('',#151443,#151306,#73328,.T.); #184106=EDGE_CURVE('',#151442,#151443,#63518,.T.); #184107=EDGE_CURVE('',#151441,#151307,#73329,.T.); #184108=EDGE_CURVE('',#151443,#151441,#73330,.T.); #184109=EDGE_CURVE('',#151439,#151443,#73331,.T.); #184110=EDGE_CURVE('',#151444,#151200,#73332,.T.); #184111=EDGE_CURVE('',#151199,#151445,#73333,.T.); #184112=EDGE_CURVE('',#151445,#151444,#73334,.T.); #184113=EDGE_CURVE('',#151446,#151201,#73335,.T.); #184114=EDGE_CURVE('',#151444,#151446,#63519,.T.); #184115=EDGE_CURVE('',#151447,#151202,#73336,.T.); #184116=EDGE_CURVE('',#151446,#151447,#73337,.T.); #184117=EDGE_CURVE('',#151447,#151445,#63520,.T.); #184118=EDGE_CURVE('',#151444,#151448,#73338,.T.); #184119=EDGE_CURVE('',#151449,#151448,#63521,.T.); #184120=EDGE_CURVE('',#151446,#151449,#73339,.T.); #184121=EDGE_CURVE('',#151445,#151450,#73340,.T.); #184122=EDGE_CURVE('',#151448,#151450,#73341,.T.); #184123=EDGE_CURVE('',#151450,#151300,#73342,.T.); #184124=EDGE_CURVE('',#151301,#151448,#73343,.T.); #184125=EDGE_CURVE('',#151451,#151302,#73344,.T.); #184126=EDGE_CURVE('',#151450,#151451,#63522,.T.); #184127=EDGE_CURVE('',#151449,#151303,#73345,.T.); #184128=EDGE_CURVE('',#151451,#151449,#73346,.T.); #184129=EDGE_CURVE('',#151447,#151451,#73347,.T.); #184130=EDGE_CURVE('',#151227,#151224,#73348,.T.); #184131=EDGE_CURVE('',#151452,#151453,#64263,.T.); #184132=EDGE_CURVE('',#151230,#151452,#73349,.T.); #184133=EDGE_CURVE('',#151453,#151229,#73350,.T.); #184134=EDGE_CURVE('',#151454,#151455,#64264,.T.); #184135=EDGE_CURVE('',#151228,#151454,#73351,.T.); #184136=EDGE_CURVE('',#151455,#151227,#73352,.T.); #184137=EDGE_CURVE('',#151456,#151231,#73353,.T.); #184138=EDGE_CURVE('',#151238,#151456,#64265,.T.); #184139=EDGE_CURVE('',#151231,#151230,#73354,.T.); #184140=EDGE_CURVE('',#151229,#151228,#73355,.T.); #184141=EDGE_CURVE('',#151237,#151457,#64266,.T.); #184142=EDGE_CURVE('',#151457,#151223,#73356,.T.); #184143=EDGE_CURVE('',#151458,#151455,#73357,.T.); #184144=EDGE_CURVE('',#151458,#151459,#64267,.T.); #184145=EDGE_CURVE('',#151459,#151454,#73358,.T.); #184146=EDGE_CURVE('',#151458,#151457,#73359,.F.); #184147=EDGE_CURVE('',#151460,#151453,#73360,.T.); #184148=EDGE_CURVE('',#151460,#151461,#64268,.T.); #184149=EDGE_CURVE('',#151461,#151452,#73361,.T.); #184150=EDGE_CURVE('',#151460,#151459,#73362,.F.); #184151=EDGE_CURVE('',#151462,#151456,#73363,.T.); #184152=EDGE_CURVE('',#151462,#151239,#64269,.T.); #184153=EDGE_CURVE('',#151462,#151461,#73364,.F.); #184154=EDGE_CURVE('',#151463,#151463,#64270,.T.); #184155=EDGE_CURVE('',#151463,#151464,#73365,.T.); #184156=EDGE_CURVE('',#151464,#151464,#64271,.T.); #184157=EDGE_CURVE('',#151465,#151465,#64272,.F.); #184158=EDGE_CURVE('',#151465,#151464,#73366,.T.); #184159=EDGE_CURVE('',#151466,#151466,#64273,.T.); #184160=EDGE_CURVE('',#151467,#151467,#64274,.T.); #184161=EDGE_CURVE('',#151468,#151468,#64275,.T.); #184162=EDGE_CURVE('',#151469,#151469,#64276,.T.); #184163=EDGE_CURVE('',#151470,#151470,#64277,.T.); #184164=EDGE_CURVE('',#151471,#151471,#64278,.T.); #184165=EDGE_CURVE('',#151472,#151472,#64279,.T.); #184166=EDGE_CURVE('',#151472,#151473,#73367,.T.); #184167=EDGE_CURVE('',#151473,#151473,#64280,.T.); #184168=EDGE_CURVE('',#151474,#151474,#64281,.T.); #184169=EDGE_CURVE('',#151474,#151475,#73368,.T.); #184170=EDGE_CURVE('',#151475,#151475,#64282,.T.); #184171=EDGE_CURVE('',#151476,#151476,#64283,.T.); #184172=EDGE_CURVE('',#151476,#151477,#73369,.T.); #184173=EDGE_CURVE('',#151477,#151477,#64284,.T.); #184174=EDGE_CURVE('',#151478,#151478,#64285,.T.); #184175=EDGE_CURVE('',#151478,#151479,#73370,.T.); #184176=EDGE_CURVE('',#151479,#151479,#64286,.T.); #184177=EDGE_CURVE('',#151466,#151480,#73371,.T.); #184178=EDGE_CURVE('',#151480,#151480,#64287,.T.); #184179=EDGE_CURVE('',#151467,#151481,#73372,.T.); #184180=EDGE_CURVE('',#151481,#151481,#64288,.T.); #184181=EDGE_CURVE('',#151468,#151482,#73373,.T.); #184182=EDGE_CURVE('',#151482,#151482,#64289,.T.); #184183=EDGE_CURVE('',#151469,#151483,#73374,.T.); #184184=EDGE_CURVE('',#151483,#151483,#64290,.T.); #184185=EDGE_CURVE('',#151470,#151484,#73375,.T.); #184186=EDGE_CURVE('',#151484,#151484,#64291,.T.); #184187=EDGE_CURVE('',#151471,#151485,#73376,.T.); #184188=EDGE_CURVE('',#151485,#151485,#64292,.T.); #184189=EDGE_CURVE('',#151486,#151487,#73377,.T.); #184190=EDGE_CURVE('',#151486,#151488,#64293,.T.); #184191=EDGE_CURVE('',#151488,#151489,#73378,.F.); #184192=EDGE_CURVE('',#151487,#151489,#64294,.T.); #184193=EDGE_CURVE('',#151490,#151490,#64295,.T.); #184194=EDGE_CURVE('',#151490,#151491,#73379,.T.); #184195=EDGE_CURVE('',#151491,#151491,#64296,.T.); #184196=EDGE_CURVE('',#151491,#151492,#73380,.T.); #184197=EDGE_CURVE('',#151492,#151492,#64297,.T.); #184198=EDGE_CURVE('',#151493,#151494,#73381,.T.); #184199=EDGE_CURVE('',#151494,#151495,#73382,.T.); #184200=EDGE_CURVE('',#151495,#151496,#73383,.T.); #184201=EDGE_CURVE('',#151496,#151493,#73384,.T.); #184202=EDGE_CURVE('',#151497,#151497,#64298,.T.); #184203=EDGE_CURVE('',#151498,#151499,#73385,.T.); #184204=EDGE_CURVE('',#151500,#151499,#73386,.T.); #184205=EDGE_CURVE('',#151500,#151501,#73387,.F.); #184206=EDGE_CURVE('',#151501,#151498,#73388,.T.); #184207=EDGE_CURVE('',#151502,#151503,#73389,.T.); #184208=EDGE_CURVE('',#151503,#151504,#73390,.T.); #184209=EDGE_CURVE('',#151504,#151505,#73391,.F.); #184210=EDGE_CURVE('',#151505,#151502,#73392,.T.); #184211=EDGE_CURVE('',#151503,#151506,#73393,.T.); #184212=EDGE_CURVE('',#151507,#151506,#73394,.T.); #184213=EDGE_CURVE('',#151508,#151507,#73395,.F.); #184214=EDGE_CURVE('',#151509,#151508,#73396,.T.); #184215=EDGE_CURVE('',#151510,#151509,#73397,.T.); #184216=EDGE_CURVE('',#151511,#151510,#73398,.T.); #184217=EDGE_CURVE('',#151504,#151511,#73399,.F.); #184218=EDGE_CURVE('',#151506,#151512,#73400,.T.); #184219=EDGE_CURVE('',#151512,#151513,#73401,.T.); #184220=EDGE_CURVE('',#151513,#151507,#73402,.F.); #184221=EDGE_CURVE('',#151514,#151515,#73403,.T.); #184222=EDGE_CURVE('',#151515,#151516,#73404,.T.); #184223=EDGE_CURVE('',#151516,#151517,#73405,.F.); #184224=EDGE_CURVE('',#151517,#151514,#73406,.T.); #184225=EDGE_CURVE('',#151518,#151501,#73407,.F.); #184226=EDGE_CURVE('',#151519,#151518,#73408,.T.); #184227=EDGE_CURVE('',#151519,#151520,#73409,.T.); #184228=EDGE_CURVE('',#151521,#151520,#73410,.T.); #184229=EDGE_CURVE('',#151516,#151521,#73411,.F.); #184230=EDGE_CURVE('',#151515,#151498,#73412,.T.); #184231=EDGE_CURVE('',#151510,#151522,#3179,.T.); #184232=EDGE_CURVE('',#151523,#151522,#73413,.T.); #184233=EDGE_CURVE('',#151524,#151523,#73414,.T.); #184234=EDGE_CURVE('',#151524,#151511,#73415,.F.); #184235=EDGE_CURVE('',#151520,#151509,#64299,.T.); #184236=EDGE_CURVE('',#151522,#151519,#3180,.T.); #184237=EDGE_CURVE('',#151518,#151525,#73416,.F.); #184238=EDGE_CURVE('',#151525,#151526,#73417,.F.); #184239=EDGE_CURVE('',#151522,#151526,#73418,.T.); #184240=EDGE_CURVE('',#151525,#151527,#73419,.T.); #184241=EDGE_CURVE('',#151500,#151517,#73420,.T.); #184242=EDGE_CURVE('',#151521,#151528,#73421,.T.); #184243=EDGE_CURVE('',#151529,#151528,#73422,.T.); #184244=EDGE_CURVE('',#151527,#151529,#73423,.T.); #184245=EDGE_CURVE('',#151526,#151493,#73424,.T.); #184246=EDGE_CURVE('',#151530,#151527,#73425,.T.); #184247=EDGE_CURVE('',#151530,#151494,#73426,.T.); #184248=EDGE_CURVE('',#151531,#151530,#73427,.T.); #184249=EDGE_CURVE('',#151531,#151495,#73428,.T.); #184250=EDGE_CURVE('',#151532,#151531,#73429,.T.); #184251=EDGE_CURVE('',#151532,#151524,#73430,.T.); #184252=EDGE_CURVE('',#151523,#151496,#73431,.T.); #184253=EDGE_CURVE('',#151499,#151514,#73432,.T.); #184254=EDGE_CURVE('',#151502,#151512,#73433,.T.); #184255=EDGE_CURVE('',#151505,#151513,#73434,.F.); #184256=EDGE_CURVE('',#151533,#151533,#64300,.T.); #184257=EDGE_CURVE('',#151534,#151508,#73435,.T.); #184258=EDGE_CURVE('',#151535,#151534,#73436,.T.); #184259=EDGE_CURVE('',#151536,#151535,#73437,.T.); #184260=EDGE_CURVE('',#151537,#151536,#64301,.T.); #184261=EDGE_CURVE('',#151538,#151537,#73438,.T.); #184262=EDGE_CURVE('',#151539,#151538,#64302,.T.); #184263=EDGE_CURVE('',#151540,#151539,#73439,.T.); #184264=EDGE_CURVE('',#151541,#151540,#64303,.T.); #184265=EDGE_CURVE('',#151542,#151541,#73440,.T.); #184266=EDGE_CURVE('',#151528,#151542,#73441,.T.); #184267=EDGE_CURVE('',#151543,#151532,#73442,.T.); #184268=EDGE_CURVE('',#151543,#151534,#73443,.T.); #184269=EDGE_CURVE('',#151544,#151545,#73444,.T.); #184270=EDGE_CURVE('',#151544,#151546,#73445,.T.); #184271=EDGE_CURVE('',#151547,#151546,#73446,.T.); #184272=EDGE_CURVE('',#151547,#151548,#73447,.T.); #184273=EDGE_CURVE('',#151548,#151549,#73448,.T.); #184274=EDGE_CURVE('',#151549,#151545,#73449,.T.); #184275=EDGE_CURVE('',#151550,#151551,#73450,.T.); #184276=EDGE_CURVE('',#151550,#151552,#73451,.T.); #184277=EDGE_CURVE('',#151553,#151552,#73452,.T.); #184278=EDGE_CURVE('',#151553,#151554,#73453,.T.); #184279=EDGE_CURVE('',#151554,#151555,#73454,.T.); #184280=EDGE_CURVE('',#151555,#151551,#73455,.T.); #184281=EDGE_CURVE('',#151556,#151557,#73456,.T.); #184282=EDGE_CURVE('',#151556,#151558,#73457,.T.); #184283=EDGE_CURVE('',#151559,#151558,#73458,.T.); #184284=EDGE_CURVE('',#151559,#151560,#73459,.T.); #184285=EDGE_CURVE('',#151560,#151561,#73460,.T.); #184286=EDGE_CURVE('',#151561,#151557,#73461,.T.); #184287=EDGE_CURVE('',#151562,#151560,#64304,.T.); #184288=EDGE_CURVE('',#151563,#151562,#73462,.T.); #184289=EDGE_CURVE('',#151561,#151563,#64305,.T.); #184290=EDGE_CURVE('',#151564,#151565,#73463,.T.); #184291=EDGE_CURVE('',#151564,#151566,#73464,.T.); #184292=EDGE_CURVE('',#151567,#151566,#73465,.T.); #184293=EDGE_CURVE('',#151567,#151565,#73466,.T.); #184294=EDGE_CURVE('',#151565,#151568,#64306,.T.); #184295=EDGE_CURVE('',#151568,#151569,#73467,.T.); #184296=EDGE_CURVE('',#151569,#151547,#64307,.T.); #184297=EDGE_CURVE('',#151564,#151546,#64308,.T.); #184298=EDGE_CURVE('',#151570,#151567,#64309,.T.); #184299=EDGE_CURVE('',#151570,#151568,#73468,.T.); #184300=EDGE_CURVE('',#151544,#151566,#64310,.T.); #184301=EDGE_CURVE('',#151545,#151571,#64311,.T.); #184302=EDGE_CURVE('',#151571,#151570,#73469,.T.); #184303=EDGE_CURVE('',#151572,#151573,#73470,.T.); #184304=EDGE_CURVE('',#151572,#151574,#73471,.T.); #184305=EDGE_CURVE('',#151575,#151574,#73472,.T.); #184306=EDGE_CURVE('',#151575,#151573,#73473,.T.); #184307=EDGE_CURVE('',#151573,#151576,#64312,.T.); #184308=EDGE_CURVE('',#151576,#151577,#73474,.T.); #184309=EDGE_CURVE('',#151577,#151553,#64313,.T.); #184310=EDGE_CURVE('',#151572,#151552,#64314,.T.); #184311=EDGE_CURVE('',#151578,#151575,#64315,.T.); #184312=EDGE_CURVE('',#151578,#151576,#73475,.T.); #184313=EDGE_CURVE('',#151550,#151574,#64316,.T.); #184314=EDGE_CURVE('',#151551,#151579,#64317,.T.); #184315=EDGE_CURVE('',#151579,#151578,#73476,.T.); #184316=EDGE_CURVE('',#151580,#151558,#64318,.T.); #184317=EDGE_CURVE('',#151580,#151581,#73477,.T.); #184318=EDGE_CURVE('',#151581,#151582,#64319,.T.); #184319=EDGE_CURVE('',#151582,#151583,#73478,.T.); #184320=EDGE_CURVE('',#151583,#151559,#64320,.T.); #184321=EDGE_CURVE('',#151556,#151584,#64321,.T.); #184322=EDGE_CURVE('',#151580,#151584,#73479,.T.); #184323=EDGE_CURVE('',#151585,#151584,#73480,.T.); #184324=EDGE_CURVE('',#151585,#151581,#73481,.T.); #184325=EDGE_CURVE('',#151557,#151586,#64322,.T.); #184326=EDGE_CURVE('',#151586,#151587,#73482,.T.); #184327=EDGE_CURVE('',#151587,#151585,#64323,.T.); #184328=EDGE_CURVE('',#151588,#151589,#64324,.T.); #184329=EDGE_CURVE('',#151590,#151588,#73483,.T.); #184330=EDGE_CURVE('',#151591,#151590,#64325,.T.); #184331=EDGE_CURVE('',#151591,#151589,#73484,.T.); #184332=EDGE_CURVE('',#151589,#151592,#8010,.T.); #184333=EDGE_CURVE('',#151593,#151591,#8011,.T.); #184334=EDGE_CURVE('',#151569,#151593,#73485,.T.); #184335=EDGE_CURVE('',#151592,#151571,#73486,.T.); #184336=EDGE_CURVE('',#151594,#151595,#64326,.T.); #184337=EDGE_CURVE('',#151594,#151596,#73487,.T.); #184338=EDGE_CURVE('',#151597,#151596,#64327,.T.); #184339=EDGE_CURVE('',#151595,#151597,#73488,.T.); #184340=EDGE_CURVE('',#151598,#151594,#8012,.T.); #184341=EDGE_CURVE('',#151583,#151598,#73489,.T.); #184342=EDGE_CURVE('',#151587,#151582,#73490,.T.); #184343=EDGE_CURVE('',#151599,#151586,#73491,.T.); #184344=EDGE_CURVE('',#151596,#151599,#8013,.T.); #184345=EDGE_CURVE('',#151600,#151601,#64328,.T.); #184346=EDGE_CURVE('',#151602,#151600,#73492,.T.); #184347=EDGE_CURVE('',#151603,#151602,#64329,.T.); #184348=EDGE_CURVE('',#151603,#151601,#73493,.T.); #184349=EDGE_CURVE('',#151601,#151604,#8014,.T.); #184350=EDGE_CURVE('',#151605,#151603,#8015,.T.); #184351=EDGE_CURVE('',#151577,#151605,#73494,.T.); #184352=EDGE_CURVE('',#151604,#151579,#73495,.T.); #184353=EDGE_CURVE('',#151592,#151549,#64330,.T.); #184354=EDGE_CURVE('',#151606,#151607,#73496,.T.); #184355=EDGE_CURVE('',#151608,#151606,#64331,.T.); #184356=EDGE_CURVE('',#151609,#151608,#73497,.T.); #184357=EDGE_CURVE('',#151607,#151609,#64332,.T.); #184358=EDGE_CURVE('',#151606,#151597,#8016,.T.); #184359=EDGE_CURVE('',#151599,#151561,#64333,.T.); #184360=EDGE_CURVE('',#151610,#151563,#63523,.T.); #184361=EDGE_CURVE('',#151611,#151610,#64334,.T.); #184362=EDGE_CURVE('',#151611,#151608,#8017,.T.); #184363=EDGE_CURVE('',#151612,#151600,#8018,.T.); #184364=EDGE_CURVE('',#151604,#151555,#64335,.T.); #184365=EDGE_CURVE('',#151555,#151613,#64336,.T.); #184366=EDGE_CURVE('',#151614,#151613,#63524,.T.); #184367=EDGE_CURVE('',#151615,#151614,#64337,.T.); #184368=EDGE_CURVE('',#151615,#151616,#8019,.T.); #184369=EDGE_CURVE('',#151616,#151612,#64338,.T.); #184370=EDGE_CURVE('',#151554,#151605,#64339,.T.); #184371=EDGE_CURVE('',#151560,#151598,#64340,.T.); #184372=EDGE_CURVE('',#151548,#151593,#64341,.T.); #184373=EDGE_CURVE('',#151617,#151554,#64342,.T.); #184374=EDGE_CURVE('',#151613,#151617,#73498,.T.); #184375=EDGE_CURVE('',#151612,#151618,#73499,.T.); #184376=EDGE_CURVE('',#151619,#151616,#73500,.T.); #184377=EDGE_CURVE('',#151618,#151619,#64343,.T.); #184378=EDGE_CURVE('',#151620,#151548,#64344,.T.); #184379=EDGE_CURVE('',#151621,#151620,#73501,.T.); #184380=EDGE_CURVE('',#151549,#151621,#64345,.T.); #184381=EDGE_CURVE('',#151622,#151623,#73502,.T.); #184382=EDGE_CURVE('',#151624,#151622,#64346,.T.); #184383=EDGE_CURVE('',#151625,#151624,#73503,.T.); #184384=EDGE_CURVE('',#151623,#151625,#64347,.T.); #184385=EDGE_CURVE('',#151626,#151487,#64348,.T.); #184386=EDGE_CURVE('',#151626,#151611,#73504,.F.); #184387=EDGE_CURVE('',#151610,#151627,#73505,.T.); #184388=EDGE_CURVE('',#151627,#151628,#64349,.T.); #184389=EDGE_CURVE('',#151628,#151629,#73506,.F.); #184390=EDGE_CURVE('',#151630,#151629,#64350,.T.); #184391=EDGE_CURVE('',#151630,#151615,#73507,.F.); #184392=EDGE_CURVE('',#151614,#151631,#73508,.T.); #184393=EDGE_CURVE('',#151631,#151632,#64351,.T.); #184394=EDGE_CURVE('',#151632,#151633,#73509,.F.); #184395=EDGE_CURVE('',#151634,#151633,#64352,.T.); #184396=EDGE_CURVE('',#151634,#151635,#73510,.F.); #184397=EDGE_CURVE('',#151635,#151636,#64353,.T.); #184398=EDGE_CURVE('',#151533,#151636,#73511,.T.); #184399=EDGE_CURVE('',#151636,#151486,#73512,.T.); #184400=EDGE_CURVE('',#151618,#151637,#8020,.T.); #184401=EDGE_CURVE('',#151637,#151633,#8021,.T.); #184402=EDGE_CURVE('',#151632,#151602,#8022,.T.); #184403=EDGE_CURVE('',#151630,#151619,#8023,.T.); #184404=EDGE_CURVE('',#151607,#151629,#8024,.T.); #184405=EDGE_CURVE('',#151628,#151595,#8025,.T.); #184406=EDGE_CURVE('',#151626,#151609,#8026,.T.); #184407=EDGE_CURVE('',#151623,#151489,#8027,.T.); #184408=EDGE_CURVE('',#151488,#151590,#8028,.T.); #184409=EDGE_CURVE('',#151622,#151588,#8029,.T.); #184410=EDGE_CURVE('',#151635,#151624,#8030,.T.); #184411=EDGE_CURVE('',#151634,#151625,#8031,.T.); #184412=EDGE_CURVE('',#151636,#151621,#63525,.T.); #184413=EDGE_CURVE('',#151631,#151617,#63526,.T.); #184414=EDGE_CURVE('',#151497,#151637,#73513,.T.); #184415=EDGE_CURVE('',#151627,#151562,#63527,.T.); #184416=EDGE_CURVE('',#151486,#151620,#63528,.T.); #184417=EDGE_CURVE('',#151638,#151540,#73514,.T.); #184418=EDGE_CURVE('',#151639,#151638,#64354,.T.); #184419=EDGE_CURVE('',#151639,#151541,#73515,.T.); #184420=EDGE_CURVE('',#151640,#151539,#73516,.T.); #184421=EDGE_CURVE('',#151638,#151640,#73517,.T.); #184422=EDGE_CURVE('',#151641,#151538,#73518,.T.); #184423=EDGE_CURVE('',#151640,#151641,#64355,.T.); #184424=EDGE_CURVE('',#151642,#151537,#73519,.T.); #184425=EDGE_CURVE('',#151641,#151642,#73520,.T.); #184426=EDGE_CURVE('',#151643,#151536,#73521,.T.); #184427=EDGE_CURVE('',#151642,#151643,#64356,.T.); #184428=EDGE_CURVE('',#151644,#151535,#73522,.F.); #184429=EDGE_CURVE('',#151643,#151644,#73523,.T.); #184430=EDGE_CURVE('',#151644,#151543,#73524,.T.); #184431=EDGE_CURVE('',#151645,#151542,#73525,.T.); #184432=EDGE_CURVE('',#151529,#151645,#73526,.T.); #184433=EDGE_CURVE('',#151645,#151639,#73527,.T.); #184434=EDGE_CURVE('',#151646,#151646,#64357,.T.); #184435=EDGE_CURVE('',#151646,#151647,#73528,.T.); #184436=EDGE_CURVE('',#151647,#151647,#64358,.T.); #184437=EDGE_CURVE('',#151648,#151648,#64359,.T.); #184438=EDGE_CURVE('',#151648,#151649,#73529,.T.); #184439=EDGE_CURVE('',#151649,#151649,#64360,.T.); #184440=EDGE_CURVE('',#151650,#151650,#64361,.T.); #184441=EDGE_CURVE('',#151650,#151651,#73530,.T.); #184442=EDGE_CURVE('',#151651,#151651,#64362,.T.); #184443=EDGE_CURVE('',#151652,#151652,#64363,.T.); #184444=EDGE_CURVE('',#151652,#151653,#73531,.T.); #184445=EDGE_CURVE('',#151653,#151653,#64364,.T.); #184446=EDGE_CURVE('',#151654,#151654,#64365,.T.); #184447=EDGE_CURVE('',#151654,#151655,#73532,.T.); #184448=EDGE_CURVE('',#151655,#151655,#64366,.T.); #184449=EDGE_CURVE('',#151656,#151656,#64367,.T.); #184450=EDGE_CURVE('',#151656,#151657,#73533,.T.); #184451=EDGE_CURVE('',#151657,#151657,#64368,.T.); #184452=EDGE_CURVE('',#151658,#151658,#64369,.T.); #184453=EDGE_CURVE('',#151658,#151659,#73534,.T.); #184454=EDGE_CURVE('',#151659,#151659,#64370,.T.); #184455=EDGE_CURVE('',#151660,#151660,#64371,.T.); #184456=EDGE_CURVE('',#151660,#151661,#73535,.T.); #184457=EDGE_CURVE('',#151661,#151661,#64372,.T.); #184458=EDGE_CURVE('',#151662,#151662,#64373,.T.); #184459=EDGE_CURVE('',#151662,#151663,#73536,.T.); #184460=EDGE_CURVE('',#151663,#151663,#64374,.T.); #184461=EDGE_CURVE('',#151664,#151664,#64375,.T.); #184462=EDGE_CURVE('',#151664,#151665,#73537,.T.); #184463=EDGE_CURVE('',#151665,#151665,#64376,.T.); #184464=EDGE_CURVE('',#151666,#151666,#64377,.T.); #184465=EDGE_CURVE('',#151666,#151667,#73538,.T.); #184466=EDGE_CURVE('',#151667,#151667,#64378,.T.); #184467=EDGE_CURVE('',#151668,#151668,#64379,.T.); #184468=EDGE_CURVE('',#151668,#151669,#73539,.T.); #184469=EDGE_CURVE('',#151669,#151669,#64380,.T.); #184470=EDGE_CURVE('',#151670,#151670,#64381,.T.); #184471=EDGE_CURVE('',#151670,#151671,#73540,.T.); #184472=EDGE_CURVE('',#151671,#151671,#64382,.T.); #184473=EDGE_CURVE('',#151672,#151672,#64383,.T.); #184474=EDGE_CURVE('',#151672,#151673,#73541,.T.); #184475=EDGE_CURVE('',#151673,#151673,#64384,.T.); #184476=EDGE_CURVE('',#151674,#151674,#64385,.T.); #184477=EDGE_CURVE('',#151674,#151675,#73542,.T.); #184478=EDGE_CURVE('',#151675,#151675,#64386,.T.); #184479=EDGE_CURVE('',#151676,#151676,#64387,.T.); #184480=EDGE_CURVE('',#151676,#151677,#73543,.T.); #184481=EDGE_CURVE('',#151677,#151677,#64388,.T.); #184482=EDGE_CURVE('',#151678,#151678,#64389,.T.); #184483=EDGE_CURVE('',#151678,#151679,#73544,.T.); #184484=EDGE_CURVE('',#151679,#151679,#64390,.T.); #184485=EDGE_CURVE('',#151680,#151680,#64391,.T.); #184486=EDGE_CURVE('',#151680,#151681,#73545,.T.); #184487=EDGE_CURVE('',#151681,#151681,#64392,.T.); #184488=EDGE_CURVE('',#151682,#151682,#64393,.T.); #184489=EDGE_CURVE('',#151682,#151683,#73546,.T.); #184490=EDGE_CURVE('',#151683,#151683,#64394,.T.); #184491=EDGE_CURVE('',#151684,#151684,#64395,.T.); #184492=EDGE_CURVE('',#151684,#151685,#73547,.T.); #184493=EDGE_CURVE('',#151685,#151685,#64396,.T.); #184494=EDGE_CURVE('',#151686,#151686,#64397,.T.); #184495=EDGE_CURVE('',#151686,#151687,#73548,.T.); #184496=EDGE_CURVE('',#151687,#151687,#64398,.T.); #184497=EDGE_CURVE('',#151688,#151688,#64399,.T.); #184498=EDGE_CURVE('',#151688,#151689,#73549,.T.); #184499=EDGE_CURVE('',#151689,#151689,#64400,.T.); #184500=EDGE_CURVE('',#151690,#151690,#64401,.T.); #184501=EDGE_CURVE('',#151690,#151691,#73550,.T.); #184502=EDGE_CURVE('',#151691,#151691,#64402,.T.); #184503=EDGE_CURVE('',#151692,#151692,#64403,.T.); #184504=EDGE_CURVE('',#151692,#151693,#73551,.T.); #184505=EDGE_CURVE('',#151693,#151693,#64404,.T.); #184506=EDGE_CURVE('',#151694,#151694,#64405,.T.); #184507=EDGE_CURVE('',#151694,#151695,#73552,.T.); #184508=EDGE_CURVE('',#151695,#151695,#64406,.T.); #184509=EDGE_CURVE('',#151696,#151696,#64407,.T.); #184510=EDGE_CURVE('',#151696,#151697,#73553,.T.); #184511=EDGE_CURVE('',#151697,#151697,#64408,.T.); #184512=EDGE_CURVE('',#151698,#151698,#64409,.T.); #184513=EDGE_CURVE('',#151698,#151699,#73554,.T.); #184514=EDGE_CURVE('',#151699,#151699,#64410,.T.); #184515=EDGE_CURVE('',#151700,#151700,#64411,.T.); #184516=EDGE_CURVE('',#151700,#151701,#73555,.T.); #184517=EDGE_CURVE('',#151701,#151701,#64412,.T.); #184518=EDGE_CURVE('',#151702,#151702,#64413,.T.); #184519=EDGE_CURVE('',#151702,#151703,#73556,.T.); #184520=EDGE_CURVE('',#151703,#151703,#64414,.T.); #184521=EDGE_CURVE('',#151704,#151704,#64415,.T.); #184522=EDGE_CURVE('',#151704,#151705,#73557,.T.); #184523=EDGE_CURVE('',#151705,#151705,#64416,.T.); #184524=EDGE_CURVE('',#151706,#151706,#64417,.T.); #184525=EDGE_CURVE('',#151706,#151707,#73558,.T.); #184526=EDGE_CURVE('',#151707,#151707,#64418,.T.); #184527=EDGE_CURVE('',#151708,#151708,#64419,.T.); #184528=EDGE_CURVE('',#151708,#151709,#73559,.T.); #184529=EDGE_CURVE('',#151709,#151709,#64420,.T.); #184530=EDGE_CURVE('',#151710,#151710,#64421,.T.); #184531=EDGE_CURVE('',#151710,#151711,#73560,.T.); #184532=EDGE_CURVE('',#151711,#151711,#64422,.T.); #184533=EDGE_CURVE('',#151712,#151712,#64423,.T.); #184534=EDGE_CURVE('',#151712,#151713,#73561,.T.); #184535=EDGE_CURVE('',#151713,#151713,#64424,.T.); #184536=EDGE_CURVE('',#151714,#151714,#64425,.T.); #184537=EDGE_CURVE('',#151714,#151715,#73562,.T.); #184538=EDGE_CURVE('',#151715,#151715,#64426,.T.); #184539=EDGE_CURVE('',#151716,#151716,#64427,.T.); #184540=EDGE_CURVE('',#151716,#151717,#73563,.T.); #184541=EDGE_CURVE('',#151717,#151717,#64428,.T.); #184542=EDGE_CURVE('',#151718,#151718,#64429,.T.); #184543=EDGE_CURVE('',#151718,#151719,#73564,.T.); #184544=EDGE_CURVE('',#151719,#151719,#64430,.T.); #184545=EDGE_CURVE('',#151720,#151720,#64431,.T.); #184546=EDGE_CURVE('',#151720,#151721,#73565,.T.); #184547=EDGE_CURVE('',#151721,#151721,#64432,.T.); #184548=EDGE_CURVE('',#151722,#151722,#64433,.T.); #184549=EDGE_CURVE('',#151722,#151723,#73566,.T.); #184550=EDGE_CURVE('',#151723,#151723,#64434,.T.); #184551=EDGE_CURVE('',#151724,#151724,#64435,.T.); #184552=EDGE_CURVE('',#151724,#151725,#73567,.T.); #184553=EDGE_CURVE('',#151725,#151725,#64436,.T.); #184554=EDGE_CURVE('',#151726,#151726,#64437,.T.); #184555=EDGE_CURVE('',#151726,#151727,#73568,.T.); #184556=EDGE_CURVE('',#151727,#151727,#64438,.T.); #184557=EDGE_CURVE('',#151728,#151728,#64439,.T.); #184558=EDGE_CURVE('',#151728,#151729,#73569,.T.); #184559=EDGE_CURVE('',#151729,#151729,#64440,.T.); #184560=EDGE_CURVE('',#151730,#151730,#64441,.T.); #184561=EDGE_CURVE('',#151730,#151731,#73570,.T.); #184562=EDGE_CURVE('',#151731,#151731,#64442,.T.); #184563=EDGE_CURVE('',#151732,#151732,#64443,.T.); #184564=EDGE_CURVE('',#151732,#151733,#73571,.T.); #184565=EDGE_CURVE('',#151733,#151733,#64444,.T.); #184566=EDGE_CURVE('',#151734,#151734,#64445,.T.); #184567=EDGE_CURVE('',#151734,#151735,#73572,.T.); #184568=EDGE_CURVE('',#151735,#151735,#64446,.T.); #184569=EDGE_CURVE('',#151736,#151736,#64447,.T.); #184570=EDGE_CURVE('',#151736,#151737,#73573,.T.); #184571=EDGE_CURVE('',#151737,#151737,#64448,.T.); #184572=EDGE_CURVE('',#151738,#151738,#64449,.T.); #184573=EDGE_CURVE('',#151738,#151739,#73574,.T.); #184574=EDGE_CURVE('',#151739,#151739,#64450,.T.); #184575=EDGE_CURVE('',#151740,#151740,#64451,.T.); #184576=EDGE_CURVE('',#151740,#151741,#73575,.T.); #184577=EDGE_CURVE('',#151741,#151741,#64452,.T.); #184578=EDGE_CURVE('',#151742,#151742,#64453,.T.); #184579=EDGE_CURVE('',#151742,#151743,#73576,.T.); #184580=EDGE_CURVE('',#151743,#151743,#64454,.T.); #184581=EDGE_CURVE('',#151744,#151744,#64455,.T.); #184582=EDGE_CURVE('',#151744,#151745,#73577,.T.); #184583=EDGE_CURVE('',#151745,#151745,#64456,.T.); #184584=EDGE_CURVE('',#151746,#151746,#64457,.T.); #184585=EDGE_CURVE('',#151746,#151747,#73578,.T.); #184586=EDGE_CURVE('',#151747,#151747,#64458,.T.); #184587=EDGE_CURVE('',#151748,#151748,#64459,.T.); #184588=EDGE_CURVE('',#151748,#151749,#73579,.T.); #184589=EDGE_CURVE('',#151749,#151749,#64460,.T.); #184590=EDGE_CURVE('',#151750,#151750,#64461,.T.); #184591=EDGE_CURVE('',#151750,#151751,#73580,.T.); #184592=EDGE_CURVE('',#151751,#151751,#64462,.T.); #184593=EDGE_CURVE('',#151752,#151752,#64463,.T.); #184594=EDGE_CURVE('',#151752,#151753,#73581,.T.); #184595=EDGE_CURVE('',#151753,#151753,#64464,.T.); #184596=EDGE_CURVE('',#151754,#151754,#64465,.T.); #184597=EDGE_CURVE('',#151754,#151755,#73582,.T.); #184598=EDGE_CURVE('',#151755,#151755,#64466,.T.); #184599=EDGE_CURVE('',#151756,#151756,#64467,.T.); #184600=EDGE_CURVE('',#151756,#151757,#73583,.T.); #184601=EDGE_CURVE('',#151757,#151757,#64468,.T.); #184602=EDGE_CURVE('',#151758,#151758,#64469,.T.); #184603=EDGE_CURVE('',#151758,#151759,#73584,.T.); #184604=EDGE_CURVE('',#151759,#151759,#64470,.T.); #184605=EDGE_CURVE('',#151760,#151760,#64471,.T.); #184606=EDGE_CURVE('',#151760,#151761,#73585,.T.); #184607=EDGE_CURVE('',#151761,#151761,#64472,.T.); #184608=EDGE_CURVE('',#151762,#151762,#64473,.T.); #184609=EDGE_CURVE('',#151762,#151763,#73586,.T.); #184610=EDGE_CURVE('',#151763,#151763,#64474,.T.); #184611=EDGE_CURVE('',#151764,#151764,#64475,.T.); #184612=EDGE_CURVE('',#151764,#151765,#73587,.T.); #184613=EDGE_CURVE('',#151765,#151765,#64476,.T.); #184614=EDGE_CURVE('',#151766,#151766,#64477,.T.); #184615=EDGE_CURVE('',#151766,#151767,#73588,.T.); #184616=EDGE_CURVE('',#151767,#151767,#64478,.T.); #184617=EDGE_CURVE('',#151768,#151768,#64479,.T.); #184618=EDGE_CURVE('',#151768,#151769,#73589,.T.); #184619=EDGE_CURVE('',#151769,#151769,#64480,.T.); #184620=EDGE_CURVE('',#151770,#151770,#64481,.T.); #184621=EDGE_CURVE('',#151770,#151771,#73590,.T.); #184622=EDGE_CURVE('',#151771,#151771,#64482,.T.); #184623=EDGE_CURVE('',#151772,#151772,#64483,.T.); #184624=EDGE_CURVE('',#151772,#151773,#73591,.T.); #184625=EDGE_CURVE('',#151773,#151773,#64484,.T.); #184626=EDGE_CURVE('',#151774,#151774,#64485,.T.); #184627=EDGE_CURVE('',#151774,#151775,#73592,.T.); #184628=EDGE_CURVE('',#151775,#151775,#64486,.T.); #184629=EDGE_CURVE('',#151776,#151776,#64487,.T.); #184630=EDGE_CURVE('',#151776,#151777,#73593,.T.); #184631=EDGE_CURVE('',#151777,#151777,#64488,.T.); #184632=EDGE_CURVE('',#151778,#151778,#64489,.T.); #184633=EDGE_CURVE('',#151778,#151779,#73594,.T.); #184634=EDGE_CURVE('',#151779,#151779,#64490,.T.); #184635=EDGE_CURVE('',#151780,#151780,#64491,.T.); #184636=EDGE_CURVE('',#151780,#151781,#73595,.T.); #184637=EDGE_CURVE('',#151781,#151781,#64492,.T.); #184638=EDGE_CURVE('',#151782,#151782,#64493,.T.); #184639=EDGE_CURVE('',#151782,#151783,#73596,.T.); #184640=EDGE_CURVE('',#151783,#151783,#64494,.T.); #184641=EDGE_CURVE('',#151784,#151784,#64495,.T.); #184642=EDGE_CURVE('',#151784,#151785,#73597,.T.); #184643=EDGE_CURVE('',#151785,#151785,#64496,.T.); #184644=EDGE_CURVE('',#151786,#151786,#64497,.T.); #184645=EDGE_CURVE('',#151786,#151787,#73598,.T.); #184646=EDGE_CURVE('',#151787,#151787,#64498,.T.); #184647=EDGE_CURVE('',#151788,#151788,#64499,.T.); #184648=EDGE_CURVE('',#151788,#151789,#73599,.T.); #184649=EDGE_CURVE('',#151789,#151789,#64500,.T.); #184650=EDGE_CURVE('',#151790,#151790,#64501,.T.); #184651=EDGE_CURVE('',#151790,#151791,#73600,.T.); #184652=EDGE_CURVE('',#151791,#151791,#64502,.T.); #184653=EDGE_CURVE('',#151792,#151792,#64503,.T.); #184654=EDGE_CURVE('',#151792,#151793,#73601,.T.); #184655=EDGE_CURVE('',#151793,#151793,#64504,.T.); #184656=EDGE_CURVE('',#151794,#151794,#64505,.T.); #184657=EDGE_CURVE('',#151794,#151795,#73602,.T.); #184658=EDGE_CURVE('',#151795,#151795,#64506,.T.); #184659=EDGE_CURVE('',#151796,#151796,#64507,.T.); #184660=EDGE_CURVE('',#151796,#151797,#73603,.T.); #184661=EDGE_CURVE('',#151797,#151797,#64508,.T.); #184662=EDGE_CURVE('',#151798,#151798,#64509,.T.); #184663=EDGE_CURVE('',#151798,#151799,#73604,.T.); #184664=EDGE_CURVE('',#151799,#151799,#64510,.T.); #184665=EDGE_CURVE('',#151800,#151800,#64511,.T.); #184666=EDGE_CURVE('',#151800,#151801,#73605,.T.); #184667=EDGE_CURVE('',#151801,#151801,#64512,.T.); #184668=EDGE_CURVE('',#151802,#151802,#64513,.T.); #184669=EDGE_CURVE('',#151802,#151803,#73606,.T.); #184670=EDGE_CURVE('',#151803,#151803,#64514,.T.); #184671=EDGE_CURVE('',#151804,#151804,#64515,.T.); #184672=EDGE_CURVE('',#151804,#151805,#73607,.T.); #184673=EDGE_CURVE('',#151805,#151805,#64516,.T.); #184674=EDGE_CURVE('',#151806,#151806,#64517,.T.); #184675=EDGE_CURVE('',#151806,#151807,#73608,.T.); #184676=EDGE_CURVE('',#151807,#151807,#64518,.T.); #184677=EDGE_CURVE('',#151808,#151808,#64519,.T.); #184678=EDGE_CURVE('',#151808,#151809,#73609,.T.); #184679=EDGE_CURVE('',#151809,#151809,#64520,.T.); #184680=EDGE_CURVE('',#151810,#151810,#64521,.T.); #184681=EDGE_CURVE('',#151810,#151811,#73610,.T.); #184682=EDGE_CURVE('',#151811,#151811,#64522,.T.); #184683=EDGE_CURVE('',#151812,#151812,#64523,.T.); #184684=EDGE_CURVE('',#151812,#151813,#73611,.T.); #184685=EDGE_CURVE('',#151813,#151813,#64524,.T.); #184686=EDGE_CURVE('',#151814,#151814,#64525,.T.); #184687=EDGE_CURVE('',#151814,#151815,#73612,.T.); #184688=EDGE_CURVE('',#151815,#151815,#64526,.T.); #184689=EDGE_CURVE('',#151816,#151816,#64527,.T.); #184690=EDGE_CURVE('',#151816,#151817,#73613,.T.); #184691=EDGE_CURVE('',#151817,#151817,#64528,.T.); #184692=EDGE_CURVE('',#151818,#151818,#64529,.T.); #184693=EDGE_CURVE('',#151818,#151819,#73614,.T.); #184694=EDGE_CURVE('',#151819,#151819,#64530,.T.); #184695=EDGE_CURVE('',#151820,#151820,#64531,.T.); #184696=EDGE_CURVE('',#151820,#151821,#73615,.T.); #184697=EDGE_CURVE('',#151821,#151821,#64532,.T.); #184698=EDGE_CURVE('',#151822,#151822,#64533,.T.); #184699=EDGE_CURVE('',#151822,#151823,#73616,.T.); #184700=EDGE_CURVE('',#151823,#151823,#64534,.T.); #184701=EDGE_CURVE('',#151824,#151824,#64535,.T.); #184702=EDGE_CURVE('',#151824,#151825,#73617,.T.); #184703=EDGE_CURVE('',#151825,#151825,#64536,.T.); #184704=EDGE_CURVE('',#151826,#151826,#64537,.T.); #184705=EDGE_CURVE('',#151826,#151827,#73618,.T.); #184706=EDGE_CURVE('',#151827,#151827,#64538,.T.); #184707=EDGE_CURVE('',#151828,#151828,#64539,.T.); #184708=EDGE_CURVE('',#151828,#151829,#73619,.T.); #184709=EDGE_CURVE('',#151829,#151829,#64540,.T.); #184710=EDGE_CURVE('',#151830,#151830,#64541,.T.); #184711=EDGE_CURVE('',#151830,#151831,#73620,.T.); #184712=EDGE_CURVE('',#151831,#151831,#64542,.T.); #184713=EDGE_CURVE('',#151832,#151832,#64543,.T.); #184714=EDGE_CURVE('',#151832,#151833,#73621,.T.); #184715=EDGE_CURVE('',#151833,#151833,#64544,.T.); #184716=EDGE_CURVE('',#151834,#151834,#64545,.T.); #184717=EDGE_CURVE('',#151834,#151835,#73622,.T.); #184718=EDGE_CURVE('',#151835,#151835,#64546,.T.); #184719=EDGE_CURVE('',#151836,#151836,#64547,.T.); #184720=EDGE_CURVE('',#151836,#151837,#73623,.T.); #184721=EDGE_CURVE('',#151837,#151837,#64548,.T.); #184722=EDGE_CURVE('',#151838,#151838,#64549,.T.); #184723=EDGE_CURVE('',#151838,#151839,#73624,.T.); #184724=EDGE_CURVE('',#151839,#151839,#64550,.T.); #184725=EDGE_CURVE('',#151840,#151840,#64551,.T.); #184726=EDGE_CURVE('',#151840,#151841,#73625,.T.); #184727=EDGE_CURVE('',#151841,#151841,#64552,.T.); #184728=EDGE_CURVE('',#151842,#151842,#64553,.T.); #184729=EDGE_CURVE('',#151842,#151843,#73626,.T.); #184730=EDGE_CURVE('',#151843,#151843,#64554,.T.); #184731=EDGE_CURVE('',#151844,#151844,#64555,.T.); #184732=EDGE_CURVE('',#151844,#151845,#73627,.T.); #184733=EDGE_CURVE('',#151845,#151845,#64556,.T.); #184734=EDGE_CURVE('',#151846,#151846,#64557,.T.); #184735=EDGE_CURVE('',#151846,#151847,#73628,.T.); #184736=EDGE_CURVE('',#151847,#151847,#64558,.T.); #184737=EDGE_CURVE('',#151848,#151848,#64559,.T.); #184738=EDGE_CURVE('',#151848,#151849,#73629,.T.); #184739=EDGE_CURVE('',#151849,#151849,#64560,.T.); #184740=EDGE_CURVE('',#151850,#151850,#64561,.T.); #184741=EDGE_CURVE('',#151850,#151851,#73630,.T.); #184742=EDGE_CURVE('',#151851,#151851,#64562,.T.); #184743=EDGE_CURVE('',#151852,#151852,#64563,.T.); #184744=EDGE_CURVE('',#151852,#151853,#73631,.T.); #184745=EDGE_CURVE('',#151853,#151853,#64564,.T.); #184746=EDGE_CURVE('',#151854,#151854,#64565,.T.); #184747=EDGE_CURVE('',#151854,#151855,#73632,.T.); #184748=EDGE_CURVE('',#151855,#151855,#64566,.T.); #184749=EDGE_CURVE('',#151856,#151856,#64567,.T.); #184750=EDGE_CURVE('',#151856,#151857,#73633,.T.); #184751=EDGE_CURVE('',#151857,#151857,#64568,.T.); #184752=EDGE_CURVE('',#151858,#151858,#64569,.T.); #184753=EDGE_CURVE('',#151858,#151859,#73634,.T.); #184754=EDGE_CURVE('',#151859,#151859,#64570,.T.); #184755=EDGE_CURVE('',#151860,#151860,#64571,.T.); #184756=EDGE_CURVE('',#151860,#151861,#73635,.T.); #184757=EDGE_CURVE('',#151861,#151861,#64572,.T.); #184758=EDGE_CURVE('',#151862,#151862,#64573,.T.); #184759=EDGE_CURVE('',#151862,#151863,#73636,.T.); #184760=EDGE_CURVE('',#151863,#151863,#64574,.T.); #184761=EDGE_CURVE('',#151864,#151864,#64575,.T.); #184762=EDGE_CURVE('',#151864,#151865,#73637,.T.); #184763=EDGE_CURVE('',#151865,#151865,#64576,.T.); #184764=EDGE_CURVE('',#151866,#151866,#64577,.T.); #184765=EDGE_CURVE('',#151866,#151867,#73638,.T.); #184766=EDGE_CURVE('',#151867,#151867,#64578,.T.); #184767=EDGE_CURVE('',#151868,#151868,#64579,.T.); #184768=EDGE_CURVE('',#151868,#151869,#73639,.T.); #184769=EDGE_CURVE('',#151869,#151869,#64580,.T.); #184770=EDGE_CURVE('',#151870,#151870,#64581,.T.); #184771=EDGE_CURVE('',#151870,#151871,#73640,.T.); #184772=EDGE_CURVE('',#151871,#151871,#64582,.T.); #184773=EDGE_CURVE('',#151872,#151872,#64583,.T.); #184774=EDGE_CURVE('',#151872,#151873,#73641,.T.); #184775=EDGE_CURVE('',#151873,#151873,#64584,.T.); #184776=EDGE_CURVE('',#151874,#151874,#64585,.T.); #184777=EDGE_CURVE('',#151874,#151875,#73642,.T.); #184778=EDGE_CURVE('',#151875,#151875,#64586,.T.); #184779=EDGE_CURVE('',#151876,#151876,#64587,.T.); #184780=EDGE_CURVE('',#151876,#151877,#73643,.T.); #184781=EDGE_CURVE('',#151877,#151877,#64588,.T.); #184782=EDGE_CURVE('',#151878,#151878,#64589,.T.); #184783=EDGE_CURVE('',#151878,#151879,#73644,.T.); #184784=EDGE_CURVE('',#151879,#151879,#64590,.T.); #184785=EDGE_CURVE('',#151880,#151880,#64591,.T.); #184786=EDGE_CURVE('',#151880,#151881,#73645,.T.); #184787=EDGE_CURVE('',#151881,#151881,#64592,.T.); #184788=EDGE_CURVE('',#151882,#151882,#64593,.T.); #184789=EDGE_CURVE('',#151882,#151883,#73646,.T.); #184790=EDGE_CURVE('',#151883,#151883,#64594,.T.); #184791=EDGE_CURVE('',#151884,#151884,#64595,.T.); #184792=EDGE_CURVE('',#151884,#151885,#73647,.T.); #184793=EDGE_CURVE('',#151885,#151885,#64596,.T.); #184794=EDGE_CURVE('',#151886,#151886,#64597,.T.); #184795=EDGE_CURVE('',#151886,#151887,#73648,.T.); #184796=EDGE_CURVE('',#151887,#151887,#64598,.T.); #184797=EDGE_CURVE('',#151888,#151888,#64599,.T.); #184798=EDGE_CURVE('',#151888,#151889,#73649,.T.); #184799=EDGE_CURVE('',#151889,#151889,#64600,.T.); #184800=EDGE_CURVE('',#151890,#151890,#64601,.T.); #184801=EDGE_CURVE('',#151890,#151891,#73650,.T.); #184802=EDGE_CURVE('',#151891,#151891,#64602,.T.); #184803=EDGE_CURVE('',#151892,#151892,#64603,.T.); #184804=EDGE_CURVE('',#151892,#151893,#73651,.T.); #184805=EDGE_CURVE('',#151893,#151893,#64604,.T.); #184806=EDGE_CURVE('',#151894,#151894,#64605,.T.); #184807=EDGE_CURVE('',#151894,#151895,#73652,.T.); #184808=EDGE_CURVE('',#151895,#151895,#64606,.T.); #184809=EDGE_CURVE('',#151896,#151896,#64607,.T.); #184810=EDGE_CURVE('',#151896,#151897,#73653,.T.); #184811=EDGE_CURVE('',#151897,#151897,#64608,.T.); #184812=EDGE_CURVE('',#151898,#151898,#64609,.T.); #184813=EDGE_CURVE('',#151898,#151899,#73654,.T.); #184814=EDGE_CURVE('',#151899,#151899,#64610,.T.); #184815=EDGE_CURVE('',#151900,#151900,#64611,.T.); #184816=EDGE_CURVE('',#151900,#151901,#73655,.T.); #184817=EDGE_CURVE('',#151901,#151901,#64612,.T.); #184818=EDGE_CURVE('',#151902,#151902,#64613,.T.); #184819=EDGE_CURVE('',#151902,#151903,#73656,.T.); #184820=EDGE_CURVE('',#151903,#151903,#64614,.T.); #184821=EDGE_CURVE('',#151904,#151904,#64615,.T.); #184822=EDGE_CURVE('',#151904,#151905,#73657,.T.); #184823=EDGE_CURVE('',#151905,#151905,#64616,.T.); #184824=EDGE_CURVE('',#151906,#151906,#64617,.T.); #184825=EDGE_CURVE('',#151906,#151907,#73658,.T.); #184826=EDGE_CURVE('',#151907,#151907,#64618,.T.); #184827=EDGE_CURVE('',#151908,#151908,#64619,.T.); #184828=EDGE_CURVE('',#151908,#151909,#73659,.T.); #184829=EDGE_CURVE('',#151909,#151909,#64620,.T.); #184830=EDGE_CURVE('',#151910,#151910,#64621,.T.); #184831=EDGE_CURVE('',#151910,#151911,#73660,.T.); #184832=EDGE_CURVE('',#151911,#151911,#64622,.T.); #184833=EDGE_CURVE('',#151912,#151912,#64623,.T.); #184834=EDGE_CURVE('',#151912,#151913,#73661,.T.); #184835=EDGE_CURVE('',#151913,#151913,#64624,.T.); #184836=EDGE_CURVE('',#151914,#151914,#64625,.T.); #184837=EDGE_CURVE('',#151914,#151915,#73662,.T.); #184838=EDGE_CURVE('',#151915,#151915,#64626,.T.); #184839=EDGE_CURVE('',#151916,#151916,#64627,.T.); #184840=EDGE_CURVE('',#151916,#151917,#73663,.T.); #184841=EDGE_CURVE('',#151917,#151917,#64628,.T.); #184842=EDGE_CURVE('',#151918,#151918,#64629,.T.); #184843=EDGE_CURVE('',#151918,#151919,#73664,.T.); #184844=EDGE_CURVE('',#151919,#151919,#64630,.T.); #184845=EDGE_CURVE('',#151920,#151920,#64631,.T.); #184846=EDGE_CURVE('',#151920,#151921,#73665,.T.); #184847=EDGE_CURVE('',#151921,#151921,#64632,.T.); #184848=EDGE_CURVE('',#151922,#151922,#64633,.T.); #184849=EDGE_CURVE('',#151922,#151923,#73666,.T.); #184850=EDGE_CURVE('',#151923,#151923,#64634,.T.); #184851=EDGE_CURVE('',#151924,#151924,#64635,.T.); #184852=EDGE_CURVE('',#151924,#151925,#73667,.T.); #184853=EDGE_CURVE('',#151925,#151925,#64636,.T.); #184854=EDGE_CURVE('',#151926,#151926,#64637,.T.); #184855=EDGE_CURVE('',#151926,#151927,#73668,.T.); #184856=EDGE_CURVE('',#151927,#151927,#64638,.T.); #184857=EDGE_CURVE('',#151928,#151928,#64639,.T.); #184858=EDGE_CURVE('',#151928,#151929,#73669,.T.); #184859=EDGE_CURVE('',#151929,#151929,#64640,.T.); #184860=EDGE_CURVE('',#151930,#151930,#64641,.T.); #184861=EDGE_CURVE('',#151930,#151931,#73670,.T.); #184862=EDGE_CURVE('',#151931,#151931,#64642,.T.); #184863=EDGE_CURVE('',#151932,#151932,#64643,.T.); #184864=EDGE_CURVE('',#151932,#151933,#73671,.T.); #184865=EDGE_CURVE('',#151933,#151933,#64644,.T.); #184866=EDGE_CURVE('',#151934,#151934,#64645,.T.); #184867=EDGE_CURVE('',#151934,#151935,#73672,.T.); #184868=EDGE_CURVE('',#151935,#151935,#64646,.T.); #184869=EDGE_CURVE('',#151936,#151936,#64647,.T.); #184870=EDGE_CURVE('',#151936,#151937,#73673,.T.); #184871=EDGE_CURVE('',#151937,#151937,#64648,.T.); #184872=EDGE_CURVE('',#151938,#151938,#64649,.T.); #184873=EDGE_CURVE('',#151938,#151939,#73674,.T.); #184874=EDGE_CURVE('',#151939,#151939,#64650,.T.); #184875=EDGE_CURVE('',#151940,#151940,#64651,.T.); #184876=EDGE_CURVE('',#151940,#151941,#73675,.T.); #184877=EDGE_CURVE('',#151941,#151941,#64652,.T.); #184878=EDGE_CURVE('',#151942,#151942,#64653,.T.); #184879=EDGE_CURVE('',#151942,#151943,#73676,.T.); #184880=EDGE_CURVE('',#151943,#151943,#64654,.T.); #184881=EDGE_CURVE('',#151944,#151944,#64655,.T.); #184882=EDGE_CURVE('',#151944,#151945,#73677,.T.); #184883=EDGE_CURVE('',#151945,#151945,#64656,.T.); #184884=EDGE_CURVE('',#151946,#151946,#64657,.T.); #184885=EDGE_CURVE('',#151946,#151947,#73678,.T.); #184886=EDGE_CURVE('',#151947,#151947,#64658,.T.); #184887=EDGE_CURVE('',#151948,#151948,#64659,.T.); #184888=EDGE_CURVE('',#151948,#151949,#73679,.T.); #184889=EDGE_CURVE('',#151949,#151949,#64660,.T.); #184890=EDGE_CURVE('',#151950,#151950,#64661,.T.); #184891=EDGE_CURVE('',#151950,#151951,#73680,.T.); #184892=EDGE_CURVE('',#151951,#151951,#64662,.T.); #184893=EDGE_CURVE('',#151952,#151952,#64663,.T.); #184894=EDGE_CURVE('',#151952,#151953,#73681,.T.); #184895=EDGE_CURVE('',#151953,#151953,#64664,.T.); #184896=EDGE_CURVE('',#151954,#151954,#64665,.T.); #184897=EDGE_CURVE('',#151954,#151955,#73682,.T.); #184898=EDGE_CURVE('',#151955,#151955,#64666,.T.); #184899=EDGE_CURVE('',#151956,#151956,#64667,.T.); #184900=EDGE_CURVE('',#151956,#151957,#73683,.T.); #184901=EDGE_CURVE('',#151957,#151957,#64668,.T.); #184902=EDGE_CURVE('',#151958,#151958,#64669,.T.); #184903=EDGE_CURVE('',#151958,#151959,#73684,.T.); #184904=EDGE_CURVE('',#151959,#151959,#64670,.T.); #184905=EDGE_CURVE('',#151960,#151960,#64671,.T.); #184906=EDGE_CURVE('',#151960,#151961,#73685,.T.); #184907=EDGE_CURVE('',#151961,#151961,#64672,.T.); #184908=EDGE_CURVE('',#151962,#151962,#64673,.T.); #184909=EDGE_CURVE('',#151962,#151963,#73686,.T.); #184910=EDGE_CURVE('',#151963,#151963,#64674,.T.); #184911=EDGE_CURVE('',#151964,#151964,#64675,.T.); #184912=EDGE_CURVE('',#151964,#151965,#73687,.T.); #184913=EDGE_CURVE('',#151965,#151965,#64676,.T.); #184914=EDGE_CURVE('',#151966,#151966,#64677,.T.); #184915=EDGE_CURVE('',#151966,#151967,#73688,.T.); #184916=EDGE_CURVE('',#151967,#151967,#64678,.T.); #184917=EDGE_CURVE('',#151968,#151968,#64679,.T.); #184918=EDGE_CURVE('',#151968,#151969,#73689,.T.); #184919=EDGE_CURVE('',#151969,#151969,#64680,.T.); #184920=EDGE_CURVE('',#151970,#151970,#64681,.T.); #184921=EDGE_CURVE('',#151970,#151971,#73690,.T.); #184922=EDGE_CURVE('',#151971,#151971,#64682,.T.); #184923=EDGE_CURVE('',#151972,#151973,#73691,.T.); #184924=EDGE_CURVE('',#151974,#151972,#73692,.T.); #184925=EDGE_CURVE('',#151975,#151974,#73693,.T.); #184926=EDGE_CURVE('',#151975,#151973,#73694,.T.); #184927=EDGE_CURVE('',#151973,#151976,#64683,.T.); #184928=EDGE_CURVE('',#151977,#151975,#64684,.T.); #184929=EDGE_CURVE('',#151977,#151976,#73695,.T.); #184930=EDGE_CURVE('',#151976,#151978,#73696,.T.); #184931=EDGE_CURVE('',#151979,#151977,#73697,.T.); #184932=EDGE_CURVE('',#151979,#151978,#73698,.T.); #184933=EDGE_CURVE('',#151978,#151972,#64685,.T.); #184934=EDGE_CURVE('',#151974,#151979,#64686,.T.); #184935=EDGE_CURVE('',#151980,#151980,#64687,.T.); #184936=EDGE_CURVE('',#151980,#151981,#73699,.T.); #184937=EDGE_CURVE('',#151981,#151981,#64688,.T.); #184938=EDGE_CURVE('',#151982,#151983,#73700,.T.); #184939=EDGE_CURVE('',#151984,#151982,#73701,.T.); #184940=EDGE_CURVE('',#151985,#151984,#73702,.T.); #184941=EDGE_CURVE('',#151985,#151983,#73703,.T.); #184942=EDGE_CURVE('',#151983,#151986,#64689,.T.); #184943=EDGE_CURVE('',#151987,#151985,#64690,.T.); #184944=EDGE_CURVE('',#151987,#151986,#73704,.T.); #184945=EDGE_CURVE('',#151986,#151988,#73705,.T.); #184946=EDGE_CURVE('',#151989,#151987,#73706,.T.); #184947=EDGE_CURVE('',#151989,#151988,#73707,.T.); #184948=EDGE_CURVE('',#151988,#151982,#64691,.T.); #184949=EDGE_CURVE('',#151984,#151989,#64692,.T.); #184950=EDGE_CURVE('',#151990,#151990,#64693,.T.); #184951=EDGE_CURVE('',#151990,#151991,#73708,.T.); #184952=EDGE_CURVE('',#151991,#151991,#64694,.T.); #184953=EDGE_CURVE('',#151992,#151992,#64695,.T.); #184954=EDGE_CURVE('',#151992,#151993,#73709,.T.); #184955=EDGE_CURVE('',#151993,#151993,#64696,.T.); #184956=EDGE_CURVE('',#151994,#151994,#64697,.T.); #184957=EDGE_CURVE('',#151994,#151995,#73710,.T.); #184958=EDGE_CURVE('',#151995,#151995,#64698,.T.); #184959=EDGE_CURVE('',#151996,#151997,#73711,.T.); #184960=EDGE_CURVE('',#151998,#151996,#73712,.T.); #184961=EDGE_CURVE('',#151999,#151998,#73713,.T.); #184962=EDGE_CURVE('',#151999,#151997,#73714,.T.); #184963=EDGE_CURVE('',#151997,#152000,#64699,.T.); #184964=EDGE_CURVE('',#152001,#151999,#64700,.T.); #184965=EDGE_CURVE('',#152001,#152000,#73715,.T.); #184966=EDGE_CURVE('',#152000,#152002,#73716,.T.); #184967=EDGE_CURVE('',#152003,#152001,#73717,.T.); #184968=EDGE_CURVE('',#152003,#152002,#73718,.T.); #184969=EDGE_CURVE('',#152002,#151996,#64701,.T.); #184970=EDGE_CURVE('',#151998,#152003,#64702,.T.); #184971=EDGE_CURVE('',#152004,#152004,#64703,.T.); #184972=EDGE_CURVE('',#152004,#152005,#73719,.T.); #184973=EDGE_CURVE('',#152005,#152005,#64704,.T.); #184974=EDGE_CURVE('',#152006,#152006,#64705,.T.); #184975=EDGE_CURVE('',#152006,#152007,#73720,.T.); #184976=EDGE_CURVE('',#152007,#152007,#64706,.T.); #184977=EDGE_CURVE('',#152008,#152008,#64707,.T.); #184978=EDGE_CURVE('',#152008,#152009,#73721,.T.); #184979=EDGE_CURVE('',#152009,#152009,#64708,.T.); #184980=EDGE_CURVE('',#152010,#152010,#64709,.T.); #184981=EDGE_CURVE('',#152010,#152011,#73722,.T.); #184982=EDGE_CURVE('',#152011,#152011,#64710,.T.); #184983=EDGE_CURVE('',#152012,#152012,#64711,.T.); #184984=EDGE_CURVE('',#152012,#152013,#73723,.T.); #184985=EDGE_CURVE('',#152013,#152013,#64712,.T.); #184986=EDGE_CURVE('',#152014,#152014,#64713,.T.); #184987=EDGE_CURVE('',#152014,#152015,#73724,.T.); #184988=EDGE_CURVE('',#152015,#152015,#64714,.T.); #184989=EDGE_CURVE('',#152016,#152016,#64715,.T.); #184990=EDGE_CURVE('',#152016,#152017,#73725,.T.); #184991=EDGE_CURVE('',#152017,#152017,#64716,.T.); #184992=EDGE_CURVE('',#152018,#152018,#64717,.T.); #184993=EDGE_CURVE('',#152018,#152019,#73726,.T.); #184994=EDGE_CURVE('',#152019,#152019,#64718,.T.); #184995=EDGE_CURVE('',#152020,#152020,#64719,.T.); #184996=EDGE_CURVE('',#152020,#152021,#73727,.T.); #184997=EDGE_CURVE('',#152021,#152021,#64720,.T.); #184998=EDGE_CURVE('',#152022,#152022,#64721,.T.); #184999=EDGE_CURVE('',#152022,#152023,#73728,.T.); #185000=EDGE_CURVE('',#152023,#152023,#64722,.T.); #185001=EDGE_CURVE('',#152024,#152025,#73729,.T.); #185002=EDGE_CURVE('',#152026,#152024,#73730,.T.); #185003=EDGE_CURVE('',#152027,#152026,#73731,.T.); #185004=EDGE_CURVE('',#152027,#152025,#73732,.T.); #185005=EDGE_CURVE('',#152025,#152028,#64723,.T.); #185006=EDGE_CURVE('',#152029,#152027,#64724,.T.); #185007=EDGE_CURVE('',#152029,#152028,#73733,.T.); #185008=EDGE_CURVE('',#152028,#152030,#73734,.T.); #185009=EDGE_CURVE('',#152031,#152029,#73735,.T.); #185010=EDGE_CURVE('',#152031,#152030,#73736,.T.); #185011=EDGE_CURVE('',#152030,#152024,#64725,.T.); #185012=EDGE_CURVE('',#152026,#152031,#64726,.T.); #185013=EDGE_CURVE('',#152032,#152032,#64727,.T.); #185014=EDGE_CURVE('',#152032,#152033,#73737,.T.); #185015=EDGE_CURVE('',#152033,#152033,#64728,.T.); #185016=EDGE_CURVE('',#152034,#152035,#73738,.T.); #185017=EDGE_CURVE('',#152036,#152034,#73739,.T.); #185018=EDGE_CURVE('',#152037,#152036,#73740,.T.); #185019=EDGE_CURVE('',#152037,#152035,#73741,.T.); #185020=EDGE_CURVE('',#152035,#152038,#64729,.T.); #185021=EDGE_CURVE('',#152039,#152037,#64730,.T.); #185022=EDGE_CURVE('',#152039,#152038,#73742,.T.); #185023=EDGE_CURVE('',#152038,#152040,#73743,.T.); #185024=EDGE_CURVE('',#152041,#152039,#73744,.T.); #185025=EDGE_CURVE('',#152041,#152040,#73745,.T.); #185026=EDGE_CURVE('',#152040,#152034,#64731,.T.); #185027=EDGE_CURVE('',#152036,#152041,#64732,.T.); #185028=EDGE_CURVE('',#152042,#152042,#64733,.T.); #185029=EDGE_CURVE('',#152042,#152043,#73746,.T.); #185030=EDGE_CURVE('',#152043,#152043,#64734,.T.); #185031=EDGE_CURVE('',#152044,#152045,#73747,.T.); #185032=EDGE_CURVE('',#152046,#152044,#73748,.T.); #185033=EDGE_CURVE('',#152047,#152046,#73749,.T.); #185034=EDGE_CURVE('',#152047,#152045,#73750,.T.); #185035=EDGE_CURVE('',#152045,#152048,#64735,.T.); #185036=EDGE_CURVE('',#152049,#152047,#64736,.T.); #185037=EDGE_CURVE('',#152049,#152048,#73751,.T.); #185038=EDGE_CURVE('',#152048,#152050,#73752,.T.); #185039=EDGE_CURVE('',#152051,#152049,#73753,.T.); #185040=EDGE_CURVE('',#152051,#152050,#73754,.T.); #185041=EDGE_CURVE('',#152050,#152044,#64737,.T.); #185042=EDGE_CURVE('',#152046,#152051,#64738,.T.); #185043=EDGE_CURVE('',#152052,#152052,#64739,.T.); #185044=EDGE_CURVE('',#152052,#152053,#73755,.T.); #185045=EDGE_CURVE('',#152053,#152053,#64740,.T.); #185046=EDGE_CURVE('',#152054,#152054,#64741,.T.); #185047=EDGE_CURVE('',#152054,#152055,#73756,.T.); #185048=EDGE_CURVE('',#152055,#152055,#64742,.T.); #185049=EDGE_CURVE('',#152056,#152056,#64743,.T.); #185050=EDGE_CURVE('',#152056,#152057,#73757,.T.); #185051=EDGE_CURVE('',#152057,#152057,#64744,.T.); #185052=EDGE_CURVE('',#152058,#152058,#64745,.T.); #185053=EDGE_CURVE('',#152058,#152059,#73758,.T.); #185054=EDGE_CURVE('',#152059,#152059,#64746,.T.); #185055=EDGE_CURVE('',#152060,#152060,#64747,.T.); #185056=EDGE_CURVE('',#152060,#152061,#73759,.T.); #185057=EDGE_CURVE('',#152061,#152061,#64748,.T.); #185058=EDGE_CURVE('',#152062,#152062,#64749,.T.); #185059=EDGE_CURVE('',#152062,#152063,#73760,.T.); #185060=EDGE_CURVE('',#152063,#152063,#64750,.T.); #185061=EDGE_CURVE('',#152064,#152064,#64751,.T.); #185062=EDGE_CURVE('',#152064,#152065,#73761,.T.); #185063=EDGE_CURVE('',#152065,#152065,#64752,.T.); #185064=EDGE_CURVE('',#152066,#152066,#64753,.T.); #185065=EDGE_CURVE('',#152066,#152067,#73762,.T.); #185066=EDGE_CURVE('',#152067,#152067,#64754,.T.); #185067=EDGE_CURVE('',#152068,#152068,#64755,.T.); #185068=EDGE_CURVE('',#152068,#152069,#73763,.T.); #185069=EDGE_CURVE('',#152069,#152069,#64756,.T.); #185070=EDGE_CURVE('',#152070,#152070,#64757,.T.); #185071=EDGE_CURVE('',#152070,#152071,#73764,.T.); #185072=EDGE_CURVE('',#152071,#152071,#64758,.T.); #185073=EDGE_CURVE('',#152072,#152072,#64759,.T.); #185074=EDGE_CURVE('',#152072,#152073,#73765,.T.); #185075=EDGE_CURVE('',#152073,#152073,#64760,.T.); #185076=EDGE_CURVE('',#152074,#152074,#64761,.T.); #185077=EDGE_CURVE('',#152074,#152075,#73766,.T.); #185078=EDGE_CURVE('',#152075,#152075,#64762,.T.); #185079=EDGE_CURVE('',#152076,#152076,#64763,.T.); #185080=EDGE_CURVE('',#152076,#152077,#73767,.T.); #185081=EDGE_CURVE('',#152077,#152077,#64764,.T.); #185082=EDGE_CURVE('',#152078,#152078,#64765,.T.); #185083=EDGE_CURVE('',#152078,#152079,#73768,.T.); #185084=EDGE_CURVE('',#152079,#152079,#64766,.T.); #185085=EDGE_CURVE('',#152080,#152080,#64767,.T.); #185086=EDGE_CURVE('',#152080,#152081,#73769,.T.); #185087=EDGE_CURVE('',#152081,#152081,#64768,.T.); #185088=EDGE_CURVE('',#152082,#152082,#64769,.T.); #185089=EDGE_CURVE('',#152082,#152083,#73770,.T.); #185090=EDGE_CURVE('',#152083,#152083,#64770,.T.); #185091=EDGE_CURVE('',#152084,#152084,#64771,.T.); #185092=EDGE_CURVE('',#152084,#152085,#73771,.T.); #185093=EDGE_CURVE('',#152085,#152085,#64772,.T.); #185094=EDGE_CURVE('',#152086,#152086,#64773,.T.); #185095=EDGE_CURVE('',#152086,#152087,#73772,.T.); #185096=EDGE_CURVE('',#152087,#152087,#64774,.T.); #185097=EDGE_CURVE('',#152088,#152088,#64775,.T.); #185098=EDGE_CURVE('',#152088,#152089,#73773,.T.); #185099=EDGE_CURVE('',#152089,#152089,#64776,.T.); #185100=EDGE_CURVE('',#152090,#152090,#64777,.T.); #185101=EDGE_CURVE('',#152090,#152091,#73774,.T.); #185102=EDGE_CURVE('',#152091,#152091,#64778,.T.); #185103=EDGE_CURVE('',#152092,#152092,#64779,.T.); #185104=EDGE_CURVE('',#152092,#152093,#73775,.T.); #185105=EDGE_CURVE('',#152093,#152093,#64780,.T.); #185106=EDGE_CURVE('',#152094,#152094,#64781,.T.); #185107=EDGE_CURVE('',#152094,#152095,#73776,.T.); #185108=EDGE_CURVE('',#152095,#152095,#64782,.T.); #185109=EDGE_CURVE('',#152096,#152096,#64783,.T.); #185110=EDGE_CURVE('',#152096,#152097,#73777,.T.); #185111=EDGE_CURVE('',#152097,#152097,#64784,.T.); #185112=EDGE_CURVE('',#152098,#152098,#64785,.T.); #185113=EDGE_CURVE('',#152098,#152099,#73778,.T.); #185114=EDGE_CURVE('',#152099,#152099,#64786,.T.); #185115=EDGE_CURVE('',#152100,#152100,#64787,.T.); #185116=EDGE_CURVE('',#152100,#152101,#73779,.T.); #185117=EDGE_CURVE('',#152101,#152101,#64788,.T.); #185118=EDGE_CURVE('',#152102,#152102,#64789,.T.); #185119=EDGE_CURVE('',#152102,#152103,#73780,.T.); #185120=EDGE_CURVE('',#152103,#152103,#64790,.T.); #185121=EDGE_CURVE('',#152104,#152104,#64791,.T.); #185122=EDGE_CURVE('',#152104,#152105,#73781,.T.); #185123=EDGE_CURVE('',#152105,#152105,#64792,.T.); #185124=EDGE_CURVE('',#152106,#152106,#64793,.T.); #185125=EDGE_CURVE('',#152106,#152107,#73782,.T.); #185126=EDGE_CURVE('',#152107,#152107,#64794,.T.); #185127=EDGE_CURVE('',#152108,#152108,#64795,.T.); #185128=EDGE_CURVE('',#152108,#152109,#73783,.T.); #185129=EDGE_CURVE('',#152109,#152109,#64796,.T.); #185130=EDGE_CURVE('',#152110,#152110,#64797,.T.); #185131=EDGE_CURVE('',#152110,#152111,#73784,.T.); #185132=EDGE_CURVE('',#152111,#152111,#64798,.T.); #185133=EDGE_CURVE('',#152112,#152112,#64799,.T.); #185134=EDGE_CURVE('',#152112,#152113,#73785,.T.); #185135=EDGE_CURVE('',#152113,#152113,#64800,.T.); #185136=EDGE_CURVE('',#152114,#152114,#64801,.T.); #185137=EDGE_CURVE('',#152114,#152115,#73786,.T.); #185138=EDGE_CURVE('',#152115,#152115,#64802,.T.); #185139=EDGE_CURVE('',#152116,#152116,#64803,.T.); #185140=EDGE_CURVE('',#152116,#152117,#73787,.T.); #185141=EDGE_CURVE('',#152117,#152117,#64804,.T.); #185142=EDGE_CURVE('',#152118,#152118,#64805,.T.); #185143=EDGE_CURVE('',#152118,#152119,#73788,.T.); #185144=EDGE_CURVE('',#152119,#152119,#64806,.T.); #185145=EDGE_CURVE('',#152120,#152120,#64807,.T.); #185146=EDGE_CURVE('',#152120,#152121,#73789,.T.); #185147=EDGE_CURVE('',#152121,#152121,#64808,.T.); #185148=EDGE_CURVE('',#152122,#152122,#64809,.T.); #185149=EDGE_CURVE('',#152122,#152123,#73790,.T.); #185150=EDGE_CURVE('',#152123,#152123,#64810,.T.); #185151=EDGE_CURVE('',#152124,#152124,#64811,.T.); #185152=EDGE_CURVE('',#152124,#152125,#73791,.T.); #185153=EDGE_CURVE('',#152125,#152125,#64812,.T.); #185154=EDGE_CURVE('',#152126,#152126,#64813,.T.); #185155=EDGE_CURVE('',#152126,#152127,#73792,.T.); #185156=EDGE_CURVE('',#152127,#152127,#64814,.T.); #185157=EDGE_CURVE('',#152128,#152128,#64815,.T.); #185158=EDGE_CURVE('',#152128,#152129,#73793,.T.); #185159=EDGE_CURVE('',#152129,#152129,#64816,.T.); #185160=EDGE_CURVE('',#152130,#152130,#64817,.T.); #185161=EDGE_CURVE('',#152130,#152131,#73794,.T.); #185162=EDGE_CURVE('',#152131,#152131,#64818,.T.); #185163=EDGE_CURVE('',#152132,#152132,#64819,.T.); #185164=EDGE_CURVE('',#152132,#152133,#73795,.T.); #185165=EDGE_CURVE('',#152133,#152133,#64820,.T.); #185166=EDGE_CURVE('',#152134,#152134,#64821,.T.); #185167=EDGE_CURVE('',#152134,#152135,#73796,.T.); #185168=EDGE_CURVE('',#152135,#152135,#64822,.T.); #185169=EDGE_CURVE('',#152136,#152136,#64823,.T.); #185170=EDGE_CURVE('',#152136,#152137,#73797,.T.); #185171=EDGE_CURVE('',#152137,#152137,#64824,.T.); #185172=EDGE_CURVE('',#152138,#152138,#64825,.T.); #185173=EDGE_CURVE('',#152138,#152139,#73798,.T.); #185174=EDGE_CURVE('',#152139,#152139,#64826,.T.); #185175=EDGE_CURVE('',#152140,#152140,#64827,.T.); #185176=EDGE_CURVE('',#152140,#152141,#73799,.T.); #185177=EDGE_CURVE('',#152141,#152141,#64828,.T.); #185178=EDGE_CURVE('',#152142,#152142,#64829,.T.); #185179=EDGE_CURVE('',#152142,#152143,#73800,.T.); #185180=EDGE_CURVE('',#152143,#152143,#64830,.T.); #185181=EDGE_CURVE('',#152144,#152144,#64831,.T.); #185182=EDGE_CURVE('',#152144,#152145,#73801,.T.); #185183=EDGE_CURVE('',#152145,#152145,#64832,.T.); #185184=EDGE_CURVE('',#152146,#152146,#64833,.T.); #185185=EDGE_CURVE('',#152146,#152147,#73802,.T.); #185186=EDGE_CURVE('',#152147,#152147,#64834,.T.); #185187=EDGE_CURVE('',#152148,#152148,#64835,.T.); #185188=EDGE_CURVE('',#152148,#152149,#73803,.T.); #185189=EDGE_CURVE('',#152149,#152149,#64836,.T.); #185190=EDGE_CURVE('',#152150,#152150,#64837,.T.); #185191=EDGE_CURVE('',#152150,#152151,#73804,.T.); #185192=EDGE_CURVE('',#152151,#152151,#64838,.T.); #185193=EDGE_CURVE('',#152152,#152152,#64839,.T.); #185194=EDGE_CURVE('',#152152,#152153,#73805,.T.); #185195=EDGE_CURVE('',#152153,#152153,#64840,.T.); #185196=EDGE_CURVE('',#152154,#152154,#64841,.T.); #185197=EDGE_CURVE('',#152154,#152155,#73806,.T.); #185198=EDGE_CURVE('',#152155,#152155,#64842,.T.); #185199=EDGE_CURVE('',#152156,#152156,#64843,.T.); #185200=EDGE_CURVE('',#152156,#152157,#73807,.T.); #185201=EDGE_CURVE('',#152157,#152157,#64844,.T.); #185202=EDGE_CURVE('',#152158,#152158,#64845,.T.); #185203=EDGE_CURVE('',#152158,#152159,#73808,.T.); #185204=EDGE_CURVE('',#152159,#152159,#64846,.T.); #185205=EDGE_CURVE('',#152160,#152160,#64847,.T.); #185206=EDGE_CURVE('',#152160,#152161,#73809,.T.); #185207=EDGE_CURVE('',#152161,#152161,#64848,.T.); #185208=EDGE_CURVE('',#152162,#152162,#64849,.T.); #185209=EDGE_CURVE('',#152162,#152163,#73810,.T.); #185210=EDGE_CURVE('',#152163,#152163,#64850,.T.); #185211=EDGE_CURVE('',#152164,#152164,#64851,.T.); #185212=EDGE_CURVE('',#152164,#152165,#73811,.T.); #185213=EDGE_CURVE('',#152165,#152165,#64852,.T.); #185214=EDGE_CURVE('',#152166,#152166,#64853,.T.); #185215=EDGE_CURVE('',#152166,#152167,#73812,.T.); #185216=EDGE_CURVE('',#152167,#152167,#64854,.T.); #185217=EDGE_CURVE('',#152168,#152168,#64855,.T.); #185218=EDGE_CURVE('',#152168,#152169,#73813,.T.); #185219=EDGE_CURVE('',#152169,#152169,#64856,.T.); #185220=EDGE_CURVE('',#152170,#152170,#64857,.T.); #185221=EDGE_CURVE('',#152170,#152171,#73814,.T.); #185222=EDGE_CURVE('',#152171,#152171,#64858,.T.); #185223=EDGE_CURVE('',#152172,#152172,#64859,.T.); #185224=EDGE_CURVE('',#152172,#152173,#73815,.T.); #185225=EDGE_CURVE('',#152173,#152173,#64860,.T.); #185226=EDGE_CURVE('',#152174,#152174,#64861,.T.); #185227=EDGE_CURVE('',#152174,#152175,#73816,.T.); #185228=EDGE_CURVE('',#152175,#152175,#64862,.T.); #185229=EDGE_CURVE('',#152176,#152176,#64863,.T.); #185230=EDGE_CURVE('',#152176,#152177,#73817,.T.); #185231=EDGE_CURVE('',#152177,#152177,#64864,.T.); #185232=EDGE_CURVE('',#152178,#152178,#64865,.T.); #185233=EDGE_CURVE('',#152178,#152179,#73818,.T.); #185234=EDGE_CURVE('',#152179,#152179,#64866,.T.); #185235=EDGE_CURVE('',#152180,#152180,#64867,.T.); #185236=EDGE_CURVE('',#152180,#152181,#73819,.T.); #185237=EDGE_CURVE('',#152181,#152181,#64868,.T.); #185238=EDGE_CURVE('',#152182,#152182,#64869,.T.); #185239=EDGE_CURVE('',#152182,#152183,#73820,.T.); #185240=EDGE_CURVE('',#152183,#152183,#64870,.T.); #185241=EDGE_CURVE('',#152184,#152184,#64871,.T.); #185242=EDGE_CURVE('',#152184,#152185,#73821,.T.); #185243=EDGE_CURVE('',#152185,#152185,#64872,.T.); #185244=EDGE_CURVE('',#152186,#152186,#64873,.T.); #185245=EDGE_CURVE('',#152186,#152187,#73822,.T.); #185246=EDGE_CURVE('',#152187,#152187,#64874,.T.); #185247=EDGE_CURVE('',#152188,#152188,#64875,.T.); #185248=EDGE_CURVE('',#152188,#152189,#73823,.T.); #185249=EDGE_CURVE('',#152189,#152189,#64876,.T.); #185250=EDGE_CURVE('',#152190,#152190,#64877,.T.); #185251=EDGE_CURVE('',#152190,#152191,#73824,.T.); #185252=EDGE_CURVE('',#152191,#152191,#64878,.T.); #185253=EDGE_CURVE('',#152192,#152192,#64879,.T.); #185254=EDGE_CURVE('',#152192,#152193,#73825,.T.); #185255=EDGE_CURVE('',#152193,#152193,#64880,.T.); #185256=EDGE_CURVE('',#152194,#152194,#64881,.T.); #185257=EDGE_CURVE('',#152194,#152195,#73826,.T.); #185258=EDGE_CURVE('',#152195,#152195,#64882,.T.); #185259=EDGE_CURVE('',#152196,#152196,#64883,.T.); #185260=EDGE_CURVE('',#152196,#152197,#73827,.T.); #185261=EDGE_CURVE('',#152197,#152197,#64884,.T.); #185262=EDGE_CURVE('',#152198,#152198,#64885,.T.); #185263=EDGE_CURVE('',#152198,#152199,#73828,.T.); #185264=EDGE_CURVE('',#152199,#152199,#64886,.T.); #185265=EDGE_CURVE('',#152200,#152200,#64887,.T.); #185266=EDGE_CURVE('',#152200,#152201,#73829,.T.); #185267=EDGE_CURVE('',#152201,#152201,#64888,.T.); #185268=EDGE_CURVE('',#152202,#152202,#64889,.T.); #185269=EDGE_CURVE('',#152202,#152203,#73830,.T.); #185270=EDGE_CURVE('',#152203,#152203,#64890,.T.); #185271=EDGE_CURVE('',#152204,#152204,#64891,.T.); #185272=EDGE_CURVE('',#152204,#152205,#73831,.T.); #185273=EDGE_CURVE('',#152205,#152205,#64892,.T.); #185274=EDGE_CURVE('',#152206,#152206,#64893,.T.); #185275=EDGE_CURVE('',#152206,#152207,#73832,.T.); #185276=EDGE_CURVE('',#152207,#152207,#64894,.T.); #185277=EDGE_CURVE('',#152208,#152208,#64895,.T.); #185278=EDGE_CURVE('',#152208,#152209,#73833,.T.); #185279=EDGE_CURVE('',#152209,#152209,#64896,.T.); #185280=EDGE_CURVE('',#152210,#152210,#64897,.T.); #185281=EDGE_CURVE('',#152210,#152211,#73834,.T.); #185282=EDGE_CURVE('',#152211,#152211,#64898,.T.); #185283=EDGE_CURVE('',#152212,#152212,#64899,.T.); #185284=EDGE_CURVE('',#152212,#152213,#73835,.T.); #185285=EDGE_CURVE('',#152213,#152213,#64900,.T.); #185286=EDGE_CURVE('',#152214,#152214,#64901,.T.); #185287=EDGE_CURVE('',#152214,#152215,#73836,.T.); #185288=EDGE_CURVE('',#152215,#152215,#64902,.T.); #185289=EDGE_CURVE('',#152216,#152216,#64903,.T.); #185290=EDGE_CURVE('',#152216,#152217,#73837,.T.); #185291=EDGE_CURVE('',#152217,#152217,#64904,.T.); #185292=EDGE_CURVE('',#152218,#152218,#64905,.T.); #185293=EDGE_CURVE('',#152218,#152219,#73838,.T.); #185294=EDGE_CURVE('',#152219,#152219,#64906,.T.); #185295=EDGE_CURVE('',#152220,#152220,#64907,.T.); #185296=EDGE_CURVE('',#152220,#152221,#73839,.T.); #185297=EDGE_CURVE('',#152221,#152221,#64908,.T.); #185298=EDGE_CURVE('',#152222,#152222,#64909,.T.); #185299=EDGE_CURVE('',#152222,#152223,#73840,.T.); #185300=EDGE_CURVE('',#152223,#152223,#64910,.T.); #185301=EDGE_CURVE('',#152224,#152224,#64911,.T.); #185302=EDGE_CURVE('',#152224,#152225,#73841,.T.); #185303=EDGE_CURVE('',#152225,#152225,#64912,.T.); #185304=EDGE_CURVE('',#152226,#152226,#64913,.T.); #185305=EDGE_CURVE('',#152226,#152227,#73842,.T.); #185306=EDGE_CURVE('',#152227,#152227,#64914,.T.); #185307=EDGE_CURVE('',#152228,#152228,#64915,.T.); #185308=EDGE_CURVE('',#152228,#152229,#73843,.T.); #185309=EDGE_CURVE('',#152229,#152229,#64916,.T.); #185310=EDGE_CURVE('',#152230,#152230,#64917,.T.); #185311=EDGE_CURVE('',#152230,#152231,#73844,.T.); #185312=EDGE_CURVE('',#152231,#152231,#64918,.T.); #185313=EDGE_CURVE('',#152232,#152232,#64919,.T.); #185314=EDGE_CURVE('',#152232,#152233,#73845,.T.); #185315=EDGE_CURVE('',#152233,#152233,#64920,.T.); #185316=EDGE_CURVE('',#152234,#152234,#64921,.T.); #185317=EDGE_CURVE('',#152234,#152235,#73846,.T.); #185318=EDGE_CURVE('',#152235,#152235,#64922,.T.); #185319=EDGE_CURVE('',#152236,#152236,#64923,.T.); #185320=EDGE_CURVE('',#152236,#152237,#73847,.T.); #185321=EDGE_CURVE('',#152237,#152237,#64924,.T.); #185322=EDGE_CURVE('',#152238,#152238,#64925,.T.); #185323=EDGE_CURVE('',#152238,#152239,#73848,.T.); #185324=EDGE_CURVE('',#152239,#152239,#64926,.T.); #185325=EDGE_CURVE('',#152240,#152240,#64927,.T.); #185326=EDGE_CURVE('',#152240,#152241,#73849,.T.); #185327=EDGE_CURVE('',#152241,#152241,#64928,.T.); #185328=EDGE_CURVE('',#152242,#152242,#64929,.T.); #185329=EDGE_CURVE('',#152242,#152243,#73850,.T.); #185330=EDGE_CURVE('',#152243,#152243,#64930,.T.); #185331=EDGE_CURVE('',#152244,#152244,#64931,.T.); #185332=EDGE_CURVE('',#152244,#152245,#73851,.T.); #185333=EDGE_CURVE('',#152245,#152245,#64932,.T.); #185334=EDGE_CURVE('',#152246,#152246,#64933,.T.); #185335=EDGE_CURVE('',#152246,#152247,#73852,.T.); #185336=EDGE_CURVE('',#152247,#152247,#64934,.T.); #185337=EDGE_CURVE('',#152248,#152248,#64935,.T.); #185338=EDGE_CURVE('',#152248,#152249,#73853,.T.); #185339=EDGE_CURVE('',#152249,#152249,#64936,.T.); #185340=EDGE_CURVE('',#152250,#152250,#64937,.T.); #185341=EDGE_CURVE('',#152250,#152251,#73854,.T.); #185342=EDGE_CURVE('',#152251,#152251,#64938,.T.); #185343=EDGE_CURVE('',#152252,#152252,#64939,.T.); #185344=EDGE_CURVE('',#152252,#152253,#73855,.T.); #185345=EDGE_CURVE('',#152253,#152253,#64940,.T.); #185346=EDGE_CURVE('',#152254,#152254,#64941,.T.); #185347=EDGE_CURVE('',#152254,#152255,#73856,.T.); #185348=EDGE_CURVE('',#152255,#152255,#64942,.T.); #185349=EDGE_CURVE('',#152256,#152256,#64943,.T.); #185350=EDGE_CURVE('',#152256,#152257,#73857,.T.); #185351=EDGE_CURVE('',#152257,#152257,#64944,.T.); #185352=EDGE_CURVE('',#152258,#152258,#64945,.T.); #185353=EDGE_CURVE('',#152258,#152259,#73858,.T.); #185354=EDGE_CURVE('',#152259,#152259,#64946,.T.); #185355=EDGE_CURVE('',#152260,#152260,#64947,.T.); #185356=EDGE_CURVE('',#152260,#152261,#73859,.T.); #185357=EDGE_CURVE('',#152261,#152261,#64948,.T.); #185358=EDGE_CURVE('',#152262,#152262,#64949,.T.); #185359=EDGE_CURVE('',#152262,#152263,#73860,.T.); #185360=EDGE_CURVE('',#152263,#152263,#64950,.T.); #185361=EDGE_CURVE('',#152264,#152264,#64951,.T.); #185362=EDGE_CURVE('',#152264,#152265,#73861,.T.); #185363=EDGE_CURVE('',#152265,#152265,#64952,.T.); #185364=EDGE_CURVE('',#152266,#152266,#64953,.T.); #185365=EDGE_CURVE('',#152266,#152267,#73862,.T.); #185366=EDGE_CURVE('',#152267,#152267,#64954,.T.); #185367=EDGE_CURVE('',#152268,#152268,#64955,.T.); #185368=EDGE_CURVE('',#152268,#152269,#73863,.T.); #185369=EDGE_CURVE('',#152269,#152269,#64956,.T.); #185370=EDGE_CURVE('',#152270,#152270,#64957,.T.); #185371=EDGE_CURVE('',#152270,#152271,#73864,.T.); #185372=EDGE_CURVE('',#152271,#152271,#64958,.T.); #185373=EDGE_CURVE('',#152272,#152272,#64959,.T.); #185374=EDGE_CURVE('',#152272,#152273,#73865,.T.); #185375=EDGE_CURVE('',#152273,#152273,#64960,.T.); #185376=EDGE_CURVE('',#152274,#152274,#64961,.T.); #185377=EDGE_CURVE('',#152274,#152275,#73866,.T.); #185378=EDGE_CURVE('',#152275,#152275,#64962,.T.); #185379=EDGE_CURVE('',#152276,#152276,#64963,.T.); #185380=EDGE_CURVE('',#152276,#152277,#73867,.T.); #185381=EDGE_CURVE('',#152277,#152277,#64964,.T.); #185382=EDGE_CURVE('',#152278,#152278,#64965,.T.); #185383=EDGE_CURVE('',#152278,#152279,#73868,.T.); #185384=EDGE_CURVE('',#152279,#152279,#64966,.T.); #185385=EDGE_CURVE('',#152280,#152280,#64967,.T.); #185386=EDGE_CURVE('',#152280,#152281,#73869,.T.); #185387=EDGE_CURVE('',#152281,#152281,#64968,.T.); #185388=EDGE_CURVE('',#152282,#152282,#64969,.T.); #185389=EDGE_CURVE('',#152282,#152283,#73870,.T.); #185390=EDGE_CURVE('',#152283,#152283,#64970,.T.); #185391=EDGE_CURVE('',#152284,#152284,#64971,.T.); #185392=EDGE_CURVE('',#152284,#152285,#73871,.T.); #185393=EDGE_CURVE('',#152285,#152285,#64972,.T.); #185394=EDGE_CURVE('',#152286,#152286,#64973,.T.); #185395=EDGE_CURVE('',#152286,#152287,#73872,.T.); #185396=EDGE_CURVE('',#152287,#152287,#64974,.T.); #185397=EDGE_CURVE('',#152288,#152288,#64975,.T.); #185398=EDGE_CURVE('',#152288,#152289,#73873,.T.); #185399=EDGE_CURVE('',#152289,#152289,#64976,.T.); #185400=EDGE_CURVE('',#152290,#152290,#64977,.T.); #185401=EDGE_CURVE('',#152290,#152291,#73874,.T.); #185402=EDGE_CURVE('',#152291,#152291,#64978,.T.); #185403=EDGE_CURVE('',#152292,#152292,#64979,.T.); #185404=EDGE_CURVE('',#152292,#152293,#73875,.T.); #185405=EDGE_CURVE('',#152293,#152293,#64980,.T.); #185406=EDGE_CURVE('',#152294,#152294,#64981,.T.); #185407=EDGE_CURVE('',#152294,#152295,#73876,.T.); #185408=EDGE_CURVE('',#152295,#152295,#64982,.T.); #185409=EDGE_CURVE('',#152296,#152296,#64983,.T.); #185410=EDGE_CURVE('',#152296,#152297,#73877,.T.); #185411=EDGE_CURVE('',#152297,#152297,#64984,.T.); #185412=EDGE_CURVE('',#152298,#152298,#64985,.T.); #185413=EDGE_CURVE('',#152298,#152299,#73878,.T.); #185414=EDGE_CURVE('',#152299,#152299,#64986,.T.); #185415=EDGE_CURVE('',#152300,#152300,#64987,.T.); #185416=EDGE_CURVE('',#152300,#152301,#73879,.T.); #185417=EDGE_CURVE('',#152301,#152301,#64988,.T.); #185418=EDGE_CURVE('',#152302,#152302,#64989,.T.); #185419=EDGE_CURVE('',#152302,#152303,#73880,.T.); #185420=EDGE_CURVE('',#152303,#152303,#64990,.T.); #185421=EDGE_CURVE('',#152304,#152304,#64991,.T.); #185422=EDGE_CURVE('',#152304,#152305,#73881,.T.); #185423=EDGE_CURVE('',#152305,#152305,#64992,.T.); #185424=EDGE_CURVE('',#152306,#152306,#64993,.T.); #185425=EDGE_CURVE('',#152306,#152307,#73882,.T.); #185426=EDGE_CURVE('',#152307,#152307,#64994,.T.); #185427=EDGE_CURVE('',#152308,#152308,#64995,.T.); #185428=EDGE_CURVE('',#152308,#152309,#73883,.T.); #185429=EDGE_CURVE('',#152309,#152309,#64996,.T.); #185430=EDGE_CURVE('',#152310,#152310,#64997,.T.); #185431=EDGE_CURVE('',#152310,#152311,#73884,.T.); #185432=EDGE_CURVE('',#152311,#152311,#64998,.T.); #185433=EDGE_CURVE('',#152312,#152312,#64999,.T.); #185434=EDGE_CURVE('',#152312,#152313,#73885,.T.); #185435=EDGE_CURVE('',#152313,#152313,#65000,.T.); #185436=EDGE_CURVE('',#152314,#152314,#65001,.T.); #185437=EDGE_CURVE('',#152314,#152315,#73886,.T.); #185438=EDGE_CURVE('',#152315,#152315,#65002,.T.); #185439=EDGE_CURVE('',#152316,#152316,#65003,.T.); #185440=EDGE_CURVE('',#152316,#152317,#73887,.T.); #185441=EDGE_CURVE('',#152317,#152317,#65004,.T.); #185442=EDGE_CURVE('',#152318,#152318,#65005,.T.); #185443=EDGE_CURVE('',#152318,#152319,#73888,.T.); #185444=EDGE_CURVE('',#152319,#152319,#65006,.T.); #185445=EDGE_CURVE('',#152320,#152320,#65007,.T.); #185446=EDGE_CURVE('',#152320,#152321,#73889,.T.); #185447=EDGE_CURVE('',#152321,#152321,#65008,.T.); #185448=EDGE_CURVE('',#152322,#152322,#65009,.T.); #185449=EDGE_CURVE('',#152322,#152323,#73890,.T.); #185450=EDGE_CURVE('',#152323,#152323,#65010,.T.); #185451=EDGE_CURVE('',#152324,#152324,#65011,.T.); #185452=EDGE_CURVE('',#152324,#152325,#73891,.T.); #185453=EDGE_CURVE('',#152325,#152325,#65012,.T.); #185454=EDGE_CURVE('',#152326,#152326,#65013,.T.); #185455=EDGE_CURVE('',#152326,#152327,#73892,.T.); #185456=EDGE_CURVE('',#152327,#152327,#65014,.T.); #185457=EDGE_CURVE('',#152328,#152328,#65015,.T.); #185458=EDGE_CURVE('',#152328,#152329,#73893,.T.); #185459=EDGE_CURVE('',#152329,#152329,#65016,.T.); #185460=EDGE_CURVE('',#152330,#152330,#65017,.T.); #185461=EDGE_CURVE('',#152330,#152331,#73894,.T.); #185462=EDGE_CURVE('',#152331,#152331,#65018,.T.); #185463=EDGE_CURVE('',#152332,#152332,#65019,.T.); #185464=EDGE_CURVE('',#152332,#152333,#73895,.T.); #185465=EDGE_CURVE('',#152333,#152333,#65020,.T.); #185466=EDGE_CURVE('',#152334,#152334,#65021,.T.); #185467=EDGE_CURVE('',#152334,#152335,#73896,.T.); #185468=EDGE_CURVE('',#152335,#152335,#65022,.T.); #185469=EDGE_CURVE('',#152336,#152336,#65023,.T.); #185470=EDGE_CURVE('',#152336,#152337,#73897,.T.); #185471=EDGE_CURVE('',#152337,#152337,#65024,.T.); #185472=EDGE_CURVE('',#152338,#152338,#65025,.T.); #185473=EDGE_CURVE('',#152338,#152339,#73898,.T.); #185474=EDGE_CURVE('',#152339,#152339,#65026,.T.); #185475=EDGE_CURVE('',#152340,#152340,#65027,.T.); #185476=EDGE_CURVE('',#152340,#152341,#73899,.T.); #185477=EDGE_CURVE('',#152341,#152341,#65028,.T.); #185478=EDGE_CURVE('',#152342,#152342,#65029,.T.); #185479=EDGE_CURVE('',#152342,#152343,#73900,.T.); #185480=EDGE_CURVE('',#152343,#152343,#65030,.T.); #185481=EDGE_CURVE('',#152344,#152344,#65031,.T.); #185482=EDGE_CURVE('',#152344,#152345,#73901,.T.); #185483=EDGE_CURVE('',#152345,#152345,#65032,.T.); #185484=EDGE_CURVE('',#152346,#152346,#65033,.T.); #185485=EDGE_CURVE('',#152346,#152347,#73902,.T.); #185486=EDGE_CURVE('',#152347,#152347,#65034,.T.); #185487=EDGE_CURVE('',#152348,#152348,#65035,.T.); #185488=EDGE_CURVE('',#152348,#152349,#73903,.T.); #185489=EDGE_CURVE('',#152349,#152349,#65036,.T.); #185490=EDGE_CURVE('',#152350,#152350,#65037,.T.); #185491=EDGE_CURVE('',#152350,#152351,#73904,.T.); #185492=EDGE_CURVE('',#152351,#152351,#65038,.T.); #185493=EDGE_CURVE('',#152352,#152352,#65039,.T.); #185494=EDGE_CURVE('',#152352,#152353,#73905,.T.); #185495=EDGE_CURVE('',#152353,#152353,#65040,.T.); #185496=EDGE_CURVE('',#152354,#152354,#65041,.T.); #185497=EDGE_CURVE('',#152354,#152355,#73906,.T.); #185498=EDGE_CURVE('',#152355,#152355,#65042,.T.); #185499=EDGE_CURVE('',#152356,#152356,#65043,.T.); #185500=EDGE_CURVE('',#152356,#152357,#73907,.T.); #185501=EDGE_CURVE('',#152357,#152357,#65044,.T.); #185502=EDGE_CURVE('',#152358,#152358,#65045,.T.); #185503=EDGE_CURVE('',#152358,#152359,#73908,.T.); #185504=EDGE_CURVE('',#152359,#152359,#65046,.T.); #185505=EDGE_CURVE('',#152360,#152360,#65047,.T.); #185506=EDGE_CURVE('',#152360,#152361,#73909,.T.); #185507=EDGE_CURVE('',#152361,#152361,#65048,.T.); #185508=EDGE_CURVE('',#152362,#152362,#65049,.T.); #185509=EDGE_CURVE('',#152362,#152363,#73910,.T.); #185510=EDGE_CURVE('',#152363,#152363,#65050,.T.); #185511=EDGE_CURVE('',#152364,#152364,#65051,.T.); #185512=EDGE_CURVE('',#152364,#152365,#73911,.T.); #185513=EDGE_CURVE('',#152365,#152365,#65052,.T.); #185514=EDGE_CURVE('',#152366,#152366,#65053,.T.); #185515=EDGE_CURVE('',#152366,#152367,#73912,.T.); #185516=EDGE_CURVE('',#152367,#152367,#65054,.T.); #185517=EDGE_CURVE('',#152368,#152368,#65055,.T.); #185518=EDGE_CURVE('',#152368,#152369,#73913,.T.); #185519=EDGE_CURVE('',#152369,#152369,#65056,.T.); #185520=EDGE_CURVE('',#152370,#152370,#65057,.T.); #185521=EDGE_CURVE('',#152370,#152371,#73914,.T.); #185522=EDGE_CURVE('',#152371,#152371,#65058,.T.); #185523=EDGE_CURVE('',#152372,#152372,#65059,.T.); #185524=EDGE_CURVE('',#152372,#152373,#73915,.T.); #185525=EDGE_CURVE('',#152373,#152373,#65060,.T.); #185526=EDGE_CURVE('',#152374,#152374,#65061,.T.); #185527=EDGE_CURVE('',#152374,#152375,#73916,.T.); #185528=EDGE_CURVE('',#152375,#152375,#65062,.T.); #185529=EDGE_CURVE('',#152376,#152376,#65063,.T.); #185530=EDGE_CURVE('',#152376,#152377,#73917,.T.); #185531=EDGE_CURVE('',#152377,#152377,#65064,.T.); #185532=EDGE_CURVE('',#152378,#152378,#65065,.T.); #185533=EDGE_CURVE('',#152378,#152379,#73918,.T.); #185534=EDGE_CURVE('',#152379,#152379,#65066,.T.); #185535=EDGE_CURVE('',#152380,#152380,#65067,.T.); #185536=EDGE_CURVE('',#152380,#152381,#73919,.T.); #185537=EDGE_CURVE('',#152381,#152381,#65068,.T.); #185538=EDGE_CURVE('',#152382,#152382,#65069,.T.); #185539=EDGE_CURVE('',#152382,#152383,#73920,.T.); #185540=EDGE_CURVE('',#152383,#152383,#65070,.T.); #185541=EDGE_CURVE('',#152384,#152384,#65071,.T.); #185542=EDGE_CURVE('',#152384,#152385,#73921,.T.); #185543=EDGE_CURVE('',#152385,#152385,#65072,.T.); #185544=EDGE_CURVE('',#152386,#152386,#65073,.T.); #185545=EDGE_CURVE('',#152386,#152387,#73922,.T.); #185546=EDGE_CURVE('',#152387,#152387,#65074,.T.); #185547=EDGE_CURVE('',#152388,#152388,#65075,.T.); #185548=EDGE_CURVE('',#152388,#152389,#73923,.T.); #185549=EDGE_CURVE('',#152389,#152389,#65076,.T.); #185550=EDGE_CURVE('',#152390,#152390,#65077,.T.); #185551=EDGE_CURVE('',#152390,#152391,#73924,.T.); #185552=EDGE_CURVE('',#152391,#152391,#65078,.T.); #185553=EDGE_CURVE('',#152392,#152392,#65079,.T.); #185554=EDGE_CURVE('',#152392,#152393,#73925,.T.); #185555=EDGE_CURVE('',#152393,#152393,#65080,.T.); #185556=EDGE_CURVE('',#152394,#152394,#65081,.T.); #185557=EDGE_CURVE('',#152394,#152395,#73926,.T.); #185558=EDGE_CURVE('',#152395,#152395,#65082,.T.); #185559=EDGE_CURVE('',#152396,#152396,#65083,.T.); #185560=EDGE_CURVE('',#152396,#152397,#73927,.T.); #185561=EDGE_CURVE('',#152397,#152397,#65084,.T.); #185562=EDGE_CURVE('',#152398,#152398,#65085,.T.); #185563=EDGE_CURVE('',#152398,#152399,#73928,.T.); #185564=EDGE_CURVE('',#152399,#152399,#65086,.T.); #185565=EDGE_CURVE('',#152400,#152400,#65087,.T.); #185566=EDGE_CURVE('',#152400,#152401,#73929,.T.); #185567=EDGE_CURVE('',#152401,#152401,#65088,.T.); #185568=EDGE_CURVE('',#152402,#152402,#65089,.T.); #185569=EDGE_CURVE('',#152402,#152403,#73930,.T.); #185570=EDGE_CURVE('',#152403,#152403,#65090,.T.); #185571=EDGE_CURVE('',#152404,#152404,#65091,.T.); #185572=EDGE_CURVE('',#152404,#152405,#73931,.T.); #185573=EDGE_CURVE('',#152405,#152405,#65092,.T.); #185574=EDGE_CURVE('',#152406,#152406,#65093,.T.); #185575=EDGE_CURVE('',#152406,#152407,#73932,.T.); #185576=EDGE_CURVE('',#152407,#152407,#65094,.T.); #185577=EDGE_CURVE('',#152408,#152408,#65095,.T.); #185578=EDGE_CURVE('',#152408,#152409,#73933,.T.); #185579=EDGE_CURVE('',#152409,#152409,#65096,.T.); #185580=EDGE_CURVE('',#152410,#152410,#65097,.T.); #185581=EDGE_CURVE('',#152410,#152411,#73934,.T.); #185582=EDGE_CURVE('',#152411,#152411,#65098,.T.); #185583=EDGE_CURVE('',#152412,#152412,#65099,.T.); #185584=EDGE_CURVE('',#152412,#152413,#73935,.T.); #185585=EDGE_CURVE('',#152413,#152413,#65100,.T.); #185586=EDGE_CURVE('',#152414,#152414,#65101,.T.); #185587=EDGE_CURVE('',#152414,#152415,#73936,.T.); #185588=EDGE_CURVE('',#152415,#152415,#65102,.T.); #185589=EDGE_CURVE('',#152416,#152416,#65103,.T.); #185590=EDGE_CURVE('',#152416,#152417,#73937,.T.); #185591=EDGE_CURVE('',#152417,#152417,#65104,.T.); #185592=EDGE_CURVE('',#152418,#152418,#65105,.T.); #185593=EDGE_CURVE('',#152418,#152419,#73938,.T.); #185594=EDGE_CURVE('',#152419,#152419,#65106,.T.); #185595=EDGE_CURVE('',#152420,#152420,#65107,.T.); #185596=EDGE_CURVE('',#152420,#152421,#73939,.T.); #185597=EDGE_CURVE('',#152421,#152421,#65108,.T.); #185598=EDGE_CURVE('',#152422,#152422,#65109,.T.); #185599=EDGE_CURVE('',#152422,#152423,#73940,.T.); #185600=EDGE_CURVE('',#152423,#152423,#65110,.T.); #185601=EDGE_CURVE('',#152424,#152424,#65111,.T.); #185602=EDGE_CURVE('',#152424,#152425,#73941,.T.); #185603=EDGE_CURVE('',#152425,#152425,#65112,.T.); #185604=EDGE_CURVE('',#152426,#152426,#65113,.T.); #185605=EDGE_CURVE('',#152426,#152427,#73942,.T.); #185606=EDGE_CURVE('',#152427,#152427,#65114,.T.); #185607=EDGE_CURVE('',#152428,#152428,#65115,.T.); #185608=EDGE_CURVE('',#152428,#152429,#73943,.T.); #185609=EDGE_CURVE('',#152429,#152429,#65116,.T.); #185610=EDGE_CURVE('',#152430,#152430,#65117,.T.); #185611=EDGE_CURVE('',#152430,#152431,#73944,.T.); #185612=EDGE_CURVE('',#152431,#152431,#65118,.T.); #185613=EDGE_CURVE('',#152432,#152432,#65119,.T.); #185614=EDGE_CURVE('',#152432,#152433,#73945,.T.); #185615=EDGE_CURVE('',#152433,#152433,#65120,.T.); #185616=EDGE_CURVE('',#152434,#152434,#65121,.T.); #185617=EDGE_CURVE('',#152434,#152435,#73946,.T.); #185618=EDGE_CURVE('',#152435,#152435,#65122,.T.); #185619=EDGE_CURVE('',#152436,#152436,#65123,.T.); #185620=EDGE_CURVE('',#152436,#152437,#73947,.T.); #185621=EDGE_CURVE('',#152437,#152437,#65124,.T.); #185622=EDGE_CURVE('',#152438,#152438,#65125,.T.); #185623=EDGE_CURVE('',#152438,#152439,#73948,.T.); #185624=EDGE_CURVE('',#152439,#152439,#65126,.T.); #185625=EDGE_CURVE('',#152440,#152440,#65127,.T.); #185626=EDGE_CURVE('',#152440,#152441,#73949,.T.); #185627=EDGE_CURVE('',#152441,#152441,#65128,.T.); #185628=EDGE_CURVE('',#152442,#152442,#65129,.T.); #185629=EDGE_CURVE('',#152442,#152443,#73950,.T.); #185630=EDGE_CURVE('',#152443,#152443,#65130,.T.); #185631=EDGE_CURVE('',#152444,#152444,#65131,.T.); #185632=EDGE_CURVE('',#152444,#152445,#73951,.T.); #185633=EDGE_CURVE('',#152445,#152445,#65132,.T.); #185634=EDGE_CURVE('',#152446,#152446,#65133,.T.); #185635=EDGE_CURVE('',#152446,#152447,#73952,.T.); #185636=EDGE_CURVE('',#152447,#152447,#65134,.T.); #185637=EDGE_CURVE('',#152448,#152448,#65135,.T.); #185638=EDGE_CURVE('',#152448,#152449,#73953,.T.); #185639=EDGE_CURVE('',#152449,#152449,#65136,.T.); #185640=EDGE_CURVE('',#152450,#152450,#65137,.T.); #185641=EDGE_CURVE('',#152450,#152451,#73954,.T.); #185642=EDGE_CURVE('',#152451,#152451,#65138,.T.); #185643=EDGE_CURVE('',#152452,#152452,#65139,.T.); #185644=EDGE_CURVE('',#152452,#152453,#73955,.T.); #185645=EDGE_CURVE('',#152453,#152453,#65140,.T.); #185646=EDGE_CURVE('',#152454,#152454,#65141,.T.); #185647=EDGE_CURVE('',#152454,#152455,#73956,.T.); #185648=EDGE_CURVE('',#152455,#152455,#65142,.T.); #185649=EDGE_CURVE('',#152456,#152456,#65143,.T.); #185650=EDGE_CURVE('',#152456,#152457,#73957,.T.); #185651=EDGE_CURVE('',#152457,#152457,#65144,.T.); #185652=EDGE_CURVE('',#152458,#152458,#65145,.T.); #185653=EDGE_CURVE('',#152458,#152459,#73958,.T.); #185654=EDGE_CURVE('',#152459,#152459,#65146,.T.); #185655=EDGE_CURVE('',#152460,#152460,#65147,.T.); #185656=EDGE_CURVE('',#152460,#152461,#73959,.T.); #185657=EDGE_CURVE('',#152461,#152461,#65148,.T.); #185658=EDGE_CURVE('',#152462,#152462,#65149,.T.); #185659=EDGE_CURVE('',#152462,#152463,#73960,.T.); #185660=EDGE_CURVE('',#152463,#152463,#65150,.T.); #185661=EDGE_CURVE('',#152464,#152464,#65151,.T.); #185662=EDGE_CURVE('',#152464,#152465,#73961,.T.); #185663=EDGE_CURVE('',#152465,#152465,#65152,.T.); #185664=EDGE_CURVE('',#152466,#152466,#65153,.T.); #185665=EDGE_CURVE('',#152466,#152467,#73962,.T.); #185666=EDGE_CURVE('',#152467,#152467,#65154,.T.); #185667=EDGE_CURVE('',#152468,#152468,#65155,.T.); #185668=EDGE_CURVE('',#152468,#152469,#73963,.T.); #185669=EDGE_CURVE('',#152469,#152469,#65156,.T.); #185670=EDGE_CURVE('',#152470,#152470,#65157,.T.); #185671=EDGE_CURVE('',#152470,#152471,#73964,.T.); #185672=EDGE_CURVE('',#152471,#152471,#65158,.T.); #185673=EDGE_CURVE('',#152472,#152472,#65159,.T.); #185674=EDGE_CURVE('',#152472,#152473,#73965,.T.); #185675=EDGE_CURVE('',#152473,#152473,#65160,.T.); #185676=EDGE_CURVE('',#152474,#152474,#65161,.T.); #185677=EDGE_CURVE('',#152474,#152475,#73966,.T.); #185678=EDGE_CURVE('',#152475,#152475,#65162,.T.); #185679=EDGE_CURVE('',#152476,#152476,#65163,.T.); #185680=EDGE_CURVE('',#152476,#152477,#73967,.T.); #185681=EDGE_CURVE('',#152477,#152477,#65164,.T.); #185682=EDGE_CURVE('',#152478,#152478,#65165,.T.); #185683=EDGE_CURVE('',#152478,#152479,#73968,.T.); #185684=EDGE_CURVE('',#152479,#152479,#65166,.T.); #185685=EDGE_CURVE('',#152480,#152480,#65167,.T.); #185686=EDGE_CURVE('',#152480,#152481,#73969,.T.); #185687=EDGE_CURVE('',#152481,#152481,#65168,.T.); #185688=EDGE_CURVE('',#152482,#152482,#65169,.T.); #185689=EDGE_CURVE('',#152482,#152483,#73970,.T.); #185690=EDGE_CURVE('',#152483,#152483,#65170,.T.); #185691=EDGE_CURVE('',#152484,#152484,#65171,.T.); #185692=EDGE_CURVE('',#152484,#152485,#73971,.T.); #185693=EDGE_CURVE('',#152485,#152485,#65172,.T.); #185694=EDGE_CURVE('',#152486,#152486,#65173,.T.); #185695=EDGE_CURVE('',#152486,#152487,#73972,.T.); #185696=EDGE_CURVE('',#152487,#152487,#65174,.T.); #185697=EDGE_CURVE('',#152488,#152488,#65175,.T.); #185698=EDGE_CURVE('',#152488,#152489,#73973,.T.); #185699=EDGE_CURVE('',#152489,#152489,#65176,.T.); #185700=EDGE_CURVE('',#152490,#152490,#65177,.T.); #185701=EDGE_CURVE('',#152490,#152491,#73974,.T.); #185702=EDGE_CURVE('',#152491,#152491,#65178,.T.); #185703=EDGE_CURVE('',#152492,#152492,#65179,.T.); #185704=EDGE_CURVE('',#152492,#152493,#73975,.T.); #185705=EDGE_CURVE('',#152493,#152493,#65180,.T.); #185706=EDGE_CURVE('',#152494,#152494,#65181,.T.); #185707=EDGE_CURVE('',#152494,#152495,#73976,.T.); #185708=EDGE_CURVE('',#152495,#152495,#65182,.T.); #185709=EDGE_CURVE('',#152496,#152496,#65183,.T.); #185710=EDGE_CURVE('',#152496,#152497,#73977,.T.); #185711=EDGE_CURVE('',#152497,#152497,#65184,.T.); #185712=EDGE_CURVE('',#152498,#152498,#65185,.T.); #185713=EDGE_CURVE('',#152498,#152499,#73978,.T.); #185714=EDGE_CURVE('',#152499,#152499,#65186,.T.); #185715=EDGE_CURVE('',#152500,#152500,#65187,.T.); #185716=EDGE_CURVE('',#152500,#152501,#73979,.T.); #185717=EDGE_CURVE('',#152501,#152501,#65188,.T.); #185718=EDGE_CURVE('',#152502,#152502,#65189,.T.); #185719=EDGE_CURVE('',#152502,#152503,#73980,.T.); #185720=EDGE_CURVE('',#152503,#152503,#65190,.T.); #185721=EDGE_CURVE('',#152504,#152504,#65191,.T.); #185722=EDGE_CURVE('',#152504,#152505,#73981,.T.); #185723=EDGE_CURVE('',#152505,#152505,#65192,.T.); #185724=EDGE_CURVE('',#152506,#152506,#65193,.T.); #185725=EDGE_CURVE('',#152506,#152507,#73982,.T.); #185726=EDGE_CURVE('',#152507,#152507,#65194,.T.); #185727=EDGE_CURVE('',#152508,#152508,#65195,.T.); #185728=EDGE_CURVE('',#152508,#152509,#73983,.T.); #185729=EDGE_CURVE('',#152509,#152509,#65196,.T.); #185730=EDGE_CURVE('',#152510,#152510,#65197,.T.); #185731=EDGE_CURVE('',#152510,#152511,#73984,.T.); #185732=EDGE_CURVE('',#152511,#152511,#65198,.T.); #185733=EDGE_CURVE('',#152512,#152512,#65199,.T.); #185734=EDGE_CURVE('',#152512,#152513,#73985,.T.); #185735=EDGE_CURVE('',#152513,#152513,#65200,.T.); #185736=EDGE_CURVE('',#152514,#152514,#65201,.T.); #185737=EDGE_CURVE('',#152514,#152515,#73986,.T.); #185738=EDGE_CURVE('',#152515,#152515,#65202,.T.); #185739=EDGE_CURVE('',#152516,#152516,#65203,.T.); #185740=EDGE_CURVE('',#152516,#152517,#73987,.T.); #185741=EDGE_CURVE('',#152517,#152517,#65204,.T.); #185742=EDGE_CURVE('',#152518,#152518,#65205,.T.); #185743=EDGE_CURVE('',#152518,#152519,#73988,.T.); #185744=EDGE_CURVE('',#152519,#152519,#65206,.T.); #185745=EDGE_CURVE('',#152520,#152520,#65207,.T.); #185746=EDGE_CURVE('',#152520,#152521,#73989,.T.); #185747=EDGE_CURVE('',#152521,#152521,#65208,.T.); #185748=EDGE_CURVE('',#152522,#152522,#65209,.T.); #185749=EDGE_CURVE('',#152522,#152523,#73990,.T.); #185750=EDGE_CURVE('',#152523,#152523,#65210,.T.); #185751=EDGE_CURVE('',#152524,#152524,#65211,.T.); #185752=EDGE_CURVE('',#152524,#152525,#73991,.T.); #185753=EDGE_CURVE('',#152525,#152525,#65212,.T.); #185754=EDGE_CURVE('',#152526,#152526,#65213,.T.); #185755=EDGE_CURVE('',#152526,#152527,#73992,.T.); #185756=EDGE_CURVE('',#152527,#152527,#65214,.T.); #185757=EDGE_CURVE('',#152528,#152528,#65215,.T.); #185758=EDGE_CURVE('',#152528,#152529,#73993,.T.); #185759=EDGE_CURVE('',#152529,#152529,#65216,.T.); #185760=EDGE_CURVE('',#152530,#152530,#65217,.T.); #185761=EDGE_CURVE('',#152530,#152531,#73994,.T.); #185762=EDGE_CURVE('',#152531,#152531,#65218,.T.); #185763=EDGE_CURVE('',#152532,#152532,#65219,.T.); #185764=EDGE_CURVE('',#152532,#152533,#73995,.T.); #185765=EDGE_CURVE('',#152533,#152533,#65220,.T.); #185766=EDGE_CURVE('',#152534,#152534,#65221,.T.); #185767=EDGE_CURVE('',#152534,#152535,#73996,.T.); #185768=EDGE_CURVE('',#152535,#152535,#65222,.T.); #185769=EDGE_CURVE('',#152536,#152536,#65223,.T.); #185770=EDGE_CURVE('',#152536,#152537,#73997,.T.); #185771=EDGE_CURVE('',#152537,#152537,#65224,.T.); #185772=EDGE_CURVE('',#152538,#152538,#65225,.T.); #185773=EDGE_CURVE('',#152538,#152539,#73998,.T.); #185774=EDGE_CURVE('',#152539,#152539,#65226,.T.); #185775=EDGE_CURVE('',#152540,#152540,#65227,.T.); #185776=EDGE_CURVE('',#152540,#152541,#73999,.T.); #185777=EDGE_CURVE('',#152541,#152541,#65228,.T.); #185778=EDGE_CURVE('',#152542,#152542,#65229,.T.); #185779=EDGE_CURVE('',#152542,#152543,#74000,.T.); #185780=EDGE_CURVE('',#152543,#152543,#65230,.T.); #185781=EDGE_CURVE('',#152544,#152544,#65231,.T.); #185782=EDGE_CURVE('',#152544,#152545,#74001,.T.); #185783=EDGE_CURVE('',#152545,#152545,#65232,.T.); #185784=EDGE_CURVE('',#152546,#152546,#65233,.T.); #185785=EDGE_CURVE('',#152546,#152547,#74002,.T.); #185786=EDGE_CURVE('',#152547,#152547,#65234,.T.); #185787=EDGE_CURVE('',#152548,#152548,#65235,.T.); #185788=EDGE_CURVE('',#152548,#152549,#74003,.T.); #185789=EDGE_CURVE('',#152549,#152549,#65236,.T.); #185790=EDGE_CURVE('',#152550,#152550,#65237,.T.); #185791=EDGE_CURVE('',#152550,#152551,#74004,.T.); #185792=EDGE_CURVE('',#152551,#152551,#65238,.T.); #185793=EDGE_CURVE('',#152552,#152552,#65239,.T.); #185794=EDGE_CURVE('',#152552,#152553,#74005,.T.); #185795=EDGE_CURVE('',#152553,#152553,#65240,.T.); #185796=EDGE_CURVE('',#152554,#152554,#65241,.T.); #185797=EDGE_CURVE('',#152554,#152555,#74006,.T.); #185798=EDGE_CURVE('',#152555,#152555,#65242,.T.); #185799=EDGE_CURVE('',#152556,#152556,#65243,.T.); #185800=EDGE_CURVE('',#152556,#152557,#74007,.T.); #185801=EDGE_CURVE('',#152557,#152557,#65244,.T.); #185802=EDGE_CURVE('',#152558,#152558,#65245,.T.); #185803=EDGE_CURVE('',#152558,#152559,#74008,.T.); #185804=EDGE_CURVE('',#152559,#152559,#65246,.T.); #185805=EDGE_CURVE('',#152560,#152560,#65247,.T.); #185806=EDGE_CURVE('',#152560,#152561,#74009,.T.); #185807=EDGE_CURVE('',#152561,#152561,#65248,.T.); #185808=EDGE_CURVE('',#152562,#152562,#65249,.T.); #185809=EDGE_CURVE('',#152562,#152563,#74010,.T.); #185810=EDGE_CURVE('',#152563,#152563,#65250,.T.); #185811=EDGE_CURVE('',#152564,#152564,#65251,.T.); #185812=EDGE_CURVE('',#152564,#152565,#74011,.T.); #185813=EDGE_CURVE('',#152565,#152565,#65252,.T.); #185814=EDGE_CURVE('',#152566,#152566,#65253,.T.); #185815=EDGE_CURVE('',#152566,#152567,#74012,.T.); #185816=EDGE_CURVE('',#152567,#152567,#65254,.T.); #185817=EDGE_CURVE('',#152568,#152568,#65255,.T.); #185818=EDGE_CURVE('',#152568,#152569,#74013,.T.); #185819=EDGE_CURVE('',#152569,#152569,#65256,.T.); #185820=EDGE_CURVE('',#152570,#152570,#65257,.T.); #185821=EDGE_CURVE('',#152570,#152571,#74014,.T.); #185822=EDGE_CURVE('',#152571,#152571,#65258,.T.); #185823=EDGE_CURVE('',#152572,#152572,#65259,.T.); #185824=EDGE_CURVE('',#152572,#152573,#74015,.T.); #185825=EDGE_CURVE('',#152573,#152573,#65260,.T.); #185826=EDGE_CURVE('',#152574,#152574,#65261,.T.); #185827=EDGE_CURVE('',#152574,#152575,#74016,.T.); #185828=EDGE_CURVE('',#152575,#152575,#65262,.T.); #185829=EDGE_CURVE('',#152576,#152576,#65263,.T.); #185830=EDGE_CURVE('',#152576,#152577,#74017,.T.); #185831=EDGE_CURVE('',#152577,#152577,#65264,.T.); #185832=EDGE_CURVE('',#152578,#152578,#65265,.T.); #185833=EDGE_CURVE('',#152578,#152579,#74018,.T.); #185834=EDGE_CURVE('',#152579,#152579,#65266,.T.); #185835=EDGE_CURVE('',#152580,#152580,#65267,.T.); #185836=EDGE_CURVE('',#152580,#152581,#74019,.T.); #185837=EDGE_CURVE('',#152581,#152581,#65268,.T.); #185838=EDGE_CURVE('',#152582,#152582,#65269,.T.); #185839=EDGE_CURVE('',#152582,#152583,#74020,.T.); #185840=EDGE_CURVE('',#152583,#152583,#65270,.T.); #185841=EDGE_CURVE('',#152584,#152584,#65271,.T.); #185842=EDGE_CURVE('',#152584,#152585,#74021,.T.); #185843=EDGE_CURVE('',#152585,#152585,#65272,.T.); #185844=EDGE_CURVE('',#152586,#152586,#65273,.T.); #185845=EDGE_CURVE('',#152586,#152587,#74022,.T.); #185846=EDGE_CURVE('',#152587,#152587,#65274,.T.); #185847=EDGE_CURVE('',#152588,#152588,#65275,.T.); #185848=EDGE_CURVE('',#152588,#152589,#74023,.T.); #185849=EDGE_CURVE('',#152589,#152589,#65276,.T.); #185850=EDGE_CURVE('',#152590,#152590,#65277,.T.); #185851=EDGE_CURVE('',#152590,#152591,#74024,.T.); #185852=EDGE_CURVE('',#152591,#152591,#65278,.T.); #185853=EDGE_CURVE('',#152592,#152592,#65279,.T.); #185854=EDGE_CURVE('',#152592,#152593,#74025,.T.); #185855=EDGE_CURVE('',#152593,#152593,#65280,.T.); #185856=EDGE_CURVE('',#152594,#152594,#65281,.T.); #185857=EDGE_CURVE('',#152594,#152595,#74026,.T.); #185858=EDGE_CURVE('',#152595,#152595,#65282,.T.); #185859=EDGE_CURVE('',#152596,#152596,#65283,.T.); #185860=EDGE_CURVE('',#152596,#152597,#74027,.T.); #185861=EDGE_CURVE('',#152597,#152597,#65284,.T.); #185862=EDGE_CURVE('',#152598,#152598,#65285,.T.); #185863=EDGE_CURVE('',#152598,#152599,#74028,.T.); #185864=EDGE_CURVE('',#152599,#152599,#65286,.T.); #185865=EDGE_CURVE('',#152600,#152600,#65287,.T.); #185866=EDGE_CURVE('',#152600,#152601,#74029,.T.); #185867=EDGE_CURVE('',#152601,#152601,#65288,.T.); #185868=EDGE_CURVE('',#152602,#152602,#65289,.T.); #185869=EDGE_CURVE('',#152602,#152603,#74030,.T.); #185870=EDGE_CURVE('',#152603,#152603,#65290,.T.); #185871=EDGE_CURVE('',#152604,#152604,#65291,.T.); #185872=EDGE_CURVE('',#152604,#152605,#74031,.T.); #185873=EDGE_CURVE('',#152605,#152605,#65292,.T.); #185874=EDGE_CURVE('',#152606,#152606,#65293,.T.); #185875=EDGE_CURVE('',#152606,#152607,#74032,.T.); #185876=EDGE_CURVE('',#152607,#152607,#65294,.T.); #185877=EDGE_CURVE('',#152608,#152608,#65295,.T.); #185878=EDGE_CURVE('',#152608,#152609,#74033,.T.); #185879=EDGE_CURVE('',#152609,#152609,#65296,.T.); #185880=EDGE_CURVE('',#152610,#152610,#65297,.T.); #185881=EDGE_CURVE('',#152610,#152611,#74034,.T.); #185882=EDGE_CURVE('',#152611,#152611,#65298,.T.); #185883=EDGE_CURVE('',#152612,#152612,#65299,.T.); #185884=EDGE_CURVE('',#152612,#152613,#74035,.T.); #185885=EDGE_CURVE('',#152613,#152613,#65300,.T.); #185886=EDGE_CURVE('',#152614,#152614,#65301,.T.); #185887=EDGE_CURVE('',#152614,#152615,#74036,.T.); #185888=EDGE_CURVE('',#152615,#152615,#65302,.T.); #185889=EDGE_CURVE('',#152616,#152616,#65303,.T.); #185890=EDGE_CURVE('',#152616,#152617,#74037,.T.); #185891=EDGE_CURVE('',#152617,#152617,#65304,.T.); #185892=EDGE_CURVE('',#152618,#152618,#65305,.T.); #185893=EDGE_CURVE('',#152618,#152619,#74038,.T.); #185894=EDGE_CURVE('',#152619,#152619,#65306,.T.); #185895=EDGE_CURVE('',#152620,#152620,#65307,.T.); #185896=EDGE_CURVE('',#152620,#152621,#74039,.T.); #185897=EDGE_CURVE('',#152621,#152621,#65308,.T.); #185898=EDGE_CURVE('',#152622,#152622,#65309,.T.); #185899=EDGE_CURVE('',#152622,#152623,#74040,.T.); #185900=EDGE_CURVE('',#152623,#152623,#65310,.T.); #185901=EDGE_CURVE('',#152624,#152624,#65311,.T.); #185902=EDGE_CURVE('',#152624,#152625,#74041,.T.); #185903=EDGE_CURVE('',#152625,#152625,#65312,.T.); #185904=EDGE_CURVE('',#152626,#152626,#65313,.T.); #185905=EDGE_CURVE('',#152626,#152627,#74042,.T.); #185906=EDGE_CURVE('',#152627,#152627,#65314,.T.); #185907=EDGE_CURVE('',#152628,#152628,#65315,.T.); #185908=EDGE_CURVE('',#152628,#152629,#74043,.T.); #185909=EDGE_CURVE('',#152629,#152629,#65316,.T.); #185910=EDGE_CURVE('',#152630,#152630,#65317,.T.); #185911=EDGE_CURVE('',#152630,#152631,#74044,.T.); #185912=EDGE_CURVE('',#152631,#152631,#65318,.T.); #185913=EDGE_CURVE('',#152632,#152632,#65319,.T.); #185914=EDGE_CURVE('',#152632,#152633,#74045,.T.); #185915=EDGE_CURVE('',#152633,#152633,#65320,.T.); #185916=EDGE_CURVE('',#152634,#152634,#65321,.T.); #185917=EDGE_CURVE('',#152634,#152635,#74046,.T.); #185918=EDGE_CURVE('',#152635,#152635,#65322,.T.); #185919=EDGE_CURVE('',#152636,#152636,#65323,.T.); #185920=EDGE_CURVE('',#152636,#152637,#74047,.T.); #185921=EDGE_CURVE('',#152637,#152637,#65324,.T.); #185922=EDGE_CURVE('',#152638,#152638,#65325,.T.); #185923=EDGE_CURVE('',#152638,#152639,#74048,.T.); #185924=EDGE_CURVE('',#152639,#152639,#65326,.T.); #185925=EDGE_CURVE('',#152640,#152640,#65327,.T.); #185926=EDGE_CURVE('',#152640,#152641,#74049,.T.); #185927=EDGE_CURVE('',#152641,#152641,#65328,.T.); #185928=EDGE_CURVE('',#152642,#152642,#65329,.T.); #185929=EDGE_CURVE('',#152642,#152643,#74050,.T.); #185930=EDGE_CURVE('',#152643,#152643,#65330,.T.); #185931=EDGE_CURVE('',#152644,#152644,#65331,.T.); #185932=EDGE_CURVE('',#152644,#152645,#74051,.T.); #185933=EDGE_CURVE('',#152645,#152645,#65332,.T.); #185934=EDGE_CURVE('',#152646,#152646,#65333,.T.); #185935=EDGE_CURVE('',#152646,#152647,#74052,.T.); #185936=EDGE_CURVE('',#152647,#152647,#65334,.T.); #185937=EDGE_CURVE('',#152648,#152648,#65335,.T.); #185938=EDGE_CURVE('',#152648,#152649,#74053,.T.); #185939=EDGE_CURVE('',#152649,#152649,#65336,.T.); #185940=EDGE_CURVE('',#152650,#152650,#65337,.T.); #185941=EDGE_CURVE('',#152650,#152651,#74054,.T.); #185942=EDGE_CURVE('',#152651,#152651,#65338,.T.); #185943=EDGE_CURVE('',#152652,#152652,#65339,.T.); #185944=EDGE_CURVE('',#152652,#152653,#74055,.T.); #185945=EDGE_CURVE('',#152653,#152653,#65340,.T.); #185946=EDGE_CURVE('',#152654,#152654,#65341,.T.); #185947=EDGE_CURVE('',#152654,#152655,#74056,.T.); #185948=EDGE_CURVE('',#152655,#152655,#65342,.T.); #185949=EDGE_CURVE('',#152656,#152656,#65343,.T.); #185950=EDGE_CURVE('',#152656,#152657,#74057,.T.); #185951=EDGE_CURVE('',#152657,#152657,#65344,.T.); #185952=EDGE_CURVE('',#152658,#152658,#65345,.T.); #185953=EDGE_CURVE('',#152658,#152659,#74058,.T.); #185954=EDGE_CURVE('',#152659,#152659,#65346,.T.); #185955=EDGE_CURVE('',#152660,#152660,#65347,.T.); #185956=EDGE_CURVE('',#152660,#152661,#74059,.T.); #185957=EDGE_CURVE('',#152661,#152661,#65348,.T.); #185958=EDGE_CURVE('',#152662,#152662,#65349,.T.); #185959=EDGE_CURVE('',#152662,#152663,#74060,.T.); #185960=EDGE_CURVE('',#152663,#152663,#65350,.T.); #185961=EDGE_CURVE('',#152664,#152664,#65351,.T.); #185962=EDGE_CURVE('',#152664,#152665,#74061,.T.); #185963=EDGE_CURVE('',#152665,#152665,#65352,.T.); #185964=EDGE_CURVE('',#152666,#152666,#65353,.T.); #185965=EDGE_CURVE('',#152666,#152667,#74062,.T.); #185966=EDGE_CURVE('',#152667,#152667,#65354,.T.); #185967=EDGE_CURVE('',#152668,#152668,#65355,.T.); #185968=EDGE_CURVE('',#152668,#152669,#74063,.T.); #185969=EDGE_CURVE('',#152669,#152669,#65356,.T.); #185970=EDGE_CURVE('',#152670,#152670,#65357,.T.); #185971=EDGE_CURVE('',#152670,#152671,#74064,.T.); #185972=EDGE_CURVE('',#152671,#152671,#65358,.T.); #185973=EDGE_CURVE('',#152672,#152672,#65359,.T.); #185974=EDGE_CURVE('',#152672,#152673,#74065,.T.); #185975=EDGE_CURVE('',#152673,#152673,#65360,.T.); #185976=EDGE_CURVE('',#152674,#152674,#65361,.T.); #185977=EDGE_CURVE('',#152674,#152675,#74066,.T.); #185978=EDGE_CURVE('',#152675,#152675,#65362,.T.); #185979=EDGE_CURVE('',#152676,#152676,#65363,.T.); #185980=EDGE_CURVE('',#152676,#152677,#74067,.T.); #185981=EDGE_CURVE('',#152677,#152677,#65364,.T.); #185982=EDGE_CURVE('',#152678,#152678,#65365,.T.); #185983=EDGE_CURVE('',#152678,#152679,#74068,.T.); #185984=EDGE_CURVE('',#152679,#152679,#65366,.T.); #185985=EDGE_CURVE('',#152680,#152680,#65367,.T.); #185986=EDGE_CURVE('',#152680,#152681,#74069,.T.); #185987=EDGE_CURVE('',#152681,#152681,#65368,.T.); #185988=EDGE_CURVE('',#152682,#152682,#65369,.T.); #185989=EDGE_CURVE('',#152682,#152683,#74070,.T.); #185990=EDGE_CURVE('',#152683,#152683,#65370,.T.); #185991=EDGE_CURVE('',#152684,#152684,#65371,.T.); #185992=EDGE_CURVE('',#152684,#152685,#74071,.T.); #185993=EDGE_CURVE('',#152685,#152685,#65372,.T.); #185994=EDGE_CURVE('',#152686,#152686,#65373,.T.); #185995=EDGE_CURVE('',#152686,#152687,#74072,.T.); #185996=EDGE_CURVE('',#152687,#152687,#65374,.T.); #185997=EDGE_CURVE('',#152688,#152688,#65375,.T.); #185998=EDGE_CURVE('',#152688,#152689,#74073,.T.); #185999=EDGE_CURVE('',#152689,#152689,#65376,.T.); #186000=EDGE_CURVE('',#152690,#152690,#65377,.T.); #186001=EDGE_CURVE('',#152690,#152691,#74074,.T.); #186002=EDGE_CURVE('',#152691,#152691,#65378,.T.); #186003=EDGE_CURVE('',#152692,#152692,#65379,.T.); #186004=EDGE_CURVE('',#152692,#152693,#74075,.T.); #186005=EDGE_CURVE('',#152693,#152693,#65380,.T.); #186006=EDGE_CURVE('',#152694,#152694,#65381,.T.); #186007=EDGE_CURVE('',#152694,#152695,#74076,.T.); #186008=EDGE_CURVE('',#152695,#152695,#65382,.T.); #186009=EDGE_CURVE('',#152696,#152696,#65383,.T.); #186010=EDGE_CURVE('',#152696,#152697,#74077,.T.); #186011=EDGE_CURVE('',#152697,#152697,#65384,.T.); #186012=EDGE_CURVE('',#152698,#152698,#65385,.T.); #186013=EDGE_CURVE('',#152698,#152699,#74078,.T.); #186014=EDGE_CURVE('',#152699,#152699,#65386,.T.); #186015=EDGE_CURVE('',#152700,#152700,#65387,.T.); #186016=EDGE_CURVE('',#152700,#152701,#74079,.T.); #186017=EDGE_CURVE('',#152701,#152701,#65388,.T.); #186018=EDGE_CURVE('',#152702,#152702,#65389,.T.); #186019=EDGE_CURVE('',#152702,#152703,#74080,.T.); #186020=EDGE_CURVE('',#152703,#152703,#65390,.T.); #186021=EDGE_CURVE('',#152704,#152704,#65391,.T.); #186022=EDGE_CURVE('',#152704,#152705,#74081,.T.); #186023=EDGE_CURVE('',#152705,#152705,#65392,.T.); #186024=EDGE_CURVE('',#152706,#152706,#65393,.T.); #186025=EDGE_CURVE('',#152706,#152707,#74082,.T.); #186026=EDGE_CURVE('',#152707,#152707,#65394,.T.); #186027=EDGE_CURVE('',#152708,#152708,#65395,.T.); #186028=EDGE_CURVE('',#152708,#152709,#74083,.T.); #186029=EDGE_CURVE('',#152709,#152709,#65396,.T.); #186030=EDGE_CURVE('',#152710,#152710,#65397,.T.); #186031=EDGE_CURVE('',#152710,#152711,#74084,.T.); #186032=EDGE_CURVE('',#152711,#152711,#65398,.T.); #186033=EDGE_CURVE('',#152712,#152712,#65399,.T.); #186034=EDGE_CURVE('',#152712,#152713,#74085,.T.); #186035=EDGE_CURVE('',#152713,#152713,#65400,.T.); #186036=EDGE_CURVE('',#152714,#152714,#65401,.T.); #186037=EDGE_CURVE('',#152714,#152715,#74086,.T.); #186038=EDGE_CURVE('',#152715,#152715,#65402,.T.); #186039=EDGE_CURVE('',#152716,#152716,#65403,.T.); #186040=EDGE_CURVE('',#152716,#152717,#74087,.T.); #186041=EDGE_CURVE('',#152717,#152717,#65404,.T.); #186042=EDGE_CURVE('',#152718,#152718,#65405,.T.); #186043=EDGE_CURVE('',#152718,#152719,#74088,.T.); #186044=EDGE_CURVE('',#152719,#152719,#65406,.T.); #186045=EDGE_CURVE('',#152720,#152720,#65407,.T.); #186046=EDGE_CURVE('',#152720,#152721,#74089,.T.); #186047=EDGE_CURVE('',#152721,#152721,#65408,.T.); #186048=EDGE_CURVE('',#152722,#152722,#65409,.T.); #186049=EDGE_CURVE('',#152722,#152723,#74090,.T.); #186050=EDGE_CURVE('',#152723,#152723,#65410,.T.); #186051=EDGE_CURVE('',#152724,#152724,#65411,.T.); #186052=EDGE_CURVE('',#152724,#152725,#74091,.T.); #186053=EDGE_CURVE('',#152725,#152725,#65412,.T.); #186054=EDGE_CURVE('',#152726,#152726,#65413,.T.); #186055=EDGE_CURVE('',#152726,#152727,#74092,.T.); #186056=EDGE_CURVE('',#152727,#152727,#65414,.T.); #186057=EDGE_CURVE('',#152728,#152728,#65415,.T.); #186058=EDGE_CURVE('',#152728,#152729,#74093,.T.); #186059=EDGE_CURVE('',#152729,#152729,#65416,.T.); #186060=EDGE_CURVE('',#152730,#152730,#65417,.T.); #186061=EDGE_CURVE('',#152730,#152731,#74094,.T.); #186062=EDGE_CURVE('',#152731,#152731,#65418,.T.); #186063=EDGE_CURVE('',#152732,#152732,#65419,.T.); #186064=EDGE_CURVE('',#152732,#152733,#74095,.T.); #186065=EDGE_CURVE('',#152733,#152733,#65420,.T.); #186066=EDGE_CURVE('',#152734,#152734,#65421,.T.); #186067=EDGE_CURVE('',#152734,#152735,#74096,.T.); #186068=EDGE_CURVE('',#152735,#152735,#65422,.T.); #186069=EDGE_CURVE('',#152736,#152736,#65423,.T.); #186070=EDGE_CURVE('',#152736,#152737,#74097,.T.); #186071=EDGE_CURVE('',#152737,#152737,#65424,.T.); #186072=EDGE_CURVE('',#152738,#152738,#65425,.T.); #186073=EDGE_CURVE('',#152738,#152739,#74098,.T.); #186074=EDGE_CURVE('',#152739,#152739,#65426,.T.); #186075=EDGE_CURVE('',#152740,#152740,#65427,.T.); #186076=EDGE_CURVE('',#152740,#152741,#74099,.T.); #186077=EDGE_CURVE('',#152741,#152741,#65428,.T.); #186078=EDGE_CURVE('',#152742,#152742,#65429,.T.); #186079=EDGE_CURVE('',#152742,#152743,#74100,.T.); #186080=EDGE_CURVE('',#152743,#152743,#65430,.T.); #186081=EDGE_CURVE('',#152744,#152744,#65431,.T.); #186082=EDGE_CURVE('',#152744,#152745,#74101,.T.); #186083=EDGE_CURVE('',#152745,#152745,#65432,.T.); #186084=EDGE_CURVE('',#152746,#152746,#65433,.T.); #186085=EDGE_CURVE('',#152746,#152747,#74102,.T.); #186086=EDGE_CURVE('',#152747,#152747,#65434,.T.); #186087=EDGE_CURVE('',#152748,#152748,#65435,.T.); #186088=EDGE_CURVE('',#152748,#152749,#74103,.T.); #186089=EDGE_CURVE('',#152749,#152749,#65436,.T.); #186090=EDGE_CURVE('',#152750,#152750,#65437,.T.); #186091=EDGE_CURVE('',#152750,#152751,#74104,.T.); #186092=EDGE_CURVE('',#152751,#152751,#65438,.T.); #186093=EDGE_CURVE('',#152752,#152752,#65439,.T.); #186094=EDGE_CURVE('',#152752,#152753,#74105,.T.); #186095=EDGE_CURVE('',#152753,#152753,#65440,.T.); #186096=EDGE_CURVE('',#152754,#152754,#65441,.T.); #186097=EDGE_CURVE('',#152754,#152755,#74106,.T.); #186098=EDGE_CURVE('',#152755,#152755,#65442,.T.); #186099=EDGE_CURVE('',#152756,#152756,#65443,.T.); #186100=EDGE_CURVE('',#152756,#152757,#74107,.T.); #186101=EDGE_CURVE('',#152757,#152757,#65444,.T.); #186102=EDGE_CURVE('',#152758,#152758,#65445,.T.); #186103=EDGE_CURVE('',#152758,#152759,#74108,.T.); #186104=EDGE_CURVE('',#152759,#152759,#65446,.T.); #186105=EDGE_CURVE('',#152760,#152760,#65447,.T.); #186106=EDGE_CURVE('',#152760,#152761,#74109,.T.); #186107=EDGE_CURVE('',#152761,#152761,#65448,.T.); #186108=EDGE_CURVE('',#152762,#152762,#65449,.T.); #186109=EDGE_CURVE('',#152762,#152763,#74110,.T.); #186110=EDGE_CURVE('',#152763,#152763,#65450,.T.); #186111=EDGE_CURVE('',#152764,#152764,#65451,.T.); #186112=EDGE_CURVE('',#152764,#152765,#74111,.T.); #186113=EDGE_CURVE('',#152765,#152765,#65452,.T.); #186114=EDGE_CURVE('',#152766,#152766,#65453,.T.); #186115=EDGE_CURVE('',#152766,#152767,#74112,.T.); #186116=EDGE_CURVE('',#152767,#152767,#65454,.T.); #186117=EDGE_CURVE('',#152768,#152768,#65455,.T.); #186118=EDGE_CURVE('',#152768,#152769,#74113,.T.); #186119=EDGE_CURVE('',#152769,#152769,#65456,.T.); #186120=EDGE_CURVE('',#152770,#152770,#65457,.T.); #186121=EDGE_CURVE('',#152770,#152771,#74114,.T.); #186122=EDGE_CURVE('',#152771,#152771,#65458,.T.); #186123=EDGE_CURVE('',#152772,#152772,#65459,.T.); #186124=EDGE_CURVE('',#152772,#152773,#74115,.T.); #186125=EDGE_CURVE('',#152773,#152773,#65460,.T.); #186126=EDGE_CURVE('',#152774,#152774,#65461,.T.); #186127=EDGE_CURVE('',#152774,#152775,#74116,.T.); #186128=EDGE_CURVE('',#152775,#152775,#65462,.T.); #186129=EDGE_CURVE('',#152776,#152776,#65463,.T.); #186130=EDGE_CURVE('',#152776,#152777,#74117,.T.); #186131=EDGE_CURVE('',#152777,#152777,#65464,.T.); #186132=EDGE_CURVE('',#152778,#152778,#65465,.T.); #186133=EDGE_CURVE('',#152778,#152779,#74118,.T.); #186134=EDGE_CURVE('',#152779,#152779,#65466,.T.); #186135=EDGE_CURVE('',#152780,#152780,#65467,.T.); #186136=EDGE_CURVE('',#152780,#152781,#74119,.T.); #186137=EDGE_CURVE('',#152781,#152781,#65468,.T.); #186138=EDGE_CURVE('',#152782,#152782,#65469,.T.); #186139=EDGE_CURVE('',#152782,#152783,#74120,.T.); #186140=EDGE_CURVE('',#152783,#152783,#65470,.T.); #186141=EDGE_CURVE('',#152784,#152784,#65471,.T.); #186142=EDGE_CURVE('',#152784,#152785,#74121,.T.); #186143=EDGE_CURVE('',#152785,#152785,#65472,.T.); #186144=EDGE_CURVE('',#152786,#152786,#65473,.T.); #186145=EDGE_CURVE('',#152786,#152787,#74122,.T.); #186146=EDGE_CURVE('',#152787,#152787,#65474,.T.); #186147=EDGE_CURVE('',#152788,#152788,#65475,.T.); #186148=EDGE_CURVE('',#152788,#152789,#74123,.T.); #186149=EDGE_CURVE('',#152789,#152789,#65476,.T.); #186150=EDGE_CURVE('',#152790,#152790,#65477,.T.); #186151=EDGE_CURVE('',#152790,#152791,#74124,.T.); #186152=EDGE_CURVE('',#152791,#152791,#65478,.T.); #186153=EDGE_CURVE('',#152792,#152792,#65479,.T.); #186154=EDGE_CURVE('',#152792,#152793,#74125,.T.); #186155=EDGE_CURVE('',#152793,#152793,#65480,.T.); #186156=EDGE_CURVE('',#152794,#152794,#65481,.T.); #186157=EDGE_CURVE('',#152794,#152795,#74126,.T.); #186158=EDGE_CURVE('',#152795,#152795,#65482,.T.); #186159=EDGE_CURVE('',#152796,#152796,#65483,.T.); #186160=EDGE_CURVE('',#152796,#152797,#74127,.T.); #186161=EDGE_CURVE('',#152797,#152797,#65484,.T.); #186162=EDGE_CURVE('',#152798,#152798,#65485,.T.); #186163=EDGE_CURVE('',#152798,#152799,#74128,.T.); #186164=EDGE_CURVE('',#152799,#152799,#65486,.T.); #186165=EDGE_CURVE('',#152800,#152800,#65487,.T.); #186166=EDGE_CURVE('',#152800,#152801,#74129,.T.); #186167=EDGE_CURVE('',#152801,#152801,#65488,.T.); #186168=EDGE_CURVE('',#152802,#152802,#65489,.T.); #186169=EDGE_CURVE('',#152802,#152803,#74130,.T.); #186170=EDGE_CURVE('',#152803,#152803,#65490,.T.); #186171=EDGE_CURVE('',#152804,#152804,#65491,.T.); #186172=EDGE_CURVE('',#152804,#152805,#74131,.T.); #186173=EDGE_CURVE('',#152805,#152805,#65492,.T.); #186174=EDGE_CURVE('',#152806,#152806,#65493,.T.); #186175=EDGE_CURVE('',#152806,#152807,#74132,.T.); #186176=EDGE_CURVE('',#152807,#152807,#65494,.T.); #186177=EDGE_CURVE('',#152808,#152808,#65495,.T.); #186178=EDGE_CURVE('',#152808,#152809,#74133,.T.); #186179=EDGE_CURVE('',#152809,#152809,#65496,.T.); #186180=EDGE_CURVE('',#152810,#152810,#65497,.T.); #186181=EDGE_CURVE('',#152810,#152811,#74134,.T.); #186182=EDGE_CURVE('',#152811,#152811,#65498,.T.); #186183=EDGE_CURVE('',#152812,#152812,#65499,.T.); #186184=EDGE_CURVE('',#152812,#152813,#74135,.T.); #186185=EDGE_CURVE('',#152813,#152813,#65500,.T.); #186186=EDGE_CURVE('',#152814,#152814,#65501,.T.); #186187=EDGE_CURVE('',#152814,#152815,#74136,.T.); #186188=EDGE_CURVE('',#152815,#152815,#65502,.T.); #186189=EDGE_CURVE('',#152816,#152816,#65503,.T.); #186190=EDGE_CURVE('',#152816,#152817,#74137,.T.); #186191=EDGE_CURVE('',#152817,#152817,#65504,.T.); #186192=EDGE_CURVE('',#152818,#152818,#65505,.T.); #186193=EDGE_CURVE('',#152818,#152819,#74138,.T.); #186194=EDGE_CURVE('',#152819,#152819,#65506,.T.); #186195=EDGE_CURVE('',#152820,#152820,#65507,.T.); #186196=EDGE_CURVE('',#152820,#152821,#74139,.T.); #186197=EDGE_CURVE('',#152821,#152821,#65508,.T.); #186198=EDGE_CURVE('',#152822,#152822,#65509,.T.); #186199=EDGE_CURVE('',#152822,#152823,#74140,.T.); #186200=EDGE_CURVE('',#152823,#152823,#65510,.T.); #186201=EDGE_CURVE('',#152824,#152824,#65511,.T.); #186202=EDGE_CURVE('',#152824,#152825,#74141,.T.); #186203=EDGE_CURVE('',#152825,#152825,#65512,.T.); #186204=EDGE_CURVE('',#152826,#152826,#65513,.T.); #186205=EDGE_CURVE('',#152826,#152827,#74142,.T.); #186206=EDGE_CURVE('',#152827,#152827,#65514,.T.); #186207=EDGE_CURVE('',#152828,#152828,#65515,.T.); #186208=EDGE_CURVE('',#152828,#152829,#74143,.T.); #186209=EDGE_CURVE('',#152829,#152829,#65516,.T.); #186210=EDGE_CURVE('',#152830,#152830,#65517,.T.); #186211=EDGE_CURVE('',#152830,#152831,#74144,.T.); #186212=EDGE_CURVE('',#152831,#152831,#65518,.T.); #186213=EDGE_CURVE('',#152832,#152832,#65519,.T.); #186214=EDGE_CURVE('',#152832,#152833,#74145,.T.); #186215=EDGE_CURVE('',#152833,#152833,#65520,.T.); #186216=EDGE_CURVE('',#152834,#152834,#65521,.T.); #186217=EDGE_CURVE('',#152834,#152835,#74146,.T.); #186218=EDGE_CURVE('',#152835,#152835,#65522,.T.); #186219=EDGE_CURVE('',#152836,#152836,#65523,.T.); #186220=EDGE_CURVE('',#152836,#152837,#74147,.T.); #186221=EDGE_CURVE('',#152837,#152837,#65524,.T.); #186222=EDGE_CURVE('',#152838,#152838,#65525,.T.); #186223=EDGE_CURVE('',#152838,#152839,#74148,.T.); #186224=EDGE_CURVE('',#152839,#152839,#65526,.T.); #186225=EDGE_CURVE('',#152840,#152840,#65527,.T.); #186226=EDGE_CURVE('',#152840,#152841,#74149,.T.); #186227=EDGE_CURVE('',#152841,#152841,#65528,.T.); #186228=EDGE_CURVE('',#152842,#152842,#65529,.T.); #186229=EDGE_CURVE('',#152842,#152843,#74150,.T.); #186230=EDGE_CURVE('',#152843,#152843,#65530,.T.); #186231=EDGE_CURVE('',#152844,#152844,#65531,.T.); #186232=EDGE_CURVE('',#152844,#152845,#74151,.T.); #186233=EDGE_CURVE('',#152845,#152845,#65532,.T.); #186234=EDGE_CURVE('',#152846,#152847,#74152,.T.); #186235=EDGE_CURVE('',#152847,#152848,#74153,.T.); #186236=EDGE_CURVE('',#152849,#152848,#74154,.T.); #186237=EDGE_CURVE('',#152846,#152849,#74155,.T.); #186238=EDGE_CURVE('',#152846,#152850,#65533,.T.); #186239=EDGE_CURVE('',#152851,#152849,#65534,.T.); #186240=EDGE_CURVE('',#152850,#152851,#74156,.T.); #186241=EDGE_CURVE('',#152852,#152850,#74157,.T.); #186242=EDGE_CURVE('',#152853,#152851,#74158,.T.); #186243=EDGE_CURVE('',#152852,#152853,#74159,.T.); #186244=EDGE_CURVE('',#152852,#152847,#65535,.T.); #186245=EDGE_CURVE('',#152848,#152853,#65536,.T.); #186246=EDGE_CURVE('',#152854,#152855,#74160,.T.); #186247=EDGE_CURVE('',#152854,#152856,#74161,.T.); #186248=EDGE_CURVE('',#152857,#152856,#74162,.T.); #186249=EDGE_CURVE('',#152855,#152857,#74163,.T.); #186250=EDGE_CURVE('',#152858,#152855,#65537,.T.); #186251=EDGE_CURVE('',#152859,#152857,#65538,.T.); #186252=EDGE_CURVE('',#152858,#152859,#74164,.T.); #186253=EDGE_CURVE('',#152858,#152860,#74165,.T.); #186254=EDGE_CURVE('',#152861,#152859,#74166,.T.); #186255=EDGE_CURVE('',#152860,#152861,#74167,.T.); #186256=EDGE_CURVE('',#152854,#152860,#65539,.T.); #186257=EDGE_CURVE('',#152856,#152861,#65540,.T.); #186258=EDGE_CURVE('',#152862,#152862,#65541,.T.); #186259=EDGE_CURVE('',#152862,#152863,#74168,.T.); #186260=EDGE_CURVE('',#152863,#152863,#65542,.T.); #186261=EDGE_CURVE('',#152864,#152864,#65543,.T.); #186262=EDGE_CURVE('',#152864,#152865,#74169,.T.); #186263=EDGE_CURVE('',#152865,#152865,#65544,.T.); #186264=EDGE_CURVE('',#152866,#152867,#74170,.T.); #186265=EDGE_CURVE('',#152867,#152868,#74171,.T.); #186266=EDGE_CURVE('',#152869,#152868,#74172,.T.); #186267=EDGE_CURVE('',#152866,#152869,#74173,.T.); #186268=EDGE_CURVE('',#152866,#152870,#65545,.T.); #186269=EDGE_CURVE('',#152871,#152869,#65546,.T.); #186270=EDGE_CURVE('',#152870,#152871,#74174,.T.); #186271=EDGE_CURVE('',#152872,#152870,#74175,.T.); #186272=EDGE_CURVE('',#152873,#152871,#74176,.T.); #186273=EDGE_CURVE('',#152872,#152873,#74177,.T.); #186274=EDGE_CURVE('',#152872,#152867,#65547,.T.); #186275=EDGE_CURVE('',#152868,#152873,#65548,.T.); #186276=EDGE_CURVE('',#152874,#152875,#74178,.T.); #186277=EDGE_CURVE('',#152874,#152876,#74179,.T.); #186278=EDGE_CURVE('',#152877,#152876,#74180,.T.); #186279=EDGE_CURVE('',#152875,#152877,#74181,.T.); #186280=EDGE_CURVE('',#152878,#152875,#65549,.T.); #186281=EDGE_CURVE('',#152879,#152877,#65550,.T.); #186282=EDGE_CURVE('',#152878,#152879,#74182,.T.); #186283=EDGE_CURVE('',#152878,#152880,#74183,.T.); #186284=EDGE_CURVE('',#152881,#152879,#74184,.T.); #186285=EDGE_CURVE('',#152880,#152881,#74185,.T.); #186286=EDGE_CURVE('',#152874,#152880,#65551,.T.); #186287=EDGE_CURVE('',#152876,#152881,#65552,.T.); #186288=EDGE_CURVE('',#152882,#152882,#65553,.T.); #186289=EDGE_CURVE('',#152882,#152883,#74186,.T.); #186290=EDGE_CURVE('',#152883,#152883,#65554,.T.); #186291=EDGE_CURVE('',#152884,#152884,#65555,.T.); #186292=EDGE_CURVE('',#152884,#152885,#74187,.T.); #186293=EDGE_CURVE('',#152885,#152885,#65556,.T.); #186294=EDGE_CURVE('',#152886,#152887,#74188,.T.); #186295=EDGE_CURVE('',#152887,#152888,#74189,.T.); #186296=EDGE_CURVE('',#152889,#152888,#74190,.T.); #186297=EDGE_CURVE('',#152886,#152889,#74191,.T.); #186298=EDGE_CURVE('',#152886,#152890,#65557,.T.); #186299=EDGE_CURVE('',#152891,#152889,#65558,.T.); #186300=EDGE_CURVE('',#152890,#152891,#74192,.T.); #186301=EDGE_CURVE('',#152892,#152890,#74193,.T.); #186302=EDGE_CURVE('',#152893,#152891,#74194,.T.); #186303=EDGE_CURVE('',#152892,#152893,#74195,.T.); #186304=EDGE_CURVE('',#152892,#152887,#65559,.T.); #186305=EDGE_CURVE('',#152888,#152893,#65560,.T.); #186306=EDGE_CURVE('',#152894,#152895,#74196,.T.); #186307=EDGE_CURVE('',#152894,#152896,#74197,.T.); #186308=EDGE_CURVE('',#152897,#152896,#74198,.T.); #186309=EDGE_CURVE('',#152895,#152897,#74199,.T.); #186310=EDGE_CURVE('',#152898,#152895,#65561,.T.); #186311=EDGE_CURVE('',#152899,#152897,#65562,.T.); #186312=EDGE_CURVE('',#152898,#152899,#74200,.T.); #186313=EDGE_CURVE('',#152898,#152900,#74201,.T.); #186314=EDGE_CURVE('',#152901,#152899,#74202,.T.); #186315=EDGE_CURVE('',#152900,#152901,#74203,.T.); #186316=EDGE_CURVE('',#152894,#152900,#65563,.T.); #186317=EDGE_CURVE('',#152896,#152901,#65564,.T.); #186318=EDGE_CURVE('',#152902,#152902,#65565,.T.); #186319=EDGE_CURVE('',#152902,#152903,#74204,.T.); #186320=EDGE_CURVE('',#152903,#152903,#65566,.T.); #186321=EDGE_CURVE('',#152904,#152904,#65567,.T.); #186322=EDGE_CURVE('',#152904,#152905,#74205,.T.); #186323=EDGE_CURVE('',#152905,#152905,#65568,.T.); #186324=EDGE_CURVE('',#152906,#152906,#65569,.T.); #186325=EDGE_CURVE('',#152906,#152907,#74206,.T.); #186326=EDGE_CURVE('',#152907,#152907,#65570,.T.); #186327=EDGE_CURVE('',#152908,#152908,#65571,.T.); #186328=EDGE_CURVE('',#152908,#152909,#74207,.T.); #186329=EDGE_CURVE('',#152909,#152909,#65572,.T.); #186330=EDGE_CURVE('',#152910,#152910,#65573,.T.); #186331=EDGE_CURVE('',#152910,#152911,#74208,.T.); #186332=EDGE_CURVE('',#152911,#152911,#65574,.T.); #186333=EDGE_CURVE('',#152912,#152912,#65575,.T.); #186334=EDGE_CURVE('',#152912,#152913,#74209,.T.); #186335=EDGE_CURVE('',#152913,#152913,#65576,.T.); #186336=EDGE_CURVE('',#152914,#152914,#65577,.T.); #186337=EDGE_CURVE('',#152914,#152915,#74210,.T.); #186338=EDGE_CURVE('',#152915,#152915,#65578,.T.); #186339=EDGE_CURVE('',#152916,#152916,#65579,.T.); #186340=EDGE_CURVE('',#152916,#152917,#74211,.T.); #186341=EDGE_CURVE('',#152917,#152917,#65580,.T.); #186342=EDGE_CURVE('',#152918,#152918,#65581,.T.); #186343=EDGE_CURVE('',#152918,#152919,#74212,.T.); #186344=EDGE_CURVE('',#152919,#152919,#65582,.T.); #186345=EDGE_CURVE('',#152920,#152920,#65583,.T.); #186346=EDGE_CURVE('',#152920,#152921,#74213,.T.); #186347=EDGE_CURVE('',#152921,#152921,#65584,.T.); #186348=EDGE_CURVE('',#152922,#152922,#65585,.T.); #186349=EDGE_CURVE('',#152922,#152923,#74214,.T.); #186350=EDGE_CURVE('',#152923,#152923,#65586,.T.); #186351=EDGE_CURVE('',#152924,#152924,#65587,.T.); #186352=EDGE_CURVE('',#152924,#152925,#74215,.T.); #186353=EDGE_CURVE('',#152925,#152925,#65588,.T.); #186354=EDGE_CURVE('',#152926,#152926,#65589,.T.); #186355=EDGE_CURVE('',#152926,#152927,#74216,.T.); #186356=EDGE_CURVE('',#152927,#152927,#65590,.T.); #186357=EDGE_CURVE('',#152928,#152928,#65591,.T.); #186358=EDGE_CURVE('',#152928,#152929,#74217,.T.); #186359=EDGE_CURVE('',#152929,#152929,#65592,.T.); #186360=EDGE_CURVE('',#152930,#152930,#65593,.T.); #186361=EDGE_CURVE('',#152930,#152931,#74218,.T.); #186362=EDGE_CURVE('',#152931,#152931,#65594,.T.); #186363=EDGE_CURVE('',#152932,#152932,#65595,.T.); #186364=EDGE_CURVE('',#152932,#152933,#74219,.T.); #186365=EDGE_CURVE('',#152933,#152933,#65596,.T.); #186366=EDGE_CURVE('',#152934,#152934,#65597,.T.); #186367=EDGE_CURVE('',#152934,#152935,#74220,.T.); #186368=EDGE_CURVE('',#152935,#152935,#65598,.T.); #186369=EDGE_CURVE('',#152936,#152936,#65599,.T.); #186370=EDGE_CURVE('',#152936,#152937,#74221,.T.); #186371=EDGE_CURVE('',#152937,#152937,#65600,.T.); #186372=EDGE_CURVE('',#152938,#152938,#65601,.T.); #186373=EDGE_CURVE('',#152938,#152939,#74222,.T.); #186374=EDGE_CURVE('',#152939,#152939,#65602,.T.); #186375=EDGE_CURVE('',#152940,#152940,#65603,.T.); #186376=EDGE_CURVE('',#152940,#152941,#74223,.T.); #186377=EDGE_CURVE('',#152941,#152941,#65604,.T.); #186378=EDGE_CURVE('',#152942,#152943,#74224,.T.); #186379=EDGE_CURVE('',#152943,#152944,#74225,.T.); #186380=EDGE_CURVE('',#152945,#152944,#74226,.T.); #186381=EDGE_CURVE('',#152942,#152945,#74227,.T.); #186382=EDGE_CURVE('',#152942,#152946,#65605,.T.); #186383=EDGE_CURVE('',#152947,#152945,#65606,.T.); #186384=EDGE_CURVE('',#152946,#152947,#74228,.T.); #186385=EDGE_CURVE('',#152948,#152946,#74229,.T.); #186386=EDGE_CURVE('',#152949,#152947,#74230,.T.); #186387=EDGE_CURVE('',#152948,#152949,#74231,.T.); #186388=EDGE_CURVE('',#152948,#152943,#65607,.T.); #186389=EDGE_CURVE('',#152944,#152949,#65608,.T.); #186390=EDGE_CURVE('',#152950,#152951,#74232,.T.); #186391=EDGE_CURVE('',#152950,#152952,#74233,.T.); #186392=EDGE_CURVE('',#152953,#152952,#74234,.T.); #186393=EDGE_CURVE('',#152951,#152953,#74235,.T.); #186394=EDGE_CURVE('',#152954,#152951,#65609,.T.); #186395=EDGE_CURVE('',#152955,#152953,#65610,.T.); #186396=EDGE_CURVE('',#152954,#152955,#74236,.T.); #186397=EDGE_CURVE('',#152954,#152956,#74237,.T.); #186398=EDGE_CURVE('',#152957,#152955,#74238,.T.); #186399=EDGE_CURVE('',#152956,#152957,#74239,.T.); #186400=EDGE_CURVE('',#152950,#152956,#65611,.T.); #186401=EDGE_CURVE('',#152952,#152957,#65612,.T.); #186402=EDGE_CURVE('',#152958,#152958,#65613,.T.); #186403=EDGE_CURVE('',#152958,#152959,#74240,.T.); #186404=EDGE_CURVE('',#152959,#152959,#65614,.T.); #186405=EDGE_CURVE('',#152960,#152960,#65615,.T.); #186406=EDGE_CURVE('',#152960,#152961,#74241,.T.); #186407=EDGE_CURVE('',#152961,#152961,#65616,.T.); #186408=EDGE_CURVE('',#152962,#152962,#65617,.T.); #186409=EDGE_CURVE('',#152962,#152963,#74242,.T.); #186410=EDGE_CURVE('',#152963,#152963,#65618,.T.); #186411=EDGE_CURVE('',#152964,#152964,#65619,.T.); #186412=EDGE_CURVE('',#152964,#152965,#74243,.T.); #186413=EDGE_CURVE('',#152965,#152965,#65620,.T.); #186414=EDGE_CURVE('',#152966,#152966,#65621,.T.); #186415=EDGE_CURVE('',#152966,#152967,#74244,.T.); #186416=EDGE_CURVE('',#152967,#152967,#65622,.T.); #186417=EDGE_CURVE('',#152968,#152968,#65623,.T.); #186418=EDGE_CURVE('',#152968,#152969,#74245,.T.); #186419=EDGE_CURVE('',#152969,#152969,#65624,.T.); #186420=EDGE_CURVE('',#152970,#152971,#74246,.T.); #186421=EDGE_CURVE('',#152971,#152972,#74247,.T.); #186422=EDGE_CURVE('',#152973,#152972,#74248,.T.); #186423=EDGE_CURVE('',#152970,#152973,#74249,.T.); #186424=EDGE_CURVE('',#152970,#152974,#65625,.T.); #186425=EDGE_CURVE('',#152975,#152973,#65626,.T.); #186426=EDGE_CURVE('',#152974,#152975,#74250,.T.); #186427=EDGE_CURVE('',#152976,#152974,#74251,.T.); #186428=EDGE_CURVE('',#152977,#152975,#74252,.T.); #186429=EDGE_CURVE('',#152976,#152977,#74253,.T.); #186430=EDGE_CURVE('',#152976,#152971,#65627,.T.); #186431=EDGE_CURVE('',#152972,#152977,#65628,.T.); #186432=EDGE_CURVE('',#152978,#152979,#74254,.T.); #186433=EDGE_CURVE('',#152978,#152980,#74255,.T.); #186434=EDGE_CURVE('',#152981,#152980,#74256,.T.); #186435=EDGE_CURVE('',#152979,#152981,#74257,.T.); #186436=EDGE_CURVE('',#152982,#152979,#65629,.T.); #186437=EDGE_CURVE('',#152983,#152981,#65630,.T.); #186438=EDGE_CURVE('',#152982,#152983,#74258,.T.); #186439=EDGE_CURVE('',#152982,#152984,#74259,.T.); #186440=EDGE_CURVE('',#152985,#152983,#74260,.T.); #186441=EDGE_CURVE('',#152984,#152985,#74261,.T.); #186442=EDGE_CURVE('',#152978,#152984,#65631,.T.); #186443=EDGE_CURVE('',#152980,#152985,#65632,.T.); #186444=EDGE_CURVE('',#152986,#152986,#65633,.T.); #186445=EDGE_CURVE('',#152986,#152987,#74262,.T.); #186446=EDGE_CURVE('',#152987,#152987,#65634,.T.); #186447=EDGE_CURVE('',#152988,#152988,#65635,.T.); #186448=EDGE_CURVE('',#152988,#152989,#74263,.T.); #186449=EDGE_CURVE('',#152989,#152989,#65636,.T.); #186450=EDGE_CURVE('',#152990,#152991,#74264,.T.); #186451=EDGE_CURVE('',#152991,#152992,#74265,.T.); #186452=EDGE_CURVE('',#152993,#152992,#74266,.T.); #186453=EDGE_CURVE('',#152990,#152993,#74267,.T.); #186454=EDGE_CURVE('',#152990,#152994,#65637,.T.); #186455=EDGE_CURVE('',#152995,#152993,#65638,.T.); #186456=EDGE_CURVE('',#152994,#152995,#74268,.T.); #186457=EDGE_CURVE('',#152996,#152994,#74269,.T.); #186458=EDGE_CURVE('',#152997,#152995,#74270,.T.); #186459=EDGE_CURVE('',#152996,#152997,#74271,.T.); #186460=EDGE_CURVE('',#152996,#152991,#65639,.T.); #186461=EDGE_CURVE('',#152992,#152997,#65640,.T.); #186462=EDGE_CURVE('',#152998,#152999,#74272,.T.); #186463=EDGE_CURVE('',#152998,#153000,#74273,.T.); #186464=EDGE_CURVE('',#153001,#153000,#74274,.T.); #186465=EDGE_CURVE('',#152999,#153001,#74275,.T.); #186466=EDGE_CURVE('',#153002,#152999,#65641,.T.); #186467=EDGE_CURVE('',#153003,#153001,#65642,.T.); #186468=EDGE_CURVE('',#153002,#153003,#74276,.T.); #186469=EDGE_CURVE('',#153002,#153004,#74277,.T.); #186470=EDGE_CURVE('',#153005,#153003,#74278,.T.); #186471=EDGE_CURVE('',#153004,#153005,#74279,.T.); #186472=EDGE_CURVE('',#152998,#153004,#65643,.T.); #186473=EDGE_CURVE('',#153000,#153005,#65644,.T.); #186474=EDGE_CURVE('',#153006,#153006,#65645,.T.); #186475=EDGE_CURVE('',#153006,#153007,#74280,.T.); #186476=EDGE_CURVE('',#153007,#153007,#65646,.T.); #186477=EDGE_CURVE('',#153008,#153008,#65647,.T.); #186478=EDGE_CURVE('',#153008,#153009,#74281,.T.); #186479=EDGE_CURVE('',#153009,#153009,#65648,.T.); #186480=EDGE_CURVE('',#153010,#153010,#65649,.T.); #186481=EDGE_CURVE('',#153010,#153011,#74282,.T.); #186482=EDGE_CURVE('',#153011,#153011,#65650,.T.); #186483=EDGE_CURVE('',#153012,#153012,#65651,.T.); #186484=EDGE_CURVE('',#153012,#153013,#74283,.T.); #186485=EDGE_CURVE('',#153013,#153013,#65652,.T.); #186486=EDGE_CURVE('',#153014,#153014,#65653,.T.); #186487=EDGE_CURVE('',#153014,#153015,#74284,.T.); #186488=EDGE_CURVE('',#153015,#153015,#65654,.T.); #186489=EDGE_CURVE('',#153016,#153016,#65655,.T.); #186490=EDGE_CURVE('',#153016,#153017,#74285,.T.); #186491=EDGE_CURVE('',#153017,#153017,#65656,.T.); #186492=EDGE_CURVE('',#153018,#153018,#65657,.T.); #186493=EDGE_CURVE('',#153018,#153019,#74286,.T.); #186494=EDGE_CURVE('',#153019,#153019,#65658,.T.); #186495=EDGE_CURVE('',#153020,#153020,#65659,.T.); #186496=EDGE_CURVE('',#153020,#153021,#74287,.T.); #186497=EDGE_CURVE('',#153021,#153021,#65660,.T.); #186498=EDGE_CURVE('',#153022,#153022,#65661,.T.); #186499=EDGE_CURVE('',#153022,#153023,#74288,.T.); #186500=EDGE_CURVE('',#153023,#153023,#65662,.T.); #186501=EDGE_CURVE('',#153024,#153024,#65663,.T.); #186502=EDGE_CURVE('',#153024,#153025,#74289,.T.); #186503=EDGE_CURVE('',#153025,#153025,#65664,.T.); #186504=EDGE_CURVE('',#153026,#153026,#65665,.T.); #186505=EDGE_CURVE('',#153026,#153027,#74290,.T.); #186506=EDGE_CURVE('',#153027,#153027,#65666,.T.); #186507=EDGE_CURVE('',#153028,#153028,#65667,.T.); #186508=EDGE_CURVE('',#153028,#153029,#74291,.T.); #186509=EDGE_CURVE('',#153029,#153029,#65668,.T.); #186510=EDGE_CURVE('',#153030,#153030,#65669,.T.); #186511=EDGE_CURVE('',#153030,#153031,#74292,.T.); #186512=EDGE_CURVE('',#153031,#153031,#65670,.T.); #186513=EDGE_CURVE('',#153032,#153032,#65671,.T.); #186514=EDGE_CURVE('',#153032,#153033,#74293,.T.); #186515=EDGE_CURVE('',#153033,#153033,#65672,.T.); #186516=EDGE_CURVE('',#153034,#153034,#65673,.T.); #186517=EDGE_CURVE('',#153034,#153035,#74294,.T.); #186518=EDGE_CURVE('',#153035,#153035,#65674,.T.); #186519=EDGE_CURVE('',#153036,#153036,#65675,.T.); #186520=EDGE_CURVE('',#153036,#153037,#74295,.T.); #186521=EDGE_CURVE('',#153037,#153037,#65676,.T.); #186522=EDGE_CURVE('',#153038,#153038,#65677,.T.); #186523=EDGE_CURVE('',#153038,#153039,#74296,.T.); #186524=EDGE_CURVE('',#153039,#153039,#65678,.T.); #186525=EDGE_CURVE('',#153040,#153040,#65679,.T.); #186526=EDGE_CURVE('',#153040,#153041,#74297,.T.); #186527=EDGE_CURVE('',#153041,#153041,#65680,.T.); #186528=EDGE_CURVE('',#153042,#153042,#65681,.T.); #186529=EDGE_CURVE('',#153042,#153043,#74298,.T.); #186530=EDGE_CURVE('',#153043,#153043,#65682,.T.); #186531=EDGE_CURVE('',#153044,#153044,#65683,.T.); #186532=EDGE_CURVE('',#153044,#153045,#74299,.T.); #186533=EDGE_CURVE('',#153045,#153045,#65684,.T.); #186534=EDGE_CURVE('',#153046,#153046,#65685,.T.); #186535=EDGE_CURVE('',#153046,#153047,#74300,.T.); #186536=EDGE_CURVE('',#153047,#153047,#65686,.T.); #186537=EDGE_CURVE('',#153048,#153048,#65687,.T.); #186538=EDGE_CURVE('',#153048,#153049,#74301,.T.); #186539=EDGE_CURVE('',#153049,#153049,#65688,.T.); #186540=EDGE_CURVE('',#153050,#153050,#65689,.T.); #186541=EDGE_CURVE('',#153050,#153051,#74302,.T.); #186542=EDGE_CURVE('',#153051,#153051,#65690,.T.); #186543=EDGE_CURVE('',#153052,#153052,#65691,.T.); #186544=EDGE_CURVE('',#153052,#153053,#74303,.T.); #186545=EDGE_CURVE('',#153053,#153053,#65692,.T.); #186546=EDGE_CURVE('',#153054,#153054,#65693,.T.); #186547=EDGE_CURVE('',#153054,#153055,#74304,.T.); #186548=EDGE_CURVE('',#153055,#153055,#65694,.T.); #186549=EDGE_CURVE('',#153056,#153056,#65695,.T.); #186550=EDGE_CURVE('',#153056,#153057,#74305,.T.); #186551=EDGE_CURVE('',#153057,#153057,#65696,.T.); #186552=EDGE_CURVE('',#153058,#153058,#65697,.T.); #186553=EDGE_CURVE('',#153058,#153059,#74306,.T.); #186554=EDGE_CURVE('',#153059,#153059,#65698,.T.); #186555=EDGE_CURVE('',#153060,#153060,#65699,.T.); #186556=EDGE_CURVE('',#153060,#153061,#74307,.T.); #186557=EDGE_CURVE('',#153061,#153061,#65700,.T.); #186558=EDGE_CURVE('',#153062,#153062,#65701,.T.); #186559=EDGE_CURVE('',#153062,#153063,#74308,.T.); #186560=EDGE_CURVE('',#153063,#153063,#65702,.T.); #186561=EDGE_CURVE('',#153064,#153064,#65703,.T.); #186562=EDGE_CURVE('',#153064,#153065,#74309,.T.); #186563=EDGE_CURVE('',#153065,#153065,#65704,.T.); #186564=EDGE_CURVE('',#153066,#153066,#65705,.T.); #186565=EDGE_CURVE('',#153066,#153067,#74310,.T.); #186566=EDGE_CURVE('',#153067,#153067,#65706,.T.); #186567=EDGE_CURVE('',#153068,#153068,#65707,.T.); #186568=EDGE_CURVE('',#153068,#153069,#74311,.T.); #186569=EDGE_CURVE('',#153069,#153069,#65708,.T.); #186570=EDGE_CURVE('',#153070,#153070,#65709,.T.); #186571=EDGE_CURVE('',#153070,#153071,#74312,.T.); #186572=EDGE_CURVE('',#153071,#153071,#65710,.T.); #186573=EDGE_CURVE('',#153072,#153072,#65711,.T.); #186574=EDGE_CURVE('',#153072,#153073,#74313,.T.); #186575=EDGE_CURVE('',#153073,#153073,#65712,.T.); #186576=EDGE_CURVE('',#153074,#153074,#65713,.T.); #186577=EDGE_CURVE('',#153074,#153075,#74314,.T.); #186578=EDGE_CURVE('',#153075,#153075,#65714,.T.); #186579=EDGE_CURVE('',#153076,#153076,#65715,.T.); #186580=EDGE_CURVE('',#153076,#153077,#74315,.T.); #186581=EDGE_CURVE('',#153077,#153077,#65716,.T.); #186582=EDGE_CURVE('',#153078,#153078,#65717,.T.); #186583=EDGE_CURVE('',#153078,#153079,#74316,.T.); #186584=EDGE_CURVE('',#153079,#153079,#65718,.T.); #186585=EDGE_CURVE('',#153080,#153080,#65719,.T.); #186586=EDGE_CURVE('',#153080,#153081,#74317,.T.); #186587=EDGE_CURVE('',#153081,#153081,#65720,.T.); #186588=EDGE_CURVE('',#153082,#153082,#65721,.T.); #186589=EDGE_CURVE('',#153082,#153083,#74318,.T.); #186590=EDGE_CURVE('',#153083,#153083,#65722,.T.); #186591=EDGE_CURVE('',#153084,#153084,#65723,.T.); #186592=EDGE_CURVE('',#153084,#153085,#74319,.T.); #186593=EDGE_CURVE('',#153085,#153085,#65724,.T.); #186594=EDGE_CURVE('',#153086,#153086,#65725,.T.); #186595=EDGE_CURVE('',#153086,#153087,#74320,.T.); #186596=EDGE_CURVE('',#153087,#153087,#65726,.T.); #186597=EDGE_CURVE('',#153088,#153088,#65727,.T.); #186598=EDGE_CURVE('',#153088,#153089,#74321,.T.); #186599=EDGE_CURVE('',#153089,#153089,#65728,.T.); #186600=EDGE_CURVE('',#153090,#153090,#65729,.T.); #186601=EDGE_CURVE('',#153090,#153091,#74322,.T.); #186602=EDGE_CURVE('',#153091,#153091,#65730,.T.); #186603=EDGE_CURVE('',#153092,#153092,#65731,.T.); #186604=EDGE_CURVE('',#153092,#153093,#74323,.T.); #186605=EDGE_CURVE('',#153093,#153093,#65732,.T.); #186606=EDGE_CURVE('',#153094,#153094,#65733,.T.); #186607=EDGE_CURVE('',#153094,#153095,#74324,.T.); #186608=EDGE_CURVE('',#153095,#153095,#65734,.T.); #186609=EDGE_CURVE('',#153096,#153096,#65735,.T.); #186610=EDGE_CURVE('',#153096,#153097,#74325,.T.); #186611=EDGE_CURVE('',#153097,#153097,#65736,.T.); #186612=EDGE_CURVE('',#153098,#153098,#65737,.T.); #186613=EDGE_CURVE('',#153098,#153099,#74326,.T.); #186614=EDGE_CURVE('',#153099,#153099,#65738,.T.); #186615=EDGE_CURVE('',#153100,#153100,#65739,.T.); #186616=EDGE_CURVE('',#153100,#153101,#74327,.T.); #186617=EDGE_CURVE('',#153101,#153101,#65740,.T.); #186618=EDGE_CURVE('',#153102,#153102,#65741,.T.); #186619=EDGE_CURVE('',#153102,#153103,#74328,.T.); #186620=EDGE_CURVE('',#153103,#153103,#65742,.T.); #186621=EDGE_CURVE('',#153104,#153104,#65743,.T.); #186622=EDGE_CURVE('',#153104,#153105,#74329,.T.); #186623=EDGE_CURVE('',#153105,#153105,#65744,.T.); #186624=EDGE_CURVE('',#153106,#153106,#65745,.T.); #186625=EDGE_CURVE('',#153106,#153107,#74330,.T.); #186626=EDGE_CURVE('',#153107,#153107,#65746,.T.); #186627=EDGE_CURVE('',#153108,#153108,#65747,.T.); #186628=EDGE_CURVE('',#153108,#153109,#74331,.T.); #186629=EDGE_CURVE('',#153109,#153109,#65748,.T.); #186630=EDGE_CURVE('',#153110,#153110,#65749,.T.); #186631=EDGE_CURVE('',#153110,#153111,#74332,.T.); #186632=EDGE_CURVE('',#153111,#153111,#65750,.T.); #186633=EDGE_CURVE('',#153112,#153112,#65751,.T.); #186634=EDGE_CURVE('',#153112,#153113,#74333,.T.); #186635=EDGE_CURVE('',#153113,#153113,#65752,.T.); #186636=EDGE_CURVE('',#153114,#153114,#65753,.T.); #186637=EDGE_CURVE('',#153114,#153115,#74334,.T.); #186638=EDGE_CURVE('',#153115,#153115,#65754,.T.); #186639=EDGE_CURVE('',#153116,#153116,#65755,.T.); #186640=EDGE_CURVE('',#153116,#153117,#74335,.T.); #186641=EDGE_CURVE('',#153117,#153117,#65756,.T.); #186642=EDGE_CURVE('',#153118,#153118,#65757,.T.); #186643=EDGE_CURVE('',#153118,#153119,#74336,.T.); #186644=EDGE_CURVE('',#153119,#153119,#65758,.T.); #186645=EDGE_CURVE('',#153120,#153120,#65759,.T.); #186646=EDGE_CURVE('',#153120,#153121,#74337,.T.); #186647=EDGE_CURVE('',#153121,#153121,#65760,.T.); #186648=EDGE_CURVE('',#153122,#153122,#65761,.T.); #186649=EDGE_CURVE('',#153122,#153123,#74338,.T.); #186650=EDGE_CURVE('',#153123,#153123,#65762,.T.); #186651=EDGE_CURVE('',#153124,#153124,#65763,.T.); #186652=EDGE_CURVE('',#153124,#153125,#74339,.T.); #186653=EDGE_CURVE('',#153125,#153125,#65764,.T.); #186654=EDGE_CURVE('',#153126,#153126,#65765,.T.); #186655=EDGE_CURVE('',#153126,#153127,#74340,.T.); #186656=EDGE_CURVE('',#153127,#153127,#65766,.T.); #186657=EDGE_CURVE('',#153128,#153128,#65767,.T.); #186658=EDGE_CURVE('',#153128,#153129,#74341,.T.); #186659=EDGE_CURVE('',#153129,#153129,#65768,.T.); #186660=EDGE_CURVE('',#153130,#153130,#65769,.T.); #186661=EDGE_CURVE('',#153130,#153131,#74342,.T.); #186662=EDGE_CURVE('',#153131,#153131,#65770,.T.); #186663=EDGE_CURVE('',#153132,#153132,#65771,.T.); #186664=EDGE_CURVE('',#153132,#153133,#74343,.T.); #186665=EDGE_CURVE('',#153133,#153133,#65772,.T.); #186666=EDGE_CURVE('',#153134,#153134,#65773,.T.); #186667=EDGE_CURVE('',#153134,#153135,#74344,.T.); #186668=EDGE_CURVE('',#153135,#153135,#65774,.T.); #186669=EDGE_CURVE('',#153136,#153136,#65775,.T.); #186670=EDGE_CURVE('',#153136,#153137,#74345,.T.); #186671=EDGE_CURVE('',#153137,#153137,#65776,.T.); #186672=EDGE_CURVE('',#153138,#153138,#65777,.T.); #186673=EDGE_CURVE('',#153138,#153139,#74346,.T.); #186674=EDGE_CURVE('',#153139,#153139,#65778,.T.); #186675=EDGE_CURVE('',#153140,#153140,#65779,.T.); #186676=EDGE_CURVE('',#153140,#153141,#74347,.T.); #186677=EDGE_CURVE('',#153141,#153141,#65780,.T.); #186678=EDGE_CURVE('',#153142,#153142,#65781,.T.); #186679=EDGE_CURVE('',#153142,#153143,#74348,.T.); #186680=EDGE_CURVE('',#153143,#153143,#65782,.T.); #186681=EDGE_CURVE('',#153144,#153144,#65783,.T.); #186682=EDGE_CURVE('',#153144,#153145,#74349,.T.); #186683=EDGE_CURVE('',#153145,#153145,#65784,.T.); #186684=EDGE_CURVE('',#153146,#153146,#65785,.T.); #186685=EDGE_CURVE('',#153146,#153147,#74350,.T.); #186686=EDGE_CURVE('',#153147,#153147,#65786,.T.); #186687=EDGE_CURVE('',#153148,#153148,#65787,.T.); #186688=EDGE_CURVE('',#153148,#153149,#74351,.T.); #186689=EDGE_CURVE('',#153149,#153149,#65788,.T.); #186690=EDGE_CURVE('',#153150,#153150,#65789,.T.); #186691=EDGE_CURVE('',#153150,#153151,#74352,.T.); #186692=EDGE_CURVE('',#153151,#153151,#65790,.T.); #186693=EDGE_CURVE('',#153152,#153152,#65791,.T.); #186694=EDGE_CURVE('',#153152,#153153,#74353,.T.); #186695=EDGE_CURVE('',#153153,#153153,#65792,.T.); #186696=EDGE_CURVE('',#153154,#153154,#65793,.T.); #186697=EDGE_CURVE('',#153154,#153155,#74354,.T.); #186698=EDGE_CURVE('',#153155,#153155,#65794,.T.); #186699=EDGE_CURVE('',#153156,#153156,#65795,.T.); #186700=EDGE_CURVE('',#153156,#153157,#74355,.T.); #186701=EDGE_CURVE('',#153157,#153157,#65796,.T.); #186702=EDGE_CURVE('',#153158,#153158,#65797,.T.); #186703=EDGE_CURVE('',#153158,#153159,#74356,.T.); #186704=EDGE_CURVE('',#153159,#153159,#65798,.T.); #186705=EDGE_CURVE('',#153160,#153160,#65799,.T.); #186706=EDGE_CURVE('',#153160,#153161,#74357,.T.); #186707=EDGE_CURVE('',#153161,#153161,#65800,.T.); #186708=EDGE_CURVE('',#153162,#153162,#65801,.T.); #186709=EDGE_CURVE('',#153162,#153163,#74358,.T.); #186710=EDGE_CURVE('',#153163,#153163,#65802,.T.); #186711=EDGE_CURVE('',#153164,#153164,#65803,.T.); #186712=EDGE_CURVE('',#153164,#153165,#74359,.T.); #186713=EDGE_CURVE('',#153165,#153165,#65804,.T.); #186714=EDGE_CURVE('',#153166,#153166,#65805,.T.); #186715=EDGE_CURVE('',#153166,#153167,#74360,.T.); #186716=EDGE_CURVE('',#153167,#153167,#65806,.T.); #186717=EDGE_CURVE('',#153168,#153168,#65807,.T.); #186718=EDGE_CURVE('',#153168,#153169,#74361,.T.); #186719=EDGE_CURVE('',#153169,#153169,#65808,.T.); #186720=EDGE_CURVE('',#153170,#153170,#65809,.T.); #186721=EDGE_CURVE('',#153170,#153171,#74362,.T.); #186722=EDGE_CURVE('',#153171,#153171,#65810,.T.); #186723=EDGE_CURVE('',#153172,#153172,#65811,.T.); #186724=EDGE_CURVE('',#153172,#153173,#74363,.T.); #186725=EDGE_CURVE('',#153173,#153173,#65812,.T.); #186726=EDGE_CURVE('',#153174,#153174,#65813,.T.); #186727=EDGE_CURVE('',#153174,#153175,#74364,.T.); #186728=EDGE_CURVE('',#153175,#153175,#65814,.T.); #186729=EDGE_CURVE('',#153176,#153176,#65815,.T.); #186730=EDGE_CURVE('',#153176,#153177,#74365,.T.); #186731=EDGE_CURVE('',#153177,#153177,#65816,.T.); #186732=EDGE_CURVE('',#153178,#153178,#65817,.T.); #186733=EDGE_CURVE('',#153178,#153179,#74366,.T.); #186734=EDGE_CURVE('',#153179,#153179,#65818,.T.); #186735=EDGE_CURVE('',#153180,#153180,#65819,.T.); #186736=EDGE_CURVE('',#153180,#153181,#74367,.T.); #186737=EDGE_CURVE('',#153181,#153181,#65820,.T.); #186738=EDGE_CURVE('',#153182,#153182,#65821,.T.); #186739=EDGE_CURVE('',#153182,#153183,#74368,.T.); #186740=EDGE_CURVE('',#153183,#153183,#65822,.T.); #186741=EDGE_CURVE('',#153184,#153184,#65823,.T.); #186742=EDGE_CURVE('',#153184,#153185,#74369,.T.); #186743=EDGE_CURVE('',#153185,#153185,#65824,.T.); #186744=EDGE_CURVE('',#153186,#153186,#65825,.T.); #186745=EDGE_CURVE('',#153186,#153187,#74370,.T.); #186746=EDGE_CURVE('',#153187,#153187,#65826,.T.); #186747=EDGE_CURVE('',#153188,#153188,#65827,.T.); #186748=EDGE_CURVE('',#153188,#153189,#74371,.T.); #186749=EDGE_CURVE('',#153189,#153189,#65828,.T.); #186750=EDGE_CURVE('',#153190,#153190,#65829,.T.); #186751=EDGE_CURVE('',#153190,#153191,#74372,.T.); #186752=EDGE_CURVE('',#153191,#153191,#65830,.T.); #186753=EDGE_CURVE('',#153192,#153192,#65831,.T.); #186754=EDGE_CURVE('',#153192,#153193,#74373,.T.); #186755=EDGE_CURVE('',#153193,#153193,#65832,.T.); #186756=EDGE_CURVE('',#153194,#153194,#65833,.T.); #186757=EDGE_CURVE('',#153194,#153195,#74374,.T.); #186758=EDGE_CURVE('',#153195,#153195,#65834,.T.); #186759=EDGE_CURVE('',#153196,#153196,#65835,.T.); #186760=EDGE_CURVE('',#153196,#153197,#74375,.T.); #186761=EDGE_CURVE('',#153197,#153197,#65836,.T.); #186762=EDGE_CURVE('',#153198,#153198,#65837,.T.); #186763=EDGE_CURVE('',#153198,#153199,#74376,.T.); #186764=EDGE_CURVE('',#153199,#153199,#65838,.T.); #186765=EDGE_CURVE('',#153200,#153200,#65839,.T.); #186766=EDGE_CURVE('',#153200,#153201,#74377,.T.); #186767=EDGE_CURVE('',#153201,#153201,#65840,.T.); #186768=EDGE_CURVE('',#153202,#153202,#65841,.T.); #186769=EDGE_CURVE('',#153202,#153203,#74378,.T.); #186770=EDGE_CURVE('',#153203,#153203,#65842,.T.); #186771=EDGE_CURVE('',#153204,#153204,#65843,.T.); #186772=EDGE_CURVE('',#153204,#153205,#74379,.T.); #186773=EDGE_CURVE('',#153205,#153205,#65844,.T.); #186774=EDGE_CURVE('',#153206,#153206,#65845,.T.); #186775=EDGE_CURVE('',#153206,#153207,#74380,.T.); #186776=EDGE_CURVE('',#153207,#153207,#65846,.T.); #186777=EDGE_CURVE('',#153208,#153208,#65847,.T.); #186778=EDGE_CURVE('',#153208,#153209,#74381,.T.); #186779=EDGE_CURVE('',#153209,#153209,#65848,.T.); #186780=EDGE_CURVE('',#153210,#153210,#65849,.T.); #186781=EDGE_CURVE('',#153210,#153211,#74382,.T.); #186782=EDGE_CURVE('',#153211,#153211,#65850,.T.); #186783=EDGE_CURVE('',#153212,#153212,#65851,.T.); #186784=EDGE_CURVE('',#153212,#153213,#74383,.T.); #186785=EDGE_CURVE('',#153213,#153213,#65852,.T.); #186786=EDGE_CURVE('',#153214,#153214,#65853,.T.); #186787=EDGE_CURVE('',#153214,#153215,#74384,.T.); #186788=EDGE_CURVE('',#153215,#153215,#65854,.T.); #186789=EDGE_CURVE('',#153216,#153216,#65855,.T.); #186790=EDGE_CURVE('',#153216,#153217,#74385,.T.); #186791=EDGE_CURVE('',#153217,#153217,#65856,.T.); #186792=EDGE_CURVE('',#153218,#153218,#65857,.T.); #186793=EDGE_CURVE('',#153218,#153219,#74386,.T.); #186794=EDGE_CURVE('',#153219,#153219,#65858,.T.); #186795=EDGE_CURVE('',#153220,#153220,#65859,.T.); #186796=EDGE_CURVE('',#153220,#153221,#74387,.T.); #186797=EDGE_CURVE('',#153221,#153221,#65860,.T.); #186798=EDGE_CURVE('',#153222,#153222,#65861,.T.); #186799=EDGE_CURVE('',#153222,#153223,#74388,.T.); #186800=EDGE_CURVE('',#153223,#153223,#65862,.T.); #186801=EDGE_CURVE('',#153224,#153224,#65863,.T.); #186802=EDGE_CURVE('',#153224,#153225,#74389,.T.); #186803=EDGE_CURVE('',#153225,#153225,#65864,.T.); #186804=EDGE_CURVE('',#153226,#153226,#65865,.T.); #186805=EDGE_CURVE('',#153226,#153227,#74390,.T.); #186806=EDGE_CURVE('',#153227,#153227,#65866,.T.); #186807=EDGE_CURVE('',#153228,#153228,#65867,.T.); #186808=EDGE_CURVE('',#153228,#153229,#74391,.T.); #186809=EDGE_CURVE('',#153229,#153229,#65868,.T.); #186810=EDGE_CURVE('',#153230,#153230,#65869,.T.); #186811=EDGE_CURVE('',#153230,#153231,#74392,.T.); #186812=EDGE_CURVE('',#153231,#153231,#65870,.T.); #186813=EDGE_CURVE('',#153232,#153232,#65871,.T.); #186814=EDGE_CURVE('',#153232,#153233,#74393,.T.); #186815=EDGE_CURVE('',#153233,#153233,#65872,.T.); #186816=EDGE_CURVE('',#153234,#153234,#65873,.T.); #186817=EDGE_CURVE('',#153234,#153235,#74394,.T.); #186818=EDGE_CURVE('',#153235,#153235,#65874,.T.); #186819=EDGE_CURVE('',#153236,#153236,#65875,.T.); #186820=EDGE_CURVE('',#153236,#153237,#74395,.T.); #186821=EDGE_CURVE('',#153237,#153237,#65876,.T.); #186822=EDGE_CURVE('',#153238,#153238,#65877,.T.); #186823=EDGE_CURVE('',#153238,#153239,#74396,.T.); #186824=EDGE_CURVE('',#153239,#153239,#65878,.T.); #186825=EDGE_CURVE('',#153240,#153240,#65879,.T.); #186826=EDGE_CURVE('',#153240,#153241,#74397,.T.); #186827=EDGE_CURVE('',#153241,#153241,#65880,.T.); #186828=EDGE_CURVE('',#153242,#153242,#65881,.T.); #186829=EDGE_CURVE('',#153242,#153243,#74398,.T.); #186830=EDGE_CURVE('',#153243,#153243,#65882,.T.); #186831=EDGE_CURVE('',#153244,#153244,#65883,.T.); #186832=EDGE_CURVE('',#153244,#153245,#74399,.T.); #186833=EDGE_CURVE('',#153245,#153245,#65884,.T.); #186834=EDGE_CURVE('',#153246,#153246,#65885,.T.); #186835=EDGE_CURVE('',#153246,#153247,#74400,.T.); #186836=EDGE_CURVE('',#153247,#153247,#65886,.T.); #186837=EDGE_CURVE('',#153248,#153248,#65887,.T.); #186838=EDGE_CURVE('',#153248,#153249,#74401,.T.); #186839=EDGE_CURVE('',#153249,#153249,#65888,.T.); #186840=EDGE_CURVE('',#153250,#153250,#65889,.T.); #186841=EDGE_CURVE('',#153250,#153251,#74402,.T.); #186842=EDGE_CURVE('',#153251,#153251,#65890,.T.); #186843=EDGE_CURVE('',#153252,#153252,#65891,.T.); #186844=EDGE_CURVE('',#153252,#153253,#74403,.T.); #186845=EDGE_CURVE('',#153253,#153253,#65892,.T.); #186846=EDGE_CURVE('',#153254,#153254,#65893,.T.); #186847=EDGE_CURVE('',#153254,#153255,#74404,.T.); #186848=EDGE_CURVE('',#153255,#153255,#65894,.T.); #186849=EDGE_CURVE('',#153256,#153256,#65895,.T.); #186850=EDGE_CURVE('',#153256,#153257,#74405,.T.); #186851=EDGE_CURVE('',#153257,#153257,#65896,.T.); #186852=EDGE_CURVE('',#153258,#153258,#65897,.T.); #186853=EDGE_CURVE('',#153258,#153259,#74406,.T.); #186854=EDGE_CURVE('',#153259,#153259,#65898,.T.); #186855=EDGE_CURVE('',#153260,#153260,#65899,.T.); #186856=EDGE_CURVE('',#153260,#153261,#74407,.T.); #186857=EDGE_CURVE('',#153261,#153261,#65900,.T.); #186858=EDGE_CURVE('',#153262,#153262,#65901,.T.); #186859=EDGE_CURVE('',#153262,#153263,#74408,.T.); #186860=EDGE_CURVE('',#153263,#153263,#65902,.T.); #186861=EDGE_CURVE('',#153264,#153264,#65903,.T.); #186862=EDGE_CURVE('',#153264,#153265,#74409,.T.); #186863=EDGE_CURVE('',#153265,#153265,#65904,.T.); #186864=EDGE_CURVE('',#153266,#153266,#65905,.T.); #186865=EDGE_CURVE('',#153266,#153267,#74410,.T.); #186866=EDGE_CURVE('',#153267,#153267,#65906,.T.); #186867=EDGE_CURVE('',#153268,#153268,#65907,.T.); #186868=EDGE_CURVE('',#153268,#153269,#74411,.T.); #186869=EDGE_CURVE('',#153269,#153269,#65908,.T.); #186870=EDGE_CURVE('',#153270,#153270,#65909,.T.); #186871=EDGE_CURVE('',#153270,#153271,#74412,.T.); #186872=EDGE_CURVE('',#153271,#153271,#65910,.T.); #186873=EDGE_CURVE('',#153272,#153272,#65911,.T.); #186874=EDGE_CURVE('',#153272,#153273,#74413,.T.); #186875=EDGE_CURVE('',#153273,#153273,#65912,.T.); #186876=EDGE_CURVE('',#153274,#153274,#65913,.T.); #186877=EDGE_CURVE('',#153274,#153275,#74414,.T.); #186878=EDGE_CURVE('',#153275,#153275,#65914,.T.); #186879=EDGE_CURVE('',#153276,#153276,#65915,.T.); #186880=EDGE_CURVE('',#153276,#153277,#74415,.T.); #186881=EDGE_CURVE('',#153277,#153277,#65916,.T.); #186882=EDGE_CURVE('',#153278,#153278,#65917,.T.); #186883=EDGE_CURVE('',#153278,#153279,#74416,.T.); #186884=EDGE_CURVE('',#153279,#153279,#65918,.T.); #186885=EDGE_CURVE('',#153280,#153280,#65919,.T.); #186886=EDGE_CURVE('',#153280,#153281,#74417,.T.); #186887=EDGE_CURVE('',#153281,#153281,#65920,.T.); #186888=EDGE_CURVE('',#153282,#153282,#65921,.T.); #186889=EDGE_CURVE('',#153282,#153283,#74418,.T.); #186890=EDGE_CURVE('',#153283,#153283,#65922,.T.); #186891=EDGE_CURVE('',#153284,#153284,#65923,.T.); #186892=EDGE_CURVE('',#153284,#153285,#74419,.T.); #186893=EDGE_CURVE('',#153285,#153285,#65924,.T.); #186894=EDGE_CURVE('',#153286,#153286,#65925,.T.); #186895=EDGE_CURVE('',#153286,#153287,#74420,.T.); #186896=EDGE_CURVE('',#153287,#153287,#65926,.T.); #186897=EDGE_CURVE('',#153288,#153288,#65927,.T.); #186898=EDGE_CURVE('',#153288,#153289,#74421,.T.); #186899=EDGE_CURVE('',#153289,#153289,#65928,.T.); #186900=EDGE_CURVE('',#153290,#153290,#65929,.T.); #186901=EDGE_CURVE('',#153290,#153291,#74422,.T.); #186902=EDGE_CURVE('',#153291,#153291,#65930,.T.); #186903=EDGE_CURVE('',#153292,#153292,#65931,.T.); #186904=EDGE_CURVE('',#153292,#153293,#74423,.T.); #186905=EDGE_CURVE('',#153293,#153293,#65932,.T.); #186906=EDGE_CURVE('',#153294,#153294,#65933,.T.); #186907=EDGE_CURVE('',#153294,#153295,#74424,.T.); #186908=EDGE_CURVE('',#153295,#153295,#65934,.T.); #186909=EDGE_CURVE('',#153296,#153296,#65935,.T.); #186910=EDGE_CURVE('',#153296,#153297,#74425,.T.); #186911=EDGE_CURVE('',#153297,#153297,#65936,.T.); #186912=EDGE_CURVE('',#153298,#153298,#65937,.T.); #186913=EDGE_CURVE('',#153298,#153299,#74426,.T.); #186914=EDGE_CURVE('',#153299,#153299,#65938,.T.); #186915=EDGE_CURVE('',#153300,#153300,#65939,.T.); #186916=EDGE_CURVE('',#153300,#153301,#74427,.T.); #186917=EDGE_CURVE('',#153301,#153301,#65940,.T.); #186918=EDGE_CURVE('',#153302,#153302,#65941,.T.); #186919=EDGE_CURVE('',#153302,#153303,#74428,.T.); #186920=EDGE_CURVE('',#153303,#153303,#65942,.T.); #186921=EDGE_CURVE('',#153304,#153304,#65943,.T.); #186922=EDGE_CURVE('',#153304,#153305,#74429,.T.); #186923=EDGE_CURVE('',#153305,#153305,#65944,.T.); #186924=EDGE_CURVE('',#153306,#153306,#65945,.T.); #186925=EDGE_CURVE('',#153306,#153307,#74430,.T.); #186926=EDGE_CURVE('',#153307,#153307,#65946,.T.); #186927=EDGE_CURVE('',#153308,#153308,#65947,.T.); #186928=EDGE_CURVE('',#153308,#153309,#74431,.T.); #186929=EDGE_CURVE('',#153309,#153309,#65948,.T.); #186930=EDGE_CURVE('',#153310,#153310,#65949,.T.); #186931=EDGE_CURVE('',#153310,#153311,#74432,.T.); #186932=EDGE_CURVE('',#153311,#153311,#65950,.T.); #186933=EDGE_CURVE('',#153312,#153312,#65951,.T.); #186934=EDGE_CURVE('',#153312,#153313,#74433,.T.); #186935=EDGE_CURVE('',#153313,#153313,#65952,.T.); #186936=EDGE_CURVE('',#153314,#153314,#65953,.T.); #186937=EDGE_CURVE('',#153314,#153315,#74434,.T.); #186938=EDGE_CURVE('',#153315,#153315,#65954,.T.); #186939=EDGE_CURVE('',#153316,#153316,#65955,.T.); #186940=EDGE_CURVE('',#153316,#153317,#74435,.T.); #186941=EDGE_CURVE('',#153317,#153317,#65956,.T.); #186942=EDGE_CURVE('',#153318,#153318,#65957,.T.); #186943=EDGE_CURVE('',#153318,#153319,#74436,.T.); #186944=EDGE_CURVE('',#153319,#153319,#65958,.T.); #186945=EDGE_CURVE('',#153320,#153320,#65959,.T.); #186946=EDGE_CURVE('',#153320,#153321,#74437,.T.); #186947=EDGE_CURVE('',#153321,#153321,#65960,.T.); #186948=EDGE_CURVE('',#153322,#153322,#65961,.T.); #186949=EDGE_CURVE('',#153322,#153323,#74438,.T.); #186950=EDGE_CURVE('',#153323,#153323,#65962,.T.); #186951=EDGE_CURVE('',#153324,#153324,#65963,.T.); #186952=EDGE_CURVE('',#153324,#153325,#74439,.T.); #186953=EDGE_CURVE('',#153325,#153325,#65964,.T.); #186954=EDGE_CURVE('',#153326,#153326,#65965,.T.); #186955=EDGE_CURVE('',#153326,#153327,#74440,.T.); #186956=EDGE_CURVE('',#153327,#153327,#65966,.T.); #186957=EDGE_CURVE('',#153328,#153328,#65967,.T.); #186958=EDGE_CURVE('',#153328,#153329,#74441,.T.); #186959=EDGE_CURVE('',#153329,#153329,#65968,.T.); #186960=EDGE_CURVE('',#153330,#153330,#65969,.T.); #186961=EDGE_CURVE('',#153330,#153331,#74442,.T.); #186962=EDGE_CURVE('',#153331,#153331,#65970,.T.); #186963=EDGE_CURVE('',#153332,#153332,#65971,.T.); #186964=EDGE_CURVE('',#153332,#153333,#74443,.T.); #186965=EDGE_CURVE('',#153333,#153333,#65972,.T.); #186966=EDGE_CURVE('',#153334,#153334,#65973,.T.); #186967=EDGE_CURVE('',#153334,#153335,#74444,.T.); #186968=EDGE_CURVE('',#153335,#153335,#65974,.T.); #186969=EDGE_CURVE('',#153336,#153336,#65975,.T.); #186970=EDGE_CURVE('',#153336,#153337,#74445,.T.); #186971=EDGE_CURVE('',#153337,#153337,#65976,.T.); #186972=EDGE_CURVE('',#153338,#153338,#65977,.T.); #186973=EDGE_CURVE('',#153338,#153339,#74446,.T.); #186974=EDGE_CURVE('',#153339,#153339,#65978,.T.); #186975=EDGE_CURVE('',#153340,#153340,#65979,.T.); #186976=EDGE_CURVE('',#153340,#153341,#74447,.T.); #186977=EDGE_CURVE('',#153341,#153341,#65980,.T.); #186978=EDGE_CURVE('',#153342,#153342,#65981,.T.); #186979=EDGE_CURVE('',#153342,#153343,#74448,.T.); #186980=EDGE_CURVE('',#153343,#153343,#65982,.T.); #186981=EDGE_CURVE('',#153344,#153344,#65983,.T.); #186982=EDGE_CURVE('',#153344,#153345,#74449,.T.); #186983=EDGE_CURVE('',#153345,#153345,#65984,.T.); #186984=EDGE_CURVE('',#153346,#153346,#65985,.T.); #186985=EDGE_CURVE('',#153346,#153347,#74450,.T.); #186986=EDGE_CURVE('',#153347,#153347,#65986,.T.); #186987=EDGE_CURVE('',#153348,#153348,#65987,.T.); #186988=EDGE_CURVE('',#153348,#153349,#74451,.T.); #186989=EDGE_CURVE('',#153349,#153349,#65988,.T.); #186990=EDGE_CURVE('',#153350,#153350,#65989,.T.); #186991=EDGE_CURVE('',#153350,#153351,#74452,.T.); #186992=EDGE_CURVE('',#153351,#153351,#65990,.T.); #186993=EDGE_CURVE('',#153352,#153352,#65991,.T.); #186994=EDGE_CURVE('',#153352,#153353,#74453,.T.); #186995=EDGE_CURVE('',#153353,#153353,#65992,.T.); #186996=EDGE_CURVE('',#153354,#153354,#65993,.T.); #186997=EDGE_CURVE('',#153354,#153355,#74454,.T.); #186998=EDGE_CURVE('',#153355,#153355,#65994,.T.); #186999=EDGE_CURVE('',#153356,#153356,#65995,.T.); #187000=EDGE_CURVE('',#153356,#153357,#74455,.T.); #187001=EDGE_CURVE('',#153357,#153357,#65996,.T.); #187002=EDGE_CURVE('',#153358,#153358,#65997,.T.); #187003=EDGE_CURVE('',#153358,#153359,#74456,.T.); #187004=EDGE_CURVE('',#153359,#153359,#65998,.T.); #187005=EDGE_CURVE('',#153360,#153360,#65999,.T.); #187006=EDGE_CURVE('',#153360,#153361,#74457,.T.); #187007=EDGE_CURVE('',#153361,#153361,#66000,.T.); #187008=EDGE_CURVE('',#153362,#153362,#66001,.T.); #187009=EDGE_CURVE('',#153362,#153363,#74458,.T.); #187010=EDGE_CURVE('',#153363,#153363,#66002,.T.); #187011=EDGE_CURVE('',#153364,#153364,#66003,.T.); #187012=EDGE_CURVE('',#153364,#153365,#74459,.T.); #187013=EDGE_CURVE('',#153365,#153365,#66004,.T.); #187014=EDGE_CURVE('',#153366,#153366,#66005,.T.); #187015=EDGE_CURVE('',#153366,#153367,#74460,.T.); #187016=EDGE_CURVE('',#153367,#153367,#66006,.T.); #187017=EDGE_CURVE('',#153368,#153368,#66007,.T.); #187018=EDGE_CURVE('',#153368,#153369,#74461,.T.); #187019=EDGE_CURVE('',#153369,#153369,#66008,.T.); #187020=EDGE_CURVE('',#153370,#153370,#66009,.T.); #187021=EDGE_CURVE('',#153370,#153371,#74462,.T.); #187022=EDGE_CURVE('',#153371,#153371,#66010,.T.); #187023=EDGE_CURVE('',#153372,#153372,#66011,.T.); #187024=EDGE_CURVE('',#153372,#153373,#74463,.T.); #187025=EDGE_CURVE('',#153373,#153373,#66012,.T.); #187026=EDGE_CURVE('',#153374,#153374,#66013,.T.); #187027=EDGE_CURVE('',#153374,#153375,#74464,.T.); #187028=EDGE_CURVE('',#153375,#153375,#66014,.T.); #187029=EDGE_CURVE('',#153376,#153376,#66015,.T.); #187030=EDGE_CURVE('',#153376,#153377,#74465,.T.); #187031=EDGE_CURVE('',#153377,#153377,#66016,.T.); #187032=EDGE_CURVE('',#153378,#153378,#66017,.T.); #187033=EDGE_CURVE('',#153378,#153379,#74466,.T.); #187034=EDGE_CURVE('',#153379,#153379,#66018,.T.); #187035=EDGE_CURVE('',#153380,#153380,#66019,.T.); #187036=EDGE_CURVE('',#153380,#153381,#74467,.T.); #187037=EDGE_CURVE('',#153381,#153381,#66020,.T.); #187038=EDGE_CURVE('',#153382,#153382,#66021,.T.); #187039=EDGE_CURVE('',#153382,#153383,#74468,.T.); #187040=EDGE_CURVE('',#153383,#153383,#66022,.T.); #187041=EDGE_CURVE('',#153384,#153384,#66023,.T.); #187042=EDGE_CURVE('',#153384,#153385,#74469,.T.); #187043=EDGE_CURVE('',#153385,#153385,#66024,.T.); #187044=EDGE_CURVE('',#153386,#153386,#66025,.T.); #187045=EDGE_CURVE('',#153386,#153387,#74470,.T.); #187046=EDGE_CURVE('',#153387,#153387,#66026,.T.); #187047=EDGE_CURVE('',#153388,#153388,#66027,.T.); #187048=EDGE_CURVE('',#153388,#153389,#74471,.T.); #187049=EDGE_CURVE('',#153389,#153389,#66028,.T.); #187050=EDGE_CURVE('',#153390,#153390,#66029,.T.); #187051=EDGE_CURVE('',#153390,#153391,#74472,.T.); #187052=EDGE_CURVE('',#153391,#153391,#66030,.T.); #187053=EDGE_CURVE('',#153392,#153392,#66031,.T.); #187054=EDGE_CURVE('',#153392,#153393,#74473,.T.); #187055=EDGE_CURVE('',#153393,#153393,#66032,.T.); #187056=EDGE_CURVE('',#153394,#153394,#66033,.T.); #187057=EDGE_CURVE('',#153394,#153395,#74474,.T.); #187058=EDGE_CURVE('',#153395,#153395,#66034,.T.); #187059=EDGE_CURVE('',#153396,#153396,#66035,.T.); #187060=EDGE_CURVE('',#153396,#153397,#74475,.T.); #187061=EDGE_CURVE('',#153397,#153397,#66036,.T.); #187062=EDGE_CURVE('',#153398,#153398,#66037,.T.); #187063=EDGE_CURVE('',#153398,#153399,#74476,.T.); #187064=EDGE_CURVE('',#153399,#153399,#66038,.T.); #187065=EDGE_CURVE('',#153400,#153400,#66039,.T.); #187066=EDGE_CURVE('',#153400,#153401,#74477,.T.); #187067=EDGE_CURVE('',#153401,#153401,#66040,.T.); #187068=EDGE_CURVE('',#153402,#153402,#66041,.T.); #187069=EDGE_CURVE('',#153402,#153403,#74478,.T.); #187070=EDGE_CURVE('',#153403,#153403,#66042,.T.); #187071=EDGE_CURVE('',#153404,#153404,#66043,.T.); #187072=EDGE_CURVE('',#153404,#153405,#74479,.T.); #187073=EDGE_CURVE('',#153405,#153405,#66044,.T.); #187074=EDGE_CURVE('',#153406,#153406,#66045,.T.); #187075=EDGE_CURVE('',#153406,#153407,#74480,.T.); #187076=EDGE_CURVE('',#153407,#153407,#66046,.T.); #187077=EDGE_CURVE('',#153408,#153408,#66047,.T.); #187078=EDGE_CURVE('',#153408,#153409,#74481,.T.); #187079=EDGE_CURVE('',#153409,#153409,#66048,.T.); #187080=EDGE_CURVE('',#153410,#153410,#66049,.T.); #187081=EDGE_CURVE('',#153410,#153411,#74482,.T.); #187082=EDGE_CURVE('',#153411,#153411,#66050,.T.); #187083=EDGE_CURVE('',#153412,#153412,#66051,.T.); #187084=EDGE_CURVE('',#153412,#153413,#74483,.T.); #187085=EDGE_CURVE('',#153413,#153413,#66052,.T.); #187086=EDGE_CURVE('',#153414,#153414,#66053,.T.); #187087=EDGE_CURVE('',#153414,#153415,#74484,.T.); #187088=EDGE_CURVE('',#153415,#153415,#66054,.T.); #187089=EDGE_CURVE('',#153416,#153416,#66055,.T.); #187090=EDGE_CURVE('',#153416,#153417,#74485,.T.); #187091=EDGE_CURVE('',#153417,#153417,#66056,.T.); #187092=EDGE_CURVE('',#153418,#153418,#66057,.T.); #187093=EDGE_CURVE('',#153418,#153419,#74486,.T.); #187094=EDGE_CURVE('',#153419,#153419,#66058,.T.); #187095=EDGE_CURVE('',#153420,#153420,#66059,.T.); #187096=EDGE_CURVE('',#153420,#153421,#74487,.T.); #187097=EDGE_CURVE('',#153421,#153421,#66060,.T.); #187098=EDGE_CURVE('',#153422,#153422,#66061,.T.); #187099=EDGE_CURVE('',#153422,#153423,#74488,.T.); #187100=EDGE_CURVE('',#153423,#153423,#66062,.T.); #187101=EDGE_CURVE('',#153424,#153424,#66063,.T.); #187102=EDGE_CURVE('',#153424,#153425,#74489,.T.); #187103=EDGE_CURVE('',#153425,#153425,#66064,.T.); #187104=EDGE_CURVE('',#153426,#153426,#66065,.T.); #187105=EDGE_CURVE('',#153426,#153427,#74490,.T.); #187106=EDGE_CURVE('',#153427,#153427,#66066,.T.); #187107=EDGE_CURVE('',#153428,#153428,#66067,.T.); #187108=EDGE_CURVE('',#153428,#153429,#74491,.T.); #187109=EDGE_CURVE('',#153429,#153429,#66068,.T.); #187110=EDGE_CURVE('',#153430,#153430,#66069,.T.); #187111=EDGE_CURVE('',#153430,#153431,#74492,.T.); #187112=EDGE_CURVE('',#153431,#153431,#66070,.T.); #187113=EDGE_CURVE('',#153432,#153432,#66071,.T.); #187114=EDGE_CURVE('',#153432,#153433,#74493,.T.); #187115=EDGE_CURVE('',#153433,#153433,#66072,.T.); #187116=EDGE_CURVE('',#153434,#153434,#66073,.T.); #187117=EDGE_CURVE('',#153434,#153435,#74494,.T.); #187118=EDGE_CURVE('',#153435,#153435,#66074,.T.); #187119=EDGE_CURVE('',#153436,#153436,#66075,.T.); #187120=EDGE_CURVE('',#153436,#153437,#74495,.T.); #187121=EDGE_CURVE('',#153437,#153437,#66076,.T.); #187122=EDGE_CURVE('',#153438,#153438,#66077,.T.); #187123=EDGE_CURVE('',#153438,#153439,#74496,.T.); #187124=EDGE_CURVE('',#153439,#153439,#66078,.T.); #187125=EDGE_CURVE('',#153440,#153440,#66079,.T.); #187126=EDGE_CURVE('',#153440,#153441,#74497,.T.); #187127=EDGE_CURVE('',#153441,#153441,#66080,.T.); #187128=EDGE_CURVE('',#153442,#153442,#66081,.T.); #187129=EDGE_CURVE('',#153442,#153443,#74498,.T.); #187130=EDGE_CURVE('',#153443,#153443,#66082,.T.); #187131=EDGE_CURVE('',#153444,#153444,#66083,.T.); #187132=EDGE_CURVE('',#153444,#153445,#74499,.T.); #187133=EDGE_CURVE('',#153445,#153445,#66084,.T.); #187134=EDGE_CURVE('',#153446,#153446,#66085,.T.); #187135=EDGE_CURVE('',#153446,#153447,#74500,.T.); #187136=EDGE_CURVE('',#153447,#153447,#66086,.T.); #187137=EDGE_CURVE('',#153448,#153448,#66087,.T.); #187138=EDGE_CURVE('',#153448,#153449,#74501,.T.); #187139=EDGE_CURVE('',#153449,#153449,#66088,.T.); #187140=EDGE_CURVE('',#153450,#153450,#66089,.T.); #187141=EDGE_CURVE('',#153450,#153451,#74502,.T.); #187142=EDGE_CURVE('',#153451,#153451,#66090,.T.); #187143=EDGE_CURVE('',#153452,#153452,#66091,.T.); #187144=EDGE_CURVE('',#153452,#153453,#74503,.T.); #187145=EDGE_CURVE('',#153453,#153453,#66092,.T.); #187146=EDGE_CURVE('',#153454,#153454,#66093,.T.); #187147=EDGE_CURVE('',#153454,#153455,#74504,.T.); #187148=EDGE_CURVE('',#153455,#153455,#66094,.T.); #187149=EDGE_CURVE('',#153456,#153456,#66095,.T.); #187150=EDGE_CURVE('',#153456,#153457,#74505,.T.); #187151=EDGE_CURVE('',#153457,#153457,#66096,.T.); #187152=EDGE_CURVE('',#153458,#153458,#66097,.T.); #187153=EDGE_CURVE('',#153458,#153459,#74506,.T.); #187154=EDGE_CURVE('',#153459,#153459,#66098,.T.); #187155=EDGE_CURVE('',#153460,#153460,#66099,.T.); #187156=EDGE_CURVE('',#153460,#153461,#74507,.T.); #187157=EDGE_CURVE('',#153461,#153461,#66100,.T.); #187158=EDGE_CURVE('',#153462,#153462,#66101,.T.); #187159=EDGE_CURVE('',#153462,#153463,#74508,.T.); #187160=EDGE_CURVE('',#153463,#153463,#66102,.T.); #187161=EDGE_CURVE('',#153464,#153464,#66103,.T.); #187162=EDGE_CURVE('',#153464,#153465,#74509,.T.); #187163=EDGE_CURVE('',#153465,#153465,#66104,.T.); #187164=EDGE_CURVE('',#153466,#153466,#66105,.T.); #187165=EDGE_CURVE('',#153466,#153467,#74510,.T.); #187166=EDGE_CURVE('',#153467,#153467,#66106,.T.); #187167=EDGE_CURVE('',#153468,#153468,#66107,.T.); #187168=EDGE_CURVE('',#153468,#153469,#74511,.T.); #187169=EDGE_CURVE('',#153469,#153469,#66108,.T.); #187170=EDGE_CURVE('',#153470,#153470,#66109,.T.); #187171=EDGE_CURVE('',#153470,#153471,#74512,.T.); #187172=EDGE_CURVE('',#153471,#153471,#66110,.T.); #187173=EDGE_CURVE('',#153472,#153472,#66111,.T.); #187174=EDGE_CURVE('',#153472,#153473,#74513,.T.); #187175=EDGE_CURVE('',#153473,#153473,#66112,.T.); #187176=EDGE_CURVE('',#153474,#153474,#66113,.T.); #187177=EDGE_CURVE('',#153474,#153475,#74514,.T.); #187178=EDGE_CURVE('',#153475,#153475,#66114,.T.); #187179=EDGE_CURVE('',#153476,#153476,#66115,.T.); #187180=EDGE_CURVE('',#153476,#153477,#74515,.T.); #187181=EDGE_CURVE('',#153477,#153477,#66116,.T.); #187182=EDGE_CURVE('',#153478,#153478,#66117,.T.); #187183=EDGE_CURVE('',#153478,#153479,#74516,.T.); #187184=EDGE_CURVE('',#153479,#153479,#66118,.T.); #187185=EDGE_CURVE('',#153480,#153480,#66119,.T.); #187186=EDGE_CURVE('',#153480,#153481,#74517,.T.); #187187=EDGE_CURVE('',#153481,#153481,#66120,.T.); #187188=EDGE_CURVE('',#153482,#153482,#66121,.T.); #187189=EDGE_CURVE('',#153482,#153483,#74518,.T.); #187190=EDGE_CURVE('',#153483,#153483,#66122,.T.); #187191=EDGE_CURVE('',#153484,#153484,#66123,.T.); #187192=EDGE_CURVE('',#153484,#153485,#74519,.T.); #187193=EDGE_CURVE('',#153485,#153485,#66124,.T.); #187194=EDGE_CURVE('',#153486,#153486,#66125,.T.); #187195=EDGE_CURVE('',#153486,#153487,#74520,.T.); #187196=EDGE_CURVE('',#153487,#153487,#66126,.T.); #187197=EDGE_CURVE('',#153488,#153488,#66127,.T.); #187198=EDGE_CURVE('',#153488,#153489,#74521,.T.); #187199=EDGE_CURVE('',#153489,#153489,#66128,.T.); #187200=EDGE_CURVE('',#153490,#153490,#66129,.T.); #187201=EDGE_CURVE('',#153490,#153491,#74522,.T.); #187202=EDGE_CURVE('',#153491,#153491,#66130,.T.); #187203=EDGE_CURVE('',#153492,#153492,#66131,.T.); #187204=EDGE_CURVE('',#153492,#153493,#74523,.T.); #187205=EDGE_CURVE('',#153493,#153493,#66132,.T.); #187206=EDGE_CURVE('',#153494,#153494,#66133,.T.); #187207=EDGE_CURVE('',#153494,#153495,#74524,.T.); #187208=EDGE_CURVE('',#153495,#153495,#66134,.T.); #187209=EDGE_CURVE('',#153496,#153496,#66135,.T.); #187210=EDGE_CURVE('',#153496,#153497,#74525,.T.); #187211=EDGE_CURVE('',#153497,#153497,#66136,.T.); #187212=EDGE_CURVE('',#153498,#153498,#66137,.T.); #187213=EDGE_CURVE('',#153498,#153499,#74526,.T.); #187214=EDGE_CURVE('',#153499,#153499,#66138,.T.); #187215=EDGE_CURVE('',#153500,#153500,#66139,.T.); #187216=EDGE_CURVE('',#153500,#153501,#74527,.T.); #187217=EDGE_CURVE('',#153501,#153501,#66140,.T.); #187218=EDGE_CURVE('',#153502,#153502,#66141,.T.); #187219=EDGE_CURVE('',#153502,#153503,#74528,.T.); #187220=EDGE_CURVE('',#153503,#153503,#66142,.T.); #187221=EDGE_CURVE('',#153504,#153504,#66143,.T.); #187222=EDGE_CURVE('',#153504,#153505,#74529,.T.); #187223=EDGE_CURVE('',#153505,#153505,#66144,.T.); #187224=EDGE_CURVE('',#153506,#153506,#66145,.T.); #187225=EDGE_CURVE('',#153506,#153507,#74530,.T.); #187226=EDGE_CURVE('',#153507,#153507,#66146,.T.); #187227=EDGE_CURVE('',#153508,#153508,#66147,.T.); #187228=EDGE_CURVE('',#153508,#153509,#74531,.T.); #187229=EDGE_CURVE('',#153509,#153509,#66148,.T.); #187230=EDGE_CURVE('',#153510,#153510,#66149,.T.); #187231=EDGE_CURVE('',#153510,#153511,#74532,.T.); #187232=EDGE_CURVE('',#153511,#153511,#66150,.T.); #187233=EDGE_CURVE('',#153512,#153512,#66151,.T.); #187234=EDGE_CURVE('',#153512,#153513,#74533,.T.); #187235=EDGE_CURVE('',#153513,#153513,#66152,.T.); #187236=EDGE_CURVE('',#153514,#153514,#66153,.T.); #187237=EDGE_CURVE('',#153514,#153515,#74534,.T.); #187238=EDGE_CURVE('',#153515,#153515,#66154,.T.); #187239=EDGE_CURVE('',#153516,#153516,#66155,.T.); #187240=EDGE_CURVE('',#153516,#153517,#74535,.T.); #187241=EDGE_CURVE('',#153517,#153517,#66156,.T.); #187242=EDGE_CURVE('',#153518,#153518,#66157,.T.); #187243=EDGE_CURVE('',#153518,#153519,#74536,.T.); #187244=EDGE_CURVE('',#153519,#153519,#66158,.T.); #187245=EDGE_CURVE('',#153520,#153520,#66159,.T.); #187246=EDGE_CURVE('',#153520,#153521,#74537,.T.); #187247=EDGE_CURVE('',#153521,#153521,#66160,.T.); #187248=EDGE_CURVE('',#153522,#153522,#66161,.T.); #187249=EDGE_CURVE('',#153522,#153523,#74538,.T.); #187250=EDGE_CURVE('',#153523,#153523,#66162,.T.); #187251=EDGE_CURVE('',#153524,#153524,#66163,.T.); #187252=EDGE_CURVE('',#153524,#153525,#74539,.T.); #187253=EDGE_CURVE('',#153525,#153525,#66164,.T.); #187254=EDGE_CURVE('',#153526,#153526,#66165,.T.); #187255=EDGE_CURVE('',#153526,#153527,#74540,.T.); #187256=EDGE_CURVE('',#153527,#153527,#66166,.T.); #187257=EDGE_CURVE('',#153528,#153528,#66167,.T.); #187258=EDGE_CURVE('',#153528,#153529,#74541,.T.); #187259=EDGE_CURVE('',#153529,#153529,#66168,.T.); #187260=EDGE_CURVE('',#153530,#153530,#66169,.T.); #187261=EDGE_CURVE('',#153530,#153531,#74542,.T.); #187262=EDGE_CURVE('',#153531,#153531,#66170,.T.); #187263=EDGE_CURVE('',#153532,#153532,#66171,.T.); #187264=EDGE_CURVE('',#153532,#153533,#74543,.T.); #187265=EDGE_CURVE('',#153533,#153533,#66172,.T.); #187266=EDGE_CURVE('',#153534,#153534,#66173,.T.); #187267=EDGE_CURVE('',#153534,#153535,#74544,.T.); #187268=EDGE_CURVE('',#153535,#153535,#66174,.T.); #187269=EDGE_CURVE('',#153536,#153536,#66175,.T.); #187270=EDGE_CURVE('',#153536,#153537,#74545,.T.); #187271=EDGE_CURVE('',#153537,#153537,#66176,.T.); #187272=EDGE_CURVE('',#153538,#153538,#66177,.T.); #187273=EDGE_CURVE('',#153538,#153539,#74546,.T.); #187274=EDGE_CURVE('',#153539,#153539,#66178,.T.); #187275=EDGE_CURVE('',#153540,#153540,#66179,.T.); #187276=EDGE_CURVE('',#153540,#153541,#74547,.T.); #187277=EDGE_CURVE('',#153541,#153541,#66180,.T.); #187278=EDGE_CURVE('',#153542,#153542,#66181,.T.); #187279=EDGE_CURVE('',#153542,#153543,#74548,.T.); #187280=EDGE_CURVE('',#153543,#153543,#66182,.T.); #187281=EDGE_CURVE('',#153544,#153544,#66183,.T.); #187282=EDGE_CURVE('',#153544,#153545,#74549,.T.); #187283=EDGE_CURVE('',#153545,#153545,#66184,.T.); #187284=EDGE_CURVE('',#153546,#153546,#66185,.T.); #187285=EDGE_CURVE('',#153546,#153547,#74550,.T.); #187286=EDGE_CURVE('',#153547,#153547,#66186,.T.); #187287=EDGE_CURVE('',#153548,#153548,#66187,.T.); #187288=EDGE_CURVE('',#153548,#153549,#74551,.T.); #187289=EDGE_CURVE('',#153549,#153549,#66188,.T.); #187290=EDGE_CURVE('',#153550,#153550,#66189,.T.); #187291=EDGE_CURVE('',#153550,#153551,#74552,.T.); #187292=EDGE_CURVE('',#153551,#153551,#66190,.T.); #187293=EDGE_CURVE('',#153552,#153552,#66191,.T.); #187294=EDGE_CURVE('',#153552,#153553,#74553,.T.); #187295=EDGE_CURVE('',#153553,#153553,#66192,.T.); #187296=EDGE_CURVE('',#153554,#153554,#66193,.T.); #187297=EDGE_CURVE('',#153554,#153555,#74554,.T.); #187298=EDGE_CURVE('',#153555,#153555,#66194,.T.); #187299=EDGE_CURVE('',#153556,#153556,#66195,.T.); #187300=EDGE_CURVE('',#153556,#153557,#74555,.T.); #187301=EDGE_CURVE('',#153557,#153557,#66196,.T.); #187302=EDGE_CURVE('',#153558,#153558,#66197,.T.); #187303=EDGE_CURVE('',#153558,#153559,#74556,.T.); #187304=EDGE_CURVE('',#153559,#153559,#66198,.T.); #187305=EDGE_CURVE('',#153560,#153560,#66199,.T.); #187306=EDGE_CURVE('',#153560,#153561,#74557,.T.); #187307=EDGE_CURVE('',#153561,#153561,#66200,.T.); #187308=EDGE_CURVE('',#153562,#153562,#66201,.T.); #187309=EDGE_CURVE('',#153562,#153563,#74558,.T.); #187310=EDGE_CURVE('',#153563,#153563,#66202,.T.); #187311=EDGE_CURVE('',#153564,#153564,#66203,.T.); #187312=EDGE_CURVE('',#153564,#153565,#74559,.T.); #187313=EDGE_CURVE('',#153565,#153565,#66204,.T.); #187314=EDGE_CURVE('',#153566,#153566,#66205,.T.); #187315=EDGE_CURVE('',#153566,#153567,#74560,.T.); #187316=EDGE_CURVE('',#153567,#153567,#66206,.T.); #187317=EDGE_CURVE('',#153568,#153568,#66207,.T.); #187318=EDGE_CURVE('',#153568,#153569,#74561,.T.); #187319=EDGE_CURVE('',#153569,#153569,#66208,.T.); #187320=EDGE_CURVE('',#153570,#153570,#66209,.T.); #187321=EDGE_CURVE('',#153570,#153571,#74562,.T.); #187322=EDGE_CURVE('',#153571,#153571,#66210,.T.); #187323=EDGE_CURVE('',#153572,#153572,#66211,.T.); #187324=EDGE_CURVE('',#153572,#153573,#74563,.T.); #187325=EDGE_CURVE('',#153573,#153573,#66212,.T.); #187326=EDGE_CURVE('',#153574,#153574,#66213,.T.); #187327=EDGE_CURVE('',#153574,#153575,#74564,.T.); #187328=EDGE_CURVE('',#153575,#153575,#66214,.T.); #187329=EDGE_CURVE('',#153576,#153576,#66215,.T.); #187330=EDGE_CURVE('',#153576,#153577,#74565,.T.); #187331=EDGE_CURVE('',#153577,#153577,#66216,.T.); #187332=EDGE_CURVE('',#153578,#153578,#66217,.T.); #187333=EDGE_CURVE('',#153578,#153579,#74566,.T.); #187334=EDGE_CURVE('',#153579,#153579,#66218,.T.); #187335=EDGE_CURVE('',#153580,#153580,#66219,.T.); #187336=EDGE_CURVE('',#153580,#153581,#74567,.T.); #187337=EDGE_CURVE('',#153581,#153581,#66220,.T.); #187338=EDGE_CURVE('',#153582,#153582,#66221,.T.); #187339=EDGE_CURVE('',#153582,#153583,#74568,.T.); #187340=EDGE_CURVE('',#153583,#153583,#66222,.T.); #187341=EDGE_CURVE('',#153584,#153584,#66223,.T.); #187342=EDGE_CURVE('',#153584,#153585,#74569,.T.); #187343=EDGE_CURVE('',#153585,#153585,#66224,.T.); #187344=EDGE_CURVE('',#153586,#153586,#66225,.T.); #187345=EDGE_CURVE('',#153586,#153587,#74570,.T.); #187346=EDGE_CURVE('',#153587,#153587,#66226,.T.); #187347=EDGE_CURVE('',#153588,#153588,#66227,.T.); #187348=EDGE_CURVE('',#153588,#153589,#74571,.T.); #187349=EDGE_CURVE('',#153589,#153589,#66228,.T.); #187350=EDGE_CURVE('',#153590,#153590,#66229,.T.); #187351=EDGE_CURVE('',#153590,#153591,#74572,.T.); #187352=EDGE_CURVE('',#153591,#153591,#66230,.T.); #187353=EDGE_CURVE('',#153592,#153592,#66231,.T.); #187354=EDGE_CURVE('',#153592,#153593,#74573,.T.); #187355=EDGE_CURVE('',#153593,#153593,#66232,.T.); #187356=EDGE_CURVE('',#153594,#153594,#66233,.T.); #187357=EDGE_CURVE('',#153594,#153595,#74574,.T.); #187358=EDGE_CURVE('',#153595,#153595,#66234,.T.); #187359=EDGE_CURVE('',#153596,#153596,#66235,.T.); #187360=EDGE_CURVE('',#153596,#153597,#74575,.T.); #187361=EDGE_CURVE('',#153597,#153597,#66236,.T.); #187362=EDGE_CURVE('',#153598,#153598,#66237,.T.); #187363=EDGE_CURVE('',#153598,#153599,#74576,.T.); #187364=EDGE_CURVE('',#153599,#153599,#66238,.T.); #187365=EDGE_CURVE('',#153600,#153600,#66239,.T.); #187366=EDGE_CURVE('',#153600,#153601,#74577,.T.); #187367=EDGE_CURVE('',#153601,#153601,#66240,.T.); #187368=EDGE_CURVE('',#153602,#153602,#66241,.T.); #187369=EDGE_CURVE('',#153602,#153603,#74578,.T.); #187370=EDGE_CURVE('',#153603,#153603,#66242,.T.); #187371=EDGE_CURVE('',#153604,#153604,#66243,.T.); #187372=EDGE_CURVE('',#153604,#153605,#74579,.T.); #187373=EDGE_CURVE('',#153605,#153605,#66244,.T.); #187374=EDGE_CURVE('',#153606,#153606,#66245,.T.); #187375=EDGE_CURVE('',#153606,#153607,#74580,.T.); #187376=EDGE_CURVE('',#153607,#153607,#66246,.T.); #187377=EDGE_CURVE('',#153608,#153608,#66247,.T.); #187378=EDGE_CURVE('',#153608,#153609,#74581,.T.); #187379=EDGE_CURVE('',#153609,#153609,#66248,.T.); #187380=EDGE_CURVE('',#153610,#153610,#66249,.T.); #187381=EDGE_CURVE('',#153610,#153611,#74582,.T.); #187382=EDGE_CURVE('',#153611,#153611,#66250,.T.); #187383=EDGE_CURVE('',#153612,#153612,#66251,.T.); #187384=EDGE_CURVE('',#153612,#153613,#74583,.T.); #187385=EDGE_CURVE('',#153613,#153613,#66252,.T.); #187386=EDGE_CURVE('',#153614,#153614,#66253,.T.); #187387=EDGE_CURVE('',#153614,#153615,#74584,.T.); #187388=EDGE_CURVE('',#153615,#153615,#66254,.T.); #187389=EDGE_CURVE('',#153616,#153616,#66255,.T.); #187390=EDGE_CURVE('',#153616,#153617,#74585,.T.); #187391=EDGE_CURVE('',#153617,#153617,#66256,.T.); #187392=EDGE_CURVE('',#153618,#153618,#66257,.T.); #187393=EDGE_CURVE('',#153618,#153619,#74586,.T.); #187394=EDGE_CURVE('',#153619,#153619,#66258,.T.); #187395=EDGE_CURVE('',#153620,#153620,#66259,.T.); #187396=EDGE_CURVE('',#153620,#153621,#74587,.T.); #187397=EDGE_CURVE('',#153621,#153621,#66260,.T.); #187398=EDGE_CURVE('',#153622,#153622,#66261,.T.); #187399=EDGE_CURVE('',#153622,#153623,#74588,.T.); #187400=EDGE_CURVE('',#153623,#153623,#66262,.T.); #187401=EDGE_CURVE('',#153624,#153624,#66263,.T.); #187402=EDGE_CURVE('',#153624,#153625,#74589,.T.); #187403=EDGE_CURVE('',#153625,#153625,#66264,.T.); #187404=EDGE_CURVE('',#153626,#153626,#66265,.T.); #187405=EDGE_CURVE('',#153626,#153627,#74590,.T.); #187406=EDGE_CURVE('',#153627,#153627,#66266,.T.); #187407=EDGE_CURVE('',#153628,#153628,#66267,.T.); #187408=EDGE_CURVE('',#153628,#153629,#74591,.T.); #187409=EDGE_CURVE('',#153629,#153629,#66268,.T.); #187410=EDGE_CURVE('',#153630,#153630,#66269,.T.); #187411=EDGE_CURVE('',#153630,#153631,#74592,.T.); #187412=EDGE_CURVE('',#153631,#153631,#66270,.T.); #187413=EDGE_CURVE('',#153632,#153632,#66271,.T.); #187414=EDGE_CURVE('',#153632,#153633,#74593,.T.); #187415=EDGE_CURVE('',#153633,#153633,#66272,.T.); #187416=EDGE_CURVE('',#153634,#153634,#66273,.T.); #187417=EDGE_CURVE('',#153634,#153635,#74594,.T.); #187418=EDGE_CURVE('',#153635,#153635,#66274,.T.); #187419=EDGE_CURVE('',#153636,#153636,#66275,.T.); #187420=EDGE_CURVE('',#153636,#153637,#74595,.T.); #187421=EDGE_CURVE('',#153637,#153637,#66276,.T.); #187422=EDGE_CURVE('',#153638,#153638,#66277,.T.); #187423=EDGE_CURVE('',#153638,#153639,#74596,.T.); #187424=EDGE_CURVE('',#153639,#153639,#66278,.T.); #187425=EDGE_CURVE('',#153640,#153640,#66279,.T.); #187426=EDGE_CURVE('',#153640,#153641,#74597,.T.); #187427=EDGE_CURVE('',#153641,#153641,#66280,.T.); #187428=EDGE_CURVE('',#153642,#153642,#66281,.T.); #187429=EDGE_CURVE('',#153642,#153643,#74598,.T.); #187430=EDGE_CURVE('',#153643,#153643,#66282,.T.); #187431=EDGE_CURVE('',#153644,#153644,#66283,.T.); #187432=EDGE_CURVE('',#153644,#153645,#74599,.T.); #187433=EDGE_CURVE('',#153645,#153645,#66284,.T.); #187434=EDGE_CURVE('',#153646,#153646,#66285,.T.); #187435=EDGE_CURVE('',#153646,#153647,#74600,.T.); #187436=EDGE_CURVE('',#153647,#153647,#66286,.T.); #187437=EDGE_CURVE('',#153648,#153648,#66287,.T.); #187438=EDGE_CURVE('',#153648,#153649,#74601,.T.); #187439=EDGE_CURVE('',#153649,#153649,#66288,.T.); #187440=EDGE_CURVE('',#153650,#153650,#66289,.T.); #187441=EDGE_CURVE('',#153650,#153651,#74602,.T.); #187442=EDGE_CURVE('',#153651,#153651,#66290,.T.); #187443=EDGE_CURVE('',#153652,#153653,#74603,.T.); #187444=EDGE_CURVE('',#153653,#153654,#74604,.T.); #187445=EDGE_CURVE('',#153655,#153654,#74605,.T.); #187446=EDGE_CURVE('',#153652,#153655,#74606,.T.); #187447=EDGE_CURVE('',#153656,#153652,#66291,.T.); #187448=EDGE_CURVE('',#153657,#153655,#66292,.T.); #187449=EDGE_CURVE('',#153656,#153657,#74607,.T.); #187450=EDGE_CURVE('',#153653,#153656,#66293,.T.); #187451=EDGE_CURVE('',#153654,#153657,#66294,.T.); #187452=EDGE_CURVE('',#153658,#153659,#74608,.T.); #187453=EDGE_CURVE('',#153659,#153660,#74609,.T.); #187454=EDGE_CURVE('',#153661,#153660,#74610,.T.); #187455=EDGE_CURVE('',#153658,#153661,#74611,.T.); #187456=EDGE_CURVE('',#153662,#153658,#74612,.T.); #187457=EDGE_CURVE('',#153663,#153661,#74613,.T.); #187458=EDGE_CURVE('',#153662,#153663,#74614,.T.); #187459=EDGE_CURVE('',#153664,#153662,#74615,.T.); #187460=EDGE_CURVE('',#153665,#153663,#74616,.T.); #187461=EDGE_CURVE('',#153664,#153665,#74617,.T.); #187462=EDGE_CURVE('',#153659,#153664,#74618,.T.); #187463=EDGE_CURVE('',#153660,#153665,#74619,.T.); #187464=EDGE_CURVE('',#153666,#153667,#74620,.T.); #187465=EDGE_CURVE('',#153667,#153668,#74621,.T.); #187466=EDGE_CURVE('',#153669,#153668,#74622,.T.); #187467=EDGE_CURVE('',#153666,#153669,#74623,.T.); #187468=EDGE_CURVE('',#153670,#153666,#74624,.T.); #187469=EDGE_CURVE('',#153671,#153669,#74625,.T.); #187470=EDGE_CURVE('',#153670,#153671,#74626,.T.); #187471=EDGE_CURVE('',#153672,#153670,#74627,.T.); #187472=EDGE_CURVE('',#153673,#153671,#74628,.T.); #187473=EDGE_CURVE('',#153672,#153673,#74629,.T.); #187474=EDGE_CURVE('',#153667,#153672,#74630,.T.); #187475=EDGE_CURVE('',#153668,#153673,#74631,.T.); #187476=EDGE_CURVE('',#153674,#153675,#74632,.T.); #187477=EDGE_CURVE('',#153675,#153676,#74633,.T.); #187478=EDGE_CURVE('',#153677,#153676,#74634,.T.); #187479=EDGE_CURVE('',#153674,#153677,#74635,.T.); #187480=EDGE_CURVE('',#153678,#153674,#74636,.T.); #187481=EDGE_CURVE('',#153679,#153677,#74637,.T.); #187482=EDGE_CURVE('',#153678,#153679,#74638,.T.); #187483=EDGE_CURVE('',#153680,#153678,#74639,.T.); #187484=EDGE_CURVE('',#153681,#153679,#74640,.T.); #187485=EDGE_CURVE('',#153680,#153681,#74641,.T.); #187486=EDGE_CURVE('',#153675,#153680,#74642,.T.); #187487=EDGE_CURVE('',#153676,#153681,#74643,.T.); #187488=EDGE_CURVE('',#153682,#153683,#74644,.T.); #187489=EDGE_CURVE('',#153683,#153684,#74645,.T.); #187490=EDGE_CURVE('',#153685,#153684,#74646,.T.); #187491=EDGE_CURVE('',#153682,#153685,#74647,.T.); #187492=EDGE_CURVE('',#153686,#153682,#74648,.T.); #187493=EDGE_CURVE('',#153687,#153685,#74649,.T.); #187494=EDGE_CURVE('',#153686,#153687,#74650,.T.); #187495=EDGE_CURVE('',#153688,#153686,#74651,.T.); #187496=EDGE_CURVE('',#153689,#153687,#74652,.T.); #187497=EDGE_CURVE('',#153688,#153689,#74653,.T.); #187498=EDGE_CURVE('',#153683,#153688,#74654,.T.); #187499=EDGE_CURVE('',#153684,#153689,#74655,.T.); #187500=EDGE_CURVE('',#153690,#153691,#74656,.T.); #187501=EDGE_CURVE('',#153691,#153692,#74657,.T.); #187502=EDGE_CURVE('',#153693,#153692,#74658,.T.); #187503=EDGE_CURVE('',#153690,#153693,#74659,.T.); #187504=EDGE_CURVE('',#153694,#153690,#74660,.T.); #187505=EDGE_CURVE('',#153695,#153693,#74661,.T.); #187506=EDGE_CURVE('',#153694,#153695,#74662,.T.); #187507=EDGE_CURVE('',#153696,#153694,#74663,.T.); #187508=EDGE_CURVE('',#153697,#153695,#74664,.T.); #187509=EDGE_CURVE('',#153696,#153697,#74665,.T.); #187510=EDGE_CURVE('',#153691,#153696,#74666,.T.); #187511=EDGE_CURVE('',#153692,#153697,#74667,.T.); #187512=EDGE_CURVE('',#153698,#153699,#74668,.T.); #187513=EDGE_CURVE('',#153699,#153700,#74669,.T.); #187514=EDGE_CURVE('',#153701,#153700,#74670,.T.); #187515=EDGE_CURVE('',#153698,#153701,#74671,.T.); #187516=EDGE_CURVE('',#153702,#153698,#74672,.T.); #187517=EDGE_CURVE('',#153703,#153701,#74673,.T.); #187518=EDGE_CURVE('',#153702,#153703,#74674,.T.); #187519=EDGE_CURVE('',#153704,#153702,#74675,.T.); #187520=EDGE_CURVE('',#153705,#153703,#74676,.T.); #187521=EDGE_CURVE('',#153704,#153705,#74677,.T.); #187522=EDGE_CURVE('',#153699,#153704,#74678,.T.); #187523=EDGE_CURVE('',#153700,#153705,#74679,.T.); #187524=EDGE_CURVE('',#153706,#153707,#74680,.T.); #187525=EDGE_CURVE('',#153707,#153708,#74681,.T.); #187526=EDGE_CURVE('',#153709,#153708,#74682,.T.); #187527=EDGE_CURVE('',#153706,#153709,#74683,.T.); #187528=EDGE_CURVE('',#153710,#153706,#74684,.T.); #187529=EDGE_CURVE('',#153711,#153709,#74685,.T.); #187530=EDGE_CURVE('',#153710,#153711,#74686,.T.); #187531=EDGE_CURVE('',#153712,#153710,#74687,.T.); #187532=EDGE_CURVE('',#153713,#153711,#74688,.T.); #187533=EDGE_CURVE('',#153712,#153713,#74689,.T.); #187534=EDGE_CURVE('',#153707,#153712,#74690,.T.); #187535=EDGE_CURVE('',#153708,#153713,#74691,.T.); #187536=EDGE_CURVE('',#153714,#153715,#74692,.T.); #187537=EDGE_CURVE('',#153715,#153716,#74693,.T.); #187538=EDGE_CURVE('',#153717,#153716,#74694,.T.); #187539=EDGE_CURVE('',#153714,#153717,#74695,.T.); #187540=EDGE_CURVE('',#153718,#153714,#74696,.T.); #187541=EDGE_CURVE('',#153719,#153717,#74697,.T.); #187542=EDGE_CURVE('',#153718,#153719,#74698,.T.); #187543=EDGE_CURVE('',#153720,#153718,#74699,.T.); #187544=EDGE_CURVE('',#153721,#153719,#74700,.T.); #187545=EDGE_CURVE('',#153720,#153721,#74701,.T.); #187546=EDGE_CURVE('',#153715,#153720,#74702,.T.); #187547=EDGE_CURVE('',#153716,#153721,#74703,.T.); #187548=EDGE_CURVE('',#153722,#153723,#74704,.T.); #187549=EDGE_CURVE('',#153723,#153724,#74705,.T.); #187550=EDGE_CURVE('',#153725,#153724,#74706,.T.); #187551=EDGE_CURVE('',#153722,#153725,#74707,.T.); #187552=EDGE_CURVE('',#153726,#153722,#74708,.T.); #187553=EDGE_CURVE('',#153727,#153725,#74709,.T.); #187554=EDGE_CURVE('',#153726,#153727,#74710,.T.); #187555=EDGE_CURVE('',#153728,#153726,#74711,.T.); #187556=EDGE_CURVE('',#153729,#153727,#74712,.T.); #187557=EDGE_CURVE('',#153728,#153729,#74713,.T.); #187558=EDGE_CURVE('',#153723,#153728,#74714,.T.); #187559=EDGE_CURVE('',#153724,#153729,#74715,.T.); #187560=EDGE_CURVE('',#153730,#153731,#74716,.T.); #187561=EDGE_CURVE('',#153731,#153732,#74717,.T.); #187562=EDGE_CURVE('',#153733,#153732,#74718,.T.); #187563=EDGE_CURVE('',#153730,#153733,#74719,.T.); #187564=EDGE_CURVE('',#153734,#153730,#74720,.T.); #187565=EDGE_CURVE('',#153735,#153733,#74721,.T.); #187566=EDGE_CURVE('',#153734,#153735,#74722,.T.); #187567=EDGE_CURVE('',#153736,#153734,#74723,.T.); #187568=EDGE_CURVE('',#153737,#153735,#74724,.T.); #187569=EDGE_CURVE('',#153736,#153737,#74725,.T.); #187570=EDGE_CURVE('',#153731,#153736,#74726,.T.); #187571=EDGE_CURVE('',#153732,#153737,#74727,.T.); #187572=EDGE_CURVE('',#153738,#153738,#66295,.T.); #187573=EDGE_CURVE('',#153738,#153739,#74728,.T.); #187574=EDGE_CURVE('',#153739,#153739,#66296,.T.); #187575=EDGE_CURVE('',#153740,#153741,#74729,.T.); #187576=EDGE_CURVE('',#153741,#153742,#74730,.T.); #187577=EDGE_CURVE('',#153743,#153742,#74731,.T.); #187578=EDGE_CURVE('',#153740,#153743,#74732,.T.); #187579=EDGE_CURVE('',#153744,#153740,#66297,.T.); #187580=EDGE_CURVE('',#153745,#153743,#66298,.T.); #187581=EDGE_CURVE('',#153744,#153745,#74733,.T.); #187582=EDGE_CURVE('',#153741,#153744,#66299,.T.); #187583=EDGE_CURVE('',#153742,#153745,#66300,.T.); #187584=EDGE_CURVE('',#153746,#153746,#66301,.T.); #187585=EDGE_CURVE('',#153746,#153747,#74734,.T.); #187586=EDGE_CURVE('',#153747,#153747,#66302,.T.); #187587=EDGE_CURVE('',#153748,#153748,#66303,.T.); #187588=EDGE_CURVE('',#153748,#153749,#74735,.T.); #187589=EDGE_CURVE('',#153749,#153749,#66304,.T.); #187590=EDGE_CURVE('',#153750,#153751,#74736,.T.); #187591=EDGE_CURVE('',#153751,#153752,#74737,.T.); #187592=EDGE_CURVE('',#153753,#153752,#74738,.T.); #187593=EDGE_CURVE('',#153750,#153753,#74739,.T.); #187594=EDGE_CURVE('',#153754,#153750,#66305,.T.); #187595=EDGE_CURVE('',#153755,#153753,#66306,.T.); #187596=EDGE_CURVE('',#153754,#153755,#74740,.T.); #187597=EDGE_CURVE('',#153756,#153754,#66307,.T.); #187598=EDGE_CURVE('',#153757,#153755,#66308,.T.); #187599=EDGE_CURVE('',#153756,#153757,#74741,.T.); #187600=EDGE_CURVE('',#153758,#153756,#74742,.T.); #187601=EDGE_CURVE('',#153759,#153757,#74743,.T.); #187602=EDGE_CURVE('',#153758,#153759,#74744,.T.); #187603=EDGE_CURVE('',#153760,#153758,#66309,.T.); #187604=EDGE_CURVE('',#153761,#153759,#66310,.T.); #187605=EDGE_CURVE('',#153760,#153761,#74745,.T.); #187606=EDGE_CURVE('',#153762,#153760,#66311,.T.); #187607=EDGE_CURVE('',#153763,#153761,#66312,.T.); #187608=EDGE_CURVE('',#153762,#153763,#74746,.T.); #187609=EDGE_CURVE('',#153764,#153762,#74747,.T.); #187610=EDGE_CURVE('',#153765,#153763,#74748,.T.); #187611=EDGE_CURVE('',#153764,#153765,#74749,.T.); #187612=EDGE_CURVE('',#153751,#153764,#66313,.T.); #187613=EDGE_CURVE('',#153752,#153765,#66314,.T.); #187614=EDGE_CURVE('',#153766,#153766,#66315,.T.); #187615=EDGE_CURVE('',#153766,#153767,#74750,.T.); #187616=EDGE_CURVE('',#153767,#153767,#66316,.T.); #187617=EDGE_CURVE('',#153768,#153769,#74751,.T.); #187618=EDGE_CURVE('',#153769,#153770,#74752,.T.); #187619=EDGE_CURVE('',#153771,#153770,#74753,.T.); #187620=EDGE_CURVE('',#153768,#153771,#74754,.T.); #187621=EDGE_CURVE('',#153772,#153768,#66317,.T.); #187622=EDGE_CURVE('',#153773,#153771,#66318,.T.); #187623=EDGE_CURVE('',#153772,#153773,#74755,.T.); #187624=EDGE_CURVE('',#153769,#153772,#66319,.T.); #187625=EDGE_CURVE('',#153770,#153773,#66320,.T.); #187626=EDGE_CURVE('',#153774,#153774,#66321,.T.); #187627=EDGE_CURVE('',#153774,#153775,#74756,.T.); #187628=EDGE_CURVE('',#153775,#153775,#66322,.T.); #187629=EDGE_CURVE('',#153776,#153777,#74757,.T.); #187630=EDGE_CURVE('',#153777,#153778,#74758,.T.); #187631=EDGE_CURVE('',#153779,#153778,#74759,.T.); #187632=EDGE_CURVE('',#153776,#153779,#74760,.T.); #187633=EDGE_CURVE('',#153780,#153776,#66323,.T.); #187634=EDGE_CURVE('',#153781,#153779,#66324,.T.); #187635=EDGE_CURVE('',#153780,#153781,#74761,.T.); #187636=EDGE_CURVE('',#153777,#153780,#66325,.T.); #187637=EDGE_CURVE('',#153778,#153781,#66326,.T.); #187638=EDGE_CURVE('',#153782,#153782,#66327,.T.); #187639=EDGE_CURVE('',#153782,#153783,#74762,.T.); #187640=EDGE_CURVE('',#153783,#153783,#66328,.T.); #187641=EDGE_CURVE('',#153784,#153785,#74763,.T.); #187642=EDGE_CURVE('',#153785,#153786,#74764,.T.); #187643=EDGE_CURVE('',#153787,#153786,#74765,.T.); #187644=EDGE_CURVE('',#153784,#153787,#74766,.T.); #187645=EDGE_CURVE('',#153788,#153784,#66329,.T.); #187646=EDGE_CURVE('',#153789,#153787,#66330,.T.); #187647=EDGE_CURVE('',#153788,#153789,#74767,.T.); #187648=EDGE_CURVE('',#153790,#153788,#74768,.T.); #187649=EDGE_CURVE('',#153791,#153789,#74769,.T.); #187650=EDGE_CURVE('',#153790,#153791,#74770,.T.); #187651=EDGE_CURVE('',#153792,#153790,#66331,.T.); #187652=EDGE_CURVE('',#153793,#153791,#66332,.T.); #187653=EDGE_CURVE('',#153792,#153793,#74771,.T.); #187654=EDGE_CURVE('',#153794,#153792,#74772,.T.); #187655=EDGE_CURVE('',#153795,#153793,#74773,.T.); #187656=EDGE_CURVE('',#153794,#153795,#74774,.T.); #187657=EDGE_CURVE('',#153796,#153794,#74775,.T.); #187658=EDGE_CURVE('',#153797,#153795,#74776,.T.); #187659=EDGE_CURVE('',#153796,#153797,#74777,.T.); #187660=EDGE_CURVE('',#153798,#153796,#74778,.T.); #187661=EDGE_CURVE('',#153799,#153797,#74779,.T.); #187662=EDGE_CURVE('',#153798,#153799,#74780,.T.); #187663=EDGE_CURVE('',#153800,#153798,#74781,.T.); #187664=EDGE_CURVE('',#153801,#153799,#74782,.T.); #187665=EDGE_CURVE('',#153800,#153801,#74783,.T.); #187666=EDGE_CURVE('',#153802,#153800,#74784,.T.); #187667=EDGE_CURVE('',#153803,#153801,#74785,.T.); #187668=EDGE_CURVE('',#153802,#153803,#74786,.T.); #187669=EDGE_CURVE('',#153804,#153802,#74787,.T.); #187670=EDGE_CURVE('',#153805,#153803,#74788,.T.); #187671=EDGE_CURVE('',#153804,#153805,#74789,.T.); #187672=EDGE_CURVE('',#153806,#153804,#74790,.T.); #187673=EDGE_CURVE('',#153807,#153805,#74791,.T.); #187674=EDGE_CURVE('',#153806,#153807,#74792,.T.); #187675=EDGE_CURVE('',#153808,#153806,#66333,.T.); #187676=EDGE_CURVE('',#153809,#153807,#66334,.T.); #187677=EDGE_CURVE('',#153808,#153809,#74793,.T.); #187678=EDGE_CURVE('',#153785,#153808,#66335,.T.); #187679=EDGE_CURVE('',#153786,#153809,#66336,.T.); #187680=EDGE_CURVE('',#153810,#153810,#66337,.T.); #187681=EDGE_CURVE('',#153810,#153811,#74794,.T.); #187682=EDGE_CURVE('',#153811,#153811,#66338,.T.); #187683=EDGE_CURVE('',#153812,#153813,#74795,.T.); #187684=EDGE_CURVE('',#153813,#153814,#74796,.T.); #187685=EDGE_CURVE('',#153815,#153814,#74797,.T.); #187686=EDGE_CURVE('',#153812,#153815,#74798,.T.); #187687=EDGE_CURVE('',#153816,#153812,#66339,.T.); #187688=EDGE_CURVE('',#153817,#153815,#66340,.T.); #187689=EDGE_CURVE('',#153816,#153817,#74799,.T.); #187690=EDGE_CURVE('',#153818,#153816,#74800,.T.); #187691=EDGE_CURVE('',#153819,#153817,#74801,.T.); #187692=EDGE_CURVE('',#153818,#153819,#74802,.T.); #187693=EDGE_CURVE('',#153820,#153818,#74803,.T.); #187694=EDGE_CURVE('',#153821,#153819,#74804,.T.); #187695=EDGE_CURVE('',#153820,#153821,#74805,.T.); #187696=EDGE_CURVE('',#153822,#153820,#74806,.T.); #187697=EDGE_CURVE('',#153823,#153821,#74807,.T.); #187698=EDGE_CURVE('',#153822,#153823,#74808,.T.); #187699=EDGE_CURVE('',#153824,#153822,#74809,.T.); #187700=EDGE_CURVE('',#153825,#153823,#74810,.T.); #187701=EDGE_CURVE('',#153824,#153825,#74811,.T.); #187702=EDGE_CURVE('',#153826,#153824,#74812,.T.); #187703=EDGE_CURVE('',#153827,#153825,#74813,.T.); #187704=EDGE_CURVE('',#153826,#153827,#74814,.T.); #187705=EDGE_CURVE('',#153828,#153826,#74815,.T.); #187706=EDGE_CURVE('',#153829,#153827,#74816,.T.); #187707=EDGE_CURVE('',#153828,#153829,#74817,.T.); #187708=EDGE_CURVE('',#153830,#153828,#74818,.T.); #187709=EDGE_CURVE('',#153831,#153829,#74819,.T.); #187710=EDGE_CURVE('',#153830,#153831,#74820,.T.); #187711=EDGE_CURVE('',#153813,#153830,#66341,.T.); #187712=EDGE_CURVE('',#153814,#153831,#66342,.T.); #187713=EDGE_CURVE('',#153832,#153833,#74821,.T.); #187714=EDGE_CURVE('',#153833,#153834,#74822,.T.); #187715=EDGE_CURVE('',#153835,#153834,#74823,.T.); #187716=EDGE_CURVE('',#153832,#153835,#74824,.T.); #187717=EDGE_CURVE('',#153836,#153832,#74825,.T.); #187718=EDGE_CURVE('',#153837,#153835,#74826,.T.); #187719=EDGE_CURVE('',#153836,#153837,#74827,.T.); #187720=EDGE_CURVE('',#153838,#153836,#74828,.T.); #187721=EDGE_CURVE('',#153839,#153837,#74829,.T.); #187722=EDGE_CURVE('',#153838,#153839,#74830,.T.); #187723=EDGE_CURVE('',#153840,#153838,#74831,.T.); #187724=EDGE_CURVE('',#153841,#153839,#74832,.T.); #187725=EDGE_CURVE('',#153840,#153841,#74833,.T.); #187726=EDGE_CURVE('',#153842,#153840,#74834,.T.); #187727=EDGE_CURVE('',#153843,#153841,#74835,.T.); #187728=EDGE_CURVE('',#153842,#153843,#74836,.T.); #187729=EDGE_CURVE('',#153844,#153842,#74837,.T.); #187730=EDGE_CURVE('',#153845,#153843,#74838,.T.); #187731=EDGE_CURVE('',#153844,#153845,#74839,.T.); #187732=EDGE_CURVE('',#153846,#153844,#74840,.T.); #187733=EDGE_CURVE('',#153847,#153845,#74841,.T.); #187734=EDGE_CURVE('',#153846,#153847,#74842,.T.); #187735=EDGE_CURVE('',#153848,#153846,#74843,.T.); #187736=EDGE_CURVE('',#153849,#153847,#74844,.T.); #187737=EDGE_CURVE('',#153848,#153849,#74845,.T.); #187738=EDGE_CURVE('',#153850,#153848,#66343,.T.); #187739=EDGE_CURVE('',#153851,#153849,#66344,.T.); #187740=EDGE_CURVE('',#153850,#153851,#74846,.T.); #187741=EDGE_CURVE('',#153852,#153850,#74847,.T.); #187742=EDGE_CURVE('',#153853,#153851,#74848,.T.); #187743=EDGE_CURVE('',#153852,#153853,#74849,.T.); #187744=EDGE_CURVE('',#153854,#153852,#66345,.T.); #187745=EDGE_CURVE('',#153855,#153853,#66346,.T.); #187746=EDGE_CURVE('',#153854,#153855,#74850,.T.); #187747=EDGE_CURVE('',#153856,#153854,#74851,.T.); #187748=EDGE_CURVE('',#153857,#153855,#74852,.T.); #187749=EDGE_CURVE('',#153856,#153857,#74853,.T.); #187750=EDGE_CURVE('',#153858,#153856,#74854,.T.); #187751=EDGE_CURVE('',#153859,#153857,#74855,.T.); #187752=EDGE_CURVE('',#153858,#153859,#74856,.T.); #187753=EDGE_CURVE('',#153860,#153858,#74857,.T.); #187754=EDGE_CURVE('',#153861,#153859,#74858,.T.); #187755=EDGE_CURVE('',#153860,#153861,#74859,.T.); #187756=EDGE_CURVE('',#153862,#153860,#74860,.T.); #187757=EDGE_CURVE('',#153863,#153861,#74861,.T.); #187758=EDGE_CURVE('',#153862,#153863,#74862,.T.); #187759=EDGE_CURVE('',#153864,#153862,#74863,.T.); #187760=EDGE_CURVE('',#153865,#153863,#74864,.T.); #187761=EDGE_CURVE('',#153864,#153865,#74865,.T.); #187762=EDGE_CURVE('',#153866,#153864,#74866,.T.); #187763=EDGE_CURVE('',#153867,#153865,#74867,.T.); #187764=EDGE_CURVE('',#153866,#153867,#74868,.T.); #187765=EDGE_CURVE('',#153868,#153866,#74869,.T.); #187766=EDGE_CURVE('',#153869,#153867,#74870,.T.); #187767=EDGE_CURVE('',#153868,#153869,#74871,.T.); #187768=EDGE_CURVE('',#153870,#153868,#66347,.T.); #187769=EDGE_CURVE('',#153871,#153869,#66348,.T.); #187770=EDGE_CURVE('',#153870,#153871,#74872,.T.); #187771=EDGE_CURVE('',#153833,#153870,#74873,.T.); #187772=EDGE_CURVE('',#153834,#153871,#74874,.T.); #187773=EDGE_CURVE('',#153872,#153873,#74875,.T.); #187774=EDGE_CURVE('',#153873,#153874,#74876,.T.); #187775=EDGE_CURVE('',#153875,#153874,#74877,.T.); #187776=EDGE_CURVE('',#153872,#153875,#74878,.T.); #187777=EDGE_CURVE('',#153876,#153872,#74879,.T.); #187778=EDGE_CURVE('',#153877,#153875,#74880,.T.); #187779=EDGE_CURVE('',#153876,#153877,#74881,.T.); #187780=EDGE_CURVE('',#153878,#153876,#74882,.T.); #187781=EDGE_CURVE('',#153879,#153877,#74883,.T.); #187782=EDGE_CURVE('',#153878,#153879,#74884,.T.); #187783=EDGE_CURVE('',#153880,#153878,#74885,.T.); #187784=EDGE_CURVE('',#153881,#153879,#74886,.T.); #187785=EDGE_CURVE('',#153880,#153881,#74887,.T.); #187786=EDGE_CURVE('',#153882,#153880,#74888,.T.); #187787=EDGE_CURVE('',#153883,#153881,#74889,.T.); #187788=EDGE_CURVE('',#153882,#153883,#74890,.T.); #187789=EDGE_CURVE('',#153884,#153882,#66349,.T.); #187790=EDGE_CURVE('',#153885,#153883,#66350,.T.); #187791=EDGE_CURVE('',#153884,#153885,#74891,.T.); #187792=EDGE_CURVE('',#153886,#153884,#74892,.T.); #187793=EDGE_CURVE('',#153887,#153885,#74893,.T.); #187794=EDGE_CURVE('',#153886,#153887,#74894,.T.); #187795=EDGE_CURVE('',#153888,#153886,#74895,.T.); #187796=EDGE_CURVE('',#153889,#153887,#74896,.T.); #187797=EDGE_CURVE('',#153888,#153889,#74897,.T.); #187798=EDGE_CURVE('',#153890,#153888,#74898,.T.); #187799=EDGE_CURVE('',#153891,#153889,#74899,.T.); #187800=EDGE_CURVE('',#153890,#153891,#74900,.T.); #187801=EDGE_CURVE('',#153892,#153890,#74901,.T.); #187802=EDGE_CURVE('',#153893,#153891,#74902,.T.); #187803=EDGE_CURVE('',#153892,#153893,#74903,.T.); #187804=EDGE_CURVE('',#153894,#153892,#74904,.T.); #187805=EDGE_CURVE('',#153895,#153893,#74905,.T.); #187806=EDGE_CURVE('',#153894,#153895,#74906,.T.); #187807=EDGE_CURVE('',#153896,#153894,#74907,.T.); #187808=EDGE_CURVE('',#153897,#153895,#74908,.T.); #187809=EDGE_CURVE('',#153896,#153897,#74909,.T.); #187810=EDGE_CURVE('',#153898,#153896,#74910,.T.); #187811=EDGE_CURVE('',#153899,#153897,#74911,.T.); #187812=EDGE_CURVE('',#153898,#153899,#74912,.T.); #187813=EDGE_CURVE('',#153900,#153898,#66351,.T.); #187814=EDGE_CURVE('',#153901,#153899,#66352,.T.); #187815=EDGE_CURVE('',#153900,#153901,#74913,.T.); #187816=EDGE_CURVE('',#153902,#153900,#74914,.T.); #187817=EDGE_CURVE('',#153903,#153901,#74915,.T.); #187818=EDGE_CURVE('',#153902,#153903,#74916,.T.); #187819=EDGE_CURVE('',#153904,#153902,#74917,.T.); #187820=EDGE_CURVE('',#153905,#153903,#74918,.T.); #187821=EDGE_CURVE('',#153904,#153905,#74919,.T.); #187822=EDGE_CURVE('',#153906,#153904,#66353,.T.); #187823=EDGE_CURVE('',#153907,#153905,#66354,.T.); #187824=EDGE_CURVE('',#153906,#153907,#74920,.T.); #187825=EDGE_CURVE('',#153908,#153906,#74921,.T.); #187826=EDGE_CURVE('',#153909,#153907,#74922,.T.); #187827=EDGE_CURVE('',#153908,#153909,#74923,.T.); #187828=EDGE_CURVE('',#153910,#153908,#74924,.T.); #187829=EDGE_CURVE('',#153911,#153909,#74925,.T.); #187830=EDGE_CURVE('',#153910,#153911,#74926,.T.); #187831=EDGE_CURVE('',#153912,#153910,#74927,.T.); #187832=EDGE_CURVE('',#153913,#153911,#74928,.T.); #187833=EDGE_CURVE('',#153912,#153913,#74929,.T.); #187834=EDGE_CURVE('',#153914,#153912,#74930,.T.); #187835=EDGE_CURVE('',#153915,#153913,#74931,.T.); #187836=EDGE_CURVE('',#153914,#153915,#74932,.T.); #187837=EDGE_CURVE('',#153916,#153914,#74933,.T.); #187838=EDGE_CURVE('',#153917,#153915,#74934,.T.); #187839=EDGE_CURVE('',#153916,#153917,#74935,.T.); #187840=EDGE_CURVE('',#153918,#153916,#74936,.T.); #187841=EDGE_CURVE('',#153919,#153917,#74937,.T.); #187842=EDGE_CURVE('',#153918,#153919,#74938,.T.); #187843=EDGE_CURVE('',#153920,#153918,#74939,.T.); #187844=EDGE_CURVE('',#153921,#153919,#74940,.T.); #187845=EDGE_CURVE('',#153920,#153921,#74941,.T.); #187846=EDGE_CURVE('',#153922,#153920,#66355,.T.); #187847=EDGE_CURVE('',#153923,#153921,#66356,.T.); #187848=EDGE_CURVE('',#153922,#153923,#74942,.T.); #187849=EDGE_CURVE('',#153924,#153922,#74943,.T.); #187850=EDGE_CURVE('',#153925,#153923,#74944,.T.); #187851=EDGE_CURVE('',#153924,#153925,#74945,.T.); #187852=EDGE_CURVE('',#153926,#153924,#74946,.T.); #187853=EDGE_CURVE('',#153927,#153925,#74947,.T.); #187854=EDGE_CURVE('',#153926,#153927,#74948,.T.); #187855=EDGE_CURVE('',#153928,#153926,#74949,.T.); #187856=EDGE_CURVE('',#153929,#153927,#74950,.T.); #187857=EDGE_CURVE('',#153928,#153929,#74951,.T.); #187858=EDGE_CURVE('',#153930,#153928,#74952,.T.); #187859=EDGE_CURVE('',#153931,#153929,#74953,.T.); #187860=EDGE_CURVE('',#153930,#153931,#74954,.T.); #187861=EDGE_CURVE('',#153932,#153930,#74955,.T.); #187862=EDGE_CURVE('',#153933,#153931,#74956,.T.); #187863=EDGE_CURVE('',#153932,#153933,#74957,.T.); #187864=EDGE_CURVE('',#153934,#153932,#66357,.T.); #187865=EDGE_CURVE('',#153935,#153933,#66358,.T.); #187866=EDGE_CURVE('',#153934,#153935,#74958,.T.); #187867=EDGE_CURVE('',#153936,#153934,#74959,.T.); #187868=EDGE_CURVE('',#153937,#153935,#74960,.T.); #187869=EDGE_CURVE('',#153936,#153937,#74961,.T.); #187870=EDGE_CURVE('',#153938,#153936,#66359,.T.); #187871=EDGE_CURVE('',#153939,#153937,#66360,.T.); #187872=EDGE_CURVE('',#153938,#153939,#74962,.T.); #187873=EDGE_CURVE('',#153940,#153938,#74963,.T.); #187874=EDGE_CURVE('',#153941,#153939,#74964,.T.); #187875=EDGE_CURVE('',#153940,#153941,#74965,.T.); #187876=EDGE_CURVE('',#153942,#153940,#74966,.T.); #187877=EDGE_CURVE('',#153943,#153941,#74967,.T.); #187878=EDGE_CURVE('',#153942,#153943,#74968,.T.); #187879=EDGE_CURVE('',#153873,#153942,#74969,.T.); #187880=EDGE_CURVE('',#153874,#153943,#74970,.T.); #187881=EDGE_CURVE('',#153944,#153945,#74971,.T.); #187882=EDGE_CURVE('',#153945,#153946,#74972,.T.); #187883=EDGE_CURVE('',#153947,#153946,#74973,.T.); #187884=EDGE_CURVE('',#153944,#153947,#74974,.T.); #187885=EDGE_CURVE('',#153948,#153944,#74975,.T.); #187886=EDGE_CURVE('',#153949,#153947,#74976,.T.); #187887=EDGE_CURVE('',#153948,#153949,#74977,.T.); #187888=EDGE_CURVE('',#153950,#153948,#74978,.T.); #187889=EDGE_CURVE('',#153951,#153949,#74979,.T.); #187890=EDGE_CURVE('',#153950,#153951,#74980,.T.); #187891=EDGE_CURVE('',#153952,#153950,#74981,.T.); #187892=EDGE_CURVE('',#153953,#153951,#74982,.T.); #187893=EDGE_CURVE('',#153952,#153953,#74983,.T.); #187894=EDGE_CURVE('',#153954,#153952,#74984,.T.); #187895=EDGE_CURVE('',#153955,#153953,#74985,.T.); #187896=EDGE_CURVE('',#153954,#153955,#74986,.T.); #187897=EDGE_CURVE('',#153956,#153954,#74987,.T.); #187898=EDGE_CURVE('',#153957,#153955,#74988,.T.); #187899=EDGE_CURVE('',#153956,#153957,#74989,.T.); #187900=EDGE_CURVE('',#153958,#153956,#66361,.T.); #187901=EDGE_CURVE('',#153959,#153957,#66362,.T.); #187902=EDGE_CURVE('',#153958,#153959,#74990,.T.); #187903=EDGE_CURVE('',#153960,#153958,#74991,.T.); #187904=EDGE_CURVE('',#153961,#153959,#74992,.T.); #187905=EDGE_CURVE('',#153960,#153961,#74993,.T.); #187906=EDGE_CURVE('',#153962,#153960,#66363,.T.); #187907=EDGE_CURVE('',#153963,#153961,#66364,.T.); #187908=EDGE_CURVE('',#153962,#153963,#74994,.T.); #187909=EDGE_CURVE('',#153964,#153962,#74995,.T.); #187910=EDGE_CURVE('',#153965,#153963,#74996,.T.); #187911=EDGE_CURVE('',#153964,#153965,#74997,.T.); #187912=EDGE_CURVE('',#153966,#153964,#74998,.T.); #187913=EDGE_CURVE('',#153967,#153965,#74999,.T.); #187914=EDGE_CURVE('',#153966,#153967,#75000,.T.); #187915=EDGE_CURVE('',#153968,#153966,#75001,.T.); #187916=EDGE_CURVE('',#153969,#153967,#75002,.T.); #187917=EDGE_CURVE('',#153968,#153969,#75003,.T.); #187918=EDGE_CURVE('',#153970,#153968,#75004,.T.); #187919=EDGE_CURVE('',#153971,#153969,#75005,.T.); #187920=EDGE_CURVE('',#153970,#153971,#75006,.T.); #187921=EDGE_CURVE('',#153972,#153970,#75007,.T.); #187922=EDGE_CURVE('',#153973,#153971,#75008,.T.); #187923=EDGE_CURVE('',#153972,#153973,#75009,.T.); #187924=EDGE_CURVE('',#153974,#153972,#66365,.T.); #187925=EDGE_CURVE('',#153975,#153973,#66366,.T.); #187926=EDGE_CURVE('',#153974,#153975,#75010,.T.); #187927=EDGE_CURVE('',#153976,#153974,#75011,.T.); #187928=EDGE_CURVE('',#153977,#153975,#75012,.T.); #187929=EDGE_CURVE('',#153976,#153977,#75013,.T.); #187930=EDGE_CURVE('',#153978,#153976,#75014,.T.); #187931=EDGE_CURVE('',#153979,#153977,#75015,.T.); #187932=EDGE_CURVE('',#153978,#153979,#75016,.T.); #187933=EDGE_CURVE('',#153980,#153978,#75017,.T.); #187934=EDGE_CURVE('',#153981,#153979,#75018,.T.); #187935=EDGE_CURVE('',#153980,#153981,#75019,.T.); #187936=EDGE_CURVE('',#153982,#153980,#75020,.T.); #187937=EDGE_CURVE('',#153983,#153981,#75021,.T.); #187938=EDGE_CURVE('',#153982,#153983,#75022,.T.); #187939=EDGE_CURVE('',#153984,#153982,#75023,.T.); #187940=EDGE_CURVE('',#153985,#153983,#75024,.T.); #187941=EDGE_CURVE('',#153984,#153985,#75025,.T.); #187942=EDGE_CURVE('',#153986,#153984,#75026,.T.); #187943=EDGE_CURVE('',#153987,#153985,#75027,.T.); #187944=EDGE_CURVE('',#153986,#153987,#75028,.T.); #187945=EDGE_CURVE('',#153988,#153986,#75029,.T.); #187946=EDGE_CURVE('',#153989,#153987,#75030,.T.); #187947=EDGE_CURVE('',#153988,#153989,#75031,.T.); #187948=EDGE_CURVE('',#153990,#153988,#66367,.T.); #187949=EDGE_CURVE('',#153991,#153989,#66368,.T.); #187950=EDGE_CURVE('',#153990,#153991,#75032,.T.); #187951=EDGE_CURVE('',#153992,#153990,#75033,.T.); #187952=EDGE_CURVE('',#153993,#153991,#75034,.T.); #187953=EDGE_CURVE('',#153992,#153993,#75035,.T.); #187954=EDGE_CURVE('',#153994,#153992,#75036,.T.); #187955=EDGE_CURVE('',#153995,#153993,#75037,.T.); #187956=EDGE_CURVE('',#153994,#153995,#75038,.T.); #187957=EDGE_CURVE('',#153996,#153994,#66369,.T.); #187958=EDGE_CURVE('',#153997,#153995,#66370,.T.); #187959=EDGE_CURVE('',#153996,#153997,#75039,.T.); #187960=EDGE_CURVE('',#153998,#153996,#75040,.T.); #187961=EDGE_CURVE('',#153999,#153997,#75041,.T.); #187962=EDGE_CURVE('',#153998,#153999,#75042,.T.); #187963=EDGE_CURVE('',#154000,#153998,#75043,.T.); #187964=EDGE_CURVE('',#154001,#153999,#75044,.T.); #187965=EDGE_CURVE('',#154000,#154001,#75045,.T.); #187966=EDGE_CURVE('',#154002,#154000,#75046,.T.); #187967=EDGE_CURVE('',#154003,#154001,#75047,.T.); #187968=EDGE_CURVE('',#154002,#154003,#75048,.T.); #187969=EDGE_CURVE('',#154004,#154002,#75049,.T.); #187970=EDGE_CURVE('',#154005,#154003,#75050,.T.); #187971=EDGE_CURVE('',#154004,#154005,#75051,.T.); #187972=EDGE_CURVE('',#154006,#154004,#75052,.T.); #187973=EDGE_CURVE('',#154007,#154005,#75053,.T.); #187974=EDGE_CURVE('',#154006,#154007,#75054,.T.); #187975=EDGE_CURVE('',#154008,#154006,#75055,.T.); #187976=EDGE_CURVE('',#154009,#154007,#75056,.T.); #187977=EDGE_CURVE('',#154008,#154009,#75057,.T.); #187978=EDGE_CURVE('',#154010,#154008,#75058,.T.); #187979=EDGE_CURVE('',#154011,#154009,#75059,.T.); #187980=EDGE_CURVE('',#154010,#154011,#75060,.T.); #187981=EDGE_CURVE('',#154012,#154010,#66371,.T.); #187982=EDGE_CURVE('',#154013,#154011,#66372,.T.); #187983=EDGE_CURVE('',#154012,#154013,#75061,.T.); #187984=EDGE_CURVE('',#154014,#154012,#75062,.T.); #187985=EDGE_CURVE('',#154015,#154013,#75063,.T.); #187986=EDGE_CURVE('',#154014,#154015,#75064,.T.); #187987=EDGE_CURVE('',#153945,#154014,#75065,.T.); #187988=EDGE_CURVE('',#153946,#154015,#75066,.T.); #187989=EDGE_CURVE('',#154016,#154016,#66373,.T.); #187990=EDGE_CURVE('',#154016,#154017,#75067,.T.); #187991=EDGE_CURVE('',#154017,#154017,#66374,.T.); #187992=EDGE_CURVE('',#154018,#154019,#75068,.T.); #187993=EDGE_CURVE('',#154019,#154020,#75069,.T.); #187994=EDGE_CURVE('',#154021,#154020,#75070,.T.); #187995=EDGE_CURVE('',#154018,#154021,#75071,.T.); #187996=EDGE_CURVE('',#154022,#154018,#66375,.T.); #187997=EDGE_CURVE('',#154023,#154021,#66376,.T.); #187998=EDGE_CURVE('',#154022,#154023,#75072,.T.); #187999=EDGE_CURVE('',#154024,#154022,#75073,.T.); #188000=EDGE_CURVE('',#154025,#154023,#75074,.T.); #188001=EDGE_CURVE('',#154024,#154025,#75075,.T.); #188002=EDGE_CURVE('',#154026,#154024,#75076,.T.); #188003=EDGE_CURVE('',#154027,#154025,#75077,.T.); #188004=EDGE_CURVE('',#154026,#154027,#75078,.T.); #188005=EDGE_CURVE('',#154028,#154026,#66377,.T.); #188006=EDGE_CURVE('',#154029,#154027,#66378,.T.); #188007=EDGE_CURVE('',#154028,#154029,#75079,.T.); #188008=EDGE_CURVE('',#154030,#154028,#75080,.T.); #188009=EDGE_CURVE('',#154031,#154029,#75081,.T.); #188010=EDGE_CURVE('',#154030,#154031,#75082,.T.); #188011=EDGE_CURVE('',#154032,#154030,#75083,.T.); #188012=EDGE_CURVE('',#154033,#154031,#75084,.T.); #188013=EDGE_CURVE('',#154032,#154033,#75085,.T.); #188014=EDGE_CURVE('',#154034,#154032,#66379,.T.); #188015=EDGE_CURVE('',#154035,#154033,#66380,.T.); #188016=EDGE_CURVE('',#154034,#154035,#75086,.T.); #188017=EDGE_CURVE('',#154036,#154034,#75087,.T.); #188018=EDGE_CURVE('',#154037,#154035,#75088,.T.); #188019=EDGE_CURVE('',#154036,#154037,#75089,.T.); #188020=EDGE_CURVE('',#154038,#154036,#75090,.T.); #188021=EDGE_CURVE('',#154039,#154037,#75091,.T.); #188022=EDGE_CURVE('',#154038,#154039,#75092,.T.); #188023=EDGE_CURVE('',#154040,#154038,#75093,.T.); #188024=EDGE_CURVE('',#154041,#154039,#75094,.T.); #188025=EDGE_CURVE('',#154040,#154041,#75095,.T.); #188026=EDGE_CURVE('',#154042,#154040,#75096,.T.); #188027=EDGE_CURVE('',#154043,#154041,#75097,.T.); #188028=EDGE_CURVE('',#154042,#154043,#75098,.T.); #188029=EDGE_CURVE('',#154044,#154042,#75099,.T.); #188030=EDGE_CURVE('',#154045,#154043,#75100,.T.); #188031=EDGE_CURVE('',#154044,#154045,#75101,.T.); #188032=EDGE_CURVE('',#154046,#154044,#75102,.T.); #188033=EDGE_CURVE('',#154047,#154045,#75103,.T.); #188034=EDGE_CURVE('',#154046,#154047,#75104,.T.); #188035=EDGE_CURVE('',#154048,#154046,#75105,.T.); #188036=EDGE_CURVE('',#154049,#154047,#75106,.T.); #188037=EDGE_CURVE('',#154048,#154049,#75107,.T.); #188038=EDGE_CURVE('',#154050,#154048,#75108,.T.); #188039=EDGE_CURVE('',#154051,#154049,#75109,.T.); #188040=EDGE_CURVE('',#154050,#154051,#75110,.T.); #188041=EDGE_CURVE('',#154052,#154050,#66381,.T.); #188042=EDGE_CURVE('',#154053,#154051,#66382,.T.); #188043=EDGE_CURVE('',#154052,#154053,#75111,.T.); #188044=EDGE_CURVE('',#154054,#154052,#75112,.T.); #188045=EDGE_CURVE('',#154055,#154053,#75113,.T.); #188046=EDGE_CURVE('',#154054,#154055,#75114,.T.); #188047=EDGE_CURVE('',#154056,#154054,#75115,.T.); #188048=EDGE_CURVE('',#154057,#154055,#75116,.T.); #188049=EDGE_CURVE('',#154056,#154057,#75117,.T.); #188050=EDGE_CURVE('',#154058,#154056,#66383,.T.); #188051=EDGE_CURVE('',#154059,#154057,#66384,.T.); #188052=EDGE_CURVE('',#154058,#154059,#75118,.T.); #188053=EDGE_CURVE('',#154060,#154058,#75119,.T.); #188054=EDGE_CURVE('',#154061,#154059,#75120,.T.); #188055=EDGE_CURVE('',#154060,#154061,#75121,.T.); #188056=EDGE_CURVE('',#154019,#154060,#66385,.T.); #188057=EDGE_CURVE('',#154020,#154061,#66386,.T.); #188058=EDGE_CURVE('',#154062,#154062,#66387,.T.); #188059=EDGE_CURVE('',#154062,#154063,#75122,.T.); #188060=EDGE_CURVE('',#154063,#154063,#66388,.T.); #188061=EDGE_CURVE('',#154064,#154065,#75123,.T.); #188062=EDGE_CURVE('',#154065,#154066,#75124,.T.); #188063=EDGE_CURVE('',#154067,#154066,#75125,.T.); #188064=EDGE_CURVE('',#154064,#154067,#75126,.T.); #188065=EDGE_CURVE('',#154068,#154064,#66389,.T.); #188066=EDGE_CURVE('',#154069,#154067,#66390,.T.); #188067=EDGE_CURVE('',#154068,#154069,#75127,.T.); #188068=EDGE_CURVE('',#154070,#154068,#75128,.T.); #188069=EDGE_CURVE('',#154071,#154069,#75129,.T.); #188070=EDGE_CURVE('',#154070,#154071,#75130,.T.); #188071=EDGE_CURVE('',#154072,#154070,#75131,.T.); #188072=EDGE_CURVE('',#154073,#154071,#75132,.T.); #188073=EDGE_CURVE('',#154072,#154073,#75133,.T.); #188074=EDGE_CURVE('',#154074,#154072,#66391,.T.); #188075=EDGE_CURVE('',#154075,#154073,#66392,.T.); #188076=EDGE_CURVE('',#154074,#154075,#75134,.T.); #188077=EDGE_CURVE('',#154076,#154074,#75135,.T.); #188078=EDGE_CURVE('',#154077,#154075,#75136,.T.); #188079=EDGE_CURVE('',#154076,#154077,#75137,.T.); #188080=EDGE_CURVE('',#154078,#154076,#75138,.T.); #188081=EDGE_CURVE('',#154079,#154077,#75139,.T.); #188082=EDGE_CURVE('',#154078,#154079,#75140,.T.); #188083=EDGE_CURVE('',#154080,#154078,#75141,.T.); #188084=EDGE_CURVE('',#154081,#154079,#75142,.T.); #188085=EDGE_CURVE('',#154080,#154081,#75143,.T.); #188086=EDGE_CURVE('',#154082,#154080,#75144,.T.); #188087=EDGE_CURVE('',#154083,#154081,#75145,.T.); #188088=EDGE_CURVE('',#154082,#154083,#75146,.T.); #188089=EDGE_CURVE('',#154084,#154082,#75147,.T.); #188090=EDGE_CURVE('',#154085,#154083,#75148,.T.); #188091=EDGE_CURVE('',#154084,#154085,#75149,.T.); #188092=EDGE_CURVE('',#154086,#154084,#75150,.T.); #188093=EDGE_CURVE('',#154087,#154085,#75151,.T.); #188094=EDGE_CURVE('',#154086,#154087,#75152,.T.); #188095=EDGE_CURVE('',#154088,#154086,#75153,.T.); #188096=EDGE_CURVE('',#154089,#154087,#75154,.T.); #188097=EDGE_CURVE('',#154088,#154089,#75155,.T.); #188098=EDGE_CURVE('',#154090,#154088,#75156,.T.); #188099=EDGE_CURVE('',#154091,#154089,#75157,.T.); #188100=EDGE_CURVE('',#154090,#154091,#75158,.T.); #188101=EDGE_CURVE('',#154092,#154090,#66393,.T.); #188102=EDGE_CURVE('',#154093,#154091,#66394,.T.); #188103=EDGE_CURVE('',#154092,#154093,#75159,.T.); #188104=EDGE_CURVE('',#154094,#154092,#75160,.T.); #188105=EDGE_CURVE('',#154095,#154093,#75161,.T.); #188106=EDGE_CURVE('',#154094,#154095,#75162,.T.); #188107=EDGE_CURVE('',#154065,#154094,#66395,.T.); #188108=EDGE_CURVE('',#154066,#154095,#66396,.T.); #188109=EDGE_CURVE('',#154096,#154096,#66397,.T.); #188110=EDGE_CURVE('',#154096,#154097,#75163,.T.); #188111=EDGE_CURVE('',#154097,#154097,#66398,.T.); #188112=EDGE_CURVE('',#154098,#154099,#75164,.T.); #188113=EDGE_CURVE('',#154099,#154100,#75165,.T.); #188114=EDGE_CURVE('',#154101,#154100,#75166,.T.); #188115=EDGE_CURVE('',#154098,#154101,#75167,.T.); #188116=EDGE_CURVE('',#154102,#154098,#66399,.T.); #188117=EDGE_CURVE('',#154103,#154101,#66400,.T.); #188118=EDGE_CURVE('',#154102,#154103,#75168,.T.); #188119=EDGE_CURVE('',#154104,#154102,#75169,.T.); #188120=EDGE_CURVE('',#154105,#154103,#75170,.T.); #188121=EDGE_CURVE('',#154104,#154105,#75171,.T.); #188122=EDGE_CURVE('',#154106,#154104,#75172,.T.); #188123=EDGE_CURVE('',#154107,#154105,#75173,.T.); #188124=EDGE_CURVE('',#154106,#154107,#75174,.T.); #188125=EDGE_CURVE('',#154108,#154106,#75175,.T.); #188126=EDGE_CURVE('',#154109,#154107,#75176,.T.); #188127=EDGE_CURVE('',#154108,#154109,#75177,.T.); #188128=EDGE_CURVE('',#154110,#154108,#75178,.T.); #188129=EDGE_CURVE('',#154111,#154109,#75179,.T.); #188130=EDGE_CURVE('',#154110,#154111,#75180,.T.); #188131=EDGE_CURVE('',#154112,#154110,#75181,.T.); #188132=EDGE_CURVE('',#154113,#154111,#75182,.T.); #188133=EDGE_CURVE('',#154112,#154113,#75183,.T.); #188134=EDGE_CURVE('',#154114,#154112,#75184,.T.); #188135=EDGE_CURVE('',#154115,#154113,#75185,.T.); #188136=EDGE_CURVE('',#154114,#154115,#75186,.T.); #188137=EDGE_CURVE('',#154116,#154114,#75187,.T.); #188138=EDGE_CURVE('',#154117,#154115,#75188,.T.); #188139=EDGE_CURVE('',#154116,#154117,#75189,.T.); #188140=EDGE_CURVE('',#154118,#154116,#66401,.T.); #188141=EDGE_CURVE('',#154119,#154117,#66402,.T.); #188142=EDGE_CURVE('',#154118,#154119,#75190,.T.); #188143=EDGE_CURVE('',#154120,#154118,#75191,.T.); #188144=EDGE_CURVE('',#154121,#154119,#75192,.T.); #188145=EDGE_CURVE('',#154120,#154121,#75193,.T.); #188146=EDGE_CURVE('',#154099,#154120,#66403,.T.); #188147=EDGE_CURVE('',#154100,#154121,#66404,.T.); #188148=EDGE_CURVE('',#154122,#154122,#66405,.T.); #188149=EDGE_CURVE('',#154122,#154123,#75194,.T.); #188150=EDGE_CURVE('',#154123,#154123,#66406,.T.); #188151=EDGE_CURVE('',#154124,#154125,#75195,.T.); #188152=EDGE_CURVE('',#154125,#154126,#75196,.T.); #188153=EDGE_CURVE('',#154127,#154126,#75197,.T.); #188154=EDGE_CURVE('',#154124,#154127,#75198,.T.); #188155=EDGE_CURVE('',#154128,#154124,#66407,.T.); #188156=EDGE_CURVE('',#154129,#154127,#66408,.T.); #188157=EDGE_CURVE('',#154128,#154129,#75199,.T.); #188158=EDGE_CURVE('',#154130,#154128,#75200,.T.); #188159=EDGE_CURVE('',#154131,#154129,#75201,.T.); #188160=EDGE_CURVE('',#154130,#154131,#75202,.T.); #188161=EDGE_CURVE('',#154132,#154130,#66409,.T.); #188162=EDGE_CURVE('',#154133,#154131,#66410,.T.); #188163=EDGE_CURVE('',#154132,#154133,#75203,.T.); #188164=EDGE_CURVE('',#154134,#154132,#75204,.T.); #188165=EDGE_CURVE('',#154135,#154133,#75205,.T.); #188166=EDGE_CURVE('',#154134,#154135,#75206,.T.); #188167=EDGE_CURVE('',#154136,#154134,#75207,.T.); #188168=EDGE_CURVE('',#154137,#154135,#75208,.T.); #188169=EDGE_CURVE('',#154136,#154137,#75209,.T.); #188170=EDGE_CURVE('',#154138,#154136,#75210,.T.); #188171=EDGE_CURVE('',#154139,#154137,#75211,.T.); #188172=EDGE_CURVE('',#154138,#154139,#75212,.T.); #188173=EDGE_CURVE('',#154140,#154138,#75213,.T.); #188174=EDGE_CURVE('',#154141,#154139,#75214,.T.); #188175=EDGE_CURVE('',#154140,#154141,#75215,.T.); #188176=EDGE_CURVE('',#154142,#154140,#75216,.T.); #188177=EDGE_CURVE('',#154143,#154141,#75217,.T.); #188178=EDGE_CURVE('',#154142,#154143,#75218,.T.); #188179=EDGE_CURVE('',#154144,#154142,#75219,.T.); #188180=EDGE_CURVE('',#154145,#154143,#75220,.T.); #188181=EDGE_CURVE('',#154144,#154145,#75221,.T.); #188182=EDGE_CURVE('',#154146,#154144,#75222,.T.); #188183=EDGE_CURVE('',#154147,#154145,#75223,.T.); #188184=EDGE_CURVE('',#154146,#154147,#75224,.T.); #188185=EDGE_CURVE('',#154148,#154146,#75225,.T.); #188186=EDGE_CURVE('',#154149,#154147,#75226,.T.); #188187=EDGE_CURVE('',#154148,#154149,#75227,.T.); #188188=EDGE_CURVE('',#154150,#154148,#75228,.T.); #188189=EDGE_CURVE('',#154151,#154149,#75229,.T.); #188190=EDGE_CURVE('',#154150,#154151,#75230,.T.); #188191=EDGE_CURVE('',#154125,#154150,#66411,.T.); #188192=EDGE_CURVE('',#154126,#154151,#66412,.T.); #188193=EDGE_CURVE('',#154152,#154152,#66413,.T.); #188194=EDGE_CURVE('',#154152,#154153,#75231,.T.); #188195=EDGE_CURVE('',#154153,#154153,#66414,.T.); #188196=EDGE_CURVE('',#154154,#154154,#66415,.T.); #188197=EDGE_CURVE('',#154154,#154155,#75232,.T.); #188198=EDGE_CURVE('',#154155,#154155,#66416,.T.); #188199=EDGE_CURVE('',#154156,#154157,#75233,.T.); #188200=EDGE_CURVE('',#154157,#154158,#75234,.T.); #188201=EDGE_CURVE('',#154159,#154158,#75235,.T.); #188202=EDGE_CURVE('',#154156,#154159,#75236,.T.); #188203=EDGE_CURVE('',#154160,#154156,#66417,.T.); #188204=EDGE_CURVE('',#154161,#154159,#66418,.T.); #188205=EDGE_CURVE('',#154160,#154161,#75237,.T.); #188206=EDGE_CURVE('',#154162,#154160,#66419,.T.); #188207=EDGE_CURVE('',#154163,#154161,#66420,.T.); #188208=EDGE_CURVE('',#154162,#154163,#75238,.T.); #188209=EDGE_CURVE('',#154164,#154162,#75239,.T.); #188210=EDGE_CURVE('',#154165,#154163,#75240,.T.); #188211=EDGE_CURVE('',#154164,#154165,#75241,.T.); #188212=EDGE_CURVE('',#154166,#154164,#66421,.T.); #188213=EDGE_CURVE('',#154167,#154165,#66422,.T.); #188214=EDGE_CURVE('',#154166,#154167,#75242,.T.); #188215=EDGE_CURVE('',#154168,#154166,#66423,.T.); #188216=EDGE_CURVE('',#154169,#154167,#66424,.T.); #188217=EDGE_CURVE('',#154168,#154169,#75243,.T.); #188218=EDGE_CURVE('',#154170,#154168,#75244,.T.); #188219=EDGE_CURVE('',#154171,#154169,#75245,.T.); #188220=EDGE_CURVE('',#154170,#154171,#75246,.T.); #188221=EDGE_CURVE('',#154157,#154170,#66425,.T.); #188222=EDGE_CURVE('',#154158,#154171,#66426,.T.); #188223=EDGE_CURVE('',#154172,#154172,#66427,.T.); #188224=EDGE_CURVE('',#154172,#154173,#75247,.T.); #188225=EDGE_CURVE('',#154173,#154173,#66428,.T.); #188226=EDGE_CURVE('',#154174,#154175,#75248,.T.); #188227=EDGE_CURVE('',#154175,#154176,#75249,.T.); #188228=EDGE_CURVE('',#154177,#154176,#75250,.T.); #188229=EDGE_CURVE('',#154174,#154177,#75251,.T.); #188230=EDGE_CURVE('',#154178,#154174,#66429,.T.); #188231=EDGE_CURVE('',#154179,#154177,#66430,.T.); #188232=EDGE_CURVE('',#154178,#154179,#75252,.T.); #188233=EDGE_CURVE('',#154180,#154178,#75253,.T.); #188234=EDGE_CURVE('',#154181,#154179,#75254,.T.); #188235=EDGE_CURVE('',#154180,#154181,#75255,.T.); #188236=EDGE_CURVE('',#154182,#154180,#75256,.T.); #188237=EDGE_CURVE('',#154183,#154181,#75257,.T.); #188238=EDGE_CURVE('',#154182,#154183,#75258,.T.); #188239=EDGE_CURVE('',#154184,#154182,#66431,.T.); #188240=EDGE_CURVE('',#154185,#154183,#66432,.T.); #188241=EDGE_CURVE('',#154184,#154185,#75259,.T.); #188242=EDGE_CURVE('',#154186,#154184,#75260,.T.); #188243=EDGE_CURVE('',#154187,#154185,#75261,.T.); #188244=EDGE_CURVE('',#154186,#154187,#75262,.T.); #188245=EDGE_CURVE('',#154188,#154186,#75263,.T.); #188246=EDGE_CURVE('',#154189,#154187,#75264,.T.); #188247=EDGE_CURVE('',#154188,#154189,#75265,.T.); #188248=EDGE_CURVE('',#154190,#154188,#75266,.T.); #188249=EDGE_CURVE('',#154191,#154189,#75267,.T.); #188250=EDGE_CURVE('',#154190,#154191,#75268,.T.); #188251=EDGE_CURVE('',#154192,#154190,#75269,.T.); #188252=EDGE_CURVE('',#154193,#154191,#75270,.T.); #188253=EDGE_CURVE('',#154192,#154193,#75271,.T.); #188254=EDGE_CURVE('',#154194,#154192,#75272,.T.); #188255=EDGE_CURVE('',#154195,#154193,#75273,.T.); #188256=EDGE_CURVE('',#154194,#154195,#75274,.T.); #188257=EDGE_CURVE('',#154196,#154194,#75275,.T.); #188258=EDGE_CURVE('',#154197,#154195,#75276,.T.); #188259=EDGE_CURVE('',#154196,#154197,#75277,.T.); #188260=EDGE_CURVE('',#154198,#154196,#75278,.T.); #188261=EDGE_CURVE('',#154199,#154197,#75279,.T.); #188262=EDGE_CURVE('',#154198,#154199,#75280,.T.); #188263=EDGE_CURVE('',#154200,#154198,#75281,.T.); #188264=EDGE_CURVE('',#154201,#154199,#75282,.T.); #188265=EDGE_CURVE('',#154200,#154201,#75283,.T.); #188266=EDGE_CURVE('',#154202,#154200,#66433,.T.); #188267=EDGE_CURVE('',#154203,#154201,#66434,.T.); #188268=EDGE_CURVE('',#154202,#154203,#75284,.T.); #188269=EDGE_CURVE('',#154204,#154202,#75285,.T.); #188270=EDGE_CURVE('',#154205,#154203,#75286,.T.); #188271=EDGE_CURVE('',#154204,#154205,#75287,.T.); #188272=EDGE_CURVE('',#154175,#154204,#66435,.T.); #188273=EDGE_CURVE('',#154176,#154205,#66436,.T.); #188274=EDGE_CURVE('',#154206,#154206,#66437,.T.); #188275=EDGE_CURVE('',#154206,#154207,#75288,.T.); #188276=EDGE_CURVE('',#154207,#154207,#66438,.T.); #188277=EDGE_CURVE('',#154208,#154209,#75289,.T.); #188278=EDGE_CURVE('',#154209,#154210,#75290,.T.); #188279=EDGE_CURVE('',#154211,#154210,#75291,.T.); #188280=EDGE_CURVE('',#154208,#154211,#75292,.T.); #188281=EDGE_CURVE('',#154212,#154208,#66439,.T.); #188282=EDGE_CURVE('',#154213,#154211,#66440,.T.); #188283=EDGE_CURVE('',#154212,#154213,#75293,.T.); #188284=EDGE_CURVE('',#154214,#154212,#75294,.T.); #188285=EDGE_CURVE('',#154215,#154213,#75295,.T.); #188286=EDGE_CURVE('',#154214,#154215,#75296,.T.); #188287=EDGE_CURVE('',#154216,#154214,#75297,.T.); #188288=EDGE_CURVE('',#154217,#154215,#75298,.T.); #188289=EDGE_CURVE('',#154216,#154217,#75299,.T.); #188290=EDGE_CURVE('',#154218,#154216,#66441,.T.); #188291=EDGE_CURVE('',#154219,#154217,#66442,.T.); #188292=EDGE_CURVE('',#154218,#154219,#75300,.T.); #188293=EDGE_CURVE('',#154220,#154218,#75301,.T.); #188294=EDGE_CURVE('',#154221,#154219,#75302,.T.); #188295=EDGE_CURVE('',#154220,#154221,#75303,.T.); #188296=EDGE_CURVE('',#154222,#154220,#75304,.T.); #188297=EDGE_CURVE('',#154223,#154221,#75305,.T.); #188298=EDGE_CURVE('',#154222,#154223,#75306,.T.); #188299=EDGE_CURVE('',#154224,#154222,#66443,.T.); #188300=EDGE_CURVE('',#154225,#154223,#66444,.T.); #188301=EDGE_CURVE('',#154224,#154225,#75307,.T.); #188302=EDGE_CURVE('',#154226,#154224,#75308,.T.); #188303=EDGE_CURVE('',#154227,#154225,#75309,.T.); #188304=EDGE_CURVE('',#154226,#154227,#75310,.T.); #188305=EDGE_CURVE('',#154228,#154226,#75311,.T.); #188306=EDGE_CURVE('',#154229,#154227,#75312,.T.); #188307=EDGE_CURVE('',#154228,#154229,#75313,.T.); #188308=EDGE_CURVE('',#154230,#154228,#75314,.T.); #188309=EDGE_CURVE('',#154231,#154229,#75315,.T.); #188310=EDGE_CURVE('',#154230,#154231,#75316,.T.); #188311=EDGE_CURVE('',#154232,#154230,#75317,.T.); #188312=EDGE_CURVE('',#154233,#154231,#75318,.T.); #188313=EDGE_CURVE('',#154232,#154233,#75319,.T.); #188314=EDGE_CURVE('',#154234,#154232,#75320,.T.); #188315=EDGE_CURVE('',#154235,#154233,#75321,.T.); #188316=EDGE_CURVE('',#154234,#154235,#75322,.T.); #188317=EDGE_CURVE('',#154236,#154234,#75323,.T.); #188318=EDGE_CURVE('',#154237,#154235,#75324,.T.); #188319=EDGE_CURVE('',#154236,#154237,#75325,.T.); #188320=EDGE_CURVE('',#154238,#154236,#75326,.T.); #188321=EDGE_CURVE('',#154239,#154237,#75327,.T.); #188322=EDGE_CURVE('',#154238,#154239,#75328,.T.); #188323=EDGE_CURVE('',#154240,#154238,#75329,.T.); #188324=EDGE_CURVE('',#154241,#154239,#75330,.T.); #188325=EDGE_CURVE('',#154240,#154241,#75331,.T.); #188326=EDGE_CURVE('',#154242,#154240,#66445,.T.); #188327=EDGE_CURVE('',#154243,#154241,#66446,.T.); #188328=EDGE_CURVE('',#154242,#154243,#75332,.T.); #188329=EDGE_CURVE('',#154244,#154242,#75333,.T.); #188330=EDGE_CURVE('',#154245,#154243,#75334,.T.); #188331=EDGE_CURVE('',#154244,#154245,#75335,.T.); #188332=EDGE_CURVE('',#154246,#154244,#75336,.T.); #188333=EDGE_CURVE('',#154247,#154245,#75337,.T.); #188334=EDGE_CURVE('',#154246,#154247,#75338,.T.); #188335=EDGE_CURVE('',#154248,#154246,#66447,.T.); #188336=EDGE_CURVE('',#154249,#154247,#66448,.T.); #188337=EDGE_CURVE('',#154248,#154249,#75339,.T.); #188338=EDGE_CURVE('',#154250,#154248,#75340,.T.); #188339=EDGE_CURVE('',#154251,#154249,#75341,.T.); #188340=EDGE_CURVE('',#154250,#154251,#75342,.T.); #188341=EDGE_CURVE('',#154209,#154250,#66449,.T.); #188342=EDGE_CURVE('',#154210,#154251,#66450,.T.); #188343=EDGE_CURVE('',#154252,#154253,#75343,.T.); #188344=EDGE_CURVE('',#154253,#154254,#75344,.T.); #188345=EDGE_CURVE('',#154255,#154254,#75345,.T.); #188346=EDGE_CURVE('',#154252,#154255,#75346,.T.); #188347=EDGE_CURVE('',#154256,#154252,#75347,.T.); #188348=EDGE_CURVE('',#154257,#154255,#75348,.T.); #188349=EDGE_CURVE('',#154256,#154257,#75349,.T.); #188350=EDGE_CURVE('',#154258,#154256,#75350,.T.); #188351=EDGE_CURVE('',#154259,#154257,#75351,.T.); #188352=EDGE_CURVE('',#154258,#154259,#75352,.T.); #188353=EDGE_CURVE('',#154260,#154258,#75353,.T.); #188354=EDGE_CURVE('',#154261,#154259,#75354,.T.); #188355=EDGE_CURVE('',#154260,#154261,#75355,.T.); #188356=EDGE_CURVE('',#154262,#154260,#66451,.T.); #188357=EDGE_CURVE('',#154263,#154261,#66452,.T.); #188358=EDGE_CURVE('',#154262,#154263,#75356,.T.); #188359=EDGE_CURVE('',#154264,#154262,#75357,.T.); #188360=EDGE_CURVE('',#154265,#154263,#75358,.T.); #188361=EDGE_CURVE('',#154264,#154265,#75359,.T.); #188362=EDGE_CURVE('',#154266,#154264,#66453,.T.); #188363=EDGE_CURVE('',#154267,#154265,#66454,.T.); #188364=EDGE_CURVE('',#154266,#154267,#75360,.T.); #188365=EDGE_CURVE('',#154268,#154266,#75361,.T.); #188366=EDGE_CURVE('',#154269,#154267,#75362,.T.); #188367=EDGE_CURVE('',#154268,#154269,#75363,.T.); #188368=EDGE_CURVE('',#154270,#154268,#75364,.T.); #188369=EDGE_CURVE('',#154271,#154269,#75365,.T.); #188370=EDGE_CURVE('',#154270,#154271,#75366,.T.); #188371=EDGE_CURVE('',#154272,#154270,#75367,.T.); #188372=EDGE_CURVE('',#154273,#154271,#75368,.T.); #188373=EDGE_CURVE('',#154272,#154273,#75369,.T.); #188374=EDGE_CURVE('',#154274,#154272,#66455,.T.); #188375=EDGE_CURVE('',#154275,#154273,#66456,.T.); #188376=EDGE_CURVE('',#154274,#154275,#75370,.T.); #188377=EDGE_CURVE('',#154276,#154274,#75371,.T.); #188378=EDGE_CURVE('',#154277,#154275,#75372,.T.); #188379=EDGE_CURVE('',#154276,#154277,#75373,.T.); #188380=EDGE_CURVE('',#154278,#154276,#75374,.T.); #188381=EDGE_CURVE('',#154279,#154277,#75375,.T.); #188382=EDGE_CURVE('',#154278,#154279,#75376,.T.); #188383=EDGE_CURVE('',#154280,#154278,#75377,.T.); #188384=EDGE_CURVE('',#154281,#154279,#75378,.T.); #188385=EDGE_CURVE('',#154280,#154281,#75379,.T.); #188386=EDGE_CURVE('',#154282,#154280,#75380,.T.); #188387=EDGE_CURVE('',#154283,#154281,#75381,.T.); #188388=EDGE_CURVE('',#154282,#154283,#75382,.T.); #188389=EDGE_CURVE('',#154284,#154282,#75383,.T.); #188390=EDGE_CURVE('',#154285,#154283,#75384,.T.); #188391=EDGE_CURVE('',#154284,#154285,#75385,.T.); #188392=EDGE_CURVE('',#154286,#154284,#75386,.T.); #188393=EDGE_CURVE('',#154287,#154285,#75387,.T.); #188394=EDGE_CURVE('',#154286,#154287,#75388,.T.); #188395=EDGE_CURVE('',#154288,#154286,#75389,.T.); #188396=EDGE_CURVE('',#154289,#154287,#75390,.T.); #188397=EDGE_CURVE('',#154288,#154289,#75391,.T.); #188398=EDGE_CURVE('',#154290,#154288,#66457,.T.); #188399=EDGE_CURVE('',#154291,#154289,#66458,.T.); #188400=EDGE_CURVE('',#154290,#154291,#75392,.T.); #188401=EDGE_CURVE('',#154292,#154290,#75393,.T.); #188402=EDGE_CURVE('',#154293,#154291,#75394,.T.); #188403=EDGE_CURVE('',#154292,#154293,#75395,.T.); #188404=EDGE_CURVE('',#154294,#154292,#66459,.T.); #188405=EDGE_CURVE('',#154295,#154293,#66460,.T.); #188406=EDGE_CURVE('',#154294,#154295,#75396,.T.); #188407=EDGE_CURVE('',#154296,#154294,#75397,.T.); #188408=EDGE_CURVE('',#154297,#154295,#75398,.T.); #188409=EDGE_CURVE('',#154296,#154297,#75399,.T.); #188410=EDGE_CURVE('',#154298,#154296,#75400,.T.); #188411=EDGE_CURVE('',#154299,#154297,#75401,.T.); #188412=EDGE_CURVE('',#154298,#154299,#75402,.T.); #188413=EDGE_CURVE('',#154300,#154298,#75403,.T.); #188414=EDGE_CURVE('',#154301,#154299,#75404,.T.); #188415=EDGE_CURVE('',#154300,#154301,#75405,.T.); #188416=EDGE_CURVE('',#154302,#154300,#75406,.T.); #188417=EDGE_CURVE('',#154303,#154301,#75407,.T.); #188418=EDGE_CURVE('',#154302,#154303,#75408,.T.); #188419=EDGE_CURVE('',#154253,#154302,#75409,.T.); #188420=EDGE_CURVE('',#154254,#154303,#75410,.T.); #188421=EDGE_CURVE('',#154304,#154304,#66461,.T.); #188422=EDGE_CURVE('',#154304,#154305,#75411,.T.); #188423=EDGE_CURVE('',#154305,#154305,#66462,.T.); #188424=EDGE_CURVE('',#154306,#154307,#75412,.T.); #188425=EDGE_CURVE('',#154307,#154308,#75413,.T.); #188426=EDGE_CURVE('',#154309,#154308,#75414,.T.); #188427=EDGE_CURVE('',#154306,#154309,#75415,.T.); #188428=EDGE_CURVE('',#154310,#154306,#66463,.T.); #188429=EDGE_CURVE('',#154311,#154309,#66464,.T.); #188430=EDGE_CURVE('',#154310,#154311,#75416,.T.); #188431=EDGE_CURVE('',#154312,#154310,#75417,.T.); #188432=EDGE_CURVE('',#154313,#154311,#75418,.T.); #188433=EDGE_CURVE('',#154312,#154313,#75419,.T.); #188434=EDGE_CURVE('',#154314,#154312,#75420,.T.); #188435=EDGE_CURVE('',#154315,#154313,#75421,.T.); #188436=EDGE_CURVE('',#154314,#154315,#75422,.T.); #188437=EDGE_CURVE('',#154316,#154314,#66465,.T.); #188438=EDGE_CURVE('',#154317,#154315,#66466,.T.); #188439=EDGE_CURVE('',#154316,#154317,#75423,.T.); #188440=EDGE_CURVE('',#154318,#154316,#75424,.T.); #188441=EDGE_CURVE('',#154319,#154317,#75425,.T.); #188442=EDGE_CURVE('',#154318,#154319,#75426,.T.); #188443=EDGE_CURVE('',#154320,#154318,#66467,.T.); #188444=EDGE_CURVE('',#154321,#154319,#66468,.T.); #188445=EDGE_CURVE('',#154320,#154321,#75427,.T.); #188446=EDGE_CURVE('',#154322,#154320,#75428,.T.); #188447=EDGE_CURVE('',#154323,#154321,#75429,.T.); #188448=EDGE_CURVE('',#154322,#154323,#75430,.T.); #188449=EDGE_CURVE('',#154324,#154322,#66469,.T.); #188450=EDGE_CURVE('',#154325,#154323,#66470,.T.); #188451=EDGE_CURVE('',#154324,#154325,#75431,.T.); #188452=EDGE_CURVE('',#154326,#154324,#75432,.T.); #188453=EDGE_CURVE('',#154327,#154325,#75433,.T.); #188454=EDGE_CURVE('',#154326,#154327,#75434,.T.); #188455=EDGE_CURVE('',#154328,#154326,#75435,.T.); #188456=EDGE_CURVE('',#154329,#154327,#75436,.T.); #188457=EDGE_CURVE('',#154328,#154329,#75437,.T.); #188458=EDGE_CURVE('',#154330,#154328,#75438,.T.); #188459=EDGE_CURVE('',#154331,#154329,#75439,.T.); #188460=EDGE_CURVE('',#154330,#154331,#75440,.T.); #188461=EDGE_CURVE('',#154332,#154330,#75441,.T.); #188462=EDGE_CURVE('',#154333,#154331,#75442,.T.); #188463=EDGE_CURVE('',#154332,#154333,#75443,.T.); #188464=EDGE_CURVE('',#154334,#154332,#75444,.T.); #188465=EDGE_CURVE('',#154335,#154333,#75445,.T.); #188466=EDGE_CURVE('',#154334,#154335,#75446,.T.); #188467=EDGE_CURVE('',#154336,#154334,#75447,.T.); #188468=EDGE_CURVE('',#154337,#154335,#75448,.T.); #188469=EDGE_CURVE('',#154336,#154337,#75449,.T.); #188470=EDGE_CURVE('',#154338,#154336,#75450,.T.); #188471=EDGE_CURVE('',#154339,#154337,#75451,.T.); #188472=EDGE_CURVE('',#154338,#154339,#75452,.T.); #188473=EDGE_CURVE('',#154340,#154338,#66471,.T.); #188474=EDGE_CURVE('',#154341,#154339,#66472,.T.); #188475=EDGE_CURVE('',#154340,#154341,#75453,.T.); #188476=EDGE_CURVE('',#154342,#154340,#75454,.T.); #188477=EDGE_CURVE('',#154343,#154341,#75455,.T.); #188478=EDGE_CURVE('',#154342,#154343,#75456,.T.); #188479=EDGE_CURVE('',#154344,#154342,#75457,.T.); #188480=EDGE_CURVE('',#154345,#154343,#75458,.T.); #188481=EDGE_CURVE('',#154344,#154345,#75459,.T.); #188482=EDGE_CURVE('',#154346,#154344,#75460,.T.); #188483=EDGE_CURVE('',#154347,#154345,#75461,.T.); #188484=EDGE_CURVE('',#154346,#154347,#75462,.T.); #188485=EDGE_CURVE('',#154348,#154346,#75463,.T.); #188486=EDGE_CURVE('',#154349,#154347,#75464,.T.); #188487=EDGE_CURVE('',#154348,#154349,#75465,.T.); #188488=EDGE_CURVE('',#154350,#154348,#66473,.T.); #188489=EDGE_CURVE('',#154351,#154349,#66474,.T.); #188490=EDGE_CURVE('',#154350,#154351,#75466,.T.); #188491=EDGE_CURVE('',#154352,#154350,#75467,.T.); #188492=EDGE_CURVE('',#154353,#154351,#75468,.T.); #188493=EDGE_CURVE('',#154352,#154353,#75469,.T.); #188494=EDGE_CURVE('',#154307,#154352,#66475,.T.); #188495=EDGE_CURVE('',#154308,#154353,#66476,.T.); #188496=EDGE_CURVE('',#154354,#154354,#66477,.T.); #188497=EDGE_CURVE('',#154354,#154355,#75470,.T.); #188498=EDGE_CURVE('',#154355,#154355,#66478,.T.); #188499=EDGE_CURVE('',#154356,#154357,#75471,.T.); #188500=EDGE_CURVE('',#154357,#154358,#75472,.T.); #188501=EDGE_CURVE('',#154359,#154358,#75473,.T.); #188502=EDGE_CURVE('',#154356,#154359,#75474,.T.); #188503=EDGE_CURVE('',#154360,#154356,#66479,.T.); #188504=EDGE_CURVE('',#154361,#154359,#66480,.T.); #188505=EDGE_CURVE('',#154360,#154361,#75475,.T.); #188506=EDGE_CURVE('',#154362,#154360,#75476,.T.); #188507=EDGE_CURVE('',#154363,#154361,#75477,.T.); #188508=EDGE_CURVE('',#154362,#154363,#75478,.T.); #188509=EDGE_CURVE('',#154364,#154362,#75479,.T.); #188510=EDGE_CURVE('',#154365,#154363,#75480,.T.); #188511=EDGE_CURVE('',#154364,#154365,#75481,.T.); #188512=EDGE_CURVE('',#154366,#154364,#75482,.T.); #188513=EDGE_CURVE('',#154367,#154365,#75483,.T.); #188514=EDGE_CURVE('',#154366,#154367,#75484,.T.); #188515=EDGE_CURVE('',#154368,#154366,#75485,.T.); #188516=EDGE_CURVE('',#154369,#154367,#75486,.T.); #188517=EDGE_CURVE('',#154368,#154369,#75487,.T.); #188518=EDGE_CURVE('',#154370,#154368,#75488,.T.); #188519=EDGE_CURVE('',#154371,#154369,#75489,.T.); #188520=EDGE_CURVE('',#154370,#154371,#75490,.T.); #188521=EDGE_CURVE('',#154372,#154370,#75491,.T.); #188522=EDGE_CURVE('',#154373,#154371,#75492,.T.); #188523=EDGE_CURVE('',#154372,#154373,#75493,.T.); #188524=EDGE_CURVE('',#154374,#154372,#75494,.T.); #188525=EDGE_CURVE('',#154375,#154373,#75495,.T.); #188526=EDGE_CURVE('',#154374,#154375,#75496,.T.); #188527=EDGE_CURVE('',#154376,#154374,#66481,.T.); #188528=EDGE_CURVE('',#154377,#154375,#66482,.T.); #188529=EDGE_CURVE('',#154376,#154377,#75497,.T.); #188530=EDGE_CURVE('',#154357,#154376,#66483,.T.); #188531=EDGE_CURVE('',#154358,#154377,#66484,.T.); #188532=EDGE_CURVE('',#154378,#154379,#75498,.T.); #188533=EDGE_CURVE('',#154379,#154380,#75499,.T.); #188534=EDGE_CURVE('',#154381,#154380,#75500,.T.); #188535=EDGE_CURVE('',#154378,#154381,#75501,.T.); #188536=EDGE_CURVE('',#154382,#154378,#75502,.T.); #188537=EDGE_CURVE('',#154383,#154381,#75503,.T.); #188538=EDGE_CURVE('',#154382,#154383,#75504,.T.); #188539=EDGE_CURVE('',#154384,#154382,#75505,.T.); #188540=EDGE_CURVE('',#154385,#154383,#75506,.T.); #188541=EDGE_CURVE('',#154384,#154385,#75507,.T.); #188542=EDGE_CURVE('',#154386,#154384,#75508,.T.); #188543=EDGE_CURVE('',#154387,#154385,#75509,.T.); #188544=EDGE_CURVE('',#154386,#154387,#75510,.T.); #188545=EDGE_CURVE('',#154388,#154386,#75511,.T.); #188546=EDGE_CURVE('',#154389,#154387,#75512,.T.); #188547=EDGE_CURVE('',#154388,#154389,#75513,.T.); #188548=EDGE_CURVE('',#154390,#154388,#66485,.T.); #188549=EDGE_CURVE('',#154391,#154389,#66486,.T.); #188550=EDGE_CURVE('',#154390,#154391,#75514,.T.); #188551=EDGE_CURVE('',#154392,#154390,#75515,.T.); #188552=EDGE_CURVE('',#154393,#154391,#75516,.T.); #188553=EDGE_CURVE('',#154392,#154393,#75517,.T.); #188554=EDGE_CURVE('',#154394,#154392,#75518,.T.); #188555=EDGE_CURVE('',#154395,#154393,#75519,.T.); #188556=EDGE_CURVE('',#154394,#154395,#75520,.T.); #188557=EDGE_CURVE('',#154396,#154394,#75521,.T.); #188558=EDGE_CURVE('',#154397,#154395,#75522,.T.); #188559=EDGE_CURVE('',#154396,#154397,#75523,.T.); #188560=EDGE_CURVE('',#154398,#154396,#66487,.T.); #188561=EDGE_CURVE('',#154399,#154397,#66488,.T.); #188562=EDGE_CURVE('',#154398,#154399,#75524,.T.); #188563=EDGE_CURVE('',#154400,#154398,#75525,.T.); #188564=EDGE_CURVE('',#154401,#154399,#75526,.T.); #188565=EDGE_CURVE('',#154400,#154401,#75527,.T.); #188566=EDGE_CURVE('',#154402,#154400,#66489,.T.); #188567=EDGE_CURVE('',#154403,#154401,#66490,.T.); #188568=EDGE_CURVE('',#154402,#154403,#75528,.T.); #188569=EDGE_CURVE('',#154404,#154402,#75529,.T.); #188570=EDGE_CURVE('',#154405,#154403,#75530,.T.); #188571=EDGE_CURVE('',#154404,#154405,#75531,.T.); #188572=EDGE_CURVE('',#154406,#154404,#66491,.T.); #188573=EDGE_CURVE('',#154407,#154405,#66492,.T.); #188574=EDGE_CURVE('',#154406,#154407,#75532,.T.); #188575=EDGE_CURVE('',#154408,#154406,#75533,.T.); #188576=EDGE_CURVE('',#154409,#154407,#75534,.T.); #188577=EDGE_CURVE('',#154408,#154409,#75535,.T.); #188578=EDGE_CURVE('',#154410,#154408,#75536,.T.); #188579=EDGE_CURVE('',#154411,#154409,#75537,.T.); #188580=EDGE_CURVE('',#154410,#154411,#75538,.T.); #188581=EDGE_CURVE('',#154412,#154410,#66493,.T.); #188582=EDGE_CURVE('',#154413,#154411,#66494,.T.); #188583=EDGE_CURVE('',#154412,#154413,#75539,.T.); #188584=EDGE_CURVE('',#154414,#154412,#75540,.T.); #188585=EDGE_CURVE('',#154415,#154413,#75541,.T.); #188586=EDGE_CURVE('',#154414,#154415,#75542,.T.); #188587=EDGE_CURVE('',#154416,#154414,#75543,.T.); #188588=EDGE_CURVE('',#154417,#154415,#75544,.T.); #188589=EDGE_CURVE('',#154416,#154417,#75545,.T.); #188590=EDGE_CURVE('',#154418,#154416,#75546,.T.); #188591=EDGE_CURVE('',#154419,#154417,#75547,.T.); #188592=EDGE_CURVE('',#154418,#154419,#75548,.T.); #188593=EDGE_CURVE('',#154420,#154418,#75549,.T.); #188594=EDGE_CURVE('',#154421,#154419,#75550,.T.); #188595=EDGE_CURVE('',#154420,#154421,#75551,.T.); #188596=EDGE_CURVE('',#154422,#154420,#75552,.T.); #188597=EDGE_CURVE('',#154423,#154421,#75553,.T.); #188598=EDGE_CURVE('',#154422,#154423,#75554,.T.); #188599=EDGE_CURVE('',#154424,#154422,#75555,.T.); #188600=EDGE_CURVE('',#154425,#154423,#75556,.T.); #188601=EDGE_CURVE('',#154424,#154425,#75557,.T.); #188602=EDGE_CURVE('',#154426,#154424,#75558,.T.); #188603=EDGE_CURVE('',#154427,#154425,#75559,.T.); #188604=EDGE_CURVE('',#154426,#154427,#75560,.T.); #188605=EDGE_CURVE('',#154428,#154426,#66495,.T.); #188606=EDGE_CURVE('',#154429,#154427,#66496,.T.); #188607=EDGE_CURVE('',#154428,#154429,#75561,.T.); #188608=EDGE_CURVE('',#154430,#154428,#75562,.T.); #188609=EDGE_CURVE('',#154431,#154429,#75563,.T.); #188610=EDGE_CURVE('',#154430,#154431,#75564,.T.); #188611=EDGE_CURVE('',#154432,#154430,#75565,.T.); #188612=EDGE_CURVE('',#154433,#154431,#75566,.T.); #188613=EDGE_CURVE('',#154432,#154433,#75567,.T.); #188614=EDGE_CURVE('',#154434,#154432,#66497,.T.); #188615=EDGE_CURVE('',#154435,#154433,#66498,.T.); #188616=EDGE_CURVE('',#154434,#154435,#75568,.T.); #188617=EDGE_CURVE('',#154436,#154434,#75569,.T.); #188618=EDGE_CURVE('',#154437,#154435,#75570,.T.); #188619=EDGE_CURVE('',#154436,#154437,#75571,.T.); #188620=EDGE_CURVE('',#154438,#154436,#75572,.T.); #188621=EDGE_CURVE('',#154439,#154437,#75573,.T.); #188622=EDGE_CURVE('',#154438,#154439,#75574,.T.); #188623=EDGE_CURVE('',#154440,#154438,#75575,.T.); #188624=EDGE_CURVE('',#154441,#154439,#75576,.T.); #188625=EDGE_CURVE('',#154440,#154441,#75577,.T.); #188626=EDGE_CURVE('',#154442,#154440,#75578,.T.); #188627=EDGE_CURVE('',#154443,#154441,#75579,.T.); #188628=EDGE_CURVE('',#154442,#154443,#75580,.T.); #188629=EDGE_CURVE('',#154444,#154442,#66499,.T.); #188630=EDGE_CURVE('',#154445,#154443,#66500,.T.); #188631=EDGE_CURVE('',#154444,#154445,#75581,.T.); #188632=EDGE_CURVE('',#154446,#154444,#75582,.T.); #188633=EDGE_CURVE('',#154447,#154445,#75583,.T.); #188634=EDGE_CURVE('',#154446,#154447,#75584,.T.); #188635=EDGE_CURVE('',#154448,#154446,#66501,.T.); #188636=EDGE_CURVE('',#154449,#154447,#66502,.T.); #188637=EDGE_CURVE('',#154448,#154449,#75585,.T.); #188638=EDGE_CURVE('',#154450,#154448,#75586,.T.); #188639=EDGE_CURVE('',#154451,#154449,#75587,.T.); #188640=EDGE_CURVE('',#154450,#154451,#75588,.T.); #188641=EDGE_CURVE('',#154452,#154450,#75589,.T.); #188642=EDGE_CURVE('',#154453,#154451,#75590,.T.); #188643=EDGE_CURVE('',#154452,#154453,#75591,.T.); #188644=EDGE_CURVE('',#154379,#154452,#75592,.T.); #188645=EDGE_CURVE('',#154380,#154453,#75593,.T.); #188646=EDGE_CURVE('',#154454,#154455,#75594,.T.); #188647=EDGE_CURVE('',#154455,#154456,#75595,.T.); #188648=EDGE_CURVE('',#154457,#154456,#75596,.T.); #188649=EDGE_CURVE('',#154454,#154457,#75597,.T.); #188650=EDGE_CURVE('',#154458,#154454,#75598,.T.); #188651=EDGE_CURVE('',#154459,#154457,#75599,.T.); #188652=EDGE_CURVE('',#154458,#154459,#75600,.T.); #188653=EDGE_CURVE('',#154460,#154458,#75601,.T.); #188654=EDGE_CURVE('',#154461,#154459,#75602,.T.); #188655=EDGE_CURVE('',#154460,#154461,#75603,.T.); #188656=EDGE_CURVE('',#154462,#154460,#75604,.T.); #188657=EDGE_CURVE('',#154463,#154461,#75605,.T.); #188658=EDGE_CURVE('',#154462,#154463,#75606,.T.); #188659=EDGE_CURVE('',#154464,#154462,#75607,.T.); #188660=EDGE_CURVE('',#154465,#154463,#75608,.T.); #188661=EDGE_CURVE('',#154464,#154465,#75609,.T.); #188662=EDGE_CURVE('',#154466,#154464,#75610,.T.); #188663=EDGE_CURVE('',#154467,#154465,#75611,.T.); #188664=EDGE_CURVE('',#154466,#154467,#75612,.T.); #188665=EDGE_CURVE('',#154468,#154466,#66503,.T.); #188666=EDGE_CURVE('',#154469,#154467,#66504,.T.); #188667=EDGE_CURVE('',#154468,#154469,#75613,.T.); #188668=EDGE_CURVE('',#154470,#154468,#75614,.T.); #188669=EDGE_CURVE('',#154471,#154469,#75615,.T.); #188670=EDGE_CURVE('',#154470,#154471,#75616,.T.); #188671=EDGE_CURVE('',#154472,#154470,#66505,.T.); #188672=EDGE_CURVE('',#154473,#154471,#66506,.T.); #188673=EDGE_CURVE('',#154472,#154473,#75617,.T.); #188674=EDGE_CURVE('',#154474,#154472,#75618,.T.); #188675=EDGE_CURVE('',#154475,#154473,#75619,.T.); #188676=EDGE_CURVE('',#154474,#154475,#75620,.T.); #188677=EDGE_CURVE('',#154476,#154474,#75621,.T.); #188678=EDGE_CURVE('',#154477,#154475,#75622,.T.); #188679=EDGE_CURVE('',#154476,#154477,#75623,.T.); #188680=EDGE_CURVE('',#154478,#154476,#75624,.T.); #188681=EDGE_CURVE('',#154479,#154477,#75625,.T.); #188682=EDGE_CURVE('',#154478,#154479,#75626,.T.); #188683=EDGE_CURVE('',#154480,#154478,#75627,.T.); #188684=EDGE_CURVE('',#154481,#154479,#75628,.T.); #188685=EDGE_CURVE('',#154480,#154481,#75629,.T.); #188686=EDGE_CURVE('',#154482,#154480,#66507,.T.); #188687=EDGE_CURVE('',#154483,#154481,#66508,.T.); #188688=EDGE_CURVE('',#154482,#154483,#75630,.T.); #188689=EDGE_CURVE('',#154484,#154482,#75631,.T.); #188690=EDGE_CURVE('',#154485,#154483,#75632,.T.); #188691=EDGE_CURVE('',#154484,#154485,#75633,.T.); #188692=EDGE_CURVE('',#154486,#154484,#75634,.T.); #188693=EDGE_CURVE('',#154487,#154485,#75635,.T.); #188694=EDGE_CURVE('',#154486,#154487,#75636,.T.); #188695=EDGE_CURVE('',#154488,#154486,#66509,.T.); #188696=EDGE_CURVE('',#154489,#154487,#66510,.T.); #188697=EDGE_CURVE('',#154488,#154489,#75637,.T.); #188698=EDGE_CURVE('',#154490,#154488,#75638,.T.); #188699=EDGE_CURVE('',#154491,#154489,#75639,.T.); #188700=EDGE_CURVE('',#154490,#154491,#75640,.T.); #188701=EDGE_CURVE('',#154492,#154490,#75641,.T.); #188702=EDGE_CURVE('',#154493,#154491,#75642,.T.); #188703=EDGE_CURVE('',#154492,#154493,#75643,.T.); #188704=EDGE_CURVE('',#154494,#154492,#75644,.T.); #188705=EDGE_CURVE('',#154495,#154493,#75645,.T.); #188706=EDGE_CURVE('',#154494,#154495,#75646,.T.); #188707=EDGE_CURVE('',#154496,#154494,#75647,.T.); #188708=EDGE_CURVE('',#154497,#154495,#75648,.T.); #188709=EDGE_CURVE('',#154496,#154497,#75649,.T.); #188710=EDGE_CURVE('',#154498,#154496,#75650,.T.); #188711=EDGE_CURVE('',#154499,#154497,#75651,.T.); #188712=EDGE_CURVE('',#154498,#154499,#75652,.T.); #188713=EDGE_CURVE('',#154500,#154498,#75653,.T.); #188714=EDGE_CURVE('',#154501,#154499,#75654,.T.); #188715=EDGE_CURVE('',#154500,#154501,#75655,.T.); #188716=EDGE_CURVE('',#154502,#154500,#75656,.T.); #188717=EDGE_CURVE('',#154503,#154501,#75657,.T.); #188718=EDGE_CURVE('',#154502,#154503,#75658,.T.); #188719=EDGE_CURVE('',#154504,#154502,#66511,.T.); #188720=EDGE_CURVE('',#154505,#154503,#66512,.T.); #188721=EDGE_CURVE('',#154504,#154505,#75659,.T.); #188722=EDGE_CURVE('',#154506,#154504,#75660,.T.); #188723=EDGE_CURVE('',#154507,#154505,#75661,.T.); #188724=EDGE_CURVE('',#154506,#154507,#75662,.T.); #188725=EDGE_CURVE('',#154508,#154506,#75663,.T.); #188726=EDGE_CURVE('',#154509,#154507,#75664,.T.); #188727=EDGE_CURVE('',#154508,#154509,#75665,.T.); #188728=EDGE_CURVE('',#154510,#154508,#66513,.T.); #188729=EDGE_CURVE('',#154511,#154509,#66514,.T.); #188730=EDGE_CURVE('',#154510,#154511,#75666,.T.); #188731=EDGE_CURVE('',#154512,#154510,#75667,.T.); #188732=EDGE_CURVE('',#154513,#154511,#75668,.T.); #188733=EDGE_CURVE('',#154512,#154513,#75669,.T.); #188734=EDGE_CURVE('',#154514,#154512,#66515,.T.); #188735=EDGE_CURVE('',#154515,#154513,#66516,.T.); #188736=EDGE_CURVE('',#154514,#154515,#75670,.T.); #188737=EDGE_CURVE('',#154516,#154514,#75671,.T.); #188738=EDGE_CURVE('',#154517,#154515,#75672,.T.); #188739=EDGE_CURVE('',#154516,#154517,#75673,.T.); #188740=EDGE_CURVE('',#154518,#154516,#66517,.T.); #188741=EDGE_CURVE('',#154519,#154517,#66518,.T.); #188742=EDGE_CURVE('',#154518,#154519,#75674,.T.); #188743=EDGE_CURVE('',#154520,#154518,#75675,.T.); #188744=EDGE_CURVE('',#154521,#154519,#75676,.T.); #188745=EDGE_CURVE('',#154520,#154521,#75677,.T.); #188746=EDGE_CURVE('',#154522,#154520,#75678,.T.); #188747=EDGE_CURVE('',#154523,#154521,#75679,.T.); #188748=EDGE_CURVE('',#154522,#154523,#75680,.T.); #188749=EDGE_CURVE('',#154524,#154522,#75681,.T.); #188750=EDGE_CURVE('',#154525,#154523,#75682,.T.); #188751=EDGE_CURVE('',#154524,#154525,#75683,.T.); #188752=EDGE_CURVE('',#154526,#154524,#66519,.T.); #188753=EDGE_CURVE('',#154527,#154525,#66520,.T.); #188754=EDGE_CURVE('',#154526,#154527,#75684,.T.); #188755=EDGE_CURVE('',#154528,#154526,#75685,.T.); #188756=EDGE_CURVE('',#154529,#154527,#75686,.T.); #188757=EDGE_CURVE('',#154528,#154529,#75687,.T.); #188758=EDGE_CURVE('',#154455,#154528,#75688,.T.); #188759=EDGE_CURVE('',#154456,#154529,#75689,.T.); #188760=EDGE_CURVE('',#154530,#154531,#75690,.T.); #188761=EDGE_CURVE('',#154531,#154532,#75691,.T.); #188762=EDGE_CURVE('',#154533,#154532,#75692,.T.); #188763=EDGE_CURVE('',#154530,#154533,#75693,.T.); #188764=EDGE_CURVE('',#154534,#154530,#75694,.T.); #188765=EDGE_CURVE('',#154535,#154533,#75695,.T.); #188766=EDGE_CURVE('',#154534,#154535,#75696,.T.); #188767=EDGE_CURVE('',#154536,#154534,#75697,.T.); #188768=EDGE_CURVE('',#154537,#154535,#75698,.T.); #188769=EDGE_CURVE('',#154536,#154537,#75699,.T.); #188770=EDGE_CURVE('',#154538,#154536,#75700,.T.); #188771=EDGE_CURVE('',#154539,#154537,#75701,.T.); #188772=EDGE_CURVE('',#154538,#154539,#75702,.T.); #188773=EDGE_CURVE('',#154540,#154538,#66521,.T.); #188774=EDGE_CURVE('',#154541,#154539,#66522,.T.); #188775=EDGE_CURVE('',#154540,#154541,#75703,.T.); #188776=EDGE_CURVE('',#154542,#154540,#75704,.T.); #188777=EDGE_CURVE('',#154543,#154541,#75705,.T.); #188778=EDGE_CURVE('',#154542,#154543,#75706,.T.); #188779=EDGE_CURVE('',#154544,#154542,#75707,.T.); #188780=EDGE_CURVE('',#154545,#154543,#75708,.T.); #188781=EDGE_CURVE('',#154544,#154545,#75709,.T.); #188782=EDGE_CURVE('',#154546,#154544,#66523,.T.); #188783=EDGE_CURVE('',#154547,#154545,#66524,.T.); #188784=EDGE_CURVE('',#154546,#154547,#75710,.T.); #188785=EDGE_CURVE('',#154548,#154546,#75711,.T.); #188786=EDGE_CURVE('',#154549,#154547,#75712,.T.); #188787=EDGE_CURVE('',#154548,#154549,#75713,.T.); #188788=EDGE_CURVE('',#154550,#154548,#75714,.T.); #188789=EDGE_CURVE('',#154551,#154549,#75715,.T.); #188790=EDGE_CURVE('',#154550,#154551,#75716,.T.); #188791=EDGE_CURVE('',#154552,#154550,#75717,.T.); #188792=EDGE_CURVE('',#154553,#154551,#75718,.T.); #188793=EDGE_CURVE('',#154552,#154553,#75719,.T.); #188794=EDGE_CURVE('',#154554,#154552,#75720,.T.); #188795=EDGE_CURVE('',#154555,#154553,#75721,.T.); #188796=EDGE_CURVE('',#154554,#154555,#75722,.T.); #188797=EDGE_CURVE('',#154556,#154554,#75723,.T.); #188798=EDGE_CURVE('',#154557,#154555,#75724,.T.); #188799=EDGE_CURVE('',#154556,#154557,#75725,.T.); #188800=EDGE_CURVE('',#154558,#154556,#75726,.T.); #188801=EDGE_CURVE('',#154559,#154557,#75727,.T.); #188802=EDGE_CURVE('',#154558,#154559,#75728,.T.); #188803=EDGE_CURVE('',#154560,#154558,#75729,.T.); #188804=EDGE_CURVE('',#154561,#154559,#75730,.T.); #188805=EDGE_CURVE('',#154560,#154561,#75731,.T.); #188806=EDGE_CURVE('',#154562,#154560,#66525,.T.); #188807=EDGE_CURVE('',#154563,#154561,#66526,.T.); #188808=EDGE_CURVE('',#154562,#154563,#75732,.T.); #188809=EDGE_CURVE('',#154564,#154562,#75733,.T.); #188810=EDGE_CURVE('',#154565,#154563,#75734,.T.); #188811=EDGE_CURVE('',#154564,#154565,#75735,.T.); #188812=EDGE_CURVE('',#154566,#154564,#75736,.T.); #188813=EDGE_CURVE('',#154567,#154565,#75737,.T.); #188814=EDGE_CURVE('',#154566,#154567,#75738,.T.); #188815=EDGE_CURVE('',#154568,#154566,#75739,.T.); #188816=EDGE_CURVE('',#154569,#154567,#75740,.T.); #188817=EDGE_CURVE('',#154568,#154569,#75741,.T.); #188818=EDGE_CURVE('',#154531,#154568,#75742,.T.); #188819=EDGE_CURVE('',#154532,#154569,#75743,.T.); #188820=EDGE_CURVE('',#154570,#154570,#66527,.T.); #188821=EDGE_CURVE('',#154570,#154571,#75744,.T.); #188822=EDGE_CURVE('',#154571,#154571,#66528,.T.); #188823=EDGE_CURVE('',#154572,#154573,#75745,.T.); #188824=EDGE_CURVE('',#154573,#154574,#75746,.T.); #188825=EDGE_CURVE('',#154575,#154574,#75747,.T.); #188826=EDGE_CURVE('',#154572,#154575,#75748,.T.); #188827=EDGE_CURVE('',#154576,#154572,#75749,.T.); #188828=EDGE_CURVE('',#154577,#154575,#75750,.T.); #188829=EDGE_CURVE('',#154576,#154577,#75751,.T.); #188830=EDGE_CURVE('',#154578,#154576,#66529,.T.); #188831=EDGE_CURVE('',#154579,#154577,#66530,.T.); #188832=EDGE_CURVE('',#154578,#154579,#75752,.T.); #188833=EDGE_CURVE('',#154580,#154578,#75753,.T.); #188834=EDGE_CURVE('',#154581,#154579,#75754,.T.); #188835=EDGE_CURVE('',#154580,#154581,#75755,.T.); #188836=EDGE_CURVE('',#154582,#154580,#75756,.T.); #188837=EDGE_CURVE('',#154583,#154581,#75757,.T.); #188838=EDGE_CURVE('',#154582,#154583,#75758,.T.); #188839=EDGE_CURVE('',#154584,#154582,#75759,.T.); #188840=EDGE_CURVE('',#154585,#154583,#75760,.T.); #188841=EDGE_CURVE('',#154584,#154585,#75761,.T.); #188842=EDGE_CURVE('',#154586,#154584,#66531,.T.); #188843=EDGE_CURVE('',#154587,#154585,#66532,.T.); #188844=EDGE_CURVE('',#154586,#154587,#75762,.T.); #188845=EDGE_CURVE('',#154588,#154586,#75763,.T.); #188846=EDGE_CURVE('',#154589,#154587,#75764,.T.); #188847=EDGE_CURVE('',#154588,#154589,#75765,.T.); #188848=EDGE_CURVE('',#154590,#154588,#75766,.T.); #188849=EDGE_CURVE('',#154591,#154589,#75767,.T.); #188850=EDGE_CURVE('',#154590,#154591,#75768,.T.); #188851=EDGE_CURVE('',#154592,#154590,#66533,.T.); #188852=EDGE_CURVE('',#154593,#154591,#66534,.T.); #188853=EDGE_CURVE('',#154592,#154593,#75769,.T.); #188854=EDGE_CURVE('',#154594,#154592,#66535,.T.); #188855=EDGE_CURVE('',#154595,#154593,#66536,.T.); #188856=EDGE_CURVE('',#154594,#154595,#75770,.T.); #188857=EDGE_CURVE('',#154596,#154594,#75771,.T.); #188858=EDGE_CURVE('',#154597,#154595,#75772,.T.); #188859=EDGE_CURVE('',#154596,#154597,#75773,.T.); #188860=EDGE_CURVE('',#154598,#154596,#66537,.T.); #188861=EDGE_CURVE('',#154599,#154597,#66538,.T.); #188862=EDGE_CURVE('',#154598,#154599,#75774,.T.); #188863=EDGE_CURVE('',#154600,#154598,#75775,.T.); #188864=EDGE_CURVE('',#154601,#154599,#75776,.T.); #188865=EDGE_CURVE('',#154600,#154601,#75777,.T.); #188866=EDGE_CURVE('',#154602,#154600,#75778,.T.); #188867=EDGE_CURVE('',#154603,#154601,#75779,.T.); #188868=EDGE_CURVE('',#154602,#154603,#75780,.T.); #188869=EDGE_CURVE('',#154604,#154602,#66539,.T.); #188870=EDGE_CURVE('',#154605,#154603,#66540,.T.); #188871=EDGE_CURVE('',#154604,#154605,#75781,.T.); #188872=EDGE_CURVE('',#154606,#154604,#75782,.T.); #188873=EDGE_CURVE('',#154607,#154605,#75783,.T.); #188874=EDGE_CURVE('',#154606,#154607,#75784,.T.); #188875=EDGE_CURVE('',#154608,#154606,#75785,.T.); #188876=EDGE_CURVE('',#154609,#154607,#75786,.T.); #188877=EDGE_CURVE('',#154608,#154609,#75787,.T.); #188878=EDGE_CURVE('',#154610,#154608,#75788,.T.); #188879=EDGE_CURVE('',#154611,#154609,#75789,.T.); #188880=EDGE_CURVE('',#154610,#154611,#75790,.T.); #188881=EDGE_CURVE('',#154612,#154610,#75791,.T.); #188882=EDGE_CURVE('',#154613,#154611,#75792,.T.); #188883=EDGE_CURVE('',#154612,#154613,#75793,.T.); #188884=EDGE_CURVE('',#154573,#154612,#75794,.T.); #188885=EDGE_CURVE('',#154574,#154613,#75795,.T.); #188886=EDGE_CURVE('',#154614,#154614,#66541,.T.); #188887=EDGE_CURVE('',#154614,#154615,#75796,.T.); #188888=EDGE_CURVE('',#154615,#154615,#66542,.T.); #188889=EDGE_CURVE('',#154616,#154617,#75797,.T.); #188890=EDGE_CURVE('',#154617,#154618,#75798,.T.); #188891=EDGE_CURVE('',#154619,#154618,#75799,.T.); #188892=EDGE_CURVE('',#154616,#154619,#75800,.T.); #188893=EDGE_CURVE('',#154620,#154616,#66543,.T.); #188894=EDGE_CURVE('',#154621,#154619,#66544,.T.); #188895=EDGE_CURVE('',#154620,#154621,#75801,.T.); #188896=EDGE_CURVE('',#154622,#154620,#75802,.T.); #188897=EDGE_CURVE('',#154623,#154621,#75803,.T.); #188898=EDGE_CURVE('',#154622,#154623,#75804,.T.); #188899=EDGE_CURVE('',#154624,#154622,#75805,.T.); #188900=EDGE_CURVE('',#154625,#154623,#75806,.T.); #188901=EDGE_CURVE('',#154624,#154625,#75807,.T.); #188902=EDGE_CURVE('',#154626,#154624,#66545,.T.); #188903=EDGE_CURVE('',#154627,#154625,#66546,.T.); #188904=EDGE_CURVE('',#154626,#154627,#75808,.T.); #188905=EDGE_CURVE('',#154628,#154626,#75809,.T.); #188906=EDGE_CURVE('',#154629,#154627,#75810,.T.); #188907=EDGE_CURVE('',#154628,#154629,#75811,.T.); #188908=EDGE_CURVE('',#154630,#154628,#75812,.T.); #188909=EDGE_CURVE('',#154631,#154629,#75813,.T.); #188910=EDGE_CURVE('',#154630,#154631,#75814,.T.); #188911=EDGE_CURVE('',#154632,#154630,#75815,.T.); #188912=EDGE_CURVE('',#154633,#154631,#75816,.T.); #188913=EDGE_CURVE('',#154632,#154633,#75817,.T.); #188914=EDGE_CURVE('',#154634,#154632,#75818,.T.); #188915=EDGE_CURVE('',#154635,#154633,#75819,.T.); #188916=EDGE_CURVE('',#154634,#154635,#75820,.T.); #188917=EDGE_CURVE('',#154636,#154634,#75821,.T.); #188918=EDGE_CURVE('',#154637,#154635,#75822,.T.); #188919=EDGE_CURVE('',#154636,#154637,#75823,.T.); #188920=EDGE_CURVE('',#154638,#154636,#75824,.T.); #188921=EDGE_CURVE('',#154639,#154637,#75825,.T.); #188922=EDGE_CURVE('',#154638,#154639,#75826,.T.); #188923=EDGE_CURVE('',#154640,#154638,#75827,.T.); #188924=EDGE_CURVE('',#154641,#154639,#75828,.T.); #188925=EDGE_CURVE('',#154640,#154641,#75829,.T.); #188926=EDGE_CURVE('',#154642,#154640,#75830,.T.); #188927=EDGE_CURVE('',#154643,#154641,#75831,.T.); #188928=EDGE_CURVE('',#154642,#154643,#75832,.T.); #188929=EDGE_CURVE('',#154644,#154642,#66547,.T.); #188930=EDGE_CURVE('',#154645,#154643,#66548,.T.); #188931=EDGE_CURVE('',#154644,#154645,#75833,.T.); #188932=EDGE_CURVE('',#154646,#154644,#75834,.T.); #188933=EDGE_CURVE('',#154647,#154645,#75835,.T.); #188934=EDGE_CURVE('',#154646,#154647,#75836,.T.); #188935=EDGE_CURVE('',#154617,#154646,#66549,.T.); #188936=EDGE_CURVE('',#154618,#154647,#66550,.T.); #188937=EDGE_CURVE('',#154648,#154648,#66551,.T.); #188938=EDGE_CURVE('',#154648,#154649,#75837,.T.); #188939=EDGE_CURVE('',#154649,#154649,#66552,.T.); #188940=EDGE_CURVE('',#154650,#154651,#75838,.T.); #188941=EDGE_CURVE('',#154651,#154652,#75839,.T.); #188942=EDGE_CURVE('',#154653,#154652,#75840,.T.); #188943=EDGE_CURVE('',#154650,#154653,#75841,.T.); #188944=EDGE_CURVE('',#154654,#154650,#66553,.T.); #188945=EDGE_CURVE('',#154655,#154653,#66554,.T.); #188946=EDGE_CURVE('',#154654,#154655,#75842,.T.); #188947=EDGE_CURVE('',#154656,#154654,#66555,.T.); #188948=EDGE_CURVE('',#154657,#154655,#66556,.T.); #188949=EDGE_CURVE('',#154656,#154657,#75843,.T.); #188950=EDGE_CURVE('',#154658,#154656,#75844,.T.); #188951=EDGE_CURVE('',#154659,#154657,#75845,.T.); #188952=EDGE_CURVE('',#154658,#154659,#75846,.T.); #188953=EDGE_CURVE('',#154660,#154658,#75847,.T.); #188954=EDGE_CURVE('',#154661,#154659,#75848,.T.); #188955=EDGE_CURVE('',#154660,#154661,#75849,.T.); #188956=EDGE_CURVE('',#154662,#154660,#75850,.T.); #188957=EDGE_CURVE('',#154663,#154661,#75851,.T.); #188958=EDGE_CURVE('',#154662,#154663,#75852,.T.); #188959=EDGE_CURVE('',#154664,#154662,#75853,.T.); #188960=EDGE_CURVE('',#154665,#154663,#75854,.T.); #188961=EDGE_CURVE('',#154664,#154665,#75855,.T.); #188962=EDGE_CURVE('',#154666,#154664,#75856,.T.); #188963=EDGE_CURVE('',#154667,#154665,#75857,.T.); #188964=EDGE_CURVE('',#154666,#154667,#75858,.T.); #188965=EDGE_CURVE('',#154668,#154666,#75859,.T.); #188966=EDGE_CURVE('',#154669,#154667,#75860,.T.); #188967=EDGE_CURVE('',#154668,#154669,#75861,.T.); #188968=EDGE_CURVE('',#154670,#154668,#75862,.T.); #188969=EDGE_CURVE('',#154671,#154669,#75863,.T.); #188970=EDGE_CURVE('',#154670,#154671,#75864,.T.); #188971=EDGE_CURVE('',#154672,#154670,#66557,.T.); #188972=EDGE_CURVE('',#154673,#154671,#66558,.T.); #188973=EDGE_CURVE('',#154672,#154673,#75865,.T.); #188974=EDGE_CURVE('',#154674,#154672,#75866,.T.); #188975=EDGE_CURVE('',#154675,#154673,#75867,.T.); #188976=EDGE_CURVE('',#154674,#154675,#75868,.T.); #188977=EDGE_CURVE('',#154651,#154674,#66559,.T.); #188978=EDGE_CURVE('',#154652,#154675,#66560,.T.); #188979=EDGE_CURVE('',#154676,#154676,#66561,.T.); #188980=EDGE_CURVE('',#154676,#154677,#75869,.T.); #188981=EDGE_CURVE('',#154677,#154677,#66562,.T.); #188982=EDGE_CURVE('',#154678,#154679,#75870,.T.); #188983=EDGE_CURVE('',#154679,#154680,#75871,.T.); #188984=EDGE_CURVE('',#154681,#154680,#75872,.T.); #188985=EDGE_CURVE('',#154678,#154681,#75873,.T.); #188986=EDGE_CURVE('',#154682,#154678,#75874,.T.); #188987=EDGE_CURVE('',#154683,#154681,#75875,.T.); #188988=EDGE_CURVE('',#154682,#154683,#75876,.T.); #188989=EDGE_CURVE('',#154684,#154682,#75877,.T.); #188990=EDGE_CURVE('',#154685,#154683,#75878,.T.); #188991=EDGE_CURVE('',#154684,#154685,#75879,.T.); #188992=EDGE_CURVE('',#154686,#154684,#75880,.T.); #188993=EDGE_CURVE('',#154687,#154685,#75881,.T.); #188994=EDGE_CURVE('',#154686,#154687,#75882,.T.); #188995=EDGE_CURVE('',#154688,#154686,#75883,.T.); #188996=EDGE_CURVE('',#154689,#154687,#75884,.T.); #188997=EDGE_CURVE('',#154688,#154689,#75885,.T.); #188998=EDGE_CURVE('',#154690,#154688,#66563,.T.); #188999=EDGE_CURVE('',#154691,#154689,#66564,.T.); #189000=EDGE_CURVE('',#154690,#154691,#75886,.T.); #189001=EDGE_CURVE('',#154692,#154690,#75887,.T.); #189002=EDGE_CURVE('',#154693,#154691,#75888,.T.); #189003=EDGE_CURVE('',#154692,#154693,#75889,.T.); #189004=EDGE_CURVE('',#154694,#154692,#75890,.T.); #189005=EDGE_CURVE('',#154695,#154693,#75891,.T.); #189006=EDGE_CURVE('',#154694,#154695,#75892,.T.); #189007=EDGE_CURVE('',#154696,#154694,#66565,.T.); #189008=EDGE_CURVE('',#154697,#154695,#66566,.T.); #189009=EDGE_CURVE('',#154696,#154697,#75893,.T.); #189010=EDGE_CURVE('',#154698,#154696,#75894,.T.); #189011=EDGE_CURVE('',#154699,#154697,#75895,.T.); #189012=EDGE_CURVE('',#154698,#154699,#75896,.T.); #189013=EDGE_CURVE('',#154700,#154698,#66567,.T.); #189014=EDGE_CURVE('',#154701,#154699,#66568,.T.); #189015=EDGE_CURVE('',#154700,#154701,#75897,.T.); #189016=EDGE_CURVE('',#154702,#154700,#66569,.T.); #189017=EDGE_CURVE('',#154703,#154701,#66570,.T.); #189018=EDGE_CURVE('',#154702,#154703,#75898,.T.); #189019=EDGE_CURVE('',#154704,#154702,#75899,.T.); #189020=EDGE_CURVE('',#154705,#154703,#75900,.T.); #189021=EDGE_CURVE('',#154704,#154705,#75901,.T.); #189022=EDGE_CURVE('',#154706,#154704,#75902,.T.); #189023=EDGE_CURVE('',#154707,#154705,#75903,.T.); #189024=EDGE_CURVE('',#154706,#154707,#75904,.T.); #189025=EDGE_CURVE('',#154708,#154706,#66571,.T.); #189026=EDGE_CURVE('',#154709,#154707,#66572,.T.); #189027=EDGE_CURVE('',#154708,#154709,#75905,.T.); #189028=EDGE_CURVE('',#154710,#154708,#75906,.T.); #189029=EDGE_CURVE('',#154711,#154709,#75907,.T.); #189030=EDGE_CURVE('',#154710,#154711,#75908,.T.); #189031=EDGE_CURVE('',#154712,#154710,#75909,.T.); #189032=EDGE_CURVE('',#154713,#154711,#75910,.T.); #189033=EDGE_CURVE('',#154712,#154713,#75911,.T.); #189034=EDGE_CURVE('',#154679,#154712,#75912,.T.); #189035=EDGE_CURVE('',#154680,#154713,#75913,.T.); #189036=EDGE_CURVE('',#154714,#154714,#66573,.T.); #189037=EDGE_CURVE('',#154714,#154715,#75914,.T.); #189038=EDGE_CURVE('',#154715,#154715,#66574,.T.); #189039=EDGE_CURVE('',#154716,#154717,#75915,.T.); #189040=EDGE_CURVE('',#154717,#154718,#75916,.T.); #189041=EDGE_CURVE('',#154719,#154718,#75917,.T.); #189042=EDGE_CURVE('',#154716,#154719,#75918,.T.); #189043=EDGE_CURVE('',#154720,#154716,#66575,.T.); #189044=EDGE_CURVE('',#154721,#154719,#66576,.T.); #189045=EDGE_CURVE('',#154720,#154721,#75919,.T.); #189046=EDGE_CURVE('',#154722,#154720,#75920,.T.); #189047=EDGE_CURVE('',#154723,#154721,#75921,.T.); #189048=EDGE_CURVE('',#154722,#154723,#75922,.T.); #189049=EDGE_CURVE('',#154724,#154722,#66577,.T.); #189050=EDGE_CURVE('',#154725,#154723,#66578,.T.); #189051=EDGE_CURVE('',#154724,#154725,#75923,.T.); #189052=EDGE_CURVE('',#154726,#154724,#75924,.T.); #189053=EDGE_CURVE('',#154727,#154725,#75925,.T.); #189054=EDGE_CURVE('',#154726,#154727,#75926,.T.); #189055=EDGE_CURVE('',#154728,#154726,#75927,.T.); #189056=EDGE_CURVE('',#154729,#154727,#75928,.T.); #189057=EDGE_CURVE('',#154728,#154729,#75929,.T.); #189058=EDGE_CURVE('',#154730,#154728,#75930,.T.); #189059=EDGE_CURVE('',#154731,#154729,#75931,.T.); #189060=EDGE_CURVE('',#154730,#154731,#75932,.T.); #189061=EDGE_CURVE('',#154732,#154730,#75933,.T.); #189062=EDGE_CURVE('',#154733,#154731,#75934,.T.); #189063=EDGE_CURVE('',#154732,#154733,#75935,.T.); #189064=EDGE_CURVE('',#154734,#154732,#75936,.T.); #189065=EDGE_CURVE('',#154735,#154733,#75937,.T.); #189066=EDGE_CURVE('',#154734,#154735,#75938,.T.); #189067=EDGE_CURVE('',#154736,#154734,#75939,.T.); #189068=EDGE_CURVE('',#154737,#154735,#75940,.T.); #189069=EDGE_CURVE('',#154736,#154737,#75941,.T.); #189070=EDGE_CURVE('',#154738,#154736,#75942,.T.); #189071=EDGE_CURVE('',#154739,#154737,#75943,.T.); #189072=EDGE_CURVE('',#154738,#154739,#75944,.T.); #189073=EDGE_CURVE('',#154740,#154738,#66579,.T.); #189074=EDGE_CURVE('',#154741,#154739,#66580,.T.); #189075=EDGE_CURVE('',#154740,#154741,#75945,.T.); #189076=EDGE_CURVE('',#154717,#154740,#66581,.T.); #189077=EDGE_CURVE('',#154718,#154741,#66582,.T.); #189078=EDGE_CURVE('',#154742,#154742,#66583,.T.); #189079=EDGE_CURVE('',#154742,#154743,#75946,.T.); #189080=EDGE_CURVE('',#154743,#154743,#66584,.T.); #189081=EDGE_CURVE('',#154744,#154745,#75947,.T.); #189082=EDGE_CURVE('',#154745,#154746,#75948,.T.); #189083=EDGE_CURVE('',#154747,#154746,#75949,.T.); #189084=EDGE_CURVE('',#154744,#154747,#75950,.T.); #189085=EDGE_CURVE('',#154748,#154744,#75951,.T.); #189086=EDGE_CURVE('',#154749,#154747,#75952,.T.); #189087=EDGE_CURVE('',#154748,#154749,#75953,.T.); #189088=EDGE_CURVE('',#154750,#154748,#75954,.T.); #189089=EDGE_CURVE('',#154751,#154749,#75955,.T.); #189090=EDGE_CURVE('',#154750,#154751,#75956,.T.); #189091=EDGE_CURVE('',#154752,#154750,#75957,.T.); #189092=EDGE_CURVE('',#154753,#154751,#75958,.T.); #189093=EDGE_CURVE('',#154752,#154753,#75959,.T.); #189094=EDGE_CURVE('',#154754,#154752,#75960,.T.); #189095=EDGE_CURVE('',#154755,#154753,#75961,.T.); #189096=EDGE_CURVE('',#154754,#154755,#75962,.T.); #189097=EDGE_CURVE('',#154756,#154754,#75963,.T.); #189098=EDGE_CURVE('',#154757,#154755,#75964,.T.); #189099=EDGE_CURVE('',#154756,#154757,#75965,.T.); #189100=EDGE_CURVE('',#154758,#154756,#66585,.T.); #189101=EDGE_CURVE('',#154759,#154757,#66586,.T.); #189102=EDGE_CURVE('',#154758,#154759,#75966,.T.); #189103=EDGE_CURVE('',#154760,#154758,#75967,.T.); #189104=EDGE_CURVE('',#154761,#154759,#75968,.T.); #189105=EDGE_CURVE('',#154760,#154761,#75969,.T.); #189106=EDGE_CURVE('',#154762,#154760,#75970,.T.); #189107=EDGE_CURVE('',#154763,#154761,#75971,.T.); #189108=EDGE_CURVE('',#154762,#154763,#75972,.T.); #189109=EDGE_CURVE('',#154764,#154762,#66587,.T.); #189110=EDGE_CURVE('',#154765,#154763,#66588,.T.); #189111=EDGE_CURVE('',#154764,#154765,#75973,.T.); #189112=EDGE_CURVE('',#154766,#154764,#66589,.T.); #189113=EDGE_CURVE('',#154767,#154765,#66590,.T.); #189114=EDGE_CURVE('',#154766,#154767,#75974,.T.); #189115=EDGE_CURVE('',#154768,#154766,#75975,.T.); #189116=EDGE_CURVE('',#154769,#154767,#75976,.T.); #189117=EDGE_CURVE('',#154768,#154769,#75977,.T.); #189118=EDGE_CURVE('',#154770,#154768,#66591,.T.); #189119=EDGE_CURVE('',#154771,#154769,#66592,.T.); #189120=EDGE_CURVE('',#154770,#154771,#75978,.T.); #189121=EDGE_CURVE('',#154772,#154770,#75979,.T.); #189122=EDGE_CURVE('',#154773,#154771,#75980,.T.); #189123=EDGE_CURVE('',#154772,#154773,#75981,.T.); #189124=EDGE_CURVE('',#154774,#154772,#75982,.T.); #189125=EDGE_CURVE('',#154775,#154773,#75983,.T.); #189126=EDGE_CURVE('',#154774,#154775,#75984,.T.); #189127=EDGE_CURVE('',#154776,#154774,#66593,.T.); #189128=EDGE_CURVE('',#154777,#154775,#66594,.T.); #189129=EDGE_CURVE('',#154776,#154777,#75985,.T.); #189130=EDGE_CURVE('',#154778,#154776,#75986,.T.); #189131=EDGE_CURVE('',#154779,#154777,#75987,.T.); #189132=EDGE_CURVE('',#154778,#154779,#75988,.T.); #189133=EDGE_CURVE('',#154745,#154778,#75989,.T.); #189134=EDGE_CURVE('',#154746,#154779,#75990,.T.); #189135=EDGE_CURVE('',#154780,#154780,#66595,.T.); #189136=EDGE_CURVE('',#154780,#154781,#75991,.T.); #189137=EDGE_CURVE('',#154781,#154781,#66596,.T.); #189138=EDGE_CURVE('',#154782,#154783,#75992,.T.); #189139=EDGE_CURVE('',#154783,#154784,#75993,.T.); #189140=EDGE_CURVE('',#154785,#154784,#75994,.T.); #189141=EDGE_CURVE('',#154782,#154785,#75995,.T.); #189142=EDGE_CURVE('',#154786,#154782,#66597,.T.); #189143=EDGE_CURVE('',#154787,#154785,#66598,.T.); #189144=EDGE_CURVE('',#154786,#154787,#75996,.T.); #189145=EDGE_CURVE('',#154788,#154786,#75997,.T.); #189146=EDGE_CURVE('',#154789,#154787,#75998,.T.); #189147=EDGE_CURVE('',#154788,#154789,#75999,.T.); #189148=EDGE_CURVE('',#154790,#154788,#76000,.T.); #189149=EDGE_CURVE('',#154791,#154789,#76001,.T.); #189150=EDGE_CURVE('',#154790,#154791,#76002,.T.); #189151=EDGE_CURVE('',#154792,#154790,#76003,.T.); #189152=EDGE_CURVE('',#154793,#154791,#76004,.T.); #189153=EDGE_CURVE('',#154792,#154793,#76005,.T.); #189154=EDGE_CURVE('',#154794,#154792,#76006,.T.); #189155=EDGE_CURVE('',#154795,#154793,#76007,.T.); #189156=EDGE_CURVE('',#154794,#154795,#76008,.T.); #189157=EDGE_CURVE('',#154796,#154794,#76009,.T.); #189158=EDGE_CURVE('',#154797,#154795,#76010,.T.); #189159=EDGE_CURVE('',#154796,#154797,#76011,.T.); #189160=EDGE_CURVE('',#154798,#154796,#76012,.T.); #189161=EDGE_CURVE('',#154799,#154797,#76013,.T.); #189162=EDGE_CURVE('',#154798,#154799,#76014,.T.); #189163=EDGE_CURVE('',#154800,#154798,#76015,.T.); #189164=EDGE_CURVE('',#154801,#154799,#76016,.T.); #189165=EDGE_CURVE('',#154800,#154801,#76017,.T.); #189166=EDGE_CURVE('',#154802,#154800,#66599,.T.); #189167=EDGE_CURVE('',#154803,#154801,#66600,.T.); #189168=EDGE_CURVE('',#154802,#154803,#76018,.T.); #189169=EDGE_CURVE('',#154783,#154802,#66601,.T.); #189170=EDGE_CURVE('',#154784,#154803,#66602,.T.); #189171=EDGE_CURVE('',#154804,#154804,#66603,.T.); #189172=EDGE_CURVE('',#154804,#154805,#76019,.T.); #189173=EDGE_CURVE('',#154805,#154805,#66604,.T.); #189174=EDGE_CURVE('',#154806,#154807,#76020,.T.); #189175=EDGE_CURVE('',#154807,#154808,#76021,.T.); #189176=EDGE_CURVE('',#154809,#154808,#76022,.T.); #189177=EDGE_CURVE('',#154806,#154809,#76023,.T.); #189178=EDGE_CURVE('',#154810,#154806,#66605,.T.); #189179=EDGE_CURVE('',#154811,#154809,#66606,.T.); #189180=EDGE_CURVE('',#154810,#154811,#76024,.T.); #189181=EDGE_CURVE('',#154812,#154810,#66607,.T.); #189182=EDGE_CURVE('',#154813,#154811,#66608,.T.); #189183=EDGE_CURVE('',#154812,#154813,#76025,.T.); #189184=EDGE_CURVE('',#154814,#154812,#76026,.T.); #189185=EDGE_CURVE('',#154815,#154813,#76027,.T.); #189186=EDGE_CURVE('',#154814,#154815,#76028,.T.); #189187=EDGE_CURVE('',#154816,#154814,#76029,.T.); #189188=EDGE_CURVE('',#154817,#154815,#76030,.T.); #189189=EDGE_CURVE('',#154816,#154817,#76031,.T.); #189190=EDGE_CURVE('',#154818,#154816,#76032,.T.); #189191=EDGE_CURVE('',#154819,#154817,#76033,.T.); #189192=EDGE_CURVE('',#154818,#154819,#76034,.T.); #189193=EDGE_CURVE('',#154820,#154818,#76035,.T.); #189194=EDGE_CURVE('',#154821,#154819,#76036,.T.); #189195=EDGE_CURVE('',#154820,#154821,#76037,.T.); #189196=EDGE_CURVE('',#154822,#154820,#76038,.T.); #189197=EDGE_CURVE('',#154823,#154821,#76039,.T.); #189198=EDGE_CURVE('',#154822,#154823,#76040,.T.); #189199=EDGE_CURVE('',#154824,#154822,#76041,.T.); #189200=EDGE_CURVE('',#154825,#154823,#76042,.T.); #189201=EDGE_CURVE('',#154824,#154825,#76043,.T.); #189202=EDGE_CURVE('',#154826,#154824,#76044,.T.); #189203=EDGE_CURVE('',#154827,#154825,#76045,.T.); #189204=EDGE_CURVE('',#154826,#154827,#76046,.T.); #189205=EDGE_CURVE('',#154807,#154826,#66609,.T.); #189206=EDGE_CURVE('',#154808,#154827,#66610,.T.); #189207=EDGE_CURVE('',#154828,#154828,#66611,.T.); #189208=EDGE_CURVE('',#154828,#154829,#76047,.T.); #189209=EDGE_CURVE('',#154829,#154829,#66612,.T.); #189210=EDGE_CURVE('',#154830,#154831,#76048,.T.); #189211=EDGE_CURVE('',#154831,#154832,#76049,.T.); #189212=EDGE_CURVE('',#154833,#154832,#76050,.T.); #189213=EDGE_CURVE('',#154830,#154833,#76051,.T.); #189214=EDGE_CURVE('',#154834,#154830,#76052,.T.); #189215=EDGE_CURVE('',#154835,#154833,#76053,.T.); #189216=EDGE_CURVE('',#154834,#154835,#76054,.T.); #189217=EDGE_CURVE('',#154836,#154834,#76055,.T.); #189218=EDGE_CURVE('',#154837,#154835,#76056,.T.); #189219=EDGE_CURVE('',#154836,#154837,#76057,.T.); #189220=EDGE_CURVE('',#154838,#154836,#76058,.T.); #189221=EDGE_CURVE('',#154839,#154837,#76059,.T.); #189222=EDGE_CURVE('',#154838,#154839,#76060,.T.); #189223=EDGE_CURVE('',#154840,#154838,#76061,.T.); #189224=EDGE_CURVE('',#154841,#154839,#76062,.T.); #189225=EDGE_CURVE('',#154840,#154841,#76063,.T.); #189226=EDGE_CURVE('',#154842,#154840,#66613,.T.); #189227=EDGE_CURVE('',#154843,#154841,#66614,.T.); #189228=EDGE_CURVE('',#154842,#154843,#76064,.T.); #189229=EDGE_CURVE('',#154844,#154842,#76065,.T.); #189230=EDGE_CURVE('',#154845,#154843,#76066,.T.); #189231=EDGE_CURVE('',#154844,#154845,#76067,.T.); #189232=EDGE_CURVE('',#154846,#154844,#76068,.T.); #189233=EDGE_CURVE('',#154847,#154845,#76069,.T.); #189234=EDGE_CURVE('',#154846,#154847,#76070,.T.); #189235=EDGE_CURVE('',#154848,#154846,#76071,.T.); #189236=EDGE_CURVE('',#154849,#154847,#76072,.T.); #189237=EDGE_CURVE('',#154848,#154849,#76073,.T.); #189238=EDGE_CURVE('',#154850,#154848,#76074,.T.); #189239=EDGE_CURVE('',#154851,#154849,#76075,.T.); #189240=EDGE_CURVE('',#154850,#154851,#76076,.T.); #189241=EDGE_CURVE('',#154852,#154850,#76077,.T.); #189242=EDGE_CURVE('',#154853,#154851,#76078,.T.); #189243=EDGE_CURVE('',#154852,#154853,#76079,.T.); #189244=EDGE_CURVE('',#154854,#154852,#66615,.T.); #189245=EDGE_CURVE('',#154855,#154853,#66616,.T.); #189246=EDGE_CURVE('',#154854,#154855,#76080,.T.); #189247=EDGE_CURVE('',#154856,#154854,#66617,.T.); #189248=EDGE_CURVE('',#154857,#154855,#66618,.T.); #189249=EDGE_CURVE('',#154856,#154857,#76081,.T.); #189250=EDGE_CURVE('',#154858,#154856,#76082,.T.); #189251=EDGE_CURVE('',#154859,#154857,#76083,.T.); #189252=EDGE_CURVE('',#154858,#154859,#76084,.T.); #189253=EDGE_CURVE('',#154860,#154858,#66619,.T.); #189254=EDGE_CURVE('',#154861,#154859,#66620,.T.); #189255=EDGE_CURVE('',#154860,#154861,#76085,.T.); #189256=EDGE_CURVE('',#154862,#154860,#76086,.T.); #189257=EDGE_CURVE('',#154863,#154861,#76087,.T.); #189258=EDGE_CURVE('',#154862,#154863,#76088,.T.); #189259=EDGE_CURVE('',#154864,#154862,#66621,.T.); #189260=EDGE_CURVE('',#154865,#154863,#66622,.T.); #189261=EDGE_CURVE('',#154864,#154865,#76089,.T.); #189262=EDGE_CURVE('',#154866,#154864,#76090,.T.); #189263=EDGE_CURVE('',#154867,#154865,#76091,.T.); #189264=EDGE_CURVE('',#154866,#154867,#76092,.T.); #189265=EDGE_CURVE('',#154868,#154866,#66623,.T.); #189266=EDGE_CURVE('',#154869,#154867,#66624,.T.); #189267=EDGE_CURVE('',#154868,#154869,#76093,.T.); #189268=EDGE_CURVE('',#154870,#154868,#76094,.T.); #189269=EDGE_CURVE('',#154871,#154869,#76095,.T.); #189270=EDGE_CURVE('',#154870,#154871,#76096,.T.); #189271=EDGE_CURVE('',#154872,#154870,#66625,.T.); #189272=EDGE_CURVE('',#154873,#154871,#66626,.T.); #189273=EDGE_CURVE('',#154872,#154873,#76097,.T.); #189274=EDGE_CURVE('',#154874,#154872,#76098,.T.); #189275=EDGE_CURVE('',#154875,#154873,#76099,.T.); #189276=EDGE_CURVE('',#154874,#154875,#76100,.T.); #189277=EDGE_CURVE('',#154831,#154874,#76101,.T.); #189278=EDGE_CURVE('',#154832,#154875,#76102,.T.); #189279=EDGE_CURVE('',#154876,#154876,#66627,.T.); #189280=EDGE_CURVE('',#154876,#154877,#76103,.T.); #189281=EDGE_CURVE('',#154877,#154877,#66628,.T.); #189282=EDGE_CURVE('',#154878,#154879,#76104,.T.); #189283=EDGE_CURVE('',#154879,#154880,#76105,.T.); #189284=EDGE_CURVE('',#154881,#154880,#76106,.T.); #189285=EDGE_CURVE('',#154878,#154881,#76107,.T.); #189286=EDGE_CURVE('',#154882,#154878,#76108,.T.); #189287=EDGE_CURVE('',#154883,#154881,#76109,.T.); #189288=EDGE_CURVE('',#154882,#154883,#76110,.T.); #189289=EDGE_CURVE('',#154884,#154882,#76111,.T.); #189290=EDGE_CURVE('',#154885,#154883,#76112,.T.); #189291=EDGE_CURVE('',#154884,#154885,#76113,.T.); #189292=EDGE_CURVE('',#154886,#154884,#76114,.T.); #189293=EDGE_CURVE('',#154887,#154885,#76115,.T.); #189294=EDGE_CURVE('',#154886,#154887,#76116,.T.); #189295=EDGE_CURVE('',#154888,#154886,#76117,.T.); #189296=EDGE_CURVE('',#154889,#154887,#76118,.T.); #189297=EDGE_CURVE('',#154888,#154889,#76119,.T.); #189298=EDGE_CURVE('',#154890,#154888,#76120,.T.); #189299=EDGE_CURVE('',#154891,#154889,#76121,.T.); #189300=EDGE_CURVE('',#154890,#154891,#76122,.T.); #189301=EDGE_CURVE('',#154892,#154890,#76123,.T.); #189302=EDGE_CURVE('',#154893,#154891,#76124,.T.); #189303=EDGE_CURVE('',#154892,#154893,#76125,.T.); #189304=EDGE_CURVE('',#154894,#154892,#76126,.T.); #189305=EDGE_CURVE('',#154895,#154893,#76127,.T.); #189306=EDGE_CURVE('',#154894,#154895,#76128,.T.); #189307=EDGE_CURVE('',#154896,#154894,#66629,.T.); #189308=EDGE_CURVE('',#154897,#154895,#66630,.T.); #189309=EDGE_CURVE('',#154896,#154897,#76129,.T.); #189310=EDGE_CURVE('',#154898,#154896,#66631,.T.); #189311=EDGE_CURVE('',#154899,#154897,#66632,.T.); #189312=EDGE_CURVE('',#154898,#154899,#76130,.T.); #189313=EDGE_CURVE('',#154900,#154898,#76131,.T.); #189314=EDGE_CURVE('',#154901,#154899,#76132,.T.); #189315=EDGE_CURVE('',#154900,#154901,#76133,.T.); #189316=EDGE_CURVE('',#154902,#154900,#66633,.T.); #189317=EDGE_CURVE('',#154903,#154901,#66634,.T.); #189318=EDGE_CURVE('',#154902,#154903,#76134,.T.); #189319=EDGE_CURVE('',#154904,#154902,#76135,.T.); #189320=EDGE_CURVE('',#154905,#154903,#76136,.T.); #189321=EDGE_CURVE('',#154904,#154905,#76137,.T.); #189322=EDGE_CURVE('',#154906,#154904,#66635,.T.); #189323=EDGE_CURVE('',#154907,#154905,#66636,.T.); #189324=EDGE_CURVE('',#154906,#154907,#76138,.T.); #189325=EDGE_CURVE('',#154908,#154906,#76139,.T.); #189326=EDGE_CURVE('',#154909,#154907,#76140,.T.); #189327=EDGE_CURVE('',#154908,#154909,#76141,.T.); #189328=EDGE_CURVE('',#154910,#154908,#66637,.T.); #189329=EDGE_CURVE('',#154911,#154909,#66638,.T.); #189330=EDGE_CURVE('',#154910,#154911,#76142,.T.); #189331=EDGE_CURVE('',#154879,#154910,#76143,.T.); #189332=EDGE_CURVE('',#154880,#154911,#76144,.T.); #189333=EDGE_CURVE('',#154912,#154912,#66639,.T.); #189334=EDGE_CURVE('',#154912,#154913,#76145,.T.); #189335=EDGE_CURVE('',#154913,#154913,#66640,.T.); #189336=EDGE_CURVE('',#154914,#154915,#76146,.T.); #189337=EDGE_CURVE('',#154915,#154916,#76147,.T.); #189338=EDGE_CURVE('',#154917,#154916,#76148,.T.); #189339=EDGE_CURVE('',#154914,#154917,#76149,.T.); #189340=EDGE_CURVE('',#154918,#154914,#76150,.T.); #189341=EDGE_CURVE('',#154919,#154917,#76151,.T.); #189342=EDGE_CURVE('',#154918,#154919,#76152,.T.); #189343=EDGE_CURVE('',#154920,#154918,#76153,.T.); #189344=EDGE_CURVE('',#154921,#154919,#76154,.T.); #189345=EDGE_CURVE('',#154920,#154921,#76155,.T.); #189346=EDGE_CURVE('',#154922,#154920,#76156,.T.); #189347=EDGE_CURVE('',#154923,#154921,#76157,.T.); #189348=EDGE_CURVE('',#154922,#154923,#76158,.T.); #189349=EDGE_CURVE('',#154924,#154922,#76159,.T.); #189350=EDGE_CURVE('',#154925,#154923,#76160,.T.); #189351=EDGE_CURVE('',#154924,#154925,#76161,.T.); #189352=EDGE_CURVE('',#154926,#154924,#66641,.T.); #189353=EDGE_CURVE('',#154927,#154925,#66642,.T.); #189354=EDGE_CURVE('',#154926,#154927,#76162,.T.); #189355=EDGE_CURVE('',#154928,#154926,#76163,.T.); #189356=EDGE_CURVE('',#154929,#154927,#76164,.T.); #189357=EDGE_CURVE('',#154928,#154929,#76165,.T.); #189358=EDGE_CURVE('',#154930,#154928,#66643,.T.); #189359=EDGE_CURVE('',#154931,#154929,#66644,.T.); #189360=EDGE_CURVE('',#154930,#154931,#76166,.T.); #189361=EDGE_CURVE('',#154932,#154930,#76167,.T.); #189362=EDGE_CURVE('',#154933,#154931,#76168,.T.); #189363=EDGE_CURVE('',#154932,#154933,#76169,.T.); #189364=EDGE_CURVE('',#154934,#154932,#66645,.T.); #189365=EDGE_CURVE('',#154935,#154933,#66646,.T.); #189366=EDGE_CURVE('',#154934,#154935,#76170,.T.); #189367=EDGE_CURVE('',#154936,#154934,#76171,.T.); #189368=EDGE_CURVE('',#154937,#154935,#76172,.T.); #189369=EDGE_CURVE('',#154936,#154937,#76173,.T.); #189370=EDGE_CURVE('',#154938,#154936,#66647,.T.); #189371=EDGE_CURVE('',#154939,#154937,#66648,.T.); #189372=EDGE_CURVE('',#154938,#154939,#76174,.T.); #189373=EDGE_CURVE('',#154940,#154938,#76175,.T.); #189374=EDGE_CURVE('',#154941,#154939,#76176,.T.); #189375=EDGE_CURVE('',#154940,#154941,#76177,.T.); #189376=EDGE_CURVE('',#154942,#154940,#66649,.T.); #189377=EDGE_CURVE('',#154943,#154941,#66650,.T.); #189378=EDGE_CURVE('',#154942,#154943,#76178,.T.); #189379=EDGE_CURVE('',#154944,#154942,#66651,.T.); #189380=EDGE_CURVE('',#154945,#154943,#66652,.T.); #189381=EDGE_CURVE('',#154944,#154945,#76179,.T.); #189382=EDGE_CURVE('',#154946,#154944,#76180,.T.); #189383=EDGE_CURVE('',#154947,#154945,#76181,.T.); #189384=EDGE_CURVE('',#154946,#154947,#76182,.T.); #189385=EDGE_CURVE('',#154948,#154946,#76183,.T.); #189386=EDGE_CURVE('',#154949,#154947,#76184,.T.); #189387=EDGE_CURVE('',#154948,#154949,#76185,.T.); #189388=EDGE_CURVE('',#154950,#154948,#76186,.T.); #189389=EDGE_CURVE('',#154951,#154949,#76187,.T.); #189390=EDGE_CURVE('',#154950,#154951,#76188,.T.); #189391=EDGE_CURVE('',#154952,#154950,#76189,.T.); #189392=EDGE_CURVE('',#154953,#154951,#76190,.T.); #189393=EDGE_CURVE('',#154952,#154953,#76191,.T.); #189394=EDGE_CURVE('',#154954,#154952,#76192,.T.); #189395=EDGE_CURVE('',#154955,#154953,#76193,.T.); #189396=EDGE_CURVE('',#154954,#154955,#76194,.T.); #189397=EDGE_CURVE('',#154956,#154954,#66653,.T.); #189398=EDGE_CURVE('',#154957,#154955,#66654,.T.); #189399=EDGE_CURVE('',#154956,#154957,#76195,.T.); #189400=EDGE_CURVE('',#154958,#154956,#76196,.T.); #189401=EDGE_CURVE('',#154959,#154957,#76197,.T.); #189402=EDGE_CURVE('',#154958,#154959,#76198,.T.); #189403=EDGE_CURVE('',#154915,#154958,#76199,.T.); #189404=EDGE_CURVE('',#154916,#154959,#76200,.T.); #189405=EDGE_CURVE('',#154960,#154961,#76201,.T.); #189406=EDGE_CURVE('',#154961,#154962,#76202,.T.); #189407=EDGE_CURVE('',#154963,#154962,#76203,.T.); #189408=EDGE_CURVE('',#154960,#154963,#76204,.T.); #189409=EDGE_CURVE('',#154964,#154960,#76205,.T.); #189410=EDGE_CURVE('',#154965,#154963,#76206,.T.); #189411=EDGE_CURVE('',#154964,#154965,#76207,.T.); #189412=EDGE_CURVE('',#154966,#154964,#76208,.T.); #189413=EDGE_CURVE('',#154967,#154965,#76209,.T.); #189414=EDGE_CURVE('',#154966,#154967,#76210,.T.); #189415=EDGE_CURVE('',#154968,#154966,#76211,.T.); #189416=EDGE_CURVE('',#154969,#154967,#76212,.T.); #189417=EDGE_CURVE('',#154968,#154969,#76213,.T.); #189418=EDGE_CURVE('',#154970,#154968,#66655,.T.); #189419=EDGE_CURVE('',#154971,#154969,#66656,.T.); #189420=EDGE_CURVE('',#154970,#154971,#76214,.T.); #189421=EDGE_CURVE('',#154972,#154970,#76215,.T.); #189422=EDGE_CURVE('',#154973,#154971,#76216,.T.); #189423=EDGE_CURVE('',#154972,#154973,#76217,.T.); #189424=EDGE_CURVE('',#154974,#154972,#66657,.T.); #189425=EDGE_CURVE('',#154975,#154973,#66658,.T.); #189426=EDGE_CURVE('',#154974,#154975,#76218,.T.); #189427=EDGE_CURVE('',#154976,#154974,#76219,.T.); #189428=EDGE_CURVE('',#154977,#154975,#76220,.T.); #189429=EDGE_CURVE('',#154976,#154977,#76221,.T.); #189430=EDGE_CURVE('',#154978,#154976,#76222,.T.); #189431=EDGE_CURVE('',#154979,#154977,#76223,.T.); #189432=EDGE_CURVE('',#154978,#154979,#76224,.T.); #189433=EDGE_CURVE('',#154980,#154978,#76225,.T.); #189434=EDGE_CURVE('',#154981,#154979,#76226,.T.); #189435=EDGE_CURVE('',#154980,#154981,#76227,.T.); #189436=EDGE_CURVE('',#154982,#154980,#76228,.T.); #189437=EDGE_CURVE('',#154983,#154981,#76229,.T.); #189438=EDGE_CURVE('',#154982,#154983,#76230,.T.); #189439=EDGE_CURVE('',#154984,#154982,#76231,.T.); #189440=EDGE_CURVE('',#154985,#154983,#76232,.T.); #189441=EDGE_CURVE('',#154984,#154985,#76233,.T.); #189442=EDGE_CURVE('',#154986,#154984,#76234,.T.); #189443=EDGE_CURVE('',#154987,#154985,#76235,.T.); #189444=EDGE_CURVE('',#154986,#154987,#76236,.T.); #189445=EDGE_CURVE('',#154988,#154986,#76237,.T.); #189446=EDGE_CURVE('',#154989,#154987,#76238,.T.); #189447=EDGE_CURVE('',#154988,#154989,#76239,.T.); #189448=EDGE_CURVE('',#154990,#154988,#76240,.T.); #189449=EDGE_CURVE('',#154991,#154989,#76241,.T.); #189450=EDGE_CURVE('',#154990,#154991,#76242,.T.); #189451=EDGE_CURVE('',#154992,#154990,#76243,.T.); #189452=EDGE_CURVE('',#154993,#154991,#76244,.T.); #189453=EDGE_CURVE('',#154992,#154993,#76245,.T.); #189454=EDGE_CURVE('',#154994,#154992,#66659,.T.); #189455=EDGE_CURVE('',#154995,#154993,#66660,.T.); #189456=EDGE_CURVE('',#154994,#154995,#76246,.T.); #189457=EDGE_CURVE('',#154996,#154994,#76247,.T.); #189458=EDGE_CURVE('',#154997,#154995,#76248,.T.); #189459=EDGE_CURVE('',#154996,#154997,#76249,.T.); #189460=EDGE_CURVE('',#154998,#154996,#66661,.T.); #189461=EDGE_CURVE('',#154999,#154997,#66662,.T.); #189462=EDGE_CURVE('',#154998,#154999,#76250,.T.); #189463=EDGE_CURVE('',#155000,#154998,#76251,.T.); #189464=EDGE_CURVE('',#155001,#154999,#76252,.T.); #189465=EDGE_CURVE('',#155000,#155001,#76253,.T.); #189466=EDGE_CURVE('',#155002,#155000,#76254,.T.); #189467=EDGE_CURVE('',#155003,#155001,#76255,.T.); #189468=EDGE_CURVE('',#155002,#155003,#76256,.T.); #189469=EDGE_CURVE('',#155004,#155002,#76257,.T.); #189470=EDGE_CURVE('',#155005,#155003,#76258,.T.); #189471=EDGE_CURVE('',#155004,#155005,#76259,.T.); #189472=EDGE_CURVE('',#155006,#155004,#76260,.T.); #189473=EDGE_CURVE('',#155007,#155005,#76261,.T.); #189474=EDGE_CURVE('',#155006,#155007,#76262,.T.); #189475=EDGE_CURVE('',#154961,#155006,#76263,.T.); #189476=EDGE_CURVE('',#154962,#155007,#76264,.T.); #189477=EDGE_CURVE('',#155008,#155008,#66663,.T.); #189478=EDGE_CURVE('',#155008,#155009,#76265,.T.); #189479=EDGE_CURVE('',#155009,#155009,#66664,.T.); #189480=EDGE_CURVE('',#155010,#155011,#76266,.T.); #189481=EDGE_CURVE('',#155011,#155012,#76267,.T.); #189482=EDGE_CURVE('',#155013,#155012,#76268,.T.); #189483=EDGE_CURVE('',#155010,#155013,#76269,.T.); #189484=EDGE_CURVE('',#155014,#155010,#76270,.T.); #189485=EDGE_CURVE('',#155015,#155013,#76271,.T.); #189486=EDGE_CURVE('',#155014,#155015,#76272,.T.); #189487=EDGE_CURVE('',#155016,#155014,#76273,.T.); #189488=EDGE_CURVE('',#155017,#155015,#76274,.T.); #189489=EDGE_CURVE('',#155016,#155017,#76275,.T.); #189490=EDGE_CURVE('',#155011,#155016,#76276,.T.); #189491=EDGE_CURVE('',#155012,#155017,#76277,.T.); #189492=EDGE_CURVE('',#155018,#155018,#66665,.T.); #189493=EDGE_CURVE('',#155018,#155019,#76278,.T.); #189494=EDGE_CURVE('',#155019,#155019,#66666,.T.); #189495=EDGE_CURVE('',#155020,#155021,#76279,.T.); #189496=EDGE_CURVE('',#155021,#155022,#76280,.T.); #189497=EDGE_CURVE('',#155023,#155022,#76281,.T.); #189498=EDGE_CURVE('',#155020,#155023,#76282,.T.); #189499=EDGE_CURVE('',#155024,#155020,#66667,.T.); #189500=EDGE_CURVE('',#155025,#155023,#66668,.T.); #189501=EDGE_CURVE('',#155024,#155025,#76283,.T.); #189502=EDGE_CURVE('',#155026,#155024,#76284,.T.); #189503=EDGE_CURVE('',#155027,#155025,#76285,.T.); #189504=EDGE_CURVE('',#155026,#155027,#76286,.T.); #189505=EDGE_CURVE('',#155028,#155026,#66669,.T.); #189506=EDGE_CURVE('',#155029,#155027,#66670,.T.); #189507=EDGE_CURVE('',#155028,#155029,#76287,.T.); #189508=EDGE_CURVE('',#155030,#155028,#76288,.T.); #189509=EDGE_CURVE('',#155031,#155029,#76289,.T.); #189510=EDGE_CURVE('',#155030,#155031,#76290,.T.); #189511=EDGE_CURVE('',#155032,#155030,#76291,.T.); #189512=EDGE_CURVE('',#155033,#155031,#76292,.T.); #189513=EDGE_CURVE('',#155032,#155033,#76293,.T.); #189514=EDGE_CURVE('',#155034,#155032,#76294,.T.); #189515=EDGE_CURVE('',#155035,#155033,#76295,.T.); #189516=EDGE_CURVE('',#155034,#155035,#76296,.T.); #189517=EDGE_CURVE('',#155036,#155034,#76297,.T.); #189518=EDGE_CURVE('',#155037,#155035,#76298,.T.); #189519=EDGE_CURVE('',#155036,#155037,#76299,.T.); #189520=EDGE_CURVE('',#155038,#155036,#66671,.T.); #189521=EDGE_CURVE('',#155039,#155037,#66672,.T.); #189522=EDGE_CURVE('',#155038,#155039,#76300,.T.); #189523=EDGE_CURVE('',#155040,#155038,#76301,.T.); #189524=EDGE_CURVE('',#155041,#155039,#76302,.T.); #189525=EDGE_CURVE('',#155040,#155041,#76303,.T.); #189526=EDGE_CURVE('',#155042,#155040,#76304,.T.); #189527=EDGE_CURVE('',#155043,#155041,#76305,.T.); #189528=EDGE_CURVE('',#155042,#155043,#76306,.T.); #189529=EDGE_CURVE('',#155044,#155042,#76307,.T.); #189530=EDGE_CURVE('',#155045,#155043,#76308,.T.); #189531=EDGE_CURVE('',#155044,#155045,#76309,.T.); #189532=EDGE_CURVE('',#155046,#155044,#76310,.T.); #189533=EDGE_CURVE('',#155047,#155045,#76311,.T.); #189534=EDGE_CURVE('',#155046,#155047,#76312,.T.); #189535=EDGE_CURVE('',#155048,#155046,#76313,.T.); #189536=EDGE_CURVE('',#155049,#155047,#76314,.T.); #189537=EDGE_CURVE('',#155048,#155049,#76315,.T.); #189538=EDGE_CURVE('',#155050,#155048,#76316,.T.); #189539=EDGE_CURVE('',#155051,#155049,#76317,.T.); #189540=EDGE_CURVE('',#155050,#155051,#76318,.T.); #189541=EDGE_CURVE('',#155052,#155050,#76319,.T.); #189542=EDGE_CURVE('',#155053,#155051,#76320,.T.); #189543=EDGE_CURVE('',#155052,#155053,#76321,.T.); #189544=EDGE_CURVE('',#155054,#155052,#66673,.T.); #189545=EDGE_CURVE('',#155055,#155053,#66674,.T.); #189546=EDGE_CURVE('',#155054,#155055,#76322,.T.); #189547=EDGE_CURVE('',#155056,#155054,#76323,.T.); #189548=EDGE_CURVE('',#155057,#155055,#76324,.T.); #189549=EDGE_CURVE('',#155056,#155057,#76325,.T.); #189550=EDGE_CURVE('',#155058,#155056,#66675,.T.); #189551=EDGE_CURVE('',#155059,#155057,#66676,.T.); #189552=EDGE_CURVE('',#155058,#155059,#76326,.T.); #189553=EDGE_CURVE('',#155060,#155058,#76327,.T.); #189554=EDGE_CURVE('',#155061,#155059,#76328,.T.); #189555=EDGE_CURVE('',#155060,#155061,#76329,.T.); #189556=EDGE_CURVE('',#155062,#155060,#66677,.T.); #189557=EDGE_CURVE('',#155063,#155061,#66678,.T.); #189558=EDGE_CURVE('',#155062,#155063,#76330,.T.); #189559=EDGE_CURVE('',#155064,#155062,#76331,.T.); #189560=EDGE_CURVE('',#155065,#155063,#76332,.T.); #189561=EDGE_CURVE('',#155064,#155065,#76333,.T.); #189562=EDGE_CURVE('',#155066,#155064,#76334,.T.); #189563=EDGE_CURVE('',#155067,#155065,#76335,.T.); #189564=EDGE_CURVE('',#155066,#155067,#76336,.T.); #189565=EDGE_CURVE('',#155021,#155066,#66679,.T.); #189566=EDGE_CURVE('',#155022,#155067,#66680,.T.); #189567=EDGE_CURVE('',#155068,#155068,#66681,.T.); #189568=EDGE_CURVE('',#155068,#155069,#76337,.T.); #189569=EDGE_CURVE('',#155069,#155069,#66682,.T.); #189570=EDGE_CURVE('',#155070,#155071,#76338,.T.); #189571=EDGE_CURVE('',#155071,#155072,#76339,.T.); #189572=EDGE_CURVE('',#155073,#155072,#76340,.T.); #189573=EDGE_CURVE('',#155070,#155073,#76341,.T.); #189574=EDGE_CURVE('',#155074,#155070,#76342,.T.); #189575=EDGE_CURVE('',#155075,#155073,#76343,.T.); #189576=EDGE_CURVE('',#155074,#155075,#76344,.T.); #189577=EDGE_CURVE('',#155076,#155074,#76345,.T.); #189578=EDGE_CURVE('',#155077,#155075,#76346,.T.); #189579=EDGE_CURVE('',#155076,#155077,#76347,.T.); #189580=EDGE_CURVE('',#155078,#155076,#76348,.T.); #189581=EDGE_CURVE('',#155079,#155077,#76349,.T.); #189582=EDGE_CURVE('',#155078,#155079,#76350,.T.); #189583=EDGE_CURVE('',#155080,#155078,#76351,.T.); #189584=EDGE_CURVE('',#155081,#155079,#76352,.T.); #189585=EDGE_CURVE('',#155080,#155081,#76353,.T.); #189586=EDGE_CURVE('',#155082,#155080,#76354,.T.); #189587=EDGE_CURVE('',#155083,#155081,#76355,.T.); #189588=EDGE_CURVE('',#155082,#155083,#76356,.T.); #189589=EDGE_CURVE('',#155084,#155082,#76357,.T.); #189590=EDGE_CURVE('',#155085,#155083,#76358,.T.); #189591=EDGE_CURVE('',#155084,#155085,#76359,.T.); #189592=EDGE_CURVE('',#155086,#155084,#66683,.T.); #189593=EDGE_CURVE('',#155087,#155085,#66684,.T.); #189594=EDGE_CURVE('',#155086,#155087,#76360,.T.); #189595=EDGE_CURVE('',#155088,#155086,#76361,.T.); #189596=EDGE_CURVE('',#155089,#155087,#76362,.T.); #189597=EDGE_CURVE('',#155088,#155089,#76363,.T.); #189598=EDGE_CURVE('',#155090,#155088,#66685,.T.); #189599=EDGE_CURVE('',#155091,#155089,#66686,.T.); #189600=EDGE_CURVE('',#155090,#155091,#76364,.T.); #189601=EDGE_CURVE('',#155092,#155090,#66687,.T.); #189602=EDGE_CURVE('',#155093,#155091,#66688,.T.); #189603=EDGE_CURVE('',#155092,#155093,#76365,.T.); #189604=EDGE_CURVE('',#155094,#155092,#76366,.T.); #189605=EDGE_CURVE('',#155095,#155093,#76367,.T.); #189606=EDGE_CURVE('',#155094,#155095,#76368,.T.); #189607=EDGE_CURVE('',#155096,#155094,#76369,.T.); #189608=EDGE_CURVE('',#155097,#155095,#76370,.T.); #189609=EDGE_CURVE('',#155096,#155097,#76371,.T.); #189610=EDGE_CURVE('',#155098,#155096,#66689,.T.); #189611=EDGE_CURVE('',#155099,#155097,#66690,.T.); #189612=EDGE_CURVE('',#155098,#155099,#76372,.T.); #189613=EDGE_CURVE('',#155071,#155098,#76373,.T.); #189614=EDGE_CURVE('',#155072,#155099,#76374,.T.); #189615=EDGE_CURVE('',#155100,#155100,#66691,.T.); #189616=EDGE_CURVE('',#155100,#155101,#76375,.T.); #189617=EDGE_CURVE('',#155101,#155101,#66692,.T.); #189618=EDGE_CURVE('',#155102,#155103,#76376,.T.); #189619=EDGE_CURVE('',#155103,#155104,#76377,.T.); #189620=EDGE_CURVE('',#155105,#155104,#76378,.T.); #189621=EDGE_CURVE('',#155102,#155105,#76379,.T.); #189622=EDGE_CURVE('',#155106,#155102,#76380,.T.); #189623=EDGE_CURVE('',#155107,#155105,#76381,.T.); #189624=EDGE_CURVE('',#155106,#155107,#76382,.T.); #189625=EDGE_CURVE('',#155108,#155106,#76383,.T.); #189626=EDGE_CURVE('',#155109,#155107,#76384,.T.); #189627=EDGE_CURVE('',#155108,#155109,#76385,.T.); #189628=EDGE_CURVE('',#155110,#155108,#76386,.T.); #189629=EDGE_CURVE('',#155111,#155109,#76387,.T.); #189630=EDGE_CURVE('',#155110,#155111,#76388,.T.); #189631=EDGE_CURVE('',#155112,#155110,#76389,.T.); #189632=EDGE_CURVE('',#155113,#155111,#76390,.T.); #189633=EDGE_CURVE('',#155112,#155113,#76391,.T.); #189634=EDGE_CURVE('',#155114,#155112,#76392,.T.); #189635=EDGE_CURVE('',#155115,#155113,#76393,.T.); #189636=EDGE_CURVE('',#155114,#155115,#76394,.T.); #189637=EDGE_CURVE('',#155116,#155114,#76395,.T.); #189638=EDGE_CURVE('',#155117,#155115,#76396,.T.); #189639=EDGE_CURVE('',#155116,#155117,#76397,.T.); #189640=EDGE_CURVE('',#155118,#155116,#76398,.T.); #189641=EDGE_CURVE('',#155119,#155117,#76399,.T.); #189642=EDGE_CURVE('',#155118,#155119,#76400,.T.); #189643=EDGE_CURVE('',#155120,#155118,#76401,.T.); #189644=EDGE_CURVE('',#155121,#155119,#76402,.T.); #189645=EDGE_CURVE('',#155120,#155121,#76403,.T.); #189646=EDGE_CURVE('',#155122,#155120,#76404,.T.); #189647=EDGE_CURVE('',#155123,#155121,#76405,.T.); #189648=EDGE_CURVE('',#155122,#155123,#76406,.T.); #189649=EDGE_CURVE('',#155124,#155122,#66693,.T.); #189650=EDGE_CURVE('',#155125,#155123,#66694,.T.); #189651=EDGE_CURVE('',#155124,#155125,#76407,.T.); #189652=EDGE_CURVE('',#155126,#155124,#76408,.T.); #189653=EDGE_CURVE('',#155127,#155125,#76409,.T.); #189654=EDGE_CURVE('',#155126,#155127,#76410,.T.); #189655=EDGE_CURVE('',#155128,#155126,#66695,.T.); #189656=EDGE_CURVE('',#155129,#155127,#66696,.T.); #189657=EDGE_CURVE('',#155128,#155129,#76411,.T.); #189658=EDGE_CURVE('',#155130,#155128,#76412,.T.); #189659=EDGE_CURVE('',#155131,#155129,#76413,.T.); #189660=EDGE_CURVE('',#155130,#155131,#76414,.T.); #189661=EDGE_CURVE('',#155132,#155130,#76415,.T.); #189662=EDGE_CURVE('',#155133,#155131,#76416,.T.); #189663=EDGE_CURVE('',#155132,#155133,#76417,.T.); #189664=EDGE_CURVE('',#155134,#155132,#76418,.T.); #189665=EDGE_CURVE('',#155135,#155133,#76419,.T.); #189666=EDGE_CURVE('',#155134,#155135,#76420,.T.); #189667=EDGE_CURVE('',#155136,#155134,#66697,.T.); #189668=EDGE_CURVE('',#155137,#155135,#66698,.T.); #189669=EDGE_CURVE('',#155136,#155137,#76421,.T.); #189670=EDGE_CURVE('',#155138,#155136,#76422,.T.); #189671=EDGE_CURVE('',#155139,#155137,#76423,.T.); #189672=EDGE_CURVE('',#155138,#155139,#76424,.T.); #189673=EDGE_CURVE('',#155140,#155138,#66699,.T.); #189674=EDGE_CURVE('',#155141,#155139,#66700,.T.); #189675=EDGE_CURVE('',#155140,#155141,#76425,.T.); #189676=EDGE_CURVE('',#155142,#155140,#76426,.T.); #189677=EDGE_CURVE('',#155143,#155141,#76427,.T.); #189678=EDGE_CURVE('',#155142,#155143,#76428,.T.); #189679=EDGE_CURVE('',#155144,#155142,#76429,.T.); #189680=EDGE_CURVE('',#155145,#155143,#76430,.T.); #189681=EDGE_CURVE('',#155144,#155145,#76431,.T.); #189682=EDGE_CURVE('',#155146,#155144,#76432,.T.); #189683=EDGE_CURVE('',#155147,#155145,#76433,.T.); #189684=EDGE_CURVE('',#155146,#155147,#76434,.T.); #189685=EDGE_CURVE('',#155148,#155146,#66701,.T.); #189686=EDGE_CURVE('',#155149,#155147,#66702,.T.); #189687=EDGE_CURVE('',#155148,#155149,#76435,.T.); #189688=EDGE_CURVE('',#155150,#155148,#66703,.T.); #189689=EDGE_CURVE('',#155151,#155149,#66704,.T.); #189690=EDGE_CURVE('',#155150,#155151,#76436,.T.); #189691=EDGE_CURVE('',#155152,#155150,#76437,.T.); #189692=EDGE_CURVE('',#155153,#155151,#76438,.T.); #189693=EDGE_CURVE('',#155152,#155153,#76439,.T.); #189694=EDGE_CURVE('',#155154,#155152,#66705,.T.); #189695=EDGE_CURVE('',#155155,#155153,#66706,.T.); #189696=EDGE_CURVE('',#155154,#155155,#76440,.T.); #189697=EDGE_CURVE('',#155156,#155154,#76441,.T.); #189698=EDGE_CURVE('',#155157,#155155,#76442,.T.); #189699=EDGE_CURVE('',#155156,#155157,#76443,.T.); #189700=EDGE_CURVE('',#155158,#155156,#66707,.T.); #189701=EDGE_CURVE('',#155159,#155157,#66708,.T.); #189702=EDGE_CURVE('',#155158,#155159,#76444,.T.); #189703=EDGE_CURVE('',#155160,#155158,#76445,.T.); #189704=EDGE_CURVE('',#155161,#155159,#76446,.T.); #189705=EDGE_CURVE('',#155160,#155161,#76447,.T.); #189706=EDGE_CURVE('',#155162,#155160,#76448,.T.); #189707=EDGE_CURVE('',#155163,#155161,#76449,.T.); #189708=EDGE_CURVE('',#155162,#155163,#76450,.T.); #189709=EDGE_CURVE('',#155164,#155162,#76451,.T.); #189710=EDGE_CURVE('',#155165,#155163,#76452,.T.); #189711=EDGE_CURVE('',#155164,#155165,#76453,.T.); #189712=EDGE_CURVE('',#155166,#155164,#66709,.T.); #189713=EDGE_CURVE('',#155167,#155165,#66710,.T.); #189714=EDGE_CURVE('',#155166,#155167,#76454,.T.); #189715=EDGE_CURVE('',#155168,#155166,#76455,.T.); #189716=EDGE_CURVE('',#155169,#155167,#76456,.T.); #189717=EDGE_CURVE('',#155168,#155169,#76457,.T.); #189718=EDGE_CURVE('',#155170,#155168,#66711,.T.); #189719=EDGE_CURVE('',#155171,#155169,#66712,.T.); #189720=EDGE_CURVE('',#155170,#155171,#76458,.T.); #189721=EDGE_CURVE('',#155172,#155170,#76459,.T.); #189722=EDGE_CURVE('',#155173,#155171,#76460,.T.); #189723=EDGE_CURVE('',#155172,#155173,#76461,.T.); #189724=EDGE_CURVE('',#155174,#155172,#76462,.T.); #189725=EDGE_CURVE('',#155175,#155173,#76463,.T.); #189726=EDGE_CURVE('',#155174,#155175,#76464,.T.); #189727=EDGE_CURVE('',#155176,#155174,#76465,.T.); #189728=EDGE_CURVE('',#155177,#155175,#76466,.T.); #189729=EDGE_CURVE('',#155176,#155177,#76467,.T.); #189730=EDGE_CURVE('',#155178,#155176,#76468,.T.); #189731=EDGE_CURVE('',#155179,#155177,#76469,.T.); #189732=EDGE_CURVE('',#155178,#155179,#76470,.T.); #189733=EDGE_CURVE('',#155180,#155178,#76471,.T.); #189734=EDGE_CURVE('',#155181,#155179,#76472,.T.); #189735=EDGE_CURVE('',#155180,#155181,#76473,.T.); #189736=EDGE_CURVE('',#155182,#155180,#76474,.T.); #189737=EDGE_CURVE('',#155183,#155181,#76475,.T.); #189738=EDGE_CURVE('',#155182,#155183,#76476,.T.); #189739=EDGE_CURVE('',#155184,#155182,#76477,.T.); #189740=EDGE_CURVE('',#155185,#155183,#76478,.T.); #189741=EDGE_CURVE('',#155184,#155185,#76479,.T.); #189742=EDGE_CURVE('',#155186,#155184,#76480,.T.); #189743=EDGE_CURVE('',#155187,#155185,#76481,.T.); #189744=EDGE_CURVE('',#155186,#155187,#76482,.T.); #189745=EDGE_CURVE('',#155103,#155186,#76483,.T.); #189746=EDGE_CURVE('',#155104,#155187,#76484,.T.); #189747=EDGE_CURVE('',#155188,#155188,#66713,.T.); #189748=EDGE_CURVE('',#155188,#155189,#76485,.T.); #189749=EDGE_CURVE('',#155189,#155189,#66714,.T.); #189750=EDGE_CURVE('',#155190,#155191,#76486,.T.); #189751=EDGE_CURVE('',#155191,#155192,#76487,.T.); #189752=EDGE_CURVE('',#155193,#155192,#76488,.T.); #189753=EDGE_CURVE('',#155190,#155193,#76489,.T.); #189754=EDGE_CURVE('',#155194,#155190,#76490,.T.); #189755=EDGE_CURVE('',#155195,#155193,#76491,.T.); #189756=EDGE_CURVE('',#155194,#155195,#76492,.T.); #189757=EDGE_CURVE('',#155196,#155194,#76493,.T.); #189758=EDGE_CURVE('',#155197,#155195,#76494,.T.); #189759=EDGE_CURVE('',#155196,#155197,#76495,.T.); #189760=EDGE_CURVE('',#155198,#155196,#76496,.T.); #189761=EDGE_CURVE('',#155199,#155197,#76497,.T.); #189762=EDGE_CURVE('',#155198,#155199,#76498,.T.); #189763=EDGE_CURVE('',#155200,#155198,#76499,.T.); #189764=EDGE_CURVE('',#155201,#155199,#76500,.T.); #189765=EDGE_CURVE('',#155200,#155201,#76501,.T.); #189766=EDGE_CURVE('',#155202,#155200,#66715,.T.); #189767=EDGE_CURVE('',#155203,#155201,#66716,.T.); #189768=EDGE_CURVE('',#155202,#155203,#76502,.T.); #189769=EDGE_CURVE('',#155204,#155202,#76503,.T.); #189770=EDGE_CURVE('',#155205,#155203,#76504,.T.); #189771=EDGE_CURVE('',#155204,#155205,#76505,.T.); #189772=EDGE_CURVE('',#155206,#155204,#76506,.T.); #189773=EDGE_CURVE('',#155207,#155205,#76507,.T.); #189774=EDGE_CURVE('',#155206,#155207,#76508,.T.); #189775=EDGE_CURVE('',#155208,#155206,#66717,.T.); #189776=EDGE_CURVE('',#155209,#155207,#66718,.T.); #189777=EDGE_CURVE('',#155208,#155209,#76509,.T.); #189778=EDGE_CURVE('',#155210,#155208,#76510,.T.); #189779=EDGE_CURVE('',#155211,#155209,#76511,.T.); #189780=EDGE_CURVE('',#155210,#155211,#76512,.T.); #189781=EDGE_CURVE('',#155212,#155210,#76513,.T.); #189782=EDGE_CURVE('',#155213,#155211,#76514,.T.); #189783=EDGE_CURVE('',#155212,#155213,#76515,.T.); #189784=EDGE_CURVE('',#155214,#155212,#66719,.T.); #189785=EDGE_CURVE('',#155215,#155213,#66720,.T.); #189786=EDGE_CURVE('',#155214,#155215,#76516,.T.); #189787=EDGE_CURVE('',#155216,#155214,#66721,.T.); #189788=EDGE_CURVE('',#155217,#155215,#66722,.T.); #189789=EDGE_CURVE('',#155216,#155217,#76517,.T.); #189790=EDGE_CURVE('',#155218,#155216,#76518,.T.); #189791=EDGE_CURVE('',#155219,#155217,#76519,.T.); #189792=EDGE_CURVE('',#155218,#155219,#76520,.T.); #189793=EDGE_CURVE('',#155220,#155218,#66723,.T.); #189794=EDGE_CURVE('',#155221,#155219,#66724,.T.); #189795=EDGE_CURVE('',#155220,#155221,#76521,.T.); #189796=EDGE_CURVE('',#155222,#155220,#76522,.T.); #189797=EDGE_CURVE('',#155223,#155221,#76523,.T.); #189798=EDGE_CURVE('',#155222,#155223,#76524,.T.); #189799=EDGE_CURVE('',#155224,#155222,#76525,.T.); #189800=EDGE_CURVE('',#155225,#155223,#76526,.T.); #189801=EDGE_CURVE('',#155224,#155225,#76527,.T.); #189802=EDGE_CURVE('',#155226,#155224,#66725,.T.); #189803=EDGE_CURVE('',#155227,#155225,#66726,.T.); #189804=EDGE_CURVE('',#155226,#155227,#76528,.T.); #189805=EDGE_CURVE('',#155228,#155226,#76529,.T.); #189806=EDGE_CURVE('',#155229,#155227,#76530,.T.); #189807=EDGE_CURVE('',#155228,#155229,#76531,.T.); #189808=EDGE_CURVE('',#155230,#155228,#76532,.T.); #189809=EDGE_CURVE('',#155231,#155229,#76533,.T.); #189810=EDGE_CURVE('',#155230,#155231,#76534,.T.); #189811=EDGE_CURVE('',#155191,#155230,#76535,.T.); #189812=EDGE_CURVE('',#155192,#155231,#76536,.T.); #189813=EDGE_CURVE('',#155232,#155232,#66727,.T.); #189814=EDGE_CURVE('',#155232,#155233,#76537,.T.); #189815=EDGE_CURVE('',#155233,#155233,#66728,.T.); #189816=EDGE_CURVE('',#155234,#155235,#76538,.T.); #189817=EDGE_CURVE('',#155235,#155236,#76539,.T.); #189818=EDGE_CURVE('',#155237,#155236,#76540,.T.); #189819=EDGE_CURVE('',#155234,#155237,#76541,.T.); #189820=EDGE_CURVE('',#155238,#155234,#76542,.T.); #189821=EDGE_CURVE('',#155239,#155237,#76543,.T.); #189822=EDGE_CURVE('',#155238,#155239,#76544,.T.); #189823=EDGE_CURVE('',#155240,#155238,#76545,.T.); #189824=EDGE_CURVE('',#155241,#155239,#76546,.T.); #189825=EDGE_CURVE('',#155240,#155241,#76547,.T.); #189826=EDGE_CURVE('',#155235,#155240,#76548,.T.); #189827=EDGE_CURVE('',#155236,#155241,#76549,.T.); #189828=EDGE_CURVE('',#155242,#155242,#66729,.T.); #189829=EDGE_CURVE('',#155242,#155243,#76550,.T.); #189830=EDGE_CURVE('',#155243,#155243,#66730,.T.); #189831=EDGE_CURVE('',#155244,#155245,#76551,.T.); #189832=EDGE_CURVE('',#155245,#155246,#76552,.T.); #189833=EDGE_CURVE('',#155247,#155246,#76553,.T.); #189834=EDGE_CURVE('',#155244,#155247,#76554,.T.); #189835=EDGE_CURVE('',#155248,#155244,#76555,.T.); #189836=EDGE_CURVE('',#155249,#155247,#76556,.T.); #189837=EDGE_CURVE('',#155248,#155249,#76557,.T.); #189838=EDGE_CURVE('',#155250,#155248,#76558,.T.); #189839=EDGE_CURVE('',#155251,#155249,#76559,.T.); #189840=EDGE_CURVE('',#155250,#155251,#76560,.T.); #189841=EDGE_CURVE('',#155245,#155250,#76561,.T.); #189842=EDGE_CURVE('',#155246,#155251,#76562,.T.); #189843=EDGE_CURVE('',#155252,#155252,#66731,.T.); #189844=EDGE_CURVE('',#155252,#155253,#76563,.T.); #189845=EDGE_CURVE('',#155253,#155253,#66732,.T.); #189846=EDGE_CURVE('',#155254,#155255,#76564,.T.); #189847=EDGE_CURVE('',#155255,#155256,#76565,.T.); #189848=EDGE_CURVE('',#155257,#155256,#76566,.T.); #189849=EDGE_CURVE('',#155254,#155257,#76567,.T.); #189850=EDGE_CURVE('',#155258,#155254,#66733,.T.); #189851=EDGE_CURVE('',#155259,#155257,#66734,.T.); #189852=EDGE_CURVE('',#155258,#155259,#76568,.T.); #189853=EDGE_CURVE('',#155260,#155258,#76569,.T.); #189854=EDGE_CURVE('',#155261,#155259,#76570,.T.); #189855=EDGE_CURVE('',#155260,#155261,#76571,.T.); #189856=EDGE_CURVE('',#155262,#155260,#66735,.T.); #189857=EDGE_CURVE('',#155263,#155261,#66736,.T.); #189858=EDGE_CURVE('',#155262,#155263,#76572,.T.); #189859=EDGE_CURVE('',#155264,#155262,#76573,.T.); #189860=EDGE_CURVE('',#155265,#155263,#76574,.T.); #189861=EDGE_CURVE('',#155264,#155265,#76575,.T.); #189862=EDGE_CURVE('',#155266,#155264,#76576,.T.); #189863=EDGE_CURVE('',#155267,#155265,#76577,.T.); #189864=EDGE_CURVE('',#155266,#155267,#76578,.T.); #189865=EDGE_CURVE('',#155268,#155266,#76579,.T.); #189866=EDGE_CURVE('',#155269,#155267,#76580,.T.); #189867=EDGE_CURVE('',#155268,#155269,#76581,.T.); #189868=EDGE_CURVE('',#155270,#155268,#76582,.T.); #189869=EDGE_CURVE('',#155271,#155269,#76583,.T.); #189870=EDGE_CURVE('',#155270,#155271,#76584,.T.); #189871=EDGE_CURVE('',#155272,#155270,#76585,.T.); #189872=EDGE_CURVE('',#155273,#155271,#76586,.T.); #189873=EDGE_CURVE('',#155272,#155273,#76587,.T.); #189874=EDGE_CURVE('',#155274,#155272,#76588,.T.); #189875=EDGE_CURVE('',#155275,#155273,#76589,.T.); #189876=EDGE_CURVE('',#155274,#155275,#76590,.T.); #189877=EDGE_CURVE('',#155276,#155274,#76591,.T.); #189878=EDGE_CURVE('',#155277,#155275,#76592,.T.); #189879=EDGE_CURVE('',#155276,#155277,#76593,.T.); #189880=EDGE_CURVE('',#155278,#155276,#76594,.T.); #189881=EDGE_CURVE('',#155279,#155277,#76595,.T.); #189882=EDGE_CURVE('',#155278,#155279,#76596,.T.); #189883=EDGE_CURVE('',#155280,#155278,#76597,.T.); #189884=EDGE_CURVE('',#155281,#155279,#76598,.T.); #189885=EDGE_CURVE('',#155280,#155281,#76599,.T.); #189886=EDGE_CURVE('',#155255,#155280,#66737,.T.); #189887=EDGE_CURVE('',#155256,#155281,#66738,.T.); #189888=EDGE_CURVE('',#155282,#155282,#66739,.T.); #189889=EDGE_CURVE('',#155282,#155283,#76600,.T.); #189890=EDGE_CURVE('',#155283,#155283,#66740,.T.); #189891=EDGE_CURVE('',#155284,#155285,#76601,.T.); #189892=EDGE_CURVE('',#155285,#155286,#76602,.T.); #189893=EDGE_CURVE('',#155287,#155286,#76603,.T.); #189894=EDGE_CURVE('',#155284,#155287,#76604,.T.); #189895=EDGE_CURVE('',#155288,#155284,#66741,.T.); #189896=EDGE_CURVE('',#155289,#155287,#66742,.T.); #189897=EDGE_CURVE('',#155288,#155289,#76605,.T.); #189898=EDGE_CURVE('',#155285,#155288,#66743,.T.); #189899=EDGE_CURVE('',#155286,#155289,#66744,.T.); #189900=EDGE_CURVE('',#155290,#155290,#66745,.T.); #189901=EDGE_CURVE('',#155290,#155291,#76606,.T.); #189902=EDGE_CURVE('',#155291,#155291,#66746,.T.); #189903=EDGE_CURVE('',#155292,#155292,#66747,.T.); #189904=EDGE_CURVE('',#155292,#155293,#76607,.T.); #189905=EDGE_CURVE('',#155293,#155293,#66748,.T.); #189906=EDGE_CURVE('',#155294,#155295,#76608,.T.); #189907=EDGE_CURVE('',#155295,#155296,#76609,.T.); #189908=EDGE_CURVE('',#155297,#155296,#76610,.T.); #189909=EDGE_CURVE('',#155294,#155297,#76611,.T.); #189910=EDGE_CURVE('',#155298,#155294,#66749,.T.); #189911=EDGE_CURVE('',#155299,#155297,#66750,.T.); #189912=EDGE_CURVE('',#155298,#155299,#76612,.T.); #189913=EDGE_CURVE('',#155300,#155298,#76613,.T.); #189914=EDGE_CURVE('',#155301,#155299,#76614,.T.); #189915=EDGE_CURVE('',#155300,#155301,#76615,.T.); #189916=EDGE_CURVE('',#155302,#155300,#66751,.T.); #189917=EDGE_CURVE('',#155303,#155301,#66752,.T.); #189918=EDGE_CURVE('',#155302,#155303,#76616,.T.); #189919=EDGE_CURVE('',#155304,#155302,#66753,.T.); #189920=EDGE_CURVE('',#155305,#155303,#66754,.T.); #189921=EDGE_CURVE('',#155304,#155305,#76617,.T.); #189922=EDGE_CURVE('',#155306,#155304,#76618,.T.); #189923=EDGE_CURVE('',#155307,#155305,#76619,.T.); #189924=EDGE_CURVE('',#155306,#155307,#76620,.T.); #189925=EDGE_CURVE('',#155295,#155306,#66755,.T.); #189926=EDGE_CURVE('',#155296,#155307,#66756,.T.); #189927=EDGE_CURVE('',#155308,#155308,#66757,.T.); #189928=EDGE_CURVE('',#155308,#155309,#76621,.T.); #189929=EDGE_CURVE('',#155309,#155309,#66758,.T.); #189930=EDGE_CURVE('',#155310,#155311,#76622,.T.); #189931=EDGE_CURVE('',#155311,#155312,#76623,.T.); #189932=EDGE_CURVE('',#155313,#155312,#76624,.T.); #189933=EDGE_CURVE('',#155310,#155313,#76625,.T.); #189934=EDGE_CURVE('',#155314,#155310,#66759,.T.); #189935=EDGE_CURVE('',#155315,#155313,#66760,.T.); #189936=EDGE_CURVE('',#155314,#155315,#76626,.T.); #189937=EDGE_CURVE('',#155311,#155314,#66761,.T.); #189938=EDGE_CURVE('',#155312,#155315,#66762,.T.); #189939=EDGE_CURVE('',#155316,#155316,#66763,.T.); #189940=EDGE_CURVE('',#155316,#155317,#76627,.T.); #189941=EDGE_CURVE('',#155317,#155317,#66764,.T.); #189942=EDGE_CURVE('',#155318,#155319,#76628,.T.); #189943=EDGE_CURVE('',#155319,#155320,#76629,.T.); #189944=EDGE_CURVE('',#155321,#155320,#76630,.T.); #189945=EDGE_CURVE('',#155318,#155321,#76631,.T.); #189946=EDGE_CURVE('',#155322,#155318,#76632,.T.); #189947=EDGE_CURVE('',#155323,#155321,#76633,.T.); #189948=EDGE_CURVE('',#155322,#155323,#76634,.T.); #189949=EDGE_CURVE('',#155324,#155322,#76635,.T.); #189950=EDGE_CURVE('',#155325,#155323,#76636,.T.); #189951=EDGE_CURVE('',#155324,#155325,#76637,.T.); #189952=EDGE_CURVE('',#155319,#155324,#76638,.T.); #189953=EDGE_CURVE('',#155320,#155325,#76639,.T.); #189954=EDGE_CURVE('',#155326,#155326,#66765,.T.); #189955=EDGE_CURVE('',#155326,#155327,#76640,.T.); #189956=EDGE_CURVE('',#155327,#155327,#66766,.T.); #189957=EDGE_CURVE('',#155328,#155329,#76641,.T.); #189958=EDGE_CURVE('',#155329,#155330,#76642,.T.); #189959=EDGE_CURVE('',#155331,#155330,#76643,.T.); #189960=EDGE_CURVE('',#155328,#155331,#76644,.T.); #189961=EDGE_CURVE('',#155332,#155328,#76645,.T.); #189962=EDGE_CURVE('',#155333,#155331,#76646,.T.); #189963=EDGE_CURVE('',#155332,#155333,#76647,.T.); #189964=EDGE_CURVE('',#155334,#155332,#76648,.T.); #189965=EDGE_CURVE('',#155335,#155333,#76649,.T.); #189966=EDGE_CURVE('',#155334,#155335,#76650,.T.); #189967=EDGE_CURVE('',#155336,#155334,#76651,.T.); #189968=EDGE_CURVE('',#155337,#155335,#76652,.T.); #189969=EDGE_CURVE('',#155336,#155337,#76653,.T.); #189970=EDGE_CURVE('',#155338,#155336,#76654,.T.); #189971=EDGE_CURVE('',#155339,#155337,#76655,.T.); #189972=EDGE_CURVE('',#155338,#155339,#76656,.T.); #189973=EDGE_CURVE('',#155340,#155338,#76657,.T.); #189974=EDGE_CURVE('',#155341,#155339,#76658,.T.); #189975=EDGE_CURVE('',#155340,#155341,#76659,.T.); #189976=EDGE_CURVE('',#155342,#155340,#76660,.T.); #189977=EDGE_CURVE('',#155343,#155341,#76661,.T.); #189978=EDGE_CURVE('',#155342,#155343,#76662,.T.); #189979=EDGE_CURVE('',#155344,#155342,#76663,.T.); #189980=EDGE_CURVE('',#155345,#155343,#76664,.T.); #189981=EDGE_CURVE('',#155344,#155345,#76665,.T.); #189982=EDGE_CURVE('',#155346,#155344,#76666,.T.); #189983=EDGE_CURVE('',#155347,#155345,#76667,.T.); #189984=EDGE_CURVE('',#155346,#155347,#76668,.T.); #189985=EDGE_CURVE('',#155348,#155346,#76669,.T.); #189986=EDGE_CURVE('',#155349,#155347,#76670,.T.); #189987=EDGE_CURVE('',#155348,#155349,#76671,.T.); #189988=EDGE_CURVE('',#155350,#155348,#76672,.T.); #189989=EDGE_CURVE('',#155351,#155349,#76673,.T.); #189990=EDGE_CURVE('',#155350,#155351,#76674,.T.); #189991=EDGE_CURVE('',#155352,#155350,#76675,.T.); #189992=EDGE_CURVE('',#155353,#155351,#76676,.T.); #189993=EDGE_CURVE('',#155352,#155353,#76677,.T.); #189994=EDGE_CURVE('',#155354,#155352,#66767,.T.); #189995=EDGE_CURVE('',#155355,#155353,#66768,.T.); #189996=EDGE_CURVE('',#155354,#155355,#76678,.T.); #189997=EDGE_CURVE('',#155356,#155354,#76679,.T.); #189998=EDGE_CURVE('',#155357,#155355,#76680,.T.); #189999=EDGE_CURVE('',#155356,#155357,#76681,.T.); #190000=EDGE_CURVE('',#155358,#155356,#66769,.T.); #190001=EDGE_CURVE('',#155359,#155357,#66770,.T.); #190002=EDGE_CURVE('',#155358,#155359,#76682,.T.); #190003=EDGE_CURVE('',#155360,#155358,#76683,.T.); #190004=EDGE_CURVE('',#155361,#155359,#76684,.T.); #190005=EDGE_CURVE('',#155360,#155361,#76685,.T.); #190006=EDGE_CURVE('',#155362,#155360,#76686,.T.); #190007=EDGE_CURVE('',#155363,#155361,#76687,.T.); #190008=EDGE_CURVE('',#155362,#155363,#76688,.T.); #190009=EDGE_CURVE('',#155364,#155362,#76689,.T.); #190010=EDGE_CURVE('',#155365,#155363,#76690,.T.); #190011=EDGE_CURVE('',#155364,#155365,#76691,.T.); #190012=EDGE_CURVE('',#155366,#155364,#66771,.T.); #190013=EDGE_CURVE('',#155367,#155365,#66772,.T.); #190014=EDGE_CURVE('',#155366,#155367,#76692,.T.); #190015=EDGE_CURVE('',#155368,#155366,#76693,.T.); #190016=EDGE_CURVE('',#155369,#155367,#76694,.T.); #190017=EDGE_CURVE('',#155368,#155369,#76695,.T.); #190018=EDGE_CURVE('',#155370,#155368,#66773,.T.); #190019=EDGE_CURVE('',#155371,#155369,#66774,.T.); #190020=EDGE_CURVE('',#155370,#155371,#76696,.T.); #190021=EDGE_CURVE('',#155372,#155370,#76697,.T.); #190022=EDGE_CURVE('',#155373,#155371,#76698,.T.); #190023=EDGE_CURVE('',#155372,#155373,#76699,.T.); #190024=EDGE_CURVE('',#155374,#155372,#66775,.T.); #190025=EDGE_CURVE('',#155375,#155373,#66776,.T.); #190026=EDGE_CURVE('',#155374,#155375,#76700,.T.); #190027=EDGE_CURVE('',#155376,#155374,#66777,.T.); #190028=EDGE_CURVE('',#155377,#155375,#66778,.T.); #190029=EDGE_CURVE('',#155376,#155377,#76701,.T.); #190030=EDGE_CURVE('',#155378,#155376,#76702,.T.); #190031=EDGE_CURVE('',#155379,#155377,#76703,.T.); #190032=EDGE_CURVE('',#155378,#155379,#76704,.T.); #190033=EDGE_CURVE('',#155380,#155378,#76705,.T.); #190034=EDGE_CURVE('',#155381,#155379,#76706,.T.); #190035=EDGE_CURVE('',#155380,#155381,#76707,.T.); #190036=EDGE_CURVE('',#155382,#155380,#76708,.T.); #190037=EDGE_CURVE('',#155383,#155381,#76709,.T.); #190038=EDGE_CURVE('',#155382,#155383,#76710,.T.); #190039=EDGE_CURVE('',#155384,#155382,#66779,.T.); #190040=EDGE_CURVE('',#155385,#155383,#66780,.T.); #190041=EDGE_CURVE('',#155384,#155385,#76711,.T.); #190042=EDGE_CURVE('',#155386,#155384,#76712,.T.); #190043=EDGE_CURVE('',#155387,#155385,#76713,.T.); #190044=EDGE_CURVE('',#155386,#155387,#76714,.T.); #190045=EDGE_CURVE('',#155388,#155386,#66781,.T.); #190046=EDGE_CURVE('',#155389,#155387,#66782,.T.); #190047=EDGE_CURVE('',#155388,#155389,#76715,.T.); #190048=EDGE_CURVE('',#155390,#155388,#76716,.T.); #190049=EDGE_CURVE('',#155391,#155389,#76717,.T.); #190050=EDGE_CURVE('',#155390,#155391,#76718,.T.); #190051=EDGE_CURVE('',#155392,#155390,#76719,.T.); #190052=EDGE_CURVE('',#155393,#155391,#76720,.T.); #190053=EDGE_CURVE('',#155392,#155393,#76721,.T.); #190054=EDGE_CURVE('',#155394,#155392,#76722,.T.); #190055=EDGE_CURVE('',#155395,#155393,#76723,.T.); #190056=EDGE_CURVE('',#155394,#155395,#76724,.T.); #190057=EDGE_CURVE('',#155396,#155394,#66783,.T.); #190058=EDGE_CURVE('',#155397,#155395,#66784,.T.); #190059=EDGE_CURVE('',#155396,#155397,#76725,.T.); #190060=EDGE_CURVE('',#155398,#155396,#76726,.T.); #190061=EDGE_CURVE('',#155399,#155397,#76727,.T.); #190062=EDGE_CURVE('',#155398,#155399,#76728,.T.); #190063=EDGE_CURVE('',#155400,#155398,#66785,.T.); #190064=EDGE_CURVE('',#155401,#155399,#66786,.T.); #190065=EDGE_CURVE('',#155400,#155401,#76729,.T.); #190066=EDGE_CURVE('',#155402,#155400,#76730,.T.); #190067=EDGE_CURVE('',#155403,#155401,#76731,.T.); #190068=EDGE_CURVE('',#155402,#155403,#76732,.T.); #190069=EDGE_CURVE('',#155404,#155402,#76733,.T.); #190070=EDGE_CURVE('',#155405,#155403,#76734,.T.); #190071=EDGE_CURVE('',#155404,#155405,#76735,.T.); #190072=EDGE_CURVE('',#155406,#155404,#76736,.T.); #190073=EDGE_CURVE('',#155407,#155405,#76737,.T.); #190074=EDGE_CURVE('',#155406,#155407,#76738,.T.); #190075=EDGE_CURVE('',#155408,#155406,#76739,.T.); #190076=EDGE_CURVE('',#155409,#155407,#76740,.T.); #190077=EDGE_CURVE('',#155408,#155409,#76741,.T.); #190078=EDGE_CURVE('',#155410,#155408,#76742,.T.); #190079=EDGE_CURVE('',#155411,#155409,#76743,.T.); #190080=EDGE_CURVE('',#155410,#155411,#76744,.T.); #190081=EDGE_CURVE('',#155412,#155410,#76745,.T.); #190082=EDGE_CURVE('',#155413,#155411,#76746,.T.); #190083=EDGE_CURVE('',#155412,#155413,#76747,.T.); #190084=EDGE_CURVE('',#155329,#155412,#76748,.T.); #190085=EDGE_CURVE('',#155330,#155413,#76749,.T.); #190086=EDGE_CURVE('',#155414,#155414,#66787,.T.); #190087=EDGE_CURVE('',#155414,#155415,#76750,.T.); #190088=EDGE_CURVE('',#155415,#155415,#66788,.T.); #190089=EDGE_CURVE('',#155416,#155417,#76751,.T.); #190090=EDGE_CURVE('',#155417,#155418,#76752,.T.); #190091=EDGE_CURVE('',#155419,#155418,#76753,.T.); #190092=EDGE_CURVE('',#155416,#155419,#76754,.T.); #190093=EDGE_CURVE('',#155420,#155416,#76755,.T.); #190094=EDGE_CURVE('',#155421,#155419,#76756,.T.); #190095=EDGE_CURVE('',#155420,#155421,#76757,.T.); #190096=EDGE_CURVE('',#155422,#155420,#76758,.T.); #190097=EDGE_CURVE('',#155423,#155421,#76759,.T.); #190098=EDGE_CURVE('',#155422,#155423,#76760,.T.); #190099=EDGE_CURVE('',#155424,#155422,#76761,.T.); #190100=EDGE_CURVE('',#155425,#155423,#76762,.T.); #190101=EDGE_CURVE('',#155424,#155425,#76763,.T.); #190102=EDGE_CURVE('',#155426,#155424,#66789,.T.); #190103=EDGE_CURVE('',#155427,#155425,#66790,.T.); #190104=EDGE_CURVE('',#155426,#155427,#76764,.T.); #190105=EDGE_CURVE('',#155428,#155426,#76765,.T.); #190106=EDGE_CURVE('',#155429,#155427,#76766,.T.); #190107=EDGE_CURVE('',#155428,#155429,#76767,.T.); #190108=EDGE_CURVE('',#155430,#155428,#66791,.T.); #190109=EDGE_CURVE('',#155431,#155429,#66792,.T.); #190110=EDGE_CURVE('',#155430,#155431,#76768,.T.); #190111=EDGE_CURVE('',#155432,#155430,#76769,.T.); #190112=EDGE_CURVE('',#155433,#155431,#76770,.T.); #190113=EDGE_CURVE('',#155432,#155433,#76771,.T.); #190114=EDGE_CURVE('',#155434,#155432,#76772,.T.); #190115=EDGE_CURVE('',#155435,#155433,#76773,.T.); #190116=EDGE_CURVE('',#155434,#155435,#76774,.T.); #190117=EDGE_CURVE('',#155436,#155434,#66793,.T.); #190118=EDGE_CURVE('',#155437,#155435,#66794,.T.); #190119=EDGE_CURVE('',#155436,#155437,#76775,.T.); #190120=EDGE_CURVE('',#155438,#155436,#76776,.T.); #190121=EDGE_CURVE('',#155439,#155437,#76777,.T.); #190122=EDGE_CURVE('',#155438,#155439,#76778,.T.); #190123=EDGE_CURVE('',#155440,#155438,#66795,.T.); #190124=EDGE_CURVE('',#155441,#155439,#66796,.T.); #190125=EDGE_CURVE('',#155440,#155441,#76779,.T.); #190126=EDGE_CURVE('',#155442,#155440,#66797,.T.); #190127=EDGE_CURVE('',#155443,#155441,#66798,.T.); #190128=EDGE_CURVE('',#155442,#155443,#76780,.T.); #190129=EDGE_CURVE('',#155444,#155442,#76781,.T.); #190130=EDGE_CURVE('',#155445,#155443,#76782,.T.); #190131=EDGE_CURVE('',#155444,#155445,#76783,.T.); #190132=EDGE_CURVE('',#155446,#155444,#76784,.T.); #190133=EDGE_CURVE('',#155447,#155445,#76785,.T.); #190134=EDGE_CURVE('',#155446,#155447,#76786,.T.); #190135=EDGE_CURVE('',#155448,#155446,#66799,.T.); #190136=EDGE_CURVE('',#155449,#155447,#66800,.T.); #190137=EDGE_CURVE('',#155448,#155449,#76787,.T.); #190138=EDGE_CURVE('',#155450,#155448,#76788,.T.); #190139=EDGE_CURVE('',#155451,#155449,#76789,.T.); #190140=EDGE_CURVE('',#155450,#155451,#76790,.T.); #190141=EDGE_CURVE('',#155452,#155450,#76791,.T.); #190142=EDGE_CURVE('',#155453,#155451,#76792,.T.); #190143=EDGE_CURVE('',#155452,#155453,#76793,.T.); #190144=EDGE_CURVE('',#155454,#155452,#76794,.T.); #190145=EDGE_CURVE('',#155455,#155453,#76795,.T.); #190146=EDGE_CURVE('',#155454,#155455,#76796,.T.); #190147=EDGE_CURVE('',#155456,#155454,#76797,.T.); #190148=EDGE_CURVE('',#155457,#155455,#76798,.T.); #190149=EDGE_CURVE('',#155456,#155457,#76799,.T.); #190150=EDGE_CURVE('',#155417,#155456,#76800,.T.); #190151=EDGE_CURVE('',#155418,#155457,#76801,.T.); #190152=EDGE_CURVE('',#155458,#155459,#76802,.T.); #190153=EDGE_CURVE('',#155459,#155460,#76803,.T.); #190154=EDGE_CURVE('',#155461,#155460,#76804,.T.); #190155=EDGE_CURVE('',#155458,#155461,#76805,.T.); #190156=EDGE_CURVE('',#155462,#155458,#76806,.T.); #190157=EDGE_CURVE('',#155463,#155461,#76807,.T.); #190158=EDGE_CURVE('',#155462,#155463,#76808,.T.); #190159=EDGE_CURVE('',#155464,#155462,#76809,.T.); #190160=EDGE_CURVE('',#155465,#155463,#76810,.T.); #190161=EDGE_CURVE('',#155464,#155465,#76811,.T.); #190162=EDGE_CURVE('',#155466,#155464,#76812,.T.); #190163=EDGE_CURVE('',#155467,#155465,#76813,.T.); #190164=EDGE_CURVE('',#155466,#155467,#76814,.T.); #190165=EDGE_CURVE('',#155468,#155466,#76815,.T.); #190166=EDGE_CURVE('',#155469,#155467,#76816,.T.); #190167=EDGE_CURVE('',#155468,#155469,#76817,.T.); #190168=EDGE_CURVE('',#155470,#155468,#76818,.T.); #190169=EDGE_CURVE('',#155471,#155469,#76819,.T.); #190170=EDGE_CURVE('',#155470,#155471,#76820,.T.); #190171=EDGE_CURVE('',#155472,#155470,#76821,.T.); #190172=EDGE_CURVE('',#155473,#155471,#76822,.T.); #190173=EDGE_CURVE('',#155472,#155473,#76823,.T.); #190174=EDGE_CURVE('',#155474,#155472,#76824,.T.); #190175=EDGE_CURVE('',#155475,#155473,#76825,.T.); #190176=EDGE_CURVE('',#155474,#155475,#76826,.T.); #190177=EDGE_CURVE('',#155476,#155474,#76827,.T.); #190178=EDGE_CURVE('',#155477,#155475,#76828,.T.); #190179=EDGE_CURVE('',#155476,#155477,#76829,.T.); #190180=EDGE_CURVE('',#155478,#155476,#76830,.T.); #190181=EDGE_CURVE('',#155479,#155477,#76831,.T.); #190182=EDGE_CURVE('',#155478,#155479,#76832,.T.); #190183=EDGE_CURVE('',#155480,#155478,#76833,.T.); #190184=EDGE_CURVE('',#155481,#155479,#76834,.T.); #190185=EDGE_CURVE('',#155480,#155481,#76835,.T.); #190186=EDGE_CURVE('',#155459,#155480,#76836,.T.); #190187=EDGE_CURVE('',#155460,#155481,#76837,.T.); #190188=EDGE_CURVE('',#155482,#155483,#76838,.T.); #190189=EDGE_CURVE('',#155483,#155484,#76839,.T.); #190190=EDGE_CURVE('',#155485,#155484,#76840,.T.); #190191=EDGE_CURVE('',#155482,#155485,#76841,.T.); #190192=EDGE_CURVE('',#155486,#155482,#76842,.T.); #190193=EDGE_CURVE('',#155487,#155485,#76843,.T.); #190194=EDGE_CURVE('',#155486,#155487,#76844,.T.); #190195=EDGE_CURVE('',#155488,#155486,#76845,.T.); #190196=EDGE_CURVE('',#155489,#155487,#76846,.T.); #190197=EDGE_CURVE('',#155488,#155489,#76847,.T.); #190198=EDGE_CURVE('',#155490,#155488,#76848,.T.); #190199=EDGE_CURVE('',#155491,#155489,#76849,.T.); #190200=EDGE_CURVE('',#155490,#155491,#76850,.T.); #190201=EDGE_CURVE('',#155492,#155490,#76851,.T.); #190202=EDGE_CURVE('',#155493,#155491,#76852,.T.); #190203=EDGE_CURVE('',#155492,#155493,#76853,.T.); #190204=EDGE_CURVE('',#155494,#155492,#76854,.T.); #190205=EDGE_CURVE('',#155495,#155493,#76855,.T.); #190206=EDGE_CURVE('',#155494,#155495,#76856,.T.); #190207=EDGE_CURVE('',#155496,#155494,#76857,.T.); #190208=EDGE_CURVE('',#155497,#155495,#76858,.T.); #190209=EDGE_CURVE('',#155496,#155497,#76859,.T.); #190210=EDGE_CURVE('',#155498,#155496,#76860,.T.); #190211=EDGE_CURVE('',#155499,#155497,#76861,.T.); #190212=EDGE_CURVE('',#155498,#155499,#76862,.T.); #190213=EDGE_CURVE('',#155500,#155498,#76863,.T.); #190214=EDGE_CURVE('',#155501,#155499,#76864,.T.); #190215=EDGE_CURVE('',#155500,#155501,#76865,.T.); #190216=EDGE_CURVE('',#155502,#155500,#76866,.T.); #190217=EDGE_CURVE('',#155503,#155501,#76867,.T.); #190218=EDGE_CURVE('',#155502,#155503,#76868,.T.); #190219=EDGE_CURVE('',#155504,#155502,#76869,.T.); #190220=EDGE_CURVE('',#155505,#155503,#76870,.T.); #190221=EDGE_CURVE('',#155504,#155505,#76871,.T.); #190222=EDGE_CURVE('',#155483,#155504,#76872,.T.); #190223=EDGE_CURVE('',#155484,#155505,#76873,.T.); #190224=EDGE_CURVE('',#155506,#155506,#66801,.T.); #190225=EDGE_CURVE('',#155506,#155507,#76874,.T.); #190226=EDGE_CURVE('',#155507,#155507,#66802,.T.); #190227=EDGE_CURVE('',#155508,#155509,#76875,.T.); #190228=EDGE_CURVE('',#155509,#155510,#76876,.T.); #190229=EDGE_CURVE('',#155511,#155510,#76877,.T.); #190230=EDGE_CURVE('',#155508,#155511,#76878,.T.); #190231=EDGE_CURVE('',#155512,#155508,#76879,.T.); #190232=EDGE_CURVE('',#155513,#155511,#76880,.T.); #190233=EDGE_CURVE('',#155512,#155513,#76881,.T.); #190234=EDGE_CURVE('',#155514,#155512,#76882,.T.); #190235=EDGE_CURVE('',#155515,#155513,#76883,.T.); #190236=EDGE_CURVE('',#155514,#155515,#76884,.T.); #190237=EDGE_CURVE('',#155516,#155514,#76885,.T.); #190238=EDGE_CURVE('',#155517,#155515,#76886,.T.); #190239=EDGE_CURVE('',#155516,#155517,#76887,.T.); #190240=EDGE_CURVE('',#155518,#155516,#66803,.T.); #190241=EDGE_CURVE('',#155519,#155517,#66804,.T.); #190242=EDGE_CURVE('',#155518,#155519,#76888,.T.); #190243=EDGE_CURVE('',#155520,#155518,#76889,.T.); #190244=EDGE_CURVE('',#155521,#155519,#76890,.T.); #190245=EDGE_CURVE('',#155520,#155521,#76891,.T.); #190246=EDGE_CURVE('',#155522,#155520,#66805,.T.); #190247=EDGE_CURVE('',#155523,#155521,#66806,.T.); #190248=EDGE_CURVE('',#155522,#155523,#76892,.T.); #190249=EDGE_CURVE('',#155524,#155522,#76893,.T.); #190250=EDGE_CURVE('',#155525,#155523,#76894,.T.); #190251=EDGE_CURVE('',#155524,#155525,#76895,.T.); #190252=EDGE_CURVE('',#155526,#155524,#66807,.T.); #190253=EDGE_CURVE('',#155527,#155525,#66808,.T.); #190254=EDGE_CURVE('',#155526,#155527,#76896,.T.); #190255=EDGE_CURVE('',#155528,#155526,#76897,.T.); #190256=EDGE_CURVE('',#155529,#155527,#76898,.T.); #190257=EDGE_CURVE('',#155528,#155529,#76899,.T.); #190258=EDGE_CURVE('',#155530,#155528,#66809,.T.); #190259=EDGE_CURVE('',#155531,#155529,#66810,.T.); #190260=EDGE_CURVE('',#155530,#155531,#76900,.T.); #190261=EDGE_CURVE('',#155532,#155530,#66811,.T.); #190262=EDGE_CURVE('',#155533,#155531,#66812,.T.); #190263=EDGE_CURVE('',#155532,#155533,#76901,.T.); #190264=EDGE_CURVE('',#155534,#155532,#76902,.T.); #190265=EDGE_CURVE('',#155535,#155533,#76903,.T.); #190266=EDGE_CURVE('',#155534,#155535,#76904,.T.); #190267=EDGE_CURVE('',#155536,#155534,#76905,.T.); #190268=EDGE_CURVE('',#155537,#155535,#76906,.T.); #190269=EDGE_CURVE('',#155536,#155537,#76907,.T.); #190270=EDGE_CURVE('',#155538,#155536,#76908,.T.); #190271=EDGE_CURVE('',#155539,#155537,#76909,.T.); #190272=EDGE_CURVE('',#155538,#155539,#76910,.T.); #190273=EDGE_CURVE('',#155540,#155538,#76911,.T.); #190274=EDGE_CURVE('',#155541,#155539,#76912,.T.); #190275=EDGE_CURVE('',#155540,#155541,#76913,.T.); #190276=EDGE_CURVE('',#155509,#155540,#76914,.T.); #190277=EDGE_CURVE('',#155510,#155541,#76915,.T.); #190278=EDGE_CURVE('',#155542,#155542,#66813,.T.); #190279=EDGE_CURVE('',#155542,#155543,#76916,.T.); #190280=EDGE_CURVE('',#155543,#155543,#66814,.T.); #190281=EDGE_CURVE('',#155544,#155545,#76917,.T.); #190282=EDGE_CURVE('',#155545,#155546,#76918,.T.); #190283=EDGE_CURVE('',#155547,#155546,#76919,.T.); #190284=EDGE_CURVE('',#155544,#155547,#76920,.T.); #190285=EDGE_CURVE('',#155548,#155544,#66815,.T.); #190286=EDGE_CURVE('',#155549,#155547,#66816,.T.); #190287=EDGE_CURVE('',#155548,#155549,#76921,.T.); #190288=EDGE_CURVE('',#155550,#155548,#76922,.T.); #190289=EDGE_CURVE('',#155551,#155549,#76923,.T.); #190290=EDGE_CURVE('',#155550,#155551,#76924,.T.); #190291=EDGE_CURVE('',#155552,#155550,#66817,.T.); #190292=EDGE_CURVE('',#155553,#155551,#66818,.T.); #190293=EDGE_CURVE('',#155552,#155553,#76925,.T.); #190294=EDGE_CURVE('',#155554,#155552,#76926,.T.); #190295=EDGE_CURVE('',#155555,#155553,#76927,.T.); #190296=EDGE_CURVE('',#155554,#155555,#76928,.T.); #190297=EDGE_CURVE('',#155556,#155554,#66819,.T.); #190298=EDGE_CURVE('',#155557,#155555,#66820,.T.); #190299=EDGE_CURVE('',#155556,#155557,#76929,.T.); #190300=EDGE_CURVE('',#155558,#155556,#76930,.T.); #190301=EDGE_CURVE('',#155559,#155557,#76931,.T.); #190302=EDGE_CURVE('',#155558,#155559,#76932,.T.); #190303=EDGE_CURVE('',#155560,#155558,#76933,.T.); #190304=EDGE_CURVE('',#155561,#155559,#76934,.T.); #190305=EDGE_CURVE('',#155560,#155561,#76935,.T.); #190306=EDGE_CURVE('',#155562,#155560,#76936,.T.); #190307=EDGE_CURVE('',#155563,#155561,#76937,.T.); #190308=EDGE_CURVE('',#155562,#155563,#76938,.T.); #190309=EDGE_CURVE('',#155564,#155562,#76939,.T.); #190310=EDGE_CURVE('',#155565,#155563,#76940,.T.); #190311=EDGE_CURVE('',#155564,#155565,#76941,.T.); #190312=EDGE_CURVE('',#155566,#155564,#76942,.T.); #190313=EDGE_CURVE('',#155567,#155565,#76943,.T.); #190314=EDGE_CURVE('',#155566,#155567,#76944,.T.); #190315=EDGE_CURVE('',#155568,#155566,#76945,.T.); #190316=EDGE_CURVE('',#155569,#155567,#76946,.T.); #190317=EDGE_CURVE('',#155568,#155569,#76947,.T.); #190318=EDGE_CURVE('',#155570,#155568,#76948,.T.); #190319=EDGE_CURVE('',#155571,#155569,#76949,.T.); #190320=EDGE_CURVE('',#155570,#155571,#76950,.T.); #190321=EDGE_CURVE('',#155572,#155570,#76951,.T.); #190322=EDGE_CURVE('',#155573,#155571,#76952,.T.); #190323=EDGE_CURVE('',#155572,#155573,#76953,.T.); #190324=EDGE_CURVE('',#155574,#155572,#76954,.T.); #190325=EDGE_CURVE('',#155575,#155573,#76955,.T.); #190326=EDGE_CURVE('',#155574,#155575,#76956,.T.); #190327=EDGE_CURVE('',#155576,#155574,#66821,.T.); #190328=EDGE_CURVE('',#155577,#155575,#66822,.T.); #190329=EDGE_CURVE('',#155576,#155577,#76957,.T.); #190330=EDGE_CURVE('',#155578,#155576,#76958,.T.); #190331=EDGE_CURVE('',#155579,#155577,#76959,.T.); #190332=EDGE_CURVE('',#155578,#155579,#76960,.T.); #190333=EDGE_CURVE('',#155580,#155578,#66823,.T.); #190334=EDGE_CURVE('',#155581,#155579,#66824,.T.); #190335=EDGE_CURVE('',#155580,#155581,#76961,.T.); #190336=EDGE_CURVE('',#155582,#155580,#76962,.T.); #190337=EDGE_CURVE('',#155583,#155581,#76963,.T.); #190338=EDGE_CURVE('',#155582,#155583,#76964,.T.); #190339=EDGE_CURVE('',#155584,#155582,#76965,.T.); #190340=EDGE_CURVE('',#155585,#155583,#76966,.T.); #190341=EDGE_CURVE('',#155584,#155585,#76967,.T.); #190342=EDGE_CURVE('',#155586,#155584,#76968,.T.); #190343=EDGE_CURVE('',#155587,#155585,#76969,.T.); #190344=EDGE_CURVE('',#155586,#155587,#76970,.T.); #190345=EDGE_CURVE('',#155545,#155586,#66825,.T.); #190346=EDGE_CURVE('',#155546,#155587,#66826,.T.); #190347=EDGE_CURVE('',#155588,#155588,#66827,.T.); #190348=EDGE_CURVE('',#155588,#155589,#76971,.T.); #190349=EDGE_CURVE('',#155589,#155589,#66828,.T.); #190350=EDGE_CURVE('',#155590,#155591,#76972,.T.); #190351=EDGE_CURVE('',#155591,#155592,#76973,.T.); #190352=EDGE_CURVE('',#155593,#155592,#76974,.T.); #190353=EDGE_CURVE('',#155590,#155593,#76975,.T.); #190354=EDGE_CURVE('',#155594,#155590,#66829,.T.); #190355=EDGE_CURVE('',#155595,#155593,#66830,.T.); #190356=EDGE_CURVE('',#155594,#155595,#76976,.T.); #190357=EDGE_CURVE('',#155596,#155594,#66831,.T.); #190358=EDGE_CURVE('',#155597,#155595,#66832,.T.); #190359=EDGE_CURVE('',#155596,#155597,#76977,.T.); #190360=EDGE_CURVE('',#155598,#155596,#76978,.T.); #190361=EDGE_CURVE('',#155599,#155597,#76979,.T.); #190362=EDGE_CURVE('',#155598,#155599,#76980,.T.); #190363=EDGE_CURVE('',#155600,#155598,#76981,.T.); #190364=EDGE_CURVE('',#155601,#155599,#76982,.T.); #190365=EDGE_CURVE('',#155600,#155601,#76983,.T.); #190366=EDGE_CURVE('',#155602,#155600,#76984,.T.); #190367=EDGE_CURVE('',#155603,#155601,#76985,.T.); #190368=EDGE_CURVE('',#155602,#155603,#76986,.T.); #190369=EDGE_CURVE('',#155604,#155602,#76987,.T.); #190370=EDGE_CURVE('',#155605,#155603,#76988,.T.); #190371=EDGE_CURVE('',#155604,#155605,#76989,.T.); #190372=EDGE_CURVE('',#155606,#155604,#76990,.T.); #190373=EDGE_CURVE('',#155607,#155605,#76991,.T.); #190374=EDGE_CURVE('',#155606,#155607,#76992,.T.); #190375=EDGE_CURVE('',#155608,#155606,#76993,.T.); #190376=EDGE_CURVE('',#155609,#155607,#76994,.T.); #190377=EDGE_CURVE('',#155608,#155609,#76995,.T.); #190378=EDGE_CURVE('',#155610,#155608,#66833,.T.); #190379=EDGE_CURVE('',#155611,#155609,#66834,.T.); #190380=EDGE_CURVE('',#155610,#155611,#76996,.T.); #190381=EDGE_CURVE('',#155612,#155610,#76997,.T.); #190382=EDGE_CURVE('',#155613,#155611,#76998,.T.); #190383=EDGE_CURVE('',#155612,#155613,#76999,.T.); #190384=EDGE_CURVE('',#155591,#155612,#66835,.T.); #190385=EDGE_CURVE('',#155592,#155613,#66836,.T.); #190386=EDGE_CURVE('',#155614,#155615,#77000,.T.); #190387=EDGE_CURVE('',#155615,#155616,#77001,.T.); #190388=EDGE_CURVE('',#155617,#155616,#77002,.T.); #190389=EDGE_CURVE('',#155614,#155617,#77003,.T.); #190390=EDGE_CURVE('',#155618,#155614,#77004,.T.); #190391=EDGE_CURVE('',#155619,#155617,#77005,.T.); #190392=EDGE_CURVE('',#155618,#155619,#77006,.T.); #190393=EDGE_CURVE('',#155620,#155618,#77007,.T.); #190394=EDGE_CURVE('',#155621,#155619,#77008,.T.); #190395=EDGE_CURVE('',#155620,#155621,#77009,.T.); #190396=EDGE_CURVE('',#155622,#155620,#77010,.T.); #190397=EDGE_CURVE('',#155623,#155621,#77011,.T.); #190398=EDGE_CURVE('',#155622,#155623,#77012,.T.); #190399=EDGE_CURVE('',#155624,#155622,#66837,.T.); #190400=EDGE_CURVE('',#155625,#155623,#66838,.T.); #190401=EDGE_CURVE('',#155624,#155625,#77013,.T.); #190402=EDGE_CURVE('',#155626,#155624,#77014,.T.); #190403=EDGE_CURVE('',#155627,#155625,#77015,.T.); #190404=EDGE_CURVE('',#155626,#155627,#77016,.T.); #190405=EDGE_CURVE('',#155628,#155626,#66839,.T.); #190406=EDGE_CURVE('',#155629,#155627,#66840,.T.); #190407=EDGE_CURVE('',#155628,#155629,#77017,.T.); #190408=EDGE_CURVE('',#155630,#155628,#77018,.T.); #190409=EDGE_CURVE('',#155631,#155629,#77019,.T.); #190410=EDGE_CURVE('',#155630,#155631,#77020,.T.); #190411=EDGE_CURVE('',#155632,#155630,#77021,.T.); #190412=EDGE_CURVE('',#155633,#155631,#77022,.T.); #190413=EDGE_CURVE('',#155632,#155633,#77023,.T.); #190414=EDGE_CURVE('',#155634,#155632,#77024,.T.); #190415=EDGE_CURVE('',#155635,#155633,#77025,.T.); #190416=EDGE_CURVE('',#155634,#155635,#77026,.T.); #190417=EDGE_CURVE('',#155636,#155634,#66841,.T.); #190418=EDGE_CURVE('',#155637,#155635,#66842,.T.); #190419=EDGE_CURVE('',#155636,#155637,#77027,.T.); #190420=EDGE_CURVE('',#155638,#155636,#77028,.T.); #190421=EDGE_CURVE('',#155639,#155637,#77029,.T.); #190422=EDGE_CURVE('',#155638,#155639,#77030,.T.); #190423=EDGE_CURVE('',#155640,#155638,#66843,.T.); #190424=EDGE_CURVE('',#155641,#155639,#66844,.T.); #190425=EDGE_CURVE('',#155640,#155641,#77031,.T.); #190426=EDGE_CURVE('',#155642,#155640,#77032,.T.); #190427=EDGE_CURVE('',#155643,#155641,#77033,.T.); #190428=EDGE_CURVE('',#155642,#155643,#77034,.T.); #190429=EDGE_CURVE('',#155644,#155642,#77035,.T.); #190430=EDGE_CURVE('',#155645,#155643,#77036,.T.); #190431=EDGE_CURVE('',#155644,#155645,#77037,.T.); #190432=EDGE_CURVE('',#155646,#155644,#77038,.T.); #190433=EDGE_CURVE('',#155647,#155645,#77039,.T.); #190434=EDGE_CURVE('',#155646,#155647,#77040,.T.); #190435=EDGE_CURVE('',#155648,#155646,#77041,.T.); #190436=EDGE_CURVE('',#155649,#155647,#77042,.T.); #190437=EDGE_CURVE('',#155648,#155649,#77043,.T.); #190438=EDGE_CURVE('',#155650,#155648,#77044,.T.); #190439=EDGE_CURVE('',#155651,#155649,#77045,.T.); #190440=EDGE_CURVE('',#155650,#155651,#77046,.T.); #190441=EDGE_CURVE('',#155652,#155650,#77047,.T.); #190442=EDGE_CURVE('',#155653,#155651,#77048,.T.); #190443=EDGE_CURVE('',#155652,#155653,#77049,.T.); #190444=EDGE_CURVE('',#155654,#155652,#77050,.T.); #190445=EDGE_CURVE('',#155655,#155653,#77051,.T.); #190446=EDGE_CURVE('',#155654,#155655,#77052,.T.); #190447=EDGE_CURVE('',#155656,#155654,#77053,.T.); #190448=EDGE_CURVE('',#155657,#155655,#77054,.T.); #190449=EDGE_CURVE('',#155656,#155657,#77055,.T.); #190450=EDGE_CURVE('',#155658,#155656,#77056,.T.); #190451=EDGE_CURVE('',#155659,#155657,#77057,.T.); #190452=EDGE_CURVE('',#155658,#155659,#77058,.T.); #190453=EDGE_CURVE('',#155660,#155658,#66845,.T.); #190454=EDGE_CURVE('',#155661,#155659,#66846,.T.); #190455=EDGE_CURVE('',#155660,#155661,#77059,.T.); #190456=EDGE_CURVE('',#155662,#155660,#77060,.T.); #190457=EDGE_CURVE('',#155663,#155661,#77061,.T.); #190458=EDGE_CURVE('',#155662,#155663,#77062,.T.); #190459=EDGE_CURVE('',#155664,#155662,#66847,.T.); #190460=EDGE_CURVE('',#155665,#155663,#66848,.T.); #190461=EDGE_CURVE('',#155664,#155665,#77063,.T.); #190462=EDGE_CURVE('',#155666,#155664,#77064,.T.); #190463=EDGE_CURVE('',#155667,#155665,#77065,.T.); #190464=EDGE_CURVE('',#155666,#155667,#77066,.T.); #190465=EDGE_CURVE('',#155668,#155666,#77067,.T.); #190466=EDGE_CURVE('',#155669,#155667,#77068,.T.); #190467=EDGE_CURVE('',#155668,#155669,#77069,.T.); #190468=EDGE_CURVE('',#155670,#155668,#77070,.T.); #190469=EDGE_CURVE('',#155671,#155669,#77071,.T.); #190470=EDGE_CURVE('',#155670,#155671,#77072,.T.); #190471=EDGE_CURVE('',#155672,#155670,#66849,.T.); #190472=EDGE_CURVE('',#155673,#155671,#66850,.T.); #190473=EDGE_CURVE('',#155672,#155673,#77073,.T.); #190474=EDGE_CURVE('',#155674,#155672,#77074,.T.); #190475=EDGE_CURVE('',#155675,#155673,#77075,.T.); #190476=EDGE_CURVE('',#155674,#155675,#77076,.T.); #190477=EDGE_CURVE('',#155676,#155674,#66851,.T.); #190478=EDGE_CURVE('',#155677,#155675,#66852,.T.); #190479=EDGE_CURVE('',#155676,#155677,#77077,.T.); #190480=EDGE_CURVE('',#155678,#155676,#77078,.T.); #190481=EDGE_CURVE('',#155679,#155677,#77079,.T.); #190482=EDGE_CURVE('',#155678,#155679,#77080,.T.); #190483=EDGE_CURVE('',#155680,#155678,#77081,.T.); #190484=EDGE_CURVE('',#155681,#155679,#77082,.T.); #190485=EDGE_CURVE('',#155680,#155681,#77083,.T.); #190486=EDGE_CURVE('',#155682,#155680,#77084,.T.); #190487=EDGE_CURVE('',#155683,#155681,#77085,.T.); #190488=EDGE_CURVE('',#155682,#155683,#77086,.T.); #190489=EDGE_CURVE('',#155684,#155682,#77087,.T.); #190490=EDGE_CURVE('',#155685,#155683,#77088,.T.); #190491=EDGE_CURVE('',#155684,#155685,#77089,.T.); #190492=EDGE_CURVE('',#155615,#155684,#77090,.T.); #190493=EDGE_CURVE('',#155616,#155685,#77091,.T.); #190494=EDGE_CURVE('',#155686,#155686,#66853,.T.); #190495=EDGE_CURVE('',#155686,#155687,#77092,.T.); #190496=EDGE_CURVE('',#155687,#155687,#66854,.T.); #190497=EDGE_CURVE('',#155688,#155689,#77093,.T.); #190498=EDGE_CURVE('',#155689,#155690,#77094,.T.); #190499=EDGE_CURVE('',#155691,#155690,#77095,.T.); #190500=EDGE_CURVE('',#155688,#155691,#77096,.T.); #190501=EDGE_CURVE('',#155692,#155688,#66855,.T.); #190502=EDGE_CURVE('',#155693,#155691,#66856,.T.); #190503=EDGE_CURVE('',#155692,#155693,#77097,.T.); #190504=EDGE_CURVE('',#155694,#155692,#77098,.T.); #190505=EDGE_CURVE('',#155695,#155693,#77099,.T.); #190506=EDGE_CURVE('',#155694,#155695,#77100,.T.); #190507=EDGE_CURVE('',#155696,#155694,#77101,.T.); #190508=EDGE_CURVE('',#155697,#155695,#77102,.T.); #190509=EDGE_CURVE('',#155696,#155697,#77103,.T.); #190510=EDGE_CURVE('',#155698,#155696,#77104,.T.); #190511=EDGE_CURVE('',#155699,#155697,#77105,.T.); #190512=EDGE_CURVE('',#155698,#155699,#77106,.T.); #190513=EDGE_CURVE('',#155700,#155698,#77107,.T.); #190514=EDGE_CURVE('',#155701,#155699,#77108,.T.); #190515=EDGE_CURVE('',#155700,#155701,#77109,.T.); #190516=EDGE_CURVE('',#155702,#155700,#77110,.T.); #190517=EDGE_CURVE('',#155703,#155701,#77111,.T.); #190518=EDGE_CURVE('',#155702,#155703,#77112,.T.); #190519=EDGE_CURVE('',#155704,#155702,#77113,.T.); #190520=EDGE_CURVE('',#155705,#155703,#77114,.T.); #190521=EDGE_CURVE('',#155704,#155705,#77115,.T.); #190522=EDGE_CURVE('',#155706,#155704,#77116,.T.); #190523=EDGE_CURVE('',#155707,#155705,#77117,.T.); #190524=EDGE_CURVE('',#155706,#155707,#77118,.T.); #190525=EDGE_CURVE('',#155689,#155706,#66857,.T.); #190526=EDGE_CURVE('',#155690,#155707,#66858,.T.); #190527=EDGE_CURVE('',#155708,#155708,#66859,.T.); #190528=EDGE_CURVE('',#155708,#155709,#77119,.T.); #190529=EDGE_CURVE('',#155709,#155709,#66860,.T.); #190530=EDGE_CURVE('',#155710,#155710,#66861,.T.); #190531=EDGE_CURVE('',#155710,#155711,#77120,.T.); #190532=EDGE_CURVE('',#155711,#155711,#66862,.T.); #190533=EDGE_CURVE('',#155712,#155713,#77121,.T.); #190534=EDGE_CURVE('',#155713,#155714,#77122,.T.); #190535=EDGE_CURVE('',#155715,#155714,#77123,.T.); #190536=EDGE_CURVE('',#155712,#155715,#77124,.T.); #190537=EDGE_CURVE('',#155716,#155712,#77125,.T.); #190538=EDGE_CURVE('',#155717,#155715,#77126,.T.); #190539=EDGE_CURVE('',#155716,#155717,#77127,.T.); #190540=EDGE_CURVE('',#155718,#155716,#66863,.T.); #190541=EDGE_CURVE('',#155719,#155717,#66864,.T.); #190542=EDGE_CURVE('',#155718,#155719,#77128,.T.); #190543=EDGE_CURVE('',#155720,#155718,#66865,.T.); #190544=EDGE_CURVE('',#155721,#155719,#66866,.T.); #190545=EDGE_CURVE('',#155720,#155721,#77129,.T.); #190546=EDGE_CURVE('',#155722,#155720,#77130,.T.); #190547=EDGE_CURVE('',#155723,#155721,#77131,.T.); #190548=EDGE_CURVE('',#155722,#155723,#77132,.T.); #190549=EDGE_CURVE('',#155724,#155722,#77133,.T.); #190550=EDGE_CURVE('',#155725,#155723,#77134,.T.); #190551=EDGE_CURVE('',#155724,#155725,#77135,.T.); #190552=EDGE_CURVE('',#155726,#155724,#66867,.T.); #190553=EDGE_CURVE('',#155727,#155725,#66868,.T.); #190554=EDGE_CURVE('',#155726,#155727,#77136,.T.); #190555=EDGE_CURVE('',#155728,#155726,#66869,.T.); #190556=EDGE_CURVE('',#155729,#155727,#66870,.T.); #190557=EDGE_CURVE('',#155728,#155729,#77137,.T.); #190558=EDGE_CURVE('',#155730,#155728,#77138,.T.); #190559=EDGE_CURVE('',#155731,#155729,#77139,.T.); #190560=EDGE_CURVE('',#155730,#155731,#77140,.T.); #190561=EDGE_CURVE('',#155732,#155730,#77141,.T.); #190562=EDGE_CURVE('',#155733,#155731,#77142,.T.); #190563=EDGE_CURVE('',#155732,#155733,#77143,.T.); #190564=EDGE_CURVE('',#155734,#155732,#77144,.T.); #190565=EDGE_CURVE('',#155735,#155733,#77145,.T.); #190566=EDGE_CURVE('',#155734,#155735,#77146,.T.); #190567=EDGE_CURVE('',#155736,#155734,#77147,.T.); #190568=EDGE_CURVE('',#155737,#155735,#77148,.T.); #190569=EDGE_CURVE('',#155736,#155737,#77149,.T.); #190570=EDGE_CURVE('',#155738,#155736,#77150,.T.); #190571=EDGE_CURVE('',#155739,#155737,#77151,.T.); #190572=EDGE_CURVE('',#155738,#155739,#77152,.T.); #190573=EDGE_CURVE('',#155740,#155738,#77153,.T.); #190574=EDGE_CURVE('',#155741,#155739,#77154,.T.); #190575=EDGE_CURVE('',#155740,#155741,#77155,.T.); #190576=EDGE_CURVE('',#155742,#155740,#77156,.T.); #190577=EDGE_CURVE('',#155743,#155741,#77157,.T.); #190578=EDGE_CURVE('',#155742,#155743,#77158,.T.); #190579=EDGE_CURVE('',#155744,#155742,#77159,.T.); #190580=EDGE_CURVE('',#155745,#155743,#77160,.T.); #190581=EDGE_CURVE('',#155744,#155745,#77161,.T.); #190582=EDGE_CURVE('',#155746,#155744,#77162,.T.); #190583=EDGE_CURVE('',#155747,#155745,#77163,.T.); #190584=EDGE_CURVE('',#155746,#155747,#77164,.T.); #190585=EDGE_CURVE('',#155748,#155746,#77165,.T.); #190586=EDGE_CURVE('',#155749,#155747,#77166,.T.); #190587=EDGE_CURVE('',#155748,#155749,#77167,.T.); #190588=EDGE_CURVE('',#155750,#155748,#66871,.T.); #190589=EDGE_CURVE('',#155751,#155749,#66872,.T.); #190590=EDGE_CURVE('',#155750,#155751,#77168,.T.); #190591=EDGE_CURVE('',#155752,#155750,#77169,.T.); #190592=EDGE_CURVE('',#155753,#155751,#77170,.T.); #190593=EDGE_CURVE('',#155752,#155753,#77171,.T.); #190594=EDGE_CURVE('',#155713,#155752,#66873,.T.); #190595=EDGE_CURVE('',#155714,#155753,#66874,.T.); #190596=EDGE_CURVE('',#155754,#155755,#77172,.T.); #190597=EDGE_CURVE('',#155755,#155756,#77173,.T.); #190598=EDGE_CURVE('',#155757,#155756,#77174,.T.); #190599=EDGE_CURVE('',#155754,#155757,#77175,.T.); #190600=EDGE_CURVE('',#155758,#155754,#77176,.T.); #190601=EDGE_CURVE('',#155759,#155757,#77177,.T.); #190602=EDGE_CURVE('',#155758,#155759,#77178,.T.); #190603=EDGE_CURVE('',#155760,#155758,#77179,.T.); #190604=EDGE_CURVE('',#155761,#155759,#77180,.T.); #190605=EDGE_CURVE('',#155760,#155761,#77181,.T.); #190606=EDGE_CURVE('',#155762,#155760,#77182,.T.); #190607=EDGE_CURVE('',#155763,#155761,#77183,.T.); #190608=EDGE_CURVE('',#155762,#155763,#77184,.T.); #190609=EDGE_CURVE('',#155764,#155762,#66875,.T.); #190610=EDGE_CURVE('',#155765,#155763,#66876,.T.); #190611=EDGE_CURVE('',#155764,#155765,#77185,.T.); #190612=EDGE_CURVE('',#155766,#155764,#77186,.T.); #190613=EDGE_CURVE('',#155767,#155765,#77187,.T.); #190614=EDGE_CURVE('',#155766,#155767,#77188,.T.); #190615=EDGE_CURVE('',#155768,#155766,#77189,.T.); #190616=EDGE_CURVE('',#155769,#155767,#77190,.T.); #190617=EDGE_CURVE('',#155768,#155769,#77191,.T.); #190618=EDGE_CURVE('',#155770,#155768,#77192,.T.); #190619=EDGE_CURVE('',#155771,#155769,#77193,.T.); #190620=EDGE_CURVE('',#155770,#155771,#77194,.T.); #190621=EDGE_CURVE('',#155772,#155770,#77195,.T.); #190622=EDGE_CURVE('',#155773,#155771,#77196,.T.); #190623=EDGE_CURVE('',#155772,#155773,#77197,.T.); #190624=EDGE_CURVE('',#155774,#155772,#77198,.T.); #190625=EDGE_CURVE('',#155775,#155773,#77199,.T.); #190626=EDGE_CURVE('',#155774,#155775,#77200,.T.); #190627=EDGE_CURVE('',#155776,#155774,#77201,.T.); #190628=EDGE_CURVE('',#155777,#155775,#77202,.T.); #190629=EDGE_CURVE('',#155776,#155777,#77203,.T.); #190630=EDGE_CURVE('',#155778,#155776,#77204,.T.); #190631=EDGE_CURVE('',#155779,#155777,#77205,.T.); #190632=EDGE_CURVE('',#155778,#155779,#77206,.T.); #190633=EDGE_CURVE('',#155780,#155778,#77207,.T.); #190634=EDGE_CURVE('',#155781,#155779,#77208,.T.); #190635=EDGE_CURVE('',#155780,#155781,#77209,.T.); #190636=EDGE_CURVE('',#155755,#155780,#77210,.T.); #190637=EDGE_CURVE('',#155756,#155781,#77211,.T.); #190638=EDGE_CURVE('',#155782,#155782,#66877,.T.); #190639=EDGE_CURVE('',#155782,#155783,#77212,.T.); #190640=EDGE_CURVE('',#155783,#155783,#66878,.T.); #190641=EDGE_CURVE('',#155784,#155784,#66879,.T.); #190642=EDGE_CURVE('',#155784,#155785,#77213,.T.); #190643=EDGE_CURVE('',#155785,#155785,#66880,.T.); #190644=EDGE_CURVE('',#155786,#155786,#66881,.T.); #190645=EDGE_CURVE('',#155786,#155787,#77214,.T.); #190646=EDGE_CURVE('',#155787,#155787,#66882,.T.); #190647=EDGE_CURVE('',#155788,#155788,#66883,.T.); #190648=EDGE_CURVE('',#155788,#155789,#77215,.T.); #190649=EDGE_CURVE('',#155789,#155789,#66884,.T.); #190650=EDGE_CURVE('',#155790,#155790,#66885,.T.); #190651=EDGE_CURVE('',#155790,#155791,#77216,.T.); #190652=EDGE_CURVE('',#155791,#155791,#66886,.T.); #190653=EDGE_CURVE('',#155792,#155792,#66887,.T.); #190654=EDGE_CURVE('',#155792,#155793,#77217,.T.); #190655=EDGE_CURVE('',#155793,#155793,#66888,.T.); #190656=EDGE_CURVE('',#155794,#155794,#66889,.T.); #190657=EDGE_CURVE('',#155794,#155795,#77218,.T.); #190658=EDGE_CURVE('',#155795,#155795,#66890,.T.); #190659=EDGE_CURVE('',#155796,#155796,#66891,.T.); #190660=EDGE_CURVE('',#155796,#155797,#77219,.T.); #190661=EDGE_CURVE('',#155797,#155797,#66892,.T.); #190662=EDGE_CURVE('',#155798,#155798,#66893,.T.); #190663=EDGE_CURVE('',#155798,#155799,#77220,.T.); #190664=EDGE_CURVE('',#155799,#155799,#66894,.T.); #190665=EDGE_CURVE('',#155800,#155800,#66895,.T.); #190666=EDGE_CURVE('',#155800,#155801,#77221,.T.); #190667=EDGE_CURVE('',#155801,#155801,#66896,.T.); #190668=EDGE_CURVE('',#155802,#155802,#66897,.T.); #190669=EDGE_CURVE('',#155802,#155803,#77222,.T.); #190670=EDGE_CURVE('',#155803,#155803,#66898,.T.); #190671=EDGE_CURVE('',#155804,#155805,#77223,.T.); #190672=EDGE_CURVE('',#155805,#155806,#77224,.T.); #190673=EDGE_CURVE('',#155807,#155806,#77225,.T.); #190674=EDGE_CURVE('',#155804,#155807,#77226,.T.); #190675=EDGE_CURVE('',#155808,#155804,#77227,.T.); #190676=EDGE_CURVE('',#155809,#155807,#77228,.T.); #190677=EDGE_CURVE('',#155808,#155809,#77229,.T.); #190678=EDGE_CURVE('',#155810,#155808,#77230,.T.); #190679=EDGE_CURVE('',#155811,#155809,#77231,.T.); #190680=EDGE_CURVE('',#155810,#155811,#77232,.T.); #190681=EDGE_CURVE('',#155812,#155810,#77233,.T.); #190682=EDGE_CURVE('',#155813,#155811,#77234,.T.); #190683=EDGE_CURVE('',#155812,#155813,#77235,.T.); #190684=EDGE_CURVE('',#155814,#155812,#66899,.T.); #190685=EDGE_CURVE('',#155815,#155813,#66900,.T.); #190686=EDGE_CURVE('',#155814,#155815,#77236,.T.); #190687=EDGE_CURVE('',#155816,#155814,#77237,.T.); #190688=EDGE_CURVE('',#155817,#155815,#77238,.T.); #190689=EDGE_CURVE('',#155816,#155817,#77239,.T.); #190690=EDGE_CURVE('',#155818,#155816,#66901,.T.); #190691=EDGE_CURVE('',#155819,#155817,#66902,.T.); #190692=EDGE_CURVE('',#155818,#155819,#77240,.T.); #190693=EDGE_CURVE('',#155820,#155818,#77241,.T.); #190694=EDGE_CURVE('',#155821,#155819,#77242,.T.); #190695=EDGE_CURVE('',#155820,#155821,#77243,.T.); #190696=EDGE_CURVE('',#155822,#155820,#77244,.T.); #190697=EDGE_CURVE('',#155823,#155821,#77245,.T.); #190698=EDGE_CURVE('',#155822,#155823,#77246,.T.); #190699=EDGE_CURVE('',#155824,#155822,#77247,.T.); #190700=EDGE_CURVE('',#155825,#155823,#77248,.T.); #190701=EDGE_CURVE('',#155824,#155825,#77249,.T.); #190702=EDGE_CURVE('',#155826,#155824,#77250,.T.); #190703=EDGE_CURVE('',#155827,#155825,#77251,.T.); #190704=EDGE_CURVE('',#155826,#155827,#77252,.T.); #190705=EDGE_CURVE('',#155828,#155826,#77253,.T.); #190706=EDGE_CURVE('',#155829,#155827,#77254,.T.); #190707=EDGE_CURVE('',#155828,#155829,#77255,.T.); #190708=EDGE_CURVE('',#155830,#155828,#77256,.T.); #190709=EDGE_CURVE('',#155831,#155829,#77257,.T.); #190710=EDGE_CURVE('',#155830,#155831,#77258,.T.); #190711=EDGE_CURVE('',#155832,#155830,#77259,.T.); #190712=EDGE_CURVE('',#155833,#155831,#77260,.T.); #190713=EDGE_CURVE('',#155832,#155833,#77261,.T.); #190714=EDGE_CURVE('',#155834,#155832,#77262,.T.); #190715=EDGE_CURVE('',#155835,#155833,#77263,.T.); #190716=EDGE_CURVE('',#155834,#155835,#77264,.T.); #190717=EDGE_CURVE('',#155836,#155834,#77265,.T.); #190718=EDGE_CURVE('',#155837,#155835,#77266,.T.); #190719=EDGE_CURVE('',#155836,#155837,#77267,.T.); #190720=EDGE_CURVE('',#155838,#155836,#77268,.T.); #190721=EDGE_CURVE('',#155839,#155837,#77269,.T.); #190722=EDGE_CURVE('',#155838,#155839,#77270,.T.); #190723=EDGE_CURVE('',#155840,#155838,#77271,.T.); #190724=EDGE_CURVE('',#155841,#155839,#77272,.T.); #190725=EDGE_CURVE('',#155840,#155841,#77273,.T.); #190726=EDGE_CURVE('',#155842,#155840,#77274,.T.); #190727=EDGE_CURVE('',#155843,#155841,#77275,.T.); #190728=EDGE_CURVE('',#155842,#155843,#77276,.T.); #190729=EDGE_CURVE('',#155844,#155842,#77277,.T.); #190730=EDGE_CURVE('',#155845,#155843,#77278,.T.); #190731=EDGE_CURVE('',#155844,#155845,#77279,.T.); #190732=EDGE_CURVE('',#155846,#155844,#77280,.T.); #190733=EDGE_CURVE('',#155847,#155845,#77281,.T.); #190734=EDGE_CURVE('',#155846,#155847,#77282,.T.); #190735=EDGE_CURVE('',#155848,#155846,#77283,.T.); #190736=EDGE_CURVE('',#155849,#155847,#77284,.T.); #190737=EDGE_CURVE('',#155848,#155849,#77285,.T.); #190738=EDGE_CURVE('',#155850,#155848,#77286,.T.); #190739=EDGE_CURVE('',#155851,#155849,#77287,.T.); #190740=EDGE_CURVE('',#155850,#155851,#77288,.T.); #190741=EDGE_CURVE('',#155852,#155850,#77289,.T.); #190742=EDGE_CURVE('',#155853,#155851,#77290,.T.); #190743=EDGE_CURVE('',#155852,#155853,#77291,.T.); #190744=EDGE_CURVE('',#155854,#155852,#77292,.T.); #190745=EDGE_CURVE('',#155855,#155853,#77293,.T.); #190746=EDGE_CURVE('',#155854,#155855,#77294,.T.); #190747=EDGE_CURVE('',#155856,#155854,#77295,.T.); #190748=EDGE_CURVE('',#155857,#155855,#77296,.T.); #190749=EDGE_CURVE('',#155856,#155857,#77297,.T.); #190750=EDGE_CURVE('',#155858,#155856,#77298,.T.); #190751=EDGE_CURVE('',#155859,#155857,#77299,.T.); #190752=EDGE_CURVE('',#155858,#155859,#77300,.T.); #190753=EDGE_CURVE('',#155860,#155858,#77301,.T.); #190754=EDGE_CURVE('',#155861,#155859,#77302,.T.); #190755=EDGE_CURVE('',#155860,#155861,#77303,.T.); #190756=EDGE_CURVE('',#155862,#155860,#77304,.T.); #190757=EDGE_CURVE('',#155863,#155861,#77305,.T.); #190758=EDGE_CURVE('',#155862,#155863,#77306,.T.); #190759=EDGE_CURVE('',#155864,#155862,#77307,.T.); #190760=EDGE_CURVE('',#155865,#155863,#77308,.T.); #190761=EDGE_CURVE('',#155864,#155865,#77309,.T.); #190762=EDGE_CURVE('',#155866,#155864,#77310,.T.); #190763=EDGE_CURVE('',#155867,#155865,#77311,.T.); #190764=EDGE_CURVE('',#155866,#155867,#77312,.T.); #190765=EDGE_CURVE('',#155868,#155866,#77313,.T.); #190766=EDGE_CURVE('',#155869,#155867,#77314,.T.); #190767=EDGE_CURVE('',#155868,#155869,#77315,.T.); #190768=EDGE_CURVE('',#155870,#155868,#77316,.T.); #190769=EDGE_CURVE('',#155871,#155869,#77317,.T.); #190770=EDGE_CURVE('',#155870,#155871,#77318,.T.); #190771=EDGE_CURVE('',#155872,#155870,#77319,.T.); #190772=EDGE_CURVE('',#155873,#155871,#77320,.T.); #190773=EDGE_CURVE('',#155872,#155873,#77321,.T.); #190774=EDGE_CURVE('',#155874,#155872,#77322,.T.); #190775=EDGE_CURVE('',#155875,#155873,#77323,.T.); #190776=EDGE_CURVE('',#155874,#155875,#77324,.T.); #190777=EDGE_CURVE('',#155876,#155874,#77325,.T.); #190778=EDGE_CURVE('',#155877,#155875,#77326,.T.); #190779=EDGE_CURVE('',#155876,#155877,#77327,.T.); #190780=EDGE_CURVE('',#155878,#155876,#77328,.T.); #190781=EDGE_CURVE('',#155879,#155877,#77329,.T.); #190782=EDGE_CURVE('',#155878,#155879,#77330,.T.); #190783=EDGE_CURVE('',#155880,#155878,#77331,.T.); #190784=EDGE_CURVE('',#155881,#155879,#77332,.T.); #190785=EDGE_CURVE('',#155880,#155881,#77333,.T.); #190786=EDGE_CURVE('',#155882,#155880,#77334,.T.); #190787=EDGE_CURVE('',#155883,#155881,#77335,.T.); #190788=EDGE_CURVE('',#155882,#155883,#77336,.T.); #190789=EDGE_CURVE('',#155884,#155882,#77337,.T.); #190790=EDGE_CURVE('',#155885,#155883,#77338,.T.); #190791=EDGE_CURVE('',#155884,#155885,#77339,.T.); #190792=EDGE_CURVE('',#155886,#155884,#77340,.T.); #190793=EDGE_CURVE('',#155887,#155885,#77341,.T.); #190794=EDGE_CURVE('',#155886,#155887,#77342,.T.); #190795=EDGE_CURVE('',#155888,#155886,#77343,.T.); #190796=EDGE_CURVE('',#155889,#155887,#77344,.T.); #190797=EDGE_CURVE('',#155888,#155889,#77345,.T.); #190798=EDGE_CURVE('',#155890,#155888,#77346,.T.); #190799=EDGE_CURVE('',#155891,#155889,#77347,.T.); #190800=EDGE_CURVE('',#155890,#155891,#77348,.T.); #190801=EDGE_CURVE('',#155892,#155890,#77349,.T.); #190802=EDGE_CURVE('',#155893,#155891,#77350,.T.); #190803=EDGE_CURVE('',#155892,#155893,#77351,.T.); #190804=EDGE_CURVE('',#155894,#155892,#77352,.T.); #190805=EDGE_CURVE('',#155895,#155893,#77353,.T.); #190806=EDGE_CURVE('',#155894,#155895,#77354,.T.); #190807=EDGE_CURVE('',#155896,#155894,#77355,.T.); #190808=EDGE_CURVE('',#155897,#155895,#77356,.T.); #190809=EDGE_CURVE('',#155896,#155897,#77357,.T.); #190810=EDGE_CURVE('',#155898,#155896,#77358,.T.); #190811=EDGE_CURVE('',#155899,#155897,#77359,.T.); #190812=EDGE_CURVE('',#155898,#155899,#77360,.T.); #190813=EDGE_CURVE('',#155900,#155898,#77361,.T.); #190814=EDGE_CURVE('',#155901,#155899,#77362,.T.); #190815=EDGE_CURVE('',#155900,#155901,#77363,.T.); #190816=EDGE_CURVE('',#155902,#155900,#77364,.T.); #190817=EDGE_CURVE('',#155903,#155901,#77365,.T.); #190818=EDGE_CURVE('',#155902,#155903,#77366,.T.); #190819=EDGE_CURVE('',#155904,#155902,#77367,.T.); #190820=EDGE_CURVE('',#155905,#155903,#77368,.T.); #190821=EDGE_CURVE('',#155904,#155905,#77369,.T.); #190822=EDGE_CURVE('',#155906,#155904,#77370,.T.); #190823=EDGE_CURVE('',#155907,#155905,#77371,.T.); #190824=EDGE_CURVE('',#155906,#155907,#77372,.T.); #190825=EDGE_CURVE('',#155908,#155906,#77373,.T.); #190826=EDGE_CURVE('',#155909,#155907,#77374,.T.); #190827=EDGE_CURVE('',#155908,#155909,#77375,.T.); #190828=EDGE_CURVE('',#155910,#155908,#77376,.T.); #190829=EDGE_CURVE('',#155911,#155909,#77377,.T.); #190830=EDGE_CURVE('',#155910,#155911,#77378,.T.); #190831=EDGE_CURVE('',#155912,#155910,#77379,.T.); #190832=EDGE_CURVE('',#155913,#155911,#77380,.T.); #190833=EDGE_CURVE('',#155912,#155913,#77381,.T.); #190834=EDGE_CURVE('',#155914,#155912,#77382,.T.); #190835=EDGE_CURVE('',#155915,#155913,#77383,.T.); #190836=EDGE_CURVE('',#155914,#155915,#77384,.T.); #190837=EDGE_CURVE('',#155916,#155914,#77385,.T.); #190838=EDGE_CURVE('',#155917,#155915,#77386,.T.); #190839=EDGE_CURVE('',#155916,#155917,#77387,.T.); #190840=EDGE_CURVE('',#155918,#155916,#77388,.T.); #190841=EDGE_CURVE('',#155919,#155917,#77389,.T.); #190842=EDGE_CURVE('',#155918,#155919,#77390,.T.); #190843=EDGE_CURVE('',#155920,#155918,#77391,.T.); #190844=EDGE_CURVE('',#155921,#155919,#77392,.T.); #190845=EDGE_CURVE('',#155920,#155921,#77393,.T.); #190846=EDGE_CURVE('',#155922,#155920,#77394,.T.); #190847=EDGE_CURVE('',#155923,#155921,#77395,.T.); #190848=EDGE_CURVE('',#155922,#155923,#77396,.T.); #190849=EDGE_CURVE('',#155924,#155922,#77397,.T.); #190850=EDGE_CURVE('',#155925,#155923,#77398,.T.); #190851=EDGE_CURVE('',#155924,#155925,#77399,.T.); #190852=EDGE_CURVE('',#155926,#155924,#77400,.T.); #190853=EDGE_CURVE('',#155927,#155925,#77401,.T.); #190854=EDGE_CURVE('',#155926,#155927,#77402,.T.); #190855=EDGE_CURVE('',#155928,#155926,#77403,.T.); #190856=EDGE_CURVE('',#155929,#155927,#77404,.T.); #190857=EDGE_CURVE('',#155928,#155929,#77405,.T.); #190858=EDGE_CURVE('',#155930,#155928,#77406,.T.); #190859=EDGE_CURVE('',#155931,#155929,#77407,.T.); #190860=EDGE_CURVE('',#155930,#155931,#77408,.T.); #190861=EDGE_CURVE('',#155932,#155930,#77409,.T.); #190862=EDGE_CURVE('',#155933,#155931,#77410,.T.); #190863=EDGE_CURVE('',#155932,#155933,#77411,.T.); #190864=EDGE_CURVE('',#155934,#155932,#77412,.T.); #190865=EDGE_CURVE('',#155935,#155933,#77413,.T.); #190866=EDGE_CURVE('',#155934,#155935,#77414,.T.); #190867=EDGE_CURVE('',#155936,#155934,#77415,.T.); #190868=EDGE_CURVE('',#155937,#155935,#77416,.T.); #190869=EDGE_CURVE('',#155936,#155937,#77417,.T.); #190870=EDGE_CURVE('',#155938,#155936,#77418,.T.); #190871=EDGE_CURVE('',#155939,#155937,#77419,.T.); #190872=EDGE_CURVE('',#155938,#155939,#77420,.T.); #190873=EDGE_CURVE('',#155940,#155938,#77421,.T.); #190874=EDGE_CURVE('',#155941,#155939,#77422,.T.); #190875=EDGE_CURVE('',#155940,#155941,#77423,.T.); #190876=EDGE_CURVE('',#155942,#155940,#77424,.T.); #190877=EDGE_CURVE('',#155943,#155941,#77425,.T.); #190878=EDGE_CURVE('',#155942,#155943,#77426,.T.); #190879=EDGE_CURVE('',#155944,#155942,#77427,.T.); #190880=EDGE_CURVE('',#155945,#155943,#77428,.T.); #190881=EDGE_CURVE('',#155944,#155945,#77429,.T.); #190882=EDGE_CURVE('',#155946,#155944,#77430,.T.); #190883=EDGE_CURVE('',#155947,#155945,#77431,.T.); #190884=EDGE_CURVE('',#155946,#155947,#77432,.T.); #190885=EDGE_CURVE('',#155948,#155946,#77433,.T.); #190886=EDGE_CURVE('',#155949,#155947,#77434,.T.); #190887=EDGE_CURVE('',#155948,#155949,#77435,.T.); #190888=EDGE_CURVE('',#155950,#155948,#77436,.T.); #190889=EDGE_CURVE('',#155951,#155949,#77437,.T.); #190890=EDGE_CURVE('',#155950,#155951,#77438,.T.); #190891=EDGE_CURVE('',#155952,#155950,#77439,.T.); #190892=EDGE_CURVE('',#155953,#155951,#77440,.T.); #190893=EDGE_CURVE('',#155952,#155953,#77441,.T.); #190894=EDGE_CURVE('',#155954,#155952,#77442,.T.); #190895=EDGE_CURVE('',#155955,#155953,#77443,.T.); #190896=EDGE_CURVE('',#155954,#155955,#77444,.T.); #190897=EDGE_CURVE('',#155956,#155954,#77445,.T.); #190898=EDGE_CURVE('',#155957,#155955,#77446,.T.); #190899=EDGE_CURVE('',#155956,#155957,#77447,.T.); #190900=EDGE_CURVE('',#155958,#155956,#77448,.T.); #190901=EDGE_CURVE('',#155959,#155957,#77449,.T.); #190902=EDGE_CURVE('',#155958,#155959,#77450,.T.); #190903=EDGE_CURVE('',#155960,#155958,#77451,.T.); #190904=EDGE_CURVE('',#155961,#155959,#77452,.T.); #190905=EDGE_CURVE('',#155960,#155961,#77453,.T.); #190906=EDGE_CURVE('',#155962,#155960,#77454,.T.); #190907=EDGE_CURVE('',#155963,#155961,#77455,.T.); #190908=EDGE_CURVE('',#155962,#155963,#77456,.T.); #190909=EDGE_CURVE('',#155964,#155962,#77457,.T.); #190910=EDGE_CURVE('',#155965,#155963,#77458,.T.); #190911=EDGE_CURVE('',#155964,#155965,#77459,.T.); #190912=EDGE_CURVE('',#155966,#155964,#77460,.T.); #190913=EDGE_CURVE('',#155967,#155965,#77461,.T.); #190914=EDGE_CURVE('',#155966,#155967,#77462,.T.); #190915=EDGE_CURVE('',#155968,#155966,#77463,.T.); #190916=EDGE_CURVE('',#155969,#155967,#77464,.T.); #190917=EDGE_CURVE('',#155968,#155969,#77465,.T.); #190918=EDGE_CURVE('',#155970,#155968,#77466,.T.); #190919=EDGE_CURVE('',#155971,#155969,#77467,.T.); #190920=EDGE_CURVE('',#155970,#155971,#77468,.T.); #190921=EDGE_CURVE('',#155972,#155970,#77469,.T.); #190922=EDGE_CURVE('',#155973,#155971,#77470,.T.); #190923=EDGE_CURVE('',#155972,#155973,#77471,.T.); #190924=EDGE_CURVE('',#155974,#155972,#77472,.T.); #190925=EDGE_CURVE('',#155975,#155973,#77473,.T.); #190926=EDGE_CURVE('',#155974,#155975,#77474,.T.); #190927=EDGE_CURVE('',#155976,#155974,#77475,.T.); #190928=EDGE_CURVE('',#155977,#155975,#77476,.T.); #190929=EDGE_CURVE('',#155976,#155977,#77477,.T.); #190930=EDGE_CURVE('',#155978,#155976,#77478,.T.); #190931=EDGE_CURVE('',#155979,#155977,#77479,.T.); #190932=EDGE_CURVE('',#155978,#155979,#77480,.T.); #190933=EDGE_CURVE('',#155980,#155978,#77481,.T.); #190934=EDGE_CURVE('',#155981,#155979,#77482,.T.); #190935=EDGE_CURVE('',#155980,#155981,#77483,.T.); #190936=EDGE_CURVE('',#155982,#155980,#77484,.T.); #190937=EDGE_CURVE('',#155983,#155981,#77485,.T.); #190938=EDGE_CURVE('',#155982,#155983,#77486,.T.); #190939=EDGE_CURVE('',#155984,#155982,#77487,.T.); #190940=EDGE_CURVE('',#155985,#155983,#77488,.T.); #190941=EDGE_CURVE('',#155984,#155985,#77489,.T.); #190942=EDGE_CURVE('',#155986,#155984,#77490,.T.); #190943=EDGE_CURVE('',#155987,#155985,#77491,.T.); #190944=EDGE_CURVE('',#155986,#155987,#77492,.T.); #190945=EDGE_CURVE('',#155988,#155986,#77493,.T.); #190946=EDGE_CURVE('',#155989,#155987,#77494,.T.); #190947=EDGE_CURVE('',#155988,#155989,#77495,.T.); #190948=EDGE_CURVE('',#155990,#155988,#77496,.T.); #190949=EDGE_CURVE('',#155991,#155989,#77497,.T.); #190950=EDGE_CURVE('',#155990,#155991,#77498,.T.); #190951=EDGE_CURVE('',#155992,#155990,#77499,.T.); #190952=EDGE_CURVE('',#155993,#155991,#77500,.T.); #190953=EDGE_CURVE('',#155992,#155993,#77501,.T.); #190954=EDGE_CURVE('',#155994,#155992,#77502,.T.); #190955=EDGE_CURVE('',#155995,#155993,#77503,.T.); #190956=EDGE_CURVE('',#155994,#155995,#77504,.T.); #190957=EDGE_CURVE('',#155996,#155994,#77505,.T.); #190958=EDGE_CURVE('',#155997,#155995,#77506,.T.); #190959=EDGE_CURVE('',#155996,#155997,#77507,.T.); #190960=EDGE_CURVE('',#155998,#155996,#77508,.T.); #190961=EDGE_CURVE('',#155999,#155997,#77509,.T.); #190962=EDGE_CURVE('',#155998,#155999,#77510,.T.); #190963=EDGE_CURVE('',#156000,#155998,#77511,.T.); #190964=EDGE_CURVE('',#156001,#155999,#77512,.T.); #190965=EDGE_CURVE('',#156000,#156001,#77513,.T.); #190966=EDGE_CURVE('',#156002,#156000,#77514,.T.); #190967=EDGE_CURVE('',#156003,#156001,#77515,.T.); #190968=EDGE_CURVE('',#156002,#156003,#77516,.T.); #190969=EDGE_CURVE('',#156004,#156002,#77517,.T.); #190970=EDGE_CURVE('',#156005,#156003,#77518,.T.); #190971=EDGE_CURVE('',#156004,#156005,#77519,.T.); #190972=EDGE_CURVE('',#156006,#156004,#77520,.T.); #190973=EDGE_CURVE('',#156007,#156005,#77521,.T.); #190974=EDGE_CURVE('',#156006,#156007,#77522,.T.); #190975=EDGE_CURVE('',#156008,#156006,#77523,.T.); #190976=EDGE_CURVE('',#156009,#156007,#77524,.T.); #190977=EDGE_CURVE('',#156008,#156009,#77525,.T.); #190978=EDGE_CURVE('',#156010,#156008,#77526,.T.); #190979=EDGE_CURVE('',#156011,#156009,#77527,.T.); #190980=EDGE_CURVE('',#156010,#156011,#77528,.T.); #190981=EDGE_CURVE('',#156012,#156010,#77529,.T.); #190982=EDGE_CURVE('',#156013,#156011,#77530,.T.); #190983=EDGE_CURVE('',#156012,#156013,#77531,.T.); #190984=EDGE_CURVE('',#156014,#156012,#77532,.T.); #190985=EDGE_CURVE('',#156015,#156013,#77533,.T.); #190986=EDGE_CURVE('',#156014,#156015,#77534,.T.); #190987=EDGE_CURVE('',#156016,#156014,#77535,.T.); #190988=EDGE_CURVE('',#156017,#156015,#77536,.T.); #190989=EDGE_CURVE('',#156016,#156017,#77537,.T.); #190990=EDGE_CURVE('',#156018,#156016,#77538,.T.); #190991=EDGE_CURVE('',#156019,#156017,#77539,.T.); #190992=EDGE_CURVE('',#156018,#156019,#77540,.T.); #190993=EDGE_CURVE('',#156020,#156018,#77541,.T.); #190994=EDGE_CURVE('',#156021,#156019,#77542,.T.); #190995=EDGE_CURVE('',#156020,#156021,#77543,.T.); #190996=EDGE_CURVE('',#156022,#156020,#77544,.T.); #190997=EDGE_CURVE('',#156023,#156021,#77545,.T.); #190998=EDGE_CURVE('',#156022,#156023,#77546,.T.); #190999=EDGE_CURVE('',#156024,#156022,#77547,.T.); #191000=EDGE_CURVE('',#156025,#156023,#77548,.T.); #191001=EDGE_CURVE('',#156024,#156025,#77549,.T.); #191002=EDGE_CURVE('',#156026,#156024,#77550,.T.); #191003=EDGE_CURVE('',#156027,#156025,#77551,.T.); #191004=EDGE_CURVE('',#156026,#156027,#77552,.T.); #191005=EDGE_CURVE('',#156028,#156026,#77553,.T.); #191006=EDGE_CURVE('',#156029,#156027,#77554,.T.); #191007=EDGE_CURVE('',#156028,#156029,#77555,.T.); #191008=EDGE_CURVE('',#156030,#156028,#77556,.T.); #191009=EDGE_CURVE('',#156031,#156029,#77557,.T.); #191010=EDGE_CURVE('',#156030,#156031,#77558,.T.); #191011=EDGE_CURVE('',#156032,#156030,#77559,.T.); #191012=EDGE_CURVE('',#156033,#156031,#77560,.T.); #191013=EDGE_CURVE('',#156032,#156033,#77561,.T.); #191014=EDGE_CURVE('',#156034,#156032,#77562,.T.); #191015=EDGE_CURVE('',#156035,#156033,#77563,.T.); #191016=EDGE_CURVE('',#156034,#156035,#77564,.T.); #191017=EDGE_CURVE('',#156036,#156034,#77565,.T.); #191018=EDGE_CURVE('',#156037,#156035,#77566,.T.); #191019=EDGE_CURVE('',#156036,#156037,#77567,.T.); #191020=EDGE_CURVE('',#156038,#156036,#77568,.T.); #191021=EDGE_CURVE('',#156039,#156037,#77569,.T.); #191022=EDGE_CURVE('',#156038,#156039,#77570,.T.); #191023=EDGE_CURVE('',#156040,#156038,#77571,.T.); #191024=EDGE_CURVE('',#156041,#156039,#77572,.T.); #191025=EDGE_CURVE('',#156040,#156041,#77573,.T.); #191026=EDGE_CURVE('',#156042,#156040,#77574,.T.); #191027=EDGE_CURVE('',#156043,#156041,#77575,.T.); #191028=EDGE_CURVE('',#156042,#156043,#77576,.T.); #191029=EDGE_CURVE('',#156044,#156042,#77577,.T.); #191030=EDGE_CURVE('',#156045,#156043,#77578,.T.); #191031=EDGE_CURVE('',#156044,#156045,#77579,.T.); #191032=EDGE_CURVE('',#156046,#156044,#77580,.T.); #191033=EDGE_CURVE('',#156047,#156045,#77581,.T.); #191034=EDGE_CURVE('',#156046,#156047,#77582,.T.); #191035=EDGE_CURVE('',#156048,#156046,#77583,.T.); #191036=EDGE_CURVE('',#156049,#156047,#77584,.T.); #191037=EDGE_CURVE('',#156048,#156049,#77585,.T.); #191038=EDGE_CURVE('',#156050,#156048,#77586,.T.); #191039=EDGE_CURVE('',#156051,#156049,#77587,.T.); #191040=EDGE_CURVE('',#156050,#156051,#77588,.T.); #191041=EDGE_CURVE('',#156052,#156050,#77589,.T.); #191042=EDGE_CURVE('',#156053,#156051,#77590,.T.); #191043=EDGE_CURVE('',#156052,#156053,#77591,.T.); #191044=EDGE_CURVE('',#156054,#156052,#77592,.T.); #191045=EDGE_CURVE('',#156055,#156053,#77593,.T.); #191046=EDGE_CURVE('',#156054,#156055,#77594,.T.); #191047=EDGE_CURVE('',#156056,#156054,#66903,.T.); #191048=EDGE_CURVE('',#156057,#156055,#66904,.T.); #191049=EDGE_CURVE('',#156056,#156057,#77595,.T.); #191050=EDGE_CURVE('',#156058,#156056,#77596,.T.); #191051=EDGE_CURVE('',#156059,#156057,#77597,.T.); #191052=EDGE_CURVE('',#156058,#156059,#77598,.T.); #191053=EDGE_CURVE('',#156060,#156058,#66905,.T.); #191054=EDGE_CURVE('',#156061,#156059,#66906,.T.); #191055=EDGE_CURVE('',#156060,#156061,#77599,.T.); #191056=EDGE_CURVE('',#156062,#156060,#77600,.T.); #191057=EDGE_CURVE('',#156063,#156061,#77601,.T.); #191058=EDGE_CURVE('',#156062,#156063,#77602,.T.); #191059=EDGE_CURVE('',#156064,#156062,#77603,.T.); #191060=EDGE_CURVE('',#156065,#156063,#77604,.T.); #191061=EDGE_CURVE('',#156064,#156065,#77605,.T.); #191062=EDGE_CURVE('',#156066,#156064,#77606,.T.); #191063=EDGE_CURVE('',#156067,#156065,#77607,.T.); #191064=EDGE_CURVE('',#156066,#156067,#77608,.T.); #191065=EDGE_CURVE('',#156068,#156066,#77609,.T.); #191066=EDGE_CURVE('',#156069,#156067,#77610,.T.); #191067=EDGE_CURVE('',#156068,#156069,#77611,.T.); #191068=EDGE_CURVE('',#155805,#156068,#77612,.T.); #191069=EDGE_CURVE('',#155806,#156069,#77613,.T.); #191070=EDGE_CURVE('',#156070,#156070,#66907,.T.); #191071=EDGE_CURVE('',#156070,#156071,#77614,.T.); #191072=EDGE_CURVE('',#156071,#156071,#66908,.T.); #191073=EDGE_CURVE('',#156072,#156073,#77615,.T.); #191074=EDGE_CURVE('',#156073,#156074,#77616,.T.); #191075=EDGE_CURVE('',#156075,#156074,#77617,.T.); #191076=EDGE_CURVE('',#156072,#156075,#77618,.T.); #191077=EDGE_CURVE('',#156076,#156072,#66909,.T.); #191078=EDGE_CURVE('',#156077,#156075,#66910,.T.); #191079=EDGE_CURVE('',#156076,#156077,#77619,.T.); #191080=EDGE_CURVE('',#156073,#156076,#66911,.T.); #191081=EDGE_CURVE('',#156074,#156077,#66912,.T.); #191082=EDGE_CURVE('',#156078,#156079,#77620,.T.); #191083=EDGE_CURVE('',#156079,#156080,#77621,.T.); #191084=EDGE_CURVE('',#156081,#156080,#77622,.T.); #191085=EDGE_CURVE('',#156078,#156081,#77623,.T.); #191086=EDGE_CURVE('',#156082,#156078,#77624,.T.); #191087=EDGE_CURVE('',#156083,#156081,#77625,.T.); #191088=EDGE_CURVE('',#156082,#156083,#77626,.T.); #191089=EDGE_CURVE('',#156084,#156082,#77627,.T.); #191090=EDGE_CURVE('',#156085,#156083,#77628,.T.); #191091=EDGE_CURVE('',#156084,#156085,#77629,.T.); #191092=EDGE_CURVE('',#156086,#156084,#77630,.T.); #191093=EDGE_CURVE('',#156087,#156085,#77631,.T.); #191094=EDGE_CURVE('',#156086,#156087,#77632,.T.); #191095=EDGE_CURVE('',#156088,#156086,#77633,.T.); #191096=EDGE_CURVE('',#156089,#156087,#77634,.T.); #191097=EDGE_CURVE('',#156088,#156089,#77635,.T.); #191098=EDGE_CURVE('',#156090,#156088,#77636,.T.); #191099=EDGE_CURVE('',#156091,#156089,#77637,.T.); #191100=EDGE_CURVE('',#156090,#156091,#77638,.T.); #191101=EDGE_CURVE('',#156092,#156090,#77639,.T.); #191102=EDGE_CURVE('',#156093,#156091,#77640,.T.); #191103=EDGE_CURVE('',#156092,#156093,#77641,.T.); #191104=EDGE_CURVE('',#156094,#156092,#77642,.T.); #191105=EDGE_CURVE('',#156095,#156093,#77643,.T.); #191106=EDGE_CURVE('',#156094,#156095,#77644,.T.); #191107=EDGE_CURVE('',#156096,#156094,#77645,.T.); #191108=EDGE_CURVE('',#156097,#156095,#77646,.T.); #191109=EDGE_CURVE('',#156096,#156097,#77647,.T.); #191110=EDGE_CURVE('',#156098,#156096,#77648,.T.); #191111=EDGE_CURVE('',#156099,#156097,#77649,.T.); #191112=EDGE_CURVE('',#156098,#156099,#77650,.T.); #191113=EDGE_CURVE('',#156100,#156098,#77651,.T.); #191114=EDGE_CURVE('',#156101,#156099,#77652,.T.); #191115=EDGE_CURVE('',#156100,#156101,#77653,.T.); #191116=EDGE_CURVE('',#156102,#156100,#77654,.T.); #191117=EDGE_CURVE('',#156103,#156101,#77655,.T.); #191118=EDGE_CURVE('',#156102,#156103,#77656,.T.); #191119=EDGE_CURVE('',#156104,#156102,#77657,.T.); #191120=EDGE_CURVE('',#156105,#156103,#77658,.T.); #191121=EDGE_CURVE('',#156104,#156105,#77659,.T.); #191122=EDGE_CURVE('',#156106,#156104,#77660,.T.); #191123=EDGE_CURVE('',#156107,#156105,#77661,.T.); #191124=EDGE_CURVE('',#156106,#156107,#77662,.T.); #191125=EDGE_CURVE('',#156108,#156106,#77663,.T.); #191126=EDGE_CURVE('',#156109,#156107,#77664,.T.); #191127=EDGE_CURVE('',#156108,#156109,#77665,.T.); #191128=EDGE_CURVE('',#156110,#156108,#77666,.T.); #191129=EDGE_CURVE('',#156111,#156109,#77667,.T.); #191130=EDGE_CURVE('',#156110,#156111,#77668,.T.); #191131=EDGE_CURVE('',#156112,#156110,#77669,.T.); #191132=EDGE_CURVE('',#156113,#156111,#77670,.T.); #191133=EDGE_CURVE('',#156112,#156113,#77671,.T.); #191134=EDGE_CURVE('',#156114,#156112,#77672,.T.); #191135=EDGE_CURVE('',#156115,#156113,#77673,.T.); #191136=EDGE_CURVE('',#156114,#156115,#77674,.T.); #191137=EDGE_CURVE('',#156116,#156114,#77675,.T.); #191138=EDGE_CURVE('',#156117,#156115,#77676,.T.); #191139=EDGE_CURVE('',#156116,#156117,#77677,.T.); #191140=EDGE_CURVE('',#156079,#156116,#77678,.T.); #191141=EDGE_CURVE('',#156080,#156117,#77679,.T.); #191142=EDGE_CURVE('',#156118,#156119,#77680,.T.); #191143=EDGE_CURVE('',#156119,#156120,#77681,.T.); #191144=EDGE_CURVE('',#156121,#156120,#77682,.T.); #191145=EDGE_CURVE('',#156118,#156121,#77683,.T.); #191146=EDGE_CURVE('',#156122,#156118,#77684,.T.); #191147=EDGE_CURVE('',#156123,#156121,#77685,.T.); #191148=EDGE_CURVE('',#156122,#156123,#77686,.T.); #191149=EDGE_CURVE('',#156124,#156122,#77687,.T.); #191150=EDGE_CURVE('',#156125,#156123,#77688,.T.); #191151=EDGE_CURVE('',#156124,#156125,#77689,.T.); #191152=EDGE_CURVE('',#156126,#156124,#77690,.T.); #191153=EDGE_CURVE('',#156127,#156125,#77691,.T.); #191154=EDGE_CURVE('',#156126,#156127,#77692,.T.); #191155=EDGE_CURVE('',#156128,#156126,#77693,.T.); #191156=EDGE_CURVE('',#156129,#156127,#77694,.T.); #191157=EDGE_CURVE('',#156128,#156129,#77695,.T.); #191158=EDGE_CURVE('',#156130,#156128,#77696,.T.); #191159=EDGE_CURVE('',#156131,#156129,#77697,.T.); #191160=EDGE_CURVE('',#156130,#156131,#77698,.T.); #191161=EDGE_CURVE('',#156132,#156130,#77699,.T.); #191162=EDGE_CURVE('',#156133,#156131,#77700,.T.); #191163=EDGE_CURVE('',#156132,#156133,#77701,.T.); #191164=EDGE_CURVE('',#156134,#156132,#77702,.T.); #191165=EDGE_CURVE('',#156135,#156133,#77703,.T.); #191166=EDGE_CURVE('',#156134,#156135,#77704,.T.); #191167=EDGE_CURVE('',#156136,#156134,#77705,.T.); #191168=EDGE_CURVE('',#156137,#156135,#77706,.T.); #191169=EDGE_CURVE('',#156136,#156137,#77707,.T.); #191170=EDGE_CURVE('',#156138,#156136,#77708,.T.); #191171=EDGE_CURVE('',#156139,#156137,#77709,.T.); #191172=EDGE_CURVE('',#156138,#156139,#77710,.T.); #191173=EDGE_CURVE('',#156140,#156138,#77711,.T.); #191174=EDGE_CURVE('',#156141,#156139,#77712,.T.); #191175=EDGE_CURVE('',#156140,#156141,#77713,.T.); #191176=EDGE_CURVE('',#156142,#156140,#77714,.T.); #191177=EDGE_CURVE('',#156143,#156141,#77715,.T.); #191178=EDGE_CURVE('',#156142,#156143,#77716,.T.); #191179=EDGE_CURVE('',#156144,#156142,#77717,.T.); #191180=EDGE_CURVE('',#156145,#156143,#77718,.T.); #191181=EDGE_CURVE('',#156144,#156145,#77719,.T.); #191182=EDGE_CURVE('',#156146,#156144,#77720,.T.); #191183=EDGE_CURVE('',#156147,#156145,#77721,.T.); #191184=EDGE_CURVE('',#156146,#156147,#77722,.T.); #191185=EDGE_CURVE('',#156148,#156146,#77723,.T.); #191186=EDGE_CURVE('',#156149,#156147,#77724,.T.); #191187=EDGE_CURVE('',#156148,#156149,#77725,.T.); #191188=EDGE_CURVE('',#156150,#156148,#77726,.T.); #191189=EDGE_CURVE('',#156151,#156149,#77727,.T.); #191190=EDGE_CURVE('',#156150,#156151,#77728,.T.); #191191=EDGE_CURVE('',#156152,#156150,#77729,.T.); #191192=EDGE_CURVE('',#156153,#156151,#77730,.T.); #191193=EDGE_CURVE('',#156152,#156153,#77731,.T.); #191194=EDGE_CURVE('',#156154,#156152,#77732,.T.); #191195=EDGE_CURVE('',#156155,#156153,#77733,.T.); #191196=EDGE_CURVE('',#156154,#156155,#77734,.T.); #191197=EDGE_CURVE('',#156156,#156154,#77735,.T.); #191198=EDGE_CURVE('',#156157,#156155,#77736,.T.); #191199=EDGE_CURVE('',#156156,#156157,#77737,.T.); #191200=EDGE_CURVE('',#156158,#156156,#77738,.T.); #191201=EDGE_CURVE('',#156159,#156157,#77739,.T.); #191202=EDGE_CURVE('',#156158,#156159,#77740,.T.); #191203=EDGE_CURVE('',#156119,#156158,#77741,.T.); #191204=EDGE_CURVE('',#156120,#156159,#77742,.T.); #191205=EDGE_CURVE('',#156160,#156161,#77743,.T.); #191206=EDGE_CURVE('',#156161,#156162,#77744,.T.); #191207=EDGE_CURVE('',#156163,#156162,#77745,.T.); #191208=EDGE_CURVE('',#156160,#156163,#77746,.T.); #191209=EDGE_CURVE('',#156164,#156160,#77747,.T.); #191210=EDGE_CURVE('',#156165,#156163,#77748,.T.); #191211=EDGE_CURVE('',#156164,#156165,#77749,.T.); #191212=EDGE_CURVE('',#156166,#156164,#77750,.T.); #191213=EDGE_CURVE('',#156167,#156165,#77751,.T.); #191214=EDGE_CURVE('',#156166,#156167,#77752,.T.); #191215=EDGE_CURVE('',#156168,#156166,#77753,.T.); #191216=EDGE_CURVE('',#156169,#156167,#77754,.T.); #191217=EDGE_CURVE('',#156168,#156169,#77755,.T.); #191218=EDGE_CURVE('',#156170,#156168,#77756,.T.); #191219=EDGE_CURVE('',#156171,#156169,#77757,.T.); #191220=EDGE_CURVE('',#156170,#156171,#77758,.T.); #191221=EDGE_CURVE('',#156172,#156170,#77759,.T.); #191222=EDGE_CURVE('',#156173,#156171,#77760,.T.); #191223=EDGE_CURVE('',#156172,#156173,#77761,.T.); #191224=EDGE_CURVE('',#156174,#156172,#77762,.T.); #191225=EDGE_CURVE('',#156175,#156173,#77763,.T.); #191226=EDGE_CURVE('',#156174,#156175,#77764,.T.); #191227=EDGE_CURVE('',#156176,#156174,#77765,.T.); #191228=EDGE_CURVE('',#156177,#156175,#77766,.T.); #191229=EDGE_CURVE('',#156176,#156177,#77767,.T.); #191230=EDGE_CURVE('',#156178,#156176,#77768,.T.); #191231=EDGE_CURVE('',#156179,#156177,#77769,.T.); #191232=EDGE_CURVE('',#156178,#156179,#77770,.T.); #191233=EDGE_CURVE('',#156180,#156178,#77771,.T.); #191234=EDGE_CURVE('',#156181,#156179,#77772,.T.); #191235=EDGE_CURVE('',#156180,#156181,#77773,.T.); #191236=EDGE_CURVE('',#156182,#156180,#77774,.T.); #191237=EDGE_CURVE('',#156183,#156181,#77775,.T.); #191238=EDGE_CURVE('',#156182,#156183,#77776,.T.); #191239=EDGE_CURVE('',#156184,#156182,#77777,.T.); #191240=EDGE_CURVE('',#156185,#156183,#77778,.T.); #191241=EDGE_CURVE('',#156184,#156185,#77779,.T.); #191242=EDGE_CURVE('',#156186,#156184,#77780,.T.); #191243=EDGE_CURVE('',#156187,#156185,#77781,.T.); #191244=EDGE_CURVE('',#156186,#156187,#77782,.T.); #191245=EDGE_CURVE('',#156188,#156186,#77783,.T.); #191246=EDGE_CURVE('',#156189,#156187,#77784,.T.); #191247=EDGE_CURVE('',#156188,#156189,#77785,.T.); #191248=EDGE_CURVE('',#156190,#156188,#77786,.T.); #191249=EDGE_CURVE('',#156191,#156189,#77787,.T.); #191250=EDGE_CURVE('',#156190,#156191,#77788,.T.); #191251=EDGE_CURVE('',#156192,#156190,#77789,.T.); #191252=EDGE_CURVE('',#156193,#156191,#77790,.T.); #191253=EDGE_CURVE('',#156192,#156193,#77791,.T.); #191254=EDGE_CURVE('',#156194,#156192,#77792,.T.); #191255=EDGE_CURVE('',#156195,#156193,#77793,.T.); #191256=EDGE_CURVE('',#156194,#156195,#77794,.T.); #191257=EDGE_CURVE('',#156196,#156194,#77795,.T.); #191258=EDGE_CURVE('',#156197,#156195,#77796,.T.); #191259=EDGE_CURVE('',#156196,#156197,#77797,.T.); #191260=EDGE_CURVE('',#156198,#156196,#77798,.T.); #191261=EDGE_CURVE('',#156199,#156197,#77799,.T.); #191262=EDGE_CURVE('',#156198,#156199,#77800,.T.); #191263=EDGE_CURVE('',#156161,#156198,#77801,.T.); #191264=EDGE_CURVE('',#156162,#156199,#77802,.T.); #191265=EDGE_CURVE('',#156200,#156201,#77803,.T.); #191266=EDGE_CURVE('',#156201,#156202,#77804,.T.); #191267=EDGE_CURVE('',#156203,#156202,#77805,.T.); #191268=EDGE_CURVE('',#156200,#156203,#77806,.T.); #191269=EDGE_CURVE('',#156204,#156200,#77807,.T.); #191270=EDGE_CURVE('',#156205,#156203,#77808,.T.); #191271=EDGE_CURVE('',#156204,#156205,#77809,.T.); #191272=EDGE_CURVE('',#156206,#156204,#77810,.T.); #191273=EDGE_CURVE('',#156207,#156205,#77811,.T.); #191274=EDGE_CURVE('',#156206,#156207,#77812,.T.); #191275=EDGE_CURVE('',#156208,#156206,#77813,.T.); #191276=EDGE_CURVE('',#156209,#156207,#77814,.T.); #191277=EDGE_CURVE('',#156208,#156209,#77815,.T.); #191278=EDGE_CURVE('',#156210,#156208,#77816,.T.); #191279=EDGE_CURVE('',#156211,#156209,#77817,.T.); #191280=EDGE_CURVE('',#156210,#156211,#77818,.T.); #191281=EDGE_CURVE('',#156212,#156210,#77819,.T.); #191282=EDGE_CURVE('',#156213,#156211,#77820,.T.); #191283=EDGE_CURVE('',#156212,#156213,#77821,.T.); #191284=EDGE_CURVE('',#156214,#156212,#77822,.T.); #191285=EDGE_CURVE('',#156215,#156213,#77823,.T.); #191286=EDGE_CURVE('',#156214,#156215,#77824,.T.); #191287=EDGE_CURVE('',#156216,#156214,#77825,.T.); #191288=EDGE_CURVE('',#156217,#156215,#77826,.T.); #191289=EDGE_CURVE('',#156216,#156217,#77827,.T.); #191290=EDGE_CURVE('',#156218,#156216,#77828,.T.); #191291=EDGE_CURVE('',#156219,#156217,#77829,.T.); #191292=EDGE_CURVE('',#156218,#156219,#77830,.T.); #191293=EDGE_CURVE('',#156220,#156218,#77831,.T.); #191294=EDGE_CURVE('',#156221,#156219,#77832,.T.); #191295=EDGE_CURVE('',#156220,#156221,#77833,.T.); #191296=EDGE_CURVE('',#156222,#156220,#77834,.T.); #191297=EDGE_CURVE('',#156223,#156221,#77835,.T.); #191298=EDGE_CURVE('',#156222,#156223,#77836,.T.); #191299=EDGE_CURVE('',#156224,#156222,#77837,.T.); #191300=EDGE_CURVE('',#156225,#156223,#77838,.T.); #191301=EDGE_CURVE('',#156224,#156225,#77839,.T.); #191302=EDGE_CURVE('',#156226,#156224,#77840,.T.); #191303=EDGE_CURVE('',#156227,#156225,#77841,.T.); #191304=EDGE_CURVE('',#156226,#156227,#77842,.T.); #191305=EDGE_CURVE('',#156228,#156226,#77843,.T.); #191306=EDGE_CURVE('',#156229,#156227,#77844,.T.); #191307=EDGE_CURVE('',#156228,#156229,#77845,.T.); #191308=EDGE_CURVE('',#156230,#156228,#77846,.T.); #191309=EDGE_CURVE('',#156231,#156229,#77847,.T.); #191310=EDGE_CURVE('',#156230,#156231,#77848,.T.); #191311=EDGE_CURVE('',#156232,#156230,#77849,.T.); #191312=EDGE_CURVE('',#156233,#156231,#77850,.T.); #191313=EDGE_CURVE('',#156232,#156233,#77851,.T.); #191314=EDGE_CURVE('',#156234,#156232,#77852,.T.); #191315=EDGE_CURVE('',#156235,#156233,#77853,.T.); #191316=EDGE_CURVE('',#156234,#156235,#77854,.T.); #191317=EDGE_CURVE('',#156236,#156234,#77855,.T.); #191318=EDGE_CURVE('',#156237,#156235,#77856,.T.); #191319=EDGE_CURVE('',#156236,#156237,#77857,.T.); #191320=EDGE_CURVE('',#156238,#156236,#77858,.T.); #191321=EDGE_CURVE('',#156239,#156237,#77859,.T.); #191322=EDGE_CURVE('',#156238,#156239,#77860,.T.); #191323=EDGE_CURVE('',#156240,#156238,#77861,.T.); #191324=EDGE_CURVE('',#156241,#156239,#77862,.T.); #191325=EDGE_CURVE('',#156240,#156241,#77863,.T.); #191326=EDGE_CURVE('',#156201,#156240,#77864,.T.); #191327=EDGE_CURVE('',#156202,#156241,#77865,.T.); #191328=EDGE_CURVE('',#156242,#156242,#66913,.T.); #191329=EDGE_CURVE('',#156242,#156243,#77866,.T.); #191330=EDGE_CURVE('',#156243,#156243,#66914,.T.); #191331=EDGE_CURVE('',#156244,#156244,#66915,.T.); #191332=EDGE_CURVE('',#156244,#156245,#77867,.T.); #191333=EDGE_CURVE('',#156245,#156245,#66916,.T.); #191334=EDGE_CURVE('',#156246,#156246,#66917,.T.); #191335=EDGE_CURVE('',#156246,#156247,#77868,.T.); #191336=EDGE_CURVE('',#156247,#156247,#66918,.T.); #191337=EDGE_CURVE('',#156248,#156249,#77869,.T.); #191338=EDGE_CURVE('',#156249,#156250,#77870,.T.); #191339=EDGE_CURVE('',#156251,#156250,#77871,.T.); #191340=EDGE_CURVE('',#156248,#156251,#77872,.T.); #191341=EDGE_CURVE('',#156252,#156248,#77873,.T.); #191342=EDGE_CURVE('',#156253,#156251,#77874,.T.); #191343=EDGE_CURVE('',#156252,#156253,#77875,.T.); #191344=EDGE_CURVE('',#156254,#156252,#77876,.T.); #191345=EDGE_CURVE('',#156255,#156253,#77877,.T.); #191346=EDGE_CURVE('',#156254,#156255,#77878,.T.); #191347=EDGE_CURVE('',#156256,#156254,#77879,.T.); #191348=EDGE_CURVE('',#156257,#156255,#77880,.T.); #191349=EDGE_CURVE('',#156256,#156257,#77881,.T.); #191350=EDGE_CURVE('',#156258,#156256,#77882,.T.); #191351=EDGE_CURVE('',#156259,#156257,#77883,.T.); #191352=EDGE_CURVE('',#156258,#156259,#77884,.T.); #191353=EDGE_CURVE('',#156260,#156258,#77885,.T.); #191354=EDGE_CURVE('',#156261,#156259,#77886,.T.); #191355=EDGE_CURVE('',#156260,#156261,#77887,.T.); #191356=EDGE_CURVE('',#156262,#156260,#77888,.T.); #191357=EDGE_CURVE('',#156263,#156261,#77889,.T.); #191358=EDGE_CURVE('',#156262,#156263,#77890,.T.); #191359=EDGE_CURVE('',#156264,#156262,#77891,.T.); #191360=EDGE_CURVE('',#156265,#156263,#77892,.T.); #191361=EDGE_CURVE('',#156264,#156265,#77893,.T.); #191362=EDGE_CURVE('',#156266,#156264,#77894,.T.); #191363=EDGE_CURVE('',#156267,#156265,#77895,.T.); #191364=EDGE_CURVE('',#156266,#156267,#77896,.T.); #191365=EDGE_CURVE('',#156268,#156266,#77897,.T.); #191366=EDGE_CURVE('',#156269,#156267,#77898,.T.); #191367=EDGE_CURVE('',#156268,#156269,#77899,.T.); #191368=EDGE_CURVE('',#156270,#156268,#77900,.T.); #191369=EDGE_CURVE('',#156271,#156269,#77901,.T.); #191370=EDGE_CURVE('',#156270,#156271,#77902,.T.); #191371=EDGE_CURVE('',#156272,#156270,#77903,.T.); #191372=EDGE_CURVE('',#156273,#156271,#77904,.T.); #191373=EDGE_CURVE('',#156272,#156273,#77905,.T.); #191374=EDGE_CURVE('',#156274,#156272,#77906,.T.); #191375=EDGE_CURVE('',#156275,#156273,#77907,.T.); #191376=EDGE_CURVE('',#156274,#156275,#77908,.T.); #191377=EDGE_CURVE('',#156276,#156274,#77909,.T.); #191378=EDGE_CURVE('',#156277,#156275,#77910,.T.); #191379=EDGE_CURVE('',#156276,#156277,#77911,.T.); #191380=EDGE_CURVE('',#156278,#156276,#77912,.T.); #191381=EDGE_CURVE('',#156279,#156277,#77913,.T.); #191382=EDGE_CURVE('',#156278,#156279,#77914,.T.); #191383=EDGE_CURVE('',#156280,#156278,#77915,.T.); #191384=EDGE_CURVE('',#156281,#156279,#77916,.T.); #191385=EDGE_CURVE('',#156280,#156281,#77917,.T.); #191386=EDGE_CURVE('',#156282,#156280,#77918,.T.); #191387=EDGE_CURVE('',#156283,#156281,#77919,.T.); #191388=EDGE_CURVE('',#156282,#156283,#77920,.T.); #191389=EDGE_CURVE('',#156284,#156282,#77921,.T.); #191390=EDGE_CURVE('',#156285,#156283,#77922,.T.); #191391=EDGE_CURVE('',#156284,#156285,#77923,.T.); #191392=EDGE_CURVE('',#156286,#156284,#77924,.T.); #191393=EDGE_CURVE('',#156287,#156285,#77925,.T.); #191394=EDGE_CURVE('',#156286,#156287,#77926,.T.); #191395=EDGE_CURVE('',#156288,#156286,#77927,.T.); #191396=EDGE_CURVE('',#156289,#156287,#77928,.T.); #191397=EDGE_CURVE('',#156288,#156289,#77929,.T.); #191398=EDGE_CURVE('',#156290,#156288,#77930,.T.); #191399=EDGE_CURVE('',#156291,#156289,#77931,.T.); #191400=EDGE_CURVE('',#156290,#156291,#77932,.T.); #191401=EDGE_CURVE('',#156292,#156290,#77933,.T.); #191402=EDGE_CURVE('',#156293,#156291,#77934,.T.); #191403=EDGE_CURVE('',#156292,#156293,#77935,.T.); #191404=EDGE_CURVE('',#156294,#156292,#77936,.T.); #191405=EDGE_CURVE('',#156295,#156293,#77937,.T.); #191406=EDGE_CURVE('',#156294,#156295,#77938,.T.); #191407=EDGE_CURVE('',#156296,#156294,#77939,.T.); #191408=EDGE_CURVE('',#156297,#156295,#77940,.T.); #191409=EDGE_CURVE('',#156296,#156297,#77941,.T.); #191410=EDGE_CURVE('',#156298,#156296,#77942,.T.); #191411=EDGE_CURVE('',#156299,#156297,#77943,.T.); #191412=EDGE_CURVE('',#156298,#156299,#77944,.T.); #191413=EDGE_CURVE('',#156300,#156298,#77945,.T.); #191414=EDGE_CURVE('',#156301,#156299,#77946,.T.); #191415=EDGE_CURVE('',#156300,#156301,#77947,.T.); #191416=EDGE_CURVE('',#156302,#156300,#77948,.T.); #191417=EDGE_CURVE('',#156303,#156301,#77949,.T.); #191418=EDGE_CURVE('',#156302,#156303,#77950,.T.); #191419=EDGE_CURVE('',#156304,#156302,#77951,.T.); #191420=EDGE_CURVE('',#156305,#156303,#77952,.T.); #191421=EDGE_CURVE('',#156304,#156305,#77953,.T.); #191422=EDGE_CURVE('',#156306,#156304,#77954,.T.); #191423=EDGE_CURVE('',#156307,#156305,#77955,.T.); #191424=EDGE_CURVE('',#156306,#156307,#77956,.T.); #191425=EDGE_CURVE('',#156308,#156306,#77957,.T.); #191426=EDGE_CURVE('',#156309,#156307,#77958,.T.); #191427=EDGE_CURVE('',#156308,#156309,#77959,.T.); #191428=EDGE_CURVE('',#156310,#156308,#77960,.T.); #191429=EDGE_CURVE('',#156311,#156309,#77961,.T.); #191430=EDGE_CURVE('',#156310,#156311,#77962,.T.); #191431=EDGE_CURVE('',#156312,#156310,#77963,.T.); #191432=EDGE_CURVE('',#156313,#156311,#77964,.T.); #191433=EDGE_CURVE('',#156312,#156313,#77965,.T.); #191434=EDGE_CURVE('',#156314,#156312,#77966,.T.); #191435=EDGE_CURVE('',#156315,#156313,#77967,.T.); #191436=EDGE_CURVE('',#156314,#156315,#77968,.T.); #191437=EDGE_CURVE('',#156316,#156314,#77969,.T.); #191438=EDGE_CURVE('',#156317,#156315,#77970,.T.); #191439=EDGE_CURVE('',#156316,#156317,#77971,.T.); #191440=EDGE_CURVE('',#156318,#156316,#77972,.T.); #191441=EDGE_CURVE('',#156319,#156317,#77973,.T.); #191442=EDGE_CURVE('',#156318,#156319,#77974,.T.); #191443=EDGE_CURVE('',#156320,#156318,#77975,.T.); #191444=EDGE_CURVE('',#156321,#156319,#77976,.T.); #191445=EDGE_CURVE('',#156320,#156321,#77977,.T.); #191446=EDGE_CURVE('',#156322,#156320,#77978,.T.); #191447=EDGE_CURVE('',#156323,#156321,#77979,.T.); #191448=EDGE_CURVE('',#156322,#156323,#77980,.T.); #191449=EDGE_CURVE('',#156324,#156322,#77981,.T.); #191450=EDGE_CURVE('',#156325,#156323,#77982,.T.); #191451=EDGE_CURVE('',#156324,#156325,#77983,.T.); #191452=EDGE_CURVE('',#156326,#156324,#77984,.T.); #191453=EDGE_CURVE('',#156327,#156325,#77985,.T.); #191454=EDGE_CURVE('',#156326,#156327,#77986,.T.); #191455=EDGE_CURVE('',#156328,#156326,#77987,.T.); #191456=EDGE_CURVE('',#156329,#156327,#77988,.T.); #191457=EDGE_CURVE('',#156328,#156329,#77989,.T.); #191458=EDGE_CURVE('',#156330,#156328,#77990,.T.); #191459=EDGE_CURVE('',#156331,#156329,#77991,.T.); #191460=EDGE_CURVE('',#156330,#156331,#77992,.T.); #191461=EDGE_CURVE('',#156332,#156330,#77993,.T.); #191462=EDGE_CURVE('',#156333,#156331,#77994,.T.); #191463=EDGE_CURVE('',#156332,#156333,#77995,.T.); #191464=EDGE_CURVE('',#156334,#156332,#77996,.T.); #191465=EDGE_CURVE('',#156335,#156333,#77997,.T.); #191466=EDGE_CURVE('',#156334,#156335,#77998,.T.); #191467=EDGE_CURVE('',#156336,#156334,#77999,.T.); #191468=EDGE_CURVE('',#156337,#156335,#78000,.T.); #191469=EDGE_CURVE('',#156336,#156337,#78001,.T.); #191470=EDGE_CURVE('',#156338,#156336,#78002,.T.); #191471=EDGE_CURVE('',#156339,#156337,#78003,.T.); #191472=EDGE_CURVE('',#156338,#156339,#78004,.T.); #191473=EDGE_CURVE('',#156340,#156338,#78005,.T.); #191474=EDGE_CURVE('',#156341,#156339,#78006,.T.); #191475=EDGE_CURVE('',#156340,#156341,#78007,.T.); #191476=EDGE_CURVE('',#156342,#156340,#78008,.T.); #191477=EDGE_CURVE('',#156343,#156341,#78009,.T.); #191478=EDGE_CURVE('',#156342,#156343,#78010,.T.); #191479=EDGE_CURVE('',#156344,#156342,#78011,.T.); #191480=EDGE_CURVE('',#156345,#156343,#78012,.T.); #191481=EDGE_CURVE('',#156344,#156345,#78013,.T.); #191482=EDGE_CURVE('',#156346,#156344,#78014,.T.); #191483=EDGE_CURVE('',#156347,#156345,#78015,.T.); #191484=EDGE_CURVE('',#156346,#156347,#78016,.T.); #191485=EDGE_CURVE('',#156348,#156346,#78017,.T.); #191486=EDGE_CURVE('',#156349,#156347,#78018,.T.); #191487=EDGE_CURVE('',#156348,#156349,#78019,.T.); #191488=EDGE_CURVE('',#156350,#156348,#78020,.T.); #191489=EDGE_CURVE('',#156351,#156349,#78021,.T.); #191490=EDGE_CURVE('',#156350,#156351,#78022,.T.); #191491=EDGE_CURVE('',#156352,#156350,#78023,.T.); #191492=EDGE_CURVE('',#156353,#156351,#78024,.T.); #191493=EDGE_CURVE('',#156352,#156353,#78025,.T.); #191494=EDGE_CURVE('',#156354,#156352,#78026,.T.); #191495=EDGE_CURVE('',#156355,#156353,#78027,.T.); #191496=EDGE_CURVE('',#156354,#156355,#78028,.T.); #191497=EDGE_CURVE('',#156356,#156354,#78029,.T.); #191498=EDGE_CURVE('',#156357,#156355,#78030,.T.); #191499=EDGE_CURVE('',#156356,#156357,#78031,.T.); #191500=EDGE_CURVE('',#156358,#156356,#78032,.T.); #191501=EDGE_CURVE('',#156359,#156357,#78033,.T.); #191502=EDGE_CURVE('',#156358,#156359,#78034,.T.); #191503=EDGE_CURVE('',#156360,#156358,#78035,.T.); #191504=EDGE_CURVE('',#156361,#156359,#78036,.T.); #191505=EDGE_CURVE('',#156360,#156361,#78037,.T.); #191506=EDGE_CURVE('',#156362,#156360,#78038,.T.); #191507=EDGE_CURVE('',#156363,#156361,#78039,.T.); #191508=EDGE_CURVE('',#156362,#156363,#78040,.T.); #191509=EDGE_CURVE('',#156364,#156362,#78041,.T.); #191510=EDGE_CURVE('',#156365,#156363,#78042,.T.); #191511=EDGE_CURVE('',#156364,#156365,#78043,.T.); #191512=EDGE_CURVE('',#156366,#156364,#78044,.T.); #191513=EDGE_CURVE('',#156367,#156365,#78045,.T.); #191514=EDGE_CURVE('',#156366,#156367,#78046,.T.); #191515=EDGE_CURVE('',#156368,#156366,#78047,.T.); #191516=EDGE_CURVE('',#156369,#156367,#78048,.T.); #191517=EDGE_CURVE('',#156368,#156369,#78049,.T.); #191518=EDGE_CURVE('',#156370,#156368,#78050,.T.); #191519=EDGE_CURVE('',#156371,#156369,#78051,.T.); #191520=EDGE_CURVE('',#156370,#156371,#78052,.T.); #191521=EDGE_CURVE('',#156372,#156370,#78053,.T.); #191522=EDGE_CURVE('',#156373,#156371,#78054,.T.); #191523=EDGE_CURVE('',#156372,#156373,#78055,.T.); #191524=EDGE_CURVE('',#156374,#156372,#78056,.T.); #191525=EDGE_CURVE('',#156375,#156373,#78057,.T.); #191526=EDGE_CURVE('',#156374,#156375,#78058,.T.); #191527=EDGE_CURVE('',#156376,#156374,#78059,.T.); #191528=EDGE_CURVE('',#156377,#156375,#78060,.T.); #191529=EDGE_CURVE('',#156376,#156377,#78061,.T.); #191530=EDGE_CURVE('',#156378,#156376,#78062,.T.); #191531=EDGE_CURVE('',#156379,#156377,#78063,.T.); #191532=EDGE_CURVE('',#156378,#156379,#78064,.T.); #191533=EDGE_CURVE('',#156380,#156378,#78065,.T.); #191534=EDGE_CURVE('',#156381,#156379,#78066,.T.); #191535=EDGE_CURVE('',#156380,#156381,#78067,.T.); #191536=EDGE_CURVE('',#156382,#156380,#78068,.T.); #191537=EDGE_CURVE('',#156383,#156381,#78069,.T.); #191538=EDGE_CURVE('',#156382,#156383,#78070,.T.); #191539=EDGE_CURVE('',#156384,#156382,#78071,.T.); #191540=EDGE_CURVE('',#156385,#156383,#78072,.T.); #191541=EDGE_CURVE('',#156384,#156385,#78073,.T.); #191542=EDGE_CURVE('',#156386,#156384,#78074,.T.); #191543=EDGE_CURVE('',#156387,#156385,#78075,.T.); #191544=EDGE_CURVE('',#156386,#156387,#78076,.T.); #191545=EDGE_CURVE('',#156388,#156386,#78077,.T.); #191546=EDGE_CURVE('',#156389,#156387,#78078,.T.); #191547=EDGE_CURVE('',#156388,#156389,#78079,.T.); #191548=EDGE_CURVE('',#156390,#156388,#78080,.T.); #191549=EDGE_CURVE('',#156391,#156389,#78081,.T.); #191550=EDGE_CURVE('',#156390,#156391,#78082,.T.); #191551=EDGE_CURVE('',#156392,#156390,#78083,.T.); #191552=EDGE_CURVE('',#156393,#156391,#78084,.T.); #191553=EDGE_CURVE('',#156392,#156393,#78085,.T.); #191554=EDGE_CURVE('',#156394,#156392,#78086,.T.); #191555=EDGE_CURVE('',#156395,#156393,#78087,.T.); #191556=EDGE_CURVE('',#156394,#156395,#78088,.T.); #191557=EDGE_CURVE('',#156396,#156394,#78089,.T.); #191558=EDGE_CURVE('',#156397,#156395,#78090,.T.); #191559=EDGE_CURVE('',#156396,#156397,#78091,.T.); #191560=EDGE_CURVE('',#156398,#156396,#78092,.T.); #191561=EDGE_CURVE('',#156399,#156397,#78093,.T.); #191562=EDGE_CURVE('',#156398,#156399,#78094,.T.); #191563=EDGE_CURVE('',#156400,#156398,#78095,.T.); #191564=EDGE_CURVE('',#156401,#156399,#78096,.T.); #191565=EDGE_CURVE('',#156400,#156401,#78097,.T.); #191566=EDGE_CURVE('',#156402,#156400,#78098,.T.); #191567=EDGE_CURVE('',#156403,#156401,#78099,.T.); #191568=EDGE_CURVE('',#156402,#156403,#78100,.T.); #191569=EDGE_CURVE('',#156404,#156402,#78101,.T.); #191570=EDGE_CURVE('',#156405,#156403,#78102,.T.); #191571=EDGE_CURVE('',#156404,#156405,#78103,.T.); #191572=EDGE_CURVE('',#156406,#156404,#78104,.T.); #191573=EDGE_CURVE('',#156407,#156405,#78105,.T.); #191574=EDGE_CURVE('',#156406,#156407,#78106,.T.); #191575=EDGE_CURVE('',#156408,#156406,#78107,.T.); #191576=EDGE_CURVE('',#156409,#156407,#78108,.T.); #191577=EDGE_CURVE('',#156408,#156409,#78109,.T.); #191578=EDGE_CURVE('',#156410,#156408,#78110,.T.); #191579=EDGE_CURVE('',#156411,#156409,#78111,.T.); #191580=EDGE_CURVE('',#156410,#156411,#78112,.T.); #191581=EDGE_CURVE('',#156412,#156410,#78113,.T.); #191582=EDGE_CURVE('',#156413,#156411,#78114,.T.); #191583=EDGE_CURVE('',#156412,#156413,#78115,.T.); #191584=EDGE_CURVE('',#156414,#156412,#78116,.T.); #191585=EDGE_CURVE('',#156415,#156413,#78117,.T.); #191586=EDGE_CURVE('',#156414,#156415,#78118,.T.); #191587=EDGE_CURVE('',#156416,#156414,#78119,.T.); #191588=EDGE_CURVE('',#156417,#156415,#78120,.T.); #191589=EDGE_CURVE('',#156416,#156417,#78121,.T.); #191590=EDGE_CURVE('',#156418,#156416,#78122,.T.); #191591=EDGE_CURVE('',#156419,#156417,#78123,.T.); #191592=EDGE_CURVE('',#156418,#156419,#78124,.T.); #191593=EDGE_CURVE('',#156420,#156418,#78125,.T.); #191594=EDGE_CURVE('',#156421,#156419,#78126,.T.); #191595=EDGE_CURVE('',#156420,#156421,#78127,.T.); #191596=EDGE_CURVE('',#156422,#156420,#78128,.T.); #191597=EDGE_CURVE('',#156423,#156421,#78129,.T.); #191598=EDGE_CURVE('',#156422,#156423,#78130,.T.); #191599=EDGE_CURVE('',#156424,#156422,#78131,.T.); #191600=EDGE_CURVE('',#156425,#156423,#78132,.T.); #191601=EDGE_CURVE('',#156424,#156425,#78133,.T.); #191602=EDGE_CURVE('',#156426,#156424,#78134,.T.); #191603=EDGE_CURVE('',#156427,#156425,#78135,.T.); #191604=EDGE_CURVE('',#156426,#156427,#78136,.T.); #191605=EDGE_CURVE('',#156249,#156426,#78137,.T.); #191606=EDGE_CURVE('',#156250,#156427,#78138,.T.); #191607=EDGE_CURVE('',#156428,#156429,#78139,.T.); #191608=EDGE_CURVE('',#156429,#156430,#78140,.T.); #191609=EDGE_CURVE('',#156431,#156430,#78141,.T.); #191610=EDGE_CURVE('',#156428,#156431,#78142,.T.); #191611=EDGE_CURVE('',#156432,#156428,#78143,.T.); #191612=EDGE_CURVE('',#156433,#156431,#78144,.T.); #191613=EDGE_CURVE('',#156432,#156433,#78145,.T.); #191614=EDGE_CURVE('',#156434,#156432,#78146,.T.); #191615=EDGE_CURVE('',#156435,#156433,#78147,.T.); #191616=EDGE_CURVE('',#156434,#156435,#78148,.T.); #191617=EDGE_CURVE('',#156436,#156434,#78149,.T.); #191618=EDGE_CURVE('',#156437,#156435,#78150,.T.); #191619=EDGE_CURVE('',#156436,#156437,#78151,.T.); #191620=EDGE_CURVE('',#156438,#156436,#78152,.T.); #191621=EDGE_CURVE('',#156439,#156437,#78153,.T.); #191622=EDGE_CURVE('',#156438,#156439,#78154,.T.); #191623=EDGE_CURVE('',#156440,#156438,#78155,.T.); #191624=EDGE_CURVE('',#156441,#156439,#78156,.T.); #191625=EDGE_CURVE('',#156440,#156441,#78157,.T.); #191626=EDGE_CURVE('',#156442,#156440,#78158,.T.); #191627=EDGE_CURVE('',#156443,#156441,#78159,.T.); #191628=EDGE_CURVE('',#156442,#156443,#78160,.T.); #191629=EDGE_CURVE('',#156444,#156442,#78161,.T.); #191630=EDGE_CURVE('',#156445,#156443,#78162,.T.); #191631=EDGE_CURVE('',#156444,#156445,#78163,.T.); #191632=EDGE_CURVE('',#156446,#156444,#78164,.T.); #191633=EDGE_CURVE('',#156447,#156445,#78165,.T.); #191634=EDGE_CURVE('',#156446,#156447,#78166,.T.); #191635=EDGE_CURVE('',#156448,#156446,#78167,.T.); #191636=EDGE_CURVE('',#156449,#156447,#78168,.T.); #191637=EDGE_CURVE('',#156448,#156449,#78169,.T.); #191638=EDGE_CURVE('',#156450,#156448,#78170,.T.); #191639=EDGE_CURVE('',#156451,#156449,#78171,.T.); #191640=EDGE_CURVE('',#156450,#156451,#78172,.T.); #191641=EDGE_CURVE('',#156452,#156450,#78173,.T.); #191642=EDGE_CURVE('',#156453,#156451,#78174,.T.); #191643=EDGE_CURVE('',#156452,#156453,#78175,.T.); #191644=EDGE_CURVE('',#156454,#156452,#78176,.T.); #191645=EDGE_CURVE('',#156455,#156453,#78177,.T.); #191646=EDGE_CURVE('',#156454,#156455,#78178,.T.); #191647=EDGE_CURVE('',#156456,#156454,#78179,.T.); #191648=EDGE_CURVE('',#156457,#156455,#78180,.T.); #191649=EDGE_CURVE('',#156456,#156457,#78181,.T.); #191650=EDGE_CURVE('',#156458,#156456,#78182,.T.); #191651=EDGE_CURVE('',#156459,#156457,#78183,.T.); #191652=EDGE_CURVE('',#156458,#156459,#78184,.T.); #191653=EDGE_CURVE('',#156460,#156458,#78185,.T.); #191654=EDGE_CURVE('',#156461,#156459,#78186,.T.); #191655=EDGE_CURVE('',#156460,#156461,#78187,.T.); #191656=EDGE_CURVE('',#156462,#156460,#78188,.T.); #191657=EDGE_CURVE('',#156463,#156461,#78189,.T.); #191658=EDGE_CURVE('',#156462,#156463,#78190,.T.); #191659=EDGE_CURVE('',#156464,#156462,#78191,.T.); #191660=EDGE_CURVE('',#156465,#156463,#78192,.T.); #191661=EDGE_CURVE('',#156464,#156465,#78193,.T.); #191662=EDGE_CURVE('',#156466,#156464,#78194,.T.); #191663=EDGE_CURVE('',#156467,#156465,#78195,.T.); #191664=EDGE_CURVE('',#156466,#156467,#78196,.T.); #191665=EDGE_CURVE('',#156468,#156466,#78197,.T.); #191666=EDGE_CURVE('',#156469,#156467,#78198,.T.); #191667=EDGE_CURVE('',#156468,#156469,#78199,.T.); #191668=EDGE_CURVE('',#156470,#156468,#78200,.T.); #191669=EDGE_CURVE('',#156471,#156469,#78201,.T.); #191670=EDGE_CURVE('',#156470,#156471,#78202,.T.); #191671=EDGE_CURVE('',#156472,#156470,#78203,.T.); #191672=EDGE_CURVE('',#156473,#156471,#78204,.T.); #191673=EDGE_CURVE('',#156472,#156473,#78205,.T.); #191674=EDGE_CURVE('',#156474,#156472,#78206,.T.); #191675=EDGE_CURVE('',#156475,#156473,#78207,.T.); #191676=EDGE_CURVE('',#156474,#156475,#78208,.T.); #191677=EDGE_CURVE('',#156476,#156474,#78209,.T.); #191678=EDGE_CURVE('',#156477,#156475,#78210,.T.); #191679=EDGE_CURVE('',#156476,#156477,#78211,.T.); #191680=EDGE_CURVE('',#156478,#156476,#78212,.T.); #191681=EDGE_CURVE('',#156479,#156477,#78213,.T.); #191682=EDGE_CURVE('',#156478,#156479,#78214,.T.); #191683=EDGE_CURVE('',#156480,#156478,#78215,.T.); #191684=EDGE_CURVE('',#156481,#156479,#78216,.T.); #191685=EDGE_CURVE('',#156480,#156481,#78217,.T.); #191686=EDGE_CURVE('',#156482,#156480,#78218,.T.); #191687=EDGE_CURVE('',#156483,#156481,#78219,.T.); #191688=EDGE_CURVE('',#156482,#156483,#78220,.T.); #191689=EDGE_CURVE('',#156484,#156482,#78221,.T.); #191690=EDGE_CURVE('',#156485,#156483,#78222,.T.); #191691=EDGE_CURVE('',#156484,#156485,#78223,.T.); #191692=EDGE_CURVE('',#156486,#156484,#78224,.T.); #191693=EDGE_CURVE('',#156487,#156485,#78225,.T.); #191694=EDGE_CURVE('',#156486,#156487,#78226,.T.); #191695=EDGE_CURVE('',#156488,#156486,#78227,.T.); #191696=EDGE_CURVE('',#156489,#156487,#78228,.T.); #191697=EDGE_CURVE('',#156488,#156489,#78229,.T.); #191698=EDGE_CURVE('',#156490,#156488,#78230,.T.); #191699=EDGE_CURVE('',#156491,#156489,#78231,.T.); #191700=EDGE_CURVE('',#156490,#156491,#78232,.T.); #191701=EDGE_CURVE('',#156492,#156490,#78233,.T.); #191702=EDGE_CURVE('',#156493,#156491,#78234,.T.); #191703=EDGE_CURVE('',#156492,#156493,#78235,.T.); #191704=EDGE_CURVE('',#156494,#156492,#78236,.T.); #191705=EDGE_CURVE('',#156495,#156493,#78237,.T.); #191706=EDGE_CURVE('',#156494,#156495,#78238,.T.); #191707=EDGE_CURVE('',#156429,#156494,#78239,.T.); #191708=EDGE_CURVE('',#156430,#156495,#78240,.T.); #191709=EDGE_CURVE('',#156496,#156497,#78241,.T.); #191710=EDGE_CURVE('',#156497,#156498,#78242,.T.); #191711=EDGE_CURVE('',#156499,#156498,#78243,.T.); #191712=EDGE_CURVE('',#156496,#156499,#78244,.T.); #191713=EDGE_CURVE('',#156500,#156496,#78245,.T.); #191714=EDGE_CURVE('',#156501,#156499,#78246,.T.); #191715=EDGE_CURVE('',#156500,#156501,#78247,.T.); #191716=EDGE_CURVE('',#156502,#156500,#78248,.T.); #191717=EDGE_CURVE('',#156503,#156501,#78249,.T.); #191718=EDGE_CURVE('',#156502,#156503,#78250,.T.); #191719=EDGE_CURVE('',#156504,#156502,#78251,.T.); #191720=EDGE_CURVE('',#156505,#156503,#78252,.T.); #191721=EDGE_CURVE('',#156504,#156505,#78253,.T.); #191722=EDGE_CURVE('',#156506,#156504,#78254,.T.); #191723=EDGE_CURVE('',#156507,#156505,#78255,.T.); #191724=EDGE_CURVE('',#156506,#156507,#78256,.T.); #191725=EDGE_CURVE('',#156508,#156506,#78257,.T.); #191726=EDGE_CURVE('',#156509,#156507,#78258,.T.); #191727=EDGE_CURVE('',#156508,#156509,#78259,.T.); #191728=EDGE_CURVE('',#156510,#156508,#78260,.T.); #191729=EDGE_CURVE('',#156511,#156509,#78261,.T.); #191730=EDGE_CURVE('',#156510,#156511,#78262,.T.); #191731=EDGE_CURVE('',#156512,#156510,#78263,.T.); #191732=EDGE_CURVE('',#156513,#156511,#78264,.T.); #191733=EDGE_CURVE('',#156512,#156513,#78265,.T.); #191734=EDGE_CURVE('',#156514,#156512,#78266,.T.); #191735=EDGE_CURVE('',#156515,#156513,#78267,.T.); #191736=EDGE_CURVE('',#156514,#156515,#78268,.T.); #191737=EDGE_CURVE('',#156516,#156514,#78269,.T.); #191738=EDGE_CURVE('',#156517,#156515,#78270,.T.); #191739=EDGE_CURVE('',#156516,#156517,#78271,.T.); #191740=EDGE_CURVE('',#156518,#156516,#78272,.T.); #191741=EDGE_CURVE('',#156519,#156517,#78273,.T.); #191742=EDGE_CURVE('',#156518,#156519,#78274,.T.); #191743=EDGE_CURVE('',#156520,#156518,#78275,.T.); #191744=EDGE_CURVE('',#156521,#156519,#78276,.T.); #191745=EDGE_CURVE('',#156520,#156521,#78277,.T.); #191746=EDGE_CURVE('',#156522,#156520,#78278,.T.); #191747=EDGE_CURVE('',#156523,#156521,#78279,.T.); #191748=EDGE_CURVE('',#156522,#156523,#78280,.T.); #191749=EDGE_CURVE('',#156524,#156522,#78281,.T.); #191750=EDGE_CURVE('',#156525,#156523,#78282,.T.); #191751=EDGE_CURVE('',#156524,#156525,#78283,.T.); #191752=EDGE_CURVE('',#156526,#156524,#78284,.T.); #191753=EDGE_CURVE('',#156527,#156525,#78285,.T.); #191754=EDGE_CURVE('',#156526,#156527,#78286,.T.); #191755=EDGE_CURVE('',#156528,#156526,#78287,.T.); #191756=EDGE_CURVE('',#156529,#156527,#78288,.T.); #191757=EDGE_CURVE('',#156528,#156529,#78289,.T.); #191758=EDGE_CURVE('',#156530,#156528,#78290,.T.); #191759=EDGE_CURVE('',#156531,#156529,#78291,.T.); #191760=EDGE_CURVE('',#156530,#156531,#78292,.T.); #191761=EDGE_CURVE('',#156532,#156530,#78293,.T.); #191762=EDGE_CURVE('',#156533,#156531,#78294,.T.); #191763=EDGE_CURVE('',#156532,#156533,#78295,.T.); #191764=EDGE_CURVE('',#156534,#156532,#78296,.T.); #191765=EDGE_CURVE('',#156535,#156533,#78297,.T.); #191766=EDGE_CURVE('',#156534,#156535,#78298,.T.); #191767=EDGE_CURVE('',#156497,#156534,#78299,.T.); #191768=EDGE_CURVE('',#156498,#156535,#78300,.T.); #191769=EDGE_CURVE('',#156536,#156537,#78301,.T.); #191770=EDGE_CURVE('',#156537,#156538,#78302,.T.); #191771=EDGE_CURVE('',#156539,#156538,#78303,.T.); #191772=EDGE_CURVE('',#156536,#156539,#78304,.T.); #191773=EDGE_CURVE('',#156540,#156536,#78305,.T.); #191774=EDGE_CURVE('',#156541,#156539,#78306,.T.); #191775=EDGE_CURVE('',#156540,#156541,#78307,.T.); #191776=EDGE_CURVE('',#156542,#156540,#78308,.T.); #191777=EDGE_CURVE('',#156543,#156541,#78309,.T.); #191778=EDGE_CURVE('',#156542,#156543,#78310,.T.); #191779=EDGE_CURVE('',#156544,#156542,#78311,.T.); #191780=EDGE_CURVE('',#156545,#156543,#78312,.T.); #191781=EDGE_CURVE('',#156544,#156545,#78313,.T.); #191782=EDGE_CURVE('',#156546,#156544,#78314,.T.); #191783=EDGE_CURVE('',#156547,#156545,#78315,.T.); #191784=EDGE_CURVE('',#156546,#156547,#78316,.T.); #191785=EDGE_CURVE('',#156548,#156546,#78317,.T.); #191786=EDGE_CURVE('',#156549,#156547,#78318,.T.); #191787=EDGE_CURVE('',#156548,#156549,#78319,.T.); #191788=EDGE_CURVE('',#156550,#156548,#78320,.T.); #191789=EDGE_CURVE('',#156551,#156549,#78321,.T.); #191790=EDGE_CURVE('',#156550,#156551,#78322,.T.); #191791=EDGE_CURVE('',#156552,#156550,#78323,.T.); #191792=EDGE_CURVE('',#156553,#156551,#78324,.T.); #191793=EDGE_CURVE('',#156552,#156553,#78325,.T.); #191794=EDGE_CURVE('',#156554,#156552,#78326,.T.); #191795=EDGE_CURVE('',#156555,#156553,#78327,.T.); #191796=EDGE_CURVE('',#156554,#156555,#78328,.T.); #191797=EDGE_CURVE('',#156556,#156554,#78329,.T.); #191798=EDGE_CURVE('',#156557,#156555,#78330,.T.); #191799=EDGE_CURVE('',#156556,#156557,#78331,.T.); #191800=EDGE_CURVE('',#156558,#156556,#78332,.T.); #191801=EDGE_CURVE('',#156559,#156557,#78333,.T.); #191802=EDGE_CURVE('',#156558,#156559,#78334,.T.); #191803=EDGE_CURVE('',#156560,#156558,#78335,.T.); #191804=EDGE_CURVE('',#156561,#156559,#78336,.T.); #191805=EDGE_CURVE('',#156560,#156561,#78337,.T.); #191806=EDGE_CURVE('',#156562,#156560,#78338,.T.); #191807=EDGE_CURVE('',#156563,#156561,#78339,.T.); #191808=EDGE_CURVE('',#156562,#156563,#78340,.T.); #191809=EDGE_CURVE('',#156564,#156562,#78341,.T.); #191810=EDGE_CURVE('',#156565,#156563,#78342,.T.); #191811=EDGE_CURVE('',#156564,#156565,#78343,.T.); #191812=EDGE_CURVE('',#156566,#156564,#78344,.T.); #191813=EDGE_CURVE('',#156567,#156565,#78345,.T.); #191814=EDGE_CURVE('',#156566,#156567,#78346,.T.); #191815=EDGE_CURVE('',#156568,#156566,#78347,.T.); #191816=EDGE_CURVE('',#156569,#156567,#78348,.T.); #191817=EDGE_CURVE('',#156568,#156569,#78349,.T.); #191818=EDGE_CURVE('',#156570,#156568,#78350,.T.); #191819=EDGE_CURVE('',#156571,#156569,#78351,.T.); #191820=EDGE_CURVE('',#156570,#156571,#78352,.T.); #191821=EDGE_CURVE('',#156572,#156570,#78353,.T.); #191822=EDGE_CURVE('',#156573,#156571,#78354,.T.); #191823=EDGE_CURVE('',#156572,#156573,#78355,.T.); #191824=EDGE_CURVE('',#156574,#156572,#78356,.T.); #191825=EDGE_CURVE('',#156575,#156573,#78357,.T.); #191826=EDGE_CURVE('',#156574,#156575,#78358,.T.); #191827=EDGE_CURVE('',#156576,#156574,#78359,.T.); #191828=EDGE_CURVE('',#156577,#156575,#78360,.T.); #191829=EDGE_CURVE('',#156576,#156577,#78361,.T.); #191830=EDGE_CURVE('',#156537,#156576,#78362,.T.); #191831=EDGE_CURVE('',#156538,#156577,#78363,.T.); #191832=EDGE_CURVE('',#156578,#156578,#66919,.T.); #191833=EDGE_CURVE('',#156578,#156579,#78364,.T.); #191834=EDGE_CURVE('',#156579,#156579,#66920,.T.); #191835=EDGE_CURVE('',#156580,#156581,#78365,.T.); #191836=EDGE_CURVE('',#156582,#156580,#78366,.T.); #191837=EDGE_CURVE('',#156583,#156582,#78367,.T.); #191838=EDGE_CURVE('',#156583,#156581,#78368,.T.); #191839=EDGE_CURVE('',#156581,#156584,#66921,.T.); #191840=EDGE_CURVE('',#156585,#156583,#66922,.T.); #191841=EDGE_CURVE('',#156585,#156584,#78369,.T.); #191842=EDGE_CURVE('',#156584,#156586,#78370,.T.); #191843=EDGE_CURVE('',#156587,#156585,#78371,.T.); #191844=EDGE_CURVE('',#156587,#156586,#78372,.T.); #191845=EDGE_CURVE('',#156586,#156580,#66923,.T.); #191846=EDGE_CURVE('',#156582,#156587,#66924,.T.); #191847=EDGE_CURVE('',#156588,#156588,#66925,.T.); #191848=EDGE_CURVE('',#156588,#156589,#78373,.T.); #191849=EDGE_CURVE('',#156589,#156589,#66926,.T.); #191850=EDGE_CURVE('',#156590,#156590,#66927,.T.); #191851=EDGE_CURVE('',#156590,#156591,#78374,.T.); #191852=EDGE_CURVE('',#156591,#156591,#66928,.T.); #191853=EDGE_CURVE('',#156592,#156592,#66929,.T.); #191854=EDGE_CURVE('',#156592,#156593,#78375,.T.); #191855=EDGE_CURVE('',#156593,#156593,#66930,.T.); #191856=EDGE_CURVE('',#156594,#156594,#66931,.T.); #191857=EDGE_CURVE('',#156594,#156595,#78376,.T.); #191858=EDGE_CURVE('',#156595,#156595,#66932,.T.); #191859=EDGE_CURVE('',#156596,#156596,#66933,.T.); #191860=EDGE_CURVE('',#156596,#156597,#78377,.T.); #191861=EDGE_CURVE('',#156597,#156597,#66934,.T.); #191862=EDGE_CURVE('',#156598,#156598,#66935,.T.); #191863=EDGE_CURVE('',#156598,#156599,#78378,.T.); #191864=EDGE_CURVE('',#156599,#156599,#66936,.T.); #191865=EDGE_CURVE('',#156600,#156600,#66937,.T.); #191866=EDGE_CURVE('',#156600,#156601,#78379,.T.); #191867=EDGE_CURVE('',#156601,#156601,#66938,.T.); #191868=EDGE_CURVE('',#156602,#156602,#66939,.T.); #191869=EDGE_CURVE('',#156602,#156603,#78380,.T.); #191870=EDGE_CURVE('',#156603,#156603,#66940,.T.); #191871=EDGE_CURVE('',#156604,#156604,#66941,.T.); #191872=EDGE_CURVE('',#156604,#156605,#78381,.T.); #191873=EDGE_CURVE('',#156605,#156605,#66942,.T.); #191874=EDGE_CURVE('',#156606,#156607,#78382,.T.); #191875=EDGE_CURVE('',#156607,#156608,#78383,.T.); #191876=EDGE_CURVE('',#156609,#156608,#78384,.T.); #191877=EDGE_CURVE('',#156606,#156609,#78385,.T.); #191878=EDGE_CURVE('',#156610,#156606,#78386,.T.); #191879=EDGE_CURVE('',#156611,#156609,#78387,.T.); #191880=EDGE_CURVE('',#156610,#156611,#78388,.T.); #191881=EDGE_CURVE('',#156612,#156610,#78389,.T.); #191882=EDGE_CURVE('',#156613,#156611,#78390,.T.); #191883=EDGE_CURVE('',#156612,#156613,#78391,.T.); #191884=EDGE_CURVE('',#156614,#156612,#78392,.T.); #191885=EDGE_CURVE('',#156615,#156613,#78393,.T.); #191886=EDGE_CURVE('',#156614,#156615,#78394,.T.); #191887=EDGE_CURVE('',#156616,#156614,#78395,.T.); #191888=EDGE_CURVE('',#156617,#156615,#78396,.T.); #191889=EDGE_CURVE('',#156616,#156617,#78397,.T.); #191890=EDGE_CURVE('',#156618,#156616,#78398,.T.); #191891=EDGE_CURVE('',#156619,#156617,#78399,.T.); #191892=EDGE_CURVE('',#156618,#156619,#78400,.T.); #191893=EDGE_CURVE('',#156620,#156618,#78401,.T.); #191894=EDGE_CURVE('',#156621,#156619,#78402,.T.); #191895=EDGE_CURVE('',#156620,#156621,#78403,.T.); #191896=EDGE_CURVE('',#156622,#156620,#78404,.T.); #191897=EDGE_CURVE('',#156623,#156621,#78405,.T.); #191898=EDGE_CURVE('',#156622,#156623,#78406,.T.); #191899=EDGE_CURVE('',#156624,#156622,#78407,.T.); #191900=EDGE_CURVE('',#156625,#156623,#78408,.T.); #191901=EDGE_CURVE('',#156624,#156625,#78409,.T.); #191902=EDGE_CURVE('',#156626,#156624,#78410,.T.); #191903=EDGE_CURVE('',#156627,#156625,#78411,.T.); #191904=EDGE_CURVE('',#156626,#156627,#78412,.T.); #191905=EDGE_CURVE('',#156628,#156626,#78413,.T.); #191906=EDGE_CURVE('',#156629,#156627,#78414,.T.); #191907=EDGE_CURVE('',#156628,#156629,#78415,.T.); #191908=EDGE_CURVE('',#156630,#156628,#78416,.T.); #191909=EDGE_CURVE('',#156631,#156629,#78417,.T.); #191910=EDGE_CURVE('',#156630,#156631,#78418,.T.); #191911=EDGE_CURVE('',#156632,#156630,#78419,.T.); #191912=EDGE_CURVE('',#156633,#156631,#78420,.T.); #191913=EDGE_CURVE('',#156632,#156633,#78421,.T.); #191914=EDGE_CURVE('',#156634,#156632,#78422,.T.); #191915=EDGE_CURVE('',#156635,#156633,#78423,.T.); #191916=EDGE_CURVE('',#156634,#156635,#78424,.T.); #191917=EDGE_CURVE('',#156636,#156634,#78425,.T.); #191918=EDGE_CURVE('',#156637,#156635,#78426,.T.); #191919=EDGE_CURVE('',#156636,#156637,#78427,.T.); #191920=EDGE_CURVE('',#156607,#156636,#78428,.T.); #191921=EDGE_CURVE('',#156608,#156637,#78429,.T.); #191922=EDGE_CURVE('',#156638,#156639,#78430,.T.); #191923=EDGE_CURVE('',#156639,#156640,#78431,.T.); #191924=EDGE_CURVE('',#156641,#156640,#78432,.T.); #191925=EDGE_CURVE('',#156638,#156641,#78433,.T.); #191926=EDGE_CURVE('',#156642,#156638,#78434,.T.); #191927=EDGE_CURVE('',#156643,#156641,#78435,.T.); #191928=EDGE_CURVE('',#156642,#156643,#78436,.T.); #191929=EDGE_CURVE('',#156644,#156642,#78437,.T.); #191930=EDGE_CURVE('',#156645,#156643,#78438,.T.); #191931=EDGE_CURVE('',#156644,#156645,#78439,.T.); #191932=EDGE_CURVE('',#156646,#156644,#78440,.T.); #191933=EDGE_CURVE('',#156647,#156645,#78441,.T.); #191934=EDGE_CURVE('',#156646,#156647,#78442,.T.); #191935=EDGE_CURVE('',#156648,#156646,#78443,.T.); #191936=EDGE_CURVE('',#156649,#156647,#78444,.T.); #191937=EDGE_CURVE('',#156648,#156649,#78445,.T.); #191938=EDGE_CURVE('',#156650,#156648,#78446,.T.); #191939=EDGE_CURVE('',#156651,#156649,#78447,.T.); #191940=EDGE_CURVE('',#156650,#156651,#78448,.T.); #191941=EDGE_CURVE('',#156652,#156650,#78449,.T.); #191942=EDGE_CURVE('',#156653,#156651,#78450,.T.); #191943=EDGE_CURVE('',#156652,#156653,#78451,.T.); #191944=EDGE_CURVE('',#156654,#156652,#78452,.T.); #191945=EDGE_CURVE('',#156655,#156653,#78453,.T.); #191946=EDGE_CURVE('',#156654,#156655,#78454,.T.); #191947=EDGE_CURVE('',#156656,#156654,#78455,.T.); #191948=EDGE_CURVE('',#156657,#156655,#78456,.T.); #191949=EDGE_CURVE('',#156656,#156657,#78457,.T.); #191950=EDGE_CURVE('',#156658,#156656,#78458,.T.); #191951=EDGE_CURVE('',#156659,#156657,#78459,.T.); #191952=EDGE_CURVE('',#156658,#156659,#78460,.T.); #191953=EDGE_CURVE('',#156660,#156658,#78461,.T.); #191954=EDGE_CURVE('',#156661,#156659,#78462,.T.); #191955=EDGE_CURVE('',#156660,#156661,#78463,.T.); #191956=EDGE_CURVE('',#156662,#156660,#78464,.T.); #191957=EDGE_CURVE('',#156663,#156661,#78465,.T.); #191958=EDGE_CURVE('',#156662,#156663,#78466,.T.); #191959=EDGE_CURVE('',#156664,#156662,#78467,.T.); #191960=EDGE_CURVE('',#156665,#156663,#78468,.T.); #191961=EDGE_CURVE('',#156664,#156665,#78469,.T.); #191962=EDGE_CURVE('',#156666,#156664,#78470,.T.); #191963=EDGE_CURVE('',#156667,#156665,#78471,.T.); #191964=EDGE_CURVE('',#156666,#156667,#78472,.T.); #191965=EDGE_CURVE('',#156668,#156666,#78473,.T.); #191966=EDGE_CURVE('',#156669,#156667,#78474,.T.); #191967=EDGE_CURVE('',#156668,#156669,#78475,.T.); #191968=EDGE_CURVE('',#156670,#156668,#78476,.T.); #191969=EDGE_CURVE('',#156671,#156669,#78477,.T.); #191970=EDGE_CURVE('',#156670,#156671,#78478,.T.); #191971=EDGE_CURVE('',#156672,#156670,#78479,.T.); #191972=EDGE_CURVE('',#156673,#156671,#78480,.T.); #191973=EDGE_CURVE('',#156672,#156673,#78481,.T.); #191974=EDGE_CURVE('',#156674,#156672,#78482,.T.); #191975=EDGE_CURVE('',#156675,#156673,#78483,.T.); #191976=EDGE_CURVE('',#156674,#156675,#78484,.T.); #191977=EDGE_CURVE('',#156676,#156674,#78485,.T.); #191978=EDGE_CURVE('',#156677,#156675,#78486,.T.); #191979=EDGE_CURVE('',#156676,#156677,#78487,.T.); #191980=EDGE_CURVE('',#156678,#156676,#78488,.T.); #191981=EDGE_CURVE('',#156679,#156677,#78489,.T.); #191982=EDGE_CURVE('',#156678,#156679,#78490,.T.); #191983=EDGE_CURVE('',#156680,#156678,#78491,.T.); #191984=EDGE_CURVE('',#156681,#156679,#78492,.T.); #191985=EDGE_CURVE('',#156680,#156681,#78493,.T.); #191986=EDGE_CURVE('',#156682,#156680,#78494,.T.); #191987=EDGE_CURVE('',#156683,#156681,#78495,.T.); #191988=EDGE_CURVE('',#156682,#156683,#78496,.T.); #191989=EDGE_CURVE('',#156684,#156682,#78497,.T.); #191990=EDGE_CURVE('',#156685,#156683,#78498,.T.); #191991=EDGE_CURVE('',#156684,#156685,#78499,.T.); #191992=EDGE_CURVE('',#156686,#156684,#78500,.T.); #191993=EDGE_CURVE('',#156687,#156685,#78501,.T.); #191994=EDGE_CURVE('',#156686,#156687,#78502,.T.); #191995=EDGE_CURVE('',#156688,#156686,#78503,.T.); #191996=EDGE_CURVE('',#156689,#156687,#78504,.T.); #191997=EDGE_CURVE('',#156688,#156689,#78505,.T.); #191998=EDGE_CURVE('',#156690,#156688,#78506,.T.); #191999=EDGE_CURVE('',#156691,#156689,#78507,.T.); #192000=EDGE_CURVE('',#156690,#156691,#78508,.T.); #192001=EDGE_CURVE('',#156692,#156690,#78509,.T.); #192002=EDGE_CURVE('',#156693,#156691,#78510,.T.); #192003=EDGE_CURVE('',#156692,#156693,#78511,.T.); #192004=EDGE_CURVE('',#156694,#156692,#78512,.T.); #192005=EDGE_CURVE('',#156695,#156693,#78513,.T.); #192006=EDGE_CURVE('',#156694,#156695,#78514,.T.); #192007=EDGE_CURVE('',#156696,#156694,#78515,.T.); #192008=EDGE_CURVE('',#156697,#156695,#78516,.T.); #192009=EDGE_CURVE('',#156696,#156697,#78517,.T.); #192010=EDGE_CURVE('',#156698,#156696,#78518,.T.); #192011=EDGE_CURVE('',#156699,#156697,#78519,.T.); #192012=EDGE_CURVE('',#156698,#156699,#78520,.T.); #192013=EDGE_CURVE('',#156700,#156698,#78521,.T.); #192014=EDGE_CURVE('',#156701,#156699,#78522,.T.); #192015=EDGE_CURVE('',#156700,#156701,#78523,.T.); #192016=EDGE_CURVE('',#156702,#156700,#78524,.T.); #192017=EDGE_CURVE('',#156703,#156701,#78525,.T.); #192018=EDGE_CURVE('',#156702,#156703,#78526,.T.); #192019=EDGE_CURVE('',#156704,#156702,#78527,.T.); #192020=EDGE_CURVE('',#156705,#156703,#78528,.T.); #192021=EDGE_CURVE('',#156704,#156705,#78529,.T.); #192022=EDGE_CURVE('',#156706,#156704,#78530,.T.); #192023=EDGE_CURVE('',#156707,#156705,#78531,.T.); #192024=EDGE_CURVE('',#156706,#156707,#78532,.T.); #192025=EDGE_CURVE('',#156708,#156706,#78533,.T.); #192026=EDGE_CURVE('',#156709,#156707,#78534,.T.); #192027=EDGE_CURVE('',#156708,#156709,#78535,.T.); #192028=EDGE_CURVE('',#156710,#156708,#78536,.T.); #192029=EDGE_CURVE('',#156711,#156709,#78537,.T.); #192030=EDGE_CURVE('',#156710,#156711,#78538,.T.); #192031=EDGE_CURVE('',#156712,#156710,#78539,.T.); #192032=EDGE_CURVE('',#156713,#156711,#78540,.T.); #192033=EDGE_CURVE('',#156712,#156713,#78541,.T.); #192034=EDGE_CURVE('',#156714,#156712,#78542,.T.); #192035=EDGE_CURVE('',#156715,#156713,#78543,.T.); #192036=EDGE_CURVE('',#156714,#156715,#78544,.T.); #192037=EDGE_CURVE('',#156716,#156714,#78545,.T.); #192038=EDGE_CURVE('',#156717,#156715,#78546,.T.); #192039=EDGE_CURVE('',#156716,#156717,#78547,.T.); #192040=EDGE_CURVE('',#156718,#156716,#78548,.T.); #192041=EDGE_CURVE('',#156719,#156717,#78549,.T.); #192042=EDGE_CURVE('',#156718,#156719,#78550,.T.); #192043=EDGE_CURVE('',#156720,#156718,#78551,.T.); #192044=EDGE_CURVE('',#156721,#156719,#78552,.T.); #192045=EDGE_CURVE('',#156720,#156721,#78553,.T.); #192046=EDGE_CURVE('',#156722,#156720,#78554,.T.); #192047=EDGE_CURVE('',#156723,#156721,#78555,.T.); #192048=EDGE_CURVE('',#156722,#156723,#78556,.T.); #192049=EDGE_CURVE('',#156724,#156722,#78557,.T.); #192050=EDGE_CURVE('',#156725,#156723,#78558,.T.); #192051=EDGE_CURVE('',#156724,#156725,#78559,.T.); #192052=EDGE_CURVE('',#156726,#156724,#78560,.T.); #192053=EDGE_CURVE('',#156727,#156725,#78561,.T.); #192054=EDGE_CURVE('',#156726,#156727,#78562,.T.); #192055=EDGE_CURVE('',#156728,#156726,#78563,.T.); #192056=EDGE_CURVE('',#156729,#156727,#78564,.T.); #192057=EDGE_CURVE('',#156728,#156729,#78565,.T.); #192058=EDGE_CURVE('',#156730,#156728,#78566,.T.); #192059=EDGE_CURVE('',#156731,#156729,#78567,.T.); #192060=EDGE_CURVE('',#156730,#156731,#78568,.T.); #192061=EDGE_CURVE('',#156732,#156730,#78569,.T.); #192062=EDGE_CURVE('',#156733,#156731,#78570,.T.); #192063=EDGE_CURVE('',#156732,#156733,#78571,.T.); #192064=EDGE_CURVE('',#156734,#156732,#78572,.T.); #192065=EDGE_CURVE('',#156735,#156733,#78573,.T.); #192066=EDGE_CURVE('',#156734,#156735,#78574,.T.); #192067=EDGE_CURVE('',#156736,#156734,#78575,.T.); #192068=EDGE_CURVE('',#156737,#156735,#78576,.T.); #192069=EDGE_CURVE('',#156736,#156737,#78577,.T.); #192070=EDGE_CURVE('',#156738,#156736,#78578,.T.); #192071=EDGE_CURVE('',#156739,#156737,#78579,.T.); #192072=EDGE_CURVE('',#156738,#156739,#78580,.T.); #192073=EDGE_CURVE('',#156740,#156738,#78581,.T.); #192074=EDGE_CURVE('',#156741,#156739,#78582,.T.); #192075=EDGE_CURVE('',#156740,#156741,#78583,.T.); #192076=EDGE_CURVE('',#156742,#156740,#78584,.T.); #192077=EDGE_CURVE('',#156743,#156741,#78585,.T.); #192078=EDGE_CURVE('',#156742,#156743,#78586,.T.); #192079=EDGE_CURVE('',#156744,#156742,#78587,.T.); #192080=EDGE_CURVE('',#156745,#156743,#78588,.T.); #192081=EDGE_CURVE('',#156744,#156745,#78589,.T.); #192082=EDGE_CURVE('',#156746,#156744,#78590,.T.); #192083=EDGE_CURVE('',#156747,#156745,#78591,.T.); #192084=EDGE_CURVE('',#156746,#156747,#78592,.T.); #192085=EDGE_CURVE('',#156748,#156746,#78593,.T.); #192086=EDGE_CURVE('',#156749,#156747,#78594,.T.); #192087=EDGE_CURVE('',#156748,#156749,#78595,.T.); #192088=EDGE_CURVE('',#156750,#156748,#78596,.T.); #192089=EDGE_CURVE('',#156751,#156749,#78597,.T.); #192090=EDGE_CURVE('',#156750,#156751,#78598,.T.); #192091=EDGE_CURVE('',#156752,#156750,#78599,.T.); #192092=EDGE_CURVE('',#156753,#156751,#78600,.T.); #192093=EDGE_CURVE('',#156752,#156753,#78601,.T.); #192094=EDGE_CURVE('',#156754,#156752,#78602,.T.); #192095=EDGE_CURVE('',#156755,#156753,#78603,.T.); #192096=EDGE_CURVE('',#156754,#156755,#78604,.T.); #192097=EDGE_CURVE('',#156756,#156754,#78605,.T.); #192098=EDGE_CURVE('',#156757,#156755,#78606,.T.); #192099=EDGE_CURVE('',#156756,#156757,#78607,.T.); #192100=EDGE_CURVE('',#156758,#156756,#78608,.T.); #192101=EDGE_CURVE('',#156759,#156757,#78609,.T.); #192102=EDGE_CURVE('',#156758,#156759,#78610,.T.); #192103=EDGE_CURVE('',#156760,#156758,#78611,.T.); #192104=EDGE_CURVE('',#156761,#156759,#78612,.T.); #192105=EDGE_CURVE('',#156760,#156761,#78613,.T.); #192106=EDGE_CURVE('',#156762,#156760,#78614,.T.); #192107=EDGE_CURVE('',#156763,#156761,#78615,.T.); #192108=EDGE_CURVE('',#156762,#156763,#78616,.T.); #192109=EDGE_CURVE('',#156764,#156762,#78617,.T.); #192110=EDGE_CURVE('',#156765,#156763,#78618,.T.); #192111=EDGE_CURVE('',#156764,#156765,#78619,.T.); #192112=EDGE_CURVE('',#156766,#156764,#78620,.T.); #192113=EDGE_CURVE('',#156767,#156765,#78621,.T.); #192114=EDGE_CURVE('',#156766,#156767,#78622,.T.); #192115=EDGE_CURVE('',#156768,#156766,#78623,.T.); #192116=EDGE_CURVE('',#156769,#156767,#78624,.T.); #192117=EDGE_CURVE('',#156768,#156769,#78625,.T.); #192118=EDGE_CURVE('',#156770,#156768,#78626,.T.); #192119=EDGE_CURVE('',#156771,#156769,#78627,.T.); #192120=EDGE_CURVE('',#156770,#156771,#78628,.T.); #192121=EDGE_CURVE('',#156772,#156770,#78629,.T.); #192122=EDGE_CURVE('',#156773,#156771,#78630,.T.); #192123=EDGE_CURVE('',#156772,#156773,#78631,.T.); #192124=EDGE_CURVE('',#156774,#156772,#78632,.T.); #192125=EDGE_CURVE('',#156775,#156773,#78633,.T.); #192126=EDGE_CURVE('',#156774,#156775,#78634,.T.); #192127=EDGE_CURVE('',#156776,#156774,#78635,.T.); #192128=EDGE_CURVE('',#156777,#156775,#78636,.T.); #192129=EDGE_CURVE('',#156776,#156777,#78637,.T.); #192130=EDGE_CURVE('',#156778,#156776,#78638,.T.); #192131=EDGE_CURVE('',#156779,#156777,#78639,.T.); #192132=EDGE_CURVE('',#156778,#156779,#78640,.T.); #192133=EDGE_CURVE('',#156780,#156778,#78641,.T.); #192134=EDGE_CURVE('',#156781,#156779,#78642,.T.); #192135=EDGE_CURVE('',#156780,#156781,#78643,.T.); #192136=EDGE_CURVE('',#156782,#156780,#78644,.T.); #192137=EDGE_CURVE('',#156783,#156781,#78645,.T.); #192138=EDGE_CURVE('',#156782,#156783,#78646,.T.); #192139=EDGE_CURVE('',#156784,#156782,#78647,.T.); #192140=EDGE_CURVE('',#156785,#156783,#78648,.T.); #192141=EDGE_CURVE('',#156784,#156785,#78649,.T.); #192142=EDGE_CURVE('',#156786,#156784,#78650,.T.); #192143=EDGE_CURVE('',#156787,#156785,#78651,.T.); #192144=EDGE_CURVE('',#156786,#156787,#78652,.T.); #192145=EDGE_CURVE('',#156788,#156786,#78653,.T.); #192146=EDGE_CURVE('',#156789,#156787,#78654,.T.); #192147=EDGE_CURVE('',#156788,#156789,#78655,.T.); #192148=EDGE_CURVE('',#156790,#156788,#78656,.T.); #192149=EDGE_CURVE('',#156791,#156789,#78657,.T.); #192150=EDGE_CURVE('',#156790,#156791,#78658,.T.); #192151=EDGE_CURVE('',#156792,#156790,#78659,.T.); #192152=EDGE_CURVE('',#156793,#156791,#78660,.T.); #192153=EDGE_CURVE('',#156792,#156793,#78661,.T.); #192154=EDGE_CURVE('',#156794,#156792,#78662,.T.); #192155=EDGE_CURVE('',#156795,#156793,#78663,.T.); #192156=EDGE_CURVE('',#156794,#156795,#78664,.T.); #192157=EDGE_CURVE('',#156796,#156794,#78665,.T.); #192158=EDGE_CURVE('',#156797,#156795,#78666,.T.); #192159=EDGE_CURVE('',#156796,#156797,#78667,.T.); #192160=EDGE_CURVE('',#156798,#156796,#78668,.T.); #192161=EDGE_CURVE('',#156799,#156797,#78669,.T.); #192162=EDGE_CURVE('',#156798,#156799,#78670,.T.); #192163=EDGE_CURVE('',#156800,#156798,#78671,.T.); #192164=EDGE_CURVE('',#156801,#156799,#78672,.T.); #192165=EDGE_CURVE('',#156800,#156801,#78673,.T.); #192166=EDGE_CURVE('',#156802,#156800,#78674,.T.); #192167=EDGE_CURVE('',#156803,#156801,#78675,.T.); #192168=EDGE_CURVE('',#156802,#156803,#78676,.T.); #192169=EDGE_CURVE('',#156804,#156802,#78677,.T.); #192170=EDGE_CURVE('',#156805,#156803,#78678,.T.); #192171=EDGE_CURVE('',#156804,#156805,#78679,.T.); #192172=EDGE_CURVE('',#156806,#156804,#78680,.T.); #192173=EDGE_CURVE('',#156807,#156805,#78681,.T.); #192174=EDGE_CURVE('',#156806,#156807,#78682,.T.); #192175=EDGE_CURVE('',#156808,#156806,#78683,.T.); #192176=EDGE_CURVE('',#156809,#156807,#78684,.T.); #192177=EDGE_CURVE('',#156808,#156809,#78685,.T.); #192178=EDGE_CURVE('',#156810,#156808,#78686,.T.); #192179=EDGE_CURVE('',#156811,#156809,#78687,.T.); #192180=EDGE_CURVE('',#156810,#156811,#78688,.T.); #192181=EDGE_CURVE('',#156812,#156810,#78689,.T.); #192182=EDGE_CURVE('',#156813,#156811,#78690,.T.); #192183=EDGE_CURVE('',#156812,#156813,#78691,.T.); #192184=EDGE_CURVE('',#156814,#156812,#78692,.T.); #192185=EDGE_CURVE('',#156815,#156813,#78693,.T.); #192186=EDGE_CURVE('',#156814,#156815,#78694,.T.); #192187=EDGE_CURVE('',#156816,#156814,#78695,.T.); #192188=EDGE_CURVE('',#156817,#156815,#78696,.T.); #192189=EDGE_CURVE('',#156816,#156817,#78697,.T.); #192190=EDGE_CURVE('',#156818,#156816,#78698,.T.); #192191=EDGE_CURVE('',#156819,#156817,#78699,.T.); #192192=EDGE_CURVE('',#156818,#156819,#78700,.T.); #192193=EDGE_CURVE('',#156820,#156818,#78701,.T.); #192194=EDGE_CURVE('',#156821,#156819,#78702,.T.); #192195=EDGE_CURVE('',#156820,#156821,#78703,.T.); #192196=EDGE_CURVE('',#156822,#156820,#78704,.T.); #192197=EDGE_CURVE('',#156823,#156821,#78705,.T.); #192198=EDGE_CURVE('',#156822,#156823,#78706,.T.); #192199=EDGE_CURVE('',#156824,#156822,#78707,.T.); #192200=EDGE_CURVE('',#156825,#156823,#78708,.T.); #192201=EDGE_CURVE('',#156824,#156825,#78709,.T.); #192202=EDGE_CURVE('',#156826,#156824,#78710,.T.); #192203=EDGE_CURVE('',#156827,#156825,#78711,.T.); #192204=EDGE_CURVE('',#156826,#156827,#78712,.T.); #192205=EDGE_CURVE('',#156828,#156826,#78713,.T.); #192206=EDGE_CURVE('',#156829,#156827,#78714,.T.); #192207=EDGE_CURVE('',#156828,#156829,#78715,.T.); #192208=EDGE_CURVE('',#156830,#156828,#78716,.T.); #192209=EDGE_CURVE('',#156831,#156829,#78717,.T.); #192210=EDGE_CURVE('',#156830,#156831,#78718,.T.); #192211=EDGE_CURVE('',#156832,#156830,#78719,.T.); #192212=EDGE_CURVE('',#156833,#156831,#78720,.T.); #192213=EDGE_CURVE('',#156832,#156833,#78721,.T.); #192214=EDGE_CURVE('',#156834,#156832,#78722,.T.); #192215=EDGE_CURVE('',#156835,#156833,#78723,.T.); #192216=EDGE_CURVE('',#156834,#156835,#78724,.T.); #192217=EDGE_CURVE('',#156836,#156834,#78725,.T.); #192218=EDGE_CURVE('',#156837,#156835,#78726,.T.); #192219=EDGE_CURVE('',#156836,#156837,#78727,.T.); #192220=EDGE_CURVE('',#156838,#156836,#78728,.T.); #192221=EDGE_CURVE('',#156839,#156837,#78729,.T.); #192222=EDGE_CURVE('',#156838,#156839,#78730,.T.); #192223=EDGE_CURVE('',#156840,#156838,#78731,.T.); #192224=EDGE_CURVE('',#156841,#156839,#78732,.T.); #192225=EDGE_CURVE('',#156840,#156841,#78733,.T.); #192226=EDGE_CURVE('',#156842,#156840,#78734,.T.); #192227=EDGE_CURVE('',#156843,#156841,#78735,.T.); #192228=EDGE_CURVE('',#156842,#156843,#78736,.T.); #192229=EDGE_CURVE('',#156844,#156842,#78737,.T.); #192230=EDGE_CURVE('',#156845,#156843,#78738,.T.); #192231=EDGE_CURVE('',#156844,#156845,#78739,.T.); #192232=EDGE_CURVE('',#156846,#156844,#78740,.T.); #192233=EDGE_CURVE('',#156847,#156845,#78741,.T.); #192234=EDGE_CURVE('',#156846,#156847,#78742,.T.); #192235=EDGE_CURVE('',#156848,#156846,#78743,.T.); #192236=EDGE_CURVE('',#156849,#156847,#78744,.T.); #192237=EDGE_CURVE('',#156848,#156849,#78745,.T.); #192238=EDGE_CURVE('',#156850,#156848,#78746,.T.); #192239=EDGE_CURVE('',#156851,#156849,#78747,.T.); #192240=EDGE_CURVE('',#156850,#156851,#78748,.T.); #192241=EDGE_CURVE('',#156852,#156850,#78749,.T.); #192242=EDGE_CURVE('',#156853,#156851,#78750,.T.); #192243=EDGE_CURVE('',#156852,#156853,#78751,.T.); #192244=EDGE_CURVE('',#156854,#156852,#78752,.T.); #192245=EDGE_CURVE('',#156855,#156853,#78753,.T.); #192246=EDGE_CURVE('',#156854,#156855,#78754,.T.); #192247=EDGE_CURVE('',#156856,#156854,#78755,.T.); #192248=EDGE_CURVE('',#156857,#156855,#78756,.T.); #192249=EDGE_CURVE('',#156856,#156857,#78757,.T.); #192250=EDGE_CURVE('',#156858,#156856,#78758,.T.); #192251=EDGE_CURVE('',#156859,#156857,#78759,.T.); #192252=EDGE_CURVE('',#156858,#156859,#78760,.T.); #192253=EDGE_CURVE('',#156860,#156858,#78761,.T.); #192254=EDGE_CURVE('',#156861,#156859,#78762,.T.); #192255=EDGE_CURVE('',#156860,#156861,#78763,.T.); #192256=EDGE_CURVE('',#156862,#156860,#78764,.T.); #192257=EDGE_CURVE('',#156863,#156861,#78765,.T.); #192258=EDGE_CURVE('',#156862,#156863,#78766,.T.); #192259=EDGE_CURVE('',#156864,#156862,#78767,.T.); #192260=EDGE_CURVE('',#156865,#156863,#78768,.T.); #192261=EDGE_CURVE('',#156864,#156865,#78769,.T.); #192262=EDGE_CURVE('',#156866,#156864,#78770,.T.); #192263=EDGE_CURVE('',#156867,#156865,#78771,.T.); #192264=EDGE_CURVE('',#156866,#156867,#78772,.T.); #192265=EDGE_CURVE('',#156868,#156866,#78773,.T.); #192266=EDGE_CURVE('',#156869,#156867,#78774,.T.); #192267=EDGE_CURVE('',#156868,#156869,#78775,.T.); #192268=EDGE_CURVE('',#156870,#156868,#78776,.T.); #192269=EDGE_CURVE('',#156871,#156869,#78777,.T.); #192270=EDGE_CURVE('',#156870,#156871,#78778,.T.); #192271=EDGE_CURVE('',#156872,#156870,#78779,.T.); #192272=EDGE_CURVE('',#156873,#156871,#78780,.T.); #192273=EDGE_CURVE('',#156872,#156873,#78781,.T.); #192274=EDGE_CURVE('',#156874,#156872,#78782,.T.); #192275=EDGE_CURVE('',#156875,#156873,#78783,.T.); #192276=EDGE_CURVE('',#156874,#156875,#78784,.T.); #192277=EDGE_CURVE('',#156876,#156874,#78785,.T.); #192278=EDGE_CURVE('',#156877,#156875,#78786,.T.); #192279=EDGE_CURVE('',#156876,#156877,#78787,.T.); #192280=EDGE_CURVE('',#156878,#156876,#78788,.T.); #192281=EDGE_CURVE('',#156879,#156877,#78789,.T.); #192282=EDGE_CURVE('',#156878,#156879,#78790,.T.); #192283=EDGE_CURVE('',#156880,#156878,#78791,.T.); #192284=EDGE_CURVE('',#156881,#156879,#78792,.T.); #192285=EDGE_CURVE('',#156880,#156881,#78793,.T.); #192286=EDGE_CURVE('',#156882,#156880,#78794,.T.); #192287=EDGE_CURVE('',#156883,#156881,#78795,.T.); #192288=EDGE_CURVE('',#156882,#156883,#78796,.T.); #192289=EDGE_CURVE('',#156884,#156882,#78797,.T.); #192290=EDGE_CURVE('',#156885,#156883,#78798,.T.); #192291=EDGE_CURVE('',#156884,#156885,#78799,.T.); #192292=EDGE_CURVE('',#156886,#156884,#78800,.T.); #192293=EDGE_CURVE('',#156887,#156885,#78801,.T.); #192294=EDGE_CURVE('',#156886,#156887,#78802,.T.); #192295=EDGE_CURVE('',#156888,#156886,#78803,.T.); #192296=EDGE_CURVE('',#156889,#156887,#78804,.T.); #192297=EDGE_CURVE('',#156888,#156889,#78805,.T.); #192298=EDGE_CURVE('',#156890,#156888,#78806,.T.); #192299=EDGE_CURVE('',#156891,#156889,#78807,.T.); #192300=EDGE_CURVE('',#156890,#156891,#78808,.T.); #192301=EDGE_CURVE('',#156892,#156890,#78809,.T.); #192302=EDGE_CURVE('',#156893,#156891,#78810,.T.); #192303=EDGE_CURVE('',#156892,#156893,#78811,.T.); #192304=EDGE_CURVE('',#156894,#156892,#78812,.T.); #192305=EDGE_CURVE('',#156895,#156893,#78813,.T.); #192306=EDGE_CURVE('',#156894,#156895,#78814,.T.); #192307=EDGE_CURVE('',#156896,#156894,#78815,.T.); #192308=EDGE_CURVE('',#156897,#156895,#78816,.T.); #192309=EDGE_CURVE('',#156896,#156897,#78817,.T.); #192310=EDGE_CURVE('',#156898,#156896,#78818,.T.); #192311=EDGE_CURVE('',#156899,#156897,#78819,.T.); #192312=EDGE_CURVE('',#156898,#156899,#78820,.T.); #192313=EDGE_CURVE('',#156900,#156898,#78821,.T.); #192314=EDGE_CURVE('',#156901,#156899,#78822,.T.); #192315=EDGE_CURVE('',#156900,#156901,#78823,.T.); #192316=EDGE_CURVE('',#156902,#156900,#78824,.T.); #192317=EDGE_CURVE('',#156903,#156901,#78825,.T.); #192318=EDGE_CURVE('',#156902,#156903,#78826,.T.); #192319=EDGE_CURVE('',#156904,#156902,#78827,.T.); #192320=EDGE_CURVE('',#156905,#156903,#78828,.T.); #192321=EDGE_CURVE('',#156904,#156905,#78829,.T.); #192322=EDGE_CURVE('',#156906,#156904,#78830,.T.); #192323=EDGE_CURVE('',#156907,#156905,#78831,.T.); #192324=EDGE_CURVE('',#156906,#156907,#78832,.T.); #192325=EDGE_CURVE('',#156908,#156906,#78833,.T.); #192326=EDGE_CURVE('',#156909,#156907,#78834,.T.); #192327=EDGE_CURVE('',#156908,#156909,#78835,.T.); #192328=EDGE_CURVE('',#156910,#156908,#78836,.T.); #192329=EDGE_CURVE('',#156911,#156909,#78837,.T.); #192330=EDGE_CURVE('',#156910,#156911,#78838,.T.); #192331=EDGE_CURVE('',#156912,#156910,#78839,.T.); #192332=EDGE_CURVE('',#156913,#156911,#78840,.T.); #192333=EDGE_CURVE('',#156912,#156913,#78841,.T.); #192334=EDGE_CURVE('',#156914,#156912,#78842,.T.); #192335=EDGE_CURVE('',#156915,#156913,#78843,.T.); #192336=EDGE_CURVE('',#156914,#156915,#78844,.T.); #192337=EDGE_CURVE('',#156916,#156914,#78845,.T.); #192338=EDGE_CURVE('',#156917,#156915,#78846,.T.); #192339=EDGE_CURVE('',#156916,#156917,#78847,.T.); #192340=EDGE_CURVE('',#156918,#156916,#78848,.T.); #192341=EDGE_CURVE('',#156919,#156917,#78849,.T.); #192342=EDGE_CURVE('',#156918,#156919,#78850,.T.); #192343=EDGE_CURVE('',#156920,#156918,#78851,.T.); #192344=EDGE_CURVE('',#156921,#156919,#78852,.T.); #192345=EDGE_CURVE('',#156920,#156921,#78853,.T.); #192346=EDGE_CURVE('',#156922,#156920,#78854,.T.); #192347=EDGE_CURVE('',#156923,#156921,#78855,.T.); #192348=EDGE_CURVE('',#156922,#156923,#78856,.T.); #192349=EDGE_CURVE('',#156924,#156922,#78857,.T.); #192350=EDGE_CURVE('',#156925,#156923,#78858,.T.); #192351=EDGE_CURVE('',#156924,#156925,#78859,.T.); #192352=EDGE_CURVE('',#156926,#156924,#78860,.T.); #192353=EDGE_CURVE('',#156927,#156925,#78861,.T.); #192354=EDGE_CURVE('',#156926,#156927,#78862,.T.); #192355=EDGE_CURVE('',#156928,#156926,#78863,.T.); #192356=EDGE_CURVE('',#156929,#156927,#78864,.T.); #192357=EDGE_CURVE('',#156928,#156929,#78865,.T.); #192358=EDGE_CURVE('',#156930,#156928,#78866,.T.); #192359=EDGE_CURVE('',#156931,#156929,#78867,.T.); #192360=EDGE_CURVE('',#156930,#156931,#78868,.T.); #192361=EDGE_CURVE('',#156932,#156930,#78869,.T.); #192362=EDGE_CURVE('',#156933,#156931,#78870,.T.); #192363=EDGE_CURVE('',#156932,#156933,#78871,.T.); #192364=EDGE_CURVE('',#156934,#156932,#78872,.T.); #192365=EDGE_CURVE('',#156935,#156933,#78873,.T.); #192366=EDGE_CURVE('',#156934,#156935,#78874,.T.); #192367=EDGE_CURVE('',#156936,#156934,#78875,.T.); #192368=EDGE_CURVE('',#156937,#156935,#78876,.T.); #192369=EDGE_CURVE('',#156936,#156937,#78877,.T.); #192370=EDGE_CURVE('',#156938,#156936,#78878,.T.); #192371=EDGE_CURVE('',#156939,#156937,#78879,.T.); #192372=EDGE_CURVE('',#156938,#156939,#78880,.T.); #192373=EDGE_CURVE('',#156940,#156938,#78881,.T.); #192374=EDGE_CURVE('',#156941,#156939,#78882,.T.); #192375=EDGE_CURVE('',#156940,#156941,#78883,.T.); #192376=EDGE_CURVE('',#156942,#156940,#78884,.T.); #192377=EDGE_CURVE('',#156943,#156941,#78885,.T.); #192378=EDGE_CURVE('',#156942,#156943,#78886,.T.); #192379=EDGE_CURVE('',#156944,#156942,#78887,.T.); #192380=EDGE_CURVE('',#156945,#156943,#78888,.T.); #192381=EDGE_CURVE('',#156944,#156945,#78889,.T.); #192382=EDGE_CURVE('',#156946,#156944,#78890,.T.); #192383=EDGE_CURVE('',#156947,#156945,#78891,.T.); #192384=EDGE_CURVE('',#156946,#156947,#78892,.T.); #192385=EDGE_CURVE('',#156948,#156946,#78893,.T.); #192386=EDGE_CURVE('',#156949,#156947,#78894,.T.); #192387=EDGE_CURVE('',#156948,#156949,#78895,.T.); #192388=EDGE_CURVE('',#156950,#156948,#78896,.T.); #192389=EDGE_CURVE('',#156951,#156949,#78897,.T.); #192390=EDGE_CURVE('',#156950,#156951,#78898,.T.); #192391=EDGE_CURVE('',#156952,#156950,#78899,.T.); #192392=EDGE_CURVE('',#156953,#156951,#78900,.T.); #192393=EDGE_CURVE('',#156952,#156953,#78901,.T.); #192394=EDGE_CURVE('',#156954,#156952,#78902,.T.); #192395=EDGE_CURVE('',#156955,#156953,#78903,.T.); #192396=EDGE_CURVE('',#156954,#156955,#78904,.T.); #192397=EDGE_CURVE('',#156956,#156954,#78905,.T.); #192398=EDGE_CURVE('',#156957,#156955,#78906,.T.); #192399=EDGE_CURVE('',#156956,#156957,#78907,.T.); #192400=EDGE_CURVE('',#156958,#156956,#78908,.T.); #192401=EDGE_CURVE('',#156959,#156957,#78909,.T.); #192402=EDGE_CURVE('',#156958,#156959,#78910,.T.); #192403=EDGE_CURVE('',#156960,#156958,#78911,.T.); #192404=EDGE_CURVE('',#156961,#156959,#78912,.T.); #192405=EDGE_CURVE('',#156960,#156961,#78913,.T.); #192406=EDGE_CURVE('',#156962,#156960,#78914,.T.); #192407=EDGE_CURVE('',#156963,#156961,#78915,.T.); #192408=EDGE_CURVE('',#156962,#156963,#78916,.T.); #192409=EDGE_CURVE('',#156964,#156962,#78917,.T.); #192410=EDGE_CURVE('',#156965,#156963,#78918,.T.); #192411=EDGE_CURVE('',#156964,#156965,#78919,.T.); #192412=EDGE_CURVE('',#156966,#156964,#78920,.T.); #192413=EDGE_CURVE('',#156967,#156965,#78921,.T.); #192414=EDGE_CURVE('',#156966,#156967,#78922,.T.); #192415=EDGE_CURVE('',#156968,#156966,#78923,.T.); #192416=EDGE_CURVE('',#156969,#156967,#78924,.T.); #192417=EDGE_CURVE('',#156968,#156969,#78925,.T.); #192418=EDGE_CURVE('',#156970,#156968,#78926,.T.); #192419=EDGE_CURVE('',#156971,#156969,#78927,.T.); #192420=EDGE_CURVE('',#156970,#156971,#78928,.T.); #192421=EDGE_CURVE('',#156972,#156970,#78929,.T.); #192422=EDGE_CURVE('',#156973,#156971,#78930,.T.); #192423=EDGE_CURVE('',#156972,#156973,#78931,.T.); #192424=EDGE_CURVE('',#156974,#156972,#78932,.T.); #192425=EDGE_CURVE('',#156975,#156973,#78933,.T.); #192426=EDGE_CURVE('',#156974,#156975,#78934,.T.); #192427=EDGE_CURVE('',#156976,#156974,#78935,.T.); #192428=EDGE_CURVE('',#156977,#156975,#78936,.T.); #192429=EDGE_CURVE('',#156976,#156977,#78937,.T.); #192430=EDGE_CURVE('',#156978,#156976,#78938,.T.); #192431=EDGE_CURVE('',#156979,#156977,#78939,.T.); #192432=EDGE_CURVE('',#156978,#156979,#78940,.T.); #192433=EDGE_CURVE('',#156980,#156978,#78941,.T.); #192434=EDGE_CURVE('',#156981,#156979,#78942,.T.); #192435=EDGE_CURVE('',#156980,#156981,#78943,.T.); #192436=EDGE_CURVE('',#156982,#156980,#78944,.T.); #192437=EDGE_CURVE('',#156983,#156981,#78945,.T.); #192438=EDGE_CURVE('',#156982,#156983,#78946,.T.); #192439=EDGE_CURVE('',#156984,#156982,#78947,.T.); #192440=EDGE_CURVE('',#156985,#156983,#78948,.T.); #192441=EDGE_CURVE('',#156984,#156985,#78949,.T.); #192442=EDGE_CURVE('',#156986,#156984,#78950,.T.); #192443=EDGE_CURVE('',#156987,#156985,#78951,.T.); #192444=EDGE_CURVE('',#156986,#156987,#78952,.T.); #192445=EDGE_CURVE('',#156988,#156986,#78953,.T.); #192446=EDGE_CURVE('',#156989,#156987,#78954,.T.); #192447=EDGE_CURVE('',#156988,#156989,#78955,.T.); #192448=EDGE_CURVE('',#156990,#156988,#78956,.T.); #192449=EDGE_CURVE('',#156991,#156989,#78957,.T.); #192450=EDGE_CURVE('',#156990,#156991,#78958,.T.); #192451=EDGE_CURVE('',#156992,#156990,#78959,.T.); #192452=EDGE_CURVE('',#156993,#156991,#78960,.T.); #192453=EDGE_CURVE('',#156992,#156993,#78961,.T.); #192454=EDGE_CURVE('',#156994,#156992,#78962,.T.); #192455=EDGE_CURVE('',#156995,#156993,#78963,.T.); #192456=EDGE_CURVE('',#156994,#156995,#78964,.T.); #192457=EDGE_CURVE('',#156996,#156994,#78965,.T.); #192458=EDGE_CURVE('',#156997,#156995,#78966,.T.); #192459=EDGE_CURVE('',#156996,#156997,#78967,.T.); #192460=EDGE_CURVE('',#156998,#156996,#78968,.T.); #192461=EDGE_CURVE('',#156999,#156997,#78969,.T.); #192462=EDGE_CURVE('',#156998,#156999,#78970,.T.); #192463=EDGE_CURVE('',#157000,#156998,#78971,.T.); #192464=EDGE_CURVE('',#157001,#156999,#78972,.T.); #192465=EDGE_CURVE('',#157000,#157001,#78973,.T.); #192466=EDGE_CURVE('',#157002,#157000,#78974,.T.); #192467=EDGE_CURVE('',#157003,#157001,#78975,.T.); #192468=EDGE_CURVE('',#157002,#157003,#78976,.T.); #192469=EDGE_CURVE('',#157004,#157002,#78977,.T.); #192470=EDGE_CURVE('',#157005,#157003,#78978,.T.); #192471=EDGE_CURVE('',#157004,#157005,#78979,.T.); #192472=EDGE_CURVE('',#157006,#157004,#78980,.T.); #192473=EDGE_CURVE('',#157007,#157005,#78981,.T.); #192474=EDGE_CURVE('',#157006,#157007,#78982,.T.); #192475=EDGE_CURVE('',#157008,#157006,#78983,.T.); #192476=EDGE_CURVE('',#157009,#157007,#78984,.T.); #192477=EDGE_CURVE('',#157008,#157009,#78985,.T.); #192478=EDGE_CURVE('',#157010,#157008,#78986,.T.); #192479=EDGE_CURVE('',#157011,#157009,#78987,.T.); #192480=EDGE_CURVE('',#157010,#157011,#78988,.T.); #192481=EDGE_CURVE('',#157012,#157010,#78989,.T.); #192482=EDGE_CURVE('',#157013,#157011,#78990,.T.); #192483=EDGE_CURVE('',#157012,#157013,#78991,.T.); #192484=EDGE_CURVE('',#157014,#157012,#78992,.T.); #192485=EDGE_CURVE('',#157015,#157013,#78993,.T.); #192486=EDGE_CURVE('',#157014,#157015,#78994,.T.); #192487=EDGE_CURVE('',#157016,#157014,#78995,.T.); #192488=EDGE_CURVE('',#157017,#157015,#78996,.T.); #192489=EDGE_CURVE('',#157016,#157017,#78997,.T.); #192490=EDGE_CURVE('',#157018,#157016,#78998,.T.); #192491=EDGE_CURVE('',#157019,#157017,#78999,.T.); #192492=EDGE_CURVE('',#157018,#157019,#79000,.T.); #192493=EDGE_CURVE('',#157020,#157018,#79001,.T.); #192494=EDGE_CURVE('',#157021,#157019,#79002,.T.); #192495=EDGE_CURVE('',#157020,#157021,#79003,.T.); #192496=EDGE_CURVE('',#157022,#157020,#79004,.T.); #192497=EDGE_CURVE('',#157023,#157021,#79005,.T.); #192498=EDGE_CURVE('',#157022,#157023,#79006,.T.); #192499=EDGE_CURVE('',#157024,#157022,#79007,.T.); #192500=EDGE_CURVE('',#157025,#157023,#79008,.T.); #192501=EDGE_CURVE('',#157024,#157025,#79009,.T.); #192502=EDGE_CURVE('',#157026,#157024,#79010,.T.); #192503=EDGE_CURVE('',#157027,#157025,#79011,.T.); #192504=EDGE_CURVE('',#157026,#157027,#79012,.T.); #192505=EDGE_CURVE('',#157028,#157026,#79013,.T.); #192506=EDGE_CURVE('',#157029,#157027,#79014,.T.); #192507=EDGE_CURVE('',#157028,#157029,#79015,.T.); #192508=EDGE_CURVE('',#157030,#157028,#79016,.T.); #192509=EDGE_CURVE('',#157031,#157029,#79017,.T.); #192510=EDGE_CURVE('',#157030,#157031,#79018,.T.); #192511=EDGE_CURVE('',#157032,#157030,#79019,.T.); #192512=EDGE_CURVE('',#157033,#157031,#79020,.T.); #192513=EDGE_CURVE('',#157032,#157033,#79021,.T.); #192514=EDGE_CURVE('',#157034,#157032,#79022,.T.); #192515=EDGE_CURVE('',#157035,#157033,#79023,.T.); #192516=EDGE_CURVE('',#157034,#157035,#79024,.T.); #192517=EDGE_CURVE('',#157036,#157034,#79025,.T.); #192518=EDGE_CURVE('',#157037,#157035,#79026,.T.); #192519=EDGE_CURVE('',#157036,#157037,#79027,.T.); #192520=EDGE_CURVE('',#157038,#157036,#79028,.T.); #192521=EDGE_CURVE('',#157039,#157037,#79029,.T.); #192522=EDGE_CURVE('',#157038,#157039,#79030,.T.); #192523=EDGE_CURVE('',#157040,#157038,#79031,.T.); #192524=EDGE_CURVE('',#157041,#157039,#79032,.T.); #192525=EDGE_CURVE('',#157040,#157041,#79033,.T.); #192526=EDGE_CURVE('',#157042,#157040,#79034,.T.); #192527=EDGE_CURVE('',#157043,#157041,#79035,.T.); #192528=EDGE_CURVE('',#157042,#157043,#79036,.T.); #192529=EDGE_CURVE('',#157044,#157042,#79037,.T.); #192530=EDGE_CURVE('',#157045,#157043,#79038,.T.); #192531=EDGE_CURVE('',#157044,#157045,#79039,.T.); #192532=EDGE_CURVE('',#157046,#157044,#79040,.T.); #192533=EDGE_CURVE('',#157047,#157045,#79041,.T.); #192534=EDGE_CURVE('',#157046,#157047,#79042,.T.); #192535=EDGE_CURVE('',#157048,#157046,#79043,.T.); #192536=EDGE_CURVE('',#157049,#157047,#79044,.T.); #192537=EDGE_CURVE('',#157048,#157049,#79045,.T.); #192538=EDGE_CURVE('',#157050,#157048,#79046,.T.); #192539=EDGE_CURVE('',#157051,#157049,#79047,.T.); #192540=EDGE_CURVE('',#157050,#157051,#79048,.T.); #192541=EDGE_CURVE('',#157052,#157050,#79049,.T.); #192542=EDGE_CURVE('',#157053,#157051,#79050,.T.); #192543=EDGE_CURVE('',#157052,#157053,#79051,.T.); #192544=EDGE_CURVE('',#157054,#157052,#79052,.T.); #192545=EDGE_CURVE('',#157055,#157053,#79053,.T.); #192546=EDGE_CURVE('',#157054,#157055,#79054,.T.); #192547=EDGE_CURVE('',#157056,#157054,#79055,.T.); #192548=EDGE_CURVE('',#157057,#157055,#79056,.T.); #192549=EDGE_CURVE('',#157056,#157057,#79057,.T.); #192550=EDGE_CURVE('',#157058,#157056,#79058,.T.); #192551=EDGE_CURVE('',#157059,#157057,#79059,.T.); #192552=EDGE_CURVE('',#157058,#157059,#79060,.T.); #192553=EDGE_CURVE('',#156639,#157058,#79061,.T.); #192554=EDGE_CURVE('',#156640,#157059,#79062,.T.); #192555=EDGE_CURVE('',#157060,#157061,#79063,.T.); #192556=EDGE_CURVE('',#157061,#157062,#79064,.T.); #192557=EDGE_CURVE('',#157063,#157062,#79065,.T.); #192558=EDGE_CURVE('',#157060,#157063,#79066,.T.); #192559=EDGE_CURVE('',#157064,#157060,#79067,.T.); #192560=EDGE_CURVE('',#157065,#157063,#79068,.T.); #192561=EDGE_CURVE('',#157064,#157065,#79069,.T.); #192562=EDGE_CURVE('',#157066,#157064,#79070,.T.); #192563=EDGE_CURVE('',#157067,#157065,#79071,.T.); #192564=EDGE_CURVE('',#157066,#157067,#79072,.T.); #192565=EDGE_CURVE('',#157068,#157066,#79073,.T.); #192566=EDGE_CURVE('',#157069,#157067,#79074,.T.); #192567=EDGE_CURVE('',#157068,#157069,#79075,.T.); #192568=EDGE_CURVE('',#157070,#157068,#79076,.T.); #192569=EDGE_CURVE('',#157071,#157069,#79077,.T.); #192570=EDGE_CURVE('',#157070,#157071,#79078,.T.); #192571=EDGE_CURVE('',#157072,#157070,#79079,.T.); #192572=EDGE_CURVE('',#157073,#157071,#79080,.T.); #192573=EDGE_CURVE('',#157072,#157073,#79081,.T.); #192574=EDGE_CURVE('',#157074,#157072,#79082,.T.); #192575=EDGE_CURVE('',#157075,#157073,#79083,.T.); #192576=EDGE_CURVE('',#157074,#157075,#79084,.T.); #192577=EDGE_CURVE('',#157076,#157074,#79085,.T.); #192578=EDGE_CURVE('',#157077,#157075,#79086,.T.); #192579=EDGE_CURVE('',#157076,#157077,#79087,.T.); #192580=EDGE_CURVE('',#157078,#157076,#79088,.T.); #192581=EDGE_CURVE('',#157079,#157077,#79089,.T.); #192582=EDGE_CURVE('',#157078,#157079,#79090,.T.); #192583=EDGE_CURVE('',#157080,#157078,#79091,.T.); #192584=EDGE_CURVE('',#157081,#157079,#79092,.T.); #192585=EDGE_CURVE('',#157080,#157081,#79093,.T.); #192586=EDGE_CURVE('',#157082,#157080,#79094,.T.); #192587=EDGE_CURVE('',#157083,#157081,#79095,.T.); #192588=EDGE_CURVE('',#157082,#157083,#79096,.T.); #192589=EDGE_CURVE('',#157084,#157082,#79097,.T.); #192590=EDGE_CURVE('',#157085,#157083,#79098,.T.); #192591=EDGE_CURVE('',#157084,#157085,#79099,.T.); #192592=EDGE_CURVE('',#157086,#157084,#79100,.T.); #192593=EDGE_CURVE('',#157087,#157085,#79101,.T.); #192594=EDGE_CURVE('',#157086,#157087,#79102,.T.); #192595=EDGE_CURVE('',#157088,#157086,#79103,.T.); #192596=EDGE_CURVE('',#157089,#157087,#79104,.T.); #192597=EDGE_CURVE('',#157088,#157089,#79105,.T.); #192598=EDGE_CURVE('',#157090,#157088,#79106,.T.); #192599=EDGE_CURVE('',#157091,#157089,#79107,.T.); #192600=EDGE_CURVE('',#157090,#157091,#79108,.T.); #192601=EDGE_CURVE('',#157092,#157090,#79109,.T.); #192602=EDGE_CURVE('',#157093,#157091,#79110,.T.); #192603=EDGE_CURVE('',#157092,#157093,#79111,.T.); #192604=EDGE_CURVE('',#157094,#157092,#79112,.T.); #192605=EDGE_CURVE('',#157095,#157093,#79113,.T.); #192606=EDGE_CURVE('',#157094,#157095,#79114,.T.); #192607=EDGE_CURVE('',#157096,#157094,#79115,.T.); #192608=EDGE_CURVE('',#157097,#157095,#79116,.T.); #192609=EDGE_CURVE('',#157096,#157097,#79117,.T.); #192610=EDGE_CURVE('',#157098,#157096,#79118,.T.); #192611=EDGE_CURVE('',#157099,#157097,#79119,.T.); #192612=EDGE_CURVE('',#157098,#157099,#79120,.T.); #192613=EDGE_CURVE('',#157100,#157098,#79121,.T.); #192614=EDGE_CURVE('',#157101,#157099,#79122,.T.); #192615=EDGE_CURVE('',#157100,#157101,#79123,.T.); #192616=EDGE_CURVE('',#157061,#157100,#79124,.T.); #192617=EDGE_CURVE('',#157062,#157101,#79125,.T.); #192618=EDGE_CURVE('',#157102,#157103,#79126,.T.); #192619=EDGE_CURVE('',#157103,#157104,#79127,.T.); #192620=EDGE_CURVE('',#157105,#157104,#79128,.T.); #192621=EDGE_CURVE('',#157102,#157105,#79129,.T.); #192622=EDGE_CURVE('',#157106,#157102,#79130,.T.); #192623=EDGE_CURVE('',#157107,#157105,#79131,.T.); #192624=EDGE_CURVE('',#157106,#157107,#79132,.T.); #192625=EDGE_CURVE('',#157108,#157106,#79133,.T.); #192626=EDGE_CURVE('',#157109,#157107,#79134,.T.); #192627=EDGE_CURVE('',#157108,#157109,#79135,.T.); #192628=EDGE_CURVE('',#157110,#157108,#79136,.T.); #192629=EDGE_CURVE('',#157111,#157109,#79137,.T.); #192630=EDGE_CURVE('',#157110,#157111,#79138,.T.); #192631=EDGE_CURVE('',#157112,#157110,#79139,.T.); #192632=EDGE_CURVE('',#157113,#157111,#79140,.T.); #192633=EDGE_CURVE('',#157112,#157113,#79141,.T.); #192634=EDGE_CURVE('',#157114,#157112,#79142,.T.); #192635=EDGE_CURVE('',#157115,#157113,#79143,.T.); #192636=EDGE_CURVE('',#157114,#157115,#79144,.T.); #192637=EDGE_CURVE('',#157116,#157114,#79145,.T.); #192638=EDGE_CURVE('',#157117,#157115,#79146,.T.); #192639=EDGE_CURVE('',#157116,#157117,#79147,.T.); #192640=EDGE_CURVE('',#157118,#157116,#79148,.T.); #192641=EDGE_CURVE('',#157119,#157117,#79149,.T.); #192642=EDGE_CURVE('',#157118,#157119,#79150,.T.); #192643=EDGE_CURVE('',#157120,#157118,#79151,.T.); #192644=EDGE_CURVE('',#157121,#157119,#79152,.T.); #192645=EDGE_CURVE('',#157120,#157121,#79153,.T.); #192646=EDGE_CURVE('',#157122,#157120,#79154,.T.); #192647=EDGE_CURVE('',#157123,#157121,#79155,.T.); #192648=EDGE_CURVE('',#157122,#157123,#79156,.T.); #192649=EDGE_CURVE('',#157124,#157122,#79157,.T.); #192650=EDGE_CURVE('',#157125,#157123,#79158,.T.); #192651=EDGE_CURVE('',#157124,#157125,#79159,.T.); #192652=EDGE_CURVE('',#157126,#157124,#79160,.T.); #192653=EDGE_CURVE('',#157127,#157125,#79161,.T.); #192654=EDGE_CURVE('',#157126,#157127,#79162,.T.); #192655=EDGE_CURVE('',#157128,#157126,#79163,.T.); #192656=EDGE_CURVE('',#157129,#157127,#79164,.T.); #192657=EDGE_CURVE('',#157128,#157129,#79165,.T.); #192658=EDGE_CURVE('',#157130,#157128,#79166,.T.); #192659=EDGE_CURVE('',#157131,#157129,#79167,.T.); #192660=EDGE_CURVE('',#157130,#157131,#79168,.T.); #192661=EDGE_CURVE('',#157132,#157130,#79169,.T.); #192662=EDGE_CURVE('',#157133,#157131,#79170,.T.); #192663=EDGE_CURVE('',#157132,#157133,#79171,.T.); #192664=EDGE_CURVE('',#157134,#157132,#79172,.T.); #192665=EDGE_CURVE('',#157135,#157133,#79173,.T.); #192666=EDGE_CURVE('',#157134,#157135,#79174,.T.); #192667=EDGE_CURVE('',#157136,#157134,#79175,.T.); #192668=EDGE_CURVE('',#157137,#157135,#79176,.T.); #192669=EDGE_CURVE('',#157136,#157137,#79177,.T.); #192670=EDGE_CURVE('',#157138,#157136,#79178,.T.); #192671=EDGE_CURVE('',#157139,#157137,#79179,.T.); #192672=EDGE_CURVE('',#157138,#157139,#79180,.T.); #192673=EDGE_CURVE('',#157140,#157138,#79181,.T.); #192674=EDGE_CURVE('',#157141,#157139,#79182,.T.); #192675=EDGE_CURVE('',#157140,#157141,#79183,.T.); #192676=EDGE_CURVE('',#157103,#157140,#79184,.T.); #192677=EDGE_CURVE('',#157104,#157141,#79185,.T.); #192678=EDGE_CURVE('',#157142,#157142,#66943,.T.); #192679=EDGE_CURVE('',#157142,#157143,#79186,.T.); #192680=EDGE_CURVE('',#157143,#157143,#66944,.T.); #192681=EDGE_CURVE('',#157144,#157144,#66945,.T.); #192682=EDGE_CURVE('',#157144,#157145,#79187,.T.); #192683=EDGE_CURVE('',#157145,#157145,#66946,.T.); #192684=EDGE_CURVE('',#157146,#157146,#66947,.T.); #192685=EDGE_CURVE('',#157146,#157147,#79188,.T.); #192686=EDGE_CURVE('',#157147,#157147,#66948,.T.); #192687=EDGE_CURVE('',#157148,#157148,#66949,.T.); #192688=EDGE_CURVE('',#157148,#157149,#79189,.T.); #192689=EDGE_CURVE('',#157149,#157149,#66950,.T.); #192690=EDGE_CURVE('',#157150,#157150,#66951,.T.); #192691=EDGE_CURVE('',#157150,#157151,#79190,.T.); #192692=EDGE_CURVE('',#157151,#157151,#66952,.T.); #192693=EDGE_CURVE('',#157152,#157152,#66953,.T.); #192694=EDGE_CURVE('',#157152,#157153,#79191,.T.); #192695=EDGE_CURVE('',#157153,#157153,#66954,.T.); #192696=EDGE_CURVE('',#157154,#157154,#66955,.T.); #192697=EDGE_CURVE('',#157154,#157155,#79192,.T.); #192698=EDGE_CURVE('',#157155,#157155,#66956,.T.); #192699=EDGE_CURVE('',#157156,#157156,#66957,.T.); #192700=EDGE_CURVE('',#157156,#157157,#79193,.T.); #192701=EDGE_CURVE('',#157157,#157157,#66958,.T.); #192702=EDGE_CURVE('',#157158,#157158,#66959,.T.); #192703=EDGE_CURVE('',#157158,#157159,#79194,.T.); #192704=EDGE_CURVE('',#157159,#157159,#66960,.T.); #192705=EDGE_CURVE('',#157160,#157160,#66961,.T.); #192706=EDGE_CURVE('',#157160,#157161,#79195,.T.); #192707=EDGE_CURVE('',#157161,#157161,#66962,.T.); #192708=EDGE_CURVE('',#157162,#157162,#66963,.T.); #192709=EDGE_CURVE('',#157162,#157163,#79196,.T.); #192710=EDGE_CURVE('',#157163,#157163,#66964,.T.); #192711=EDGE_CURVE('',#157164,#157164,#66965,.T.); #192712=EDGE_CURVE('',#157164,#157165,#79197,.T.); #192713=EDGE_CURVE('',#157165,#157165,#66966,.T.); #192714=EDGE_CURVE('',#157166,#157166,#66967,.T.); #192715=EDGE_CURVE('',#157166,#157167,#79198,.T.); #192716=EDGE_CURVE('',#157167,#157167,#66968,.T.); #192717=EDGE_CURVE('',#157168,#157168,#66969,.T.); #192718=EDGE_CURVE('',#157168,#157169,#79199,.T.); #192719=EDGE_CURVE('',#157169,#157169,#66970,.T.); #192720=EDGE_CURVE('',#157170,#157170,#66971,.T.); #192721=EDGE_CURVE('',#157170,#157171,#79200,.T.); #192722=EDGE_CURVE('',#157171,#157171,#66972,.T.); #192723=EDGE_CURVE('',#157172,#157172,#66973,.T.); #192724=EDGE_CURVE('',#157172,#157173,#79201,.T.); #192725=EDGE_CURVE('',#157173,#157173,#66974,.T.); #192726=EDGE_CURVE('',#157174,#157174,#66975,.T.); #192727=EDGE_CURVE('',#157174,#157175,#79202,.T.); #192728=EDGE_CURVE('',#157175,#157175,#66976,.T.); #192729=EDGE_CURVE('',#157176,#157176,#66977,.T.); #192730=EDGE_CURVE('',#157176,#157177,#79203,.T.); #192731=EDGE_CURVE('',#157177,#157177,#66978,.T.); #192732=EDGE_CURVE('',#157178,#157178,#66979,.T.); #192733=EDGE_CURVE('',#157178,#157179,#79204,.T.); #192734=EDGE_CURVE('',#157179,#157179,#66980,.T.); #192735=EDGE_CURVE('',#157180,#157180,#66981,.T.); #192736=EDGE_CURVE('',#157180,#157181,#79205,.T.); #192737=EDGE_CURVE('',#157181,#157181,#66982,.T.); #192738=EDGE_CURVE('',#157182,#157182,#66983,.T.); #192739=EDGE_CURVE('',#157182,#157183,#79206,.T.); #192740=EDGE_CURVE('',#157183,#157183,#66984,.T.); #192741=EDGE_CURVE('',#157184,#157184,#66985,.T.); #192742=EDGE_CURVE('',#157184,#157185,#79207,.T.); #192743=EDGE_CURVE('',#157185,#157185,#66986,.T.); #192744=EDGE_CURVE('',#157186,#157186,#66987,.T.); #192745=EDGE_CURVE('',#157186,#157187,#79208,.T.); #192746=EDGE_CURVE('',#157187,#157187,#66988,.T.); #192747=EDGE_CURVE('',#157188,#157188,#66989,.T.); #192748=EDGE_CURVE('',#157188,#157189,#79209,.T.); #192749=EDGE_CURVE('',#157189,#157189,#66990,.T.); #192750=EDGE_CURVE('',#157190,#157190,#66991,.T.); #192751=EDGE_CURVE('',#157190,#157191,#79210,.T.); #192752=EDGE_CURVE('',#157191,#157191,#66992,.T.); #192753=EDGE_CURVE('',#157192,#157192,#66993,.T.); #192754=EDGE_CURVE('',#157192,#157193,#79211,.T.); #192755=EDGE_CURVE('',#157193,#157193,#66994,.T.); #192756=EDGE_CURVE('',#157194,#157194,#66995,.T.); #192757=EDGE_CURVE('',#157194,#157195,#79212,.T.); #192758=EDGE_CURVE('',#157195,#157195,#66996,.T.); #192759=EDGE_CURVE('',#157196,#157196,#66997,.T.); #192760=EDGE_CURVE('',#157196,#157197,#79213,.T.); #192761=EDGE_CURVE('',#157197,#157197,#66998,.T.); #192762=EDGE_CURVE('',#157198,#157198,#66999,.T.); #192763=EDGE_CURVE('',#157198,#157199,#79214,.T.); #192764=EDGE_CURVE('',#157199,#157199,#67000,.T.); #192765=EDGE_CURVE('',#157200,#157200,#67001,.T.); #192766=EDGE_CURVE('',#157200,#157201,#79215,.T.); #192767=EDGE_CURVE('',#157201,#157201,#67002,.T.); #192768=EDGE_CURVE('',#157202,#157202,#67003,.T.); #192769=EDGE_CURVE('',#157202,#157203,#79216,.T.); #192770=EDGE_CURVE('',#157203,#157203,#67004,.T.); #192771=EDGE_CURVE('',#157204,#157204,#67005,.T.); #192772=EDGE_CURVE('',#157204,#157205,#79217,.T.); #192773=EDGE_CURVE('',#157205,#157205,#67006,.T.); #192774=EDGE_CURVE('',#157206,#157206,#67007,.T.); #192775=EDGE_CURVE('',#157206,#157207,#79218,.T.); #192776=EDGE_CURVE('',#157207,#157207,#67008,.T.); #192777=EDGE_CURVE('',#157208,#157208,#67009,.T.); #192778=EDGE_CURVE('',#157208,#157209,#79219,.T.); #192779=EDGE_CURVE('',#157209,#157209,#67010,.T.); #192780=EDGE_CURVE('',#157210,#157210,#67011,.T.); #192781=EDGE_CURVE('',#157210,#157211,#79220,.T.); #192782=EDGE_CURVE('',#157211,#157211,#67012,.T.); #192783=EDGE_CURVE('',#157212,#157213,#79221,.T.); #192784=EDGE_CURVE('',#157214,#157212,#79222,.T.); #192785=EDGE_CURVE('',#157215,#157214,#79223,.T.); #192786=EDGE_CURVE('',#157215,#157213,#79224,.T.); #192787=EDGE_CURVE('',#157213,#157216,#67013,.T.); #192788=EDGE_CURVE('',#157217,#157215,#67014,.T.); #192789=EDGE_CURVE('',#157217,#157216,#79225,.T.); #192790=EDGE_CURVE('',#157216,#157218,#79226,.T.); #192791=EDGE_CURVE('',#157219,#157217,#79227,.T.); #192792=EDGE_CURVE('',#157219,#157218,#79228,.T.); #192793=EDGE_CURVE('',#157218,#157212,#67015,.T.); #192794=EDGE_CURVE('',#157214,#157219,#67016,.T.); #192795=EDGE_CURVE('',#157220,#157220,#67017,.T.); #192796=EDGE_CURVE('',#157220,#157221,#79229,.T.); #192797=EDGE_CURVE('',#157221,#157221,#67018,.T.); #192798=EDGE_CURVE('',#157222,#157222,#67019,.T.); #192799=EDGE_CURVE('',#157222,#157223,#79230,.T.); #192800=EDGE_CURVE('',#157223,#157223,#67020,.T.); #192801=EDGE_CURVE('',#157224,#157224,#67021,.T.); #192802=EDGE_CURVE('',#157224,#157225,#79231,.T.); #192803=EDGE_CURVE('',#157225,#157225,#67022,.T.); #192804=EDGE_CURVE('',#157226,#157226,#67023,.T.); #192805=EDGE_CURVE('',#157226,#157227,#79232,.T.); #192806=EDGE_CURVE('',#157227,#157227,#67024,.T.); #192807=EDGE_CURVE('',#157228,#157229,#79233,.T.); #192808=EDGE_CURVE('',#157230,#157228,#79234,.T.); #192809=EDGE_CURVE('',#157231,#157230,#79235,.T.); #192810=EDGE_CURVE('',#157231,#157229,#79236,.T.); #192811=EDGE_CURVE('',#157229,#157232,#67025,.T.); #192812=EDGE_CURVE('',#157233,#157231,#67026,.T.); #192813=EDGE_CURVE('',#157233,#157232,#79237,.T.); #192814=EDGE_CURVE('',#157232,#157234,#79238,.T.); #192815=EDGE_CURVE('',#157235,#157233,#79239,.T.); #192816=EDGE_CURVE('',#157235,#157234,#79240,.T.); #192817=EDGE_CURVE('',#157234,#157228,#67027,.T.); #192818=EDGE_CURVE('',#157230,#157235,#67028,.T.); #192819=EDGE_CURVE('',#157236,#157237,#79241,.T.); #192820=EDGE_CURVE('',#157238,#157236,#79242,.T.); #192821=EDGE_CURVE('',#157239,#157238,#79243,.T.); #192822=EDGE_CURVE('',#157239,#157237,#79244,.T.); #192823=EDGE_CURVE('',#157237,#157240,#67029,.T.); #192824=EDGE_CURVE('',#157241,#157239,#67030,.T.); #192825=EDGE_CURVE('',#157241,#157240,#79245,.T.); #192826=EDGE_CURVE('',#157240,#157242,#79246,.T.); #192827=EDGE_CURVE('',#157243,#157241,#79247,.T.); #192828=EDGE_CURVE('',#157243,#157242,#79248,.T.); #192829=EDGE_CURVE('',#157242,#157236,#67031,.T.); #192830=EDGE_CURVE('',#157238,#157243,#67032,.T.); #192831=EDGE_CURVE('',#157244,#157245,#79249,.T.); #192832=EDGE_CURVE('',#157246,#157244,#79250,.T.); #192833=EDGE_CURVE('',#157247,#157246,#79251,.T.); #192834=EDGE_CURVE('',#157247,#157245,#79252,.T.); #192835=EDGE_CURVE('',#157245,#157248,#67033,.T.); #192836=EDGE_CURVE('',#157249,#157247,#67034,.T.); #192837=EDGE_CURVE('',#157249,#157248,#79253,.T.); #192838=EDGE_CURVE('',#157248,#157250,#79254,.T.); #192839=EDGE_CURVE('',#157251,#157249,#79255,.T.); #192840=EDGE_CURVE('',#157251,#157250,#79256,.T.); #192841=EDGE_CURVE('',#157250,#157244,#67035,.T.); #192842=EDGE_CURVE('',#157246,#157251,#67036,.T.); #192843=EDGE_CURVE('',#157252,#157252,#67037,.T.); #192844=EDGE_CURVE('',#157252,#157253,#79257,.T.); #192845=EDGE_CURVE('',#157253,#157253,#67038,.T.); #192846=EDGE_CURVE('',#157254,#157254,#67039,.T.); #192847=EDGE_CURVE('',#157254,#157255,#79258,.T.); #192848=EDGE_CURVE('',#157255,#157255,#67040,.T.); #192849=EDGE_CURVE('',#157256,#157256,#67041,.T.); #192850=EDGE_CURVE('',#157256,#157257,#79259,.T.); #192851=EDGE_CURVE('',#157257,#157257,#67042,.T.); #192852=EDGE_CURVE('',#157258,#157258,#67043,.T.); #192853=EDGE_CURVE('',#157258,#157259,#79260,.T.); #192854=EDGE_CURVE('',#157259,#157259,#67044,.T.); #192855=EDGE_CURVE('',#157260,#157260,#67045,.T.); #192856=EDGE_CURVE('',#157260,#157261,#79261,.T.); #192857=EDGE_CURVE('',#157261,#157261,#67046,.T.); #192858=EDGE_CURVE('',#157262,#157262,#67047,.T.); #192859=EDGE_CURVE('',#157262,#157263,#79262,.T.); #192860=EDGE_CURVE('',#157263,#157263,#67048,.T.); #192861=EDGE_CURVE('',#157264,#157264,#67049,.T.); #192862=EDGE_CURVE('',#157264,#157265,#79263,.T.); #192863=EDGE_CURVE('',#157265,#157265,#67050,.T.); #192864=EDGE_CURVE('',#157266,#157266,#67051,.T.); #192865=EDGE_CURVE('',#157266,#157267,#79264,.T.); #192866=EDGE_CURVE('',#157267,#157267,#67052,.T.); #192867=EDGE_CURVE('',#157268,#157268,#67053,.T.); #192868=EDGE_CURVE('',#157268,#157269,#79265,.T.); #192869=EDGE_CURVE('',#157269,#157269,#67054,.T.); #192870=EDGE_CURVE('',#157270,#157270,#67055,.T.); #192871=EDGE_CURVE('',#157270,#157271,#79266,.T.); #192872=EDGE_CURVE('',#157271,#157271,#67056,.T.); #192873=EDGE_CURVE('',#157272,#157272,#67057,.T.); #192874=EDGE_CURVE('',#157272,#157273,#79267,.T.); #192875=EDGE_CURVE('',#157273,#157273,#67058,.T.); #192876=EDGE_CURVE('',#157274,#157274,#67059,.T.); #192877=EDGE_CURVE('',#157274,#157275,#79268,.T.); #192878=EDGE_CURVE('',#157275,#157275,#67060,.T.); #192879=EDGE_CURVE('',#157276,#157276,#67061,.T.); #192880=EDGE_CURVE('',#157276,#157277,#79269,.T.); #192881=EDGE_CURVE('',#157277,#157277,#67062,.T.); #192882=EDGE_CURVE('',#157278,#157278,#67063,.T.); #192883=EDGE_CURVE('',#157278,#157279,#79270,.T.); #192884=EDGE_CURVE('',#157279,#157279,#67064,.T.); #192885=EDGE_CURVE('',#157280,#157280,#67065,.T.); #192886=EDGE_CURVE('',#157280,#157281,#79271,.T.); #192887=EDGE_CURVE('',#157281,#157281,#67066,.T.); #192888=EDGE_CURVE('',#157282,#157282,#67067,.T.); #192889=EDGE_CURVE('',#157282,#157283,#79272,.T.); #192890=EDGE_CURVE('',#157283,#157283,#67068,.T.); #192891=EDGE_CURVE('',#157284,#157284,#67069,.T.); #192892=EDGE_CURVE('',#157284,#157285,#79273,.T.); #192893=EDGE_CURVE('',#157285,#157285,#67070,.T.); #192894=EDGE_CURVE('',#157286,#157286,#67071,.T.); #192895=EDGE_CURVE('',#157286,#157287,#79274,.T.); #192896=EDGE_CURVE('',#157287,#157287,#67072,.T.); #192897=EDGE_CURVE('',#157288,#157288,#67073,.T.); #192898=EDGE_CURVE('',#157288,#157289,#79275,.T.); #192899=EDGE_CURVE('',#157289,#157289,#67074,.T.); #192900=EDGE_CURVE('',#157290,#157291,#79276,.T.); #192901=EDGE_CURVE('',#157291,#157292,#79277,.T.); #192902=EDGE_CURVE('',#157293,#157292,#79278,.T.); #192903=EDGE_CURVE('',#157290,#157293,#79279,.T.); #192904=EDGE_CURVE('',#157294,#157290,#79280,.T.); #192905=EDGE_CURVE('',#157295,#157293,#79281,.T.); #192906=EDGE_CURVE('',#157294,#157295,#79282,.T.); #192907=EDGE_CURVE('',#157296,#157294,#79283,.T.); #192908=EDGE_CURVE('',#157297,#157295,#79284,.T.); #192909=EDGE_CURVE('',#157296,#157297,#79285,.T.); #192910=EDGE_CURVE('',#157298,#157296,#79286,.T.); #192911=EDGE_CURVE('',#157299,#157297,#79287,.T.); #192912=EDGE_CURVE('',#157298,#157299,#79288,.T.); #192913=EDGE_CURVE('',#157300,#157298,#79289,.T.); #192914=EDGE_CURVE('',#157301,#157299,#79290,.T.); #192915=EDGE_CURVE('',#157300,#157301,#79291,.T.); #192916=EDGE_CURVE('',#157302,#157300,#79292,.T.); #192917=EDGE_CURVE('',#157303,#157301,#79293,.T.); #192918=EDGE_CURVE('',#157302,#157303,#79294,.T.); #192919=EDGE_CURVE('',#157304,#157302,#79295,.T.); #192920=EDGE_CURVE('',#157305,#157303,#79296,.T.); #192921=EDGE_CURVE('',#157304,#157305,#79297,.T.); #192922=EDGE_CURVE('',#157291,#157304,#79298,.T.); #192923=EDGE_CURVE('',#157292,#157305,#79299,.T.); #192924=EDGE_CURVE('',#157306,#157307,#79300,.T.); #192925=EDGE_CURVE('',#157307,#157308,#79301,.T.); #192926=EDGE_CURVE('',#157309,#157308,#79302,.T.); #192927=EDGE_CURVE('',#157306,#157309,#79303,.T.); #192928=EDGE_CURVE('',#157310,#157306,#79304,.T.); #192929=EDGE_CURVE('',#157311,#157309,#79305,.T.); #192930=EDGE_CURVE('',#157310,#157311,#79306,.T.); #192931=EDGE_CURVE('',#157312,#157310,#79307,.T.); #192932=EDGE_CURVE('',#157313,#157311,#79308,.T.); #192933=EDGE_CURVE('',#157312,#157313,#79309,.T.); #192934=EDGE_CURVE('',#157314,#157312,#79310,.T.); #192935=EDGE_CURVE('',#157315,#157313,#79311,.T.); #192936=EDGE_CURVE('',#157314,#157315,#79312,.T.); #192937=EDGE_CURVE('',#157316,#157314,#79313,.T.); #192938=EDGE_CURVE('',#157317,#157315,#79314,.T.); #192939=EDGE_CURVE('',#157316,#157317,#79315,.T.); #192940=EDGE_CURVE('',#157318,#157316,#79316,.T.); #192941=EDGE_CURVE('',#157319,#157317,#79317,.T.); #192942=EDGE_CURVE('',#157318,#157319,#79318,.T.); #192943=EDGE_CURVE('',#157320,#157318,#79319,.T.); #192944=EDGE_CURVE('',#157321,#157319,#79320,.T.); #192945=EDGE_CURVE('',#157320,#157321,#79321,.T.); #192946=EDGE_CURVE('',#157322,#157320,#79322,.T.); #192947=EDGE_CURVE('',#157323,#157321,#79323,.T.); #192948=EDGE_CURVE('',#157322,#157323,#79324,.T.); #192949=EDGE_CURVE('',#157324,#157322,#79325,.T.); #192950=EDGE_CURVE('',#157325,#157323,#79326,.T.); #192951=EDGE_CURVE('',#157324,#157325,#79327,.T.); #192952=EDGE_CURVE('',#157326,#157324,#79328,.T.); #192953=EDGE_CURVE('',#157327,#157325,#79329,.T.); #192954=EDGE_CURVE('',#157326,#157327,#79330,.T.); #192955=EDGE_CURVE('',#157328,#157326,#79331,.T.); #192956=EDGE_CURVE('',#157329,#157327,#79332,.T.); #192957=EDGE_CURVE('',#157328,#157329,#79333,.T.); #192958=EDGE_CURVE('',#157330,#157328,#79334,.T.); #192959=EDGE_CURVE('',#157331,#157329,#79335,.T.); #192960=EDGE_CURVE('',#157330,#157331,#79336,.T.); #192961=EDGE_CURVE('',#157332,#157330,#79337,.T.); #192962=EDGE_CURVE('',#157333,#157331,#79338,.T.); #192963=EDGE_CURVE('',#157332,#157333,#79339,.T.); #192964=EDGE_CURVE('',#157334,#157332,#79340,.T.); #192965=EDGE_CURVE('',#157335,#157333,#79341,.T.); #192966=EDGE_CURVE('',#157334,#157335,#79342,.T.); #192967=EDGE_CURVE('',#157336,#157334,#79343,.T.); #192968=EDGE_CURVE('',#157337,#157335,#79344,.T.); #192969=EDGE_CURVE('',#157336,#157337,#79345,.T.); #192970=EDGE_CURVE('',#157307,#157336,#79346,.T.); #192971=EDGE_CURVE('',#157308,#157337,#79347,.T.); #192972=EDGE_CURVE('',#157338,#157339,#79348,.T.); #192973=EDGE_CURVE('',#157339,#157340,#79349,.T.); #192974=EDGE_CURVE('',#157341,#157340,#79350,.T.); #192975=EDGE_CURVE('',#157338,#157341,#79351,.T.); #192976=EDGE_CURVE('',#157342,#157338,#79352,.T.); #192977=EDGE_CURVE('',#157343,#157341,#79353,.T.); #192978=EDGE_CURVE('',#157342,#157343,#79354,.T.); #192979=EDGE_CURVE('',#157344,#157342,#79355,.T.); #192980=EDGE_CURVE('',#157345,#157343,#79356,.T.); #192981=EDGE_CURVE('',#157344,#157345,#79357,.T.); #192982=EDGE_CURVE('',#157346,#157344,#79358,.T.); #192983=EDGE_CURVE('',#157347,#157345,#79359,.T.); #192984=EDGE_CURVE('',#157346,#157347,#79360,.T.); #192985=EDGE_CURVE('',#157348,#157346,#79361,.T.); #192986=EDGE_CURVE('',#157349,#157347,#79362,.T.); #192987=EDGE_CURVE('',#157348,#157349,#79363,.T.); #192988=EDGE_CURVE('',#157350,#157348,#79364,.T.); #192989=EDGE_CURVE('',#157351,#157349,#79365,.T.); #192990=EDGE_CURVE('',#157350,#157351,#79366,.T.); #192991=EDGE_CURVE('',#157352,#157350,#79367,.T.); #192992=EDGE_CURVE('',#157353,#157351,#79368,.T.); #192993=EDGE_CURVE('',#157352,#157353,#79369,.T.); #192994=EDGE_CURVE('',#157354,#157352,#79370,.T.); #192995=EDGE_CURVE('',#157355,#157353,#79371,.T.); #192996=EDGE_CURVE('',#157354,#157355,#79372,.T.); #192997=EDGE_CURVE('',#157356,#157354,#79373,.T.); #192998=EDGE_CURVE('',#157357,#157355,#79374,.T.); #192999=EDGE_CURVE('',#157356,#157357,#79375,.T.); #193000=EDGE_CURVE('',#157358,#157356,#79376,.T.); #193001=EDGE_CURVE('',#157359,#157357,#79377,.T.); #193002=EDGE_CURVE('',#157358,#157359,#79378,.T.); #193003=EDGE_CURVE('',#157360,#157358,#79379,.T.); #193004=EDGE_CURVE('',#157361,#157359,#79380,.T.); #193005=EDGE_CURVE('',#157360,#157361,#79381,.T.); #193006=EDGE_CURVE('',#157362,#157360,#79382,.T.); #193007=EDGE_CURVE('',#157363,#157361,#79383,.T.); #193008=EDGE_CURVE('',#157362,#157363,#79384,.T.); #193009=EDGE_CURVE('',#157364,#157362,#79385,.T.); #193010=EDGE_CURVE('',#157365,#157363,#79386,.T.); #193011=EDGE_CURVE('',#157364,#157365,#79387,.T.); #193012=EDGE_CURVE('',#157366,#157364,#79388,.T.); #193013=EDGE_CURVE('',#157367,#157365,#79389,.T.); #193014=EDGE_CURVE('',#157366,#157367,#79390,.T.); #193015=EDGE_CURVE('',#157368,#157366,#79391,.T.); #193016=EDGE_CURVE('',#157369,#157367,#79392,.T.); #193017=EDGE_CURVE('',#157368,#157369,#79393,.T.); #193018=EDGE_CURVE('',#157339,#157368,#79394,.T.); #193019=EDGE_CURVE('',#157340,#157369,#79395,.T.); #193020=EDGE_CURVE('',#157370,#157371,#79396,.T.); #193021=EDGE_CURVE('',#157371,#157372,#79397,.T.); #193022=EDGE_CURVE('',#157373,#157372,#79398,.T.); #193023=EDGE_CURVE('',#157370,#157373,#79399,.T.); #193024=EDGE_CURVE('',#157374,#157370,#79400,.T.); #193025=EDGE_CURVE('',#157375,#157373,#79401,.T.); #193026=EDGE_CURVE('',#157374,#157375,#79402,.T.); #193027=EDGE_CURVE('',#157376,#157374,#79403,.T.); #193028=EDGE_CURVE('',#157377,#157375,#79404,.T.); #193029=EDGE_CURVE('',#157376,#157377,#79405,.T.); #193030=EDGE_CURVE('',#157378,#157376,#79406,.T.); #193031=EDGE_CURVE('',#157379,#157377,#79407,.T.); #193032=EDGE_CURVE('',#157378,#157379,#79408,.T.); #193033=EDGE_CURVE('',#157380,#157378,#79409,.T.); #193034=EDGE_CURVE('',#157381,#157379,#79410,.T.); #193035=EDGE_CURVE('',#157380,#157381,#79411,.T.); #193036=EDGE_CURVE('',#157382,#157380,#79412,.T.); #193037=EDGE_CURVE('',#157383,#157381,#79413,.T.); #193038=EDGE_CURVE('',#157382,#157383,#79414,.T.); #193039=EDGE_CURVE('',#157384,#157382,#79415,.T.); #193040=EDGE_CURVE('',#157385,#157383,#79416,.T.); #193041=EDGE_CURVE('',#157384,#157385,#79417,.T.); #193042=EDGE_CURVE('',#157386,#157384,#79418,.T.); #193043=EDGE_CURVE('',#157387,#157385,#79419,.T.); #193044=EDGE_CURVE('',#157386,#157387,#79420,.T.); #193045=EDGE_CURVE('',#157388,#157386,#79421,.T.); #193046=EDGE_CURVE('',#157389,#157387,#79422,.T.); #193047=EDGE_CURVE('',#157388,#157389,#79423,.T.); #193048=EDGE_CURVE('',#157390,#157388,#79424,.T.); #193049=EDGE_CURVE('',#157391,#157389,#79425,.T.); #193050=EDGE_CURVE('',#157390,#157391,#79426,.T.); #193051=EDGE_CURVE('',#157392,#157390,#79427,.T.); #193052=EDGE_CURVE('',#157393,#157391,#79428,.T.); #193053=EDGE_CURVE('',#157392,#157393,#79429,.T.); #193054=EDGE_CURVE('',#157394,#157392,#79430,.T.); #193055=EDGE_CURVE('',#157395,#157393,#79431,.T.); #193056=EDGE_CURVE('',#157394,#157395,#79432,.T.); #193057=EDGE_CURVE('',#157396,#157394,#79433,.T.); #193058=EDGE_CURVE('',#157397,#157395,#79434,.T.); #193059=EDGE_CURVE('',#157396,#157397,#79435,.T.); #193060=EDGE_CURVE('',#157398,#157396,#79436,.T.); #193061=EDGE_CURVE('',#157399,#157397,#79437,.T.); #193062=EDGE_CURVE('',#157398,#157399,#79438,.T.); #193063=EDGE_CURVE('',#157400,#157398,#79439,.T.); #193064=EDGE_CURVE('',#157401,#157399,#79440,.T.); #193065=EDGE_CURVE('',#157400,#157401,#79441,.T.); #193066=EDGE_CURVE('',#157402,#157400,#79442,.T.); #193067=EDGE_CURVE('',#157403,#157401,#79443,.T.); #193068=EDGE_CURVE('',#157402,#157403,#79444,.T.); #193069=EDGE_CURVE('',#157404,#157402,#79445,.T.); #193070=EDGE_CURVE('',#157405,#157403,#79446,.T.); #193071=EDGE_CURVE('',#157404,#157405,#79447,.T.); #193072=EDGE_CURVE('',#157406,#157404,#79448,.T.); #193073=EDGE_CURVE('',#157407,#157405,#79449,.T.); #193074=EDGE_CURVE('',#157406,#157407,#79450,.T.); #193075=EDGE_CURVE('',#157408,#157406,#79451,.T.); #193076=EDGE_CURVE('',#157409,#157407,#79452,.T.); #193077=EDGE_CURVE('',#157408,#157409,#79453,.T.); #193078=EDGE_CURVE('',#157410,#157408,#79454,.T.); #193079=EDGE_CURVE('',#157411,#157409,#79455,.T.); #193080=EDGE_CURVE('',#157410,#157411,#79456,.T.); #193081=EDGE_CURVE('',#157412,#157410,#79457,.T.); #193082=EDGE_CURVE('',#157413,#157411,#79458,.T.); #193083=EDGE_CURVE('',#157412,#157413,#79459,.T.); #193084=EDGE_CURVE('',#157414,#157412,#79460,.T.); #193085=EDGE_CURVE('',#157415,#157413,#79461,.T.); #193086=EDGE_CURVE('',#157414,#157415,#79462,.T.); #193087=EDGE_CURVE('',#157416,#157414,#79463,.T.); #193088=EDGE_CURVE('',#157417,#157415,#79464,.T.); #193089=EDGE_CURVE('',#157416,#157417,#79465,.T.); #193090=EDGE_CURVE('',#157418,#157416,#79466,.T.); #193091=EDGE_CURVE('',#157419,#157417,#79467,.T.); #193092=EDGE_CURVE('',#157418,#157419,#79468,.T.); #193093=EDGE_CURVE('',#157420,#157418,#79469,.T.); #193094=EDGE_CURVE('',#157421,#157419,#79470,.T.); #193095=EDGE_CURVE('',#157420,#157421,#79471,.T.); #193096=EDGE_CURVE('',#157422,#157420,#79472,.T.); #193097=EDGE_CURVE('',#157423,#157421,#79473,.T.); #193098=EDGE_CURVE('',#157422,#157423,#79474,.T.); #193099=EDGE_CURVE('',#157424,#157422,#79475,.T.); #193100=EDGE_CURVE('',#157425,#157423,#79476,.T.); #193101=EDGE_CURVE('',#157424,#157425,#79477,.T.); #193102=EDGE_CURVE('',#157426,#157424,#79478,.T.); #193103=EDGE_CURVE('',#157427,#157425,#79479,.T.); #193104=EDGE_CURVE('',#157426,#157427,#79480,.T.); #193105=EDGE_CURVE('',#157428,#157426,#79481,.T.); #193106=EDGE_CURVE('',#157429,#157427,#79482,.T.); #193107=EDGE_CURVE('',#157428,#157429,#79483,.T.); #193108=EDGE_CURVE('',#157430,#157428,#79484,.T.); #193109=EDGE_CURVE('',#157431,#157429,#79485,.T.); #193110=EDGE_CURVE('',#157430,#157431,#79486,.T.); #193111=EDGE_CURVE('',#157432,#157430,#79487,.T.); #193112=EDGE_CURVE('',#157433,#157431,#79488,.T.); #193113=EDGE_CURVE('',#157432,#157433,#79489,.T.); #193114=EDGE_CURVE('',#157434,#157432,#79490,.T.); #193115=EDGE_CURVE('',#157435,#157433,#79491,.T.); #193116=EDGE_CURVE('',#157434,#157435,#79492,.T.); #193117=EDGE_CURVE('',#157436,#157434,#79493,.T.); #193118=EDGE_CURVE('',#157437,#157435,#79494,.T.); #193119=EDGE_CURVE('',#157436,#157437,#79495,.T.); #193120=EDGE_CURVE('',#157438,#157436,#79496,.T.); #193121=EDGE_CURVE('',#157439,#157437,#79497,.T.); #193122=EDGE_CURVE('',#157438,#157439,#79498,.T.); #193123=EDGE_CURVE('',#157440,#157438,#79499,.T.); #193124=EDGE_CURVE('',#157441,#157439,#79500,.T.); #193125=EDGE_CURVE('',#157440,#157441,#79501,.T.); #193126=EDGE_CURVE('',#157371,#157440,#79502,.T.); #193127=EDGE_CURVE('',#157372,#157441,#79503,.T.); #193128=EDGE_CURVE('',#157442,#157443,#79504,.T.); #193129=EDGE_CURVE('',#157443,#157444,#79505,.T.); #193130=EDGE_CURVE('',#157445,#157444,#79506,.T.); #193131=EDGE_CURVE('',#157442,#157445,#79507,.T.); #193132=EDGE_CURVE('',#157446,#157442,#79508,.T.); #193133=EDGE_CURVE('',#157447,#157445,#79509,.T.); #193134=EDGE_CURVE('',#157446,#157447,#79510,.T.); #193135=EDGE_CURVE('',#157448,#157446,#79511,.T.); #193136=EDGE_CURVE('',#157449,#157447,#79512,.T.); #193137=EDGE_CURVE('',#157448,#157449,#79513,.T.); #193138=EDGE_CURVE('',#157450,#157448,#79514,.T.); #193139=EDGE_CURVE('',#157451,#157449,#79515,.T.); #193140=EDGE_CURVE('',#157450,#157451,#79516,.T.); #193141=EDGE_CURVE('',#157452,#157450,#79517,.T.); #193142=EDGE_CURVE('',#157453,#157451,#79518,.T.); #193143=EDGE_CURVE('',#157452,#157453,#79519,.T.); #193144=EDGE_CURVE('',#157454,#157452,#79520,.T.); #193145=EDGE_CURVE('',#157455,#157453,#79521,.T.); #193146=EDGE_CURVE('',#157454,#157455,#79522,.T.); #193147=EDGE_CURVE('',#157456,#157454,#79523,.T.); #193148=EDGE_CURVE('',#157457,#157455,#79524,.T.); #193149=EDGE_CURVE('',#157456,#157457,#79525,.T.); #193150=EDGE_CURVE('',#157458,#157456,#79526,.T.); #193151=EDGE_CURVE('',#157459,#157457,#79527,.T.); #193152=EDGE_CURVE('',#157458,#157459,#79528,.T.); #193153=EDGE_CURVE('',#157460,#157458,#79529,.T.); #193154=EDGE_CURVE('',#157461,#157459,#79530,.T.); #193155=EDGE_CURVE('',#157460,#157461,#79531,.T.); #193156=EDGE_CURVE('',#157462,#157460,#79532,.T.); #193157=EDGE_CURVE('',#157463,#157461,#79533,.T.); #193158=EDGE_CURVE('',#157462,#157463,#79534,.T.); #193159=EDGE_CURVE('',#157464,#157462,#79535,.T.); #193160=EDGE_CURVE('',#157465,#157463,#79536,.T.); #193161=EDGE_CURVE('',#157464,#157465,#79537,.T.); #193162=EDGE_CURVE('',#157466,#157464,#79538,.T.); #193163=EDGE_CURVE('',#157467,#157465,#79539,.T.); #193164=EDGE_CURVE('',#157466,#157467,#79540,.T.); #193165=EDGE_CURVE('',#157468,#157466,#79541,.T.); #193166=EDGE_CURVE('',#157469,#157467,#79542,.T.); #193167=EDGE_CURVE('',#157468,#157469,#79543,.T.); #193168=EDGE_CURVE('',#157470,#157468,#79544,.T.); #193169=EDGE_CURVE('',#157471,#157469,#79545,.T.); #193170=EDGE_CURVE('',#157470,#157471,#79546,.T.); #193171=EDGE_CURVE('',#157472,#157470,#79547,.T.); #193172=EDGE_CURVE('',#157473,#157471,#79548,.T.); #193173=EDGE_CURVE('',#157472,#157473,#79549,.T.); #193174=EDGE_CURVE('',#157474,#157472,#79550,.T.); #193175=EDGE_CURVE('',#157475,#157473,#79551,.T.); #193176=EDGE_CURVE('',#157474,#157475,#79552,.T.); #193177=EDGE_CURVE('',#157476,#157474,#79553,.T.); #193178=EDGE_CURVE('',#157477,#157475,#79554,.T.); #193179=EDGE_CURVE('',#157476,#157477,#79555,.T.); #193180=EDGE_CURVE('',#157478,#157476,#79556,.T.); #193181=EDGE_CURVE('',#157479,#157477,#79557,.T.); #193182=EDGE_CURVE('',#157478,#157479,#79558,.T.); #193183=EDGE_CURVE('',#157480,#157478,#79559,.T.); #193184=EDGE_CURVE('',#157481,#157479,#79560,.T.); #193185=EDGE_CURVE('',#157480,#157481,#79561,.T.); #193186=EDGE_CURVE('',#157482,#157480,#79562,.T.); #193187=EDGE_CURVE('',#157483,#157481,#79563,.T.); #193188=EDGE_CURVE('',#157482,#157483,#79564,.T.); #193189=EDGE_CURVE('',#157484,#157482,#79565,.T.); #193190=EDGE_CURVE('',#157485,#157483,#79566,.T.); #193191=EDGE_CURVE('',#157484,#157485,#79567,.T.); #193192=EDGE_CURVE('',#157486,#157484,#79568,.T.); #193193=EDGE_CURVE('',#157487,#157485,#79569,.T.); #193194=EDGE_CURVE('',#157486,#157487,#79570,.T.); #193195=EDGE_CURVE('',#157488,#157486,#79571,.T.); #193196=EDGE_CURVE('',#157489,#157487,#79572,.T.); #193197=EDGE_CURVE('',#157488,#157489,#79573,.T.); #193198=EDGE_CURVE('',#157490,#157488,#79574,.T.); #193199=EDGE_CURVE('',#157491,#157489,#79575,.T.); #193200=EDGE_CURVE('',#157490,#157491,#79576,.T.); #193201=EDGE_CURVE('',#157492,#157490,#79577,.T.); #193202=EDGE_CURVE('',#157493,#157491,#79578,.T.); #193203=EDGE_CURVE('',#157492,#157493,#79579,.T.); #193204=EDGE_CURVE('',#157494,#157492,#79580,.T.); #193205=EDGE_CURVE('',#157495,#157493,#79581,.T.); #193206=EDGE_CURVE('',#157494,#157495,#79582,.T.); #193207=EDGE_CURVE('',#157496,#157494,#79583,.T.); #193208=EDGE_CURVE('',#157497,#157495,#79584,.T.); #193209=EDGE_CURVE('',#157496,#157497,#79585,.T.); #193210=EDGE_CURVE('',#157498,#157496,#79586,.T.); #193211=EDGE_CURVE('',#157499,#157497,#79587,.T.); #193212=EDGE_CURVE('',#157498,#157499,#79588,.T.); #193213=EDGE_CURVE('',#157500,#157498,#79589,.T.); #193214=EDGE_CURVE('',#157501,#157499,#79590,.T.); #193215=EDGE_CURVE('',#157500,#157501,#79591,.T.); #193216=EDGE_CURVE('',#157502,#157500,#79592,.T.); #193217=EDGE_CURVE('',#157503,#157501,#79593,.T.); #193218=EDGE_CURVE('',#157502,#157503,#79594,.T.); #193219=EDGE_CURVE('',#157504,#157502,#79595,.T.); #193220=EDGE_CURVE('',#157505,#157503,#79596,.T.); #193221=EDGE_CURVE('',#157504,#157505,#79597,.T.); #193222=EDGE_CURVE('',#157506,#157504,#79598,.T.); #193223=EDGE_CURVE('',#157507,#157505,#79599,.T.); #193224=EDGE_CURVE('',#157506,#157507,#79600,.T.); #193225=EDGE_CURVE('',#157508,#157506,#79601,.T.); #193226=EDGE_CURVE('',#157509,#157507,#79602,.T.); #193227=EDGE_CURVE('',#157508,#157509,#79603,.T.); #193228=EDGE_CURVE('',#157510,#157508,#79604,.T.); #193229=EDGE_CURVE('',#157511,#157509,#79605,.T.); #193230=EDGE_CURVE('',#157510,#157511,#79606,.T.); #193231=EDGE_CURVE('',#157512,#157510,#79607,.T.); #193232=EDGE_CURVE('',#157513,#157511,#79608,.T.); #193233=EDGE_CURVE('',#157512,#157513,#79609,.T.); #193234=EDGE_CURVE('',#157443,#157512,#79610,.T.); #193235=EDGE_CURVE('',#157444,#157513,#79611,.T.); #193236=EDGE_CURVE('',#157514,#157515,#79612,.T.); #193237=EDGE_CURVE('',#157515,#157516,#79613,.T.); #193238=EDGE_CURVE('',#157517,#157516,#79614,.T.); #193239=EDGE_CURVE('',#157514,#157517,#79615,.T.); #193240=EDGE_CURVE('',#157518,#157514,#79616,.T.); #193241=EDGE_CURVE('',#157519,#157517,#79617,.T.); #193242=EDGE_CURVE('',#157518,#157519,#79618,.T.); #193243=EDGE_CURVE('',#157520,#157518,#79619,.T.); #193244=EDGE_CURVE('',#157521,#157519,#79620,.T.); #193245=EDGE_CURVE('',#157520,#157521,#79621,.T.); #193246=EDGE_CURVE('',#157522,#157520,#79622,.T.); #193247=EDGE_CURVE('',#157523,#157521,#79623,.T.); #193248=EDGE_CURVE('',#157522,#157523,#79624,.T.); #193249=EDGE_CURVE('',#157524,#157522,#79625,.T.); #193250=EDGE_CURVE('',#157525,#157523,#79626,.T.); #193251=EDGE_CURVE('',#157524,#157525,#79627,.T.); #193252=EDGE_CURVE('',#157526,#157524,#79628,.T.); #193253=EDGE_CURVE('',#157527,#157525,#79629,.T.); #193254=EDGE_CURVE('',#157526,#157527,#79630,.T.); #193255=EDGE_CURVE('',#157528,#157526,#79631,.T.); #193256=EDGE_CURVE('',#157529,#157527,#79632,.T.); #193257=EDGE_CURVE('',#157528,#157529,#79633,.T.); #193258=EDGE_CURVE('',#157530,#157528,#79634,.T.); #193259=EDGE_CURVE('',#157531,#157529,#79635,.T.); #193260=EDGE_CURVE('',#157530,#157531,#79636,.T.); #193261=EDGE_CURVE('',#157532,#157530,#79637,.T.); #193262=EDGE_CURVE('',#157533,#157531,#79638,.T.); #193263=EDGE_CURVE('',#157532,#157533,#79639,.T.); #193264=EDGE_CURVE('',#157534,#157532,#79640,.T.); #193265=EDGE_CURVE('',#157535,#157533,#79641,.T.); #193266=EDGE_CURVE('',#157534,#157535,#79642,.T.); #193267=EDGE_CURVE('',#157536,#157534,#79643,.T.); #193268=EDGE_CURVE('',#157537,#157535,#79644,.T.); #193269=EDGE_CURVE('',#157536,#157537,#79645,.T.); #193270=EDGE_CURVE('',#157538,#157536,#79646,.T.); #193271=EDGE_CURVE('',#157539,#157537,#79647,.T.); #193272=EDGE_CURVE('',#157538,#157539,#79648,.T.); #193273=EDGE_CURVE('',#157540,#157538,#79649,.T.); #193274=EDGE_CURVE('',#157541,#157539,#79650,.T.); #193275=EDGE_CURVE('',#157540,#157541,#79651,.T.); #193276=EDGE_CURVE('',#157542,#157540,#79652,.T.); #193277=EDGE_CURVE('',#157543,#157541,#79653,.T.); #193278=EDGE_CURVE('',#157542,#157543,#79654,.T.); #193279=EDGE_CURVE('',#157544,#157542,#79655,.T.); #193280=EDGE_CURVE('',#157545,#157543,#79656,.T.); #193281=EDGE_CURVE('',#157544,#157545,#79657,.T.); #193282=EDGE_CURVE('',#157546,#157544,#79658,.T.); #193283=EDGE_CURVE('',#157547,#157545,#79659,.T.); #193284=EDGE_CURVE('',#157546,#157547,#79660,.T.); #193285=EDGE_CURVE('',#157548,#157546,#79661,.T.); #193286=EDGE_CURVE('',#157549,#157547,#79662,.T.); #193287=EDGE_CURVE('',#157548,#157549,#79663,.T.); #193288=EDGE_CURVE('',#157550,#157548,#79664,.T.); #193289=EDGE_CURVE('',#157551,#157549,#79665,.T.); #193290=EDGE_CURVE('',#157550,#157551,#79666,.T.); #193291=EDGE_CURVE('',#157552,#157550,#79667,.T.); #193292=EDGE_CURVE('',#157553,#157551,#79668,.T.); #193293=EDGE_CURVE('',#157552,#157553,#79669,.T.); #193294=EDGE_CURVE('',#157554,#157552,#79670,.T.); #193295=EDGE_CURVE('',#157555,#157553,#79671,.T.); #193296=EDGE_CURVE('',#157554,#157555,#79672,.T.); #193297=EDGE_CURVE('',#157556,#157554,#79673,.T.); #193298=EDGE_CURVE('',#157557,#157555,#79674,.T.); #193299=EDGE_CURVE('',#157556,#157557,#79675,.T.); #193300=EDGE_CURVE('',#157558,#157556,#79676,.T.); #193301=EDGE_CURVE('',#157559,#157557,#79677,.T.); #193302=EDGE_CURVE('',#157558,#157559,#79678,.T.); #193303=EDGE_CURVE('',#157560,#157558,#79679,.T.); #193304=EDGE_CURVE('',#157561,#157559,#79680,.T.); #193305=EDGE_CURVE('',#157560,#157561,#79681,.T.); #193306=EDGE_CURVE('',#157562,#157560,#79682,.T.); #193307=EDGE_CURVE('',#157563,#157561,#79683,.T.); #193308=EDGE_CURVE('',#157562,#157563,#79684,.T.); #193309=EDGE_CURVE('',#157564,#157562,#79685,.T.); #193310=EDGE_CURVE('',#157565,#157563,#79686,.T.); #193311=EDGE_CURVE('',#157564,#157565,#79687,.T.); #193312=EDGE_CURVE('',#157566,#157564,#79688,.T.); #193313=EDGE_CURVE('',#157567,#157565,#79689,.T.); #193314=EDGE_CURVE('',#157566,#157567,#79690,.T.); #193315=EDGE_CURVE('',#157568,#157566,#79691,.T.); #193316=EDGE_CURVE('',#157569,#157567,#79692,.T.); #193317=EDGE_CURVE('',#157568,#157569,#79693,.T.); #193318=EDGE_CURVE('',#157570,#157568,#79694,.T.); #193319=EDGE_CURVE('',#157571,#157569,#79695,.T.); #193320=EDGE_CURVE('',#157570,#157571,#79696,.T.); #193321=EDGE_CURVE('',#157572,#157570,#79697,.T.); #193322=EDGE_CURVE('',#157573,#157571,#79698,.T.); #193323=EDGE_CURVE('',#157572,#157573,#79699,.T.); #193324=EDGE_CURVE('',#157574,#157572,#79700,.T.); #193325=EDGE_CURVE('',#157575,#157573,#79701,.T.); #193326=EDGE_CURVE('',#157574,#157575,#79702,.T.); #193327=EDGE_CURVE('',#157576,#157574,#79703,.T.); #193328=EDGE_CURVE('',#157577,#157575,#79704,.T.); #193329=EDGE_CURVE('',#157576,#157577,#79705,.T.); #193330=EDGE_CURVE('',#157578,#157576,#79706,.T.); #193331=EDGE_CURVE('',#157579,#157577,#79707,.T.); #193332=EDGE_CURVE('',#157578,#157579,#79708,.T.); #193333=EDGE_CURVE('',#157580,#157578,#79709,.T.); #193334=EDGE_CURVE('',#157581,#157579,#79710,.T.); #193335=EDGE_CURVE('',#157580,#157581,#79711,.T.); #193336=EDGE_CURVE('',#157582,#157580,#79712,.T.); #193337=EDGE_CURVE('',#157583,#157581,#79713,.T.); #193338=EDGE_CURVE('',#157582,#157583,#79714,.T.); #193339=EDGE_CURVE('',#157584,#157582,#79715,.T.); #193340=EDGE_CURVE('',#157585,#157583,#79716,.T.); #193341=EDGE_CURVE('',#157584,#157585,#79717,.T.); #193342=EDGE_CURVE('',#157586,#157584,#79718,.T.); #193343=EDGE_CURVE('',#157587,#157585,#79719,.T.); #193344=EDGE_CURVE('',#157586,#157587,#79720,.T.); #193345=EDGE_CURVE('',#157588,#157586,#79721,.T.); #193346=EDGE_CURVE('',#157589,#157587,#79722,.T.); #193347=EDGE_CURVE('',#157588,#157589,#79723,.T.); #193348=EDGE_CURVE('',#157590,#157588,#79724,.T.); #193349=EDGE_CURVE('',#157591,#157589,#79725,.T.); #193350=EDGE_CURVE('',#157590,#157591,#79726,.T.); #193351=EDGE_CURVE('',#157592,#157590,#79727,.T.); #193352=EDGE_CURVE('',#157593,#157591,#79728,.T.); #193353=EDGE_CURVE('',#157592,#157593,#79729,.T.); #193354=EDGE_CURVE('',#157594,#157592,#79730,.T.); #193355=EDGE_CURVE('',#157595,#157593,#79731,.T.); #193356=EDGE_CURVE('',#157594,#157595,#79732,.T.); #193357=EDGE_CURVE('',#157596,#157594,#79733,.T.); #193358=EDGE_CURVE('',#157597,#157595,#79734,.T.); #193359=EDGE_CURVE('',#157596,#157597,#79735,.T.); #193360=EDGE_CURVE('',#157598,#157596,#79736,.T.); #193361=EDGE_CURVE('',#157599,#157597,#79737,.T.); #193362=EDGE_CURVE('',#157598,#157599,#79738,.T.); #193363=EDGE_CURVE('',#157600,#157598,#79739,.T.); #193364=EDGE_CURVE('',#157601,#157599,#79740,.T.); #193365=EDGE_CURVE('',#157600,#157601,#79741,.T.); #193366=EDGE_CURVE('',#157602,#157600,#79742,.T.); #193367=EDGE_CURVE('',#157603,#157601,#79743,.T.); #193368=EDGE_CURVE('',#157602,#157603,#79744,.T.); #193369=EDGE_CURVE('',#157604,#157602,#79745,.T.); #193370=EDGE_CURVE('',#157605,#157603,#79746,.T.); #193371=EDGE_CURVE('',#157604,#157605,#79747,.T.); #193372=EDGE_CURVE('',#157606,#157604,#79748,.T.); #193373=EDGE_CURVE('',#157607,#157605,#79749,.T.); #193374=EDGE_CURVE('',#157606,#157607,#79750,.T.); #193375=EDGE_CURVE('',#157608,#157606,#79751,.T.); #193376=EDGE_CURVE('',#157609,#157607,#79752,.T.); #193377=EDGE_CURVE('',#157608,#157609,#79753,.T.); #193378=EDGE_CURVE('',#157610,#157608,#79754,.T.); #193379=EDGE_CURVE('',#157611,#157609,#79755,.T.); #193380=EDGE_CURVE('',#157610,#157611,#79756,.T.); #193381=EDGE_CURVE('',#157612,#157610,#79757,.T.); #193382=EDGE_CURVE('',#157613,#157611,#79758,.T.); #193383=EDGE_CURVE('',#157612,#157613,#79759,.T.); #193384=EDGE_CURVE('',#157614,#157612,#79760,.T.); #193385=EDGE_CURVE('',#157615,#157613,#79761,.T.); #193386=EDGE_CURVE('',#157614,#157615,#79762,.T.); #193387=EDGE_CURVE('',#157616,#157614,#79763,.T.); #193388=EDGE_CURVE('',#157617,#157615,#79764,.T.); #193389=EDGE_CURVE('',#157616,#157617,#79765,.T.); #193390=EDGE_CURVE('',#157618,#157616,#79766,.T.); #193391=EDGE_CURVE('',#157619,#157617,#79767,.T.); #193392=EDGE_CURVE('',#157618,#157619,#79768,.T.); #193393=EDGE_CURVE('',#157620,#157618,#79769,.T.); #193394=EDGE_CURVE('',#157621,#157619,#79770,.T.); #193395=EDGE_CURVE('',#157620,#157621,#79771,.T.); #193396=EDGE_CURVE('',#157622,#157620,#79772,.T.); #193397=EDGE_CURVE('',#157623,#157621,#79773,.T.); #193398=EDGE_CURVE('',#157622,#157623,#79774,.T.); #193399=EDGE_CURVE('',#157624,#157622,#79775,.T.); #193400=EDGE_CURVE('',#157625,#157623,#79776,.T.); #193401=EDGE_CURVE('',#157624,#157625,#79777,.T.); #193402=EDGE_CURVE('',#157626,#157624,#79778,.T.); #193403=EDGE_CURVE('',#157627,#157625,#79779,.T.); #193404=EDGE_CURVE('',#157626,#157627,#79780,.T.); #193405=EDGE_CURVE('',#157628,#157626,#79781,.T.); #193406=EDGE_CURVE('',#157629,#157627,#79782,.T.); #193407=EDGE_CURVE('',#157628,#157629,#79783,.T.); #193408=EDGE_CURVE('',#157630,#157628,#79784,.T.); #193409=EDGE_CURVE('',#157631,#157629,#79785,.T.); #193410=EDGE_CURVE('',#157630,#157631,#79786,.T.); #193411=EDGE_CURVE('',#157632,#157630,#79787,.T.); #193412=EDGE_CURVE('',#157633,#157631,#79788,.T.); #193413=EDGE_CURVE('',#157632,#157633,#79789,.T.); #193414=EDGE_CURVE('',#157634,#157632,#79790,.T.); #193415=EDGE_CURVE('',#157635,#157633,#79791,.T.); #193416=EDGE_CURVE('',#157634,#157635,#79792,.T.); #193417=EDGE_CURVE('',#157636,#157634,#79793,.T.); #193418=EDGE_CURVE('',#157637,#157635,#79794,.T.); #193419=EDGE_CURVE('',#157636,#157637,#79795,.T.); #193420=EDGE_CURVE('',#157638,#157636,#79796,.T.); #193421=EDGE_CURVE('',#157639,#157637,#79797,.T.); #193422=EDGE_CURVE('',#157638,#157639,#79798,.T.); #193423=EDGE_CURVE('',#157640,#157638,#79799,.T.); #193424=EDGE_CURVE('',#157641,#157639,#79800,.T.); #193425=EDGE_CURVE('',#157640,#157641,#79801,.T.); #193426=EDGE_CURVE('',#157642,#157640,#79802,.T.); #193427=EDGE_CURVE('',#157643,#157641,#79803,.T.); #193428=EDGE_CURVE('',#157642,#157643,#79804,.T.); #193429=EDGE_CURVE('',#157644,#157642,#79805,.T.); #193430=EDGE_CURVE('',#157645,#157643,#79806,.T.); #193431=EDGE_CURVE('',#157644,#157645,#79807,.T.); #193432=EDGE_CURVE('',#157646,#157644,#79808,.T.); #193433=EDGE_CURVE('',#157647,#157645,#79809,.T.); #193434=EDGE_CURVE('',#157646,#157647,#79810,.T.); #193435=EDGE_CURVE('',#157648,#157646,#79811,.T.); #193436=EDGE_CURVE('',#157649,#157647,#79812,.T.); #193437=EDGE_CURVE('',#157648,#157649,#79813,.T.); #193438=EDGE_CURVE('',#157650,#157648,#79814,.T.); #193439=EDGE_CURVE('',#157651,#157649,#79815,.T.); #193440=EDGE_CURVE('',#157650,#157651,#79816,.T.); #193441=EDGE_CURVE('',#157652,#157650,#79817,.T.); #193442=EDGE_CURVE('',#157653,#157651,#79818,.T.); #193443=EDGE_CURVE('',#157652,#157653,#79819,.T.); #193444=EDGE_CURVE('',#157654,#157652,#79820,.T.); #193445=EDGE_CURVE('',#157655,#157653,#79821,.T.); #193446=EDGE_CURVE('',#157654,#157655,#79822,.T.); #193447=EDGE_CURVE('',#157656,#157654,#79823,.T.); #193448=EDGE_CURVE('',#157657,#157655,#79824,.T.); #193449=EDGE_CURVE('',#157656,#157657,#79825,.T.); #193450=EDGE_CURVE('',#157658,#157656,#79826,.T.); #193451=EDGE_CURVE('',#157659,#157657,#79827,.T.); #193452=EDGE_CURVE('',#157658,#157659,#79828,.T.); #193453=EDGE_CURVE('',#157660,#157658,#79829,.T.); #193454=EDGE_CURVE('',#157661,#157659,#79830,.T.); #193455=EDGE_CURVE('',#157660,#157661,#79831,.T.); #193456=EDGE_CURVE('',#157662,#157660,#79832,.T.); #193457=EDGE_CURVE('',#157663,#157661,#79833,.T.); #193458=EDGE_CURVE('',#157662,#157663,#79834,.T.); #193459=EDGE_CURVE('',#157664,#157662,#79835,.T.); #193460=EDGE_CURVE('',#157665,#157663,#79836,.T.); #193461=EDGE_CURVE('',#157664,#157665,#79837,.T.); #193462=EDGE_CURVE('',#157666,#157664,#79838,.T.); #193463=EDGE_CURVE('',#157667,#157665,#79839,.T.); #193464=EDGE_CURVE('',#157666,#157667,#79840,.T.); #193465=EDGE_CURVE('',#157668,#157666,#79841,.T.); #193466=EDGE_CURVE('',#157669,#157667,#79842,.T.); #193467=EDGE_CURVE('',#157668,#157669,#79843,.T.); #193468=EDGE_CURVE('',#157670,#157668,#79844,.T.); #193469=EDGE_CURVE('',#157671,#157669,#79845,.T.); #193470=EDGE_CURVE('',#157670,#157671,#79846,.T.); #193471=EDGE_CURVE('',#157672,#157670,#79847,.T.); #193472=EDGE_CURVE('',#157673,#157671,#79848,.T.); #193473=EDGE_CURVE('',#157672,#157673,#79849,.T.); #193474=EDGE_CURVE('',#157674,#157672,#79850,.T.); #193475=EDGE_CURVE('',#157675,#157673,#79851,.T.); #193476=EDGE_CURVE('',#157674,#157675,#79852,.T.); #193477=EDGE_CURVE('',#157676,#157674,#79853,.T.); #193478=EDGE_CURVE('',#157677,#157675,#79854,.T.); #193479=EDGE_CURVE('',#157676,#157677,#79855,.T.); #193480=EDGE_CURVE('',#157678,#157676,#79856,.T.); #193481=EDGE_CURVE('',#157679,#157677,#79857,.T.); #193482=EDGE_CURVE('',#157678,#157679,#79858,.T.); #193483=EDGE_CURVE('',#157680,#157678,#79859,.T.); #193484=EDGE_CURVE('',#157681,#157679,#79860,.T.); #193485=EDGE_CURVE('',#157680,#157681,#79861,.T.); #193486=EDGE_CURVE('',#157682,#157680,#79862,.T.); #193487=EDGE_CURVE('',#157683,#157681,#79863,.T.); #193488=EDGE_CURVE('',#157682,#157683,#79864,.T.); #193489=EDGE_CURVE('',#157684,#157682,#79865,.T.); #193490=EDGE_CURVE('',#157685,#157683,#79866,.T.); #193491=EDGE_CURVE('',#157684,#157685,#79867,.T.); #193492=EDGE_CURVE('',#157686,#157684,#79868,.T.); #193493=EDGE_CURVE('',#157687,#157685,#79869,.T.); #193494=EDGE_CURVE('',#157686,#157687,#79870,.T.); #193495=EDGE_CURVE('',#157688,#157686,#79871,.T.); #193496=EDGE_CURVE('',#157689,#157687,#79872,.T.); #193497=EDGE_CURVE('',#157688,#157689,#79873,.T.); #193498=EDGE_CURVE('',#157690,#157688,#79874,.T.); #193499=EDGE_CURVE('',#157691,#157689,#79875,.T.); #193500=EDGE_CURVE('',#157690,#157691,#79876,.T.); #193501=EDGE_CURVE('',#157692,#157690,#79877,.T.); #193502=EDGE_CURVE('',#157693,#157691,#79878,.T.); #193503=EDGE_CURVE('',#157692,#157693,#79879,.T.); #193504=EDGE_CURVE('',#157694,#157692,#79880,.T.); #193505=EDGE_CURVE('',#157695,#157693,#79881,.T.); #193506=EDGE_CURVE('',#157694,#157695,#79882,.T.); #193507=EDGE_CURVE('',#157696,#157694,#79883,.T.); #193508=EDGE_CURVE('',#157697,#157695,#79884,.T.); #193509=EDGE_CURVE('',#157696,#157697,#79885,.T.); #193510=EDGE_CURVE('',#157698,#157696,#79886,.T.); #193511=EDGE_CURVE('',#157699,#157697,#79887,.T.); #193512=EDGE_CURVE('',#157698,#157699,#79888,.T.); #193513=EDGE_CURVE('',#157700,#157698,#79889,.T.); #193514=EDGE_CURVE('',#157701,#157699,#79890,.T.); #193515=EDGE_CURVE('',#157700,#157701,#79891,.T.); #193516=EDGE_CURVE('',#157702,#157700,#79892,.T.); #193517=EDGE_CURVE('',#157703,#157701,#79893,.T.); #193518=EDGE_CURVE('',#157702,#157703,#79894,.T.); #193519=EDGE_CURVE('',#157704,#157702,#79895,.T.); #193520=EDGE_CURVE('',#157705,#157703,#79896,.T.); #193521=EDGE_CURVE('',#157704,#157705,#79897,.T.); #193522=EDGE_CURVE('',#157706,#157704,#79898,.T.); #193523=EDGE_CURVE('',#157707,#157705,#79899,.T.); #193524=EDGE_CURVE('',#157706,#157707,#79900,.T.); #193525=EDGE_CURVE('',#157708,#157706,#79901,.T.); #193526=EDGE_CURVE('',#157709,#157707,#79902,.T.); #193527=EDGE_CURVE('',#157708,#157709,#79903,.T.); #193528=EDGE_CURVE('',#157710,#157708,#79904,.T.); #193529=EDGE_CURVE('',#157711,#157709,#79905,.T.); #193530=EDGE_CURVE('',#157710,#157711,#79906,.T.); #193531=EDGE_CURVE('',#157712,#157710,#79907,.T.); #193532=EDGE_CURVE('',#157713,#157711,#79908,.T.); #193533=EDGE_CURVE('',#157712,#157713,#79909,.T.); #193534=EDGE_CURVE('',#157714,#157712,#79910,.T.); #193535=EDGE_CURVE('',#157715,#157713,#79911,.T.); #193536=EDGE_CURVE('',#157714,#157715,#79912,.T.); #193537=EDGE_CURVE('',#157716,#157714,#79913,.T.); #193538=EDGE_CURVE('',#157717,#157715,#79914,.T.); #193539=EDGE_CURVE('',#157716,#157717,#79915,.T.); #193540=EDGE_CURVE('',#157718,#157716,#79916,.T.); #193541=EDGE_CURVE('',#157719,#157717,#79917,.T.); #193542=EDGE_CURVE('',#157718,#157719,#79918,.T.); #193543=EDGE_CURVE('',#157720,#157718,#79919,.T.); #193544=EDGE_CURVE('',#157721,#157719,#79920,.T.); #193545=EDGE_CURVE('',#157720,#157721,#79921,.T.); #193546=EDGE_CURVE('',#157722,#157720,#79922,.T.); #193547=EDGE_CURVE('',#157723,#157721,#79923,.T.); #193548=EDGE_CURVE('',#157722,#157723,#79924,.T.); #193549=EDGE_CURVE('',#157724,#157722,#79925,.T.); #193550=EDGE_CURVE('',#157725,#157723,#79926,.T.); #193551=EDGE_CURVE('',#157724,#157725,#79927,.T.); #193552=EDGE_CURVE('',#157726,#157724,#79928,.T.); #193553=EDGE_CURVE('',#157727,#157725,#79929,.T.); #193554=EDGE_CURVE('',#157726,#157727,#79930,.T.); #193555=EDGE_CURVE('',#157728,#157726,#79931,.T.); #193556=EDGE_CURVE('',#157729,#157727,#79932,.T.); #193557=EDGE_CURVE('',#157728,#157729,#79933,.T.); #193558=EDGE_CURVE('',#157730,#157728,#79934,.T.); #193559=EDGE_CURVE('',#157731,#157729,#79935,.T.); #193560=EDGE_CURVE('',#157730,#157731,#79936,.T.); #193561=EDGE_CURVE('',#157732,#157730,#79937,.T.); #193562=EDGE_CURVE('',#157733,#157731,#79938,.T.); #193563=EDGE_CURVE('',#157732,#157733,#79939,.T.); #193564=EDGE_CURVE('',#157734,#157732,#79940,.T.); #193565=EDGE_CURVE('',#157735,#157733,#79941,.T.); #193566=EDGE_CURVE('',#157734,#157735,#79942,.T.); #193567=EDGE_CURVE('',#157736,#157734,#79943,.T.); #193568=EDGE_CURVE('',#157737,#157735,#79944,.T.); #193569=EDGE_CURVE('',#157736,#157737,#79945,.T.); #193570=EDGE_CURVE('',#157738,#157736,#79946,.T.); #193571=EDGE_CURVE('',#157739,#157737,#79947,.T.); #193572=EDGE_CURVE('',#157738,#157739,#79948,.T.); #193573=EDGE_CURVE('',#157740,#157738,#79949,.T.); #193574=EDGE_CURVE('',#157741,#157739,#79950,.T.); #193575=EDGE_CURVE('',#157740,#157741,#79951,.T.); #193576=EDGE_CURVE('',#157742,#157740,#79952,.T.); #193577=EDGE_CURVE('',#157743,#157741,#79953,.T.); #193578=EDGE_CURVE('',#157742,#157743,#79954,.T.); #193579=EDGE_CURVE('',#157744,#157742,#79955,.T.); #193580=EDGE_CURVE('',#157745,#157743,#79956,.T.); #193581=EDGE_CURVE('',#157744,#157745,#79957,.T.); #193582=EDGE_CURVE('',#157746,#157744,#79958,.T.); #193583=EDGE_CURVE('',#157747,#157745,#79959,.T.); #193584=EDGE_CURVE('',#157746,#157747,#79960,.T.); #193585=EDGE_CURVE('',#157748,#157746,#79961,.T.); #193586=EDGE_CURVE('',#157749,#157747,#79962,.T.); #193587=EDGE_CURVE('',#157748,#157749,#79963,.T.); #193588=EDGE_CURVE('',#157750,#157748,#79964,.T.); #193589=EDGE_CURVE('',#157751,#157749,#79965,.T.); #193590=EDGE_CURVE('',#157750,#157751,#79966,.T.); #193591=EDGE_CURVE('',#157752,#157750,#79967,.T.); #193592=EDGE_CURVE('',#157753,#157751,#79968,.T.); #193593=EDGE_CURVE('',#157752,#157753,#79969,.T.); #193594=EDGE_CURVE('',#157754,#157752,#79970,.T.); #193595=EDGE_CURVE('',#157755,#157753,#79971,.T.); #193596=EDGE_CURVE('',#157754,#157755,#79972,.T.); #193597=EDGE_CURVE('',#157756,#157754,#79973,.T.); #193598=EDGE_CURVE('',#157757,#157755,#79974,.T.); #193599=EDGE_CURVE('',#157756,#157757,#79975,.T.); #193600=EDGE_CURVE('',#157758,#157756,#79976,.T.); #193601=EDGE_CURVE('',#157759,#157757,#79977,.T.); #193602=EDGE_CURVE('',#157758,#157759,#79978,.T.); #193603=EDGE_CURVE('',#157760,#157758,#79979,.T.); #193604=EDGE_CURVE('',#157761,#157759,#79980,.T.); #193605=EDGE_CURVE('',#157760,#157761,#79981,.T.); #193606=EDGE_CURVE('',#157762,#157760,#79982,.T.); #193607=EDGE_CURVE('',#157763,#157761,#79983,.T.); #193608=EDGE_CURVE('',#157762,#157763,#79984,.T.); #193609=EDGE_CURVE('',#157764,#157762,#79985,.T.); #193610=EDGE_CURVE('',#157765,#157763,#79986,.T.); #193611=EDGE_CURVE('',#157764,#157765,#79987,.T.); #193612=EDGE_CURVE('',#157766,#157764,#79988,.T.); #193613=EDGE_CURVE('',#157767,#157765,#79989,.T.); #193614=EDGE_CURVE('',#157766,#157767,#79990,.T.); #193615=EDGE_CURVE('',#157768,#157766,#79991,.T.); #193616=EDGE_CURVE('',#157769,#157767,#79992,.T.); #193617=EDGE_CURVE('',#157768,#157769,#79993,.T.); #193618=EDGE_CURVE('',#157770,#157768,#79994,.T.); #193619=EDGE_CURVE('',#157771,#157769,#79995,.T.); #193620=EDGE_CURVE('',#157770,#157771,#79996,.T.); #193621=EDGE_CURVE('',#157772,#157770,#79997,.T.); #193622=EDGE_CURVE('',#157773,#157771,#79998,.T.); #193623=EDGE_CURVE('',#157772,#157773,#79999,.T.); #193624=EDGE_CURVE('',#157774,#157772,#80000,.T.); #193625=EDGE_CURVE('',#157775,#157773,#80001,.T.); #193626=EDGE_CURVE('',#157774,#157775,#80002,.T.); #193627=EDGE_CURVE('',#157776,#157774,#80003,.T.); #193628=EDGE_CURVE('',#157777,#157775,#80004,.T.); #193629=EDGE_CURVE('',#157776,#157777,#80005,.T.); #193630=EDGE_CURVE('',#157778,#157776,#80006,.T.); #193631=EDGE_CURVE('',#157779,#157777,#80007,.T.); #193632=EDGE_CURVE('',#157778,#157779,#80008,.T.); #193633=EDGE_CURVE('',#157780,#157778,#80009,.T.); #193634=EDGE_CURVE('',#157781,#157779,#80010,.T.); #193635=EDGE_CURVE('',#157780,#157781,#80011,.T.); #193636=EDGE_CURVE('',#157782,#157780,#80012,.T.); #193637=EDGE_CURVE('',#157783,#157781,#80013,.T.); #193638=EDGE_CURVE('',#157782,#157783,#80014,.T.); #193639=EDGE_CURVE('',#157784,#157782,#80015,.T.); #193640=EDGE_CURVE('',#157785,#157783,#80016,.T.); #193641=EDGE_CURVE('',#157784,#157785,#80017,.T.); #193642=EDGE_CURVE('',#157786,#157784,#80018,.T.); #193643=EDGE_CURVE('',#157787,#157785,#80019,.T.); #193644=EDGE_CURVE('',#157786,#157787,#80020,.T.); #193645=EDGE_CURVE('',#157788,#157786,#80021,.T.); #193646=EDGE_CURVE('',#157789,#157787,#80022,.T.); #193647=EDGE_CURVE('',#157788,#157789,#80023,.T.); #193648=EDGE_CURVE('',#157790,#157788,#80024,.T.); #193649=EDGE_CURVE('',#157791,#157789,#80025,.T.); #193650=EDGE_CURVE('',#157790,#157791,#80026,.T.); #193651=EDGE_CURVE('',#157792,#157790,#80027,.T.); #193652=EDGE_CURVE('',#157793,#157791,#80028,.T.); #193653=EDGE_CURVE('',#157792,#157793,#80029,.T.); #193654=EDGE_CURVE('',#157794,#157792,#80030,.T.); #193655=EDGE_CURVE('',#157795,#157793,#80031,.T.); #193656=EDGE_CURVE('',#157794,#157795,#80032,.T.); #193657=EDGE_CURVE('',#157796,#157794,#80033,.T.); #193658=EDGE_CURVE('',#157797,#157795,#80034,.T.); #193659=EDGE_CURVE('',#157796,#157797,#80035,.T.); #193660=EDGE_CURVE('',#157798,#157796,#80036,.T.); #193661=EDGE_CURVE('',#157799,#157797,#80037,.T.); #193662=EDGE_CURVE('',#157798,#157799,#80038,.T.); #193663=EDGE_CURVE('',#157800,#157798,#80039,.T.); #193664=EDGE_CURVE('',#157801,#157799,#80040,.T.); #193665=EDGE_CURVE('',#157800,#157801,#80041,.T.); #193666=EDGE_CURVE('',#157802,#157800,#80042,.T.); #193667=EDGE_CURVE('',#157803,#157801,#80043,.T.); #193668=EDGE_CURVE('',#157802,#157803,#80044,.T.); #193669=EDGE_CURVE('',#157804,#157802,#80045,.T.); #193670=EDGE_CURVE('',#157805,#157803,#80046,.T.); #193671=EDGE_CURVE('',#157804,#157805,#80047,.T.); #193672=EDGE_CURVE('',#157806,#157804,#80048,.T.); #193673=EDGE_CURVE('',#157807,#157805,#80049,.T.); #193674=EDGE_CURVE('',#157806,#157807,#80050,.T.); #193675=EDGE_CURVE('',#157808,#157806,#80051,.T.); #193676=EDGE_CURVE('',#157809,#157807,#80052,.T.); #193677=EDGE_CURVE('',#157808,#157809,#80053,.T.); #193678=EDGE_CURVE('',#157810,#157808,#80054,.T.); #193679=EDGE_CURVE('',#157811,#157809,#80055,.T.); #193680=EDGE_CURVE('',#157810,#157811,#80056,.T.); #193681=EDGE_CURVE('',#157812,#157810,#80057,.T.); #193682=EDGE_CURVE('',#157813,#157811,#80058,.T.); #193683=EDGE_CURVE('',#157812,#157813,#80059,.T.); #193684=EDGE_CURVE('',#157814,#157812,#80060,.T.); #193685=EDGE_CURVE('',#157815,#157813,#80061,.T.); #193686=EDGE_CURVE('',#157814,#157815,#80062,.T.); #193687=EDGE_CURVE('',#157816,#157814,#80063,.T.); #193688=EDGE_CURVE('',#157817,#157815,#80064,.T.); #193689=EDGE_CURVE('',#157816,#157817,#80065,.T.); #193690=EDGE_CURVE('',#157818,#157816,#80066,.T.); #193691=EDGE_CURVE('',#157819,#157817,#80067,.T.); #193692=EDGE_CURVE('',#157818,#157819,#80068,.T.); #193693=EDGE_CURVE('',#157820,#157818,#80069,.T.); #193694=EDGE_CURVE('',#157821,#157819,#80070,.T.); #193695=EDGE_CURVE('',#157820,#157821,#80071,.T.); #193696=EDGE_CURVE('',#157822,#157820,#80072,.T.); #193697=EDGE_CURVE('',#157823,#157821,#80073,.T.); #193698=EDGE_CURVE('',#157822,#157823,#80074,.T.); #193699=EDGE_CURVE('',#157824,#157822,#80075,.T.); #193700=EDGE_CURVE('',#157825,#157823,#80076,.T.); #193701=EDGE_CURVE('',#157824,#157825,#80077,.T.); #193702=EDGE_CURVE('',#157826,#157824,#80078,.T.); #193703=EDGE_CURVE('',#157827,#157825,#80079,.T.); #193704=EDGE_CURVE('',#157826,#157827,#80080,.T.); #193705=EDGE_CURVE('',#157828,#157826,#80081,.T.); #193706=EDGE_CURVE('',#157829,#157827,#80082,.T.); #193707=EDGE_CURVE('',#157828,#157829,#80083,.T.); #193708=EDGE_CURVE('',#157830,#157828,#80084,.T.); #193709=EDGE_CURVE('',#157831,#157829,#80085,.T.); #193710=EDGE_CURVE('',#157830,#157831,#80086,.T.); #193711=EDGE_CURVE('',#157832,#157830,#80087,.T.); #193712=EDGE_CURVE('',#157833,#157831,#80088,.T.); #193713=EDGE_CURVE('',#157832,#157833,#80089,.T.); #193714=EDGE_CURVE('',#157834,#157832,#80090,.T.); #193715=EDGE_CURVE('',#157835,#157833,#80091,.T.); #193716=EDGE_CURVE('',#157834,#157835,#80092,.T.); #193717=EDGE_CURVE('',#157836,#157834,#80093,.T.); #193718=EDGE_CURVE('',#157837,#157835,#80094,.T.); #193719=EDGE_CURVE('',#157836,#157837,#80095,.T.); #193720=EDGE_CURVE('',#157838,#157836,#80096,.T.); #193721=EDGE_CURVE('',#157839,#157837,#80097,.T.); #193722=EDGE_CURVE('',#157838,#157839,#80098,.T.); #193723=EDGE_CURVE('',#157840,#157838,#80099,.T.); #193724=EDGE_CURVE('',#157841,#157839,#80100,.T.); #193725=EDGE_CURVE('',#157840,#157841,#80101,.T.); #193726=EDGE_CURVE('',#157842,#157840,#80102,.T.); #193727=EDGE_CURVE('',#157843,#157841,#80103,.T.); #193728=EDGE_CURVE('',#157842,#157843,#80104,.T.); #193729=EDGE_CURVE('',#157844,#157842,#80105,.T.); #193730=EDGE_CURVE('',#157845,#157843,#80106,.T.); #193731=EDGE_CURVE('',#157844,#157845,#80107,.T.); #193732=EDGE_CURVE('',#157846,#157844,#80108,.T.); #193733=EDGE_CURVE('',#157847,#157845,#80109,.T.); #193734=EDGE_CURVE('',#157846,#157847,#80110,.T.); #193735=EDGE_CURVE('',#157848,#157846,#80111,.T.); #193736=EDGE_CURVE('',#157849,#157847,#80112,.T.); #193737=EDGE_CURVE('',#157848,#157849,#80113,.T.); #193738=EDGE_CURVE('',#157850,#157848,#80114,.T.); #193739=EDGE_CURVE('',#157851,#157849,#80115,.T.); #193740=EDGE_CURVE('',#157850,#157851,#80116,.T.); #193741=EDGE_CURVE('',#157852,#157850,#80117,.T.); #193742=EDGE_CURVE('',#157853,#157851,#80118,.T.); #193743=EDGE_CURVE('',#157852,#157853,#80119,.T.); #193744=EDGE_CURVE('',#157854,#157852,#80120,.T.); #193745=EDGE_CURVE('',#157855,#157853,#80121,.T.); #193746=EDGE_CURVE('',#157854,#157855,#80122,.T.); #193747=EDGE_CURVE('',#157856,#157854,#80123,.T.); #193748=EDGE_CURVE('',#157857,#157855,#80124,.T.); #193749=EDGE_CURVE('',#157856,#157857,#80125,.T.); #193750=EDGE_CURVE('',#157858,#157856,#80126,.T.); #193751=EDGE_CURVE('',#157859,#157857,#80127,.T.); #193752=EDGE_CURVE('',#157858,#157859,#80128,.T.); #193753=EDGE_CURVE('',#157860,#157858,#80129,.T.); #193754=EDGE_CURVE('',#157861,#157859,#80130,.T.); #193755=EDGE_CURVE('',#157860,#157861,#80131,.T.); #193756=EDGE_CURVE('',#157862,#157860,#80132,.T.); #193757=EDGE_CURVE('',#157863,#157861,#80133,.T.); #193758=EDGE_CURVE('',#157862,#157863,#80134,.T.); #193759=EDGE_CURVE('',#157864,#157862,#80135,.T.); #193760=EDGE_CURVE('',#157865,#157863,#80136,.T.); #193761=EDGE_CURVE('',#157864,#157865,#80137,.T.); #193762=EDGE_CURVE('',#157866,#157864,#80138,.T.); #193763=EDGE_CURVE('',#157867,#157865,#80139,.T.); #193764=EDGE_CURVE('',#157866,#157867,#80140,.T.); #193765=EDGE_CURVE('',#157868,#157866,#80141,.T.); #193766=EDGE_CURVE('',#157869,#157867,#80142,.T.); #193767=EDGE_CURVE('',#157868,#157869,#80143,.T.); #193768=EDGE_CURVE('',#157870,#157868,#80144,.T.); #193769=EDGE_CURVE('',#157871,#157869,#80145,.T.); #193770=EDGE_CURVE('',#157870,#157871,#80146,.T.); #193771=EDGE_CURVE('',#157872,#157870,#80147,.T.); #193772=EDGE_CURVE('',#157873,#157871,#80148,.T.); #193773=EDGE_CURVE('',#157872,#157873,#80149,.T.); #193774=EDGE_CURVE('',#157874,#157872,#80150,.T.); #193775=EDGE_CURVE('',#157875,#157873,#80151,.T.); #193776=EDGE_CURVE('',#157874,#157875,#80152,.T.); #193777=EDGE_CURVE('',#157876,#157874,#80153,.T.); #193778=EDGE_CURVE('',#157877,#157875,#80154,.T.); #193779=EDGE_CURVE('',#157876,#157877,#80155,.T.); #193780=EDGE_CURVE('',#157878,#157876,#80156,.T.); #193781=EDGE_CURVE('',#157879,#157877,#80157,.T.); #193782=EDGE_CURVE('',#157878,#157879,#80158,.T.); #193783=EDGE_CURVE('',#157880,#157878,#80159,.T.); #193784=EDGE_CURVE('',#157881,#157879,#80160,.T.); #193785=EDGE_CURVE('',#157880,#157881,#80161,.T.); #193786=EDGE_CURVE('',#157882,#157880,#80162,.T.); #193787=EDGE_CURVE('',#157883,#157881,#80163,.T.); #193788=EDGE_CURVE('',#157882,#157883,#80164,.T.); #193789=EDGE_CURVE('',#157884,#157882,#80165,.T.); #193790=EDGE_CURVE('',#157885,#157883,#80166,.T.); #193791=EDGE_CURVE('',#157884,#157885,#80167,.T.); #193792=EDGE_CURVE('',#157886,#157884,#80168,.T.); #193793=EDGE_CURVE('',#157887,#157885,#80169,.T.); #193794=EDGE_CURVE('',#157886,#157887,#80170,.T.); #193795=EDGE_CURVE('',#157888,#157886,#80171,.T.); #193796=EDGE_CURVE('',#157889,#157887,#80172,.T.); #193797=EDGE_CURVE('',#157888,#157889,#80173,.T.); #193798=EDGE_CURVE('',#157890,#157888,#80174,.T.); #193799=EDGE_CURVE('',#157891,#157889,#80175,.T.); #193800=EDGE_CURVE('',#157890,#157891,#80176,.T.); #193801=EDGE_CURVE('',#157892,#157890,#80177,.T.); #193802=EDGE_CURVE('',#157893,#157891,#80178,.T.); #193803=EDGE_CURVE('',#157892,#157893,#80179,.T.); #193804=EDGE_CURVE('',#157894,#157892,#80180,.T.); #193805=EDGE_CURVE('',#157895,#157893,#80181,.T.); #193806=EDGE_CURVE('',#157894,#157895,#80182,.T.); #193807=EDGE_CURVE('',#157896,#157894,#80183,.T.); #193808=EDGE_CURVE('',#157897,#157895,#80184,.T.); #193809=EDGE_CURVE('',#157896,#157897,#80185,.T.); #193810=EDGE_CURVE('',#157898,#157896,#80186,.T.); #193811=EDGE_CURVE('',#157899,#157897,#80187,.T.); #193812=EDGE_CURVE('',#157898,#157899,#80188,.T.); #193813=EDGE_CURVE('',#157900,#157898,#80189,.T.); #193814=EDGE_CURVE('',#157901,#157899,#80190,.T.); #193815=EDGE_CURVE('',#157900,#157901,#80191,.T.); #193816=EDGE_CURVE('',#157902,#157900,#80192,.T.); #193817=EDGE_CURVE('',#157903,#157901,#80193,.T.); #193818=EDGE_CURVE('',#157902,#157903,#80194,.T.); #193819=EDGE_CURVE('',#157904,#157902,#80195,.T.); #193820=EDGE_CURVE('',#157905,#157903,#80196,.T.); #193821=EDGE_CURVE('',#157904,#157905,#80197,.T.); #193822=EDGE_CURVE('',#157906,#157904,#80198,.T.); #193823=EDGE_CURVE('',#157907,#157905,#80199,.T.); #193824=EDGE_CURVE('',#157906,#157907,#80200,.T.); #193825=EDGE_CURVE('',#157908,#157906,#80201,.T.); #193826=EDGE_CURVE('',#157909,#157907,#80202,.T.); #193827=EDGE_CURVE('',#157908,#157909,#80203,.T.); #193828=EDGE_CURVE('',#157910,#157908,#80204,.T.); #193829=EDGE_CURVE('',#157911,#157909,#80205,.T.); #193830=EDGE_CURVE('',#157910,#157911,#80206,.T.); #193831=EDGE_CURVE('',#157912,#157910,#80207,.T.); #193832=EDGE_CURVE('',#157913,#157911,#80208,.T.); #193833=EDGE_CURVE('',#157912,#157913,#80209,.T.); #193834=EDGE_CURVE('',#157914,#157912,#80210,.T.); #193835=EDGE_CURVE('',#157915,#157913,#80211,.T.); #193836=EDGE_CURVE('',#157914,#157915,#80212,.T.); #193837=EDGE_CURVE('',#157916,#157914,#80213,.T.); #193838=EDGE_CURVE('',#157917,#157915,#80214,.T.); #193839=EDGE_CURVE('',#157916,#157917,#80215,.T.); #193840=EDGE_CURVE('',#157918,#157916,#80216,.T.); #193841=EDGE_CURVE('',#157919,#157917,#80217,.T.); #193842=EDGE_CURVE('',#157918,#157919,#80218,.T.); #193843=EDGE_CURVE('',#157920,#157918,#80219,.T.); #193844=EDGE_CURVE('',#157921,#157919,#80220,.T.); #193845=EDGE_CURVE('',#157920,#157921,#80221,.T.); #193846=EDGE_CURVE('',#157922,#157920,#80222,.T.); #193847=EDGE_CURVE('',#157923,#157921,#80223,.T.); #193848=EDGE_CURVE('',#157922,#157923,#80224,.T.); #193849=EDGE_CURVE('',#157924,#157922,#80225,.T.); #193850=EDGE_CURVE('',#157925,#157923,#80226,.T.); #193851=EDGE_CURVE('',#157924,#157925,#80227,.T.); #193852=EDGE_CURVE('',#157926,#157924,#80228,.T.); #193853=EDGE_CURVE('',#157927,#157925,#80229,.T.); #193854=EDGE_CURVE('',#157926,#157927,#80230,.T.); #193855=EDGE_CURVE('',#157928,#157926,#80231,.T.); #193856=EDGE_CURVE('',#157929,#157927,#80232,.T.); #193857=EDGE_CURVE('',#157928,#157929,#80233,.T.); #193858=EDGE_CURVE('',#157930,#157928,#80234,.T.); #193859=EDGE_CURVE('',#157931,#157929,#80235,.T.); #193860=EDGE_CURVE('',#157930,#157931,#80236,.T.); #193861=EDGE_CURVE('',#157932,#157930,#80237,.T.); #193862=EDGE_CURVE('',#157933,#157931,#80238,.T.); #193863=EDGE_CURVE('',#157932,#157933,#80239,.T.); #193864=EDGE_CURVE('',#157934,#157932,#80240,.T.); #193865=EDGE_CURVE('',#157935,#157933,#80241,.T.); #193866=EDGE_CURVE('',#157934,#157935,#80242,.T.); #193867=EDGE_CURVE('',#157936,#157934,#80243,.T.); #193868=EDGE_CURVE('',#157937,#157935,#80244,.T.); #193869=EDGE_CURVE('',#157936,#157937,#80245,.T.); #193870=EDGE_CURVE('',#157938,#157936,#80246,.T.); #193871=EDGE_CURVE('',#157939,#157937,#80247,.T.); #193872=EDGE_CURVE('',#157938,#157939,#80248,.T.); #193873=EDGE_CURVE('',#157940,#157938,#80249,.T.); #193874=EDGE_CURVE('',#157941,#157939,#80250,.T.); #193875=EDGE_CURVE('',#157940,#157941,#80251,.T.); #193876=EDGE_CURVE('',#157942,#157940,#80252,.T.); #193877=EDGE_CURVE('',#157943,#157941,#80253,.T.); #193878=EDGE_CURVE('',#157942,#157943,#80254,.T.); #193879=EDGE_CURVE('',#157944,#157942,#80255,.T.); #193880=EDGE_CURVE('',#157945,#157943,#80256,.T.); #193881=EDGE_CURVE('',#157944,#157945,#80257,.T.); #193882=EDGE_CURVE('',#157946,#157944,#80258,.T.); #193883=EDGE_CURVE('',#157947,#157945,#80259,.T.); #193884=EDGE_CURVE('',#157946,#157947,#80260,.T.); #193885=EDGE_CURVE('',#157948,#157946,#80261,.T.); #193886=EDGE_CURVE('',#157949,#157947,#80262,.T.); #193887=EDGE_CURVE('',#157948,#157949,#80263,.T.); #193888=EDGE_CURVE('',#157950,#157948,#80264,.T.); #193889=EDGE_CURVE('',#157951,#157949,#80265,.T.); #193890=EDGE_CURVE('',#157950,#157951,#80266,.T.); #193891=EDGE_CURVE('',#157952,#157950,#80267,.T.); #193892=EDGE_CURVE('',#157953,#157951,#80268,.T.); #193893=EDGE_CURVE('',#157952,#157953,#80269,.T.); #193894=EDGE_CURVE('',#157954,#157952,#80270,.T.); #193895=EDGE_CURVE('',#157955,#157953,#80271,.T.); #193896=EDGE_CURVE('',#157954,#157955,#80272,.T.); #193897=EDGE_CURVE('',#157956,#157954,#80273,.T.); #193898=EDGE_CURVE('',#157957,#157955,#80274,.T.); #193899=EDGE_CURVE('',#157956,#157957,#80275,.T.); #193900=EDGE_CURVE('',#157958,#157956,#80276,.T.); #193901=EDGE_CURVE('',#157959,#157957,#80277,.T.); #193902=EDGE_CURVE('',#157958,#157959,#80278,.T.); #193903=EDGE_CURVE('',#157960,#157958,#80279,.T.); #193904=EDGE_CURVE('',#157961,#157959,#80280,.T.); #193905=EDGE_CURVE('',#157960,#157961,#80281,.T.); #193906=EDGE_CURVE('',#157962,#157960,#80282,.T.); #193907=EDGE_CURVE('',#157963,#157961,#80283,.T.); #193908=EDGE_CURVE('',#157962,#157963,#80284,.T.); #193909=EDGE_CURVE('',#157964,#157962,#80285,.T.); #193910=EDGE_CURVE('',#157965,#157963,#80286,.T.); #193911=EDGE_CURVE('',#157964,#157965,#80287,.T.); #193912=EDGE_CURVE('',#157966,#157964,#80288,.T.); #193913=EDGE_CURVE('',#157967,#157965,#80289,.T.); #193914=EDGE_CURVE('',#157966,#157967,#80290,.T.); #193915=EDGE_CURVE('',#157968,#157966,#80291,.T.); #193916=EDGE_CURVE('',#157969,#157967,#80292,.T.); #193917=EDGE_CURVE('',#157968,#157969,#80293,.T.); #193918=EDGE_CURVE('',#157970,#157968,#80294,.T.); #193919=EDGE_CURVE('',#157971,#157969,#80295,.T.); #193920=EDGE_CURVE('',#157970,#157971,#80296,.T.); #193921=EDGE_CURVE('',#157972,#157970,#80297,.T.); #193922=EDGE_CURVE('',#157973,#157971,#80298,.T.); #193923=EDGE_CURVE('',#157972,#157973,#80299,.T.); #193924=EDGE_CURVE('',#157974,#157972,#80300,.T.); #193925=EDGE_CURVE('',#157975,#157973,#80301,.T.); #193926=EDGE_CURVE('',#157974,#157975,#80302,.T.); #193927=EDGE_CURVE('',#157976,#157974,#80303,.T.); #193928=EDGE_CURVE('',#157977,#157975,#80304,.T.); #193929=EDGE_CURVE('',#157976,#157977,#80305,.T.); #193930=EDGE_CURVE('',#157978,#157976,#80306,.T.); #193931=EDGE_CURVE('',#157979,#157977,#80307,.T.); #193932=EDGE_CURVE('',#157978,#157979,#80308,.T.); #193933=EDGE_CURVE('',#157980,#157978,#80309,.T.); #193934=EDGE_CURVE('',#157981,#157979,#80310,.T.); #193935=EDGE_CURVE('',#157980,#157981,#80311,.T.); #193936=EDGE_CURVE('',#157982,#157980,#80312,.T.); #193937=EDGE_CURVE('',#157983,#157981,#80313,.T.); #193938=EDGE_CURVE('',#157982,#157983,#80314,.T.); #193939=EDGE_CURVE('',#157984,#157982,#80315,.T.); #193940=EDGE_CURVE('',#157985,#157983,#80316,.T.); #193941=EDGE_CURVE('',#157984,#157985,#80317,.T.); #193942=EDGE_CURVE('',#157986,#157984,#80318,.T.); #193943=EDGE_CURVE('',#157987,#157985,#80319,.T.); #193944=EDGE_CURVE('',#157986,#157987,#80320,.T.); #193945=EDGE_CURVE('',#157988,#157986,#80321,.T.); #193946=EDGE_CURVE('',#157989,#157987,#80322,.T.); #193947=EDGE_CURVE('',#157988,#157989,#80323,.T.); #193948=EDGE_CURVE('',#157990,#157988,#80324,.T.); #193949=EDGE_CURVE('',#157991,#157989,#80325,.T.); #193950=EDGE_CURVE('',#157990,#157991,#80326,.T.); #193951=EDGE_CURVE('',#157992,#157990,#80327,.T.); #193952=EDGE_CURVE('',#157993,#157991,#80328,.T.); #193953=EDGE_CURVE('',#157992,#157993,#80329,.T.); #193954=EDGE_CURVE('',#157994,#157992,#80330,.T.); #193955=EDGE_CURVE('',#157995,#157993,#80331,.T.); #193956=EDGE_CURVE('',#157994,#157995,#80332,.T.); #193957=EDGE_CURVE('',#157996,#157994,#80333,.T.); #193958=EDGE_CURVE('',#157997,#157995,#80334,.T.); #193959=EDGE_CURVE('',#157996,#157997,#80335,.T.); #193960=EDGE_CURVE('',#157998,#157996,#80336,.T.); #193961=EDGE_CURVE('',#157999,#157997,#80337,.T.); #193962=EDGE_CURVE('',#157998,#157999,#80338,.T.); #193963=EDGE_CURVE('',#158000,#157998,#80339,.T.); #193964=EDGE_CURVE('',#158001,#157999,#80340,.T.); #193965=EDGE_CURVE('',#158000,#158001,#80341,.T.); #193966=EDGE_CURVE('',#158002,#158000,#80342,.T.); #193967=EDGE_CURVE('',#158003,#158001,#80343,.T.); #193968=EDGE_CURVE('',#158002,#158003,#80344,.T.); #193969=EDGE_CURVE('',#158004,#158002,#80345,.T.); #193970=EDGE_CURVE('',#158005,#158003,#80346,.T.); #193971=EDGE_CURVE('',#158004,#158005,#80347,.T.); #193972=EDGE_CURVE('',#158006,#158004,#80348,.T.); #193973=EDGE_CURVE('',#158007,#158005,#80349,.T.); #193974=EDGE_CURVE('',#158006,#158007,#80350,.T.); #193975=EDGE_CURVE('',#158008,#158006,#80351,.T.); #193976=EDGE_CURVE('',#158009,#158007,#80352,.T.); #193977=EDGE_CURVE('',#158008,#158009,#80353,.T.); #193978=EDGE_CURVE('',#158010,#158008,#80354,.T.); #193979=EDGE_CURVE('',#158011,#158009,#80355,.T.); #193980=EDGE_CURVE('',#158010,#158011,#80356,.T.); #193981=EDGE_CURVE('',#158012,#158010,#80357,.T.); #193982=EDGE_CURVE('',#158013,#158011,#80358,.T.); #193983=EDGE_CURVE('',#158012,#158013,#80359,.T.); #193984=EDGE_CURVE('',#158014,#158012,#80360,.T.); #193985=EDGE_CURVE('',#158015,#158013,#80361,.T.); #193986=EDGE_CURVE('',#158014,#158015,#80362,.T.); #193987=EDGE_CURVE('',#158016,#158014,#80363,.T.); #193988=EDGE_CURVE('',#158017,#158015,#80364,.T.); #193989=EDGE_CURVE('',#158016,#158017,#80365,.T.); #193990=EDGE_CURVE('',#158018,#158016,#80366,.T.); #193991=EDGE_CURVE('',#158019,#158017,#80367,.T.); #193992=EDGE_CURVE('',#158018,#158019,#80368,.T.); #193993=EDGE_CURVE('',#158020,#158018,#80369,.T.); #193994=EDGE_CURVE('',#158021,#158019,#80370,.T.); #193995=EDGE_CURVE('',#158020,#158021,#80371,.T.); #193996=EDGE_CURVE('',#158022,#158020,#80372,.T.); #193997=EDGE_CURVE('',#158023,#158021,#80373,.T.); #193998=EDGE_CURVE('',#158022,#158023,#80374,.T.); #193999=EDGE_CURVE('',#158024,#158022,#80375,.T.); #194000=EDGE_CURVE('',#158025,#158023,#80376,.T.); #194001=EDGE_CURVE('',#158024,#158025,#80377,.T.); #194002=EDGE_CURVE('',#158026,#158024,#80378,.T.); #194003=EDGE_CURVE('',#158027,#158025,#80379,.T.); #194004=EDGE_CURVE('',#158026,#158027,#80380,.T.); #194005=EDGE_CURVE('',#158028,#158026,#80381,.T.); #194006=EDGE_CURVE('',#158029,#158027,#80382,.T.); #194007=EDGE_CURVE('',#158028,#158029,#80383,.T.); #194008=EDGE_CURVE('',#158030,#158028,#80384,.T.); #194009=EDGE_CURVE('',#158031,#158029,#80385,.T.); #194010=EDGE_CURVE('',#158030,#158031,#80386,.T.); #194011=EDGE_CURVE('',#158032,#158030,#80387,.T.); #194012=EDGE_CURVE('',#158033,#158031,#80388,.T.); #194013=EDGE_CURVE('',#158032,#158033,#80389,.T.); #194014=EDGE_CURVE('',#158034,#158032,#80390,.T.); #194015=EDGE_CURVE('',#158035,#158033,#80391,.T.); #194016=EDGE_CURVE('',#158034,#158035,#80392,.T.); #194017=EDGE_CURVE('',#158036,#158034,#80393,.T.); #194018=EDGE_CURVE('',#158037,#158035,#80394,.T.); #194019=EDGE_CURVE('',#158036,#158037,#80395,.T.); #194020=EDGE_CURVE('',#158038,#158036,#80396,.T.); #194021=EDGE_CURVE('',#158039,#158037,#80397,.T.); #194022=EDGE_CURVE('',#158038,#158039,#80398,.T.); #194023=EDGE_CURVE('',#158040,#158038,#80399,.T.); #194024=EDGE_CURVE('',#158041,#158039,#80400,.T.); #194025=EDGE_CURVE('',#158040,#158041,#80401,.T.); #194026=EDGE_CURVE('',#158042,#158040,#80402,.T.); #194027=EDGE_CURVE('',#158043,#158041,#80403,.T.); #194028=EDGE_CURVE('',#158042,#158043,#80404,.T.); #194029=EDGE_CURVE('',#158044,#158042,#80405,.T.); #194030=EDGE_CURVE('',#158045,#158043,#80406,.T.); #194031=EDGE_CURVE('',#158044,#158045,#80407,.T.); #194032=EDGE_CURVE('',#158046,#158044,#80408,.T.); #194033=EDGE_CURVE('',#158047,#158045,#80409,.T.); #194034=EDGE_CURVE('',#158046,#158047,#80410,.T.); #194035=EDGE_CURVE('',#158048,#158046,#80411,.T.); #194036=EDGE_CURVE('',#158049,#158047,#80412,.T.); #194037=EDGE_CURVE('',#158048,#158049,#80413,.T.); #194038=EDGE_CURVE('',#158050,#158048,#80414,.T.); #194039=EDGE_CURVE('',#158051,#158049,#80415,.T.); #194040=EDGE_CURVE('',#158050,#158051,#80416,.T.); #194041=EDGE_CURVE('',#158052,#158050,#80417,.T.); #194042=EDGE_CURVE('',#158053,#158051,#80418,.T.); #194043=EDGE_CURVE('',#158052,#158053,#80419,.T.); #194044=EDGE_CURVE('',#158054,#158052,#80420,.T.); #194045=EDGE_CURVE('',#158055,#158053,#80421,.T.); #194046=EDGE_CURVE('',#158054,#158055,#80422,.T.); #194047=EDGE_CURVE('',#158056,#158054,#80423,.T.); #194048=EDGE_CURVE('',#158057,#158055,#80424,.T.); #194049=EDGE_CURVE('',#158056,#158057,#80425,.T.); #194050=EDGE_CURVE('',#158058,#158056,#80426,.T.); #194051=EDGE_CURVE('',#158059,#158057,#80427,.T.); #194052=EDGE_CURVE('',#158058,#158059,#80428,.T.); #194053=EDGE_CURVE('',#158060,#158058,#80429,.T.); #194054=EDGE_CURVE('',#158061,#158059,#80430,.T.); #194055=EDGE_CURVE('',#158060,#158061,#80431,.T.); #194056=EDGE_CURVE('',#158062,#158060,#80432,.T.); #194057=EDGE_CURVE('',#158063,#158061,#80433,.T.); #194058=EDGE_CURVE('',#158062,#158063,#80434,.T.); #194059=EDGE_CURVE('',#158064,#158062,#80435,.T.); #194060=EDGE_CURVE('',#158065,#158063,#80436,.T.); #194061=EDGE_CURVE('',#158064,#158065,#80437,.T.); #194062=EDGE_CURVE('',#158066,#158064,#80438,.T.); #194063=EDGE_CURVE('',#158067,#158065,#80439,.T.); #194064=EDGE_CURVE('',#158066,#158067,#80440,.T.); #194065=EDGE_CURVE('',#158068,#158066,#80441,.T.); #194066=EDGE_CURVE('',#158069,#158067,#80442,.T.); #194067=EDGE_CURVE('',#158068,#158069,#80443,.T.); #194068=EDGE_CURVE('',#158070,#158068,#80444,.T.); #194069=EDGE_CURVE('',#158071,#158069,#80445,.T.); #194070=EDGE_CURVE('',#158070,#158071,#80446,.T.); #194071=EDGE_CURVE('',#158072,#158070,#80447,.T.); #194072=EDGE_CURVE('',#158073,#158071,#80448,.T.); #194073=EDGE_CURVE('',#158072,#158073,#80449,.T.); #194074=EDGE_CURVE('',#158074,#158072,#80450,.T.); #194075=EDGE_CURVE('',#158075,#158073,#80451,.T.); #194076=EDGE_CURVE('',#158074,#158075,#80452,.T.); #194077=EDGE_CURVE('',#158076,#158074,#80453,.T.); #194078=EDGE_CURVE('',#158077,#158075,#80454,.T.); #194079=EDGE_CURVE('',#158076,#158077,#80455,.T.); #194080=EDGE_CURVE('',#158078,#158076,#80456,.T.); #194081=EDGE_CURVE('',#158079,#158077,#80457,.T.); #194082=EDGE_CURVE('',#158078,#158079,#80458,.T.); #194083=EDGE_CURVE('',#158080,#158078,#80459,.T.); #194084=EDGE_CURVE('',#158081,#158079,#80460,.T.); #194085=EDGE_CURVE('',#158080,#158081,#80461,.T.); #194086=EDGE_CURVE('',#158082,#158080,#80462,.T.); #194087=EDGE_CURVE('',#158083,#158081,#80463,.T.); #194088=EDGE_CURVE('',#158082,#158083,#80464,.T.); #194089=EDGE_CURVE('',#158084,#158082,#80465,.T.); #194090=EDGE_CURVE('',#158085,#158083,#80466,.T.); #194091=EDGE_CURVE('',#158084,#158085,#80467,.T.); #194092=EDGE_CURVE('',#158086,#158084,#80468,.T.); #194093=EDGE_CURVE('',#158087,#158085,#80469,.T.); #194094=EDGE_CURVE('',#158086,#158087,#80470,.T.); #194095=EDGE_CURVE('',#158088,#158086,#80471,.T.); #194096=EDGE_CURVE('',#158089,#158087,#80472,.T.); #194097=EDGE_CURVE('',#158088,#158089,#80473,.T.); #194098=EDGE_CURVE('',#158090,#158088,#80474,.T.); #194099=EDGE_CURVE('',#158091,#158089,#80475,.T.); #194100=EDGE_CURVE('',#158090,#158091,#80476,.T.); #194101=EDGE_CURVE('',#158092,#158090,#80477,.T.); #194102=EDGE_CURVE('',#158093,#158091,#80478,.T.); #194103=EDGE_CURVE('',#158092,#158093,#80479,.T.); #194104=EDGE_CURVE('',#158094,#158092,#80480,.T.); #194105=EDGE_CURVE('',#158095,#158093,#80481,.T.); #194106=EDGE_CURVE('',#158094,#158095,#80482,.T.); #194107=EDGE_CURVE('',#158096,#158094,#80483,.T.); #194108=EDGE_CURVE('',#158097,#158095,#80484,.T.); #194109=EDGE_CURVE('',#158096,#158097,#80485,.T.); #194110=EDGE_CURVE('',#158098,#158096,#80486,.T.); #194111=EDGE_CURVE('',#158099,#158097,#80487,.T.); #194112=EDGE_CURVE('',#158098,#158099,#80488,.T.); #194113=EDGE_CURVE('',#158100,#158098,#80489,.T.); #194114=EDGE_CURVE('',#158101,#158099,#80490,.T.); #194115=EDGE_CURVE('',#158100,#158101,#80491,.T.); #194116=EDGE_CURVE('',#158102,#158100,#80492,.T.); #194117=EDGE_CURVE('',#158103,#158101,#80493,.T.); #194118=EDGE_CURVE('',#158102,#158103,#80494,.T.); #194119=EDGE_CURVE('',#158104,#158102,#80495,.T.); #194120=EDGE_CURVE('',#158105,#158103,#80496,.T.); #194121=EDGE_CURVE('',#158104,#158105,#80497,.T.); #194122=EDGE_CURVE('',#158106,#158104,#80498,.T.); #194123=EDGE_CURVE('',#158107,#158105,#80499,.T.); #194124=EDGE_CURVE('',#158106,#158107,#80500,.T.); #194125=EDGE_CURVE('',#158108,#158106,#80501,.T.); #194126=EDGE_CURVE('',#158109,#158107,#80502,.T.); #194127=EDGE_CURVE('',#158108,#158109,#80503,.T.); #194128=EDGE_CURVE('',#158110,#158108,#80504,.T.); #194129=EDGE_CURVE('',#158111,#158109,#80505,.T.); #194130=EDGE_CURVE('',#158110,#158111,#80506,.T.); #194131=EDGE_CURVE('',#158112,#158110,#80507,.T.); #194132=EDGE_CURVE('',#158113,#158111,#80508,.T.); #194133=EDGE_CURVE('',#158112,#158113,#80509,.T.); #194134=EDGE_CURVE('',#158114,#158112,#80510,.T.); #194135=EDGE_CURVE('',#158115,#158113,#80511,.T.); #194136=EDGE_CURVE('',#158114,#158115,#80512,.T.); #194137=EDGE_CURVE('',#158116,#158114,#80513,.T.); #194138=EDGE_CURVE('',#158117,#158115,#80514,.T.); #194139=EDGE_CURVE('',#158116,#158117,#80515,.T.); #194140=EDGE_CURVE('',#158118,#158116,#80516,.T.); #194141=EDGE_CURVE('',#158119,#158117,#80517,.T.); #194142=EDGE_CURVE('',#158118,#158119,#80518,.T.); #194143=EDGE_CURVE('',#158120,#158118,#80519,.T.); #194144=EDGE_CURVE('',#158121,#158119,#80520,.T.); #194145=EDGE_CURVE('',#158120,#158121,#80521,.T.); #194146=EDGE_CURVE('',#158122,#158120,#80522,.T.); #194147=EDGE_CURVE('',#158123,#158121,#80523,.T.); #194148=EDGE_CURVE('',#158122,#158123,#80524,.T.); #194149=EDGE_CURVE('',#158124,#158122,#80525,.T.); #194150=EDGE_CURVE('',#158125,#158123,#80526,.T.); #194151=EDGE_CURVE('',#158124,#158125,#80527,.T.); #194152=EDGE_CURVE('',#158126,#158124,#80528,.T.); #194153=EDGE_CURVE('',#158127,#158125,#80529,.T.); #194154=EDGE_CURVE('',#158126,#158127,#80530,.T.); #194155=EDGE_CURVE('',#158128,#158126,#80531,.T.); #194156=EDGE_CURVE('',#158129,#158127,#80532,.T.); #194157=EDGE_CURVE('',#158128,#158129,#80533,.T.); #194158=EDGE_CURVE('',#158130,#158128,#80534,.T.); #194159=EDGE_CURVE('',#158131,#158129,#80535,.T.); #194160=EDGE_CURVE('',#158130,#158131,#80536,.T.); #194161=EDGE_CURVE('',#158132,#158130,#80537,.T.); #194162=EDGE_CURVE('',#158133,#158131,#80538,.T.); #194163=EDGE_CURVE('',#158132,#158133,#80539,.T.); #194164=EDGE_CURVE('',#158134,#158132,#80540,.T.); #194165=EDGE_CURVE('',#158135,#158133,#80541,.T.); #194166=EDGE_CURVE('',#158134,#158135,#80542,.T.); #194167=EDGE_CURVE('',#158136,#158134,#80543,.T.); #194168=EDGE_CURVE('',#158137,#158135,#80544,.T.); #194169=EDGE_CURVE('',#158136,#158137,#80545,.T.); #194170=EDGE_CURVE('',#158138,#158136,#80546,.T.); #194171=EDGE_CURVE('',#158139,#158137,#80547,.T.); #194172=EDGE_CURVE('',#158138,#158139,#80548,.T.); #194173=EDGE_CURVE('',#158140,#158138,#80549,.T.); #194174=EDGE_CURVE('',#158141,#158139,#80550,.T.); #194175=EDGE_CURVE('',#158140,#158141,#80551,.T.); #194176=EDGE_CURVE('',#158142,#158140,#80552,.T.); #194177=EDGE_CURVE('',#158143,#158141,#80553,.T.); #194178=EDGE_CURVE('',#158142,#158143,#80554,.T.); #194179=EDGE_CURVE('',#158144,#158142,#80555,.T.); #194180=EDGE_CURVE('',#158145,#158143,#80556,.T.); #194181=EDGE_CURVE('',#158144,#158145,#80557,.T.); #194182=EDGE_CURVE('',#158146,#158144,#80558,.T.); #194183=EDGE_CURVE('',#158147,#158145,#80559,.T.); #194184=EDGE_CURVE('',#158146,#158147,#80560,.T.); #194185=EDGE_CURVE('',#158148,#158146,#80561,.T.); #194186=EDGE_CURVE('',#158149,#158147,#80562,.T.); #194187=EDGE_CURVE('',#158148,#158149,#80563,.T.); #194188=EDGE_CURVE('',#158150,#158148,#80564,.T.); #194189=EDGE_CURVE('',#158151,#158149,#80565,.T.); #194190=EDGE_CURVE('',#158150,#158151,#80566,.T.); #194191=EDGE_CURVE('',#158152,#158150,#80567,.T.); #194192=EDGE_CURVE('',#158153,#158151,#80568,.T.); #194193=EDGE_CURVE('',#158152,#158153,#80569,.T.); #194194=EDGE_CURVE('',#158154,#158152,#80570,.T.); #194195=EDGE_CURVE('',#158155,#158153,#80571,.T.); #194196=EDGE_CURVE('',#158154,#158155,#80572,.T.); #194197=EDGE_CURVE('',#158156,#158154,#80573,.T.); #194198=EDGE_CURVE('',#158157,#158155,#80574,.T.); #194199=EDGE_CURVE('',#158156,#158157,#80575,.T.); #194200=EDGE_CURVE('',#158158,#158156,#80576,.T.); #194201=EDGE_CURVE('',#158159,#158157,#80577,.T.); #194202=EDGE_CURVE('',#158158,#158159,#80578,.T.); #194203=EDGE_CURVE('',#158160,#158158,#80579,.T.); #194204=EDGE_CURVE('',#158161,#158159,#80580,.T.); #194205=EDGE_CURVE('',#158160,#158161,#80581,.T.); #194206=EDGE_CURVE('',#158162,#158160,#80582,.T.); #194207=EDGE_CURVE('',#158163,#158161,#80583,.T.); #194208=EDGE_CURVE('',#158162,#158163,#80584,.T.); #194209=EDGE_CURVE('',#158164,#158162,#80585,.T.); #194210=EDGE_CURVE('',#158165,#158163,#80586,.T.); #194211=EDGE_CURVE('',#158164,#158165,#80587,.T.); #194212=EDGE_CURVE('',#158166,#158164,#80588,.T.); #194213=EDGE_CURVE('',#158167,#158165,#80589,.T.); #194214=EDGE_CURVE('',#158166,#158167,#80590,.T.); #194215=EDGE_CURVE('',#158168,#158166,#80591,.T.); #194216=EDGE_CURVE('',#158169,#158167,#80592,.T.); #194217=EDGE_CURVE('',#158168,#158169,#80593,.T.); #194218=EDGE_CURVE('',#158170,#158168,#80594,.T.); #194219=EDGE_CURVE('',#158171,#158169,#80595,.T.); #194220=EDGE_CURVE('',#158170,#158171,#80596,.T.); #194221=EDGE_CURVE('',#158172,#158170,#80597,.T.); #194222=EDGE_CURVE('',#158173,#158171,#80598,.T.); #194223=EDGE_CURVE('',#158172,#158173,#80599,.T.); #194224=EDGE_CURVE('',#158174,#158172,#80600,.T.); #194225=EDGE_CURVE('',#158175,#158173,#80601,.T.); #194226=EDGE_CURVE('',#158174,#158175,#80602,.T.); #194227=EDGE_CURVE('',#158176,#158174,#80603,.T.); #194228=EDGE_CURVE('',#158177,#158175,#80604,.T.); #194229=EDGE_CURVE('',#158176,#158177,#80605,.T.); #194230=EDGE_CURVE('',#158178,#158176,#80606,.T.); #194231=EDGE_CURVE('',#158179,#158177,#80607,.T.); #194232=EDGE_CURVE('',#158178,#158179,#80608,.T.); #194233=EDGE_CURVE('',#158180,#158178,#80609,.T.); #194234=EDGE_CURVE('',#158181,#158179,#80610,.T.); #194235=EDGE_CURVE('',#158180,#158181,#80611,.T.); #194236=EDGE_CURVE('',#158182,#158180,#80612,.T.); #194237=EDGE_CURVE('',#158183,#158181,#80613,.T.); #194238=EDGE_CURVE('',#158182,#158183,#80614,.T.); #194239=EDGE_CURVE('',#158184,#158182,#80615,.T.); #194240=EDGE_CURVE('',#158185,#158183,#80616,.T.); #194241=EDGE_CURVE('',#158184,#158185,#80617,.T.); #194242=EDGE_CURVE('',#158186,#158184,#80618,.T.); #194243=EDGE_CURVE('',#158187,#158185,#80619,.T.); #194244=EDGE_CURVE('',#158186,#158187,#80620,.T.); #194245=EDGE_CURVE('',#158188,#158186,#80621,.T.); #194246=EDGE_CURVE('',#158189,#158187,#80622,.T.); #194247=EDGE_CURVE('',#158188,#158189,#80623,.T.); #194248=EDGE_CURVE('',#158190,#158188,#80624,.T.); #194249=EDGE_CURVE('',#158191,#158189,#80625,.T.); #194250=EDGE_CURVE('',#158190,#158191,#80626,.T.); #194251=EDGE_CURVE('',#158192,#158190,#80627,.T.); #194252=EDGE_CURVE('',#158193,#158191,#80628,.T.); #194253=EDGE_CURVE('',#158192,#158193,#80629,.T.); #194254=EDGE_CURVE('',#158194,#158192,#80630,.T.); #194255=EDGE_CURVE('',#158195,#158193,#80631,.T.); #194256=EDGE_CURVE('',#158194,#158195,#80632,.T.); #194257=EDGE_CURVE('',#158196,#158194,#80633,.T.); #194258=EDGE_CURVE('',#158197,#158195,#80634,.T.); #194259=EDGE_CURVE('',#158196,#158197,#80635,.T.); #194260=EDGE_CURVE('',#158198,#158196,#80636,.T.); #194261=EDGE_CURVE('',#158199,#158197,#80637,.T.); #194262=EDGE_CURVE('',#158198,#158199,#80638,.T.); #194263=EDGE_CURVE('',#158200,#158198,#80639,.T.); #194264=EDGE_CURVE('',#158201,#158199,#80640,.T.); #194265=EDGE_CURVE('',#158200,#158201,#80641,.T.); #194266=EDGE_CURVE('',#158202,#158200,#80642,.T.); #194267=EDGE_CURVE('',#158203,#158201,#80643,.T.); #194268=EDGE_CURVE('',#158202,#158203,#80644,.T.); #194269=EDGE_CURVE('',#158204,#158202,#80645,.T.); #194270=EDGE_CURVE('',#158205,#158203,#80646,.T.); #194271=EDGE_CURVE('',#158204,#158205,#80647,.T.); #194272=EDGE_CURVE('',#158206,#158204,#80648,.T.); #194273=EDGE_CURVE('',#158207,#158205,#80649,.T.); #194274=EDGE_CURVE('',#158206,#158207,#80650,.T.); #194275=EDGE_CURVE('',#158208,#158206,#80651,.T.); #194276=EDGE_CURVE('',#158209,#158207,#80652,.T.); #194277=EDGE_CURVE('',#158208,#158209,#80653,.T.); #194278=EDGE_CURVE('',#158210,#158208,#80654,.T.); #194279=EDGE_CURVE('',#158211,#158209,#80655,.T.); #194280=EDGE_CURVE('',#158210,#158211,#80656,.T.); #194281=EDGE_CURVE('',#158212,#158210,#80657,.T.); #194282=EDGE_CURVE('',#158213,#158211,#80658,.T.); #194283=EDGE_CURVE('',#158212,#158213,#80659,.T.); #194284=EDGE_CURVE('',#158214,#158212,#80660,.T.); #194285=EDGE_CURVE('',#158215,#158213,#80661,.T.); #194286=EDGE_CURVE('',#158214,#158215,#80662,.T.); #194287=EDGE_CURVE('',#158216,#158214,#80663,.T.); #194288=EDGE_CURVE('',#158217,#158215,#80664,.T.); #194289=EDGE_CURVE('',#158216,#158217,#80665,.T.); #194290=EDGE_CURVE('',#158218,#158216,#80666,.T.); #194291=EDGE_CURVE('',#158219,#158217,#80667,.T.); #194292=EDGE_CURVE('',#158218,#158219,#80668,.T.); #194293=EDGE_CURVE('',#158220,#158218,#80669,.T.); #194294=EDGE_CURVE('',#158221,#158219,#80670,.T.); #194295=EDGE_CURVE('',#158220,#158221,#80671,.T.); #194296=EDGE_CURVE('',#158222,#158220,#80672,.T.); #194297=EDGE_CURVE('',#158223,#158221,#80673,.T.); #194298=EDGE_CURVE('',#158222,#158223,#80674,.T.); #194299=EDGE_CURVE('',#158224,#158222,#80675,.T.); #194300=EDGE_CURVE('',#158225,#158223,#80676,.T.); #194301=EDGE_CURVE('',#158224,#158225,#80677,.T.); #194302=EDGE_CURVE('',#158226,#158224,#80678,.T.); #194303=EDGE_CURVE('',#158227,#158225,#80679,.T.); #194304=EDGE_CURVE('',#158226,#158227,#80680,.T.); #194305=EDGE_CURVE('',#158228,#158226,#80681,.T.); #194306=EDGE_CURVE('',#158229,#158227,#80682,.T.); #194307=EDGE_CURVE('',#158228,#158229,#80683,.T.); #194308=EDGE_CURVE('',#158230,#158228,#80684,.T.); #194309=EDGE_CURVE('',#158231,#158229,#80685,.T.); #194310=EDGE_CURVE('',#158230,#158231,#80686,.T.); #194311=EDGE_CURVE('',#158232,#158230,#80687,.T.); #194312=EDGE_CURVE('',#158233,#158231,#80688,.T.); #194313=EDGE_CURVE('',#158232,#158233,#80689,.T.); #194314=EDGE_CURVE('',#158234,#158232,#80690,.T.); #194315=EDGE_CURVE('',#158235,#158233,#80691,.T.); #194316=EDGE_CURVE('',#158234,#158235,#80692,.T.); #194317=EDGE_CURVE('',#158236,#158234,#80693,.T.); #194318=EDGE_CURVE('',#158237,#158235,#80694,.T.); #194319=EDGE_CURVE('',#158236,#158237,#80695,.T.); #194320=EDGE_CURVE('',#158238,#158236,#80696,.T.); #194321=EDGE_CURVE('',#158239,#158237,#80697,.T.); #194322=EDGE_CURVE('',#158238,#158239,#80698,.T.); #194323=EDGE_CURVE('',#158240,#158238,#80699,.T.); #194324=EDGE_CURVE('',#158241,#158239,#80700,.T.); #194325=EDGE_CURVE('',#158240,#158241,#80701,.T.); #194326=EDGE_CURVE('',#158242,#158240,#80702,.T.); #194327=EDGE_CURVE('',#158243,#158241,#80703,.T.); #194328=EDGE_CURVE('',#158242,#158243,#80704,.T.); #194329=EDGE_CURVE('',#158244,#158242,#80705,.T.); #194330=EDGE_CURVE('',#158245,#158243,#80706,.T.); #194331=EDGE_CURVE('',#158244,#158245,#80707,.T.); #194332=EDGE_CURVE('',#158246,#158244,#80708,.T.); #194333=EDGE_CURVE('',#158247,#158245,#80709,.T.); #194334=EDGE_CURVE('',#158246,#158247,#80710,.T.); #194335=EDGE_CURVE('',#158248,#158246,#80711,.T.); #194336=EDGE_CURVE('',#158249,#158247,#80712,.T.); #194337=EDGE_CURVE('',#158248,#158249,#80713,.T.); #194338=EDGE_CURVE('',#158250,#158248,#80714,.T.); #194339=EDGE_CURVE('',#158251,#158249,#80715,.T.); #194340=EDGE_CURVE('',#158250,#158251,#80716,.T.); #194341=EDGE_CURVE('',#158252,#158250,#80717,.T.); #194342=EDGE_CURVE('',#158253,#158251,#80718,.T.); #194343=EDGE_CURVE('',#158252,#158253,#80719,.T.); #194344=EDGE_CURVE('',#158254,#158252,#80720,.T.); #194345=EDGE_CURVE('',#158255,#158253,#80721,.T.); #194346=EDGE_CURVE('',#158254,#158255,#80722,.T.); #194347=EDGE_CURVE('',#158256,#158254,#80723,.T.); #194348=EDGE_CURVE('',#158257,#158255,#80724,.T.); #194349=EDGE_CURVE('',#158256,#158257,#80725,.T.); #194350=EDGE_CURVE('',#158258,#158256,#80726,.T.); #194351=EDGE_CURVE('',#158259,#158257,#80727,.T.); #194352=EDGE_CURVE('',#158258,#158259,#80728,.T.); #194353=EDGE_CURVE('',#158260,#158258,#80729,.T.); #194354=EDGE_CURVE('',#158261,#158259,#80730,.T.); #194355=EDGE_CURVE('',#158260,#158261,#80731,.T.); #194356=EDGE_CURVE('',#158262,#158260,#80732,.T.); #194357=EDGE_CURVE('',#158263,#158261,#80733,.T.); #194358=EDGE_CURVE('',#158262,#158263,#80734,.T.); #194359=EDGE_CURVE('',#158264,#158262,#80735,.T.); #194360=EDGE_CURVE('',#158265,#158263,#80736,.T.); #194361=EDGE_CURVE('',#158264,#158265,#80737,.T.); #194362=EDGE_CURVE('',#158266,#158264,#80738,.T.); #194363=EDGE_CURVE('',#158267,#158265,#80739,.T.); #194364=EDGE_CURVE('',#158266,#158267,#80740,.T.); #194365=EDGE_CURVE('',#158268,#158266,#80741,.T.); #194366=EDGE_CURVE('',#158269,#158267,#80742,.T.); #194367=EDGE_CURVE('',#158268,#158269,#80743,.T.); #194368=EDGE_CURVE('',#158270,#158268,#80744,.T.); #194369=EDGE_CURVE('',#158271,#158269,#80745,.T.); #194370=EDGE_CURVE('',#158270,#158271,#80746,.T.); #194371=EDGE_CURVE('',#158272,#158270,#80747,.T.); #194372=EDGE_CURVE('',#158273,#158271,#80748,.T.); #194373=EDGE_CURVE('',#158272,#158273,#80749,.T.); #194374=EDGE_CURVE('',#158274,#158272,#80750,.T.); #194375=EDGE_CURVE('',#158275,#158273,#80751,.T.); #194376=EDGE_CURVE('',#158274,#158275,#80752,.T.); #194377=EDGE_CURVE('',#158276,#158274,#80753,.T.); #194378=EDGE_CURVE('',#158277,#158275,#80754,.T.); #194379=EDGE_CURVE('',#158276,#158277,#80755,.T.); #194380=EDGE_CURVE('',#158278,#158276,#80756,.T.); #194381=EDGE_CURVE('',#158279,#158277,#80757,.T.); #194382=EDGE_CURVE('',#158278,#158279,#80758,.T.); #194383=EDGE_CURVE('',#158280,#158278,#80759,.T.); #194384=EDGE_CURVE('',#158281,#158279,#80760,.T.); #194385=EDGE_CURVE('',#158280,#158281,#80761,.T.); #194386=EDGE_CURVE('',#158282,#158280,#80762,.T.); #194387=EDGE_CURVE('',#158283,#158281,#80763,.T.); #194388=EDGE_CURVE('',#158282,#158283,#80764,.T.); #194389=EDGE_CURVE('',#158284,#158282,#80765,.T.); #194390=EDGE_CURVE('',#158285,#158283,#80766,.T.); #194391=EDGE_CURVE('',#158284,#158285,#80767,.T.); #194392=EDGE_CURVE('',#158286,#158284,#80768,.T.); #194393=EDGE_CURVE('',#158287,#158285,#80769,.T.); #194394=EDGE_CURVE('',#158286,#158287,#80770,.T.); #194395=EDGE_CURVE('',#158288,#158286,#80771,.T.); #194396=EDGE_CURVE('',#158289,#158287,#80772,.T.); #194397=EDGE_CURVE('',#158288,#158289,#80773,.T.); #194398=EDGE_CURVE('',#158290,#158288,#80774,.T.); #194399=EDGE_CURVE('',#158291,#158289,#80775,.T.); #194400=EDGE_CURVE('',#158290,#158291,#80776,.T.); #194401=EDGE_CURVE('',#158292,#158290,#80777,.T.); #194402=EDGE_CURVE('',#158293,#158291,#80778,.T.); #194403=EDGE_CURVE('',#158292,#158293,#80779,.T.); #194404=EDGE_CURVE('',#158294,#158292,#80780,.T.); #194405=EDGE_CURVE('',#158295,#158293,#80781,.T.); #194406=EDGE_CURVE('',#158294,#158295,#80782,.T.); #194407=EDGE_CURVE('',#158296,#158294,#80783,.T.); #194408=EDGE_CURVE('',#158297,#158295,#80784,.T.); #194409=EDGE_CURVE('',#158296,#158297,#80785,.T.); #194410=EDGE_CURVE('',#158298,#158296,#80786,.T.); #194411=EDGE_CURVE('',#158299,#158297,#80787,.T.); #194412=EDGE_CURVE('',#158298,#158299,#80788,.T.); #194413=EDGE_CURVE('',#158300,#158298,#80789,.T.); #194414=EDGE_CURVE('',#158301,#158299,#80790,.T.); #194415=EDGE_CURVE('',#158300,#158301,#80791,.T.); #194416=EDGE_CURVE('',#158302,#158300,#80792,.T.); #194417=EDGE_CURVE('',#158303,#158301,#80793,.T.); #194418=EDGE_CURVE('',#158302,#158303,#80794,.T.); #194419=EDGE_CURVE('',#158304,#158302,#80795,.T.); #194420=EDGE_CURVE('',#158305,#158303,#80796,.T.); #194421=EDGE_CURVE('',#158304,#158305,#80797,.T.); #194422=EDGE_CURVE('',#158306,#158304,#80798,.T.); #194423=EDGE_CURVE('',#158307,#158305,#80799,.T.); #194424=EDGE_CURVE('',#158306,#158307,#80800,.T.); #194425=EDGE_CURVE('',#158308,#158306,#80801,.T.); #194426=EDGE_CURVE('',#158309,#158307,#80802,.T.); #194427=EDGE_CURVE('',#158308,#158309,#80803,.T.); #194428=EDGE_CURVE('',#158310,#158308,#80804,.T.); #194429=EDGE_CURVE('',#158311,#158309,#80805,.T.); #194430=EDGE_CURVE('',#158310,#158311,#80806,.T.); #194431=EDGE_CURVE('',#158312,#158310,#80807,.T.); #194432=EDGE_CURVE('',#158313,#158311,#80808,.T.); #194433=EDGE_CURVE('',#158312,#158313,#80809,.T.); #194434=EDGE_CURVE('',#158314,#158312,#80810,.T.); #194435=EDGE_CURVE('',#158315,#158313,#80811,.T.); #194436=EDGE_CURVE('',#158314,#158315,#80812,.T.); #194437=EDGE_CURVE('',#158316,#158314,#80813,.T.); #194438=EDGE_CURVE('',#158317,#158315,#80814,.T.); #194439=EDGE_CURVE('',#158316,#158317,#80815,.T.); #194440=EDGE_CURVE('',#158318,#158316,#80816,.T.); #194441=EDGE_CURVE('',#158319,#158317,#80817,.T.); #194442=EDGE_CURVE('',#158318,#158319,#80818,.T.); #194443=EDGE_CURVE('',#158320,#158318,#80819,.T.); #194444=EDGE_CURVE('',#158321,#158319,#80820,.T.); #194445=EDGE_CURVE('',#158320,#158321,#80821,.T.); #194446=EDGE_CURVE('',#158322,#158320,#80822,.T.); #194447=EDGE_CURVE('',#158323,#158321,#80823,.T.); #194448=EDGE_CURVE('',#158322,#158323,#80824,.T.); #194449=EDGE_CURVE('',#158324,#158322,#80825,.T.); #194450=EDGE_CURVE('',#158325,#158323,#80826,.T.); #194451=EDGE_CURVE('',#158324,#158325,#80827,.T.); #194452=EDGE_CURVE('',#158326,#158324,#80828,.T.); #194453=EDGE_CURVE('',#158327,#158325,#80829,.T.); #194454=EDGE_CURVE('',#158326,#158327,#80830,.T.); #194455=EDGE_CURVE('',#158328,#158326,#80831,.T.); #194456=EDGE_CURVE('',#158329,#158327,#80832,.T.); #194457=EDGE_CURVE('',#158328,#158329,#80833,.T.); #194458=EDGE_CURVE('',#158330,#158328,#80834,.T.); #194459=EDGE_CURVE('',#158331,#158329,#80835,.T.); #194460=EDGE_CURVE('',#158330,#158331,#80836,.T.); #194461=EDGE_CURVE('',#158332,#158330,#80837,.T.); #194462=EDGE_CURVE('',#158333,#158331,#80838,.T.); #194463=EDGE_CURVE('',#158332,#158333,#80839,.T.); #194464=EDGE_CURVE('',#158334,#158332,#80840,.T.); #194465=EDGE_CURVE('',#158335,#158333,#80841,.T.); #194466=EDGE_CURVE('',#158334,#158335,#80842,.T.); #194467=EDGE_CURVE('',#158336,#158334,#80843,.T.); #194468=EDGE_CURVE('',#158337,#158335,#80844,.T.); #194469=EDGE_CURVE('',#158336,#158337,#80845,.T.); #194470=EDGE_CURVE('',#158338,#158336,#80846,.T.); #194471=EDGE_CURVE('',#158339,#158337,#80847,.T.); #194472=EDGE_CURVE('',#158338,#158339,#80848,.T.); #194473=EDGE_CURVE('',#158340,#158338,#80849,.T.); #194474=EDGE_CURVE('',#158341,#158339,#80850,.T.); #194475=EDGE_CURVE('',#158340,#158341,#80851,.T.); #194476=EDGE_CURVE('',#158342,#158340,#80852,.T.); #194477=EDGE_CURVE('',#158343,#158341,#80853,.T.); #194478=EDGE_CURVE('',#158342,#158343,#80854,.T.); #194479=EDGE_CURVE('',#158344,#158342,#80855,.T.); #194480=EDGE_CURVE('',#158345,#158343,#80856,.T.); #194481=EDGE_CURVE('',#158344,#158345,#80857,.T.); #194482=EDGE_CURVE('',#158346,#158344,#80858,.T.); #194483=EDGE_CURVE('',#158347,#158345,#80859,.T.); #194484=EDGE_CURVE('',#158346,#158347,#80860,.T.); #194485=EDGE_CURVE('',#158348,#158346,#80861,.T.); #194486=EDGE_CURVE('',#158349,#158347,#80862,.T.); #194487=EDGE_CURVE('',#158348,#158349,#80863,.T.); #194488=EDGE_CURVE('',#158350,#158348,#80864,.T.); #194489=EDGE_CURVE('',#158351,#158349,#80865,.T.); #194490=EDGE_CURVE('',#158350,#158351,#80866,.T.); #194491=EDGE_CURVE('',#158352,#158350,#80867,.T.); #194492=EDGE_CURVE('',#158353,#158351,#80868,.T.); #194493=EDGE_CURVE('',#158352,#158353,#80869,.T.); #194494=EDGE_CURVE('',#158354,#158352,#80870,.T.); #194495=EDGE_CURVE('',#158355,#158353,#80871,.T.); #194496=EDGE_CURVE('',#158354,#158355,#80872,.T.); #194497=EDGE_CURVE('',#158356,#158354,#80873,.T.); #194498=EDGE_CURVE('',#158357,#158355,#80874,.T.); #194499=EDGE_CURVE('',#158356,#158357,#80875,.T.); #194500=EDGE_CURVE('',#158358,#158356,#80876,.T.); #194501=EDGE_CURVE('',#158359,#158357,#80877,.T.); #194502=EDGE_CURVE('',#158358,#158359,#80878,.T.); #194503=EDGE_CURVE('',#158360,#158358,#80879,.T.); #194504=EDGE_CURVE('',#158361,#158359,#80880,.T.); #194505=EDGE_CURVE('',#158360,#158361,#80881,.T.); #194506=EDGE_CURVE('',#158362,#158360,#80882,.T.); #194507=EDGE_CURVE('',#158363,#158361,#80883,.T.); #194508=EDGE_CURVE('',#158362,#158363,#80884,.T.); #194509=EDGE_CURVE('',#158364,#158362,#80885,.T.); #194510=EDGE_CURVE('',#158365,#158363,#80886,.T.); #194511=EDGE_CURVE('',#158364,#158365,#80887,.T.); #194512=EDGE_CURVE('',#158366,#158364,#80888,.T.); #194513=EDGE_CURVE('',#158367,#158365,#80889,.T.); #194514=EDGE_CURVE('',#158366,#158367,#80890,.T.); #194515=EDGE_CURVE('',#158368,#158366,#80891,.T.); #194516=EDGE_CURVE('',#158369,#158367,#80892,.T.); #194517=EDGE_CURVE('',#158368,#158369,#80893,.T.); #194518=EDGE_CURVE('',#158370,#158368,#80894,.T.); #194519=EDGE_CURVE('',#158371,#158369,#80895,.T.); #194520=EDGE_CURVE('',#158370,#158371,#80896,.T.); #194521=EDGE_CURVE('',#158372,#158370,#80897,.T.); #194522=EDGE_CURVE('',#158373,#158371,#80898,.T.); #194523=EDGE_CURVE('',#158372,#158373,#80899,.T.); #194524=EDGE_CURVE('',#158374,#158372,#80900,.T.); #194525=EDGE_CURVE('',#158375,#158373,#80901,.T.); #194526=EDGE_CURVE('',#158374,#158375,#80902,.T.); #194527=EDGE_CURVE('',#158376,#158374,#80903,.T.); #194528=EDGE_CURVE('',#158377,#158375,#80904,.T.); #194529=EDGE_CURVE('',#158376,#158377,#80905,.T.); #194530=EDGE_CURVE('',#158378,#158376,#80906,.T.); #194531=EDGE_CURVE('',#158379,#158377,#80907,.T.); #194532=EDGE_CURVE('',#158378,#158379,#80908,.T.); #194533=EDGE_CURVE('',#158380,#158378,#80909,.T.); #194534=EDGE_CURVE('',#158381,#158379,#80910,.T.); #194535=EDGE_CURVE('',#158380,#158381,#80911,.T.); #194536=EDGE_CURVE('',#158382,#158380,#80912,.T.); #194537=EDGE_CURVE('',#158383,#158381,#80913,.T.); #194538=EDGE_CURVE('',#158382,#158383,#80914,.T.); #194539=EDGE_CURVE('',#158384,#158382,#80915,.T.); #194540=EDGE_CURVE('',#158385,#158383,#80916,.T.); #194541=EDGE_CURVE('',#158384,#158385,#80917,.T.); #194542=EDGE_CURVE('',#158386,#158384,#80918,.T.); #194543=EDGE_CURVE('',#158387,#158385,#80919,.T.); #194544=EDGE_CURVE('',#158386,#158387,#80920,.T.); #194545=EDGE_CURVE('',#158388,#158386,#80921,.T.); #194546=EDGE_CURVE('',#158389,#158387,#80922,.T.); #194547=EDGE_CURVE('',#158388,#158389,#80923,.T.); #194548=EDGE_CURVE('',#158390,#158388,#80924,.T.); #194549=EDGE_CURVE('',#158391,#158389,#80925,.T.); #194550=EDGE_CURVE('',#158390,#158391,#80926,.T.); #194551=EDGE_CURVE('',#158392,#158390,#80927,.T.); #194552=EDGE_CURVE('',#158393,#158391,#80928,.T.); #194553=EDGE_CURVE('',#158392,#158393,#80929,.T.); #194554=EDGE_CURVE('',#158394,#158392,#80930,.T.); #194555=EDGE_CURVE('',#158395,#158393,#80931,.T.); #194556=EDGE_CURVE('',#158394,#158395,#80932,.T.); #194557=EDGE_CURVE('',#158396,#158394,#80933,.T.); #194558=EDGE_CURVE('',#158397,#158395,#80934,.T.); #194559=EDGE_CURVE('',#158396,#158397,#80935,.T.); #194560=EDGE_CURVE('',#158398,#158396,#80936,.T.); #194561=EDGE_CURVE('',#158399,#158397,#80937,.T.); #194562=EDGE_CURVE('',#158398,#158399,#80938,.T.); #194563=EDGE_CURVE('',#158400,#158398,#80939,.T.); #194564=EDGE_CURVE('',#158401,#158399,#80940,.T.); #194565=EDGE_CURVE('',#158400,#158401,#80941,.T.); #194566=EDGE_CURVE('',#158402,#158400,#80942,.T.); #194567=EDGE_CURVE('',#158403,#158401,#80943,.T.); #194568=EDGE_CURVE('',#158402,#158403,#80944,.T.); #194569=EDGE_CURVE('',#158404,#158402,#80945,.T.); #194570=EDGE_CURVE('',#158405,#158403,#80946,.T.); #194571=EDGE_CURVE('',#158404,#158405,#80947,.T.); #194572=EDGE_CURVE('',#158406,#158404,#80948,.T.); #194573=EDGE_CURVE('',#158407,#158405,#80949,.T.); #194574=EDGE_CURVE('',#158406,#158407,#80950,.T.); #194575=EDGE_CURVE('',#158408,#158406,#80951,.T.); #194576=EDGE_CURVE('',#158409,#158407,#80952,.T.); #194577=EDGE_CURVE('',#158408,#158409,#80953,.T.); #194578=EDGE_CURVE('',#158410,#158408,#80954,.T.); #194579=EDGE_CURVE('',#158411,#158409,#80955,.T.); #194580=EDGE_CURVE('',#158410,#158411,#80956,.T.); #194581=EDGE_CURVE('',#158412,#158410,#80957,.T.); #194582=EDGE_CURVE('',#158413,#158411,#80958,.T.); #194583=EDGE_CURVE('',#158412,#158413,#80959,.T.); #194584=EDGE_CURVE('',#158414,#158412,#80960,.T.); #194585=EDGE_CURVE('',#158415,#158413,#80961,.T.); #194586=EDGE_CURVE('',#158414,#158415,#80962,.T.); #194587=EDGE_CURVE('',#158416,#158414,#80963,.T.); #194588=EDGE_CURVE('',#158417,#158415,#80964,.T.); #194589=EDGE_CURVE('',#158416,#158417,#80965,.T.); #194590=EDGE_CURVE('',#158418,#158416,#80966,.T.); #194591=EDGE_CURVE('',#158419,#158417,#80967,.T.); #194592=EDGE_CURVE('',#158418,#158419,#80968,.T.); #194593=EDGE_CURVE('',#158420,#158418,#80969,.T.); #194594=EDGE_CURVE('',#158421,#158419,#80970,.T.); #194595=EDGE_CURVE('',#158420,#158421,#80971,.T.); #194596=EDGE_CURVE('',#158422,#158420,#80972,.T.); #194597=EDGE_CURVE('',#158423,#158421,#80973,.T.); #194598=EDGE_CURVE('',#158422,#158423,#80974,.T.); #194599=EDGE_CURVE('',#158424,#158422,#80975,.T.); #194600=EDGE_CURVE('',#158425,#158423,#80976,.T.); #194601=EDGE_CURVE('',#158424,#158425,#80977,.T.); #194602=EDGE_CURVE('',#158426,#158424,#80978,.T.); #194603=EDGE_CURVE('',#158427,#158425,#80979,.T.); #194604=EDGE_CURVE('',#158426,#158427,#80980,.T.); #194605=EDGE_CURVE('',#158428,#158426,#80981,.T.); #194606=EDGE_CURVE('',#158429,#158427,#80982,.T.); #194607=EDGE_CURVE('',#158428,#158429,#80983,.T.); #194608=EDGE_CURVE('',#158430,#158428,#80984,.T.); #194609=EDGE_CURVE('',#158431,#158429,#80985,.T.); #194610=EDGE_CURVE('',#158430,#158431,#80986,.T.); #194611=EDGE_CURVE('',#158432,#158430,#80987,.T.); #194612=EDGE_CURVE('',#158433,#158431,#80988,.T.); #194613=EDGE_CURVE('',#158432,#158433,#80989,.T.); #194614=EDGE_CURVE('',#158434,#158432,#80990,.T.); #194615=EDGE_CURVE('',#158435,#158433,#80991,.T.); #194616=EDGE_CURVE('',#158434,#158435,#80992,.T.); #194617=EDGE_CURVE('',#158436,#158434,#80993,.T.); #194618=EDGE_CURVE('',#158437,#158435,#80994,.T.); #194619=EDGE_CURVE('',#158436,#158437,#80995,.T.); #194620=EDGE_CURVE('',#158438,#158436,#80996,.T.); #194621=EDGE_CURVE('',#158439,#158437,#80997,.T.); #194622=EDGE_CURVE('',#158438,#158439,#80998,.T.); #194623=EDGE_CURVE('',#158440,#158438,#80999,.T.); #194624=EDGE_CURVE('',#158441,#158439,#81000,.T.); #194625=EDGE_CURVE('',#158440,#158441,#81001,.T.); #194626=EDGE_CURVE('',#158442,#158440,#81002,.T.); #194627=EDGE_CURVE('',#158443,#158441,#81003,.T.); #194628=EDGE_CURVE('',#158442,#158443,#81004,.T.); #194629=EDGE_CURVE('',#158444,#158442,#81005,.T.); #194630=EDGE_CURVE('',#158445,#158443,#81006,.T.); #194631=EDGE_CURVE('',#158444,#158445,#81007,.T.); #194632=EDGE_CURVE('',#158446,#158444,#81008,.T.); #194633=EDGE_CURVE('',#158447,#158445,#81009,.T.); #194634=EDGE_CURVE('',#158446,#158447,#81010,.T.); #194635=EDGE_CURVE('',#158448,#158446,#81011,.T.); #194636=EDGE_CURVE('',#158449,#158447,#81012,.T.); #194637=EDGE_CURVE('',#158448,#158449,#81013,.T.); #194638=EDGE_CURVE('',#158450,#158448,#81014,.T.); #194639=EDGE_CURVE('',#158451,#158449,#81015,.T.); #194640=EDGE_CURVE('',#158450,#158451,#81016,.T.); #194641=EDGE_CURVE('',#158452,#158450,#81017,.T.); #194642=EDGE_CURVE('',#158453,#158451,#81018,.T.); #194643=EDGE_CURVE('',#158452,#158453,#81019,.T.); #194644=EDGE_CURVE('',#158454,#158452,#81020,.T.); #194645=EDGE_CURVE('',#158455,#158453,#81021,.T.); #194646=EDGE_CURVE('',#158454,#158455,#81022,.T.); #194647=EDGE_CURVE('',#158456,#158454,#81023,.T.); #194648=EDGE_CURVE('',#158457,#158455,#81024,.T.); #194649=EDGE_CURVE('',#158456,#158457,#81025,.T.); #194650=EDGE_CURVE('',#158458,#158456,#81026,.T.); #194651=EDGE_CURVE('',#158459,#158457,#81027,.T.); #194652=EDGE_CURVE('',#158458,#158459,#81028,.T.); #194653=EDGE_CURVE('',#158460,#158458,#81029,.T.); #194654=EDGE_CURVE('',#158461,#158459,#81030,.T.); #194655=EDGE_CURVE('',#158460,#158461,#81031,.T.); #194656=EDGE_CURVE('',#158462,#158460,#81032,.T.); #194657=EDGE_CURVE('',#158463,#158461,#81033,.T.); #194658=EDGE_CURVE('',#158462,#158463,#81034,.T.); #194659=EDGE_CURVE('',#158464,#158462,#81035,.T.); #194660=EDGE_CURVE('',#158465,#158463,#81036,.T.); #194661=EDGE_CURVE('',#158464,#158465,#81037,.T.); #194662=EDGE_CURVE('',#158466,#158464,#81038,.T.); #194663=EDGE_CURVE('',#158467,#158465,#81039,.T.); #194664=EDGE_CURVE('',#158466,#158467,#81040,.T.); #194665=EDGE_CURVE('',#158468,#158466,#81041,.T.); #194666=EDGE_CURVE('',#158469,#158467,#81042,.T.); #194667=EDGE_CURVE('',#158468,#158469,#81043,.T.); #194668=EDGE_CURVE('',#158470,#158468,#81044,.T.); #194669=EDGE_CURVE('',#158471,#158469,#81045,.T.); #194670=EDGE_CURVE('',#158470,#158471,#81046,.T.); #194671=EDGE_CURVE('',#158472,#158470,#81047,.T.); #194672=EDGE_CURVE('',#158473,#158471,#81048,.T.); #194673=EDGE_CURVE('',#158472,#158473,#81049,.T.); #194674=EDGE_CURVE('',#158474,#158472,#81050,.T.); #194675=EDGE_CURVE('',#158475,#158473,#81051,.T.); #194676=EDGE_CURVE('',#158474,#158475,#81052,.T.); #194677=EDGE_CURVE('',#158476,#158474,#81053,.T.); #194678=EDGE_CURVE('',#158477,#158475,#81054,.T.); #194679=EDGE_CURVE('',#158476,#158477,#81055,.T.); #194680=EDGE_CURVE('',#158478,#158476,#81056,.T.); #194681=EDGE_CURVE('',#158479,#158477,#81057,.T.); #194682=EDGE_CURVE('',#158478,#158479,#81058,.T.); #194683=EDGE_CURVE('',#158480,#158478,#81059,.T.); #194684=EDGE_CURVE('',#158481,#158479,#81060,.T.); #194685=EDGE_CURVE('',#158480,#158481,#81061,.T.); #194686=EDGE_CURVE('',#158482,#158480,#81062,.T.); #194687=EDGE_CURVE('',#158483,#158481,#81063,.T.); #194688=EDGE_CURVE('',#158482,#158483,#81064,.T.); #194689=EDGE_CURVE('',#158484,#158482,#81065,.T.); #194690=EDGE_CURVE('',#158485,#158483,#81066,.T.); #194691=EDGE_CURVE('',#158484,#158485,#81067,.T.); #194692=EDGE_CURVE('',#158486,#158484,#81068,.T.); #194693=EDGE_CURVE('',#158487,#158485,#81069,.T.); #194694=EDGE_CURVE('',#158486,#158487,#81070,.T.); #194695=EDGE_CURVE('',#158488,#158486,#81071,.T.); #194696=EDGE_CURVE('',#158489,#158487,#81072,.T.); #194697=EDGE_CURVE('',#158488,#158489,#81073,.T.); #194698=EDGE_CURVE('',#158490,#158488,#81074,.T.); #194699=EDGE_CURVE('',#158491,#158489,#81075,.T.); #194700=EDGE_CURVE('',#158490,#158491,#81076,.T.); #194701=EDGE_CURVE('',#158492,#158490,#81077,.T.); #194702=EDGE_CURVE('',#158493,#158491,#81078,.T.); #194703=EDGE_CURVE('',#158492,#158493,#81079,.T.); #194704=EDGE_CURVE('',#158494,#158492,#81080,.T.); #194705=EDGE_CURVE('',#158495,#158493,#81081,.T.); #194706=EDGE_CURVE('',#158494,#158495,#81082,.T.); #194707=EDGE_CURVE('',#158496,#158494,#81083,.T.); #194708=EDGE_CURVE('',#158497,#158495,#81084,.T.); #194709=EDGE_CURVE('',#158496,#158497,#81085,.T.); #194710=EDGE_CURVE('',#158498,#158496,#81086,.T.); #194711=EDGE_CURVE('',#158499,#158497,#81087,.T.); #194712=EDGE_CURVE('',#158498,#158499,#81088,.T.); #194713=EDGE_CURVE('',#158500,#158498,#81089,.T.); #194714=EDGE_CURVE('',#158501,#158499,#81090,.T.); #194715=EDGE_CURVE('',#158500,#158501,#81091,.T.); #194716=EDGE_CURVE('',#158502,#158500,#81092,.T.); #194717=EDGE_CURVE('',#158503,#158501,#81093,.T.); #194718=EDGE_CURVE('',#158502,#158503,#81094,.T.); #194719=EDGE_CURVE('',#158504,#158502,#81095,.T.); #194720=EDGE_CURVE('',#158505,#158503,#81096,.T.); #194721=EDGE_CURVE('',#158504,#158505,#81097,.T.); #194722=EDGE_CURVE('',#158506,#158504,#81098,.T.); #194723=EDGE_CURVE('',#158507,#158505,#81099,.T.); #194724=EDGE_CURVE('',#158506,#158507,#81100,.T.); #194725=EDGE_CURVE('',#158508,#158506,#81101,.T.); #194726=EDGE_CURVE('',#158509,#158507,#81102,.T.); #194727=EDGE_CURVE('',#158508,#158509,#81103,.T.); #194728=EDGE_CURVE('',#158510,#158508,#81104,.T.); #194729=EDGE_CURVE('',#158511,#158509,#81105,.T.); #194730=EDGE_CURVE('',#158510,#158511,#81106,.T.); #194731=EDGE_CURVE('',#158512,#158510,#81107,.T.); #194732=EDGE_CURVE('',#158513,#158511,#81108,.T.); #194733=EDGE_CURVE('',#158512,#158513,#81109,.T.); #194734=EDGE_CURVE('',#158514,#158512,#81110,.T.); #194735=EDGE_CURVE('',#158515,#158513,#81111,.T.); #194736=EDGE_CURVE('',#158514,#158515,#81112,.T.); #194737=EDGE_CURVE('',#158516,#158514,#81113,.T.); #194738=EDGE_CURVE('',#158517,#158515,#81114,.T.); #194739=EDGE_CURVE('',#158516,#158517,#81115,.T.); #194740=EDGE_CURVE('',#158518,#158516,#81116,.T.); #194741=EDGE_CURVE('',#158519,#158517,#81117,.T.); #194742=EDGE_CURVE('',#158518,#158519,#81118,.T.); #194743=EDGE_CURVE('',#158520,#158518,#81119,.T.); #194744=EDGE_CURVE('',#158521,#158519,#81120,.T.); #194745=EDGE_CURVE('',#158520,#158521,#81121,.T.); #194746=EDGE_CURVE('',#158522,#158520,#81122,.T.); #194747=EDGE_CURVE('',#158523,#158521,#81123,.T.); #194748=EDGE_CURVE('',#158522,#158523,#81124,.T.); #194749=EDGE_CURVE('',#158524,#158522,#81125,.T.); #194750=EDGE_CURVE('',#158525,#158523,#81126,.T.); #194751=EDGE_CURVE('',#158524,#158525,#81127,.T.); #194752=EDGE_CURVE('',#158526,#158524,#81128,.T.); #194753=EDGE_CURVE('',#158527,#158525,#81129,.T.); #194754=EDGE_CURVE('',#158526,#158527,#81130,.T.); #194755=EDGE_CURVE('',#158528,#158526,#81131,.T.); #194756=EDGE_CURVE('',#158529,#158527,#81132,.T.); #194757=EDGE_CURVE('',#158528,#158529,#81133,.T.); #194758=EDGE_CURVE('',#158530,#158528,#81134,.T.); #194759=EDGE_CURVE('',#158531,#158529,#81135,.T.); #194760=EDGE_CURVE('',#158530,#158531,#81136,.T.); #194761=EDGE_CURVE('',#158532,#158530,#81137,.T.); #194762=EDGE_CURVE('',#158533,#158531,#81138,.T.); #194763=EDGE_CURVE('',#158532,#158533,#81139,.T.); #194764=EDGE_CURVE('',#158534,#158532,#81140,.T.); #194765=EDGE_CURVE('',#158535,#158533,#81141,.T.); #194766=EDGE_CURVE('',#158534,#158535,#81142,.T.); #194767=EDGE_CURVE('',#158536,#158534,#81143,.T.); #194768=EDGE_CURVE('',#158537,#158535,#81144,.T.); #194769=EDGE_CURVE('',#158536,#158537,#81145,.T.); #194770=EDGE_CURVE('',#158538,#158536,#81146,.T.); #194771=EDGE_CURVE('',#158539,#158537,#81147,.T.); #194772=EDGE_CURVE('',#158538,#158539,#81148,.T.); #194773=EDGE_CURVE('',#158540,#158538,#81149,.T.); #194774=EDGE_CURVE('',#158541,#158539,#81150,.T.); #194775=EDGE_CURVE('',#158540,#158541,#81151,.T.); #194776=EDGE_CURVE('',#158542,#158540,#81152,.T.); #194777=EDGE_CURVE('',#158543,#158541,#81153,.T.); #194778=EDGE_CURVE('',#158542,#158543,#81154,.T.); #194779=EDGE_CURVE('',#158544,#158542,#81155,.T.); #194780=EDGE_CURVE('',#158545,#158543,#81156,.T.); #194781=EDGE_CURVE('',#158544,#158545,#81157,.T.); #194782=EDGE_CURVE('',#158546,#158544,#81158,.T.); #194783=EDGE_CURVE('',#158547,#158545,#81159,.T.); #194784=EDGE_CURVE('',#158546,#158547,#81160,.T.); #194785=EDGE_CURVE('',#158548,#158546,#81161,.T.); #194786=EDGE_CURVE('',#158549,#158547,#81162,.T.); #194787=EDGE_CURVE('',#158548,#158549,#81163,.T.); #194788=EDGE_CURVE('',#158550,#158548,#81164,.T.); #194789=EDGE_CURVE('',#158551,#158549,#81165,.T.); #194790=EDGE_CURVE('',#158550,#158551,#81166,.T.); #194791=EDGE_CURVE('',#158552,#158550,#81167,.T.); #194792=EDGE_CURVE('',#158553,#158551,#81168,.T.); #194793=EDGE_CURVE('',#158552,#158553,#81169,.T.); #194794=EDGE_CURVE('',#158554,#158552,#81170,.T.); #194795=EDGE_CURVE('',#158555,#158553,#81171,.T.); #194796=EDGE_CURVE('',#158554,#158555,#81172,.T.); #194797=EDGE_CURVE('',#158556,#158554,#81173,.T.); #194798=EDGE_CURVE('',#158557,#158555,#81174,.T.); #194799=EDGE_CURVE('',#158556,#158557,#81175,.T.); #194800=EDGE_CURVE('',#158558,#158556,#81176,.T.); #194801=EDGE_CURVE('',#158559,#158557,#81177,.T.); #194802=EDGE_CURVE('',#158558,#158559,#81178,.T.); #194803=EDGE_CURVE('',#158560,#158558,#81179,.T.); #194804=EDGE_CURVE('',#158561,#158559,#81180,.T.); #194805=EDGE_CURVE('',#158560,#158561,#81181,.T.); #194806=EDGE_CURVE('',#158562,#158560,#81182,.T.); #194807=EDGE_CURVE('',#158563,#158561,#81183,.T.); #194808=EDGE_CURVE('',#158562,#158563,#81184,.T.); #194809=EDGE_CURVE('',#158564,#158562,#81185,.T.); #194810=EDGE_CURVE('',#158565,#158563,#81186,.T.); #194811=EDGE_CURVE('',#158564,#158565,#81187,.T.); #194812=EDGE_CURVE('',#158566,#158564,#81188,.T.); #194813=EDGE_CURVE('',#158567,#158565,#81189,.T.); #194814=EDGE_CURVE('',#158566,#158567,#81190,.T.); #194815=EDGE_CURVE('',#158568,#158566,#81191,.T.); #194816=EDGE_CURVE('',#158569,#158567,#81192,.T.); #194817=EDGE_CURVE('',#158568,#158569,#81193,.T.); #194818=EDGE_CURVE('',#158570,#158568,#81194,.T.); #194819=EDGE_CURVE('',#158571,#158569,#81195,.T.); #194820=EDGE_CURVE('',#158570,#158571,#81196,.T.); #194821=EDGE_CURVE('',#158572,#158570,#81197,.T.); #194822=EDGE_CURVE('',#158573,#158571,#81198,.T.); #194823=EDGE_CURVE('',#158572,#158573,#81199,.T.); #194824=EDGE_CURVE('',#158574,#158572,#81200,.T.); #194825=EDGE_CURVE('',#158575,#158573,#81201,.T.); #194826=EDGE_CURVE('',#158574,#158575,#81202,.T.); #194827=EDGE_CURVE('',#158576,#158574,#81203,.T.); #194828=EDGE_CURVE('',#158577,#158575,#81204,.T.); #194829=EDGE_CURVE('',#158576,#158577,#81205,.T.); #194830=EDGE_CURVE('',#158578,#158576,#81206,.T.); #194831=EDGE_CURVE('',#158579,#158577,#81207,.T.); #194832=EDGE_CURVE('',#158578,#158579,#81208,.T.); #194833=EDGE_CURVE('',#158580,#158578,#81209,.T.); #194834=EDGE_CURVE('',#158581,#158579,#81210,.T.); #194835=EDGE_CURVE('',#158580,#158581,#81211,.T.); #194836=EDGE_CURVE('',#158582,#158580,#81212,.T.); #194837=EDGE_CURVE('',#158583,#158581,#81213,.T.); #194838=EDGE_CURVE('',#158582,#158583,#81214,.T.); #194839=EDGE_CURVE('',#158584,#158582,#81215,.T.); #194840=EDGE_CURVE('',#158585,#158583,#81216,.T.); #194841=EDGE_CURVE('',#158584,#158585,#81217,.T.); #194842=EDGE_CURVE('',#158586,#158584,#81218,.T.); #194843=EDGE_CURVE('',#158587,#158585,#81219,.T.); #194844=EDGE_CURVE('',#158586,#158587,#81220,.T.); #194845=EDGE_CURVE('',#158588,#158586,#81221,.T.); #194846=EDGE_CURVE('',#158589,#158587,#81222,.T.); #194847=EDGE_CURVE('',#158588,#158589,#81223,.T.); #194848=EDGE_CURVE('',#158590,#158588,#81224,.T.); #194849=EDGE_CURVE('',#158591,#158589,#81225,.T.); #194850=EDGE_CURVE('',#158590,#158591,#81226,.T.); #194851=EDGE_CURVE('',#158592,#158590,#81227,.T.); #194852=EDGE_CURVE('',#158593,#158591,#81228,.T.); #194853=EDGE_CURVE('',#158592,#158593,#81229,.T.); #194854=EDGE_CURVE('',#158594,#158592,#81230,.T.); #194855=EDGE_CURVE('',#158595,#158593,#81231,.T.); #194856=EDGE_CURVE('',#158594,#158595,#81232,.T.); #194857=EDGE_CURVE('',#158596,#158594,#81233,.T.); #194858=EDGE_CURVE('',#158597,#158595,#81234,.T.); #194859=EDGE_CURVE('',#158596,#158597,#81235,.T.); #194860=EDGE_CURVE('',#158598,#158596,#81236,.T.); #194861=EDGE_CURVE('',#158599,#158597,#81237,.T.); #194862=EDGE_CURVE('',#158598,#158599,#81238,.T.); #194863=EDGE_CURVE('',#158600,#158598,#81239,.T.); #194864=EDGE_CURVE('',#158601,#158599,#81240,.T.); #194865=EDGE_CURVE('',#158600,#158601,#81241,.T.); #194866=EDGE_CURVE('',#158602,#158600,#81242,.T.); #194867=EDGE_CURVE('',#158603,#158601,#81243,.T.); #194868=EDGE_CURVE('',#158602,#158603,#81244,.T.); #194869=EDGE_CURVE('',#158604,#158602,#81245,.T.); #194870=EDGE_CURVE('',#158605,#158603,#81246,.T.); #194871=EDGE_CURVE('',#158604,#158605,#81247,.T.); #194872=EDGE_CURVE('',#158606,#158604,#81248,.T.); #194873=EDGE_CURVE('',#158607,#158605,#81249,.T.); #194874=EDGE_CURVE('',#158606,#158607,#81250,.T.); #194875=EDGE_CURVE('',#158608,#158606,#81251,.T.); #194876=EDGE_CURVE('',#158609,#158607,#81252,.T.); #194877=EDGE_CURVE('',#158608,#158609,#81253,.T.); #194878=EDGE_CURVE('',#158610,#158608,#81254,.T.); #194879=EDGE_CURVE('',#158611,#158609,#81255,.T.); #194880=EDGE_CURVE('',#158610,#158611,#81256,.T.); #194881=EDGE_CURVE('',#158612,#158610,#81257,.T.); #194882=EDGE_CURVE('',#158613,#158611,#81258,.T.); #194883=EDGE_CURVE('',#158612,#158613,#81259,.T.); #194884=EDGE_CURVE('',#158614,#158612,#81260,.T.); #194885=EDGE_CURVE('',#158615,#158613,#81261,.T.); #194886=EDGE_CURVE('',#158614,#158615,#81262,.T.); #194887=EDGE_CURVE('',#158616,#158614,#81263,.T.); #194888=EDGE_CURVE('',#158617,#158615,#81264,.T.); #194889=EDGE_CURVE('',#158616,#158617,#81265,.T.); #194890=EDGE_CURVE('',#158618,#158616,#81266,.T.); #194891=EDGE_CURVE('',#158619,#158617,#81267,.T.); #194892=EDGE_CURVE('',#158618,#158619,#81268,.T.); #194893=EDGE_CURVE('',#158620,#158618,#81269,.T.); #194894=EDGE_CURVE('',#158621,#158619,#81270,.T.); #194895=EDGE_CURVE('',#158620,#158621,#81271,.T.); #194896=EDGE_CURVE('',#158622,#158620,#81272,.T.); #194897=EDGE_CURVE('',#158623,#158621,#81273,.T.); #194898=EDGE_CURVE('',#158622,#158623,#81274,.T.); #194899=EDGE_CURVE('',#158624,#158622,#81275,.T.); #194900=EDGE_CURVE('',#158625,#158623,#81276,.T.); #194901=EDGE_CURVE('',#158624,#158625,#81277,.T.); #194902=EDGE_CURVE('',#158626,#158624,#81278,.T.); #194903=EDGE_CURVE('',#158627,#158625,#81279,.T.); #194904=EDGE_CURVE('',#158626,#158627,#81280,.T.); #194905=EDGE_CURVE('',#158628,#158626,#81281,.T.); #194906=EDGE_CURVE('',#158629,#158627,#81282,.T.); #194907=EDGE_CURVE('',#158628,#158629,#81283,.T.); #194908=EDGE_CURVE('',#158630,#158628,#81284,.T.); #194909=EDGE_CURVE('',#158631,#158629,#81285,.T.); #194910=EDGE_CURVE('',#158630,#158631,#81286,.T.); #194911=EDGE_CURVE('',#158632,#158630,#81287,.T.); #194912=EDGE_CURVE('',#158633,#158631,#81288,.T.); #194913=EDGE_CURVE('',#158632,#158633,#81289,.T.); #194914=EDGE_CURVE('',#158634,#158632,#81290,.T.); #194915=EDGE_CURVE('',#158635,#158633,#81291,.T.); #194916=EDGE_CURVE('',#158634,#158635,#81292,.T.); #194917=EDGE_CURVE('',#158636,#158634,#81293,.T.); #194918=EDGE_CURVE('',#158637,#158635,#81294,.T.); #194919=EDGE_CURVE('',#158636,#158637,#81295,.T.); #194920=EDGE_CURVE('',#158638,#158636,#81296,.T.); #194921=EDGE_CURVE('',#158639,#158637,#81297,.T.); #194922=EDGE_CURVE('',#158638,#158639,#81298,.T.); #194923=EDGE_CURVE('',#158640,#158638,#81299,.T.); #194924=EDGE_CURVE('',#158641,#158639,#81300,.T.); #194925=EDGE_CURVE('',#158640,#158641,#81301,.T.); #194926=EDGE_CURVE('',#158642,#158640,#81302,.T.); #194927=EDGE_CURVE('',#158643,#158641,#81303,.T.); #194928=EDGE_CURVE('',#158642,#158643,#81304,.T.); #194929=EDGE_CURVE('',#158644,#158642,#81305,.T.); #194930=EDGE_CURVE('',#158645,#158643,#81306,.T.); #194931=EDGE_CURVE('',#158644,#158645,#81307,.T.); #194932=EDGE_CURVE('',#158646,#158644,#81308,.T.); #194933=EDGE_CURVE('',#158647,#158645,#81309,.T.); #194934=EDGE_CURVE('',#158646,#158647,#81310,.T.); #194935=EDGE_CURVE('',#158648,#158646,#81311,.T.); #194936=EDGE_CURVE('',#158649,#158647,#81312,.T.); #194937=EDGE_CURVE('',#158648,#158649,#81313,.T.); #194938=EDGE_CURVE('',#158650,#158648,#81314,.T.); #194939=EDGE_CURVE('',#158651,#158649,#81315,.T.); #194940=EDGE_CURVE('',#158650,#158651,#81316,.T.); #194941=EDGE_CURVE('',#158652,#158650,#81317,.T.); #194942=EDGE_CURVE('',#158653,#158651,#81318,.T.); #194943=EDGE_CURVE('',#158652,#158653,#81319,.T.); #194944=EDGE_CURVE('',#158654,#158652,#81320,.T.); #194945=EDGE_CURVE('',#158655,#158653,#81321,.T.); #194946=EDGE_CURVE('',#158654,#158655,#81322,.T.); #194947=EDGE_CURVE('',#158656,#158654,#81323,.T.); #194948=EDGE_CURVE('',#158657,#158655,#81324,.T.); #194949=EDGE_CURVE('',#158656,#158657,#81325,.T.); #194950=EDGE_CURVE('',#158658,#158656,#81326,.T.); #194951=EDGE_CURVE('',#158659,#158657,#81327,.T.); #194952=EDGE_CURVE('',#158658,#158659,#81328,.T.); #194953=EDGE_CURVE('',#158660,#158658,#81329,.T.); #194954=EDGE_CURVE('',#158661,#158659,#81330,.T.); #194955=EDGE_CURVE('',#158660,#158661,#81331,.T.); #194956=EDGE_CURVE('',#158662,#158660,#81332,.T.); #194957=EDGE_CURVE('',#158663,#158661,#81333,.T.); #194958=EDGE_CURVE('',#158662,#158663,#81334,.T.); #194959=EDGE_CURVE('',#158664,#158662,#81335,.T.); #194960=EDGE_CURVE('',#158665,#158663,#81336,.T.); #194961=EDGE_CURVE('',#158664,#158665,#81337,.T.); #194962=EDGE_CURVE('',#158666,#158664,#81338,.T.); #194963=EDGE_CURVE('',#158667,#158665,#81339,.T.); #194964=EDGE_CURVE('',#158666,#158667,#81340,.T.); #194965=EDGE_CURVE('',#158668,#158666,#81341,.T.); #194966=EDGE_CURVE('',#158669,#158667,#81342,.T.); #194967=EDGE_CURVE('',#158668,#158669,#81343,.T.); #194968=EDGE_CURVE('',#157515,#158668,#81344,.T.); #194969=EDGE_CURVE('',#157516,#158669,#81345,.T.); #194970=EDGE_CURVE('',#158670,#158671,#81346,.T.); #194971=EDGE_CURVE('',#158671,#158672,#81347,.T.); #194972=EDGE_CURVE('',#158673,#158672,#81348,.T.); #194973=EDGE_CURVE('',#158670,#158673,#81349,.T.); #194974=EDGE_CURVE('',#158674,#158670,#81350,.T.); #194975=EDGE_CURVE('',#158675,#158673,#81351,.T.); #194976=EDGE_CURVE('',#158674,#158675,#81352,.T.); #194977=EDGE_CURVE('',#158676,#158674,#81353,.T.); #194978=EDGE_CURVE('',#158677,#158675,#81354,.T.); #194979=EDGE_CURVE('',#158676,#158677,#81355,.T.); #194980=EDGE_CURVE('',#158678,#158676,#81356,.T.); #194981=EDGE_CURVE('',#158679,#158677,#81357,.T.); #194982=EDGE_CURVE('',#158678,#158679,#81358,.T.); #194983=EDGE_CURVE('',#158680,#158678,#81359,.T.); #194984=EDGE_CURVE('',#158681,#158679,#81360,.T.); #194985=EDGE_CURVE('',#158680,#158681,#81361,.T.); #194986=EDGE_CURVE('',#158682,#158680,#81362,.T.); #194987=EDGE_CURVE('',#158683,#158681,#81363,.T.); #194988=EDGE_CURVE('',#158682,#158683,#81364,.T.); #194989=EDGE_CURVE('',#158684,#158682,#81365,.T.); #194990=EDGE_CURVE('',#158685,#158683,#81366,.T.); #194991=EDGE_CURVE('',#158684,#158685,#81367,.T.); #194992=EDGE_CURVE('',#158686,#158684,#81368,.T.); #194993=EDGE_CURVE('',#158687,#158685,#81369,.T.); #194994=EDGE_CURVE('',#158686,#158687,#81370,.T.); #194995=EDGE_CURVE('',#158688,#158686,#81371,.T.); #194996=EDGE_CURVE('',#158689,#158687,#81372,.T.); #194997=EDGE_CURVE('',#158688,#158689,#81373,.T.); #194998=EDGE_CURVE('',#158690,#158688,#81374,.T.); #194999=EDGE_CURVE('',#158691,#158689,#81375,.T.); #195000=EDGE_CURVE('',#158690,#158691,#81376,.T.); #195001=EDGE_CURVE('',#158692,#158690,#81377,.T.); #195002=EDGE_CURVE('',#158693,#158691,#81378,.T.); #195003=EDGE_CURVE('',#158692,#158693,#81379,.T.); #195004=EDGE_CURVE('',#158694,#158692,#81380,.T.); #195005=EDGE_CURVE('',#158695,#158693,#81381,.T.); #195006=EDGE_CURVE('',#158694,#158695,#81382,.T.); #195007=EDGE_CURVE('',#158696,#158694,#81383,.T.); #195008=EDGE_CURVE('',#158697,#158695,#81384,.T.); #195009=EDGE_CURVE('',#158696,#158697,#81385,.T.); #195010=EDGE_CURVE('',#158698,#158696,#81386,.T.); #195011=EDGE_CURVE('',#158699,#158697,#81387,.T.); #195012=EDGE_CURVE('',#158698,#158699,#81388,.T.); #195013=EDGE_CURVE('',#158700,#158698,#81389,.T.); #195014=EDGE_CURVE('',#158701,#158699,#81390,.T.); #195015=EDGE_CURVE('',#158700,#158701,#81391,.T.); #195016=EDGE_CURVE('',#158702,#158700,#81392,.T.); #195017=EDGE_CURVE('',#158703,#158701,#81393,.T.); #195018=EDGE_CURVE('',#158702,#158703,#81394,.T.); #195019=EDGE_CURVE('',#158704,#158702,#81395,.T.); #195020=EDGE_CURVE('',#158705,#158703,#81396,.T.); #195021=EDGE_CURVE('',#158704,#158705,#81397,.T.); #195022=EDGE_CURVE('',#158706,#158704,#81398,.T.); #195023=EDGE_CURVE('',#158707,#158705,#81399,.T.); #195024=EDGE_CURVE('',#158706,#158707,#81400,.T.); #195025=EDGE_CURVE('',#158708,#158706,#81401,.T.); #195026=EDGE_CURVE('',#158709,#158707,#81402,.T.); #195027=EDGE_CURVE('',#158708,#158709,#81403,.T.); #195028=EDGE_CURVE('',#158710,#158708,#81404,.T.); #195029=EDGE_CURVE('',#158711,#158709,#81405,.T.); #195030=EDGE_CURVE('',#158710,#158711,#81406,.T.); #195031=EDGE_CURVE('',#158712,#158710,#81407,.T.); #195032=EDGE_CURVE('',#158713,#158711,#81408,.T.); #195033=EDGE_CURVE('',#158712,#158713,#81409,.T.); #195034=EDGE_CURVE('',#158714,#158712,#81410,.T.); #195035=EDGE_CURVE('',#158715,#158713,#81411,.T.); #195036=EDGE_CURVE('',#158714,#158715,#81412,.T.); #195037=EDGE_CURVE('',#158716,#158714,#81413,.T.); #195038=EDGE_CURVE('',#158717,#158715,#81414,.T.); #195039=EDGE_CURVE('',#158716,#158717,#81415,.T.); #195040=EDGE_CURVE('',#158718,#158716,#81416,.T.); #195041=EDGE_CURVE('',#158719,#158717,#81417,.T.); #195042=EDGE_CURVE('',#158718,#158719,#81418,.T.); #195043=EDGE_CURVE('',#158720,#158718,#81419,.T.); #195044=EDGE_CURVE('',#158721,#158719,#81420,.T.); #195045=EDGE_CURVE('',#158720,#158721,#81421,.T.); #195046=EDGE_CURVE('',#158722,#158720,#81422,.T.); #195047=EDGE_CURVE('',#158723,#158721,#81423,.T.); #195048=EDGE_CURVE('',#158722,#158723,#81424,.T.); #195049=EDGE_CURVE('',#158724,#158722,#81425,.T.); #195050=EDGE_CURVE('',#158725,#158723,#81426,.T.); #195051=EDGE_CURVE('',#158724,#158725,#81427,.T.); #195052=EDGE_CURVE('',#158726,#158724,#81428,.T.); #195053=EDGE_CURVE('',#158727,#158725,#81429,.T.); #195054=EDGE_CURVE('',#158726,#158727,#81430,.T.); #195055=EDGE_CURVE('',#158728,#158726,#81431,.T.); #195056=EDGE_CURVE('',#158729,#158727,#81432,.T.); #195057=EDGE_CURVE('',#158728,#158729,#81433,.T.); #195058=EDGE_CURVE('',#158730,#158728,#81434,.T.); #195059=EDGE_CURVE('',#158731,#158729,#81435,.T.); #195060=EDGE_CURVE('',#158730,#158731,#81436,.T.); #195061=EDGE_CURVE('',#158732,#158730,#81437,.T.); #195062=EDGE_CURVE('',#158733,#158731,#81438,.T.); #195063=EDGE_CURVE('',#158732,#158733,#81439,.T.); #195064=EDGE_CURVE('',#158734,#158732,#81440,.T.); #195065=EDGE_CURVE('',#158735,#158733,#81441,.T.); #195066=EDGE_CURVE('',#158734,#158735,#81442,.T.); #195067=EDGE_CURVE('',#158736,#158734,#81443,.T.); #195068=EDGE_CURVE('',#158737,#158735,#81444,.T.); #195069=EDGE_CURVE('',#158736,#158737,#81445,.T.); #195070=EDGE_CURVE('',#158738,#158736,#81446,.T.); #195071=EDGE_CURVE('',#158739,#158737,#81447,.T.); #195072=EDGE_CURVE('',#158738,#158739,#81448,.T.); #195073=EDGE_CURVE('',#158740,#158738,#81449,.T.); #195074=EDGE_CURVE('',#158741,#158739,#81450,.T.); #195075=EDGE_CURVE('',#158740,#158741,#81451,.T.); #195076=EDGE_CURVE('',#158742,#158740,#81452,.T.); #195077=EDGE_CURVE('',#158743,#158741,#81453,.T.); #195078=EDGE_CURVE('',#158742,#158743,#81454,.T.); #195079=EDGE_CURVE('',#158744,#158742,#81455,.T.); #195080=EDGE_CURVE('',#158745,#158743,#81456,.T.); #195081=EDGE_CURVE('',#158744,#158745,#81457,.T.); #195082=EDGE_CURVE('',#158746,#158744,#81458,.T.); #195083=EDGE_CURVE('',#158747,#158745,#81459,.T.); #195084=EDGE_CURVE('',#158746,#158747,#81460,.T.); #195085=EDGE_CURVE('',#158748,#158746,#81461,.T.); #195086=EDGE_CURVE('',#158749,#158747,#81462,.T.); #195087=EDGE_CURVE('',#158748,#158749,#81463,.T.); #195088=EDGE_CURVE('',#158750,#158748,#81464,.T.); #195089=EDGE_CURVE('',#158751,#158749,#81465,.T.); #195090=EDGE_CURVE('',#158750,#158751,#81466,.T.); #195091=EDGE_CURVE('',#158752,#158750,#81467,.T.); #195092=EDGE_CURVE('',#158753,#158751,#81468,.T.); #195093=EDGE_CURVE('',#158752,#158753,#81469,.T.); #195094=EDGE_CURVE('',#158754,#158752,#81470,.T.); #195095=EDGE_CURVE('',#158755,#158753,#81471,.T.); #195096=EDGE_CURVE('',#158754,#158755,#81472,.T.); #195097=EDGE_CURVE('',#158756,#158754,#81473,.T.); #195098=EDGE_CURVE('',#158757,#158755,#81474,.T.); #195099=EDGE_CURVE('',#158756,#158757,#81475,.T.); #195100=EDGE_CURVE('',#158758,#158756,#81476,.T.); #195101=EDGE_CURVE('',#158759,#158757,#81477,.T.); #195102=EDGE_CURVE('',#158758,#158759,#81478,.T.); #195103=EDGE_CURVE('',#158760,#158758,#81479,.T.); #195104=EDGE_CURVE('',#158761,#158759,#81480,.T.); #195105=EDGE_CURVE('',#158760,#158761,#81481,.T.); #195106=EDGE_CURVE('',#158762,#158760,#81482,.T.); #195107=EDGE_CURVE('',#158763,#158761,#81483,.T.); #195108=EDGE_CURVE('',#158762,#158763,#81484,.T.); #195109=EDGE_CURVE('',#158764,#158762,#81485,.T.); #195110=EDGE_CURVE('',#158765,#158763,#81486,.T.); #195111=EDGE_CURVE('',#158764,#158765,#81487,.T.); #195112=EDGE_CURVE('',#158766,#158764,#81488,.T.); #195113=EDGE_CURVE('',#158767,#158765,#81489,.T.); #195114=EDGE_CURVE('',#158766,#158767,#81490,.T.); #195115=EDGE_CURVE('',#158768,#158766,#81491,.T.); #195116=EDGE_CURVE('',#158769,#158767,#81492,.T.); #195117=EDGE_CURVE('',#158768,#158769,#81493,.T.); #195118=EDGE_CURVE('',#158770,#158768,#81494,.T.); #195119=EDGE_CURVE('',#158771,#158769,#81495,.T.); #195120=EDGE_CURVE('',#158770,#158771,#81496,.T.); #195121=EDGE_CURVE('',#158772,#158770,#81497,.T.); #195122=EDGE_CURVE('',#158773,#158771,#81498,.T.); #195123=EDGE_CURVE('',#158772,#158773,#81499,.T.); #195124=EDGE_CURVE('',#158774,#158772,#81500,.T.); #195125=EDGE_CURVE('',#158775,#158773,#81501,.T.); #195126=EDGE_CURVE('',#158774,#158775,#81502,.T.); #195127=EDGE_CURVE('',#158776,#158774,#81503,.T.); #195128=EDGE_CURVE('',#158777,#158775,#81504,.T.); #195129=EDGE_CURVE('',#158776,#158777,#81505,.T.); #195130=EDGE_CURVE('',#158778,#158776,#81506,.T.); #195131=EDGE_CURVE('',#158779,#158777,#81507,.T.); #195132=EDGE_CURVE('',#158778,#158779,#81508,.T.); #195133=EDGE_CURVE('',#158780,#158778,#81509,.T.); #195134=EDGE_CURVE('',#158781,#158779,#81510,.T.); #195135=EDGE_CURVE('',#158780,#158781,#81511,.T.); #195136=EDGE_CURVE('',#158782,#158780,#81512,.T.); #195137=EDGE_CURVE('',#158783,#158781,#81513,.T.); #195138=EDGE_CURVE('',#158782,#158783,#81514,.T.); #195139=EDGE_CURVE('',#158784,#158782,#81515,.T.); #195140=EDGE_CURVE('',#158785,#158783,#81516,.T.); #195141=EDGE_CURVE('',#158784,#158785,#81517,.T.); #195142=EDGE_CURVE('',#158786,#158784,#81518,.T.); #195143=EDGE_CURVE('',#158787,#158785,#81519,.T.); #195144=EDGE_CURVE('',#158786,#158787,#81520,.T.); #195145=EDGE_CURVE('',#158788,#158786,#81521,.T.); #195146=EDGE_CURVE('',#158789,#158787,#81522,.T.); #195147=EDGE_CURVE('',#158788,#158789,#81523,.T.); #195148=EDGE_CURVE('',#158790,#158788,#81524,.T.); #195149=EDGE_CURVE('',#158791,#158789,#81525,.T.); #195150=EDGE_CURVE('',#158790,#158791,#81526,.T.); #195151=EDGE_CURVE('',#158792,#158790,#81527,.T.); #195152=EDGE_CURVE('',#158793,#158791,#81528,.T.); #195153=EDGE_CURVE('',#158792,#158793,#81529,.T.); #195154=EDGE_CURVE('',#158794,#158792,#81530,.T.); #195155=EDGE_CURVE('',#158795,#158793,#81531,.T.); #195156=EDGE_CURVE('',#158794,#158795,#81532,.T.); #195157=EDGE_CURVE('',#158796,#158794,#81533,.T.); #195158=EDGE_CURVE('',#158797,#158795,#81534,.T.); #195159=EDGE_CURVE('',#158796,#158797,#81535,.T.); #195160=EDGE_CURVE('',#158798,#158796,#81536,.T.); #195161=EDGE_CURVE('',#158799,#158797,#81537,.T.); #195162=EDGE_CURVE('',#158798,#158799,#81538,.T.); #195163=EDGE_CURVE('',#158800,#158798,#81539,.T.); #195164=EDGE_CURVE('',#158801,#158799,#81540,.T.); #195165=EDGE_CURVE('',#158800,#158801,#81541,.T.); #195166=EDGE_CURVE('',#158802,#158800,#81542,.T.); #195167=EDGE_CURVE('',#158803,#158801,#81543,.T.); #195168=EDGE_CURVE('',#158802,#158803,#81544,.T.); #195169=EDGE_CURVE('',#158804,#158802,#81545,.T.); #195170=EDGE_CURVE('',#158805,#158803,#81546,.T.); #195171=EDGE_CURVE('',#158804,#158805,#81547,.T.); #195172=EDGE_CURVE('',#158806,#158804,#81548,.T.); #195173=EDGE_CURVE('',#158807,#158805,#81549,.T.); #195174=EDGE_CURVE('',#158806,#158807,#81550,.T.); #195175=EDGE_CURVE('',#158808,#158806,#81551,.T.); #195176=EDGE_CURVE('',#158809,#158807,#81552,.T.); #195177=EDGE_CURVE('',#158808,#158809,#81553,.T.); #195178=EDGE_CURVE('',#158810,#158808,#81554,.T.); #195179=EDGE_CURVE('',#158811,#158809,#81555,.T.); #195180=EDGE_CURVE('',#158810,#158811,#81556,.T.); #195181=EDGE_CURVE('',#158812,#158810,#81557,.T.); #195182=EDGE_CURVE('',#158813,#158811,#81558,.T.); #195183=EDGE_CURVE('',#158812,#158813,#81559,.T.); #195184=EDGE_CURVE('',#158814,#158812,#81560,.T.); #195185=EDGE_CURVE('',#158815,#158813,#81561,.T.); #195186=EDGE_CURVE('',#158814,#158815,#81562,.T.); #195187=EDGE_CURVE('',#158816,#158814,#81563,.T.); #195188=EDGE_CURVE('',#158817,#158815,#81564,.T.); #195189=EDGE_CURVE('',#158816,#158817,#81565,.T.); #195190=EDGE_CURVE('',#158818,#158816,#81566,.T.); #195191=EDGE_CURVE('',#158819,#158817,#81567,.T.); #195192=EDGE_CURVE('',#158818,#158819,#81568,.T.); #195193=EDGE_CURVE('',#158820,#158818,#81569,.T.); #195194=EDGE_CURVE('',#158821,#158819,#81570,.T.); #195195=EDGE_CURVE('',#158820,#158821,#81571,.T.); #195196=EDGE_CURVE('',#158822,#158820,#81572,.T.); #195197=EDGE_CURVE('',#158823,#158821,#81573,.T.); #195198=EDGE_CURVE('',#158822,#158823,#81574,.T.); #195199=EDGE_CURVE('',#158824,#158822,#81575,.T.); #195200=EDGE_CURVE('',#158825,#158823,#81576,.T.); #195201=EDGE_CURVE('',#158824,#158825,#81577,.T.); #195202=EDGE_CURVE('',#158826,#158824,#81578,.T.); #195203=EDGE_CURVE('',#158827,#158825,#81579,.T.); #195204=EDGE_CURVE('',#158826,#158827,#81580,.T.); #195205=EDGE_CURVE('',#158828,#158826,#81581,.T.); #195206=EDGE_CURVE('',#158829,#158827,#81582,.T.); #195207=EDGE_CURVE('',#158828,#158829,#81583,.T.); #195208=EDGE_CURVE('',#158830,#158828,#81584,.T.); #195209=EDGE_CURVE('',#158831,#158829,#81585,.T.); #195210=EDGE_CURVE('',#158830,#158831,#81586,.T.); #195211=EDGE_CURVE('',#158832,#158830,#81587,.T.); #195212=EDGE_CURVE('',#158833,#158831,#81588,.T.); #195213=EDGE_CURVE('',#158832,#158833,#81589,.T.); #195214=EDGE_CURVE('',#158834,#158832,#81590,.T.); #195215=EDGE_CURVE('',#158835,#158833,#81591,.T.); #195216=EDGE_CURVE('',#158834,#158835,#81592,.T.); #195217=EDGE_CURVE('',#158836,#158834,#81593,.T.); #195218=EDGE_CURVE('',#158837,#158835,#81594,.T.); #195219=EDGE_CURVE('',#158836,#158837,#81595,.T.); #195220=EDGE_CURVE('',#158838,#158836,#81596,.T.); #195221=EDGE_CURVE('',#158839,#158837,#81597,.T.); #195222=EDGE_CURVE('',#158838,#158839,#81598,.T.); #195223=EDGE_CURVE('',#158840,#158838,#81599,.T.); #195224=EDGE_CURVE('',#158841,#158839,#81600,.T.); #195225=EDGE_CURVE('',#158840,#158841,#81601,.T.); #195226=EDGE_CURVE('',#158842,#158840,#81602,.T.); #195227=EDGE_CURVE('',#158843,#158841,#81603,.T.); #195228=EDGE_CURVE('',#158842,#158843,#81604,.T.); #195229=EDGE_CURVE('',#158844,#158842,#81605,.T.); #195230=EDGE_CURVE('',#158845,#158843,#81606,.T.); #195231=EDGE_CURVE('',#158844,#158845,#81607,.T.); #195232=EDGE_CURVE('',#158846,#158844,#81608,.T.); #195233=EDGE_CURVE('',#158847,#158845,#81609,.T.); #195234=EDGE_CURVE('',#158846,#158847,#81610,.T.); #195235=EDGE_CURVE('',#158848,#158846,#81611,.T.); #195236=EDGE_CURVE('',#158849,#158847,#81612,.T.); #195237=EDGE_CURVE('',#158848,#158849,#81613,.T.); #195238=EDGE_CURVE('',#158850,#158848,#81614,.T.); #195239=EDGE_CURVE('',#158851,#158849,#81615,.T.); #195240=EDGE_CURVE('',#158850,#158851,#81616,.T.); #195241=EDGE_CURVE('',#158852,#158850,#81617,.T.); #195242=EDGE_CURVE('',#158853,#158851,#81618,.T.); #195243=EDGE_CURVE('',#158852,#158853,#81619,.T.); #195244=EDGE_CURVE('',#158854,#158852,#81620,.T.); #195245=EDGE_CURVE('',#158855,#158853,#81621,.T.); #195246=EDGE_CURVE('',#158854,#158855,#81622,.T.); #195247=EDGE_CURVE('',#158856,#158854,#81623,.T.); #195248=EDGE_CURVE('',#158857,#158855,#81624,.T.); #195249=EDGE_CURVE('',#158856,#158857,#81625,.T.); #195250=EDGE_CURVE('',#158858,#158856,#81626,.T.); #195251=EDGE_CURVE('',#158859,#158857,#81627,.T.); #195252=EDGE_CURVE('',#158858,#158859,#81628,.T.); #195253=EDGE_CURVE('',#158860,#158858,#81629,.T.); #195254=EDGE_CURVE('',#158861,#158859,#81630,.T.); #195255=EDGE_CURVE('',#158860,#158861,#81631,.T.); #195256=EDGE_CURVE('',#158862,#158860,#81632,.T.); #195257=EDGE_CURVE('',#158863,#158861,#81633,.T.); #195258=EDGE_CURVE('',#158862,#158863,#81634,.T.); #195259=EDGE_CURVE('',#158864,#158862,#81635,.T.); #195260=EDGE_CURVE('',#158865,#158863,#81636,.T.); #195261=EDGE_CURVE('',#158864,#158865,#81637,.T.); #195262=EDGE_CURVE('',#158866,#158864,#81638,.T.); #195263=EDGE_CURVE('',#158867,#158865,#81639,.T.); #195264=EDGE_CURVE('',#158866,#158867,#81640,.T.); #195265=EDGE_CURVE('',#158868,#158866,#81641,.T.); #195266=EDGE_CURVE('',#158869,#158867,#81642,.T.); #195267=EDGE_CURVE('',#158868,#158869,#81643,.T.); #195268=EDGE_CURVE('',#158870,#158868,#81644,.T.); #195269=EDGE_CURVE('',#158871,#158869,#81645,.T.); #195270=EDGE_CURVE('',#158870,#158871,#81646,.T.); #195271=EDGE_CURVE('',#158872,#158870,#81647,.T.); #195272=EDGE_CURVE('',#158873,#158871,#81648,.T.); #195273=EDGE_CURVE('',#158872,#158873,#81649,.T.); #195274=EDGE_CURVE('',#158874,#158872,#81650,.T.); #195275=EDGE_CURVE('',#158875,#158873,#81651,.T.); #195276=EDGE_CURVE('',#158874,#158875,#81652,.T.); #195277=EDGE_CURVE('',#158876,#158874,#81653,.T.); #195278=EDGE_CURVE('',#158877,#158875,#81654,.T.); #195279=EDGE_CURVE('',#158876,#158877,#81655,.T.); #195280=EDGE_CURVE('',#158878,#158876,#81656,.T.); #195281=EDGE_CURVE('',#158879,#158877,#81657,.T.); #195282=EDGE_CURVE('',#158878,#158879,#81658,.T.); #195283=EDGE_CURVE('',#158880,#158878,#81659,.T.); #195284=EDGE_CURVE('',#158881,#158879,#81660,.T.); #195285=EDGE_CURVE('',#158880,#158881,#81661,.T.); #195286=EDGE_CURVE('',#158882,#158880,#81662,.T.); #195287=EDGE_CURVE('',#158883,#158881,#81663,.T.); #195288=EDGE_CURVE('',#158882,#158883,#81664,.T.); #195289=EDGE_CURVE('',#158884,#158882,#81665,.T.); #195290=EDGE_CURVE('',#158885,#158883,#81666,.T.); #195291=EDGE_CURVE('',#158884,#158885,#81667,.T.); #195292=EDGE_CURVE('',#158886,#158884,#81668,.T.); #195293=EDGE_CURVE('',#158887,#158885,#81669,.T.); #195294=EDGE_CURVE('',#158886,#158887,#81670,.T.); #195295=EDGE_CURVE('',#158888,#158886,#81671,.T.); #195296=EDGE_CURVE('',#158889,#158887,#81672,.T.); #195297=EDGE_CURVE('',#158888,#158889,#81673,.T.); #195298=EDGE_CURVE('',#158890,#158888,#81674,.T.); #195299=EDGE_CURVE('',#158891,#158889,#81675,.T.); #195300=EDGE_CURVE('',#158890,#158891,#81676,.T.); #195301=EDGE_CURVE('',#158892,#158890,#81677,.T.); #195302=EDGE_CURVE('',#158893,#158891,#81678,.T.); #195303=EDGE_CURVE('',#158892,#158893,#81679,.T.); #195304=EDGE_CURVE('',#158894,#158892,#81680,.T.); #195305=EDGE_CURVE('',#158895,#158893,#81681,.T.); #195306=EDGE_CURVE('',#158894,#158895,#81682,.T.); #195307=EDGE_CURVE('',#158896,#158894,#81683,.T.); #195308=EDGE_CURVE('',#158897,#158895,#81684,.T.); #195309=EDGE_CURVE('',#158896,#158897,#81685,.T.); #195310=EDGE_CURVE('',#158898,#158896,#81686,.T.); #195311=EDGE_CURVE('',#158899,#158897,#81687,.T.); #195312=EDGE_CURVE('',#158898,#158899,#81688,.T.); #195313=EDGE_CURVE('',#158900,#158898,#81689,.T.); #195314=EDGE_CURVE('',#158901,#158899,#81690,.T.); #195315=EDGE_CURVE('',#158900,#158901,#81691,.T.); #195316=EDGE_CURVE('',#158902,#158900,#81692,.T.); #195317=EDGE_CURVE('',#158903,#158901,#81693,.T.); #195318=EDGE_CURVE('',#158902,#158903,#81694,.T.); #195319=EDGE_CURVE('',#158904,#158902,#81695,.T.); #195320=EDGE_CURVE('',#158905,#158903,#81696,.T.); #195321=EDGE_CURVE('',#158904,#158905,#81697,.T.); #195322=EDGE_CURVE('',#158906,#158904,#81698,.T.); #195323=EDGE_CURVE('',#158907,#158905,#81699,.T.); #195324=EDGE_CURVE('',#158906,#158907,#81700,.T.); #195325=EDGE_CURVE('',#158671,#158906,#81701,.T.); #195326=EDGE_CURVE('',#158672,#158907,#81702,.T.); #195327=EDGE_CURVE('',#158908,#158909,#81703,.T.); #195328=EDGE_CURVE('',#158909,#158910,#81704,.T.); #195329=EDGE_CURVE('',#158911,#158910,#81705,.T.); #195330=EDGE_CURVE('',#158908,#158911,#81706,.T.); #195331=EDGE_CURVE('',#158912,#158908,#81707,.T.); #195332=EDGE_CURVE('',#158913,#158911,#81708,.T.); #195333=EDGE_CURVE('',#158912,#158913,#81709,.T.); #195334=EDGE_CURVE('',#158914,#158912,#81710,.T.); #195335=EDGE_CURVE('',#158915,#158913,#81711,.T.); #195336=EDGE_CURVE('',#158914,#158915,#81712,.T.); #195337=EDGE_CURVE('',#158916,#158914,#81713,.T.); #195338=EDGE_CURVE('',#158917,#158915,#81714,.T.); #195339=EDGE_CURVE('',#158916,#158917,#81715,.T.); #195340=EDGE_CURVE('',#158918,#158916,#81716,.T.); #195341=EDGE_CURVE('',#158919,#158917,#81717,.T.); #195342=EDGE_CURVE('',#158918,#158919,#81718,.T.); #195343=EDGE_CURVE('',#158920,#158918,#81719,.T.); #195344=EDGE_CURVE('',#158921,#158919,#81720,.T.); #195345=EDGE_CURVE('',#158920,#158921,#81721,.T.); #195346=EDGE_CURVE('',#158922,#158920,#81722,.T.); #195347=EDGE_CURVE('',#158923,#158921,#81723,.T.); #195348=EDGE_CURVE('',#158922,#158923,#81724,.T.); #195349=EDGE_CURVE('',#158909,#158922,#81725,.T.); #195350=EDGE_CURVE('',#158910,#158923,#81726,.T.); #195351=EDGE_CURVE('',#158924,#158925,#81727,.T.); #195352=EDGE_CURVE('',#158925,#158926,#81728,.T.); #195353=EDGE_CURVE('',#158927,#158926,#81729,.T.); #195354=EDGE_CURVE('',#158924,#158927,#81730,.T.); #195355=EDGE_CURVE('',#158928,#158924,#81731,.T.); #195356=EDGE_CURVE('',#158929,#158927,#81732,.T.); #195357=EDGE_CURVE('',#158928,#158929,#81733,.T.); #195358=EDGE_CURVE('',#158930,#158928,#81734,.T.); #195359=EDGE_CURVE('',#158931,#158929,#81735,.T.); #195360=EDGE_CURVE('',#158930,#158931,#81736,.T.); #195361=EDGE_CURVE('',#158932,#158930,#81737,.T.); #195362=EDGE_CURVE('',#158933,#158931,#81738,.T.); #195363=EDGE_CURVE('',#158932,#158933,#81739,.T.); #195364=EDGE_CURVE('',#158934,#158932,#81740,.T.); #195365=EDGE_CURVE('',#158935,#158933,#81741,.T.); #195366=EDGE_CURVE('',#158934,#158935,#81742,.T.); #195367=EDGE_CURVE('',#158936,#158934,#81743,.T.); #195368=EDGE_CURVE('',#158937,#158935,#81744,.T.); #195369=EDGE_CURVE('',#158936,#158937,#81745,.T.); #195370=EDGE_CURVE('',#158938,#158936,#81746,.T.); #195371=EDGE_CURVE('',#158939,#158937,#81747,.T.); #195372=EDGE_CURVE('',#158938,#158939,#81748,.T.); #195373=EDGE_CURVE('',#158940,#158938,#81749,.T.); #195374=EDGE_CURVE('',#158941,#158939,#81750,.T.); #195375=EDGE_CURVE('',#158940,#158941,#81751,.T.); #195376=EDGE_CURVE('',#158942,#158940,#81752,.T.); #195377=EDGE_CURVE('',#158943,#158941,#81753,.T.); #195378=EDGE_CURVE('',#158942,#158943,#81754,.T.); #195379=EDGE_CURVE('',#158944,#158942,#81755,.T.); #195380=EDGE_CURVE('',#158945,#158943,#81756,.T.); #195381=EDGE_CURVE('',#158944,#158945,#81757,.T.); #195382=EDGE_CURVE('',#158946,#158944,#81758,.T.); #195383=EDGE_CURVE('',#158947,#158945,#81759,.T.); #195384=EDGE_CURVE('',#158946,#158947,#81760,.T.); #195385=EDGE_CURVE('',#158948,#158946,#81761,.T.); #195386=EDGE_CURVE('',#158949,#158947,#81762,.T.); #195387=EDGE_CURVE('',#158948,#158949,#81763,.T.); #195388=EDGE_CURVE('',#158950,#158948,#81764,.T.); #195389=EDGE_CURVE('',#158951,#158949,#81765,.T.); #195390=EDGE_CURVE('',#158950,#158951,#81766,.T.); #195391=EDGE_CURVE('',#158952,#158950,#81767,.T.); #195392=EDGE_CURVE('',#158953,#158951,#81768,.T.); #195393=EDGE_CURVE('',#158952,#158953,#81769,.T.); #195394=EDGE_CURVE('',#158954,#158952,#81770,.T.); #195395=EDGE_CURVE('',#158955,#158953,#81771,.T.); #195396=EDGE_CURVE('',#158954,#158955,#81772,.T.); #195397=EDGE_CURVE('',#158956,#158954,#81773,.T.); #195398=EDGE_CURVE('',#158957,#158955,#81774,.T.); #195399=EDGE_CURVE('',#158956,#158957,#81775,.T.); #195400=EDGE_CURVE('',#158958,#158956,#81776,.T.); #195401=EDGE_CURVE('',#158959,#158957,#81777,.T.); #195402=EDGE_CURVE('',#158958,#158959,#81778,.T.); #195403=EDGE_CURVE('',#158960,#158958,#81779,.T.); #195404=EDGE_CURVE('',#158961,#158959,#81780,.T.); #195405=EDGE_CURVE('',#158960,#158961,#81781,.T.); #195406=EDGE_CURVE('',#158962,#158960,#81782,.T.); #195407=EDGE_CURVE('',#158963,#158961,#81783,.T.); #195408=EDGE_CURVE('',#158962,#158963,#81784,.T.); #195409=EDGE_CURVE('',#158964,#158962,#81785,.T.); #195410=EDGE_CURVE('',#158965,#158963,#81786,.T.); #195411=EDGE_CURVE('',#158964,#158965,#81787,.T.); #195412=EDGE_CURVE('',#158966,#158964,#81788,.T.); #195413=EDGE_CURVE('',#158967,#158965,#81789,.T.); #195414=EDGE_CURVE('',#158966,#158967,#81790,.T.); #195415=EDGE_CURVE('',#158968,#158966,#81791,.T.); #195416=EDGE_CURVE('',#158969,#158967,#81792,.T.); #195417=EDGE_CURVE('',#158968,#158969,#81793,.T.); #195418=EDGE_CURVE('',#158970,#158968,#81794,.T.); #195419=EDGE_CURVE('',#158971,#158969,#81795,.T.); #195420=EDGE_CURVE('',#158970,#158971,#81796,.T.); #195421=EDGE_CURVE('',#158972,#158970,#81797,.T.); #195422=EDGE_CURVE('',#158973,#158971,#81798,.T.); #195423=EDGE_CURVE('',#158972,#158973,#81799,.T.); #195424=EDGE_CURVE('',#158974,#158972,#81800,.T.); #195425=EDGE_CURVE('',#158975,#158973,#81801,.T.); #195426=EDGE_CURVE('',#158974,#158975,#81802,.T.); #195427=EDGE_CURVE('',#158976,#158974,#81803,.T.); #195428=EDGE_CURVE('',#158977,#158975,#81804,.T.); #195429=EDGE_CURVE('',#158976,#158977,#81805,.T.); #195430=EDGE_CURVE('',#158978,#158976,#81806,.T.); #195431=EDGE_CURVE('',#158979,#158977,#81807,.T.); #195432=EDGE_CURVE('',#158978,#158979,#81808,.T.); #195433=EDGE_CURVE('',#158980,#158978,#81809,.T.); #195434=EDGE_CURVE('',#158981,#158979,#81810,.T.); #195435=EDGE_CURVE('',#158980,#158981,#81811,.T.); #195436=EDGE_CURVE('',#158982,#158980,#81812,.T.); #195437=EDGE_CURVE('',#158983,#158981,#81813,.T.); #195438=EDGE_CURVE('',#158982,#158983,#81814,.T.); #195439=EDGE_CURVE('',#158984,#158982,#81815,.T.); #195440=EDGE_CURVE('',#158985,#158983,#81816,.T.); #195441=EDGE_CURVE('',#158984,#158985,#81817,.T.); #195442=EDGE_CURVE('',#158986,#158984,#81818,.T.); #195443=EDGE_CURVE('',#158987,#158985,#81819,.T.); #195444=EDGE_CURVE('',#158986,#158987,#81820,.T.); #195445=EDGE_CURVE('',#158988,#158986,#81821,.T.); #195446=EDGE_CURVE('',#158989,#158987,#81822,.T.); #195447=EDGE_CURVE('',#158988,#158989,#81823,.T.); #195448=EDGE_CURVE('',#158990,#158988,#81824,.T.); #195449=EDGE_CURVE('',#158991,#158989,#81825,.T.); #195450=EDGE_CURVE('',#158990,#158991,#81826,.T.); #195451=EDGE_CURVE('',#158992,#158990,#81827,.T.); #195452=EDGE_CURVE('',#158993,#158991,#81828,.T.); #195453=EDGE_CURVE('',#158992,#158993,#81829,.T.); #195454=EDGE_CURVE('',#158994,#158992,#81830,.T.); #195455=EDGE_CURVE('',#158995,#158993,#81831,.T.); #195456=EDGE_CURVE('',#158994,#158995,#81832,.T.); #195457=EDGE_CURVE('',#158996,#158994,#81833,.T.); #195458=EDGE_CURVE('',#158997,#158995,#81834,.T.); #195459=EDGE_CURVE('',#158996,#158997,#81835,.T.); #195460=EDGE_CURVE('',#158998,#158996,#81836,.T.); #195461=EDGE_CURVE('',#158999,#158997,#81837,.T.); #195462=EDGE_CURVE('',#158998,#158999,#81838,.T.); #195463=EDGE_CURVE('',#159000,#158998,#81839,.T.); #195464=EDGE_CURVE('',#159001,#158999,#81840,.T.); #195465=EDGE_CURVE('',#159000,#159001,#81841,.T.); #195466=EDGE_CURVE('',#159002,#159000,#81842,.T.); #195467=EDGE_CURVE('',#159003,#159001,#81843,.T.); #195468=EDGE_CURVE('',#159002,#159003,#81844,.T.); #195469=EDGE_CURVE('',#159004,#159002,#81845,.T.); #195470=EDGE_CURVE('',#159005,#159003,#81846,.T.); #195471=EDGE_CURVE('',#159004,#159005,#81847,.T.); #195472=EDGE_CURVE('',#159006,#159004,#81848,.T.); #195473=EDGE_CURVE('',#159007,#159005,#81849,.T.); #195474=EDGE_CURVE('',#159006,#159007,#81850,.T.); #195475=EDGE_CURVE('',#159008,#159006,#81851,.T.); #195476=EDGE_CURVE('',#159009,#159007,#81852,.T.); #195477=EDGE_CURVE('',#159008,#159009,#81853,.T.); #195478=EDGE_CURVE('',#159010,#159008,#81854,.T.); #195479=EDGE_CURVE('',#159011,#159009,#81855,.T.); #195480=EDGE_CURVE('',#159010,#159011,#81856,.T.); #195481=EDGE_CURVE('',#159012,#159010,#81857,.T.); #195482=EDGE_CURVE('',#159013,#159011,#81858,.T.); #195483=EDGE_CURVE('',#159012,#159013,#81859,.T.); #195484=EDGE_CURVE('',#159014,#159012,#81860,.T.); #195485=EDGE_CURVE('',#159015,#159013,#81861,.T.); #195486=EDGE_CURVE('',#159014,#159015,#81862,.T.); #195487=EDGE_CURVE('',#159016,#159014,#81863,.T.); #195488=EDGE_CURVE('',#159017,#159015,#81864,.T.); #195489=EDGE_CURVE('',#159016,#159017,#81865,.T.); #195490=EDGE_CURVE('',#159018,#159016,#81866,.T.); #195491=EDGE_CURVE('',#159019,#159017,#81867,.T.); #195492=EDGE_CURVE('',#159018,#159019,#81868,.T.); #195493=EDGE_CURVE('',#159020,#159018,#81869,.T.); #195494=EDGE_CURVE('',#159021,#159019,#81870,.T.); #195495=EDGE_CURVE('',#159020,#159021,#81871,.T.); #195496=EDGE_CURVE('',#159022,#159020,#81872,.T.); #195497=EDGE_CURVE('',#159023,#159021,#81873,.T.); #195498=EDGE_CURVE('',#159022,#159023,#81874,.T.); #195499=EDGE_CURVE('',#159024,#159022,#81875,.T.); #195500=EDGE_CURVE('',#159025,#159023,#81876,.T.); #195501=EDGE_CURVE('',#159024,#159025,#81877,.T.); #195502=EDGE_CURVE('',#159026,#159024,#81878,.T.); #195503=EDGE_CURVE('',#159027,#159025,#81879,.T.); #195504=EDGE_CURVE('',#159026,#159027,#81880,.T.); #195505=EDGE_CURVE('',#159028,#159026,#81881,.T.); #195506=EDGE_CURVE('',#159029,#159027,#81882,.T.); #195507=EDGE_CURVE('',#159028,#159029,#81883,.T.); #195508=EDGE_CURVE('',#159030,#159028,#81884,.T.); #195509=EDGE_CURVE('',#159031,#159029,#81885,.T.); #195510=EDGE_CURVE('',#159030,#159031,#81886,.T.); #195511=EDGE_CURVE('',#159032,#159030,#81887,.T.); #195512=EDGE_CURVE('',#159033,#159031,#81888,.T.); #195513=EDGE_CURVE('',#159032,#159033,#81889,.T.); #195514=EDGE_CURVE('',#159034,#159032,#81890,.T.); #195515=EDGE_CURVE('',#159035,#159033,#81891,.T.); #195516=EDGE_CURVE('',#159034,#159035,#81892,.T.); #195517=EDGE_CURVE('',#159036,#159034,#81893,.T.); #195518=EDGE_CURVE('',#159037,#159035,#81894,.T.); #195519=EDGE_CURVE('',#159036,#159037,#81895,.T.); #195520=EDGE_CURVE('',#159038,#159036,#81896,.T.); #195521=EDGE_CURVE('',#159039,#159037,#81897,.T.); #195522=EDGE_CURVE('',#159038,#159039,#81898,.T.); #195523=EDGE_CURVE('',#159040,#159038,#81899,.T.); #195524=EDGE_CURVE('',#159041,#159039,#81900,.T.); #195525=EDGE_CURVE('',#159040,#159041,#81901,.T.); #195526=EDGE_CURVE('',#159042,#159040,#81902,.T.); #195527=EDGE_CURVE('',#159043,#159041,#81903,.T.); #195528=EDGE_CURVE('',#159042,#159043,#81904,.T.); #195529=EDGE_CURVE('',#159044,#159042,#81905,.T.); #195530=EDGE_CURVE('',#159045,#159043,#81906,.T.); #195531=EDGE_CURVE('',#159044,#159045,#81907,.T.); #195532=EDGE_CURVE('',#159046,#159044,#81908,.T.); #195533=EDGE_CURVE('',#159047,#159045,#81909,.T.); #195534=EDGE_CURVE('',#159046,#159047,#81910,.T.); #195535=EDGE_CURVE('',#159048,#159046,#81911,.T.); #195536=EDGE_CURVE('',#159049,#159047,#81912,.T.); #195537=EDGE_CURVE('',#159048,#159049,#81913,.T.); #195538=EDGE_CURVE('',#159050,#159048,#81914,.T.); #195539=EDGE_CURVE('',#159051,#159049,#81915,.T.); #195540=EDGE_CURVE('',#159050,#159051,#81916,.T.); #195541=EDGE_CURVE('',#159052,#159050,#81917,.T.); #195542=EDGE_CURVE('',#159053,#159051,#81918,.T.); #195543=EDGE_CURVE('',#159052,#159053,#81919,.T.); #195544=EDGE_CURVE('',#159054,#159052,#81920,.T.); #195545=EDGE_CURVE('',#159055,#159053,#81921,.T.); #195546=EDGE_CURVE('',#159054,#159055,#81922,.T.); #195547=EDGE_CURVE('',#159056,#159054,#81923,.T.); #195548=EDGE_CURVE('',#159057,#159055,#81924,.T.); #195549=EDGE_CURVE('',#159056,#159057,#81925,.T.); #195550=EDGE_CURVE('',#159058,#159056,#81926,.T.); #195551=EDGE_CURVE('',#159059,#159057,#81927,.T.); #195552=EDGE_CURVE('',#159058,#159059,#81928,.T.); #195553=EDGE_CURVE('',#159060,#159058,#81929,.T.); #195554=EDGE_CURVE('',#159061,#159059,#81930,.T.); #195555=EDGE_CURVE('',#159060,#159061,#81931,.T.); #195556=EDGE_CURVE('',#159062,#159060,#81932,.T.); #195557=EDGE_CURVE('',#159063,#159061,#81933,.T.); #195558=EDGE_CURVE('',#159062,#159063,#81934,.T.); #195559=EDGE_CURVE('',#159064,#159062,#81935,.T.); #195560=EDGE_CURVE('',#159065,#159063,#81936,.T.); #195561=EDGE_CURVE('',#159064,#159065,#81937,.T.); #195562=EDGE_CURVE('',#159066,#159064,#81938,.T.); #195563=EDGE_CURVE('',#159067,#159065,#81939,.T.); #195564=EDGE_CURVE('',#159066,#159067,#81940,.T.); #195565=EDGE_CURVE('',#159068,#159066,#81941,.T.); #195566=EDGE_CURVE('',#159069,#159067,#81942,.T.); #195567=EDGE_CURVE('',#159068,#159069,#81943,.T.); #195568=EDGE_CURVE('',#159070,#159068,#81944,.T.); #195569=EDGE_CURVE('',#159071,#159069,#81945,.T.); #195570=EDGE_CURVE('',#159070,#159071,#81946,.T.); #195571=EDGE_CURVE('',#159072,#159070,#81947,.T.); #195572=EDGE_CURVE('',#159073,#159071,#81948,.T.); #195573=EDGE_CURVE('',#159072,#159073,#81949,.T.); #195574=EDGE_CURVE('',#159074,#159072,#81950,.T.); #195575=EDGE_CURVE('',#159075,#159073,#81951,.T.); #195576=EDGE_CURVE('',#159074,#159075,#81952,.T.); #195577=EDGE_CURVE('',#159076,#159074,#81953,.T.); #195578=EDGE_CURVE('',#159077,#159075,#81954,.T.); #195579=EDGE_CURVE('',#159076,#159077,#81955,.T.); #195580=EDGE_CURVE('',#159078,#159076,#81956,.T.); #195581=EDGE_CURVE('',#159079,#159077,#81957,.T.); #195582=EDGE_CURVE('',#159078,#159079,#81958,.T.); #195583=EDGE_CURVE('',#159080,#159078,#81959,.T.); #195584=EDGE_CURVE('',#159081,#159079,#81960,.T.); #195585=EDGE_CURVE('',#159080,#159081,#81961,.T.); #195586=EDGE_CURVE('',#159082,#159080,#81962,.T.); #195587=EDGE_CURVE('',#159083,#159081,#81963,.T.); #195588=EDGE_CURVE('',#159082,#159083,#81964,.T.); #195589=EDGE_CURVE('',#159084,#159082,#81965,.T.); #195590=EDGE_CURVE('',#159085,#159083,#81966,.T.); #195591=EDGE_CURVE('',#159084,#159085,#81967,.T.); #195592=EDGE_CURVE('',#159086,#159084,#81968,.T.); #195593=EDGE_CURVE('',#159087,#159085,#81969,.T.); #195594=EDGE_CURVE('',#159086,#159087,#81970,.T.); #195595=EDGE_CURVE('',#159088,#159086,#81971,.T.); #195596=EDGE_CURVE('',#159089,#159087,#81972,.T.); #195597=EDGE_CURVE('',#159088,#159089,#81973,.T.); #195598=EDGE_CURVE('',#159090,#159088,#81974,.T.); #195599=EDGE_CURVE('',#159091,#159089,#81975,.T.); #195600=EDGE_CURVE('',#159090,#159091,#81976,.T.); #195601=EDGE_CURVE('',#159092,#159090,#81977,.T.); #195602=EDGE_CURVE('',#159093,#159091,#81978,.T.); #195603=EDGE_CURVE('',#159092,#159093,#81979,.T.); #195604=EDGE_CURVE('',#159094,#159092,#81980,.T.); #195605=EDGE_CURVE('',#159095,#159093,#81981,.T.); #195606=EDGE_CURVE('',#159094,#159095,#81982,.T.); #195607=EDGE_CURVE('',#159096,#159094,#81983,.T.); #195608=EDGE_CURVE('',#159097,#159095,#81984,.T.); #195609=EDGE_CURVE('',#159096,#159097,#81985,.T.); #195610=EDGE_CURVE('',#159098,#159096,#81986,.T.); #195611=EDGE_CURVE('',#159099,#159097,#81987,.T.); #195612=EDGE_CURVE('',#159098,#159099,#81988,.T.); #195613=EDGE_CURVE('',#159100,#159098,#81989,.T.); #195614=EDGE_CURVE('',#159101,#159099,#81990,.T.); #195615=EDGE_CURVE('',#159100,#159101,#81991,.T.); #195616=EDGE_CURVE('',#159102,#159100,#81992,.T.); #195617=EDGE_CURVE('',#159103,#159101,#81993,.T.); #195618=EDGE_CURVE('',#159102,#159103,#81994,.T.); #195619=EDGE_CURVE('',#159104,#159102,#81995,.T.); #195620=EDGE_CURVE('',#159105,#159103,#81996,.T.); #195621=EDGE_CURVE('',#159104,#159105,#81997,.T.); #195622=EDGE_CURVE('',#159106,#159104,#81998,.T.); #195623=EDGE_CURVE('',#159107,#159105,#81999,.T.); #195624=EDGE_CURVE('',#159106,#159107,#82000,.T.); #195625=EDGE_CURVE('',#159108,#159106,#82001,.T.); #195626=EDGE_CURVE('',#159109,#159107,#82002,.T.); #195627=EDGE_CURVE('',#159108,#159109,#82003,.T.); #195628=EDGE_CURVE('',#159110,#159108,#82004,.T.); #195629=EDGE_CURVE('',#159111,#159109,#82005,.T.); #195630=EDGE_CURVE('',#159110,#159111,#82006,.T.); #195631=EDGE_CURVE('',#159112,#159110,#82007,.T.); #195632=EDGE_CURVE('',#159113,#159111,#82008,.T.); #195633=EDGE_CURVE('',#159112,#159113,#82009,.T.); #195634=EDGE_CURVE('',#159114,#159112,#82010,.T.); #195635=EDGE_CURVE('',#159115,#159113,#82011,.T.); #195636=EDGE_CURVE('',#159114,#159115,#82012,.T.); #195637=EDGE_CURVE('',#159116,#159114,#82013,.T.); #195638=EDGE_CURVE('',#159117,#159115,#82014,.T.); #195639=EDGE_CURVE('',#159116,#159117,#82015,.T.); #195640=EDGE_CURVE('',#159118,#159116,#82016,.T.); #195641=EDGE_CURVE('',#159119,#159117,#82017,.T.); #195642=EDGE_CURVE('',#159118,#159119,#82018,.T.); #195643=EDGE_CURVE('',#159120,#159118,#82019,.T.); #195644=EDGE_CURVE('',#159121,#159119,#82020,.T.); #195645=EDGE_CURVE('',#159120,#159121,#82021,.T.); #195646=EDGE_CURVE('',#159122,#159120,#82022,.T.); #195647=EDGE_CURVE('',#159123,#159121,#82023,.T.); #195648=EDGE_CURVE('',#159122,#159123,#82024,.T.); #195649=EDGE_CURVE('',#159124,#159122,#82025,.T.); #195650=EDGE_CURVE('',#159125,#159123,#82026,.T.); #195651=EDGE_CURVE('',#159124,#159125,#82027,.T.); #195652=EDGE_CURVE('',#159126,#159124,#82028,.T.); #195653=EDGE_CURVE('',#159127,#159125,#82029,.T.); #195654=EDGE_CURVE('',#159126,#159127,#82030,.T.); #195655=EDGE_CURVE('',#159128,#159126,#82031,.T.); #195656=EDGE_CURVE('',#159129,#159127,#82032,.T.); #195657=EDGE_CURVE('',#159128,#159129,#82033,.T.); #195658=EDGE_CURVE('',#159130,#159128,#82034,.T.); #195659=EDGE_CURVE('',#159131,#159129,#82035,.T.); #195660=EDGE_CURVE('',#159130,#159131,#82036,.T.); #195661=EDGE_CURVE('',#159132,#159130,#82037,.T.); #195662=EDGE_CURVE('',#159133,#159131,#82038,.T.); #195663=EDGE_CURVE('',#159132,#159133,#82039,.T.); #195664=EDGE_CURVE('',#159134,#159132,#82040,.T.); #195665=EDGE_CURVE('',#159135,#159133,#82041,.T.); #195666=EDGE_CURVE('',#159134,#159135,#82042,.T.); #195667=EDGE_CURVE('',#159136,#159134,#82043,.T.); #195668=EDGE_CURVE('',#159137,#159135,#82044,.T.); #195669=EDGE_CURVE('',#159136,#159137,#82045,.T.); #195670=EDGE_CURVE('',#159138,#159136,#82046,.T.); #195671=EDGE_CURVE('',#159139,#159137,#82047,.T.); #195672=EDGE_CURVE('',#159138,#159139,#82048,.T.); #195673=EDGE_CURVE('',#159140,#159138,#82049,.T.); #195674=EDGE_CURVE('',#159141,#159139,#82050,.T.); #195675=EDGE_CURVE('',#159140,#159141,#82051,.T.); #195676=EDGE_CURVE('',#159142,#159140,#82052,.T.); #195677=EDGE_CURVE('',#159143,#159141,#82053,.T.); #195678=EDGE_CURVE('',#159142,#159143,#82054,.T.); #195679=EDGE_CURVE('',#159144,#159142,#82055,.T.); #195680=EDGE_CURVE('',#159145,#159143,#82056,.T.); #195681=EDGE_CURVE('',#159144,#159145,#82057,.T.); #195682=EDGE_CURVE('',#159146,#159144,#82058,.T.); #195683=EDGE_CURVE('',#159147,#159145,#82059,.T.); #195684=EDGE_CURVE('',#159146,#159147,#82060,.T.); #195685=EDGE_CURVE('',#159148,#159146,#82061,.T.); #195686=EDGE_CURVE('',#159149,#159147,#82062,.T.); #195687=EDGE_CURVE('',#159148,#159149,#82063,.T.); #195688=EDGE_CURVE('',#159150,#159148,#82064,.T.); #195689=EDGE_CURVE('',#159151,#159149,#82065,.T.); #195690=EDGE_CURVE('',#159150,#159151,#82066,.T.); #195691=EDGE_CURVE('',#159152,#159150,#82067,.T.); #195692=EDGE_CURVE('',#159153,#159151,#82068,.T.); #195693=EDGE_CURVE('',#159152,#159153,#82069,.T.); #195694=EDGE_CURVE('',#159154,#159152,#82070,.T.); #195695=EDGE_CURVE('',#159155,#159153,#82071,.T.); #195696=EDGE_CURVE('',#159154,#159155,#82072,.T.); #195697=EDGE_CURVE('',#159156,#159154,#82073,.T.); #195698=EDGE_CURVE('',#159157,#159155,#82074,.T.); #195699=EDGE_CURVE('',#159156,#159157,#82075,.T.); #195700=EDGE_CURVE('',#159158,#159156,#82076,.T.); #195701=EDGE_CURVE('',#159159,#159157,#82077,.T.); #195702=EDGE_CURVE('',#159158,#159159,#82078,.T.); #195703=EDGE_CURVE('',#159160,#159158,#82079,.T.); #195704=EDGE_CURVE('',#159161,#159159,#82080,.T.); #195705=EDGE_CURVE('',#159160,#159161,#82081,.T.); #195706=EDGE_CURVE('',#159162,#159160,#82082,.T.); #195707=EDGE_CURVE('',#159163,#159161,#82083,.T.); #195708=EDGE_CURVE('',#159162,#159163,#82084,.T.); #195709=EDGE_CURVE('',#158925,#159162,#82085,.T.); #195710=EDGE_CURVE('',#158926,#159163,#82086,.T.); #195711=EDGE_CURVE('',#159164,#159165,#82087,.T.); #195712=EDGE_CURVE('',#159165,#159166,#82088,.T.); #195713=EDGE_CURVE('',#159167,#159166,#82089,.T.); #195714=EDGE_CURVE('',#159164,#159167,#82090,.T.); #195715=EDGE_CURVE('',#159168,#159164,#82091,.T.); #195716=EDGE_CURVE('',#159169,#159167,#82092,.T.); #195717=EDGE_CURVE('',#159168,#159169,#82093,.T.); #195718=EDGE_CURVE('',#159170,#159168,#82094,.T.); #195719=EDGE_CURVE('',#159171,#159169,#82095,.T.); #195720=EDGE_CURVE('',#159170,#159171,#82096,.T.); #195721=EDGE_CURVE('',#159172,#159170,#82097,.T.); #195722=EDGE_CURVE('',#159173,#159171,#82098,.T.); #195723=EDGE_CURVE('',#159172,#159173,#82099,.T.); #195724=EDGE_CURVE('',#159174,#159172,#82100,.T.); #195725=EDGE_CURVE('',#159175,#159173,#82101,.T.); #195726=EDGE_CURVE('',#159174,#159175,#82102,.T.); #195727=EDGE_CURVE('',#159176,#159174,#82103,.T.); #195728=EDGE_CURVE('',#159177,#159175,#82104,.T.); #195729=EDGE_CURVE('',#159176,#159177,#82105,.T.); #195730=EDGE_CURVE('',#159178,#159176,#82106,.T.); #195731=EDGE_CURVE('',#159179,#159177,#82107,.T.); #195732=EDGE_CURVE('',#159178,#159179,#82108,.T.); #195733=EDGE_CURVE('',#159180,#159178,#82109,.T.); #195734=EDGE_CURVE('',#159181,#159179,#82110,.T.); #195735=EDGE_CURVE('',#159180,#159181,#82111,.T.); #195736=EDGE_CURVE('',#159182,#159180,#82112,.T.); #195737=EDGE_CURVE('',#159183,#159181,#82113,.T.); #195738=EDGE_CURVE('',#159182,#159183,#82114,.T.); #195739=EDGE_CURVE('',#159184,#159182,#82115,.T.); #195740=EDGE_CURVE('',#159185,#159183,#82116,.T.); #195741=EDGE_CURVE('',#159184,#159185,#82117,.T.); #195742=EDGE_CURVE('',#159186,#159184,#82118,.T.); #195743=EDGE_CURVE('',#159187,#159185,#82119,.T.); #195744=EDGE_CURVE('',#159186,#159187,#82120,.T.); #195745=EDGE_CURVE('',#159188,#159186,#82121,.T.); #195746=EDGE_CURVE('',#159189,#159187,#82122,.T.); #195747=EDGE_CURVE('',#159188,#159189,#82123,.T.); #195748=EDGE_CURVE('',#159190,#159188,#82124,.T.); #195749=EDGE_CURVE('',#159191,#159189,#82125,.T.); #195750=EDGE_CURVE('',#159190,#159191,#82126,.T.); #195751=EDGE_CURVE('',#159192,#159190,#82127,.T.); #195752=EDGE_CURVE('',#159193,#159191,#82128,.T.); #195753=EDGE_CURVE('',#159192,#159193,#82129,.T.); #195754=EDGE_CURVE('',#159194,#159192,#82130,.T.); #195755=EDGE_CURVE('',#159195,#159193,#82131,.T.); #195756=EDGE_CURVE('',#159194,#159195,#82132,.T.); #195757=EDGE_CURVE('',#159196,#159194,#82133,.T.); #195758=EDGE_CURVE('',#159197,#159195,#82134,.T.); #195759=EDGE_CURVE('',#159196,#159197,#82135,.T.); #195760=EDGE_CURVE('',#159198,#159196,#82136,.T.); #195761=EDGE_CURVE('',#159199,#159197,#82137,.T.); #195762=EDGE_CURVE('',#159198,#159199,#82138,.T.); #195763=EDGE_CURVE('',#159200,#159198,#82139,.T.); #195764=EDGE_CURVE('',#159201,#159199,#82140,.T.); #195765=EDGE_CURVE('',#159200,#159201,#82141,.T.); #195766=EDGE_CURVE('',#159202,#159200,#82142,.T.); #195767=EDGE_CURVE('',#159203,#159201,#82143,.T.); #195768=EDGE_CURVE('',#159202,#159203,#82144,.T.); #195769=EDGE_CURVE('',#159204,#159202,#82145,.T.); #195770=EDGE_CURVE('',#159205,#159203,#82146,.T.); #195771=EDGE_CURVE('',#159204,#159205,#82147,.T.); #195772=EDGE_CURVE('',#159165,#159204,#82148,.T.); #195773=EDGE_CURVE('',#159166,#159205,#82149,.T.); #195774=EDGE_CURVE('',#159206,#159207,#82150,.T.); #195775=EDGE_CURVE('',#159207,#159208,#82151,.T.); #195776=EDGE_CURVE('',#159209,#159208,#82152,.T.); #195777=EDGE_CURVE('',#159206,#159209,#82153,.T.); #195778=EDGE_CURVE('',#159210,#159206,#82154,.T.); #195779=EDGE_CURVE('',#159211,#159209,#82155,.T.); #195780=EDGE_CURVE('',#159210,#159211,#82156,.T.); #195781=EDGE_CURVE('',#159212,#159210,#82157,.T.); #195782=EDGE_CURVE('',#159213,#159211,#82158,.T.); #195783=EDGE_CURVE('',#159212,#159213,#82159,.T.); #195784=EDGE_CURVE('',#159214,#159212,#82160,.T.); #195785=EDGE_CURVE('',#159215,#159213,#82161,.T.); #195786=EDGE_CURVE('',#159214,#159215,#82162,.T.); #195787=EDGE_CURVE('',#159216,#159214,#82163,.T.); #195788=EDGE_CURVE('',#159217,#159215,#82164,.T.); #195789=EDGE_CURVE('',#159216,#159217,#82165,.T.); #195790=EDGE_CURVE('',#159218,#159216,#82166,.T.); #195791=EDGE_CURVE('',#159219,#159217,#82167,.T.); #195792=EDGE_CURVE('',#159218,#159219,#82168,.T.); #195793=EDGE_CURVE('',#159220,#159218,#82169,.T.); #195794=EDGE_CURVE('',#159221,#159219,#82170,.T.); #195795=EDGE_CURVE('',#159220,#159221,#82171,.T.); #195796=EDGE_CURVE('',#159222,#159220,#82172,.T.); #195797=EDGE_CURVE('',#159223,#159221,#82173,.T.); #195798=EDGE_CURVE('',#159222,#159223,#82174,.T.); #195799=EDGE_CURVE('',#159224,#159222,#82175,.T.); #195800=EDGE_CURVE('',#159225,#159223,#82176,.T.); #195801=EDGE_CURVE('',#159224,#159225,#82177,.T.); #195802=EDGE_CURVE('',#159226,#159224,#82178,.T.); #195803=EDGE_CURVE('',#159227,#159225,#82179,.T.); #195804=EDGE_CURVE('',#159226,#159227,#82180,.T.); #195805=EDGE_CURVE('',#159228,#159226,#82181,.T.); #195806=EDGE_CURVE('',#159229,#159227,#82182,.T.); #195807=EDGE_CURVE('',#159228,#159229,#82183,.T.); #195808=EDGE_CURVE('',#159230,#159228,#82184,.T.); #195809=EDGE_CURVE('',#159231,#159229,#82185,.T.); #195810=EDGE_CURVE('',#159230,#159231,#82186,.T.); #195811=EDGE_CURVE('',#159232,#159230,#82187,.T.); #195812=EDGE_CURVE('',#159233,#159231,#82188,.T.); #195813=EDGE_CURVE('',#159232,#159233,#82189,.T.); #195814=EDGE_CURVE('',#159234,#159232,#82190,.T.); #195815=EDGE_CURVE('',#159235,#159233,#82191,.T.); #195816=EDGE_CURVE('',#159234,#159235,#82192,.T.); #195817=EDGE_CURVE('',#159236,#159234,#82193,.T.); #195818=EDGE_CURVE('',#159237,#159235,#82194,.T.); #195819=EDGE_CURVE('',#159236,#159237,#82195,.T.); #195820=EDGE_CURVE('',#159238,#159236,#82196,.T.); #195821=EDGE_CURVE('',#159239,#159237,#82197,.T.); #195822=EDGE_CURVE('',#159238,#159239,#82198,.T.); #195823=EDGE_CURVE('',#159240,#159238,#82199,.T.); #195824=EDGE_CURVE('',#159241,#159239,#82200,.T.); #195825=EDGE_CURVE('',#159240,#159241,#82201,.T.); #195826=EDGE_CURVE('',#159242,#159240,#82202,.T.); #195827=EDGE_CURVE('',#159243,#159241,#82203,.T.); #195828=EDGE_CURVE('',#159242,#159243,#82204,.T.); #195829=EDGE_CURVE('',#159244,#159242,#82205,.T.); #195830=EDGE_CURVE('',#159245,#159243,#82206,.T.); #195831=EDGE_CURVE('',#159244,#159245,#82207,.T.); #195832=EDGE_CURVE('',#159246,#159244,#82208,.T.); #195833=EDGE_CURVE('',#159247,#159245,#82209,.T.); #195834=EDGE_CURVE('',#159246,#159247,#82210,.T.); #195835=EDGE_CURVE('',#159248,#159246,#82211,.T.); #195836=EDGE_CURVE('',#159249,#159247,#82212,.T.); #195837=EDGE_CURVE('',#159248,#159249,#82213,.T.); #195838=EDGE_CURVE('',#159250,#159248,#82214,.T.); #195839=EDGE_CURVE('',#159251,#159249,#82215,.T.); #195840=EDGE_CURVE('',#159250,#159251,#82216,.T.); #195841=EDGE_CURVE('',#159252,#159250,#82217,.T.); #195842=EDGE_CURVE('',#159253,#159251,#82218,.T.); #195843=EDGE_CURVE('',#159252,#159253,#82219,.T.); #195844=EDGE_CURVE('',#159254,#159252,#82220,.T.); #195845=EDGE_CURVE('',#159255,#159253,#82221,.T.); #195846=EDGE_CURVE('',#159254,#159255,#82222,.T.); #195847=EDGE_CURVE('',#159256,#159254,#82223,.T.); #195848=EDGE_CURVE('',#159257,#159255,#82224,.T.); #195849=EDGE_CURVE('',#159256,#159257,#82225,.T.); #195850=EDGE_CURVE('',#159207,#159256,#82226,.T.); #195851=EDGE_CURVE('',#159208,#159257,#82227,.T.); #195852=EDGE_CURVE('',#159258,#159259,#82228,.T.); #195853=EDGE_CURVE('',#159259,#159260,#82229,.T.); #195854=EDGE_CURVE('',#159261,#159260,#82230,.T.); #195855=EDGE_CURVE('',#159258,#159261,#82231,.T.); #195856=EDGE_CURVE('',#159262,#159258,#82232,.T.); #195857=EDGE_CURVE('',#159263,#159261,#82233,.T.); #195858=EDGE_CURVE('',#159262,#159263,#82234,.T.); #195859=EDGE_CURVE('',#159264,#159262,#82235,.T.); #195860=EDGE_CURVE('',#159265,#159263,#82236,.T.); #195861=EDGE_CURVE('',#159264,#159265,#82237,.T.); #195862=EDGE_CURVE('',#159266,#159264,#82238,.T.); #195863=EDGE_CURVE('',#159267,#159265,#82239,.T.); #195864=EDGE_CURVE('',#159266,#159267,#82240,.T.); #195865=EDGE_CURVE('',#159268,#159266,#82241,.T.); #195866=EDGE_CURVE('',#159269,#159267,#82242,.T.); #195867=EDGE_CURVE('',#159268,#159269,#82243,.T.); #195868=EDGE_CURVE('',#159270,#159268,#82244,.T.); #195869=EDGE_CURVE('',#159271,#159269,#82245,.T.); #195870=EDGE_CURVE('',#159270,#159271,#82246,.T.); #195871=EDGE_CURVE('',#159272,#159270,#82247,.T.); #195872=EDGE_CURVE('',#159273,#159271,#82248,.T.); #195873=EDGE_CURVE('',#159272,#159273,#82249,.T.); #195874=EDGE_CURVE('',#159274,#159272,#82250,.T.); #195875=EDGE_CURVE('',#159275,#159273,#82251,.T.); #195876=EDGE_CURVE('',#159274,#159275,#82252,.T.); #195877=EDGE_CURVE('',#159276,#159274,#82253,.T.); #195878=EDGE_CURVE('',#159277,#159275,#82254,.T.); #195879=EDGE_CURVE('',#159276,#159277,#82255,.T.); #195880=EDGE_CURVE('',#159278,#159276,#82256,.T.); #195881=EDGE_CURVE('',#159279,#159277,#82257,.T.); #195882=EDGE_CURVE('',#159278,#159279,#82258,.T.); #195883=EDGE_CURVE('',#159280,#159278,#82259,.T.); #195884=EDGE_CURVE('',#159281,#159279,#82260,.T.); #195885=EDGE_CURVE('',#159280,#159281,#82261,.T.); #195886=EDGE_CURVE('',#159282,#159280,#82262,.T.); #195887=EDGE_CURVE('',#159283,#159281,#82263,.T.); #195888=EDGE_CURVE('',#159282,#159283,#82264,.T.); #195889=EDGE_CURVE('',#159284,#159282,#82265,.T.); #195890=EDGE_CURVE('',#159285,#159283,#82266,.T.); #195891=EDGE_CURVE('',#159284,#159285,#82267,.T.); #195892=EDGE_CURVE('',#159286,#159284,#82268,.T.); #195893=EDGE_CURVE('',#159287,#159285,#82269,.T.); #195894=EDGE_CURVE('',#159286,#159287,#82270,.T.); #195895=EDGE_CURVE('',#159288,#159286,#82271,.T.); #195896=EDGE_CURVE('',#159289,#159287,#82272,.T.); #195897=EDGE_CURVE('',#159288,#159289,#82273,.T.); #195898=EDGE_CURVE('',#159290,#159288,#82274,.T.); #195899=EDGE_CURVE('',#159291,#159289,#82275,.T.); #195900=EDGE_CURVE('',#159290,#159291,#82276,.T.); #195901=EDGE_CURVE('',#159292,#159290,#82277,.T.); #195902=EDGE_CURVE('',#159293,#159291,#82278,.T.); #195903=EDGE_CURVE('',#159292,#159293,#82279,.T.); #195904=EDGE_CURVE('',#159294,#159292,#82280,.T.); #195905=EDGE_CURVE('',#159295,#159293,#82281,.T.); #195906=EDGE_CURVE('',#159294,#159295,#82282,.T.); #195907=EDGE_CURVE('',#159296,#159294,#82283,.T.); #195908=EDGE_CURVE('',#159297,#159295,#82284,.T.); #195909=EDGE_CURVE('',#159296,#159297,#82285,.T.); #195910=EDGE_CURVE('',#159259,#159296,#82286,.T.); #195911=EDGE_CURVE('',#159260,#159297,#82287,.T.); #195912=EDGE_CURVE('',#159298,#159299,#82288,.T.); #195913=EDGE_CURVE('',#159299,#159300,#82289,.T.); #195914=EDGE_CURVE('',#159301,#159300,#82290,.T.); #195915=EDGE_CURVE('',#159298,#159301,#82291,.T.); #195916=EDGE_CURVE('',#159302,#159298,#82292,.T.); #195917=EDGE_CURVE('',#159303,#159301,#82293,.T.); #195918=EDGE_CURVE('',#159302,#159303,#82294,.T.); #195919=EDGE_CURVE('',#159304,#159302,#82295,.T.); #195920=EDGE_CURVE('',#159305,#159303,#82296,.T.); #195921=EDGE_CURVE('',#159304,#159305,#82297,.T.); #195922=EDGE_CURVE('',#159306,#159304,#82298,.T.); #195923=EDGE_CURVE('',#159307,#159305,#82299,.T.); #195924=EDGE_CURVE('',#159306,#159307,#82300,.T.); #195925=EDGE_CURVE('',#159308,#159306,#82301,.T.); #195926=EDGE_CURVE('',#159309,#159307,#82302,.T.); #195927=EDGE_CURVE('',#159308,#159309,#82303,.T.); #195928=EDGE_CURVE('',#159310,#159308,#82304,.T.); #195929=EDGE_CURVE('',#159311,#159309,#82305,.T.); #195930=EDGE_CURVE('',#159310,#159311,#82306,.T.); #195931=EDGE_CURVE('',#159312,#159310,#82307,.T.); #195932=EDGE_CURVE('',#159313,#159311,#82308,.T.); #195933=EDGE_CURVE('',#159312,#159313,#82309,.T.); #195934=EDGE_CURVE('',#159314,#159312,#82310,.T.); #195935=EDGE_CURVE('',#159315,#159313,#82311,.T.); #195936=EDGE_CURVE('',#159314,#159315,#82312,.T.); #195937=EDGE_CURVE('',#159316,#159314,#82313,.T.); #195938=EDGE_CURVE('',#159317,#159315,#82314,.T.); #195939=EDGE_CURVE('',#159316,#159317,#82315,.T.); #195940=EDGE_CURVE('',#159318,#159316,#82316,.T.); #195941=EDGE_CURVE('',#159319,#159317,#82317,.T.); #195942=EDGE_CURVE('',#159318,#159319,#82318,.T.); #195943=EDGE_CURVE('',#159320,#159318,#82319,.T.); #195944=EDGE_CURVE('',#159321,#159319,#82320,.T.); #195945=EDGE_CURVE('',#159320,#159321,#82321,.T.); #195946=EDGE_CURVE('',#159322,#159320,#82322,.T.); #195947=EDGE_CURVE('',#159323,#159321,#82323,.T.); #195948=EDGE_CURVE('',#159322,#159323,#82324,.T.); #195949=EDGE_CURVE('',#159324,#159322,#82325,.T.); #195950=EDGE_CURVE('',#159325,#159323,#82326,.T.); #195951=EDGE_CURVE('',#159324,#159325,#82327,.T.); #195952=EDGE_CURVE('',#159326,#159324,#82328,.T.); #195953=EDGE_CURVE('',#159327,#159325,#82329,.T.); #195954=EDGE_CURVE('',#159326,#159327,#82330,.T.); #195955=EDGE_CURVE('',#159328,#159326,#82331,.T.); #195956=EDGE_CURVE('',#159329,#159327,#82332,.T.); #195957=EDGE_CURVE('',#159328,#159329,#82333,.T.); #195958=EDGE_CURVE('',#159330,#159328,#82334,.T.); #195959=EDGE_CURVE('',#159331,#159329,#82335,.T.); #195960=EDGE_CURVE('',#159330,#159331,#82336,.T.); #195961=EDGE_CURVE('',#159332,#159330,#82337,.T.); #195962=EDGE_CURVE('',#159333,#159331,#82338,.T.); #195963=EDGE_CURVE('',#159332,#159333,#82339,.T.); #195964=EDGE_CURVE('',#159334,#159332,#82340,.T.); #195965=EDGE_CURVE('',#159335,#159333,#82341,.T.); #195966=EDGE_CURVE('',#159334,#159335,#82342,.T.); #195967=EDGE_CURVE('',#159336,#159334,#82343,.T.); #195968=EDGE_CURVE('',#159337,#159335,#82344,.T.); #195969=EDGE_CURVE('',#159336,#159337,#82345,.T.); #195970=EDGE_CURVE('',#159338,#159336,#82346,.T.); #195971=EDGE_CURVE('',#159339,#159337,#82347,.T.); #195972=EDGE_CURVE('',#159338,#159339,#82348,.T.); #195973=EDGE_CURVE('',#159340,#159338,#82349,.T.); #195974=EDGE_CURVE('',#159341,#159339,#82350,.T.); #195975=EDGE_CURVE('',#159340,#159341,#82351,.T.); #195976=EDGE_CURVE('',#159342,#159340,#82352,.T.); #195977=EDGE_CURVE('',#159343,#159341,#82353,.T.); #195978=EDGE_CURVE('',#159342,#159343,#82354,.T.); #195979=EDGE_CURVE('',#159299,#159342,#82355,.T.); #195980=EDGE_CURVE('',#159300,#159343,#82356,.T.); #195981=EDGE_CURVE('',#159344,#159345,#82357,.T.); #195982=EDGE_CURVE('',#159345,#159346,#82358,.T.); #195983=EDGE_CURVE('',#159347,#159346,#82359,.T.); #195984=EDGE_CURVE('',#159344,#159347,#82360,.T.); #195985=EDGE_CURVE('',#159348,#159344,#82361,.T.); #195986=EDGE_CURVE('',#159349,#159347,#82362,.T.); #195987=EDGE_CURVE('',#159348,#159349,#82363,.T.); #195988=EDGE_CURVE('',#159350,#159348,#82364,.T.); #195989=EDGE_CURVE('',#159351,#159349,#82365,.T.); #195990=EDGE_CURVE('',#159350,#159351,#82366,.T.); #195991=EDGE_CURVE('',#159352,#159350,#82367,.T.); #195992=EDGE_CURVE('',#159353,#159351,#82368,.T.); #195993=EDGE_CURVE('',#159352,#159353,#82369,.T.); #195994=EDGE_CURVE('',#159354,#159352,#82370,.T.); #195995=EDGE_CURVE('',#159355,#159353,#82371,.T.); #195996=EDGE_CURVE('',#159354,#159355,#82372,.T.); #195997=EDGE_CURVE('',#159356,#159354,#82373,.T.); #195998=EDGE_CURVE('',#159357,#159355,#82374,.T.); #195999=EDGE_CURVE('',#159356,#159357,#82375,.T.); #196000=EDGE_CURVE('',#159358,#159356,#82376,.T.); #196001=EDGE_CURVE('',#159359,#159357,#82377,.T.); #196002=EDGE_CURVE('',#159358,#159359,#82378,.T.); #196003=EDGE_CURVE('',#159360,#159358,#82379,.T.); #196004=EDGE_CURVE('',#159361,#159359,#82380,.T.); #196005=EDGE_CURVE('',#159360,#159361,#82381,.T.); #196006=EDGE_CURVE('',#159362,#159360,#82382,.T.); #196007=EDGE_CURVE('',#159363,#159361,#82383,.T.); #196008=EDGE_CURVE('',#159362,#159363,#82384,.T.); #196009=EDGE_CURVE('',#159364,#159362,#82385,.T.); #196010=EDGE_CURVE('',#159365,#159363,#82386,.T.); #196011=EDGE_CURVE('',#159364,#159365,#82387,.T.); #196012=EDGE_CURVE('',#159366,#159364,#82388,.T.); #196013=EDGE_CURVE('',#159367,#159365,#82389,.T.); #196014=EDGE_CURVE('',#159366,#159367,#82390,.T.); #196015=EDGE_CURVE('',#159368,#159366,#82391,.T.); #196016=EDGE_CURVE('',#159369,#159367,#82392,.T.); #196017=EDGE_CURVE('',#159368,#159369,#82393,.T.); #196018=EDGE_CURVE('',#159370,#159368,#82394,.T.); #196019=EDGE_CURVE('',#159371,#159369,#82395,.T.); #196020=EDGE_CURVE('',#159370,#159371,#82396,.T.); #196021=EDGE_CURVE('',#159372,#159370,#82397,.T.); #196022=EDGE_CURVE('',#159373,#159371,#82398,.T.); #196023=EDGE_CURVE('',#159372,#159373,#82399,.T.); #196024=EDGE_CURVE('',#159374,#159372,#82400,.T.); #196025=EDGE_CURVE('',#159375,#159373,#82401,.T.); #196026=EDGE_CURVE('',#159374,#159375,#82402,.T.); #196027=EDGE_CURVE('',#159376,#159374,#82403,.T.); #196028=EDGE_CURVE('',#159377,#159375,#82404,.T.); #196029=EDGE_CURVE('',#159376,#159377,#82405,.T.); #196030=EDGE_CURVE('',#159378,#159376,#82406,.T.); #196031=EDGE_CURVE('',#159379,#159377,#82407,.T.); #196032=EDGE_CURVE('',#159378,#159379,#82408,.T.); #196033=EDGE_CURVE('',#159380,#159378,#82409,.T.); #196034=EDGE_CURVE('',#159381,#159379,#82410,.T.); #196035=EDGE_CURVE('',#159380,#159381,#82411,.T.); #196036=EDGE_CURVE('',#159382,#159380,#82412,.T.); #196037=EDGE_CURVE('',#159383,#159381,#82413,.T.); #196038=EDGE_CURVE('',#159382,#159383,#82414,.T.); #196039=EDGE_CURVE('',#159384,#159382,#82415,.T.); #196040=EDGE_CURVE('',#159385,#159383,#82416,.T.); #196041=EDGE_CURVE('',#159384,#159385,#82417,.T.); #196042=EDGE_CURVE('',#159345,#159384,#82418,.T.); #196043=EDGE_CURVE('',#159346,#159385,#82419,.T.); #196044=EDGE_CURVE('',#159386,#159387,#82420,.T.); #196045=EDGE_CURVE('',#159387,#159388,#82421,.T.); #196046=EDGE_CURVE('',#159389,#159388,#82422,.T.); #196047=EDGE_CURVE('',#159386,#159389,#82423,.T.); #196048=EDGE_CURVE('',#159390,#159386,#82424,.T.); #196049=EDGE_CURVE('',#159391,#159389,#82425,.T.); #196050=EDGE_CURVE('',#159390,#159391,#82426,.T.); #196051=EDGE_CURVE('',#159392,#159390,#82427,.T.); #196052=EDGE_CURVE('',#159393,#159391,#82428,.T.); #196053=EDGE_CURVE('',#159392,#159393,#82429,.T.); #196054=EDGE_CURVE('',#159394,#159392,#82430,.T.); #196055=EDGE_CURVE('',#159395,#159393,#82431,.T.); #196056=EDGE_CURVE('',#159394,#159395,#82432,.T.); #196057=EDGE_CURVE('',#159396,#159394,#82433,.T.); #196058=EDGE_CURVE('',#159397,#159395,#82434,.T.); #196059=EDGE_CURVE('',#159396,#159397,#82435,.T.); #196060=EDGE_CURVE('',#159398,#159396,#82436,.T.); #196061=EDGE_CURVE('',#159399,#159397,#82437,.T.); #196062=EDGE_CURVE('',#159398,#159399,#82438,.T.); #196063=EDGE_CURVE('',#159400,#159398,#82439,.T.); #196064=EDGE_CURVE('',#159401,#159399,#82440,.T.); #196065=EDGE_CURVE('',#159400,#159401,#82441,.T.); #196066=EDGE_CURVE('',#159402,#159400,#82442,.T.); #196067=EDGE_CURVE('',#159403,#159401,#82443,.T.); #196068=EDGE_CURVE('',#159402,#159403,#82444,.T.); #196069=EDGE_CURVE('',#159404,#159402,#82445,.T.); #196070=EDGE_CURVE('',#159405,#159403,#82446,.T.); #196071=EDGE_CURVE('',#159404,#159405,#82447,.T.); #196072=EDGE_CURVE('',#159406,#159404,#82448,.T.); #196073=EDGE_CURVE('',#159407,#159405,#82449,.T.); #196074=EDGE_CURVE('',#159406,#159407,#82450,.T.); #196075=EDGE_CURVE('',#159408,#159406,#82451,.T.); #196076=EDGE_CURVE('',#159409,#159407,#82452,.T.); #196077=EDGE_CURVE('',#159408,#159409,#82453,.T.); #196078=EDGE_CURVE('',#159410,#159408,#82454,.T.); #196079=EDGE_CURVE('',#159411,#159409,#82455,.T.); #196080=EDGE_CURVE('',#159410,#159411,#82456,.T.); #196081=EDGE_CURVE('',#159412,#159410,#82457,.T.); #196082=EDGE_CURVE('',#159413,#159411,#82458,.T.); #196083=EDGE_CURVE('',#159412,#159413,#82459,.T.); #196084=EDGE_CURVE('',#159414,#159412,#82460,.T.); #196085=EDGE_CURVE('',#159415,#159413,#82461,.T.); #196086=EDGE_CURVE('',#159414,#159415,#82462,.T.); #196087=EDGE_CURVE('',#159416,#159414,#82463,.T.); #196088=EDGE_CURVE('',#159417,#159415,#82464,.T.); #196089=EDGE_CURVE('',#159416,#159417,#82465,.T.); #196090=EDGE_CURVE('',#159418,#159416,#82466,.T.); #196091=EDGE_CURVE('',#159419,#159417,#82467,.T.); #196092=EDGE_CURVE('',#159418,#159419,#82468,.T.); #196093=EDGE_CURVE('',#159420,#159418,#82469,.T.); #196094=EDGE_CURVE('',#159421,#159419,#82470,.T.); #196095=EDGE_CURVE('',#159420,#159421,#82471,.T.); #196096=EDGE_CURVE('',#159422,#159420,#82472,.T.); #196097=EDGE_CURVE('',#159423,#159421,#82473,.T.); #196098=EDGE_CURVE('',#159422,#159423,#82474,.T.); #196099=EDGE_CURVE('',#159424,#159422,#82475,.T.); #196100=EDGE_CURVE('',#159425,#159423,#82476,.T.); #196101=EDGE_CURVE('',#159424,#159425,#82477,.T.); #196102=EDGE_CURVE('',#159426,#159424,#82478,.T.); #196103=EDGE_CURVE('',#159427,#159425,#82479,.T.); #196104=EDGE_CURVE('',#159426,#159427,#82480,.T.); #196105=EDGE_CURVE('',#159428,#159426,#82481,.T.); #196106=EDGE_CURVE('',#159429,#159427,#82482,.T.); #196107=EDGE_CURVE('',#159428,#159429,#82483,.T.); #196108=EDGE_CURVE('',#159430,#159428,#82484,.T.); #196109=EDGE_CURVE('',#159431,#159429,#82485,.T.); #196110=EDGE_CURVE('',#159430,#159431,#82486,.T.); #196111=EDGE_CURVE('',#159432,#159430,#82487,.T.); #196112=EDGE_CURVE('',#159433,#159431,#82488,.T.); #196113=EDGE_CURVE('',#159432,#159433,#82489,.T.); #196114=EDGE_CURVE('',#159434,#159432,#82490,.T.); #196115=EDGE_CURVE('',#159435,#159433,#82491,.T.); #196116=EDGE_CURVE('',#159434,#159435,#82492,.T.); #196117=EDGE_CURVE('',#159436,#159434,#82493,.T.); #196118=EDGE_CURVE('',#159437,#159435,#82494,.T.); #196119=EDGE_CURVE('',#159436,#159437,#82495,.T.); #196120=EDGE_CURVE('',#159438,#159436,#82496,.T.); #196121=EDGE_CURVE('',#159439,#159437,#82497,.T.); #196122=EDGE_CURVE('',#159438,#159439,#82498,.T.); #196123=EDGE_CURVE('',#159440,#159438,#82499,.T.); #196124=EDGE_CURVE('',#159441,#159439,#82500,.T.); #196125=EDGE_CURVE('',#159440,#159441,#82501,.T.); #196126=EDGE_CURVE('',#159442,#159440,#82502,.T.); #196127=EDGE_CURVE('',#159443,#159441,#82503,.T.); #196128=EDGE_CURVE('',#159442,#159443,#82504,.T.); #196129=EDGE_CURVE('',#159444,#159442,#82505,.T.); #196130=EDGE_CURVE('',#159445,#159443,#82506,.T.); #196131=EDGE_CURVE('',#159444,#159445,#82507,.T.); #196132=EDGE_CURVE('',#159387,#159444,#82508,.T.); #196133=EDGE_CURVE('',#159388,#159445,#82509,.T.); #196134=EDGE_CURVE('',#159446,#159447,#82510,.T.); #196135=EDGE_CURVE('',#159447,#159448,#82511,.T.); #196136=EDGE_CURVE('',#159449,#159448,#82512,.T.); #196137=EDGE_CURVE('',#159446,#159449,#82513,.T.); #196138=EDGE_CURVE('',#159450,#159446,#82514,.T.); #196139=EDGE_CURVE('',#159451,#159449,#82515,.T.); #196140=EDGE_CURVE('',#159450,#159451,#82516,.T.); #196141=EDGE_CURVE('',#159452,#159450,#82517,.T.); #196142=EDGE_CURVE('',#159453,#159451,#82518,.T.); #196143=EDGE_CURVE('',#159452,#159453,#82519,.T.); #196144=EDGE_CURVE('',#159454,#159452,#82520,.T.); #196145=EDGE_CURVE('',#159455,#159453,#82521,.T.); #196146=EDGE_CURVE('',#159454,#159455,#82522,.T.); #196147=EDGE_CURVE('',#159456,#159454,#82523,.T.); #196148=EDGE_CURVE('',#159457,#159455,#82524,.T.); #196149=EDGE_CURVE('',#159456,#159457,#82525,.T.); #196150=EDGE_CURVE('',#159458,#159456,#82526,.T.); #196151=EDGE_CURVE('',#159459,#159457,#82527,.T.); #196152=EDGE_CURVE('',#159458,#159459,#82528,.T.); #196153=EDGE_CURVE('',#159460,#159458,#82529,.T.); #196154=EDGE_CURVE('',#159461,#159459,#82530,.T.); #196155=EDGE_CURVE('',#159460,#159461,#82531,.T.); #196156=EDGE_CURVE('',#159462,#159460,#82532,.T.); #196157=EDGE_CURVE('',#159463,#159461,#82533,.T.); #196158=EDGE_CURVE('',#159462,#159463,#82534,.T.); #196159=EDGE_CURVE('',#159464,#159462,#82535,.T.); #196160=EDGE_CURVE('',#159465,#159463,#82536,.T.); #196161=EDGE_CURVE('',#159464,#159465,#82537,.T.); #196162=EDGE_CURVE('',#159466,#159464,#82538,.T.); #196163=EDGE_CURVE('',#159467,#159465,#82539,.T.); #196164=EDGE_CURVE('',#159466,#159467,#82540,.T.); #196165=EDGE_CURVE('',#159468,#159466,#82541,.T.); #196166=EDGE_CURVE('',#159469,#159467,#82542,.T.); #196167=EDGE_CURVE('',#159468,#159469,#82543,.T.); #196168=EDGE_CURVE('',#159470,#159468,#82544,.T.); #196169=EDGE_CURVE('',#159471,#159469,#82545,.T.); #196170=EDGE_CURVE('',#159470,#159471,#82546,.T.); #196171=EDGE_CURVE('',#159472,#159470,#82547,.T.); #196172=EDGE_CURVE('',#159473,#159471,#82548,.T.); #196173=EDGE_CURVE('',#159472,#159473,#82549,.T.); #196174=EDGE_CURVE('',#159474,#159472,#82550,.T.); #196175=EDGE_CURVE('',#159475,#159473,#82551,.T.); #196176=EDGE_CURVE('',#159474,#159475,#82552,.T.); #196177=EDGE_CURVE('',#159476,#159474,#82553,.T.); #196178=EDGE_CURVE('',#159477,#159475,#82554,.T.); #196179=EDGE_CURVE('',#159476,#159477,#82555,.T.); #196180=EDGE_CURVE('',#159478,#159476,#82556,.T.); #196181=EDGE_CURVE('',#159479,#159477,#82557,.T.); #196182=EDGE_CURVE('',#159478,#159479,#82558,.T.); #196183=EDGE_CURVE('',#159480,#159478,#82559,.T.); #196184=EDGE_CURVE('',#159481,#159479,#82560,.T.); #196185=EDGE_CURVE('',#159480,#159481,#82561,.T.); #196186=EDGE_CURVE('',#159482,#159480,#82562,.T.); #196187=EDGE_CURVE('',#159483,#159481,#82563,.T.); #196188=EDGE_CURVE('',#159482,#159483,#82564,.T.); #196189=EDGE_CURVE('',#159484,#159482,#82565,.T.); #196190=EDGE_CURVE('',#159485,#159483,#82566,.T.); #196191=EDGE_CURVE('',#159484,#159485,#82567,.T.); #196192=EDGE_CURVE('',#159447,#159484,#82568,.T.); #196193=EDGE_CURVE('',#159448,#159485,#82569,.T.); #196194=EDGE_CURVE('',#159486,#159487,#82570,.T.); #196195=EDGE_CURVE('',#159487,#159488,#82571,.T.); #196196=EDGE_CURVE('',#159489,#159488,#82572,.T.); #196197=EDGE_CURVE('',#159486,#159489,#82573,.T.); #196198=EDGE_CURVE('',#159490,#159486,#82574,.T.); #196199=EDGE_CURVE('',#159491,#159489,#82575,.T.); #196200=EDGE_CURVE('',#159490,#159491,#82576,.T.); #196201=EDGE_CURVE('',#159492,#159490,#82577,.T.); #196202=EDGE_CURVE('',#159493,#159491,#82578,.T.); #196203=EDGE_CURVE('',#159492,#159493,#82579,.T.); #196204=EDGE_CURVE('',#159494,#159492,#82580,.T.); #196205=EDGE_CURVE('',#159495,#159493,#82581,.T.); #196206=EDGE_CURVE('',#159494,#159495,#82582,.T.); #196207=EDGE_CURVE('',#159496,#159494,#82583,.T.); #196208=EDGE_CURVE('',#159497,#159495,#82584,.T.); #196209=EDGE_CURVE('',#159496,#159497,#82585,.T.); #196210=EDGE_CURVE('',#159498,#159496,#82586,.T.); #196211=EDGE_CURVE('',#159499,#159497,#82587,.T.); #196212=EDGE_CURVE('',#159498,#159499,#82588,.T.); #196213=EDGE_CURVE('',#159500,#159498,#82589,.T.); #196214=EDGE_CURVE('',#159501,#159499,#82590,.T.); #196215=EDGE_CURVE('',#159500,#159501,#82591,.T.); #196216=EDGE_CURVE('',#159502,#159500,#82592,.T.); #196217=EDGE_CURVE('',#159503,#159501,#82593,.T.); #196218=EDGE_CURVE('',#159502,#159503,#82594,.T.); #196219=EDGE_CURVE('',#159504,#159502,#82595,.T.); #196220=EDGE_CURVE('',#159505,#159503,#82596,.T.); #196221=EDGE_CURVE('',#159504,#159505,#82597,.T.); #196222=EDGE_CURVE('',#159506,#159504,#82598,.T.); #196223=EDGE_CURVE('',#159507,#159505,#82599,.T.); #196224=EDGE_CURVE('',#159506,#159507,#82600,.T.); #196225=EDGE_CURVE('',#159508,#159506,#82601,.T.); #196226=EDGE_CURVE('',#159509,#159507,#82602,.T.); #196227=EDGE_CURVE('',#159508,#159509,#82603,.T.); #196228=EDGE_CURVE('',#159510,#159508,#82604,.T.); #196229=EDGE_CURVE('',#159511,#159509,#82605,.T.); #196230=EDGE_CURVE('',#159510,#159511,#82606,.T.); #196231=EDGE_CURVE('',#159512,#159510,#82607,.T.); #196232=EDGE_CURVE('',#159513,#159511,#82608,.T.); #196233=EDGE_CURVE('',#159512,#159513,#82609,.T.); #196234=EDGE_CURVE('',#159514,#159512,#82610,.T.); #196235=EDGE_CURVE('',#159515,#159513,#82611,.T.); #196236=EDGE_CURVE('',#159514,#159515,#82612,.T.); #196237=EDGE_CURVE('',#159516,#159514,#82613,.T.); #196238=EDGE_CURVE('',#159517,#159515,#82614,.T.); #196239=EDGE_CURVE('',#159516,#159517,#82615,.T.); #196240=EDGE_CURVE('',#159518,#159516,#82616,.T.); #196241=EDGE_CURVE('',#159519,#159517,#82617,.T.); #196242=EDGE_CURVE('',#159518,#159519,#82618,.T.); #196243=EDGE_CURVE('',#159520,#159518,#82619,.T.); #196244=EDGE_CURVE('',#159521,#159519,#82620,.T.); #196245=EDGE_CURVE('',#159520,#159521,#82621,.T.); #196246=EDGE_CURVE('',#159522,#159520,#82622,.T.); #196247=EDGE_CURVE('',#159523,#159521,#82623,.T.); #196248=EDGE_CURVE('',#159522,#159523,#82624,.T.); #196249=EDGE_CURVE('',#159524,#159522,#82625,.T.); #196250=EDGE_CURVE('',#159525,#159523,#82626,.T.); #196251=EDGE_CURVE('',#159524,#159525,#82627,.T.); #196252=EDGE_CURVE('',#159526,#159524,#82628,.T.); #196253=EDGE_CURVE('',#159527,#159525,#82629,.T.); #196254=EDGE_CURVE('',#159526,#159527,#82630,.T.); #196255=EDGE_CURVE('',#159528,#159526,#82631,.T.); #196256=EDGE_CURVE('',#159529,#159527,#82632,.T.); #196257=EDGE_CURVE('',#159528,#159529,#82633,.T.); #196258=EDGE_CURVE('',#159530,#159528,#82634,.T.); #196259=EDGE_CURVE('',#159531,#159529,#82635,.T.); #196260=EDGE_CURVE('',#159530,#159531,#82636,.T.); #196261=EDGE_CURVE('',#159532,#159530,#82637,.T.); #196262=EDGE_CURVE('',#159533,#159531,#82638,.T.); #196263=EDGE_CURVE('',#159532,#159533,#82639,.T.); #196264=EDGE_CURVE('',#159534,#159532,#82640,.T.); #196265=EDGE_CURVE('',#159535,#159533,#82641,.T.); #196266=EDGE_CURVE('',#159534,#159535,#82642,.T.); #196267=EDGE_CURVE('',#159536,#159534,#82643,.T.); #196268=EDGE_CURVE('',#159537,#159535,#82644,.T.); #196269=EDGE_CURVE('',#159536,#159537,#82645,.T.); #196270=EDGE_CURVE('',#159538,#159536,#82646,.T.); #196271=EDGE_CURVE('',#159539,#159537,#82647,.T.); #196272=EDGE_CURVE('',#159538,#159539,#82648,.T.); #196273=EDGE_CURVE('',#159540,#159538,#82649,.T.); #196274=EDGE_CURVE('',#159541,#159539,#82650,.T.); #196275=EDGE_CURVE('',#159540,#159541,#82651,.T.); #196276=EDGE_CURVE('',#159542,#159540,#82652,.T.); #196277=EDGE_CURVE('',#159543,#159541,#82653,.T.); #196278=EDGE_CURVE('',#159542,#159543,#82654,.T.); #196279=EDGE_CURVE('',#159544,#159542,#82655,.T.); #196280=EDGE_CURVE('',#159545,#159543,#82656,.T.); #196281=EDGE_CURVE('',#159544,#159545,#82657,.T.); #196282=EDGE_CURVE('',#159546,#159544,#82658,.T.); #196283=EDGE_CURVE('',#159547,#159545,#82659,.T.); #196284=EDGE_CURVE('',#159546,#159547,#82660,.T.); #196285=EDGE_CURVE('',#159548,#159546,#82661,.T.); #196286=EDGE_CURVE('',#159549,#159547,#82662,.T.); #196287=EDGE_CURVE('',#159548,#159549,#82663,.T.); #196288=EDGE_CURVE('',#159550,#159548,#82664,.T.); #196289=EDGE_CURVE('',#159551,#159549,#82665,.T.); #196290=EDGE_CURVE('',#159550,#159551,#82666,.T.); #196291=EDGE_CURVE('',#159487,#159550,#82667,.T.); #196292=EDGE_CURVE('',#159488,#159551,#82668,.T.); #196293=EDGE_CURVE('',#159552,#159552,#67075,.T.); #196294=EDGE_CURVE('',#159552,#159553,#82669,.T.); #196295=EDGE_CURVE('',#159553,#159553,#67076,.T.); #196296=EDGE_CURVE('',#159554,#159554,#67077,.T.); #196297=EDGE_CURVE('',#159554,#159555,#82670,.T.); #196298=EDGE_CURVE('',#159555,#159555,#67078,.T.); #196299=EDGE_CURVE('',#159556,#159556,#67079,.T.); #196300=EDGE_CURVE('',#159556,#159557,#82671,.T.); #196301=EDGE_CURVE('',#159557,#159557,#67080,.T.); #196302=EDGE_CURVE('',#159558,#159559,#82672,.T.); #196303=EDGE_CURVE('',#159559,#159560,#82673,.T.); #196304=EDGE_CURVE('',#159561,#159560,#82674,.T.); #196305=EDGE_CURVE('',#159558,#159561,#82675,.T.); #196306=EDGE_CURVE('',#159562,#159558,#82676,.T.); #196307=EDGE_CURVE('',#159563,#159561,#82677,.T.); #196308=EDGE_CURVE('',#159562,#159563,#82678,.T.); #196309=EDGE_CURVE('',#159564,#159562,#82679,.T.); #196310=EDGE_CURVE('',#159565,#159563,#82680,.T.); #196311=EDGE_CURVE('',#159564,#159565,#82681,.T.); #196312=EDGE_CURVE('',#159566,#159564,#82682,.T.); #196313=EDGE_CURVE('',#159567,#159565,#82683,.T.); #196314=EDGE_CURVE('',#159566,#159567,#82684,.T.); #196315=EDGE_CURVE('',#159568,#159566,#82685,.T.); #196316=EDGE_CURVE('',#159569,#159567,#82686,.T.); #196317=EDGE_CURVE('',#159568,#159569,#82687,.T.); #196318=EDGE_CURVE('',#159570,#159568,#82688,.T.); #196319=EDGE_CURVE('',#159571,#159569,#82689,.T.); #196320=EDGE_CURVE('',#159570,#159571,#82690,.T.); #196321=EDGE_CURVE('',#159572,#159570,#82691,.T.); #196322=EDGE_CURVE('',#159573,#159571,#82692,.T.); #196323=EDGE_CURVE('',#159572,#159573,#82693,.T.); #196324=EDGE_CURVE('',#159574,#159572,#82694,.T.); #196325=EDGE_CURVE('',#159575,#159573,#82695,.T.); #196326=EDGE_CURVE('',#159574,#159575,#82696,.T.); #196327=EDGE_CURVE('',#159576,#159574,#82697,.T.); #196328=EDGE_CURVE('',#159577,#159575,#82698,.T.); #196329=EDGE_CURVE('',#159576,#159577,#82699,.T.); #196330=EDGE_CURVE('',#159578,#159576,#82700,.T.); #196331=EDGE_CURVE('',#159579,#159577,#82701,.T.); #196332=EDGE_CURVE('',#159578,#159579,#82702,.T.); #196333=EDGE_CURVE('',#159580,#159578,#82703,.T.); #196334=EDGE_CURVE('',#159581,#159579,#82704,.T.); #196335=EDGE_CURVE('',#159580,#159581,#82705,.T.); #196336=EDGE_CURVE('',#159582,#159580,#82706,.T.); #196337=EDGE_CURVE('',#159583,#159581,#82707,.T.); #196338=EDGE_CURVE('',#159582,#159583,#82708,.T.); #196339=EDGE_CURVE('',#159584,#159582,#82709,.T.); #196340=EDGE_CURVE('',#159585,#159583,#82710,.T.); #196341=EDGE_CURVE('',#159584,#159585,#82711,.T.); #196342=EDGE_CURVE('',#159586,#159584,#82712,.T.); #196343=EDGE_CURVE('',#159587,#159585,#82713,.T.); #196344=EDGE_CURVE('',#159586,#159587,#82714,.T.); #196345=EDGE_CURVE('',#159588,#159586,#82715,.T.); #196346=EDGE_CURVE('',#159589,#159587,#82716,.T.); #196347=EDGE_CURVE('',#159588,#159589,#82717,.T.); #196348=EDGE_CURVE('',#159590,#159588,#82718,.T.); #196349=EDGE_CURVE('',#159591,#159589,#82719,.T.); #196350=EDGE_CURVE('',#159590,#159591,#82720,.T.); #196351=EDGE_CURVE('',#159592,#159590,#82721,.T.); #196352=EDGE_CURVE('',#159593,#159591,#82722,.T.); #196353=EDGE_CURVE('',#159592,#159593,#82723,.T.); #196354=EDGE_CURVE('',#159594,#159592,#82724,.T.); #196355=EDGE_CURVE('',#159595,#159593,#82725,.T.); #196356=EDGE_CURVE('',#159594,#159595,#82726,.T.); #196357=EDGE_CURVE('',#159596,#159594,#82727,.T.); #196358=EDGE_CURVE('',#159597,#159595,#82728,.T.); #196359=EDGE_CURVE('',#159596,#159597,#82729,.T.); #196360=EDGE_CURVE('',#159598,#159596,#82730,.T.); #196361=EDGE_CURVE('',#159599,#159597,#82731,.T.); #196362=EDGE_CURVE('',#159598,#159599,#82732,.T.); #196363=EDGE_CURVE('',#159600,#159598,#82733,.T.); #196364=EDGE_CURVE('',#159601,#159599,#82734,.T.); #196365=EDGE_CURVE('',#159600,#159601,#82735,.T.); #196366=EDGE_CURVE('',#159602,#159600,#82736,.T.); #196367=EDGE_CURVE('',#159603,#159601,#82737,.T.); #196368=EDGE_CURVE('',#159602,#159603,#82738,.T.); #196369=EDGE_CURVE('',#159604,#159602,#82739,.T.); #196370=EDGE_CURVE('',#159605,#159603,#82740,.T.); #196371=EDGE_CURVE('',#159604,#159605,#82741,.T.); #196372=EDGE_CURVE('',#159606,#159604,#82742,.T.); #196373=EDGE_CURVE('',#159607,#159605,#82743,.T.); #196374=EDGE_CURVE('',#159606,#159607,#82744,.T.); #196375=EDGE_CURVE('',#159608,#159606,#82745,.T.); #196376=EDGE_CURVE('',#159609,#159607,#82746,.T.); #196377=EDGE_CURVE('',#159608,#159609,#82747,.T.); #196378=EDGE_CURVE('',#159610,#159608,#82748,.T.); #196379=EDGE_CURVE('',#159611,#159609,#82749,.T.); #196380=EDGE_CURVE('',#159610,#159611,#82750,.T.); #196381=EDGE_CURVE('',#159612,#159610,#82751,.T.); #196382=EDGE_CURVE('',#159613,#159611,#82752,.T.); #196383=EDGE_CURVE('',#159612,#159613,#82753,.T.); #196384=EDGE_CURVE('',#159614,#159612,#82754,.T.); #196385=EDGE_CURVE('',#159615,#159613,#82755,.T.); #196386=EDGE_CURVE('',#159614,#159615,#82756,.T.); #196387=EDGE_CURVE('',#159616,#159614,#82757,.T.); #196388=EDGE_CURVE('',#159617,#159615,#82758,.T.); #196389=EDGE_CURVE('',#159616,#159617,#82759,.T.); #196390=EDGE_CURVE('',#159618,#159616,#82760,.T.); #196391=EDGE_CURVE('',#159619,#159617,#82761,.T.); #196392=EDGE_CURVE('',#159618,#159619,#82762,.T.); #196393=EDGE_CURVE('',#159620,#159618,#82763,.T.); #196394=EDGE_CURVE('',#159621,#159619,#82764,.T.); #196395=EDGE_CURVE('',#159620,#159621,#82765,.T.); #196396=EDGE_CURVE('',#159622,#159620,#82766,.T.); #196397=EDGE_CURVE('',#159623,#159621,#82767,.T.); #196398=EDGE_CURVE('',#159622,#159623,#82768,.T.); #196399=EDGE_CURVE('',#159624,#159622,#82769,.T.); #196400=EDGE_CURVE('',#159625,#159623,#82770,.T.); #196401=EDGE_CURVE('',#159624,#159625,#82771,.T.); #196402=EDGE_CURVE('',#159626,#159624,#82772,.T.); #196403=EDGE_CURVE('',#159627,#159625,#82773,.T.); #196404=EDGE_CURVE('',#159626,#159627,#82774,.T.); #196405=EDGE_CURVE('',#159628,#159626,#82775,.T.); #196406=EDGE_CURVE('',#159629,#159627,#82776,.T.); #196407=EDGE_CURVE('',#159628,#159629,#82777,.T.); #196408=EDGE_CURVE('',#159630,#159628,#82778,.T.); #196409=EDGE_CURVE('',#159631,#159629,#82779,.T.); #196410=EDGE_CURVE('',#159630,#159631,#82780,.T.); #196411=EDGE_CURVE('',#159632,#159630,#82781,.T.); #196412=EDGE_CURVE('',#159633,#159631,#82782,.T.); #196413=EDGE_CURVE('',#159632,#159633,#82783,.T.); #196414=EDGE_CURVE('',#159634,#159632,#82784,.T.); #196415=EDGE_CURVE('',#159635,#159633,#82785,.T.); #196416=EDGE_CURVE('',#159634,#159635,#82786,.T.); #196417=EDGE_CURVE('',#159636,#159634,#82787,.T.); #196418=EDGE_CURVE('',#159637,#159635,#82788,.T.); #196419=EDGE_CURVE('',#159636,#159637,#82789,.T.); #196420=EDGE_CURVE('',#159638,#159636,#82790,.T.); #196421=EDGE_CURVE('',#159639,#159637,#82791,.T.); #196422=EDGE_CURVE('',#159638,#159639,#82792,.T.); #196423=EDGE_CURVE('',#159640,#159638,#82793,.T.); #196424=EDGE_CURVE('',#159641,#159639,#82794,.T.); #196425=EDGE_CURVE('',#159640,#159641,#82795,.T.); #196426=EDGE_CURVE('',#159642,#159640,#82796,.T.); #196427=EDGE_CURVE('',#159643,#159641,#82797,.T.); #196428=EDGE_CURVE('',#159642,#159643,#82798,.T.); #196429=EDGE_CURVE('',#159644,#159642,#82799,.T.); #196430=EDGE_CURVE('',#159645,#159643,#82800,.T.); #196431=EDGE_CURVE('',#159644,#159645,#82801,.T.); #196432=EDGE_CURVE('',#159646,#159644,#82802,.T.); #196433=EDGE_CURVE('',#159647,#159645,#82803,.T.); #196434=EDGE_CURVE('',#159646,#159647,#82804,.T.); #196435=EDGE_CURVE('',#159648,#159646,#82805,.T.); #196436=EDGE_CURVE('',#159649,#159647,#82806,.T.); #196437=EDGE_CURVE('',#159648,#159649,#82807,.T.); #196438=EDGE_CURVE('',#159650,#159648,#82808,.T.); #196439=EDGE_CURVE('',#159651,#159649,#82809,.T.); #196440=EDGE_CURVE('',#159650,#159651,#82810,.T.); #196441=EDGE_CURVE('',#159652,#159650,#82811,.T.); #196442=EDGE_CURVE('',#159653,#159651,#82812,.T.); #196443=EDGE_CURVE('',#159652,#159653,#82813,.T.); #196444=EDGE_CURVE('',#159654,#159652,#82814,.T.); #196445=EDGE_CURVE('',#159655,#159653,#82815,.T.); #196446=EDGE_CURVE('',#159654,#159655,#82816,.T.); #196447=EDGE_CURVE('',#159656,#159654,#82817,.T.); #196448=EDGE_CURVE('',#159657,#159655,#82818,.T.); #196449=EDGE_CURVE('',#159656,#159657,#82819,.T.); #196450=EDGE_CURVE('',#159658,#159656,#82820,.T.); #196451=EDGE_CURVE('',#159659,#159657,#82821,.T.); #196452=EDGE_CURVE('',#159658,#159659,#82822,.T.); #196453=EDGE_CURVE('',#159660,#159658,#82823,.T.); #196454=EDGE_CURVE('',#159661,#159659,#82824,.T.); #196455=EDGE_CURVE('',#159660,#159661,#82825,.T.); #196456=EDGE_CURVE('',#159662,#159660,#82826,.T.); #196457=EDGE_CURVE('',#159663,#159661,#82827,.T.); #196458=EDGE_CURVE('',#159662,#159663,#82828,.T.); #196459=EDGE_CURVE('',#159664,#159662,#82829,.T.); #196460=EDGE_CURVE('',#159665,#159663,#82830,.T.); #196461=EDGE_CURVE('',#159664,#159665,#82831,.T.); #196462=EDGE_CURVE('',#159666,#159664,#82832,.T.); #196463=EDGE_CURVE('',#159667,#159665,#82833,.T.); #196464=EDGE_CURVE('',#159666,#159667,#82834,.T.); #196465=EDGE_CURVE('',#159668,#159666,#82835,.T.); #196466=EDGE_CURVE('',#159669,#159667,#82836,.T.); #196467=EDGE_CURVE('',#159668,#159669,#82837,.T.); #196468=EDGE_CURVE('',#159670,#159668,#82838,.T.); #196469=EDGE_CURVE('',#159671,#159669,#82839,.T.); #196470=EDGE_CURVE('',#159670,#159671,#82840,.T.); #196471=EDGE_CURVE('',#159672,#159670,#82841,.T.); #196472=EDGE_CURVE('',#159673,#159671,#82842,.T.); #196473=EDGE_CURVE('',#159672,#159673,#82843,.T.); #196474=EDGE_CURVE('',#159674,#159672,#82844,.T.); #196475=EDGE_CURVE('',#159675,#159673,#82845,.T.); #196476=EDGE_CURVE('',#159674,#159675,#82846,.T.); #196477=EDGE_CURVE('',#159676,#159674,#82847,.T.); #196478=EDGE_CURVE('',#159677,#159675,#82848,.T.); #196479=EDGE_CURVE('',#159676,#159677,#82849,.T.); #196480=EDGE_CURVE('',#159678,#159676,#82850,.T.); #196481=EDGE_CURVE('',#159679,#159677,#82851,.T.); #196482=EDGE_CURVE('',#159678,#159679,#82852,.T.); #196483=EDGE_CURVE('',#159680,#159678,#82853,.T.); #196484=EDGE_CURVE('',#159681,#159679,#82854,.T.); #196485=EDGE_CURVE('',#159680,#159681,#82855,.T.); #196486=EDGE_CURVE('',#159682,#159680,#82856,.T.); #196487=EDGE_CURVE('',#159683,#159681,#82857,.T.); #196488=EDGE_CURVE('',#159682,#159683,#82858,.T.); #196489=EDGE_CURVE('',#159684,#159682,#82859,.T.); #196490=EDGE_CURVE('',#159685,#159683,#82860,.T.); #196491=EDGE_CURVE('',#159684,#159685,#82861,.T.); #196492=EDGE_CURVE('',#159686,#159684,#82862,.T.); #196493=EDGE_CURVE('',#159687,#159685,#82863,.T.); #196494=EDGE_CURVE('',#159686,#159687,#82864,.T.); #196495=EDGE_CURVE('',#159688,#159686,#82865,.T.); #196496=EDGE_CURVE('',#159689,#159687,#82866,.T.); #196497=EDGE_CURVE('',#159688,#159689,#82867,.T.); #196498=EDGE_CURVE('',#159690,#159688,#82868,.T.); #196499=EDGE_CURVE('',#159691,#159689,#82869,.T.); #196500=EDGE_CURVE('',#159690,#159691,#82870,.T.); #196501=EDGE_CURVE('',#159692,#159690,#82871,.T.); #196502=EDGE_CURVE('',#159693,#159691,#82872,.T.); #196503=EDGE_CURVE('',#159692,#159693,#82873,.T.); #196504=EDGE_CURVE('',#159694,#159692,#82874,.T.); #196505=EDGE_CURVE('',#159695,#159693,#82875,.T.); #196506=EDGE_CURVE('',#159694,#159695,#82876,.T.); #196507=EDGE_CURVE('',#159696,#159694,#82877,.T.); #196508=EDGE_CURVE('',#159697,#159695,#82878,.T.); #196509=EDGE_CURVE('',#159696,#159697,#82879,.T.); #196510=EDGE_CURVE('',#159698,#159696,#82880,.T.); #196511=EDGE_CURVE('',#159699,#159697,#82881,.T.); #196512=EDGE_CURVE('',#159698,#159699,#82882,.T.); #196513=EDGE_CURVE('',#159700,#159698,#82883,.T.); #196514=EDGE_CURVE('',#159701,#159699,#82884,.T.); #196515=EDGE_CURVE('',#159700,#159701,#82885,.T.); #196516=EDGE_CURVE('',#159702,#159700,#82886,.T.); #196517=EDGE_CURVE('',#159703,#159701,#82887,.T.); #196518=EDGE_CURVE('',#159702,#159703,#82888,.T.); #196519=EDGE_CURVE('',#159704,#159702,#82889,.T.); #196520=EDGE_CURVE('',#159705,#159703,#82890,.T.); #196521=EDGE_CURVE('',#159704,#159705,#82891,.T.); #196522=EDGE_CURVE('',#159706,#159704,#82892,.T.); #196523=EDGE_CURVE('',#159707,#159705,#82893,.T.); #196524=EDGE_CURVE('',#159706,#159707,#82894,.T.); #196525=EDGE_CURVE('',#159708,#159706,#82895,.T.); #196526=EDGE_CURVE('',#159709,#159707,#82896,.T.); #196527=EDGE_CURVE('',#159708,#159709,#82897,.T.); #196528=EDGE_CURVE('',#159710,#159708,#82898,.T.); #196529=EDGE_CURVE('',#159711,#159709,#82899,.T.); #196530=EDGE_CURVE('',#159710,#159711,#82900,.T.); #196531=EDGE_CURVE('',#159712,#159710,#82901,.T.); #196532=EDGE_CURVE('',#159713,#159711,#82902,.T.); #196533=EDGE_CURVE('',#159712,#159713,#82903,.T.); #196534=EDGE_CURVE('',#159714,#159712,#82904,.T.); #196535=EDGE_CURVE('',#159715,#159713,#82905,.T.); #196536=EDGE_CURVE('',#159714,#159715,#82906,.T.); #196537=EDGE_CURVE('',#159716,#159714,#82907,.T.); #196538=EDGE_CURVE('',#159717,#159715,#82908,.T.); #196539=EDGE_CURVE('',#159716,#159717,#82909,.T.); #196540=EDGE_CURVE('',#159718,#159716,#82910,.T.); #196541=EDGE_CURVE('',#159719,#159717,#82911,.T.); #196542=EDGE_CURVE('',#159718,#159719,#82912,.T.); #196543=EDGE_CURVE('',#159720,#159718,#82913,.T.); #196544=EDGE_CURVE('',#159721,#159719,#82914,.T.); #196545=EDGE_CURVE('',#159720,#159721,#82915,.T.); #196546=EDGE_CURVE('',#159722,#159720,#82916,.T.); #196547=EDGE_CURVE('',#159723,#159721,#82917,.T.); #196548=EDGE_CURVE('',#159722,#159723,#82918,.T.); #196549=EDGE_CURVE('',#159724,#159722,#82919,.T.); #196550=EDGE_CURVE('',#159725,#159723,#82920,.T.); #196551=EDGE_CURVE('',#159724,#159725,#82921,.T.); #196552=EDGE_CURVE('',#159726,#159724,#82922,.T.); #196553=EDGE_CURVE('',#159727,#159725,#82923,.T.); #196554=EDGE_CURVE('',#159726,#159727,#82924,.T.); #196555=EDGE_CURVE('',#159728,#159726,#82925,.T.); #196556=EDGE_CURVE('',#159729,#159727,#82926,.T.); #196557=EDGE_CURVE('',#159728,#159729,#82927,.T.); #196558=EDGE_CURVE('',#159730,#159728,#82928,.T.); #196559=EDGE_CURVE('',#159731,#159729,#82929,.T.); #196560=EDGE_CURVE('',#159730,#159731,#82930,.T.); #196561=EDGE_CURVE('',#159559,#159730,#82931,.T.); #196562=EDGE_CURVE('',#159560,#159731,#82932,.T.); #196563=EDGE_CURVE('',#159732,#159733,#82933,.T.); #196564=EDGE_CURVE('',#159733,#159734,#82934,.T.); #196565=EDGE_CURVE('',#159735,#159734,#82935,.T.); #196566=EDGE_CURVE('',#159732,#159735,#82936,.T.); #196567=EDGE_CURVE('',#159736,#159732,#82937,.T.); #196568=EDGE_CURVE('',#159737,#159735,#82938,.T.); #196569=EDGE_CURVE('',#159736,#159737,#82939,.T.); #196570=EDGE_CURVE('',#159738,#159736,#82940,.T.); #196571=EDGE_CURVE('',#159739,#159737,#82941,.T.); #196572=EDGE_CURVE('',#159738,#159739,#82942,.T.); #196573=EDGE_CURVE('',#159740,#159738,#82943,.T.); #196574=EDGE_CURVE('',#159741,#159739,#82944,.T.); #196575=EDGE_CURVE('',#159740,#159741,#82945,.T.); #196576=EDGE_CURVE('',#159742,#159740,#82946,.T.); #196577=EDGE_CURVE('',#159743,#159741,#82947,.T.); #196578=EDGE_CURVE('',#159742,#159743,#82948,.T.); #196579=EDGE_CURVE('',#159744,#159742,#82949,.T.); #196580=EDGE_CURVE('',#159745,#159743,#82950,.T.); #196581=EDGE_CURVE('',#159744,#159745,#82951,.T.); #196582=EDGE_CURVE('',#159746,#159744,#82952,.T.); #196583=EDGE_CURVE('',#159747,#159745,#82953,.T.); #196584=EDGE_CURVE('',#159746,#159747,#82954,.T.); #196585=EDGE_CURVE('',#159748,#159746,#82955,.T.); #196586=EDGE_CURVE('',#159749,#159747,#82956,.T.); #196587=EDGE_CURVE('',#159748,#159749,#82957,.T.); #196588=EDGE_CURVE('',#159750,#159748,#82958,.T.); #196589=EDGE_CURVE('',#159751,#159749,#82959,.T.); #196590=EDGE_CURVE('',#159750,#159751,#82960,.T.); #196591=EDGE_CURVE('',#159752,#159750,#82961,.T.); #196592=EDGE_CURVE('',#159753,#159751,#82962,.T.); #196593=EDGE_CURVE('',#159752,#159753,#82963,.T.); #196594=EDGE_CURVE('',#159754,#159752,#82964,.T.); #196595=EDGE_CURVE('',#159755,#159753,#82965,.T.); #196596=EDGE_CURVE('',#159754,#159755,#82966,.T.); #196597=EDGE_CURVE('',#159756,#159754,#82967,.T.); #196598=EDGE_CURVE('',#159757,#159755,#82968,.T.); #196599=EDGE_CURVE('',#159756,#159757,#82969,.T.); #196600=EDGE_CURVE('',#159758,#159756,#82970,.T.); #196601=EDGE_CURVE('',#159759,#159757,#82971,.T.); #196602=EDGE_CURVE('',#159758,#159759,#82972,.T.); #196603=EDGE_CURVE('',#159760,#159758,#82973,.T.); #196604=EDGE_CURVE('',#159761,#159759,#82974,.T.); #196605=EDGE_CURVE('',#159760,#159761,#82975,.T.); #196606=EDGE_CURVE('',#159762,#159760,#82976,.T.); #196607=EDGE_CURVE('',#159763,#159761,#82977,.T.); #196608=EDGE_CURVE('',#159762,#159763,#82978,.T.); #196609=EDGE_CURVE('',#159764,#159762,#82979,.T.); #196610=EDGE_CURVE('',#159765,#159763,#82980,.T.); #196611=EDGE_CURVE('',#159764,#159765,#82981,.T.); #196612=EDGE_CURVE('',#159766,#159764,#82982,.T.); #196613=EDGE_CURVE('',#159767,#159765,#82983,.T.); #196614=EDGE_CURVE('',#159766,#159767,#82984,.T.); #196615=EDGE_CURVE('',#159768,#159766,#82985,.T.); #196616=EDGE_CURVE('',#159769,#159767,#82986,.T.); #196617=EDGE_CURVE('',#159768,#159769,#82987,.T.); #196618=EDGE_CURVE('',#159770,#159768,#82988,.T.); #196619=EDGE_CURVE('',#159771,#159769,#82989,.T.); #196620=EDGE_CURVE('',#159770,#159771,#82990,.T.); #196621=EDGE_CURVE('',#159772,#159770,#82991,.T.); #196622=EDGE_CURVE('',#159773,#159771,#82992,.T.); #196623=EDGE_CURVE('',#159772,#159773,#82993,.T.); #196624=EDGE_CURVE('',#159774,#159772,#82994,.T.); #196625=EDGE_CURVE('',#159775,#159773,#82995,.T.); #196626=EDGE_CURVE('',#159774,#159775,#82996,.T.); #196627=EDGE_CURVE('',#159776,#159774,#82997,.T.); #196628=EDGE_CURVE('',#159777,#159775,#82998,.T.); #196629=EDGE_CURVE('',#159776,#159777,#82999,.T.); #196630=EDGE_CURVE('',#159778,#159776,#83000,.T.); #196631=EDGE_CURVE('',#159779,#159777,#83001,.T.); #196632=EDGE_CURVE('',#159778,#159779,#83002,.T.); #196633=EDGE_CURVE('',#159780,#159778,#83003,.T.); #196634=EDGE_CURVE('',#159781,#159779,#83004,.T.); #196635=EDGE_CURVE('',#159780,#159781,#83005,.T.); #196636=EDGE_CURVE('',#159782,#159780,#83006,.T.); #196637=EDGE_CURVE('',#159783,#159781,#83007,.T.); #196638=EDGE_CURVE('',#159782,#159783,#83008,.T.); #196639=EDGE_CURVE('',#159784,#159782,#83009,.T.); #196640=EDGE_CURVE('',#159785,#159783,#83010,.T.); #196641=EDGE_CURVE('',#159784,#159785,#83011,.T.); #196642=EDGE_CURVE('',#159786,#159784,#83012,.T.); #196643=EDGE_CURVE('',#159787,#159785,#83013,.T.); #196644=EDGE_CURVE('',#159786,#159787,#83014,.T.); #196645=EDGE_CURVE('',#159788,#159786,#83015,.T.); #196646=EDGE_CURVE('',#159789,#159787,#83016,.T.); #196647=EDGE_CURVE('',#159788,#159789,#83017,.T.); #196648=EDGE_CURVE('',#159733,#159788,#83018,.T.); #196649=EDGE_CURVE('',#159734,#159789,#83019,.T.); #196650=EDGE_CURVE('',#159790,#159791,#83020,.T.); #196651=EDGE_CURVE('',#159791,#159792,#83021,.T.); #196652=EDGE_CURVE('',#159793,#159792,#83022,.T.); #196653=EDGE_CURVE('',#159790,#159793,#83023,.T.); #196654=EDGE_CURVE('',#159794,#159790,#83024,.T.); #196655=EDGE_CURVE('',#159795,#159793,#83025,.T.); #196656=EDGE_CURVE('',#159794,#159795,#83026,.T.); #196657=EDGE_CURVE('',#159796,#159794,#83027,.T.); #196658=EDGE_CURVE('',#159797,#159795,#83028,.T.); #196659=EDGE_CURVE('',#159796,#159797,#83029,.T.); #196660=EDGE_CURVE('',#159798,#159796,#83030,.T.); #196661=EDGE_CURVE('',#159799,#159797,#83031,.T.); #196662=EDGE_CURVE('',#159798,#159799,#83032,.T.); #196663=EDGE_CURVE('',#159800,#159798,#83033,.T.); #196664=EDGE_CURVE('',#159801,#159799,#83034,.T.); #196665=EDGE_CURVE('',#159800,#159801,#83035,.T.); #196666=EDGE_CURVE('',#159802,#159800,#83036,.T.); #196667=EDGE_CURVE('',#159803,#159801,#83037,.T.); #196668=EDGE_CURVE('',#159802,#159803,#83038,.T.); #196669=EDGE_CURVE('',#159804,#159802,#83039,.T.); #196670=EDGE_CURVE('',#159805,#159803,#83040,.T.); #196671=EDGE_CURVE('',#159804,#159805,#83041,.T.); #196672=EDGE_CURVE('',#159806,#159804,#83042,.T.); #196673=EDGE_CURVE('',#159807,#159805,#83043,.T.); #196674=EDGE_CURVE('',#159806,#159807,#83044,.T.); #196675=EDGE_CURVE('',#159808,#159806,#83045,.T.); #196676=EDGE_CURVE('',#159809,#159807,#83046,.T.); #196677=EDGE_CURVE('',#159808,#159809,#83047,.T.); #196678=EDGE_CURVE('',#159810,#159808,#83048,.T.); #196679=EDGE_CURVE('',#159811,#159809,#83049,.T.); #196680=EDGE_CURVE('',#159810,#159811,#83050,.T.); #196681=EDGE_CURVE('',#159812,#159810,#83051,.T.); #196682=EDGE_CURVE('',#159813,#159811,#83052,.T.); #196683=EDGE_CURVE('',#159812,#159813,#83053,.T.); #196684=EDGE_CURVE('',#159814,#159812,#83054,.T.); #196685=EDGE_CURVE('',#159815,#159813,#83055,.T.); #196686=EDGE_CURVE('',#159814,#159815,#83056,.T.); #196687=EDGE_CURVE('',#159816,#159814,#83057,.T.); #196688=EDGE_CURVE('',#159817,#159815,#83058,.T.); #196689=EDGE_CURVE('',#159816,#159817,#83059,.T.); #196690=EDGE_CURVE('',#159818,#159816,#83060,.T.); #196691=EDGE_CURVE('',#159819,#159817,#83061,.T.); #196692=EDGE_CURVE('',#159818,#159819,#83062,.T.); #196693=EDGE_CURVE('',#159820,#159818,#83063,.T.); #196694=EDGE_CURVE('',#159821,#159819,#83064,.T.); #196695=EDGE_CURVE('',#159820,#159821,#83065,.T.); #196696=EDGE_CURVE('',#159822,#159820,#83066,.T.); #196697=EDGE_CURVE('',#159823,#159821,#83067,.T.); #196698=EDGE_CURVE('',#159822,#159823,#83068,.T.); #196699=EDGE_CURVE('',#159824,#159822,#83069,.T.); #196700=EDGE_CURVE('',#159825,#159823,#83070,.T.); #196701=EDGE_CURVE('',#159824,#159825,#83071,.T.); #196702=EDGE_CURVE('',#159826,#159824,#83072,.T.); #196703=EDGE_CURVE('',#159827,#159825,#83073,.T.); #196704=EDGE_CURVE('',#159826,#159827,#83074,.T.); #196705=EDGE_CURVE('',#159791,#159826,#83075,.T.); #196706=EDGE_CURVE('',#159792,#159827,#83076,.T.); #196707=EDGE_CURVE('',#159828,#159828,#67081,.T.); #196708=EDGE_CURVE('',#159828,#159829,#83077,.T.); #196709=EDGE_CURVE('',#159829,#159829,#67082,.T.); #196710=EDGE_CURVE('',#159830,#159830,#67083,.T.); #196711=EDGE_CURVE('',#159830,#159831,#83078,.T.); #196712=EDGE_CURVE('',#159831,#159831,#67084,.T.); #196713=EDGE_CURVE('',#159832,#159832,#67085,.T.); #196714=EDGE_CURVE('',#159832,#159833,#83079,.T.); #196715=EDGE_CURVE('',#159833,#159833,#67086,.T.); #196716=EDGE_CURVE('',#159834,#159834,#67087,.T.); #196717=EDGE_CURVE('',#159834,#159835,#83080,.T.); #196718=EDGE_CURVE('',#159835,#159835,#67088,.T.); #196719=EDGE_CURVE('',#159836,#159836,#67089,.T.); #196720=EDGE_CURVE('',#159836,#159837,#83081,.T.); #196721=EDGE_CURVE('',#159837,#159837,#67090,.T.); #196722=EDGE_CURVE('',#159838,#159838,#67091,.T.); #196723=EDGE_CURVE('',#159838,#159839,#83082,.T.); #196724=EDGE_CURVE('',#159839,#159839,#67092,.T.); #196725=EDGE_CURVE('',#159840,#159840,#67093,.T.); #196726=EDGE_CURVE('',#159840,#159841,#83083,.T.); #196727=EDGE_CURVE('',#159841,#159841,#67094,.T.); #196728=EDGE_CURVE('',#159842,#159842,#67095,.T.); #196729=EDGE_CURVE('',#159842,#159843,#83084,.T.); #196730=EDGE_CURVE('',#159843,#159843,#67096,.T.); #196731=EDGE_CURVE('',#159844,#159844,#67097,.T.); #196732=EDGE_CURVE('',#159844,#159845,#83085,.T.); #196733=EDGE_CURVE('',#159845,#159845,#67098,.T.); #196734=EDGE_CURVE('',#159846,#159846,#67099,.T.); #196735=EDGE_CURVE('',#159846,#159847,#83086,.T.); #196736=EDGE_CURVE('',#159847,#159847,#67100,.T.); #196737=EDGE_CURVE('',#159848,#159848,#67101,.T.); #196738=EDGE_CURVE('',#159848,#159849,#83087,.T.); #196739=EDGE_CURVE('',#159849,#159849,#67102,.T.); #196740=EDGE_CURVE('',#159850,#159850,#67103,.T.); #196741=EDGE_CURVE('',#159850,#159851,#83088,.T.); #196742=EDGE_CURVE('',#159851,#159851,#67104,.T.); #196743=EDGE_CURVE('',#159852,#159852,#67105,.T.); #196744=EDGE_CURVE('',#159852,#159853,#83089,.T.); #196745=EDGE_CURVE('',#159853,#159853,#67106,.T.); #196746=EDGE_CURVE('',#159854,#159854,#67107,.T.); #196747=EDGE_CURVE('',#159854,#159855,#83090,.T.); #196748=EDGE_CURVE('',#159855,#159855,#67108,.T.); #196749=EDGE_CURVE('',#159856,#159856,#67109,.T.); #196750=EDGE_CURVE('',#159856,#159857,#83091,.T.); #196751=EDGE_CURVE('',#159857,#159857,#67110,.T.); #196752=EDGE_CURVE('',#159858,#159858,#67111,.T.); #196753=EDGE_CURVE('',#159858,#159859,#83092,.T.); #196754=EDGE_CURVE('',#159859,#159859,#67112,.T.); #196755=EDGE_CURVE('',#159860,#159860,#67113,.T.); #196756=EDGE_CURVE('',#159860,#159861,#83093,.T.); #196757=EDGE_CURVE('',#159861,#159861,#67114,.T.); #196758=EDGE_CURVE('',#159862,#159862,#67115,.T.); #196759=EDGE_CURVE('',#159862,#159863,#83094,.T.); #196760=EDGE_CURVE('',#159863,#159863,#67116,.T.); #196761=EDGE_CURVE('',#159864,#159864,#67117,.T.); #196762=EDGE_CURVE('',#159864,#159865,#83095,.T.); #196763=EDGE_CURVE('',#159865,#159865,#67118,.T.); #196764=EDGE_CURVE('',#159866,#159866,#67119,.T.); #196765=EDGE_CURVE('',#159866,#159867,#83096,.T.); #196766=EDGE_CURVE('',#159867,#159867,#67120,.T.); #196767=EDGE_CURVE('',#159868,#159868,#67121,.T.); #196768=EDGE_CURVE('',#159868,#159869,#83097,.T.); #196769=EDGE_CURVE('',#159869,#159869,#67122,.T.); #196770=EDGE_CURVE('',#159870,#159870,#67123,.T.); #196771=EDGE_CURVE('',#159870,#159871,#83098,.T.); #196772=EDGE_CURVE('',#159871,#159871,#67124,.T.); #196773=EDGE_CURVE('',#159872,#159872,#67125,.T.); #196774=EDGE_CURVE('',#159872,#159873,#83099,.T.); #196775=EDGE_CURVE('',#159873,#159873,#67126,.T.); #196776=EDGE_CURVE('',#159874,#159874,#67127,.T.); #196777=EDGE_CURVE('',#159874,#159875,#83100,.T.); #196778=EDGE_CURVE('',#159875,#159875,#67128,.T.); #196779=EDGE_CURVE('',#159876,#159876,#67129,.T.); #196780=EDGE_CURVE('',#159876,#159877,#83101,.T.); #196781=EDGE_CURVE('',#159877,#159877,#67130,.T.); #196782=EDGE_CURVE('',#159878,#159878,#67131,.T.); #196783=EDGE_CURVE('',#159878,#159879,#83102,.T.); #196784=EDGE_CURVE('',#159879,#159879,#67132,.T.); #196785=EDGE_CURVE('',#159880,#159880,#67133,.T.); #196786=EDGE_CURVE('',#159880,#159881,#83103,.T.); #196787=EDGE_CURVE('',#159881,#159881,#67134,.T.); #196788=EDGE_CURVE('',#159882,#159882,#67135,.T.); #196789=EDGE_CURVE('',#159882,#159883,#83104,.T.); #196790=EDGE_CURVE('',#159883,#159883,#67136,.T.); #196791=EDGE_CURVE('',#159884,#159884,#67137,.T.); #196792=EDGE_CURVE('',#159884,#159885,#83105,.T.); #196793=EDGE_CURVE('',#159885,#159885,#67138,.T.); #196794=EDGE_CURVE('',#159886,#159886,#67139,.T.); #196795=EDGE_CURVE('',#159886,#159887,#83106,.T.); #196796=EDGE_CURVE('',#159887,#159887,#67140,.T.); #196797=EDGE_CURVE('',#159888,#159888,#67141,.T.); #196798=EDGE_CURVE('',#159888,#159889,#83107,.T.); #196799=EDGE_CURVE('',#159889,#159889,#67142,.T.); #196800=EDGE_CURVE('',#159890,#159890,#67143,.T.); #196801=EDGE_CURVE('',#159890,#159891,#83108,.T.); #196802=EDGE_CURVE('',#159891,#159891,#67144,.T.); #196803=EDGE_CURVE('',#159892,#159892,#67145,.T.); #196804=EDGE_CURVE('',#159892,#159893,#83109,.T.); #196805=EDGE_CURVE('',#159893,#159893,#67146,.T.); #196806=EDGE_CURVE('',#159894,#159894,#67147,.T.); #196807=EDGE_CURVE('',#159894,#159895,#83110,.T.); #196808=EDGE_CURVE('',#159895,#159895,#67148,.T.); #196809=EDGE_CURVE('',#159896,#159896,#67149,.T.); #196810=EDGE_CURVE('',#159896,#159897,#83111,.T.); #196811=EDGE_CURVE('',#159897,#159897,#67150,.T.); #196812=EDGE_CURVE('',#159898,#159898,#67151,.T.); #196813=EDGE_CURVE('',#159898,#159899,#83112,.T.); #196814=EDGE_CURVE('',#159899,#159899,#67152,.T.); #196815=EDGE_CURVE('',#159900,#159900,#67153,.T.); #196816=EDGE_CURVE('',#159900,#159901,#83113,.T.); #196817=EDGE_CURVE('',#159901,#159901,#67154,.T.); #196818=EDGE_CURVE('',#159902,#159902,#67155,.T.); #196819=EDGE_CURVE('',#159902,#159903,#83114,.T.); #196820=EDGE_CURVE('',#159903,#159903,#67156,.T.); #196821=EDGE_CURVE('',#159904,#159904,#67157,.T.); #196822=EDGE_CURVE('',#159904,#159905,#83115,.T.); #196823=EDGE_CURVE('',#159905,#159905,#67158,.T.); #196824=EDGE_CURVE('',#159906,#159906,#67159,.T.); #196825=EDGE_CURVE('',#159906,#159907,#83116,.T.); #196826=EDGE_CURVE('',#159907,#159907,#67160,.T.); #196827=EDGE_CURVE('',#159908,#159908,#67161,.T.); #196828=EDGE_CURVE('',#159908,#159909,#83117,.T.); #196829=EDGE_CURVE('',#159909,#159909,#67162,.T.); #196830=EDGE_CURVE('',#159910,#159910,#67163,.T.); #196831=EDGE_CURVE('',#159910,#159911,#83118,.T.); #196832=EDGE_CURVE('',#159911,#159911,#67164,.T.); #196833=EDGE_CURVE('',#159912,#159912,#67165,.T.); #196834=EDGE_CURVE('',#159912,#159913,#83119,.T.); #196835=EDGE_CURVE('',#159913,#159913,#67166,.T.); #196836=EDGE_CURVE('',#159914,#159914,#67167,.T.); #196837=EDGE_CURVE('',#159914,#159915,#83120,.T.); #196838=EDGE_CURVE('',#159915,#159915,#67168,.T.); #196839=EDGE_CURVE('',#159916,#159916,#67169,.T.); #196840=EDGE_CURVE('',#159916,#159917,#83121,.T.); #196841=EDGE_CURVE('',#159917,#159917,#67170,.T.); #196842=EDGE_CURVE('',#159918,#159918,#67171,.T.); #196843=EDGE_CURVE('',#159918,#159919,#83122,.T.); #196844=EDGE_CURVE('',#159919,#159919,#67172,.T.); #196845=EDGE_CURVE('',#159920,#159920,#67173,.T.); #196846=EDGE_CURVE('',#159920,#159921,#83123,.T.); #196847=EDGE_CURVE('',#159921,#159921,#67174,.T.); #196848=EDGE_CURVE('',#159922,#159922,#67175,.T.); #196849=EDGE_CURVE('',#159922,#159923,#83124,.T.); #196850=EDGE_CURVE('',#159923,#159923,#67176,.T.); #196851=EDGE_CURVE('',#159924,#159924,#67177,.T.); #196852=EDGE_CURVE('',#159924,#159925,#83125,.T.); #196853=EDGE_CURVE('',#159925,#159925,#67178,.T.); #196854=EDGE_CURVE('',#159926,#159927,#83126,.T.); #196855=EDGE_CURVE('',#159927,#159928,#83127,.T.); #196856=EDGE_CURVE('',#159929,#159928,#83128,.T.); #196857=EDGE_CURVE('',#159926,#159929,#83129,.T.); #196858=EDGE_CURVE('',#159930,#159926,#83130,.T.); #196859=EDGE_CURVE('',#159931,#159929,#83131,.T.); #196860=EDGE_CURVE('',#159930,#159931,#83132,.T.); #196861=EDGE_CURVE('',#159932,#159930,#83133,.T.); #196862=EDGE_CURVE('',#159933,#159931,#83134,.T.); #196863=EDGE_CURVE('',#159932,#159933,#83135,.T.); #196864=EDGE_CURVE('',#159934,#159932,#83136,.T.); #196865=EDGE_CURVE('',#159935,#159933,#83137,.T.); #196866=EDGE_CURVE('',#159934,#159935,#83138,.T.); #196867=EDGE_CURVE('',#159936,#159934,#83139,.T.); #196868=EDGE_CURVE('',#159937,#159935,#83140,.T.); #196869=EDGE_CURVE('',#159936,#159937,#83141,.T.); #196870=EDGE_CURVE('',#159938,#159936,#83142,.T.); #196871=EDGE_CURVE('',#159939,#159937,#83143,.T.); #196872=EDGE_CURVE('',#159938,#159939,#83144,.T.); #196873=EDGE_CURVE('',#159940,#159938,#83145,.T.); #196874=EDGE_CURVE('',#159941,#159939,#83146,.T.); #196875=EDGE_CURVE('',#159940,#159941,#83147,.T.); #196876=EDGE_CURVE('',#159942,#159940,#83148,.T.); #196877=EDGE_CURVE('',#159943,#159941,#83149,.T.); #196878=EDGE_CURVE('',#159942,#159943,#83150,.T.); #196879=EDGE_CURVE('',#159944,#159942,#83151,.T.); #196880=EDGE_CURVE('',#159945,#159943,#83152,.T.); #196881=EDGE_CURVE('',#159944,#159945,#83153,.T.); #196882=EDGE_CURVE('',#159946,#159944,#83154,.T.); #196883=EDGE_CURVE('',#159947,#159945,#83155,.T.); #196884=EDGE_CURVE('',#159946,#159947,#83156,.T.); #196885=EDGE_CURVE('',#159948,#159946,#83157,.T.); #196886=EDGE_CURVE('',#159949,#159947,#83158,.T.); #196887=EDGE_CURVE('',#159948,#159949,#83159,.T.); #196888=EDGE_CURVE('',#159950,#159948,#83160,.T.); #196889=EDGE_CURVE('',#159951,#159949,#83161,.T.); #196890=EDGE_CURVE('',#159950,#159951,#83162,.T.); #196891=EDGE_CURVE('',#159952,#159950,#83163,.T.); #196892=EDGE_CURVE('',#159953,#159951,#83164,.T.); #196893=EDGE_CURVE('',#159952,#159953,#83165,.T.); #196894=EDGE_CURVE('',#159954,#159952,#83166,.T.); #196895=EDGE_CURVE('',#159955,#159953,#83167,.T.); #196896=EDGE_CURVE('',#159954,#159955,#83168,.T.); #196897=EDGE_CURVE('',#159956,#159954,#83169,.T.); #196898=EDGE_CURVE('',#159957,#159955,#83170,.T.); #196899=EDGE_CURVE('',#159956,#159957,#83171,.T.); #196900=EDGE_CURVE('',#159958,#159956,#83172,.T.); #196901=EDGE_CURVE('',#159959,#159957,#83173,.T.); #196902=EDGE_CURVE('',#159958,#159959,#83174,.T.); #196903=EDGE_CURVE('',#159960,#159958,#83175,.T.); #196904=EDGE_CURVE('',#159961,#159959,#83176,.T.); #196905=EDGE_CURVE('',#159960,#159961,#83177,.T.); #196906=EDGE_CURVE('',#159962,#159960,#83178,.T.); #196907=EDGE_CURVE('',#159963,#159961,#83179,.T.); #196908=EDGE_CURVE('',#159962,#159963,#83180,.T.); #196909=EDGE_CURVE('',#159964,#159962,#83181,.T.); #196910=EDGE_CURVE('',#159965,#159963,#83182,.T.); #196911=EDGE_CURVE('',#159964,#159965,#83183,.T.); #196912=EDGE_CURVE('',#159966,#159964,#83184,.T.); #196913=EDGE_CURVE('',#159967,#159965,#83185,.T.); #196914=EDGE_CURVE('',#159966,#159967,#83186,.T.); #196915=EDGE_CURVE('',#159968,#159966,#83187,.T.); #196916=EDGE_CURVE('',#159969,#159967,#83188,.T.); #196917=EDGE_CURVE('',#159968,#159969,#83189,.T.); #196918=EDGE_CURVE('',#159970,#159968,#83190,.T.); #196919=EDGE_CURVE('',#159971,#159969,#83191,.T.); #196920=EDGE_CURVE('',#159970,#159971,#83192,.T.); #196921=EDGE_CURVE('',#159972,#159970,#83193,.T.); #196922=EDGE_CURVE('',#159973,#159971,#83194,.T.); #196923=EDGE_CURVE('',#159972,#159973,#83195,.T.); #196924=EDGE_CURVE('',#159974,#159972,#83196,.T.); #196925=EDGE_CURVE('',#159975,#159973,#83197,.T.); #196926=EDGE_CURVE('',#159974,#159975,#83198,.T.); #196927=EDGE_CURVE('',#159976,#159974,#83199,.T.); #196928=EDGE_CURVE('',#159977,#159975,#83200,.T.); #196929=EDGE_CURVE('',#159976,#159977,#83201,.T.); #196930=EDGE_CURVE('',#159978,#159976,#83202,.T.); #196931=EDGE_CURVE('',#159979,#159977,#83203,.T.); #196932=EDGE_CURVE('',#159978,#159979,#83204,.T.); #196933=EDGE_CURVE('',#159980,#159978,#83205,.T.); #196934=EDGE_CURVE('',#159981,#159979,#83206,.T.); #196935=EDGE_CURVE('',#159980,#159981,#83207,.T.); #196936=EDGE_CURVE('',#159982,#159980,#83208,.T.); #196937=EDGE_CURVE('',#159983,#159981,#83209,.T.); #196938=EDGE_CURVE('',#159982,#159983,#83210,.T.); #196939=EDGE_CURVE('',#159984,#159982,#83211,.T.); #196940=EDGE_CURVE('',#159985,#159983,#83212,.T.); #196941=EDGE_CURVE('',#159984,#159985,#83213,.T.); #196942=EDGE_CURVE('',#159986,#159984,#83214,.T.); #196943=EDGE_CURVE('',#159987,#159985,#83215,.T.); #196944=EDGE_CURVE('',#159986,#159987,#83216,.T.); #196945=EDGE_CURVE('',#159988,#159986,#83217,.T.); #196946=EDGE_CURVE('',#159989,#159987,#83218,.T.); #196947=EDGE_CURVE('',#159988,#159989,#83219,.T.); #196948=EDGE_CURVE('',#159990,#159988,#83220,.T.); #196949=EDGE_CURVE('',#159991,#159989,#83221,.T.); #196950=EDGE_CURVE('',#159990,#159991,#83222,.T.); #196951=EDGE_CURVE('',#159992,#159990,#83223,.T.); #196952=EDGE_CURVE('',#159993,#159991,#83224,.T.); #196953=EDGE_CURVE('',#159992,#159993,#83225,.T.); #196954=EDGE_CURVE('',#159994,#159992,#83226,.T.); #196955=EDGE_CURVE('',#159995,#159993,#83227,.T.); #196956=EDGE_CURVE('',#159994,#159995,#83228,.T.); #196957=EDGE_CURVE('',#159996,#159994,#83229,.T.); #196958=EDGE_CURVE('',#159997,#159995,#83230,.T.); #196959=EDGE_CURVE('',#159996,#159997,#83231,.T.); #196960=EDGE_CURVE('',#159998,#159996,#83232,.T.); #196961=EDGE_CURVE('',#159999,#159997,#83233,.T.); #196962=EDGE_CURVE('',#159998,#159999,#83234,.T.); #196963=EDGE_CURVE('',#160000,#159998,#83235,.T.); #196964=EDGE_CURVE('',#160001,#159999,#83236,.T.); #196965=EDGE_CURVE('',#160000,#160001,#83237,.T.); #196966=EDGE_CURVE('',#160002,#160000,#83238,.T.); #196967=EDGE_CURVE('',#160003,#160001,#83239,.T.); #196968=EDGE_CURVE('',#160002,#160003,#83240,.T.); #196969=EDGE_CURVE('',#160004,#160002,#83241,.T.); #196970=EDGE_CURVE('',#160005,#160003,#83242,.T.); #196971=EDGE_CURVE('',#160004,#160005,#83243,.T.); #196972=EDGE_CURVE('',#160006,#160004,#83244,.T.); #196973=EDGE_CURVE('',#160007,#160005,#83245,.T.); #196974=EDGE_CURVE('',#160006,#160007,#83246,.T.); #196975=EDGE_CURVE('',#160008,#160006,#83247,.T.); #196976=EDGE_CURVE('',#160009,#160007,#83248,.T.); #196977=EDGE_CURVE('',#160008,#160009,#83249,.T.); #196978=EDGE_CURVE('',#160010,#160008,#83250,.T.); #196979=EDGE_CURVE('',#160011,#160009,#83251,.T.); #196980=EDGE_CURVE('',#160010,#160011,#83252,.T.); #196981=EDGE_CURVE('',#160012,#160010,#83253,.T.); #196982=EDGE_CURVE('',#160013,#160011,#83254,.T.); #196983=EDGE_CURVE('',#160012,#160013,#83255,.T.); #196984=EDGE_CURVE('',#160014,#160012,#83256,.T.); #196985=EDGE_CURVE('',#160015,#160013,#83257,.T.); #196986=EDGE_CURVE('',#160014,#160015,#83258,.T.); #196987=EDGE_CURVE('',#160016,#160014,#83259,.T.); #196988=EDGE_CURVE('',#160017,#160015,#83260,.T.); #196989=EDGE_CURVE('',#160016,#160017,#83261,.T.); #196990=EDGE_CURVE('',#160018,#160016,#83262,.T.); #196991=EDGE_CURVE('',#160019,#160017,#83263,.T.); #196992=EDGE_CURVE('',#160018,#160019,#83264,.T.); #196993=EDGE_CURVE('',#160020,#160018,#83265,.T.); #196994=EDGE_CURVE('',#160021,#160019,#83266,.T.); #196995=EDGE_CURVE('',#160020,#160021,#83267,.T.); #196996=EDGE_CURVE('',#160022,#160020,#83268,.T.); #196997=EDGE_CURVE('',#160023,#160021,#83269,.T.); #196998=EDGE_CURVE('',#160022,#160023,#83270,.T.); #196999=EDGE_CURVE('',#160024,#160022,#83271,.T.); #197000=EDGE_CURVE('',#160025,#160023,#83272,.T.); #197001=EDGE_CURVE('',#160024,#160025,#83273,.T.); #197002=EDGE_CURVE('',#160026,#160024,#83274,.T.); #197003=EDGE_CURVE('',#160027,#160025,#83275,.T.); #197004=EDGE_CURVE('',#160026,#160027,#83276,.T.); #197005=EDGE_CURVE('',#160028,#160026,#83277,.T.); #197006=EDGE_CURVE('',#160029,#160027,#83278,.T.); #197007=EDGE_CURVE('',#160028,#160029,#83279,.T.); #197008=EDGE_CURVE('',#160030,#160028,#83280,.T.); #197009=EDGE_CURVE('',#160031,#160029,#83281,.T.); #197010=EDGE_CURVE('',#160030,#160031,#83282,.T.); #197011=EDGE_CURVE('',#160032,#160030,#83283,.T.); #197012=EDGE_CURVE('',#160033,#160031,#83284,.T.); #197013=EDGE_CURVE('',#160032,#160033,#83285,.T.); #197014=EDGE_CURVE('',#160034,#160032,#83286,.T.); #197015=EDGE_CURVE('',#160035,#160033,#83287,.T.); #197016=EDGE_CURVE('',#160034,#160035,#83288,.T.); #197017=EDGE_CURVE('',#160036,#160034,#83289,.T.); #197018=EDGE_CURVE('',#160037,#160035,#83290,.T.); #197019=EDGE_CURVE('',#160036,#160037,#83291,.T.); #197020=EDGE_CURVE('',#160038,#160036,#83292,.T.); #197021=EDGE_CURVE('',#160039,#160037,#83293,.T.); #197022=EDGE_CURVE('',#160038,#160039,#83294,.T.); #197023=EDGE_CURVE('',#160040,#160038,#83295,.T.); #197024=EDGE_CURVE('',#160041,#160039,#83296,.T.); #197025=EDGE_CURVE('',#160040,#160041,#83297,.T.); #197026=EDGE_CURVE('',#160042,#160040,#83298,.T.); #197027=EDGE_CURVE('',#160043,#160041,#83299,.T.); #197028=EDGE_CURVE('',#160042,#160043,#83300,.T.); #197029=EDGE_CURVE('',#160044,#160042,#83301,.T.); #197030=EDGE_CURVE('',#160045,#160043,#83302,.T.); #197031=EDGE_CURVE('',#160044,#160045,#83303,.T.); #197032=EDGE_CURVE('',#160046,#160044,#83304,.T.); #197033=EDGE_CURVE('',#160047,#160045,#83305,.T.); #197034=EDGE_CURVE('',#160046,#160047,#83306,.T.); #197035=EDGE_CURVE('',#160048,#160046,#83307,.T.); #197036=EDGE_CURVE('',#160049,#160047,#83308,.T.); #197037=EDGE_CURVE('',#160048,#160049,#83309,.T.); #197038=EDGE_CURVE('',#160050,#160048,#83310,.T.); #197039=EDGE_CURVE('',#160051,#160049,#83311,.T.); #197040=EDGE_CURVE('',#160050,#160051,#83312,.T.); #197041=EDGE_CURVE('',#160052,#160050,#83313,.T.); #197042=EDGE_CURVE('',#160053,#160051,#83314,.T.); #197043=EDGE_CURVE('',#160052,#160053,#83315,.T.); #197044=EDGE_CURVE('',#160054,#160052,#83316,.T.); #197045=EDGE_CURVE('',#160055,#160053,#83317,.T.); #197046=EDGE_CURVE('',#160054,#160055,#83318,.T.); #197047=EDGE_CURVE('',#160056,#160054,#83319,.T.); #197048=EDGE_CURVE('',#160057,#160055,#83320,.T.); #197049=EDGE_CURVE('',#160056,#160057,#83321,.T.); #197050=EDGE_CURVE('',#160058,#160056,#83322,.T.); #197051=EDGE_CURVE('',#160059,#160057,#83323,.T.); #197052=EDGE_CURVE('',#160058,#160059,#83324,.T.); #197053=EDGE_CURVE('',#160060,#160058,#83325,.T.); #197054=EDGE_CURVE('',#160061,#160059,#83326,.T.); #197055=EDGE_CURVE('',#160060,#160061,#83327,.T.); #197056=EDGE_CURVE('',#160062,#160060,#83328,.T.); #197057=EDGE_CURVE('',#160063,#160061,#83329,.T.); #197058=EDGE_CURVE('',#160062,#160063,#83330,.T.); #197059=EDGE_CURVE('',#160064,#160062,#83331,.T.); #197060=EDGE_CURVE('',#160065,#160063,#83332,.T.); #197061=EDGE_CURVE('',#160064,#160065,#83333,.T.); #197062=EDGE_CURVE('',#160066,#160064,#83334,.T.); #197063=EDGE_CURVE('',#160067,#160065,#83335,.T.); #197064=EDGE_CURVE('',#160066,#160067,#83336,.T.); #197065=EDGE_CURVE('',#160068,#160066,#83337,.T.); #197066=EDGE_CURVE('',#160069,#160067,#83338,.T.); #197067=EDGE_CURVE('',#160068,#160069,#83339,.T.); #197068=EDGE_CURVE('',#160070,#160068,#83340,.T.); #197069=EDGE_CURVE('',#160071,#160069,#83341,.T.); #197070=EDGE_CURVE('',#160070,#160071,#83342,.T.); #197071=EDGE_CURVE('',#160072,#160070,#83343,.T.); #197072=EDGE_CURVE('',#160073,#160071,#83344,.T.); #197073=EDGE_CURVE('',#160072,#160073,#83345,.T.); #197074=EDGE_CURVE('',#160074,#160072,#83346,.T.); #197075=EDGE_CURVE('',#160075,#160073,#83347,.T.); #197076=EDGE_CURVE('',#160074,#160075,#83348,.T.); #197077=EDGE_CURVE('',#160076,#160074,#83349,.T.); #197078=EDGE_CURVE('',#160077,#160075,#83350,.T.); #197079=EDGE_CURVE('',#160076,#160077,#83351,.T.); #197080=EDGE_CURVE('',#160078,#160076,#83352,.T.); #197081=EDGE_CURVE('',#160079,#160077,#83353,.T.); #197082=EDGE_CURVE('',#160078,#160079,#83354,.T.); #197083=EDGE_CURVE('',#160080,#160078,#83355,.T.); #197084=EDGE_CURVE('',#160081,#160079,#83356,.T.); #197085=EDGE_CURVE('',#160080,#160081,#83357,.T.); #197086=EDGE_CURVE('',#160082,#160080,#83358,.T.); #197087=EDGE_CURVE('',#160083,#160081,#83359,.T.); #197088=EDGE_CURVE('',#160082,#160083,#83360,.T.); #197089=EDGE_CURVE('',#160084,#160082,#83361,.T.); #197090=EDGE_CURVE('',#160085,#160083,#83362,.T.); #197091=EDGE_CURVE('',#160084,#160085,#83363,.T.); #197092=EDGE_CURVE('',#160086,#160084,#83364,.T.); #197093=EDGE_CURVE('',#160087,#160085,#83365,.T.); #197094=EDGE_CURVE('',#160086,#160087,#83366,.T.); #197095=EDGE_CURVE('',#160088,#160086,#83367,.T.); #197096=EDGE_CURVE('',#160089,#160087,#83368,.T.); #197097=EDGE_CURVE('',#160088,#160089,#83369,.T.); #197098=EDGE_CURVE('',#160090,#160088,#83370,.T.); #197099=EDGE_CURVE('',#160091,#160089,#83371,.T.); #197100=EDGE_CURVE('',#160090,#160091,#83372,.T.); #197101=EDGE_CURVE('',#160092,#160090,#83373,.T.); #197102=EDGE_CURVE('',#160093,#160091,#83374,.T.); #197103=EDGE_CURVE('',#160092,#160093,#83375,.T.); #197104=EDGE_CURVE('',#160094,#160092,#83376,.T.); #197105=EDGE_CURVE('',#160095,#160093,#83377,.T.); #197106=EDGE_CURVE('',#160094,#160095,#83378,.T.); #197107=EDGE_CURVE('',#160096,#160094,#83379,.T.); #197108=EDGE_CURVE('',#160097,#160095,#83380,.T.); #197109=EDGE_CURVE('',#160096,#160097,#83381,.T.); #197110=EDGE_CURVE('',#160098,#160096,#83382,.T.); #197111=EDGE_CURVE('',#160099,#160097,#83383,.T.); #197112=EDGE_CURVE('',#160098,#160099,#83384,.T.); #197113=EDGE_CURVE('',#160100,#160098,#83385,.T.); #197114=EDGE_CURVE('',#160101,#160099,#83386,.T.); #197115=EDGE_CURVE('',#160100,#160101,#83387,.T.); #197116=EDGE_CURVE('',#160102,#160100,#83388,.T.); #197117=EDGE_CURVE('',#160103,#160101,#83389,.T.); #197118=EDGE_CURVE('',#160102,#160103,#83390,.T.); #197119=EDGE_CURVE('',#160104,#160102,#83391,.T.); #197120=EDGE_CURVE('',#160105,#160103,#83392,.T.); #197121=EDGE_CURVE('',#160104,#160105,#83393,.T.); #197122=EDGE_CURVE('',#160106,#160104,#83394,.T.); #197123=EDGE_CURVE('',#160107,#160105,#83395,.T.); #197124=EDGE_CURVE('',#160106,#160107,#83396,.T.); #197125=EDGE_CURVE('',#160108,#160106,#83397,.T.); #197126=EDGE_CURVE('',#160109,#160107,#83398,.T.); #197127=EDGE_CURVE('',#160108,#160109,#83399,.T.); #197128=EDGE_CURVE('',#160110,#160108,#83400,.T.); #197129=EDGE_CURVE('',#160111,#160109,#83401,.T.); #197130=EDGE_CURVE('',#160110,#160111,#83402,.T.); #197131=EDGE_CURVE('',#160112,#160110,#83403,.T.); #197132=EDGE_CURVE('',#160113,#160111,#83404,.T.); #197133=EDGE_CURVE('',#160112,#160113,#83405,.T.); #197134=EDGE_CURVE('',#160114,#160112,#83406,.T.); #197135=EDGE_CURVE('',#160115,#160113,#83407,.T.); #197136=EDGE_CURVE('',#160114,#160115,#83408,.T.); #197137=EDGE_CURVE('',#160116,#160114,#83409,.T.); #197138=EDGE_CURVE('',#160117,#160115,#83410,.T.); #197139=EDGE_CURVE('',#160116,#160117,#83411,.T.); #197140=EDGE_CURVE('',#160118,#160116,#83412,.T.); #197141=EDGE_CURVE('',#160119,#160117,#83413,.T.); #197142=EDGE_CURVE('',#160118,#160119,#83414,.T.); #197143=EDGE_CURVE('',#160120,#160118,#83415,.T.); #197144=EDGE_CURVE('',#160121,#160119,#83416,.T.); #197145=EDGE_CURVE('',#160120,#160121,#83417,.T.); #197146=EDGE_CURVE('',#160122,#160120,#83418,.T.); #197147=EDGE_CURVE('',#160123,#160121,#83419,.T.); #197148=EDGE_CURVE('',#160122,#160123,#83420,.T.); #197149=EDGE_CURVE('',#160124,#160122,#83421,.T.); #197150=EDGE_CURVE('',#160125,#160123,#83422,.T.); #197151=EDGE_CURVE('',#160124,#160125,#83423,.T.); #197152=EDGE_CURVE('',#160126,#160124,#83424,.T.); #197153=EDGE_CURVE('',#160127,#160125,#83425,.T.); #197154=EDGE_CURVE('',#160126,#160127,#83426,.T.); #197155=EDGE_CURVE('',#160128,#160126,#83427,.T.); #197156=EDGE_CURVE('',#160129,#160127,#83428,.T.); #197157=EDGE_CURVE('',#160128,#160129,#83429,.T.); #197158=EDGE_CURVE('',#160130,#160128,#83430,.T.); #197159=EDGE_CURVE('',#160131,#160129,#83431,.T.); #197160=EDGE_CURVE('',#160130,#160131,#83432,.T.); #197161=EDGE_CURVE('',#160132,#160130,#83433,.T.); #197162=EDGE_CURVE('',#160133,#160131,#83434,.T.); #197163=EDGE_CURVE('',#160132,#160133,#83435,.T.); #197164=EDGE_CURVE('',#160134,#160132,#83436,.T.); #197165=EDGE_CURVE('',#160135,#160133,#83437,.T.); #197166=EDGE_CURVE('',#160134,#160135,#83438,.T.); #197167=EDGE_CURVE('',#160136,#160134,#83439,.T.); #197168=EDGE_CURVE('',#160137,#160135,#83440,.T.); #197169=EDGE_CURVE('',#160136,#160137,#83441,.T.); #197170=EDGE_CURVE('',#160138,#160136,#83442,.T.); #197171=EDGE_CURVE('',#160139,#160137,#83443,.T.); #197172=EDGE_CURVE('',#160138,#160139,#83444,.T.); #197173=EDGE_CURVE('',#160140,#160138,#83445,.T.); #197174=EDGE_CURVE('',#160141,#160139,#83446,.T.); #197175=EDGE_CURVE('',#160140,#160141,#83447,.T.); #197176=EDGE_CURVE('',#160142,#160140,#83448,.T.); #197177=EDGE_CURVE('',#160143,#160141,#83449,.T.); #197178=EDGE_CURVE('',#160142,#160143,#83450,.T.); #197179=EDGE_CURVE('',#160144,#160142,#83451,.T.); #197180=EDGE_CURVE('',#160145,#160143,#83452,.T.); #197181=EDGE_CURVE('',#160144,#160145,#83453,.T.); #197182=EDGE_CURVE('',#160146,#160144,#83454,.T.); #197183=EDGE_CURVE('',#160147,#160145,#83455,.T.); #197184=EDGE_CURVE('',#160146,#160147,#83456,.T.); #197185=EDGE_CURVE('',#160148,#160146,#83457,.T.); #197186=EDGE_CURVE('',#160149,#160147,#83458,.T.); #197187=EDGE_CURVE('',#160148,#160149,#83459,.T.); #197188=EDGE_CURVE('',#160150,#160148,#83460,.T.); #197189=EDGE_CURVE('',#160151,#160149,#83461,.T.); #197190=EDGE_CURVE('',#160150,#160151,#83462,.T.); #197191=EDGE_CURVE('',#160152,#160150,#83463,.T.); #197192=EDGE_CURVE('',#160153,#160151,#83464,.T.); #197193=EDGE_CURVE('',#160152,#160153,#83465,.T.); #197194=EDGE_CURVE('',#160154,#160152,#83466,.T.); #197195=EDGE_CURVE('',#160155,#160153,#83467,.T.); #197196=EDGE_CURVE('',#160154,#160155,#83468,.T.); #197197=EDGE_CURVE('',#160156,#160154,#83469,.T.); #197198=EDGE_CURVE('',#160157,#160155,#83470,.T.); #197199=EDGE_CURVE('',#160156,#160157,#83471,.T.); #197200=EDGE_CURVE('',#160158,#160156,#83472,.T.); #197201=EDGE_CURVE('',#160159,#160157,#83473,.T.); #197202=EDGE_CURVE('',#160158,#160159,#83474,.T.); #197203=EDGE_CURVE('',#160160,#160158,#83475,.T.); #197204=EDGE_CURVE('',#160161,#160159,#83476,.T.); #197205=EDGE_CURVE('',#160160,#160161,#83477,.T.); #197206=EDGE_CURVE('',#160162,#160160,#83478,.T.); #197207=EDGE_CURVE('',#160163,#160161,#83479,.T.); #197208=EDGE_CURVE('',#160162,#160163,#83480,.T.); #197209=EDGE_CURVE('',#160164,#160162,#83481,.T.); #197210=EDGE_CURVE('',#160165,#160163,#83482,.T.); #197211=EDGE_CURVE('',#160164,#160165,#83483,.T.); #197212=EDGE_CURVE('',#160166,#160164,#83484,.T.); #197213=EDGE_CURVE('',#160167,#160165,#83485,.T.); #197214=EDGE_CURVE('',#160166,#160167,#83486,.T.); #197215=EDGE_CURVE('',#160168,#160166,#83487,.T.); #197216=EDGE_CURVE('',#160169,#160167,#83488,.T.); #197217=EDGE_CURVE('',#160168,#160169,#83489,.T.); #197218=EDGE_CURVE('',#160170,#160168,#83490,.T.); #197219=EDGE_CURVE('',#160171,#160169,#83491,.T.); #197220=EDGE_CURVE('',#160170,#160171,#83492,.T.); #197221=EDGE_CURVE('',#160172,#160170,#83493,.T.); #197222=EDGE_CURVE('',#160173,#160171,#83494,.T.); #197223=EDGE_CURVE('',#160172,#160173,#83495,.T.); #197224=EDGE_CURVE('',#160174,#160172,#83496,.T.); #197225=EDGE_CURVE('',#160175,#160173,#83497,.T.); #197226=EDGE_CURVE('',#160174,#160175,#83498,.T.); #197227=EDGE_CURVE('',#160176,#160174,#83499,.T.); #197228=EDGE_CURVE('',#160177,#160175,#83500,.T.); #197229=EDGE_CURVE('',#160176,#160177,#83501,.T.); #197230=EDGE_CURVE('',#160178,#160176,#83502,.T.); #197231=EDGE_CURVE('',#160179,#160177,#83503,.T.); #197232=EDGE_CURVE('',#160178,#160179,#83504,.T.); #197233=EDGE_CURVE('',#160180,#160178,#83505,.T.); #197234=EDGE_CURVE('',#160181,#160179,#83506,.T.); #197235=EDGE_CURVE('',#160180,#160181,#83507,.T.); #197236=EDGE_CURVE('',#160182,#160180,#83508,.T.); #197237=EDGE_CURVE('',#160183,#160181,#83509,.T.); #197238=EDGE_CURVE('',#160182,#160183,#83510,.T.); #197239=EDGE_CURVE('',#160184,#160182,#83511,.T.); #197240=EDGE_CURVE('',#160185,#160183,#83512,.T.); #197241=EDGE_CURVE('',#160184,#160185,#83513,.T.); #197242=EDGE_CURVE('',#160186,#160184,#83514,.T.); #197243=EDGE_CURVE('',#160187,#160185,#83515,.T.); #197244=EDGE_CURVE('',#160186,#160187,#83516,.T.); #197245=EDGE_CURVE('',#160188,#160186,#83517,.T.); #197246=EDGE_CURVE('',#160189,#160187,#83518,.T.); #197247=EDGE_CURVE('',#160188,#160189,#83519,.T.); #197248=EDGE_CURVE('',#160190,#160188,#83520,.T.); #197249=EDGE_CURVE('',#160191,#160189,#83521,.T.); #197250=EDGE_CURVE('',#160190,#160191,#83522,.T.); #197251=EDGE_CURVE('',#160192,#160190,#83523,.T.); #197252=EDGE_CURVE('',#160193,#160191,#83524,.T.); #197253=EDGE_CURVE('',#160192,#160193,#83525,.T.); #197254=EDGE_CURVE('',#160194,#160192,#83526,.T.); #197255=EDGE_CURVE('',#160195,#160193,#83527,.T.); #197256=EDGE_CURVE('',#160194,#160195,#83528,.T.); #197257=EDGE_CURVE('',#160196,#160194,#83529,.T.); #197258=EDGE_CURVE('',#160197,#160195,#83530,.T.); #197259=EDGE_CURVE('',#160196,#160197,#83531,.T.); #197260=EDGE_CURVE('',#160198,#160196,#83532,.T.); #197261=EDGE_CURVE('',#160199,#160197,#83533,.T.); #197262=EDGE_CURVE('',#160198,#160199,#83534,.T.); #197263=EDGE_CURVE('',#160200,#160198,#83535,.T.); #197264=EDGE_CURVE('',#160201,#160199,#83536,.T.); #197265=EDGE_CURVE('',#160200,#160201,#83537,.T.); #197266=EDGE_CURVE('',#160202,#160200,#83538,.T.); #197267=EDGE_CURVE('',#160203,#160201,#83539,.T.); #197268=EDGE_CURVE('',#160202,#160203,#83540,.T.); #197269=EDGE_CURVE('',#160204,#160202,#83541,.T.); #197270=EDGE_CURVE('',#160205,#160203,#83542,.T.); #197271=EDGE_CURVE('',#160204,#160205,#83543,.T.); #197272=EDGE_CURVE('',#160206,#160204,#83544,.T.); #197273=EDGE_CURVE('',#160207,#160205,#83545,.T.); #197274=EDGE_CURVE('',#160206,#160207,#83546,.T.); #197275=EDGE_CURVE('',#160208,#160206,#83547,.T.); #197276=EDGE_CURVE('',#160209,#160207,#83548,.T.); #197277=EDGE_CURVE('',#160208,#160209,#83549,.T.); #197278=EDGE_CURVE('',#160210,#160208,#83550,.T.); #197279=EDGE_CURVE('',#160211,#160209,#83551,.T.); #197280=EDGE_CURVE('',#160210,#160211,#83552,.T.); #197281=EDGE_CURVE('',#160212,#160210,#83553,.T.); #197282=EDGE_CURVE('',#160213,#160211,#83554,.T.); #197283=EDGE_CURVE('',#160212,#160213,#83555,.T.); #197284=EDGE_CURVE('',#160214,#160212,#83556,.T.); #197285=EDGE_CURVE('',#160215,#160213,#83557,.T.); #197286=EDGE_CURVE('',#160214,#160215,#83558,.T.); #197287=EDGE_CURVE('',#160216,#160214,#83559,.T.); #197288=EDGE_CURVE('',#160217,#160215,#83560,.T.); #197289=EDGE_CURVE('',#160216,#160217,#83561,.T.); #197290=EDGE_CURVE('',#160218,#160216,#83562,.T.); #197291=EDGE_CURVE('',#160219,#160217,#83563,.T.); #197292=EDGE_CURVE('',#160218,#160219,#83564,.T.); #197293=EDGE_CURVE('',#160220,#160218,#83565,.T.); #197294=EDGE_CURVE('',#160221,#160219,#83566,.T.); #197295=EDGE_CURVE('',#160220,#160221,#83567,.T.); #197296=EDGE_CURVE('',#160222,#160220,#83568,.T.); #197297=EDGE_CURVE('',#160223,#160221,#83569,.T.); #197298=EDGE_CURVE('',#160222,#160223,#83570,.T.); #197299=EDGE_CURVE('',#160224,#160222,#83571,.T.); #197300=EDGE_CURVE('',#160225,#160223,#83572,.T.); #197301=EDGE_CURVE('',#160224,#160225,#83573,.T.); #197302=EDGE_CURVE('',#160226,#160224,#83574,.T.); #197303=EDGE_CURVE('',#160227,#160225,#83575,.T.); #197304=EDGE_CURVE('',#160226,#160227,#83576,.T.); #197305=EDGE_CURVE('',#160228,#160226,#83577,.T.); #197306=EDGE_CURVE('',#160229,#160227,#83578,.T.); #197307=EDGE_CURVE('',#160228,#160229,#83579,.T.); #197308=EDGE_CURVE('',#160230,#160228,#83580,.T.); #197309=EDGE_CURVE('',#160231,#160229,#83581,.T.); #197310=EDGE_CURVE('',#160230,#160231,#83582,.T.); #197311=EDGE_CURVE('',#160232,#160230,#83583,.T.); #197312=EDGE_CURVE('',#160233,#160231,#83584,.T.); #197313=EDGE_CURVE('',#160232,#160233,#83585,.T.); #197314=EDGE_CURVE('',#160234,#160232,#83586,.T.); #197315=EDGE_CURVE('',#160235,#160233,#83587,.T.); #197316=EDGE_CURVE('',#160234,#160235,#83588,.T.); #197317=EDGE_CURVE('',#160236,#160234,#83589,.T.); #197318=EDGE_CURVE('',#160237,#160235,#83590,.T.); #197319=EDGE_CURVE('',#160236,#160237,#83591,.T.); #197320=EDGE_CURVE('',#160238,#160236,#83592,.T.); #197321=EDGE_CURVE('',#160239,#160237,#83593,.T.); #197322=EDGE_CURVE('',#160238,#160239,#83594,.T.); #197323=EDGE_CURVE('',#160240,#160238,#83595,.T.); #197324=EDGE_CURVE('',#160241,#160239,#83596,.T.); #197325=EDGE_CURVE('',#160240,#160241,#83597,.T.); #197326=EDGE_CURVE('',#160242,#160240,#83598,.T.); #197327=EDGE_CURVE('',#160243,#160241,#83599,.T.); #197328=EDGE_CURVE('',#160242,#160243,#83600,.T.); #197329=EDGE_CURVE('',#160244,#160242,#83601,.T.); #197330=EDGE_CURVE('',#160245,#160243,#83602,.T.); #197331=EDGE_CURVE('',#160244,#160245,#83603,.T.); #197332=EDGE_CURVE('',#160246,#160244,#83604,.T.); #197333=EDGE_CURVE('',#160247,#160245,#83605,.T.); #197334=EDGE_CURVE('',#160246,#160247,#83606,.T.); #197335=EDGE_CURVE('',#160248,#160246,#83607,.T.); #197336=EDGE_CURVE('',#160249,#160247,#83608,.T.); #197337=EDGE_CURVE('',#160248,#160249,#83609,.T.); #197338=EDGE_CURVE('',#160250,#160248,#83610,.T.); #197339=EDGE_CURVE('',#160251,#160249,#83611,.T.); #197340=EDGE_CURVE('',#160250,#160251,#83612,.T.); #197341=EDGE_CURVE('',#160252,#160250,#83613,.T.); #197342=EDGE_CURVE('',#160253,#160251,#83614,.T.); #197343=EDGE_CURVE('',#160252,#160253,#83615,.T.); #197344=EDGE_CURVE('',#160254,#160252,#83616,.T.); #197345=EDGE_CURVE('',#160255,#160253,#83617,.T.); #197346=EDGE_CURVE('',#160254,#160255,#83618,.T.); #197347=EDGE_CURVE('',#160256,#160254,#83619,.T.); #197348=EDGE_CURVE('',#160257,#160255,#83620,.T.); #197349=EDGE_CURVE('',#160256,#160257,#83621,.T.); #197350=EDGE_CURVE('',#160258,#160256,#83622,.T.); #197351=EDGE_CURVE('',#160259,#160257,#83623,.T.); #197352=EDGE_CURVE('',#160258,#160259,#83624,.T.); #197353=EDGE_CURVE('',#160260,#160258,#83625,.T.); #197354=EDGE_CURVE('',#160261,#160259,#83626,.T.); #197355=EDGE_CURVE('',#160260,#160261,#83627,.T.); #197356=EDGE_CURVE('',#160262,#160260,#83628,.T.); #197357=EDGE_CURVE('',#160263,#160261,#83629,.T.); #197358=EDGE_CURVE('',#160262,#160263,#83630,.T.); #197359=EDGE_CURVE('',#160264,#160262,#83631,.T.); #197360=EDGE_CURVE('',#160265,#160263,#83632,.T.); #197361=EDGE_CURVE('',#160264,#160265,#83633,.T.); #197362=EDGE_CURVE('',#160266,#160264,#83634,.T.); #197363=EDGE_CURVE('',#160267,#160265,#83635,.T.); #197364=EDGE_CURVE('',#160266,#160267,#83636,.T.); #197365=EDGE_CURVE('',#160268,#160266,#83637,.T.); #197366=EDGE_CURVE('',#160269,#160267,#83638,.T.); #197367=EDGE_CURVE('',#160268,#160269,#83639,.T.); #197368=EDGE_CURVE('',#160270,#160268,#83640,.T.); #197369=EDGE_CURVE('',#160271,#160269,#83641,.T.); #197370=EDGE_CURVE('',#160270,#160271,#83642,.T.); #197371=EDGE_CURVE('',#160272,#160270,#83643,.T.); #197372=EDGE_CURVE('',#160273,#160271,#83644,.T.); #197373=EDGE_CURVE('',#160272,#160273,#83645,.T.); #197374=EDGE_CURVE('',#160274,#160272,#83646,.T.); #197375=EDGE_CURVE('',#160275,#160273,#83647,.T.); #197376=EDGE_CURVE('',#160274,#160275,#83648,.T.); #197377=EDGE_CURVE('',#160276,#160274,#83649,.T.); #197378=EDGE_CURVE('',#160277,#160275,#83650,.T.); #197379=EDGE_CURVE('',#160276,#160277,#83651,.T.); #197380=EDGE_CURVE('',#160278,#160276,#83652,.T.); #197381=EDGE_CURVE('',#160279,#160277,#83653,.T.); #197382=EDGE_CURVE('',#160278,#160279,#83654,.T.); #197383=EDGE_CURVE('',#160280,#160278,#83655,.T.); #197384=EDGE_CURVE('',#160281,#160279,#83656,.T.); #197385=EDGE_CURVE('',#160280,#160281,#83657,.T.); #197386=EDGE_CURVE('',#160282,#160280,#83658,.T.); #197387=EDGE_CURVE('',#160283,#160281,#83659,.T.); #197388=EDGE_CURVE('',#160282,#160283,#83660,.T.); #197389=EDGE_CURVE('',#160284,#160282,#83661,.T.); #197390=EDGE_CURVE('',#160285,#160283,#83662,.T.); #197391=EDGE_CURVE('',#160284,#160285,#83663,.T.); #197392=EDGE_CURVE('',#160286,#160284,#83664,.T.); #197393=EDGE_CURVE('',#160287,#160285,#83665,.T.); #197394=EDGE_CURVE('',#160286,#160287,#83666,.T.); #197395=EDGE_CURVE('',#160288,#160286,#83667,.T.); #197396=EDGE_CURVE('',#160289,#160287,#83668,.T.); #197397=EDGE_CURVE('',#160288,#160289,#83669,.T.); #197398=EDGE_CURVE('',#160290,#160288,#83670,.T.); #197399=EDGE_CURVE('',#160291,#160289,#83671,.T.); #197400=EDGE_CURVE('',#160290,#160291,#83672,.T.); #197401=EDGE_CURVE('',#160292,#160290,#83673,.T.); #197402=EDGE_CURVE('',#160293,#160291,#83674,.T.); #197403=EDGE_CURVE('',#160292,#160293,#83675,.T.); #197404=EDGE_CURVE('',#160294,#160292,#83676,.T.); #197405=EDGE_CURVE('',#160295,#160293,#83677,.T.); #197406=EDGE_CURVE('',#160294,#160295,#83678,.T.); #197407=EDGE_CURVE('',#160296,#160294,#83679,.T.); #197408=EDGE_CURVE('',#160297,#160295,#83680,.T.); #197409=EDGE_CURVE('',#160296,#160297,#83681,.T.); #197410=EDGE_CURVE('',#160298,#160296,#83682,.T.); #197411=EDGE_CURVE('',#160299,#160297,#83683,.T.); #197412=EDGE_CURVE('',#160298,#160299,#83684,.T.); #197413=EDGE_CURVE('',#160300,#160298,#83685,.T.); #197414=EDGE_CURVE('',#160301,#160299,#83686,.T.); #197415=EDGE_CURVE('',#160300,#160301,#83687,.T.); #197416=EDGE_CURVE('',#160302,#160300,#83688,.T.); #197417=EDGE_CURVE('',#160303,#160301,#83689,.T.); #197418=EDGE_CURVE('',#160302,#160303,#83690,.T.); #197419=EDGE_CURVE('',#160304,#160302,#83691,.T.); #197420=EDGE_CURVE('',#160305,#160303,#83692,.T.); #197421=EDGE_CURVE('',#160304,#160305,#83693,.T.); #197422=EDGE_CURVE('',#160306,#160304,#83694,.T.); #197423=EDGE_CURVE('',#160307,#160305,#83695,.T.); #197424=EDGE_CURVE('',#160306,#160307,#83696,.T.); #197425=EDGE_CURVE('',#160308,#160306,#83697,.T.); #197426=EDGE_CURVE('',#160309,#160307,#83698,.T.); #197427=EDGE_CURVE('',#160308,#160309,#83699,.T.); #197428=EDGE_CURVE('',#160310,#160308,#83700,.T.); #197429=EDGE_CURVE('',#160311,#160309,#83701,.T.); #197430=EDGE_CURVE('',#160310,#160311,#83702,.T.); #197431=EDGE_CURVE('',#160312,#160310,#83703,.T.); #197432=EDGE_CURVE('',#160313,#160311,#83704,.T.); #197433=EDGE_CURVE('',#160312,#160313,#83705,.T.); #197434=EDGE_CURVE('',#160314,#160312,#83706,.T.); #197435=EDGE_CURVE('',#160315,#160313,#83707,.T.); #197436=EDGE_CURVE('',#160314,#160315,#83708,.T.); #197437=EDGE_CURVE('',#160316,#160314,#83709,.T.); #197438=EDGE_CURVE('',#160317,#160315,#83710,.T.); #197439=EDGE_CURVE('',#160316,#160317,#83711,.T.); #197440=EDGE_CURVE('',#160318,#160316,#83712,.T.); #197441=EDGE_CURVE('',#160319,#160317,#83713,.T.); #197442=EDGE_CURVE('',#160318,#160319,#83714,.T.); #197443=EDGE_CURVE('',#160320,#160318,#83715,.T.); #197444=EDGE_CURVE('',#160321,#160319,#83716,.T.); #197445=EDGE_CURVE('',#160320,#160321,#83717,.T.); #197446=EDGE_CURVE('',#160322,#160320,#83718,.T.); #197447=EDGE_CURVE('',#160323,#160321,#83719,.T.); #197448=EDGE_CURVE('',#160322,#160323,#83720,.T.); #197449=EDGE_CURVE('',#160324,#160322,#83721,.T.); #197450=EDGE_CURVE('',#160325,#160323,#83722,.T.); #197451=EDGE_CURVE('',#160324,#160325,#83723,.T.); #197452=EDGE_CURVE('',#160326,#160324,#83724,.T.); #197453=EDGE_CURVE('',#160327,#160325,#83725,.T.); #197454=EDGE_CURVE('',#160326,#160327,#83726,.T.); #197455=EDGE_CURVE('',#160328,#160326,#83727,.T.); #197456=EDGE_CURVE('',#160329,#160327,#83728,.T.); #197457=EDGE_CURVE('',#160328,#160329,#83729,.T.); #197458=EDGE_CURVE('',#160330,#160328,#83730,.T.); #197459=EDGE_CURVE('',#160331,#160329,#83731,.T.); #197460=EDGE_CURVE('',#160330,#160331,#83732,.T.); #197461=EDGE_CURVE('',#160332,#160330,#83733,.T.); #197462=EDGE_CURVE('',#160333,#160331,#83734,.T.); #197463=EDGE_CURVE('',#160332,#160333,#83735,.T.); #197464=EDGE_CURVE('',#160334,#160332,#83736,.T.); #197465=EDGE_CURVE('',#160335,#160333,#83737,.T.); #197466=EDGE_CURVE('',#160334,#160335,#83738,.T.); #197467=EDGE_CURVE('',#160336,#160334,#83739,.T.); #197468=EDGE_CURVE('',#160337,#160335,#83740,.T.); #197469=EDGE_CURVE('',#160336,#160337,#83741,.T.); #197470=EDGE_CURVE('',#160338,#160336,#83742,.T.); #197471=EDGE_CURVE('',#160339,#160337,#83743,.T.); #197472=EDGE_CURVE('',#160338,#160339,#83744,.T.); #197473=EDGE_CURVE('',#160340,#160338,#83745,.T.); #197474=EDGE_CURVE('',#160341,#160339,#83746,.T.); #197475=EDGE_CURVE('',#160340,#160341,#83747,.T.); #197476=EDGE_CURVE('',#160342,#160340,#83748,.T.); #197477=EDGE_CURVE('',#160343,#160341,#83749,.T.); #197478=EDGE_CURVE('',#160342,#160343,#83750,.T.); #197479=EDGE_CURVE('',#160344,#160342,#83751,.T.); #197480=EDGE_CURVE('',#160345,#160343,#83752,.T.); #197481=EDGE_CURVE('',#160344,#160345,#83753,.T.); #197482=EDGE_CURVE('',#160346,#160344,#83754,.T.); #197483=EDGE_CURVE('',#160347,#160345,#83755,.T.); #197484=EDGE_CURVE('',#160346,#160347,#83756,.T.); #197485=EDGE_CURVE('',#160348,#160346,#83757,.T.); #197486=EDGE_CURVE('',#160349,#160347,#83758,.T.); #197487=EDGE_CURVE('',#160348,#160349,#83759,.T.); #197488=EDGE_CURVE('',#160350,#160348,#83760,.T.); #197489=EDGE_CURVE('',#160351,#160349,#83761,.T.); #197490=EDGE_CURVE('',#160350,#160351,#83762,.T.); #197491=EDGE_CURVE('',#160352,#160350,#83763,.T.); #197492=EDGE_CURVE('',#160353,#160351,#83764,.T.); #197493=EDGE_CURVE('',#160352,#160353,#83765,.T.); #197494=EDGE_CURVE('',#160354,#160352,#83766,.T.); #197495=EDGE_CURVE('',#160355,#160353,#83767,.T.); #197496=EDGE_CURVE('',#160354,#160355,#83768,.T.); #197497=EDGE_CURVE('',#160356,#160354,#83769,.T.); #197498=EDGE_CURVE('',#160357,#160355,#83770,.T.); #197499=EDGE_CURVE('',#160356,#160357,#83771,.T.); #197500=EDGE_CURVE('',#160358,#160356,#83772,.T.); #197501=EDGE_CURVE('',#160359,#160357,#83773,.T.); #197502=EDGE_CURVE('',#160358,#160359,#83774,.T.); #197503=EDGE_CURVE('',#160360,#160358,#83775,.T.); #197504=EDGE_CURVE('',#160361,#160359,#83776,.T.); #197505=EDGE_CURVE('',#160360,#160361,#83777,.T.); #197506=EDGE_CURVE('',#160362,#160360,#83778,.T.); #197507=EDGE_CURVE('',#160363,#160361,#83779,.T.); #197508=EDGE_CURVE('',#160362,#160363,#83780,.T.); #197509=EDGE_CURVE('',#160364,#160362,#83781,.T.); #197510=EDGE_CURVE('',#160365,#160363,#83782,.T.); #197511=EDGE_CURVE('',#160364,#160365,#83783,.T.); #197512=EDGE_CURVE('',#160366,#160364,#83784,.T.); #197513=EDGE_CURVE('',#160367,#160365,#83785,.T.); #197514=EDGE_CURVE('',#160366,#160367,#83786,.T.); #197515=EDGE_CURVE('',#160368,#160366,#83787,.T.); #197516=EDGE_CURVE('',#160369,#160367,#83788,.T.); #197517=EDGE_CURVE('',#160368,#160369,#83789,.T.); #197518=EDGE_CURVE('',#160370,#160368,#83790,.T.); #197519=EDGE_CURVE('',#160371,#160369,#83791,.T.); #197520=EDGE_CURVE('',#160370,#160371,#83792,.T.); #197521=EDGE_CURVE('',#160372,#160370,#83793,.T.); #197522=EDGE_CURVE('',#160373,#160371,#83794,.T.); #197523=EDGE_CURVE('',#160372,#160373,#83795,.T.); #197524=EDGE_CURVE('',#160374,#160372,#83796,.T.); #197525=EDGE_CURVE('',#160375,#160373,#83797,.T.); #197526=EDGE_CURVE('',#160374,#160375,#83798,.T.); #197527=EDGE_CURVE('',#160376,#160374,#83799,.T.); #197528=EDGE_CURVE('',#160377,#160375,#83800,.T.); #197529=EDGE_CURVE('',#160376,#160377,#83801,.T.); #197530=EDGE_CURVE('',#160378,#160376,#83802,.T.); #197531=EDGE_CURVE('',#160379,#160377,#83803,.T.); #197532=EDGE_CURVE('',#160378,#160379,#83804,.T.); #197533=EDGE_CURVE('',#160380,#160378,#83805,.T.); #197534=EDGE_CURVE('',#160381,#160379,#83806,.T.); #197535=EDGE_CURVE('',#160380,#160381,#83807,.T.); #197536=EDGE_CURVE('',#160382,#160380,#83808,.T.); #197537=EDGE_CURVE('',#160383,#160381,#83809,.T.); #197538=EDGE_CURVE('',#160382,#160383,#83810,.T.); #197539=EDGE_CURVE('',#160384,#160382,#83811,.T.); #197540=EDGE_CURVE('',#160385,#160383,#83812,.T.); #197541=EDGE_CURVE('',#160384,#160385,#83813,.T.); #197542=EDGE_CURVE('',#160386,#160384,#83814,.T.); #197543=EDGE_CURVE('',#160387,#160385,#83815,.T.); #197544=EDGE_CURVE('',#160386,#160387,#83816,.T.); #197545=EDGE_CURVE('',#160388,#160386,#83817,.T.); #197546=EDGE_CURVE('',#160389,#160387,#83818,.T.); #197547=EDGE_CURVE('',#160388,#160389,#83819,.T.); #197548=EDGE_CURVE('',#160390,#160388,#83820,.T.); #197549=EDGE_CURVE('',#160391,#160389,#83821,.T.); #197550=EDGE_CURVE('',#160390,#160391,#83822,.T.); #197551=EDGE_CURVE('',#160392,#160390,#83823,.T.); #197552=EDGE_CURVE('',#160393,#160391,#83824,.T.); #197553=EDGE_CURVE('',#160392,#160393,#83825,.T.); #197554=EDGE_CURVE('',#160394,#160392,#83826,.T.); #197555=EDGE_CURVE('',#160395,#160393,#83827,.T.); #197556=EDGE_CURVE('',#160394,#160395,#83828,.T.); #197557=EDGE_CURVE('',#160396,#160394,#83829,.T.); #197558=EDGE_CURVE('',#160397,#160395,#83830,.T.); #197559=EDGE_CURVE('',#160396,#160397,#83831,.T.); #197560=EDGE_CURVE('',#160398,#160396,#83832,.T.); #197561=EDGE_CURVE('',#160399,#160397,#83833,.T.); #197562=EDGE_CURVE('',#160398,#160399,#83834,.T.); #197563=EDGE_CURVE('',#160400,#160398,#83835,.T.); #197564=EDGE_CURVE('',#160401,#160399,#83836,.T.); #197565=EDGE_CURVE('',#160400,#160401,#83837,.T.); #197566=EDGE_CURVE('',#160402,#160400,#83838,.T.); #197567=EDGE_CURVE('',#160403,#160401,#83839,.T.); #197568=EDGE_CURVE('',#160402,#160403,#83840,.T.); #197569=EDGE_CURVE('',#160404,#160402,#83841,.T.); #197570=EDGE_CURVE('',#160405,#160403,#83842,.T.); #197571=EDGE_CURVE('',#160404,#160405,#83843,.T.); #197572=EDGE_CURVE('',#160406,#160404,#83844,.T.); #197573=EDGE_CURVE('',#160407,#160405,#83845,.T.); #197574=EDGE_CURVE('',#160406,#160407,#83846,.T.); #197575=EDGE_CURVE('',#160408,#160406,#83847,.T.); #197576=EDGE_CURVE('',#160409,#160407,#83848,.T.); #197577=EDGE_CURVE('',#160408,#160409,#83849,.T.); #197578=EDGE_CURVE('',#160410,#160408,#83850,.T.); #197579=EDGE_CURVE('',#160411,#160409,#83851,.T.); #197580=EDGE_CURVE('',#160410,#160411,#83852,.T.); #197581=EDGE_CURVE('',#160412,#160410,#83853,.T.); #197582=EDGE_CURVE('',#160413,#160411,#83854,.T.); #197583=EDGE_CURVE('',#160412,#160413,#83855,.T.); #197584=EDGE_CURVE('',#160414,#160412,#83856,.T.); #197585=EDGE_CURVE('',#160415,#160413,#83857,.T.); #197586=EDGE_CURVE('',#160414,#160415,#83858,.T.); #197587=EDGE_CURVE('',#160416,#160414,#83859,.T.); #197588=EDGE_CURVE('',#160417,#160415,#83860,.T.); #197589=EDGE_CURVE('',#160416,#160417,#83861,.T.); #197590=EDGE_CURVE('',#160418,#160416,#83862,.T.); #197591=EDGE_CURVE('',#160419,#160417,#83863,.T.); #197592=EDGE_CURVE('',#160418,#160419,#83864,.T.); #197593=EDGE_CURVE('',#160420,#160418,#83865,.T.); #197594=EDGE_CURVE('',#160421,#160419,#83866,.T.); #197595=EDGE_CURVE('',#160420,#160421,#83867,.T.); #197596=EDGE_CURVE('',#160422,#160420,#83868,.T.); #197597=EDGE_CURVE('',#160423,#160421,#83869,.T.); #197598=EDGE_CURVE('',#160422,#160423,#83870,.T.); #197599=EDGE_CURVE('',#160424,#160422,#83871,.T.); #197600=EDGE_CURVE('',#160425,#160423,#83872,.T.); #197601=EDGE_CURVE('',#160424,#160425,#83873,.T.); #197602=EDGE_CURVE('',#160426,#160424,#83874,.T.); #197603=EDGE_CURVE('',#160427,#160425,#83875,.T.); #197604=EDGE_CURVE('',#160426,#160427,#83876,.T.); #197605=EDGE_CURVE('',#160428,#160426,#83877,.T.); #197606=EDGE_CURVE('',#160429,#160427,#83878,.T.); #197607=EDGE_CURVE('',#160428,#160429,#83879,.T.); #197608=EDGE_CURVE('',#160430,#160428,#83880,.T.); #197609=EDGE_CURVE('',#160431,#160429,#83881,.T.); #197610=EDGE_CURVE('',#160430,#160431,#83882,.T.); #197611=EDGE_CURVE('',#160432,#160430,#83883,.T.); #197612=EDGE_CURVE('',#160433,#160431,#83884,.T.); #197613=EDGE_CURVE('',#160432,#160433,#83885,.T.); #197614=EDGE_CURVE('',#160434,#160432,#83886,.T.); #197615=EDGE_CURVE('',#160435,#160433,#83887,.T.); #197616=EDGE_CURVE('',#160434,#160435,#83888,.T.); #197617=EDGE_CURVE('',#160436,#160434,#83889,.T.); #197618=EDGE_CURVE('',#160437,#160435,#83890,.T.); #197619=EDGE_CURVE('',#160436,#160437,#83891,.T.); #197620=EDGE_CURVE('',#160438,#160436,#83892,.T.); #197621=EDGE_CURVE('',#160439,#160437,#83893,.T.); #197622=EDGE_CURVE('',#160438,#160439,#83894,.T.); #197623=EDGE_CURVE('',#160440,#160438,#83895,.T.); #197624=EDGE_CURVE('',#160441,#160439,#83896,.T.); #197625=EDGE_CURVE('',#160440,#160441,#83897,.T.); #197626=EDGE_CURVE('',#160442,#160440,#83898,.T.); #197627=EDGE_CURVE('',#160443,#160441,#83899,.T.); #197628=EDGE_CURVE('',#160442,#160443,#83900,.T.); #197629=EDGE_CURVE('',#160444,#160442,#83901,.T.); #197630=EDGE_CURVE('',#160445,#160443,#83902,.T.); #197631=EDGE_CURVE('',#160444,#160445,#83903,.T.); #197632=EDGE_CURVE('',#160446,#160444,#83904,.T.); #197633=EDGE_CURVE('',#160447,#160445,#83905,.T.); #197634=EDGE_CURVE('',#160446,#160447,#83906,.T.); #197635=EDGE_CURVE('',#160448,#160446,#83907,.T.); #197636=EDGE_CURVE('',#160449,#160447,#83908,.T.); #197637=EDGE_CURVE('',#160448,#160449,#83909,.T.); #197638=EDGE_CURVE('',#160450,#160448,#83910,.T.); #197639=EDGE_CURVE('',#160451,#160449,#83911,.T.); #197640=EDGE_CURVE('',#160450,#160451,#83912,.T.); #197641=EDGE_CURVE('',#160452,#160450,#83913,.T.); #197642=EDGE_CURVE('',#160453,#160451,#83914,.T.); #197643=EDGE_CURVE('',#160452,#160453,#83915,.T.); #197644=EDGE_CURVE('',#160454,#160452,#83916,.T.); #197645=EDGE_CURVE('',#160455,#160453,#83917,.T.); #197646=EDGE_CURVE('',#160454,#160455,#83918,.T.); #197647=EDGE_CURVE('',#160456,#160454,#83919,.T.); #197648=EDGE_CURVE('',#160457,#160455,#83920,.T.); #197649=EDGE_CURVE('',#160456,#160457,#83921,.T.); #197650=EDGE_CURVE('',#160458,#160456,#83922,.T.); #197651=EDGE_CURVE('',#160459,#160457,#83923,.T.); #197652=EDGE_CURVE('',#160458,#160459,#83924,.T.); #197653=EDGE_CURVE('',#160460,#160458,#83925,.T.); #197654=EDGE_CURVE('',#160461,#160459,#83926,.T.); #197655=EDGE_CURVE('',#160460,#160461,#83927,.T.); #197656=EDGE_CURVE('',#160462,#160460,#83928,.T.); #197657=EDGE_CURVE('',#160463,#160461,#83929,.T.); #197658=EDGE_CURVE('',#160462,#160463,#83930,.T.); #197659=EDGE_CURVE('',#160464,#160462,#83931,.T.); #197660=EDGE_CURVE('',#160465,#160463,#83932,.T.); #197661=EDGE_CURVE('',#160464,#160465,#83933,.T.); #197662=EDGE_CURVE('',#160466,#160464,#83934,.T.); #197663=EDGE_CURVE('',#160467,#160465,#83935,.T.); #197664=EDGE_CURVE('',#160466,#160467,#83936,.T.); #197665=EDGE_CURVE('',#160468,#160466,#83937,.T.); #197666=EDGE_CURVE('',#160469,#160467,#83938,.T.); #197667=EDGE_CURVE('',#160468,#160469,#83939,.T.); #197668=EDGE_CURVE('',#160470,#160468,#83940,.T.); #197669=EDGE_CURVE('',#160471,#160469,#83941,.T.); #197670=EDGE_CURVE('',#160470,#160471,#83942,.T.); #197671=EDGE_CURVE('',#160472,#160470,#83943,.T.); #197672=EDGE_CURVE('',#160473,#160471,#83944,.T.); #197673=EDGE_CURVE('',#160472,#160473,#83945,.T.); #197674=EDGE_CURVE('',#160474,#160472,#83946,.T.); #197675=EDGE_CURVE('',#160475,#160473,#83947,.T.); #197676=EDGE_CURVE('',#160474,#160475,#83948,.T.); #197677=EDGE_CURVE('',#160476,#160474,#83949,.T.); #197678=EDGE_CURVE('',#160477,#160475,#83950,.T.); #197679=EDGE_CURVE('',#160476,#160477,#83951,.T.); #197680=EDGE_CURVE('',#160478,#160476,#83952,.T.); #197681=EDGE_CURVE('',#160479,#160477,#83953,.T.); #197682=EDGE_CURVE('',#160478,#160479,#83954,.T.); #197683=EDGE_CURVE('',#160480,#160478,#83955,.T.); #197684=EDGE_CURVE('',#160481,#160479,#83956,.T.); #197685=EDGE_CURVE('',#160480,#160481,#83957,.T.); #197686=EDGE_CURVE('',#160482,#160480,#83958,.T.); #197687=EDGE_CURVE('',#160483,#160481,#83959,.T.); #197688=EDGE_CURVE('',#160482,#160483,#83960,.T.); #197689=EDGE_CURVE('',#160484,#160482,#83961,.T.); #197690=EDGE_CURVE('',#160485,#160483,#83962,.T.); #197691=EDGE_CURVE('',#160484,#160485,#83963,.T.); #197692=EDGE_CURVE('',#160486,#160484,#83964,.T.); #197693=EDGE_CURVE('',#160487,#160485,#83965,.T.); #197694=EDGE_CURVE('',#160486,#160487,#83966,.T.); #197695=EDGE_CURVE('',#160488,#160486,#83967,.T.); #197696=EDGE_CURVE('',#160489,#160487,#83968,.T.); #197697=EDGE_CURVE('',#160488,#160489,#83969,.T.); #197698=EDGE_CURVE('',#160490,#160488,#83970,.T.); #197699=EDGE_CURVE('',#160491,#160489,#83971,.T.); #197700=EDGE_CURVE('',#160490,#160491,#83972,.T.); #197701=EDGE_CURVE('',#160492,#160490,#83973,.T.); #197702=EDGE_CURVE('',#160493,#160491,#83974,.T.); #197703=EDGE_CURVE('',#160492,#160493,#83975,.T.); #197704=EDGE_CURVE('',#160494,#160492,#83976,.T.); #197705=EDGE_CURVE('',#160495,#160493,#83977,.T.); #197706=EDGE_CURVE('',#160494,#160495,#83978,.T.); #197707=EDGE_CURVE('',#160496,#160494,#83979,.T.); #197708=EDGE_CURVE('',#160497,#160495,#83980,.T.); #197709=EDGE_CURVE('',#160496,#160497,#83981,.T.); #197710=EDGE_CURVE('',#160498,#160496,#83982,.T.); #197711=EDGE_CURVE('',#160499,#160497,#83983,.T.); #197712=EDGE_CURVE('',#160498,#160499,#83984,.T.); #197713=EDGE_CURVE('',#160500,#160498,#83985,.T.); #197714=EDGE_CURVE('',#160501,#160499,#83986,.T.); #197715=EDGE_CURVE('',#160500,#160501,#83987,.T.); #197716=EDGE_CURVE('',#160502,#160500,#83988,.T.); #197717=EDGE_CURVE('',#160503,#160501,#83989,.T.); #197718=EDGE_CURVE('',#160502,#160503,#83990,.T.); #197719=EDGE_CURVE('',#160504,#160502,#83991,.T.); #197720=EDGE_CURVE('',#160505,#160503,#83992,.T.); #197721=EDGE_CURVE('',#160504,#160505,#83993,.T.); #197722=EDGE_CURVE('',#160506,#160504,#83994,.T.); #197723=EDGE_CURVE('',#160507,#160505,#83995,.T.); #197724=EDGE_CURVE('',#160506,#160507,#83996,.T.); #197725=EDGE_CURVE('',#160508,#160506,#83997,.T.); #197726=EDGE_CURVE('',#160509,#160507,#83998,.T.); #197727=EDGE_CURVE('',#160508,#160509,#83999,.T.); #197728=EDGE_CURVE('',#160510,#160508,#84000,.T.); #197729=EDGE_CURVE('',#160511,#160509,#84001,.T.); #197730=EDGE_CURVE('',#160510,#160511,#84002,.T.); #197731=EDGE_CURVE('',#160512,#160510,#84003,.T.); #197732=EDGE_CURVE('',#160513,#160511,#84004,.T.); #197733=EDGE_CURVE('',#160512,#160513,#84005,.T.); #197734=EDGE_CURVE('',#160514,#160512,#84006,.T.); #197735=EDGE_CURVE('',#160515,#160513,#84007,.T.); #197736=EDGE_CURVE('',#160514,#160515,#84008,.T.); #197737=EDGE_CURVE('',#160516,#160514,#84009,.T.); #197738=EDGE_CURVE('',#160517,#160515,#84010,.T.); #197739=EDGE_CURVE('',#160516,#160517,#84011,.T.); #197740=EDGE_CURVE('',#159927,#160516,#84012,.T.); #197741=EDGE_CURVE('',#159928,#160517,#84013,.T.); #197742=EDGE_CURVE('',#160518,#160519,#84014,.T.); #197743=EDGE_CURVE('',#160519,#160520,#84015,.T.); #197744=EDGE_CURVE('',#160521,#160520,#84016,.T.); #197745=EDGE_CURVE('',#160518,#160521,#84017,.T.); #197746=EDGE_CURVE('',#160522,#160518,#84018,.T.); #197747=EDGE_CURVE('',#160523,#160521,#84019,.T.); #197748=EDGE_CURVE('',#160522,#160523,#84020,.T.); #197749=EDGE_CURVE('',#160524,#160522,#84021,.T.); #197750=EDGE_CURVE('',#160525,#160523,#84022,.T.); #197751=EDGE_CURVE('',#160524,#160525,#84023,.T.); #197752=EDGE_CURVE('',#160526,#160524,#84024,.T.); #197753=EDGE_CURVE('',#160527,#160525,#84025,.T.); #197754=EDGE_CURVE('',#160526,#160527,#84026,.T.); #197755=EDGE_CURVE('',#160528,#160526,#84027,.T.); #197756=EDGE_CURVE('',#160529,#160527,#84028,.T.); #197757=EDGE_CURVE('',#160528,#160529,#84029,.T.); #197758=EDGE_CURVE('',#160530,#160528,#84030,.T.); #197759=EDGE_CURVE('',#160531,#160529,#84031,.T.); #197760=EDGE_CURVE('',#160530,#160531,#84032,.T.); #197761=EDGE_CURVE('',#160532,#160530,#84033,.T.); #197762=EDGE_CURVE('',#160533,#160531,#84034,.T.); #197763=EDGE_CURVE('',#160532,#160533,#84035,.T.); #197764=EDGE_CURVE('',#160534,#160532,#84036,.T.); #197765=EDGE_CURVE('',#160535,#160533,#84037,.T.); #197766=EDGE_CURVE('',#160534,#160535,#84038,.T.); #197767=EDGE_CURVE('',#160536,#160534,#84039,.T.); #197768=EDGE_CURVE('',#160537,#160535,#84040,.T.); #197769=EDGE_CURVE('',#160536,#160537,#84041,.T.); #197770=EDGE_CURVE('',#160538,#160536,#84042,.T.); #197771=EDGE_CURVE('',#160539,#160537,#84043,.T.); #197772=EDGE_CURVE('',#160538,#160539,#84044,.T.); #197773=EDGE_CURVE('',#160540,#160538,#84045,.T.); #197774=EDGE_CURVE('',#160541,#160539,#84046,.T.); #197775=EDGE_CURVE('',#160540,#160541,#84047,.T.); #197776=EDGE_CURVE('',#160542,#160540,#84048,.T.); #197777=EDGE_CURVE('',#160543,#160541,#84049,.T.); #197778=EDGE_CURVE('',#160542,#160543,#84050,.T.); #197779=EDGE_CURVE('',#160544,#160542,#84051,.T.); #197780=EDGE_CURVE('',#160545,#160543,#84052,.T.); #197781=EDGE_CURVE('',#160544,#160545,#84053,.T.); #197782=EDGE_CURVE('',#160546,#160544,#84054,.T.); #197783=EDGE_CURVE('',#160547,#160545,#84055,.T.); #197784=EDGE_CURVE('',#160546,#160547,#84056,.T.); #197785=EDGE_CURVE('',#160548,#160546,#84057,.T.); #197786=EDGE_CURVE('',#160549,#160547,#84058,.T.); #197787=EDGE_CURVE('',#160548,#160549,#84059,.T.); #197788=EDGE_CURVE('',#160550,#160548,#84060,.T.); #197789=EDGE_CURVE('',#160551,#160549,#84061,.T.); #197790=EDGE_CURVE('',#160550,#160551,#84062,.T.); #197791=EDGE_CURVE('',#160552,#160550,#84063,.T.); #197792=EDGE_CURVE('',#160553,#160551,#84064,.T.); #197793=EDGE_CURVE('',#160552,#160553,#84065,.T.); #197794=EDGE_CURVE('',#160554,#160552,#84066,.T.); #197795=EDGE_CURVE('',#160555,#160553,#84067,.T.); #197796=EDGE_CURVE('',#160554,#160555,#84068,.T.); #197797=EDGE_CURVE('',#160556,#160554,#84069,.T.); #197798=EDGE_CURVE('',#160557,#160555,#84070,.T.); #197799=EDGE_CURVE('',#160556,#160557,#84071,.T.); #197800=EDGE_CURVE('',#160558,#160556,#84072,.T.); #197801=EDGE_CURVE('',#160559,#160557,#84073,.T.); #197802=EDGE_CURVE('',#160558,#160559,#84074,.T.); #197803=EDGE_CURVE('',#160519,#160558,#84075,.T.); #197804=EDGE_CURVE('',#160520,#160559,#84076,.T.); #197805=EDGE_CURVE('',#160560,#160561,#84077,.T.); #197806=EDGE_CURVE('',#160561,#160562,#84078,.T.); #197807=EDGE_CURVE('',#160563,#160562,#84079,.T.); #197808=EDGE_CURVE('',#160560,#160563,#84080,.T.); #197809=EDGE_CURVE('',#160564,#160560,#84081,.T.); #197810=EDGE_CURVE('',#160565,#160563,#84082,.T.); #197811=EDGE_CURVE('',#160564,#160565,#84083,.T.); #197812=EDGE_CURVE('',#160566,#160564,#84084,.T.); #197813=EDGE_CURVE('',#160567,#160565,#84085,.T.); #197814=EDGE_CURVE('',#160566,#160567,#84086,.T.); #197815=EDGE_CURVE('',#160568,#160566,#84087,.T.); #197816=EDGE_CURVE('',#160569,#160567,#84088,.T.); #197817=EDGE_CURVE('',#160568,#160569,#84089,.T.); #197818=EDGE_CURVE('',#160570,#160568,#84090,.T.); #197819=EDGE_CURVE('',#160571,#160569,#84091,.T.); #197820=EDGE_CURVE('',#160570,#160571,#84092,.T.); #197821=EDGE_CURVE('',#160572,#160570,#84093,.T.); #197822=EDGE_CURVE('',#160573,#160571,#84094,.T.); #197823=EDGE_CURVE('',#160572,#160573,#84095,.T.); #197824=EDGE_CURVE('',#160574,#160572,#84096,.T.); #197825=EDGE_CURVE('',#160575,#160573,#84097,.T.); #197826=EDGE_CURVE('',#160574,#160575,#84098,.T.); #197827=EDGE_CURVE('',#160576,#160574,#84099,.T.); #197828=EDGE_CURVE('',#160577,#160575,#84100,.T.); #197829=EDGE_CURVE('',#160576,#160577,#84101,.T.); #197830=EDGE_CURVE('',#160578,#160576,#84102,.T.); #197831=EDGE_CURVE('',#160579,#160577,#84103,.T.); #197832=EDGE_CURVE('',#160578,#160579,#84104,.T.); #197833=EDGE_CURVE('',#160580,#160578,#84105,.T.); #197834=EDGE_CURVE('',#160581,#160579,#84106,.T.); #197835=EDGE_CURVE('',#160580,#160581,#84107,.T.); #197836=EDGE_CURVE('',#160582,#160580,#84108,.T.); #197837=EDGE_CURVE('',#160583,#160581,#84109,.T.); #197838=EDGE_CURVE('',#160582,#160583,#84110,.T.); #197839=EDGE_CURVE('',#160584,#160582,#84111,.T.); #197840=EDGE_CURVE('',#160585,#160583,#84112,.T.); #197841=EDGE_CURVE('',#160584,#160585,#84113,.T.); #197842=EDGE_CURVE('',#160586,#160584,#84114,.T.); #197843=EDGE_CURVE('',#160587,#160585,#84115,.T.); #197844=EDGE_CURVE('',#160586,#160587,#84116,.T.); #197845=EDGE_CURVE('',#160588,#160586,#84117,.T.); #197846=EDGE_CURVE('',#160589,#160587,#84118,.T.); #197847=EDGE_CURVE('',#160588,#160589,#84119,.T.); #197848=EDGE_CURVE('',#160590,#160588,#84120,.T.); #197849=EDGE_CURVE('',#160591,#160589,#84121,.T.); #197850=EDGE_CURVE('',#160590,#160591,#84122,.T.); #197851=EDGE_CURVE('',#160592,#160590,#84123,.T.); #197852=EDGE_CURVE('',#160593,#160591,#84124,.T.); #197853=EDGE_CURVE('',#160592,#160593,#84125,.T.); #197854=EDGE_CURVE('',#160594,#160592,#84126,.T.); #197855=EDGE_CURVE('',#160595,#160593,#84127,.T.); #197856=EDGE_CURVE('',#160594,#160595,#84128,.T.); #197857=EDGE_CURVE('',#160596,#160594,#84129,.T.); #197858=EDGE_CURVE('',#160597,#160595,#84130,.T.); #197859=EDGE_CURVE('',#160596,#160597,#84131,.T.); #197860=EDGE_CURVE('',#160598,#160596,#84132,.T.); #197861=EDGE_CURVE('',#160599,#160597,#84133,.T.); #197862=EDGE_CURVE('',#160598,#160599,#84134,.T.); #197863=EDGE_CURVE('',#160600,#160598,#84135,.T.); #197864=EDGE_CURVE('',#160601,#160599,#84136,.T.); #197865=EDGE_CURVE('',#160600,#160601,#84137,.T.); #197866=EDGE_CURVE('',#160561,#160600,#84138,.T.); #197867=EDGE_CURVE('',#160562,#160601,#84139,.T.); #197868=EDGE_CURVE('',#160602,#160603,#84140,.T.); #197869=EDGE_CURVE('',#160603,#160604,#84141,.T.); #197870=EDGE_CURVE('',#160605,#160604,#84142,.T.); #197871=EDGE_CURVE('',#160602,#160605,#84143,.T.); #197872=EDGE_CURVE('',#160606,#160602,#84144,.T.); #197873=EDGE_CURVE('',#160607,#160605,#84145,.T.); #197874=EDGE_CURVE('',#160606,#160607,#84146,.T.); #197875=EDGE_CURVE('',#160608,#160606,#84147,.T.); #197876=EDGE_CURVE('',#160609,#160607,#84148,.T.); #197877=EDGE_CURVE('',#160608,#160609,#84149,.T.); #197878=EDGE_CURVE('',#160610,#160608,#84150,.T.); #197879=EDGE_CURVE('',#160611,#160609,#84151,.T.); #197880=EDGE_CURVE('',#160610,#160611,#84152,.T.); #197881=EDGE_CURVE('',#160612,#160610,#84153,.T.); #197882=EDGE_CURVE('',#160613,#160611,#84154,.T.); #197883=EDGE_CURVE('',#160612,#160613,#84155,.T.); #197884=EDGE_CURVE('',#160614,#160612,#84156,.T.); #197885=EDGE_CURVE('',#160615,#160613,#84157,.T.); #197886=EDGE_CURVE('',#160614,#160615,#84158,.T.); #197887=EDGE_CURVE('',#160616,#160614,#84159,.T.); #197888=EDGE_CURVE('',#160617,#160615,#84160,.T.); #197889=EDGE_CURVE('',#160616,#160617,#84161,.T.); #197890=EDGE_CURVE('',#160618,#160616,#84162,.T.); #197891=EDGE_CURVE('',#160619,#160617,#84163,.T.); #197892=EDGE_CURVE('',#160618,#160619,#84164,.T.); #197893=EDGE_CURVE('',#160620,#160618,#84165,.T.); #197894=EDGE_CURVE('',#160621,#160619,#84166,.T.); #197895=EDGE_CURVE('',#160620,#160621,#84167,.T.); #197896=EDGE_CURVE('',#160622,#160620,#84168,.T.); #197897=EDGE_CURVE('',#160623,#160621,#84169,.T.); #197898=EDGE_CURVE('',#160622,#160623,#84170,.T.); #197899=EDGE_CURVE('',#160624,#160622,#84171,.T.); #197900=EDGE_CURVE('',#160625,#160623,#84172,.T.); #197901=EDGE_CURVE('',#160624,#160625,#84173,.T.); #197902=EDGE_CURVE('',#160626,#160624,#84174,.T.); #197903=EDGE_CURVE('',#160627,#160625,#84175,.T.); #197904=EDGE_CURVE('',#160626,#160627,#84176,.T.); #197905=EDGE_CURVE('',#160628,#160626,#84177,.T.); #197906=EDGE_CURVE('',#160629,#160627,#84178,.T.); #197907=EDGE_CURVE('',#160628,#160629,#84179,.T.); #197908=EDGE_CURVE('',#160630,#160628,#84180,.T.); #197909=EDGE_CURVE('',#160631,#160629,#84181,.T.); #197910=EDGE_CURVE('',#160630,#160631,#84182,.T.); #197911=EDGE_CURVE('',#160632,#160630,#84183,.T.); #197912=EDGE_CURVE('',#160633,#160631,#84184,.T.); #197913=EDGE_CURVE('',#160632,#160633,#84185,.T.); #197914=EDGE_CURVE('',#160634,#160632,#84186,.T.); #197915=EDGE_CURVE('',#160635,#160633,#84187,.T.); #197916=EDGE_CURVE('',#160634,#160635,#84188,.T.); #197917=EDGE_CURVE('',#160636,#160634,#84189,.T.); #197918=EDGE_CURVE('',#160637,#160635,#84190,.T.); #197919=EDGE_CURVE('',#160636,#160637,#84191,.T.); #197920=EDGE_CURVE('',#160638,#160636,#84192,.T.); #197921=EDGE_CURVE('',#160639,#160637,#84193,.T.); #197922=EDGE_CURVE('',#160638,#160639,#84194,.T.); #197923=EDGE_CURVE('',#160603,#160638,#84195,.T.); #197924=EDGE_CURVE('',#160604,#160639,#84196,.T.); #197925=EDGE_CURVE('',#160640,#160641,#84197,.T.); #197926=EDGE_CURVE('',#160641,#160642,#84198,.T.); #197927=EDGE_CURVE('',#160643,#160642,#84199,.T.); #197928=EDGE_CURVE('',#160640,#160643,#84200,.T.); #197929=EDGE_CURVE('',#160644,#160640,#84201,.T.); #197930=EDGE_CURVE('',#160645,#160643,#84202,.T.); #197931=EDGE_CURVE('',#160644,#160645,#84203,.T.); #197932=EDGE_CURVE('',#160646,#160644,#84204,.T.); #197933=EDGE_CURVE('',#160647,#160645,#84205,.T.); #197934=EDGE_CURVE('',#160646,#160647,#84206,.T.); #197935=EDGE_CURVE('',#160648,#160646,#84207,.T.); #197936=EDGE_CURVE('',#160649,#160647,#84208,.T.); #197937=EDGE_CURVE('',#160648,#160649,#84209,.T.); #197938=EDGE_CURVE('',#160650,#160648,#84210,.T.); #197939=EDGE_CURVE('',#160651,#160649,#84211,.T.); #197940=EDGE_CURVE('',#160650,#160651,#84212,.T.); #197941=EDGE_CURVE('',#160652,#160650,#84213,.T.); #197942=EDGE_CURVE('',#160653,#160651,#84214,.T.); #197943=EDGE_CURVE('',#160652,#160653,#84215,.T.); #197944=EDGE_CURVE('',#160654,#160652,#84216,.T.); #197945=EDGE_CURVE('',#160655,#160653,#84217,.T.); #197946=EDGE_CURVE('',#160654,#160655,#84218,.T.); #197947=EDGE_CURVE('',#160656,#160654,#84219,.T.); #197948=EDGE_CURVE('',#160657,#160655,#84220,.T.); #197949=EDGE_CURVE('',#160656,#160657,#84221,.T.); #197950=EDGE_CURVE('',#160658,#160656,#84222,.T.); #197951=EDGE_CURVE('',#160659,#160657,#84223,.T.); #197952=EDGE_CURVE('',#160658,#160659,#84224,.T.); #197953=EDGE_CURVE('',#160660,#160658,#84225,.T.); #197954=EDGE_CURVE('',#160661,#160659,#84226,.T.); #197955=EDGE_CURVE('',#160660,#160661,#84227,.T.); #197956=EDGE_CURVE('',#160662,#160660,#84228,.T.); #197957=EDGE_CURVE('',#160663,#160661,#84229,.T.); #197958=EDGE_CURVE('',#160662,#160663,#84230,.T.); #197959=EDGE_CURVE('',#160664,#160662,#84231,.T.); #197960=EDGE_CURVE('',#160665,#160663,#84232,.T.); #197961=EDGE_CURVE('',#160664,#160665,#84233,.T.); #197962=EDGE_CURVE('',#160666,#160664,#84234,.T.); #197963=EDGE_CURVE('',#160667,#160665,#84235,.T.); #197964=EDGE_CURVE('',#160666,#160667,#84236,.T.); #197965=EDGE_CURVE('',#160668,#160666,#84237,.T.); #197966=EDGE_CURVE('',#160669,#160667,#84238,.T.); #197967=EDGE_CURVE('',#160668,#160669,#84239,.T.); #197968=EDGE_CURVE('',#160670,#160668,#84240,.T.); #197969=EDGE_CURVE('',#160671,#160669,#84241,.T.); #197970=EDGE_CURVE('',#160670,#160671,#84242,.T.); #197971=EDGE_CURVE('',#160672,#160670,#84243,.T.); #197972=EDGE_CURVE('',#160673,#160671,#84244,.T.); #197973=EDGE_CURVE('',#160672,#160673,#84245,.T.); #197974=EDGE_CURVE('',#160674,#160672,#84246,.T.); #197975=EDGE_CURVE('',#160675,#160673,#84247,.T.); #197976=EDGE_CURVE('',#160674,#160675,#84248,.T.); #197977=EDGE_CURVE('',#160676,#160674,#84249,.T.); #197978=EDGE_CURVE('',#160677,#160675,#84250,.T.); #197979=EDGE_CURVE('',#160676,#160677,#84251,.T.); #197980=EDGE_CURVE('',#160641,#160676,#84252,.T.); #197981=EDGE_CURVE('',#160642,#160677,#84253,.T.); #197982=EDGE_CURVE('',#160678,#160679,#84254,.T.); #197983=EDGE_CURVE('',#160679,#160680,#84255,.T.); #197984=EDGE_CURVE('',#160681,#160680,#84256,.T.); #197985=EDGE_CURVE('',#160678,#160681,#84257,.T.); #197986=EDGE_CURVE('',#160682,#160678,#84258,.T.); #197987=EDGE_CURVE('',#160683,#160681,#84259,.T.); #197988=EDGE_CURVE('',#160682,#160683,#84260,.T.); #197989=EDGE_CURVE('',#160684,#160682,#84261,.T.); #197990=EDGE_CURVE('',#160685,#160683,#84262,.T.); #197991=EDGE_CURVE('',#160684,#160685,#84263,.T.); #197992=EDGE_CURVE('',#160686,#160684,#84264,.T.); #197993=EDGE_CURVE('',#160687,#160685,#84265,.T.); #197994=EDGE_CURVE('',#160686,#160687,#84266,.T.); #197995=EDGE_CURVE('',#160688,#160686,#84267,.T.); #197996=EDGE_CURVE('',#160689,#160687,#84268,.T.); #197997=EDGE_CURVE('',#160688,#160689,#84269,.T.); #197998=EDGE_CURVE('',#160690,#160688,#84270,.T.); #197999=EDGE_CURVE('',#160691,#160689,#84271,.T.); #198000=EDGE_CURVE('',#160690,#160691,#84272,.T.); #198001=EDGE_CURVE('',#160692,#160690,#84273,.T.); #198002=EDGE_CURVE('',#160693,#160691,#84274,.T.); #198003=EDGE_CURVE('',#160692,#160693,#84275,.T.); #198004=EDGE_CURVE('',#160694,#160692,#84276,.T.); #198005=EDGE_CURVE('',#160695,#160693,#84277,.T.); #198006=EDGE_CURVE('',#160694,#160695,#84278,.T.); #198007=EDGE_CURVE('',#160696,#160694,#84279,.T.); #198008=EDGE_CURVE('',#160697,#160695,#84280,.T.); #198009=EDGE_CURVE('',#160696,#160697,#84281,.T.); #198010=EDGE_CURVE('',#160698,#160696,#84282,.T.); #198011=EDGE_CURVE('',#160699,#160697,#84283,.T.); #198012=EDGE_CURVE('',#160698,#160699,#84284,.T.); #198013=EDGE_CURVE('',#160700,#160698,#84285,.T.); #198014=EDGE_CURVE('',#160701,#160699,#84286,.T.); #198015=EDGE_CURVE('',#160700,#160701,#84287,.T.); #198016=EDGE_CURVE('',#160702,#160700,#84288,.T.); #198017=EDGE_CURVE('',#160703,#160701,#84289,.T.); #198018=EDGE_CURVE('',#160702,#160703,#84290,.T.); #198019=EDGE_CURVE('',#160704,#160702,#84291,.T.); #198020=EDGE_CURVE('',#160705,#160703,#84292,.T.); #198021=EDGE_CURVE('',#160704,#160705,#84293,.T.); #198022=EDGE_CURVE('',#160706,#160704,#84294,.T.); #198023=EDGE_CURVE('',#160707,#160705,#84295,.T.); #198024=EDGE_CURVE('',#160706,#160707,#84296,.T.); #198025=EDGE_CURVE('',#160708,#160706,#84297,.T.); #198026=EDGE_CURVE('',#160709,#160707,#84298,.T.); #198027=EDGE_CURVE('',#160708,#160709,#84299,.T.); #198028=EDGE_CURVE('',#160710,#160708,#84300,.T.); #198029=EDGE_CURVE('',#160711,#160709,#84301,.T.); #198030=EDGE_CURVE('',#160710,#160711,#84302,.T.); #198031=EDGE_CURVE('',#160712,#160710,#84303,.T.); #198032=EDGE_CURVE('',#160713,#160711,#84304,.T.); #198033=EDGE_CURVE('',#160712,#160713,#84305,.T.); #198034=EDGE_CURVE('',#160714,#160712,#84306,.T.); #198035=EDGE_CURVE('',#160715,#160713,#84307,.T.); #198036=EDGE_CURVE('',#160714,#160715,#84308,.T.); #198037=EDGE_CURVE('',#160679,#160714,#84309,.T.); #198038=EDGE_CURVE('',#160680,#160715,#84310,.T.); #198039=EDGE_CURVE('',#160716,#160717,#84311,.T.); #198040=EDGE_CURVE('',#160717,#160718,#84312,.T.); #198041=EDGE_CURVE('',#160719,#160718,#84313,.T.); #198042=EDGE_CURVE('',#160716,#160719,#84314,.T.); #198043=EDGE_CURVE('',#160720,#160716,#84315,.T.); #198044=EDGE_CURVE('',#160721,#160719,#84316,.T.); #198045=EDGE_CURVE('',#160720,#160721,#84317,.T.); #198046=EDGE_CURVE('',#160722,#160720,#84318,.T.); #198047=EDGE_CURVE('',#160723,#160721,#84319,.T.); #198048=EDGE_CURVE('',#160722,#160723,#84320,.T.); #198049=EDGE_CURVE('',#160724,#160722,#84321,.T.); #198050=EDGE_CURVE('',#160725,#160723,#84322,.T.); #198051=EDGE_CURVE('',#160724,#160725,#84323,.T.); #198052=EDGE_CURVE('',#160726,#160724,#84324,.T.); #198053=EDGE_CURVE('',#160727,#160725,#84325,.T.); #198054=EDGE_CURVE('',#160726,#160727,#84326,.T.); #198055=EDGE_CURVE('',#160728,#160726,#84327,.T.); #198056=EDGE_CURVE('',#160729,#160727,#84328,.T.); #198057=EDGE_CURVE('',#160728,#160729,#84329,.T.); #198058=EDGE_CURVE('',#160730,#160728,#84330,.T.); #198059=EDGE_CURVE('',#160731,#160729,#84331,.T.); #198060=EDGE_CURVE('',#160730,#160731,#84332,.T.); #198061=EDGE_CURVE('',#160732,#160730,#84333,.T.); #198062=EDGE_CURVE('',#160733,#160731,#84334,.T.); #198063=EDGE_CURVE('',#160732,#160733,#84335,.T.); #198064=EDGE_CURVE('',#160734,#160732,#84336,.T.); #198065=EDGE_CURVE('',#160735,#160733,#84337,.T.); #198066=EDGE_CURVE('',#160734,#160735,#84338,.T.); #198067=EDGE_CURVE('',#160736,#160734,#84339,.T.); #198068=EDGE_CURVE('',#160737,#160735,#84340,.T.); #198069=EDGE_CURVE('',#160736,#160737,#84341,.T.); #198070=EDGE_CURVE('',#160738,#160736,#84342,.T.); #198071=EDGE_CURVE('',#160739,#160737,#84343,.T.); #198072=EDGE_CURVE('',#160738,#160739,#84344,.T.); #198073=EDGE_CURVE('',#160740,#160738,#84345,.T.); #198074=EDGE_CURVE('',#160741,#160739,#84346,.T.); #198075=EDGE_CURVE('',#160740,#160741,#84347,.T.); #198076=EDGE_CURVE('',#160742,#160740,#84348,.T.); #198077=EDGE_CURVE('',#160743,#160741,#84349,.T.); #198078=EDGE_CURVE('',#160742,#160743,#84350,.T.); #198079=EDGE_CURVE('',#160744,#160742,#84351,.T.); #198080=EDGE_CURVE('',#160745,#160743,#84352,.T.); #198081=EDGE_CURVE('',#160744,#160745,#84353,.T.); #198082=EDGE_CURVE('',#160746,#160744,#84354,.T.); #198083=EDGE_CURVE('',#160747,#160745,#84355,.T.); #198084=EDGE_CURVE('',#160746,#160747,#84356,.T.); #198085=EDGE_CURVE('',#160748,#160746,#84357,.T.); #198086=EDGE_CURVE('',#160749,#160747,#84358,.T.); #198087=EDGE_CURVE('',#160748,#160749,#84359,.T.); #198088=EDGE_CURVE('',#160750,#160748,#84360,.T.); #198089=EDGE_CURVE('',#160751,#160749,#84361,.T.); #198090=EDGE_CURVE('',#160750,#160751,#84362,.T.); #198091=EDGE_CURVE('',#160752,#160750,#84363,.T.); #198092=EDGE_CURVE('',#160753,#160751,#84364,.T.); #198093=EDGE_CURVE('',#160752,#160753,#84365,.T.); #198094=EDGE_CURVE('',#160754,#160752,#84366,.T.); #198095=EDGE_CURVE('',#160755,#160753,#84367,.T.); #198096=EDGE_CURVE('',#160754,#160755,#84368,.T.); #198097=EDGE_CURVE('',#160756,#160754,#84369,.T.); #198098=EDGE_CURVE('',#160757,#160755,#84370,.T.); #198099=EDGE_CURVE('',#160756,#160757,#84371,.T.); #198100=EDGE_CURVE('',#160758,#160756,#84372,.T.); #198101=EDGE_CURVE('',#160759,#160757,#84373,.T.); #198102=EDGE_CURVE('',#160758,#160759,#84374,.T.); #198103=EDGE_CURVE('',#160760,#160758,#84375,.T.); #198104=EDGE_CURVE('',#160761,#160759,#84376,.T.); #198105=EDGE_CURVE('',#160760,#160761,#84377,.T.); #198106=EDGE_CURVE('',#160762,#160760,#84378,.T.); #198107=EDGE_CURVE('',#160763,#160761,#84379,.T.); #198108=EDGE_CURVE('',#160762,#160763,#84380,.T.); #198109=EDGE_CURVE('',#160764,#160762,#84381,.T.); #198110=EDGE_CURVE('',#160765,#160763,#84382,.T.); #198111=EDGE_CURVE('',#160764,#160765,#84383,.T.); #198112=EDGE_CURVE('',#160766,#160764,#84384,.T.); #198113=EDGE_CURVE('',#160767,#160765,#84385,.T.); #198114=EDGE_CURVE('',#160766,#160767,#84386,.T.); #198115=EDGE_CURVE('',#160768,#160766,#84387,.T.); #198116=EDGE_CURVE('',#160769,#160767,#84388,.T.); #198117=EDGE_CURVE('',#160768,#160769,#84389,.T.); #198118=EDGE_CURVE('',#160770,#160768,#84390,.T.); #198119=EDGE_CURVE('',#160771,#160769,#84391,.T.); #198120=EDGE_CURVE('',#160770,#160771,#84392,.T.); #198121=EDGE_CURVE('',#160772,#160770,#84393,.T.); #198122=EDGE_CURVE('',#160773,#160771,#84394,.T.); #198123=EDGE_CURVE('',#160772,#160773,#84395,.T.); #198124=EDGE_CURVE('',#160774,#160772,#84396,.T.); #198125=EDGE_CURVE('',#160775,#160773,#84397,.T.); #198126=EDGE_CURVE('',#160774,#160775,#84398,.T.); #198127=EDGE_CURVE('',#160776,#160774,#84399,.T.); #198128=EDGE_CURVE('',#160777,#160775,#84400,.T.); #198129=EDGE_CURVE('',#160776,#160777,#84401,.T.); #198130=EDGE_CURVE('',#160778,#160776,#84402,.T.); #198131=EDGE_CURVE('',#160779,#160777,#84403,.T.); #198132=EDGE_CURVE('',#160778,#160779,#84404,.T.); #198133=EDGE_CURVE('',#160780,#160778,#84405,.T.); #198134=EDGE_CURVE('',#160781,#160779,#84406,.T.); #198135=EDGE_CURVE('',#160780,#160781,#84407,.T.); #198136=EDGE_CURVE('',#160782,#160780,#84408,.T.); #198137=EDGE_CURVE('',#160783,#160781,#84409,.T.); #198138=EDGE_CURVE('',#160782,#160783,#84410,.T.); #198139=EDGE_CURVE('',#160784,#160782,#84411,.T.); #198140=EDGE_CURVE('',#160785,#160783,#84412,.T.); #198141=EDGE_CURVE('',#160784,#160785,#84413,.T.); #198142=EDGE_CURVE('',#160786,#160784,#84414,.T.); #198143=EDGE_CURVE('',#160787,#160785,#84415,.T.); #198144=EDGE_CURVE('',#160786,#160787,#84416,.T.); #198145=EDGE_CURVE('',#160788,#160786,#84417,.T.); #198146=EDGE_CURVE('',#160789,#160787,#84418,.T.); #198147=EDGE_CURVE('',#160788,#160789,#84419,.T.); #198148=EDGE_CURVE('',#160717,#160788,#84420,.T.); #198149=EDGE_CURVE('',#160718,#160789,#84421,.T.); #198150=EDGE_CURVE('',#160790,#160791,#84422,.T.); #198151=EDGE_CURVE('',#160791,#160792,#84423,.T.); #198152=EDGE_CURVE('',#160793,#160792,#84424,.T.); #198153=EDGE_CURVE('',#160790,#160793,#84425,.T.); #198154=EDGE_CURVE('',#160794,#160790,#84426,.T.); #198155=EDGE_CURVE('',#160795,#160793,#84427,.T.); #198156=EDGE_CURVE('',#160794,#160795,#84428,.T.); #198157=EDGE_CURVE('',#160796,#160794,#84429,.T.); #198158=EDGE_CURVE('',#160797,#160795,#84430,.T.); #198159=EDGE_CURVE('',#160796,#160797,#84431,.T.); #198160=EDGE_CURVE('',#160798,#160796,#84432,.T.); #198161=EDGE_CURVE('',#160799,#160797,#84433,.T.); #198162=EDGE_CURVE('',#160798,#160799,#84434,.T.); #198163=EDGE_CURVE('',#160800,#160798,#84435,.T.); #198164=EDGE_CURVE('',#160801,#160799,#84436,.T.); #198165=EDGE_CURVE('',#160800,#160801,#84437,.T.); #198166=EDGE_CURVE('',#160802,#160800,#84438,.T.); #198167=EDGE_CURVE('',#160803,#160801,#84439,.T.); #198168=EDGE_CURVE('',#160802,#160803,#84440,.T.); #198169=EDGE_CURVE('',#160804,#160802,#84441,.T.); #198170=EDGE_CURVE('',#160805,#160803,#84442,.T.); #198171=EDGE_CURVE('',#160804,#160805,#84443,.T.); #198172=EDGE_CURVE('',#160806,#160804,#84444,.T.); #198173=EDGE_CURVE('',#160807,#160805,#84445,.T.); #198174=EDGE_CURVE('',#160806,#160807,#84446,.T.); #198175=EDGE_CURVE('',#160808,#160806,#84447,.T.); #198176=EDGE_CURVE('',#160809,#160807,#84448,.T.); #198177=EDGE_CURVE('',#160808,#160809,#84449,.T.); #198178=EDGE_CURVE('',#160810,#160808,#84450,.T.); #198179=EDGE_CURVE('',#160811,#160809,#84451,.T.); #198180=EDGE_CURVE('',#160810,#160811,#84452,.T.); #198181=EDGE_CURVE('',#160812,#160810,#84453,.T.); #198182=EDGE_CURVE('',#160813,#160811,#84454,.T.); #198183=EDGE_CURVE('',#160812,#160813,#84455,.T.); #198184=EDGE_CURVE('',#160814,#160812,#84456,.T.); #198185=EDGE_CURVE('',#160815,#160813,#84457,.T.); #198186=EDGE_CURVE('',#160814,#160815,#84458,.T.); #198187=EDGE_CURVE('',#160816,#160814,#84459,.T.); #198188=EDGE_CURVE('',#160817,#160815,#84460,.T.); #198189=EDGE_CURVE('',#160816,#160817,#84461,.T.); #198190=EDGE_CURVE('',#160818,#160816,#84462,.T.); #198191=EDGE_CURVE('',#160819,#160817,#84463,.T.); #198192=EDGE_CURVE('',#160818,#160819,#84464,.T.); #198193=EDGE_CURVE('',#160820,#160818,#84465,.T.); #198194=EDGE_CURVE('',#160821,#160819,#84466,.T.); #198195=EDGE_CURVE('',#160820,#160821,#84467,.T.); #198196=EDGE_CURVE('',#160822,#160820,#84468,.T.); #198197=EDGE_CURVE('',#160823,#160821,#84469,.T.); #198198=EDGE_CURVE('',#160822,#160823,#84470,.T.); #198199=EDGE_CURVE('',#160824,#160822,#84471,.T.); #198200=EDGE_CURVE('',#160825,#160823,#84472,.T.); #198201=EDGE_CURVE('',#160824,#160825,#84473,.T.); #198202=EDGE_CURVE('',#160826,#160824,#84474,.T.); #198203=EDGE_CURVE('',#160827,#160825,#84475,.T.); #198204=EDGE_CURVE('',#160826,#160827,#84476,.T.); #198205=EDGE_CURVE('',#160828,#160826,#84477,.T.); #198206=EDGE_CURVE('',#160829,#160827,#84478,.T.); #198207=EDGE_CURVE('',#160828,#160829,#84479,.T.); #198208=EDGE_CURVE('',#160791,#160828,#84480,.T.); #198209=EDGE_CURVE('',#160792,#160829,#84481,.T.); #198210=EDGE_CURVE('',#160830,#160831,#84482,.T.); #198211=EDGE_CURVE('',#160831,#160832,#84483,.T.); #198212=EDGE_CURVE('',#160833,#160832,#84484,.T.); #198213=EDGE_CURVE('',#160830,#160833,#84485,.T.); #198214=EDGE_CURVE('',#160834,#160830,#84486,.T.); #198215=EDGE_CURVE('',#160835,#160833,#84487,.T.); #198216=EDGE_CURVE('',#160834,#160835,#84488,.T.); #198217=EDGE_CURVE('',#160836,#160834,#84489,.T.); #198218=EDGE_CURVE('',#160837,#160835,#84490,.T.); #198219=EDGE_CURVE('',#160836,#160837,#84491,.T.); #198220=EDGE_CURVE('',#160838,#160836,#84492,.T.); #198221=EDGE_CURVE('',#160839,#160837,#84493,.T.); #198222=EDGE_CURVE('',#160838,#160839,#84494,.T.); #198223=EDGE_CURVE('',#160840,#160838,#84495,.T.); #198224=EDGE_CURVE('',#160841,#160839,#84496,.T.); #198225=EDGE_CURVE('',#160840,#160841,#84497,.T.); #198226=EDGE_CURVE('',#160842,#160840,#84498,.T.); #198227=EDGE_CURVE('',#160843,#160841,#84499,.T.); #198228=EDGE_CURVE('',#160842,#160843,#84500,.T.); #198229=EDGE_CURVE('',#160844,#160842,#84501,.T.); #198230=EDGE_CURVE('',#160845,#160843,#84502,.T.); #198231=EDGE_CURVE('',#160844,#160845,#84503,.T.); #198232=EDGE_CURVE('',#160846,#160844,#84504,.T.); #198233=EDGE_CURVE('',#160847,#160845,#84505,.T.); #198234=EDGE_CURVE('',#160846,#160847,#84506,.T.); #198235=EDGE_CURVE('',#160848,#160846,#84507,.T.); #198236=EDGE_CURVE('',#160849,#160847,#84508,.T.); #198237=EDGE_CURVE('',#160848,#160849,#84509,.T.); #198238=EDGE_CURVE('',#160850,#160848,#84510,.T.); #198239=EDGE_CURVE('',#160851,#160849,#84511,.T.); #198240=EDGE_CURVE('',#160850,#160851,#84512,.T.); #198241=EDGE_CURVE('',#160852,#160850,#84513,.T.); #198242=EDGE_CURVE('',#160853,#160851,#84514,.T.); #198243=EDGE_CURVE('',#160852,#160853,#84515,.T.); #198244=EDGE_CURVE('',#160854,#160852,#84516,.T.); #198245=EDGE_CURVE('',#160855,#160853,#84517,.T.); #198246=EDGE_CURVE('',#160854,#160855,#84518,.T.); #198247=EDGE_CURVE('',#160856,#160854,#84519,.T.); #198248=EDGE_CURVE('',#160857,#160855,#84520,.T.); #198249=EDGE_CURVE('',#160856,#160857,#84521,.T.); #198250=EDGE_CURVE('',#160858,#160856,#84522,.T.); #198251=EDGE_CURVE('',#160859,#160857,#84523,.T.); #198252=EDGE_CURVE('',#160858,#160859,#84524,.T.); #198253=EDGE_CURVE('',#160860,#160858,#84525,.T.); #198254=EDGE_CURVE('',#160861,#160859,#84526,.T.); #198255=EDGE_CURVE('',#160860,#160861,#84527,.T.); #198256=EDGE_CURVE('',#160862,#160860,#84528,.T.); #198257=EDGE_CURVE('',#160863,#160861,#84529,.T.); #198258=EDGE_CURVE('',#160862,#160863,#84530,.T.); #198259=EDGE_CURVE('',#160864,#160862,#84531,.T.); #198260=EDGE_CURVE('',#160865,#160863,#84532,.T.); #198261=EDGE_CURVE('',#160864,#160865,#84533,.T.); #198262=EDGE_CURVE('',#160866,#160864,#84534,.T.); #198263=EDGE_CURVE('',#160867,#160865,#84535,.T.); #198264=EDGE_CURVE('',#160866,#160867,#84536,.T.); #198265=EDGE_CURVE('',#160868,#160866,#84537,.T.); #198266=EDGE_CURVE('',#160869,#160867,#84538,.T.); #198267=EDGE_CURVE('',#160868,#160869,#84539,.T.); #198268=EDGE_CURVE('',#160870,#160868,#84540,.T.); #198269=EDGE_CURVE('',#160871,#160869,#84541,.T.); #198270=EDGE_CURVE('',#160870,#160871,#84542,.T.); #198271=EDGE_CURVE('',#160872,#160870,#84543,.T.); #198272=EDGE_CURVE('',#160873,#160871,#84544,.T.); #198273=EDGE_CURVE('',#160872,#160873,#84545,.T.); #198274=EDGE_CURVE('',#160874,#160872,#84546,.T.); #198275=EDGE_CURVE('',#160875,#160873,#84547,.T.); #198276=EDGE_CURVE('',#160874,#160875,#84548,.T.); #198277=EDGE_CURVE('',#160876,#160874,#84549,.T.); #198278=EDGE_CURVE('',#160877,#160875,#84550,.T.); #198279=EDGE_CURVE('',#160876,#160877,#84551,.T.); #198280=EDGE_CURVE('',#160878,#160876,#84552,.T.); #198281=EDGE_CURVE('',#160879,#160877,#84553,.T.); #198282=EDGE_CURVE('',#160878,#160879,#84554,.T.); #198283=EDGE_CURVE('',#160880,#160878,#84555,.T.); #198284=EDGE_CURVE('',#160881,#160879,#84556,.T.); #198285=EDGE_CURVE('',#160880,#160881,#84557,.T.); #198286=EDGE_CURVE('',#160882,#160880,#84558,.T.); #198287=EDGE_CURVE('',#160883,#160881,#84559,.T.); #198288=EDGE_CURVE('',#160882,#160883,#84560,.T.); #198289=EDGE_CURVE('',#160884,#160882,#84561,.T.); #198290=EDGE_CURVE('',#160885,#160883,#84562,.T.); #198291=EDGE_CURVE('',#160884,#160885,#84563,.T.); #198292=EDGE_CURVE('',#160886,#160884,#84564,.T.); #198293=EDGE_CURVE('',#160887,#160885,#84565,.T.); #198294=EDGE_CURVE('',#160886,#160887,#84566,.T.); #198295=EDGE_CURVE('',#160888,#160886,#84567,.T.); #198296=EDGE_CURVE('',#160889,#160887,#84568,.T.); #198297=EDGE_CURVE('',#160888,#160889,#84569,.T.); #198298=EDGE_CURVE('',#160890,#160888,#84570,.T.); #198299=EDGE_CURVE('',#160891,#160889,#84571,.T.); #198300=EDGE_CURVE('',#160890,#160891,#84572,.T.); #198301=EDGE_CURVE('',#160892,#160890,#84573,.T.); #198302=EDGE_CURVE('',#160893,#160891,#84574,.T.); #198303=EDGE_CURVE('',#160892,#160893,#84575,.T.); #198304=EDGE_CURVE('',#160894,#160892,#84576,.T.); #198305=EDGE_CURVE('',#160895,#160893,#84577,.T.); #198306=EDGE_CURVE('',#160894,#160895,#84578,.T.); #198307=EDGE_CURVE('',#160896,#160894,#84579,.T.); #198308=EDGE_CURVE('',#160897,#160895,#84580,.T.); #198309=EDGE_CURVE('',#160896,#160897,#84581,.T.); #198310=EDGE_CURVE('',#160898,#160896,#84582,.T.); #198311=EDGE_CURVE('',#160899,#160897,#84583,.T.); #198312=EDGE_CURVE('',#160898,#160899,#84584,.T.); #198313=EDGE_CURVE('',#160900,#160898,#84585,.T.); #198314=EDGE_CURVE('',#160901,#160899,#84586,.T.); #198315=EDGE_CURVE('',#160900,#160901,#84587,.T.); #198316=EDGE_CURVE('',#160902,#160900,#84588,.T.); #198317=EDGE_CURVE('',#160903,#160901,#84589,.T.); #198318=EDGE_CURVE('',#160902,#160903,#84590,.T.); #198319=EDGE_CURVE('',#160904,#160902,#84591,.T.); #198320=EDGE_CURVE('',#160905,#160903,#84592,.T.); #198321=EDGE_CURVE('',#160904,#160905,#84593,.T.); #198322=EDGE_CURVE('',#160906,#160904,#84594,.T.); #198323=EDGE_CURVE('',#160907,#160905,#84595,.T.); #198324=EDGE_CURVE('',#160906,#160907,#84596,.T.); #198325=EDGE_CURVE('',#160908,#160906,#84597,.T.); #198326=EDGE_CURVE('',#160909,#160907,#84598,.T.); #198327=EDGE_CURVE('',#160908,#160909,#84599,.T.); #198328=EDGE_CURVE('',#160910,#160908,#84600,.T.); #198329=EDGE_CURVE('',#160911,#160909,#84601,.T.); #198330=EDGE_CURVE('',#160910,#160911,#84602,.T.); #198331=EDGE_CURVE('',#160912,#160910,#84603,.T.); #198332=EDGE_CURVE('',#160913,#160911,#84604,.T.); #198333=EDGE_CURVE('',#160912,#160913,#84605,.T.); #198334=EDGE_CURVE('',#160914,#160912,#84606,.T.); #198335=EDGE_CURVE('',#160915,#160913,#84607,.T.); #198336=EDGE_CURVE('',#160914,#160915,#84608,.T.); #198337=EDGE_CURVE('',#160916,#160914,#84609,.T.); #198338=EDGE_CURVE('',#160917,#160915,#84610,.T.); #198339=EDGE_CURVE('',#160916,#160917,#84611,.T.); #198340=EDGE_CURVE('',#160918,#160916,#84612,.T.); #198341=EDGE_CURVE('',#160919,#160917,#84613,.T.); #198342=EDGE_CURVE('',#160918,#160919,#84614,.T.); #198343=EDGE_CURVE('',#160920,#160918,#84615,.T.); #198344=EDGE_CURVE('',#160921,#160919,#84616,.T.); #198345=EDGE_CURVE('',#160920,#160921,#84617,.T.); #198346=EDGE_CURVE('',#160922,#160920,#84618,.T.); #198347=EDGE_CURVE('',#160923,#160921,#84619,.T.); #198348=EDGE_CURVE('',#160922,#160923,#84620,.T.); #198349=EDGE_CURVE('',#160924,#160922,#84621,.T.); #198350=EDGE_CURVE('',#160925,#160923,#84622,.T.); #198351=EDGE_CURVE('',#160924,#160925,#84623,.T.); #198352=EDGE_CURVE('',#160831,#160924,#84624,.T.); #198353=EDGE_CURVE('',#160832,#160925,#84625,.T.); #198354=EDGE_CURVE('',#160926,#160927,#84626,.T.); #198355=EDGE_CURVE('',#160927,#160928,#84627,.T.); #198356=EDGE_CURVE('',#160929,#160928,#84628,.T.); #198357=EDGE_CURVE('',#160926,#160929,#84629,.T.); #198358=EDGE_CURVE('',#160930,#160926,#84630,.T.); #198359=EDGE_CURVE('',#160931,#160929,#84631,.T.); #198360=EDGE_CURVE('',#160930,#160931,#84632,.T.); #198361=EDGE_CURVE('',#160932,#160930,#84633,.T.); #198362=EDGE_CURVE('',#160933,#160931,#84634,.T.); #198363=EDGE_CURVE('',#160932,#160933,#84635,.T.); #198364=EDGE_CURVE('',#160934,#160932,#84636,.T.); #198365=EDGE_CURVE('',#160935,#160933,#84637,.T.); #198366=EDGE_CURVE('',#160934,#160935,#84638,.T.); #198367=EDGE_CURVE('',#160936,#160934,#84639,.T.); #198368=EDGE_CURVE('',#160937,#160935,#84640,.T.); #198369=EDGE_CURVE('',#160936,#160937,#84641,.T.); #198370=EDGE_CURVE('',#160938,#160936,#84642,.T.); #198371=EDGE_CURVE('',#160939,#160937,#84643,.T.); #198372=EDGE_CURVE('',#160938,#160939,#84644,.T.); #198373=EDGE_CURVE('',#160940,#160938,#84645,.T.); #198374=EDGE_CURVE('',#160941,#160939,#84646,.T.); #198375=EDGE_CURVE('',#160940,#160941,#84647,.T.); #198376=EDGE_CURVE('',#160942,#160940,#84648,.T.); #198377=EDGE_CURVE('',#160943,#160941,#84649,.T.); #198378=EDGE_CURVE('',#160942,#160943,#84650,.T.); #198379=EDGE_CURVE('',#160944,#160942,#84651,.T.); #198380=EDGE_CURVE('',#160945,#160943,#84652,.T.); #198381=EDGE_CURVE('',#160944,#160945,#84653,.T.); #198382=EDGE_CURVE('',#160946,#160944,#84654,.T.); #198383=EDGE_CURVE('',#160947,#160945,#84655,.T.); #198384=EDGE_CURVE('',#160946,#160947,#84656,.T.); #198385=EDGE_CURVE('',#160948,#160946,#84657,.T.); #198386=EDGE_CURVE('',#160949,#160947,#84658,.T.); #198387=EDGE_CURVE('',#160948,#160949,#84659,.T.); #198388=EDGE_CURVE('',#160950,#160948,#84660,.T.); #198389=EDGE_CURVE('',#160951,#160949,#84661,.T.); #198390=EDGE_CURVE('',#160950,#160951,#84662,.T.); #198391=EDGE_CURVE('',#160952,#160950,#84663,.T.); #198392=EDGE_CURVE('',#160953,#160951,#84664,.T.); #198393=EDGE_CURVE('',#160952,#160953,#84665,.T.); #198394=EDGE_CURVE('',#160954,#160952,#84666,.T.); #198395=EDGE_CURVE('',#160955,#160953,#84667,.T.); #198396=EDGE_CURVE('',#160954,#160955,#84668,.T.); #198397=EDGE_CURVE('',#160956,#160954,#84669,.T.); #198398=EDGE_CURVE('',#160957,#160955,#84670,.T.); #198399=EDGE_CURVE('',#160956,#160957,#84671,.T.); #198400=EDGE_CURVE('',#160958,#160956,#84672,.T.); #198401=EDGE_CURVE('',#160959,#160957,#84673,.T.); #198402=EDGE_CURVE('',#160958,#160959,#84674,.T.); #198403=EDGE_CURVE('',#160960,#160958,#84675,.T.); #198404=EDGE_CURVE('',#160961,#160959,#84676,.T.); #198405=EDGE_CURVE('',#160960,#160961,#84677,.T.); #198406=EDGE_CURVE('',#160962,#160960,#84678,.T.); #198407=EDGE_CURVE('',#160963,#160961,#84679,.T.); #198408=EDGE_CURVE('',#160962,#160963,#84680,.T.); #198409=EDGE_CURVE('',#160964,#160962,#84681,.T.); #198410=EDGE_CURVE('',#160965,#160963,#84682,.T.); #198411=EDGE_CURVE('',#160964,#160965,#84683,.T.); #198412=EDGE_CURVE('',#160927,#160964,#84684,.T.); #198413=EDGE_CURVE('',#160928,#160965,#84685,.T.); #198414=EDGE_CURVE('',#160966,#160967,#84686,.T.); #198415=EDGE_CURVE('',#160967,#160968,#84687,.T.); #198416=EDGE_CURVE('',#160969,#160968,#84688,.T.); #198417=EDGE_CURVE('',#160966,#160969,#84689,.T.); #198418=EDGE_CURVE('',#160970,#160966,#84690,.T.); #198419=EDGE_CURVE('',#160971,#160969,#84691,.T.); #198420=EDGE_CURVE('',#160970,#160971,#84692,.T.); #198421=EDGE_CURVE('',#160972,#160970,#84693,.T.); #198422=EDGE_CURVE('',#160973,#160971,#84694,.T.); #198423=EDGE_CURVE('',#160972,#160973,#84695,.T.); #198424=EDGE_CURVE('',#160974,#160972,#84696,.T.); #198425=EDGE_CURVE('',#160975,#160973,#84697,.T.); #198426=EDGE_CURVE('',#160974,#160975,#84698,.T.); #198427=EDGE_CURVE('',#160976,#160974,#84699,.T.); #198428=EDGE_CURVE('',#160977,#160975,#84700,.T.); #198429=EDGE_CURVE('',#160976,#160977,#84701,.T.); #198430=EDGE_CURVE('',#160978,#160976,#84702,.T.); #198431=EDGE_CURVE('',#160979,#160977,#84703,.T.); #198432=EDGE_CURVE('',#160978,#160979,#84704,.T.); #198433=EDGE_CURVE('',#160980,#160978,#84705,.T.); #198434=EDGE_CURVE('',#160981,#160979,#84706,.T.); #198435=EDGE_CURVE('',#160980,#160981,#84707,.T.); #198436=EDGE_CURVE('',#160982,#160980,#84708,.T.); #198437=EDGE_CURVE('',#160983,#160981,#84709,.T.); #198438=EDGE_CURVE('',#160982,#160983,#84710,.T.); #198439=EDGE_CURVE('',#160984,#160982,#84711,.T.); #198440=EDGE_CURVE('',#160985,#160983,#84712,.T.); #198441=EDGE_CURVE('',#160984,#160985,#84713,.T.); #198442=EDGE_CURVE('',#160986,#160984,#84714,.T.); #198443=EDGE_CURVE('',#160987,#160985,#84715,.T.); #198444=EDGE_CURVE('',#160986,#160987,#84716,.T.); #198445=EDGE_CURVE('',#160988,#160986,#84717,.T.); #198446=EDGE_CURVE('',#160989,#160987,#84718,.T.); #198447=EDGE_CURVE('',#160988,#160989,#84719,.T.); #198448=EDGE_CURVE('',#160990,#160988,#84720,.T.); #198449=EDGE_CURVE('',#160991,#160989,#84721,.T.); #198450=EDGE_CURVE('',#160990,#160991,#84722,.T.); #198451=EDGE_CURVE('',#160992,#160990,#84723,.T.); #198452=EDGE_CURVE('',#160993,#160991,#84724,.T.); #198453=EDGE_CURVE('',#160992,#160993,#84725,.T.); #198454=EDGE_CURVE('',#160994,#160992,#84726,.T.); #198455=EDGE_CURVE('',#160995,#160993,#84727,.T.); #198456=EDGE_CURVE('',#160994,#160995,#84728,.T.); #198457=EDGE_CURVE('',#160996,#160994,#84729,.T.); #198458=EDGE_CURVE('',#160997,#160995,#84730,.T.); #198459=EDGE_CURVE('',#160996,#160997,#84731,.T.); #198460=EDGE_CURVE('',#160998,#160996,#84732,.T.); #198461=EDGE_CURVE('',#160999,#160997,#84733,.T.); #198462=EDGE_CURVE('',#160998,#160999,#84734,.T.); #198463=EDGE_CURVE('',#161000,#160998,#84735,.T.); #198464=EDGE_CURVE('',#161001,#160999,#84736,.T.); #198465=EDGE_CURVE('',#161000,#161001,#84737,.T.); #198466=EDGE_CURVE('',#161002,#161000,#84738,.T.); #198467=EDGE_CURVE('',#161003,#161001,#84739,.T.); #198468=EDGE_CURVE('',#161002,#161003,#84740,.T.); #198469=EDGE_CURVE('',#161004,#161002,#84741,.T.); #198470=EDGE_CURVE('',#161005,#161003,#84742,.T.); #198471=EDGE_CURVE('',#161004,#161005,#84743,.T.); #198472=EDGE_CURVE('',#161006,#161004,#84744,.T.); #198473=EDGE_CURVE('',#161007,#161005,#84745,.T.); #198474=EDGE_CURVE('',#161006,#161007,#84746,.T.); #198475=EDGE_CURVE('',#161008,#161006,#84747,.T.); #198476=EDGE_CURVE('',#161009,#161007,#84748,.T.); #198477=EDGE_CURVE('',#161008,#161009,#84749,.T.); #198478=EDGE_CURVE('',#161010,#161008,#84750,.T.); #198479=EDGE_CURVE('',#161011,#161009,#84751,.T.); #198480=EDGE_CURVE('',#161010,#161011,#84752,.T.); #198481=EDGE_CURVE('',#161012,#161010,#84753,.T.); #198482=EDGE_CURVE('',#161013,#161011,#84754,.T.); #198483=EDGE_CURVE('',#161012,#161013,#84755,.T.); #198484=EDGE_CURVE('',#161014,#161012,#84756,.T.); #198485=EDGE_CURVE('',#161015,#161013,#84757,.T.); #198486=EDGE_CURVE('',#161014,#161015,#84758,.T.); #198487=EDGE_CURVE('',#161016,#161014,#84759,.T.); #198488=EDGE_CURVE('',#161017,#161015,#84760,.T.); #198489=EDGE_CURVE('',#161016,#161017,#84761,.T.); #198490=EDGE_CURVE('',#161018,#161016,#84762,.T.); #198491=EDGE_CURVE('',#161019,#161017,#84763,.T.); #198492=EDGE_CURVE('',#161018,#161019,#84764,.T.); #198493=EDGE_CURVE('',#161020,#161018,#84765,.T.); #198494=EDGE_CURVE('',#161021,#161019,#84766,.T.); #198495=EDGE_CURVE('',#161020,#161021,#84767,.T.); #198496=EDGE_CURVE('',#161022,#161020,#84768,.T.); #198497=EDGE_CURVE('',#161023,#161021,#84769,.T.); #198498=EDGE_CURVE('',#161022,#161023,#84770,.T.); #198499=EDGE_CURVE('',#161024,#161022,#84771,.T.); #198500=EDGE_CURVE('',#161025,#161023,#84772,.T.); #198501=EDGE_CURVE('',#161024,#161025,#84773,.T.); #198502=EDGE_CURVE('',#161026,#161024,#84774,.T.); #198503=EDGE_CURVE('',#161027,#161025,#84775,.T.); #198504=EDGE_CURVE('',#161026,#161027,#84776,.T.); #198505=EDGE_CURVE('',#160967,#161026,#84777,.T.); #198506=EDGE_CURVE('',#160968,#161027,#84778,.T.); #198507=EDGE_CURVE('',#161028,#161029,#84779,.T.); #198508=EDGE_CURVE('',#161029,#161030,#84780,.T.); #198509=EDGE_CURVE('',#161031,#161030,#84781,.T.); #198510=EDGE_CURVE('',#161028,#161031,#84782,.T.); #198511=EDGE_CURVE('',#161032,#161028,#84783,.T.); #198512=EDGE_CURVE('',#161033,#161031,#84784,.T.); #198513=EDGE_CURVE('',#161032,#161033,#84785,.T.); #198514=EDGE_CURVE('',#161034,#161032,#84786,.T.); #198515=EDGE_CURVE('',#161035,#161033,#84787,.T.); #198516=EDGE_CURVE('',#161034,#161035,#84788,.T.); #198517=EDGE_CURVE('',#161036,#161034,#84789,.T.); #198518=EDGE_CURVE('',#161037,#161035,#84790,.T.); #198519=EDGE_CURVE('',#161036,#161037,#84791,.T.); #198520=EDGE_CURVE('',#161038,#161036,#84792,.T.); #198521=EDGE_CURVE('',#161039,#161037,#84793,.T.); #198522=EDGE_CURVE('',#161038,#161039,#84794,.T.); #198523=EDGE_CURVE('',#161040,#161038,#84795,.T.); #198524=EDGE_CURVE('',#161041,#161039,#84796,.T.); #198525=EDGE_CURVE('',#161040,#161041,#84797,.T.); #198526=EDGE_CURVE('',#161042,#161040,#84798,.T.); #198527=EDGE_CURVE('',#161043,#161041,#84799,.T.); #198528=EDGE_CURVE('',#161042,#161043,#84800,.T.); #198529=EDGE_CURVE('',#161044,#161042,#84801,.T.); #198530=EDGE_CURVE('',#161045,#161043,#84802,.T.); #198531=EDGE_CURVE('',#161044,#161045,#84803,.T.); #198532=EDGE_CURVE('',#161046,#161044,#84804,.T.); #198533=EDGE_CURVE('',#161047,#161045,#84805,.T.); #198534=EDGE_CURVE('',#161046,#161047,#84806,.T.); #198535=EDGE_CURVE('',#161048,#161046,#84807,.T.); #198536=EDGE_CURVE('',#161049,#161047,#84808,.T.); #198537=EDGE_CURVE('',#161048,#161049,#84809,.T.); #198538=EDGE_CURVE('',#161050,#161048,#84810,.T.); #198539=EDGE_CURVE('',#161051,#161049,#84811,.T.); #198540=EDGE_CURVE('',#161050,#161051,#84812,.T.); #198541=EDGE_CURVE('',#161052,#161050,#84813,.T.); #198542=EDGE_CURVE('',#161053,#161051,#84814,.T.); #198543=EDGE_CURVE('',#161052,#161053,#84815,.T.); #198544=EDGE_CURVE('',#161054,#161052,#84816,.T.); #198545=EDGE_CURVE('',#161055,#161053,#84817,.T.); #198546=EDGE_CURVE('',#161054,#161055,#84818,.T.); #198547=EDGE_CURVE('',#161056,#161054,#84819,.T.); #198548=EDGE_CURVE('',#161057,#161055,#84820,.T.); #198549=EDGE_CURVE('',#161056,#161057,#84821,.T.); #198550=EDGE_CURVE('',#161058,#161056,#84822,.T.); #198551=EDGE_CURVE('',#161059,#161057,#84823,.T.); #198552=EDGE_CURVE('',#161058,#161059,#84824,.T.); #198553=EDGE_CURVE('',#161060,#161058,#84825,.T.); #198554=EDGE_CURVE('',#161061,#161059,#84826,.T.); #198555=EDGE_CURVE('',#161060,#161061,#84827,.T.); #198556=EDGE_CURVE('',#161062,#161060,#84828,.T.); #198557=EDGE_CURVE('',#161063,#161061,#84829,.T.); #198558=EDGE_CURVE('',#161062,#161063,#84830,.T.); #198559=EDGE_CURVE('',#161064,#161062,#84831,.T.); #198560=EDGE_CURVE('',#161065,#161063,#84832,.T.); #198561=EDGE_CURVE('',#161064,#161065,#84833,.T.); #198562=EDGE_CURVE('',#161066,#161064,#84834,.T.); #198563=EDGE_CURVE('',#161067,#161065,#84835,.T.); #198564=EDGE_CURVE('',#161066,#161067,#84836,.T.); #198565=EDGE_CURVE('',#161029,#161066,#84837,.T.); #198566=EDGE_CURVE('',#161030,#161067,#84838,.T.); #198567=EDGE_CURVE('',#161068,#161069,#84839,.T.); #198568=EDGE_CURVE('',#161069,#161070,#84840,.T.); #198569=EDGE_CURVE('',#161071,#161070,#84841,.T.); #198570=EDGE_CURVE('',#161068,#161071,#84842,.T.); #198571=EDGE_CURVE('',#161072,#161068,#84843,.T.); #198572=EDGE_CURVE('',#161073,#161071,#84844,.T.); #198573=EDGE_CURVE('',#161072,#161073,#84845,.T.); #198574=EDGE_CURVE('',#161074,#161072,#84846,.T.); #198575=EDGE_CURVE('',#161075,#161073,#84847,.T.); #198576=EDGE_CURVE('',#161074,#161075,#84848,.T.); #198577=EDGE_CURVE('',#161076,#161074,#84849,.T.); #198578=EDGE_CURVE('',#161077,#161075,#84850,.T.); #198579=EDGE_CURVE('',#161076,#161077,#84851,.T.); #198580=EDGE_CURVE('',#161078,#161076,#84852,.T.); #198581=EDGE_CURVE('',#161079,#161077,#84853,.T.); #198582=EDGE_CURVE('',#161078,#161079,#84854,.T.); #198583=EDGE_CURVE('',#161080,#161078,#84855,.T.); #198584=EDGE_CURVE('',#161081,#161079,#84856,.T.); #198585=EDGE_CURVE('',#161080,#161081,#84857,.T.); #198586=EDGE_CURVE('',#161082,#161080,#84858,.T.); #198587=EDGE_CURVE('',#161083,#161081,#84859,.T.); #198588=EDGE_CURVE('',#161082,#161083,#84860,.T.); #198589=EDGE_CURVE('',#161084,#161082,#84861,.T.); #198590=EDGE_CURVE('',#161085,#161083,#84862,.T.); #198591=EDGE_CURVE('',#161084,#161085,#84863,.T.); #198592=EDGE_CURVE('',#161086,#161084,#84864,.T.); #198593=EDGE_CURVE('',#161087,#161085,#84865,.T.); #198594=EDGE_CURVE('',#161086,#161087,#84866,.T.); #198595=EDGE_CURVE('',#161088,#161086,#84867,.T.); #198596=EDGE_CURVE('',#161089,#161087,#84868,.T.); #198597=EDGE_CURVE('',#161088,#161089,#84869,.T.); #198598=EDGE_CURVE('',#161090,#161088,#84870,.T.); #198599=EDGE_CURVE('',#161091,#161089,#84871,.T.); #198600=EDGE_CURVE('',#161090,#161091,#84872,.T.); #198601=EDGE_CURVE('',#161092,#161090,#84873,.T.); #198602=EDGE_CURVE('',#161093,#161091,#84874,.T.); #198603=EDGE_CURVE('',#161092,#161093,#84875,.T.); #198604=EDGE_CURVE('',#161094,#161092,#84876,.T.); #198605=EDGE_CURVE('',#161095,#161093,#84877,.T.); #198606=EDGE_CURVE('',#161094,#161095,#84878,.T.); #198607=EDGE_CURVE('',#161096,#161094,#84879,.T.); #198608=EDGE_CURVE('',#161097,#161095,#84880,.T.); #198609=EDGE_CURVE('',#161096,#161097,#84881,.T.); #198610=EDGE_CURVE('',#161098,#161096,#84882,.T.); #198611=EDGE_CURVE('',#161099,#161097,#84883,.T.); #198612=EDGE_CURVE('',#161098,#161099,#84884,.T.); #198613=EDGE_CURVE('',#161100,#161098,#84885,.T.); #198614=EDGE_CURVE('',#161101,#161099,#84886,.T.); #198615=EDGE_CURVE('',#161100,#161101,#84887,.T.); #198616=EDGE_CURVE('',#161102,#161100,#84888,.T.); #198617=EDGE_CURVE('',#161103,#161101,#84889,.T.); #198618=EDGE_CURVE('',#161102,#161103,#84890,.T.); #198619=EDGE_CURVE('',#161104,#161102,#84891,.T.); #198620=EDGE_CURVE('',#161105,#161103,#84892,.T.); #198621=EDGE_CURVE('',#161104,#161105,#84893,.T.); #198622=EDGE_CURVE('',#161106,#161104,#84894,.T.); #198623=EDGE_CURVE('',#161107,#161105,#84895,.T.); #198624=EDGE_CURVE('',#161106,#161107,#84896,.T.); #198625=EDGE_CURVE('',#161108,#161106,#84897,.T.); #198626=EDGE_CURVE('',#161109,#161107,#84898,.T.); #198627=EDGE_CURVE('',#161108,#161109,#84899,.T.); #198628=EDGE_CURVE('',#161110,#161108,#84900,.T.); #198629=EDGE_CURVE('',#161111,#161109,#84901,.T.); #198630=EDGE_CURVE('',#161110,#161111,#84902,.T.); #198631=EDGE_CURVE('',#161112,#161110,#84903,.T.); #198632=EDGE_CURVE('',#161113,#161111,#84904,.T.); #198633=EDGE_CURVE('',#161112,#161113,#84905,.T.); #198634=EDGE_CURVE('',#161114,#161112,#84906,.T.); #198635=EDGE_CURVE('',#161115,#161113,#84907,.T.); #198636=EDGE_CURVE('',#161114,#161115,#84908,.T.); #198637=EDGE_CURVE('',#161116,#161114,#84909,.T.); #198638=EDGE_CURVE('',#161117,#161115,#84910,.T.); #198639=EDGE_CURVE('',#161116,#161117,#84911,.T.); #198640=EDGE_CURVE('',#161118,#161116,#84912,.T.); #198641=EDGE_CURVE('',#161119,#161117,#84913,.T.); #198642=EDGE_CURVE('',#161118,#161119,#84914,.T.); #198643=EDGE_CURVE('',#161120,#161118,#84915,.T.); #198644=EDGE_CURVE('',#161121,#161119,#84916,.T.); #198645=EDGE_CURVE('',#161120,#161121,#84917,.T.); #198646=EDGE_CURVE('',#161122,#161120,#84918,.T.); #198647=EDGE_CURVE('',#161123,#161121,#84919,.T.); #198648=EDGE_CURVE('',#161122,#161123,#84920,.T.); #198649=EDGE_CURVE('',#161124,#161122,#84921,.T.); #198650=EDGE_CURVE('',#161125,#161123,#84922,.T.); #198651=EDGE_CURVE('',#161124,#161125,#84923,.T.); #198652=EDGE_CURVE('',#161126,#161124,#84924,.T.); #198653=EDGE_CURVE('',#161127,#161125,#84925,.T.); #198654=EDGE_CURVE('',#161126,#161127,#84926,.T.); #198655=EDGE_CURVE('',#161128,#161126,#84927,.T.); #198656=EDGE_CURVE('',#161129,#161127,#84928,.T.); #198657=EDGE_CURVE('',#161128,#161129,#84929,.T.); #198658=EDGE_CURVE('',#161069,#161128,#84930,.T.); #198659=EDGE_CURVE('',#161070,#161129,#84931,.T.); #198660=EDGE_CURVE('',#161130,#161131,#84932,.T.); #198661=EDGE_CURVE('',#161131,#161132,#84933,.T.); #198662=EDGE_CURVE('',#161133,#161132,#84934,.T.); #198663=EDGE_CURVE('',#161130,#161133,#84935,.T.); #198664=EDGE_CURVE('',#161134,#161130,#84936,.T.); #198665=EDGE_CURVE('',#161135,#161133,#84937,.T.); #198666=EDGE_CURVE('',#161134,#161135,#84938,.T.); #198667=EDGE_CURVE('',#161136,#161134,#84939,.T.); #198668=EDGE_CURVE('',#161137,#161135,#84940,.T.); #198669=EDGE_CURVE('',#161136,#161137,#84941,.T.); #198670=EDGE_CURVE('',#161138,#161136,#84942,.T.); #198671=EDGE_CURVE('',#161139,#161137,#84943,.T.); #198672=EDGE_CURVE('',#161138,#161139,#84944,.T.); #198673=EDGE_CURVE('',#161140,#161138,#84945,.T.); #198674=EDGE_CURVE('',#161141,#161139,#84946,.T.); #198675=EDGE_CURVE('',#161140,#161141,#84947,.T.); #198676=EDGE_CURVE('',#161142,#161140,#84948,.T.); #198677=EDGE_CURVE('',#161143,#161141,#84949,.T.); #198678=EDGE_CURVE('',#161142,#161143,#84950,.T.); #198679=EDGE_CURVE('',#161144,#161142,#84951,.T.); #198680=EDGE_CURVE('',#161145,#161143,#84952,.T.); #198681=EDGE_CURVE('',#161144,#161145,#84953,.T.); #198682=EDGE_CURVE('',#161146,#161144,#84954,.T.); #198683=EDGE_CURVE('',#161147,#161145,#84955,.T.); #198684=EDGE_CURVE('',#161146,#161147,#84956,.T.); #198685=EDGE_CURVE('',#161148,#161146,#84957,.T.); #198686=EDGE_CURVE('',#161149,#161147,#84958,.T.); #198687=EDGE_CURVE('',#161148,#161149,#84959,.T.); #198688=EDGE_CURVE('',#161150,#161148,#84960,.T.); #198689=EDGE_CURVE('',#161151,#161149,#84961,.T.); #198690=EDGE_CURVE('',#161150,#161151,#84962,.T.); #198691=EDGE_CURVE('',#161152,#161150,#84963,.T.); #198692=EDGE_CURVE('',#161153,#161151,#84964,.T.); #198693=EDGE_CURVE('',#161152,#161153,#84965,.T.); #198694=EDGE_CURVE('',#161154,#161152,#84966,.T.); #198695=EDGE_CURVE('',#161155,#161153,#84967,.T.); #198696=EDGE_CURVE('',#161154,#161155,#84968,.T.); #198697=EDGE_CURVE('',#161156,#161154,#84969,.T.); #198698=EDGE_CURVE('',#161157,#161155,#84970,.T.); #198699=EDGE_CURVE('',#161156,#161157,#84971,.T.); #198700=EDGE_CURVE('',#161158,#161156,#84972,.T.); #198701=EDGE_CURVE('',#161159,#161157,#84973,.T.); #198702=EDGE_CURVE('',#161158,#161159,#84974,.T.); #198703=EDGE_CURVE('',#161160,#161158,#84975,.T.); #198704=EDGE_CURVE('',#161161,#161159,#84976,.T.); #198705=EDGE_CURVE('',#161160,#161161,#84977,.T.); #198706=EDGE_CURVE('',#161162,#161160,#84978,.T.); #198707=EDGE_CURVE('',#161163,#161161,#84979,.T.); #198708=EDGE_CURVE('',#161162,#161163,#84980,.T.); #198709=EDGE_CURVE('',#161164,#161162,#84981,.T.); #198710=EDGE_CURVE('',#161165,#161163,#84982,.T.); #198711=EDGE_CURVE('',#161164,#161165,#84983,.T.); #198712=EDGE_CURVE('',#161166,#161164,#84984,.T.); #198713=EDGE_CURVE('',#161167,#161165,#84985,.T.); #198714=EDGE_CURVE('',#161166,#161167,#84986,.T.); #198715=EDGE_CURVE('',#161168,#161166,#84987,.T.); #198716=EDGE_CURVE('',#161169,#161167,#84988,.T.); #198717=EDGE_CURVE('',#161168,#161169,#84989,.T.); #198718=EDGE_CURVE('',#161170,#161168,#84990,.T.); #198719=EDGE_CURVE('',#161171,#161169,#84991,.T.); #198720=EDGE_CURVE('',#161170,#161171,#84992,.T.); #198721=EDGE_CURVE('',#161131,#161170,#84993,.T.); #198722=EDGE_CURVE('',#161132,#161171,#84994,.T.); #198723=EDGE_CURVE('',#161172,#161173,#84995,.T.); #198724=EDGE_CURVE('',#161173,#161174,#84996,.T.); #198725=EDGE_CURVE('',#161175,#161174,#84997,.T.); #198726=EDGE_CURVE('',#161172,#161175,#84998,.T.); #198727=EDGE_CURVE('',#161176,#161172,#84999,.T.); #198728=EDGE_CURVE('',#161177,#161175,#85000,.T.); #198729=EDGE_CURVE('',#161176,#161177,#85001,.T.); #198730=EDGE_CURVE('',#161178,#161176,#85002,.T.); #198731=EDGE_CURVE('',#161179,#161177,#85003,.T.); #198732=EDGE_CURVE('',#161178,#161179,#85004,.T.); #198733=EDGE_CURVE('',#161180,#161178,#85005,.T.); #198734=EDGE_CURVE('',#161181,#161179,#85006,.T.); #198735=EDGE_CURVE('',#161180,#161181,#85007,.T.); #198736=EDGE_CURVE('',#161182,#161180,#85008,.T.); #198737=EDGE_CURVE('',#161183,#161181,#85009,.T.); #198738=EDGE_CURVE('',#161182,#161183,#85010,.T.); #198739=EDGE_CURVE('',#161184,#161182,#85011,.T.); #198740=EDGE_CURVE('',#161185,#161183,#85012,.T.); #198741=EDGE_CURVE('',#161184,#161185,#85013,.T.); #198742=EDGE_CURVE('',#161186,#161184,#85014,.T.); #198743=EDGE_CURVE('',#161187,#161185,#85015,.T.); #198744=EDGE_CURVE('',#161186,#161187,#85016,.T.); #198745=EDGE_CURVE('',#161188,#161186,#85017,.T.); #198746=EDGE_CURVE('',#161189,#161187,#85018,.T.); #198747=EDGE_CURVE('',#161188,#161189,#85019,.T.); #198748=EDGE_CURVE('',#161190,#161188,#85020,.T.); #198749=EDGE_CURVE('',#161191,#161189,#85021,.T.); #198750=EDGE_CURVE('',#161190,#161191,#85022,.T.); #198751=EDGE_CURVE('',#161192,#161190,#85023,.T.); #198752=EDGE_CURVE('',#161193,#161191,#85024,.T.); #198753=EDGE_CURVE('',#161192,#161193,#85025,.T.); #198754=EDGE_CURVE('',#161194,#161192,#85026,.T.); #198755=EDGE_CURVE('',#161195,#161193,#85027,.T.); #198756=EDGE_CURVE('',#161194,#161195,#85028,.T.); #198757=EDGE_CURVE('',#161196,#161194,#85029,.T.); #198758=EDGE_CURVE('',#161197,#161195,#85030,.T.); #198759=EDGE_CURVE('',#161196,#161197,#85031,.T.); #198760=EDGE_CURVE('',#161198,#161196,#85032,.T.); #198761=EDGE_CURVE('',#161199,#161197,#85033,.T.); #198762=EDGE_CURVE('',#161198,#161199,#85034,.T.); #198763=EDGE_CURVE('',#161200,#161198,#85035,.T.); #198764=EDGE_CURVE('',#161201,#161199,#85036,.T.); #198765=EDGE_CURVE('',#161200,#161201,#85037,.T.); #198766=EDGE_CURVE('',#161202,#161200,#85038,.T.); #198767=EDGE_CURVE('',#161203,#161201,#85039,.T.); #198768=EDGE_CURVE('',#161202,#161203,#85040,.T.); #198769=EDGE_CURVE('',#161204,#161202,#85041,.T.); #198770=EDGE_CURVE('',#161205,#161203,#85042,.T.); #198771=EDGE_CURVE('',#161204,#161205,#85043,.T.); #198772=EDGE_CURVE('',#161206,#161204,#85044,.T.); #198773=EDGE_CURVE('',#161207,#161205,#85045,.T.); #198774=EDGE_CURVE('',#161206,#161207,#85046,.T.); #198775=EDGE_CURVE('',#161208,#161206,#85047,.T.); #198776=EDGE_CURVE('',#161209,#161207,#85048,.T.); #198777=EDGE_CURVE('',#161208,#161209,#85049,.T.); #198778=EDGE_CURVE('',#161210,#161208,#85050,.T.); #198779=EDGE_CURVE('',#161211,#161209,#85051,.T.); #198780=EDGE_CURVE('',#161210,#161211,#85052,.T.); #198781=EDGE_CURVE('',#161212,#161210,#85053,.T.); #198782=EDGE_CURVE('',#161213,#161211,#85054,.T.); #198783=EDGE_CURVE('',#161212,#161213,#85055,.T.); #198784=EDGE_CURVE('',#161214,#161212,#85056,.T.); #198785=EDGE_CURVE('',#161215,#161213,#85057,.T.); #198786=EDGE_CURVE('',#161214,#161215,#85058,.T.); #198787=EDGE_CURVE('',#161216,#161214,#85059,.T.); #198788=EDGE_CURVE('',#161217,#161215,#85060,.T.); #198789=EDGE_CURVE('',#161216,#161217,#85061,.T.); #198790=EDGE_CURVE('',#161218,#161216,#85062,.T.); #198791=EDGE_CURVE('',#161219,#161217,#85063,.T.); #198792=EDGE_CURVE('',#161218,#161219,#85064,.T.); #198793=EDGE_CURVE('',#161220,#161218,#85065,.T.); #198794=EDGE_CURVE('',#161221,#161219,#85066,.T.); #198795=EDGE_CURVE('',#161220,#161221,#85067,.T.); #198796=EDGE_CURVE('',#161222,#161220,#85068,.T.); #198797=EDGE_CURVE('',#161223,#161221,#85069,.T.); #198798=EDGE_CURVE('',#161222,#161223,#85070,.T.); #198799=EDGE_CURVE('',#161224,#161222,#85071,.T.); #198800=EDGE_CURVE('',#161225,#161223,#85072,.T.); #198801=EDGE_CURVE('',#161224,#161225,#85073,.T.); #198802=EDGE_CURVE('',#161226,#161224,#85074,.T.); #198803=EDGE_CURVE('',#161227,#161225,#85075,.T.); #198804=EDGE_CURVE('',#161226,#161227,#85076,.T.); #198805=EDGE_CURVE('',#161228,#161226,#85077,.T.); #198806=EDGE_CURVE('',#161229,#161227,#85078,.T.); #198807=EDGE_CURVE('',#161228,#161229,#85079,.T.); #198808=EDGE_CURVE('',#161230,#161228,#85080,.T.); #198809=EDGE_CURVE('',#161231,#161229,#85081,.T.); #198810=EDGE_CURVE('',#161230,#161231,#85082,.T.); #198811=EDGE_CURVE('',#161232,#161230,#85083,.T.); #198812=EDGE_CURVE('',#161233,#161231,#85084,.T.); #198813=EDGE_CURVE('',#161232,#161233,#85085,.T.); #198814=EDGE_CURVE('',#161234,#161232,#85086,.T.); #198815=EDGE_CURVE('',#161235,#161233,#85087,.T.); #198816=EDGE_CURVE('',#161234,#161235,#85088,.T.); #198817=EDGE_CURVE('',#161236,#161234,#85089,.T.); #198818=EDGE_CURVE('',#161237,#161235,#85090,.T.); #198819=EDGE_CURVE('',#161236,#161237,#85091,.T.); #198820=EDGE_CURVE('',#161238,#161236,#85092,.T.); #198821=EDGE_CURVE('',#161239,#161237,#85093,.T.); #198822=EDGE_CURVE('',#161238,#161239,#85094,.T.); #198823=EDGE_CURVE('',#161240,#161238,#85095,.T.); #198824=EDGE_CURVE('',#161241,#161239,#85096,.T.); #198825=EDGE_CURVE('',#161240,#161241,#85097,.T.); #198826=EDGE_CURVE('',#161242,#161240,#85098,.T.); #198827=EDGE_CURVE('',#161243,#161241,#85099,.T.); #198828=EDGE_CURVE('',#161242,#161243,#85100,.T.); #198829=EDGE_CURVE('',#161244,#161242,#85101,.T.); #198830=EDGE_CURVE('',#161245,#161243,#85102,.T.); #198831=EDGE_CURVE('',#161244,#161245,#85103,.T.); #198832=EDGE_CURVE('',#161246,#161244,#85104,.T.); #198833=EDGE_CURVE('',#161247,#161245,#85105,.T.); #198834=EDGE_CURVE('',#161246,#161247,#85106,.T.); #198835=EDGE_CURVE('',#161248,#161246,#85107,.T.); #198836=EDGE_CURVE('',#161249,#161247,#85108,.T.); #198837=EDGE_CURVE('',#161248,#161249,#85109,.T.); #198838=EDGE_CURVE('',#161250,#161248,#85110,.T.); #198839=EDGE_CURVE('',#161251,#161249,#85111,.T.); #198840=EDGE_CURVE('',#161250,#161251,#85112,.T.); #198841=EDGE_CURVE('',#161173,#161250,#85113,.T.); #198842=EDGE_CURVE('',#161174,#161251,#85114,.T.); #198843=EDGE_CURVE('',#161252,#161253,#85115,.T.); #198844=EDGE_CURVE('',#161253,#161254,#85116,.T.); #198845=EDGE_CURVE('',#161255,#161254,#85117,.T.); #198846=EDGE_CURVE('',#161252,#161255,#85118,.T.); #198847=EDGE_CURVE('',#161256,#161252,#85119,.T.); #198848=EDGE_CURVE('',#161257,#161255,#85120,.T.); #198849=EDGE_CURVE('',#161256,#161257,#85121,.T.); #198850=EDGE_CURVE('',#161258,#161256,#85122,.T.); #198851=EDGE_CURVE('',#161259,#161257,#85123,.T.); #198852=EDGE_CURVE('',#161258,#161259,#85124,.T.); #198853=EDGE_CURVE('',#161260,#161258,#85125,.T.); #198854=EDGE_CURVE('',#161261,#161259,#85126,.T.); #198855=EDGE_CURVE('',#161260,#161261,#85127,.T.); #198856=EDGE_CURVE('',#161262,#161260,#85128,.T.); #198857=EDGE_CURVE('',#161263,#161261,#85129,.T.); #198858=EDGE_CURVE('',#161262,#161263,#85130,.T.); #198859=EDGE_CURVE('',#161264,#161262,#85131,.T.); #198860=EDGE_CURVE('',#161265,#161263,#85132,.T.); #198861=EDGE_CURVE('',#161264,#161265,#85133,.T.); #198862=EDGE_CURVE('',#161266,#161264,#85134,.T.); #198863=EDGE_CURVE('',#161267,#161265,#85135,.T.); #198864=EDGE_CURVE('',#161266,#161267,#85136,.T.); #198865=EDGE_CURVE('',#161268,#161266,#85137,.T.); #198866=EDGE_CURVE('',#161269,#161267,#85138,.T.); #198867=EDGE_CURVE('',#161268,#161269,#85139,.T.); #198868=EDGE_CURVE('',#161270,#161268,#85140,.T.); #198869=EDGE_CURVE('',#161271,#161269,#85141,.T.); #198870=EDGE_CURVE('',#161270,#161271,#85142,.T.); #198871=EDGE_CURVE('',#161272,#161270,#85143,.T.); #198872=EDGE_CURVE('',#161273,#161271,#85144,.T.); #198873=EDGE_CURVE('',#161272,#161273,#85145,.T.); #198874=EDGE_CURVE('',#161274,#161272,#85146,.T.); #198875=EDGE_CURVE('',#161275,#161273,#85147,.T.); #198876=EDGE_CURVE('',#161274,#161275,#85148,.T.); #198877=EDGE_CURVE('',#161276,#161274,#85149,.T.); #198878=EDGE_CURVE('',#161277,#161275,#85150,.T.); #198879=EDGE_CURVE('',#161276,#161277,#85151,.T.); #198880=EDGE_CURVE('',#161278,#161276,#85152,.T.); #198881=EDGE_CURVE('',#161279,#161277,#85153,.T.); #198882=EDGE_CURVE('',#161278,#161279,#85154,.T.); #198883=EDGE_CURVE('',#161280,#161278,#85155,.T.); #198884=EDGE_CURVE('',#161281,#161279,#85156,.T.); #198885=EDGE_CURVE('',#161280,#161281,#85157,.T.); #198886=EDGE_CURVE('',#161282,#161280,#85158,.T.); #198887=EDGE_CURVE('',#161283,#161281,#85159,.T.); #198888=EDGE_CURVE('',#161282,#161283,#85160,.T.); #198889=EDGE_CURVE('',#161284,#161282,#85161,.T.); #198890=EDGE_CURVE('',#161285,#161283,#85162,.T.); #198891=EDGE_CURVE('',#161284,#161285,#85163,.T.); #198892=EDGE_CURVE('',#161286,#161284,#85164,.T.); #198893=EDGE_CURVE('',#161287,#161285,#85165,.T.); #198894=EDGE_CURVE('',#161286,#161287,#85166,.T.); #198895=EDGE_CURVE('',#161288,#161286,#85167,.T.); #198896=EDGE_CURVE('',#161289,#161287,#85168,.T.); #198897=EDGE_CURVE('',#161288,#161289,#85169,.T.); #198898=EDGE_CURVE('',#161290,#161288,#85170,.T.); #198899=EDGE_CURVE('',#161291,#161289,#85171,.T.); #198900=EDGE_CURVE('',#161290,#161291,#85172,.T.); #198901=EDGE_CURVE('',#161253,#161290,#85173,.T.); #198902=EDGE_CURVE('',#161254,#161291,#85174,.T.); #198903=EDGE_CURVE('',#161292,#161293,#85175,.T.); #198904=EDGE_CURVE('',#161293,#161294,#85176,.T.); #198905=EDGE_CURVE('',#161295,#161294,#85177,.T.); #198906=EDGE_CURVE('',#161292,#161295,#85178,.T.); #198907=EDGE_CURVE('',#161296,#161292,#85179,.T.); #198908=EDGE_CURVE('',#161297,#161295,#85180,.T.); #198909=EDGE_CURVE('',#161296,#161297,#85181,.T.); #198910=EDGE_CURVE('',#161298,#161296,#85182,.T.); #198911=EDGE_CURVE('',#161299,#161297,#85183,.T.); #198912=EDGE_CURVE('',#161298,#161299,#85184,.T.); #198913=EDGE_CURVE('',#161300,#161298,#85185,.T.); #198914=EDGE_CURVE('',#161301,#161299,#85186,.T.); #198915=EDGE_CURVE('',#161300,#161301,#85187,.T.); #198916=EDGE_CURVE('',#161302,#161300,#85188,.T.); #198917=EDGE_CURVE('',#161303,#161301,#85189,.T.); #198918=EDGE_CURVE('',#161302,#161303,#85190,.T.); #198919=EDGE_CURVE('',#161304,#161302,#85191,.T.); #198920=EDGE_CURVE('',#161305,#161303,#85192,.T.); #198921=EDGE_CURVE('',#161304,#161305,#85193,.T.); #198922=EDGE_CURVE('',#161306,#161304,#85194,.T.); #198923=EDGE_CURVE('',#161307,#161305,#85195,.T.); #198924=EDGE_CURVE('',#161306,#161307,#85196,.T.); #198925=EDGE_CURVE('',#161308,#161306,#85197,.T.); #198926=EDGE_CURVE('',#161309,#161307,#85198,.T.); #198927=EDGE_CURVE('',#161308,#161309,#85199,.T.); #198928=EDGE_CURVE('',#161310,#161308,#85200,.T.); #198929=EDGE_CURVE('',#161311,#161309,#85201,.T.); #198930=EDGE_CURVE('',#161310,#161311,#85202,.T.); #198931=EDGE_CURVE('',#161312,#161310,#85203,.T.); #198932=EDGE_CURVE('',#161313,#161311,#85204,.T.); #198933=EDGE_CURVE('',#161312,#161313,#85205,.T.); #198934=EDGE_CURVE('',#161314,#161312,#85206,.T.); #198935=EDGE_CURVE('',#161315,#161313,#85207,.T.); #198936=EDGE_CURVE('',#161314,#161315,#85208,.T.); #198937=EDGE_CURVE('',#161316,#161314,#85209,.T.); #198938=EDGE_CURVE('',#161317,#161315,#85210,.T.); #198939=EDGE_CURVE('',#161316,#161317,#85211,.T.); #198940=EDGE_CURVE('',#161318,#161316,#85212,.T.); #198941=EDGE_CURVE('',#161319,#161317,#85213,.T.); #198942=EDGE_CURVE('',#161318,#161319,#85214,.T.); #198943=EDGE_CURVE('',#161320,#161318,#85215,.T.); #198944=EDGE_CURVE('',#161321,#161319,#85216,.T.); #198945=EDGE_CURVE('',#161320,#161321,#85217,.T.); #198946=EDGE_CURVE('',#161322,#161320,#85218,.T.); #198947=EDGE_CURVE('',#161323,#161321,#85219,.T.); #198948=EDGE_CURVE('',#161322,#161323,#85220,.T.); #198949=EDGE_CURVE('',#161324,#161322,#85221,.T.); #198950=EDGE_CURVE('',#161325,#161323,#85222,.T.); #198951=EDGE_CURVE('',#161324,#161325,#85223,.T.); #198952=EDGE_CURVE('',#161326,#161324,#85224,.T.); #198953=EDGE_CURVE('',#161327,#161325,#85225,.T.); #198954=EDGE_CURVE('',#161326,#161327,#85226,.T.); #198955=EDGE_CURVE('',#161328,#161326,#85227,.T.); #198956=EDGE_CURVE('',#161329,#161327,#85228,.T.); #198957=EDGE_CURVE('',#161328,#161329,#85229,.T.); #198958=EDGE_CURVE('',#161330,#161328,#85230,.T.); #198959=EDGE_CURVE('',#161331,#161329,#85231,.T.); #198960=EDGE_CURVE('',#161330,#161331,#85232,.T.); #198961=EDGE_CURVE('',#161293,#161330,#85233,.T.); #198962=EDGE_CURVE('',#161294,#161331,#85234,.T.); #198963=EDGE_CURVE('',#161332,#161333,#85235,.T.); #198964=EDGE_CURVE('',#161333,#161334,#85236,.T.); #198965=EDGE_CURVE('',#161335,#161334,#85237,.T.); #198966=EDGE_CURVE('',#161332,#161335,#85238,.T.); #198967=EDGE_CURVE('',#161336,#161332,#85239,.T.); #198968=EDGE_CURVE('',#161337,#161335,#85240,.T.); #198969=EDGE_CURVE('',#161336,#161337,#85241,.T.); #198970=EDGE_CURVE('',#161338,#161336,#85242,.T.); #198971=EDGE_CURVE('',#161339,#161337,#85243,.T.); #198972=EDGE_CURVE('',#161338,#161339,#85244,.T.); #198973=EDGE_CURVE('',#161340,#161338,#85245,.T.); #198974=EDGE_CURVE('',#161341,#161339,#85246,.T.); #198975=EDGE_CURVE('',#161340,#161341,#85247,.T.); #198976=EDGE_CURVE('',#161342,#161340,#85248,.T.); #198977=EDGE_CURVE('',#161343,#161341,#85249,.T.); #198978=EDGE_CURVE('',#161342,#161343,#85250,.T.); #198979=EDGE_CURVE('',#161344,#161342,#85251,.T.); #198980=EDGE_CURVE('',#161345,#161343,#85252,.T.); #198981=EDGE_CURVE('',#161344,#161345,#85253,.T.); #198982=EDGE_CURVE('',#161346,#161344,#85254,.T.); #198983=EDGE_CURVE('',#161347,#161345,#85255,.T.); #198984=EDGE_CURVE('',#161346,#161347,#85256,.T.); #198985=EDGE_CURVE('',#161348,#161346,#85257,.T.); #198986=EDGE_CURVE('',#161349,#161347,#85258,.T.); #198987=EDGE_CURVE('',#161348,#161349,#85259,.T.); #198988=EDGE_CURVE('',#161350,#161348,#85260,.T.); #198989=EDGE_CURVE('',#161351,#161349,#85261,.T.); #198990=EDGE_CURVE('',#161350,#161351,#85262,.T.); #198991=EDGE_CURVE('',#161352,#161350,#85263,.T.); #198992=EDGE_CURVE('',#161353,#161351,#85264,.T.); #198993=EDGE_CURVE('',#161352,#161353,#85265,.T.); #198994=EDGE_CURVE('',#161354,#161352,#85266,.T.); #198995=EDGE_CURVE('',#161355,#161353,#85267,.T.); #198996=EDGE_CURVE('',#161354,#161355,#85268,.T.); #198997=EDGE_CURVE('',#161356,#161354,#85269,.T.); #198998=EDGE_CURVE('',#161357,#161355,#85270,.T.); #198999=EDGE_CURVE('',#161356,#161357,#85271,.T.); #199000=EDGE_CURVE('',#161358,#161356,#85272,.T.); #199001=EDGE_CURVE('',#161359,#161357,#85273,.T.); #199002=EDGE_CURVE('',#161358,#161359,#85274,.T.); #199003=EDGE_CURVE('',#161360,#161358,#85275,.T.); #199004=EDGE_CURVE('',#161361,#161359,#85276,.T.); #199005=EDGE_CURVE('',#161360,#161361,#85277,.T.); #199006=EDGE_CURVE('',#161362,#161360,#85278,.T.); #199007=EDGE_CURVE('',#161363,#161361,#85279,.T.); #199008=EDGE_CURVE('',#161362,#161363,#85280,.T.); #199009=EDGE_CURVE('',#161364,#161362,#85281,.T.); #199010=EDGE_CURVE('',#161365,#161363,#85282,.T.); #199011=EDGE_CURVE('',#161364,#161365,#85283,.T.); #199012=EDGE_CURVE('',#161366,#161364,#85284,.T.); #199013=EDGE_CURVE('',#161367,#161365,#85285,.T.); #199014=EDGE_CURVE('',#161366,#161367,#85286,.T.); #199015=EDGE_CURVE('',#161368,#161366,#85287,.T.); #199016=EDGE_CURVE('',#161369,#161367,#85288,.T.); #199017=EDGE_CURVE('',#161368,#161369,#85289,.T.); #199018=EDGE_CURVE('',#161370,#161368,#85290,.T.); #199019=EDGE_CURVE('',#161371,#161369,#85291,.T.); #199020=EDGE_CURVE('',#161370,#161371,#85292,.T.); #199021=EDGE_CURVE('',#161333,#161370,#85293,.T.); #199022=EDGE_CURVE('',#161334,#161371,#85294,.T.); #199023=EDGE_CURVE('',#161372,#161373,#85295,.T.); #199024=EDGE_CURVE('',#161373,#161374,#85296,.T.); #199025=EDGE_CURVE('',#161375,#161374,#85297,.T.); #199026=EDGE_CURVE('',#161372,#161375,#85298,.T.); #199027=EDGE_CURVE('',#161376,#161372,#85299,.T.); #199028=EDGE_CURVE('',#161377,#161375,#85300,.T.); #199029=EDGE_CURVE('',#161376,#161377,#85301,.T.); #199030=EDGE_CURVE('',#161378,#161376,#85302,.T.); #199031=EDGE_CURVE('',#161379,#161377,#85303,.T.); #199032=EDGE_CURVE('',#161378,#161379,#85304,.T.); #199033=EDGE_CURVE('',#161380,#161378,#85305,.T.); #199034=EDGE_CURVE('',#161381,#161379,#85306,.T.); #199035=EDGE_CURVE('',#161380,#161381,#85307,.T.); #199036=EDGE_CURVE('',#161382,#161380,#85308,.T.); #199037=EDGE_CURVE('',#161383,#161381,#85309,.T.); #199038=EDGE_CURVE('',#161382,#161383,#85310,.T.); #199039=EDGE_CURVE('',#161384,#161382,#85311,.T.); #199040=EDGE_CURVE('',#161385,#161383,#85312,.T.); #199041=EDGE_CURVE('',#161384,#161385,#85313,.T.); #199042=EDGE_CURVE('',#161386,#161384,#85314,.T.); #199043=EDGE_CURVE('',#161387,#161385,#85315,.T.); #199044=EDGE_CURVE('',#161386,#161387,#85316,.T.); #199045=EDGE_CURVE('',#161388,#161386,#85317,.T.); #199046=EDGE_CURVE('',#161389,#161387,#85318,.T.); #199047=EDGE_CURVE('',#161388,#161389,#85319,.T.); #199048=EDGE_CURVE('',#161390,#161388,#85320,.T.); #199049=EDGE_CURVE('',#161391,#161389,#85321,.T.); #199050=EDGE_CURVE('',#161390,#161391,#85322,.T.); #199051=EDGE_CURVE('',#161392,#161390,#85323,.T.); #199052=EDGE_CURVE('',#161393,#161391,#85324,.T.); #199053=EDGE_CURVE('',#161392,#161393,#85325,.T.); #199054=EDGE_CURVE('',#161394,#161392,#85326,.T.); #199055=EDGE_CURVE('',#161395,#161393,#85327,.T.); #199056=EDGE_CURVE('',#161394,#161395,#85328,.T.); #199057=EDGE_CURVE('',#161396,#161394,#85329,.T.); #199058=EDGE_CURVE('',#161397,#161395,#85330,.T.); #199059=EDGE_CURVE('',#161396,#161397,#85331,.T.); #199060=EDGE_CURVE('',#161398,#161396,#85332,.T.); #199061=EDGE_CURVE('',#161399,#161397,#85333,.T.); #199062=EDGE_CURVE('',#161398,#161399,#85334,.T.); #199063=EDGE_CURVE('',#161400,#161398,#85335,.T.); #199064=EDGE_CURVE('',#161401,#161399,#85336,.T.); #199065=EDGE_CURVE('',#161400,#161401,#85337,.T.); #199066=EDGE_CURVE('',#161402,#161400,#85338,.T.); #199067=EDGE_CURVE('',#161403,#161401,#85339,.T.); #199068=EDGE_CURVE('',#161402,#161403,#85340,.T.); #199069=EDGE_CURVE('',#161404,#161402,#85341,.T.); #199070=EDGE_CURVE('',#161405,#161403,#85342,.T.); #199071=EDGE_CURVE('',#161404,#161405,#85343,.T.); #199072=EDGE_CURVE('',#161406,#161404,#85344,.T.); #199073=EDGE_CURVE('',#161407,#161405,#85345,.T.); #199074=EDGE_CURVE('',#161406,#161407,#85346,.T.); #199075=EDGE_CURVE('',#161408,#161406,#85347,.T.); #199076=EDGE_CURVE('',#161409,#161407,#85348,.T.); #199077=EDGE_CURVE('',#161408,#161409,#85349,.T.); #199078=EDGE_CURVE('',#161410,#161408,#85350,.T.); #199079=EDGE_CURVE('',#161411,#161409,#85351,.T.); #199080=EDGE_CURVE('',#161410,#161411,#85352,.T.); #199081=EDGE_CURVE('',#161412,#161410,#85353,.T.); #199082=EDGE_CURVE('',#161413,#161411,#85354,.T.); #199083=EDGE_CURVE('',#161412,#161413,#85355,.T.); #199084=EDGE_CURVE('',#161414,#161412,#85356,.T.); #199085=EDGE_CURVE('',#161415,#161413,#85357,.T.); #199086=EDGE_CURVE('',#161414,#161415,#85358,.T.); #199087=EDGE_CURVE('',#161416,#161414,#85359,.T.); #199088=EDGE_CURVE('',#161417,#161415,#85360,.T.); #199089=EDGE_CURVE('',#161416,#161417,#85361,.T.); #199090=EDGE_CURVE('',#161418,#161416,#85362,.T.); #199091=EDGE_CURVE('',#161419,#161417,#85363,.T.); #199092=EDGE_CURVE('',#161418,#161419,#85364,.T.); #199093=EDGE_CURVE('',#161420,#161418,#85365,.T.); #199094=EDGE_CURVE('',#161421,#161419,#85366,.T.); #199095=EDGE_CURVE('',#161420,#161421,#85367,.T.); #199096=EDGE_CURVE('',#161422,#161420,#85368,.T.); #199097=EDGE_CURVE('',#161423,#161421,#85369,.T.); #199098=EDGE_CURVE('',#161422,#161423,#85370,.T.); #199099=EDGE_CURVE('',#161424,#161422,#85371,.T.); #199100=EDGE_CURVE('',#161425,#161423,#85372,.T.); #199101=EDGE_CURVE('',#161424,#161425,#85373,.T.); #199102=EDGE_CURVE('',#161426,#161424,#85374,.T.); #199103=EDGE_CURVE('',#161427,#161425,#85375,.T.); #199104=EDGE_CURVE('',#161426,#161427,#85376,.T.); #199105=EDGE_CURVE('',#161428,#161426,#85377,.T.); #199106=EDGE_CURVE('',#161429,#161427,#85378,.T.); #199107=EDGE_CURVE('',#161428,#161429,#85379,.T.); #199108=EDGE_CURVE('',#161430,#161428,#85380,.T.); #199109=EDGE_CURVE('',#161431,#161429,#85381,.T.); #199110=EDGE_CURVE('',#161430,#161431,#85382,.T.); #199111=EDGE_CURVE('',#161432,#161430,#85383,.T.); #199112=EDGE_CURVE('',#161433,#161431,#85384,.T.); #199113=EDGE_CURVE('',#161432,#161433,#85385,.T.); #199114=EDGE_CURVE('',#161434,#161432,#85386,.T.); #199115=EDGE_CURVE('',#161435,#161433,#85387,.T.); #199116=EDGE_CURVE('',#161434,#161435,#85388,.T.); #199117=EDGE_CURVE('',#161436,#161434,#85389,.T.); #199118=EDGE_CURVE('',#161437,#161435,#85390,.T.); #199119=EDGE_CURVE('',#161436,#161437,#85391,.T.); #199120=EDGE_CURVE('',#161438,#161436,#85392,.T.); #199121=EDGE_CURVE('',#161439,#161437,#85393,.T.); #199122=EDGE_CURVE('',#161438,#161439,#85394,.T.); #199123=EDGE_CURVE('',#161440,#161438,#85395,.T.); #199124=EDGE_CURVE('',#161441,#161439,#85396,.T.); #199125=EDGE_CURVE('',#161440,#161441,#85397,.T.); #199126=EDGE_CURVE('',#161442,#161440,#85398,.T.); #199127=EDGE_CURVE('',#161443,#161441,#85399,.T.); #199128=EDGE_CURVE('',#161442,#161443,#85400,.T.); #199129=EDGE_CURVE('',#161444,#161442,#85401,.T.); #199130=EDGE_CURVE('',#161445,#161443,#85402,.T.); #199131=EDGE_CURVE('',#161444,#161445,#85403,.T.); #199132=EDGE_CURVE('',#161446,#161444,#85404,.T.); #199133=EDGE_CURVE('',#161447,#161445,#85405,.T.); #199134=EDGE_CURVE('',#161446,#161447,#85406,.T.); #199135=EDGE_CURVE('',#161448,#161446,#85407,.T.); #199136=EDGE_CURVE('',#161449,#161447,#85408,.T.); #199137=EDGE_CURVE('',#161448,#161449,#85409,.T.); #199138=EDGE_CURVE('',#161450,#161448,#85410,.T.); #199139=EDGE_CURVE('',#161451,#161449,#85411,.T.); #199140=EDGE_CURVE('',#161450,#161451,#85412,.T.); #199141=EDGE_CURVE('',#161373,#161450,#85413,.T.); #199142=EDGE_CURVE('',#161374,#161451,#85414,.T.); #199143=EDGE_CURVE('',#161452,#161452,#67179,.T.); #199144=EDGE_CURVE('',#161452,#161453,#85415,.T.); #199145=EDGE_CURVE('',#161453,#161453,#67180,.T.); #199146=EDGE_CURVE('',#161454,#161455,#85416,.T.); #199147=EDGE_CURVE('',#161456,#161454,#85417,.T.); #199148=EDGE_CURVE('',#161457,#161456,#85418,.T.); #199149=EDGE_CURVE('',#161457,#161455,#85419,.T.); #199150=EDGE_CURVE('',#161455,#161458,#67181,.T.); #199151=EDGE_CURVE('',#161459,#161457,#67182,.T.); #199152=EDGE_CURVE('',#161459,#161458,#85420,.T.); #199153=EDGE_CURVE('',#161458,#161460,#85421,.T.); #199154=EDGE_CURVE('',#161461,#161459,#85422,.T.); #199155=EDGE_CURVE('',#161461,#161460,#85423,.T.); #199156=EDGE_CURVE('',#161460,#161454,#67183,.T.); #199157=EDGE_CURVE('',#161456,#161461,#67184,.T.); #199158=EDGE_CURVE('',#161462,#161463,#85424,.T.); #199159=EDGE_CURVE('',#161463,#161464,#85425,.T.); #199160=EDGE_CURVE('',#161465,#161464,#85426,.T.); #199161=EDGE_CURVE('',#161462,#161465,#85427,.T.); #199162=EDGE_CURVE('',#161466,#161462,#85428,.T.); #199163=EDGE_CURVE('',#161467,#161465,#85429,.T.); #199164=EDGE_CURVE('',#161466,#161467,#85430,.T.); #199165=EDGE_CURVE('',#161468,#161466,#85431,.T.); #199166=EDGE_CURVE('',#161469,#161467,#85432,.T.); #199167=EDGE_CURVE('',#161468,#161469,#85433,.T.); #199168=EDGE_CURVE('',#161470,#161468,#85434,.T.); #199169=EDGE_CURVE('',#161471,#161469,#85435,.T.); #199170=EDGE_CURVE('',#161470,#161471,#85436,.T.); #199171=EDGE_CURVE('',#161472,#161470,#85437,.T.); #199172=EDGE_CURVE('',#161473,#161471,#85438,.T.); #199173=EDGE_CURVE('',#161472,#161473,#85439,.T.); #199174=EDGE_CURVE('',#161474,#161472,#85440,.T.); #199175=EDGE_CURVE('',#161475,#161473,#85441,.T.); #199176=EDGE_CURVE('',#161474,#161475,#85442,.T.); #199177=EDGE_CURVE('',#161476,#161474,#85443,.T.); #199178=EDGE_CURVE('',#161477,#161475,#85444,.T.); #199179=EDGE_CURVE('',#161476,#161477,#85445,.T.); #199180=EDGE_CURVE('',#161478,#161476,#85446,.T.); #199181=EDGE_CURVE('',#161479,#161477,#85447,.T.); #199182=EDGE_CURVE('',#161478,#161479,#85448,.T.); #199183=EDGE_CURVE('',#161480,#161478,#85449,.T.); #199184=EDGE_CURVE('',#161481,#161479,#85450,.T.); #199185=EDGE_CURVE('',#161480,#161481,#85451,.T.); #199186=EDGE_CURVE('',#161482,#161480,#85452,.T.); #199187=EDGE_CURVE('',#161483,#161481,#85453,.T.); #199188=EDGE_CURVE('',#161482,#161483,#85454,.T.); #199189=EDGE_CURVE('',#161484,#161482,#85455,.T.); #199190=EDGE_CURVE('',#161485,#161483,#85456,.T.); #199191=EDGE_CURVE('',#161484,#161485,#85457,.T.); #199192=EDGE_CURVE('',#161486,#161484,#85458,.T.); #199193=EDGE_CURVE('',#161487,#161485,#85459,.T.); #199194=EDGE_CURVE('',#161486,#161487,#85460,.T.); #199195=EDGE_CURVE('',#161488,#161486,#85461,.T.); #199196=EDGE_CURVE('',#161489,#161487,#85462,.T.); #199197=EDGE_CURVE('',#161488,#161489,#85463,.T.); #199198=EDGE_CURVE('',#161490,#161488,#85464,.T.); #199199=EDGE_CURVE('',#161491,#161489,#85465,.T.); #199200=EDGE_CURVE('',#161490,#161491,#85466,.T.); #199201=EDGE_CURVE('',#161492,#161490,#85467,.T.); #199202=EDGE_CURVE('',#161493,#161491,#85468,.T.); #199203=EDGE_CURVE('',#161492,#161493,#85469,.T.); #199204=EDGE_CURVE('',#161494,#161492,#85470,.T.); #199205=EDGE_CURVE('',#161495,#161493,#85471,.T.); #199206=EDGE_CURVE('',#161494,#161495,#85472,.T.); #199207=EDGE_CURVE('',#161496,#161494,#85473,.T.); #199208=EDGE_CURVE('',#161497,#161495,#85474,.T.); #199209=EDGE_CURVE('',#161496,#161497,#85475,.T.); #199210=EDGE_CURVE('',#161498,#161496,#85476,.T.); #199211=EDGE_CURVE('',#161499,#161497,#85477,.T.); #199212=EDGE_CURVE('',#161498,#161499,#85478,.T.); #199213=EDGE_CURVE('',#161500,#161498,#85479,.T.); #199214=EDGE_CURVE('',#161501,#161499,#85480,.T.); #199215=EDGE_CURVE('',#161500,#161501,#85481,.T.); #199216=EDGE_CURVE('',#161502,#161500,#85482,.T.); #199217=EDGE_CURVE('',#161503,#161501,#85483,.T.); #199218=EDGE_CURVE('',#161502,#161503,#85484,.T.); #199219=EDGE_CURVE('',#161504,#161502,#85485,.T.); #199220=EDGE_CURVE('',#161505,#161503,#85486,.T.); #199221=EDGE_CURVE('',#161504,#161505,#85487,.T.); #199222=EDGE_CURVE('',#161506,#161504,#85488,.T.); #199223=EDGE_CURVE('',#161507,#161505,#85489,.T.); #199224=EDGE_CURVE('',#161506,#161507,#85490,.T.); #199225=EDGE_CURVE('',#161508,#161506,#85491,.T.); #199226=EDGE_CURVE('',#161509,#161507,#85492,.T.); #199227=EDGE_CURVE('',#161508,#161509,#85493,.T.); #199228=EDGE_CURVE('',#161510,#161508,#85494,.T.); #199229=EDGE_CURVE('',#161511,#161509,#85495,.T.); #199230=EDGE_CURVE('',#161510,#161511,#85496,.T.); #199231=EDGE_CURVE('',#161512,#161510,#85497,.T.); #199232=EDGE_CURVE('',#161513,#161511,#85498,.T.); #199233=EDGE_CURVE('',#161512,#161513,#85499,.T.); #199234=EDGE_CURVE('',#161514,#161512,#85500,.T.); #199235=EDGE_CURVE('',#161515,#161513,#85501,.T.); #199236=EDGE_CURVE('',#161514,#161515,#85502,.T.); #199237=EDGE_CURVE('',#161516,#161514,#85503,.T.); #199238=EDGE_CURVE('',#161517,#161515,#85504,.T.); #199239=EDGE_CURVE('',#161516,#161517,#85505,.T.); #199240=EDGE_CURVE('',#161518,#161516,#85506,.T.); #199241=EDGE_CURVE('',#161519,#161517,#85507,.T.); #199242=EDGE_CURVE('',#161518,#161519,#85508,.T.); #199243=EDGE_CURVE('',#161520,#161518,#85509,.T.); #199244=EDGE_CURVE('',#161521,#161519,#85510,.T.); #199245=EDGE_CURVE('',#161520,#161521,#85511,.T.); #199246=EDGE_CURVE('',#161522,#161520,#85512,.T.); #199247=EDGE_CURVE('',#161523,#161521,#85513,.T.); #199248=EDGE_CURVE('',#161522,#161523,#85514,.T.); #199249=EDGE_CURVE('',#161524,#161522,#85515,.T.); #199250=EDGE_CURVE('',#161525,#161523,#85516,.T.); #199251=EDGE_CURVE('',#161524,#161525,#85517,.T.); #199252=EDGE_CURVE('',#161526,#161524,#85518,.T.); #199253=EDGE_CURVE('',#161527,#161525,#85519,.T.); #199254=EDGE_CURVE('',#161526,#161527,#85520,.T.); #199255=EDGE_CURVE('',#161528,#161526,#85521,.T.); #199256=EDGE_CURVE('',#161529,#161527,#85522,.T.); #199257=EDGE_CURVE('',#161528,#161529,#85523,.T.); #199258=EDGE_CURVE('',#161530,#161528,#85524,.T.); #199259=EDGE_CURVE('',#161531,#161529,#85525,.T.); #199260=EDGE_CURVE('',#161530,#161531,#85526,.T.); #199261=EDGE_CURVE('',#161532,#161530,#85527,.T.); #199262=EDGE_CURVE('',#161533,#161531,#85528,.T.); #199263=EDGE_CURVE('',#161532,#161533,#85529,.T.); #199264=EDGE_CURVE('',#161534,#161532,#85530,.T.); #199265=EDGE_CURVE('',#161535,#161533,#85531,.T.); #199266=EDGE_CURVE('',#161534,#161535,#85532,.T.); #199267=EDGE_CURVE('',#161536,#161534,#85533,.T.); #199268=EDGE_CURVE('',#161537,#161535,#85534,.T.); #199269=EDGE_CURVE('',#161536,#161537,#85535,.T.); #199270=EDGE_CURVE('',#161538,#161536,#85536,.T.); #199271=EDGE_CURVE('',#161539,#161537,#85537,.T.); #199272=EDGE_CURVE('',#161538,#161539,#85538,.T.); #199273=EDGE_CURVE('',#161540,#161538,#85539,.T.); #199274=EDGE_CURVE('',#161541,#161539,#85540,.T.); #199275=EDGE_CURVE('',#161540,#161541,#85541,.T.); #199276=EDGE_CURVE('',#161542,#161540,#85542,.T.); #199277=EDGE_CURVE('',#161543,#161541,#85543,.T.); #199278=EDGE_CURVE('',#161542,#161543,#85544,.T.); #199279=EDGE_CURVE('',#161544,#161542,#85545,.T.); #199280=EDGE_CURVE('',#161545,#161543,#85546,.T.); #199281=EDGE_CURVE('',#161544,#161545,#85547,.T.); #199282=EDGE_CURVE('',#161546,#161544,#85548,.T.); #199283=EDGE_CURVE('',#161547,#161545,#85549,.T.); #199284=EDGE_CURVE('',#161546,#161547,#85550,.T.); #199285=EDGE_CURVE('',#161548,#161546,#85551,.T.); #199286=EDGE_CURVE('',#161549,#161547,#85552,.T.); #199287=EDGE_CURVE('',#161548,#161549,#85553,.T.); #199288=EDGE_CURVE('',#161550,#161548,#85554,.T.); #199289=EDGE_CURVE('',#161551,#161549,#85555,.T.); #199290=EDGE_CURVE('',#161550,#161551,#85556,.T.); #199291=EDGE_CURVE('',#161552,#161550,#85557,.T.); #199292=EDGE_CURVE('',#161553,#161551,#85558,.T.); #199293=EDGE_CURVE('',#161552,#161553,#85559,.T.); #199294=EDGE_CURVE('',#161554,#161552,#85560,.T.); #199295=EDGE_CURVE('',#161555,#161553,#85561,.T.); #199296=EDGE_CURVE('',#161554,#161555,#85562,.T.); #199297=EDGE_CURVE('',#161556,#161554,#85563,.T.); #199298=EDGE_CURVE('',#161557,#161555,#85564,.T.); #199299=EDGE_CURVE('',#161556,#161557,#85565,.T.); #199300=EDGE_CURVE('',#161558,#161556,#85566,.T.); #199301=EDGE_CURVE('',#161559,#161557,#85567,.T.); #199302=EDGE_CURVE('',#161558,#161559,#85568,.T.); #199303=EDGE_CURVE('',#161560,#161558,#85569,.T.); #199304=EDGE_CURVE('',#161561,#161559,#85570,.T.); #199305=EDGE_CURVE('',#161560,#161561,#85571,.T.); #199306=EDGE_CURVE('',#161562,#161560,#85572,.T.); #199307=EDGE_CURVE('',#161563,#161561,#85573,.T.); #199308=EDGE_CURVE('',#161562,#161563,#85574,.T.); #199309=EDGE_CURVE('',#161564,#161562,#85575,.T.); #199310=EDGE_CURVE('',#161565,#161563,#85576,.T.); #199311=EDGE_CURVE('',#161564,#161565,#85577,.T.); #199312=EDGE_CURVE('',#161566,#161564,#85578,.T.); #199313=EDGE_CURVE('',#161567,#161565,#85579,.T.); #199314=EDGE_CURVE('',#161566,#161567,#85580,.T.); #199315=EDGE_CURVE('',#161568,#161566,#85581,.T.); #199316=EDGE_CURVE('',#161569,#161567,#85582,.T.); #199317=EDGE_CURVE('',#161568,#161569,#85583,.T.); #199318=EDGE_CURVE('',#161570,#161568,#85584,.T.); #199319=EDGE_CURVE('',#161571,#161569,#85585,.T.); #199320=EDGE_CURVE('',#161570,#161571,#85586,.T.); #199321=EDGE_CURVE('',#161572,#161570,#85587,.T.); #199322=EDGE_CURVE('',#161573,#161571,#85588,.T.); #199323=EDGE_CURVE('',#161572,#161573,#85589,.T.); #199324=EDGE_CURVE('',#161574,#161572,#85590,.T.); #199325=EDGE_CURVE('',#161575,#161573,#85591,.T.); #199326=EDGE_CURVE('',#161574,#161575,#85592,.T.); #199327=EDGE_CURVE('',#161576,#161574,#85593,.T.); #199328=EDGE_CURVE('',#161577,#161575,#85594,.T.); #199329=EDGE_CURVE('',#161576,#161577,#85595,.T.); #199330=EDGE_CURVE('',#161578,#161576,#85596,.T.); #199331=EDGE_CURVE('',#161579,#161577,#85597,.T.); #199332=EDGE_CURVE('',#161578,#161579,#85598,.T.); #199333=EDGE_CURVE('',#161580,#161578,#85599,.T.); #199334=EDGE_CURVE('',#161581,#161579,#85600,.T.); #199335=EDGE_CURVE('',#161580,#161581,#85601,.T.); #199336=EDGE_CURVE('',#161582,#161580,#85602,.T.); #199337=EDGE_CURVE('',#161583,#161581,#85603,.T.); #199338=EDGE_CURVE('',#161582,#161583,#85604,.T.); #199339=EDGE_CURVE('',#161584,#161582,#85605,.T.); #199340=EDGE_CURVE('',#161585,#161583,#85606,.T.); #199341=EDGE_CURVE('',#161584,#161585,#85607,.T.); #199342=EDGE_CURVE('',#161586,#161584,#85608,.T.); #199343=EDGE_CURVE('',#161587,#161585,#85609,.T.); #199344=EDGE_CURVE('',#161586,#161587,#85610,.T.); #199345=EDGE_CURVE('',#161588,#161586,#85611,.T.); #199346=EDGE_CURVE('',#161589,#161587,#85612,.T.); #199347=EDGE_CURVE('',#161588,#161589,#85613,.T.); #199348=EDGE_CURVE('',#161590,#161588,#85614,.T.); #199349=EDGE_CURVE('',#161591,#161589,#85615,.T.); #199350=EDGE_CURVE('',#161590,#161591,#85616,.T.); #199351=EDGE_CURVE('',#161592,#161590,#85617,.T.); #199352=EDGE_CURVE('',#161593,#161591,#85618,.T.); #199353=EDGE_CURVE('',#161592,#161593,#85619,.T.); #199354=EDGE_CURVE('',#161594,#161592,#85620,.T.); #199355=EDGE_CURVE('',#161595,#161593,#85621,.T.); #199356=EDGE_CURVE('',#161594,#161595,#85622,.T.); #199357=EDGE_CURVE('',#161596,#161594,#85623,.T.); #199358=EDGE_CURVE('',#161597,#161595,#85624,.T.); #199359=EDGE_CURVE('',#161596,#161597,#85625,.T.); #199360=EDGE_CURVE('',#161598,#161596,#85626,.T.); #199361=EDGE_CURVE('',#161599,#161597,#85627,.T.); #199362=EDGE_CURVE('',#161598,#161599,#85628,.T.); #199363=EDGE_CURVE('',#161600,#161598,#85629,.T.); #199364=EDGE_CURVE('',#161601,#161599,#85630,.T.); #199365=EDGE_CURVE('',#161600,#161601,#85631,.T.); #199366=EDGE_CURVE('',#161602,#161600,#85632,.T.); #199367=EDGE_CURVE('',#161603,#161601,#85633,.T.); #199368=EDGE_CURVE('',#161602,#161603,#85634,.T.); #199369=EDGE_CURVE('',#161604,#161602,#85635,.T.); #199370=EDGE_CURVE('',#161605,#161603,#85636,.T.); #199371=EDGE_CURVE('',#161604,#161605,#85637,.T.); #199372=EDGE_CURVE('',#161606,#161604,#85638,.T.); #199373=EDGE_CURVE('',#161607,#161605,#85639,.T.); #199374=EDGE_CURVE('',#161606,#161607,#85640,.T.); #199375=EDGE_CURVE('',#161608,#161606,#85641,.T.); #199376=EDGE_CURVE('',#161609,#161607,#85642,.T.); #199377=EDGE_CURVE('',#161608,#161609,#85643,.T.); #199378=EDGE_CURVE('',#161610,#161608,#85644,.T.); #199379=EDGE_CURVE('',#161611,#161609,#85645,.T.); #199380=EDGE_CURVE('',#161610,#161611,#85646,.T.); #199381=EDGE_CURVE('',#161612,#161610,#85647,.T.); #199382=EDGE_CURVE('',#161613,#161611,#85648,.T.); #199383=EDGE_CURVE('',#161612,#161613,#85649,.T.); #199384=EDGE_CURVE('',#161614,#161612,#85650,.T.); #199385=EDGE_CURVE('',#161615,#161613,#85651,.T.); #199386=EDGE_CURVE('',#161614,#161615,#85652,.T.); #199387=EDGE_CURVE('',#161616,#161614,#85653,.T.); #199388=EDGE_CURVE('',#161617,#161615,#85654,.T.); #199389=EDGE_CURVE('',#161616,#161617,#85655,.T.); #199390=EDGE_CURVE('',#161618,#161616,#85656,.T.); #199391=EDGE_CURVE('',#161619,#161617,#85657,.T.); #199392=EDGE_CURVE('',#161618,#161619,#85658,.T.); #199393=EDGE_CURVE('',#161620,#161618,#85659,.T.); #199394=EDGE_CURVE('',#161621,#161619,#85660,.T.); #199395=EDGE_CURVE('',#161620,#161621,#85661,.T.); #199396=EDGE_CURVE('',#161622,#161620,#85662,.T.); #199397=EDGE_CURVE('',#161623,#161621,#85663,.T.); #199398=EDGE_CURVE('',#161622,#161623,#85664,.T.); #199399=EDGE_CURVE('',#161624,#161622,#85665,.T.); #199400=EDGE_CURVE('',#161625,#161623,#85666,.T.); #199401=EDGE_CURVE('',#161624,#161625,#85667,.T.); #199402=EDGE_CURVE('',#161626,#161624,#85668,.T.); #199403=EDGE_CURVE('',#161627,#161625,#85669,.T.); #199404=EDGE_CURVE('',#161626,#161627,#85670,.T.); #199405=EDGE_CURVE('',#161628,#161626,#85671,.T.); #199406=EDGE_CURVE('',#161629,#161627,#85672,.T.); #199407=EDGE_CURVE('',#161628,#161629,#85673,.T.); #199408=EDGE_CURVE('',#161630,#161628,#85674,.T.); #199409=EDGE_CURVE('',#161631,#161629,#85675,.T.); #199410=EDGE_CURVE('',#161630,#161631,#85676,.T.); #199411=EDGE_CURVE('',#161632,#161630,#85677,.T.); #199412=EDGE_CURVE('',#161633,#161631,#85678,.T.); #199413=EDGE_CURVE('',#161632,#161633,#85679,.T.); #199414=EDGE_CURVE('',#161634,#161632,#85680,.T.); #199415=EDGE_CURVE('',#161635,#161633,#85681,.T.); #199416=EDGE_CURVE('',#161634,#161635,#85682,.T.); #199417=EDGE_CURVE('',#161636,#161634,#85683,.T.); #199418=EDGE_CURVE('',#161637,#161635,#85684,.T.); #199419=EDGE_CURVE('',#161636,#161637,#85685,.T.); #199420=EDGE_CURVE('',#161638,#161636,#85686,.T.); #199421=EDGE_CURVE('',#161639,#161637,#85687,.T.); #199422=EDGE_CURVE('',#161638,#161639,#85688,.T.); #199423=EDGE_CURVE('',#161640,#161638,#85689,.T.); #199424=EDGE_CURVE('',#161641,#161639,#85690,.T.); #199425=EDGE_CURVE('',#161640,#161641,#85691,.T.); #199426=EDGE_CURVE('',#161642,#161640,#85692,.T.); #199427=EDGE_CURVE('',#161643,#161641,#85693,.T.); #199428=EDGE_CURVE('',#161642,#161643,#85694,.T.); #199429=EDGE_CURVE('',#161644,#161642,#85695,.T.); #199430=EDGE_CURVE('',#161645,#161643,#85696,.T.); #199431=EDGE_CURVE('',#161644,#161645,#85697,.T.); #199432=EDGE_CURVE('',#161646,#161644,#85698,.T.); #199433=EDGE_CURVE('',#161647,#161645,#85699,.T.); #199434=EDGE_CURVE('',#161646,#161647,#85700,.T.); #199435=EDGE_CURVE('',#161463,#161646,#85701,.T.); #199436=EDGE_CURVE('',#161464,#161647,#85702,.T.); #199437=EDGE_CURVE('',#161648,#161649,#85703,.T.); #199438=EDGE_CURVE('',#161649,#161650,#85704,.T.); #199439=EDGE_CURVE('',#161651,#161650,#85705,.T.); #199440=EDGE_CURVE('',#161648,#161651,#85706,.T.); #199441=EDGE_CURVE('',#161652,#161648,#85707,.T.); #199442=EDGE_CURVE('',#161653,#161651,#85708,.T.); #199443=EDGE_CURVE('',#161652,#161653,#85709,.T.); #199444=EDGE_CURVE('',#161654,#161652,#85710,.T.); #199445=EDGE_CURVE('',#161655,#161653,#85711,.T.); #199446=EDGE_CURVE('',#161654,#161655,#85712,.T.); #199447=EDGE_CURVE('',#161656,#161654,#85713,.T.); #199448=EDGE_CURVE('',#161657,#161655,#85714,.T.); #199449=EDGE_CURVE('',#161656,#161657,#85715,.T.); #199450=EDGE_CURVE('',#161658,#161656,#85716,.T.); #199451=EDGE_CURVE('',#161659,#161657,#85717,.T.); #199452=EDGE_CURVE('',#161658,#161659,#85718,.T.); #199453=EDGE_CURVE('',#161660,#161658,#85719,.T.); #199454=EDGE_CURVE('',#161661,#161659,#85720,.T.); #199455=EDGE_CURVE('',#161660,#161661,#85721,.T.); #199456=EDGE_CURVE('',#161662,#161660,#85722,.T.); #199457=EDGE_CURVE('',#161663,#161661,#85723,.T.); #199458=EDGE_CURVE('',#161662,#161663,#85724,.T.); #199459=EDGE_CURVE('',#161664,#161662,#85725,.T.); #199460=EDGE_CURVE('',#161665,#161663,#85726,.T.); #199461=EDGE_CURVE('',#161664,#161665,#85727,.T.); #199462=EDGE_CURVE('',#161666,#161664,#85728,.T.); #199463=EDGE_CURVE('',#161667,#161665,#85729,.T.); #199464=EDGE_CURVE('',#161666,#161667,#85730,.T.); #199465=EDGE_CURVE('',#161668,#161666,#85731,.T.); #199466=EDGE_CURVE('',#161669,#161667,#85732,.T.); #199467=EDGE_CURVE('',#161668,#161669,#85733,.T.); #199468=EDGE_CURVE('',#161670,#161668,#85734,.T.); #199469=EDGE_CURVE('',#161671,#161669,#85735,.T.); #199470=EDGE_CURVE('',#161670,#161671,#85736,.T.); #199471=EDGE_CURVE('',#161672,#161670,#85737,.T.); #199472=EDGE_CURVE('',#161673,#161671,#85738,.T.); #199473=EDGE_CURVE('',#161672,#161673,#85739,.T.); #199474=EDGE_CURVE('',#161674,#161672,#85740,.T.); #199475=EDGE_CURVE('',#161675,#161673,#85741,.T.); #199476=EDGE_CURVE('',#161674,#161675,#85742,.T.); #199477=EDGE_CURVE('',#161676,#161674,#85743,.T.); #199478=EDGE_CURVE('',#161677,#161675,#85744,.T.); #199479=EDGE_CURVE('',#161676,#161677,#85745,.T.); #199480=EDGE_CURVE('',#161678,#161676,#85746,.T.); #199481=EDGE_CURVE('',#161679,#161677,#85747,.T.); #199482=EDGE_CURVE('',#161678,#161679,#85748,.T.); #199483=EDGE_CURVE('',#161680,#161678,#85749,.T.); #199484=EDGE_CURVE('',#161681,#161679,#85750,.T.); #199485=EDGE_CURVE('',#161680,#161681,#85751,.T.); #199486=EDGE_CURVE('',#161682,#161680,#85752,.T.); #199487=EDGE_CURVE('',#161683,#161681,#85753,.T.); #199488=EDGE_CURVE('',#161682,#161683,#85754,.T.); #199489=EDGE_CURVE('',#161684,#161682,#85755,.T.); #199490=EDGE_CURVE('',#161685,#161683,#85756,.T.); #199491=EDGE_CURVE('',#161684,#161685,#85757,.T.); #199492=EDGE_CURVE('',#161686,#161684,#85758,.T.); #199493=EDGE_CURVE('',#161687,#161685,#85759,.T.); #199494=EDGE_CURVE('',#161686,#161687,#85760,.T.); #199495=EDGE_CURVE('',#161649,#161686,#85761,.T.); #199496=EDGE_CURVE('',#161650,#161687,#85762,.T.); #199497=EDGE_CURVE('',#161688,#161688,#67185,.T.); #199498=EDGE_CURVE('',#161688,#161689,#85763,.T.); #199499=EDGE_CURVE('',#161689,#161689,#67186,.T.); #199500=EDGE_CURVE('',#161690,#161690,#67187,.T.); #199501=EDGE_CURVE('',#161690,#161691,#85764,.T.); #199502=EDGE_CURVE('',#161691,#161691,#67188,.T.); #199503=EDGE_CURVE('',#161692,#161692,#67189,.T.); #199504=EDGE_CURVE('',#161692,#161693,#85765,.T.); #199505=EDGE_CURVE('',#161693,#161693,#67190,.T.); #199506=EDGE_CURVE('',#161694,#161694,#67191,.T.); #199507=EDGE_CURVE('',#161694,#161695,#85766,.T.); #199508=EDGE_CURVE('',#161695,#161695,#67192,.T.); #199509=EDGE_CURVE('',#161696,#161696,#67193,.T.); #199510=EDGE_CURVE('',#161696,#161697,#85767,.T.); #199511=EDGE_CURVE('',#161697,#161697,#67194,.T.); #199512=EDGE_CURVE('',#161698,#161698,#67195,.T.); #199513=EDGE_CURVE('',#161698,#161699,#85768,.T.); #199514=EDGE_CURVE('',#161699,#161699,#67196,.T.); #199515=EDGE_CURVE('',#161700,#161700,#67197,.T.); #199516=EDGE_CURVE('',#161700,#161701,#85769,.T.); #199517=EDGE_CURVE('',#161701,#161701,#67198,.T.); #199518=EDGE_CURVE('',#161702,#161702,#67199,.T.); #199519=EDGE_CURVE('',#161702,#161703,#85770,.T.); #199520=EDGE_CURVE('',#161703,#161703,#67200,.T.); #199521=EDGE_CURVE('',#161704,#161704,#67201,.T.); #199522=EDGE_CURVE('',#161704,#161705,#85771,.T.); #199523=EDGE_CURVE('',#161705,#161705,#67202,.T.); #199524=EDGE_CURVE('',#161706,#161706,#67203,.T.); #199525=EDGE_CURVE('',#161706,#161707,#85772,.T.); #199526=EDGE_CURVE('',#161707,#161707,#67204,.T.); #199527=EDGE_CURVE('',#161708,#161708,#67205,.T.); #199528=EDGE_CURVE('',#161708,#161709,#85773,.T.); #199529=EDGE_CURVE('',#161709,#161709,#67206,.T.); #199530=EDGE_CURVE('',#161710,#161710,#67207,.T.); #199531=EDGE_CURVE('',#161710,#161711,#85774,.T.); #199532=EDGE_CURVE('',#161711,#161711,#67208,.T.); #199533=EDGE_CURVE('',#161712,#161712,#67209,.T.); #199534=EDGE_CURVE('',#161712,#161713,#85775,.T.); #199535=EDGE_CURVE('',#161713,#161713,#67210,.T.); #199536=EDGE_CURVE('',#161714,#161714,#67211,.T.); #199537=EDGE_CURVE('',#161714,#161715,#85776,.T.); #199538=EDGE_CURVE('',#161715,#161715,#67212,.T.); #199539=EDGE_CURVE('',#161716,#161716,#67213,.T.); #199540=EDGE_CURVE('',#161716,#161717,#85777,.T.); #199541=EDGE_CURVE('',#161717,#161717,#67214,.T.); #199542=EDGE_CURVE('',#161718,#161719,#85778,.T.); #199543=EDGE_CURVE('',#161719,#161720,#85779,.T.); #199544=EDGE_CURVE('',#161721,#161720,#85780,.T.); #199545=EDGE_CURVE('',#161718,#161721,#85781,.T.); #199546=EDGE_CURVE('',#161722,#161718,#85782,.T.); #199547=EDGE_CURVE('',#161723,#161721,#85783,.T.); #199548=EDGE_CURVE('',#161722,#161723,#85784,.T.); #199549=EDGE_CURVE('',#161724,#161722,#85785,.T.); #199550=EDGE_CURVE('',#161725,#161723,#85786,.T.); #199551=EDGE_CURVE('',#161724,#161725,#85787,.T.); #199552=EDGE_CURVE('',#161726,#161724,#85788,.T.); #199553=EDGE_CURVE('',#161727,#161725,#85789,.T.); #199554=EDGE_CURVE('',#161726,#161727,#85790,.T.); #199555=EDGE_CURVE('',#161728,#161726,#85791,.T.); #199556=EDGE_CURVE('',#161729,#161727,#85792,.T.); #199557=EDGE_CURVE('',#161728,#161729,#85793,.T.); #199558=EDGE_CURVE('',#161730,#161728,#85794,.T.); #199559=EDGE_CURVE('',#161731,#161729,#85795,.T.); #199560=EDGE_CURVE('',#161730,#161731,#85796,.T.); #199561=EDGE_CURVE('',#161732,#161730,#85797,.T.); #199562=EDGE_CURVE('',#161733,#161731,#85798,.T.); #199563=EDGE_CURVE('',#161732,#161733,#85799,.T.); #199564=EDGE_CURVE('',#161734,#161732,#85800,.T.); #199565=EDGE_CURVE('',#161735,#161733,#85801,.T.); #199566=EDGE_CURVE('',#161734,#161735,#85802,.T.); #199567=EDGE_CURVE('',#161736,#161734,#85803,.T.); #199568=EDGE_CURVE('',#161737,#161735,#85804,.T.); #199569=EDGE_CURVE('',#161736,#161737,#85805,.T.); #199570=EDGE_CURVE('',#161738,#161736,#85806,.T.); #199571=EDGE_CURVE('',#161739,#161737,#85807,.T.); #199572=EDGE_CURVE('',#161738,#161739,#85808,.T.); #199573=EDGE_CURVE('',#161740,#161738,#85809,.T.); #199574=EDGE_CURVE('',#161741,#161739,#85810,.T.); #199575=EDGE_CURVE('',#161740,#161741,#85811,.T.); #199576=EDGE_CURVE('',#161742,#161740,#85812,.T.); #199577=EDGE_CURVE('',#161743,#161741,#85813,.T.); #199578=EDGE_CURVE('',#161742,#161743,#85814,.T.); #199579=EDGE_CURVE('',#161744,#161742,#85815,.T.); #199580=EDGE_CURVE('',#161745,#161743,#85816,.T.); #199581=EDGE_CURVE('',#161744,#161745,#85817,.T.); #199582=EDGE_CURVE('',#161746,#161744,#85818,.T.); #199583=EDGE_CURVE('',#161747,#161745,#85819,.T.); #199584=EDGE_CURVE('',#161746,#161747,#85820,.T.); #199585=EDGE_CURVE('',#161748,#161746,#85821,.T.); #199586=EDGE_CURVE('',#161749,#161747,#85822,.T.); #199587=EDGE_CURVE('',#161748,#161749,#85823,.T.); #199588=EDGE_CURVE('',#161750,#161748,#85824,.T.); #199589=EDGE_CURVE('',#161751,#161749,#85825,.T.); #199590=EDGE_CURVE('',#161750,#161751,#85826,.T.); #199591=EDGE_CURVE('',#161752,#161750,#85827,.T.); #199592=EDGE_CURVE('',#161753,#161751,#85828,.T.); #199593=EDGE_CURVE('',#161752,#161753,#85829,.T.); #199594=EDGE_CURVE('',#161754,#161752,#85830,.T.); #199595=EDGE_CURVE('',#161755,#161753,#85831,.T.); #199596=EDGE_CURVE('',#161754,#161755,#85832,.T.); #199597=EDGE_CURVE('',#161756,#161754,#85833,.T.); #199598=EDGE_CURVE('',#161757,#161755,#85834,.T.); #199599=EDGE_CURVE('',#161756,#161757,#85835,.T.); #199600=EDGE_CURVE('',#161758,#161756,#85836,.T.); #199601=EDGE_CURVE('',#161759,#161757,#85837,.T.); #199602=EDGE_CURVE('',#161758,#161759,#85838,.T.); #199603=EDGE_CURVE('',#161760,#161758,#85839,.T.); #199604=EDGE_CURVE('',#161761,#161759,#85840,.T.); #199605=EDGE_CURVE('',#161760,#161761,#85841,.T.); #199606=EDGE_CURVE('',#161762,#161760,#85842,.T.); #199607=EDGE_CURVE('',#161763,#161761,#85843,.T.); #199608=EDGE_CURVE('',#161762,#161763,#85844,.T.); #199609=EDGE_CURVE('',#161764,#161762,#85845,.T.); #199610=EDGE_CURVE('',#161765,#161763,#85846,.T.); #199611=EDGE_CURVE('',#161764,#161765,#85847,.T.); #199612=EDGE_CURVE('',#161766,#161764,#85848,.T.); #199613=EDGE_CURVE('',#161767,#161765,#85849,.T.); #199614=EDGE_CURVE('',#161766,#161767,#85850,.T.); #199615=EDGE_CURVE('',#161768,#161766,#85851,.T.); #199616=EDGE_CURVE('',#161769,#161767,#85852,.T.); #199617=EDGE_CURVE('',#161768,#161769,#85853,.T.); #199618=EDGE_CURVE('',#161770,#161768,#85854,.T.); #199619=EDGE_CURVE('',#161771,#161769,#85855,.T.); #199620=EDGE_CURVE('',#161770,#161771,#85856,.T.); #199621=EDGE_CURVE('',#161772,#161770,#85857,.T.); #199622=EDGE_CURVE('',#161773,#161771,#85858,.T.); #199623=EDGE_CURVE('',#161772,#161773,#85859,.T.); #199624=EDGE_CURVE('',#161774,#161772,#85860,.T.); #199625=EDGE_CURVE('',#161775,#161773,#85861,.T.); #199626=EDGE_CURVE('',#161774,#161775,#85862,.T.); #199627=EDGE_CURVE('',#161776,#161774,#85863,.T.); #199628=EDGE_CURVE('',#161777,#161775,#85864,.T.); #199629=EDGE_CURVE('',#161776,#161777,#85865,.T.); #199630=EDGE_CURVE('',#161778,#161776,#85866,.T.); #199631=EDGE_CURVE('',#161779,#161777,#85867,.T.); #199632=EDGE_CURVE('',#161778,#161779,#85868,.T.); #199633=EDGE_CURVE('',#161780,#161778,#85869,.T.); #199634=EDGE_CURVE('',#161781,#161779,#85870,.T.); #199635=EDGE_CURVE('',#161780,#161781,#85871,.T.); #199636=EDGE_CURVE('',#161782,#161780,#85872,.T.); #199637=EDGE_CURVE('',#161783,#161781,#85873,.T.); #199638=EDGE_CURVE('',#161782,#161783,#85874,.T.); #199639=EDGE_CURVE('',#161784,#161782,#85875,.T.); #199640=EDGE_CURVE('',#161785,#161783,#85876,.T.); #199641=EDGE_CURVE('',#161784,#161785,#85877,.T.); #199642=EDGE_CURVE('',#161786,#161784,#85878,.T.); #199643=EDGE_CURVE('',#161787,#161785,#85879,.T.); #199644=EDGE_CURVE('',#161786,#161787,#85880,.T.); #199645=EDGE_CURVE('',#161788,#161786,#85881,.T.); #199646=EDGE_CURVE('',#161789,#161787,#85882,.T.); #199647=EDGE_CURVE('',#161788,#161789,#85883,.T.); #199648=EDGE_CURVE('',#161790,#161788,#85884,.T.); #199649=EDGE_CURVE('',#161791,#161789,#85885,.T.); #199650=EDGE_CURVE('',#161790,#161791,#85886,.T.); #199651=EDGE_CURVE('',#161792,#161790,#85887,.T.); #199652=EDGE_CURVE('',#161793,#161791,#85888,.T.); #199653=EDGE_CURVE('',#161792,#161793,#85889,.T.); #199654=EDGE_CURVE('',#161794,#161792,#85890,.T.); #199655=EDGE_CURVE('',#161795,#161793,#85891,.T.); #199656=EDGE_CURVE('',#161794,#161795,#85892,.T.); #199657=EDGE_CURVE('',#161796,#161794,#85893,.T.); #199658=EDGE_CURVE('',#161797,#161795,#85894,.T.); #199659=EDGE_CURVE('',#161796,#161797,#85895,.T.); #199660=EDGE_CURVE('',#161798,#161796,#85896,.T.); #199661=EDGE_CURVE('',#161799,#161797,#85897,.T.); #199662=EDGE_CURVE('',#161798,#161799,#85898,.T.); #199663=EDGE_CURVE('',#161719,#161798,#85899,.T.); #199664=EDGE_CURVE('',#161720,#161799,#85900,.T.); #199665=EDGE_CURVE('',#161800,#161800,#67215,.T.); #199666=EDGE_CURVE('',#161800,#161801,#85901,.T.); #199667=EDGE_CURVE('',#161801,#161801,#67216,.T.); #199668=EDGE_CURVE('',#161802,#161803,#85902,.T.); #199669=EDGE_CURVE('',#161803,#161804,#85903,.T.); #199670=EDGE_CURVE('',#161805,#161804,#85904,.T.); #199671=EDGE_CURVE('',#161802,#161805,#85905,.T.); #199672=EDGE_CURVE('',#161806,#161802,#85906,.T.); #199673=EDGE_CURVE('',#161807,#161805,#85907,.T.); #199674=EDGE_CURVE('',#161806,#161807,#85908,.T.); #199675=EDGE_CURVE('',#161808,#161806,#85909,.T.); #199676=EDGE_CURVE('',#161809,#161807,#85910,.T.); #199677=EDGE_CURVE('',#161808,#161809,#85911,.T.); #199678=EDGE_CURVE('',#161810,#161808,#85912,.T.); #199679=EDGE_CURVE('',#161811,#161809,#85913,.T.); #199680=EDGE_CURVE('',#161810,#161811,#85914,.T.); #199681=EDGE_CURVE('',#161812,#161810,#85915,.T.); #199682=EDGE_CURVE('',#161813,#161811,#85916,.T.); #199683=EDGE_CURVE('',#161812,#161813,#85917,.T.); #199684=EDGE_CURVE('',#161814,#161812,#67217,.T.); #199685=EDGE_CURVE('',#161815,#161813,#67218,.T.); #199686=EDGE_CURVE('',#161814,#161815,#85918,.T.); #199687=EDGE_CURVE('',#161816,#161814,#85919,.T.); #199688=EDGE_CURVE('',#161817,#161815,#85920,.T.); #199689=EDGE_CURVE('',#161816,#161817,#85921,.T.); #199690=EDGE_CURVE('',#161818,#161816,#85922,.T.); #199691=EDGE_CURVE('',#161819,#161817,#85923,.T.); #199692=EDGE_CURVE('',#161818,#161819,#85924,.T.); #199693=EDGE_CURVE('',#161820,#161818,#67219,.T.); #199694=EDGE_CURVE('',#161821,#161819,#67220,.T.); #199695=EDGE_CURVE('',#161820,#161821,#85925,.T.); #199696=EDGE_CURVE('',#161822,#161820,#85926,.T.); #199697=EDGE_CURVE('',#161823,#161821,#85927,.T.); #199698=EDGE_CURVE('',#161822,#161823,#85928,.T.); #199699=EDGE_CURVE('',#161824,#161822,#85929,.T.); #199700=EDGE_CURVE('',#161825,#161823,#85930,.T.); #199701=EDGE_CURVE('',#161824,#161825,#85931,.T.); #199702=EDGE_CURVE('',#161826,#161824,#85932,.T.); #199703=EDGE_CURVE('',#161827,#161825,#85933,.T.); #199704=EDGE_CURVE('',#161826,#161827,#85934,.T.); #199705=EDGE_CURVE('',#161828,#161826,#85935,.T.); #199706=EDGE_CURVE('',#161829,#161827,#85936,.T.); #199707=EDGE_CURVE('',#161828,#161829,#85937,.T.); #199708=EDGE_CURVE('',#161830,#161828,#85938,.T.); #199709=EDGE_CURVE('',#161831,#161829,#85939,.T.); #199710=EDGE_CURVE('',#161830,#161831,#85940,.T.); #199711=EDGE_CURVE('',#161832,#161830,#85941,.T.); #199712=EDGE_CURVE('',#161833,#161831,#85942,.T.); #199713=EDGE_CURVE('',#161832,#161833,#85943,.T.); #199714=EDGE_CURVE('',#161834,#161832,#85944,.T.); #199715=EDGE_CURVE('',#161835,#161833,#85945,.T.); #199716=EDGE_CURVE('',#161834,#161835,#85946,.T.); #199717=EDGE_CURVE('',#161836,#161834,#85947,.T.); #199718=EDGE_CURVE('',#161837,#161835,#85948,.T.); #199719=EDGE_CURVE('',#161836,#161837,#85949,.T.); #199720=EDGE_CURVE('',#161838,#161836,#85950,.T.); #199721=EDGE_CURVE('',#161839,#161837,#85951,.T.); #199722=EDGE_CURVE('',#161838,#161839,#85952,.T.); #199723=EDGE_CURVE('',#161840,#161838,#85953,.T.); #199724=EDGE_CURVE('',#161841,#161839,#85954,.T.); #199725=EDGE_CURVE('',#161840,#161841,#85955,.T.); #199726=EDGE_CURVE('',#161842,#161840,#85956,.T.); #199727=EDGE_CURVE('',#161843,#161841,#85957,.T.); #199728=EDGE_CURVE('',#161842,#161843,#85958,.T.); #199729=EDGE_CURVE('',#161844,#161842,#85959,.T.); #199730=EDGE_CURVE('',#161845,#161843,#85960,.T.); #199731=EDGE_CURVE('',#161844,#161845,#85961,.T.); #199732=EDGE_CURVE('',#161846,#161844,#85962,.T.); #199733=EDGE_CURVE('',#161847,#161845,#85963,.T.); #199734=EDGE_CURVE('',#161846,#161847,#85964,.T.); #199735=EDGE_CURVE('',#161848,#161846,#85965,.T.); #199736=EDGE_CURVE('',#161849,#161847,#85966,.T.); #199737=EDGE_CURVE('',#161848,#161849,#85967,.T.); #199738=EDGE_CURVE('',#161850,#161848,#85968,.T.); #199739=EDGE_CURVE('',#161851,#161849,#85969,.T.); #199740=EDGE_CURVE('',#161850,#161851,#85970,.T.); #199741=EDGE_CURVE('',#161852,#161850,#85971,.T.); #199742=EDGE_CURVE('',#161853,#161851,#85972,.T.); #199743=EDGE_CURVE('',#161852,#161853,#85973,.T.); #199744=EDGE_CURVE('',#161854,#161852,#67221,.T.); #199745=EDGE_CURVE('',#161855,#161853,#67222,.T.); #199746=EDGE_CURVE('',#161854,#161855,#85974,.T.); #199747=EDGE_CURVE('',#161856,#161854,#85975,.T.); #199748=EDGE_CURVE('',#161857,#161855,#85976,.T.); #199749=EDGE_CURVE('',#161856,#161857,#85977,.T.); #199750=EDGE_CURVE('',#161858,#161856,#67223,.T.); #199751=EDGE_CURVE('',#161859,#161857,#67224,.T.); #199752=EDGE_CURVE('',#161858,#161859,#85978,.T.); #199753=EDGE_CURVE('',#161860,#161858,#85979,.T.); #199754=EDGE_CURVE('',#161861,#161859,#85980,.T.); #199755=EDGE_CURVE('',#161860,#161861,#85981,.T.); #199756=EDGE_CURVE('',#161862,#161860,#67225,.T.); #199757=EDGE_CURVE('',#161863,#161861,#67226,.T.); #199758=EDGE_CURVE('',#161862,#161863,#85982,.T.); #199759=EDGE_CURVE('',#161864,#161862,#85983,.T.); #199760=EDGE_CURVE('',#161865,#161863,#85984,.T.); #199761=EDGE_CURVE('',#161864,#161865,#85985,.T.); #199762=EDGE_CURVE('',#161866,#161864,#85986,.T.); #199763=EDGE_CURVE('',#161867,#161865,#85987,.T.); #199764=EDGE_CURVE('',#161866,#161867,#85988,.T.); #199765=EDGE_CURVE('',#161868,#161866,#85989,.T.); #199766=EDGE_CURVE('',#161869,#161867,#85990,.T.); #199767=EDGE_CURVE('',#161868,#161869,#85991,.T.); #199768=EDGE_CURVE('',#161870,#161868,#67227,.T.); #199769=EDGE_CURVE('',#161871,#161869,#67228,.T.); #199770=EDGE_CURVE('',#161870,#161871,#85992,.T.); #199771=EDGE_CURVE('',#161872,#161870,#85993,.T.); #199772=EDGE_CURVE('',#161873,#161871,#85994,.T.); #199773=EDGE_CURVE('',#161872,#161873,#85995,.T.); #199774=EDGE_CURVE('',#161874,#161872,#67229,.T.); #199775=EDGE_CURVE('',#161875,#161873,#67230,.T.); #199776=EDGE_CURVE('',#161874,#161875,#85996,.T.); #199777=EDGE_CURVE('',#161876,#161874,#85997,.T.); #199778=EDGE_CURVE('',#161877,#161875,#85998,.T.); #199779=EDGE_CURVE('',#161876,#161877,#85999,.T.); #199780=EDGE_CURVE('',#161878,#161876,#86000,.T.); #199781=EDGE_CURVE('',#161879,#161877,#86001,.T.); #199782=EDGE_CURVE('',#161878,#161879,#86002,.T.); #199783=EDGE_CURVE('',#161880,#161878,#67231,.T.); #199784=EDGE_CURVE('',#161881,#161879,#67232,.T.); #199785=EDGE_CURVE('',#161880,#161881,#86003,.T.); #199786=EDGE_CURVE('',#161882,#161880,#86004,.T.); #199787=EDGE_CURVE('',#161883,#161881,#86005,.T.); #199788=EDGE_CURVE('',#161882,#161883,#86006,.T.); #199789=EDGE_CURVE('',#161884,#161882,#86007,.T.); #199790=EDGE_CURVE('',#161885,#161883,#86008,.T.); #199791=EDGE_CURVE('',#161884,#161885,#86009,.T.); #199792=EDGE_CURVE('',#161803,#161884,#86010,.T.); #199793=EDGE_CURVE('',#161804,#161885,#86011,.T.); #199794=EDGE_CURVE('',#161886,#161886,#67233,.T.); #199795=EDGE_CURVE('',#161886,#161887,#86012,.T.); #199796=EDGE_CURVE('',#161887,#161887,#67234,.T.); #199797=EDGE_CURVE('',#161888,#161888,#67235,.T.); #199798=EDGE_CURVE('',#161888,#161889,#86013,.T.); #199799=EDGE_CURVE('',#161889,#161889,#67236,.T.); #199800=EDGE_CURVE('',#161890,#161890,#67237,.T.); #199801=EDGE_CURVE('',#161890,#161891,#86014,.T.); #199802=EDGE_CURVE('',#161891,#161891,#67238,.T.); #199803=EDGE_CURVE('',#161892,#161892,#67239,.T.); #199804=EDGE_CURVE('',#161892,#161893,#86015,.T.); #199805=EDGE_CURVE('',#161893,#161893,#67240,.T.); #199806=EDGE_CURVE('',#161894,#161894,#67241,.T.); #199807=EDGE_CURVE('',#161894,#161895,#86016,.T.); #199808=EDGE_CURVE('',#161895,#161895,#67242,.T.); #199809=EDGE_CURVE('',#161896,#161896,#67243,.T.); #199810=EDGE_CURVE('',#161896,#161897,#86017,.T.); #199811=EDGE_CURVE('',#161897,#161897,#67244,.T.); #199812=EDGE_CURVE('',#161898,#161898,#67245,.T.); #199813=EDGE_CURVE('',#161898,#161899,#86018,.T.); #199814=EDGE_CURVE('',#161899,#161899,#67246,.T.); #199815=EDGE_CURVE('',#161900,#161900,#67247,.T.); #199816=EDGE_CURVE('',#161900,#161901,#86019,.T.); #199817=EDGE_CURVE('',#161901,#161901,#67248,.T.); #199818=EDGE_CURVE('',#161902,#161902,#67249,.T.); #199819=EDGE_CURVE('',#161902,#161903,#86020,.T.); #199820=EDGE_CURVE('',#161903,#161903,#67250,.T.); #199821=EDGE_CURVE('',#161904,#161904,#67251,.T.); #199822=EDGE_CURVE('',#161904,#161905,#86021,.T.); #199823=EDGE_CURVE('',#161905,#161905,#67252,.T.); #199824=EDGE_CURVE('',#161906,#161906,#67253,.T.); #199825=EDGE_CURVE('',#161906,#161907,#86022,.T.); #199826=EDGE_CURVE('',#161907,#161907,#67254,.T.); #199827=EDGE_CURVE('',#161908,#161908,#67255,.T.); #199828=EDGE_CURVE('',#161908,#161909,#86023,.T.); #199829=EDGE_CURVE('',#161909,#161909,#67256,.T.); #199830=EDGE_CURVE('',#161910,#161910,#67257,.T.); #199831=EDGE_CURVE('',#161910,#161911,#86024,.T.); #199832=EDGE_CURVE('',#161911,#161911,#67258,.T.); #199833=EDGE_CURVE('',#161912,#161912,#67259,.T.); #199834=EDGE_CURVE('',#161912,#161913,#86025,.T.); #199835=EDGE_CURVE('',#161913,#161913,#67260,.T.); #199836=EDGE_CURVE('',#161914,#161914,#67261,.T.); #199837=EDGE_CURVE('',#161914,#161915,#86026,.T.); #199838=EDGE_CURVE('',#161915,#161915,#67262,.T.); #199839=EDGE_CURVE('',#161916,#161917,#86027,.T.); #199840=EDGE_CURVE('',#161917,#161918,#86028,.T.); #199841=EDGE_CURVE('',#161919,#161918,#86029,.T.); #199842=EDGE_CURVE('',#161916,#161919,#86030,.T.); #199843=EDGE_CURVE('',#161920,#161916,#86031,.T.); #199844=EDGE_CURVE('',#161921,#161919,#86032,.T.); #199845=EDGE_CURVE('',#161920,#161921,#86033,.T.); #199846=EDGE_CURVE('',#161922,#161920,#86034,.T.); #199847=EDGE_CURVE('',#161923,#161921,#86035,.T.); #199848=EDGE_CURVE('',#161922,#161923,#86036,.T.); #199849=EDGE_CURVE('',#161924,#161922,#86037,.T.); #199850=EDGE_CURVE('',#161925,#161923,#86038,.T.); #199851=EDGE_CURVE('',#161924,#161925,#86039,.T.); #199852=EDGE_CURVE('',#161926,#161924,#86040,.T.); #199853=EDGE_CURVE('',#161927,#161925,#86041,.T.); #199854=EDGE_CURVE('',#161926,#161927,#86042,.T.); #199855=EDGE_CURVE('',#161928,#161926,#86043,.T.); #199856=EDGE_CURVE('',#161929,#161927,#86044,.T.); #199857=EDGE_CURVE('',#161928,#161929,#86045,.T.); #199858=EDGE_CURVE('',#161930,#161928,#86046,.T.); #199859=EDGE_CURVE('',#161931,#161929,#86047,.T.); #199860=EDGE_CURVE('',#161930,#161931,#86048,.T.); #199861=EDGE_CURVE('',#161932,#161930,#86049,.T.); #199862=EDGE_CURVE('',#161933,#161931,#86050,.T.); #199863=EDGE_CURVE('',#161932,#161933,#86051,.T.); #199864=EDGE_CURVE('',#161934,#161932,#86052,.T.); #199865=EDGE_CURVE('',#161935,#161933,#86053,.T.); #199866=EDGE_CURVE('',#161934,#161935,#86054,.T.); #199867=EDGE_CURVE('',#161936,#161934,#86055,.T.); #199868=EDGE_CURVE('',#161937,#161935,#86056,.T.); #199869=EDGE_CURVE('',#161936,#161937,#86057,.T.); #199870=EDGE_CURVE('',#161938,#161936,#86058,.T.); #199871=EDGE_CURVE('',#161939,#161937,#86059,.T.); #199872=EDGE_CURVE('',#161938,#161939,#86060,.T.); #199873=EDGE_CURVE('',#161940,#161938,#86061,.T.); #199874=EDGE_CURVE('',#161941,#161939,#86062,.T.); #199875=EDGE_CURVE('',#161940,#161941,#86063,.T.); #199876=EDGE_CURVE('',#161942,#161940,#86064,.T.); #199877=EDGE_CURVE('',#161943,#161941,#86065,.T.); #199878=EDGE_CURVE('',#161942,#161943,#86066,.T.); #199879=EDGE_CURVE('',#161944,#161942,#86067,.T.); #199880=EDGE_CURVE('',#161945,#161943,#86068,.T.); #199881=EDGE_CURVE('',#161944,#161945,#86069,.T.); #199882=EDGE_CURVE('',#161946,#161944,#86070,.T.); #199883=EDGE_CURVE('',#161947,#161945,#86071,.T.); #199884=EDGE_CURVE('',#161946,#161947,#86072,.T.); #199885=EDGE_CURVE('',#161948,#161946,#86073,.T.); #199886=EDGE_CURVE('',#161949,#161947,#86074,.T.); #199887=EDGE_CURVE('',#161948,#161949,#86075,.T.); #199888=EDGE_CURVE('',#161950,#161948,#86076,.T.); #199889=EDGE_CURVE('',#161951,#161949,#86077,.T.); #199890=EDGE_CURVE('',#161950,#161951,#86078,.T.); #199891=EDGE_CURVE('',#161952,#161950,#86079,.T.); #199892=EDGE_CURVE('',#161953,#161951,#86080,.T.); #199893=EDGE_CURVE('',#161952,#161953,#86081,.T.); #199894=EDGE_CURVE('',#161954,#161952,#86082,.T.); #199895=EDGE_CURVE('',#161955,#161953,#86083,.T.); #199896=EDGE_CURVE('',#161954,#161955,#86084,.T.); #199897=EDGE_CURVE('',#161956,#161954,#86085,.T.); #199898=EDGE_CURVE('',#161957,#161955,#86086,.T.); #199899=EDGE_CURVE('',#161956,#161957,#86087,.T.); #199900=EDGE_CURVE('',#161958,#161956,#86088,.T.); #199901=EDGE_CURVE('',#161959,#161957,#86089,.T.); #199902=EDGE_CURVE('',#161958,#161959,#86090,.T.); #199903=EDGE_CURVE('',#161960,#161958,#86091,.T.); #199904=EDGE_CURVE('',#161961,#161959,#86092,.T.); #199905=EDGE_CURVE('',#161960,#161961,#86093,.T.); #199906=EDGE_CURVE('',#161962,#161960,#86094,.T.); #199907=EDGE_CURVE('',#161963,#161961,#86095,.T.); #199908=EDGE_CURVE('',#161962,#161963,#86096,.T.); #199909=EDGE_CURVE('',#161964,#161962,#86097,.T.); #199910=EDGE_CURVE('',#161965,#161963,#86098,.T.); #199911=EDGE_CURVE('',#161964,#161965,#86099,.T.); #199912=EDGE_CURVE('',#161966,#161964,#86100,.T.); #199913=EDGE_CURVE('',#161967,#161965,#86101,.T.); #199914=EDGE_CURVE('',#161966,#161967,#86102,.T.); #199915=EDGE_CURVE('',#161968,#161966,#86103,.T.); #199916=EDGE_CURVE('',#161969,#161967,#86104,.T.); #199917=EDGE_CURVE('',#161968,#161969,#86105,.T.); #199918=EDGE_CURVE('',#161970,#161968,#86106,.T.); #199919=EDGE_CURVE('',#161971,#161969,#86107,.T.); #199920=EDGE_CURVE('',#161970,#161971,#86108,.T.); #199921=EDGE_CURVE('',#161972,#161970,#86109,.T.); #199922=EDGE_CURVE('',#161973,#161971,#86110,.T.); #199923=EDGE_CURVE('',#161972,#161973,#86111,.T.); #199924=EDGE_CURVE('',#161974,#161972,#86112,.T.); #199925=EDGE_CURVE('',#161975,#161973,#86113,.T.); #199926=EDGE_CURVE('',#161974,#161975,#86114,.T.); #199927=EDGE_CURVE('',#161976,#161974,#86115,.T.); #199928=EDGE_CURVE('',#161977,#161975,#86116,.T.); #199929=EDGE_CURVE('',#161976,#161977,#86117,.T.); #199930=EDGE_CURVE('',#161978,#161976,#86118,.T.); #199931=EDGE_CURVE('',#161979,#161977,#86119,.T.); #199932=EDGE_CURVE('',#161978,#161979,#86120,.T.); #199933=EDGE_CURVE('',#161980,#161978,#86121,.T.); #199934=EDGE_CURVE('',#161981,#161979,#86122,.T.); #199935=EDGE_CURVE('',#161980,#161981,#86123,.T.); #199936=EDGE_CURVE('',#161982,#161980,#86124,.T.); #199937=EDGE_CURVE('',#161983,#161981,#86125,.T.); #199938=EDGE_CURVE('',#161982,#161983,#86126,.T.); #199939=EDGE_CURVE('',#161984,#161982,#86127,.T.); #199940=EDGE_CURVE('',#161985,#161983,#86128,.T.); #199941=EDGE_CURVE('',#161984,#161985,#86129,.T.); #199942=EDGE_CURVE('',#161986,#161984,#86130,.T.); #199943=EDGE_CURVE('',#161987,#161985,#86131,.T.); #199944=EDGE_CURVE('',#161986,#161987,#86132,.T.); #199945=EDGE_CURVE('',#161988,#161986,#86133,.T.); #199946=EDGE_CURVE('',#161989,#161987,#86134,.T.); #199947=EDGE_CURVE('',#161988,#161989,#86135,.T.); #199948=EDGE_CURVE('',#161990,#161988,#86136,.T.); #199949=EDGE_CURVE('',#161991,#161989,#86137,.T.); #199950=EDGE_CURVE('',#161990,#161991,#86138,.T.); #199951=EDGE_CURVE('',#161992,#161990,#86139,.T.); #199952=EDGE_CURVE('',#161993,#161991,#86140,.T.); #199953=EDGE_CURVE('',#161992,#161993,#86141,.T.); #199954=EDGE_CURVE('',#161994,#161992,#86142,.T.); #199955=EDGE_CURVE('',#161995,#161993,#86143,.T.); #199956=EDGE_CURVE('',#161994,#161995,#86144,.T.); #199957=EDGE_CURVE('',#161996,#161994,#86145,.T.); #199958=EDGE_CURVE('',#161997,#161995,#86146,.T.); #199959=EDGE_CURVE('',#161996,#161997,#86147,.T.); #199960=EDGE_CURVE('',#161998,#161996,#86148,.T.); #199961=EDGE_CURVE('',#161999,#161997,#86149,.T.); #199962=EDGE_CURVE('',#161998,#161999,#86150,.T.); #199963=EDGE_CURVE('',#162000,#161998,#86151,.T.); #199964=EDGE_CURVE('',#162001,#161999,#86152,.T.); #199965=EDGE_CURVE('',#162000,#162001,#86153,.T.); #199966=EDGE_CURVE('',#162002,#162000,#86154,.T.); #199967=EDGE_CURVE('',#162003,#162001,#86155,.T.); #199968=EDGE_CURVE('',#162002,#162003,#86156,.T.); #199969=EDGE_CURVE('',#162004,#162002,#86157,.T.); #199970=EDGE_CURVE('',#162005,#162003,#86158,.T.); #199971=EDGE_CURVE('',#162004,#162005,#86159,.T.); #199972=EDGE_CURVE('',#162006,#162004,#86160,.T.); #199973=EDGE_CURVE('',#162007,#162005,#86161,.T.); #199974=EDGE_CURVE('',#162006,#162007,#86162,.T.); #199975=EDGE_CURVE('',#162008,#162006,#86163,.T.); #199976=EDGE_CURVE('',#162009,#162007,#86164,.T.); #199977=EDGE_CURVE('',#162008,#162009,#86165,.T.); #199978=EDGE_CURVE('',#162010,#162008,#86166,.T.); #199979=EDGE_CURVE('',#162011,#162009,#86167,.T.); #199980=EDGE_CURVE('',#162010,#162011,#86168,.T.); #199981=EDGE_CURVE('',#162012,#162010,#86169,.T.); #199982=EDGE_CURVE('',#162013,#162011,#86170,.T.); #199983=EDGE_CURVE('',#162012,#162013,#86171,.T.); #199984=EDGE_CURVE('',#161917,#162012,#86172,.T.); #199985=EDGE_CURVE('',#161918,#162013,#86173,.T.); #199986=EDGE_CURVE('',#162014,#162014,#67263,.T.); #199987=EDGE_CURVE('',#162014,#162015,#86174,.T.); #199988=EDGE_CURVE('',#162015,#162015,#67264,.T.); #199989=EDGE_CURVE('',#162016,#162017,#86175,.T.); #199990=EDGE_CURVE('',#162017,#162018,#86176,.T.); #199991=EDGE_CURVE('',#162019,#162018,#86177,.T.); #199992=EDGE_CURVE('',#162016,#162019,#86178,.T.); #199993=EDGE_CURVE('',#162020,#162016,#86179,.T.); #199994=EDGE_CURVE('',#162021,#162019,#86180,.T.); #199995=EDGE_CURVE('',#162020,#162021,#86181,.T.); #199996=EDGE_CURVE('',#162022,#162020,#86182,.T.); #199997=EDGE_CURVE('',#162023,#162021,#86183,.T.); #199998=EDGE_CURVE('',#162022,#162023,#86184,.T.); #199999=EDGE_CURVE('',#162024,#162022,#86185,.T.); #200000=EDGE_CURVE('',#162025,#162023,#86186,.T.); #200001=EDGE_CURVE('',#162024,#162025,#86187,.T.); #200002=EDGE_CURVE('',#162026,#162024,#86188,.T.); #200003=EDGE_CURVE('',#162027,#162025,#86189,.T.); #200004=EDGE_CURVE('',#162026,#162027,#86190,.T.); #200005=EDGE_CURVE('',#162028,#162026,#86191,.T.); #200006=EDGE_CURVE('',#162029,#162027,#86192,.T.); #200007=EDGE_CURVE('',#162028,#162029,#86193,.T.); #200008=EDGE_CURVE('',#162030,#162028,#67265,.T.); #200009=EDGE_CURVE('',#162031,#162029,#67266,.T.); #200010=EDGE_CURVE('',#162030,#162031,#86194,.T.); #200011=EDGE_CURVE('',#162032,#162030,#86195,.T.); #200012=EDGE_CURVE('',#162033,#162031,#86196,.T.); #200013=EDGE_CURVE('',#162032,#162033,#86197,.T.); #200014=EDGE_CURVE('',#162034,#162032,#86198,.T.); #200015=EDGE_CURVE('',#162035,#162033,#86199,.T.); #200016=EDGE_CURVE('',#162034,#162035,#86200,.T.); #200017=EDGE_CURVE('',#162036,#162034,#67267,.T.); #200018=EDGE_CURVE('',#162037,#162035,#67268,.T.); #200019=EDGE_CURVE('',#162036,#162037,#86201,.T.); #200020=EDGE_CURVE('',#162038,#162036,#86202,.T.); #200021=EDGE_CURVE('',#162039,#162037,#86203,.T.); #200022=EDGE_CURVE('',#162038,#162039,#86204,.T.); #200023=EDGE_CURVE('',#162040,#162038,#67269,.T.); #200024=EDGE_CURVE('',#162041,#162039,#67270,.T.); #200025=EDGE_CURVE('',#162040,#162041,#86205,.T.); #200026=EDGE_CURVE('',#162042,#162040,#86206,.T.); #200027=EDGE_CURVE('',#162043,#162041,#86207,.T.); #200028=EDGE_CURVE('',#162042,#162043,#86208,.T.); #200029=EDGE_CURVE('',#162044,#162042,#86209,.T.); #200030=EDGE_CURVE('',#162045,#162043,#86210,.T.); #200031=EDGE_CURVE('',#162044,#162045,#86211,.T.); #200032=EDGE_CURVE('',#162046,#162044,#86212,.T.); #200033=EDGE_CURVE('',#162047,#162045,#86213,.T.); #200034=EDGE_CURVE('',#162046,#162047,#86214,.T.); #200035=EDGE_CURVE('',#162048,#162046,#67271,.T.); #200036=EDGE_CURVE('',#162049,#162047,#67272,.T.); #200037=EDGE_CURVE('',#162048,#162049,#86215,.T.); #200038=EDGE_CURVE('',#162050,#162048,#86216,.T.); #200039=EDGE_CURVE('',#162051,#162049,#86217,.T.); #200040=EDGE_CURVE('',#162050,#162051,#86218,.T.); #200041=EDGE_CURVE('',#162052,#162050,#67273,.T.); #200042=EDGE_CURVE('',#162053,#162051,#67274,.T.); #200043=EDGE_CURVE('',#162052,#162053,#86219,.T.); #200044=EDGE_CURVE('',#162054,#162052,#86220,.T.); #200045=EDGE_CURVE('',#162055,#162053,#86221,.T.); #200046=EDGE_CURVE('',#162054,#162055,#86222,.T.); #200047=EDGE_CURVE('',#162056,#162054,#67275,.T.); #200048=EDGE_CURVE('',#162057,#162055,#67276,.T.); #200049=EDGE_CURVE('',#162056,#162057,#86223,.T.); #200050=EDGE_CURVE('',#162058,#162056,#86224,.T.); #200051=EDGE_CURVE('',#162059,#162057,#86225,.T.); #200052=EDGE_CURVE('',#162058,#162059,#86226,.T.); #200053=EDGE_CURVE('',#162060,#162058,#86227,.T.); #200054=EDGE_CURVE('',#162061,#162059,#86228,.T.); #200055=EDGE_CURVE('',#162060,#162061,#86229,.T.); #200056=EDGE_CURVE('',#162062,#162060,#86230,.T.); #200057=EDGE_CURVE('',#162063,#162061,#86231,.T.); #200058=EDGE_CURVE('',#162062,#162063,#86232,.T.); #200059=EDGE_CURVE('',#162064,#162062,#86233,.T.); #200060=EDGE_CURVE('',#162065,#162063,#86234,.T.); #200061=EDGE_CURVE('',#162064,#162065,#86235,.T.); #200062=EDGE_CURVE('',#162066,#162064,#86236,.T.); #200063=EDGE_CURVE('',#162067,#162065,#86237,.T.); #200064=EDGE_CURVE('',#162066,#162067,#86238,.T.); #200065=EDGE_CURVE('',#162068,#162066,#86239,.T.); #200066=EDGE_CURVE('',#162069,#162067,#86240,.T.); #200067=EDGE_CURVE('',#162068,#162069,#86241,.T.); #200068=EDGE_CURVE('',#162070,#162068,#86242,.T.); #200069=EDGE_CURVE('',#162071,#162069,#86243,.T.); #200070=EDGE_CURVE('',#162070,#162071,#86244,.T.); #200071=EDGE_CURVE('',#162072,#162070,#86245,.T.); #200072=EDGE_CURVE('',#162073,#162071,#86246,.T.); #200073=EDGE_CURVE('',#162072,#162073,#86247,.T.); #200074=EDGE_CURVE('',#162074,#162072,#86248,.T.); #200075=EDGE_CURVE('',#162075,#162073,#86249,.T.); #200076=EDGE_CURVE('',#162074,#162075,#86250,.T.); #200077=EDGE_CURVE('',#162076,#162074,#86251,.T.); #200078=EDGE_CURVE('',#162077,#162075,#86252,.T.); #200079=EDGE_CURVE('',#162076,#162077,#86253,.T.); #200080=EDGE_CURVE('',#162078,#162076,#86254,.T.); #200081=EDGE_CURVE('',#162079,#162077,#86255,.T.); #200082=EDGE_CURVE('',#162078,#162079,#86256,.T.); #200083=EDGE_CURVE('',#162080,#162078,#86257,.T.); #200084=EDGE_CURVE('',#162081,#162079,#86258,.T.); #200085=EDGE_CURVE('',#162080,#162081,#86259,.T.); #200086=EDGE_CURVE('',#162082,#162080,#86260,.T.); #200087=EDGE_CURVE('',#162083,#162081,#86261,.T.); #200088=EDGE_CURVE('',#162082,#162083,#86262,.T.); #200089=EDGE_CURVE('',#162084,#162082,#86263,.T.); #200090=EDGE_CURVE('',#162085,#162083,#86264,.T.); #200091=EDGE_CURVE('',#162084,#162085,#86265,.T.); #200092=EDGE_CURVE('',#162086,#162084,#86266,.T.); #200093=EDGE_CURVE('',#162087,#162085,#86267,.T.); #200094=EDGE_CURVE('',#162086,#162087,#86268,.T.); #200095=EDGE_CURVE('',#162088,#162086,#86269,.T.); #200096=EDGE_CURVE('',#162089,#162087,#86270,.T.); #200097=EDGE_CURVE('',#162088,#162089,#86271,.T.); #200098=EDGE_CURVE('',#162090,#162088,#67277,.T.); #200099=EDGE_CURVE('',#162091,#162089,#67278,.T.); #200100=EDGE_CURVE('',#162090,#162091,#86272,.T.); #200101=EDGE_CURVE('',#162092,#162090,#86273,.T.); #200102=EDGE_CURVE('',#162093,#162091,#86274,.T.); #200103=EDGE_CURVE('',#162092,#162093,#86275,.T.); #200104=EDGE_CURVE('',#162094,#162092,#86276,.T.); #200105=EDGE_CURVE('',#162095,#162093,#86277,.T.); #200106=EDGE_CURVE('',#162094,#162095,#86278,.T.); #200107=EDGE_CURVE('',#162096,#162094,#67279,.T.); #200108=EDGE_CURVE('',#162097,#162095,#67280,.T.); #200109=EDGE_CURVE('',#162096,#162097,#86279,.T.); #200110=EDGE_CURVE('',#162098,#162096,#86280,.T.); #200111=EDGE_CURVE('',#162099,#162097,#86281,.T.); #200112=EDGE_CURVE('',#162098,#162099,#86282,.T.); #200113=EDGE_CURVE('',#162017,#162098,#86283,.T.); #200114=EDGE_CURVE('',#162018,#162099,#86284,.T.); #200115=EDGE_CURVE('',#162100,#162100,#67281,.T.); #200116=EDGE_CURVE('',#162100,#162101,#86285,.T.); #200117=EDGE_CURVE('',#162101,#162101,#67282,.T.); #200118=EDGE_CURVE('',#162102,#162102,#67283,.T.); #200119=EDGE_CURVE('',#162102,#162103,#86286,.T.); #200120=EDGE_CURVE('',#162103,#162103,#67284,.T.); #200121=EDGE_CURVE('',#162104,#162104,#67285,.T.); #200122=EDGE_CURVE('',#162104,#162105,#86287,.T.); #200123=EDGE_CURVE('',#162105,#162105,#67286,.T.); #200124=EDGE_CURVE('',#162106,#162106,#67287,.T.); #200125=EDGE_CURVE('',#162106,#162107,#86288,.T.); #200126=EDGE_CURVE('',#162107,#162107,#67288,.T.); #200127=EDGE_CURVE('',#162108,#162108,#67289,.T.); #200128=EDGE_CURVE('',#162108,#162109,#86289,.T.); #200129=EDGE_CURVE('',#162109,#162109,#67290,.T.); #200130=EDGE_CURVE('',#162110,#162110,#67291,.T.); #200131=EDGE_CURVE('',#162110,#162111,#86290,.T.); #200132=EDGE_CURVE('',#162111,#162111,#67292,.T.); #200133=EDGE_CURVE('',#162112,#162112,#67293,.T.); #200134=EDGE_CURVE('',#162112,#162113,#86291,.T.); #200135=EDGE_CURVE('',#162113,#162113,#67294,.T.); #200136=EDGE_CURVE('',#162114,#162114,#67295,.T.); #200137=EDGE_CURVE('',#162114,#162115,#86292,.T.); #200138=EDGE_CURVE('',#162115,#162115,#67296,.T.); #200139=EDGE_CURVE('',#162116,#162116,#67297,.T.); #200140=EDGE_CURVE('',#162116,#162117,#86293,.T.); #200141=EDGE_CURVE('',#162117,#162117,#67298,.T.); #200142=EDGE_CURVE('',#162118,#162118,#67299,.T.); #200143=EDGE_CURVE('',#162118,#162119,#86294,.T.); #200144=EDGE_CURVE('',#162119,#162119,#67300,.T.); #200145=EDGE_CURVE('',#162120,#162120,#67301,.T.); #200146=EDGE_CURVE('',#162120,#162121,#86295,.T.); #200147=EDGE_CURVE('',#162121,#162121,#67302,.T.); #200148=EDGE_CURVE('',#162122,#162122,#67303,.T.); #200149=EDGE_CURVE('',#162122,#162123,#86296,.T.); #200150=EDGE_CURVE('',#162123,#162123,#67304,.T.); #200151=EDGE_CURVE('',#162124,#162124,#67305,.T.); #200152=EDGE_CURVE('',#162124,#162125,#86297,.T.); #200153=EDGE_CURVE('',#162125,#162125,#67306,.T.); #200154=EDGE_CURVE('',#162126,#162126,#67307,.T.); #200155=EDGE_CURVE('',#162126,#162127,#86298,.T.); #200156=EDGE_CURVE('',#162127,#162127,#67308,.T.); #200157=EDGE_CURVE('',#162128,#162128,#67309,.T.); #200158=EDGE_CURVE('',#162128,#162129,#86299,.T.); #200159=EDGE_CURVE('',#162129,#162129,#67310,.T.); #200160=EDGE_CURVE('',#162130,#162130,#67311,.T.); #200161=EDGE_CURVE('',#162130,#162131,#86300,.T.); #200162=EDGE_CURVE('',#162131,#162131,#67312,.T.); #200163=EDGE_CURVE('',#162132,#162132,#67313,.T.); #200164=EDGE_CURVE('',#162132,#162133,#86301,.T.); #200165=EDGE_CURVE('',#162133,#162133,#67314,.T.); #200166=EDGE_CURVE('',#162134,#162134,#67315,.T.); #200167=EDGE_CURVE('',#162134,#162135,#86302,.T.); #200168=EDGE_CURVE('',#162135,#162135,#67316,.T.); #200169=EDGE_CURVE('',#162136,#162136,#67317,.T.); #200170=EDGE_CURVE('',#162136,#162137,#86303,.T.); #200171=EDGE_CURVE('',#162137,#162137,#67318,.T.); #200172=EDGE_CURVE('',#162138,#162138,#67319,.T.); #200173=EDGE_CURVE('',#162138,#162139,#86304,.T.); #200174=EDGE_CURVE('',#162139,#162139,#67320,.T.); #200175=EDGE_CURVE('',#162140,#162140,#67321,.T.); #200176=EDGE_CURVE('',#162140,#162141,#86305,.T.); #200177=EDGE_CURVE('',#162141,#162141,#67322,.T.); #200178=EDGE_CURVE('',#162142,#162142,#67323,.T.); #200179=EDGE_CURVE('',#162142,#162143,#86306,.T.); #200180=EDGE_CURVE('',#162143,#162143,#67324,.T.); #200181=EDGE_CURVE('',#162144,#162144,#67325,.T.); #200182=EDGE_CURVE('',#162144,#162145,#86307,.T.); #200183=EDGE_CURVE('',#162145,#162145,#67326,.T.); #200184=EDGE_CURVE('',#162146,#162146,#67327,.T.); #200185=EDGE_CURVE('',#162146,#162147,#86308,.T.); #200186=EDGE_CURVE('',#162147,#162147,#67328,.T.); #200187=EDGE_CURVE('',#162148,#162148,#67329,.T.); #200188=EDGE_CURVE('',#162148,#162149,#86309,.T.); #200189=EDGE_CURVE('',#162149,#162149,#67330,.T.); #200190=EDGE_CURVE('',#162150,#162150,#67331,.T.); #200191=EDGE_CURVE('',#162150,#162151,#86310,.T.); #200192=EDGE_CURVE('',#162151,#162151,#67332,.T.); #200193=EDGE_CURVE('',#162152,#162152,#67333,.T.); #200194=EDGE_CURVE('',#162152,#162153,#86311,.T.); #200195=EDGE_CURVE('',#162153,#162153,#67334,.T.); #200196=EDGE_CURVE('',#162154,#162154,#67335,.T.); #200197=EDGE_CURVE('',#162154,#162155,#86312,.T.); #200198=EDGE_CURVE('',#162155,#162155,#67336,.T.); #200199=EDGE_CURVE('',#162156,#162156,#67337,.T.); #200200=EDGE_CURVE('',#162156,#162157,#86313,.T.); #200201=EDGE_CURVE('',#162157,#162157,#67338,.T.); #200202=EDGE_CURVE('',#162158,#162158,#67339,.T.); #200203=EDGE_CURVE('',#162158,#162159,#86314,.T.); #200204=EDGE_CURVE('',#162159,#162159,#67340,.T.); #200205=EDGE_CURVE('',#162160,#162160,#67341,.T.); #200206=EDGE_CURVE('',#162160,#162161,#86315,.T.); #200207=EDGE_CURVE('',#162161,#162161,#67342,.T.); #200208=EDGE_CURVE('',#162162,#162163,#86316,.T.); #200209=EDGE_CURVE('',#162163,#162164,#86317,.T.); #200210=EDGE_CURVE('',#162165,#162164,#86318,.T.); #200211=EDGE_CURVE('',#162162,#162165,#86319,.T.); #200212=EDGE_CURVE('',#162166,#162162,#67343,.T.); #200213=EDGE_CURVE('',#162167,#162165,#67344,.T.); #200214=EDGE_CURVE('',#162166,#162167,#86320,.T.); #200215=EDGE_CURVE('',#162168,#162166,#86321,.T.); #200216=EDGE_CURVE('',#162169,#162167,#86322,.T.); #200217=EDGE_CURVE('',#162168,#162169,#86323,.T.); #200218=EDGE_CURVE('',#162170,#162168,#86324,.T.); #200219=EDGE_CURVE('',#162171,#162169,#86325,.T.); #200220=EDGE_CURVE('',#162170,#162171,#86326,.T.); #200221=EDGE_CURVE('',#162172,#162170,#86327,.T.); #200222=EDGE_CURVE('',#162173,#162171,#86328,.T.); #200223=EDGE_CURVE('',#162172,#162173,#86329,.T.); #200224=EDGE_CURVE('',#162174,#162172,#67345,.T.); #200225=EDGE_CURVE('',#162175,#162173,#67346,.T.); #200226=EDGE_CURVE('',#162174,#162175,#86330,.T.); #200227=EDGE_CURVE('',#162176,#162174,#86331,.T.); #200228=EDGE_CURVE('',#162177,#162175,#86332,.T.); #200229=EDGE_CURVE('',#162176,#162177,#86333,.T.); #200230=EDGE_CURVE('',#162178,#162176,#67347,.T.); #200231=EDGE_CURVE('',#162179,#162177,#67348,.T.); #200232=EDGE_CURVE('',#162178,#162179,#86334,.T.); #200233=EDGE_CURVE('',#162180,#162178,#86335,.T.); #200234=EDGE_CURVE('',#162181,#162179,#86336,.T.); #200235=EDGE_CURVE('',#162180,#162181,#86337,.T.); #200236=EDGE_CURVE('',#162182,#162180,#86338,.T.); #200237=EDGE_CURVE('',#162183,#162181,#86339,.T.); #200238=EDGE_CURVE('',#162182,#162183,#86340,.T.); #200239=EDGE_CURVE('',#162184,#162182,#86341,.T.); #200240=EDGE_CURVE('',#162185,#162183,#86342,.T.); #200241=EDGE_CURVE('',#162184,#162185,#86343,.T.); #200242=EDGE_CURVE('',#162186,#162184,#86344,.T.); #200243=EDGE_CURVE('',#162187,#162185,#86345,.T.); #200244=EDGE_CURVE('',#162186,#162187,#86346,.T.); #200245=EDGE_CURVE('',#162188,#162186,#86347,.T.); #200246=EDGE_CURVE('',#162189,#162187,#86348,.T.); #200247=EDGE_CURVE('',#162188,#162189,#86349,.T.); #200248=EDGE_CURVE('',#162190,#162188,#86350,.T.); #200249=EDGE_CURVE('',#162191,#162189,#86351,.T.); #200250=EDGE_CURVE('',#162190,#162191,#86352,.T.); #200251=EDGE_CURVE('',#162192,#162190,#86353,.T.); #200252=EDGE_CURVE('',#162193,#162191,#86354,.T.); #200253=EDGE_CURVE('',#162192,#162193,#86355,.T.); #200254=EDGE_CURVE('',#162194,#162192,#86356,.T.); #200255=EDGE_CURVE('',#162195,#162193,#86357,.T.); #200256=EDGE_CURVE('',#162194,#162195,#86358,.T.); #200257=EDGE_CURVE('',#162196,#162194,#86359,.T.); #200258=EDGE_CURVE('',#162197,#162195,#86360,.T.); #200259=EDGE_CURVE('',#162196,#162197,#86361,.T.); #200260=EDGE_CURVE('',#162198,#162196,#86362,.T.); #200261=EDGE_CURVE('',#162199,#162197,#86363,.T.); #200262=EDGE_CURVE('',#162198,#162199,#86364,.T.); #200263=EDGE_CURVE('',#162200,#162198,#86365,.T.); #200264=EDGE_CURVE('',#162201,#162199,#86366,.T.); #200265=EDGE_CURVE('',#162200,#162201,#86367,.T.); #200266=EDGE_CURVE('',#162202,#162200,#86368,.T.); #200267=EDGE_CURVE('',#162203,#162201,#86369,.T.); #200268=EDGE_CURVE('',#162202,#162203,#86370,.T.); #200269=EDGE_CURVE('',#162204,#162202,#86371,.T.); #200270=EDGE_CURVE('',#162205,#162203,#86372,.T.); #200271=EDGE_CURVE('',#162204,#162205,#86373,.T.); #200272=EDGE_CURVE('',#162206,#162204,#86374,.T.); #200273=EDGE_CURVE('',#162207,#162205,#86375,.T.); #200274=EDGE_CURVE('',#162206,#162207,#86376,.T.); #200275=EDGE_CURVE('',#162208,#162206,#86377,.T.); #200276=EDGE_CURVE('',#162209,#162207,#86378,.T.); #200277=EDGE_CURVE('',#162208,#162209,#86379,.T.); #200278=EDGE_CURVE('',#162210,#162208,#86380,.T.); #200279=EDGE_CURVE('',#162211,#162209,#86381,.T.); #200280=EDGE_CURVE('',#162210,#162211,#86382,.T.); #200281=EDGE_CURVE('',#162212,#162210,#86383,.T.); #200282=EDGE_CURVE('',#162213,#162211,#86384,.T.); #200283=EDGE_CURVE('',#162212,#162213,#86385,.T.); #200284=EDGE_CURVE('',#162214,#162212,#86386,.T.); #200285=EDGE_CURVE('',#162215,#162213,#86387,.T.); #200286=EDGE_CURVE('',#162214,#162215,#86388,.T.); #200287=EDGE_CURVE('',#162216,#162214,#86389,.T.); #200288=EDGE_CURVE('',#162217,#162215,#86390,.T.); #200289=EDGE_CURVE('',#162216,#162217,#86391,.T.); #200290=EDGE_CURVE('',#162218,#162216,#86392,.T.); #200291=EDGE_CURVE('',#162219,#162217,#86393,.T.); #200292=EDGE_CURVE('',#162218,#162219,#86394,.T.); #200293=EDGE_CURVE('',#162220,#162218,#86395,.T.); #200294=EDGE_CURVE('',#162221,#162219,#86396,.T.); #200295=EDGE_CURVE('',#162220,#162221,#86397,.T.); #200296=EDGE_CURVE('',#162222,#162220,#86398,.T.); #200297=EDGE_CURVE('',#162223,#162221,#86399,.T.); #200298=EDGE_CURVE('',#162222,#162223,#86400,.T.); #200299=EDGE_CURVE('',#162224,#162222,#86401,.T.); #200300=EDGE_CURVE('',#162225,#162223,#86402,.T.); #200301=EDGE_CURVE('',#162224,#162225,#86403,.T.); #200302=EDGE_CURVE('',#162226,#162224,#86404,.T.); #200303=EDGE_CURVE('',#162227,#162225,#86405,.T.); #200304=EDGE_CURVE('',#162226,#162227,#86406,.T.); #200305=EDGE_CURVE('',#162228,#162226,#86407,.T.); #200306=EDGE_CURVE('',#162229,#162227,#86408,.T.); #200307=EDGE_CURVE('',#162228,#162229,#86409,.T.); #200308=EDGE_CURVE('',#162230,#162228,#86410,.T.); #200309=EDGE_CURVE('',#162231,#162229,#86411,.T.); #200310=EDGE_CURVE('',#162230,#162231,#86412,.T.); #200311=EDGE_CURVE('',#162232,#162230,#86413,.T.); #200312=EDGE_CURVE('',#162233,#162231,#86414,.T.); #200313=EDGE_CURVE('',#162232,#162233,#86415,.T.); #200314=EDGE_CURVE('',#162234,#162232,#86416,.T.); #200315=EDGE_CURVE('',#162235,#162233,#86417,.T.); #200316=EDGE_CURVE('',#162234,#162235,#86418,.T.); #200317=EDGE_CURVE('',#162236,#162234,#86419,.T.); #200318=EDGE_CURVE('',#162237,#162235,#86420,.T.); #200319=EDGE_CURVE('',#162236,#162237,#86421,.T.); #200320=EDGE_CURVE('',#162238,#162236,#86422,.T.); #200321=EDGE_CURVE('',#162239,#162237,#86423,.T.); #200322=EDGE_CURVE('',#162238,#162239,#86424,.T.); #200323=EDGE_CURVE('',#162240,#162238,#86425,.T.); #200324=EDGE_CURVE('',#162241,#162239,#86426,.T.); #200325=EDGE_CURVE('',#162240,#162241,#86427,.T.); #200326=EDGE_CURVE('',#162242,#162240,#86428,.T.); #200327=EDGE_CURVE('',#162243,#162241,#86429,.T.); #200328=EDGE_CURVE('',#162242,#162243,#86430,.T.); #200329=EDGE_CURVE('',#162244,#162242,#86431,.T.); #200330=EDGE_CURVE('',#162245,#162243,#86432,.T.); #200331=EDGE_CURVE('',#162244,#162245,#86433,.T.); #200332=EDGE_CURVE('',#162246,#162244,#86434,.T.); #200333=EDGE_CURVE('',#162247,#162245,#86435,.T.); #200334=EDGE_CURVE('',#162246,#162247,#86436,.T.); #200335=EDGE_CURVE('',#162248,#162246,#86437,.T.); #200336=EDGE_CURVE('',#162249,#162247,#86438,.T.); #200337=EDGE_CURVE('',#162248,#162249,#86439,.T.); #200338=EDGE_CURVE('',#162250,#162248,#86440,.T.); #200339=EDGE_CURVE('',#162251,#162249,#86441,.T.); #200340=EDGE_CURVE('',#162250,#162251,#86442,.T.); #200341=EDGE_CURVE('',#162252,#162250,#86443,.T.); #200342=EDGE_CURVE('',#162253,#162251,#86444,.T.); #200343=EDGE_CURVE('',#162252,#162253,#86445,.T.); #200344=EDGE_CURVE('',#162254,#162252,#86446,.T.); #200345=EDGE_CURVE('',#162255,#162253,#86447,.T.); #200346=EDGE_CURVE('',#162254,#162255,#86448,.T.); #200347=EDGE_CURVE('',#162256,#162254,#86449,.T.); #200348=EDGE_CURVE('',#162257,#162255,#86450,.T.); #200349=EDGE_CURVE('',#162256,#162257,#86451,.T.); #200350=EDGE_CURVE('',#162258,#162256,#86452,.T.); #200351=EDGE_CURVE('',#162259,#162257,#86453,.T.); #200352=EDGE_CURVE('',#162258,#162259,#86454,.T.); #200353=EDGE_CURVE('',#162260,#162258,#86455,.T.); #200354=EDGE_CURVE('',#162261,#162259,#86456,.T.); #200355=EDGE_CURVE('',#162260,#162261,#86457,.T.); #200356=EDGE_CURVE('',#162262,#162260,#86458,.T.); #200357=EDGE_CURVE('',#162263,#162261,#86459,.T.); #200358=EDGE_CURVE('',#162262,#162263,#86460,.T.); #200359=EDGE_CURVE('',#162264,#162262,#86461,.T.); #200360=EDGE_CURVE('',#162265,#162263,#86462,.T.); #200361=EDGE_CURVE('',#162264,#162265,#86463,.T.); #200362=EDGE_CURVE('',#162266,#162264,#86464,.T.); #200363=EDGE_CURVE('',#162267,#162265,#86465,.T.); #200364=EDGE_CURVE('',#162266,#162267,#86466,.T.); #200365=EDGE_CURVE('',#162268,#162266,#86467,.T.); #200366=EDGE_CURVE('',#162269,#162267,#86468,.T.); #200367=EDGE_CURVE('',#162268,#162269,#86469,.T.); #200368=EDGE_CURVE('',#162270,#162268,#86470,.T.); #200369=EDGE_CURVE('',#162271,#162269,#86471,.T.); #200370=EDGE_CURVE('',#162270,#162271,#86472,.T.); #200371=EDGE_CURVE('',#162272,#162270,#86473,.T.); #200372=EDGE_CURVE('',#162273,#162271,#86474,.T.); #200373=EDGE_CURVE('',#162272,#162273,#86475,.T.); #200374=EDGE_CURVE('',#162274,#162272,#86476,.T.); #200375=EDGE_CURVE('',#162275,#162273,#86477,.T.); #200376=EDGE_CURVE('',#162274,#162275,#86478,.T.); #200377=EDGE_CURVE('',#162276,#162274,#86479,.T.); #200378=EDGE_CURVE('',#162277,#162275,#86480,.T.); #200379=EDGE_CURVE('',#162276,#162277,#86481,.T.); #200380=EDGE_CURVE('',#162278,#162276,#86482,.T.); #200381=EDGE_CURVE('',#162279,#162277,#86483,.T.); #200382=EDGE_CURVE('',#162278,#162279,#86484,.T.); #200383=EDGE_CURVE('',#162280,#162278,#86485,.T.); #200384=EDGE_CURVE('',#162281,#162279,#86486,.T.); #200385=EDGE_CURVE('',#162280,#162281,#86487,.T.); #200386=EDGE_CURVE('',#162282,#162280,#86488,.T.); #200387=EDGE_CURVE('',#162283,#162281,#86489,.T.); #200388=EDGE_CURVE('',#162282,#162283,#86490,.T.); #200389=EDGE_CURVE('',#162284,#162282,#86491,.T.); #200390=EDGE_CURVE('',#162285,#162283,#86492,.T.); #200391=EDGE_CURVE('',#162284,#162285,#86493,.T.); #200392=EDGE_CURVE('',#162286,#162284,#86494,.T.); #200393=EDGE_CURVE('',#162287,#162285,#86495,.T.); #200394=EDGE_CURVE('',#162286,#162287,#86496,.T.); #200395=EDGE_CURVE('',#162288,#162286,#86497,.T.); #200396=EDGE_CURVE('',#162289,#162287,#86498,.T.); #200397=EDGE_CURVE('',#162288,#162289,#86499,.T.); #200398=EDGE_CURVE('',#162290,#162288,#86500,.T.); #200399=EDGE_CURVE('',#162291,#162289,#86501,.T.); #200400=EDGE_CURVE('',#162290,#162291,#86502,.T.); #200401=EDGE_CURVE('',#162292,#162290,#86503,.T.); #200402=EDGE_CURVE('',#162293,#162291,#86504,.T.); #200403=EDGE_CURVE('',#162292,#162293,#86505,.T.); #200404=EDGE_CURVE('',#162294,#162292,#86506,.T.); #200405=EDGE_CURVE('',#162295,#162293,#86507,.T.); #200406=EDGE_CURVE('',#162294,#162295,#86508,.T.); #200407=EDGE_CURVE('',#162296,#162294,#86509,.T.); #200408=EDGE_CURVE('',#162297,#162295,#86510,.T.); #200409=EDGE_CURVE('',#162296,#162297,#86511,.T.); #200410=EDGE_CURVE('',#162298,#162296,#86512,.T.); #200411=EDGE_CURVE('',#162299,#162297,#86513,.T.); #200412=EDGE_CURVE('',#162298,#162299,#86514,.T.); #200413=EDGE_CURVE('',#162300,#162298,#86515,.T.); #200414=EDGE_CURVE('',#162301,#162299,#86516,.T.); #200415=EDGE_CURVE('',#162300,#162301,#86517,.T.); #200416=EDGE_CURVE('',#162302,#162300,#86518,.T.); #200417=EDGE_CURVE('',#162303,#162301,#86519,.T.); #200418=EDGE_CURVE('',#162302,#162303,#86520,.T.); #200419=EDGE_CURVE('',#162304,#162302,#86521,.T.); #200420=EDGE_CURVE('',#162305,#162303,#86522,.T.); #200421=EDGE_CURVE('',#162304,#162305,#86523,.T.); #200422=EDGE_CURVE('',#162306,#162304,#86524,.T.); #200423=EDGE_CURVE('',#162307,#162305,#86525,.T.); #200424=EDGE_CURVE('',#162306,#162307,#86526,.T.); #200425=EDGE_CURVE('',#162308,#162306,#86527,.T.); #200426=EDGE_CURVE('',#162309,#162307,#86528,.T.); #200427=EDGE_CURVE('',#162308,#162309,#86529,.T.); #200428=EDGE_CURVE('',#162310,#162308,#86530,.T.); #200429=EDGE_CURVE('',#162311,#162309,#86531,.T.); #200430=EDGE_CURVE('',#162310,#162311,#86532,.T.); #200431=EDGE_CURVE('',#162312,#162310,#86533,.T.); #200432=EDGE_CURVE('',#162313,#162311,#86534,.T.); #200433=EDGE_CURVE('',#162312,#162313,#86535,.T.); #200434=EDGE_CURVE('',#162314,#162312,#86536,.T.); #200435=EDGE_CURVE('',#162315,#162313,#86537,.T.); #200436=EDGE_CURVE('',#162314,#162315,#86538,.T.); #200437=EDGE_CURVE('',#162316,#162314,#86539,.T.); #200438=EDGE_CURVE('',#162317,#162315,#86540,.T.); #200439=EDGE_CURVE('',#162316,#162317,#86541,.T.); #200440=EDGE_CURVE('',#162318,#162316,#86542,.T.); #200441=EDGE_CURVE('',#162319,#162317,#86543,.T.); #200442=EDGE_CURVE('',#162318,#162319,#86544,.T.); #200443=EDGE_CURVE('',#162320,#162318,#86545,.T.); #200444=EDGE_CURVE('',#162321,#162319,#86546,.T.); #200445=EDGE_CURVE('',#162320,#162321,#86547,.T.); #200446=EDGE_CURVE('',#162322,#162320,#86548,.T.); #200447=EDGE_CURVE('',#162323,#162321,#86549,.T.); #200448=EDGE_CURVE('',#162322,#162323,#86550,.T.); #200449=EDGE_CURVE('',#162324,#162322,#67349,.T.); #200450=EDGE_CURVE('',#162325,#162323,#67350,.T.); #200451=EDGE_CURVE('',#162324,#162325,#86551,.T.); #200452=EDGE_CURVE('',#162326,#162324,#86552,.T.); #200453=EDGE_CURVE('',#162327,#162325,#86553,.T.); #200454=EDGE_CURVE('',#162326,#162327,#86554,.T.); #200455=EDGE_CURVE('',#162328,#162326,#67351,.T.); #200456=EDGE_CURVE('',#162329,#162327,#67352,.T.); #200457=EDGE_CURVE('',#162328,#162329,#86555,.T.); #200458=EDGE_CURVE('',#162330,#162328,#86556,.T.); #200459=EDGE_CURVE('',#162331,#162329,#86557,.T.); #200460=EDGE_CURVE('',#162330,#162331,#86558,.T.); #200461=EDGE_CURVE('',#162163,#162330,#67353,.T.); #200462=EDGE_CURVE('',#162164,#162331,#67354,.T.); #200463=EDGE_CURVE('',#162332,#162332,#67355,.T.); #200464=EDGE_CURVE('',#162332,#162333,#86559,.T.); #200465=EDGE_CURVE('',#162333,#162333,#67356,.T.); #200466=EDGE_CURVE('',#162334,#162335,#86560,.T.); #200467=EDGE_CURVE('',#162335,#162336,#86561,.T.); #200468=EDGE_CURVE('',#162337,#162336,#86562,.T.); #200469=EDGE_CURVE('',#162334,#162337,#86563,.T.); #200470=EDGE_CURVE('',#162338,#162334,#86564,.T.); #200471=EDGE_CURVE('',#162339,#162337,#86565,.T.); #200472=EDGE_CURVE('',#162338,#162339,#86566,.T.); #200473=EDGE_CURVE('',#162340,#162338,#86567,.T.); #200474=EDGE_CURVE('',#162341,#162339,#86568,.T.); #200475=EDGE_CURVE('',#162340,#162341,#86569,.T.); #200476=EDGE_CURVE('',#162342,#162340,#86570,.T.); #200477=EDGE_CURVE('',#162343,#162341,#86571,.T.); #200478=EDGE_CURVE('',#162342,#162343,#86572,.T.); #200479=EDGE_CURVE('',#162344,#162342,#86573,.T.); #200480=EDGE_CURVE('',#162345,#162343,#86574,.T.); #200481=EDGE_CURVE('',#162344,#162345,#86575,.T.); #200482=EDGE_CURVE('',#162346,#162344,#86576,.T.); #200483=EDGE_CURVE('',#162347,#162345,#86577,.T.); #200484=EDGE_CURVE('',#162346,#162347,#86578,.T.); #200485=EDGE_CURVE('',#162348,#162346,#67357,.T.); #200486=EDGE_CURVE('',#162349,#162347,#67358,.T.); #200487=EDGE_CURVE('',#162348,#162349,#86579,.T.); #200488=EDGE_CURVE('',#162350,#162348,#86580,.T.); #200489=EDGE_CURVE('',#162351,#162349,#86581,.T.); #200490=EDGE_CURVE('',#162350,#162351,#86582,.T.); #200491=EDGE_CURVE('',#162352,#162350,#86583,.T.); #200492=EDGE_CURVE('',#162353,#162351,#86584,.T.); #200493=EDGE_CURVE('',#162352,#162353,#86585,.T.); #200494=EDGE_CURVE('',#162354,#162352,#67359,.T.); #200495=EDGE_CURVE('',#162355,#162353,#67360,.T.); #200496=EDGE_CURVE('',#162354,#162355,#86586,.T.); #200497=EDGE_CURVE('',#162356,#162354,#86587,.T.); #200498=EDGE_CURVE('',#162357,#162355,#86588,.T.); #200499=EDGE_CURVE('',#162356,#162357,#86589,.T.); #200500=EDGE_CURVE('',#162358,#162356,#67361,.T.); #200501=EDGE_CURVE('',#162359,#162357,#67362,.T.); #200502=EDGE_CURVE('',#162358,#162359,#86590,.T.); #200503=EDGE_CURVE('',#162360,#162358,#67363,.T.); #200504=EDGE_CURVE('',#162361,#162359,#67364,.T.); #200505=EDGE_CURVE('',#162360,#162361,#86591,.T.); #200506=EDGE_CURVE('',#162362,#162360,#86592,.T.); #200507=EDGE_CURVE('',#162363,#162361,#86593,.T.); #200508=EDGE_CURVE('',#162362,#162363,#86594,.T.); #200509=EDGE_CURVE('',#162364,#162362,#86595,.T.); #200510=EDGE_CURVE('',#162365,#162363,#86596,.T.); #200511=EDGE_CURVE('',#162364,#162365,#86597,.T.); #200512=EDGE_CURVE('',#162366,#162364,#67365,.T.); #200513=EDGE_CURVE('',#162367,#162365,#67366,.T.); #200514=EDGE_CURVE('',#162366,#162367,#86598,.T.); #200515=EDGE_CURVE('',#162368,#162366,#86599,.T.); #200516=EDGE_CURVE('',#162369,#162367,#86600,.T.); #200517=EDGE_CURVE('',#162368,#162369,#86601,.T.); #200518=EDGE_CURVE('',#162370,#162368,#86602,.T.); #200519=EDGE_CURVE('',#162371,#162369,#86603,.T.); #200520=EDGE_CURVE('',#162370,#162371,#86604,.T.); #200521=EDGE_CURVE('',#162372,#162370,#67367,.T.); #200522=EDGE_CURVE('',#162373,#162371,#67368,.T.); #200523=EDGE_CURVE('',#162372,#162373,#86605,.T.); #200524=EDGE_CURVE('',#162374,#162372,#86606,.T.); #200525=EDGE_CURVE('',#162375,#162373,#86607,.T.); #200526=EDGE_CURVE('',#162374,#162375,#86608,.T.); #200527=EDGE_CURVE('',#162335,#162374,#86609,.T.); #200528=EDGE_CURVE('',#162336,#162375,#86610,.T.); #200529=EDGE_CURVE('',#162376,#162376,#67369,.T.); #200530=EDGE_CURVE('',#162376,#162377,#86611,.T.); #200531=EDGE_CURVE('',#162377,#162377,#67370,.T.); #200532=EDGE_CURVE('',#162378,#162378,#67371,.T.); #200533=EDGE_CURVE('',#162378,#162379,#86612,.T.); #200534=EDGE_CURVE('',#162379,#162379,#67372,.T.); #200535=EDGE_CURVE('',#162380,#162380,#67373,.T.); #200536=EDGE_CURVE('',#162380,#162381,#86613,.T.); #200537=EDGE_CURVE('',#162381,#162381,#67374,.T.); #200538=EDGE_CURVE('',#162382,#162382,#67375,.T.); #200539=EDGE_CURVE('',#162382,#162383,#86614,.T.); #200540=EDGE_CURVE('',#162383,#162383,#67376,.T.); #200541=EDGE_CURVE('',#162384,#162384,#67377,.T.); #200542=EDGE_CURVE('',#162384,#162385,#86615,.T.); #200543=EDGE_CURVE('',#162385,#162385,#67378,.T.); #200544=EDGE_CURVE('',#162386,#162386,#67379,.T.); #200545=EDGE_CURVE('',#162386,#162387,#86616,.T.); #200546=EDGE_CURVE('',#162387,#162387,#67380,.T.); #200547=EDGE_CURVE('',#162388,#162388,#67381,.T.); #200548=EDGE_CURVE('',#162388,#162389,#86617,.T.); #200549=EDGE_CURVE('',#162389,#162389,#67382,.T.); #200550=EDGE_CURVE('',#162390,#162390,#67383,.T.); #200551=EDGE_CURVE('',#162390,#162391,#86618,.T.); #200552=EDGE_CURVE('',#162391,#162391,#67384,.T.); #200553=EDGE_CURVE('',#162392,#162392,#67385,.T.); #200554=EDGE_CURVE('',#162392,#162393,#86619,.T.); #200555=EDGE_CURVE('',#162393,#162393,#67386,.T.); #200556=EDGE_CURVE('',#162394,#162394,#67387,.T.); #200557=EDGE_CURVE('',#162394,#162395,#86620,.T.); #200558=EDGE_CURVE('',#162395,#162395,#67388,.T.); #200559=EDGE_CURVE('',#162396,#162396,#67389,.T.); #200560=EDGE_CURVE('',#162396,#162397,#86621,.T.); #200561=EDGE_CURVE('',#162397,#162397,#67390,.T.); #200562=EDGE_CURVE('',#162398,#162399,#86622,.T.); #200563=EDGE_CURVE('',#162399,#162400,#86623,.T.); #200564=EDGE_CURVE('',#162401,#162400,#86624,.T.); #200565=EDGE_CURVE('',#162398,#162401,#86625,.T.); #200566=EDGE_CURVE('',#162402,#162398,#86626,.T.); #200567=EDGE_CURVE('',#162403,#162401,#86627,.T.); #200568=EDGE_CURVE('',#162402,#162403,#86628,.T.); #200569=EDGE_CURVE('',#162404,#162402,#86629,.T.); #200570=EDGE_CURVE('',#162405,#162403,#86630,.T.); #200571=EDGE_CURVE('',#162404,#162405,#86631,.T.); #200572=EDGE_CURVE('',#162406,#162404,#86632,.T.); #200573=EDGE_CURVE('',#162407,#162405,#86633,.T.); #200574=EDGE_CURVE('',#162406,#162407,#86634,.T.); #200575=EDGE_CURVE('',#162408,#162406,#86635,.T.); #200576=EDGE_CURVE('',#162409,#162407,#86636,.T.); #200577=EDGE_CURVE('',#162408,#162409,#86637,.T.); #200578=EDGE_CURVE('',#162410,#162408,#86638,.T.); #200579=EDGE_CURVE('',#162411,#162409,#86639,.T.); #200580=EDGE_CURVE('',#162410,#162411,#86640,.T.); #200581=EDGE_CURVE('',#162412,#162410,#86641,.T.); #200582=EDGE_CURVE('',#162413,#162411,#86642,.T.); #200583=EDGE_CURVE('',#162412,#162413,#86643,.T.); #200584=EDGE_CURVE('',#162414,#162412,#86644,.T.); #200585=EDGE_CURVE('',#162415,#162413,#86645,.T.); #200586=EDGE_CURVE('',#162414,#162415,#86646,.T.); #200587=EDGE_CURVE('',#162416,#162414,#86647,.T.); #200588=EDGE_CURVE('',#162417,#162415,#86648,.T.); #200589=EDGE_CURVE('',#162416,#162417,#86649,.T.); #200590=EDGE_CURVE('',#162418,#162416,#86650,.T.); #200591=EDGE_CURVE('',#162419,#162417,#86651,.T.); #200592=EDGE_CURVE('',#162418,#162419,#86652,.T.); #200593=EDGE_CURVE('',#162420,#162418,#86653,.T.); #200594=EDGE_CURVE('',#162421,#162419,#86654,.T.); #200595=EDGE_CURVE('',#162420,#162421,#86655,.T.); #200596=EDGE_CURVE('',#162422,#162420,#86656,.T.); #200597=EDGE_CURVE('',#162423,#162421,#86657,.T.); #200598=EDGE_CURVE('',#162422,#162423,#86658,.T.); #200599=EDGE_CURVE('',#162424,#162422,#86659,.T.); #200600=EDGE_CURVE('',#162425,#162423,#86660,.T.); #200601=EDGE_CURVE('',#162424,#162425,#86661,.T.); #200602=EDGE_CURVE('',#162426,#162424,#86662,.T.); #200603=EDGE_CURVE('',#162427,#162425,#86663,.T.); #200604=EDGE_CURVE('',#162426,#162427,#86664,.T.); #200605=EDGE_CURVE('',#162428,#162426,#86665,.T.); #200606=EDGE_CURVE('',#162429,#162427,#86666,.T.); #200607=EDGE_CURVE('',#162428,#162429,#86667,.T.); #200608=EDGE_CURVE('',#162430,#162428,#86668,.T.); #200609=EDGE_CURVE('',#162431,#162429,#86669,.T.); #200610=EDGE_CURVE('',#162430,#162431,#86670,.T.); #200611=EDGE_CURVE('',#162432,#162430,#86671,.T.); #200612=EDGE_CURVE('',#162433,#162431,#86672,.T.); #200613=EDGE_CURVE('',#162432,#162433,#86673,.T.); #200614=EDGE_CURVE('',#162434,#162432,#86674,.T.); #200615=EDGE_CURVE('',#162435,#162433,#86675,.T.); #200616=EDGE_CURVE('',#162434,#162435,#86676,.T.); #200617=EDGE_CURVE('',#162436,#162434,#86677,.T.); #200618=EDGE_CURVE('',#162437,#162435,#86678,.T.); #200619=EDGE_CURVE('',#162436,#162437,#86679,.T.); #200620=EDGE_CURVE('',#162438,#162436,#86680,.T.); #200621=EDGE_CURVE('',#162439,#162437,#86681,.T.); #200622=EDGE_CURVE('',#162438,#162439,#86682,.T.); #200623=EDGE_CURVE('',#162440,#162438,#86683,.T.); #200624=EDGE_CURVE('',#162441,#162439,#86684,.T.); #200625=EDGE_CURVE('',#162440,#162441,#86685,.T.); #200626=EDGE_CURVE('',#162442,#162440,#86686,.T.); #200627=EDGE_CURVE('',#162443,#162441,#86687,.T.); #200628=EDGE_CURVE('',#162442,#162443,#86688,.T.); #200629=EDGE_CURVE('',#162444,#162442,#86689,.T.); #200630=EDGE_CURVE('',#162445,#162443,#86690,.T.); #200631=EDGE_CURVE('',#162444,#162445,#86691,.T.); #200632=EDGE_CURVE('',#162446,#162444,#86692,.T.); #200633=EDGE_CURVE('',#162447,#162445,#86693,.T.); #200634=EDGE_CURVE('',#162446,#162447,#86694,.T.); #200635=EDGE_CURVE('',#162448,#162446,#67391,.T.); #200636=EDGE_CURVE('',#162449,#162447,#67392,.T.); #200637=EDGE_CURVE('',#162448,#162449,#86695,.T.); #200638=EDGE_CURVE('',#162450,#162448,#86696,.T.); #200639=EDGE_CURVE('',#162451,#162449,#86697,.T.); #200640=EDGE_CURVE('',#162450,#162451,#86698,.T.); #200641=EDGE_CURVE('',#162452,#162450,#86699,.T.); #200642=EDGE_CURVE('',#162453,#162451,#86700,.T.); #200643=EDGE_CURVE('',#162452,#162453,#86701,.T.); #200644=EDGE_CURVE('',#162454,#162452,#67393,.T.); #200645=EDGE_CURVE('',#162455,#162453,#67394,.T.); #200646=EDGE_CURVE('',#162454,#162455,#86702,.T.); #200647=EDGE_CURVE('',#162456,#162454,#86703,.T.); #200648=EDGE_CURVE('',#162457,#162455,#86704,.T.); #200649=EDGE_CURVE('',#162456,#162457,#86705,.T.); #200650=EDGE_CURVE('',#162458,#162456,#86706,.T.); #200651=EDGE_CURVE('',#162459,#162457,#86707,.T.); #200652=EDGE_CURVE('',#162458,#162459,#86708,.T.); #200653=EDGE_CURVE('',#162460,#162458,#86709,.T.); #200654=EDGE_CURVE('',#162461,#162459,#86710,.T.); #200655=EDGE_CURVE('',#162460,#162461,#86711,.T.); #200656=EDGE_CURVE('',#162462,#162460,#86712,.T.); #200657=EDGE_CURVE('',#162463,#162461,#86713,.T.); #200658=EDGE_CURVE('',#162462,#162463,#86714,.T.); #200659=EDGE_CURVE('',#162464,#162462,#86715,.T.); #200660=EDGE_CURVE('',#162465,#162463,#86716,.T.); #200661=EDGE_CURVE('',#162464,#162465,#86717,.T.); #200662=EDGE_CURVE('',#162466,#162464,#86718,.T.); #200663=EDGE_CURVE('',#162467,#162465,#86719,.T.); #200664=EDGE_CURVE('',#162466,#162467,#86720,.T.); #200665=EDGE_CURVE('',#162468,#162466,#86721,.T.); #200666=EDGE_CURVE('',#162469,#162467,#86722,.T.); #200667=EDGE_CURVE('',#162468,#162469,#86723,.T.); #200668=EDGE_CURVE('',#162470,#162468,#86724,.T.); #200669=EDGE_CURVE('',#162471,#162469,#86725,.T.); #200670=EDGE_CURVE('',#162470,#162471,#86726,.T.); #200671=EDGE_CURVE('',#162472,#162470,#67395,.T.); #200672=EDGE_CURVE('',#162473,#162471,#67396,.T.); #200673=EDGE_CURVE('',#162472,#162473,#86727,.T.); #200674=EDGE_CURVE('',#162474,#162472,#86728,.T.); #200675=EDGE_CURVE('',#162475,#162473,#86729,.T.); #200676=EDGE_CURVE('',#162474,#162475,#86730,.T.); #200677=EDGE_CURVE('',#162476,#162474,#67397,.T.); #200678=EDGE_CURVE('',#162477,#162475,#67398,.T.); #200679=EDGE_CURVE('',#162476,#162477,#86731,.T.); #200680=EDGE_CURVE('',#162478,#162476,#86732,.T.); #200681=EDGE_CURVE('',#162479,#162477,#86733,.T.); #200682=EDGE_CURVE('',#162478,#162479,#86734,.T.); #200683=EDGE_CURVE('',#162480,#162478,#86735,.T.); #200684=EDGE_CURVE('',#162481,#162479,#86736,.T.); #200685=EDGE_CURVE('',#162480,#162481,#86737,.T.); #200686=EDGE_CURVE('',#162482,#162480,#86738,.T.); #200687=EDGE_CURVE('',#162483,#162481,#86739,.T.); #200688=EDGE_CURVE('',#162482,#162483,#86740,.T.); #200689=EDGE_CURVE('',#162484,#162482,#86741,.T.); #200690=EDGE_CURVE('',#162485,#162483,#86742,.T.); #200691=EDGE_CURVE('',#162484,#162485,#86743,.T.); #200692=EDGE_CURVE('',#162486,#162484,#86744,.T.); #200693=EDGE_CURVE('',#162487,#162485,#86745,.T.); #200694=EDGE_CURVE('',#162486,#162487,#86746,.T.); #200695=EDGE_CURVE('',#162488,#162486,#86747,.T.); #200696=EDGE_CURVE('',#162489,#162487,#86748,.T.); #200697=EDGE_CURVE('',#162488,#162489,#86749,.T.); #200698=EDGE_CURVE('',#162490,#162488,#86750,.T.); #200699=EDGE_CURVE('',#162491,#162489,#86751,.T.); #200700=EDGE_CURVE('',#162490,#162491,#86752,.T.); #200701=EDGE_CURVE('',#162492,#162490,#86753,.T.); #200702=EDGE_CURVE('',#162493,#162491,#86754,.T.); #200703=EDGE_CURVE('',#162492,#162493,#86755,.T.); #200704=EDGE_CURVE('',#162494,#162492,#86756,.T.); #200705=EDGE_CURVE('',#162495,#162493,#86757,.T.); #200706=EDGE_CURVE('',#162494,#162495,#86758,.T.); #200707=EDGE_CURVE('',#162496,#162494,#86759,.T.); #200708=EDGE_CURVE('',#162497,#162495,#86760,.T.); #200709=EDGE_CURVE('',#162496,#162497,#86761,.T.); #200710=EDGE_CURVE('',#162498,#162496,#86762,.T.); #200711=EDGE_CURVE('',#162499,#162497,#86763,.T.); #200712=EDGE_CURVE('',#162498,#162499,#86764,.T.); #200713=EDGE_CURVE('',#162500,#162498,#86765,.T.); #200714=EDGE_CURVE('',#162501,#162499,#86766,.T.); #200715=EDGE_CURVE('',#162500,#162501,#86767,.T.); #200716=EDGE_CURVE('',#162502,#162500,#86768,.T.); #200717=EDGE_CURVE('',#162503,#162501,#86769,.T.); #200718=EDGE_CURVE('',#162502,#162503,#86770,.T.); #200719=EDGE_CURVE('',#162504,#162502,#86771,.T.); #200720=EDGE_CURVE('',#162505,#162503,#86772,.T.); #200721=EDGE_CURVE('',#162504,#162505,#86773,.T.); #200722=EDGE_CURVE('',#162506,#162504,#86774,.T.); #200723=EDGE_CURVE('',#162507,#162505,#86775,.T.); #200724=EDGE_CURVE('',#162506,#162507,#86776,.T.); #200725=EDGE_CURVE('',#162508,#162506,#67399,.T.); #200726=EDGE_CURVE('',#162509,#162507,#67400,.T.); #200727=EDGE_CURVE('',#162508,#162509,#86777,.T.); #200728=EDGE_CURVE('',#162510,#162508,#86778,.T.); #200729=EDGE_CURVE('',#162511,#162509,#86779,.T.); #200730=EDGE_CURVE('',#162510,#162511,#86780,.T.); #200731=EDGE_CURVE('',#162512,#162510,#67401,.T.); #200732=EDGE_CURVE('',#162513,#162511,#67402,.T.); #200733=EDGE_CURVE('',#162512,#162513,#86781,.T.); #200734=EDGE_CURVE('',#162514,#162512,#86782,.T.); #200735=EDGE_CURVE('',#162515,#162513,#86783,.T.); #200736=EDGE_CURVE('',#162514,#162515,#86784,.T.); #200737=EDGE_CURVE('',#162516,#162514,#86785,.T.); #200738=EDGE_CURVE('',#162517,#162515,#86786,.T.); #200739=EDGE_CURVE('',#162516,#162517,#86787,.T.); #200740=EDGE_CURVE('',#162518,#162516,#86788,.T.); #200741=EDGE_CURVE('',#162519,#162517,#86789,.T.); #200742=EDGE_CURVE('',#162518,#162519,#86790,.T.); #200743=EDGE_CURVE('',#162520,#162518,#86791,.T.); #200744=EDGE_CURVE('',#162521,#162519,#86792,.T.); #200745=EDGE_CURVE('',#162520,#162521,#86793,.T.); #200746=EDGE_CURVE('',#162522,#162520,#86794,.T.); #200747=EDGE_CURVE('',#162523,#162521,#86795,.T.); #200748=EDGE_CURVE('',#162522,#162523,#86796,.T.); #200749=EDGE_CURVE('',#162524,#162522,#86797,.T.); #200750=EDGE_CURVE('',#162525,#162523,#86798,.T.); #200751=EDGE_CURVE('',#162524,#162525,#86799,.T.); #200752=EDGE_CURVE('',#162526,#162524,#86800,.T.); #200753=EDGE_CURVE('',#162527,#162525,#86801,.T.); #200754=EDGE_CURVE('',#162526,#162527,#86802,.T.); #200755=EDGE_CURVE('',#162528,#162526,#86803,.T.); #200756=EDGE_CURVE('',#162529,#162527,#86804,.T.); #200757=EDGE_CURVE('',#162528,#162529,#86805,.T.); #200758=EDGE_CURVE('',#162530,#162528,#86806,.T.); #200759=EDGE_CURVE('',#162531,#162529,#86807,.T.); #200760=EDGE_CURVE('',#162530,#162531,#86808,.T.); #200761=EDGE_CURVE('',#162532,#162530,#86809,.T.); #200762=EDGE_CURVE('',#162533,#162531,#86810,.T.); #200763=EDGE_CURVE('',#162532,#162533,#86811,.T.); #200764=EDGE_CURVE('',#162534,#162532,#86812,.T.); #200765=EDGE_CURVE('',#162535,#162533,#86813,.T.); #200766=EDGE_CURVE('',#162534,#162535,#86814,.T.); #200767=EDGE_CURVE('',#162536,#162534,#86815,.T.); #200768=EDGE_CURVE('',#162537,#162535,#86816,.T.); #200769=EDGE_CURVE('',#162536,#162537,#86817,.T.); #200770=EDGE_CURVE('',#162538,#162536,#86818,.T.); #200771=EDGE_CURVE('',#162539,#162537,#86819,.T.); #200772=EDGE_CURVE('',#162538,#162539,#86820,.T.); #200773=EDGE_CURVE('',#162540,#162538,#86821,.T.); #200774=EDGE_CURVE('',#162541,#162539,#86822,.T.); #200775=EDGE_CURVE('',#162540,#162541,#86823,.T.); #200776=EDGE_CURVE('',#162542,#162540,#86824,.T.); #200777=EDGE_CURVE('',#162543,#162541,#86825,.T.); #200778=EDGE_CURVE('',#162542,#162543,#86826,.T.); #200779=EDGE_CURVE('',#162544,#162542,#86827,.T.); #200780=EDGE_CURVE('',#162545,#162543,#86828,.T.); #200781=EDGE_CURVE('',#162544,#162545,#86829,.T.); #200782=EDGE_CURVE('',#162546,#162544,#86830,.T.); #200783=EDGE_CURVE('',#162547,#162545,#86831,.T.); #200784=EDGE_CURVE('',#162546,#162547,#86832,.T.); #200785=EDGE_CURVE('',#162548,#162546,#86833,.T.); #200786=EDGE_CURVE('',#162549,#162547,#86834,.T.); #200787=EDGE_CURVE('',#162548,#162549,#86835,.T.); #200788=EDGE_CURVE('',#162550,#162548,#86836,.T.); #200789=EDGE_CURVE('',#162551,#162549,#86837,.T.); #200790=EDGE_CURVE('',#162550,#162551,#86838,.T.); #200791=EDGE_CURVE('',#162552,#162550,#86839,.T.); #200792=EDGE_CURVE('',#162553,#162551,#86840,.T.); #200793=EDGE_CURVE('',#162552,#162553,#86841,.T.); #200794=EDGE_CURVE('',#162554,#162552,#86842,.T.); #200795=EDGE_CURVE('',#162555,#162553,#86843,.T.); #200796=EDGE_CURVE('',#162554,#162555,#86844,.T.); #200797=EDGE_CURVE('',#162556,#162554,#86845,.T.); #200798=EDGE_CURVE('',#162557,#162555,#86846,.T.); #200799=EDGE_CURVE('',#162556,#162557,#86847,.T.); #200800=EDGE_CURVE('',#162558,#162556,#86848,.T.); #200801=EDGE_CURVE('',#162559,#162557,#86849,.T.); #200802=EDGE_CURVE('',#162558,#162559,#86850,.T.); #200803=EDGE_CURVE('',#162560,#162558,#86851,.T.); #200804=EDGE_CURVE('',#162561,#162559,#86852,.T.); #200805=EDGE_CURVE('',#162560,#162561,#86853,.T.); #200806=EDGE_CURVE('',#162562,#162560,#86854,.T.); #200807=EDGE_CURVE('',#162563,#162561,#86855,.T.); #200808=EDGE_CURVE('',#162562,#162563,#86856,.T.); #200809=EDGE_CURVE('',#162564,#162562,#86857,.T.); #200810=EDGE_CURVE('',#162565,#162563,#86858,.T.); #200811=EDGE_CURVE('',#162564,#162565,#86859,.T.); #200812=EDGE_CURVE('',#162566,#162564,#86860,.T.); #200813=EDGE_CURVE('',#162567,#162565,#86861,.T.); #200814=EDGE_CURVE('',#162566,#162567,#86862,.T.); #200815=EDGE_CURVE('',#162568,#162566,#86863,.T.); #200816=EDGE_CURVE('',#162569,#162567,#86864,.T.); #200817=EDGE_CURVE('',#162568,#162569,#86865,.T.); #200818=EDGE_CURVE('',#162570,#162568,#86866,.T.); #200819=EDGE_CURVE('',#162571,#162569,#86867,.T.); #200820=EDGE_CURVE('',#162570,#162571,#86868,.T.); #200821=EDGE_CURVE('',#162572,#162570,#86869,.T.); #200822=EDGE_CURVE('',#162573,#162571,#86870,.T.); #200823=EDGE_CURVE('',#162572,#162573,#86871,.T.); #200824=EDGE_CURVE('',#162574,#162572,#86872,.T.); #200825=EDGE_CURVE('',#162575,#162573,#86873,.T.); #200826=EDGE_CURVE('',#162574,#162575,#86874,.T.); #200827=EDGE_CURVE('',#162576,#162574,#86875,.T.); #200828=EDGE_CURVE('',#162577,#162575,#86876,.T.); #200829=EDGE_CURVE('',#162576,#162577,#86877,.T.); #200830=EDGE_CURVE('',#162578,#162576,#86878,.T.); #200831=EDGE_CURVE('',#162579,#162577,#86879,.T.); #200832=EDGE_CURVE('',#162578,#162579,#86880,.T.); #200833=EDGE_CURVE('',#162580,#162578,#86881,.T.); #200834=EDGE_CURVE('',#162581,#162579,#86882,.T.); #200835=EDGE_CURVE('',#162580,#162581,#86883,.T.); #200836=EDGE_CURVE('',#162582,#162580,#86884,.T.); #200837=EDGE_CURVE('',#162583,#162581,#86885,.T.); #200838=EDGE_CURVE('',#162582,#162583,#86886,.T.); #200839=EDGE_CURVE('',#162584,#162582,#86887,.T.); #200840=EDGE_CURVE('',#162585,#162583,#86888,.T.); #200841=EDGE_CURVE('',#162584,#162585,#86889,.T.); #200842=EDGE_CURVE('',#162586,#162584,#86890,.T.); #200843=EDGE_CURVE('',#162587,#162585,#86891,.T.); #200844=EDGE_CURVE('',#162586,#162587,#86892,.T.); #200845=EDGE_CURVE('',#162588,#162586,#86893,.T.); #200846=EDGE_CURVE('',#162589,#162587,#86894,.T.); #200847=EDGE_CURVE('',#162588,#162589,#86895,.T.); #200848=EDGE_CURVE('',#162590,#162588,#86896,.T.); #200849=EDGE_CURVE('',#162591,#162589,#86897,.T.); #200850=EDGE_CURVE('',#162590,#162591,#86898,.T.); #200851=EDGE_CURVE('',#162592,#162590,#86899,.T.); #200852=EDGE_CURVE('',#162593,#162591,#86900,.T.); #200853=EDGE_CURVE('',#162592,#162593,#86901,.T.); #200854=EDGE_CURVE('',#162594,#162592,#86902,.T.); #200855=EDGE_CURVE('',#162595,#162593,#86903,.T.); #200856=EDGE_CURVE('',#162594,#162595,#86904,.T.); #200857=EDGE_CURVE('',#162596,#162594,#86905,.T.); #200858=EDGE_CURVE('',#162597,#162595,#86906,.T.); #200859=EDGE_CURVE('',#162596,#162597,#86907,.T.); #200860=EDGE_CURVE('',#162598,#162596,#86908,.T.); #200861=EDGE_CURVE('',#162599,#162597,#86909,.T.); #200862=EDGE_CURVE('',#162598,#162599,#86910,.T.); #200863=EDGE_CURVE('',#162600,#162598,#86911,.T.); #200864=EDGE_CURVE('',#162601,#162599,#86912,.T.); #200865=EDGE_CURVE('',#162600,#162601,#86913,.T.); #200866=EDGE_CURVE('',#162602,#162600,#86914,.T.); #200867=EDGE_CURVE('',#162603,#162601,#86915,.T.); #200868=EDGE_CURVE('',#162602,#162603,#86916,.T.); #200869=EDGE_CURVE('',#162604,#162602,#86917,.T.); #200870=EDGE_CURVE('',#162605,#162603,#86918,.T.); #200871=EDGE_CURVE('',#162604,#162605,#86919,.T.); #200872=EDGE_CURVE('',#162606,#162604,#86920,.T.); #200873=EDGE_CURVE('',#162607,#162605,#86921,.T.); #200874=EDGE_CURVE('',#162606,#162607,#86922,.T.); #200875=EDGE_CURVE('',#162608,#162606,#86923,.T.); #200876=EDGE_CURVE('',#162609,#162607,#86924,.T.); #200877=EDGE_CURVE('',#162608,#162609,#86925,.T.); #200878=EDGE_CURVE('',#162610,#162608,#86926,.T.); #200879=EDGE_CURVE('',#162611,#162609,#86927,.T.); #200880=EDGE_CURVE('',#162610,#162611,#86928,.T.); #200881=EDGE_CURVE('',#162612,#162610,#86929,.T.); #200882=EDGE_CURVE('',#162613,#162611,#86930,.T.); #200883=EDGE_CURVE('',#162612,#162613,#86931,.T.); #200884=EDGE_CURVE('',#162614,#162612,#86932,.T.); #200885=EDGE_CURVE('',#162615,#162613,#86933,.T.); #200886=EDGE_CURVE('',#162614,#162615,#86934,.T.); #200887=EDGE_CURVE('',#162616,#162614,#86935,.T.); #200888=EDGE_CURVE('',#162617,#162615,#86936,.T.); #200889=EDGE_CURVE('',#162616,#162617,#86937,.T.); #200890=EDGE_CURVE('',#162618,#162616,#86938,.T.); #200891=EDGE_CURVE('',#162619,#162617,#86939,.T.); #200892=EDGE_CURVE('',#162618,#162619,#86940,.T.); #200893=EDGE_CURVE('',#162620,#162618,#86941,.T.); #200894=EDGE_CURVE('',#162621,#162619,#86942,.T.); #200895=EDGE_CURVE('',#162620,#162621,#86943,.T.); #200896=EDGE_CURVE('',#162622,#162620,#86944,.T.); #200897=EDGE_CURVE('',#162623,#162621,#86945,.T.); #200898=EDGE_CURVE('',#162622,#162623,#86946,.T.); #200899=EDGE_CURVE('',#162624,#162622,#86947,.T.); #200900=EDGE_CURVE('',#162625,#162623,#86948,.T.); #200901=EDGE_CURVE('',#162624,#162625,#86949,.T.); #200902=EDGE_CURVE('',#162626,#162624,#86950,.T.); #200903=EDGE_CURVE('',#162627,#162625,#86951,.T.); #200904=EDGE_CURVE('',#162626,#162627,#86952,.T.); #200905=EDGE_CURVE('',#162628,#162626,#86953,.T.); #200906=EDGE_CURVE('',#162629,#162627,#86954,.T.); #200907=EDGE_CURVE('',#162628,#162629,#86955,.T.); #200908=EDGE_CURVE('',#162630,#162628,#86956,.T.); #200909=EDGE_CURVE('',#162631,#162629,#86957,.T.); #200910=EDGE_CURVE('',#162630,#162631,#86958,.T.); #200911=EDGE_CURVE('',#162632,#162630,#86959,.T.); #200912=EDGE_CURVE('',#162633,#162631,#86960,.T.); #200913=EDGE_CURVE('',#162632,#162633,#86961,.T.); #200914=EDGE_CURVE('',#162634,#162632,#86962,.T.); #200915=EDGE_CURVE('',#162635,#162633,#86963,.T.); #200916=EDGE_CURVE('',#162634,#162635,#86964,.T.); #200917=EDGE_CURVE('',#162636,#162634,#86965,.T.); #200918=EDGE_CURVE('',#162637,#162635,#86966,.T.); #200919=EDGE_CURVE('',#162636,#162637,#86967,.T.); #200920=EDGE_CURVE('',#162638,#162636,#86968,.T.); #200921=EDGE_CURVE('',#162639,#162637,#86969,.T.); #200922=EDGE_CURVE('',#162638,#162639,#86970,.T.); #200923=EDGE_CURVE('',#162640,#162638,#86971,.T.); #200924=EDGE_CURVE('',#162641,#162639,#86972,.T.); #200925=EDGE_CURVE('',#162640,#162641,#86973,.T.); #200926=EDGE_CURVE('',#162642,#162640,#86974,.T.); #200927=EDGE_CURVE('',#162643,#162641,#86975,.T.); #200928=EDGE_CURVE('',#162642,#162643,#86976,.T.); #200929=EDGE_CURVE('',#162644,#162642,#86977,.T.); #200930=EDGE_CURVE('',#162645,#162643,#86978,.T.); #200931=EDGE_CURVE('',#162644,#162645,#86979,.T.); #200932=EDGE_CURVE('',#162399,#162644,#86980,.T.); #200933=EDGE_CURVE('',#162400,#162645,#86981,.T.); #200934=EDGE_CURVE('',#162646,#162646,#67403,.T.); #200935=EDGE_CURVE('',#162646,#162647,#86982,.T.); #200936=EDGE_CURVE('',#162647,#162647,#67404,.T.); #200937=EDGE_CURVE('',#162648,#162648,#67405,.T.); #200938=EDGE_CURVE('',#162648,#162649,#86983,.T.); #200939=EDGE_CURVE('',#162649,#162649,#67406,.T.); #200940=EDGE_CURVE('',#162650,#162650,#67407,.T.); #200941=EDGE_CURVE('',#162650,#162651,#86984,.T.); #200942=EDGE_CURVE('',#162651,#162651,#67408,.T.); #200943=EDGE_CURVE('',#162652,#162652,#67409,.T.); #200944=EDGE_CURVE('',#162652,#162653,#86985,.T.); #200945=EDGE_CURVE('',#162653,#162653,#67410,.T.); #200946=EDGE_CURVE('',#162654,#162654,#67411,.T.); #200947=EDGE_CURVE('',#162654,#162655,#86986,.T.); #200948=EDGE_CURVE('',#162655,#162655,#67412,.T.); #200949=EDGE_CURVE('',#162656,#162656,#67413,.T.); #200950=EDGE_CURVE('',#162656,#162657,#86987,.T.); #200951=EDGE_CURVE('',#162657,#162657,#67414,.T.); #200952=EDGE_CURVE('',#162658,#162658,#67415,.T.); #200953=EDGE_CURVE('',#162658,#162659,#86988,.T.); #200954=EDGE_CURVE('',#162659,#162659,#67416,.T.); #200955=EDGE_CURVE('',#162660,#162660,#67417,.T.); #200956=EDGE_CURVE('',#162660,#162661,#86989,.T.); #200957=EDGE_CURVE('',#162661,#162661,#67418,.T.); #200958=EDGE_CURVE('',#162662,#162662,#67419,.T.); #200959=EDGE_CURVE('',#162662,#162663,#86990,.T.); #200960=EDGE_CURVE('',#162663,#162663,#67420,.T.); #200961=EDGE_CURVE('',#162664,#162664,#67421,.T.); #200962=EDGE_CURVE('',#162664,#162665,#86991,.T.); #200963=EDGE_CURVE('',#162665,#162665,#67422,.T.); #200964=EDGE_CURVE('',#162666,#162666,#67423,.T.); #200965=EDGE_CURVE('',#162666,#162667,#86992,.T.); #200966=EDGE_CURVE('',#162667,#162667,#67424,.T.); #200967=EDGE_CURVE('',#162668,#162668,#67425,.T.); #200968=EDGE_CURVE('',#162668,#162669,#86993,.T.); #200969=EDGE_CURVE('',#162669,#162669,#67426,.T.); #200970=EDGE_CURVE('',#162670,#162670,#67427,.T.); #200971=EDGE_CURVE('',#162670,#162671,#86994,.T.); #200972=EDGE_CURVE('',#162671,#162671,#67428,.T.); #200973=EDGE_CURVE('',#162672,#162672,#67429,.T.); #200974=EDGE_CURVE('',#162672,#162673,#86995,.T.); #200975=EDGE_CURVE('',#162673,#162673,#67430,.T.); #200976=EDGE_CURVE('',#162674,#162674,#67431,.T.); #200977=EDGE_CURVE('',#162674,#162675,#86996,.T.); #200978=EDGE_CURVE('',#162675,#162675,#67432,.T.); #200979=EDGE_CURVE('',#162676,#162676,#67433,.T.); #200980=EDGE_CURVE('',#162676,#162677,#86997,.T.); #200981=EDGE_CURVE('',#162677,#162677,#67434,.T.); #200982=EDGE_CURVE('',#162678,#162678,#67435,.T.); #200983=EDGE_CURVE('',#162678,#162679,#86998,.T.); #200984=EDGE_CURVE('',#162679,#162679,#67436,.T.); #200985=EDGE_CURVE('',#162680,#162680,#67437,.T.); #200986=EDGE_CURVE('',#162680,#162681,#86999,.T.); #200987=EDGE_CURVE('',#162681,#162681,#67438,.T.); #200988=EDGE_CURVE('',#162682,#162682,#67439,.T.); #200989=EDGE_CURVE('',#162682,#162683,#87000,.T.); #200990=EDGE_CURVE('',#162683,#162683,#67440,.T.); #200991=EDGE_CURVE('',#162684,#162684,#67441,.T.); #200992=EDGE_CURVE('',#162684,#162685,#87001,.T.); #200993=EDGE_CURVE('',#162685,#162685,#67442,.T.); #200994=EDGE_CURVE('',#162686,#162686,#67443,.T.); #200995=EDGE_CURVE('',#162686,#162687,#87002,.T.); #200996=EDGE_CURVE('',#162687,#162687,#67444,.T.); #200997=EDGE_CURVE('',#162688,#162688,#67445,.T.); #200998=EDGE_CURVE('',#162688,#162689,#87003,.T.); #200999=EDGE_CURVE('',#162689,#162689,#67446,.T.); #201000=EDGE_CURVE('',#162690,#162690,#67447,.T.); #201001=EDGE_CURVE('',#162690,#162691,#87004,.T.); #201002=EDGE_CURVE('',#162691,#162691,#67448,.T.); #201003=EDGE_CURVE('',#162692,#162692,#67449,.T.); #201004=EDGE_CURVE('',#162692,#162693,#87005,.T.); #201005=EDGE_CURVE('',#162693,#162693,#67450,.T.); #201006=EDGE_CURVE('',#162694,#162694,#67451,.T.); #201007=EDGE_CURVE('',#162694,#162695,#87006,.T.); #201008=EDGE_CURVE('',#162695,#162695,#67452,.T.); #201009=EDGE_CURVE('',#162696,#162696,#67453,.T.); #201010=EDGE_CURVE('',#162696,#162697,#87007,.T.); #201011=EDGE_CURVE('',#162697,#162697,#67454,.T.); #201012=EDGE_CURVE('',#162698,#162698,#67455,.T.); #201013=EDGE_CURVE('',#162698,#162699,#87008,.T.); #201014=EDGE_CURVE('',#162699,#162699,#67456,.T.); #201015=EDGE_CURVE('',#162700,#162700,#67457,.T.); #201016=EDGE_CURVE('',#162700,#162701,#87009,.T.); #201017=EDGE_CURVE('',#162701,#162701,#67458,.T.); #201018=EDGE_CURVE('',#162702,#162702,#67459,.T.); #201019=EDGE_CURVE('',#162702,#162703,#87010,.T.); #201020=EDGE_CURVE('',#162703,#162703,#67460,.T.); #201021=EDGE_CURVE('',#162704,#162704,#67461,.T.); #201022=EDGE_CURVE('',#162704,#162705,#87011,.T.); #201023=EDGE_CURVE('',#162705,#162705,#67462,.T.); #201024=EDGE_CURVE('',#162706,#162706,#67463,.T.); #201025=EDGE_CURVE('',#162706,#162707,#87012,.T.); #201026=EDGE_CURVE('',#162707,#162707,#67464,.T.); #201027=EDGE_CURVE('',#162708,#162709,#87013,.T.); #201028=EDGE_CURVE('',#162709,#162710,#87014,.T.); #201029=EDGE_CURVE('',#162711,#162710,#87015,.T.); #201030=EDGE_CURVE('',#162708,#162711,#87016,.T.); #201031=EDGE_CURVE('',#162712,#162708,#67465,.T.); #201032=EDGE_CURVE('',#162713,#162711,#67466,.T.); #201033=EDGE_CURVE('',#162712,#162713,#87017,.T.); #201034=EDGE_CURVE('',#162714,#162712,#87018,.T.); #201035=EDGE_CURVE('',#162715,#162713,#87019,.T.); #201036=EDGE_CURVE('',#162714,#162715,#87020,.T.); #201037=EDGE_CURVE('',#162716,#162714,#67467,.T.); #201038=EDGE_CURVE('',#162717,#162715,#67468,.T.); #201039=EDGE_CURVE('',#162716,#162717,#87021,.T.); #201040=EDGE_CURVE('',#162718,#162716,#87022,.T.); #201041=EDGE_CURVE('',#162719,#162717,#87023,.T.); #201042=EDGE_CURVE('',#162718,#162719,#87024,.T.); #201043=EDGE_CURVE('',#162720,#162718,#67469,.T.); #201044=EDGE_CURVE('',#162721,#162719,#67470,.T.); #201045=EDGE_CURVE('',#162720,#162721,#87025,.T.); #201046=EDGE_CURVE('',#162722,#162720,#87026,.T.); #201047=EDGE_CURVE('',#162723,#162721,#87027,.T.); #201048=EDGE_CURVE('',#162722,#162723,#87028,.T.); #201049=EDGE_CURVE('',#162724,#162722,#87029,.T.); #201050=EDGE_CURVE('',#162725,#162723,#87030,.T.); #201051=EDGE_CURVE('',#162724,#162725,#87031,.T.); #201052=EDGE_CURVE('',#162726,#162724,#87032,.T.); #201053=EDGE_CURVE('',#162727,#162725,#87033,.T.); #201054=EDGE_CURVE('',#162726,#162727,#87034,.T.); #201055=EDGE_CURVE('',#162728,#162726,#87035,.T.); #201056=EDGE_CURVE('',#162729,#162727,#87036,.T.); #201057=EDGE_CURVE('',#162728,#162729,#87037,.T.); #201058=EDGE_CURVE('',#162730,#162728,#87038,.T.); #201059=EDGE_CURVE('',#162731,#162729,#87039,.T.); #201060=EDGE_CURVE('',#162730,#162731,#87040,.T.); #201061=EDGE_CURVE('',#162732,#162730,#87041,.T.); #201062=EDGE_CURVE('',#162733,#162731,#87042,.T.); #201063=EDGE_CURVE('',#162732,#162733,#87043,.T.); #201064=EDGE_CURVE('',#162734,#162732,#87044,.T.); #201065=EDGE_CURVE('',#162735,#162733,#87045,.T.); #201066=EDGE_CURVE('',#162734,#162735,#87046,.T.); #201067=EDGE_CURVE('',#162736,#162734,#87047,.T.); #201068=EDGE_CURVE('',#162737,#162735,#87048,.T.); #201069=EDGE_CURVE('',#162736,#162737,#87049,.T.); #201070=EDGE_CURVE('',#162738,#162736,#87050,.T.); #201071=EDGE_CURVE('',#162739,#162737,#87051,.T.); #201072=EDGE_CURVE('',#162738,#162739,#87052,.T.); #201073=EDGE_CURVE('',#162740,#162738,#87053,.T.); #201074=EDGE_CURVE('',#162741,#162739,#87054,.T.); #201075=EDGE_CURVE('',#162740,#162741,#87055,.T.); #201076=EDGE_CURVE('',#162742,#162740,#87056,.T.); #201077=EDGE_CURVE('',#162743,#162741,#87057,.T.); #201078=EDGE_CURVE('',#162742,#162743,#87058,.T.); #201079=EDGE_CURVE('',#162744,#162742,#87059,.T.); #201080=EDGE_CURVE('',#162745,#162743,#87060,.T.); #201081=EDGE_CURVE('',#162744,#162745,#87061,.T.); #201082=EDGE_CURVE('',#162746,#162744,#87062,.T.); #201083=EDGE_CURVE('',#162747,#162745,#87063,.T.); #201084=EDGE_CURVE('',#162746,#162747,#87064,.T.); #201085=EDGE_CURVE('',#162748,#162746,#87065,.T.); #201086=EDGE_CURVE('',#162749,#162747,#87066,.T.); #201087=EDGE_CURVE('',#162748,#162749,#87067,.T.); #201088=EDGE_CURVE('',#162750,#162748,#87068,.T.); #201089=EDGE_CURVE('',#162751,#162749,#87069,.T.); #201090=EDGE_CURVE('',#162750,#162751,#87070,.T.); #201091=EDGE_CURVE('',#162752,#162750,#87071,.T.); #201092=EDGE_CURVE('',#162753,#162751,#87072,.T.); #201093=EDGE_CURVE('',#162752,#162753,#87073,.T.); #201094=EDGE_CURVE('',#162754,#162752,#87074,.T.); #201095=EDGE_CURVE('',#162755,#162753,#87075,.T.); #201096=EDGE_CURVE('',#162754,#162755,#87076,.T.); #201097=EDGE_CURVE('',#162756,#162754,#87077,.T.); #201098=EDGE_CURVE('',#162757,#162755,#87078,.T.); #201099=EDGE_CURVE('',#162756,#162757,#87079,.T.); #201100=EDGE_CURVE('',#162758,#162756,#87080,.T.); #201101=EDGE_CURVE('',#162759,#162757,#87081,.T.); #201102=EDGE_CURVE('',#162758,#162759,#87082,.T.); #201103=EDGE_CURVE('',#162760,#162758,#87083,.T.); #201104=EDGE_CURVE('',#162761,#162759,#87084,.T.); #201105=EDGE_CURVE('',#162760,#162761,#87085,.T.); #201106=EDGE_CURVE('',#162762,#162760,#87086,.T.); #201107=EDGE_CURVE('',#162763,#162761,#87087,.T.); #201108=EDGE_CURVE('',#162762,#162763,#87088,.T.); #201109=EDGE_CURVE('',#162764,#162762,#87089,.T.); #201110=EDGE_CURVE('',#162765,#162763,#87090,.T.); #201111=EDGE_CURVE('',#162764,#162765,#87091,.T.); #201112=EDGE_CURVE('',#162766,#162764,#87092,.T.); #201113=EDGE_CURVE('',#162767,#162765,#87093,.T.); #201114=EDGE_CURVE('',#162766,#162767,#87094,.T.); #201115=EDGE_CURVE('',#162768,#162766,#87095,.T.); #201116=EDGE_CURVE('',#162769,#162767,#87096,.T.); #201117=EDGE_CURVE('',#162768,#162769,#87097,.T.); #201118=EDGE_CURVE('',#162770,#162768,#87098,.T.); #201119=EDGE_CURVE('',#162771,#162769,#87099,.T.); #201120=EDGE_CURVE('',#162770,#162771,#87100,.T.); #201121=EDGE_CURVE('',#162772,#162770,#87101,.T.); #201122=EDGE_CURVE('',#162773,#162771,#87102,.T.); #201123=EDGE_CURVE('',#162772,#162773,#87103,.T.); #201124=EDGE_CURVE('',#162774,#162772,#87104,.T.); #201125=EDGE_CURVE('',#162775,#162773,#87105,.T.); #201126=EDGE_CURVE('',#162774,#162775,#87106,.T.); #201127=EDGE_CURVE('',#162776,#162774,#87107,.T.); #201128=EDGE_CURVE('',#162777,#162775,#87108,.T.); #201129=EDGE_CURVE('',#162776,#162777,#87109,.T.); #201130=EDGE_CURVE('',#162778,#162776,#87110,.T.); #201131=EDGE_CURVE('',#162779,#162777,#87111,.T.); #201132=EDGE_CURVE('',#162778,#162779,#87112,.T.); #201133=EDGE_CURVE('',#162780,#162778,#87113,.T.); #201134=EDGE_CURVE('',#162781,#162779,#87114,.T.); #201135=EDGE_CURVE('',#162780,#162781,#87115,.T.); #201136=EDGE_CURVE('',#162782,#162780,#87116,.T.); #201137=EDGE_CURVE('',#162783,#162781,#87117,.T.); #201138=EDGE_CURVE('',#162782,#162783,#87118,.T.); #201139=EDGE_CURVE('',#162784,#162782,#87119,.T.); #201140=EDGE_CURVE('',#162785,#162783,#87120,.T.); #201141=EDGE_CURVE('',#162784,#162785,#87121,.T.); #201142=EDGE_CURVE('',#162786,#162784,#87122,.T.); #201143=EDGE_CURVE('',#162787,#162785,#87123,.T.); #201144=EDGE_CURVE('',#162786,#162787,#87124,.T.); #201145=EDGE_CURVE('',#162788,#162786,#87125,.T.); #201146=EDGE_CURVE('',#162789,#162787,#87126,.T.); #201147=EDGE_CURVE('',#162788,#162789,#87127,.T.); #201148=EDGE_CURVE('',#162790,#162788,#87128,.T.); #201149=EDGE_CURVE('',#162791,#162789,#87129,.T.); #201150=EDGE_CURVE('',#162790,#162791,#87130,.T.); #201151=EDGE_CURVE('',#162792,#162790,#87131,.T.); #201152=EDGE_CURVE('',#162793,#162791,#87132,.T.); #201153=EDGE_CURVE('',#162792,#162793,#87133,.T.); #201154=EDGE_CURVE('',#162794,#162792,#87134,.T.); #201155=EDGE_CURVE('',#162795,#162793,#87135,.T.); #201156=EDGE_CURVE('',#162794,#162795,#87136,.T.); #201157=EDGE_CURVE('',#162796,#162794,#87137,.T.); #201158=EDGE_CURVE('',#162797,#162795,#87138,.T.); #201159=EDGE_CURVE('',#162796,#162797,#87139,.T.); #201160=EDGE_CURVE('',#162798,#162796,#87140,.T.); #201161=EDGE_CURVE('',#162799,#162797,#87141,.T.); #201162=EDGE_CURVE('',#162798,#162799,#87142,.T.); #201163=EDGE_CURVE('',#162800,#162798,#87143,.T.); #201164=EDGE_CURVE('',#162801,#162799,#87144,.T.); #201165=EDGE_CURVE('',#162800,#162801,#87145,.T.); #201166=EDGE_CURVE('',#162802,#162800,#87146,.T.); #201167=EDGE_CURVE('',#162803,#162801,#87147,.T.); #201168=EDGE_CURVE('',#162802,#162803,#87148,.T.); #201169=EDGE_CURVE('',#162804,#162802,#87149,.T.); #201170=EDGE_CURVE('',#162805,#162803,#87150,.T.); #201171=EDGE_CURVE('',#162804,#162805,#87151,.T.); #201172=EDGE_CURVE('',#162806,#162804,#87152,.T.); #201173=EDGE_CURVE('',#162807,#162805,#87153,.T.); #201174=EDGE_CURVE('',#162806,#162807,#87154,.T.); #201175=EDGE_CURVE('',#162808,#162806,#87155,.T.); #201176=EDGE_CURVE('',#162809,#162807,#87156,.T.); #201177=EDGE_CURVE('',#162808,#162809,#87157,.T.); #201178=EDGE_CURVE('',#162810,#162808,#87158,.T.); #201179=EDGE_CURVE('',#162811,#162809,#87159,.T.); #201180=EDGE_CURVE('',#162810,#162811,#87160,.T.); #201181=EDGE_CURVE('',#162812,#162810,#87161,.T.); #201182=EDGE_CURVE('',#162813,#162811,#87162,.T.); #201183=EDGE_CURVE('',#162812,#162813,#87163,.T.); #201184=EDGE_CURVE('',#162814,#162812,#87164,.T.); #201185=EDGE_CURVE('',#162815,#162813,#87165,.T.); #201186=EDGE_CURVE('',#162814,#162815,#87166,.T.); #201187=EDGE_CURVE('',#162816,#162814,#87167,.T.); #201188=EDGE_CURVE('',#162817,#162815,#87168,.T.); #201189=EDGE_CURVE('',#162816,#162817,#87169,.T.); #201190=EDGE_CURVE('',#162818,#162816,#87170,.T.); #201191=EDGE_CURVE('',#162819,#162817,#87171,.T.); #201192=EDGE_CURVE('',#162818,#162819,#87172,.T.); #201193=EDGE_CURVE('',#162820,#162818,#87173,.T.); #201194=EDGE_CURVE('',#162821,#162819,#87174,.T.); #201195=EDGE_CURVE('',#162820,#162821,#87175,.T.); #201196=EDGE_CURVE('',#162822,#162820,#87176,.T.); #201197=EDGE_CURVE('',#162823,#162821,#87177,.T.); #201198=EDGE_CURVE('',#162822,#162823,#87178,.T.); #201199=EDGE_CURVE('',#162824,#162822,#87179,.T.); #201200=EDGE_CURVE('',#162825,#162823,#87180,.T.); #201201=EDGE_CURVE('',#162824,#162825,#87181,.T.); #201202=EDGE_CURVE('',#162826,#162824,#87182,.T.); #201203=EDGE_CURVE('',#162827,#162825,#87183,.T.); #201204=EDGE_CURVE('',#162826,#162827,#87184,.T.); #201205=EDGE_CURVE('',#162828,#162826,#87185,.T.); #201206=EDGE_CURVE('',#162829,#162827,#87186,.T.); #201207=EDGE_CURVE('',#162828,#162829,#87187,.T.); #201208=EDGE_CURVE('',#162830,#162828,#87188,.T.); #201209=EDGE_CURVE('',#162831,#162829,#87189,.T.); #201210=EDGE_CURVE('',#162830,#162831,#87190,.T.); #201211=EDGE_CURVE('',#162832,#162830,#87191,.T.); #201212=EDGE_CURVE('',#162833,#162831,#87192,.T.); #201213=EDGE_CURVE('',#162832,#162833,#87193,.T.); #201214=EDGE_CURVE('',#162834,#162832,#87194,.T.); #201215=EDGE_CURVE('',#162835,#162833,#87195,.T.); #201216=EDGE_CURVE('',#162834,#162835,#87196,.T.); #201217=EDGE_CURVE('',#162836,#162834,#87197,.T.); #201218=EDGE_CURVE('',#162837,#162835,#87198,.T.); #201219=EDGE_CURVE('',#162836,#162837,#87199,.T.); #201220=EDGE_CURVE('',#162838,#162836,#87200,.T.); #201221=EDGE_CURVE('',#162839,#162837,#87201,.T.); #201222=EDGE_CURVE('',#162838,#162839,#87202,.T.); #201223=EDGE_CURVE('',#162840,#162838,#87203,.T.); #201224=EDGE_CURVE('',#162841,#162839,#87204,.T.); #201225=EDGE_CURVE('',#162840,#162841,#87205,.T.); #201226=EDGE_CURVE('',#162842,#162840,#87206,.T.); #201227=EDGE_CURVE('',#162843,#162841,#87207,.T.); #201228=EDGE_CURVE('',#162842,#162843,#87208,.T.); #201229=EDGE_CURVE('',#162844,#162842,#87209,.T.); #201230=EDGE_CURVE('',#162845,#162843,#87210,.T.); #201231=EDGE_CURVE('',#162844,#162845,#87211,.T.); #201232=EDGE_CURVE('',#162846,#162844,#87212,.T.); #201233=EDGE_CURVE('',#162847,#162845,#87213,.T.); #201234=EDGE_CURVE('',#162846,#162847,#87214,.T.); #201235=EDGE_CURVE('',#162848,#162846,#87215,.T.); #201236=EDGE_CURVE('',#162849,#162847,#87216,.T.); #201237=EDGE_CURVE('',#162848,#162849,#87217,.T.); #201238=EDGE_CURVE('',#162850,#162848,#87218,.T.); #201239=EDGE_CURVE('',#162851,#162849,#87219,.T.); #201240=EDGE_CURVE('',#162850,#162851,#87220,.T.); #201241=EDGE_CURVE('',#162852,#162850,#87221,.T.); #201242=EDGE_CURVE('',#162853,#162851,#87222,.T.); #201243=EDGE_CURVE('',#162852,#162853,#87223,.T.); #201244=EDGE_CURVE('',#162854,#162852,#87224,.T.); #201245=EDGE_CURVE('',#162855,#162853,#87225,.T.); #201246=EDGE_CURVE('',#162854,#162855,#87226,.T.); #201247=EDGE_CURVE('',#162856,#162854,#67471,.T.); #201248=EDGE_CURVE('',#162857,#162855,#67472,.T.); #201249=EDGE_CURVE('',#162856,#162857,#87227,.T.); #201250=EDGE_CURVE('',#162858,#162856,#87228,.T.); #201251=EDGE_CURVE('',#162859,#162857,#87229,.T.); #201252=EDGE_CURVE('',#162858,#162859,#87230,.T.); #201253=EDGE_CURVE('',#162860,#162858,#67473,.T.); #201254=EDGE_CURVE('',#162861,#162859,#67474,.T.); #201255=EDGE_CURVE('',#162860,#162861,#87231,.T.); #201256=EDGE_CURVE('',#162862,#162860,#87232,.T.); #201257=EDGE_CURVE('',#162863,#162861,#87233,.T.); #201258=EDGE_CURVE('',#162862,#162863,#87234,.T.); #201259=EDGE_CURVE('',#162864,#162862,#87235,.T.); #201260=EDGE_CURVE('',#162865,#162863,#87236,.T.); #201261=EDGE_CURVE('',#162864,#162865,#87237,.T.); #201262=EDGE_CURVE('',#162866,#162864,#87238,.T.); #201263=EDGE_CURVE('',#162867,#162865,#87239,.T.); #201264=EDGE_CURVE('',#162866,#162867,#87240,.T.); #201265=EDGE_CURVE('',#162709,#162866,#67475,.T.); #201266=EDGE_CURVE('',#162710,#162867,#67476,.T.); #201267=EDGE_CURVE('',#162868,#162868,#67477,.T.); #201268=EDGE_CURVE('',#162868,#162869,#87241,.T.); #201269=EDGE_CURVE('',#162869,#162869,#67478,.T.); #201270=EDGE_CURVE('',#162870,#162871,#87242,.T.); #201271=EDGE_CURVE('',#162871,#162872,#87243,.T.); #201272=EDGE_CURVE('',#162873,#162872,#87244,.T.); #201273=EDGE_CURVE('',#162870,#162873,#87245,.T.); #201274=EDGE_CURVE('',#162874,#162870,#87246,.T.); #201275=EDGE_CURVE('',#162875,#162873,#87247,.T.); #201276=EDGE_CURVE('',#162874,#162875,#87248,.T.); #201277=EDGE_CURVE('',#162876,#162874,#87249,.T.); #201278=EDGE_CURVE('',#162877,#162875,#87250,.T.); #201279=EDGE_CURVE('',#162876,#162877,#87251,.T.); #201280=EDGE_CURVE('',#162878,#162876,#87252,.T.); #201281=EDGE_CURVE('',#162879,#162877,#87253,.T.); #201282=EDGE_CURVE('',#162878,#162879,#87254,.T.); #201283=EDGE_CURVE('',#162880,#162878,#87255,.T.); #201284=EDGE_CURVE('',#162881,#162879,#87256,.T.); #201285=EDGE_CURVE('',#162880,#162881,#87257,.T.); #201286=EDGE_CURVE('',#162882,#162880,#67479,.T.); #201287=EDGE_CURVE('',#162883,#162881,#67480,.T.); #201288=EDGE_CURVE('',#162882,#162883,#87258,.T.); #201289=EDGE_CURVE('',#162884,#162882,#87259,.T.); #201290=EDGE_CURVE('',#162885,#162883,#87260,.T.); #201291=EDGE_CURVE('',#162884,#162885,#87261,.T.); #201292=EDGE_CURVE('',#162886,#162884,#87262,.T.); #201293=EDGE_CURVE('',#162887,#162885,#87263,.T.); #201294=EDGE_CURVE('',#162886,#162887,#87264,.T.); #201295=EDGE_CURVE('',#162888,#162886,#67481,.T.); #201296=EDGE_CURVE('',#162889,#162887,#67482,.T.); #201297=EDGE_CURVE('',#162888,#162889,#87265,.T.); #201298=EDGE_CURVE('',#162890,#162888,#87266,.T.); #201299=EDGE_CURVE('',#162891,#162889,#87267,.T.); #201300=EDGE_CURVE('',#162890,#162891,#87268,.T.); #201301=EDGE_CURVE('',#162892,#162890,#87269,.T.); #201302=EDGE_CURVE('',#162893,#162891,#87270,.T.); #201303=EDGE_CURVE('',#162892,#162893,#87271,.T.); #201304=EDGE_CURVE('',#162894,#162892,#67483,.T.); #201305=EDGE_CURVE('',#162895,#162893,#67484,.T.); #201306=EDGE_CURVE('',#162894,#162895,#87272,.T.); #201307=EDGE_CURVE('',#162896,#162894,#67485,.T.); #201308=EDGE_CURVE('',#162897,#162895,#67486,.T.); #201309=EDGE_CURVE('',#162896,#162897,#87273,.T.); #201310=EDGE_CURVE('',#162898,#162896,#87274,.T.); #201311=EDGE_CURVE('',#162899,#162897,#87275,.T.); #201312=EDGE_CURVE('',#162898,#162899,#87276,.T.); #201313=EDGE_CURVE('',#162900,#162898,#67487,.T.); #201314=EDGE_CURVE('',#162901,#162899,#67488,.T.); #201315=EDGE_CURVE('',#162900,#162901,#87277,.T.); #201316=EDGE_CURVE('',#162902,#162900,#87278,.T.); #201317=EDGE_CURVE('',#162903,#162901,#87279,.T.); #201318=EDGE_CURVE('',#162902,#162903,#87280,.T.); #201319=EDGE_CURVE('',#162904,#162902,#87281,.T.); #201320=EDGE_CURVE('',#162905,#162903,#87282,.T.); #201321=EDGE_CURVE('',#162904,#162905,#87283,.T.); #201322=EDGE_CURVE('',#162906,#162904,#67489,.T.); #201323=EDGE_CURVE('',#162907,#162905,#67490,.T.); #201324=EDGE_CURVE('',#162906,#162907,#87284,.T.); #201325=EDGE_CURVE('',#162908,#162906,#87285,.T.); #201326=EDGE_CURVE('',#162909,#162907,#87286,.T.); #201327=EDGE_CURVE('',#162908,#162909,#87287,.T.); #201328=EDGE_CURVE('',#162910,#162908,#87288,.T.); #201329=EDGE_CURVE('',#162911,#162909,#87289,.T.); #201330=EDGE_CURVE('',#162910,#162911,#87290,.T.); #201331=EDGE_CURVE('',#162871,#162910,#87291,.T.); #201332=EDGE_CURVE('',#162872,#162911,#87292,.T.); #201333=EDGE_CURVE('',#162912,#162912,#67491,.T.); #201334=EDGE_CURVE('',#162912,#162913,#87293,.T.); #201335=EDGE_CURVE('',#162913,#162913,#67492,.T.); #201336=EDGE_CURVE('',#162914,#162914,#67493,.T.); #201337=EDGE_CURVE('',#162914,#162915,#87294,.T.); #201338=EDGE_CURVE('',#162915,#162915,#67494,.T.); #201339=EDGE_CURVE('',#162916,#162916,#67495,.T.); #201340=EDGE_CURVE('',#162916,#162917,#87295,.T.); #201341=EDGE_CURVE('',#162917,#162917,#67496,.T.); #201342=EDGE_CURVE('',#162918,#162918,#67497,.T.); #201343=EDGE_CURVE('',#162918,#162919,#87296,.T.); #201344=EDGE_CURVE('',#162919,#162919,#67498,.T.); #201345=EDGE_CURVE('',#162920,#162920,#67499,.T.); #201346=EDGE_CURVE('',#162920,#162921,#87297,.T.); #201347=EDGE_CURVE('',#162921,#162921,#67500,.T.); #201348=EDGE_CURVE('',#162922,#162922,#67501,.T.); #201349=EDGE_CURVE('',#162922,#162923,#87298,.T.); #201350=EDGE_CURVE('',#162923,#162923,#67502,.T.); #201351=EDGE_CURVE('',#162924,#162924,#67503,.T.); #201352=EDGE_CURVE('',#162924,#162925,#87299,.T.); #201353=EDGE_CURVE('',#162925,#162925,#67504,.T.); #201354=EDGE_CURVE('',#162926,#162926,#67505,.T.); #201355=EDGE_CURVE('',#162926,#162927,#87300,.T.); #201356=EDGE_CURVE('',#162927,#162927,#67506,.T.); #201357=EDGE_CURVE('',#162928,#162928,#67507,.T.); #201358=EDGE_CURVE('',#162928,#162929,#87301,.T.); #201359=EDGE_CURVE('',#162929,#162929,#67508,.T.); #201360=EDGE_CURVE('',#162930,#162930,#67509,.T.); #201361=EDGE_CURVE('',#162930,#162931,#87302,.T.); #201362=EDGE_CURVE('',#162931,#162931,#67510,.T.); #201363=EDGE_CURVE('',#162932,#162932,#67511,.T.); #201364=EDGE_CURVE('',#162932,#162933,#87303,.T.); #201365=EDGE_CURVE('',#162933,#162933,#67512,.T.); #201366=EDGE_CURVE('',#162934,#162934,#67513,.T.); #201367=EDGE_CURVE('',#162934,#162935,#87304,.T.); #201368=EDGE_CURVE('',#162935,#162935,#67514,.T.); #201369=EDGE_CURVE('',#162936,#162936,#67515,.T.); #201370=EDGE_CURVE('',#162936,#162937,#87305,.T.); #201371=EDGE_CURVE('',#162937,#162937,#67516,.T.); #201372=EDGE_CURVE('',#162938,#162938,#67517,.T.); #201373=EDGE_CURVE('',#162938,#162939,#87306,.T.); #201374=EDGE_CURVE('',#162939,#162939,#67518,.T.); #201375=EDGE_CURVE('',#162940,#162940,#67519,.T.); #201376=EDGE_CURVE('',#162940,#162941,#87307,.T.); #201377=EDGE_CURVE('',#162941,#162941,#67520,.T.); #201378=EDGE_CURVE('',#162942,#162942,#67521,.T.); #201379=EDGE_CURVE('',#162942,#162943,#87308,.T.); #201380=EDGE_CURVE('',#162943,#162943,#67522,.T.); #201381=EDGE_CURVE('',#162944,#162945,#87309,.T.); #201382=EDGE_CURVE('',#162945,#162946,#87310,.T.); #201383=EDGE_CURVE('',#162947,#162946,#87311,.T.); #201384=EDGE_CURVE('',#162944,#162947,#87312,.T.); #201385=EDGE_CURVE('',#162948,#162944,#87313,.T.); #201386=EDGE_CURVE('',#162949,#162947,#87314,.T.); #201387=EDGE_CURVE('',#162948,#162949,#87315,.T.); #201388=EDGE_CURVE('',#162950,#162948,#87316,.T.); #201389=EDGE_CURVE('',#162951,#162949,#87317,.T.); #201390=EDGE_CURVE('',#162950,#162951,#87318,.T.); #201391=EDGE_CURVE('',#162952,#162950,#87319,.T.); #201392=EDGE_CURVE('',#162953,#162951,#87320,.T.); #201393=EDGE_CURVE('',#162952,#162953,#87321,.T.); #201394=EDGE_CURVE('',#162954,#162952,#87322,.T.); #201395=EDGE_CURVE('',#162955,#162953,#87323,.T.); #201396=EDGE_CURVE('',#162954,#162955,#87324,.T.); #201397=EDGE_CURVE('',#162956,#162954,#87325,.T.); #201398=EDGE_CURVE('',#162957,#162955,#87326,.T.); #201399=EDGE_CURVE('',#162956,#162957,#87327,.T.); #201400=EDGE_CURVE('',#162958,#162956,#87328,.T.); #201401=EDGE_CURVE('',#162959,#162957,#87329,.T.); #201402=EDGE_CURVE('',#162958,#162959,#87330,.T.); #201403=EDGE_CURVE('',#162960,#162958,#87331,.T.); #201404=EDGE_CURVE('',#162961,#162959,#87332,.T.); #201405=EDGE_CURVE('',#162960,#162961,#87333,.T.); #201406=EDGE_CURVE('',#162962,#162960,#87334,.T.); #201407=EDGE_CURVE('',#162963,#162961,#87335,.T.); #201408=EDGE_CURVE('',#162962,#162963,#87336,.T.); #201409=EDGE_CURVE('',#162964,#162962,#87337,.T.); #201410=EDGE_CURVE('',#162965,#162963,#87338,.T.); #201411=EDGE_CURVE('',#162964,#162965,#87339,.T.); #201412=EDGE_CURVE('',#162966,#162964,#87340,.T.); #201413=EDGE_CURVE('',#162967,#162965,#87341,.T.); #201414=EDGE_CURVE('',#162966,#162967,#87342,.T.); #201415=EDGE_CURVE('',#162968,#162966,#87343,.T.); #201416=EDGE_CURVE('',#162969,#162967,#87344,.T.); #201417=EDGE_CURVE('',#162968,#162969,#87345,.T.); #201418=EDGE_CURVE('',#162970,#162968,#87346,.T.); #201419=EDGE_CURVE('',#162971,#162969,#87347,.T.); #201420=EDGE_CURVE('',#162970,#162971,#87348,.T.); #201421=EDGE_CURVE('',#162972,#162970,#87349,.T.); #201422=EDGE_CURVE('',#162973,#162971,#87350,.T.); #201423=EDGE_CURVE('',#162972,#162973,#87351,.T.); #201424=EDGE_CURVE('',#162974,#162972,#87352,.T.); #201425=EDGE_CURVE('',#162975,#162973,#87353,.T.); #201426=EDGE_CURVE('',#162974,#162975,#87354,.T.); #201427=EDGE_CURVE('',#162976,#162974,#87355,.T.); #201428=EDGE_CURVE('',#162977,#162975,#87356,.T.); #201429=EDGE_CURVE('',#162976,#162977,#87357,.T.); #201430=EDGE_CURVE('',#162978,#162976,#87358,.T.); #201431=EDGE_CURVE('',#162979,#162977,#87359,.T.); #201432=EDGE_CURVE('',#162978,#162979,#87360,.T.); #201433=EDGE_CURVE('',#162980,#162978,#87361,.T.); #201434=EDGE_CURVE('',#162981,#162979,#87362,.T.); #201435=EDGE_CURVE('',#162980,#162981,#87363,.T.); #201436=EDGE_CURVE('',#162982,#162980,#87364,.T.); #201437=EDGE_CURVE('',#162983,#162981,#87365,.T.); #201438=EDGE_CURVE('',#162982,#162983,#87366,.T.); #201439=EDGE_CURVE('',#162984,#162982,#87367,.T.); #201440=EDGE_CURVE('',#162985,#162983,#87368,.T.); #201441=EDGE_CURVE('',#162984,#162985,#87369,.T.); #201442=EDGE_CURVE('',#162986,#162984,#87370,.T.); #201443=EDGE_CURVE('',#162987,#162985,#87371,.T.); #201444=EDGE_CURVE('',#162986,#162987,#87372,.T.); #201445=EDGE_CURVE('',#162988,#162986,#87373,.T.); #201446=EDGE_CURVE('',#162989,#162987,#87374,.T.); #201447=EDGE_CURVE('',#162988,#162989,#87375,.T.); #201448=EDGE_CURVE('',#162990,#162988,#87376,.T.); #201449=EDGE_CURVE('',#162991,#162989,#87377,.T.); #201450=EDGE_CURVE('',#162990,#162991,#87378,.T.); #201451=EDGE_CURVE('',#162945,#162990,#87379,.T.); #201452=EDGE_CURVE('',#162946,#162991,#87380,.T.); #201453=EDGE_CURVE('',#162992,#162993,#87381,.T.); #201454=EDGE_CURVE('',#162993,#162994,#87382,.T.); #201455=EDGE_CURVE('',#162995,#162994,#87383,.T.); #201456=EDGE_CURVE('',#162992,#162995,#87384,.T.); #201457=EDGE_CURVE('',#162996,#162992,#87385,.T.); #201458=EDGE_CURVE('',#162997,#162995,#87386,.T.); #201459=EDGE_CURVE('',#162996,#162997,#87387,.T.); #201460=EDGE_CURVE('',#162998,#162996,#87388,.T.); #201461=EDGE_CURVE('',#162999,#162997,#87389,.T.); #201462=EDGE_CURVE('',#162998,#162999,#87390,.T.); #201463=EDGE_CURVE('',#163000,#162998,#87391,.T.); #201464=EDGE_CURVE('',#163001,#162999,#87392,.T.); #201465=EDGE_CURVE('',#163000,#163001,#87393,.T.); #201466=EDGE_CURVE('',#163002,#163000,#87394,.T.); #201467=EDGE_CURVE('',#163003,#163001,#87395,.T.); #201468=EDGE_CURVE('',#163002,#163003,#87396,.T.); #201469=EDGE_CURVE('',#163004,#163002,#87397,.T.); #201470=EDGE_CURVE('',#163005,#163003,#87398,.T.); #201471=EDGE_CURVE('',#163004,#163005,#87399,.T.); #201472=EDGE_CURVE('',#163006,#163004,#87400,.T.); #201473=EDGE_CURVE('',#163007,#163005,#87401,.T.); #201474=EDGE_CURVE('',#163006,#163007,#87402,.T.); #201475=EDGE_CURVE('',#163008,#163006,#87403,.T.); #201476=EDGE_CURVE('',#163009,#163007,#87404,.T.); #201477=EDGE_CURVE('',#163008,#163009,#87405,.T.); #201478=EDGE_CURVE('',#163010,#163008,#87406,.T.); #201479=EDGE_CURVE('',#163011,#163009,#87407,.T.); #201480=EDGE_CURVE('',#163010,#163011,#87408,.T.); #201481=EDGE_CURVE('',#163012,#163010,#87409,.T.); #201482=EDGE_CURVE('',#163013,#163011,#87410,.T.); #201483=EDGE_CURVE('',#163012,#163013,#87411,.T.); #201484=EDGE_CURVE('',#163014,#163012,#87412,.T.); #201485=EDGE_CURVE('',#163015,#163013,#87413,.T.); #201486=EDGE_CURVE('',#163014,#163015,#87414,.T.); #201487=EDGE_CURVE('',#163016,#163014,#87415,.T.); #201488=EDGE_CURVE('',#163017,#163015,#87416,.T.); #201489=EDGE_CURVE('',#163016,#163017,#87417,.T.); #201490=EDGE_CURVE('',#163018,#163016,#87418,.T.); #201491=EDGE_CURVE('',#163019,#163017,#87419,.T.); #201492=EDGE_CURVE('',#163018,#163019,#87420,.T.); #201493=EDGE_CURVE('',#163020,#163018,#87421,.T.); #201494=EDGE_CURVE('',#163021,#163019,#87422,.T.); #201495=EDGE_CURVE('',#163020,#163021,#87423,.T.); #201496=EDGE_CURVE('',#163022,#163020,#87424,.T.); #201497=EDGE_CURVE('',#163023,#163021,#87425,.T.); #201498=EDGE_CURVE('',#163022,#163023,#87426,.T.); #201499=EDGE_CURVE('',#163024,#163022,#87427,.T.); #201500=EDGE_CURVE('',#163025,#163023,#87428,.T.); #201501=EDGE_CURVE('',#163024,#163025,#87429,.T.); #201502=EDGE_CURVE('',#163026,#163024,#87430,.T.); #201503=EDGE_CURVE('',#163027,#163025,#87431,.T.); #201504=EDGE_CURVE('',#163026,#163027,#87432,.T.); #201505=EDGE_CURVE('',#163028,#163026,#87433,.T.); #201506=EDGE_CURVE('',#163029,#163027,#87434,.T.); #201507=EDGE_CURVE('',#163028,#163029,#87435,.T.); #201508=EDGE_CURVE('',#163030,#163028,#87436,.T.); #201509=EDGE_CURVE('',#163031,#163029,#87437,.T.); #201510=EDGE_CURVE('',#163030,#163031,#87438,.T.); #201511=EDGE_CURVE('',#163032,#163030,#87439,.T.); #201512=EDGE_CURVE('',#163033,#163031,#87440,.T.); #201513=EDGE_CURVE('',#163032,#163033,#87441,.T.); #201514=EDGE_CURVE('',#163034,#163032,#87442,.T.); #201515=EDGE_CURVE('',#163035,#163033,#87443,.T.); #201516=EDGE_CURVE('',#163034,#163035,#87444,.T.); #201517=EDGE_CURVE('',#163036,#163034,#87445,.T.); #201518=EDGE_CURVE('',#163037,#163035,#87446,.T.); #201519=EDGE_CURVE('',#163036,#163037,#87447,.T.); #201520=EDGE_CURVE('',#163038,#163036,#87448,.T.); #201521=EDGE_CURVE('',#163039,#163037,#87449,.T.); #201522=EDGE_CURVE('',#163038,#163039,#87450,.T.); #201523=EDGE_CURVE('',#163040,#163038,#87451,.T.); #201524=EDGE_CURVE('',#163041,#163039,#87452,.T.); #201525=EDGE_CURVE('',#163040,#163041,#87453,.T.); #201526=EDGE_CURVE('',#163042,#163040,#87454,.T.); #201527=EDGE_CURVE('',#163043,#163041,#87455,.T.); #201528=EDGE_CURVE('',#163042,#163043,#87456,.T.); #201529=EDGE_CURVE('',#163044,#163042,#87457,.T.); #201530=EDGE_CURVE('',#163045,#163043,#87458,.T.); #201531=EDGE_CURVE('',#163044,#163045,#87459,.T.); #201532=EDGE_CURVE('',#163046,#163044,#87460,.T.); #201533=EDGE_CURVE('',#163047,#163045,#87461,.T.); #201534=EDGE_CURVE('',#163046,#163047,#87462,.T.); #201535=EDGE_CURVE('',#163048,#163046,#87463,.T.); #201536=EDGE_CURVE('',#163049,#163047,#87464,.T.); #201537=EDGE_CURVE('',#163048,#163049,#87465,.T.); #201538=EDGE_CURVE('',#163050,#163048,#87466,.T.); #201539=EDGE_CURVE('',#163051,#163049,#87467,.T.); #201540=EDGE_CURVE('',#163050,#163051,#87468,.T.); #201541=EDGE_CURVE('',#163052,#163050,#87469,.T.); #201542=EDGE_CURVE('',#163053,#163051,#87470,.T.); #201543=EDGE_CURVE('',#163052,#163053,#87471,.T.); #201544=EDGE_CURVE('',#163054,#163052,#87472,.T.); #201545=EDGE_CURVE('',#163055,#163053,#87473,.T.); #201546=EDGE_CURVE('',#163054,#163055,#87474,.T.); #201547=EDGE_CURVE('',#163056,#163054,#87475,.T.); #201548=EDGE_CURVE('',#163057,#163055,#87476,.T.); #201549=EDGE_CURVE('',#163056,#163057,#87477,.T.); #201550=EDGE_CURVE('',#163058,#163056,#87478,.T.); #201551=EDGE_CURVE('',#163059,#163057,#87479,.T.); #201552=EDGE_CURVE('',#163058,#163059,#87480,.T.); #201553=EDGE_CURVE('',#163060,#163058,#87481,.T.); #201554=EDGE_CURVE('',#163061,#163059,#87482,.T.); #201555=EDGE_CURVE('',#163060,#163061,#87483,.T.); #201556=EDGE_CURVE('',#163062,#163060,#87484,.T.); #201557=EDGE_CURVE('',#163063,#163061,#87485,.T.); #201558=EDGE_CURVE('',#163062,#163063,#87486,.T.); #201559=EDGE_CURVE('',#163064,#163062,#87487,.T.); #201560=EDGE_CURVE('',#163065,#163063,#87488,.T.); #201561=EDGE_CURVE('',#163064,#163065,#87489,.T.); #201562=EDGE_CURVE('',#163066,#163064,#87490,.T.); #201563=EDGE_CURVE('',#163067,#163065,#87491,.T.); #201564=EDGE_CURVE('',#163066,#163067,#87492,.T.); #201565=EDGE_CURVE('',#163068,#163066,#87493,.T.); #201566=EDGE_CURVE('',#163069,#163067,#87494,.T.); #201567=EDGE_CURVE('',#163068,#163069,#87495,.T.); #201568=EDGE_CURVE('',#163070,#163068,#87496,.T.); #201569=EDGE_CURVE('',#163071,#163069,#87497,.T.); #201570=EDGE_CURVE('',#163070,#163071,#87498,.T.); #201571=EDGE_CURVE('',#163072,#163070,#87499,.T.); #201572=EDGE_CURVE('',#163073,#163071,#87500,.T.); #201573=EDGE_CURVE('',#163072,#163073,#87501,.T.); #201574=EDGE_CURVE('',#163074,#163072,#87502,.T.); #201575=EDGE_CURVE('',#163075,#163073,#87503,.T.); #201576=EDGE_CURVE('',#163074,#163075,#87504,.T.); #201577=EDGE_CURVE('',#163076,#163074,#87505,.T.); #201578=EDGE_CURVE('',#163077,#163075,#87506,.T.); #201579=EDGE_CURVE('',#163076,#163077,#87507,.T.); #201580=EDGE_CURVE('',#163078,#163076,#87508,.T.); #201581=EDGE_CURVE('',#163079,#163077,#87509,.T.); #201582=EDGE_CURVE('',#163078,#163079,#87510,.T.); #201583=EDGE_CURVE('',#163080,#163078,#87511,.T.); #201584=EDGE_CURVE('',#163081,#163079,#87512,.T.); #201585=EDGE_CURVE('',#163080,#163081,#87513,.T.); #201586=EDGE_CURVE('',#163082,#163080,#87514,.T.); #201587=EDGE_CURVE('',#163083,#163081,#87515,.T.); #201588=EDGE_CURVE('',#163082,#163083,#87516,.T.); #201589=EDGE_CURVE('',#163084,#163082,#87517,.T.); #201590=EDGE_CURVE('',#163085,#163083,#87518,.T.); #201591=EDGE_CURVE('',#163084,#163085,#87519,.T.); #201592=EDGE_CURVE('',#163086,#163084,#87520,.T.); #201593=EDGE_CURVE('',#163087,#163085,#87521,.T.); #201594=EDGE_CURVE('',#163086,#163087,#87522,.T.); #201595=EDGE_CURVE('',#163088,#163086,#87523,.T.); #201596=EDGE_CURVE('',#163089,#163087,#87524,.T.); #201597=EDGE_CURVE('',#163088,#163089,#87525,.T.); #201598=EDGE_CURVE('',#163090,#163088,#87526,.T.); #201599=EDGE_CURVE('',#163091,#163089,#87527,.T.); #201600=EDGE_CURVE('',#163090,#163091,#87528,.T.); #201601=EDGE_CURVE('',#163092,#163090,#87529,.T.); #201602=EDGE_CURVE('',#163093,#163091,#87530,.T.); #201603=EDGE_CURVE('',#163092,#163093,#87531,.T.); #201604=EDGE_CURVE('',#163094,#163092,#87532,.T.); #201605=EDGE_CURVE('',#163095,#163093,#87533,.T.); #201606=EDGE_CURVE('',#163094,#163095,#87534,.T.); #201607=EDGE_CURVE('',#163096,#163094,#87535,.T.); #201608=EDGE_CURVE('',#163097,#163095,#87536,.T.); #201609=EDGE_CURVE('',#163096,#163097,#87537,.T.); #201610=EDGE_CURVE('',#163098,#163096,#87538,.T.); #201611=EDGE_CURVE('',#163099,#163097,#87539,.T.); #201612=EDGE_CURVE('',#163098,#163099,#87540,.T.); #201613=EDGE_CURVE('',#163100,#163098,#87541,.T.); #201614=EDGE_CURVE('',#163101,#163099,#87542,.T.); #201615=EDGE_CURVE('',#163100,#163101,#87543,.T.); #201616=EDGE_CURVE('',#163102,#163100,#87544,.T.); #201617=EDGE_CURVE('',#163103,#163101,#87545,.T.); #201618=EDGE_CURVE('',#163102,#163103,#87546,.T.); #201619=EDGE_CURVE('',#163104,#163102,#87547,.T.); #201620=EDGE_CURVE('',#163105,#163103,#87548,.T.); #201621=EDGE_CURVE('',#163104,#163105,#87549,.T.); #201622=EDGE_CURVE('',#163106,#163104,#87550,.T.); #201623=EDGE_CURVE('',#163107,#163105,#87551,.T.); #201624=EDGE_CURVE('',#163106,#163107,#87552,.T.); #201625=EDGE_CURVE('',#163108,#163106,#87553,.T.); #201626=EDGE_CURVE('',#163109,#163107,#87554,.T.); #201627=EDGE_CURVE('',#163108,#163109,#87555,.T.); #201628=EDGE_CURVE('',#163110,#163108,#87556,.T.); #201629=EDGE_CURVE('',#163111,#163109,#87557,.T.); #201630=EDGE_CURVE('',#163110,#163111,#87558,.T.); #201631=EDGE_CURVE('',#163112,#163110,#87559,.T.); #201632=EDGE_CURVE('',#163113,#163111,#87560,.T.); #201633=EDGE_CURVE('',#163112,#163113,#87561,.T.); #201634=EDGE_CURVE('',#163114,#163112,#87562,.T.); #201635=EDGE_CURVE('',#163115,#163113,#87563,.T.); #201636=EDGE_CURVE('',#163114,#163115,#87564,.T.); #201637=EDGE_CURVE('',#163116,#163114,#87565,.T.); #201638=EDGE_CURVE('',#163117,#163115,#87566,.T.); #201639=EDGE_CURVE('',#163116,#163117,#87567,.T.); #201640=EDGE_CURVE('',#163118,#163116,#87568,.T.); #201641=EDGE_CURVE('',#163119,#163117,#87569,.T.); #201642=EDGE_CURVE('',#163118,#163119,#87570,.T.); #201643=EDGE_CURVE('',#163120,#163118,#87571,.T.); #201644=EDGE_CURVE('',#163121,#163119,#87572,.T.); #201645=EDGE_CURVE('',#163120,#163121,#87573,.T.); #201646=EDGE_CURVE('',#163122,#163120,#87574,.T.); #201647=EDGE_CURVE('',#163123,#163121,#87575,.T.); #201648=EDGE_CURVE('',#163122,#163123,#87576,.T.); #201649=EDGE_CURVE('',#163124,#163122,#87577,.T.); #201650=EDGE_CURVE('',#163125,#163123,#87578,.T.); #201651=EDGE_CURVE('',#163124,#163125,#87579,.T.); #201652=EDGE_CURVE('',#163126,#163124,#87580,.T.); #201653=EDGE_CURVE('',#163127,#163125,#87581,.T.); #201654=EDGE_CURVE('',#163126,#163127,#87582,.T.); #201655=EDGE_CURVE('',#163128,#163126,#87583,.T.); #201656=EDGE_CURVE('',#163129,#163127,#87584,.T.); #201657=EDGE_CURVE('',#163128,#163129,#87585,.T.); #201658=EDGE_CURVE('',#163130,#163128,#87586,.T.); #201659=EDGE_CURVE('',#163131,#163129,#87587,.T.); #201660=EDGE_CURVE('',#163130,#163131,#87588,.T.); #201661=EDGE_CURVE('',#163132,#163130,#87589,.T.); #201662=EDGE_CURVE('',#163133,#163131,#87590,.T.); #201663=EDGE_CURVE('',#163132,#163133,#87591,.T.); #201664=EDGE_CURVE('',#163134,#163132,#87592,.T.); #201665=EDGE_CURVE('',#163135,#163133,#87593,.T.); #201666=EDGE_CURVE('',#163134,#163135,#87594,.T.); #201667=EDGE_CURVE('',#163136,#163134,#87595,.T.); #201668=EDGE_CURVE('',#163137,#163135,#87596,.T.); #201669=EDGE_CURVE('',#163136,#163137,#87597,.T.); #201670=EDGE_CURVE('',#163138,#163136,#87598,.T.); #201671=EDGE_CURVE('',#163139,#163137,#87599,.T.); #201672=EDGE_CURVE('',#163138,#163139,#87600,.T.); #201673=EDGE_CURVE('',#163140,#163138,#87601,.T.); #201674=EDGE_CURVE('',#163141,#163139,#87602,.T.); #201675=EDGE_CURVE('',#163140,#163141,#87603,.T.); #201676=EDGE_CURVE('',#163142,#163140,#87604,.T.); #201677=EDGE_CURVE('',#163143,#163141,#87605,.T.); #201678=EDGE_CURVE('',#163142,#163143,#87606,.T.); #201679=EDGE_CURVE('',#163144,#163142,#87607,.T.); #201680=EDGE_CURVE('',#163145,#163143,#87608,.T.); #201681=EDGE_CURVE('',#163144,#163145,#87609,.T.); #201682=EDGE_CURVE('',#163146,#163144,#87610,.T.); #201683=EDGE_CURVE('',#163147,#163145,#87611,.T.); #201684=EDGE_CURVE('',#163146,#163147,#87612,.T.); #201685=EDGE_CURVE('',#163148,#163146,#87613,.T.); #201686=EDGE_CURVE('',#163149,#163147,#87614,.T.); #201687=EDGE_CURVE('',#163148,#163149,#87615,.T.); #201688=EDGE_CURVE('',#163150,#163148,#87616,.T.); #201689=EDGE_CURVE('',#163151,#163149,#87617,.T.); #201690=EDGE_CURVE('',#163150,#163151,#87618,.T.); #201691=EDGE_CURVE('',#163152,#163150,#87619,.T.); #201692=EDGE_CURVE('',#163153,#163151,#87620,.T.); #201693=EDGE_CURVE('',#163152,#163153,#87621,.T.); #201694=EDGE_CURVE('',#163154,#163152,#87622,.T.); #201695=EDGE_CURVE('',#163155,#163153,#87623,.T.); #201696=EDGE_CURVE('',#163154,#163155,#87624,.T.); #201697=EDGE_CURVE('',#163156,#163154,#87625,.T.); #201698=EDGE_CURVE('',#163157,#163155,#87626,.T.); #201699=EDGE_CURVE('',#163156,#163157,#87627,.T.); #201700=EDGE_CURVE('',#163158,#163156,#87628,.T.); #201701=EDGE_CURVE('',#163159,#163157,#87629,.T.); #201702=EDGE_CURVE('',#163158,#163159,#87630,.T.); #201703=EDGE_CURVE('',#163160,#163158,#87631,.T.); #201704=EDGE_CURVE('',#163161,#163159,#87632,.T.); #201705=EDGE_CURVE('',#163160,#163161,#87633,.T.); #201706=EDGE_CURVE('',#163162,#163160,#87634,.T.); #201707=EDGE_CURVE('',#163163,#163161,#87635,.T.); #201708=EDGE_CURVE('',#163162,#163163,#87636,.T.); #201709=EDGE_CURVE('',#163164,#163162,#87637,.T.); #201710=EDGE_CURVE('',#163165,#163163,#87638,.T.); #201711=EDGE_CURVE('',#163164,#163165,#87639,.T.); #201712=EDGE_CURVE('',#163166,#163164,#87640,.T.); #201713=EDGE_CURVE('',#163167,#163165,#87641,.T.); #201714=EDGE_CURVE('',#163166,#163167,#87642,.T.); #201715=EDGE_CURVE('',#163168,#163166,#87643,.T.); #201716=EDGE_CURVE('',#163169,#163167,#87644,.T.); #201717=EDGE_CURVE('',#163168,#163169,#87645,.T.); #201718=EDGE_CURVE('',#163170,#163168,#87646,.T.); #201719=EDGE_CURVE('',#163171,#163169,#87647,.T.); #201720=EDGE_CURVE('',#163170,#163171,#87648,.T.); #201721=EDGE_CURVE('',#163172,#163170,#87649,.T.); #201722=EDGE_CURVE('',#163173,#163171,#87650,.T.); #201723=EDGE_CURVE('',#163172,#163173,#87651,.T.); #201724=EDGE_CURVE('',#163174,#163172,#87652,.T.); #201725=EDGE_CURVE('',#163175,#163173,#87653,.T.); #201726=EDGE_CURVE('',#163174,#163175,#87654,.T.); #201727=EDGE_CURVE('',#163176,#163174,#87655,.T.); #201728=EDGE_CURVE('',#163177,#163175,#87656,.T.); #201729=EDGE_CURVE('',#163176,#163177,#87657,.T.); #201730=EDGE_CURVE('',#163178,#163176,#87658,.T.); #201731=EDGE_CURVE('',#163179,#163177,#87659,.T.); #201732=EDGE_CURVE('',#163178,#163179,#87660,.T.); #201733=EDGE_CURVE('',#163180,#163178,#87661,.T.); #201734=EDGE_CURVE('',#163181,#163179,#87662,.T.); #201735=EDGE_CURVE('',#163180,#163181,#87663,.T.); #201736=EDGE_CURVE('',#163182,#163180,#87664,.T.); #201737=EDGE_CURVE('',#163183,#163181,#87665,.T.); #201738=EDGE_CURVE('',#163182,#163183,#87666,.T.); #201739=EDGE_CURVE('',#163184,#163182,#87667,.T.); #201740=EDGE_CURVE('',#163185,#163183,#87668,.T.); #201741=EDGE_CURVE('',#163184,#163185,#87669,.T.); #201742=EDGE_CURVE('',#163186,#163184,#87670,.T.); #201743=EDGE_CURVE('',#163187,#163185,#87671,.T.); #201744=EDGE_CURVE('',#163186,#163187,#87672,.T.); #201745=EDGE_CURVE('',#163188,#163186,#87673,.T.); #201746=EDGE_CURVE('',#163189,#163187,#87674,.T.); #201747=EDGE_CURVE('',#163188,#163189,#87675,.T.); #201748=EDGE_CURVE('',#163190,#163188,#87676,.T.); #201749=EDGE_CURVE('',#163191,#163189,#87677,.T.); #201750=EDGE_CURVE('',#163190,#163191,#87678,.T.); #201751=EDGE_CURVE('',#163192,#163190,#87679,.T.); #201752=EDGE_CURVE('',#163193,#163191,#87680,.T.); #201753=EDGE_CURVE('',#163192,#163193,#87681,.T.); #201754=EDGE_CURVE('',#163194,#163192,#87682,.T.); #201755=EDGE_CURVE('',#163195,#163193,#87683,.T.); #201756=EDGE_CURVE('',#163194,#163195,#87684,.T.); #201757=EDGE_CURVE('',#163196,#163194,#87685,.T.); #201758=EDGE_CURVE('',#163197,#163195,#87686,.T.); #201759=EDGE_CURVE('',#163196,#163197,#87687,.T.); #201760=EDGE_CURVE('',#163198,#163196,#87688,.T.); #201761=EDGE_CURVE('',#163199,#163197,#87689,.T.); #201762=EDGE_CURVE('',#163198,#163199,#87690,.T.); #201763=EDGE_CURVE('',#163200,#163198,#87691,.T.); #201764=EDGE_CURVE('',#163201,#163199,#87692,.T.); #201765=EDGE_CURVE('',#163200,#163201,#87693,.T.); #201766=EDGE_CURVE('',#163202,#163200,#87694,.T.); #201767=EDGE_CURVE('',#163203,#163201,#87695,.T.); #201768=EDGE_CURVE('',#163202,#163203,#87696,.T.); #201769=EDGE_CURVE('',#163204,#163202,#87697,.T.); #201770=EDGE_CURVE('',#163205,#163203,#87698,.T.); #201771=EDGE_CURVE('',#163204,#163205,#87699,.T.); #201772=EDGE_CURVE('',#163206,#163204,#87700,.T.); #201773=EDGE_CURVE('',#163207,#163205,#87701,.T.); #201774=EDGE_CURVE('',#163206,#163207,#87702,.T.); #201775=EDGE_CURVE('',#163208,#163206,#87703,.T.); #201776=EDGE_CURVE('',#163209,#163207,#87704,.T.); #201777=EDGE_CURVE('',#163208,#163209,#87705,.T.); #201778=EDGE_CURVE('',#163210,#163208,#87706,.T.); #201779=EDGE_CURVE('',#163211,#163209,#87707,.T.); #201780=EDGE_CURVE('',#163210,#163211,#87708,.T.); #201781=EDGE_CURVE('',#163212,#163210,#87709,.T.); #201782=EDGE_CURVE('',#163213,#163211,#87710,.T.); #201783=EDGE_CURVE('',#163212,#163213,#87711,.T.); #201784=EDGE_CURVE('',#163214,#163212,#87712,.T.); #201785=EDGE_CURVE('',#163215,#163213,#87713,.T.); #201786=EDGE_CURVE('',#163214,#163215,#87714,.T.); #201787=EDGE_CURVE('',#163216,#163214,#87715,.T.); #201788=EDGE_CURVE('',#163217,#163215,#87716,.T.); #201789=EDGE_CURVE('',#163216,#163217,#87717,.T.); #201790=EDGE_CURVE('',#163218,#163216,#87718,.T.); #201791=EDGE_CURVE('',#163219,#163217,#87719,.T.); #201792=EDGE_CURVE('',#163218,#163219,#87720,.T.); #201793=EDGE_CURVE('',#163220,#163218,#87721,.T.); #201794=EDGE_CURVE('',#163221,#163219,#87722,.T.); #201795=EDGE_CURVE('',#163220,#163221,#87723,.T.); #201796=EDGE_CURVE('',#163222,#163220,#87724,.T.); #201797=EDGE_CURVE('',#163223,#163221,#87725,.T.); #201798=EDGE_CURVE('',#163222,#163223,#87726,.T.); #201799=EDGE_CURVE('',#163224,#163222,#87727,.T.); #201800=EDGE_CURVE('',#163225,#163223,#87728,.T.); #201801=EDGE_CURVE('',#163224,#163225,#87729,.T.); #201802=EDGE_CURVE('',#163226,#163224,#87730,.T.); #201803=EDGE_CURVE('',#163227,#163225,#87731,.T.); #201804=EDGE_CURVE('',#163226,#163227,#87732,.T.); #201805=EDGE_CURVE('',#163228,#163226,#87733,.T.); #201806=EDGE_CURVE('',#163229,#163227,#87734,.T.); #201807=EDGE_CURVE('',#163228,#163229,#87735,.T.); #201808=EDGE_CURVE('',#163230,#163228,#87736,.T.); #201809=EDGE_CURVE('',#163231,#163229,#87737,.T.); #201810=EDGE_CURVE('',#163230,#163231,#87738,.T.); #201811=EDGE_CURVE('',#163232,#163230,#87739,.T.); #201812=EDGE_CURVE('',#163233,#163231,#87740,.T.); #201813=EDGE_CURVE('',#163232,#163233,#87741,.T.); #201814=EDGE_CURVE('',#163234,#163232,#87742,.T.); #201815=EDGE_CURVE('',#163235,#163233,#87743,.T.); #201816=EDGE_CURVE('',#163234,#163235,#87744,.T.); #201817=EDGE_CURVE('',#163236,#163234,#87745,.T.); #201818=EDGE_CURVE('',#163237,#163235,#87746,.T.); #201819=EDGE_CURVE('',#163236,#163237,#87747,.T.); #201820=EDGE_CURVE('',#163238,#163236,#87748,.T.); #201821=EDGE_CURVE('',#163239,#163237,#87749,.T.); #201822=EDGE_CURVE('',#163238,#163239,#87750,.T.); #201823=EDGE_CURVE('',#163240,#163238,#87751,.T.); #201824=EDGE_CURVE('',#163241,#163239,#87752,.T.); #201825=EDGE_CURVE('',#163240,#163241,#87753,.T.); #201826=EDGE_CURVE('',#163242,#163240,#87754,.T.); #201827=EDGE_CURVE('',#163243,#163241,#87755,.T.); #201828=EDGE_CURVE('',#163242,#163243,#87756,.T.); #201829=EDGE_CURVE('',#163244,#163242,#87757,.T.); #201830=EDGE_CURVE('',#163245,#163243,#87758,.T.); #201831=EDGE_CURVE('',#163244,#163245,#87759,.T.); #201832=EDGE_CURVE('',#163246,#163244,#87760,.T.); #201833=EDGE_CURVE('',#163247,#163245,#87761,.T.); #201834=EDGE_CURVE('',#163246,#163247,#87762,.T.); #201835=EDGE_CURVE('',#163248,#163246,#87763,.T.); #201836=EDGE_CURVE('',#163249,#163247,#87764,.T.); #201837=EDGE_CURVE('',#163248,#163249,#87765,.T.); #201838=EDGE_CURVE('',#163250,#163248,#87766,.T.); #201839=EDGE_CURVE('',#163251,#163249,#87767,.T.); #201840=EDGE_CURVE('',#163250,#163251,#87768,.T.); #201841=EDGE_CURVE('',#163252,#163250,#87769,.T.); #201842=EDGE_CURVE('',#163253,#163251,#87770,.T.); #201843=EDGE_CURVE('',#163252,#163253,#87771,.T.); #201844=EDGE_CURVE('',#163254,#163252,#87772,.T.); #201845=EDGE_CURVE('',#163255,#163253,#87773,.T.); #201846=EDGE_CURVE('',#163254,#163255,#87774,.T.); #201847=EDGE_CURVE('',#163256,#163254,#87775,.T.); #201848=EDGE_CURVE('',#163257,#163255,#87776,.T.); #201849=EDGE_CURVE('',#163256,#163257,#87777,.T.); #201850=EDGE_CURVE('',#163258,#163256,#87778,.T.); #201851=EDGE_CURVE('',#163259,#163257,#87779,.T.); #201852=EDGE_CURVE('',#163258,#163259,#87780,.T.); #201853=EDGE_CURVE('',#163260,#163258,#87781,.T.); #201854=EDGE_CURVE('',#163261,#163259,#87782,.T.); #201855=EDGE_CURVE('',#163260,#163261,#87783,.T.); #201856=EDGE_CURVE('',#163262,#163260,#87784,.T.); #201857=EDGE_CURVE('',#163263,#163261,#87785,.T.); #201858=EDGE_CURVE('',#163262,#163263,#87786,.T.); #201859=EDGE_CURVE('',#163264,#163262,#87787,.T.); #201860=EDGE_CURVE('',#163265,#163263,#87788,.T.); #201861=EDGE_CURVE('',#163264,#163265,#87789,.T.); #201862=EDGE_CURVE('',#163266,#163264,#87790,.T.); #201863=EDGE_CURVE('',#163267,#163265,#87791,.T.); #201864=EDGE_CURVE('',#163266,#163267,#87792,.T.); #201865=EDGE_CURVE('',#163268,#163266,#87793,.T.); #201866=EDGE_CURVE('',#163269,#163267,#87794,.T.); #201867=EDGE_CURVE('',#163268,#163269,#87795,.T.); #201868=EDGE_CURVE('',#163270,#163268,#87796,.T.); #201869=EDGE_CURVE('',#163271,#163269,#87797,.T.); #201870=EDGE_CURVE('',#163270,#163271,#87798,.T.); #201871=EDGE_CURVE('',#163272,#163270,#87799,.T.); #201872=EDGE_CURVE('',#163273,#163271,#87800,.T.); #201873=EDGE_CURVE('',#163272,#163273,#87801,.T.); #201874=EDGE_CURVE('',#163274,#163272,#87802,.T.); #201875=EDGE_CURVE('',#163275,#163273,#87803,.T.); #201876=EDGE_CURVE('',#163274,#163275,#87804,.T.); #201877=EDGE_CURVE('',#163276,#163274,#87805,.T.); #201878=EDGE_CURVE('',#163277,#163275,#87806,.T.); #201879=EDGE_CURVE('',#163276,#163277,#87807,.T.); #201880=EDGE_CURVE('',#163278,#163276,#87808,.T.); #201881=EDGE_CURVE('',#163279,#163277,#87809,.T.); #201882=EDGE_CURVE('',#163278,#163279,#87810,.T.); #201883=EDGE_CURVE('',#163280,#163278,#87811,.T.); #201884=EDGE_CURVE('',#163281,#163279,#87812,.T.); #201885=EDGE_CURVE('',#163280,#163281,#87813,.T.); #201886=EDGE_CURVE('',#163282,#163280,#87814,.T.); #201887=EDGE_CURVE('',#163283,#163281,#87815,.T.); #201888=EDGE_CURVE('',#163282,#163283,#87816,.T.); #201889=EDGE_CURVE('',#163284,#163282,#87817,.T.); #201890=EDGE_CURVE('',#163285,#163283,#87818,.T.); #201891=EDGE_CURVE('',#163284,#163285,#87819,.T.); #201892=EDGE_CURVE('',#163286,#163284,#87820,.T.); #201893=EDGE_CURVE('',#163287,#163285,#87821,.T.); #201894=EDGE_CURVE('',#163286,#163287,#87822,.T.); #201895=EDGE_CURVE('',#163288,#163286,#87823,.T.); #201896=EDGE_CURVE('',#163289,#163287,#87824,.T.); #201897=EDGE_CURVE('',#163288,#163289,#87825,.T.); #201898=EDGE_CURVE('',#163290,#163288,#87826,.T.); #201899=EDGE_CURVE('',#163291,#163289,#87827,.T.); #201900=EDGE_CURVE('',#163290,#163291,#87828,.T.); #201901=EDGE_CURVE('',#163292,#163290,#87829,.T.); #201902=EDGE_CURVE('',#163293,#163291,#87830,.T.); #201903=EDGE_CURVE('',#163292,#163293,#87831,.T.); #201904=EDGE_CURVE('',#163294,#163292,#87832,.T.); #201905=EDGE_CURVE('',#163295,#163293,#87833,.T.); #201906=EDGE_CURVE('',#163294,#163295,#87834,.T.); #201907=EDGE_CURVE('',#163296,#163294,#87835,.T.); #201908=EDGE_CURVE('',#163297,#163295,#87836,.T.); #201909=EDGE_CURVE('',#163296,#163297,#87837,.T.); #201910=EDGE_CURVE('',#163298,#163296,#87838,.T.); #201911=EDGE_CURVE('',#163299,#163297,#87839,.T.); #201912=EDGE_CURVE('',#163298,#163299,#87840,.T.); #201913=EDGE_CURVE('',#163300,#163298,#87841,.T.); #201914=EDGE_CURVE('',#163301,#163299,#87842,.T.); #201915=EDGE_CURVE('',#163300,#163301,#87843,.T.); #201916=EDGE_CURVE('',#163302,#163300,#87844,.T.); #201917=EDGE_CURVE('',#163303,#163301,#87845,.T.); #201918=EDGE_CURVE('',#163302,#163303,#87846,.T.); #201919=EDGE_CURVE('',#163304,#163302,#87847,.T.); #201920=EDGE_CURVE('',#163305,#163303,#87848,.T.); #201921=EDGE_CURVE('',#163304,#163305,#87849,.T.); #201922=EDGE_CURVE('',#163306,#163304,#87850,.T.); #201923=EDGE_CURVE('',#163307,#163305,#87851,.T.); #201924=EDGE_CURVE('',#163306,#163307,#87852,.T.); #201925=EDGE_CURVE('',#163308,#163306,#87853,.T.); #201926=EDGE_CURVE('',#163309,#163307,#87854,.T.); #201927=EDGE_CURVE('',#163308,#163309,#87855,.T.); #201928=EDGE_CURVE('',#163310,#163308,#87856,.T.); #201929=EDGE_CURVE('',#163311,#163309,#87857,.T.); #201930=EDGE_CURVE('',#163310,#163311,#87858,.T.); #201931=EDGE_CURVE('',#163312,#163310,#87859,.T.); #201932=EDGE_CURVE('',#163313,#163311,#87860,.T.); #201933=EDGE_CURVE('',#163312,#163313,#87861,.T.); #201934=EDGE_CURVE('',#163314,#163312,#87862,.T.); #201935=EDGE_CURVE('',#163315,#163313,#87863,.T.); #201936=EDGE_CURVE('',#163314,#163315,#87864,.T.); #201937=EDGE_CURVE('',#163316,#163314,#87865,.T.); #201938=EDGE_CURVE('',#163317,#163315,#87866,.T.); #201939=EDGE_CURVE('',#163316,#163317,#87867,.T.); #201940=EDGE_CURVE('',#163318,#163316,#87868,.T.); #201941=EDGE_CURVE('',#163319,#163317,#87869,.T.); #201942=EDGE_CURVE('',#163318,#163319,#87870,.T.); #201943=EDGE_CURVE('',#163320,#163318,#87871,.T.); #201944=EDGE_CURVE('',#163321,#163319,#87872,.T.); #201945=EDGE_CURVE('',#163320,#163321,#87873,.T.); #201946=EDGE_CURVE('',#163322,#163320,#87874,.T.); #201947=EDGE_CURVE('',#163323,#163321,#87875,.T.); #201948=EDGE_CURVE('',#163322,#163323,#87876,.T.); #201949=EDGE_CURVE('',#163324,#163322,#87877,.T.); #201950=EDGE_CURVE('',#163325,#163323,#87878,.T.); #201951=EDGE_CURVE('',#163324,#163325,#87879,.T.); #201952=EDGE_CURVE('',#163326,#163324,#87880,.T.); #201953=EDGE_CURVE('',#163327,#163325,#87881,.T.); #201954=EDGE_CURVE('',#163326,#163327,#87882,.T.); #201955=EDGE_CURVE('',#163328,#163326,#87883,.T.); #201956=EDGE_CURVE('',#163329,#163327,#87884,.T.); #201957=EDGE_CURVE('',#163328,#163329,#87885,.T.); #201958=EDGE_CURVE('',#163330,#163328,#87886,.T.); #201959=EDGE_CURVE('',#163331,#163329,#87887,.T.); #201960=EDGE_CURVE('',#163330,#163331,#87888,.T.); #201961=EDGE_CURVE('',#163332,#163330,#87889,.T.); #201962=EDGE_CURVE('',#163333,#163331,#87890,.T.); #201963=EDGE_CURVE('',#163332,#163333,#87891,.T.); #201964=EDGE_CURVE('',#163334,#163332,#87892,.T.); #201965=EDGE_CURVE('',#163335,#163333,#87893,.T.); #201966=EDGE_CURVE('',#163334,#163335,#87894,.T.); #201967=EDGE_CURVE('',#163336,#163334,#87895,.T.); #201968=EDGE_CURVE('',#163337,#163335,#87896,.T.); #201969=EDGE_CURVE('',#163336,#163337,#87897,.T.); #201970=EDGE_CURVE('',#163338,#163336,#87898,.T.); #201971=EDGE_CURVE('',#163339,#163337,#87899,.T.); #201972=EDGE_CURVE('',#163338,#163339,#87900,.T.); #201973=EDGE_CURVE('',#163340,#163338,#87901,.T.); #201974=EDGE_CURVE('',#163341,#163339,#87902,.T.); #201975=EDGE_CURVE('',#163340,#163341,#87903,.T.); #201976=EDGE_CURVE('',#163342,#163340,#87904,.T.); #201977=EDGE_CURVE('',#163343,#163341,#87905,.T.); #201978=EDGE_CURVE('',#163342,#163343,#87906,.T.); #201979=EDGE_CURVE('',#163344,#163342,#87907,.T.); #201980=EDGE_CURVE('',#163345,#163343,#87908,.T.); #201981=EDGE_CURVE('',#163344,#163345,#87909,.T.); #201982=EDGE_CURVE('',#163346,#163344,#87910,.T.); #201983=EDGE_CURVE('',#163347,#163345,#87911,.T.); #201984=EDGE_CURVE('',#163346,#163347,#87912,.T.); #201985=EDGE_CURVE('',#163348,#163346,#87913,.T.); #201986=EDGE_CURVE('',#163349,#163347,#87914,.T.); #201987=EDGE_CURVE('',#163348,#163349,#87915,.T.); #201988=EDGE_CURVE('',#163350,#163348,#87916,.T.); #201989=EDGE_CURVE('',#163351,#163349,#87917,.T.); #201990=EDGE_CURVE('',#163350,#163351,#87918,.T.); #201991=EDGE_CURVE('',#163352,#163350,#87919,.T.); #201992=EDGE_CURVE('',#163353,#163351,#87920,.T.); #201993=EDGE_CURVE('',#163352,#163353,#87921,.T.); #201994=EDGE_CURVE('',#163354,#163352,#87922,.T.); #201995=EDGE_CURVE('',#163355,#163353,#87923,.T.); #201996=EDGE_CURVE('',#163354,#163355,#87924,.T.); #201997=EDGE_CURVE('',#163356,#163354,#87925,.T.); #201998=EDGE_CURVE('',#163357,#163355,#87926,.T.); #201999=EDGE_CURVE('',#163356,#163357,#87927,.T.); #202000=EDGE_CURVE('',#163358,#163356,#87928,.T.); #202001=EDGE_CURVE('',#163359,#163357,#87929,.T.); #202002=EDGE_CURVE('',#163358,#163359,#87930,.T.); #202003=EDGE_CURVE('',#163360,#163358,#87931,.T.); #202004=EDGE_CURVE('',#163361,#163359,#87932,.T.); #202005=EDGE_CURVE('',#163360,#163361,#87933,.T.); #202006=EDGE_CURVE('',#163362,#163360,#87934,.T.); #202007=EDGE_CURVE('',#163363,#163361,#87935,.T.); #202008=EDGE_CURVE('',#163362,#163363,#87936,.T.); #202009=EDGE_CURVE('',#163364,#163362,#87937,.T.); #202010=EDGE_CURVE('',#163365,#163363,#87938,.T.); #202011=EDGE_CURVE('',#163364,#163365,#87939,.T.); #202012=EDGE_CURVE('',#163366,#163364,#87940,.T.); #202013=EDGE_CURVE('',#163367,#163365,#87941,.T.); #202014=EDGE_CURVE('',#163366,#163367,#87942,.T.); #202015=EDGE_CURVE('',#163368,#163366,#87943,.T.); #202016=EDGE_CURVE('',#163369,#163367,#87944,.T.); #202017=EDGE_CURVE('',#163368,#163369,#87945,.T.); #202018=EDGE_CURVE('',#163370,#163368,#87946,.T.); #202019=EDGE_CURVE('',#163371,#163369,#87947,.T.); #202020=EDGE_CURVE('',#163370,#163371,#87948,.T.); #202021=EDGE_CURVE('',#163372,#163370,#87949,.T.); #202022=EDGE_CURVE('',#163373,#163371,#87950,.T.); #202023=EDGE_CURVE('',#163372,#163373,#87951,.T.); #202024=EDGE_CURVE('',#163374,#163372,#87952,.T.); #202025=EDGE_CURVE('',#163375,#163373,#87953,.T.); #202026=EDGE_CURVE('',#163374,#163375,#87954,.T.); #202027=EDGE_CURVE('',#163376,#163374,#87955,.T.); #202028=EDGE_CURVE('',#163377,#163375,#87956,.T.); #202029=EDGE_CURVE('',#163376,#163377,#87957,.T.); #202030=EDGE_CURVE('',#163378,#163376,#87958,.T.); #202031=EDGE_CURVE('',#163379,#163377,#87959,.T.); #202032=EDGE_CURVE('',#163378,#163379,#87960,.T.); #202033=EDGE_CURVE('',#163380,#163378,#87961,.T.); #202034=EDGE_CURVE('',#163381,#163379,#87962,.T.); #202035=EDGE_CURVE('',#163380,#163381,#87963,.T.); #202036=EDGE_CURVE('',#163382,#163380,#87964,.T.); #202037=EDGE_CURVE('',#163383,#163381,#87965,.T.); #202038=EDGE_CURVE('',#163382,#163383,#87966,.T.); #202039=EDGE_CURVE('',#163384,#163382,#87967,.T.); #202040=EDGE_CURVE('',#163385,#163383,#87968,.T.); #202041=EDGE_CURVE('',#163384,#163385,#87969,.T.); #202042=EDGE_CURVE('',#163386,#163384,#87970,.T.); #202043=EDGE_CURVE('',#163387,#163385,#87971,.T.); #202044=EDGE_CURVE('',#163386,#163387,#87972,.T.); #202045=EDGE_CURVE('',#163388,#163386,#87973,.T.); #202046=EDGE_CURVE('',#163389,#163387,#87974,.T.); #202047=EDGE_CURVE('',#163388,#163389,#87975,.T.); #202048=EDGE_CURVE('',#163390,#163388,#87976,.T.); #202049=EDGE_CURVE('',#163391,#163389,#87977,.T.); #202050=EDGE_CURVE('',#163390,#163391,#87978,.T.); #202051=EDGE_CURVE('',#163392,#163390,#67523,.T.); #202052=EDGE_CURVE('',#163393,#163391,#67524,.T.); #202053=EDGE_CURVE('',#163392,#163393,#87979,.T.); #202054=EDGE_CURVE('',#163394,#163392,#87980,.T.); #202055=EDGE_CURVE('',#163395,#163393,#87981,.T.); #202056=EDGE_CURVE('',#163394,#163395,#87982,.T.); #202057=EDGE_CURVE('',#163396,#163394,#67525,.T.); #202058=EDGE_CURVE('',#163397,#163395,#67526,.T.); #202059=EDGE_CURVE('',#163396,#163397,#87983,.T.); #202060=EDGE_CURVE('',#163398,#163396,#87984,.T.); #202061=EDGE_CURVE('',#163399,#163397,#87985,.T.); #202062=EDGE_CURVE('',#163398,#163399,#87986,.T.); #202063=EDGE_CURVE('',#163400,#163398,#87987,.T.); #202064=EDGE_CURVE('',#163401,#163399,#87988,.T.); #202065=EDGE_CURVE('',#163400,#163401,#87989,.T.); #202066=EDGE_CURVE('',#163402,#163400,#87990,.T.); #202067=EDGE_CURVE('',#163403,#163401,#87991,.T.); #202068=EDGE_CURVE('',#163402,#163403,#87992,.T.); #202069=EDGE_CURVE('',#163404,#163402,#87993,.T.); #202070=EDGE_CURVE('',#163405,#163403,#87994,.T.); #202071=EDGE_CURVE('',#163404,#163405,#87995,.T.); #202072=EDGE_CURVE('',#163406,#163404,#87996,.T.); #202073=EDGE_CURVE('',#163407,#163405,#87997,.T.); #202074=EDGE_CURVE('',#163406,#163407,#87998,.T.); #202075=EDGE_CURVE('',#163408,#163406,#87999,.T.); #202076=EDGE_CURVE('',#163409,#163407,#88000,.T.); #202077=EDGE_CURVE('',#163408,#163409,#88001,.T.); #202078=EDGE_CURVE('',#163410,#163408,#88002,.T.); #202079=EDGE_CURVE('',#163411,#163409,#88003,.T.); #202080=EDGE_CURVE('',#163410,#163411,#88004,.T.); #202081=EDGE_CURVE('',#163412,#163410,#88005,.T.); #202082=EDGE_CURVE('',#163413,#163411,#88006,.T.); #202083=EDGE_CURVE('',#163412,#163413,#88007,.T.); #202084=EDGE_CURVE('',#163414,#163412,#67527,.T.); #202085=EDGE_CURVE('',#163415,#163413,#67528,.T.); #202086=EDGE_CURVE('',#163414,#163415,#88008,.T.); #202087=EDGE_CURVE('',#163416,#163414,#88009,.T.); #202088=EDGE_CURVE('',#163417,#163415,#88010,.T.); #202089=EDGE_CURVE('',#163416,#163417,#88011,.T.); #202090=EDGE_CURVE('',#163418,#163416,#88012,.T.); #202091=EDGE_CURVE('',#163419,#163417,#88013,.T.); #202092=EDGE_CURVE('',#163418,#163419,#88014,.T.); #202093=EDGE_CURVE('',#163420,#163418,#67529,.T.); #202094=EDGE_CURVE('',#163421,#163419,#67530,.T.); #202095=EDGE_CURVE('',#163420,#163421,#88015,.T.); #202096=EDGE_CURVE('',#163422,#163420,#88016,.T.); #202097=EDGE_CURVE('',#163423,#163421,#88017,.T.); #202098=EDGE_CURVE('',#163422,#163423,#88018,.T.); #202099=EDGE_CURVE('',#163424,#163422,#88019,.T.); #202100=EDGE_CURVE('',#163425,#163423,#88020,.T.); #202101=EDGE_CURVE('',#163424,#163425,#88021,.T.); #202102=EDGE_CURVE('',#163426,#163424,#88022,.T.); #202103=EDGE_CURVE('',#163427,#163425,#88023,.T.); #202104=EDGE_CURVE('',#163426,#163427,#88024,.T.); #202105=EDGE_CURVE('',#163428,#163426,#88025,.T.); #202106=EDGE_CURVE('',#163429,#163427,#88026,.T.); #202107=EDGE_CURVE('',#163428,#163429,#88027,.T.); #202108=EDGE_CURVE('',#163430,#163428,#88028,.T.); #202109=EDGE_CURVE('',#163431,#163429,#88029,.T.); #202110=EDGE_CURVE('',#163430,#163431,#88030,.T.); #202111=EDGE_CURVE('',#163432,#163430,#88031,.T.); #202112=EDGE_CURVE('',#163433,#163431,#88032,.T.); #202113=EDGE_CURVE('',#163432,#163433,#88033,.T.); #202114=EDGE_CURVE('',#163434,#163432,#88034,.T.); #202115=EDGE_CURVE('',#163435,#163433,#88035,.T.); #202116=EDGE_CURVE('',#163434,#163435,#88036,.T.); #202117=EDGE_CURVE('',#163436,#163434,#88037,.T.); #202118=EDGE_CURVE('',#163437,#163435,#88038,.T.); #202119=EDGE_CURVE('',#163436,#163437,#88039,.T.); #202120=EDGE_CURVE('',#163438,#163436,#88040,.T.); #202121=EDGE_CURVE('',#163439,#163437,#88041,.T.); #202122=EDGE_CURVE('',#163438,#163439,#88042,.T.); #202123=EDGE_CURVE('',#163440,#163438,#88043,.T.); #202124=EDGE_CURVE('',#163441,#163439,#88044,.T.); #202125=EDGE_CURVE('',#163440,#163441,#88045,.T.); #202126=EDGE_CURVE('',#163442,#163440,#88046,.T.); #202127=EDGE_CURVE('',#163443,#163441,#88047,.T.); #202128=EDGE_CURVE('',#163442,#163443,#88048,.T.); #202129=EDGE_CURVE('',#163444,#163442,#88049,.T.); #202130=EDGE_CURVE('',#163445,#163443,#88050,.T.); #202131=EDGE_CURVE('',#163444,#163445,#88051,.T.); #202132=EDGE_CURVE('',#163446,#163444,#88052,.T.); #202133=EDGE_CURVE('',#163447,#163445,#88053,.T.); #202134=EDGE_CURVE('',#163446,#163447,#88054,.T.); #202135=EDGE_CURVE('',#163448,#163446,#88055,.T.); #202136=EDGE_CURVE('',#163449,#163447,#88056,.T.); #202137=EDGE_CURVE('',#163448,#163449,#88057,.T.); #202138=EDGE_CURVE('',#163450,#163448,#88058,.T.); #202139=EDGE_CURVE('',#163451,#163449,#88059,.T.); #202140=EDGE_CURVE('',#163450,#163451,#88060,.T.); #202141=EDGE_CURVE('',#163452,#163450,#88061,.T.); #202142=EDGE_CURVE('',#163453,#163451,#88062,.T.); #202143=EDGE_CURVE('',#163452,#163453,#88063,.T.); #202144=EDGE_CURVE('',#163454,#163452,#88064,.T.); #202145=EDGE_CURVE('',#163455,#163453,#88065,.T.); #202146=EDGE_CURVE('',#163454,#163455,#88066,.T.); #202147=EDGE_CURVE('',#163456,#163454,#88067,.T.); #202148=EDGE_CURVE('',#163457,#163455,#88068,.T.); #202149=EDGE_CURVE('',#163456,#163457,#88069,.T.); #202150=EDGE_CURVE('',#163458,#163456,#88070,.T.); #202151=EDGE_CURVE('',#163459,#163457,#88071,.T.); #202152=EDGE_CURVE('',#163458,#163459,#88072,.T.); #202153=EDGE_CURVE('',#163460,#163458,#88073,.T.); #202154=EDGE_CURVE('',#163461,#163459,#88074,.T.); #202155=EDGE_CURVE('',#163460,#163461,#88075,.T.); #202156=EDGE_CURVE('',#163462,#163460,#88076,.T.); #202157=EDGE_CURVE('',#163463,#163461,#88077,.T.); #202158=EDGE_CURVE('',#163462,#163463,#88078,.T.); #202159=EDGE_CURVE('',#163464,#163462,#88079,.T.); #202160=EDGE_CURVE('',#163465,#163463,#88080,.T.); #202161=EDGE_CURVE('',#163464,#163465,#88081,.T.); #202162=EDGE_CURVE('',#163466,#163464,#88082,.T.); #202163=EDGE_CURVE('',#163467,#163465,#88083,.T.); #202164=EDGE_CURVE('',#163466,#163467,#88084,.T.); #202165=EDGE_CURVE('',#163468,#163466,#88085,.T.); #202166=EDGE_CURVE('',#163469,#163467,#88086,.T.); #202167=EDGE_CURVE('',#163468,#163469,#88087,.T.); #202168=EDGE_CURVE('',#163470,#163468,#88088,.T.); #202169=EDGE_CURVE('',#163471,#163469,#88089,.T.); #202170=EDGE_CURVE('',#163470,#163471,#88090,.T.); #202171=EDGE_CURVE('',#163472,#163470,#88091,.T.); #202172=EDGE_CURVE('',#163473,#163471,#88092,.T.); #202173=EDGE_CURVE('',#163472,#163473,#88093,.T.); #202174=EDGE_CURVE('',#163474,#163472,#88094,.T.); #202175=EDGE_CURVE('',#163475,#163473,#88095,.T.); #202176=EDGE_CURVE('',#163474,#163475,#88096,.T.); #202177=EDGE_CURVE('',#163476,#163474,#88097,.T.); #202178=EDGE_CURVE('',#163477,#163475,#88098,.T.); #202179=EDGE_CURVE('',#163476,#163477,#88099,.T.); #202180=EDGE_CURVE('',#163478,#163476,#88100,.T.); #202181=EDGE_CURVE('',#163479,#163477,#88101,.T.); #202182=EDGE_CURVE('',#163478,#163479,#88102,.T.); #202183=EDGE_CURVE('',#163480,#163478,#88103,.T.); #202184=EDGE_CURVE('',#163481,#163479,#88104,.T.); #202185=EDGE_CURVE('',#163480,#163481,#88105,.T.); #202186=EDGE_CURVE('',#163482,#163480,#88106,.T.); #202187=EDGE_CURVE('',#163483,#163481,#88107,.T.); #202188=EDGE_CURVE('',#163482,#163483,#88108,.T.); #202189=EDGE_CURVE('',#163484,#163482,#88109,.T.); #202190=EDGE_CURVE('',#163485,#163483,#88110,.T.); #202191=EDGE_CURVE('',#163484,#163485,#88111,.T.); #202192=EDGE_CURVE('',#163486,#163484,#88112,.T.); #202193=EDGE_CURVE('',#163487,#163485,#88113,.T.); #202194=EDGE_CURVE('',#163486,#163487,#88114,.T.); #202195=EDGE_CURVE('',#163488,#163486,#88115,.T.); #202196=EDGE_CURVE('',#163489,#163487,#88116,.T.); #202197=EDGE_CURVE('',#163488,#163489,#88117,.T.); #202198=EDGE_CURVE('',#163490,#163488,#88118,.T.); #202199=EDGE_CURVE('',#163491,#163489,#88119,.T.); #202200=EDGE_CURVE('',#163490,#163491,#88120,.T.); #202201=EDGE_CURVE('',#163492,#163490,#88121,.T.); #202202=EDGE_CURVE('',#163493,#163491,#88122,.T.); #202203=EDGE_CURVE('',#163492,#163493,#88123,.T.); #202204=EDGE_CURVE('',#163494,#163492,#88124,.T.); #202205=EDGE_CURVE('',#163495,#163493,#88125,.T.); #202206=EDGE_CURVE('',#163494,#163495,#88126,.T.); #202207=EDGE_CURVE('',#163496,#163494,#88127,.T.); #202208=EDGE_CURVE('',#163497,#163495,#88128,.T.); #202209=EDGE_CURVE('',#163496,#163497,#88129,.T.); #202210=EDGE_CURVE('',#163498,#163496,#88130,.T.); #202211=EDGE_CURVE('',#163499,#163497,#88131,.T.); #202212=EDGE_CURVE('',#163498,#163499,#88132,.T.); #202213=EDGE_CURVE('',#163500,#163498,#88133,.T.); #202214=EDGE_CURVE('',#163501,#163499,#88134,.T.); #202215=EDGE_CURVE('',#163500,#163501,#88135,.T.); #202216=EDGE_CURVE('',#163502,#163500,#88136,.T.); #202217=EDGE_CURVE('',#163503,#163501,#88137,.T.); #202218=EDGE_CURVE('',#163502,#163503,#88138,.T.); #202219=EDGE_CURVE('',#163504,#163502,#88139,.T.); #202220=EDGE_CURVE('',#163505,#163503,#88140,.T.); #202221=EDGE_CURVE('',#163504,#163505,#88141,.T.); #202222=EDGE_CURVE('',#163506,#163504,#88142,.T.); #202223=EDGE_CURVE('',#163507,#163505,#88143,.T.); #202224=EDGE_CURVE('',#163506,#163507,#88144,.T.); #202225=EDGE_CURVE('',#163508,#163506,#88145,.T.); #202226=EDGE_CURVE('',#163509,#163507,#88146,.T.); #202227=EDGE_CURVE('',#163508,#163509,#88147,.T.); #202228=EDGE_CURVE('',#163510,#163508,#88148,.T.); #202229=EDGE_CURVE('',#163511,#163509,#88149,.T.); #202230=EDGE_CURVE('',#163510,#163511,#88150,.T.); #202231=EDGE_CURVE('',#163512,#163510,#88151,.T.); #202232=EDGE_CURVE('',#163513,#163511,#88152,.T.); #202233=EDGE_CURVE('',#163512,#163513,#88153,.T.); #202234=EDGE_CURVE('',#163514,#163512,#88154,.T.); #202235=EDGE_CURVE('',#163515,#163513,#88155,.T.); #202236=EDGE_CURVE('',#163514,#163515,#88156,.T.); #202237=EDGE_CURVE('',#163516,#163514,#88157,.T.); #202238=EDGE_CURVE('',#163517,#163515,#88158,.T.); #202239=EDGE_CURVE('',#163516,#163517,#88159,.T.); #202240=EDGE_CURVE('',#163518,#163516,#88160,.T.); #202241=EDGE_CURVE('',#163519,#163517,#88161,.T.); #202242=EDGE_CURVE('',#163518,#163519,#88162,.T.); #202243=EDGE_CURVE('',#163520,#163518,#88163,.T.); #202244=EDGE_CURVE('',#163521,#163519,#88164,.T.); #202245=EDGE_CURVE('',#163520,#163521,#88165,.T.); #202246=EDGE_CURVE('',#163522,#163520,#88166,.T.); #202247=EDGE_CURVE('',#163523,#163521,#88167,.T.); #202248=EDGE_CURVE('',#163522,#163523,#88168,.T.); #202249=EDGE_CURVE('',#163524,#163522,#88169,.T.); #202250=EDGE_CURVE('',#163525,#163523,#88170,.T.); #202251=EDGE_CURVE('',#163524,#163525,#88171,.T.); #202252=EDGE_CURVE('',#163526,#163524,#88172,.T.); #202253=EDGE_CURVE('',#163527,#163525,#88173,.T.); #202254=EDGE_CURVE('',#163526,#163527,#88174,.T.); #202255=EDGE_CURVE('',#163528,#163526,#88175,.T.); #202256=EDGE_CURVE('',#163529,#163527,#88176,.T.); #202257=EDGE_CURVE('',#163528,#163529,#88177,.T.); #202258=EDGE_CURVE('',#163530,#163528,#88178,.T.); #202259=EDGE_CURVE('',#163531,#163529,#88179,.T.); #202260=EDGE_CURVE('',#163530,#163531,#88180,.T.); #202261=EDGE_CURVE('',#163532,#163530,#88181,.T.); #202262=EDGE_CURVE('',#163533,#163531,#88182,.T.); #202263=EDGE_CURVE('',#163532,#163533,#88183,.T.); #202264=EDGE_CURVE('',#163534,#163532,#88184,.T.); #202265=EDGE_CURVE('',#163535,#163533,#88185,.T.); #202266=EDGE_CURVE('',#163534,#163535,#88186,.T.); #202267=EDGE_CURVE('',#163536,#163534,#88187,.T.); #202268=EDGE_CURVE('',#163537,#163535,#88188,.T.); #202269=EDGE_CURVE('',#163536,#163537,#88189,.T.); #202270=EDGE_CURVE('',#163538,#163536,#88190,.T.); #202271=EDGE_CURVE('',#163539,#163537,#88191,.T.); #202272=EDGE_CURVE('',#163538,#163539,#88192,.T.); #202273=EDGE_CURVE('',#163540,#163538,#88193,.T.); #202274=EDGE_CURVE('',#163541,#163539,#88194,.T.); #202275=EDGE_CURVE('',#163540,#163541,#88195,.T.); #202276=EDGE_CURVE('',#163542,#163540,#88196,.T.); #202277=EDGE_CURVE('',#163543,#163541,#88197,.T.); #202278=EDGE_CURVE('',#163542,#163543,#88198,.T.); #202279=EDGE_CURVE('',#163544,#163542,#88199,.T.); #202280=EDGE_CURVE('',#163545,#163543,#88200,.T.); #202281=EDGE_CURVE('',#163544,#163545,#88201,.T.); #202282=EDGE_CURVE('',#163546,#163544,#88202,.T.); #202283=EDGE_CURVE('',#163547,#163545,#88203,.T.); #202284=EDGE_CURVE('',#163546,#163547,#88204,.T.); #202285=EDGE_CURVE('',#163548,#163546,#88205,.T.); #202286=EDGE_CURVE('',#163549,#163547,#88206,.T.); #202287=EDGE_CURVE('',#163548,#163549,#88207,.T.); #202288=EDGE_CURVE('',#163550,#163548,#88208,.T.); #202289=EDGE_CURVE('',#163551,#163549,#88209,.T.); #202290=EDGE_CURVE('',#163550,#163551,#88210,.T.); #202291=EDGE_CURVE('',#163552,#163550,#88211,.T.); #202292=EDGE_CURVE('',#163553,#163551,#88212,.T.); #202293=EDGE_CURVE('',#163552,#163553,#88213,.T.); #202294=EDGE_CURVE('',#163554,#163552,#88214,.T.); #202295=EDGE_CURVE('',#163555,#163553,#88215,.T.); #202296=EDGE_CURVE('',#163554,#163555,#88216,.T.); #202297=EDGE_CURVE('',#163556,#163554,#88217,.T.); #202298=EDGE_CURVE('',#163557,#163555,#88218,.T.); #202299=EDGE_CURVE('',#163556,#163557,#88219,.T.); #202300=EDGE_CURVE('',#163558,#163556,#88220,.T.); #202301=EDGE_CURVE('',#163559,#163557,#88221,.T.); #202302=EDGE_CURVE('',#163558,#163559,#88222,.T.); #202303=EDGE_CURVE('',#163560,#163558,#88223,.T.); #202304=EDGE_CURVE('',#163561,#163559,#88224,.T.); #202305=EDGE_CURVE('',#163560,#163561,#88225,.T.); #202306=EDGE_CURVE('',#163562,#163560,#88226,.T.); #202307=EDGE_CURVE('',#163563,#163561,#88227,.T.); #202308=EDGE_CURVE('',#163562,#163563,#88228,.T.); #202309=EDGE_CURVE('',#163564,#163562,#88229,.T.); #202310=EDGE_CURVE('',#163565,#163563,#88230,.T.); #202311=EDGE_CURVE('',#163564,#163565,#88231,.T.); #202312=EDGE_CURVE('',#163566,#163564,#88232,.T.); #202313=EDGE_CURVE('',#163567,#163565,#88233,.T.); #202314=EDGE_CURVE('',#163566,#163567,#88234,.T.); #202315=EDGE_CURVE('',#163568,#163566,#88235,.T.); #202316=EDGE_CURVE('',#163569,#163567,#88236,.T.); #202317=EDGE_CURVE('',#163568,#163569,#88237,.T.); #202318=EDGE_CURVE('',#163570,#163568,#88238,.T.); #202319=EDGE_CURVE('',#163571,#163569,#88239,.T.); #202320=EDGE_CURVE('',#163570,#163571,#88240,.T.); #202321=EDGE_CURVE('',#163572,#163570,#88241,.T.); #202322=EDGE_CURVE('',#163573,#163571,#88242,.T.); #202323=EDGE_CURVE('',#163572,#163573,#88243,.T.); #202324=EDGE_CURVE('',#163574,#163572,#88244,.T.); #202325=EDGE_CURVE('',#163575,#163573,#88245,.T.); #202326=EDGE_CURVE('',#163574,#163575,#88246,.T.); #202327=EDGE_CURVE('',#163576,#163574,#88247,.T.); #202328=EDGE_CURVE('',#163577,#163575,#88248,.T.); #202329=EDGE_CURVE('',#163576,#163577,#88249,.T.); #202330=EDGE_CURVE('',#163578,#163576,#88250,.T.); #202331=EDGE_CURVE('',#163579,#163577,#88251,.T.); #202332=EDGE_CURVE('',#163578,#163579,#88252,.T.); #202333=EDGE_CURVE('',#163580,#163578,#88253,.T.); #202334=EDGE_CURVE('',#163581,#163579,#88254,.T.); #202335=EDGE_CURVE('',#163580,#163581,#88255,.T.); #202336=EDGE_CURVE('',#163582,#163580,#88256,.T.); #202337=EDGE_CURVE('',#163583,#163581,#88257,.T.); #202338=EDGE_CURVE('',#163582,#163583,#88258,.T.); #202339=EDGE_CURVE('',#163584,#163582,#88259,.T.); #202340=EDGE_CURVE('',#163585,#163583,#88260,.T.); #202341=EDGE_CURVE('',#163584,#163585,#88261,.T.); #202342=EDGE_CURVE('',#163586,#163584,#88262,.T.); #202343=EDGE_CURVE('',#163587,#163585,#88263,.T.); #202344=EDGE_CURVE('',#163586,#163587,#88264,.T.); #202345=EDGE_CURVE('',#162993,#163586,#88265,.T.); #202346=EDGE_CURVE('',#162994,#163587,#88266,.T.); #202347=EDGE_CURVE('',#163588,#163589,#88267,.T.); #202348=EDGE_CURVE('',#163589,#163590,#88268,.T.); #202349=EDGE_CURVE('',#163591,#163590,#88269,.T.); #202350=EDGE_CURVE('',#163588,#163591,#88270,.T.); #202351=EDGE_CURVE('',#163592,#163588,#88271,.T.); #202352=EDGE_CURVE('',#163593,#163591,#88272,.T.); #202353=EDGE_CURVE('',#163592,#163593,#88273,.T.); #202354=EDGE_CURVE('',#163594,#163592,#88274,.T.); #202355=EDGE_CURVE('',#163595,#163593,#88275,.T.); #202356=EDGE_CURVE('',#163594,#163595,#88276,.T.); #202357=EDGE_CURVE('',#163596,#163594,#88277,.T.); #202358=EDGE_CURVE('',#163597,#163595,#88278,.T.); #202359=EDGE_CURVE('',#163596,#163597,#88279,.T.); #202360=EDGE_CURVE('',#163598,#163596,#88280,.T.); #202361=EDGE_CURVE('',#163599,#163597,#88281,.T.); #202362=EDGE_CURVE('',#163598,#163599,#88282,.T.); #202363=EDGE_CURVE('',#163600,#163598,#88283,.T.); #202364=EDGE_CURVE('',#163601,#163599,#88284,.T.); #202365=EDGE_CURVE('',#163600,#163601,#88285,.T.); #202366=EDGE_CURVE('',#163602,#163600,#88286,.T.); #202367=EDGE_CURVE('',#163603,#163601,#88287,.T.); #202368=EDGE_CURVE('',#163602,#163603,#88288,.T.); #202369=EDGE_CURVE('',#163604,#163602,#88289,.T.); #202370=EDGE_CURVE('',#163605,#163603,#88290,.T.); #202371=EDGE_CURVE('',#163604,#163605,#88291,.T.); #202372=EDGE_CURVE('',#163606,#163604,#88292,.T.); #202373=EDGE_CURVE('',#163607,#163605,#88293,.T.); #202374=EDGE_CURVE('',#163606,#163607,#88294,.T.); #202375=EDGE_CURVE('',#163608,#163606,#88295,.T.); #202376=EDGE_CURVE('',#163609,#163607,#88296,.T.); #202377=EDGE_CURVE('',#163608,#163609,#88297,.T.); #202378=EDGE_CURVE('',#163610,#163608,#88298,.T.); #202379=EDGE_CURVE('',#163611,#163609,#88299,.T.); #202380=EDGE_CURVE('',#163610,#163611,#88300,.T.); #202381=EDGE_CURVE('',#163612,#163610,#88301,.T.); #202382=EDGE_CURVE('',#163613,#163611,#88302,.T.); #202383=EDGE_CURVE('',#163612,#163613,#88303,.T.); #202384=EDGE_CURVE('',#163614,#163612,#88304,.T.); #202385=EDGE_CURVE('',#163615,#163613,#88305,.T.); #202386=EDGE_CURVE('',#163614,#163615,#88306,.T.); #202387=EDGE_CURVE('',#163616,#163614,#88307,.T.); #202388=EDGE_CURVE('',#163617,#163615,#88308,.T.); #202389=EDGE_CURVE('',#163616,#163617,#88309,.T.); #202390=EDGE_CURVE('',#163618,#163616,#88310,.T.); #202391=EDGE_CURVE('',#163619,#163617,#88311,.T.); #202392=EDGE_CURVE('',#163618,#163619,#88312,.T.); #202393=EDGE_CURVE('',#163620,#163618,#88313,.T.); #202394=EDGE_CURVE('',#163621,#163619,#88314,.T.); #202395=EDGE_CURVE('',#163620,#163621,#88315,.T.); #202396=EDGE_CURVE('',#163622,#163620,#88316,.T.); #202397=EDGE_CURVE('',#163623,#163621,#88317,.T.); #202398=EDGE_CURVE('',#163622,#163623,#88318,.T.); #202399=EDGE_CURVE('',#163624,#163622,#88319,.T.); #202400=EDGE_CURVE('',#163625,#163623,#88320,.T.); #202401=EDGE_CURVE('',#163624,#163625,#88321,.T.); #202402=EDGE_CURVE('',#163626,#163624,#88322,.T.); #202403=EDGE_CURVE('',#163627,#163625,#88323,.T.); #202404=EDGE_CURVE('',#163626,#163627,#88324,.T.); #202405=EDGE_CURVE('',#163589,#163626,#88325,.T.); #202406=EDGE_CURVE('',#163590,#163627,#88326,.T.); #202407=EDGE_CURVE('',#163628,#163629,#88327,.T.); #202408=EDGE_CURVE('',#163629,#163630,#88328,.T.); #202409=EDGE_CURVE('',#163631,#163630,#88329,.T.); #202410=EDGE_CURVE('',#163628,#163631,#88330,.T.); #202411=EDGE_CURVE('',#163632,#163628,#88331,.T.); #202412=EDGE_CURVE('',#163633,#163631,#88332,.T.); #202413=EDGE_CURVE('',#163632,#163633,#88333,.T.); #202414=EDGE_CURVE('',#163634,#163632,#88334,.T.); #202415=EDGE_CURVE('',#163635,#163633,#88335,.T.); #202416=EDGE_CURVE('',#163634,#163635,#88336,.T.); #202417=EDGE_CURVE('',#163636,#163634,#88337,.T.); #202418=EDGE_CURVE('',#163637,#163635,#88338,.T.); #202419=EDGE_CURVE('',#163636,#163637,#88339,.T.); #202420=EDGE_CURVE('',#163638,#163636,#88340,.T.); #202421=EDGE_CURVE('',#163639,#163637,#88341,.T.); #202422=EDGE_CURVE('',#163638,#163639,#88342,.T.); #202423=EDGE_CURVE('',#163640,#163638,#88343,.T.); #202424=EDGE_CURVE('',#163641,#163639,#88344,.T.); #202425=EDGE_CURVE('',#163640,#163641,#88345,.T.); #202426=EDGE_CURVE('',#163642,#163640,#88346,.T.); #202427=EDGE_CURVE('',#163643,#163641,#88347,.T.); #202428=EDGE_CURVE('',#163642,#163643,#88348,.T.); #202429=EDGE_CURVE('',#163644,#163642,#88349,.T.); #202430=EDGE_CURVE('',#163645,#163643,#88350,.T.); #202431=EDGE_CURVE('',#163644,#163645,#88351,.T.); #202432=EDGE_CURVE('',#163646,#163644,#88352,.T.); #202433=EDGE_CURVE('',#163647,#163645,#88353,.T.); #202434=EDGE_CURVE('',#163646,#163647,#88354,.T.); #202435=EDGE_CURVE('',#163648,#163646,#88355,.T.); #202436=EDGE_CURVE('',#163649,#163647,#88356,.T.); #202437=EDGE_CURVE('',#163648,#163649,#88357,.T.); #202438=EDGE_CURVE('',#163650,#163648,#88358,.T.); #202439=EDGE_CURVE('',#163651,#163649,#88359,.T.); #202440=EDGE_CURVE('',#163650,#163651,#88360,.T.); #202441=EDGE_CURVE('',#163652,#163650,#88361,.T.); #202442=EDGE_CURVE('',#163653,#163651,#88362,.T.); #202443=EDGE_CURVE('',#163652,#163653,#88363,.T.); #202444=EDGE_CURVE('',#163654,#163652,#88364,.T.); #202445=EDGE_CURVE('',#163655,#163653,#88365,.T.); #202446=EDGE_CURVE('',#163654,#163655,#88366,.T.); #202447=EDGE_CURVE('',#163656,#163654,#88367,.T.); #202448=EDGE_CURVE('',#163657,#163655,#88368,.T.); #202449=EDGE_CURVE('',#163656,#163657,#88369,.T.); #202450=EDGE_CURVE('',#163658,#163656,#88370,.T.); #202451=EDGE_CURVE('',#163659,#163657,#88371,.T.); #202452=EDGE_CURVE('',#163658,#163659,#88372,.T.); #202453=EDGE_CURVE('',#163660,#163658,#88373,.T.); #202454=EDGE_CURVE('',#163661,#163659,#88374,.T.); #202455=EDGE_CURVE('',#163660,#163661,#88375,.T.); #202456=EDGE_CURVE('',#163662,#163660,#88376,.T.); #202457=EDGE_CURVE('',#163663,#163661,#88377,.T.); #202458=EDGE_CURVE('',#163662,#163663,#88378,.T.); #202459=EDGE_CURVE('',#163664,#163662,#88379,.T.); #202460=EDGE_CURVE('',#163665,#163663,#88380,.T.); #202461=EDGE_CURVE('',#163664,#163665,#88381,.T.); #202462=EDGE_CURVE('',#163666,#163664,#88382,.T.); #202463=EDGE_CURVE('',#163667,#163665,#88383,.T.); #202464=EDGE_CURVE('',#163666,#163667,#88384,.T.); #202465=EDGE_CURVE('',#163629,#163666,#88385,.T.); #202466=EDGE_CURVE('',#163630,#163667,#88386,.T.); #202467=EDGE_CURVE('',#163668,#163669,#88387,.T.); #202468=EDGE_CURVE('',#163669,#163670,#88388,.T.); #202469=EDGE_CURVE('',#163671,#163670,#88389,.T.); #202470=EDGE_CURVE('',#163668,#163671,#88390,.T.); #202471=EDGE_CURVE('',#163672,#163668,#88391,.T.); #202472=EDGE_CURVE('',#163673,#163671,#88392,.T.); #202473=EDGE_CURVE('',#163672,#163673,#88393,.T.); #202474=EDGE_CURVE('',#163674,#163672,#88394,.T.); #202475=EDGE_CURVE('',#163675,#163673,#88395,.T.); #202476=EDGE_CURVE('',#163674,#163675,#88396,.T.); #202477=EDGE_CURVE('',#163676,#163674,#88397,.T.); #202478=EDGE_CURVE('',#163677,#163675,#88398,.T.); #202479=EDGE_CURVE('',#163676,#163677,#88399,.T.); #202480=EDGE_CURVE('',#163678,#163676,#88400,.T.); #202481=EDGE_CURVE('',#163679,#163677,#88401,.T.); #202482=EDGE_CURVE('',#163678,#163679,#88402,.T.); #202483=EDGE_CURVE('',#163680,#163678,#88403,.T.); #202484=EDGE_CURVE('',#163681,#163679,#88404,.T.); #202485=EDGE_CURVE('',#163680,#163681,#88405,.T.); #202486=EDGE_CURVE('',#163682,#163680,#88406,.T.); #202487=EDGE_CURVE('',#163683,#163681,#88407,.T.); #202488=EDGE_CURVE('',#163682,#163683,#88408,.T.); #202489=EDGE_CURVE('',#163684,#163682,#88409,.T.); #202490=EDGE_CURVE('',#163685,#163683,#88410,.T.); #202491=EDGE_CURVE('',#163684,#163685,#88411,.T.); #202492=EDGE_CURVE('',#163686,#163684,#88412,.T.); #202493=EDGE_CURVE('',#163687,#163685,#88413,.T.); #202494=EDGE_CURVE('',#163686,#163687,#88414,.T.); #202495=EDGE_CURVE('',#163688,#163686,#88415,.T.); #202496=EDGE_CURVE('',#163689,#163687,#88416,.T.); #202497=EDGE_CURVE('',#163688,#163689,#88417,.T.); #202498=EDGE_CURVE('',#163690,#163688,#88418,.T.); #202499=EDGE_CURVE('',#163691,#163689,#88419,.T.); #202500=EDGE_CURVE('',#163690,#163691,#88420,.T.); #202501=EDGE_CURVE('',#163692,#163690,#88421,.T.); #202502=EDGE_CURVE('',#163693,#163691,#88422,.T.); #202503=EDGE_CURVE('',#163692,#163693,#88423,.T.); #202504=EDGE_CURVE('',#163694,#163692,#88424,.T.); #202505=EDGE_CURVE('',#163695,#163693,#88425,.T.); #202506=EDGE_CURVE('',#163694,#163695,#88426,.T.); #202507=EDGE_CURVE('',#163696,#163694,#88427,.T.); #202508=EDGE_CURVE('',#163697,#163695,#88428,.T.); #202509=EDGE_CURVE('',#163696,#163697,#88429,.T.); #202510=EDGE_CURVE('',#163698,#163696,#88430,.T.); #202511=EDGE_CURVE('',#163699,#163697,#88431,.T.); #202512=EDGE_CURVE('',#163698,#163699,#88432,.T.); #202513=EDGE_CURVE('',#163700,#163698,#88433,.T.); #202514=EDGE_CURVE('',#163701,#163699,#88434,.T.); #202515=EDGE_CURVE('',#163700,#163701,#88435,.T.); #202516=EDGE_CURVE('',#163702,#163700,#88436,.T.); #202517=EDGE_CURVE('',#163703,#163701,#88437,.T.); #202518=EDGE_CURVE('',#163702,#163703,#88438,.T.); #202519=EDGE_CURVE('',#163704,#163702,#88439,.T.); #202520=EDGE_CURVE('',#163705,#163703,#88440,.T.); #202521=EDGE_CURVE('',#163704,#163705,#88441,.T.); #202522=EDGE_CURVE('',#163706,#163704,#88442,.T.); #202523=EDGE_CURVE('',#163707,#163705,#88443,.T.); #202524=EDGE_CURVE('',#163706,#163707,#88444,.T.); #202525=EDGE_CURVE('',#163669,#163706,#88445,.T.); #202526=EDGE_CURVE('',#163670,#163707,#88446,.T.); #202527=EDGE_CURVE('',#163708,#163709,#88447,.T.); #202528=EDGE_CURVE('',#163709,#163710,#88448,.T.); #202529=EDGE_CURVE('',#163711,#163710,#88449,.T.); #202530=EDGE_CURVE('',#163708,#163711,#88450,.T.); #202531=EDGE_CURVE('',#163712,#163708,#88451,.T.); #202532=EDGE_CURVE('',#163713,#163711,#88452,.T.); #202533=EDGE_CURVE('',#163712,#163713,#88453,.T.); #202534=EDGE_CURVE('',#163714,#163712,#88454,.T.); #202535=EDGE_CURVE('',#163715,#163713,#88455,.T.); #202536=EDGE_CURVE('',#163714,#163715,#88456,.T.); #202537=EDGE_CURVE('',#163716,#163714,#88457,.T.); #202538=EDGE_CURVE('',#163717,#163715,#88458,.T.); #202539=EDGE_CURVE('',#163716,#163717,#88459,.T.); #202540=EDGE_CURVE('',#163718,#163716,#88460,.T.); #202541=EDGE_CURVE('',#163719,#163717,#88461,.T.); #202542=EDGE_CURVE('',#163718,#163719,#88462,.T.); #202543=EDGE_CURVE('',#163720,#163718,#88463,.T.); #202544=EDGE_CURVE('',#163721,#163719,#88464,.T.); #202545=EDGE_CURVE('',#163720,#163721,#88465,.T.); #202546=EDGE_CURVE('',#163722,#163720,#88466,.T.); #202547=EDGE_CURVE('',#163723,#163721,#88467,.T.); #202548=EDGE_CURVE('',#163722,#163723,#88468,.T.); #202549=EDGE_CURVE('',#163724,#163722,#88469,.T.); #202550=EDGE_CURVE('',#163725,#163723,#88470,.T.); #202551=EDGE_CURVE('',#163724,#163725,#88471,.T.); #202552=EDGE_CURVE('',#163726,#163724,#88472,.T.); #202553=EDGE_CURVE('',#163727,#163725,#88473,.T.); #202554=EDGE_CURVE('',#163726,#163727,#88474,.T.); #202555=EDGE_CURVE('',#163728,#163726,#88475,.T.); #202556=EDGE_CURVE('',#163729,#163727,#88476,.T.); #202557=EDGE_CURVE('',#163728,#163729,#88477,.T.); #202558=EDGE_CURVE('',#163730,#163728,#88478,.T.); #202559=EDGE_CURVE('',#163731,#163729,#88479,.T.); #202560=EDGE_CURVE('',#163730,#163731,#88480,.T.); #202561=EDGE_CURVE('',#163732,#163730,#88481,.T.); #202562=EDGE_CURVE('',#163733,#163731,#88482,.T.); #202563=EDGE_CURVE('',#163732,#163733,#88483,.T.); #202564=EDGE_CURVE('',#163734,#163732,#88484,.T.); #202565=EDGE_CURVE('',#163735,#163733,#88485,.T.); #202566=EDGE_CURVE('',#163734,#163735,#88486,.T.); #202567=EDGE_CURVE('',#163736,#163734,#88487,.T.); #202568=EDGE_CURVE('',#163737,#163735,#88488,.T.); #202569=EDGE_CURVE('',#163736,#163737,#88489,.T.); #202570=EDGE_CURVE('',#163738,#163736,#88490,.T.); #202571=EDGE_CURVE('',#163739,#163737,#88491,.T.); #202572=EDGE_CURVE('',#163738,#163739,#88492,.T.); #202573=EDGE_CURVE('',#163740,#163738,#88493,.T.); #202574=EDGE_CURVE('',#163741,#163739,#88494,.T.); #202575=EDGE_CURVE('',#163740,#163741,#88495,.T.); #202576=EDGE_CURVE('',#163742,#163740,#88496,.T.); #202577=EDGE_CURVE('',#163743,#163741,#88497,.T.); #202578=EDGE_CURVE('',#163742,#163743,#88498,.T.); #202579=EDGE_CURVE('',#163744,#163742,#88499,.T.); #202580=EDGE_CURVE('',#163745,#163743,#88500,.T.); #202581=EDGE_CURVE('',#163744,#163745,#88501,.T.); #202582=EDGE_CURVE('',#163746,#163744,#88502,.T.); #202583=EDGE_CURVE('',#163747,#163745,#88503,.T.); #202584=EDGE_CURVE('',#163746,#163747,#88504,.T.); #202585=EDGE_CURVE('',#163748,#163746,#88505,.T.); #202586=EDGE_CURVE('',#163749,#163747,#88506,.T.); #202587=EDGE_CURVE('',#163748,#163749,#88507,.T.); #202588=EDGE_CURVE('',#163750,#163748,#88508,.T.); #202589=EDGE_CURVE('',#163751,#163749,#88509,.T.); #202590=EDGE_CURVE('',#163750,#163751,#88510,.T.); #202591=EDGE_CURVE('',#163752,#163750,#88511,.T.); #202592=EDGE_CURVE('',#163753,#163751,#88512,.T.); #202593=EDGE_CURVE('',#163752,#163753,#88513,.T.); #202594=EDGE_CURVE('',#163709,#163752,#88514,.T.); #202595=EDGE_CURVE('',#163710,#163753,#88515,.T.); #202596=EDGE_CURVE('',#163754,#163755,#88516,.T.); #202597=EDGE_CURVE('',#163755,#163756,#88517,.T.); #202598=EDGE_CURVE('',#163757,#163756,#88518,.T.); #202599=EDGE_CURVE('',#163754,#163757,#88519,.T.); #202600=EDGE_CURVE('',#163758,#163754,#88520,.T.); #202601=EDGE_CURVE('',#163759,#163757,#88521,.T.); #202602=EDGE_CURVE('',#163758,#163759,#88522,.T.); #202603=EDGE_CURVE('',#163760,#163758,#88523,.T.); #202604=EDGE_CURVE('',#163761,#163759,#88524,.T.); #202605=EDGE_CURVE('',#163760,#163761,#88525,.T.); #202606=EDGE_CURVE('',#163762,#163760,#88526,.T.); #202607=EDGE_CURVE('',#163763,#163761,#88527,.T.); #202608=EDGE_CURVE('',#163762,#163763,#88528,.T.); #202609=EDGE_CURVE('',#163764,#163762,#88529,.T.); #202610=EDGE_CURVE('',#163765,#163763,#88530,.T.); #202611=EDGE_CURVE('',#163764,#163765,#88531,.T.); #202612=EDGE_CURVE('',#163766,#163764,#88532,.T.); #202613=EDGE_CURVE('',#163767,#163765,#88533,.T.); #202614=EDGE_CURVE('',#163766,#163767,#88534,.T.); #202615=EDGE_CURVE('',#163768,#163766,#88535,.T.); #202616=EDGE_CURVE('',#163769,#163767,#88536,.T.); #202617=EDGE_CURVE('',#163768,#163769,#88537,.T.); #202618=EDGE_CURVE('',#163770,#163768,#88538,.T.); #202619=EDGE_CURVE('',#163771,#163769,#88539,.T.); #202620=EDGE_CURVE('',#163770,#163771,#88540,.T.); #202621=EDGE_CURVE('',#163772,#163770,#88541,.T.); #202622=EDGE_CURVE('',#163773,#163771,#88542,.T.); #202623=EDGE_CURVE('',#163772,#163773,#88543,.T.); #202624=EDGE_CURVE('',#163774,#163772,#88544,.T.); #202625=EDGE_CURVE('',#163775,#163773,#88545,.T.); #202626=EDGE_CURVE('',#163774,#163775,#88546,.T.); #202627=EDGE_CURVE('',#163776,#163774,#88547,.T.); #202628=EDGE_CURVE('',#163777,#163775,#88548,.T.); #202629=EDGE_CURVE('',#163776,#163777,#88549,.T.); #202630=EDGE_CURVE('',#163778,#163776,#88550,.T.); #202631=EDGE_CURVE('',#163779,#163777,#88551,.T.); #202632=EDGE_CURVE('',#163778,#163779,#88552,.T.); #202633=EDGE_CURVE('',#163780,#163778,#88553,.T.); #202634=EDGE_CURVE('',#163781,#163779,#88554,.T.); #202635=EDGE_CURVE('',#163780,#163781,#88555,.T.); #202636=EDGE_CURVE('',#163782,#163780,#88556,.T.); #202637=EDGE_CURVE('',#163783,#163781,#88557,.T.); #202638=EDGE_CURVE('',#163782,#163783,#88558,.T.); #202639=EDGE_CURVE('',#163784,#163782,#88559,.T.); #202640=EDGE_CURVE('',#163785,#163783,#88560,.T.); #202641=EDGE_CURVE('',#163784,#163785,#88561,.T.); #202642=EDGE_CURVE('',#163786,#163784,#88562,.T.); #202643=EDGE_CURVE('',#163787,#163785,#88563,.T.); #202644=EDGE_CURVE('',#163786,#163787,#88564,.T.); #202645=EDGE_CURVE('',#163788,#163786,#88565,.T.); #202646=EDGE_CURVE('',#163789,#163787,#88566,.T.); #202647=EDGE_CURVE('',#163788,#163789,#88567,.T.); #202648=EDGE_CURVE('',#163790,#163788,#88568,.T.); #202649=EDGE_CURVE('',#163791,#163789,#88569,.T.); #202650=EDGE_CURVE('',#163790,#163791,#88570,.T.); #202651=EDGE_CURVE('',#163792,#163790,#88571,.T.); #202652=EDGE_CURVE('',#163793,#163791,#88572,.T.); #202653=EDGE_CURVE('',#163792,#163793,#88573,.T.); #202654=EDGE_CURVE('',#163755,#163792,#88574,.T.); #202655=EDGE_CURVE('',#163756,#163793,#88575,.T.); #202656=EDGE_CURVE('',#163794,#163795,#88576,.T.); #202657=EDGE_CURVE('',#163795,#163796,#88577,.T.); #202658=EDGE_CURVE('',#163797,#163796,#88578,.T.); #202659=EDGE_CURVE('',#163794,#163797,#88579,.T.); #202660=EDGE_CURVE('',#163798,#163794,#88580,.T.); #202661=EDGE_CURVE('',#163799,#163797,#88581,.T.); #202662=EDGE_CURVE('',#163798,#163799,#88582,.T.); #202663=EDGE_CURVE('',#163800,#163798,#88583,.T.); #202664=EDGE_CURVE('',#163801,#163799,#88584,.T.); #202665=EDGE_CURVE('',#163800,#163801,#88585,.T.); #202666=EDGE_CURVE('',#163802,#163800,#88586,.T.); #202667=EDGE_CURVE('',#163803,#163801,#88587,.T.); #202668=EDGE_CURVE('',#163802,#163803,#88588,.T.); #202669=EDGE_CURVE('',#163804,#163802,#88589,.T.); #202670=EDGE_CURVE('',#163805,#163803,#88590,.T.); #202671=EDGE_CURVE('',#163804,#163805,#88591,.T.); #202672=EDGE_CURVE('',#163806,#163804,#88592,.T.); #202673=EDGE_CURVE('',#163807,#163805,#88593,.T.); #202674=EDGE_CURVE('',#163806,#163807,#88594,.T.); #202675=EDGE_CURVE('',#163808,#163806,#88595,.T.); #202676=EDGE_CURVE('',#163809,#163807,#88596,.T.); #202677=EDGE_CURVE('',#163808,#163809,#88597,.T.); #202678=EDGE_CURVE('',#163810,#163808,#88598,.T.); #202679=EDGE_CURVE('',#163811,#163809,#88599,.T.); #202680=EDGE_CURVE('',#163810,#163811,#88600,.T.); #202681=EDGE_CURVE('',#163812,#163810,#88601,.T.); #202682=EDGE_CURVE('',#163813,#163811,#88602,.T.); #202683=EDGE_CURVE('',#163812,#163813,#88603,.T.); #202684=EDGE_CURVE('',#163814,#163812,#88604,.T.); #202685=EDGE_CURVE('',#163815,#163813,#88605,.T.); #202686=EDGE_CURVE('',#163814,#163815,#88606,.T.); #202687=EDGE_CURVE('',#163816,#163814,#88607,.T.); #202688=EDGE_CURVE('',#163817,#163815,#88608,.T.); #202689=EDGE_CURVE('',#163816,#163817,#88609,.T.); #202690=EDGE_CURVE('',#163818,#163816,#88610,.T.); #202691=EDGE_CURVE('',#163819,#163817,#88611,.T.); #202692=EDGE_CURVE('',#163818,#163819,#88612,.T.); #202693=EDGE_CURVE('',#163820,#163818,#88613,.T.); #202694=EDGE_CURVE('',#163821,#163819,#88614,.T.); #202695=EDGE_CURVE('',#163820,#163821,#88615,.T.); #202696=EDGE_CURVE('',#163822,#163820,#88616,.T.); #202697=EDGE_CURVE('',#163823,#163821,#88617,.T.); #202698=EDGE_CURVE('',#163822,#163823,#88618,.T.); #202699=EDGE_CURVE('',#163824,#163822,#88619,.T.); #202700=EDGE_CURVE('',#163825,#163823,#88620,.T.); #202701=EDGE_CURVE('',#163824,#163825,#88621,.T.); #202702=EDGE_CURVE('',#163826,#163824,#88622,.T.); #202703=EDGE_CURVE('',#163827,#163825,#88623,.T.); #202704=EDGE_CURVE('',#163826,#163827,#88624,.T.); #202705=EDGE_CURVE('',#163828,#163826,#88625,.T.); #202706=EDGE_CURVE('',#163829,#163827,#88626,.T.); #202707=EDGE_CURVE('',#163828,#163829,#88627,.T.); #202708=EDGE_CURVE('',#163830,#163828,#88628,.T.); #202709=EDGE_CURVE('',#163831,#163829,#88629,.T.); #202710=EDGE_CURVE('',#163830,#163831,#88630,.T.); #202711=EDGE_CURVE('',#163832,#163830,#88631,.T.); #202712=EDGE_CURVE('',#163833,#163831,#88632,.T.); #202713=EDGE_CURVE('',#163832,#163833,#88633,.T.); #202714=EDGE_CURVE('',#163834,#163832,#88634,.T.); #202715=EDGE_CURVE('',#163835,#163833,#88635,.T.); #202716=EDGE_CURVE('',#163834,#163835,#88636,.T.); #202717=EDGE_CURVE('',#163795,#163834,#88637,.T.); #202718=EDGE_CURVE('',#163796,#163835,#88638,.T.); #202719=EDGE_CURVE('',#163836,#163836,#67531,.T.); #202720=EDGE_CURVE('',#163836,#163837,#88639,.T.); #202721=EDGE_CURVE('',#163837,#163837,#67532,.T.); #202722=EDGE_CURVE('',#163838,#163839,#88640,.T.); #202723=EDGE_CURVE('',#163839,#163840,#88641,.T.); #202724=EDGE_CURVE('',#163841,#163840,#88642,.T.); #202725=EDGE_CURVE('',#163838,#163841,#88643,.T.); #202726=EDGE_CURVE('',#163842,#163838,#67533,.T.); #202727=EDGE_CURVE('',#163843,#163841,#67534,.T.); #202728=EDGE_CURVE('',#163842,#163843,#88644,.T.); #202729=EDGE_CURVE('',#163839,#163842,#67535,.T.); #202730=EDGE_CURVE('',#163840,#163843,#67536,.T.); #202731=EDGE_CURVE('',#163844,#163844,#67537,.T.); #202732=EDGE_CURVE('',#163844,#163845,#88645,.T.); #202733=EDGE_CURVE('',#163845,#163845,#67538,.T.); #202734=EDGE_CURVE('',#163846,#163847,#88646,.T.); #202735=EDGE_CURVE('',#163847,#163848,#88647,.T.); #202736=EDGE_CURVE('',#163849,#163848,#88648,.T.); #202737=EDGE_CURVE('',#163846,#163849,#88649,.T.); #202738=EDGE_CURVE('',#163850,#163846,#67539,.T.); #202739=EDGE_CURVE('',#163851,#163849,#67540,.T.); #202740=EDGE_CURVE('',#163850,#163851,#88650,.T.); #202741=EDGE_CURVE('',#163847,#163850,#67541,.T.); #202742=EDGE_CURVE('',#163848,#163851,#67542,.T.); #202743=EDGE_CURVE('',#163852,#163852,#67543,.T.); #202744=EDGE_CURVE('',#163852,#163853,#88651,.T.); #202745=EDGE_CURVE('',#163853,#163853,#67544,.T.); #202746=EDGE_CURVE('',#163854,#163855,#88652,.T.); #202747=EDGE_CURVE('',#163855,#163856,#88653,.T.); #202748=EDGE_CURVE('',#163857,#163856,#88654,.T.); #202749=EDGE_CURVE('',#163854,#163857,#88655,.T.); #202750=EDGE_CURVE('',#163858,#163854,#67545,.T.); #202751=EDGE_CURVE('',#163859,#163857,#67546,.T.); #202752=EDGE_CURVE('',#163858,#163859,#88656,.T.); #202753=EDGE_CURVE('',#163855,#163858,#67547,.T.); #202754=EDGE_CURVE('',#163856,#163859,#67548,.T.); #202755=EDGE_CURVE('',#163860,#163860,#67549,.T.); #202756=EDGE_CURVE('',#163860,#163861,#88657,.T.); #202757=EDGE_CURVE('',#163861,#163861,#67550,.T.); #202758=EDGE_CURVE('',#163862,#163863,#88658,.T.); #202759=EDGE_CURVE('',#163863,#163864,#88659,.T.); #202760=EDGE_CURVE('',#163865,#163864,#88660,.T.); #202761=EDGE_CURVE('',#163862,#163865,#88661,.T.); #202762=EDGE_CURVE('',#163866,#163862,#67551,.T.); #202763=EDGE_CURVE('',#163867,#163865,#67552,.T.); #202764=EDGE_CURVE('',#163866,#163867,#88662,.T.); #202765=EDGE_CURVE('',#163863,#163866,#67553,.T.); #202766=EDGE_CURVE('',#163864,#163867,#67554,.T.); #202767=EDGE_CURVE('',#163868,#163868,#67555,.T.); #202768=EDGE_CURVE('',#163868,#163869,#88663,.T.); #202769=EDGE_CURVE('',#163869,#163869,#67556,.T.); #202770=EDGE_CURVE('',#163870,#163871,#88664,.T.); #202771=EDGE_CURVE('',#163871,#163872,#88665,.T.); #202772=EDGE_CURVE('',#163873,#163872,#88666,.T.); #202773=EDGE_CURVE('',#163870,#163873,#88667,.T.); #202774=EDGE_CURVE('',#163874,#163870,#88668,.T.); #202775=EDGE_CURVE('',#163875,#163873,#88669,.T.); #202776=EDGE_CURVE('',#163874,#163875,#88670,.T.); #202777=EDGE_CURVE('',#163876,#163874,#88671,.T.); #202778=EDGE_CURVE('',#163877,#163875,#88672,.T.); #202779=EDGE_CURVE('',#163876,#163877,#88673,.T.); #202780=EDGE_CURVE('',#163871,#163876,#88674,.T.); #202781=EDGE_CURVE('',#163872,#163877,#88675,.T.); #202782=EDGE_CURVE('',#163878,#163878,#67557,.T.); #202783=EDGE_CURVE('',#163878,#163879,#88676,.T.); #202784=EDGE_CURVE('',#163879,#163879,#67558,.T.); #202785=EDGE_CURVE('',#163880,#163881,#88677,.T.); #202786=EDGE_CURVE('',#163881,#163882,#88678,.T.); #202787=EDGE_CURVE('',#163883,#163882,#88679,.T.); #202788=EDGE_CURVE('',#163880,#163883,#88680,.T.); #202789=EDGE_CURVE('',#163884,#163880,#67559,.T.); #202790=EDGE_CURVE('',#163885,#163883,#67560,.T.); #202791=EDGE_CURVE('',#163884,#163885,#88681,.T.); #202792=EDGE_CURVE('',#163881,#163884,#67561,.T.); #202793=EDGE_CURVE('',#163882,#163885,#67562,.T.); #202794=EDGE_CURVE('',#163886,#163886,#67563,.T.); #202795=EDGE_CURVE('',#163886,#163887,#88682,.T.); #202796=EDGE_CURVE('',#163887,#163887,#67564,.T.); #202797=EDGE_CURVE('',#163888,#163889,#88683,.T.); #202798=EDGE_CURVE('',#163889,#163890,#88684,.T.); #202799=EDGE_CURVE('',#163891,#163890,#88685,.T.); #202800=EDGE_CURVE('',#163888,#163891,#88686,.T.); #202801=EDGE_CURVE('',#163892,#163888,#67565,.T.); #202802=EDGE_CURVE('',#163893,#163891,#67566,.T.); #202803=EDGE_CURVE('',#163892,#163893,#88687,.T.); #202804=EDGE_CURVE('',#163889,#163892,#67567,.T.); #202805=EDGE_CURVE('',#163890,#163893,#67568,.T.); #202806=EDGE_CURVE('',#163894,#163894,#67569,.T.); #202807=EDGE_CURVE('',#163894,#163895,#88688,.T.); #202808=EDGE_CURVE('',#163895,#163895,#67570,.T.); #202809=EDGE_CURVE('',#163896,#163897,#88689,.T.); #202810=EDGE_CURVE('',#163897,#163898,#88690,.T.); #202811=EDGE_CURVE('',#163899,#163898,#88691,.T.); #202812=EDGE_CURVE('',#163896,#163899,#88692,.T.); #202813=EDGE_CURVE('',#163900,#163896,#67571,.T.); #202814=EDGE_CURVE('',#163901,#163899,#67572,.T.); #202815=EDGE_CURVE('',#163900,#163901,#88693,.T.); #202816=EDGE_CURVE('',#163897,#163900,#67573,.T.); #202817=EDGE_CURVE('',#163898,#163901,#67574,.T.); #202818=EDGE_CURVE('',#163902,#163902,#67575,.T.); #202819=EDGE_CURVE('',#163902,#163903,#88694,.T.); #202820=EDGE_CURVE('',#163903,#163903,#67576,.T.); #202821=EDGE_CURVE('',#163904,#163905,#88695,.T.); #202822=EDGE_CURVE('',#163905,#163906,#88696,.T.); #202823=EDGE_CURVE('',#163907,#163906,#88697,.T.); #202824=EDGE_CURVE('',#163904,#163907,#88698,.T.); #202825=EDGE_CURVE('',#163908,#163904,#67577,.T.); #202826=EDGE_CURVE('',#163909,#163907,#67578,.T.); #202827=EDGE_CURVE('',#163908,#163909,#88699,.T.); #202828=EDGE_CURVE('',#163905,#163908,#67579,.T.); #202829=EDGE_CURVE('',#163906,#163909,#67580,.T.); #202830=EDGE_CURVE('',#163910,#163911,#88700,.T.); #202831=EDGE_CURVE('',#163912,#163910,#88701,.T.); #202832=EDGE_CURVE('',#163913,#163912,#88702,.T.); #202833=EDGE_CURVE('',#163913,#163911,#88703,.T.); #202834=EDGE_CURVE('',#163911,#163914,#67581,.T.); #202835=EDGE_CURVE('',#163915,#163913,#67582,.T.); #202836=EDGE_CURVE('',#163915,#163914,#88704,.T.); #202837=EDGE_CURVE('',#163914,#163916,#88705,.T.); #202838=EDGE_CURVE('',#163917,#163915,#88706,.T.); #202839=EDGE_CURVE('',#163917,#163916,#88707,.T.); #202840=EDGE_CURVE('',#163916,#163910,#67583,.T.); #202841=EDGE_CURVE('',#163912,#163917,#67584,.T.); #202842=EDGE_CURVE('',#163918,#163919,#88708,.T.); #202843=EDGE_CURVE('',#163919,#163920,#88709,.T.); #202844=EDGE_CURVE('',#163921,#163920,#88710,.T.); #202845=EDGE_CURVE('',#163918,#163921,#88711,.T.); #202846=EDGE_CURVE('',#163922,#163918,#88712,.T.); #202847=EDGE_CURVE('',#163923,#163921,#88713,.T.); #202848=EDGE_CURVE('',#163922,#163923,#88714,.T.); #202849=EDGE_CURVE('',#163924,#163922,#88715,.T.); #202850=EDGE_CURVE('',#163925,#163923,#88716,.T.); #202851=EDGE_CURVE('',#163924,#163925,#88717,.T.); #202852=EDGE_CURVE('',#163926,#163924,#88718,.T.); #202853=EDGE_CURVE('',#163927,#163925,#88719,.T.); #202854=EDGE_CURVE('',#163926,#163927,#88720,.T.); #202855=EDGE_CURVE('',#163928,#163926,#88721,.T.); #202856=EDGE_CURVE('',#163929,#163927,#88722,.T.); #202857=EDGE_CURVE('',#163928,#163929,#88723,.T.); #202858=EDGE_CURVE('',#163930,#163928,#88724,.T.); #202859=EDGE_CURVE('',#163931,#163929,#88725,.T.); #202860=EDGE_CURVE('',#163930,#163931,#88726,.T.); #202861=EDGE_CURVE('',#163932,#163930,#88727,.T.); #202862=EDGE_CURVE('',#163933,#163931,#88728,.T.); #202863=EDGE_CURVE('',#163932,#163933,#88729,.T.); #202864=EDGE_CURVE('',#163934,#163932,#88730,.T.); #202865=EDGE_CURVE('',#163935,#163933,#88731,.T.); #202866=EDGE_CURVE('',#163934,#163935,#88732,.T.); #202867=EDGE_CURVE('',#163936,#163934,#88733,.T.); #202868=EDGE_CURVE('',#163937,#163935,#88734,.T.); #202869=EDGE_CURVE('',#163936,#163937,#88735,.T.); #202870=EDGE_CURVE('',#163938,#163936,#88736,.T.); #202871=EDGE_CURVE('',#163939,#163937,#88737,.T.); #202872=EDGE_CURVE('',#163938,#163939,#88738,.T.); #202873=EDGE_CURVE('',#163940,#163938,#88739,.T.); #202874=EDGE_CURVE('',#163941,#163939,#88740,.T.); #202875=EDGE_CURVE('',#163940,#163941,#88741,.T.); #202876=EDGE_CURVE('',#163942,#163940,#88742,.T.); #202877=EDGE_CURVE('',#163943,#163941,#88743,.T.); #202878=EDGE_CURVE('',#163942,#163943,#88744,.T.); #202879=EDGE_CURVE('',#163944,#163942,#88745,.T.); #202880=EDGE_CURVE('',#163945,#163943,#88746,.T.); #202881=EDGE_CURVE('',#163944,#163945,#88747,.T.); #202882=EDGE_CURVE('',#163946,#163944,#88748,.T.); #202883=EDGE_CURVE('',#163947,#163945,#88749,.T.); #202884=EDGE_CURVE('',#163946,#163947,#88750,.T.); #202885=EDGE_CURVE('',#163948,#163946,#88751,.T.); #202886=EDGE_CURVE('',#163949,#163947,#88752,.T.); #202887=EDGE_CURVE('',#163948,#163949,#88753,.T.); #202888=EDGE_CURVE('',#163950,#163948,#88754,.T.); #202889=EDGE_CURVE('',#163951,#163949,#88755,.T.); #202890=EDGE_CURVE('',#163950,#163951,#88756,.T.); #202891=EDGE_CURVE('',#163952,#163950,#88757,.T.); #202892=EDGE_CURVE('',#163953,#163951,#88758,.T.); #202893=EDGE_CURVE('',#163952,#163953,#88759,.T.); #202894=EDGE_CURVE('',#163954,#163952,#88760,.T.); #202895=EDGE_CURVE('',#163955,#163953,#88761,.T.); #202896=EDGE_CURVE('',#163954,#163955,#88762,.T.); #202897=EDGE_CURVE('',#163956,#163954,#88763,.T.); #202898=EDGE_CURVE('',#163957,#163955,#88764,.T.); #202899=EDGE_CURVE('',#163956,#163957,#88765,.T.); #202900=EDGE_CURVE('',#163958,#163956,#88766,.T.); #202901=EDGE_CURVE('',#163959,#163957,#88767,.T.); #202902=EDGE_CURVE('',#163958,#163959,#88768,.T.); #202903=EDGE_CURVE('',#163960,#163958,#88769,.T.); #202904=EDGE_CURVE('',#163961,#163959,#88770,.T.); #202905=EDGE_CURVE('',#163960,#163961,#88771,.T.); #202906=EDGE_CURVE('',#163962,#163960,#88772,.T.); #202907=EDGE_CURVE('',#163963,#163961,#88773,.T.); #202908=EDGE_CURVE('',#163962,#163963,#88774,.T.); #202909=EDGE_CURVE('',#163964,#163962,#88775,.T.); #202910=EDGE_CURVE('',#163965,#163963,#88776,.T.); #202911=EDGE_CURVE('',#163964,#163965,#88777,.T.); #202912=EDGE_CURVE('',#163966,#163964,#88778,.T.); #202913=EDGE_CURVE('',#163967,#163965,#88779,.T.); #202914=EDGE_CURVE('',#163966,#163967,#88780,.T.); #202915=EDGE_CURVE('',#163968,#163966,#88781,.T.); #202916=EDGE_CURVE('',#163969,#163967,#88782,.T.); #202917=EDGE_CURVE('',#163968,#163969,#88783,.T.); #202918=EDGE_CURVE('',#163970,#163968,#88784,.T.); #202919=EDGE_CURVE('',#163971,#163969,#88785,.T.); #202920=EDGE_CURVE('',#163970,#163971,#88786,.T.); #202921=EDGE_CURVE('',#163972,#163970,#88787,.T.); #202922=EDGE_CURVE('',#163973,#163971,#88788,.T.); #202923=EDGE_CURVE('',#163972,#163973,#88789,.T.); #202924=EDGE_CURVE('',#163974,#163972,#88790,.T.); #202925=EDGE_CURVE('',#163975,#163973,#88791,.T.); #202926=EDGE_CURVE('',#163974,#163975,#88792,.T.); #202927=EDGE_CURVE('',#163976,#163974,#88793,.T.); #202928=EDGE_CURVE('',#163977,#163975,#88794,.T.); #202929=EDGE_CURVE('',#163976,#163977,#88795,.T.); #202930=EDGE_CURVE('',#163978,#163976,#88796,.T.); #202931=EDGE_CURVE('',#163979,#163977,#88797,.T.); #202932=EDGE_CURVE('',#163978,#163979,#88798,.T.); #202933=EDGE_CURVE('',#163980,#163978,#88799,.T.); #202934=EDGE_CURVE('',#163981,#163979,#88800,.T.); #202935=EDGE_CURVE('',#163980,#163981,#88801,.T.); #202936=EDGE_CURVE('',#163982,#163980,#88802,.T.); #202937=EDGE_CURVE('',#163983,#163981,#88803,.T.); #202938=EDGE_CURVE('',#163982,#163983,#88804,.T.); #202939=EDGE_CURVE('',#163984,#163982,#88805,.T.); #202940=EDGE_CURVE('',#163985,#163983,#88806,.T.); #202941=EDGE_CURVE('',#163984,#163985,#88807,.T.); #202942=EDGE_CURVE('',#163986,#163984,#88808,.T.); #202943=EDGE_CURVE('',#163987,#163985,#88809,.T.); #202944=EDGE_CURVE('',#163986,#163987,#88810,.T.); #202945=EDGE_CURVE('',#163988,#163986,#88811,.T.); #202946=EDGE_CURVE('',#163989,#163987,#88812,.T.); #202947=EDGE_CURVE('',#163988,#163989,#88813,.T.); #202948=EDGE_CURVE('',#163990,#163988,#88814,.T.); #202949=EDGE_CURVE('',#163991,#163989,#88815,.T.); #202950=EDGE_CURVE('',#163990,#163991,#88816,.T.); #202951=EDGE_CURVE('',#163992,#163990,#88817,.T.); #202952=EDGE_CURVE('',#163993,#163991,#88818,.T.); #202953=EDGE_CURVE('',#163992,#163993,#88819,.T.); #202954=EDGE_CURVE('',#163994,#163992,#88820,.T.); #202955=EDGE_CURVE('',#163995,#163993,#88821,.T.); #202956=EDGE_CURVE('',#163994,#163995,#88822,.T.); #202957=EDGE_CURVE('',#163996,#163994,#88823,.T.); #202958=EDGE_CURVE('',#163997,#163995,#88824,.T.); #202959=EDGE_CURVE('',#163996,#163997,#88825,.T.); #202960=EDGE_CURVE('',#163998,#163996,#88826,.T.); #202961=EDGE_CURVE('',#163999,#163997,#88827,.T.); #202962=EDGE_CURVE('',#163998,#163999,#88828,.T.); #202963=EDGE_CURVE('',#164000,#163998,#88829,.T.); #202964=EDGE_CURVE('',#164001,#163999,#88830,.T.); #202965=EDGE_CURVE('',#164000,#164001,#88831,.T.); #202966=EDGE_CURVE('',#164002,#164000,#88832,.T.); #202967=EDGE_CURVE('',#164003,#164001,#88833,.T.); #202968=EDGE_CURVE('',#164002,#164003,#88834,.T.); #202969=EDGE_CURVE('',#164004,#164002,#88835,.T.); #202970=EDGE_CURVE('',#164005,#164003,#88836,.T.); #202971=EDGE_CURVE('',#164004,#164005,#88837,.T.); #202972=EDGE_CURVE('',#164006,#164004,#88838,.T.); #202973=EDGE_CURVE('',#164007,#164005,#88839,.T.); #202974=EDGE_CURVE('',#164006,#164007,#88840,.T.); #202975=EDGE_CURVE('',#164008,#164006,#88841,.T.); #202976=EDGE_CURVE('',#164009,#164007,#88842,.T.); #202977=EDGE_CURVE('',#164008,#164009,#88843,.T.); #202978=EDGE_CURVE('',#164010,#164008,#88844,.T.); #202979=EDGE_CURVE('',#164011,#164009,#88845,.T.); #202980=EDGE_CURVE('',#164010,#164011,#88846,.T.); #202981=EDGE_CURVE('',#164012,#164010,#88847,.T.); #202982=EDGE_CURVE('',#164013,#164011,#88848,.T.); #202983=EDGE_CURVE('',#164012,#164013,#88849,.T.); #202984=EDGE_CURVE('',#164014,#164012,#88850,.T.); #202985=EDGE_CURVE('',#164015,#164013,#88851,.T.); #202986=EDGE_CURVE('',#164014,#164015,#88852,.T.); #202987=EDGE_CURVE('',#164016,#164014,#88853,.T.); #202988=EDGE_CURVE('',#164017,#164015,#88854,.T.); #202989=EDGE_CURVE('',#164016,#164017,#88855,.T.); #202990=EDGE_CURVE('',#164018,#164016,#88856,.T.); #202991=EDGE_CURVE('',#164019,#164017,#88857,.T.); #202992=EDGE_CURVE('',#164018,#164019,#88858,.T.); #202993=EDGE_CURVE('',#164020,#164018,#88859,.T.); #202994=EDGE_CURVE('',#164021,#164019,#88860,.T.); #202995=EDGE_CURVE('',#164020,#164021,#88861,.T.); #202996=EDGE_CURVE('',#164022,#164020,#88862,.T.); #202997=EDGE_CURVE('',#164023,#164021,#88863,.T.); #202998=EDGE_CURVE('',#164022,#164023,#88864,.T.); #202999=EDGE_CURVE('',#164024,#164022,#88865,.T.); #203000=EDGE_CURVE('',#164025,#164023,#88866,.T.); #203001=EDGE_CURVE('',#164024,#164025,#88867,.T.); #203002=EDGE_CURVE('',#164026,#164024,#88868,.T.); #203003=EDGE_CURVE('',#164027,#164025,#88869,.T.); #203004=EDGE_CURVE('',#164026,#164027,#88870,.T.); #203005=EDGE_CURVE('',#164028,#164026,#88871,.T.); #203006=EDGE_CURVE('',#164029,#164027,#88872,.T.); #203007=EDGE_CURVE('',#164028,#164029,#88873,.T.); #203008=EDGE_CURVE('',#163919,#164028,#88874,.T.); #203009=EDGE_CURVE('',#163920,#164029,#88875,.T.); #203010=EDGE_CURVE('',#164030,#164031,#88876,.T.); #203011=EDGE_CURVE('',#164032,#164030,#88877,.T.); #203012=EDGE_CURVE('',#164033,#164032,#88878,.T.); #203013=EDGE_CURVE('',#164033,#164031,#88879,.T.); #203014=EDGE_CURVE('',#164031,#164034,#67585,.T.); #203015=EDGE_CURVE('',#164035,#164033,#67586,.T.); #203016=EDGE_CURVE('',#164035,#164034,#88880,.T.); #203017=EDGE_CURVE('',#164034,#164036,#88881,.T.); #203018=EDGE_CURVE('',#164037,#164035,#88882,.T.); #203019=EDGE_CURVE('',#164037,#164036,#88883,.T.); #203020=EDGE_CURVE('',#164036,#164030,#67587,.T.); #203021=EDGE_CURVE('',#164032,#164037,#67588,.T.); #203022=EDGE_CURVE('',#164038,#164039,#88884,.T.); #203023=EDGE_CURVE('',#164039,#164040,#88885,.T.); #203024=EDGE_CURVE('',#164041,#164040,#88886,.T.); #203025=EDGE_CURVE('',#164038,#164041,#88887,.T.); #203026=EDGE_CURVE('',#164042,#164038,#88888,.T.); #203027=EDGE_CURVE('',#164043,#164041,#88889,.T.); #203028=EDGE_CURVE('',#164042,#164043,#88890,.T.); #203029=EDGE_CURVE('',#164044,#164042,#88891,.T.); #203030=EDGE_CURVE('',#164045,#164043,#88892,.T.); #203031=EDGE_CURVE('',#164044,#164045,#88893,.T.); #203032=EDGE_CURVE('',#164046,#164044,#88894,.T.); #203033=EDGE_CURVE('',#164047,#164045,#88895,.T.); #203034=EDGE_CURVE('',#164046,#164047,#88896,.T.); #203035=EDGE_CURVE('',#164048,#164046,#88897,.T.); #203036=EDGE_CURVE('',#164049,#164047,#88898,.T.); #203037=EDGE_CURVE('',#164048,#164049,#88899,.T.); #203038=EDGE_CURVE('',#164050,#164048,#88900,.T.); #203039=EDGE_CURVE('',#164051,#164049,#88901,.T.); #203040=EDGE_CURVE('',#164050,#164051,#88902,.T.); #203041=EDGE_CURVE('',#164052,#164050,#88903,.T.); #203042=EDGE_CURVE('',#164053,#164051,#88904,.T.); #203043=EDGE_CURVE('',#164052,#164053,#88905,.T.); #203044=EDGE_CURVE('',#164054,#164052,#88906,.T.); #203045=EDGE_CURVE('',#164055,#164053,#88907,.T.); #203046=EDGE_CURVE('',#164054,#164055,#88908,.T.); #203047=EDGE_CURVE('',#164056,#164054,#88909,.T.); #203048=EDGE_CURVE('',#164057,#164055,#88910,.T.); #203049=EDGE_CURVE('',#164056,#164057,#88911,.T.); #203050=EDGE_CURVE('',#164058,#164056,#88912,.T.); #203051=EDGE_CURVE('',#164059,#164057,#88913,.T.); #203052=EDGE_CURVE('',#164058,#164059,#88914,.T.); #203053=EDGE_CURVE('',#164060,#164058,#88915,.T.); #203054=EDGE_CURVE('',#164061,#164059,#88916,.T.); #203055=EDGE_CURVE('',#164060,#164061,#88917,.T.); #203056=EDGE_CURVE('',#164062,#164060,#88918,.T.); #203057=EDGE_CURVE('',#164063,#164061,#88919,.T.); #203058=EDGE_CURVE('',#164062,#164063,#88920,.T.); #203059=EDGE_CURVE('',#164064,#164062,#88921,.T.); #203060=EDGE_CURVE('',#164065,#164063,#88922,.T.); #203061=EDGE_CURVE('',#164064,#164065,#88923,.T.); #203062=EDGE_CURVE('',#164066,#164064,#88924,.T.); #203063=EDGE_CURVE('',#164067,#164065,#88925,.T.); #203064=EDGE_CURVE('',#164066,#164067,#88926,.T.); #203065=EDGE_CURVE('',#164068,#164066,#88927,.T.); #203066=EDGE_CURVE('',#164069,#164067,#88928,.T.); #203067=EDGE_CURVE('',#164068,#164069,#88929,.T.); #203068=EDGE_CURVE('',#164070,#164068,#88930,.T.); #203069=EDGE_CURVE('',#164071,#164069,#88931,.T.); #203070=EDGE_CURVE('',#164070,#164071,#88932,.T.); #203071=EDGE_CURVE('',#164072,#164070,#88933,.T.); #203072=EDGE_CURVE('',#164073,#164071,#88934,.T.); #203073=EDGE_CURVE('',#164072,#164073,#88935,.T.); #203074=EDGE_CURVE('',#164074,#164072,#88936,.T.); #203075=EDGE_CURVE('',#164075,#164073,#88937,.T.); #203076=EDGE_CURVE('',#164074,#164075,#88938,.T.); #203077=EDGE_CURVE('',#164076,#164074,#88939,.T.); #203078=EDGE_CURVE('',#164077,#164075,#88940,.T.); #203079=EDGE_CURVE('',#164076,#164077,#88941,.T.); #203080=EDGE_CURVE('',#164078,#164076,#88942,.T.); #203081=EDGE_CURVE('',#164079,#164077,#88943,.T.); #203082=EDGE_CURVE('',#164078,#164079,#88944,.T.); #203083=EDGE_CURVE('',#164080,#164078,#88945,.T.); #203084=EDGE_CURVE('',#164081,#164079,#88946,.T.); #203085=EDGE_CURVE('',#164080,#164081,#88947,.T.); #203086=EDGE_CURVE('',#164082,#164080,#88948,.T.); #203087=EDGE_CURVE('',#164083,#164081,#88949,.T.); #203088=EDGE_CURVE('',#164082,#164083,#88950,.T.); #203089=EDGE_CURVE('',#164084,#164082,#88951,.T.); #203090=EDGE_CURVE('',#164085,#164083,#88952,.T.); #203091=EDGE_CURVE('',#164084,#164085,#88953,.T.); #203092=EDGE_CURVE('',#164086,#164084,#88954,.T.); #203093=EDGE_CURVE('',#164087,#164085,#88955,.T.); #203094=EDGE_CURVE('',#164086,#164087,#88956,.T.); #203095=EDGE_CURVE('',#164088,#164086,#88957,.T.); #203096=EDGE_CURVE('',#164089,#164087,#88958,.T.); #203097=EDGE_CURVE('',#164088,#164089,#88959,.T.); #203098=EDGE_CURVE('',#164090,#164088,#88960,.T.); #203099=EDGE_CURVE('',#164091,#164089,#88961,.T.); #203100=EDGE_CURVE('',#164090,#164091,#88962,.T.); #203101=EDGE_CURVE('',#164092,#164090,#88963,.T.); #203102=EDGE_CURVE('',#164093,#164091,#88964,.T.); #203103=EDGE_CURVE('',#164092,#164093,#88965,.T.); #203104=EDGE_CURVE('',#164094,#164092,#88966,.T.); #203105=EDGE_CURVE('',#164095,#164093,#88967,.T.); #203106=EDGE_CURVE('',#164094,#164095,#88968,.T.); #203107=EDGE_CURVE('',#164096,#164094,#88969,.T.); #203108=EDGE_CURVE('',#164097,#164095,#88970,.T.); #203109=EDGE_CURVE('',#164096,#164097,#88971,.T.); #203110=EDGE_CURVE('',#164098,#164096,#88972,.T.); #203111=EDGE_CURVE('',#164099,#164097,#88973,.T.); #203112=EDGE_CURVE('',#164098,#164099,#88974,.T.); #203113=EDGE_CURVE('',#164100,#164098,#88975,.T.); #203114=EDGE_CURVE('',#164101,#164099,#88976,.T.); #203115=EDGE_CURVE('',#164100,#164101,#88977,.T.); #203116=EDGE_CURVE('',#164102,#164100,#88978,.T.); #203117=EDGE_CURVE('',#164103,#164101,#88979,.T.); #203118=EDGE_CURVE('',#164102,#164103,#88980,.T.); #203119=EDGE_CURVE('',#164104,#164102,#88981,.T.); #203120=EDGE_CURVE('',#164105,#164103,#88982,.T.); #203121=EDGE_CURVE('',#164104,#164105,#88983,.T.); #203122=EDGE_CURVE('',#164106,#164104,#88984,.T.); #203123=EDGE_CURVE('',#164107,#164105,#88985,.T.); #203124=EDGE_CURVE('',#164106,#164107,#88986,.T.); #203125=EDGE_CURVE('',#164108,#164106,#88987,.T.); #203126=EDGE_CURVE('',#164109,#164107,#88988,.T.); #203127=EDGE_CURVE('',#164108,#164109,#88989,.T.); #203128=EDGE_CURVE('',#164110,#164108,#88990,.T.); #203129=EDGE_CURVE('',#164111,#164109,#88991,.T.); #203130=EDGE_CURVE('',#164110,#164111,#88992,.T.); #203131=EDGE_CURVE('',#164112,#164110,#88993,.T.); #203132=EDGE_CURVE('',#164113,#164111,#88994,.T.); #203133=EDGE_CURVE('',#164112,#164113,#88995,.T.); #203134=EDGE_CURVE('',#164114,#164112,#88996,.T.); #203135=EDGE_CURVE('',#164115,#164113,#88997,.T.); #203136=EDGE_CURVE('',#164114,#164115,#88998,.T.); #203137=EDGE_CURVE('',#164116,#164114,#88999,.T.); #203138=EDGE_CURVE('',#164117,#164115,#89000,.T.); #203139=EDGE_CURVE('',#164116,#164117,#89001,.T.); #203140=EDGE_CURVE('',#164118,#164116,#89002,.T.); #203141=EDGE_CURVE('',#164119,#164117,#89003,.T.); #203142=EDGE_CURVE('',#164118,#164119,#89004,.T.); #203143=EDGE_CURVE('',#164120,#164118,#89005,.T.); #203144=EDGE_CURVE('',#164121,#164119,#89006,.T.); #203145=EDGE_CURVE('',#164120,#164121,#89007,.T.); #203146=EDGE_CURVE('',#164122,#164120,#89008,.T.); #203147=EDGE_CURVE('',#164123,#164121,#89009,.T.); #203148=EDGE_CURVE('',#164122,#164123,#89010,.T.); #203149=EDGE_CURVE('',#164124,#164122,#89011,.T.); #203150=EDGE_CURVE('',#164125,#164123,#89012,.T.); #203151=EDGE_CURVE('',#164124,#164125,#89013,.T.); #203152=EDGE_CURVE('',#164126,#164124,#89014,.T.); #203153=EDGE_CURVE('',#164127,#164125,#89015,.T.); #203154=EDGE_CURVE('',#164126,#164127,#89016,.T.); #203155=EDGE_CURVE('',#164128,#164126,#89017,.T.); #203156=EDGE_CURVE('',#164129,#164127,#89018,.T.); #203157=EDGE_CURVE('',#164128,#164129,#89019,.T.); #203158=EDGE_CURVE('',#164130,#164128,#89020,.T.); #203159=EDGE_CURVE('',#164131,#164129,#89021,.T.); #203160=EDGE_CURVE('',#164130,#164131,#89022,.T.); #203161=EDGE_CURVE('',#164132,#164130,#89023,.T.); #203162=EDGE_CURVE('',#164133,#164131,#89024,.T.); #203163=EDGE_CURVE('',#164132,#164133,#89025,.T.); #203164=EDGE_CURVE('',#164134,#164132,#89026,.T.); #203165=EDGE_CURVE('',#164135,#164133,#89027,.T.); #203166=EDGE_CURVE('',#164134,#164135,#89028,.T.); #203167=EDGE_CURVE('',#164136,#164134,#89029,.T.); #203168=EDGE_CURVE('',#164137,#164135,#89030,.T.); #203169=EDGE_CURVE('',#164136,#164137,#89031,.T.); #203170=EDGE_CURVE('',#164138,#164136,#89032,.T.); #203171=EDGE_CURVE('',#164139,#164137,#89033,.T.); #203172=EDGE_CURVE('',#164138,#164139,#89034,.T.); #203173=EDGE_CURVE('',#164140,#164138,#89035,.T.); #203174=EDGE_CURVE('',#164141,#164139,#89036,.T.); #203175=EDGE_CURVE('',#164140,#164141,#89037,.T.); #203176=EDGE_CURVE('',#164142,#164140,#89038,.T.); #203177=EDGE_CURVE('',#164143,#164141,#89039,.T.); #203178=EDGE_CURVE('',#164142,#164143,#89040,.T.); #203179=EDGE_CURVE('',#164144,#164142,#89041,.T.); #203180=EDGE_CURVE('',#164145,#164143,#89042,.T.); #203181=EDGE_CURVE('',#164144,#164145,#89043,.T.); #203182=EDGE_CURVE('',#164146,#164144,#89044,.T.); #203183=EDGE_CURVE('',#164147,#164145,#89045,.T.); #203184=EDGE_CURVE('',#164146,#164147,#89046,.T.); #203185=EDGE_CURVE('',#164148,#164146,#89047,.T.); #203186=EDGE_CURVE('',#164149,#164147,#89048,.T.); #203187=EDGE_CURVE('',#164148,#164149,#89049,.T.); #203188=EDGE_CURVE('',#164150,#164148,#89050,.T.); #203189=EDGE_CURVE('',#164151,#164149,#89051,.T.); #203190=EDGE_CURVE('',#164150,#164151,#89052,.T.); #203191=EDGE_CURVE('',#164152,#164150,#89053,.T.); #203192=EDGE_CURVE('',#164153,#164151,#89054,.T.); #203193=EDGE_CURVE('',#164152,#164153,#89055,.T.); #203194=EDGE_CURVE('',#164154,#164152,#89056,.T.); #203195=EDGE_CURVE('',#164155,#164153,#89057,.T.); #203196=EDGE_CURVE('',#164154,#164155,#89058,.T.); #203197=EDGE_CURVE('',#164156,#164154,#89059,.T.); #203198=EDGE_CURVE('',#164157,#164155,#89060,.T.); #203199=EDGE_CURVE('',#164156,#164157,#89061,.T.); #203200=EDGE_CURVE('',#164158,#164156,#89062,.T.); #203201=EDGE_CURVE('',#164159,#164157,#89063,.T.); #203202=EDGE_CURVE('',#164158,#164159,#89064,.T.); #203203=EDGE_CURVE('',#164160,#164158,#89065,.T.); #203204=EDGE_CURVE('',#164161,#164159,#89066,.T.); #203205=EDGE_CURVE('',#164160,#164161,#89067,.T.); #203206=EDGE_CURVE('',#164162,#164160,#89068,.T.); #203207=EDGE_CURVE('',#164163,#164161,#89069,.T.); #203208=EDGE_CURVE('',#164162,#164163,#89070,.T.); #203209=EDGE_CURVE('',#164164,#164162,#89071,.T.); #203210=EDGE_CURVE('',#164165,#164163,#89072,.T.); #203211=EDGE_CURVE('',#164164,#164165,#89073,.T.); #203212=EDGE_CURVE('',#164166,#164164,#89074,.T.); #203213=EDGE_CURVE('',#164167,#164165,#89075,.T.); #203214=EDGE_CURVE('',#164166,#164167,#89076,.T.); #203215=EDGE_CURVE('',#164168,#164166,#89077,.T.); #203216=EDGE_CURVE('',#164169,#164167,#89078,.T.); #203217=EDGE_CURVE('',#164168,#164169,#89079,.T.); #203218=EDGE_CURVE('',#164170,#164168,#89080,.T.); #203219=EDGE_CURVE('',#164171,#164169,#89081,.T.); #203220=EDGE_CURVE('',#164170,#164171,#89082,.T.); #203221=EDGE_CURVE('',#164172,#164170,#89083,.T.); #203222=EDGE_CURVE('',#164173,#164171,#89084,.T.); #203223=EDGE_CURVE('',#164172,#164173,#89085,.T.); #203224=EDGE_CURVE('',#164174,#164172,#89086,.T.); #203225=EDGE_CURVE('',#164175,#164173,#89087,.T.); #203226=EDGE_CURVE('',#164174,#164175,#89088,.T.); #203227=EDGE_CURVE('',#164176,#164174,#89089,.T.); #203228=EDGE_CURVE('',#164177,#164175,#89090,.T.); #203229=EDGE_CURVE('',#164176,#164177,#89091,.T.); #203230=EDGE_CURVE('',#164178,#164176,#89092,.T.); #203231=EDGE_CURVE('',#164179,#164177,#89093,.T.); #203232=EDGE_CURVE('',#164178,#164179,#89094,.T.); #203233=EDGE_CURVE('',#164180,#164178,#89095,.T.); #203234=EDGE_CURVE('',#164181,#164179,#89096,.T.); #203235=EDGE_CURVE('',#164180,#164181,#89097,.T.); #203236=EDGE_CURVE('',#164039,#164180,#89098,.T.); #203237=EDGE_CURVE('',#164040,#164181,#89099,.T.); #203238=EDGE_CURVE('',#164182,#164183,#89100,.T.); #203239=EDGE_CURVE('',#164184,#164182,#89101,.T.); #203240=EDGE_CURVE('',#164185,#164184,#89102,.T.); #203241=EDGE_CURVE('',#164185,#164183,#89103,.T.); #203242=EDGE_CURVE('',#164183,#164186,#67589,.T.); #203243=EDGE_CURVE('',#164187,#164185,#67590,.T.); #203244=EDGE_CURVE('',#164187,#164186,#89104,.T.); #203245=EDGE_CURVE('',#164186,#164188,#89105,.T.); #203246=EDGE_CURVE('',#164189,#164187,#89106,.T.); #203247=EDGE_CURVE('',#164189,#164188,#89107,.T.); #203248=EDGE_CURVE('',#164188,#164182,#67591,.T.); #203249=EDGE_CURVE('',#164184,#164189,#67592,.T.); #203250=EDGE_CURVE('',#164190,#164191,#89108,.T.); #203251=EDGE_CURVE('',#164191,#164192,#89109,.T.); #203252=EDGE_CURVE('',#164193,#164192,#89110,.T.); #203253=EDGE_CURVE('',#164190,#164193,#89111,.T.); #203254=EDGE_CURVE('',#164194,#164190,#89112,.T.); #203255=EDGE_CURVE('',#164195,#164193,#89113,.T.); #203256=EDGE_CURVE('',#164194,#164195,#89114,.T.); #203257=EDGE_CURVE('',#164196,#164194,#89115,.T.); #203258=EDGE_CURVE('',#164197,#164195,#89116,.T.); #203259=EDGE_CURVE('',#164196,#164197,#89117,.T.); #203260=EDGE_CURVE('',#164198,#164196,#89118,.T.); #203261=EDGE_CURVE('',#164199,#164197,#89119,.T.); #203262=EDGE_CURVE('',#164198,#164199,#89120,.T.); #203263=EDGE_CURVE('',#164200,#164198,#89121,.T.); #203264=EDGE_CURVE('',#164201,#164199,#89122,.T.); #203265=EDGE_CURVE('',#164200,#164201,#89123,.T.); #203266=EDGE_CURVE('',#164202,#164200,#89124,.T.); #203267=EDGE_CURVE('',#164203,#164201,#89125,.T.); #203268=EDGE_CURVE('',#164202,#164203,#89126,.T.); #203269=EDGE_CURVE('',#164204,#164202,#89127,.T.); #203270=EDGE_CURVE('',#164205,#164203,#89128,.T.); #203271=EDGE_CURVE('',#164204,#164205,#89129,.T.); #203272=EDGE_CURVE('',#164206,#164204,#89130,.T.); #203273=EDGE_CURVE('',#164207,#164205,#89131,.T.); #203274=EDGE_CURVE('',#164206,#164207,#89132,.T.); #203275=EDGE_CURVE('',#164208,#164206,#89133,.T.); #203276=EDGE_CURVE('',#164209,#164207,#89134,.T.); #203277=EDGE_CURVE('',#164208,#164209,#89135,.T.); #203278=EDGE_CURVE('',#164210,#164208,#89136,.T.); #203279=EDGE_CURVE('',#164211,#164209,#89137,.T.); #203280=EDGE_CURVE('',#164210,#164211,#89138,.T.); #203281=EDGE_CURVE('',#164212,#164210,#89139,.T.); #203282=EDGE_CURVE('',#164213,#164211,#89140,.T.); #203283=EDGE_CURVE('',#164212,#164213,#89141,.T.); #203284=EDGE_CURVE('',#164214,#164212,#89142,.T.); #203285=EDGE_CURVE('',#164215,#164213,#89143,.T.); #203286=EDGE_CURVE('',#164214,#164215,#89144,.T.); #203287=EDGE_CURVE('',#164216,#164214,#89145,.T.); #203288=EDGE_CURVE('',#164217,#164215,#89146,.T.); #203289=EDGE_CURVE('',#164216,#164217,#89147,.T.); #203290=EDGE_CURVE('',#164218,#164216,#89148,.T.); #203291=EDGE_CURVE('',#164219,#164217,#89149,.T.); #203292=EDGE_CURVE('',#164218,#164219,#89150,.T.); #203293=EDGE_CURVE('',#164220,#164218,#89151,.T.); #203294=EDGE_CURVE('',#164221,#164219,#89152,.T.); #203295=EDGE_CURVE('',#164220,#164221,#89153,.T.); #203296=EDGE_CURVE('',#164222,#164220,#89154,.T.); #203297=EDGE_CURVE('',#164223,#164221,#89155,.T.); #203298=EDGE_CURVE('',#164222,#164223,#89156,.T.); #203299=EDGE_CURVE('',#164224,#164222,#89157,.T.); #203300=EDGE_CURVE('',#164225,#164223,#89158,.T.); #203301=EDGE_CURVE('',#164224,#164225,#89159,.T.); #203302=EDGE_CURVE('',#164226,#164224,#89160,.T.); #203303=EDGE_CURVE('',#164227,#164225,#89161,.T.); #203304=EDGE_CURVE('',#164226,#164227,#89162,.T.); #203305=EDGE_CURVE('',#164228,#164226,#89163,.T.); #203306=EDGE_CURVE('',#164229,#164227,#89164,.T.); #203307=EDGE_CURVE('',#164228,#164229,#89165,.T.); #203308=EDGE_CURVE('',#164230,#164228,#89166,.T.); #203309=EDGE_CURVE('',#164231,#164229,#89167,.T.); #203310=EDGE_CURVE('',#164230,#164231,#89168,.T.); #203311=EDGE_CURVE('',#164232,#164230,#89169,.T.); #203312=EDGE_CURVE('',#164233,#164231,#89170,.T.); #203313=EDGE_CURVE('',#164232,#164233,#89171,.T.); #203314=EDGE_CURVE('',#164234,#164232,#89172,.T.); #203315=EDGE_CURVE('',#164235,#164233,#89173,.T.); #203316=EDGE_CURVE('',#164234,#164235,#89174,.T.); #203317=EDGE_CURVE('',#164236,#164234,#89175,.T.); #203318=EDGE_CURVE('',#164237,#164235,#89176,.T.); #203319=EDGE_CURVE('',#164236,#164237,#89177,.T.); #203320=EDGE_CURVE('',#164238,#164236,#89178,.T.); #203321=EDGE_CURVE('',#164239,#164237,#89179,.T.); #203322=EDGE_CURVE('',#164238,#164239,#89180,.T.); #203323=EDGE_CURVE('',#164240,#164238,#89181,.T.); #203324=EDGE_CURVE('',#164241,#164239,#89182,.T.); #203325=EDGE_CURVE('',#164240,#164241,#89183,.T.); #203326=EDGE_CURVE('',#164242,#164240,#89184,.T.); #203327=EDGE_CURVE('',#164243,#164241,#89185,.T.); #203328=EDGE_CURVE('',#164242,#164243,#89186,.T.); #203329=EDGE_CURVE('',#164244,#164242,#89187,.T.); #203330=EDGE_CURVE('',#164245,#164243,#89188,.T.); #203331=EDGE_CURVE('',#164244,#164245,#89189,.T.); #203332=EDGE_CURVE('',#164246,#164244,#89190,.T.); #203333=EDGE_CURVE('',#164247,#164245,#89191,.T.); #203334=EDGE_CURVE('',#164246,#164247,#89192,.T.); #203335=EDGE_CURVE('',#164248,#164246,#89193,.T.); #203336=EDGE_CURVE('',#164249,#164247,#89194,.T.); #203337=EDGE_CURVE('',#164248,#164249,#89195,.T.); #203338=EDGE_CURVE('',#164250,#164248,#89196,.T.); #203339=EDGE_CURVE('',#164251,#164249,#89197,.T.); #203340=EDGE_CURVE('',#164250,#164251,#89198,.T.); #203341=EDGE_CURVE('',#164252,#164250,#89199,.T.); #203342=EDGE_CURVE('',#164253,#164251,#89200,.T.); #203343=EDGE_CURVE('',#164252,#164253,#89201,.T.); #203344=EDGE_CURVE('',#164254,#164252,#89202,.T.); #203345=EDGE_CURVE('',#164255,#164253,#89203,.T.); #203346=EDGE_CURVE('',#164254,#164255,#89204,.T.); #203347=EDGE_CURVE('',#164256,#164254,#89205,.T.); #203348=EDGE_CURVE('',#164257,#164255,#89206,.T.); #203349=EDGE_CURVE('',#164256,#164257,#89207,.T.); #203350=EDGE_CURVE('',#164258,#164256,#89208,.T.); #203351=EDGE_CURVE('',#164259,#164257,#89209,.T.); #203352=EDGE_CURVE('',#164258,#164259,#89210,.T.); #203353=EDGE_CURVE('',#164260,#164258,#89211,.T.); #203354=EDGE_CURVE('',#164261,#164259,#89212,.T.); #203355=EDGE_CURVE('',#164260,#164261,#89213,.T.); #203356=EDGE_CURVE('',#164262,#164260,#89214,.T.); #203357=EDGE_CURVE('',#164263,#164261,#89215,.T.); #203358=EDGE_CURVE('',#164262,#164263,#89216,.T.); #203359=EDGE_CURVE('',#164264,#164262,#89217,.T.); #203360=EDGE_CURVE('',#164265,#164263,#89218,.T.); #203361=EDGE_CURVE('',#164264,#164265,#89219,.T.); #203362=EDGE_CURVE('',#164266,#164264,#89220,.T.); #203363=EDGE_CURVE('',#164267,#164265,#89221,.T.); #203364=EDGE_CURVE('',#164266,#164267,#89222,.T.); #203365=EDGE_CURVE('',#164268,#164266,#89223,.T.); #203366=EDGE_CURVE('',#164269,#164267,#89224,.T.); #203367=EDGE_CURVE('',#164268,#164269,#89225,.T.); #203368=EDGE_CURVE('',#164270,#164268,#89226,.T.); #203369=EDGE_CURVE('',#164271,#164269,#89227,.T.); #203370=EDGE_CURVE('',#164270,#164271,#89228,.T.); #203371=EDGE_CURVE('',#164272,#164270,#89229,.T.); #203372=EDGE_CURVE('',#164273,#164271,#89230,.T.); #203373=EDGE_CURVE('',#164272,#164273,#89231,.T.); #203374=EDGE_CURVE('',#164274,#164272,#89232,.T.); #203375=EDGE_CURVE('',#164275,#164273,#89233,.T.); #203376=EDGE_CURVE('',#164274,#164275,#89234,.T.); #203377=EDGE_CURVE('',#164276,#164274,#89235,.T.); #203378=EDGE_CURVE('',#164277,#164275,#89236,.T.); #203379=EDGE_CURVE('',#164276,#164277,#89237,.T.); #203380=EDGE_CURVE('',#164278,#164276,#89238,.T.); #203381=EDGE_CURVE('',#164279,#164277,#89239,.T.); #203382=EDGE_CURVE('',#164278,#164279,#89240,.T.); #203383=EDGE_CURVE('',#164280,#164278,#89241,.T.); #203384=EDGE_CURVE('',#164281,#164279,#89242,.T.); #203385=EDGE_CURVE('',#164280,#164281,#89243,.T.); #203386=EDGE_CURVE('',#164282,#164280,#89244,.T.); #203387=EDGE_CURVE('',#164283,#164281,#89245,.T.); #203388=EDGE_CURVE('',#164282,#164283,#89246,.T.); #203389=EDGE_CURVE('',#164284,#164282,#89247,.T.); #203390=EDGE_CURVE('',#164285,#164283,#89248,.T.); #203391=EDGE_CURVE('',#164284,#164285,#89249,.T.); #203392=EDGE_CURVE('',#164286,#164284,#89250,.T.); #203393=EDGE_CURVE('',#164287,#164285,#89251,.T.); #203394=EDGE_CURVE('',#164286,#164287,#89252,.T.); #203395=EDGE_CURVE('',#164288,#164286,#89253,.T.); #203396=EDGE_CURVE('',#164289,#164287,#89254,.T.); #203397=EDGE_CURVE('',#164288,#164289,#89255,.T.); #203398=EDGE_CURVE('',#164290,#164288,#89256,.T.); #203399=EDGE_CURVE('',#164291,#164289,#89257,.T.); #203400=EDGE_CURVE('',#164290,#164291,#89258,.T.); #203401=EDGE_CURVE('',#164292,#164290,#89259,.T.); #203402=EDGE_CURVE('',#164293,#164291,#89260,.T.); #203403=EDGE_CURVE('',#164292,#164293,#89261,.T.); #203404=EDGE_CURVE('',#164294,#164292,#89262,.T.); #203405=EDGE_CURVE('',#164295,#164293,#89263,.T.); #203406=EDGE_CURVE('',#164294,#164295,#89264,.T.); #203407=EDGE_CURVE('',#164296,#164294,#89265,.T.); #203408=EDGE_CURVE('',#164297,#164295,#89266,.T.); #203409=EDGE_CURVE('',#164296,#164297,#89267,.T.); #203410=EDGE_CURVE('',#164298,#164296,#89268,.T.); #203411=EDGE_CURVE('',#164299,#164297,#89269,.T.); #203412=EDGE_CURVE('',#164298,#164299,#89270,.T.); #203413=EDGE_CURVE('',#164300,#164298,#89271,.T.); #203414=EDGE_CURVE('',#164301,#164299,#89272,.T.); #203415=EDGE_CURVE('',#164300,#164301,#89273,.T.); #203416=EDGE_CURVE('',#164191,#164300,#89274,.T.); #203417=EDGE_CURVE('',#164192,#164301,#89275,.T.); #203418=EDGE_CURVE('',#164302,#164302,#67593,.T.); #203419=EDGE_CURVE('',#164302,#164303,#89276,.T.); #203420=EDGE_CURVE('',#164303,#164303,#67594,.T.); #203421=EDGE_CURVE('',#164304,#164305,#89277,.T.); #203422=EDGE_CURVE('',#164305,#164306,#89278,.T.); #203423=EDGE_CURVE('',#164307,#164306,#89279,.T.); #203424=EDGE_CURVE('',#164304,#164307,#89280,.T.); #203425=EDGE_CURVE('',#164308,#164304,#89281,.T.); #203426=EDGE_CURVE('',#164309,#164307,#89282,.T.); #203427=EDGE_CURVE('',#164308,#164309,#89283,.T.); #203428=EDGE_CURVE('',#164310,#164308,#89284,.T.); #203429=EDGE_CURVE('',#164311,#164309,#89285,.T.); #203430=EDGE_CURVE('',#164310,#164311,#89286,.T.); #203431=EDGE_CURVE('',#164305,#164310,#89287,.T.); #203432=EDGE_CURVE('',#164306,#164311,#89288,.T.); #203433=EDGE_CURVE('',#164312,#164313,#89289,.T.); #203434=EDGE_CURVE('',#164314,#164312,#89290,.T.); #203435=EDGE_CURVE('',#164315,#164314,#89291,.T.); #203436=EDGE_CURVE('',#164315,#164313,#89292,.T.); #203437=EDGE_CURVE('',#164313,#164316,#67595,.T.); #203438=EDGE_CURVE('',#164317,#164315,#67596,.T.); #203439=EDGE_CURVE('',#164317,#164316,#89293,.T.); #203440=EDGE_CURVE('',#164316,#164318,#89294,.T.); #203441=EDGE_CURVE('',#164319,#164317,#89295,.T.); #203442=EDGE_CURVE('',#164319,#164318,#89296,.T.); #203443=EDGE_CURVE('',#164318,#164312,#67597,.T.); #203444=EDGE_CURVE('',#164314,#164319,#67598,.T.); #203445=EDGE_CURVE('',#164320,#164321,#89297,.T.); #203446=EDGE_CURVE('',#164321,#164322,#89298,.T.); #203447=EDGE_CURVE('',#164323,#164322,#89299,.T.); #203448=EDGE_CURVE('',#164320,#164323,#89300,.T.); #203449=EDGE_CURVE('',#164324,#164320,#89301,.T.); #203450=EDGE_CURVE('',#164325,#164323,#89302,.T.); #203451=EDGE_CURVE('',#164324,#164325,#89303,.T.); #203452=EDGE_CURVE('',#164326,#164324,#89304,.T.); #203453=EDGE_CURVE('',#164327,#164325,#89305,.T.); #203454=EDGE_CURVE('',#164326,#164327,#89306,.T.); #203455=EDGE_CURVE('',#164328,#164326,#89307,.T.); #203456=EDGE_CURVE('',#164329,#164327,#89308,.T.); #203457=EDGE_CURVE('',#164328,#164329,#89309,.T.); #203458=EDGE_CURVE('',#164330,#164328,#89310,.T.); #203459=EDGE_CURVE('',#164331,#164329,#89311,.T.); #203460=EDGE_CURVE('',#164330,#164331,#89312,.T.); #203461=EDGE_CURVE('',#164332,#164330,#89313,.T.); #203462=EDGE_CURVE('',#164333,#164331,#89314,.T.); #203463=EDGE_CURVE('',#164332,#164333,#89315,.T.); #203464=EDGE_CURVE('',#164334,#164332,#89316,.T.); #203465=EDGE_CURVE('',#164335,#164333,#89317,.T.); #203466=EDGE_CURVE('',#164334,#164335,#89318,.T.); #203467=EDGE_CURVE('',#164336,#164334,#89319,.T.); #203468=EDGE_CURVE('',#164337,#164335,#89320,.T.); #203469=EDGE_CURVE('',#164336,#164337,#89321,.T.); #203470=EDGE_CURVE('',#164338,#164336,#89322,.T.); #203471=EDGE_CURVE('',#164339,#164337,#89323,.T.); #203472=EDGE_CURVE('',#164338,#164339,#89324,.T.); #203473=EDGE_CURVE('',#164340,#164338,#89325,.T.); #203474=EDGE_CURVE('',#164341,#164339,#89326,.T.); #203475=EDGE_CURVE('',#164340,#164341,#89327,.T.); #203476=EDGE_CURVE('',#164342,#164340,#89328,.T.); #203477=EDGE_CURVE('',#164343,#164341,#89329,.T.); #203478=EDGE_CURVE('',#164342,#164343,#89330,.T.); #203479=EDGE_CURVE('',#164344,#164342,#89331,.T.); #203480=EDGE_CURVE('',#164345,#164343,#89332,.T.); #203481=EDGE_CURVE('',#164344,#164345,#89333,.T.); #203482=EDGE_CURVE('',#164346,#164344,#89334,.T.); #203483=EDGE_CURVE('',#164347,#164345,#89335,.T.); #203484=EDGE_CURVE('',#164346,#164347,#89336,.T.); #203485=EDGE_CURVE('',#164348,#164346,#89337,.T.); #203486=EDGE_CURVE('',#164349,#164347,#89338,.T.); #203487=EDGE_CURVE('',#164348,#164349,#89339,.T.); #203488=EDGE_CURVE('',#164350,#164348,#89340,.T.); #203489=EDGE_CURVE('',#164351,#164349,#89341,.T.); #203490=EDGE_CURVE('',#164350,#164351,#89342,.T.); #203491=EDGE_CURVE('',#164352,#164350,#89343,.T.); #203492=EDGE_CURVE('',#164353,#164351,#89344,.T.); #203493=EDGE_CURVE('',#164352,#164353,#89345,.T.); #203494=EDGE_CURVE('',#164354,#164352,#89346,.T.); #203495=EDGE_CURVE('',#164355,#164353,#89347,.T.); #203496=EDGE_CURVE('',#164354,#164355,#89348,.T.); #203497=EDGE_CURVE('',#164356,#164354,#89349,.T.); #203498=EDGE_CURVE('',#164357,#164355,#89350,.T.); #203499=EDGE_CURVE('',#164356,#164357,#89351,.T.); #203500=EDGE_CURVE('',#164358,#164356,#89352,.T.); #203501=EDGE_CURVE('',#164359,#164357,#89353,.T.); #203502=EDGE_CURVE('',#164358,#164359,#89354,.T.); #203503=EDGE_CURVE('',#164360,#164358,#89355,.T.); #203504=EDGE_CURVE('',#164361,#164359,#89356,.T.); #203505=EDGE_CURVE('',#164360,#164361,#89357,.T.); #203506=EDGE_CURVE('',#164362,#164360,#89358,.T.); #203507=EDGE_CURVE('',#164363,#164361,#89359,.T.); #203508=EDGE_CURVE('',#164362,#164363,#89360,.T.); #203509=EDGE_CURVE('',#164364,#164362,#89361,.T.); #203510=EDGE_CURVE('',#164365,#164363,#89362,.T.); #203511=EDGE_CURVE('',#164364,#164365,#89363,.T.); #203512=EDGE_CURVE('',#164366,#164364,#89364,.T.); #203513=EDGE_CURVE('',#164367,#164365,#89365,.T.); #203514=EDGE_CURVE('',#164366,#164367,#89366,.T.); #203515=EDGE_CURVE('',#164368,#164366,#89367,.T.); #203516=EDGE_CURVE('',#164369,#164367,#89368,.T.); #203517=EDGE_CURVE('',#164368,#164369,#89369,.T.); #203518=EDGE_CURVE('',#164370,#164368,#89370,.T.); #203519=EDGE_CURVE('',#164371,#164369,#89371,.T.); #203520=EDGE_CURVE('',#164370,#164371,#89372,.T.); #203521=EDGE_CURVE('',#164372,#164370,#89373,.T.); #203522=EDGE_CURVE('',#164373,#164371,#89374,.T.); #203523=EDGE_CURVE('',#164372,#164373,#89375,.T.); #203524=EDGE_CURVE('',#164374,#164372,#89376,.T.); #203525=EDGE_CURVE('',#164375,#164373,#89377,.T.); #203526=EDGE_CURVE('',#164374,#164375,#89378,.T.); #203527=EDGE_CURVE('',#164376,#164374,#89379,.T.); #203528=EDGE_CURVE('',#164377,#164375,#89380,.T.); #203529=EDGE_CURVE('',#164376,#164377,#89381,.T.); #203530=EDGE_CURVE('',#164378,#164376,#89382,.T.); #203531=EDGE_CURVE('',#164379,#164377,#89383,.T.); #203532=EDGE_CURVE('',#164378,#164379,#89384,.T.); #203533=EDGE_CURVE('',#164380,#164378,#89385,.T.); #203534=EDGE_CURVE('',#164381,#164379,#89386,.T.); #203535=EDGE_CURVE('',#164380,#164381,#89387,.T.); #203536=EDGE_CURVE('',#164382,#164380,#89388,.T.); #203537=EDGE_CURVE('',#164383,#164381,#89389,.T.); #203538=EDGE_CURVE('',#164382,#164383,#89390,.T.); #203539=EDGE_CURVE('',#164384,#164382,#89391,.T.); #203540=EDGE_CURVE('',#164385,#164383,#89392,.T.); #203541=EDGE_CURVE('',#164384,#164385,#89393,.T.); #203542=EDGE_CURVE('',#164386,#164384,#89394,.T.); #203543=EDGE_CURVE('',#164387,#164385,#89395,.T.); #203544=EDGE_CURVE('',#164386,#164387,#89396,.T.); #203545=EDGE_CURVE('',#164388,#164386,#89397,.T.); #203546=EDGE_CURVE('',#164389,#164387,#89398,.T.); #203547=EDGE_CURVE('',#164388,#164389,#89399,.T.); #203548=EDGE_CURVE('',#164390,#164388,#89400,.T.); #203549=EDGE_CURVE('',#164391,#164389,#89401,.T.); #203550=EDGE_CURVE('',#164390,#164391,#89402,.T.); #203551=EDGE_CURVE('',#164392,#164390,#89403,.T.); #203552=EDGE_CURVE('',#164393,#164391,#89404,.T.); #203553=EDGE_CURVE('',#164392,#164393,#89405,.T.); #203554=EDGE_CURVE('',#164394,#164392,#89406,.T.); #203555=EDGE_CURVE('',#164395,#164393,#89407,.T.); #203556=EDGE_CURVE('',#164394,#164395,#89408,.T.); #203557=EDGE_CURVE('',#164396,#164394,#89409,.T.); #203558=EDGE_CURVE('',#164397,#164395,#89410,.T.); #203559=EDGE_CURVE('',#164396,#164397,#89411,.T.); #203560=EDGE_CURVE('',#164398,#164396,#89412,.T.); #203561=EDGE_CURVE('',#164399,#164397,#89413,.T.); #203562=EDGE_CURVE('',#164398,#164399,#89414,.T.); #203563=EDGE_CURVE('',#164400,#164398,#89415,.T.); #203564=EDGE_CURVE('',#164401,#164399,#89416,.T.); #203565=EDGE_CURVE('',#164400,#164401,#89417,.T.); #203566=EDGE_CURVE('',#164402,#164400,#89418,.T.); #203567=EDGE_CURVE('',#164403,#164401,#89419,.T.); #203568=EDGE_CURVE('',#164402,#164403,#89420,.T.); #203569=EDGE_CURVE('',#164404,#164402,#89421,.T.); #203570=EDGE_CURVE('',#164405,#164403,#89422,.T.); #203571=EDGE_CURVE('',#164404,#164405,#89423,.T.); #203572=EDGE_CURVE('',#164406,#164404,#89424,.T.); #203573=EDGE_CURVE('',#164407,#164405,#89425,.T.); #203574=EDGE_CURVE('',#164406,#164407,#89426,.T.); #203575=EDGE_CURVE('',#164408,#164406,#89427,.T.); #203576=EDGE_CURVE('',#164409,#164407,#89428,.T.); #203577=EDGE_CURVE('',#164408,#164409,#89429,.T.); #203578=EDGE_CURVE('',#164410,#164408,#89430,.T.); #203579=EDGE_CURVE('',#164411,#164409,#89431,.T.); #203580=EDGE_CURVE('',#164410,#164411,#89432,.T.); #203581=EDGE_CURVE('',#164412,#164410,#89433,.T.); #203582=EDGE_CURVE('',#164413,#164411,#89434,.T.); #203583=EDGE_CURVE('',#164412,#164413,#89435,.T.); #203584=EDGE_CURVE('',#164414,#164412,#89436,.T.); #203585=EDGE_CURVE('',#164415,#164413,#89437,.T.); #203586=EDGE_CURVE('',#164414,#164415,#89438,.T.); #203587=EDGE_CURVE('',#164416,#164414,#89439,.T.); #203588=EDGE_CURVE('',#164417,#164415,#89440,.T.); #203589=EDGE_CURVE('',#164416,#164417,#89441,.T.); #203590=EDGE_CURVE('',#164418,#164416,#89442,.T.); #203591=EDGE_CURVE('',#164419,#164417,#89443,.T.); #203592=EDGE_CURVE('',#164418,#164419,#89444,.T.); #203593=EDGE_CURVE('',#164420,#164418,#89445,.T.); #203594=EDGE_CURVE('',#164421,#164419,#89446,.T.); #203595=EDGE_CURVE('',#164420,#164421,#89447,.T.); #203596=EDGE_CURVE('',#164422,#164420,#89448,.T.); #203597=EDGE_CURVE('',#164423,#164421,#89449,.T.); #203598=EDGE_CURVE('',#164422,#164423,#89450,.T.); #203599=EDGE_CURVE('',#164424,#164422,#89451,.T.); #203600=EDGE_CURVE('',#164425,#164423,#89452,.T.); #203601=EDGE_CURVE('',#164424,#164425,#89453,.T.); #203602=EDGE_CURVE('',#164426,#164424,#89454,.T.); #203603=EDGE_CURVE('',#164427,#164425,#89455,.T.); #203604=EDGE_CURVE('',#164426,#164427,#89456,.T.); #203605=EDGE_CURVE('',#164428,#164426,#89457,.T.); #203606=EDGE_CURVE('',#164429,#164427,#89458,.T.); #203607=EDGE_CURVE('',#164428,#164429,#89459,.T.); #203608=EDGE_CURVE('',#164430,#164428,#89460,.T.); #203609=EDGE_CURVE('',#164431,#164429,#89461,.T.); #203610=EDGE_CURVE('',#164430,#164431,#89462,.T.); #203611=EDGE_CURVE('',#164321,#164430,#89463,.T.); #203612=EDGE_CURVE('',#164322,#164431,#89464,.T.); #203613=EDGE_CURVE('',#164432,#164433,#89465,.T.); #203614=EDGE_CURVE('',#164434,#164432,#89466,.T.); #203615=EDGE_CURVE('',#164435,#164434,#89467,.T.); #203616=EDGE_CURVE('',#164435,#164433,#89468,.T.); #203617=EDGE_CURVE('',#164433,#164436,#67599,.T.); #203618=EDGE_CURVE('',#164437,#164435,#67600,.T.); #203619=EDGE_CURVE('',#164437,#164436,#89469,.T.); #203620=EDGE_CURVE('',#164436,#164438,#89470,.T.); #203621=EDGE_CURVE('',#164439,#164437,#89471,.T.); #203622=EDGE_CURVE('',#164439,#164438,#89472,.T.); #203623=EDGE_CURVE('',#164438,#164432,#67601,.T.); #203624=EDGE_CURVE('',#164434,#164439,#67602,.T.); #203625=EDGE_CURVE('',#164440,#164441,#89473,.T.); #203626=EDGE_CURVE('',#164441,#164442,#89474,.T.); #203627=EDGE_CURVE('',#164443,#164442,#89475,.T.); #203628=EDGE_CURVE('',#164440,#164443,#89476,.T.); #203629=EDGE_CURVE('',#164444,#164440,#89477,.T.); #203630=EDGE_CURVE('',#164445,#164443,#89478,.T.); #203631=EDGE_CURVE('',#164444,#164445,#89479,.T.); #203632=EDGE_CURVE('',#164446,#164444,#89480,.T.); #203633=EDGE_CURVE('',#164447,#164445,#89481,.T.); #203634=EDGE_CURVE('',#164446,#164447,#89482,.T.); #203635=EDGE_CURVE('',#164448,#164446,#89483,.T.); #203636=EDGE_CURVE('',#164449,#164447,#89484,.T.); #203637=EDGE_CURVE('',#164448,#164449,#89485,.T.); #203638=EDGE_CURVE('',#164450,#164448,#89486,.T.); #203639=EDGE_CURVE('',#164451,#164449,#89487,.T.); #203640=EDGE_CURVE('',#164450,#164451,#89488,.T.); #203641=EDGE_CURVE('',#164452,#164450,#89489,.T.); #203642=EDGE_CURVE('',#164453,#164451,#89490,.T.); #203643=EDGE_CURVE('',#164452,#164453,#89491,.T.); #203644=EDGE_CURVE('',#164454,#164452,#89492,.T.); #203645=EDGE_CURVE('',#164455,#164453,#89493,.T.); #203646=EDGE_CURVE('',#164454,#164455,#89494,.T.); #203647=EDGE_CURVE('',#164456,#164454,#89495,.T.); #203648=EDGE_CURVE('',#164457,#164455,#89496,.T.); #203649=EDGE_CURVE('',#164456,#164457,#89497,.T.); #203650=EDGE_CURVE('',#164458,#164456,#89498,.T.); #203651=EDGE_CURVE('',#164459,#164457,#89499,.T.); #203652=EDGE_CURVE('',#164458,#164459,#89500,.T.); #203653=EDGE_CURVE('',#164460,#164458,#89501,.T.); #203654=EDGE_CURVE('',#164461,#164459,#89502,.T.); #203655=EDGE_CURVE('',#164460,#164461,#89503,.T.); #203656=EDGE_CURVE('',#164462,#164460,#89504,.T.); #203657=EDGE_CURVE('',#164463,#164461,#89505,.T.); #203658=EDGE_CURVE('',#164462,#164463,#89506,.T.); #203659=EDGE_CURVE('',#164464,#164462,#89507,.T.); #203660=EDGE_CURVE('',#164465,#164463,#89508,.T.); #203661=EDGE_CURVE('',#164464,#164465,#89509,.T.); #203662=EDGE_CURVE('',#164466,#164464,#89510,.T.); #203663=EDGE_CURVE('',#164467,#164465,#89511,.T.); #203664=EDGE_CURVE('',#164466,#164467,#89512,.T.); #203665=EDGE_CURVE('',#164468,#164466,#89513,.T.); #203666=EDGE_CURVE('',#164469,#164467,#89514,.T.); #203667=EDGE_CURVE('',#164468,#164469,#89515,.T.); #203668=EDGE_CURVE('',#164470,#164468,#89516,.T.); #203669=EDGE_CURVE('',#164471,#164469,#89517,.T.); #203670=EDGE_CURVE('',#164470,#164471,#89518,.T.); #203671=EDGE_CURVE('',#164472,#164470,#89519,.T.); #203672=EDGE_CURVE('',#164473,#164471,#89520,.T.); #203673=EDGE_CURVE('',#164472,#164473,#89521,.T.); #203674=EDGE_CURVE('',#164474,#164472,#89522,.T.); #203675=EDGE_CURVE('',#164475,#164473,#89523,.T.); #203676=EDGE_CURVE('',#164474,#164475,#89524,.T.); #203677=EDGE_CURVE('',#164476,#164474,#89525,.T.); #203678=EDGE_CURVE('',#164477,#164475,#89526,.T.); #203679=EDGE_CURVE('',#164476,#164477,#89527,.T.); #203680=EDGE_CURVE('',#164478,#164476,#89528,.T.); #203681=EDGE_CURVE('',#164479,#164477,#89529,.T.); #203682=EDGE_CURVE('',#164478,#164479,#89530,.T.); #203683=EDGE_CURVE('',#164480,#164478,#89531,.T.); #203684=EDGE_CURVE('',#164481,#164479,#89532,.T.); #203685=EDGE_CURVE('',#164480,#164481,#89533,.T.); #203686=EDGE_CURVE('',#164482,#164480,#89534,.T.); #203687=EDGE_CURVE('',#164483,#164481,#89535,.T.); #203688=EDGE_CURVE('',#164482,#164483,#89536,.T.); #203689=EDGE_CURVE('',#164484,#164482,#89537,.T.); #203690=EDGE_CURVE('',#164485,#164483,#89538,.T.); #203691=EDGE_CURVE('',#164484,#164485,#89539,.T.); #203692=EDGE_CURVE('',#164486,#164484,#89540,.T.); #203693=EDGE_CURVE('',#164487,#164485,#89541,.T.); #203694=EDGE_CURVE('',#164486,#164487,#89542,.T.); #203695=EDGE_CURVE('',#164488,#164486,#89543,.T.); #203696=EDGE_CURVE('',#164489,#164487,#89544,.T.); #203697=EDGE_CURVE('',#164488,#164489,#89545,.T.); #203698=EDGE_CURVE('',#164490,#164488,#89546,.T.); #203699=EDGE_CURVE('',#164491,#164489,#89547,.T.); #203700=EDGE_CURVE('',#164490,#164491,#89548,.T.); #203701=EDGE_CURVE('',#164492,#164490,#89549,.T.); #203702=EDGE_CURVE('',#164493,#164491,#89550,.T.); #203703=EDGE_CURVE('',#164492,#164493,#89551,.T.); #203704=EDGE_CURVE('',#164494,#164492,#89552,.T.); #203705=EDGE_CURVE('',#164495,#164493,#89553,.T.); #203706=EDGE_CURVE('',#164494,#164495,#89554,.T.); #203707=EDGE_CURVE('',#164496,#164494,#89555,.T.); #203708=EDGE_CURVE('',#164497,#164495,#89556,.T.); #203709=EDGE_CURVE('',#164496,#164497,#89557,.T.); #203710=EDGE_CURVE('',#164498,#164496,#89558,.T.); #203711=EDGE_CURVE('',#164499,#164497,#89559,.T.); #203712=EDGE_CURVE('',#164498,#164499,#89560,.T.); #203713=EDGE_CURVE('',#164500,#164498,#89561,.T.); #203714=EDGE_CURVE('',#164501,#164499,#89562,.T.); #203715=EDGE_CURVE('',#164500,#164501,#89563,.T.); #203716=EDGE_CURVE('',#164502,#164500,#89564,.T.); #203717=EDGE_CURVE('',#164503,#164501,#89565,.T.); #203718=EDGE_CURVE('',#164502,#164503,#89566,.T.); #203719=EDGE_CURVE('',#164504,#164502,#89567,.T.); #203720=EDGE_CURVE('',#164505,#164503,#89568,.T.); #203721=EDGE_CURVE('',#164504,#164505,#89569,.T.); #203722=EDGE_CURVE('',#164506,#164504,#89570,.T.); #203723=EDGE_CURVE('',#164507,#164505,#89571,.T.); #203724=EDGE_CURVE('',#164506,#164507,#89572,.T.); #203725=EDGE_CURVE('',#164508,#164506,#89573,.T.); #203726=EDGE_CURVE('',#164509,#164507,#89574,.T.); #203727=EDGE_CURVE('',#164508,#164509,#89575,.T.); #203728=EDGE_CURVE('',#164510,#164508,#89576,.T.); #203729=EDGE_CURVE('',#164511,#164509,#89577,.T.); #203730=EDGE_CURVE('',#164510,#164511,#89578,.T.); #203731=EDGE_CURVE('',#164512,#164510,#89579,.T.); #203732=EDGE_CURVE('',#164513,#164511,#89580,.T.); #203733=EDGE_CURVE('',#164512,#164513,#89581,.T.); #203734=EDGE_CURVE('',#164514,#164512,#89582,.T.); #203735=EDGE_CURVE('',#164515,#164513,#89583,.T.); #203736=EDGE_CURVE('',#164514,#164515,#89584,.T.); #203737=EDGE_CURVE('',#164516,#164514,#89585,.T.); #203738=EDGE_CURVE('',#164517,#164515,#89586,.T.); #203739=EDGE_CURVE('',#164516,#164517,#89587,.T.); #203740=EDGE_CURVE('',#164518,#164516,#89588,.T.); #203741=EDGE_CURVE('',#164519,#164517,#89589,.T.); #203742=EDGE_CURVE('',#164518,#164519,#89590,.T.); #203743=EDGE_CURVE('',#164520,#164518,#89591,.T.); #203744=EDGE_CURVE('',#164521,#164519,#89592,.T.); #203745=EDGE_CURVE('',#164520,#164521,#89593,.T.); #203746=EDGE_CURVE('',#164522,#164520,#89594,.T.); #203747=EDGE_CURVE('',#164523,#164521,#89595,.T.); #203748=EDGE_CURVE('',#164522,#164523,#89596,.T.); #203749=EDGE_CURVE('',#164524,#164522,#89597,.T.); #203750=EDGE_CURVE('',#164525,#164523,#89598,.T.); #203751=EDGE_CURVE('',#164524,#164525,#89599,.T.); #203752=EDGE_CURVE('',#164526,#164524,#89600,.T.); #203753=EDGE_CURVE('',#164527,#164525,#89601,.T.); #203754=EDGE_CURVE('',#164526,#164527,#89602,.T.); #203755=EDGE_CURVE('',#164528,#164526,#89603,.T.); #203756=EDGE_CURVE('',#164529,#164527,#89604,.T.); #203757=EDGE_CURVE('',#164528,#164529,#89605,.T.); #203758=EDGE_CURVE('',#164530,#164528,#89606,.T.); #203759=EDGE_CURVE('',#164531,#164529,#89607,.T.); #203760=EDGE_CURVE('',#164530,#164531,#89608,.T.); #203761=EDGE_CURVE('',#164532,#164530,#89609,.T.); #203762=EDGE_CURVE('',#164533,#164531,#89610,.T.); #203763=EDGE_CURVE('',#164532,#164533,#89611,.T.); #203764=EDGE_CURVE('',#164534,#164532,#89612,.T.); #203765=EDGE_CURVE('',#164535,#164533,#89613,.T.); #203766=EDGE_CURVE('',#164534,#164535,#89614,.T.); #203767=EDGE_CURVE('',#164536,#164534,#89615,.T.); #203768=EDGE_CURVE('',#164537,#164535,#89616,.T.); #203769=EDGE_CURVE('',#164536,#164537,#89617,.T.); #203770=EDGE_CURVE('',#164538,#164536,#89618,.T.); #203771=EDGE_CURVE('',#164539,#164537,#89619,.T.); #203772=EDGE_CURVE('',#164538,#164539,#89620,.T.); #203773=EDGE_CURVE('',#164540,#164538,#89621,.T.); #203774=EDGE_CURVE('',#164541,#164539,#89622,.T.); #203775=EDGE_CURVE('',#164540,#164541,#89623,.T.); #203776=EDGE_CURVE('',#164542,#164540,#89624,.T.); #203777=EDGE_CURVE('',#164543,#164541,#89625,.T.); #203778=EDGE_CURVE('',#164542,#164543,#89626,.T.); #203779=EDGE_CURVE('',#164544,#164542,#89627,.T.); #203780=EDGE_CURVE('',#164545,#164543,#89628,.T.); #203781=EDGE_CURVE('',#164544,#164545,#89629,.T.); #203782=EDGE_CURVE('',#164546,#164544,#89630,.T.); #203783=EDGE_CURVE('',#164547,#164545,#89631,.T.); #203784=EDGE_CURVE('',#164546,#164547,#89632,.T.); #203785=EDGE_CURVE('',#164548,#164546,#89633,.T.); #203786=EDGE_CURVE('',#164549,#164547,#89634,.T.); #203787=EDGE_CURVE('',#164548,#164549,#89635,.T.); #203788=EDGE_CURVE('',#164550,#164548,#89636,.T.); #203789=EDGE_CURVE('',#164551,#164549,#89637,.T.); #203790=EDGE_CURVE('',#164550,#164551,#89638,.T.); #203791=EDGE_CURVE('',#164552,#164550,#89639,.T.); #203792=EDGE_CURVE('',#164553,#164551,#89640,.T.); #203793=EDGE_CURVE('',#164552,#164553,#89641,.T.); #203794=EDGE_CURVE('',#164554,#164552,#89642,.T.); #203795=EDGE_CURVE('',#164555,#164553,#89643,.T.); #203796=EDGE_CURVE('',#164554,#164555,#89644,.T.); #203797=EDGE_CURVE('',#164556,#164554,#89645,.T.); #203798=EDGE_CURVE('',#164557,#164555,#89646,.T.); #203799=EDGE_CURVE('',#164556,#164557,#89647,.T.); #203800=EDGE_CURVE('',#164558,#164556,#89648,.T.); #203801=EDGE_CURVE('',#164559,#164557,#89649,.T.); #203802=EDGE_CURVE('',#164558,#164559,#89650,.T.); #203803=EDGE_CURVE('',#164560,#164558,#89651,.T.); #203804=EDGE_CURVE('',#164561,#164559,#89652,.T.); #203805=EDGE_CURVE('',#164560,#164561,#89653,.T.); #203806=EDGE_CURVE('',#164562,#164560,#89654,.T.); #203807=EDGE_CURVE('',#164563,#164561,#89655,.T.); #203808=EDGE_CURVE('',#164562,#164563,#89656,.T.); #203809=EDGE_CURVE('',#164564,#164562,#89657,.T.); #203810=EDGE_CURVE('',#164565,#164563,#89658,.T.); #203811=EDGE_CURVE('',#164564,#164565,#89659,.T.); #203812=EDGE_CURVE('',#164566,#164564,#89660,.T.); #203813=EDGE_CURVE('',#164567,#164565,#89661,.T.); #203814=EDGE_CURVE('',#164566,#164567,#89662,.T.); #203815=EDGE_CURVE('',#164568,#164566,#89663,.T.); #203816=EDGE_CURVE('',#164569,#164567,#89664,.T.); #203817=EDGE_CURVE('',#164568,#164569,#89665,.T.); #203818=EDGE_CURVE('',#164570,#164568,#89666,.T.); #203819=EDGE_CURVE('',#164571,#164569,#89667,.T.); #203820=EDGE_CURVE('',#164570,#164571,#89668,.T.); #203821=EDGE_CURVE('',#164572,#164570,#89669,.T.); #203822=EDGE_CURVE('',#164573,#164571,#89670,.T.); #203823=EDGE_CURVE('',#164572,#164573,#89671,.T.); #203824=EDGE_CURVE('',#164574,#164572,#89672,.T.); #203825=EDGE_CURVE('',#164575,#164573,#89673,.T.); #203826=EDGE_CURVE('',#164574,#164575,#89674,.T.); #203827=EDGE_CURVE('',#164576,#164574,#89675,.T.); #203828=EDGE_CURVE('',#164577,#164575,#89676,.T.); #203829=EDGE_CURVE('',#164576,#164577,#89677,.T.); #203830=EDGE_CURVE('',#164578,#164576,#89678,.T.); #203831=EDGE_CURVE('',#164579,#164577,#89679,.T.); #203832=EDGE_CURVE('',#164578,#164579,#89680,.T.); #203833=EDGE_CURVE('',#164580,#164578,#89681,.T.); #203834=EDGE_CURVE('',#164581,#164579,#89682,.T.); #203835=EDGE_CURVE('',#164580,#164581,#89683,.T.); #203836=EDGE_CURVE('',#164582,#164580,#89684,.T.); #203837=EDGE_CURVE('',#164583,#164581,#89685,.T.); #203838=EDGE_CURVE('',#164582,#164583,#89686,.T.); #203839=EDGE_CURVE('',#164441,#164582,#89687,.T.); #203840=EDGE_CURVE('',#164442,#164583,#89688,.T.); #203841=EDGE_CURVE('',#164584,#164584,#67603,.T.); #203842=EDGE_CURVE('',#164584,#164585,#89689,.T.); #203843=EDGE_CURVE('',#164585,#164585,#67604,.T.); #203844=EDGE_CURVE('',#164586,#164587,#89690,.T.); #203845=EDGE_CURVE('',#164587,#164588,#89691,.T.); #203846=EDGE_CURVE('',#164589,#164588,#89692,.T.); #203847=EDGE_CURVE('',#164586,#164589,#89693,.T.); #203848=EDGE_CURVE('',#164590,#164586,#67605,.T.); #203849=EDGE_CURVE('',#164591,#164589,#67606,.T.); #203850=EDGE_CURVE('',#164590,#164591,#89694,.T.); #203851=EDGE_CURVE('',#164587,#164590,#67607,.T.); #203852=EDGE_CURVE('',#164588,#164591,#67608,.T.); #203853=EDGE_CURVE('',#164592,#164592,#67609,.T.); #203854=EDGE_CURVE('',#164592,#164593,#89695,.T.); #203855=EDGE_CURVE('',#164593,#164593,#67610,.T.); #203856=EDGE_CURVE('',#164594,#164595,#89696,.T.); #203857=EDGE_CURVE('',#164595,#164596,#89697,.T.); #203858=EDGE_CURVE('',#164597,#164596,#89698,.T.); #203859=EDGE_CURVE('',#164594,#164597,#89699,.T.); #203860=EDGE_CURVE('',#164598,#164594,#67611,.T.); #203861=EDGE_CURVE('',#164599,#164597,#67612,.T.); #203862=EDGE_CURVE('',#164598,#164599,#89700,.T.); #203863=EDGE_CURVE('',#164595,#164598,#67613,.T.); #203864=EDGE_CURVE('',#164596,#164599,#67614,.T.); #203865=EDGE_CURVE('',#164600,#164601,#89701,.T.); #203866=EDGE_CURVE('',#164602,#164600,#89702,.T.); #203867=EDGE_CURVE('',#164603,#164602,#89703,.T.); #203868=EDGE_CURVE('',#164603,#164601,#89704,.T.); #203869=EDGE_CURVE('',#164601,#164604,#67615,.T.); #203870=EDGE_CURVE('',#164605,#164603,#67616,.T.); #203871=EDGE_CURVE('',#164605,#164604,#89705,.T.); #203872=EDGE_CURVE('',#164604,#164606,#89706,.T.); #203873=EDGE_CURVE('',#164607,#164605,#89707,.T.); #203874=EDGE_CURVE('',#164607,#164606,#89708,.T.); #203875=EDGE_CURVE('',#164606,#164600,#67617,.T.); #203876=EDGE_CURVE('',#164602,#164607,#67618,.T.); #203877=EDGE_CURVE('',#164608,#164609,#89709,.T.); #203878=EDGE_CURVE('',#164609,#164610,#89710,.T.); #203879=EDGE_CURVE('',#164611,#164610,#89711,.T.); #203880=EDGE_CURVE('',#164608,#164611,#89712,.T.); #203881=EDGE_CURVE('',#164612,#164608,#89713,.T.); #203882=EDGE_CURVE('',#164613,#164611,#89714,.T.); #203883=EDGE_CURVE('',#164612,#164613,#89715,.T.); #203884=EDGE_CURVE('',#164614,#164612,#89716,.T.); #203885=EDGE_CURVE('',#164615,#164613,#89717,.T.); #203886=EDGE_CURVE('',#164614,#164615,#89718,.T.); #203887=EDGE_CURVE('',#164616,#164614,#89719,.T.); #203888=EDGE_CURVE('',#164617,#164615,#89720,.T.); #203889=EDGE_CURVE('',#164616,#164617,#89721,.T.); #203890=EDGE_CURVE('',#164618,#164616,#89722,.T.); #203891=EDGE_CURVE('',#164619,#164617,#89723,.T.); #203892=EDGE_CURVE('',#164618,#164619,#89724,.T.); #203893=EDGE_CURVE('',#164620,#164618,#89725,.T.); #203894=EDGE_CURVE('',#164621,#164619,#89726,.T.); #203895=EDGE_CURVE('',#164620,#164621,#89727,.T.); #203896=EDGE_CURVE('',#164622,#164620,#89728,.T.); #203897=EDGE_CURVE('',#164623,#164621,#89729,.T.); #203898=EDGE_CURVE('',#164622,#164623,#89730,.T.); #203899=EDGE_CURVE('',#164624,#164622,#89731,.T.); #203900=EDGE_CURVE('',#164625,#164623,#89732,.T.); #203901=EDGE_CURVE('',#164624,#164625,#89733,.T.); #203902=EDGE_CURVE('',#164626,#164624,#89734,.T.); #203903=EDGE_CURVE('',#164627,#164625,#89735,.T.); #203904=EDGE_CURVE('',#164626,#164627,#89736,.T.); #203905=EDGE_CURVE('',#164628,#164626,#89737,.T.); #203906=EDGE_CURVE('',#164629,#164627,#89738,.T.); #203907=EDGE_CURVE('',#164628,#164629,#89739,.T.); #203908=EDGE_CURVE('',#164630,#164628,#89740,.T.); #203909=EDGE_CURVE('',#164631,#164629,#89741,.T.); #203910=EDGE_CURVE('',#164630,#164631,#89742,.T.); #203911=EDGE_CURVE('',#164632,#164630,#89743,.T.); #203912=EDGE_CURVE('',#164633,#164631,#89744,.T.); #203913=EDGE_CURVE('',#164632,#164633,#89745,.T.); #203914=EDGE_CURVE('',#164634,#164632,#89746,.T.); #203915=EDGE_CURVE('',#164635,#164633,#89747,.T.); #203916=EDGE_CURVE('',#164634,#164635,#89748,.T.); #203917=EDGE_CURVE('',#164636,#164634,#89749,.T.); #203918=EDGE_CURVE('',#164637,#164635,#89750,.T.); #203919=EDGE_CURVE('',#164636,#164637,#89751,.T.); #203920=EDGE_CURVE('',#164638,#164636,#89752,.T.); #203921=EDGE_CURVE('',#164639,#164637,#89753,.T.); #203922=EDGE_CURVE('',#164638,#164639,#89754,.T.); #203923=EDGE_CURVE('',#164640,#164638,#89755,.T.); #203924=EDGE_CURVE('',#164641,#164639,#89756,.T.); #203925=EDGE_CURVE('',#164640,#164641,#89757,.T.); #203926=EDGE_CURVE('',#164642,#164640,#89758,.T.); #203927=EDGE_CURVE('',#164643,#164641,#89759,.T.); #203928=EDGE_CURVE('',#164642,#164643,#89760,.T.); #203929=EDGE_CURVE('',#164644,#164642,#89761,.T.); #203930=EDGE_CURVE('',#164645,#164643,#89762,.T.); #203931=EDGE_CURVE('',#164644,#164645,#89763,.T.); #203932=EDGE_CURVE('',#164646,#164644,#89764,.T.); #203933=EDGE_CURVE('',#164647,#164645,#89765,.T.); #203934=EDGE_CURVE('',#164646,#164647,#89766,.T.); #203935=EDGE_CURVE('',#164648,#164646,#89767,.T.); #203936=EDGE_CURVE('',#164649,#164647,#89768,.T.); #203937=EDGE_CURVE('',#164648,#164649,#89769,.T.); #203938=EDGE_CURVE('',#164650,#164648,#89770,.T.); #203939=EDGE_CURVE('',#164651,#164649,#89771,.T.); #203940=EDGE_CURVE('',#164650,#164651,#89772,.T.); #203941=EDGE_CURVE('',#164652,#164650,#89773,.T.); #203942=EDGE_CURVE('',#164653,#164651,#89774,.T.); #203943=EDGE_CURVE('',#164652,#164653,#89775,.T.); #203944=EDGE_CURVE('',#164654,#164652,#89776,.T.); #203945=EDGE_CURVE('',#164655,#164653,#89777,.T.); #203946=EDGE_CURVE('',#164654,#164655,#89778,.T.); #203947=EDGE_CURVE('',#164656,#164654,#89779,.T.); #203948=EDGE_CURVE('',#164657,#164655,#89780,.T.); #203949=EDGE_CURVE('',#164656,#164657,#89781,.T.); #203950=EDGE_CURVE('',#164658,#164656,#89782,.T.); #203951=EDGE_CURVE('',#164659,#164657,#89783,.T.); #203952=EDGE_CURVE('',#164658,#164659,#89784,.T.); #203953=EDGE_CURVE('',#164660,#164658,#89785,.T.); #203954=EDGE_CURVE('',#164661,#164659,#89786,.T.); #203955=EDGE_CURVE('',#164660,#164661,#89787,.T.); #203956=EDGE_CURVE('',#164662,#164660,#89788,.T.); #203957=EDGE_CURVE('',#164663,#164661,#89789,.T.); #203958=EDGE_CURVE('',#164662,#164663,#89790,.T.); #203959=EDGE_CURVE('',#164664,#164662,#89791,.T.); #203960=EDGE_CURVE('',#164665,#164663,#89792,.T.); #203961=EDGE_CURVE('',#164664,#164665,#89793,.T.); #203962=EDGE_CURVE('',#164666,#164664,#89794,.T.); #203963=EDGE_CURVE('',#164667,#164665,#89795,.T.); #203964=EDGE_CURVE('',#164666,#164667,#89796,.T.); #203965=EDGE_CURVE('',#164668,#164666,#89797,.T.); #203966=EDGE_CURVE('',#164669,#164667,#89798,.T.); #203967=EDGE_CURVE('',#164668,#164669,#89799,.T.); #203968=EDGE_CURVE('',#164670,#164668,#89800,.T.); #203969=EDGE_CURVE('',#164671,#164669,#89801,.T.); #203970=EDGE_CURVE('',#164670,#164671,#89802,.T.); #203971=EDGE_CURVE('',#164672,#164670,#89803,.T.); #203972=EDGE_CURVE('',#164673,#164671,#89804,.T.); #203973=EDGE_CURVE('',#164672,#164673,#89805,.T.); #203974=EDGE_CURVE('',#164674,#164672,#89806,.T.); #203975=EDGE_CURVE('',#164675,#164673,#89807,.T.); #203976=EDGE_CURVE('',#164674,#164675,#89808,.T.); #203977=EDGE_CURVE('',#164676,#164674,#89809,.T.); #203978=EDGE_CURVE('',#164677,#164675,#89810,.T.); #203979=EDGE_CURVE('',#164676,#164677,#89811,.T.); #203980=EDGE_CURVE('',#164678,#164676,#89812,.T.); #203981=EDGE_CURVE('',#164679,#164677,#89813,.T.); #203982=EDGE_CURVE('',#164678,#164679,#89814,.T.); #203983=EDGE_CURVE('',#164680,#164678,#89815,.T.); #203984=EDGE_CURVE('',#164681,#164679,#89816,.T.); #203985=EDGE_CURVE('',#164680,#164681,#89817,.T.); #203986=EDGE_CURVE('',#164682,#164680,#89818,.T.); #203987=EDGE_CURVE('',#164683,#164681,#89819,.T.); #203988=EDGE_CURVE('',#164682,#164683,#89820,.T.); #203989=EDGE_CURVE('',#164684,#164682,#89821,.T.); #203990=EDGE_CURVE('',#164685,#164683,#89822,.T.); #203991=EDGE_CURVE('',#164684,#164685,#89823,.T.); #203992=EDGE_CURVE('',#164686,#164684,#89824,.T.); #203993=EDGE_CURVE('',#164687,#164685,#89825,.T.); #203994=EDGE_CURVE('',#164686,#164687,#89826,.T.); #203995=EDGE_CURVE('',#164688,#164686,#89827,.T.); #203996=EDGE_CURVE('',#164689,#164687,#89828,.T.); #203997=EDGE_CURVE('',#164688,#164689,#89829,.T.); #203998=EDGE_CURVE('',#164690,#164688,#89830,.T.); #203999=EDGE_CURVE('',#164691,#164689,#89831,.T.); #204000=EDGE_CURVE('',#164690,#164691,#89832,.T.); #204001=EDGE_CURVE('',#164692,#164690,#89833,.T.); #204002=EDGE_CURVE('',#164693,#164691,#89834,.T.); #204003=EDGE_CURVE('',#164692,#164693,#89835,.T.); #204004=EDGE_CURVE('',#164694,#164692,#89836,.T.); #204005=EDGE_CURVE('',#164695,#164693,#89837,.T.); #204006=EDGE_CURVE('',#164694,#164695,#89838,.T.); #204007=EDGE_CURVE('',#164696,#164694,#89839,.T.); #204008=EDGE_CURVE('',#164697,#164695,#89840,.T.); #204009=EDGE_CURVE('',#164696,#164697,#89841,.T.); #204010=EDGE_CURVE('',#164698,#164696,#89842,.T.); #204011=EDGE_CURVE('',#164699,#164697,#89843,.T.); #204012=EDGE_CURVE('',#164698,#164699,#89844,.T.); #204013=EDGE_CURVE('',#164700,#164698,#89845,.T.); #204014=EDGE_CURVE('',#164701,#164699,#89846,.T.); #204015=EDGE_CURVE('',#164700,#164701,#89847,.T.); #204016=EDGE_CURVE('',#164702,#164700,#89848,.T.); #204017=EDGE_CURVE('',#164703,#164701,#89849,.T.); #204018=EDGE_CURVE('',#164702,#164703,#89850,.T.); #204019=EDGE_CURVE('',#164704,#164702,#89851,.T.); #204020=EDGE_CURVE('',#164705,#164703,#89852,.T.); #204021=EDGE_CURVE('',#164704,#164705,#89853,.T.); #204022=EDGE_CURVE('',#164706,#164704,#89854,.T.); #204023=EDGE_CURVE('',#164707,#164705,#89855,.T.); #204024=EDGE_CURVE('',#164706,#164707,#89856,.T.); #204025=EDGE_CURVE('',#164708,#164706,#89857,.T.); #204026=EDGE_CURVE('',#164709,#164707,#89858,.T.); #204027=EDGE_CURVE('',#164708,#164709,#89859,.T.); #204028=EDGE_CURVE('',#164710,#164708,#89860,.T.); #204029=EDGE_CURVE('',#164711,#164709,#89861,.T.); #204030=EDGE_CURVE('',#164710,#164711,#89862,.T.); #204031=EDGE_CURVE('',#164712,#164710,#89863,.T.); #204032=EDGE_CURVE('',#164713,#164711,#89864,.T.); #204033=EDGE_CURVE('',#164712,#164713,#89865,.T.); #204034=EDGE_CURVE('',#164714,#164712,#89866,.T.); #204035=EDGE_CURVE('',#164715,#164713,#89867,.T.); #204036=EDGE_CURVE('',#164714,#164715,#89868,.T.); #204037=EDGE_CURVE('',#164716,#164714,#89869,.T.); #204038=EDGE_CURVE('',#164717,#164715,#89870,.T.); #204039=EDGE_CURVE('',#164716,#164717,#89871,.T.); #204040=EDGE_CURVE('',#164718,#164716,#89872,.T.); #204041=EDGE_CURVE('',#164719,#164717,#89873,.T.); #204042=EDGE_CURVE('',#164718,#164719,#89874,.T.); #204043=EDGE_CURVE('',#164609,#164718,#89875,.T.); #204044=EDGE_CURVE('',#164610,#164719,#89876,.T.); #204045=EDGE_CURVE('',#164720,#164720,#67619,.T.); #204046=EDGE_CURVE('',#164720,#164721,#89877,.T.); #204047=EDGE_CURVE('',#164721,#164721,#67620,.T.); #204048=EDGE_CURVE('',#164722,#164723,#89878,.T.); #204049=EDGE_CURVE('',#164723,#164724,#89879,.T.); #204050=EDGE_CURVE('',#164725,#164724,#89880,.T.); #204051=EDGE_CURVE('',#164722,#164725,#89881,.T.); #204052=EDGE_CURVE('',#164726,#164722,#67621,.T.); #204053=EDGE_CURVE('',#164727,#164725,#67622,.T.); #204054=EDGE_CURVE('',#164726,#164727,#89882,.T.); #204055=EDGE_CURVE('',#164723,#164726,#67623,.T.); #204056=EDGE_CURVE('',#164724,#164727,#67624,.T.); #204057=EDGE_CURVE('',#164728,#164728,#67625,.T.); #204058=EDGE_CURVE('',#164728,#164729,#89883,.T.); #204059=EDGE_CURVE('',#164729,#164729,#67626,.T.); #204060=EDGE_CURVE('',#164730,#164731,#89884,.T.); #204061=EDGE_CURVE('',#164731,#164732,#89885,.T.); #204062=EDGE_CURVE('',#164733,#164732,#89886,.T.); #204063=EDGE_CURVE('',#164730,#164733,#89887,.T.); #204064=EDGE_CURVE('',#164734,#164730,#67627,.T.); #204065=EDGE_CURVE('',#164735,#164733,#67628,.T.); #204066=EDGE_CURVE('',#164734,#164735,#89888,.T.); #204067=EDGE_CURVE('',#164731,#164734,#67629,.T.); #204068=EDGE_CURVE('',#164732,#164735,#67630,.T.); #204069=EDGE_CURVE('',#164736,#164736,#67631,.T.); #204070=EDGE_CURVE('',#164736,#164737,#89889,.T.); #204071=EDGE_CURVE('',#164737,#164737,#67632,.T.); #204072=EDGE_CURVE('',#164738,#164739,#89890,.T.); #204073=EDGE_CURVE('',#164739,#164740,#89891,.T.); #204074=EDGE_CURVE('',#164741,#164740,#89892,.T.); #204075=EDGE_CURVE('',#164738,#164741,#89893,.T.); #204076=EDGE_CURVE('',#164742,#164738,#67633,.T.); #204077=EDGE_CURVE('',#164743,#164741,#67634,.T.); #204078=EDGE_CURVE('',#164742,#164743,#89894,.T.); #204079=EDGE_CURVE('',#164739,#164742,#67635,.T.); #204080=EDGE_CURVE('',#164740,#164743,#67636,.T.); #204081=EDGE_CURVE('',#164744,#164744,#67637,.T.); #204082=EDGE_CURVE('',#164744,#164745,#89895,.T.); #204083=EDGE_CURVE('',#164745,#164745,#67638,.T.); #204084=EDGE_CURVE('',#164746,#164747,#89896,.T.); #204085=EDGE_CURVE('',#164747,#164748,#89897,.T.); #204086=EDGE_CURVE('',#164749,#164748,#89898,.T.); #204087=EDGE_CURVE('',#164746,#164749,#89899,.T.); #204088=EDGE_CURVE('',#164750,#164746,#89900,.T.); #204089=EDGE_CURVE('',#164751,#164749,#89901,.T.); #204090=EDGE_CURVE('',#164750,#164751,#89902,.T.); #204091=EDGE_CURVE('',#164752,#164750,#89903,.T.); #204092=EDGE_CURVE('',#164753,#164751,#89904,.T.); #204093=EDGE_CURVE('',#164752,#164753,#89905,.T.); #204094=EDGE_CURVE('',#164747,#164752,#89906,.T.); #204095=EDGE_CURVE('',#164748,#164753,#89907,.T.); #204096=EDGE_CURVE('',#164754,#164754,#67639,.T.); #204097=EDGE_CURVE('',#164754,#164755,#89908,.T.); #204098=EDGE_CURVE('',#164755,#164755,#67640,.T.); #204099=EDGE_CURVE('',#164756,#164757,#89909,.T.); #204100=EDGE_CURVE('',#164757,#164758,#89910,.T.); #204101=EDGE_CURVE('',#164759,#164758,#89911,.T.); #204102=EDGE_CURVE('',#164756,#164759,#89912,.T.); #204103=EDGE_CURVE('',#164760,#164756,#67641,.T.); #204104=EDGE_CURVE('',#164761,#164759,#67642,.T.); #204105=EDGE_CURVE('',#164760,#164761,#89913,.T.); #204106=EDGE_CURVE('',#164757,#164760,#67643,.T.); #204107=EDGE_CURVE('',#164758,#164761,#67644,.T.); #204108=EDGE_CURVE('',#164762,#164763,#89914,.T.); #204109=EDGE_CURVE('',#164763,#164764,#89915,.T.); #204110=EDGE_CURVE('',#164765,#164764,#89916,.T.); #204111=EDGE_CURVE('',#164762,#164765,#89917,.T.); #204112=EDGE_CURVE('',#164766,#164762,#89918,.T.); #204113=EDGE_CURVE('',#164767,#164765,#89919,.T.); #204114=EDGE_CURVE('',#164766,#164767,#89920,.T.); #204115=EDGE_CURVE('',#164768,#164766,#89921,.T.); #204116=EDGE_CURVE('',#164769,#164767,#89922,.T.); #204117=EDGE_CURVE('',#164768,#164769,#89923,.T.); #204118=EDGE_CURVE('',#164763,#164768,#89924,.T.); #204119=EDGE_CURVE('',#164764,#164769,#89925,.T.); #204120=EDGE_CURVE('',#164770,#164771,#89926,.T.); #204121=EDGE_CURVE('',#164771,#164772,#89927,.T.); #204122=EDGE_CURVE('',#164773,#164772,#89928,.T.); #204123=EDGE_CURVE('',#164770,#164773,#89929,.T.); #204124=EDGE_CURVE('',#164774,#164770,#89930,.T.); #204125=EDGE_CURVE('',#164775,#164773,#89931,.T.); #204126=EDGE_CURVE('',#164774,#164775,#89932,.T.); #204127=EDGE_CURVE('',#164776,#164774,#89933,.T.); #204128=EDGE_CURVE('',#164777,#164775,#89934,.T.); #204129=EDGE_CURVE('',#164776,#164777,#89935,.T.); #204130=EDGE_CURVE('',#164771,#164776,#89936,.T.); #204131=EDGE_CURVE('',#164772,#164777,#89937,.T.); #204132=EDGE_CURVE('',#164778,#164779,#89938,.T.); #204133=EDGE_CURVE('',#164779,#164780,#89939,.T.); #204134=EDGE_CURVE('',#164781,#164780,#89940,.T.); #204135=EDGE_CURVE('',#164778,#164781,#89941,.T.); #204136=EDGE_CURVE('',#164782,#164778,#89942,.T.); #204137=EDGE_CURVE('',#164783,#164781,#89943,.T.); #204138=EDGE_CURVE('',#164782,#164783,#89944,.T.); #204139=EDGE_CURVE('',#164784,#164782,#89945,.T.); #204140=EDGE_CURVE('',#164785,#164783,#89946,.T.); #204141=EDGE_CURVE('',#164784,#164785,#89947,.T.); #204142=EDGE_CURVE('',#164779,#164784,#89948,.T.); #204143=EDGE_CURVE('',#164780,#164785,#89949,.T.); #204144=EDGE_CURVE('',#164786,#164787,#89950,.T.); #204145=EDGE_CURVE('',#164787,#164788,#89951,.T.); #204146=EDGE_CURVE('',#164789,#164788,#89952,.T.); #204147=EDGE_CURVE('',#164786,#164789,#89953,.T.); #204148=EDGE_CURVE('',#164790,#164786,#89954,.T.); #204149=EDGE_CURVE('',#164791,#164789,#89955,.T.); #204150=EDGE_CURVE('',#164790,#164791,#89956,.T.); #204151=EDGE_CURVE('',#164792,#164790,#89957,.T.); #204152=EDGE_CURVE('',#164793,#164791,#89958,.T.); #204153=EDGE_CURVE('',#164792,#164793,#89959,.T.); #204154=EDGE_CURVE('',#164787,#164792,#89960,.T.); #204155=EDGE_CURVE('',#164788,#164793,#89961,.T.); #204156=EDGE_CURVE('',#164794,#164795,#89962,.T.); #204157=EDGE_CURVE('',#164795,#164796,#89963,.T.); #204158=EDGE_CURVE('',#164797,#164796,#89964,.T.); #204159=EDGE_CURVE('',#164794,#164797,#89965,.T.); #204160=EDGE_CURVE('',#164798,#164794,#89966,.T.); #204161=EDGE_CURVE('',#164799,#164797,#89967,.T.); #204162=EDGE_CURVE('',#164798,#164799,#89968,.T.); #204163=EDGE_CURVE('',#164800,#164798,#89969,.T.); #204164=EDGE_CURVE('',#164801,#164799,#89970,.T.); #204165=EDGE_CURVE('',#164800,#164801,#89971,.T.); #204166=EDGE_CURVE('',#164795,#164800,#89972,.T.); #204167=EDGE_CURVE('',#164796,#164801,#89973,.T.); #204168=EDGE_CURVE('',#164802,#164803,#89974,.T.); #204169=EDGE_CURVE('',#164803,#164804,#89975,.T.); #204170=EDGE_CURVE('',#164805,#164804,#89976,.T.); #204171=EDGE_CURVE('',#164802,#164805,#89977,.T.); #204172=EDGE_CURVE('',#164806,#164802,#89978,.T.); #204173=EDGE_CURVE('',#164807,#164805,#89979,.T.); #204174=EDGE_CURVE('',#164806,#164807,#89980,.T.); #204175=EDGE_CURVE('',#164808,#164806,#89981,.T.); #204176=EDGE_CURVE('',#164809,#164807,#89982,.T.); #204177=EDGE_CURVE('',#164808,#164809,#89983,.T.); #204178=EDGE_CURVE('',#164803,#164808,#89984,.T.); #204179=EDGE_CURVE('',#164804,#164809,#89985,.T.); #204180=EDGE_CURVE('',#164810,#164811,#89986,.T.); #204181=EDGE_CURVE('',#164811,#164812,#89987,.T.); #204182=EDGE_CURVE('',#164813,#164812,#89988,.T.); #204183=EDGE_CURVE('',#164810,#164813,#89989,.T.); #204184=EDGE_CURVE('',#164814,#164810,#89990,.T.); #204185=EDGE_CURVE('',#164815,#164813,#89991,.T.); #204186=EDGE_CURVE('',#164814,#164815,#89992,.T.); #204187=EDGE_CURVE('',#164816,#164814,#89993,.T.); #204188=EDGE_CURVE('',#164817,#164815,#89994,.T.); #204189=EDGE_CURVE('',#164816,#164817,#89995,.T.); #204190=EDGE_CURVE('',#164811,#164816,#89996,.T.); #204191=EDGE_CURVE('',#164812,#164817,#89997,.T.); #204192=EDGE_CURVE('',#164818,#164819,#89998,.T.); #204193=EDGE_CURVE('',#164819,#164820,#89999,.T.); #204194=EDGE_CURVE('',#164821,#164820,#90000,.T.); #204195=EDGE_CURVE('',#164818,#164821,#90001,.T.); #204196=EDGE_CURVE('',#164822,#164818,#90002,.T.); #204197=EDGE_CURVE('',#164823,#164821,#90003,.T.); #204198=EDGE_CURVE('',#164822,#164823,#90004,.T.); #204199=EDGE_CURVE('',#164824,#164822,#90005,.T.); #204200=EDGE_CURVE('',#164825,#164823,#90006,.T.); #204201=EDGE_CURVE('',#164824,#164825,#90007,.T.); #204202=EDGE_CURVE('',#164819,#164824,#90008,.T.); #204203=EDGE_CURVE('',#164820,#164825,#90009,.T.); #204204=EDGE_CURVE('',#164826,#164827,#90010,.T.); #204205=EDGE_CURVE('',#164827,#164828,#90011,.T.); #204206=EDGE_CURVE('',#164829,#164828,#90012,.T.); #204207=EDGE_CURVE('',#164826,#164829,#90013,.T.); #204208=EDGE_CURVE('',#164830,#164826,#90014,.T.); #204209=EDGE_CURVE('',#164831,#164829,#90015,.T.); #204210=EDGE_CURVE('',#164830,#164831,#90016,.T.); #204211=EDGE_CURVE('',#164832,#164830,#90017,.T.); #204212=EDGE_CURVE('',#164833,#164831,#90018,.T.); #204213=EDGE_CURVE('',#164832,#164833,#90019,.T.); #204214=EDGE_CURVE('',#164827,#164832,#90020,.T.); #204215=EDGE_CURVE('',#164828,#164833,#90021,.T.); #204216=EDGE_CURVE('',#164834,#164835,#90022,.T.); #204217=EDGE_CURVE('',#164835,#164836,#90023,.T.); #204218=EDGE_CURVE('',#164837,#164836,#90024,.T.); #204219=EDGE_CURVE('',#164834,#164837,#90025,.T.); #204220=EDGE_CURVE('',#164838,#164834,#90026,.T.); #204221=EDGE_CURVE('',#164839,#164837,#90027,.T.); #204222=EDGE_CURVE('',#164838,#164839,#90028,.T.); #204223=EDGE_CURVE('',#164840,#164838,#90029,.T.); #204224=EDGE_CURVE('',#164841,#164839,#90030,.T.); #204225=EDGE_CURVE('',#164840,#164841,#90031,.T.); #204226=EDGE_CURVE('',#164835,#164840,#90032,.T.); #204227=EDGE_CURVE('',#164836,#164841,#90033,.T.); #204228=EDGE_CURVE('',#164842,#164843,#90034,.T.); #204229=EDGE_CURVE('',#164843,#164844,#90035,.T.); #204230=EDGE_CURVE('',#164845,#164844,#90036,.T.); #204231=EDGE_CURVE('',#164842,#164845,#90037,.T.); #204232=EDGE_CURVE('',#164846,#164842,#90038,.T.); #204233=EDGE_CURVE('',#164847,#164845,#90039,.T.); #204234=EDGE_CURVE('',#164846,#164847,#90040,.T.); #204235=EDGE_CURVE('',#164848,#164846,#90041,.T.); #204236=EDGE_CURVE('',#164849,#164847,#90042,.T.); #204237=EDGE_CURVE('',#164848,#164849,#90043,.T.); #204238=EDGE_CURVE('',#164843,#164848,#90044,.T.); #204239=EDGE_CURVE('',#164844,#164849,#90045,.T.); #204240=EDGE_CURVE('',#164850,#164851,#90046,.T.); #204241=EDGE_CURVE('',#164851,#164852,#90047,.T.); #204242=EDGE_CURVE('',#164853,#164852,#90048,.T.); #204243=EDGE_CURVE('',#164850,#164853,#90049,.T.); #204244=EDGE_CURVE('',#164854,#164850,#90050,.T.); #204245=EDGE_CURVE('',#164855,#164853,#90051,.T.); #204246=EDGE_CURVE('',#164854,#164855,#90052,.T.); #204247=EDGE_CURVE('',#164856,#164854,#90053,.T.); #204248=EDGE_CURVE('',#164857,#164855,#90054,.T.); #204249=EDGE_CURVE('',#164856,#164857,#90055,.T.); #204250=EDGE_CURVE('',#164851,#164856,#90056,.T.); #204251=EDGE_CURVE('',#164852,#164857,#90057,.T.); #204252=EDGE_CURVE('',#164858,#164859,#90058,.T.); #204253=EDGE_CURVE('',#164859,#164860,#90059,.T.); #204254=EDGE_CURVE('',#164861,#164860,#90060,.T.); #204255=EDGE_CURVE('',#164858,#164861,#90061,.T.); #204256=EDGE_CURVE('',#164862,#164858,#90062,.T.); #204257=EDGE_CURVE('',#164863,#164861,#90063,.T.); #204258=EDGE_CURVE('',#164862,#164863,#90064,.T.); #204259=EDGE_CURVE('',#164864,#164862,#90065,.T.); #204260=EDGE_CURVE('',#164865,#164863,#90066,.T.); #204261=EDGE_CURVE('',#164864,#164865,#90067,.T.); #204262=EDGE_CURVE('',#164859,#164864,#90068,.T.); #204263=EDGE_CURVE('',#164860,#164865,#90069,.T.); #204264=EDGE_CURVE('',#164866,#164866,#67645,.T.); #204265=EDGE_CURVE('',#164866,#164867,#90070,.T.); #204266=EDGE_CURVE('',#164867,#164867,#67646,.T.); #204267=EDGE_CURVE('',#164868,#164868,#67647,.T.); #204268=EDGE_CURVE('',#164868,#164869,#90071,.T.); #204269=EDGE_CURVE('',#164869,#164869,#67648,.T.); #204270=EDGE_CURVE('',#164870,#164870,#67649,.T.); #204271=EDGE_CURVE('',#164870,#164871,#90072,.T.); #204272=EDGE_CURVE('',#164871,#164871,#67650,.T.); #204273=EDGE_CURVE('',#164872,#164872,#67651,.T.); #204274=EDGE_CURVE('',#164872,#164873,#90073,.T.); #204275=EDGE_CURVE('',#164873,#164873,#67652,.T.); #204276=EDGE_CURVE('',#164874,#164874,#67653,.T.); #204277=EDGE_CURVE('',#164874,#164875,#90074,.T.); #204278=EDGE_CURVE('',#164875,#164875,#67654,.T.); #204279=EDGE_CURVE('',#164876,#164876,#67655,.T.); #204280=EDGE_CURVE('',#164876,#164877,#90075,.T.); #204281=EDGE_CURVE('',#164877,#164877,#67656,.T.); #204282=EDGE_CURVE('',#164878,#164879,#90076,.T.); #204283=EDGE_CURVE('',#164879,#164880,#90077,.T.); #204284=EDGE_CURVE('',#164881,#164880,#90078,.T.); #204285=EDGE_CURVE('',#164878,#164881,#90079,.T.); #204286=EDGE_CURVE('',#164882,#164878,#90080,.T.); #204287=EDGE_CURVE('',#164883,#164881,#90081,.T.); #204288=EDGE_CURVE('',#164882,#164883,#90082,.T.); #204289=EDGE_CURVE('',#164884,#164882,#90083,.T.); #204290=EDGE_CURVE('',#164885,#164883,#90084,.T.); #204291=EDGE_CURVE('',#164884,#164885,#90085,.T.); #204292=EDGE_CURVE('',#164879,#164884,#90086,.T.); #204293=EDGE_CURVE('',#164880,#164885,#90087,.T.); #204294=EDGE_CURVE('',#164886,#164887,#90088,.T.); #204295=EDGE_CURVE('',#164887,#164888,#90089,.T.); #204296=EDGE_CURVE('',#164889,#164888,#90090,.T.); #204297=EDGE_CURVE('',#164886,#164889,#90091,.T.); #204298=EDGE_CURVE('',#164890,#164886,#90092,.T.); #204299=EDGE_CURVE('',#164891,#164889,#90093,.T.); #204300=EDGE_CURVE('',#164890,#164891,#90094,.T.); #204301=EDGE_CURVE('',#164892,#164890,#90095,.T.); #204302=EDGE_CURVE('',#164893,#164891,#90096,.T.); #204303=EDGE_CURVE('',#164892,#164893,#90097,.T.); #204304=EDGE_CURVE('',#164887,#164892,#90098,.T.); #204305=EDGE_CURVE('',#164888,#164893,#90099,.T.); #204306=EDGE_CURVE('',#164894,#164895,#90100,.T.); #204307=EDGE_CURVE('',#164895,#164896,#90101,.T.); #204308=EDGE_CURVE('',#164897,#164896,#90102,.T.); #204309=EDGE_CURVE('',#164894,#164897,#90103,.T.); #204310=EDGE_CURVE('',#164898,#164894,#90104,.T.); #204311=EDGE_CURVE('',#164899,#164897,#90105,.T.); #204312=EDGE_CURVE('',#164898,#164899,#90106,.T.); #204313=EDGE_CURVE('',#164900,#164898,#90107,.T.); #204314=EDGE_CURVE('',#164901,#164899,#90108,.T.); #204315=EDGE_CURVE('',#164900,#164901,#90109,.T.); #204316=EDGE_CURVE('',#164895,#164900,#90110,.T.); #204317=EDGE_CURVE('',#164896,#164901,#90111,.T.); #204318=EDGE_CURVE('',#164902,#164903,#90112,.T.); #204319=EDGE_CURVE('',#164903,#164904,#90113,.T.); #204320=EDGE_CURVE('',#164905,#164904,#90114,.T.); #204321=EDGE_CURVE('',#164902,#164905,#90115,.T.); #204322=EDGE_CURVE('',#164906,#164902,#90116,.T.); #204323=EDGE_CURVE('',#164907,#164905,#90117,.T.); #204324=EDGE_CURVE('',#164906,#164907,#90118,.T.); #204325=EDGE_CURVE('',#164908,#164906,#90119,.T.); #204326=EDGE_CURVE('',#164909,#164907,#90120,.T.); #204327=EDGE_CURVE('',#164908,#164909,#90121,.T.); #204328=EDGE_CURVE('',#164903,#164908,#90122,.T.); #204329=EDGE_CURVE('',#164904,#164909,#90123,.T.); #204330=EDGE_CURVE('',#164910,#164911,#90124,.T.); #204331=EDGE_CURVE('',#164911,#164912,#90125,.T.); #204332=EDGE_CURVE('',#164913,#164912,#90126,.T.); #204333=EDGE_CURVE('',#164910,#164913,#90127,.T.); #204334=EDGE_CURVE('',#164914,#164910,#90128,.T.); #204335=EDGE_CURVE('',#164915,#164913,#90129,.T.); #204336=EDGE_CURVE('',#164914,#164915,#90130,.T.); #204337=EDGE_CURVE('',#164916,#164914,#90131,.T.); #204338=EDGE_CURVE('',#164917,#164915,#90132,.T.); #204339=EDGE_CURVE('',#164916,#164917,#90133,.T.); #204340=EDGE_CURVE('',#164911,#164916,#90134,.T.); #204341=EDGE_CURVE('',#164912,#164917,#90135,.T.); #204342=EDGE_CURVE('',#164918,#164919,#90136,.T.); #204343=EDGE_CURVE('',#164919,#164920,#90137,.T.); #204344=EDGE_CURVE('',#164921,#164920,#90138,.T.); #204345=EDGE_CURVE('',#164918,#164921,#90139,.T.); #204346=EDGE_CURVE('',#164922,#164918,#90140,.T.); #204347=EDGE_CURVE('',#164923,#164921,#90141,.T.); #204348=EDGE_CURVE('',#164922,#164923,#90142,.T.); #204349=EDGE_CURVE('',#164924,#164922,#90143,.T.); #204350=EDGE_CURVE('',#164925,#164923,#90144,.T.); #204351=EDGE_CURVE('',#164924,#164925,#90145,.T.); #204352=EDGE_CURVE('',#164919,#164924,#90146,.T.); #204353=EDGE_CURVE('',#164920,#164925,#90147,.T.); #204354=EDGE_CURVE('',#164926,#164927,#90148,.T.); #204355=EDGE_CURVE('',#164927,#164928,#90149,.T.); #204356=EDGE_CURVE('',#164929,#164928,#90150,.T.); #204357=EDGE_CURVE('',#164926,#164929,#90151,.T.); #204358=EDGE_CURVE('',#164930,#164926,#90152,.T.); #204359=EDGE_CURVE('',#164931,#164929,#90153,.T.); #204360=EDGE_CURVE('',#164930,#164931,#90154,.T.); #204361=EDGE_CURVE('',#164932,#164930,#90155,.T.); #204362=EDGE_CURVE('',#164933,#164931,#90156,.T.); #204363=EDGE_CURVE('',#164932,#164933,#90157,.T.); #204364=EDGE_CURVE('',#164927,#164932,#90158,.T.); #204365=EDGE_CURVE('',#164928,#164933,#90159,.T.); #204366=EDGE_CURVE('',#164934,#164935,#90160,.T.); #204367=EDGE_CURVE('',#164935,#164936,#90161,.T.); #204368=EDGE_CURVE('',#164937,#164936,#90162,.T.); #204369=EDGE_CURVE('',#164934,#164937,#90163,.T.); #204370=EDGE_CURVE('',#164938,#164934,#90164,.T.); #204371=EDGE_CURVE('',#164939,#164937,#90165,.T.); #204372=EDGE_CURVE('',#164938,#164939,#90166,.T.); #204373=EDGE_CURVE('',#164940,#164938,#90167,.T.); #204374=EDGE_CURVE('',#164941,#164939,#90168,.T.); #204375=EDGE_CURVE('',#164940,#164941,#90169,.T.); #204376=EDGE_CURVE('',#164935,#164940,#90170,.T.); #204377=EDGE_CURVE('',#164936,#164941,#90171,.T.); #204378=EDGE_CURVE('',#164942,#164943,#90172,.T.); #204379=EDGE_CURVE('',#164943,#164944,#90173,.T.); #204380=EDGE_CURVE('',#164945,#164944,#90174,.T.); #204381=EDGE_CURVE('',#164942,#164945,#90175,.T.); #204382=EDGE_CURVE('',#164946,#164942,#90176,.T.); #204383=EDGE_CURVE('',#164947,#164945,#90177,.T.); #204384=EDGE_CURVE('',#164946,#164947,#90178,.T.); #204385=EDGE_CURVE('',#164948,#164946,#90179,.T.); #204386=EDGE_CURVE('',#164949,#164947,#90180,.T.); #204387=EDGE_CURVE('',#164948,#164949,#90181,.T.); #204388=EDGE_CURVE('',#164943,#164948,#90182,.T.); #204389=EDGE_CURVE('',#164944,#164949,#90183,.T.); #204390=EDGE_CURVE('',#164950,#164951,#90184,.T.); #204391=EDGE_CURVE('',#164951,#164952,#90185,.T.); #204392=EDGE_CURVE('',#164953,#164952,#90186,.T.); #204393=EDGE_CURVE('',#164950,#164953,#90187,.T.); #204394=EDGE_CURVE('',#164954,#164950,#90188,.T.); #204395=EDGE_CURVE('',#164955,#164953,#90189,.T.); #204396=EDGE_CURVE('',#164954,#164955,#90190,.T.); #204397=EDGE_CURVE('',#164956,#164954,#90191,.T.); #204398=EDGE_CURVE('',#164957,#164955,#90192,.T.); #204399=EDGE_CURVE('',#164956,#164957,#90193,.T.); #204400=EDGE_CURVE('',#164951,#164956,#90194,.T.); #204401=EDGE_CURVE('',#164952,#164957,#90195,.T.); #204402=EDGE_CURVE('',#164958,#164959,#90196,.T.); #204403=EDGE_CURVE('',#164959,#164960,#90197,.T.); #204404=EDGE_CURVE('',#164961,#164960,#90198,.T.); #204405=EDGE_CURVE('',#164958,#164961,#90199,.T.); #204406=EDGE_CURVE('',#164962,#164958,#90200,.T.); #204407=EDGE_CURVE('',#164963,#164961,#90201,.T.); #204408=EDGE_CURVE('',#164962,#164963,#90202,.T.); #204409=EDGE_CURVE('',#164964,#164962,#90203,.T.); #204410=EDGE_CURVE('',#164965,#164963,#90204,.T.); #204411=EDGE_CURVE('',#164964,#164965,#90205,.T.); #204412=EDGE_CURVE('',#164959,#164964,#90206,.T.); #204413=EDGE_CURVE('',#164960,#164965,#90207,.T.); #204414=EDGE_CURVE('',#164966,#164967,#90208,.T.); #204415=EDGE_CURVE('',#164967,#164968,#90209,.T.); #204416=EDGE_CURVE('',#164969,#164968,#90210,.T.); #204417=EDGE_CURVE('',#164966,#164969,#90211,.T.); #204418=EDGE_CURVE('',#164970,#164966,#90212,.T.); #204419=EDGE_CURVE('',#164971,#164969,#90213,.T.); #204420=EDGE_CURVE('',#164970,#164971,#90214,.T.); #204421=EDGE_CURVE('',#164972,#164970,#90215,.T.); #204422=EDGE_CURVE('',#164973,#164971,#90216,.T.); #204423=EDGE_CURVE('',#164972,#164973,#90217,.T.); #204424=EDGE_CURVE('',#164967,#164972,#90218,.T.); #204425=EDGE_CURVE('',#164968,#164973,#90219,.T.); #204426=EDGE_CURVE('',#164974,#164975,#90220,.T.); #204427=EDGE_CURVE('',#164975,#164976,#90221,.T.); #204428=EDGE_CURVE('',#164977,#164976,#90222,.T.); #204429=EDGE_CURVE('',#164974,#164977,#90223,.T.); #204430=EDGE_CURVE('',#164978,#164974,#90224,.T.); #204431=EDGE_CURVE('',#164979,#164977,#90225,.T.); #204432=EDGE_CURVE('',#164978,#164979,#90226,.T.); #204433=EDGE_CURVE('',#164980,#164978,#90227,.T.); #204434=EDGE_CURVE('',#164981,#164979,#90228,.T.); #204435=EDGE_CURVE('',#164980,#164981,#90229,.T.); #204436=EDGE_CURVE('',#164975,#164980,#90230,.T.); #204437=EDGE_CURVE('',#164976,#164981,#90231,.T.); #204438=EDGE_CURVE('',#164982,#164983,#90232,.T.); #204439=EDGE_CURVE('',#164983,#164984,#90233,.T.); #204440=EDGE_CURVE('',#164985,#164984,#90234,.T.); #204441=EDGE_CURVE('',#164982,#164985,#90235,.T.); #204442=EDGE_CURVE('',#164986,#164982,#90236,.T.); #204443=EDGE_CURVE('',#164987,#164985,#90237,.T.); #204444=EDGE_CURVE('',#164986,#164987,#90238,.T.); #204445=EDGE_CURVE('',#164988,#164986,#90239,.T.); #204446=EDGE_CURVE('',#164989,#164987,#90240,.T.); #204447=EDGE_CURVE('',#164988,#164989,#90241,.T.); #204448=EDGE_CURVE('',#164983,#164988,#90242,.T.); #204449=EDGE_CURVE('',#164984,#164989,#90243,.T.); #204450=EDGE_CURVE('',#164990,#164991,#90244,.T.); #204451=EDGE_CURVE('',#164991,#164992,#90245,.T.); #204452=EDGE_CURVE('',#164993,#164992,#90246,.T.); #204453=EDGE_CURVE('',#164990,#164993,#90247,.T.); #204454=EDGE_CURVE('',#164994,#164990,#90248,.T.); #204455=EDGE_CURVE('',#164995,#164993,#90249,.T.); #204456=EDGE_CURVE('',#164994,#164995,#90250,.T.); #204457=EDGE_CURVE('',#164996,#164994,#90251,.T.); #204458=EDGE_CURVE('',#164997,#164995,#90252,.T.); #204459=EDGE_CURVE('',#164996,#164997,#90253,.T.); #204460=EDGE_CURVE('',#164991,#164996,#90254,.T.); #204461=EDGE_CURVE('',#164992,#164997,#90255,.T.); #204462=EDGE_CURVE('',#164998,#164999,#90256,.T.); #204463=EDGE_CURVE('',#164999,#165000,#90257,.T.); #204464=EDGE_CURVE('',#165001,#165000,#90258,.T.); #204465=EDGE_CURVE('',#164998,#165001,#90259,.T.); #204466=EDGE_CURVE('',#165002,#164998,#90260,.T.); #204467=EDGE_CURVE('',#165003,#165001,#90261,.T.); #204468=EDGE_CURVE('',#165002,#165003,#90262,.T.); #204469=EDGE_CURVE('',#165004,#165002,#90263,.T.); #204470=EDGE_CURVE('',#165005,#165003,#90264,.T.); #204471=EDGE_CURVE('',#165004,#165005,#90265,.T.); #204472=EDGE_CURVE('',#164999,#165004,#90266,.T.); #204473=EDGE_CURVE('',#165000,#165005,#90267,.T.); #204474=EDGE_CURVE('',#165006,#165007,#90268,.T.); #204475=EDGE_CURVE('',#165007,#165008,#90269,.T.); #204476=EDGE_CURVE('',#165009,#165008,#90270,.T.); #204477=EDGE_CURVE('',#165006,#165009,#90271,.T.); #204478=EDGE_CURVE('',#165010,#165006,#90272,.T.); #204479=EDGE_CURVE('',#165011,#165009,#90273,.T.); #204480=EDGE_CURVE('',#165010,#165011,#90274,.T.); #204481=EDGE_CURVE('',#165012,#165010,#90275,.T.); #204482=EDGE_CURVE('',#165013,#165011,#90276,.T.); #204483=EDGE_CURVE('',#165012,#165013,#90277,.T.); #204484=EDGE_CURVE('',#165007,#165012,#90278,.T.); #204485=EDGE_CURVE('',#165008,#165013,#90279,.T.); #204486=EDGE_CURVE('',#165014,#165015,#90280,.T.); #204487=EDGE_CURVE('',#165015,#165016,#90281,.T.); #204488=EDGE_CURVE('',#165017,#165016,#90282,.T.); #204489=EDGE_CURVE('',#165014,#165017,#90283,.T.); #204490=EDGE_CURVE('',#165018,#165014,#90284,.T.); #204491=EDGE_CURVE('',#165019,#165017,#90285,.T.); #204492=EDGE_CURVE('',#165018,#165019,#90286,.T.); #204493=EDGE_CURVE('',#165020,#165018,#90287,.T.); #204494=EDGE_CURVE('',#165021,#165019,#90288,.T.); #204495=EDGE_CURVE('',#165020,#165021,#90289,.T.); #204496=EDGE_CURVE('',#165015,#165020,#90290,.T.); #204497=EDGE_CURVE('',#165016,#165021,#90291,.T.); #204498=EDGE_CURVE('',#165022,#165023,#90292,.T.); #204499=EDGE_CURVE('',#165023,#165024,#90293,.T.); #204500=EDGE_CURVE('',#165025,#165024,#90294,.T.); #204501=EDGE_CURVE('',#165022,#165025,#90295,.T.); #204502=EDGE_CURVE('',#165026,#165022,#90296,.T.); #204503=EDGE_CURVE('',#165027,#165025,#90297,.T.); #204504=EDGE_CURVE('',#165026,#165027,#90298,.T.); #204505=EDGE_CURVE('',#165028,#165026,#90299,.T.); #204506=EDGE_CURVE('',#165029,#165027,#90300,.T.); #204507=EDGE_CURVE('',#165028,#165029,#90301,.T.); #204508=EDGE_CURVE('',#165023,#165028,#90302,.T.); #204509=EDGE_CURVE('',#165024,#165029,#90303,.T.); #204510=EDGE_CURVE('',#165030,#165031,#90304,.T.); #204511=EDGE_CURVE('',#165031,#165032,#90305,.T.); #204512=EDGE_CURVE('',#165033,#165032,#90306,.T.); #204513=EDGE_CURVE('',#165030,#165033,#90307,.T.); #204514=EDGE_CURVE('',#165034,#165030,#90308,.T.); #204515=EDGE_CURVE('',#165035,#165033,#90309,.T.); #204516=EDGE_CURVE('',#165034,#165035,#90310,.T.); #204517=EDGE_CURVE('',#165036,#165034,#90311,.T.); #204518=EDGE_CURVE('',#165037,#165035,#90312,.T.); #204519=EDGE_CURVE('',#165036,#165037,#90313,.T.); #204520=EDGE_CURVE('',#165031,#165036,#90314,.T.); #204521=EDGE_CURVE('',#165032,#165037,#90315,.T.); #204522=EDGE_CURVE('',#165038,#165039,#90316,.T.); #204523=EDGE_CURVE('',#165039,#165040,#90317,.T.); #204524=EDGE_CURVE('',#165041,#165040,#90318,.T.); #204525=EDGE_CURVE('',#165038,#165041,#90319,.T.); #204526=EDGE_CURVE('',#165042,#165038,#90320,.T.); #204527=EDGE_CURVE('',#165043,#165041,#90321,.T.); #204528=EDGE_CURVE('',#165042,#165043,#90322,.T.); #204529=EDGE_CURVE('',#165044,#165042,#90323,.T.); #204530=EDGE_CURVE('',#165045,#165043,#90324,.T.); #204531=EDGE_CURVE('',#165044,#165045,#90325,.T.); #204532=EDGE_CURVE('',#165039,#165044,#90326,.T.); #204533=EDGE_CURVE('',#165040,#165045,#90327,.T.); #204534=EDGE_CURVE('',#165046,#165047,#90328,.T.); #204535=EDGE_CURVE('',#165047,#165048,#90329,.T.); #204536=EDGE_CURVE('',#165049,#165048,#90330,.T.); #204537=EDGE_CURVE('',#165046,#165049,#90331,.T.); #204538=EDGE_CURVE('',#165050,#165046,#90332,.T.); #204539=EDGE_CURVE('',#165051,#165049,#90333,.T.); #204540=EDGE_CURVE('',#165050,#165051,#90334,.T.); #204541=EDGE_CURVE('',#165052,#165050,#90335,.T.); #204542=EDGE_CURVE('',#165053,#165051,#90336,.T.); #204543=EDGE_CURVE('',#165052,#165053,#90337,.T.); #204544=EDGE_CURVE('',#165047,#165052,#90338,.T.); #204545=EDGE_CURVE('',#165048,#165053,#90339,.T.); #204546=EDGE_CURVE('',#165054,#165055,#90340,.T.); #204547=EDGE_CURVE('',#165055,#165056,#90341,.T.); #204548=EDGE_CURVE('',#165057,#165056,#90342,.T.); #204549=EDGE_CURVE('',#165054,#165057,#90343,.T.); #204550=EDGE_CURVE('',#165058,#165054,#90344,.T.); #204551=EDGE_CURVE('',#165059,#165057,#90345,.T.); #204552=EDGE_CURVE('',#165058,#165059,#90346,.T.); #204553=EDGE_CURVE('',#165060,#165058,#90347,.T.); #204554=EDGE_CURVE('',#165061,#165059,#90348,.T.); #204555=EDGE_CURVE('',#165060,#165061,#90349,.T.); #204556=EDGE_CURVE('',#165055,#165060,#90350,.T.); #204557=EDGE_CURVE('',#165056,#165061,#90351,.T.); #204558=EDGE_CURVE('',#165062,#165063,#90352,.T.); #204559=EDGE_CURVE('',#165063,#165064,#90353,.T.); #204560=EDGE_CURVE('',#165065,#165064,#90354,.T.); #204561=EDGE_CURVE('',#165062,#165065,#90355,.T.); #204562=EDGE_CURVE('',#165066,#165062,#90356,.T.); #204563=EDGE_CURVE('',#165067,#165065,#90357,.T.); #204564=EDGE_CURVE('',#165066,#165067,#90358,.T.); #204565=EDGE_CURVE('',#165068,#165066,#90359,.T.); #204566=EDGE_CURVE('',#165069,#165067,#90360,.T.); #204567=EDGE_CURVE('',#165068,#165069,#90361,.T.); #204568=EDGE_CURVE('',#165063,#165068,#90362,.T.); #204569=EDGE_CURVE('',#165064,#165069,#90363,.T.); #204570=EDGE_CURVE('',#165070,#165071,#90364,.T.); #204571=EDGE_CURVE('',#165071,#165072,#90365,.T.); #204572=EDGE_CURVE('',#165073,#165072,#90366,.T.); #204573=EDGE_CURVE('',#165070,#165073,#90367,.T.); #204574=EDGE_CURVE('',#165074,#165070,#90368,.T.); #204575=EDGE_CURVE('',#165075,#165073,#90369,.T.); #204576=EDGE_CURVE('',#165074,#165075,#90370,.T.); #204577=EDGE_CURVE('',#165076,#165074,#90371,.T.); #204578=EDGE_CURVE('',#165077,#165075,#90372,.T.); #204579=EDGE_CURVE('',#165076,#165077,#90373,.T.); #204580=EDGE_CURVE('',#165071,#165076,#90374,.T.); #204581=EDGE_CURVE('',#165072,#165077,#90375,.T.); #204582=EDGE_CURVE('',#165078,#165079,#90376,.T.); #204583=EDGE_CURVE('',#165079,#165080,#90377,.T.); #204584=EDGE_CURVE('',#165081,#165080,#90378,.T.); #204585=EDGE_CURVE('',#165078,#165081,#90379,.T.); #204586=EDGE_CURVE('',#165082,#165078,#90380,.T.); #204587=EDGE_CURVE('',#165083,#165081,#90381,.T.); #204588=EDGE_CURVE('',#165082,#165083,#90382,.T.); #204589=EDGE_CURVE('',#165084,#165082,#90383,.T.); #204590=EDGE_CURVE('',#165085,#165083,#90384,.T.); #204591=EDGE_CURVE('',#165084,#165085,#90385,.T.); #204592=EDGE_CURVE('',#165079,#165084,#90386,.T.); #204593=EDGE_CURVE('',#165080,#165085,#90387,.T.); #204594=EDGE_CURVE('',#165086,#165087,#90388,.T.); #204595=EDGE_CURVE('',#165087,#165088,#90389,.T.); #204596=EDGE_CURVE('',#165089,#165088,#90390,.T.); #204597=EDGE_CURVE('',#165086,#165089,#90391,.T.); #204598=EDGE_CURVE('',#165090,#165086,#90392,.T.); #204599=EDGE_CURVE('',#165091,#165089,#90393,.T.); #204600=EDGE_CURVE('',#165090,#165091,#90394,.T.); #204601=EDGE_CURVE('',#165092,#165090,#90395,.T.); #204602=EDGE_CURVE('',#165093,#165091,#90396,.T.); #204603=EDGE_CURVE('',#165092,#165093,#90397,.T.); #204604=EDGE_CURVE('',#165087,#165092,#90398,.T.); #204605=EDGE_CURVE('',#165088,#165093,#90399,.T.); #204606=EDGE_CURVE('',#165094,#165094,#67657,.T.); #204607=EDGE_CURVE('',#165094,#165095,#90400,.T.); #204608=EDGE_CURVE('',#165095,#165095,#67658,.T.); #204609=EDGE_CURVE('',#165096,#165096,#67659,.T.); #204610=EDGE_CURVE('',#165096,#165097,#90401,.T.); #204611=EDGE_CURVE('',#165097,#165097,#67660,.T.); #204612=EDGE_CURVE('',#165098,#165098,#67661,.T.); #204613=EDGE_CURVE('',#165098,#165099,#90402,.T.); #204614=EDGE_CURVE('',#165099,#165099,#67662,.T.); #204615=EDGE_CURVE('',#165100,#165100,#67663,.T.); #204616=EDGE_CURVE('',#165100,#165101,#90403,.T.); #204617=EDGE_CURVE('',#165101,#165101,#67664,.T.); #204618=EDGE_CURVE('',#165102,#165102,#67665,.T.); #204619=EDGE_CURVE('',#165102,#165103,#90404,.T.); #204620=EDGE_CURVE('',#165103,#165103,#67666,.T.); #204621=EDGE_CURVE('',#165104,#165104,#67667,.T.); #204622=EDGE_CURVE('',#165104,#165105,#90405,.T.); #204623=EDGE_CURVE('',#165105,#165105,#67668,.T.); #204624=EDGE_CURVE('',#165106,#165107,#90406,.T.); #204625=EDGE_CURVE('',#165107,#165108,#90407,.T.); #204626=EDGE_CURVE('',#165109,#165108,#90408,.T.); #204627=EDGE_CURVE('',#165106,#165109,#90409,.T.); #204628=EDGE_CURVE('',#165110,#165106,#90410,.T.); #204629=EDGE_CURVE('',#165111,#165109,#90411,.T.); #204630=EDGE_CURVE('',#165110,#165111,#90412,.T.); #204631=EDGE_CURVE('',#165112,#165110,#90413,.T.); #204632=EDGE_CURVE('',#165113,#165111,#90414,.T.); #204633=EDGE_CURVE('',#165112,#165113,#90415,.T.); #204634=EDGE_CURVE('',#165107,#165112,#90416,.T.); #204635=EDGE_CURVE('',#165108,#165113,#90417,.T.); #204636=EDGE_CURVE('',#165114,#165115,#90418,.T.); #204637=EDGE_CURVE('',#165115,#165116,#90419,.T.); #204638=EDGE_CURVE('',#165117,#165116,#90420,.T.); #204639=EDGE_CURVE('',#165114,#165117,#90421,.T.); #204640=EDGE_CURVE('',#165118,#165114,#90422,.T.); #204641=EDGE_CURVE('',#165119,#165117,#90423,.T.); #204642=EDGE_CURVE('',#165118,#165119,#90424,.T.); #204643=EDGE_CURVE('',#165120,#165118,#90425,.T.); #204644=EDGE_CURVE('',#165121,#165119,#90426,.T.); #204645=EDGE_CURVE('',#165120,#165121,#90427,.T.); #204646=EDGE_CURVE('',#165115,#165120,#90428,.T.); #204647=EDGE_CURVE('',#165116,#165121,#90429,.T.); #204648=EDGE_CURVE('',#165122,#165123,#90430,.T.); #204649=EDGE_CURVE('',#165123,#165124,#90431,.T.); #204650=EDGE_CURVE('',#165125,#165124,#90432,.T.); #204651=EDGE_CURVE('',#165122,#165125,#90433,.T.); #204652=EDGE_CURVE('',#165126,#165122,#90434,.T.); #204653=EDGE_CURVE('',#165127,#165125,#90435,.T.); #204654=EDGE_CURVE('',#165126,#165127,#90436,.T.); #204655=EDGE_CURVE('',#165128,#165126,#90437,.T.); #204656=EDGE_CURVE('',#165129,#165127,#90438,.T.); #204657=EDGE_CURVE('',#165128,#165129,#90439,.T.); #204658=EDGE_CURVE('',#165123,#165128,#90440,.T.); #204659=EDGE_CURVE('',#165124,#165129,#90441,.T.); #204660=EDGE_CURVE('',#165130,#165131,#90442,.T.); #204661=EDGE_CURVE('',#165131,#165132,#90443,.T.); #204662=EDGE_CURVE('',#165133,#165132,#90444,.T.); #204663=EDGE_CURVE('',#165130,#165133,#90445,.T.); #204664=EDGE_CURVE('',#165134,#165130,#90446,.T.); #204665=EDGE_CURVE('',#165135,#165133,#90447,.T.); #204666=EDGE_CURVE('',#165134,#165135,#90448,.T.); #204667=EDGE_CURVE('',#165136,#165134,#90449,.T.); #204668=EDGE_CURVE('',#165137,#165135,#90450,.T.); #204669=EDGE_CURVE('',#165136,#165137,#90451,.T.); #204670=EDGE_CURVE('',#165131,#165136,#90452,.T.); #204671=EDGE_CURVE('',#165132,#165137,#90453,.T.); #204672=EDGE_CURVE('',#165138,#165139,#90454,.T.); #204673=EDGE_CURVE('',#165139,#165140,#90455,.T.); #204674=EDGE_CURVE('',#165141,#165140,#90456,.T.); #204675=EDGE_CURVE('',#165138,#165141,#90457,.T.); #204676=EDGE_CURVE('',#165142,#165138,#90458,.T.); #204677=EDGE_CURVE('',#165143,#165141,#90459,.T.); #204678=EDGE_CURVE('',#165142,#165143,#90460,.T.); #204679=EDGE_CURVE('',#165144,#165142,#90461,.T.); #204680=EDGE_CURVE('',#165145,#165143,#90462,.T.); #204681=EDGE_CURVE('',#165144,#165145,#90463,.T.); #204682=EDGE_CURVE('',#165139,#165144,#90464,.T.); #204683=EDGE_CURVE('',#165140,#165145,#90465,.T.); #204684=EDGE_CURVE('',#165146,#165147,#90466,.T.); #204685=EDGE_CURVE('',#165147,#165148,#90467,.T.); #204686=EDGE_CURVE('',#165149,#165148,#90468,.T.); #204687=EDGE_CURVE('',#165146,#165149,#90469,.T.); #204688=EDGE_CURVE('',#165150,#165146,#90470,.T.); #204689=EDGE_CURVE('',#165151,#165149,#90471,.T.); #204690=EDGE_CURVE('',#165150,#165151,#90472,.T.); #204691=EDGE_CURVE('',#165152,#165150,#90473,.T.); #204692=EDGE_CURVE('',#165153,#165151,#90474,.T.); #204693=EDGE_CURVE('',#165152,#165153,#90475,.T.); #204694=EDGE_CURVE('',#165147,#165152,#90476,.T.); #204695=EDGE_CURVE('',#165148,#165153,#90477,.T.); #204696=EDGE_CURVE('',#165154,#165155,#90478,.T.); #204697=EDGE_CURVE('',#165155,#165156,#90479,.T.); #204698=EDGE_CURVE('',#165157,#165156,#90480,.T.); #204699=EDGE_CURVE('',#165154,#165157,#90481,.T.); #204700=EDGE_CURVE('',#165158,#165154,#90482,.T.); #204701=EDGE_CURVE('',#165159,#165157,#90483,.T.); #204702=EDGE_CURVE('',#165158,#165159,#90484,.T.); #204703=EDGE_CURVE('',#165160,#165158,#90485,.T.); #204704=EDGE_CURVE('',#165161,#165159,#90486,.T.); #204705=EDGE_CURVE('',#165160,#165161,#90487,.T.); #204706=EDGE_CURVE('',#165155,#165160,#90488,.T.); #204707=EDGE_CURVE('',#165156,#165161,#90489,.T.); #204708=EDGE_CURVE('',#165162,#165162,#67669,.T.); #204709=EDGE_CURVE('',#165162,#165163,#90490,.T.); #204710=EDGE_CURVE('',#165163,#165163,#67670,.T.); #204711=EDGE_CURVE('',#165164,#165164,#67671,.T.); #204712=EDGE_CURVE('',#165164,#165165,#90491,.T.); #204713=EDGE_CURVE('',#165165,#165165,#67672,.T.); #204714=EDGE_CURVE('',#165166,#165166,#67673,.T.); #204715=EDGE_CURVE('',#165166,#165167,#90492,.T.); #204716=EDGE_CURVE('',#165167,#165167,#67674,.T.); #204717=EDGE_CURVE('',#165168,#165168,#67675,.T.); #204718=EDGE_CURVE('',#165168,#165169,#90493,.T.); #204719=EDGE_CURVE('',#165169,#165169,#67676,.T.); #204720=EDGE_CURVE('',#165170,#165170,#67677,.T.); #204721=EDGE_CURVE('',#165170,#165171,#90494,.T.); #204722=EDGE_CURVE('',#165171,#165171,#67678,.T.); #204723=EDGE_CURVE('',#165172,#165172,#67679,.T.); #204724=EDGE_CURVE('',#165172,#165173,#90495,.T.); #204725=EDGE_CURVE('',#165173,#165173,#67680,.T.); #204726=EDGE_CURVE('',#165174,#165175,#90496,.T.); #204727=EDGE_CURVE('',#165175,#165176,#90497,.T.); #204728=EDGE_CURVE('',#165177,#165176,#90498,.T.); #204729=EDGE_CURVE('',#165174,#165177,#90499,.T.); #204730=EDGE_CURVE('',#165178,#165174,#90500,.T.); #204731=EDGE_CURVE('',#165179,#165177,#90501,.T.); #204732=EDGE_CURVE('',#165178,#165179,#90502,.T.); #204733=EDGE_CURVE('',#165180,#165178,#90503,.T.); #204734=EDGE_CURVE('',#165181,#165179,#90504,.T.); #204735=EDGE_CURVE('',#165180,#165181,#90505,.T.); #204736=EDGE_CURVE('',#165175,#165180,#90506,.T.); #204737=EDGE_CURVE('',#165176,#165181,#90507,.T.); #204738=EDGE_CURVE('',#165182,#165183,#90508,.T.); #204739=EDGE_CURVE('',#165183,#165184,#90509,.T.); #204740=EDGE_CURVE('',#165185,#165184,#90510,.T.); #204741=EDGE_CURVE('',#165182,#165185,#90511,.T.); #204742=EDGE_CURVE('',#165186,#165182,#90512,.T.); #204743=EDGE_CURVE('',#165187,#165185,#90513,.T.); #204744=EDGE_CURVE('',#165186,#165187,#90514,.T.); #204745=EDGE_CURVE('',#165188,#165186,#90515,.T.); #204746=EDGE_CURVE('',#165189,#165187,#90516,.T.); #204747=EDGE_CURVE('',#165188,#165189,#90517,.T.); #204748=EDGE_CURVE('',#165183,#165188,#90518,.T.); #204749=EDGE_CURVE('',#165184,#165189,#90519,.T.); #204750=EDGE_CURVE('',#165190,#165191,#90520,.T.); #204751=EDGE_CURVE('',#165191,#165192,#90521,.T.); #204752=EDGE_CURVE('',#165193,#165192,#90522,.T.); #204753=EDGE_CURVE('',#165190,#165193,#90523,.T.); #204754=EDGE_CURVE('',#165194,#165190,#90524,.T.); #204755=EDGE_CURVE('',#165195,#165193,#90525,.T.); #204756=EDGE_CURVE('',#165194,#165195,#90526,.T.); #204757=EDGE_CURVE('',#165196,#165194,#90527,.T.); #204758=EDGE_CURVE('',#165197,#165195,#90528,.T.); #204759=EDGE_CURVE('',#165196,#165197,#90529,.T.); #204760=EDGE_CURVE('',#165191,#165196,#90530,.T.); #204761=EDGE_CURVE('',#165192,#165197,#90531,.T.); #204762=EDGE_CURVE('',#165198,#165198,#67681,.T.); #204763=EDGE_CURVE('',#165198,#165199,#90532,.T.); #204764=EDGE_CURVE('',#165199,#165199,#67682,.T.); #204765=EDGE_CURVE('',#165200,#165200,#67683,.T.); #204766=EDGE_CURVE('',#165200,#165201,#90533,.T.); #204767=EDGE_CURVE('',#165201,#165201,#67684,.T.); #204768=EDGE_CURVE('',#165202,#165202,#67685,.T.); #204769=EDGE_CURVE('',#165202,#165203,#90534,.T.); #204770=EDGE_CURVE('',#165203,#165203,#67686,.T.); #204771=EDGE_CURVE('',#165204,#165204,#67687,.T.); #204772=EDGE_CURVE('',#165204,#165205,#90535,.T.); #204773=EDGE_CURVE('',#165205,#165205,#67688,.T.); #204774=EDGE_CURVE('',#165206,#165206,#67689,.T.); #204775=EDGE_CURVE('',#165206,#165207,#90536,.T.); #204776=EDGE_CURVE('',#165207,#165207,#67690,.T.); #204777=EDGE_CURVE('',#165208,#165208,#67691,.T.); #204778=EDGE_CURVE('',#165208,#165209,#90537,.T.); #204779=EDGE_CURVE('',#165209,#165209,#67692,.T.); #204780=EDGE_CURVE('',#165210,#165210,#67693,.T.); #204781=EDGE_CURVE('',#165210,#165211,#90538,.T.); #204782=EDGE_CURVE('',#165211,#165211,#67694,.T.); #204783=EDGE_CURVE('',#165212,#165212,#67695,.T.); #204784=EDGE_CURVE('',#165212,#165213,#90539,.T.); #204785=EDGE_CURVE('',#165213,#165213,#67696,.T.); #204786=EDGE_CURVE('',#165214,#165214,#67697,.T.); #204787=EDGE_CURVE('',#165214,#165215,#90540,.T.); #204788=EDGE_CURVE('',#165215,#165215,#67698,.T.); #204789=EDGE_CURVE('',#165216,#165216,#67699,.T.); #204790=EDGE_CURVE('',#165216,#165217,#90541,.T.); #204791=EDGE_CURVE('',#165217,#165217,#67700,.T.); #204792=EDGE_CURVE('',#165218,#165218,#67701,.T.); #204793=EDGE_CURVE('',#165218,#165219,#90542,.T.); #204794=EDGE_CURVE('',#165219,#165219,#67702,.T.); #204795=EDGE_CURVE('',#165220,#165220,#67703,.T.); #204796=EDGE_CURVE('',#165220,#165221,#90543,.T.); #204797=EDGE_CURVE('',#165221,#165221,#67704,.T.); #204798=EDGE_CURVE('',#165222,#165222,#67705,.T.); #204799=EDGE_CURVE('',#165222,#165223,#90544,.T.); #204800=EDGE_CURVE('',#165223,#165223,#67706,.T.); #204801=EDGE_CURVE('',#165224,#165224,#67707,.T.); #204802=EDGE_CURVE('',#165224,#165225,#90545,.T.); #204803=EDGE_CURVE('',#165225,#165225,#67708,.T.); #204804=EDGE_CURVE('',#165226,#165226,#67709,.T.); #204805=EDGE_CURVE('',#165226,#165227,#90546,.T.); #204806=EDGE_CURVE('',#165227,#165227,#67710,.T.); #204807=EDGE_CURVE('',#165228,#165228,#67711,.T.); #204808=EDGE_CURVE('',#165228,#165229,#90547,.T.); #204809=EDGE_CURVE('',#165229,#165229,#67712,.T.); #204810=EDGE_CURVE('',#165230,#165230,#67713,.T.); #204811=EDGE_CURVE('',#165230,#165231,#90548,.T.); #204812=EDGE_CURVE('',#165231,#165231,#67714,.T.); #204813=EDGE_CURVE('',#165232,#165232,#67715,.T.); #204814=EDGE_CURVE('',#165232,#165233,#90549,.T.); #204815=EDGE_CURVE('',#165233,#165233,#67716,.T.); #204816=EDGE_CURVE('',#165234,#165234,#67717,.T.); #204817=EDGE_CURVE('',#165234,#165235,#90550,.T.); #204818=EDGE_CURVE('',#165235,#165235,#67718,.T.); #204819=EDGE_CURVE('',#165236,#165236,#67719,.T.); #204820=EDGE_CURVE('',#165236,#165237,#90551,.T.); #204821=EDGE_CURVE('',#165237,#165237,#67720,.T.); #204822=EDGE_CURVE('',#165238,#165238,#67721,.T.); #204823=EDGE_CURVE('',#165238,#165239,#90552,.T.); #204824=EDGE_CURVE('',#165239,#165239,#67722,.T.); #204825=EDGE_CURVE('',#165240,#165240,#67723,.T.); #204826=EDGE_CURVE('',#165240,#165241,#90553,.T.); #204827=EDGE_CURVE('',#165241,#165241,#67724,.T.); #204828=EDGE_CURVE('',#165242,#165242,#67725,.T.); #204829=EDGE_CURVE('',#165242,#165243,#90554,.T.); #204830=EDGE_CURVE('',#165243,#165243,#67726,.T.); #204831=EDGE_CURVE('',#165244,#165244,#67727,.T.); #204832=EDGE_CURVE('',#165244,#165245,#90555,.T.); #204833=EDGE_CURVE('',#165245,#165245,#67728,.T.); #204834=EDGE_CURVE('',#165246,#165246,#67729,.T.); #204835=EDGE_CURVE('',#165246,#165247,#90556,.T.); #204836=EDGE_CURVE('',#165247,#165247,#67730,.T.); #204837=EDGE_CURVE('',#165248,#165248,#67731,.T.); #204838=EDGE_CURVE('',#165248,#165249,#90557,.T.); #204839=EDGE_CURVE('',#165249,#165249,#67732,.T.); #204840=EDGE_CURVE('',#165250,#165250,#67733,.T.); #204841=EDGE_CURVE('',#165250,#165251,#90558,.T.); #204842=EDGE_CURVE('',#165251,#165251,#67734,.T.); #204843=EDGE_CURVE('',#165252,#165252,#67735,.T.); #204844=EDGE_CURVE('',#165252,#165253,#90559,.T.); #204845=EDGE_CURVE('',#165253,#165253,#67736,.T.); #204846=EDGE_CURVE('',#165254,#165254,#67737,.T.); #204847=EDGE_CURVE('',#165254,#165255,#90560,.T.); #204848=EDGE_CURVE('',#165255,#165255,#67738,.T.); #204849=EDGE_CURVE('',#165256,#165256,#67739,.T.); #204850=EDGE_CURVE('',#165256,#165257,#90561,.T.); #204851=EDGE_CURVE('',#165257,#165257,#67740,.T.); #204852=EDGE_CURVE('',#165258,#165258,#67741,.T.); #204853=EDGE_CURVE('',#165258,#165259,#90562,.T.); #204854=EDGE_CURVE('',#165259,#165259,#67742,.T.); #204855=EDGE_CURVE('',#165260,#165260,#67743,.T.); #204856=EDGE_CURVE('',#165260,#165261,#90563,.T.); #204857=EDGE_CURVE('',#165261,#165261,#67744,.T.); #204858=EDGE_CURVE('',#165262,#165262,#67745,.T.); #204859=EDGE_CURVE('',#165262,#165263,#90564,.T.); #204860=EDGE_CURVE('',#165263,#165263,#67746,.T.); #204861=EDGE_CURVE('',#165264,#165264,#67747,.T.); #204862=EDGE_CURVE('',#165264,#165265,#90565,.T.); #204863=EDGE_CURVE('',#165265,#165265,#67748,.T.); #204864=EDGE_CURVE('',#165266,#165266,#67749,.T.); #204865=EDGE_CURVE('',#165266,#165267,#90566,.T.); #204866=EDGE_CURVE('',#165267,#165267,#67750,.T.); #204867=EDGE_CURVE('',#165268,#165268,#67751,.T.); #204868=EDGE_CURVE('',#165268,#165269,#90567,.T.); #204869=EDGE_CURVE('',#165269,#165269,#67752,.T.); #204870=EDGE_CURVE('',#165270,#165270,#67753,.T.); #204871=EDGE_CURVE('',#165270,#165271,#90568,.T.); #204872=EDGE_CURVE('',#165271,#165271,#67754,.T.); #204873=EDGE_CURVE('',#165272,#165272,#67755,.T.); #204874=EDGE_CURVE('',#165272,#165273,#90569,.T.); #204875=EDGE_CURVE('',#165273,#165273,#67756,.T.); #204876=EDGE_CURVE('',#165274,#165274,#67757,.T.); #204877=EDGE_CURVE('',#165274,#165275,#90570,.T.); #204878=EDGE_CURVE('',#165275,#165275,#67758,.T.); #204879=EDGE_CURVE('',#165276,#165276,#67759,.T.); #204880=EDGE_CURVE('',#165276,#165277,#90571,.T.); #204881=EDGE_CURVE('',#165277,#165277,#67760,.T.); #204882=EDGE_CURVE('',#165278,#165278,#67761,.T.); #204883=EDGE_CURVE('',#165278,#165279,#90572,.T.); #204884=EDGE_CURVE('',#165279,#165279,#67762,.T.); #204885=EDGE_CURVE('',#165280,#165280,#67763,.T.); #204886=EDGE_CURVE('',#165280,#165281,#90573,.T.); #204887=EDGE_CURVE('',#165281,#165281,#67764,.T.); #204888=EDGE_CURVE('',#165282,#165282,#67765,.T.); #204889=EDGE_CURVE('',#165282,#165283,#90574,.T.); #204890=EDGE_CURVE('',#165283,#165283,#67766,.T.); #204891=EDGE_CURVE('',#165284,#165284,#67767,.T.); #204892=EDGE_CURVE('',#165284,#165285,#90575,.T.); #204893=EDGE_CURVE('',#165285,#165285,#67768,.T.); #204894=EDGE_CURVE('',#165286,#165286,#67769,.T.); #204895=EDGE_CURVE('',#165286,#165287,#90576,.T.); #204896=EDGE_CURVE('',#165287,#165287,#67770,.T.); #204897=EDGE_CURVE('',#165288,#165288,#67771,.T.); #204898=EDGE_CURVE('',#165288,#165289,#90577,.T.); #204899=EDGE_CURVE('',#165289,#165289,#67772,.T.); #204900=EDGE_CURVE('',#165290,#165290,#67773,.T.); #204901=EDGE_CURVE('',#165290,#165291,#90578,.T.); #204902=EDGE_CURVE('',#165291,#165291,#67774,.T.); #204903=EDGE_CURVE('',#165292,#165292,#67775,.T.); #204904=EDGE_CURVE('',#165292,#165293,#90579,.T.); #204905=EDGE_CURVE('',#165293,#165293,#67776,.T.); #204906=EDGE_CURVE('',#165294,#165294,#67777,.T.); #204907=EDGE_CURVE('',#165294,#165295,#90580,.T.); #204908=EDGE_CURVE('',#165295,#165295,#67778,.T.); #204909=EDGE_CURVE('',#165296,#165297,#90581,.T.); #204910=EDGE_CURVE('',#165297,#165298,#90582,.T.); #204911=EDGE_CURVE('',#165299,#165298,#90583,.T.); #204912=EDGE_CURVE('',#165296,#165299,#90584,.T.); #204913=EDGE_CURVE('',#165300,#165296,#90585,.T.); #204914=EDGE_CURVE('',#165301,#165299,#90586,.T.); #204915=EDGE_CURVE('',#165300,#165301,#90587,.T.); #204916=EDGE_CURVE('',#165302,#165300,#90588,.T.); #204917=EDGE_CURVE('',#165303,#165301,#90589,.T.); #204918=EDGE_CURVE('',#165302,#165303,#90590,.T.); #204919=EDGE_CURVE('',#165297,#165302,#90591,.T.); #204920=EDGE_CURVE('',#165298,#165303,#90592,.T.); #204921=EDGE_CURVE('',#165304,#165305,#90593,.T.); #204922=EDGE_CURVE('',#165305,#165306,#90594,.T.); #204923=EDGE_CURVE('',#165307,#165306,#90595,.T.); #204924=EDGE_CURVE('',#165304,#165307,#90596,.T.); #204925=EDGE_CURVE('',#165308,#165304,#90597,.T.); #204926=EDGE_CURVE('',#165309,#165307,#90598,.T.); #204927=EDGE_CURVE('',#165308,#165309,#90599,.T.); #204928=EDGE_CURVE('',#165310,#165308,#90600,.T.); #204929=EDGE_CURVE('',#165311,#165309,#90601,.T.); #204930=EDGE_CURVE('',#165310,#165311,#90602,.T.); #204931=EDGE_CURVE('',#165305,#165310,#90603,.T.); #204932=EDGE_CURVE('',#165306,#165311,#90604,.T.); #204933=EDGE_CURVE('',#165312,#165313,#90605,.T.); #204934=EDGE_CURVE('',#165313,#165314,#90606,.T.); #204935=EDGE_CURVE('',#165315,#165314,#90607,.T.); #204936=EDGE_CURVE('',#165312,#165315,#90608,.T.); #204937=EDGE_CURVE('',#165316,#165312,#90609,.T.); #204938=EDGE_CURVE('',#165317,#165315,#90610,.T.); #204939=EDGE_CURVE('',#165316,#165317,#90611,.T.); #204940=EDGE_CURVE('',#165318,#165316,#90612,.T.); #204941=EDGE_CURVE('',#165319,#165317,#90613,.T.); #204942=EDGE_CURVE('',#165318,#165319,#90614,.T.); #204943=EDGE_CURVE('',#165313,#165318,#90615,.T.); #204944=EDGE_CURVE('',#165314,#165319,#90616,.T.); #204945=EDGE_CURVE('',#165320,#165321,#90617,.T.); #204946=EDGE_CURVE('',#165321,#165322,#90618,.T.); #204947=EDGE_CURVE('',#165323,#165322,#90619,.T.); #204948=EDGE_CURVE('',#165320,#165323,#90620,.T.); #204949=EDGE_CURVE('',#165324,#165320,#90621,.T.); #204950=EDGE_CURVE('',#165325,#165323,#90622,.T.); #204951=EDGE_CURVE('',#165324,#165325,#90623,.T.); #204952=EDGE_CURVE('',#165326,#165324,#90624,.T.); #204953=EDGE_CURVE('',#165327,#165325,#90625,.T.); #204954=EDGE_CURVE('',#165326,#165327,#90626,.T.); #204955=EDGE_CURVE('',#165321,#165326,#90627,.T.); #204956=EDGE_CURVE('',#165322,#165327,#90628,.T.); #204957=EDGE_CURVE('',#165328,#165329,#90629,.T.); #204958=EDGE_CURVE('',#165329,#165330,#90630,.T.); #204959=EDGE_CURVE('',#165331,#165330,#90631,.T.); #204960=EDGE_CURVE('',#165328,#165331,#90632,.T.); #204961=EDGE_CURVE('',#165332,#165328,#90633,.T.); #204962=EDGE_CURVE('',#165333,#165331,#90634,.T.); #204963=EDGE_CURVE('',#165332,#165333,#90635,.T.); #204964=EDGE_CURVE('',#165334,#165332,#90636,.T.); #204965=EDGE_CURVE('',#165335,#165333,#90637,.T.); #204966=EDGE_CURVE('',#165334,#165335,#90638,.T.); #204967=EDGE_CURVE('',#165329,#165334,#90639,.T.); #204968=EDGE_CURVE('',#165330,#165335,#90640,.T.); #204969=EDGE_CURVE('',#165336,#165337,#90641,.T.); #204970=EDGE_CURVE('',#165337,#165338,#90642,.T.); #204971=EDGE_CURVE('',#165339,#165338,#90643,.T.); #204972=EDGE_CURVE('',#165336,#165339,#90644,.T.); #204973=EDGE_CURVE('',#165340,#165336,#90645,.T.); #204974=EDGE_CURVE('',#165341,#165339,#90646,.T.); #204975=EDGE_CURVE('',#165340,#165341,#90647,.T.); #204976=EDGE_CURVE('',#165342,#165340,#90648,.T.); #204977=EDGE_CURVE('',#165343,#165341,#90649,.T.); #204978=EDGE_CURVE('',#165342,#165343,#90650,.T.); #204979=EDGE_CURVE('',#165337,#165342,#90651,.T.); #204980=EDGE_CURVE('',#165338,#165343,#90652,.T.); #204981=EDGE_CURVE('',#165344,#165345,#90653,.T.); #204982=EDGE_CURVE('',#165345,#165346,#90654,.T.); #204983=EDGE_CURVE('',#165347,#165346,#90655,.T.); #204984=EDGE_CURVE('',#165344,#165347,#90656,.T.); #204985=EDGE_CURVE('',#165348,#165344,#90657,.T.); #204986=EDGE_CURVE('',#165349,#165347,#90658,.T.); #204987=EDGE_CURVE('',#165348,#165349,#90659,.T.); #204988=EDGE_CURVE('',#165350,#165348,#90660,.T.); #204989=EDGE_CURVE('',#165351,#165349,#90661,.T.); #204990=EDGE_CURVE('',#165350,#165351,#90662,.T.); #204991=EDGE_CURVE('',#165345,#165350,#90663,.T.); #204992=EDGE_CURVE('',#165346,#165351,#90664,.T.); #204993=EDGE_CURVE('',#165352,#165353,#90665,.T.); #204994=EDGE_CURVE('',#165353,#165354,#90666,.T.); #204995=EDGE_CURVE('',#165355,#165354,#90667,.T.); #204996=EDGE_CURVE('',#165352,#165355,#90668,.T.); #204997=EDGE_CURVE('',#165356,#165352,#90669,.T.); #204998=EDGE_CURVE('',#165357,#165355,#90670,.T.); #204999=EDGE_CURVE('',#165356,#165357,#90671,.T.); #205000=EDGE_CURVE('',#165358,#165356,#90672,.T.); #205001=EDGE_CURVE('',#165359,#165357,#90673,.T.); #205002=EDGE_CURVE('',#165358,#165359,#90674,.T.); #205003=EDGE_CURVE('',#165353,#165358,#90675,.T.); #205004=EDGE_CURVE('',#165354,#165359,#90676,.T.); #205005=EDGE_CURVE('',#165360,#165361,#90677,.T.); #205006=EDGE_CURVE('',#165361,#165362,#90678,.T.); #205007=EDGE_CURVE('',#165363,#165362,#90679,.T.); #205008=EDGE_CURVE('',#165360,#165363,#90680,.T.); #205009=EDGE_CURVE('',#165364,#165360,#90681,.T.); #205010=EDGE_CURVE('',#165365,#165363,#90682,.T.); #205011=EDGE_CURVE('',#165364,#165365,#90683,.T.); #205012=EDGE_CURVE('',#165366,#165364,#90684,.T.); #205013=EDGE_CURVE('',#165367,#165365,#90685,.T.); #205014=EDGE_CURVE('',#165366,#165367,#90686,.T.); #205015=EDGE_CURVE('',#165361,#165366,#90687,.T.); #205016=EDGE_CURVE('',#165362,#165367,#90688,.T.); #205017=EDGE_CURVE('',#165368,#165369,#90689,.T.); #205018=EDGE_CURVE('',#165369,#165370,#90690,.T.); #205019=EDGE_CURVE('',#165371,#165370,#90691,.T.); #205020=EDGE_CURVE('',#165368,#165371,#90692,.T.); #205021=EDGE_CURVE('',#165372,#165368,#90693,.T.); #205022=EDGE_CURVE('',#165373,#165371,#90694,.T.); #205023=EDGE_CURVE('',#165372,#165373,#90695,.T.); #205024=EDGE_CURVE('',#165374,#165372,#90696,.T.); #205025=EDGE_CURVE('',#165375,#165373,#90697,.T.); #205026=EDGE_CURVE('',#165374,#165375,#90698,.T.); #205027=EDGE_CURVE('',#165369,#165374,#90699,.T.); #205028=EDGE_CURVE('',#165370,#165375,#90700,.T.); #205029=EDGE_CURVE('',#165376,#165377,#90701,.T.); #205030=EDGE_CURVE('',#165377,#165378,#90702,.T.); #205031=EDGE_CURVE('',#165379,#165378,#90703,.T.); #205032=EDGE_CURVE('',#165376,#165379,#90704,.T.); #205033=EDGE_CURVE('',#165380,#165376,#90705,.T.); #205034=EDGE_CURVE('',#165381,#165379,#90706,.T.); #205035=EDGE_CURVE('',#165380,#165381,#90707,.T.); #205036=EDGE_CURVE('',#165382,#165380,#90708,.T.); #205037=EDGE_CURVE('',#165383,#165381,#90709,.T.); #205038=EDGE_CURVE('',#165382,#165383,#90710,.T.); #205039=EDGE_CURVE('',#165377,#165382,#90711,.T.); #205040=EDGE_CURVE('',#165378,#165383,#90712,.T.); #205041=EDGE_CURVE('',#165384,#165385,#90713,.T.); #205042=EDGE_CURVE('',#165385,#165386,#90714,.T.); #205043=EDGE_CURVE('',#165387,#165386,#90715,.T.); #205044=EDGE_CURVE('',#165384,#165387,#90716,.T.); #205045=EDGE_CURVE('',#165388,#165384,#90717,.T.); #205046=EDGE_CURVE('',#165389,#165387,#90718,.T.); #205047=EDGE_CURVE('',#165388,#165389,#90719,.T.); #205048=EDGE_CURVE('',#165390,#165388,#90720,.T.); #205049=EDGE_CURVE('',#165391,#165389,#90721,.T.); #205050=EDGE_CURVE('',#165390,#165391,#90722,.T.); #205051=EDGE_CURVE('',#165385,#165390,#90723,.T.); #205052=EDGE_CURVE('',#165386,#165391,#90724,.T.); #205053=EDGE_CURVE('',#165392,#165393,#90725,.T.); #205054=EDGE_CURVE('',#165393,#165394,#90726,.T.); #205055=EDGE_CURVE('',#165395,#165394,#90727,.T.); #205056=EDGE_CURVE('',#165392,#165395,#90728,.T.); #205057=EDGE_CURVE('',#165396,#165392,#90729,.T.); #205058=EDGE_CURVE('',#165397,#165395,#90730,.T.); #205059=EDGE_CURVE('',#165396,#165397,#90731,.T.); #205060=EDGE_CURVE('',#165398,#165396,#90732,.T.); #205061=EDGE_CURVE('',#165399,#165397,#90733,.T.); #205062=EDGE_CURVE('',#165398,#165399,#90734,.T.); #205063=EDGE_CURVE('',#165393,#165398,#90735,.T.); #205064=EDGE_CURVE('',#165394,#165399,#90736,.T.); #205065=EDGE_CURVE('',#165400,#165401,#90737,.T.); #205066=EDGE_CURVE('',#165401,#165402,#90738,.T.); #205067=EDGE_CURVE('',#165403,#165402,#90739,.T.); #205068=EDGE_CURVE('',#165400,#165403,#90740,.T.); #205069=EDGE_CURVE('',#165404,#165400,#90741,.T.); #205070=EDGE_CURVE('',#165405,#165403,#90742,.T.); #205071=EDGE_CURVE('',#165404,#165405,#90743,.T.); #205072=EDGE_CURVE('',#165406,#165404,#90744,.T.); #205073=EDGE_CURVE('',#165407,#165405,#90745,.T.); #205074=EDGE_CURVE('',#165406,#165407,#90746,.T.); #205075=EDGE_CURVE('',#165401,#165406,#90747,.T.); #205076=EDGE_CURVE('',#165402,#165407,#90748,.T.); #205077=EDGE_CURVE('',#165408,#165409,#90749,.T.); #205078=EDGE_CURVE('',#165409,#165410,#90750,.T.); #205079=EDGE_CURVE('',#165411,#165410,#90751,.T.); #205080=EDGE_CURVE('',#165408,#165411,#90752,.T.); #205081=EDGE_CURVE('',#165412,#165408,#90753,.T.); #205082=EDGE_CURVE('',#165413,#165411,#90754,.T.); #205083=EDGE_CURVE('',#165412,#165413,#90755,.T.); #205084=EDGE_CURVE('',#165414,#165412,#90756,.T.); #205085=EDGE_CURVE('',#165415,#165413,#90757,.T.); #205086=EDGE_CURVE('',#165414,#165415,#90758,.T.); #205087=EDGE_CURVE('',#165409,#165414,#90759,.T.); #205088=EDGE_CURVE('',#165410,#165415,#90760,.T.); #205089=EDGE_CURVE('',#165416,#165417,#90761,.T.); #205090=EDGE_CURVE('',#165417,#165418,#90762,.T.); #205091=EDGE_CURVE('',#165419,#165418,#90763,.T.); #205092=EDGE_CURVE('',#165416,#165419,#90764,.T.); #205093=EDGE_CURVE('',#165420,#165416,#90765,.T.); #205094=EDGE_CURVE('',#165421,#165419,#90766,.T.); #205095=EDGE_CURVE('',#165420,#165421,#90767,.T.); #205096=EDGE_CURVE('',#165422,#165420,#90768,.T.); #205097=EDGE_CURVE('',#165423,#165421,#90769,.T.); #205098=EDGE_CURVE('',#165422,#165423,#90770,.T.); #205099=EDGE_CURVE('',#165417,#165422,#90771,.T.); #205100=EDGE_CURVE('',#165418,#165423,#90772,.T.); #205101=EDGE_CURVE('',#165424,#165425,#90773,.T.); #205102=EDGE_CURVE('',#165425,#165426,#90774,.T.); #205103=EDGE_CURVE('',#165427,#165426,#90775,.T.); #205104=EDGE_CURVE('',#165424,#165427,#90776,.T.); #205105=EDGE_CURVE('',#165428,#165424,#90777,.T.); #205106=EDGE_CURVE('',#165429,#165427,#90778,.T.); #205107=EDGE_CURVE('',#165428,#165429,#90779,.T.); #205108=EDGE_CURVE('',#165430,#165428,#90780,.T.); #205109=EDGE_CURVE('',#165431,#165429,#90781,.T.); #205110=EDGE_CURVE('',#165430,#165431,#90782,.T.); #205111=EDGE_CURVE('',#165425,#165430,#90783,.T.); #205112=EDGE_CURVE('',#165426,#165431,#90784,.T.); #205113=EDGE_CURVE('',#165432,#165433,#90785,.T.); #205114=EDGE_CURVE('',#165433,#165434,#90786,.T.); #205115=EDGE_CURVE('',#165435,#165434,#90787,.T.); #205116=EDGE_CURVE('',#165432,#165435,#90788,.T.); #205117=EDGE_CURVE('',#165436,#165432,#90789,.T.); #205118=EDGE_CURVE('',#165437,#165435,#90790,.T.); #205119=EDGE_CURVE('',#165436,#165437,#90791,.T.); #205120=EDGE_CURVE('',#165438,#165436,#90792,.T.); #205121=EDGE_CURVE('',#165439,#165437,#90793,.T.); #205122=EDGE_CURVE('',#165438,#165439,#90794,.T.); #205123=EDGE_CURVE('',#165433,#165438,#90795,.T.); #205124=EDGE_CURVE('',#165434,#165439,#90796,.T.); #205125=EDGE_CURVE('',#165440,#165441,#90797,.T.); #205126=EDGE_CURVE('',#165441,#165442,#90798,.T.); #205127=EDGE_CURVE('',#165443,#165442,#90799,.T.); #205128=EDGE_CURVE('',#165440,#165443,#90800,.T.); #205129=EDGE_CURVE('',#165444,#165440,#90801,.T.); #205130=EDGE_CURVE('',#165445,#165443,#90802,.T.); #205131=EDGE_CURVE('',#165444,#165445,#90803,.T.); #205132=EDGE_CURVE('',#165446,#165444,#90804,.T.); #205133=EDGE_CURVE('',#165447,#165445,#90805,.T.); #205134=EDGE_CURVE('',#165446,#165447,#90806,.T.); #205135=EDGE_CURVE('',#165441,#165446,#90807,.T.); #205136=EDGE_CURVE('',#165442,#165447,#90808,.T.); #205137=EDGE_CURVE('',#165448,#165448,#67779,.T.); #205138=EDGE_CURVE('',#165448,#165449,#90809,.T.); #205139=EDGE_CURVE('',#165449,#165449,#67780,.T.); #205140=EDGE_CURVE('',#165450,#165451,#90810,.T.); #205141=EDGE_CURVE('',#165451,#165452,#90811,.T.); #205142=EDGE_CURVE('',#165453,#165452,#90812,.T.); #205143=EDGE_CURVE('',#165450,#165453,#90813,.T.); #205144=EDGE_CURVE('',#165454,#165450,#90814,.T.); #205145=EDGE_CURVE('',#165455,#165453,#90815,.T.); #205146=EDGE_CURVE('',#165454,#165455,#90816,.T.); #205147=EDGE_CURVE('',#165456,#165454,#90817,.T.); #205148=EDGE_CURVE('',#165457,#165455,#90818,.T.); #205149=EDGE_CURVE('',#165456,#165457,#90819,.T.); #205150=EDGE_CURVE('',#165451,#165456,#90820,.T.); #205151=EDGE_CURVE('',#165452,#165457,#90821,.T.); #205152=EDGE_CURVE('',#165458,#165459,#90822,.T.); #205153=EDGE_CURVE('',#165459,#165460,#90823,.T.); #205154=EDGE_CURVE('',#165461,#165460,#90824,.T.); #205155=EDGE_CURVE('',#165458,#165461,#90825,.T.); #205156=EDGE_CURVE('',#165462,#165458,#90826,.T.); #205157=EDGE_CURVE('',#165463,#165461,#90827,.T.); #205158=EDGE_CURVE('',#165462,#165463,#90828,.T.); #205159=EDGE_CURVE('',#165464,#165462,#90829,.T.); #205160=EDGE_CURVE('',#165465,#165463,#90830,.T.); #205161=EDGE_CURVE('',#165464,#165465,#90831,.T.); #205162=EDGE_CURVE('',#165459,#165464,#90832,.T.); #205163=EDGE_CURVE('',#165460,#165465,#90833,.T.); #205164=EDGE_CURVE('',#165466,#165467,#90834,.T.); #205165=EDGE_CURVE('',#165467,#165468,#90835,.T.); #205166=EDGE_CURVE('',#165469,#165468,#90836,.T.); #205167=EDGE_CURVE('',#165466,#165469,#90837,.T.); #205168=EDGE_CURVE('',#165470,#165466,#90838,.T.); #205169=EDGE_CURVE('',#165471,#165469,#90839,.T.); #205170=EDGE_CURVE('',#165470,#165471,#90840,.T.); #205171=EDGE_CURVE('',#165472,#165470,#90841,.T.); #205172=EDGE_CURVE('',#165473,#165471,#90842,.T.); #205173=EDGE_CURVE('',#165472,#165473,#90843,.T.); #205174=EDGE_CURVE('',#165467,#165472,#90844,.T.); #205175=EDGE_CURVE('',#165468,#165473,#90845,.T.); #205176=EDGE_CURVE('',#165474,#165474,#67781,.T.); #205177=EDGE_CURVE('',#165474,#165475,#90846,.T.); #205178=EDGE_CURVE('',#165475,#165475,#67782,.T.); #205179=EDGE_CURVE('',#165476,#165477,#90847,.T.); #205180=EDGE_CURVE('',#165477,#165478,#90848,.T.); #205181=EDGE_CURVE('',#165479,#165478,#90849,.T.); #205182=EDGE_CURVE('',#165476,#165479,#90850,.T.); #205183=EDGE_CURVE('',#165480,#165476,#90851,.T.); #205184=EDGE_CURVE('',#165481,#165479,#90852,.T.); #205185=EDGE_CURVE('',#165480,#165481,#90853,.T.); #205186=EDGE_CURVE('',#165482,#165480,#90854,.T.); #205187=EDGE_CURVE('',#165483,#165481,#90855,.T.); #205188=EDGE_CURVE('',#165482,#165483,#90856,.T.); #205189=EDGE_CURVE('',#165477,#165482,#90857,.T.); #205190=EDGE_CURVE('',#165478,#165483,#90858,.T.); #205191=EDGE_CURVE('',#165484,#165485,#90859,.T.); #205192=EDGE_CURVE('',#165485,#165486,#90860,.T.); #205193=EDGE_CURVE('',#165487,#165486,#90861,.T.); #205194=EDGE_CURVE('',#165484,#165487,#90862,.T.); #205195=EDGE_CURVE('',#165488,#165484,#90863,.T.); #205196=EDGE_CURVE('',#165489,#165487,#90864,.T.); #205197=EDGE_CURVE('',#165488,#165489,#90865,.T.); #205198=EDGE_CURVE('',#165490,#165488,#90866,.T.); #205199=EDGE_CURVE('',#165491,#165489,#90867,.T.); #205200=EDGE_CURVE('',#165490,#165491,#90868,.T.); #205201=EDGE_CURVE('',#165485,#165490,#90869,.T.); #205202=EDGE_CURVE('',#165486,#165491,#90870,.T.); #205203=EDGE_CURVE('',#165492,#165493,#90871,.T.); #205204=EDGE_CURVE('',#165493,#165494,#90872,.T.); #205205=EDGE_CURVE('',#165495,#165494,#90873,.T.); #205206=EDGE_CURVE('',#165492,#165495,#90874,.T.); #205207=EDGE_CURVE('',#165496,#165492,#90875,.T.); #205208=EDGE_CURVE('',#165497,#165495,#90876,.T.); #205209=EDGE_CURVE('',#165496,#165497,#90877,.T.); #205210=EDGE_CURVE('',#165498,#165496,#90878,.T.); #205211=EDGE_CURVE('',#165499,#165497,#90879,.T.); #205212=EDGE_CURVE('',#165498,#165499,#90880,.T.); #205213=EDGE_CURVE('',#165493,#165498,#90881,.T.); #205214=EDGE_CURVE('',#165494,#165499,#90882,.T.); #205215=EDGE_CURVE('',#165500,#165501,#90883,.T.); #205216=EDGE_CURVE('',#165501,#165502,#90884,.T.); #205217=EDGE_CURVE('',#165503,#165502,#90885,.T.); #205218=EDGE_CURVE('',#165500,#165503,#90886,.T.); #205219=EDGE_CURVE('',#165504,#165500,#90887,.T.); #205220=EDGE_CURVE('',#165505,#165503,#90888,.T.); #205221=EDGE_CURVE('',#165504,#165505,#90889,.T.); #205222=EDGE_CURVE('',#165506,#165504,#90890,.T.); #205223=EDGE_CURVE('',#165507,#165505,#90891,.T.); #205224=EDGE_CURVE('',#165506,#165507,#90892,.T.); #205225=EDGE_CURVE('',#165501,#165506,#90893,.T.); #205226=EDGE_CURVE('',#165502,#165507,#90894,.T.); #205227=EDGE_CURVE('',#165508,#165509,#90895,.T.); #205228=EDGE_CURVE('',#165509,#165510,#90896,.T.); #205229=EDGE_CURVE('',#165511,#165510,#90897,.T.); #205230=EDGE_CURVE('',#165508,#165511,#90898,.T.); #205231=EDGE_CURVE('',#165512,#165508,#90899,.T.); #205232=EDGE_CURVE('',#165513,#165511,#90900,.T.); #205233=EDGE_CURVE('',#165512,#165513,#90901,.T.); #205234=EDGE_CURVE('',#165514,#165512,#90902,.T.); #205235=EDGE_CURVE('',#165515,#165513,#90903,.T.); #205236=EDGE_CURVE('',#165514,#165515,#90904,.T.); #205237=EDGE_CURVE('',#165509,#165514,#90905,.T.); #205238=EDGE_CURVE('',#165510,#165515,#90906,.T.); #205239=EDGE_CURVE('',#165516,#165517,#90907,.T.); #205240=EDGE_CURVE('',#165517,#165518,#90908,.T.); #205241=EDGE_CURVE('',#165519,#165518,#90909,.T.); #205242=EDGE_CURVE('',#165516,#165519,#90910,.T.); #205243=EDGE_CURVE('',#165520,#165516,#90911,.T.); #205244=EDGE_CURVE('',#165521,#165519,#90912,.T.); #205245=EDGE_CURVE('',#165520,#165521,#90913,.T.); #205246=EDGE_CURVE('',#165522,#165520,#90914,.T.); #205247=EDGE_CURVE('',#165523,#165521,#90915,.T.); #205248=EDGE_CURVE('',#165522,#165523,#90916,.T.); #205249=EDGE_CURVE('',#165517,#165522,#90917,.T.); #205250=EDGE_CURVE('',#165518,#165523,#90918,.T.); #205251=EDGE_CURVE('',#165524,#165525,#90919,.T.); #205252=EDGE_CURVE('',#165525,#165526,#90920,.T.); #205253=EDGE_CURVE('',#165527,#165526,#90921,.T.); #205254=EDGE_CURVE('',#165524,#165527,#90922,.T.); #205255=EDGE_CURVE('',#165528,#165524,#90923,.T.); #205256=EDGE_CURVE('',#165529,#165527,#90924,.T.); #205257=EDGE_CURVE('',#165528,#165529,#90925,.T.); #205258=EDGE_CURVE('',#165530,#165528,#90926,.T.); #205259=EDGE_CURVE('',#165531,#165529,#90927,.T.); #205260=EDGE_CURVE('',#165530,#165531,#90928,.T.); #205261=EDGE_CURVE('',#165525,#165530,#90929,.T.); #205262=EDGE_CURVE('',#165526,#165531,#90930,.T.); #205263=EDGE_CURVE('',#165532,#165533,#90931,.T.); #205264=EDGE_CURVE('',#165533,#165534,#90932,.T.); #205265=EDGE_CURVE('',#165535,#165534,#90933,.T.); #205266=EDGE_CURVE('',#165532,#165535,#90934,.T.); #205267=EDGE_CURVE('',#165536,#165532,#90935,.T.); #205268=EDGE_CURVE('',#165537,#165535,#90936,.T.); #205269=EDGE_CURVE('',#165536,#165537,#90937,.T.); #205270=EDGE_CURVE('',#165538,#165536,#90938,.T.); #205271=EDGE_CURVE('',#165539,#165537,#90939,.T.); #205272=EDGE_CURVE('',#165538,#165539,#90940,.T.); #205273=EDGE_CURVE('',#165533,#165538,#90941,.T.); #205274=EDGE_CURVE('',#165534,#165539,#90942,.T.); #205275=EDGE_CURVE('',#165540,#165541,#90943,.T.); #205276=EDGE_CURVE('',#165541,#165542,#90944,.T.); #205277=EDGE_CURVE('',#165543,#165542,#90945,.T.); #205278=EDGE_CURVE('',#165540,#165543,#90946,.T.); #205279=EDGE_CURVE('',#165544,#165540,#90947,.T.); #205280=EDGE_CURVE('',#165545,#165543,#90948,.T.); #205281=EDGE_CURVE('',#165544,#165545,#90949,.T.); #205282=EDGE_CURVE('',#165546,#165544,#90950,.T.); #205283=EDGE_CURVE('',#165547,#165545,#90951,.T.); #205284=EDGE_CURVE('',#165546,#165547,#90952,.T.); #205285=EDGE_CURVE('',#165541,#165546,#90953,.T.); #205286=EDGE_CURVE('',#165542,#165547,#90954,.T.); #205287=EDGE_CURVE('',#165548,#165549,#90955,.T.); #205288=EDGE_CURVE('',#165549,#165550,#90956,.T.); #205289=EDGE_CURVE('',#165551,#165550,#90957,.T.); #205290=EDGE_CURVE('',#165548,#165551,#90958,.T.); #205291=EDGE_CURVE('',#165552,#165548,#90959,.T.); #205292=EDGE_CURVE('',#165553,#165551,#90960,.T.); #205293=EDGE_CURVE('',#165552,#165553,#90961,.T.); #205294=EDGE_CURVE('',#165554,#165552,#90962,.T.); #205295=EDGE_CURVE('',#165555,#165553,#90963,.T.); #205296=EDGE_CURVE('',#165554,#165555,#90964,.T.); #205297=EDGE_CURVE('',#165549,#165554,#90965,.T.); #205298=EDGE_CURVE('',#165550,#165555,#90966,.T.); #205299=EDGE_CURVE('',#165556,#165557,#90967,.T.); #205300=EDGE_CURVE('',#165557,#165558,#90968,.T.); #205301=EDGE_CURVE('',#165559,#165558,#90969,.T.); #205302=EDGE_CURVE('',#165556,#165559,#90970,.T.); #205303=EDGE_CURVE('',#165560,#165556,#90971,.T.); #205304=EDGE_CURVE('',#165561,#165559,#90972,.T.); #205305=EDGE_CURVE('',#165560,#165561,#90973,.T.); #205306=EDGE_CURVE('',#165562,#165560,#90974,.T.); #205307=EDGE_CURVE('',#165563,#165561,#90975,.T.); #205308=EDGE_CURVE('',#165562,#165563,#90976,.T.); #205309=EDGE_CURVE('',#165557,#165562,#90977,.T.); #205310=EDGE_CURVE('',#165558,#165563,#90978,.T.); #205311=EDGE_CURVE('',#165564,#165565,#90979,.T.); #205312=EDGE_CURVE('',#165565,#165566,#90980,.T.); #205313=EDGE_CURVE('',#165567,#165566,#90981,.T.); #205314=EDGE_CURVE('',#165564,#165567,#90982,.T.); #205315=EDGE_CURVE('',#165568,#165564,#90983,.T.); #205316=EDGE_CURVE('',#165569,#165567,#90984,.T.); #205317=EDGE_CURVE('',#165568,#165569,#90985,.T.); #205318=EDGE_CURVE('',#165570,#165568,#90986,.T.); #205319=EDGE_CURVE('',#165571,#165569,#90987,.T.); #205320=EDGE_CURVE('',#165570,#165571,#90988,.T.); #205321=EDGE_CURVE('',#165565,#165570,#90989,.T.); #205322=EDGE_CURVE('',#165566,#165571,#90990,.T.); #205323=EDGE_CURVE('',#165572,#165573,#90991,.T.); #205324=EDGE_CURVE('',#165574,#165572,#67783,.T.); #205325=EDGE_CURVE('',#165575,#165574,#90992,.T.); #205326=EDGE_CURVE('',#165573,#165575,#67784,.T.); #205327=EDGE_CURVE('',#165576,#165577,#90993,.T.); #205328=EDGE_CURVE('',#165578,#165576,#67785,.T.); #205329=EDGE_CURVE('',#165579,#165578,#90994,.T.); #205330=EDGE_CURVE('',#165577,#165579,#67786,.T.); #205331=EDGE_CURVE('',#165580,#165580,#67787,.T.); #205332=EDGE_CURVE('',#165580,#165581,#90995,.T.); #205333=EDGE_CURVE('',#165581,#165581,#67788,.T.); #205334=EDGE_CURVE('',#165582,#165583,#90996,.T.); #205335=EDGE_CURVE('',#165584,#165582,#67789,.T.); #205336=EDGE_CURVE('',#165585,#165584,#90997,.T.); #205337=EDGE_CURVE('',#165583,#165585,#67790,.T.); #205338=EDGE_CURVE('',#165586,#165587,#90998,.T.); #205339=EDGE_CURVE('',#165588,#165586,#67791,.T.); #205340=EDGE_CURVE('',#165589,#165588,#90999,.T.); #205341=EDGE_CURVE('',#165587,#165589,#67792,.T.); #205342=EDGE_CURVE('',#165590,#165591,#91000,.T.); #205343=EDGE_CURVE('',#165592,#165590,#67793,.T.); #205344=EDGE_CURVE('',#165593,#165592,#91001,.T.); #205345=EDGE_CURVE('',#165591,#165593,#67794,.T.); #205346=EDGE_CURVE('',#165594,#165595,#91002,.T.); #205347=EDGE_CURVE('',#165596,#165594,#67795,.T.); #205348=EDGE_CURVE('',#165597,#165596,#91003,.T.); #205349=EDGE_CURVE('',#165595,#165597,#67796,.T.); #205350=EDGE_CURVE('',#165598,#165599,#91004,.T.); #205351=EDGE_CURVE('',#165600,#165598,#67797,.T.); #205352=EDGE_CURVE('',#165601,#165600,#91005,.T.); #205353=EDGE_CURVE('',#165599,#165601,#67798,.T.); #205354=EDGE_CURVE('',#165602,#165603,#91006,.T.); #205355=EDGE_CURVE('',#165604,#165602,#67799,.T.); #205356=EDGE_CURVE('',#165605,#165604,#91007,.T.); #205357=EDGE_CURVE('',#165603,#165605,#67800,.T.); #205358=EDGE_CURVE('',#165606,#165573,#91008,.T.); #205359=EDGE_CURVE('',#165607,#165606,#91009,.T.); #205360=EDGE_CURVE('',#165607,#165572,#91010,.T.); #205361=EDGE_CURVE('',#165574,#165576,#91011,.T.); #205362=EDGE_CURVE('',#165575,#165577,#91012,.T.); #205363=EDGE_CURVE('',#165608,#165591,#91013,.T.); #205364=EDGE_CURVE('',#165609,#165608,#91014,.T.); #205365=EDGE_CURVE('',#165609,#165590,#91015,.T.); #205366=EDGE_CURVE('',#165592,#165594,#91016,.T.); #205367=EDGE_CURVE('',#165593,#165595,#91017,.T.); #205368=EDGE_CURVE('',#165597,#165587,#91018,.T.); #205369=EDGE_CURVE('',#165596,#165586,#91019,.T.); #205370=EDGE_CURVE('',#165588,#165607,#91020,.T.); #205371=EDGE_CURVE('',#165589,#165606,#91021,.T.); #205372=EDGE_CURVE('',#165601,#165583,#91022,.T.); #205373=EDGE_CURVE('',#165600,#165582,#91023,.T.); #205374=EDGE_CURVE('',#165584,#165609,#91024,.T.); #205375=EDGE_CURVE('',#165585,#165608,#91025,.T.); #205376=EDGE_CURVE('',#165602,#165599,#91026,.T.); #205377=EDGE_CURVE('',#165603,#165598,#91027,.T.); #205378=EDGE_CURVE('',#165578,#165605,#91028,.T.); #205379=EDGE_CURVE('',#165579,#165604,#91029,.T.); #205380=EDGE_CURVE('',#165610,#165610,#67801,.T.); #205381=EDGE_CURVE('',#165610,#165611,#91030,.T.); #205382=EDGE_CURVE('',#165611,#165611,#67802,.T.); #205383=EDGE_CURVE('',#165612,#165613,#91031,.T.); #205384=EDGE_CURVE('',#165613,#165614,#91032,.T.); #205385=EDGE_CURVE('',#165615,#165614,#91033,.T.); #205386=EDGE_CURVE('',#165612,#165615,#91034,.T.); #205387=EDGE_CURVE('',#165616,#165612,#91035,.T.); #205388=EDGE_CURVE('',#165617,#165615,#91036,.T.); #205389=EDGE_CURVE('',#165616,#165617,#91037,.T.); #205390=EDGE_CURVE('',#165618,#165616,#91038,.T.); #205391=EDGE_CURVE('',#165619,#165617,#91039,.T.); #205392=EDGE_CURVE('',#165618,#165619,#91040,.T.); #205393=EDGE_CURVE('',#165613,#165618,#91041,.T.); #205394=EDGE_CURVE('',#165614,#165619,#91042,.T.); #205395=EDGE_CURVE('',#165620,#165621,#91043,.T.); #205396=EDGE_CURVE('',#165621,#165622,#91044,.T.); #205397=EDGE_CURVE('',#165623,#165622,#91045,.T.); #205398=EDGE_CURVE('',#165620,#165623,#91046,.T.); #205399=EDGE_CURVE('',#165624,#165620,#91047,.T.); #205400=EDGE_CURVE('',#165625,#165623,#91048,.T.); #205401=EDGE_CURVE('',#165624,#165625,#91049,.T.); #205402=EDGE_CURVE('',#165626,#165624,#91050,.T.); #205403=EDGE_CURVE('',#165627,#165625,#91051,.T.); #205404=EDGE_CURVE('',#165626,#165627,#91052,.T.); #205405=EDGE_CURVE('',#165621,#165626,#91053,.T.); #205406=EDGE_CURVE('',#165622,#165627,#91054,.T.); #205407=EDGE_CURVE('',#165628,#165629,#91055,.T.); #205408=EDGE_CURVE('',#165629,#165630,#91056,.T.); #205409=EDGE_CURVE('',#165631,#165630,#91057,.T.); #205410=EDGE_CURVE('',#165628,#165631,#91058,.T.); #205411=EDGE_CURVE('',#165632,#165628,#91059,.T.); #205412=EDGE_CURVE('',#165633,#165631,#91060,.T.); #205413=EDGE_CURVE('',#165632,#165633,#91061,.T.); #205414=EDGE_CURVE('',#165634,#165632,#91062,.T.); #205415=EDGE_CURVE('',#165635,#165633,#91063,.T.); #205416=EDGE_CURVE('',#165634,#165635,#91064,.T.); #205417=EDGE_CURVE('',#165629,#165634,#91065,.T.); #205418=EDGE_CURVE('',#165630,#165635,#91066,.T.); #205419=EDGE_CURVE('',#165636,#165637,#91067,.T.); #205420=EDGE_CURVE('',#165637,#165638,#91068,.T.); #205421=EDGE_CURVE('',#165639,#165638,#91069,.T.); #205422=EDGE_CURVE('',#165636,#165639,#91070,.T.); #205423=EDGE_CURVE('',#165640,#165636,#91071,.T.); #205424=EDGE_CURVE('',#165641,#165639,#91072,.T.); #205425=EDGE_CURVE('',#165640,#165641,#91073,.T.); #205426=EDGE_CURVE('',#165642,#165640,#91074,.T.); #205427=EDGE_CURVE('',#165643,#165641,#91075,.T.); #205428=EDGE_CURVE('',#165642,#165643,#91076,.T.); #205429=EDGE_CURVE('',#165637,#165642,#91077,.T.); #205430=EDGE_CURVE('',#165638,#165643,#91078,.T.); #205431=EDGE_CURVE('',#165644,#165645,#91079,.T.); #205432=EDGE_CURVE('',#165645,#165646,#91080,.T.); #205433=EDGE_CURVE('',#165647,#165646,#91081,.T.); #205434=EDGE_CURVE('',#165644,#165647,#91082,.T.); #205435=EDGE_CURVE('',#165648,#165644,#91083,.T.); #205436=EDGE_CURVE('',#165649,#165647,#91084,.T.); #205437=EDGE_CURVE('',#165648,#165649,#91085,.T.); #205438=EDGE_CURVE('',#165650,#165648,#91086,.T.); #205439=EDGE_CURVE('',#165651,#165649,#91087,.T.); #205440=EDGE_CURVE('',#165650,#165651,#91088,.T.); #205441=EDGE_CURVE('',#165645,#165650,#91089,.T.); #205442=EDGE_CURVE('',#165646,#165651,#91090,.T.); #205443=EDGE_CURVE('',#165652,#165653,#91091,.T.); #205444=EDGE_CURVE('',#165653,#165654,#91092,.T.); #205445=EDGE_CURVE('',#165655,#165654,#91093,.T.); #205446=EDGE_CURVE('',#165652,#165655,#91094,.T.); #205447=EDGE_CURVE('',#165656,#165652,#91095,.T.); #205448=EDGE_CURVE('',#165657,#165655,#91096,.T.); #205449=EDGE_CURVE('',#165656,#165657,#91097,.T.); #205450=EDGE_CURVE('',#165658,#165656,#91098,.T.); #205451=EDGE_CURVE('',#165659,#165657,#91099,.T.); #205452=EDGE_CURVE('',#165658,#165659,#91100,.T.); #205453=EDGE_CURVE('',#165653,#165658,#91101,.T.); #205454=EDGE_CURVE('',#165654,#165659,#91102,.T.); #205455=EDGE_CURVE('',#165660,#165660,#67803,.T.); #205456=EDGE_CURVE('',#165660,#165661,#91103,.T.); #205457=EDGE_CURVE('',#165661,#165661,#67804,.T.); #205458=EDGE_CURVE('',#165662,#165663,#91104,.T.); #205459=EDGE_CURVE('',#165663,#165664,#91105,.T.); #205460=EDGE_CURVE('',#165665,#165664,#91106,.T.); #205461=EDGE_CURVE('',#165662,#165665,#91107,.T.); #205462=EDGE_CURVE('',#165666,#165662,#91108,.T.); #205463=EDGE_CURVE('',#165667,#165665,#91109,.T.); #205464=EDGE_CURVE('',#165666,#165667,#91110,.T.); #205465=EDGE_CURVE('',#165668,#165666,#91111,.T.); #205466=EDGE_CURVE('',#165669,#165667,#91112,.T.); #205467=EDGE_CURVE('',#165668,#165669,#91113,.T.); #205468=EDGE_CURVE('',#165663,#165668,#91114,.T.); #205469=EDGE_CURVE('',#165664,#165669,#91115,.T.); #205470=EDGE_CURVE('',#165670,#165670,#67805,.T.); #205471=EDGE_CURVE('',#165670,#165671,#91116,.T.); #205472=EDGE_CURVE('',#165671,#165671,#67806,.T.); #205473=EDGE_CURVE('',#165672,#165673,#91117,.T.); #205474=EDGE_CURVE('',#165673,#165674,#91118,.T.); #205475=EDGE_CURVE('',#165675,#165674,#91119,.T.); #205476=EDGE_CURVE('',#165672,#165675,#91120,.T.); #205477=EDGE_CURVE('',#165676,#165672,#91121,.T.); #205478=EDGE_CURVE('',#165677,#165675,#91122,.T.); #205479=EDGE_CURVE('',#165676,#165677,#91123,.T.); #205480=EDGE_CURVE('',#165678,#165676,#91124,.T.); #205481=EDGE_CURVE('',#165679,#165677,#91125,.T.); #205482=EDGE_CURVE('',#165678,#165679,#91126,.T.); #205483=EDGE_CURVE('',#165673,#165678,#91127,.T.); #205484=EDGE_CURVE('',#165674,#165679,#91128,.T.); #205485=EDGE_CURVE('',#165680,#165681,#91129,.T.); #205486=EDGE_CURVE('',#165681,#165682,#91130,.T.); #205487=EDGE_CURVE('',#165683,#165682,#91131,.T.); #205488=EDGE_CURVE('',#165680,#165683,#91132,.T.); #205489=EDGE_CURVE('',#165684,#165680,#91133,.T.); #205490=EDGE_CURVE('',#165685,#165683,#91134,.T.); #205491=EDGE_CURVE('',#165684,#165685,#91135,.T.); #205492=EDGE_CURVE('',#165686,#165684,#91136,.T.); #205493=EDGE_CURVE('',#165687,#165685,#91137,.T.); #205494=EDGE_CURVE('',#165686,#165687,#91138,.T.); #205495=EDGE_CURVE('',#165681,#165686,#91139,.T.); #205496=EDGE_CURVE('',#165682,#165687,#91140,.T.); #205497=EDGE_CURVE('',#165688,#165689,#91141,.T.); #205498=EDGE_CURVE('',#165689,#165690,#91142,.T.); #205499=EDGE_CURVE('',#165691,#165690,#91143,.T.); #205500=EDGE_CURVE('',#165688,#165691,#91144,.T.); #205501=EDGE_CURVE('',#165692,#165688,#91145,.T.); #205502=EDGE_CURVE('',#165693,#165691,#91146,.T.); #205503=EDGE_CURVE('',#165692,#165693,#91147,.T.); #205504=EDGE_CURVE('',#165694,#165692,#91148,.T.); #205505=EDGE_CURVE('',#165695,#165693,#91149,.T.); #205506=EDGE_CURVE('',#165694,#165695,#91150,.T.); #205507=EDGE_CURVE('',#165689,#165694,#91151,.T.); #205508=EDGE_CURVE('',#165690,#165695,#91152,.T.); #205509=EDGE_CURVE('',#165696,#165697,#91153,.T.); #205510=EDGE_CURVE('',#165697,#165698,#91154,.T.); #205511=EDGE_CURVE('',#165699,#165698,#91155,.T.); #205512=EDGE_CURVE('',#165696,#165699,#91156,.T.); #205513=EDGE_CURVE('',#165700,#165696,#91157,.T.); #205514=EDGE_CURVE('',#165701,#165699,#91158,.T.); #205515=EDGE_CURVE('',#165700,#165701,#91159,.T.); #205516=EDGE_CURVE('',#165702,#165700,#91160,.T.); #205517=EDGE_CURVE('',#165703,#165701,#91161,.T.); #205518=EDGE_CURVE('',#165702,#165703,#91162,.T.); #205519=EDGE_CURVE('',#165697,#165702,#91163,.T.); #205520=EDGE_CURVE('',#165698,#165703,#91164,.T.); #205521=EDGE_CURVE('',#165704,#165705,#91165,.T.); #205522=EDGE_CURVE('',#165705,#165706,#91166,.T.); #205523=EDGE_CURVE('',#165707,#165706,#91167,.T.); #205524=EDGE_CURVE('',#165704,#165707,#91168,.T.); #205525=EDGE_CURVE('',#165708,#165704,#91169,.T.); #205526=EDGE_CURVE('',#165709,#165707,#91170,.T.); #205527=EDGE_CURVE('',#165708,#165709,#91171,.T.); #205528=EDGE_CURVE('',#165710,#165708,#91172,.T.); #205529=EDGE_CURVE('',#165711,#165709,#91173,.T.); #205530=EDGE_CURVE('',#165710,#165711,#91174,.T.); #205531=EDGE_CURVE('',#165705,#165710,#91175,.T.); #205532=EDGE_CURVE('',#165706,#165711,#91176,.T.); #205533=EDGE_CURVE('',#165712,#165713,#91177,.T.); #205534=EDGE_CURVE('',#165713,#165714,#91178,.T.); #205535=EDGE_CURVE('',#165715,#165714,#91179,.T.); #205536=EDGE_CURVE('',#165712,#165715,#91180,.T.); #205537=EDGE_CURVE('',#165716,#165712,#91181,.T.); #205538=EDGE_CURVE('',#165717,#165715,#91182,.T.); #205539=EDGE_CURVE('',#165716,#165717,#91183,.T.); #205540=EDGE_CURVE('',#165718,#165716,#91184,.T.); #205541=EDGE_CURVE('',#165719,#165717,#91185,.T.); #205542=EDGE_CURVE('',#165718,#165719,#91186,.T.); #205543=EDGE_CURVE('',#165713,#165718,#91187,.T.); #205544=EDGE_CURVE('',#165714,#165719,#91188,.T.); #205545=EDGE_CURVE('',#165720,#165721,#91189,.T.); #205546=EDGE_CURVE('',#165721,#165722,#91190,.T.); #205547=EDGE_CURVE('',#165723,#165722,#91191,.T.); #205548=EDGE_CURVE('',#165720,#165723,#91192,.T.); #205549=EDGE_CURVE('',#165724,#165720,#91193,.T.); #205550=EDGE_CURVE('',#165725,#165723,#91194,.T.); #205551=EDGE_CURVE('',#165724,#165725,#91195,.T.); #205552=EDGE_CURVE('',#165726,#165724,#91196,.T.); #205553=EDGE_CURVE('',#165727,#165725,#91197,.T.); #205554=EDGE_CURVE('',#165726,#165727,#91198,.T.); #205555=EDGE_CURVE('',#165721,#165726,#91199,.T.); #205556=EDGE_CURVE('',#165722,#165727,#91200,.T.); #205557=EDGE_CURVE('',#165728,#165729,#91201,.T.); #205558=EDGE_CURVE('',#165729,#165730,#91202,.T.); #205559=EDGE_CURVE('',#165731,#165730,#91203,.T.); #205560=EDGE_CURVE('',#165728,#165731,#91204,.T.); #205561=EDGE_CURVE('',#165732,#165728,#91205,.T.); #205562=EDGE_CURVE('',#165733,#165731,#91206,.T.); #205563=EDGE_CURVE('',#165732,#165733,#91207,.T.); #205564=EDGE_CURVE('',#165734,#165732,#91208,.T.); #205565=EDGE_CURVE('',#165735,#165733,#91209,.T.); #205566=EDGE_CURVE('',#165734,#165735,#91210,.T.); #205567=EDGE_CURVE('',#165729,#165734,#91211,.T.); #205568=EDGE_CURVE('',#165730,#165735,#91212,.T.); #205569=EDGE_CURVE('',#165736,#165737,#91213,.T.); #205570=EDGE_CURVE('',#165737,#165738,#91214,.T.); #205571=EDGE_CURVE('',#165739,#165738,#91215,.T.); #205572=EDGE_CURVE('',#165736,#165739,#91216,.T.); #205573=EDGE_CURVE('',#165740,#165736,#91217,.T.); #205574=EDGE_CURVE('',#165741,#165739,#91218,.T.); #205575=EDGE_CURVE('',#165740,#165741,#91219,.T.); #205576=EDGE_CURVE('',#165742,#165740,#91220,.T.); #205577=EDGE_CURVE('',#165743,#165741,#91221,.T.); #205578=EDGE_CURVE('',#165742,#165743,#91222,.T.); #205579=EDGE_CURVE('',#165737,#165742,#91223,.T.); #205580=EDGE_CURVE('',#165738,#165743,#91224,.T.); #205581=EDGE_CURVE('',#165744,#165745,#91225,.T.); #205582=EDGE_CURVE('',#165745,#165746,#91226,.T.); #205583=EDGE_CURVE('',#165747,#165746,#91227,.T.); #205584=EDGE_CURVE('',#165744,#165747,#91228,.T.); #205585=EDGE_CURVE('',#165748,#165744,#91229,.T.); #205586=EDGE_CURVE('',#165749,#165747,#91230,.T.); #205587=EDGE_CURVE('',#165748,#165749,#91231,.T.); #205588=EDGE_CURVE('',#165750,#165748,#91232,.T.); #205589=EDGE_CURVE('',#165751,#165749,#91233,.T.); #205590=EDGE_CURVE('',#165750,#165751,#91234,.T.); #205591=EDGE_CURVE('',#165745,#165750,#91235,.T.); #205592=EDGE_CURVE('',#165746,#165751,#91236,.T.); #205593=EDGE_CURVE('',#165752,#165753,#91237,.T.); #205594=EDGE_CURVE('',#165753,#165754,#91238,.T.); #205595=EDGE_CURVE('',#165755,#165754,#91239,.T.); #205596=EDGE_CURVE('',#165752,#165755,#91240,.T.); #205597=EDGE_CURVE('',#165756,#165752,#91241,.T.); #205598=EDGE_CURVE('',#165757,#165755,#91242,.T.); #205599=EDGE_CURVE('',#165756,#165757,#91243,.T.); #205600=EDGE_CURVE('',#165758,#165756,#91244,.T.); #205601=EDGE_CURVE('',#165759,#165757,#91245,.T.); #205602=EDGE_CURVE('',#165758,#165759,#91246,.T.); #205603=EDGE_CURVE('',#165753,#165758,#91247,.T.); #205604=EDGE_CURVE('',#165754,#165759,#91248,.T.); #205605=EDGE_CURVE('',#165760,#165761,#91249,.T.); #205606=EDGE_CURVE('',#165761,#165762,#91250,.T.); #205607=EDGE_CURVE('',#165763,#165762,#91251,.T.); #205608=EDGE_CURVE('',#165760,#165763,#91252,.T.); #205609=EDGE_CURVE('',#165764,#165760,#91253,.T.); #205610=EDGE_CURVE('',#165765,#165763,#91254,.T.); #205611=EDGE_CURVE('',#165764,#165765,#91255,.T.); #205612=EDGE_CURVE('',#165766,#165764,#91256,.T.); #205613=EDGE_CURVE('',#165767,#165765,#91257,.T.); #205614=EDGE_CURVE('',#165766,#165767,#91258,.T.); #205615=EDGE_CURVE('',#165761,#165766,#91259,.T.); #205616=EDGE_CURVE('',#165762,#165767,#91260,.T.); #205617=EDGE_CURVE('',#165768,#165768,#67807,.T.); #205618=EDGE_CURVE('',#165768,#165769,#91261,.T.); #205619=EDGE_CURVE('',#165769,#165769,#67808,.T.); #205620=EDGE_CURVE('',#165770,#165770,#67809,.T.); #205621=EDGE_CURVE('',#165770,#165771,#91262,.T.); #205622=EDGE_CURVE('',#165771,#165771,#67810,.T.); #205623=EDGE_CURVE('',#165772,#165772,#67811,.T.); #205624=EDGE_CURVE('',#165772,#165773,#91263,.T.); #205625=EDGE_CURVE('',#165773,#165773,#67812,.T.); #205626=EDGE_CURVE('',#165774,#165774,#67813,.T.); #205627=EDGE_CURVE('',#165774,#165775,#91264,.T.); #205628=EDGE_CURVE('',#165775,#165775,#67814,.T.); #205629=EDGE_CURVE('',#165776,#165776,#67815,.T.); #205630=EDGE_CURVE('',#165776,#165777,#91265,.T.); #205631=EDGE_CURVE('',#165777,#165777,#67816,.T.); #205632=EDGE_CURVE('',#165778,#165778,#67817,.T.); #205633=EDGE_CURVE('',#165778,#165779,#91266,.T.); #205634=EDGE_CURVE('',#165779,#165779,#67818,.T.); #205635=EDGE_CURVE('',#165780,#165781,#91267,.T.); #205636=EDGE_CURVE('',#165781,#165782,#91268,.T.); #205637=EDGE_CURVE('',#165783,#165782,#91269,.T.); #205638=EDGE_CURVE('',#165780,#165783,#91270,.T.); #205639=EDGE_CURVE('',#165784,#165780,#91271,.T.); #205640=EDGE_CURVE('',#165785,#165783,#91272,.T.); #205641=EDGE_CURVE('',#165784,#165785,#91273,.T.); #205642=EDGE_CURVE('',#165786,#165784,#91274,.T.); #205643=EDGE_CURVE('',#165787,#165785,#91275,.T.); #205644=EDGE_CURVE('',#165786,#165787,#91276,.T.); #205645=EDGE_CURVE('',#165781,#165786,#91277,.T.); #205646=EDGE_CURVE('',#165782,#165787,#91278,.T.); #205647=EDGE_CURVE('',#165788,#165789,#91279,.T.); #205648=EDGE_CURVE('',#165789,#165790,#91280,.T.); #205649=EDGE_CURVE('',#165791,#165790,#91281,.T.); #205650=EDGE_CURVE('',#165788,#165791,#91282,.T.); #205651=EDGE_CURVE('',#165792,#165788,#91283,.T.); #205652=EDGE_CURVE('',#165793,#165791,#91284,.T.); #205653=EDGE_CURVE('',#165792,#165793,#91285,.T.); #205654=EDGE_CURVE('',#165794,#165792,#91286,.T.); #205655=EDGE_CURVE('',#165795,#165793,#91287,.T.); #205656=EDGE_CURVE('',#165794,#165795,#91288,.T.); #205657=EDGE_CURVE('',#165789,#165794,#91289,.T.); #205658=EDGE_CURVE('',#165790,#165795,#91290,.T.); #205659=EDGE_CURVE('',#165796,#165797,#91291,.T.); #205660=EDGE_CURVE('',#165797,#165798,#91292,.T.); #205661=EDGE_CURVE('',#165799,#165798,#91293,.T.); #205662=EDGE_CURVE('',#165796,#165799,#91294,.T.); #205663=EDGE_CURVE('',#165800,#165796,#91295,.T.); #205664=EDGE_CURVE('',#165801,#165799,#91296,.T.); #205665=EDGE_CURVE('',#165800,#165801,#91297,.T.); #205666=EDGE_CURVE('',#165802,#165800,#91298,.T.); #205667=EDGE_CURVE('',#165803,#165801,#91299,.T.); #205668=EDGE_CURVE('',#165802,#165803,#91300,.T.); #205669=EDGE_CURVE('',#165797,#165802,#91301,.T.); #205670=EDGE_CURVE('',#165798,#165803,#91302,.T.); #205671=EDGE_CURVE('',#165804,#165805,#91303,.T.); #205672=EDGE_CURVE('',#165805,#165806,#91304,.T.); #205673=EDGE_CURVE('',#165807,#165806,#91305,.T.); #205674=EDGE_CURVE('',#165804,#165807,#91306,.T.); #205675=EDGE_CURVE('',#165808,#165804,#91307,.T.); #205676=EDGE_CURVE('',#165809,#165807,#91308,.T.); #205677=EDGE_CURVE('',#165808,#165809,#91309,.T.); #205678=EDGE_CURVE('',#165810,#165808,#91310,.T.); #205679=EDGE_CURVE('',#165811,#165809,#91311,.T.); #205680=EDGE_CURVE('',#165810,#165811,#91312,.T.); #205681=EDGE_CURVE('',#165805,#165810,#91313,.T.); #205682=EDGE_CURVE('',#165806,#165811,#91314,.T.); #205683=EDGE_CURVE('',#165812,#165813,#91315,.T.); #205684=EDGE_CURVE('',#165814,#165812,#67819,.T.); #205685=EDGE_CURVE('',#165815,#165814,#91316,.T.); #205686=EDGE_CURVE('',#165813,#165815,#67820,.T.); #205687=EDGE_CURVE('',#165816,#165816,#67821,.T.); #205688=EDGE_CURVE('',#165816,#165817,#91317,.T.); #205689=EDGE_CURVE('',#165817,#165817,#67822,.T.); #205690=EDGE_CURVE('',#165818,#165819,#91318,.T.); #205691=EDGE_CURVE('',#165820,#165818,#67823,.T.); #205692=EDGE_CURVE('',#165821,#165820,#91319,.T.); #205693=EDGE_CURVE('',#165819,#165821,#67824,.T.); #205694=EDGE_CURVE('',#165822,#165823,#91320,.T.); #205695=EDGE_CURVE('',#165824,#165822,#67825,.T.); #205696=EDGE_CURVE('',#165825,#165824,#91321,.T.); #205697=EDGE_CURVE('',#165823,#165825,#67826,.T.); #205698=EDGE_CURVE('',#165826,#165827,#91322,.T.); #205699=EDGE_CURVE('',#165828,#165826,#67827,.T.); #205700=EDGE_CURVE('',#165829,#165828,#91323,.T.); #205701=EDGE_CURVE('',#165827,#165829,#67828,.T.); #205702=EDGE_CURVE('',#165830,#165830,#67829,.T.); #205703=EDGE_CURVE('',#165830,#165831,#91324,.T.); #205704=EDGE_CURVE('',#165831,#165831,#67830,.T.); #205705=EDGE_CURVE('',#165824,#165832,#91325,.T.); #205706=EDGE_CURVE('',#165832,#165833,#91326,.T.); #205707=EDGE_CURVE('',#165825,#165833,#91327,.T.); #205708=EDGE_CURVE('',#165834,#165819,#91328,.T.); #205709=EDGE_CURVE('',#165835,#165834,#91329,.T.); #205710=EDGE_CURVE('',#165835,#165818,#91330,.T.); #205711=EDGE_CURVE('',#165820,#165822,#91331,.T.); #205712=EDGE_CURVE('',#165821,#165823,#91332,.T.); #205713=EDGE_CURVE('',#165828,#165836,#91333,.T.); #205714=EDGE_CURVE('',#165836,#165837,#91334,.T.); #205715=EDGE_CURVE('',#165829,#165837,#91335,.T.); #205716=EDGE_CURVE('',#165838,#165813,#91336,.T.); #205717=EDGE_CURVE('',#165839,#165838,#91337,.T.); #205718=EDGE_CURVE('',#165839,#165812,#91338,.T.); #205719=EDGE_CURVE('',#165814,#165826,#91339,.T.); #205720=EDGE_CURVE('',#165815,#165827,#91340,.T.); #205721=EDGE_CURVE('',#165832,#165839,#91341,.T.); #205722=EDGE_CURVE('',#165836,#165835,#91342,.T.); #205723=EDGE_CURVE('',#165833,#165838,#91343,.T.); #205724=EDGE_CURVE('',#165837,#165834,#91344,.T.); #205725=EDGE_CURVE('',#165840,#165841,#91345,.T.); #205726=EDGE_CURVE('',#165841,#165842,#91346,.T.); #205727=EDGE_CURVE('',#165843,#165842,#91347,.T.); #205728=EDGE_CURVE('',#165840,#165843,#91348,.T.); #205729=EDGE_CURVE('',#165844,#165840,#91349,.T.); #205730=EDGE_CURVE('',#165845,#165843,#91350,.T.); #205731=EDGE_CURVE('',#165844,#165845,#91351,.T.); #205732=EDGE_CURVE('',#165846,#165844,#91352,.T.); #205733=EDGE_CURVE('',#165847,#165845,#91353,.T.); #205734=EDGE_CURVE('',#165846,#165847,#91354,.T.); #205735=EDGE_CURVE('',#165841,#165846,#91355,.T.); #205736=EDGE_CURVE('',#165842,#165847,#91356,.T.); #205737=EDGE_CURVE('',#165848,#165849,#91357,.T.); #205738=EDGE_CURVE('',#165849,#165850,#91358,.T.); #205739=EDGE_CURVE('',#165851,#165850,#91359,.T.); #205740=EDGE_CURVE('',#165848,#165851,#91360,.T.); #205741=EDGE_CURVE('',#165852,#165848,#91361,.T.); #205742=EDGE_CURVE('',#165853,#165851,#91362,.T.); #205743=EDGE_CURVE('',#165852,#165853,#91363,.T.); #205744=EDGE_CURVE('',#165854,#165852,#91364,.T.); #205745=EDGE_CURVE('',#165855,#165853,#91365,.T.); #205746=EDGE_CURVE('',#165854,#165855,#91366,.T.); #205747=EDGE_CURVE('',#165849,#165854,#91367,.T.); #205748=EDGE_CURVE('',#165850,#165855,#91368,.T.); #205749=EDGE_CURVE('',#165856,#165857,#91369,.T.); #205750=EDGE_CURVE('',#165857,#165858,#91370,.T.); #205751=EDGE_CURVE('',#165859,#165858,#91371,.T.); #205752=EDGE_CURVE('',#165856,#165859,#91372,.T.); #205753=EDGE_CURVE('',#165860,#165856,#91373,.T.); #205754=EDGE_CURVE('',#165861,#165859,#91374,.T.); #205755=EDGE_CURVE('',#165860,#165861,#91375,.T.); #205756=EDGE_CURVE('',#165862,#165860,#91376,.T.); #205757=EDGE_CURVE('',#165863,#165861,#91377,.T.); #205758=EDGE_CURVE('',#165862,#165863,#91378,.T.); #205759=EDGE_CURVE('',#165857,#165862,#91379,.T.); #205760=EDGE_CURVE('',#165858,#165863,#91380,.T.); #205761=EDGE_CURVE('',#165864,#165865,#91381,.T.); #205762=EDGE_CURVE('',#165865,#165866,#91382,.T.); #205763=EDGE_CURVE('',#165867,#165866,#91383,.T.); #205764=EDGE_CURVE('',#165864,#165867,#91384,.T.); #205765=EDGE_CURVE('',#165868,#165864,#91385,.T.); #205766=EDGE_CURVE('',#165869,#165867,#91386,.T.); #205767=EDGE_CURVE('',#165868,#165869,#91387,.T.); #205768=EDGE_CURVE('',#165870,#165868,#91388,.T.); #205769=EDGE_CURVE('',#165871,#165869,#91389,.T.); #205770=EDGE_CURVE('',#165870,#165871,#91390,.T.); #205771=EDGE_CURVE('',#165865,#165870,#91391,.T.); #205772=EDGE_CURVE('',#165866,#165871,#91392,.T.); #205773=EDGE_CURVE('',#165872,#165873,#91393,.T.); #205774=EDGE_CURVE('',#165873,#165874,#91394,.T.); #205775=EDGE_CURVE('',#165875,#165874,#91395,.T.); #205776=EDGE_CURVE('',#165872,#165875,#91396,.T.); #205777=EDGE_CURVE('',#165876,#165872,#91397,.T.); #205778=EDGE_CURVE('',#165877,#165875,#91398,.T.); #205779=EDGE_CURVE('',#165876,#165877,#91399,.T.); #205780=EDGE_CURVE('',#165878,#165876,#91400,.T.); #205781=EDGE_CURVE('',#165879,#165877,#91401,.T.); #205782=EDGE_CURVE('',#165878,#165879,#91402,.T.); #205783=EDGE_CURVE('',#165873,#165878,#91403,.T.); #205784=EDGE_CURVE('',#165874,#165879,#91404,.T.); #205785=EDGE_CURVE('',#165880,#165881,#91405,.T.); #205786=EDGE_CURVE('',#165881,#165882,#91406,.T.); #205787=EDGE_CURVE('',#165883,#165882,#91407,.T.); #205788=EDGE_CURVE('',#165880,#165883,#91408,.T.); #205789=EDGE_CURVE('',#165884,#165880,#91409,.T.); #205790=EDGE_CURVE('',#165885,#165883,#91410,.T.); #205791=EDGE_CURVE('',#165884,#165885,#91411,.T.); #205792=EDGE_CURVE('',#165886,#165884,#91412,.T.); #205793=EDGE_CURVE('',#165887,#165885,#91413,.T.); #205794=EDGE_CURVE('',#165886,#165887,#91414,.T.); #205795=EDGE_CURVE('',#165881,#165886,#91415,.T.); #205796=EDGE_CURVE('',#165882,#165887,#91416,.T.); #205797=EDGE_CURVE('',#165888,#165889,#91417,.T.); #205798=EDGE_CURVE('',#165889,#165890,#91418,.T.); #205799=EDGE_CURVE('',#165891,#165890,#91419,.T.); #205800=EDGE_CURVE('',#165888,#165891,#91420,.T.); #205801=EDGE_CURVE('',#165892,#165888,#91421,.T.); #205802=EDGE_CURVE('',#165893,#165891,#91422,.T.); #205803=EDGE_CURVE('',#165892,#165893,#91423,.T.); #205804=EDGE_CURVE('',#165894,#165892,#91424,.T.); #205805=EDGE_CURVE('',#165895,#165893,#91425,.T.); #205806=EDGE_CURVE('',#165894,#165895,#91426,.T.); #205807=EDGE_CURVE('',#165889,#165894,#91427,.T.); #205808=EDGE_CURVE('',#165890,#165895,#91428,.T.); #205809=EDGE_CURVE('',#165896,#165897,#91429,.T.); #205810=EDGE_CURVE('',#165897,#165898,#91430,.T.); #205811=EDGE_CURVE('',#165899,#165898,#91431,.T.); #205812=EDGE_CURVE('',#165896,#165899,#91432,.T.); #205813=EDGE_CURVE('',#165900,#165896,#91433,.T.); #205814=EDGE_CURVE('',#165901,#165899,#91434,.T.); #205815=EDGE_CURVE('',#165900,#165901,#91435,.T.); #205816=EDGE_CURVE('',#165902,#165900,#91436,.T.); #205817=EDGE_CURVE('',#165903,#165901,#91437,.T.); #205818=EDGE_CURVE('',#165902,#165903,#91438,.T.); #205819=EDGE_CURVE('',#165897,#165902,#91439,.T.); #205820=EDGE_CURVE('',#165898,#165903,#91440,.T.); #205821=EDGE_CURVE('',#165904,#165905,#91441,.T.); #205822=EDGE_CURVE('',#165905,#165906,#91442,.T.); #205823=EDGE_CURVE('',#165907,#165906,#91443,.T.); #205824=EDGE_CURVE('',#165904,#165907,#91444,.T.); #205825=EDGE_CURVE('',#165908,#165904,#91445,.T.); #205826=EDGE_CURVE('',#165909,#165907,#91446,.T.); #205827=EDGE_CURVE('',#165908,#165909,#91447,.T.); #205828=EDGE_CURVE('',#165910,#165908,#91448,.T.); #205829=EDGE_CURVE('',#165911,#165909,#91449,.T.); #205830=EDGE_CURVE('',#165910,#165911,#91450,.T.); #205831=EDGE_CURVE('',#165905,#165910,#91451,.T.); #205832=EDGE_CURVE('',#165906,#165911,#91452,.T.); #205833=EDGE_CURVE('',#165912,#165913,#91453,.T.); #205834=EDGE_CURVE('',#165913,#165914,#91454,.T.); #205835=EDGE_CURVE('',#165915,#165914,#91455,.T.); #205836=EDGE_CURVE('',#165912,#165915,#91456,.T.); #205837=EDGE_CURVE('',#165916,#165912,#91457,.T.); #205838=EDGE_CURVE('',#165917,#165915,#91458,.T.); #205839=EDGE_CURVE('',#165916,#165917,#91459,.T.); #205840=EDGE_CURVE('',#165918,#165916,#91460,.T.); #205841=EDGE_CURVE('',#165919,#165917,#91461,.T.); #205842=EDGE_CURVE('',#165918,#165919,#91462,.T.); #205843=EDGE_CURVE('',#165913,#165918,#91463,.T.); #205844=EDGE_CURVE('',#165914,#165919,#91464,.T.); #205845=EDGE_CURVE('',#165920,#165921,#91465,.T.); #205846=EDGE_CURVE('',#165921,#165922,#91466,.T.); #205847=EDGE_CURVE('',#165923,#165922,#91467,.T.); #205848=EDGE_CURVE('',#165920,#165923,#91468,.T.); #205849=EDGE_CURVE('',#165924,#165920,#91469,.T.); #205850=EDGE_CURVE('',#165925,#165923,#91470,.T.); #205851=EDGE_CURVE('',#165924,#165925,#91471,.T.); #205852=EDGE_CURVE('',#165926,#165924,#91472,.T.); #205853=EDGE_CURVE('',#165927,#165925,#91473,.T.); #205854=EDGE_CURVE('',#165926,#165927,#91474,.T.); #205855=EDGE_CURVE('',#165921,#165926,#91475,.T.); #205856=EDGE_CURVE('',#165922,#165927,#91476,.T.); #205857=EDGE_CURVE('',#165928,#165929,#91477,.T.); #205858=EDGE_CURVE('',#165929,#165930,#91478,.T.); #205859=EDGE_CURVE('',#165931,#165930,#91479,.T.); #205860=EDGE_CURVE('',#165928,#165931,#91480,.T.); #205861=EDGE_CURVE('',#165932,#165928,#91481,.T.); #205862=EDGE_CURVE('',#165933,#165931,#91482,.T.); #205863=EDGE_CURVE('',#165932,#165933,#91483,.T.); #205864=EDGE_CURVE('',#165934,#165932,#91484,.T.); #205865=EDGE_CURVE('',#165935,#165933,#91485,.T.); #205866=EDGE_CURVE('',#165934,#165935,#91486,.T.); #205867=EDGE_CURVE('',#165929,#165934,#91487,.T.); #205868=EDGE_CURVE('',#165930,#165935,#91488,.T.); #205869=EDGE_CURVE('',#165936,#165937,#91489,.T.); #205870=EDGE_CURVE('',#165937,#165938,#91490,.T.); #205871=EDGE_CURVE('',#165939,#165938,#91491,.T.); #205872=EDGE_CURVE('',#165936,#165939,#91492,.T.); #205873=EDGE_CURVE('',#165940,#165936,#91493,.T.); #205874=EDGE_CURVE('',#165941,#165939,#91494,.T.); #205875=EDGE_CURVE('',#165940,#165941,#91495,.T.); #205876=EDGE_CURVE('',#165942,#165940,#91496,.T.); #205877=EDGE_CURVE('',#165943,#165941,#91497,.T.); #205878=EDGE_CURVE('',#165942,#165943,#91498,.T.); #205879=EDGE_CURVE('',#165937,#165942,#91499,.T.); #205880=EDGE_CURVE('',#165938,#165943,#91500,.T.); #205881=EDGE_CURVE('',#165944,#165945,#91501,.T.); #205882=EDGE_CURVE('',#165945,#165946,#91502,.T.); #205883=EDGE_CURVE('',#165947,#165946,#91503,.T.); #205884=EDGE_CURVE('',#165944,#165947,#91504,.T.); #205885=EDGE_CURVE('',#165948,#165944,#91505,.T.); #205886=EDGE_CURVE('',#165949,#165947,#91506,.T.); #205887=EDGE_CURVE('',#165948,#165949,#91507,.T.); #205888=EDGE_CURVE('',#165950,#165948,#91508,.T.); #205889=EDGE_CURVE('',#165951,#165949,#91509,.T.); #205890=EDGE_CURVE('',#165950,#165951,#91510,.T.); #205891=EDGE_CURVE('',#165945,#165950,#91511,.T.); #205892=EDGE_CURVE('',#165946,#165951,#91512,.T.); #205893=EDGE_CURVE('',#165952,#165953,#91513,.T.); #205894=EDGE_CURVE('',#165953,#165954,#91514,.T.); #205895=EDGE_CURVE('',#165955,#165954,#91515,.T.); #205896=EDGE_CURVE('',#165952,#165955,#91516,.T.); #205897=EDGE_CURVE('',#165956,#165952,#91517,.T.); #205898=EDGE_CURVE('',#165957,#165955,#91518,.T.); #205899=EDGE_CURVE('',#165956,#165957,#91519,.T.); #205900=EDGE_CURVE('',#165958,#165956,#91520,.T.); #205901=EDGE_CURVE('',#165959,#165957,#91521,.T.); #205902=EDGE_CURVE('',#165958,#165959,#91522,.T.); #205903=EDGE_CURVE('',#165953,#165958,#91523,.T.); #205904=EDGE_CURVE('',#165954,#165959,#91524,.T.); #205905=EDGE_CURVE('',#165960,#165961,#91525,.T.); #205906=EDGE_CURVE('',#165961,#165962,#91526,.T.); #205907=EDGE_CURVE('',#165963,#165962,#91527,.T.); #205908=EDGE_CURVE('',#165960,#165963,#91528,.T.); #205909=EDGE_CURVE('',#165964,#165960,#91529,.T.); #205910=EDGE_CURVE('',#165965,#165963,#91530,.T.); #205911=EDGE_CURVE('',#165964,#165965,#91531,.T.); #205912=EDGE_CURVE('',#165966,#165964,#91532,.T.); #205913=EDGE_CURVE('',#165967,#165965,#91533,.T.); #205914=EDGE_CURVE('',#165966,#165967,#91534,.T.); #205915=EDGE_CURVE('',#165961,#165966,#91535,.T.); #205916=EDGE_CURVE('',#165962,#165967,#91536,.T.); #205917=EDGE_CURVE('',#165968,#165969,#91537,.T.); #205918=EDGE_CURVE('',#165969,#165970,#91538,.T.); #205919=EDGE_CURVE('',#165971,#165970,#91539,.T.); #205920=EDGE_CURVE('',#165968,#165971,#91540,.T.); #205921=EDGE_CURVE('',#165972,#165968,#91541,.T.); #205922=EDGE_CURVE('',#165973,#165971,#91542,.T.); #205923=EDGE_CURVE('',#165972,#165973,#91543,.T.); #205924=EDGE_CURVE('',#165974,#165972,#91544,.T.); #205925=EDGE_CURVE('',#165975,#165973,#91545,.T.); #205926=EDGE_CURVE('',#165974,#165975,#91546,.T.); #205927=EDGE_CURVE('',#165969,#165974,#91547,.T.); #205928=EDGE_CURVE('',#165970,#165975,#91548,.T.); #205929=EDGE_CURVE('',#165976,#165977,#91549,.T.); #205930=EDGE_CURVE('',#165977,#165978,#91550,.T.); #205931=EDGE_CURVE('',#165979,#165978,#91551,.T.); #205932=EDGE_CURVE('',#165976,#165979,#91552,.T.); #205933=EDGE_CURVE('',#165980,#165976,#91553,.T.); #205934=EDGE_CURVE('',#165981,#165979,#91554,.T.); #205935=EDGE_CURVE('',#165980,#165981,#91555,.T.); #205936=EDGE_CURVE('',#165982,#165980,#91556,.T.); #205937=EDGE_CURVE('',#165983,#165981,#91557,.T.); #205938=EDGE_CURVE('',#165982,#165983,#91558,.T.); #205939=EDGE_CURVE('',#165977,#165982,#91559,.T.); #205940=EDGE_CURVE('',#165978,#165983,#91560,.T.); #205941=EDGE_CURVE('',#165984,#165985,#91561,.T.); #205942=EDGE_CURVE('',#165985,#165986,#91562,.T.); #205943=EDGE_CURVE('',#165987,#165986,#91563,.T.); #205944=EDGE_CURVE('',#165984,#165987,#91564,.T.); #205945=EDGE_CURVE('',#165988,#165984,#91565,.T.); #205946=EDGE_CURVE('',#165989,#165987,#91566,.T.); #205947=EDGE_CURVE('',#165988,#165989,#91567,.T.); #205948=EDGE_CURVE('',#165990,#165988,#91568,.T.); #205949=EDGE_CURVE('',#165991,#165989,#91569,.T.); #205950=EDGE_CURVE('',#165990,#165991,#91570,.T.); #205951=EDGE_CURVE('',#165985,#165990,#91571,.T.); #205952=EDGE_CURVE('',#165986,#165991,#91572,.T.); #205953=EDGE_CURVE('',#165992,#165993,#91573,.T.); #205954=EDGE_CURVE('',#165993,#165994,#91574,.T.); #205955=EDGE_CURVE('',#165995,#165994,#91575,.T.); #205956=EDGE_CURVE('',#165992,#165995,#91576,.T.); #205957=EDGE_CURVE('',#165996,#165992,#91577,.T.); #205958=EDGE_CURVE('',#165997,#165995,#91578,.T.); #205959=EDGE_CURVE('',#165996,#165997,#91579,.T.); #205960=EDGE_CURVE('',#165998,#165996,#91580,.T.); #205961=EDGE_CURVE('',#165999,#165997,#91581,.T.); #205962=EDGE_CURVE('',#165998,#165999,#91582,.T.); #205963=EDGE_CURVE('',#165993,#165998,#91583,.T.); #205964=EDGE_CURVE('',#165994,#165999,#91584,.T.); #205965=EDGE_CURVE('',#166000,#166001,#91585,.T.); #205966=EDGE_CURVE('',#166001,#166002,#91586,.T.); #205967=EDGE_CURVE('',#166003,#166002,#91587,.T.); #205968=EDGE_CURVE('',#166000,#166003,#91588,.T.); #205969=EDGE_CURVE('',#166004,#166000,#91589,.T.); #205970=EDGE_CURVE('',#166005,#166003,#91590,.T.); #205971=EDGE_CURVE('',#166004,#166005,#91591,.T.); #205972=EDGE_CURVE('',#166006,#166004,#91592,.T.); #205973=EDGE_CURVE('',#166007,#166005,#91593,.T.); #205974=EDGE_CURVE('',#166006,#166007,#91594,.T.); #205975=EDGE_CURVE('',#166001,#166006,#91595,.T.); #205976=EDGE_CURVE('',#166002,#166007,#91596,.T.); #205977=EDGE_CURVE('',#166008,#166008,#67831,.T.); #205978=EDGE_CURVE('',#166008,#166009,#91597,.T.); #205979=EDGE_CURVE('',#166009,#166009,#67832,.T.); #205980=EDGE_CURVE('',#166010,#166011,#91598,.T.); #205981=EDGE_CURVE('',#166011,#166012,#91599,.T.); #205982=EDGE_CURVE('',#166013,#166012,#91600,.T.); #205983=EDGE_CURVE('',#166010,#166013,#91601,.T.); #205984=EDGE_CURVE('',#166014,#166010,#67833,.T.); #205985=EDGE_CURVE('',#166015,#166013,#67834,.T.); #205986=EDGE_CURVE('',#166014,#166015,#91602,.T.); #205987=EDGE_CURVE('',#166011,#166014,#67835,.T.); #205988=EDGE_CURVE('',#166012,#166015,#67836,.T.); #205989=EDGE_CURVE('',#166016,#166017,#91603,.T.); #205990=EDGE_CURVE('',#166017,#166018,#91604,.T.); #205991=EDGE_CURVE('',#166019,#166018,#91605,.T.); #205992=EDGE_CURVE('',#166016,#166019,#91606,.T.); #205993=EDGE_CURVE('',#166020,#166016,#91607,.T.); #205994=EDGE_CURVE('',#166021,#166019,#91608,.T.); #205995=EDGE_CURVE('',#166020,#166021,#91609,.T.); #205996=EDGE_CURVE('',#166022,#166020,#91610,.T.); #205997=EDGE_CURVE('',#166023,#166021,#91611,.T.); #205998=EDGE_CURVE('',#166022,#166023,#91612,.T.); #205999=EDGE_CURVE('',#166017,#166022,#91613,.T.); #206000=EDGE_CURVE('',#166018,#166023,#91614,.T.); #206001=EDGE_CURVE('',#166024,#166025,#91615,.T.); #206002=EDGE_CURVE('',#166025,#166026,#91616,.T.); #206003=EDGE_CURVE('',#166027,#166026,#91617,.T.); #206004=EDGE_CURVE('',#166024,#166027,#91618,.T.); #206005=EDGE_CURVE('',#166028,#166024,#91619,.T.); #206006=EDGE_CURVE('',#166029,#166027,#91620,.T.); #206007=EDGE_CURVE('',#166028,#166029,#91621,.T.); #206008=EDGE_CURVE('',#166030,#166028,#91622,.T.); #206009=EDGE_CURVE('',#166031,#166029,#91623,.T.); #206010=EDGE_CURVE('',#166030,#166031,#91624,.T.); #206011=EDGE_CURVE('',#166025,#166030,#91625,.T.); #206012=EDGE_CURVE('',#166026,#166031,#91626,.T.); #206013=EDGE_CURVE('',#166032,#166032,#67837,.T.); #206014=EDGE_CURVE('',#166032,#166033,#91627,.T.); #206015=EDGE_CURVE('',#166033,#166033,#67838,.T.); #206016=EDGE_CURVE('',#166034,#166034,#67839,.T.); #206017=EDGE_CURVE('',#166034,#166035,#91628,.T.); #206018=EDGE_CURVE('',#166035,#166035,#67840,.T.); #206019=EDGE_CURVE('',#166036,#166037,#91629,.T.); #206020=EDGE_CURVE('',#166037,#166038,#91630,.T.); #206021=EDGE_CURVE('',#166039,#166038,#91631,.T.); #206022=EDGE_CURVE('',#166036,#166039,#91632,.T.); #206023=EDGE_CURVE('',#166040,#166036,#91633,.T.); #206024=EDGE_CURVE('',#166041,#166039,#91634,.T.); #206025=EDGE_CURVE('',#166040,#166041,#91635,.T.); #206026=EDGE_CURVE('',#166042,#166040,#91636,.T.); #206027=EDGE_CURVE('',#166043,#166041,#91637,.T.); #206028=EDGE_CURVE('',#166042,#166043,#91638,.T.); #206029=EDGE_CURVE('',#166037,#166042,#91639,.T.); #206030=EDGE_CURVE('',#166038,#166043,#91640,.T.); #206031=EDGE_CURVE('',#166044,#166045,#91641,.T.); #206032=EDGE_CURVE('',#166045,#166046,#91642,.T.); #206033=EDGE_CURVE('',#166047,#166046,#91643,.T.); #206034=EDGE_CURVE('',#166044,#166047,#91644,.T.); #206035=EDGE_CURVE('',#166048,#166044,#91645,.T.); #206036=EDGE_CURVE('',#166049,#166047,#91646,.T.); #206037=EDGE_CURVE('',#166048,#166049,#91647,.T.); #206038=EDGE_CURVE('',#166050,#166048,#91648,.T.); #206039=EDGE_CURVE('',#166051,#166049,#91649,.T.); #206040=EDGE_CURVE('',#166050,#166051,#91650,.T.); #206041=EDGE_CURVE('',#166045,#166050,#91651,.T.); #206042=EDGE_CURVE('',#166046,#166051,#91652,.T.); #206043=EDGE_CURVE('',#166052,#166052,#67841,.T.); #206044=EDGE_CURVE('',#166052,#166053,#91653,.T.); #206045=EDGE_CURVE('',#166053,#166053,#67842,.T.); #206046=EDGE_CURVE('',#166054,#166054,#67843,.T.); #206047=EDGE_CURVE('',#166054,#166055,#91654,.T.); #206048=EDGE_CURVE('',#166055,#166055,#67844,.T.); #206049=EDGE_CURVE('',#166056,#166057,#91655,.T.); #206050=EDGE_CURVE('',#166057,#166058,#91656,.T.); #206051=EDGE_CURVE('',#166059,#166058,#91657,.T.); #206052=EDGE_CURVE('',#166056,#166059,#91658,.T.); #206053=EDGE_CURVE('',#166060,#166056,#91659,.T.); #206054=EDGE_CURVE('',#166061,#166059,#91660,.T.); #206055=EDGE_CURVE('',#166060,#166061,#91661,.T.); #206056=EDGE_CURVE('',#166062,#166060,#91662,.T.); #206057=EDGE_CURVE('',#166063,#166061,#91663,.T.); #206058=EDGE_CURVE('',#166062,#166063,#91664,.T.); #206059=EDGE_CURVE('',#166057,#166062,#91665,.T.); #206060=EDGE_CURVE('',#166058,#166063,#91666,.T.); #206061=EDGE_CURVE('',#166064,#166065,#91667,.T.); #206062=EDGE_CURVE('',#166065,#166066,#91668,.T.); #206063=EDGE_CURVE('',#166067,#166066,#91669,.T.); #206064=EDGE_CURVE('',#166064,#166067,#91670,.T.); #206065=EDGE_CURVE('',#166068,#166064,#91671,.T.); #206066=EDGE_CURVE('',#166069,#166067,#91672,.T.); #206067=EDGE_CURVE('',#166068,#166069,#91673,.T.); #206068=EDGE_CURVE('',#166070,#166068,#91674,.T.); #206069=EDGE_CURVE('',#166071,#166069,#91675,.T.); #206070=EDGE_CURVE('',#166070,#166071,#91676,.T.); #206071=EDGE_CURVE('',#166065,#166070,#91677,.T.); #206072=EDGE_CURVE('',#166066,#166071,#91678,.T.); #206073=EDGE_CURVE('',#166072,#166072,#67845,.T.); #206074=EDGE_CURVE('',#166072,#166073,#91679,.T.); #206075=EDGE_CURVE('',#166073,#166073,#67846,.T.); #206076=EDGE_CURVE('',#166074,#166074,#67847,.T.); #206077=EDGE_CURVE('',#166074,#166075,#91680,.T.); #206078=EDGE_CURVE('',#166075,#166075,#67848,.T.); #206079=EDGE_CURVE('',#166076,#166077,#91681,.T.); #206080=EDGE_CURVE('',#166077,#166078,#91682,.T.); #206081=EDGE_CURVE('',#166079,#166078,#91683,.T.); #206082=EDGE_CURVE('',#166076,#166079,#91684,.T.); #206083=EDGE_CURVE('',#166080,#166076,#91685,.T.); #206084=EDGE_CURVE('',#166081,#166079,#91686,.T.); #206085=EDGE_CURVE('',#166080,#166081,#91687,.T.); #206086=EDGE_CURVE('',#166082,#166080,#91688,.T.); #206087=EDGE_CURVE('',#166083,#166081,#91689,.T.); #206088=EDGE_CURVE('',#166082,#166083,#91690,.T.); #206089=EDGE_CURVE('',#166077,#166082,#91691,.T.); #206090=EDGE_CURVE('',#166078,#166083,#91692,.T.); #206091=EDGE_CURVE('',#166084,#166085,#91693,.T.); #206092=EDGE_CURVE('',#166085,#166086,#91694,.T.); #206093=EDGE_CURVE('',#166087,#166086,#91695,.T.); #206094=EDGE_CURVE('',#166084,#166087,#91696,.T.); #206095=EDGE_CURVE('',#166088,#166084,#91697,.T.); #206096=EDGE_CURVE('',#166089,#166087,#91698,.T.); #206097=EDGE_CURVE('',#166088,#166089,#91699,.T.); #206098=EDGE_CURVE('',#166090,#166088,#91700,.T.); #206099=EDGE_CURVE('',#166091,#166089,#91701,.T.); #206100=EDGE_CURVE('',#166090,#166091,#91702,.T.); #206101=EDGE_CURVE('',#166085,#166090,#91703,.T.); #206102=EDGE_CURVE('',#166086,#166091,#91704,.T.); #206103=EDGE_CURVE('',#166092,#166092,#67849,.T.); #206104=EDGE_CURVE('',#166092,#166093,#91705,.T.); #206105=EDGE_CURVE('',#166093,#166093,#67850,.T.); #206106=EDGE_CURVE('',#166094,#166094,#67851,.T.); #206107=EDGE_CURVE('',#166094,#166095,#91706,.T.); #206108=EDGE_CURVE('',#166095,#166095,#67852,.T.); #206109=EDGE_CURVE('',#166096,#166097,#91707,.T.); #206110=EDGE_CURVE('',#166097,#166098,#91708,.T.); #206111=EDGE_CURVE('',#166099,#166098,#91709,.T.); #206112=EDGE_CURVE('',#166096,#166099,#91710,.T.); #206113=EDGE_CURVE('',#166100,#166096,#91711,.T.); #206114=EDGE_CURVE('',#166101,#166099,#91712,.T.); #206115=EDGE_CURVE('',#166100,#166101,#91713,.T.); #206116=EDGE_CURVE('',#166102,#166100,#91714,.T.); #206117=EDGE_CURVE('',#166103,#166101,#91715,.T.); #206118=EDGE_CURVE('',#166102,#166103,#91716,.T.); #206119=EDGE_CURVE('',#166097,#166102,#91717,.T.); #206120=EDGE_CURVE('',#166098,#166103,#91718,.T.); #206121=EDGE_CURVE('',#166104,#166105,#91719,.T.); #206122=EDGE_CURVE('',#166105,#166106,#91720,.T.); #206123=EDGE_CURVE('',#166107,#166106,#91721,.T.); #206124=EDGE_CURVE('',#166104,#166107,#91722,.T.); #206125=EDGE_CURVE('',#166108,#166104,#91723,.T.); #206126=EDGE_CURVE('',#166109,#166107,#91724,.T.); #206127=EDGE_CURVE('',#166108,#166109,#91725,.T.); #206128=EDGE_CURVE('',#166110,#166108,#91726,.T.); #206129=EDGE_CURVE('',#166111,#166109,#91727,.T.); #206130=EDGE_CURVE('',#166110,#166111,#91728,.T.); #206131=EDGE_CURVE('',#166105,#166110,#91729,.T.); #206132=EDGE_CURVE('',#166106,#166111,#91730,.T.); #206133=EDGE_CURVE('',#166112,#166113,#91731,.T.); #206134=EDGE_CURVE('',#166113,#166114,#91732,.T.); #206135=EDGE_CURVE('',#166115,#166114,#91733,.T.); #206136=EDGE_CURVE('',#166112,#166115,#91734,.T.); #206137=EDGE_CURVE('',#166116,#166112,#91735,.T.); #206138=EDGE_CURVE('',#166117,#166115,#91736,.T.); #206139=EDGE_CURVE('',#166116,#166117,#91737,.T.); #206140=EDGE_CURVE('',#166118,#166116,#91738,.T.); #206141=EDGE_CURVE('',#166119,#166117,#91739,.T.); #206142=EDGE_CURVE('',#166118,#166119,#91740,.T.); #206143=EDGE_CURVE('',#166120,#166118,#91741,.T.); #206144=EDGE_CURVE('',#166121,#166119,#91742,.T.); #206145=EDGE_CURVE('',#166120,#166121,#91743,.T.); #206146=EDGE_CURVE('',#166122,#166120,#91744,.T.); #206147=EDGE_CURVE('',#166123,#166121,#91745,.T.); #206148=EDGE_CURVE('',#166122,#166123,#91746,.T.); #206149=EDGE_CURVE('',#166124,#166122,#91747,.T.); #206150=EDGE_CURVE('',#166125,#166123,#91748,.T.); #206151=EDGE_CURVE('',#166124,#166125,#91749,.T.); #206152=EDGE_CURVE('',#166126,#166124,#67853,.T.); #206153=EDGE_CURVE('',#166127,#166125,#67854,.T.); #206154=EDGE_CURVE('',#166126,#166127,#91750,.T.); #206155=EDGE_CURVE('',#166128,#166126,#91751,.T.); #206156=EDGE_CURVE('',#166129,#166127,#91752,.T.); #206157=EDGE_CURVE('',#166128,#166129,#91753,.T.); #206158=EDGE_CURVE('',#166130,#166128,#91754,.T.); #206159=EDGE_CURVE('',#166131,#166129,#91755,.T.); #206160=EDGE_CURVE('',#166130,#166131,#91756,.T.); #206161=EDGE_CURVE('',#166132,#166130,#91757,.T.); #206162=EDGE_CURVE('',#166133,#166131,#91758,.T.); #206163=EDGE_CURVE('',#166132,#166133,#91759,.T.); #206164=EDGE_CURVE('',#166134,#166132,#91760,.T.); #206165=EDGE_CURVE('',#166135,#166133,#91761,.T.); #206166=EDGE_CURVE('',#166134,#166135,#91762,.T.); #206167=EDGE_CURVE('',#166136,#166134,#91763,.T.); #206168=EDGE_CURVE('',#166137,#166135,#91764,.T.); #206169=EDGE_CURVE('',#166136,#166137,#91765,.T.); #206170=EDGE_CURVE('',#166138,#166136,#67855,.T.); #206171=EDGE_CURVE('',#166139,#166137,#67856,.T.); #206172=EDGE_CURVE('',#166138,#166139,#91766,.T.); #206173=EDGE_CURVE('',#166140,#166138,#91767,.T.); #206174=EDGE_CURVE('',#166141,#166139,#91768,.T.); #206175=EDGE_CURVE('',#166140,#166141,#91769,.T.); #206176=EDGE_CURVE('',#166142,#166140,#91770,.T.); #206177=EDGE_CURVE('',#166143,#166141,#91771,.T.); #206178=EDGE_CURVE('',#166142,#166143,#91772,.T.); #206179=EDGE_CURVE('',#166144,#166142,#67857,.T.); #206180=EDGE_CURVE('',#166145,#166143,#67858,.T.); #206181=EDGE_CURVE('',#166144,#166145,#91773,.T.); #206182=EDGE_CURVE('',#166146,#166144,#91774,.T.); #206183=EDGE_CURVE('',#166147,#166145,#91775,.T.); #206184=EDGE_CURVE('',#166146,#166147,#91776,.T.); #206185=EDGE_CURVE('',#166148,#166146,#91777,.T.); #206186=EDGE_CURVE('',#166149,#166147,#91778,.T.); #206187=EDGE_CURVE('',#166148,#166149,#91779,.T.); #206188=EDGE_CURVE('',#166150,#166148,#91780,.T.); #206189=EDGE_CURVE('',#166151,#166149,#91781,.T.); #206190=EDGE_CURVE('',#166150,#166151,#91782,.T.); #206191=EDGE_CURVE('',#166152,#166150,#91783,.T.); #206192=EDGE_CURVE('',#166153,#166151,#91784,.T.); #206193=EDGE_CURVE('',#166152,#166153,#91785,.T.); #206194=EDGE_CURVE('',#166154,#166152,#91786,.T.); #206195=EDGE_CURVE('',#166155,#166153,#91787,.T.); #206196=EDGE_CURVE('',#166154,#166155,#91788,.T.); #206197=EDGE_CURVE('',#166156,#166154,#91789,.T.); #206198=EDGE_CURVE('',#166157,#166155,#91790,.T.); #206199=EDGE_CURVE('',#166156,#166157,#91791,.T.); #206200=EDGE_CURVE('',#166158,#166156,#91792,.T.); #206201=EDGE_CURVE('',#166159,#166157,#91793,.T.); #206202=EDGE_CURVE('',#166158,#166159,#91794,.T.); #206203=EDGE_CURVE('',#166160,#166158,#67859,.T.); #206204=EDGE_CURVE('',#166161,#166159,#67860,.T.); #206205=EDGE_CURVE('',#166160,#166161,#91795,.T.); #206206=EDGE_CURVE('',#166162,#166160,#91796,.T.); #206207=EDGE_CURVE('',#166163,#166161,#91797,.T.); #206208=EDGE_CURVE('',#166162,#166163,#91798,.T.); #206209=EDGE_CURVE('',#166164,#166162,#67861,.T.); #206210=EDGE_CURVE('',#166165,#166163,#67862,.T.); #206211=EDGE_CURVE('',#166164,#166165,#91799,.T.); #206212=EDGE_CURVE('',#166166,#166164,#91800,.T.); #206213=EDGE_CURVE('',#166167,#166165,#91801,.T.); #206214=EDGE_CURVE('',#166166,#166167,#91802,.T.); #206215=EDGE_CURVE('',#166168,#166166,#91803,.T.); #206216=EDGE_CURVE('',#166169,#166167,#91804,.T.); #206217=EDGE_CURVE('',#166168,#166169,#91805,.T.); #206218=EDGE_CURVE('',#166170,#166168,#91806,.T.); #206219=EDGE_CURVE('',#166171,#166169,#91807,.T.); #206220=EDGE_CURVE('',#166170,#166171,#91808,.T.); #206221=EDGE_CURVE('',#166172,#166170,#91809,.T.); #206222=EDGE_CURVE('',#166173,#166171,#91810,.T.); #206223=EDGE_CURVE('',#166172,#166173,#91811,.T.); #206224=EDGE_CURVE('',#166174,#166172,#91812,.T.); #206225=EDGE_CURVE('',#166175,#166173,#91813,.T.); #206226=EDGE_CURVE('',#166174,#166175,#91814,.T.); #206227=EDGE_CURVE('',#166176,#166174,#91815,.T.); #206228=EDGE_CURVE('',#166177,#166175,#91816,.T.); #206229=EDGE_CURVE('',#166176,#166177,#91817,.T.); #206230=EDGE_CURVE('',#166178,#166176,#91818,.T.); #206231=EDGE_CURVE('',#166179,#166177,#91819,.T.); #206232=EDGE_CURVE('',#166178,#166179,#91820,.T.); #206233=EDGE_CURVE('',#166180,#166178,#91821,.T.); #206234=EDGE_CURVE('',#166181,#166179,#91822,.T.); #206235=EDGE_CURVE('',#166180,#166181,#91823,.T.); #206236=EDGE_CURVE('',#166182,#166180,#67863,.T.); #206237=EDGE_CURVE('',#166183,#166181,#67864,.T.); #206238=EDGE_CURVE('',#166182,#166183,#91824,.T.); #206239=EDGE_CURVE('',#166184,#166182,#91825,.T.); #206240=EDGE_CURVE('',#166185,#166183,#91826,.T.); #206241=EDGE_CURVE('',#166184,#166185,#91827,.T.); #206242=EDGE_CURVE('',#166186,#166184,#67865,.T.); #206243=EDGE_CURVE('',#166187,#166185,#67866,.T.); #206244=EDGE_CURVE('',#166186,#166187,#91828,.T.); #206245=EDGE_CURVE('',#166188,#166186,#91829,.T.); #206246=EDGE_CURVE('',#166189,#166187,#91830,.T.); #206247=EDGE_CURVE('',#166188,#166189,#91831,.T.); #206248=EDGE_CURVE('',#166190,#166188,#91832,.T.); #206249=EDGE_CURVE('',#166191,#166189,#91833,.T.); #206250=EDGE_CURVE('',#166190,#166191,#91834,.T.); #206251=EDGE_CURVE('',#166192,#166190,#91835,.T.); #206252=EDGE_CURVE('',#166193,#166191,#91836,.T.); #206253=EDGE_CURVE('',#166192,#166193,#91837,.T.); #206254=EDGE_CURVE('',#166194,#166192,#67867,.T.); #206255=EDGE_CURVE('',#166195,#166193,#67868,.T.); #206256=EDGE_CURVE('',#166194,#166195,#91838,.T.); #206257=EDGE_CURVE('',#166196,#166194,#91839,.T.); #206258=EDGE_CURVE('',#166197,#166195,#91840,.T.); #206259=EDGE_CURVE('',#166196,#166197,#91841,.T.); #206260=EDGE_CURVE('',#166198,#166196,#67869,.T.); #206261=EDGE_CURVE('',#166199,#166197,#67870,.T.); #206262=EDGE_CURVE('',#166198,#166199,#91842,.T.); #206263=EDGE_CURVE('',#166200,#166198,#91843,.T.); #206264=EDGE_CURVE('',#166201,#166199,#91844,.T.); #206265=EDGE_CURVE('',#166200,#166201,#91845,.T.); #206266=EDGE_CURVE('',#166202,#166200,#91846,.T.); #206267=EDGE_CURVE('',#166203,#166201,#91847,.T.); #206268=EDGE_CURVE('',#166202,#166203,#91848,.T.); #206269=EDGE_CURVE('',#166204,#166202,#91849,.T.); #206270=EDGE_CURVE('',#166205,#166203,#91850,.T.); #206271=EDGE_CURVE('',#166204,#166205,#91851,.T.); #206272=EDGE_CURVE('',#166206,#166204,#91852,.T.); #206273=EDGE_CURVE('',#166207,#166205,#91853,.T.); #206274=EDGE_CURVE('',#166206,#166207,#91854,.T.); #206275=EDGE_CURVE('',#166208,#166206,#91855,.T.); #206276=EDGE_CURVE('',#166209,#166207,#91856,.T.); #206277=EDGE_CURVE('',#166208,#166209,#91857,.T.); #206278=EDGE_CURVE('',#166210,#166208,#67871,.T.); #206279=EDGE_CURVE('',#166211,#166209,#67872,.T.); #206280=EDGE_CURVE('',#166210,#166211,#91858,.T.); #206281=EDGE_CURVE('',#166212,#166210,#91859,.T.); #206282=EDGE_CURVE('',#166213,#166211,#91860,.T.); #206283=EDGE_CURVE('',#166212,#166213,#91861,.T.); #206284=EDGE_CURVE('',#166214,#166212,#91862,.T.); #206285=EDGE_CURVE('',#166215,#166213,#91863,.T.); #206286=EDGE_CURVE('',#166214,#166215,#91864,.T.); #206287=EDGE_CURVE('',#166216,#166214,#91865,.T.); #206288=EDGE_CURVE('',#166217,#166215,#91866,.T.); #206289=EDGE_CURVE('',#166216,#166217,#91867,.T.); #206290=EDGE_CURVE('',#166218,#166216,#91868,.T.); #206291=EDGE_CURVE('',#166219,#166217,#91869,.T.); #206292=EDGE_CURVE('',#166218,#166219,#91870,.T.); #206293=EDGE_CURVE('',#166220,#166218,#91871,.T.); #206294=EDGE_CURVE('',#166221,#166219,#91872,.T.); #206295=EDGE_CURVE('',#166220,#166221,#91873,.T.); #206296=EDGE_CURVE('',#166222,#166220,#91874,.T.); #206297=EDGE_CURVE('',#166223,#166221,#91875,.T.); #206298=EDGE_CURVE('',#166222,#166223,#91876,.T.); #206299=EDGE_CURVE('',#166224,#166222,#67873,.T.); #206300=EDGE_CURVE('',#166225,#166223,#67874,.T.); #206301=EDGE_CURVE('',#166224,#166225,#91877,.T.); #206302=EDGE_CURVE('',#166226,#166224,#91878,.T.); #206303=EDGE_CURVE('',#166227,#166225,#91879,.T.); #206304=EDGE_CURVE('',#166226,#166227,#91880,.T.); #206305=EDGE_CURVE('',#166113,#166226,#91881,.T.); #206306=EDGE_CURVE('',#166114,#166227,#91882,.T.); #206307=EDGE_CURVE('',#166228,#166228,#67875,.T.); #206308=EDGE_CURVE('',#166228,#166229,#91883,.T.); #206309=EDGE_CURVE('',#166229,#166229,#67876,.T.); #206310=EDGE_CURVE('',#166230,#166230,#67877,.T.); #206311=EDGE_CURVE('',#166230,#166231,#91884,.T.); #206312=EDGE_CURVE('',#166231,#166231,#67878,.T.); #206313=EDGE_CURVE('',#166232,#166233,#91885,.T.); #206314=EDGE_CURVE('',#166233,#166234,#91886,.T.); #206315=EDGE_CURVE('',#166235,#166234,#91887,.T.); #206316=EDGE_CURVE('',#166232,#166235,#91888,.T.); #206317=EDGE_CURVE('',#166236,#166232,#67879,.T.); #206318=EDGE_CURVE('',#166237,#166235,#67880,.T.); #206319=EDGE_CURVE('',#166236,#166237,#91889,.T.); #206320=EDGE_CURVE('',#166238,#166236,#67881,.T.); #206321=EDGE_CURVE('',#166239,#166237,#67882,.T.); #206322=EDGE_CURVE('',#166238,#166239,#91890,.T.); #206323=EDGE_CURVE('',#166240,#166238,#91891,.T.); #206324=EDGE_CURVE('',#166241,#166239,#91892,.T.); #206325=EDGE_CURVE('',#166240,#166241,#91893,.T.); #206326=EDGE_CURVE('',#166242,#166240,#67883,.T.); #206327=EDGE_CURVE('',#166243,#166241,#67884,.T.); #206328=EDGE_CURVE('',#166242,#166243,#91894,.T.); #206329=EDGE_CURVE('',#166244,#166242,#67885,.T.); #206330=EDGE_CURVE('',#166245,#166243,#67886,.T.); #206331=EDGE_CURVE('',#166244,#166245,#91895,.T.); #206332=EDGE_CURVE('',#166246,#166244,#91896,.T.); #206333=EDGE_CURVE('',#166247,#166245,#91897,.T.); #206334=EDGE_CURVE('',#166246,#166247,#91898,.T.); #206335=EDGE_CURVE('',#166233,#166246,#67887,.T.); #206336=EDGE_CURVE('',#166234,#166247,#67888,.T.); #206337=EDGE_CURVE('',#166248,#166248,#67889,.T.); #206338=EDGE_CURVE('',#166248,#166249,#91899,.T.); #206339=EDGE_CURVE('',#166249,#166249,#67890,.T.); #206340=EDGE_CURVE('',#166250,#166251,#91900,.T.); #206341=EDGE_CURVE('',#166251,#166252,#91901,.T.); #206342=EDGE_CURVE('',#166253,#166252,#91902,.T.); #206343=EDGE_CURVE('',#166250,#166253,#91903,.T.); #206344=EDGE_CURVE('',#166254,#166250,#67891,.T.); #206345=EDGE_CURVE('',#166255,#166253,#67892,.T.); #206346=EDGE_CURVE('',#166254,#166255,#91904,.T.); #206347=EDGE_CURVE('',#166256,#166254,#91905,.T.); #206348=EDGE_CURVE('',#166257,#166255,#91906,.T.); #206349=EDGE_CURVE('',#166256,#166257,#91907,.T.); #206350=EDGE_CURVE('',#166258,#166256,#91908,.T.); #206351=EDGE_CURVE('',#166259,#166257,#91909,.T.); #206352=EDGE_CURVE('',#166258,#166259,#91910,.T.); #206353=EDGE_CURVE('',#166260,#166258,#91911,.T.); #206354=EDGE_CURVE('',#166261,#166259,#91912,.T.); #206355=EDGE_CURVE('',#166260,#166261,#91913,.T.); #206356=EDGE_CURVE('',#166262,#166260,#91914,.T.); #206357=EDGE_CURVE('',#166263,#166261,#91915,.T.); #206358=EDGE_CURVE('',#166262,#166263,#91916,.T.); #206359=EDGE_CURVE('',#166264,#166262,#91917,.T.); #206360=EDGE_CURVE('',#166265,#166263,#91918,.T.); #206361=EDGE_CURVE('',#166264,#166265,#91919,.T.); #206362=EDGE_CURVE('',#166266,#166264,#91920,.T.); #206363=EDGE_CURVE('',#166267,#166265,#91921,.T.); #206364=EDGE_CURVE('',#166266,#166267,#91922,.T.); #206365=EDGE_CURVE('',#166268,#166266,#91923,.T.); #206366=EDGE_CURVE('',#166269,#166267,#91924,.T.); #206367=EDGE_CURVE('',#166268,#166269,#91925,.T.); #206368=EDGE_CURVE('',#166251,#166268,#67893,.T.); #206369=EDGE_CURVE('',#166252,#166269,#67894,.T.); #206370=EDGE_CURVE('',#166270,#166270,#67895,.T.); #206371=EDGE_CURVE('',#166270,#166271,#91926,.T.); #206372=EDGE_CURVE('',#166271,#166271,#67896,.T.); #206373=EDGE_CURVE('',#166272,#166273,#91927,.T.); #206374=EDGE_CURVE('',#166273,#166274,#91928,.T.); #206375=EDGE_CURVE('',#166275,#166274,#91929,.T.); #206376=EDGE_CURVE('',#166272,#166275,#91930,.T.); #206377=EDGE_CURVE('',#166276,#166272,#91931,.T.); #206378=EDGE_CURVE('',#166277,#166275,#91932,.T.); #206379=EDGE_CURVE('',#166276,#166277,#91933,.T.); #206380=EDGE_CURVE('',#166278,#166276,#91934,.T.); #206381=EDGE_CURVE('',#166279,#166277,#91935,.T.); #206382=EDGE_CURVE('',#166278,#166279,#91936,.T.); #206383=EDGE_CURVE('',#166280,#166278,#91937,.T.); #206384=EDGE_CURVE('',#166281,#166279,#91938,.T.); #206385=EDGE_CURVE('',#166280,#166281,#91939,.T.); #206386=EDGE_CURVE('',#166282,#166280,#91940,.T.); #206387=EDGE_CURVE('',#166283,#166281,#91941,.T.); #206388=EDGE_CURVE('',#166282,#166283,#91942,.T.); #206389=EDGE_CURVE('',#166284,#166282,#67897,.T.); #206390=EDGE_CURVE('',#166285,#166283,#67898,.T.); #206391=EDGE_CURVE('',#166284,#166285,#91943,.T.); #206392=EDGE_CURVE('',#166286,#166284,#91944,.T.); #206393=EDGE_CURVE('',#166287,#166285,#91945,.T.); #206394=EDGE_CURVE('',#166286,#166287,#91946,.T.); #206395=EDGE_CURVE('',#166288,#166286,#67899,.T.); #206396=EDGE_CURVE('',#166289,#166287,#67900,.T.); #206397=EDGE_CURVE('',#166288,#166289,#91947,.T.); #206398=EDGE_CURVE('',#166290,#166288,#67901,.T.); #206399=EDGE_CURVE('',#166291,#166289,#67902,.T.); #206400=EDGE_CURVE('',#166290,#166291,#91948,.T.); #206401=EDGE_CURVE('',#166292,#166290,#67903,.T.); #206402=EDGE_CURVE('',#166293,#166291,#67904,.T.); #206403=EDGE_CURVE('',#166292,#166293,#91949,.T.); #206404=EDGE_CURVE('',#166294,#166292,#91950,.T.); #206405=EDGE_CURVE('',#166295,#166293,#91951,.T.); #206406=EDGE_CURVE('',#166294,#166295,#91952,.T.); #206407=EDGE_CURVE('',#166296,#166294,#91953,.T.); #206408=EDGE_CURVE('',#166297,#166295,#91954,.T.); #206409=EDGE_CURVE('',#166296,#166297,#91955,.T.); #206410=EDGE_CURVE('',#166273,#166296,#91956,.T.); #206411=EDGE_CURVE('',#166274,#166297,#91957,.T.); #206412=EDGE_CURVE('',#166298,#166298,#67905,.T.); #206413=EDGE_CURVE('',#166298,#166299,#91958,.T.); #206414=EDGE_CURVE('',#166299,#166299,#67906,.T.); #206415=EDGE_CURVE('',#166300,#166300,#67907,.T.); #206416=EDGE_CURVE('',#166300,#166301,#91959,.T.); #206417=EDGE_CURVE('',#166301,#166301,#67908,.T.); #206418=EDGE_CURVE('',#166302,#166303,#91960,.T.); #206419=EDGE_CURVE('',#166303,#166304,#91961,.T.); #206420=EDGE_CURVE('',#166305,#166304,#91962,.T.); #206421=EDGE_CURVE('',#166302,#166305,#91963,.T.); #206422=EDGE_CURVE('',#166306,#166302,#67909,.T.); #206423=EDGE_CURVE('',#166307,#166305,#67910,.T.); #206424=EDGE_CURVE('',#166306,#166307,#91964,.T.); #206425=EDGE_CURVE('',#166308,#166306,#67911,.T.); #206426=EDGE_CURVE('',#166309,#166307,#67912,.T.); #206427=EDGE_CURVE('',#166308,#166309,#91965,.T.); #206428=EDGE_CURVE('',#166310,#166308,#91966,.T.); #206429=EDGE_CURVE('',#166311,#166309,#91967,.T.); #206430=EDGE_CURVE('',#166310,#166311,#91968,.T.); #206431=EDGE_CURVE('',#166312,#166310,#91969,.T.); #206432=EDGE_CURVE('',#166313,#166311,#91970,.T.); #206433=EDGE_CURVE('',#166312,#166313,#91971,.T.); #206434=EDGE_CURVE('',#166314,#166312,#91972,.T.); #206435=EDGE_CURVE('',#166315,#166313,#91973,.T.); #206436=EDGE_CURVE('',#166314,#166315,#91974,.T.); #206437=EDGE_CURVE('',#166316,#166314,#91975,.T.); #206438=EDGE_CURVE('',#166317,#166315,#91976,.T.); #206439=EDGE_CURVE('',#166316,#166317,#91977,.T.); #206440=EDGE_CURVE('',#166318,#166316,#91978,.T.); #206441=EDGE_CURVE('',#166319,#166317,#91979,.T.); #206442=EDGE_CURVE('',#166318,#166319,#91980,.T.); #206443=EDGE_CURVE('',#166320,#166318,#67913,.T.); #206444=EDGE_CURVE('',#166321,#166319,#67914,.T.); #206445=EDGE_CURVE('',#166320,#166321,#91981,.T.); #206446=EDGE_CURVE('',#166322,#166320,#91982,.T.); #206447=EDGE_CURVE('',#166323,#166321,#91983,.T.); #206448=EDGE_CURVE('',#166322,#166323,#91984,.T.); #206449=EDGE_CURVE('',#166324,#166322,#91985,.T.); #206450=EDGE_CURVE('',#166325,#166323,#91986,.T.); #206451=EDGE_CURVE('',#166324,#166325,#91987,.T.); #206452=EDGE_CURVE('',#166326,#166324,#67915,.T.); #206453=EDGE_CURVE('',#166327,#166325,#67916,.T.); #206454=EDGE_CURVE('',#166326,#166327,#91988,.T.); #206455=EDGE_CURVE('',#166328,#166326,#91989,.T.); #206456=EDGE_CURVE('',#166329,#166327,#91990,.T.); #206457=EDGE_CURVE('',#166328,#166329,#91991,.T.); #206458=EDGE_CURVE('',#166330,#166328,#67917,.T.); #206459=EDGE_CURVE('',#166331,#166329,#67918,.T.); #206460=EDGE_CURVE('',#166330,#166331,#91992,.T.); #206461=EDGE_CURVE('',#166332,#166330,#91993,.T.); #206462=EDGE_CURVE('',#166333,#166331,#91994,.T.); #206463=EDGE_CURVE('',#166332,#166333,#91995,.T.); #206464=EDGE_CURVE('',#166334,#166332,#91996,.T.); #206465=EDGE_CURVE('',#166335,#166333,#91997,.T.); #206466=EDGE_CURVE('',#166334,#166335,#91998,.T.); #206467=EDGE_CURVE('',#166336,#166334,#91999,.T.); #206468=EDGE_CURVE('',#166337,#166335,#92000,.T.); #206469=EDGE_CURVE('',#166336,#166337,#92001,.T.); #206470=EDGE_CURVE('',#166338,#166336,#67919,.T.); #206471=EDGE_CURVE('',#166339,#166337,#67920,.T.); #206472=EDGE_CURVE('',#166338,#166339,#92002,.T.); #206473=EDGE_CURVE('',#166340,#166338,#92003,.T.); #206474=EDGE_CURVE('',#166341,#166339,#92004,.T.); #206475=EDGE_CURVE('',#166340,#166341,#92005,.T.); #206476=EDGE_CURVE('',#166342,#166340,#67921,.T.); #206477=EDGE_CURVE('',#166343,#166341,#67922,.T.); #206478=EDGE_CURVE('',#166342,#166343,#92006,.T.); #206479=EDGE_CURVE('',#166344,#166342,#92007,.T.); #206480=EDGE_CURVE('',#166345,#166343,#92008,.T.); #206481=EDGE_CURVE('',#166344,#166345,#92009,.T.); #206482=EDGE_CURVE('',#166346,#166344,#67923,.T.); #206483=EDGE_CURVE('',#166347,#166345,#67924,.T.); #206484=EDGE_CURVE('',#166346,#166347,#92010,.T.); #206485=EDGE_CURVE('',#166348,#166346,#67925,.T.); #206486=EDGE_CURVE('',#166349,#166347,#67926,.T.); #206487=EDGE_CURVE('',#166348,#166349,#92011,.T.); #206488=EDGE_CURVE('',#166350,#166348,#92012,.T.); #206489=EDGE_CURVE('',#166351,#166349,#92013,.T.); #206490=EDGE_CURVE('',#166350,#166351,#92014,.T.); #206491=EDGE_CURVE('',#166352,#166350,#92015,.T.); #206492=EDGE_CURVE('',#166353,#166351,#92016,.T.); #206493=EDGE_CURVE('',#166352,#166353,#92017,.T.); #206494=EDGE_CURVE('',#166354,#166352,#92018,.T.); #206495=EDGE_CURVE('',#166355,#166353,#92019,.T.); #206496=EDGE_CURVE('',#166354,#166355,#92020,.T.); #206497=EDGE_CURVE('',#166356,#166354,#67927,.T.); #206498=EDGE_CURVE('',#166357,#166355,#67928,.T.); #206499=EDGE_CURVE('',#166356,#166357,#92021,.T.); #206500=EDGE_CURVE('',#166358,#166356,#92022,.T.); #206501=EDGE_CURVE('',#166359,#166357,#92023,.T.); #206502=EDGE_CURVE('',#166358,#166359,#92024,.T.); #206503=EDGE_CURVE('',#166360,#166358,#67929,.T.); #206504=EDGE_CURVE('',#166361,#166359,#67930,.T.); #206505=EDGE_CURVE('',#166360,#166361,#92025,.T.); #206506=EDGE_CURVE('',#166362,#166360,#92026,.T.); #206507=EDGE_CURVE('',#166363,#166361,#92027,.T.); #206508=EDGE_CURVE('',#166362,#166363,#92028,.T.); #206509=EDGE_CURVE('',#166364,#166362,#92029,.T.); #206510=EDGE_CURVE('',#166365,#166363,#92030,.T.); #206511=EDGE_CURVE('',#166364,#166365,#92031,.T.); #206512=EDGE_CURVE('',#166366,#166364,#92032,.T.); #206513=EDGE_CURVE('',#166367,#166365,#92033,.T.); #206514=EDGE_CURVE('',#166366,#166367,#92034,.T.); #206515=EDGE_CURVE('',#166368,#166366,#67931,.T.); #206516=EDGE_CURVE('',#166369,#166367,#67932,.T.); #206517=EDGE_CURVE('',#166368,#166369,#92035,.T.); #206518=EDGE_CURVE('',#166370,#166368,#92036,.T.); #206519=EDGE_CURVE('',#166371,#166369,#92037,.T.); #206520=EDGE_CURVE('',#166370,#166371,#92038,.T.); #206521=EDGE_CURVE('',#166372,#166370,#92039,.T.); #206522=EDGE_CURVE('',#166373,#166371,#92040,.T.); #206523=EDGE_CURVE('',#166372,#166373,#92041,.T.); #206524=EDGE_CURVE('',#166374,#166372,#67933,.T.); #206525=EDGE_CURVE('',#166375,#166373,#67934,.T.); #206526=EDGE_CURVE('',#166374,#166375,#92042,.T.); #206527=EDGE_CURVE('',#166376,#166374,#92043,.T.); #206528=EDGE_CURVE('',#166377,#166375,#92044,.T.); #206529=EDGE_CURVE('',#166376,#166377,#92045,.T.); #206530=EDGE_CURVE('',#166378,#166376,#67935,.T.); #206531=EDGE_CURVE('',#166379,#166377,#67936,.T.); #206532=EDGE_CURVE('',#166378,#166379,#92046,.T.); #206533=EDGE_CURVE('',#166380,#166378,#92047,.T.); #206534=EDGE_CURVE('',#166381,#166379,#92048,.T.); #206535=EDGE_CURVE('',#166380,#166381,#92049,.T.); #206536=EDGE_CURVE('',#166382,#166380,#67937,.T.); #206537=EDGE_CURVE('',#166383,#166381,#67938,.T.); #206538=EDGE_CURVE('',#166382,#166383,#92050,.T.); #206539=EDGE_CURVE('',#166384,#166382,#92051,.T.); #206540=EDGE_CURVE('',#166385,#166383,#92052,.T.); #206541=EDGE_CURVE('',#166384,#166385,#92053,.T.); #206542=EDGE_CURVE('',#166386,#166384,#67939,.T.); #206543=EDGE_CURVE('',#166387,#166385,#67940,.T.); #206544=EDGE_CURVE('',#166386,#166387,#92054,.T.); #206545=EDGE_CURVE('',#166388,#166386,#92055,.T.); #206546=EDGE_CURVE('',#166389,#166387,#92056,.T.); #206547=EDGE_CURVE('',#166388,#166389,#92057,.T.); #206548=EDGE_CURVE('',#166303,#166388,#67941,.T.); #206549=EDGE_CURVE('',#166304,#166389,#67942,.T.); #206550=EDGE_CURVE('',#166390,#166390,#67943,.T.); #206551=EDGE_CURVE('',#166390,#166391,#92058,.T.); #206552=EDGE_CURVE('',#166391,#166391,#67944,.T.); #206553=EDGE_CURVE('',#166392,#166392,#67945,.T.); #206554=EDGE_CURVE('',#166392,#166393,#92059,.T.); #206555=EDGE_CURVE('',#166393,#166393,#67946,.T.); #206556=EDGE_CURVE('',#166394,#166395,#92060,.T.); #206557=EDGE_CURVE('',#166395,#166396,#92061,.T.); #206558=EDGE_CURVE('',#166397,#166396,#92062,.T.); #206559=EDGE_CURVE('',#166394,#166397,#92063,.T.); #206560=EDGE_CURVE('',#166398,#166394,#67947,.T.); #206561=EDGE_CURVE('',#166399,#166397,#67948,.T.); #206562=EDGE_CURVE('',#166398,#166399,#92064,.T.); #206563=EDGE_CURVE('',#166400,#166398,#67949,.T.); #206564=EDGE_CURVE('',#166401,#166399,#67950,.T.); #206565=EDGE_CURVE('',#166400,#166401,#92065,.T.); #206566=EDGE_CURVE('',#166402,#166400,#92066,.T.); #206567=EDGE_CURVE('',#166403,#166401,#92067,.T.); #206568=EDGE_CURVE('',#166402,#166403,#92068,.T.); #206569=EDGE_CURVE('',#166404,#166402,#92069,.T.); #206570=EDGE_CURVE('',#166405,#166403,#92070,.T.); #206571=EDGE_CURVE('',#166404,#166405,#92071,.T.); #206572=EDGE_CURVE('',#166406,#166404,#67951,.T.); #206573=EDGE_CURVE('',#166407,#166405,#67952,.T.); #206574=EDGE_CURVE('',#166406,#166407,#92072,.T.); #206575=EDGE_CURVE('',#166408,#166406,#67953,.T.); #206576=EDGE_CURVE('',#166409,#166407,#67954,.T.); #206577=EDGE_CURVE('',#166408,#166409,#92073,.T.); #206578=EDGE_CURVE('',#166410,#166408,#92074,.T.); #206579=EDGE_CURVE('',#166411,#166409,#92075,.T.); #206580=EDGE_CURVE('',#166410,#166411,#92076,.T.); #206581=EDGE_CURVE('',#166412,#166410,#67955,.T.); #206582=EDGE_CURVE('',#166413,#166411,#67956,.T.); #206583=EDGE_CURVE('',#166412,#166413,#92077,.T.); #206584=EDGE_CURVE('',#166414,#166412,#92078,.T.); #206585=EDGE_CURVE('',#166415,#166413,#92079,.T.); #206586=EDGE_CURVE('',#166414,#166415,#92080,.T.); #206587=EDGE_CURVE('',#166395,#166414,#67957,.T.); #206588=EDGE_CURVE('',#166396,#166415,#67958,.T.); #206589=EDGE_CURVE('',#166416,#166416,#67959,.T.); #206590=EDGE_CURVE('',#166416,#166417,#92081,.T.); #206591=EDGE_CURVE('',#166417,#166417,#67960,.T.); #206592=EDGE_CURVE('',#166418,#166419,#92082,.T.); #206593=EDGE_CURVE('',#166419,#166420,#92083,.T.); #206594=EDGE_CURVE('',#166421,#166420,#92084,.T.); #206595=EDGE_CURVE('',#166418,#166421,#92085,.T.); #206596=EDGE_CURVE('',#166422,#166418,#92086,.T.); #206597=EDGE_CURVE('',#166423,#166421,#92087,.T.); #206598=EDGE_CURVE('',#166422,#166423,#92088,.T.); #206599=EDGE_CURVE('',#166424,#166422,#92089,.T.); #206600=EDGE_CURVE('',#166425,#166423,#92090,.T.); #206601=EDGE_CURVE('',#166424,#166425,#92091,.T.); #206602=EDGE_CURVE('',#166426,#166424,#92092,.T.); #206603=EDGE_CURVE('',#166427,#166425,#92093,.T.); #206604=EDGE_CURVE('',#166426,#166427,#92094,.T.); #206605=EDGE_CURVE('',#166428,#166426,#92095,.T.); #206606=EDGE_CURVE('',#166429,#166427,#92096,.T.); #206607=EDGE_CURVE('',#166428,#166429,#92097,.T.); #206608=EDGE_CURVE('',#166430,#166428,#67961,.T.); #206609=EDGE_CURVE('',#166431,#166429,#67962,.T.); #206610=EDGE_CURVE('',#166430,#166431,#92098,.T.); #206611=EDGE_CURVE('',#166432,#166430,#92099,.T.); #206612=EDGE_CURVE('',#166433,#166431,#92100,.T.); #206613=EDGE_CURVE('',#166432,#166433,#92101,.T.); #206614=EDGE_CURVE('',#166434,#166432,#67963,.T.); #206615=EDGE_CURVE('',#166435,#166433,#67964,.T.); #206616=EDGE_CURVE('',#166434,#166435,#92102,.T.); #206617=EDGE_CURVE('',#166436,#166434,#67965,.T.); #206618=EDGE_CURVE('',#166437,#166435,#67966,.T.); #206619=EDGE_CURVE('',#166436,#166437,#92103,.T.); #206620=EDGE_CURVE('',#166438,#166436,#67967,.T.); #206621=EDGE_CURVE('',#166439,#166437,#67968,.T.); #206622=EDGE_CURVE('',#166438,#166439,#92104,.T.); #206623=EDGE_CURVE('',#166440,#166438,#92105,.T.); #206624=EDGE_CURVE('',#166441,#166439,#92106,.T.); #206625=EDGE_CURVE('',#166440,#166441,#92107,.T.); #206626=EDGE_CURVE('',#166442,#166440,#67969,.T.); #206627=EDGE_CURVE('',#166443,#166441,#67970,.T.); #206628=EDGE_CURVE('',#166442,#166443,#92108,.T.); #206629=EDGE_CURVE('',#166444,#166442,#92109,.T.); #206630=EDGE_CURVE('',#166445,#166443,#92110,.T.); #206631=EDGE_CURVE('',#166444,#166445,#92111,.T.); #206632=EDGE_CURVE('',#166446,#166444,#92112,.T.); #206633=EDGE_CURVE('',#166447,#166445,#92113,.T.); #206634=EDGE_CURVE('',#166446,#166447,#92114,.T.); #206635=EDGE_CURVE('',#166448,#166446,#92115,.T.); #206636=EDGE_CURVE('',#166449,#166447,#92116,.T.); #206637=EDGE_CURVE('',#166448,#166449,#92117,.T.); #206638=EDGE_CURVE('',#166450,#166448,#92118,.T.); #206639=EDGE_CURVE('',#166451,#166449,#92119,.T.); #206640=EDGE_CURVE('',#166450,#166451,#92120,.T.); #206641=EDGE_CURVE('',#166452,#166450,#92121,.T.); #206642=EDGE_CURVE('',#166453,#166451,#92122,.T.); #206643=EDGE_CURVE('',#166452,#166453,#92123,.T.); #206644=EDGE_CURVE('',#166454,#166452,#92124,.T.); #206645=EDGE_CURVE('',#166455,#166453,#92125,.T.); #206646=EDGE_CURVE('',#166454,#166455,#92126,.T.); #206647=EDGE_CURVE('',#166456,#166454,#92127,.T.); #206648=EDGE_CURVE('',#166457,#166455,#92128,.T.); #206649=EDGE_CURVE('',#166456,#166457,#92129,.T.); #206650=EDGE_CURVE('',#166458,#166456,#92130,.T.); #206651=EDGE_CURVE('',#166459,#166457,#92131,.T.); #206652=EDGE_CURVE('',#166458,#166459,#92132,.T.); #206653=EDGE_CURVE('',#166460,#166458,#67971,.T.); #206654=EDGE_CURVE('',#166461,#166459,#67972,.T.); #206655=EDGE_CURVE('',#166460,#166461,#92133,.T.); #206656=EDGE_CURVE('',#166462,#166460,#92134,.T.); #206657=EDGE_CURVE('',#166463,#166461,#92135,.T.); #206658=EDGE_CURVE('',#166462,#166463,#92136,.T.); #206659=EDGE_CURVE('',#166464,#166462,#92137,.T.); #206660=EDGE_CURVE('',#166465,#166463,#92138,.T.); #206661=EDGE_CURVE('',#166464,#166465,#92139,.T.); #206662=EDGE_CURVE('',#166419,#166464,#92140,.T.); #206663=EDGE_CURVE('',#166420,#166465,#92141,.T.); #206664=EDGE_CURVE('',#166466,#166466,#67973,.T.); #206665=EDGE_CURVE('',#166466,#166467,#92142,.T.); #206666=EDGE_CURVE('',#166467,#166467,#67974,.T.); #206667=EDGE_CURVE('',#166468,#166469,#92143,.T.); #206668=EDGE_CURVE('',#166469,#166470,#92144,.T.); #206669=EDGE_CURVE('',#166471,#166470,#92145,.T.); #206670=EDGE_CURVE('',#166468,#166471,#92146,.T.); #206671=EDGE_CURVE('',#166472,#166468,#67975,.T.); #206672=EDGE_CURVE('',#166473,#166471,#67976,.T.); #206673=EDGE_CURVE('',#166472,#166473,#92147,.T.); #206674=EDGE_CURVE('',#166474,#166472,#67977,.T.); #206675=EDGE_CURVE('',#166475,#166473,#67978,.T.); #206676=EDGE_CURVE('',#166474,#166475,#92148,.T.); #206677=EDGE_CURVE('',#166476,#166474,#92149,.T.); #206678=EDGE_CURVE('',#166477,#166475,#92150,.T.); #206679=EDGE_CURVE('',#166476,#166477,#92151,.T.); #206680=EDGE_CURVE('',#166478,#166476,#67979,.T.); #206681=EDGE_CURVE('',#166479,#166477,#67980,.T.); #206682=EDGE_CURVE('',#166478,#166479,#92152,.T.); #206683=EDGE_CURVE('',#166480,#166478,#92153,.T.); #206684=EDGE_CURVE('',#166481,#166479,#92154,.T.); #206685=EDGE_CURVE('',#166480,#166481,#92155,.T.); #206686=EDGE_CURVE('',#166482,#166480,#92156,.T.); #206687=EDGE_CURVE('',#166483,#166481,#92157,.T.); #206688=EDGE_CURVE('',#166482,#166483,#92158,.T.); #206689=EDGE_CURVE('',#166484,#166482,#92159,.T.); #206690=EDGE_CURVE('',#166485,#166483,#92160,.T.); #206691=EDGE_CURVE('',#166484,#166485,#92161,.T.); #206692=EDGE_CURVE('',#166486,#166484,#92162,.T.); #206693=EDGE_CURVE('',#166487,#166485,#92163,.T.); #206694=EDGE_CURVE('',#166486,#166487,#92164,.T.); #206695=EDGE_CURVE('',#166488,#166486,#92165,.T.); #206696=EDGE_CURVE('',#166489,#166487,#92166,.T.); #206697=EDGE_CURVE('',#166488,#166489,#92167,.T.); #206698=EDGE_CURVE('',#166490,#166488,#92168,.T.); #206699=EDGE_CURVE('',#166491,#166489,#92169,.T.); #206700=EDGE_CURVE('',#166490,#166491,#92170,.T.); #206701=EDGE_CURVE('',#166492,#166490,#92171,.T.); #206702=EDGE_CURVE('',#166493,#166491,#92172,.T.); #206703=EDGE_CURVE('',#166492,#166493,#92173,.T.); #206704=EDGE_CURVE('',#166494,#166492,#92174,.T.); #206705=EDGE_CURVE('',#166495,#166493,#92175,.T.); #206706=EDGE_CURVE('',#166494,#166495,#92176,.T.); #206707=EDGE_CURVE('',#166496,#166494,#67981,.T.); #206708=EDGE_CURVE('',#166497,#166495,#67982,.T.); #206709=EDGE_CURVE('',#166496,#166497,#92177,.T.); #206710=EDGE_CURVE('',#166498,#166496,#92178,.T.); #206711=EDGE_CURVE('',#166499,#166497,#92179,.T.); #206712=EDGE_CURVE('',#166498,#166499,#92180,.T.); #206713=EDGE_CURVE('',#166500,#166498,#92181,.T.); #206714=EDGE_CURVE('',#166501,#166499,#92182,.T.); #206715=EDGE_CURVE('',#166500,#166501,#92183,.T.); #206716=EDGE_CURVE('',#166502,#166500,#92184,.T.); #206717=EDGE_CURVE('',#166503,#166501,#92185,.T.); #206718=EDGE_CURVE('',#166502,#166503,#92186,.T.); #206719=EDGE_CURVE('',#166504,#166502,#92187,.T.); #206720=EDGE_CURVE('',#166505,#166503,#92188,.T.); #206721=EDGE_CURVE('',#166504,#166505,#92189,.T.); #206722=EDGE_CURVE('',#166506,#166504,#92190,.T.); #206723=EDGE_CURVE('',#166507,#166505,#92191,.T.); #206724=EDGE_CURVE('',#166506,#166507,#92192,.T.); #206725=EDGE_CURVE('',#166508,#166506,#92193,.T.); #206726=EDGE_CURVE('',#166509,#166507,#92194,.T.); #206727=EDGE_CURVE('',#166508,#166509,#92195,.T.); #206728=EDGE_CURVE('',#166510,#166508,#92196,.T.); #206729=EDGE_CURVE('',#166511,#166509,#92197,.T.); #206730=EDGE_CURVE('',#166510,#166511,#92198,.T.); #206731=EDGE_CURVE('',#166512,#166510,#92199,.T.); #206732=EDGE_CURVE('',#166513,#166511,#92200,.T.); #206733=EDGE_CURVE('',#166512,#166513,#92201,.T.); #206734=EDGE_CURVE('',#166514,#166512,#67983,.T.); #206735=EDGE_CURVE('',#166515,#166513,#67984,.T.); #206736=EDGE_CURVE('',#166514,#166515,#92202,.T.); #206737=EDGE_CURVE('',#166516,#166514,#92203,.T.); #206738=EDGE_CURVE('',#166517,#166515,#92204,.T.); #206739=EDGE_CURVE('',#166516,#166517,#92205,.T.); #206740=EDGE_CURVE('',#166518,#166516,#67985,.T.); #206741=EDGE_CURVE('',#166519,#166517,#67986,.T.); #206742=EDGE_CURVE('',#166518,#166519,#92206,.T.); #206743=EDGE_CURVE('',#166469,#166518,#67987,.T.); #206744=EDGE_CURVE('',#166470,#166519,#67988,.T.); #206745=EDGE_CURVE('',#166520,#166520,#67989,.T.); #206746=EDGE_CURVE('',#166520,#166521,#92207,.T.); #206747=EDGE_CURVE('',#166521,#166521,#67990,.T.); #206748=EDGE_CURVE('',#166522,#166522,#67991,.T.); #206749=EDGE_CURVE('',#166522,#166523,#92208,.T.); #206750=EDGE_CURVE('',#166523,#166523,#67992,.T.); #206751=EDGE_CURVE('',#166524,#166525,#92209,.T.); #206752=EDGE_CURVE('',#166525,#166526,#92210,.T.); #206753=EDGE_CURVE('',#166527,#166526,#92211,.T.); #206754=EDGE_CURVE('',#166524,#166527,#92212,.T.); #206755=EDGE_CURVE('',#166528,#166524,#92213,.T.); #206756=EDGE_CURVE('',#166529,#166527,#92214,.T.); #206757=EDGE_CURVE('',#166528,#166529,#92215,.T.); #206758=EDGE_CURVE('',#166530,#166528,#67993,.T.); #206759=EDGE_CURVE('',#166531,#166529,#67994,.T.); #206760=EDGE_CURVE('',#166530,#166531,#92216,.T.); #206761=EDGE_CURVE('',#166532,#166530,#92217,.T.); #206762=EDGE_CURVE('',#166533,#166531,#92218,.T.); #206763=EDGE_CURVE('',#166532,#166533,#92219,.T.); #206764=EDGE_CURVE('',#166534,#166532,#92220,.T.); #206765=EDGE_CURVE('',#166535,#166533,#92221,.T.); #206766=EDGE_CURVE('',#166534,#166535,#92222,.T.); #206767=EDGE_CURVE('',#166536,#166534,#67995,.T.); #206768=EDGE_CURVE('',#166537,#166535,#67996,.T.); #206769=EDGE_CURVE('',#166536,#166537,#92223,.T.); #206770=EDGE_CURVE('',#166538,#166536,#67997,.T.); #206771=EDGE_CURVE('',#166539,#166537,#67998,.T.); #206772=EDGE_CURVE('',#166538,#166539,#92224,.T.); #206773=EDGE_CURVE('',#166540,#166538,#92225,.T.); #206774=EDGE_CURVE('',#166541,#166539,#92226,.T.); #206775=EDGE_CURVE('',#166540,#166541,#92227,.T.); #206776=EDGE_CURVE('',#166542,#166540,#67999,.T.); #206777=EDGE_CURVE('',#166543,#166541,#68000,.T.); #206778=EDGE_CURVE('',#166542,#166543,#92228,.T.); #206779=EDGE_CURVE('',#166544,#166542,#92229,.T.); #206780=EDGE_CURVE('',#166545,#166543,#92230,.T.); #206781=EDGE_CURVE('',#166544,#166545,#92231,.T.); #206782=EDGE_CURVE('',#166546,#166544,#92232,.T.); #206783=EDGE_CURVE('',#166547,#166545,#92233,.T.); #206784=EDGE_CURVE('',#166546,#166547,#92234,.T.); #206785=EDGE_CURVE('',#166548,#166546,#92235,.T.); #206786=EDGE_CURVE('',#166549,#166547,#92236,.T.); #206787=EDGE_CURVE('',#166548,#166549,#92237,.T.); #206788=EDGE_CURVE('',#166550,#166548,#68001,.T.); #206789=EDGE_CURVE('',#166551,#166549,#68002,.T.); #206790=EDGE_CURVE('',#166550,#166551,#92238,.T.); #206791=EDGE_CURVE('',#166552,#166550,#92239,.T.); #206792=EDGE_CURVE('',#166553,#166551,#92240,.T.); #206793=EDGE_CURVE('',#166552,#166553,#92241,.T.); #206794=EDGE_CURVE('',#166554,#166552,#92242,.T.); #206795=EDGE_CURVE('',#166555,#166553,#92243,.T.); #206796=EDGE_CURVE('',#166554,#166555,#92244,.T.); #206797=EDGE_CURVE('',#166556,#166554,#92245,.T.); #206798=EDGE_CURVE('',#166557,#166555,#92246,.T.); #206799=EDGE_CURVE('',#166556,#166557,#92247,.T.); #206800=EDGE_CURVE('',#166558,#166556,#92248,.T.); #206801=EDGE_CURVE('',#166559,#166557,#92249,.T.); #206802=EDGE_CURVE('',#166558,#166559,#92250,.T.); #206803=EDGE_CURVE('',#166560,#166558,#92251,.T.); #206804=EDGE_CURVE('',#166561,#166559,#92252,.T.); #206805=EDGE_CURVE('',#166560,#166561,#92253,.T.); #206806=EDGE_CURVE('',#166562,#166560,#68003,.T.); #206807=EDGE_CURVE('',#166563,#166561,#68004,.T.); #206808=EDGE_CURVE('',#166562,#166563,#92254,.T.); #206809=EDGE_CURVE('',#166564,#166562,#92255,.T.); #206810=EDGE_CURVE('',#166565,#166563,#92256,.T.); #206811=EDGE_CURVE('',#166564,#166565,#92257,.T.); #206812=EDGE_CURVE('',#166566,#166564,#92258,.T.); #206813=EDGE_CURVE('',#166567,#166565,#92259,.T.); #206814=EDGE_CURVE('',#166566,#166567,#92260,.T.); #206815=EDGE_CURVE('',#166568,#166566,#68005,.T.); #206816=EDGE_CURVE('',#166569,#166567,#68006,.T.); #206817=EDGE_CURVE('',#166568,#166569,#92261,.T.); #206818=EDGE_CURVE('',#166570,#166568,#92262,.T.); #206819=EDGE_CURVE('',#166571,#166569,#92263,.T.); #206820=EDGE_CURVE('',#166570,#166571,#92264,.T.); #206821=EDGE_CURVE('',#166572,#166570,#68007,.T.); #206822=EDGE_CURVE('',#166573,#166571,#68008,.T.); #206823=EDGE_CURVE('',#166572,#166573,#92265,.T.); #206824=EDGE_CURVE('',#166574,#166572,#92266,.T.); #206825=EDGE_CURVE('',#166575,#166573,#92267,.T.); #206826=EDGE_CURVE('',#166574,#166575,#92268,.T.); #206827=EDGE_CURVE('',#166576,#166574,#92269,.T.); #206828=EDGE_CURVE('',#166577,#166575,#92270,.T.); #206829=EDGE_CURVE('',#166576,#166577,#92271,.T.); #206830=EDGE_CURVE('',#166578,#166576,#92272,.T.); #206831=EDGE_CURVE('',#166579,#166577,#92273,.T.); #206832=EDGE_CURVE('',#166578,#166579,#92274,.T.); #206833=EDGE_CURVE('',#166580,#166578,#68009,.T.); #206834=EDGE_CURVE('',#166581,#166579,#68010,.T.); #206835=EDGE_CURVE('',#166580,#166581,#92275,.T.); #206836=EDGE_CURVE('',#166582,#166580,#92276,.T.); #206837=EDGE_CURVE('',#166583,#166581,#92277,.T.); #206838=EDGE_CURVE('',#166582,#166583,#92278,.T.); #206839=EDGE_CURVE('',#166584,#166582,#68011,.T.); #206840=EDGE_CURVE('',#166585,#166583,#68012,.T.); #206841=EDGE_CURVE('',#166584,#166585,#92279,.T.); #206842=EDGE_CURVE('',#166586,#166584,#92280,.T.); #206843=EDGE_CURVE('',#166587,#166585,#92281,.T.); #206844=EDGE_CURVE('',#166586,#166587,#92282,.T.); #206845=EDGE_CURVE('',#166588,#166586,#68013,.T.); #206846=EDGE_CURVE('',#166589,#166587,#68014,.T.); #206847=EDGE_CURVE('',#166588,#166589,#92283,.T.); #206848=EDGE_CURVE('',#166590,#166588,#92284,.T.); #206849=EDGE_CURVE('',#166591,#166589,#92285,.T.); #206850=EDGE_CURVE('',#166590,#166591,#92286,.T.); #206851=EDGE_CURVE('',#166592,#166590,#92287,.T.); #206852=EDGE_CURVE('',#166593,#166591,#92288,.T.); #206853=EDGE_CURVE('',#166592,#166593,#92289,.T.); #206854=EDGE_CURVE('',#166594,#166592,#68015,.T.); #206855=EDGE_CURVE('',#166595,#166593,#68016,.T.); #206856=EDGE_CURVE('',#166594,#166595,#92290,.T.); #206857=EDGE_CURVE('',#166596,#166594,#68017,.T.); #206858=EDGE_CURVE('',#166597,#166595,#68018,.T.); #206859=EDGE_CURVE('',#166596,#166597,#92291,.T.); #206860=EDGE_CURVE('',#166598,#166596,#92292,.T.); #206861=EDGE_CURVE('',#166599,#166597,#92293,.T.); #206862=EDGE_CURVE('',#166598,#166599,#92294,.T.); #206863=EDGE_CURVE('',#166600,#166598,#68019,.T.); #206864=EDGE_CURVE('',#166601,#166599,#68020,.T.); #206865=EDGE_CURVE('',#166600,#166601,#92295,.T.); #206866=EDGE_CURVE('',#166602,#166600,#92296,.T.); #206867=EDGE_CURVE('',#166603,#166601,#92297,.T.); #206868=EDGE_CURVE('',#166602,#166603,#92298,.T.); #206869=EDGE_CURVE('',#166604,#166602,#92299,.T.); #206870=EDGE_CURVE('',#166605,#166603,#92300,.T.); #206871=EDGE_CURVE('',#166604,#166605,#92301,.T.); #206872=EDGE_CURVE('',#166606,#166604,#92302,.T.); #206873=EDGE_CURVE('',#166607,#166605,#92303,.T.); #206874=EDGE_CURVE('',#166606,#166607,#92304,.T.); #206875=EDGE_CURVE('',#166608,#166606,#92305,.T.); #206876=EDGE_CURVE('',#166609,#166607,#92306,.T.); #206877=EDGE_CURVE('',#166608,#166609,#92307,.T.); #206878=EDGE_CURVE('',#166610,#166608,#68021,.T.); #206879=EDGE_CURVE('',#166611,#166609,#68022,.T.); #206880=EDGE_CURVE('',#166610,#166611,#92308,.T.); #206881=EDGE_CURVE('',#166612,#166610,#92309,.T.); #206882=EDGE_CURVE('',#166613,#166611,#92310,.T.); #206883=EDGE_CURVE('',#166612,#166613,#92311,.T.); #206884=EDGE_CURVE('',#166614,#166612,#68023,.T.); #206885=EDGE_CURVE('',#166615,#166613,#68024,.T.); #206886=EDGE_CURVE('',#166614,#166615,#92312,.T.); #206887=EDGE_CURVE('',#166616,#166614,#92313,.T.); #206888=EDGE_CURVE('',#166617,#166615,#92314,.T.); #206889=EDGE_CURVE('',#166616,#166617,#92315,.T.); #206890=EDGE_CURVE('',#166618,#166616,#92316,.T.); #206891=EDGE_CURVE('',#166619,#166617,#92317,.T.); #206892=EDGE_CURVE('',#166618,#166619,#92318,.T.); #206893=EDGE_CURVE('',#166620,#166618,#92319,.T.); #206894=EDGE_CURVE('',#166621,#166619,#92320,.T.); #206895=EDGE_CURVE('',#166620,#166621,#92321,.T.); #206896=EDGE_CURVE('',#166622,#166620,#68025,.T.); #206897=EDGE_CURVE('',#166623,#166621,#68026,.T.); #206898=EDGE_CURVE('',#166622,#166623,#92322,.T.); #206899=EDGE_CURVE('',#166624,#166622,#92323,.T.); #206900=EDGE_CURVE('',#166625,#166623,#92324,.T.); #206901=EDGE_CURVE('',#166624,#166625,#92325,.T.); #206902=EDGE_CURVE('',#166626,#166624,#92326,.T.); #206903=EDGE_CURVE('',#166627,#166625,#92327,.T.); #206904=EDGE_CURVE('',#166626,#166627,#92328,.T.); #206905=EDGE_CURVE('',#166628,#166626,#68027,.T.); #206906=EDGE_CURVE('',#166629,#166627,#68028,.T.); #206907=EDGE_CURVE('',#166628,#166629,#92329,.T.); #206908=EDGE_CURVE('',#166630,#166628,#92330,.T.); #206909=EDGE_CURVE('',#166631,#166629,#92331,.T.); #206910=EDGE_CURVE('',#166630,#166631,#92332,.T.); #206911=EDGE_CURVE('',#166632,#166630,#68029,.T.); #206912=EDGE_CURVE('',#166633,#166631,#68030,.T.); #206913=EDGE_CURVE('',#166632,#166633,#92333,.T.); #206914=EDGE_CURVE('',#166634,#166632,#92334,.T.); #206915=EDGE_CURVE('',#166635,#166633,#92335,.T.); #206916=EDGE_CURVE('',#166634,#166635,#92336,.T.); #206917=EDGE_CURVE('',#166636,#166634,#68031,.T.); #206918=EDGE_CURVE('',#166637,#166635,#68032,.T.); #206919=EDGE_CURVE('',#166636,#166637,#92337,.T.); #206920=EDGE_CURVE('',#166638,#166636,#92338,.T.); #206921=EDGE_CURVE('',#166639,#166637,#92339,.T.); #206922=EDGE_CURVE('',#166638,#166639,#92340,.T.); #206923=EDGE_CURVE('',#166640,#166638,#68033,.T.); #206924=EDGE_CURVE('',#166641,#166639,#68034,.T.); #206925=EDGE_CURVE('',#166640,#166641,#92341,.T.); #206926=EDGE_CURVE('',#166642,#166640,#92342,.T.); #206927=EDGE_CURVE('',#166643,#166641,#92343,.T.); #206928=EDGE_CURVE('',#166642,#166643,#92344,.T.); #206929=EDGE_CURVE('',#166644,#166642,#92345,.T.); #206930=EDGE_CURVE('',#166645,#166643,#92346,.T.); #206931=EDGE_CURVE('',#166644,#166645,#92347,.T.); #206932=EDGE_CURVE('',#166525,#166644,#68035,.T.); #206933=EDGE_CURVE('',#166526,#166645,#68036,.T.); #206934=EDGE_CURVE('',#166646,#166646,#68037,.T.); #206935=EDGE_CURVE('',#166646,#166647,#92348,.T.); #206936=EDGE_CURVE('',#166647,#166647,#68038,.T.); #206937=EDGE_CURVE('',#166648,#166648,#68039,.T.); #206938=EDGE_CURVE('',#166648,#166649,#92349,.T.); #206939=EDGE_CURVE('',#166649,#166649,#68040,.T.); #206940=EDGE_CURVE('',#166650,#166651,#92350,.T.); #206941=EDGE_CURVE('',#166651,#166652,#92351,.T.); #206942=EDGE_CURVE('',#166653,#166652,#92352,.T.); #206943=EDGE_CURVE('',#166650,#166653,#92353,.T.); #206944=EDGE_CURVE('',#166654,#166650,#68041,.T.); #206945=EDGE_CURVE('',#166655,#166653,#68042,.T.); #206946=EDGE_CURVE('',#166654,#166655,#92354,.T.); #206947=EDGE_CURVE('',#166656,#166654,#68043,.T.); #206948=EDGE_CURVE('',#166657,#166655,#68044,.T.); #206949=EDGE_CURVE('',#166656,#166657,#92355,.T.); #206950=EDGE_CURVE('',#166658,#166656,#92356,.T.); #206951=EDGE_CURVE('',#166659,#166657,#92357,.T.); #206952=EDGE_CURVE('',#166658,#166659,#92358,.T.); #206953=EDGE_CURVE('',#166660,#166658,#68045,.T.); #206954=EDGE_CURVE('',#166661,#166659,#68046,.T.); #206955=EDGE_CURVE('',#166660,#166661,#92359,.T.); #206956=EDGE_CURVE('',#166662,#166660,#68047,.T.); #206957=EDGE_CURVE('',#166663,#166661,#68048,.T.); #206958=EDGE_CURVE('',#166662,#166663,#92360,.T.); #206959=EDGE_CURVE('',#166664,#166662,#92361,.T.); #206960=EDGE_CURVE('',#166665,#166663,#92362,.T.); #206961=EDGE_CURVE('',#166664,#166665,#92363,.T.); #206962=EDGE_CURVE('',#166651,#166664,#68049,.T.); #206963=EDGE_CURVE('',#166652,#166665,#68050,.T.); #206964=EDGE_CURVE('',#166666,#166666,#68051,.T.); #206965=EDGE_CURVE('',#166666,#166667,#92364,.T.); #206966=EDGE_CURVE('',#166667,#166667,#68052,.T.); #206967=EDGE_CURVE('',#166668,#166668,#68053,.T.); #206968=EDGE_CURVE('',#166668,#166669,#92365,.T.); #206969=EDGE_CURVE('',#166669,#166669,#68054,.T.); #206970=EDGE_CURVE('',#166670,#166671,#92366,.T.); #206971=EDGE_CURVE('',#166671,#166672,#92367,.T.); #206972=EDGE_CURVE('',#166673,#166672,#92368,.T.); #206973=EDGE_CURVE('',#166670,#166673,#92369,.T.); #206974=EDGE_CURVE('',#166674,#166670,#68055,.T.); #206975=EDGE_CURVE('',#166675,#166673,#68056,.T.); #206976=EDGE_CURVE('',#166674,#166675,#92370,.T.); #206977=EDGE_CURVE('',#166676,#166674,#68057,.T.); #206978=EDGE_CURVE('',#166677,#166675,#68058,.T.); #206979=EDGE_CURVE('',#166676,#166677,#92371,.T.); #206980=EDGE_CURVE('',#166678,#166676,#92372,.T.); #206981=EDGE_CURVE('',#166679,#166677,#92373,.T.); #206982=EDGE_CURVE('',#166678,#166679,#92374,.T.); #206983=EDGE_CURVE('',#166680,#166678,#68059,.T.); #206984=EDGE_CURVE('',#166681,#166679,#68060,.T.); #206985=EDGE_CURVE('',#166680,#166681,#92375,.T.); #206986=EDGE_CURVE('',#166682,#166680,#68061,.T.); #206987=EDGE_CURVE('',#166683,#166681,#68062,.T.); #206988=EDGE_CURVE('',#166682,#166683,#92376,.T.); #206989=EDGE_CURVE('',#166684,#166682,#92377,.T.); #206990=EDGE_CURVE('',#166685,#166683,#92378,.T.); #206991=EDGE_CURVE('',#166684,#166685,#92379,.T.); #206992=EDGE_CURVE('',#166671,#166684,#68063,.T.); #206993=EDGE_CURVE('',#166672,#166685,#68064,.T.); #206994=EDGE_CURVE('',#166686,#166686,#68065,.T.); #206995=EDGE_CURVE('',#166686,#166687,#92380,.T.); #206996=EDGE_CURVE('',#166687,#166687,#68066,.T.); #206997=EDGE_CURVE('',#166688,#166688,#68067,.T.); #206998=EDGE_CURVE('',#166688,#166689,#92381,.T.); #206999=EDGE_CURVE('',#166689,#166689,#68068,.T.); #207000=EDGE_CURVE('',#166690,#166691,#92382,.T.); #207001=EDGE_CURVE('',#166691,#166692,#92383,.T.); #207002=EDGE_CURVE('',#166693,#166692,#92384,.T.); #207003=EDGE_CURVE('',#166690,#166693,#92385,.T.); #207004=EDGE_CURVE('',#166694,#166690,#68069,.T.); #207005=EDGE_CURVE('',#166695,#166693,#68070,.T.); #207006=EDGE_CURVE('',#166694,#166695,#92386,.T.); #207007=EDGE_CURVE('',#166696,#166694,#68071,.T.); #207008=EDGE_CURVE('',#166697,#166695,#68072,.T.); #207009=EDGE_CURVE('',#166696,#166697,#92387,.T.); #207010=EDGE_CURVE('',#166698,#166696,#92388,.T.); #207011=EDGE_CURVE('',#166699,#166697,#92389,.T.); #207012=EDGE_CURVE('',#166698,#166699,#92390,.T.); #207013=EDGE_CURVE('',#166700,#166698,#68073,.T.); #207014=EDGE_CURVE('',#166701,#166699,#68074,.T.); #207015=EDGE_CURVE('',#166700,#166701,#92391,.T.); #207016=EDGE_CURVE('',#166702,#166700,#68075,.T.); #207017=EDGE_CURVE('',#166703,#166701,#68076,.T.); #207018=EDGE_CURVE('',#166702,#166703,#92392,.T.); #207019=EDGE_CURVE('',#166704,#166702,#92393,.T.); #207020=EDGE_CURVE('',#166705,#166703,#92394,.T.); #207021=EDGE_CURVE('',#166704,#166705,#92395,.T.); #207022=EDGE_CURVE('',#166691,#166704,#68077,.T.); #207023=EDGE_CURVE('',#166692,#166705,#68078,.T.); #207024=EDGE_CURVE('',#166706,#166706,#68079,.T.); #207025=EDGE_CURVE('',#166706,#166707,#92396,.T.); #207026=EDGE_CURVE('',#166707,#166707,#68080,.T.); #207027=EDGE_CURVE('',#166708,#166708,#68081,.T.); #207028=EDGE_CURVE('',#166708,#166709,#92397,.T.); #207029=EDGE_CURVE('',#166709,#166709,#68082,.T.); #207030=EDGE_CURVE('',#166710,#166711,#92398,.T.); #207031=EDGE_CURVE('',#166711,#166712,#92399,.T.); #207032=EDGE_CURVE('',#166713,#166712,#92400,.T.); #207033=EDGE_CURVE('',#166710,#166713,#92401,.T.); #207034=EDGE_CURVE('',#166714,#166710,#68083,.T.); #207035=EDGE_CURVE('',#166715,#166713,#68084,.T.); #207036=EDGE_CURVE('',#166714,#166715,#92402,.T.); #207037=EDGE_CURVE('',#166716,#166714,#92403,.T.); #207038=EDGE_CURVE('',#166717,#166715,#92404,.T.); #207039=EDGE_CURVE('',#166716,#166717,#92405,.T.); #207040=EDGE_CURVE('',#166718,#166716,#92406,.T.); #207041=EDGE_CURVE('',#166719,#166717,#92407,.T.); #207042=EDGE_CURVE('',#166718,#166719,#92408,.T.); #207043=EDGE_CURVE('',#166720,#166718,#92409,.T.); #207044=EDGE_CURVE('',#166721,#166719,#92410,.T.); #207045=EDGE_CURVE('',#166720,#166721,#92411,.T.); #207046=EDGE_CURVE('',#166722,#166720,#68085,.T.); #207047=EDGE_CURVE('',#166723,#166721,#68086,.T.); #207048=EDGE_CURVE('',#166722,#166723,#92412,.T.); #207049=EDGE_CURVE('',#166724,#166722,#68087,.T.); #207050=EDGE_CURVE('',#166725,#166723,#68088,.T.); #207051=EDGE_CURVE('',#166724,#166725,#92413,.T.); #207052=EDGE_CURVE('',#166726,#166724,#92414,.T.); #207053=EDGE_CURVE('',#166727,#166725,#92415,.T.); #207054=EDGE_CURVE('',#166726,#166727,#92416,.T.); #207055=EDGE_CURVE('',#166728,#166726,#68089,.T.); #207056=EDGE_CURVE('',#166729,#166727,#68090,.T.); #207057=EDGE_CURVE('',#166728,#166729,#92417,.T.); #207058=EDGE_CURVE('',#166730,#166728,#92418,.T.); #207059=EDGE_CURVE('',#166731,#166729,#92419,.T.); #207060=EDGE_CURVE('',#166730,#166731,#92420,.T.); #207061=EDGE_CURVE('',#166732,#166730,#68091,.T.); #207062=EDGE_CURVE('',#166733,#166731,#68092,.T.); #207063=EDGE_CURVE('',#166732,#166733,#92421,.T.); #207064=EDGE_CURVE('',#166734,#166732,#92422,.T.); #207065=EDGE_CURVE('',#166735,#166733,#92423,.T.); #207066=EDGE_CURVE('',#166734,#166735,#92424,.T.); #207067=EDGE_CURVE('',#166711,#166734,#68093,.T.); #207068=EDGE_CURVE('',#166712,#166735,#68094,.T.); #207069=EDGE_CURVE('',#166736,#166736,#68095,.T.); #207070=EDGE_CURVE('',#166736,#166737,#92425,.T.); #207071=EDGE_CURVE('',#166737,#166737,#68096,.T.); #207072=EDGE_CURVE('',#166738,#166739,#92426,.T.); #207073=EDGE_CURVE('',#166739,#166740,#92427,.T.); #207074=EDGE_CURVE('',#166741,#166740,#92428,.T.); #207075=EDGE_CURVE('',#166738,#166741,#92429,.T.); #207076=EDGE_CURVE('',#166742,#166738,#92430,.T.); #207077=EDGE_CURVE('',#166743,#166741,#92431,.T.); #207078=EDGE_CURVE('',#166742,#166743,#92432,.T.); #207079=EDGE_CURVE('',#166744,#166742,#92433,.T.); #207080=EDGE_CURVE('',#166745,#166743,#92434,.T.); #207081=EDGE_CURVE('',#166744,#166745,#92435,.T.); #207082=EDGE_CURVE('',#166739,#166744,#92436,.T.); #207083=EDGE_CURVE('',#166740,#166745,#92437,.T.); #207084=EDGE_CURVE('',#166746,#166746,#68097,.T.); #207085=EDGE_CURVE('',#166746,#166747,#92438,.T.); #207086=EDGE_CURVE('',#166747,#166747,#68098,.T.); #207087=EDGE_CURVE('',#166748,#166749,#92439,.T.); #207088=EDGE_CURVE('',#166749,#166750,#92440,.T.); #207089=EDGE_CURVE('',#166751,#166750,#92441,.T.); #207090=EDGE_CURVE('',#166748,#166751,#92442,.T.); #207091=EDGE_CURVE('',#166752,#166748,#92443,.T.); #207092=EDGE_CURVE('',#166753,#166751,#92444,.T.); #207093=EDGE_CURVE('',#166752,#166753,#92445,.T.); #207094=EDGE_CURVE('',#166754,#166752,#92446,.T.); #207095=EDGE_CURVE('',#166755,#166753,#92447,.T.); #207096=EDGE_CURVE('',#166754,#166755,#92448,.T.); #207097=EDGE_CURVE('',#166749,#166754,#92449,.T.); #207098=EDGE_CURVE('',#166750,#166755,#92450,.T.); #207099=EDGE_CURVE('',#166756,#166756,#68099,.T.); #207100=EDGE_CURVE('',#166756,#166757,#92451,.T.); #207101=EDGE_CURVE('',#166757,#166757,#68100,.T.); #207102=EDGE_CURVE('',#166758,#166759,#92452,.T.); #207103=EDGE_CURVE('',#166759,#166760,#92453,.T.); #207104=EDGE_CURVE('',#166761,#166760,#92454,.T.); #207105=EDGE_CURVE('',#166758,#166761,#92455,.T.); #207106=EDGE_CURVE('',#166762,#166758,#92456,.T.); #207107=EDGE_CURVE('',#166763,#166761,#92457,.T.); #207108=EDGE_CURVE('',#166762,#166763,#92458,.T.); #207109=EDGE_CURVE('',#166764,#166762,#92459,.T.); #207110=EDGE_CURVE('',#166765,#166763,#92460,.T.); #207111=EDGE_CURVE('',#166764,#166765,#92461,.T.); #207112=EDGE_CURVE('',#166759,#166764,#92462,.T.); #207113=EDGE_CURVE('',#166760,#166765,#92463,.T.); #207114=EDGE_CURVE('',#166766,#166766,#68101,.T.); #207115=EDGE_CURVE('',#166766,#166767,#92464,.T.); #207116=EDGE_CURVE('',#166767,#166767,#68102,.T.); #207117=EDGE_CURVE('',#166768,#166769,#92465,.T.); #207118=EDGE_CURVE('',#166769,#166770,#92466,.T.); #207119=EDGE_CURVE('',#166771,#166770,#92467,.T.); #207120=EDGE_CURVE('',#166768,#166771,#92468,.T.); #207121=EDGE_CURVE('',#166772,#166768,#68103,.T.); #207122=EDGE_CURVE('',#166773,#166771,#68104,.T.); #207123=EDGE_CURVE('',#166772,#166773,#92469,.T.); #207124=EDGE_CURVE('',#166774,#166772,#92470,.T.); #207125=EDGE_CURVE('',#166775,#166773,#92471,.T.); #207126=EDGE_CURVE('',#166774,#166775,#92472,.T.); #207127=EDGE_CURVE('',#166776,#166774,#92473,.T.); #207128=EDGE_CURVE('',#166777,#166775,#92474,.T.); #207129=EDGE_CURVE('',#166776,#166777,#92475,.T.); #207130=EDGE_CURVE('',#166778,#166776,#92476,.T.); #207131=EDGE_CURVE('',#166779,#166777,#92477,.T.); #207132=EDGE_CURVE('',#166778,#166779,#92478,.T.); #207133=EDGE_CURVE('',#166780,#166778,#92479,.T.); #207134=EDGE_CURVE('',#166781,#166779,#92480,.T.); #207135=EDGE_CURVE('',#166780,#166781,#92481,.T.); #207136=EDGE_CURVE('',#166782,#166780,#92482,.T.); #207137=EDGE_CURVE('',#166783,#166781,#92483,.T.); #207138=EDGE_CURVE('',#166782,#166783,#92484,.T.); #207139=EDGE_CURVE('',#166784,#166782,#92485,.T.); #207140=EDGE_CURVE('',#166785,#166783,#92486,.T.); #207141=EDGE_CURVE('',#166784,#166785,#92487,.T.); #207142=EDGE_CURVE('',#166786,#166784,#92488,.T.); #207143=EDGE_CURVE('',#166787,#166785,#92489,.T.); #207144=EDGE_CURVE('',#166786,#166787,#92490,.T.); #207145=EDGE_CURVE('',#166769,#166786,#68105,.T.); #207146=EDGE_CURVE('',#166770,#166787,#68106,.T.); #207147=EDGE_CURVE('',#166788,#166788,#68107,.T.); #207148=EDGE_CURVE('',#166788,#166789,#92491,.T.); #207149=EDGE_CURVE('',#166789,#166789,#68108,.T.); #207150=EDGE_CURVE('',#166790,#166790,#68109,.T.); #207151=EDGE_CURVE('',#166790,#166791,#92492,.T.); #207152=EDGE_CURVE('',#166791,#166791,#68110,.T.); #207153=EDGE_CURVE('',#166792,#166793,#92493,.T.); #207154=EDGE_CURVE('',#166793,#166794,#92494,.T.); #207155=EDGE_CURVE('',#166795,#166794,#92495,.T.); #207156=EDGE_CURVE('',#166792,#166795,#92496,.T.); #207157=EDGE_CURVE('',#166796,#166792,#92497,.T.); #207158=EDGE_CURVE('',#166797,#166795,#92498,.T.); #207159=EDGE_CURVE('',#166796,#166797,#92499,.T.); #207160=EDGE_CURVE('',#166798,#166796,#68111,.T.); #207161=EDGE_CURVE('',#166799,#166797,#68112,.T.); #207162=EDGE_CURVE('',#166798,#166799,#92500,.T.); #207163=EDGE_CURVE('',#166800,#166798,#92501,.T.); #207164=EDGE_CURVE('',#166801,#166799,#92502,.T.); #207165=EDGE_CURVE('',#166800,#166801,#92503,.T.); #207166=EDGE_CURVE('',#166802,#166800,#92504,.T.); #207167=EDGE_CURVE('',#166803,#166801,#92505,.T.); #207168=EDGE_CURVE('',#166802,#166803,#92506,.T.); #207169=EDGE_CURVE('',#166804,#166802,#68113,.T.); #207170=EDGE_CURVE('',#166805,#166803,#68114,.T.); #207171=EDGE_CURVE('',#166804,#166805,#92507,.T.); #207172=EDGE_CURVE('',#166806,#166804,#92508,.T.); #207173=EDGE_CURVE('',#166807,#166805,#92509,.T.); #207174=EDGE_CURVE('',#166806,#166807,#92510,.T.); #207175=EDGE_CURVE('',#166808,#166806,#92511,.T.); #207176=EDGE_CURVE('',#166809,#166807,#92512,.T.); #207177=EDGE_CURVE('',#166808,#166809,#92513,.T.); #207178=EDGE_CURVE('',#166810,#166808,#68115,.T.); #207179=EDGE_CURVE('',#166811,#166809,#68116,.T.); #207180=EDGE_CURVE('',#166810,#166811,#92514,.T.); #207181=EDGE_CURVE('',#166812,#166810,#68117,.T.); #207182=EDGE_CURVE('',#166813,#166811,#68118,.T.); #207183=EDGE_CURVE('',#166812,#166813,#92515,.T.); #207184=EDGE_CURVE('',#166814,#166812,#92516,.T.); #207185=EDGE_CURVE('',#166815,#166813,#92517,.T.); #207186=EDGE_CURVE('',#166814,#166815,#92518,.T.); #207187=EDGE_CURVE('',#166816,#166814,#68119,.T.); #207188=EDGE_CURVE('',#166817,#166815,#68120,.T.); #207189=EDGE_CURVE('',#166816,#166817,#92519,.T.); #207190=EDGE_CURVE('',#166818,#166816,#92520,.T.); #207191=EDGE_CURVE('',#166819,#166817,#92521,.T.); #207192=EDGE_CURVE('',#166818,#166819,#92522,.T.); #207193=EDGE_CURVE('',#166820,#166818,#92523,.T.); #207194=EDGE_CURVE('',#166821,#166819,#92524,.T.); #207195=EDGE_CURVE('',#166820,#166821,#92525,.T.); #207196=EDGE_CURVE('',#166822,#166820,#68121,.T.); #207197=EDGE_CURVE('',#166823,#166821,#68122,.T.); #207198=EDGE_CURVE('',#166822,#166823,#92526,.T.); #207199=EDGE_CURVE('',#166824,#166822,#92527,.T.); #207200=EDGE_CURVE('',#166825,#166823,#92528,.T.); #207201=EDGE_CURVE('',#166824,#166825,#92529,.T.); #207202=EDGE_CURVE('',#166826,#166824,#92530,.T.); #207203=EDGE_CURVE('',#166827,#166825,#92531,.T.); #207204=EDGE_CURVE('',#166826,#166827,#92532,.T.); #207205=EDGE_CURVE('',#166828,#166826,#92533,.T.); #207206=EDGE_CURVE('',#166829,#166827,#92534,.T.); #207207=EDGE_CURVE('',#166828,#166829,#92535,.T.); #207208=EDGE_CURVE('',#166830,#166828,#92536,.T.); #207209=EDGE_CURVE('',#166831,#166829,#92537,.T.); #207210=EDGE_CURVE('',#166830,#166831,#92538,.T.); #207211=EDGE_CURVE('',#166832,#166830,#92539,.T.); #207212=EDGE_CURVE('',#166833,#166831,#92540,.T.); #207213=EDGE_CURVE('',#166832,#166833,#92541,.T.); #207214=EDGE_CURVE('',#166834,#166832,#92542,.T.); #207215=EDGE_CURVE('',#166835,#166833,#92543,.T.); #207216=EDGE_CURVE('',#166834,#166835,#92544,.T.); #207217=EDGE_CURVE('',#166836,#166834,#68123,.T.); #207218=EDGE_CURVE('',#166837,#166835,#68124,.T.); #207219=EDGE_CURVE('',#166836,#166837,#92545,.T.); #207220=EDGE_CURVE('',#166838,#166836,#92546,.T.); #207221=EDGE_CURVE('',#166839,#166837,#92547,.T.); #207222=EDGE_CURVE('',#166838,#166839,#92548,.T.); #207223=EDGE_CURVE('',#166840,#166838,#92549,.T.); #207224=EDGE_CURVE('',#166841,#166839,#92550,.T.); #207225=EDGE_CURVE('',#166840,#166841,#92551,.T.); #207226=EDGE_CURVE('',#166842,#166840,#68125,.T.); #207227=EDGE_CURVE('',#166843,#166841,#68126,.T.); #207228=EDGE_CURVE('',#166842,#166843,#92552,.T.); #207229=EDGE_CURVE('',#166844,#166842,#92553,.T.); #207230=EDGE_CURVE('',#166845,#166843,#92554,.T.); #207231=EDGE_CURVE('',#166844,#166845,#92555,.T.); #207232=EDGE_CURVE('',#166846,#166844,#68127,.T.); #207233=EDGE_CURVE('',#166847,#166845,#68128,.T.); #207234=EDGE_CURVE('',#166846,#166847,#92556,.T.); #207235=EDGE_CURVE('',#166848,#166846,#92557,.T.); #207236=EDGE_CURVE('',#166849,#166847,#92558,.T.); #207237=EDGE_CURVE('',#166848,#166849,#92559,.T.); #207238=EDGE_CURVE('',#166850,#166848,#92560,.T.); #207239=EDGE_CURVE('',#166851,#166849,#92561,.T.); #207240=EDGE_CURVE('',#166850,#166851,#92562,.T.); #207241=EDGE_CURVE('',#166852,#166850,#92563,.T.); #207242=EDGE_CURVE('',#166853,#166851,#92564,.T.); #207243=EDGE_CURVE('',#166852,#166853,#92565,.T.); #207244=EDGE_CURVE('',#166854,#166852,#68129,.T.); #207245=EDGE_CURVE('',#166855,#166853,#68130,.T.); #207246=EDGE_CURVE('',#166854,#166855,#92566,.T.); #207247=EDGE_CURVE('',#166856,#166854,#92567,.T.); #207248=EDGE_CURVE('',#166857,#166855,#92568,.T.); #207249=EDGE_CURVE('',#166856,#166857,#92569,.T.); #207250=EDGE_CURVE('',#166858,#166856,#68131,.T.); #207251=EDGE_CURVE('',#166859,#166857,#68132,.T.); #207252=EDGE_CURVE('',#166858,#166859,#92570,.T.); #207253=EDGE_CURVE('',#166860,#166858,#92571,.T.); #207254=EDGE_CURVE('',#166861,#166859,#92572,.T.); #207255=EDGE_CURVE('',#166860,#166861,#92573,.T.); #207256=EDGE_CURVE('',#166862,#166860,#92574,.T.); #207257=EDGE_CURVE('',#166863,#166861,#92575,.T.); #207258=EDGE_CURVE('',#166862,#166863,#92576,.T.); #207259=EDGE_CURVE('',#166864,#166862,#68133,.T.); #207260=EDGE_CURVE('',#166865,#166863,#68134,.T.); #207261=EDGE_CURVE('',#166864,#166865,#92577,.T.); #207262=EDGE_CURVE('',#166866,#166864,#92578,.T.); #207263=EDGE_CURVE('',#166867,#166865,#92579,.T.); #207264=EDGE_CURVE('',#166866,#166867,#92580,.T.); #207265=EDGE_CURVE('',#166868,#166866,#68135,.T.); #207266=EDGE_CURVE('',#166869,#166867,#68136,.T.); #207267=EDGE_CURVE('',#166868,#166869,#92581,.T.); #207268=EDGE_CURVE('',#166870,#166868,#68137,.T.); #207269=EDGE_CURVE('',#166871,#166869,#68138,.T.); #207270=EDGE_CURVE('',#166870,#166871,#92582,.T.); #207271=EDGE_CURVE('',#166872,#166870,#92583,.T.); #207272=EDGE_CURVE('',#166873,#166871,#92584,.T.); #207273=EDGE_CURVE('',#166872,#166873,#92585,.T.); #207274=EDGE_CURVE('',#166874,#166872,#92586,.T.); #207275=EDGE_CURVE('',#166875,#166873,#92587,.T.); #207276=EDGE_CURVE('',#166874,#166875,#92588,.T.); #207277=EDGE_CURVE('',#166876,#166874,#68139,.T.); #207278=EDGE_CURVE('',#166877,#166875,#68140,.T.); #207279=EDGE_CURVE('',#166876,#166877,#92589,.T.); #207280=EDGE_CURVE('',#166878,#166876,#92590,.T.); #207281=EDGE_CURVE('',#166879,#166877,#92591,.T.); #207282=EDGE_CURVE('',#166878,#166879,#92592,.T.); #207283=EDGE_CURVE('',#166880,#166878,#92593,.T.); #207284=EDGE_CURVE('',#166881,#166879,#92594,.T.); #207285=EDGE_CURVE('',#166880,#166881,#92595,.T.); #207286=EDGE_CURVE('',#166882,#166880,#92596,.T.); #207287=EDGE_CURVE('',#166883,#166881,#92597,.T.); #207288=EDGE_CURVE('',#166882,#166883,#92598,.T.); #207289=EDGE_CURVE('',#166884,#166882,#68141,.T.); #207290=EDGE_CURVE('',#166885,#166883,#68142,.T.); #207291=EDGE_CURVE('',#166884,#166885,#92599,.T.); #207292=EDGE_CURVE('',#166886,#166884,#92600,.T.); #207293=EDGE_CURVE('',#166887,#166885,#92601,.T.); #207294=EDGE_CURVE('',#166886,#166887,#92602,.T.); #207295=EDGE_CURVE('',#166888,#166886,#68143,.T.); #207296=EDGE_CURVE('',#166889,#166887,#68144,.T.); #207297=EDGE_CURVE('',#166888,#166889,#92603,.T.); #207298=EDGE_CURVE('',#166890,#166888,#92604,.T.); #207299=EDGE_CURVE('',#166891,#166889,#92605,.T.); #207300=EDGE_CURVE('',#166890,#166891,#92606,.T.); #207301=EDGE_CURVE('',#166892,#166890,#92607,.T.); #207302=EDGE_CURVE('',#166893,#166891,#92608,.T.); #207303=EDGE_CURVE('',#166892,#166893,#92609,.T.); #207304=EDGE_CURVE('',#166894,#166892,#92610,.T.); #207305=EDGE_CURVE('',#166895,#166893,#92611,.T.); #207306=EDGE_CURVE('',#166894,#166895,#92612,.T.); #207307=EDGE_CURVE('',#166896,#166894,#68145,.T.); #207308=EDGE_CURVE('',#166897,#166895,#68146,.T.); #207309=EDGE_CURVE('',#166896,#166897,#92613,.T.); #207310=EDGE_CURVE('',#166898,#166896,#92614,.T.); #207311=EDGE_CURVE('',#166899,#166897,#92615,.T.); #207312=EDGE_CURVE('',#166898,#166899,#92616,.T.); #207313=EDGE_CURVE('',#166900,#166898,#92617,.T.); #207314=EDGE_CURVE('',#166901,#166899,#92618,.T.); #207315=EDGE_CURVE('',#166900,#166901,#92619,.T.); #207316=EDGE_CURVE('',#166902,#166900,#68147,.T.); #207317=EDGE_CURVE('',#166903,#166901,#68148,.T.); #207318=EDGE_CURVE('',#166902,#166903,#92620,.T.); #207319=EDGE_CURVE('',#166904,#166902,#92621,.T.); #207320=EDGE_CURVE('',#166905,#166903,#92622,.T.); #207321=EDGE_CURVE('',#166904,#166905,#92623,.T.); #207322=EDGE_CURVE('',#166906,#166904,#68149,.T.); #207323=EDGE_CURVE('',#166907,#166905,#68150,.T.); #207324=EDGE_CURVE('',#166906,#166907,#92624,.T.); #207325=EDGE_CURVE('',#166908,#166906,#92625,.T.); #207326=EDGE_CURVE('',#166909,#166907,#92626,.T.); #207327=EDGE_CURVE('',#166908,#166909,#92627,.T.); #207328=EDGE_CURVE('',#166910,#166908,#68151,.T.); #207329=EDGE_CURVE('',#166911,#166909,#68152,.T.); #207330=EDGE_CURVE('',#166910,#166911,#92628,.T.); #207331=EDGE_CURVE('',#166912,#166910,#92629,.T.); #207332=EDGE_CURVE('',#166913,#166911,#92630,.T.); #207333=EDGE_CURVE('',#166912,#166913,#92631,.T.); #207334=EDGE_CURVE('',#166793,#166912,#68153,.T.); #207335=EDGE_CURVE('',#166794,#166913,#68154,.T.); #207336=EDGE_CURVE('',#166914,#166914,#68155,.T.); #207337=EDGE_CURVE('',#166914,#166915,#92632,.T.); #207338=EDGE_CURVE('',#166915,#166915,#68156,.T.); #207339=EDGE_CURVE('',#166916,#166916,#68157,.T.); #207340=EDGE_CURVE('',#166916,#166917,#92633,.T.); #207341=EDGE_CURVE('',#166917,#166917,#68158,.T.); #207342=EDGE_CURVE('',#166918,#166919,#92634,.T.); #207343=EDGE_CURVE('',#166919,#166920,#92635,.T.); #207344=EDGE_CURVE('',#166921,#166920,#92636,.T.); #207345=EDGE_CURVE('',#166918,#166921,#92637,.T.); #207346=EDGE_CURVE('',#166922,#166918,#68159,.T.); #207347=EDGE_CURVE('',#166923,#166921,#68160,.T.); #207348=EDGE_CURVE('',#166922,#166923,#92638,.T.); #207349=EDGE_CURVE('',#166924,#166922,#92639,.T.); #207350=EDGE_CURVE('',#166925,#166923,#92640,.T.); #207351=EDGE_CURVE('',#166924,#166925,#92641,.T.); #207352=EDGE_CURVE('',#166926,#166924,#68161,.T.); #207353=EDGE_CURVE('',#166927,#166925,#68162,.T.); #207354=EDGE_CURVE('',#166926,#166927,#92642,.T.); #207355=EDGE_CURVE('',#166928,#166926,#68163,.T.); #207356=EDGE_CURVE('',#166929,#166927,#68164,.T.); #207357=EDGE_CURVE('',#166928,#166929,#92643,.T.); #207358=EDGE_CURVE('',#166930,#166928,#92644,.T.); #207359=EDGE_CURVE('',#166931,#166929,#92645,.T.); #207360=EDGE_CURVE('',#166930,#166931,#92646,.T.); #207361=EDGE_CURVE('',#166919,#166930,#68165,.T.); #207362=EDGE_CURVE('',#166920,#166931,#68166,.T.); #207363=EDGE_CURVE('',#166932,#166932,#68167,.T.); #207364=EDGE_CURVE('',#166932,#166933,#92647,.T.); #207365=EDGE_CURVE('',#166933,#166933,#68168,.T.); #207366=EDGE_CURVE('',#166934,#166935,#92648,.T.); #207367=EDGE_CURVE('',#166935,#166936,#92649,.T.); #207368=EDGE_CURVE('',#166937,#166936,#92650,.T.); #207369=EDGE_CURVE('',#166934,#166937,#92651,.T.); #207370=EDGE_CURVE('',#166938,#166934,#68169,.T.); #207371=EDGE_CURVE('',#166939,#166937,#68170,.T.); #207372=EDGE_CURVE('',#166938,#166939,#92652,.T.); #207373=EDGE_CURVE('',#166940,#166938,#92653,.T.); #207374=EDGE_CURVE('',#166941,#166939,#92654,.T.); #207375=EDGE_CURVE('',#166940,#166941,#92655,.T.); #207376=EDGE_CURVE('',#166942,#166940,#92656,.T.); #207377=EDGE_CURVE('',#166943,#166941,#92657,.T.); #207378=EDGE_CURVE('',#166942,#166943,#92658,.T.); #207379=EDGE_CURVE('',#166944,#166942,#92659,.T.); #207380=EDGE_CURVE('',#166945,#166943,#92660,.T.); #207381=EDGE_CURVE('',#166944,#166945,#92661,.T.); #207382=EDGE_CURVE('',#166946,#166944,#92662,.T.); #207383=EDGE_CURVE('',#166947,#166945,#92663,.T.); #207384=EDGE_CURVE('',#166946,#166947,#92664,.T.); #207385=EDGE_CURVE('',#166948,#166946,#92665,.T.); #207386=EDGE_CURVE('',#166949,#166947,#92666,.T.); #207387=EDGE_CURVE('',#166948,#166949,#92667,.T.); #207388=EDGE_CURVE('',#166950,#166948,#92668,.T.); #207389=EDGE_CURVE('',#166951,#166949,#92669,.T.); #207390=EDGE_CURVE('',#166950,#166951,#92670,.T.); #207391=EDGE_CURVE('',#166952,#166950,#92671,.T.); #207392=EDGE_CURVE('',#166953,#166951,#92672,.T.); #207393=EDGE_CURVE('',#166952,#166953,#92673,.T.); #207394=EDGE_CURVE('',#166935,#166952,#68171,.T.); #207395=EDGE_CURVE('',#166936,#166953,#68172,.T.); #207396=EDGE_CURVE('',#166954,#166954,#68173,.T.); #207397=EDGE_CURVE('',#166954,#166955,#92674,.T.); #207398=EDGE_CURVE('',#166955,#166955,#68174,.T.); #207399=EDGE_CURVE('',#166956,#166957,#92675,.T.); #207400=EDGE_CURVE('',#166957,#166958,#92676,.T.); #207401=EDGE_CURVE('',#166959,#166958,#92677,.T.); #207402=EDGE_CURVE('',#166956,#166959,#92678,.T.); #207403=EDGE_CURVE('',#166960,#166956,#68175,.T.); #207404=EDGE_CURVE('',#166961,#166959,#68176,.T.); #207405=EDGE_CURVE('',#166960,#166961,#92679,.T.); #207406=EDGE_CURVE('',#166962,#166960,#92680,.T.); #207407=EDGE_CURVE('',#166963,#166961,#92681,.T.); #207408=EDGE_CURVE('',#166962,#166963,#92682,.T.); #207409=EDGE_CURVE('',#166964,#166962,#92683,.T.); #207410=EDGE_CURVE('',#166965,#166963,#92684,.T.); #207411=EDGE_CURVE('',#166964,#166965,#92685,.T.); #207412=EDGE_CURVE('',#166966,#166964,#92686,.T.); #207413=EDGE_CURVE('',#166967,#166965,#92687,.T.); #207414=EDGE_CURVE('',#166966,#166967,#92688,.T.); #207415=EDGE_CURVE('',#166968,#166966,#92689,.T.); #207416=EDGE_CURVE('',#166969,#166967,#92690,.T.); #207417=EDGE_CURVE('',#166968,#166969,#92691,.T.); #207418=EDGE_CURVE('',#166970,#166968,#92692,.T.); #207419=EDGE_CURVE('',#166971,#166969,#92693,.T.); #207420=EDGE_CURVE('',#166970,#166971,#92694,.T.); #207421=EDGE_CURVE('',#166972,#166970,#92695,.T.); #207422=EDGE_CURVE('',#166973,#166971,#92696,.T.); #207423=EDGE_CURVE('',#166972,#166973,#92697,.T.); #207424=EDGE_CURVE('',#166974,#166972,#92698,.T.); #207425=EDGE_CURVE('',#166975,#166973,#92699,.T.); #207426=EDGE_CURVE('',#166974,#166975,#92700,.T.); #207427=EDGE_CURVE('',#166957,#166974,#68177,.T.); #207428=EDGE_CURVE('',#166958,#166975,#68178,.T.); #207429=EDGE_CURVE('',#166976,#166976,#68179,.T.); #207430=EDGE_CURVE('',#166976,#166977,#92701,.T.); #207431=EDGE_CURVE('',#166977,#166977,#68180,.T.); #207432=EDGE_CURVE('',#166978,#166979,#92702,.T.); #207433=EDGE_CURVE('',#166979,#166980,#92703,.T.); #207434=EDGE_CURVE('',#166981,#166980,#92704,.T.); #207435=EDGE_CURVE('',#166978,#166981,#92705,.T.); #207436=EDGE_CURVE('',#166982,#166978,#68181,.T.); #207437=EDGE_CURVE('',#166983,#166981,#68182,.T.); #207438=EDGE_CURVE('',#166982,#166983,#92706,.T.); #207439=EDGE_CURVE('',#166984,#166982,#92707,.T.); #207440=EDGE_CURVE('',#166985,#166983,#92708,.T.); #207441=EDGE_CURVE('',#166984,#166985,#92709,.T.); #207442=EDGE_CURVE('',#166986,#166984,#68183,.T.); #207443=EDGE_CURVE('',#166987,#166985,#68184,.T.); #207444=EDGE_CURVE('',#166986,#166987,#92710,.T.); #207445=EDGE_CURVE('',#166988,#166986,#92711,.T.); #207446=EDGE_CURVE('',#166989,#166987,#92712,.T.); #207447=EDGE_CURVE('',#166988,#166989,#92713,.T.); #207448=EDGE_CURVE('',#166990,#166988,#68185,.T.); #207449=EDGE_CURVE('',#166991,#166989,#68186,.T.); #207450=EDGE_CURVE('',#166990,#166991,#92714,.T.); #207451=EDGE_CURVE('',#166992,#166990,#92715,.T.); #207452=EDGE_CURVE('',#166993,#166991,#92716,.T.); #207453=EDGE_CURVE('',#166992,#166993,#92717,.T.); #207454=EDGE_CURVE('',#166994,#166992,#92718,.T.); #207455=EDGE_CURVE('',#166995,#166993,#92719,.T.); #207456=EDGE_CURVE('',#166994,#166995,#92720,.T.); #207457=EDGE_CURVE('',#166996,#166994,#92721,.T.); #207458=EDGE_CURVE('',#166997,#166995,#92722,.T.); #207459=EDGE_CURVE('',#166996,#166997,#92723,.T.); #207460=EDGE_CURVE('',#166998,#166996,#92724,.T.); #207461=EDGE_CURVE('',#166999,#166997,#92725,.T.); #207462=EDGE_CURVE('',#166998,#166999,#92726,.T.); #207463=EDGE_CURVE('',#167000,#166998,#92727,.T.); #207464=EDGE_CURVE('',#167001,#166999,#92728,.T.); #207465=EDGE_CURVE('',#167000,#167001,#92729,.T.); #207466=EDGE_CURVE('',#167002,#167000,#92730,.T.); #207467=EDGE_CURVE('',#167003,#167001,#92731,.T.); #207468=EDGE_CURVE('',#167002,#167003,#92732,.T.); #207469=EDGE_CURVE('',#167004,#167002,#92733,.T.); #207470=EDGE_CURVE('',#167005,#167003,#92734,.T.); #207471=EDGE_CURVE('',#167004,#167005,#92735,.T.); #207472=EDGE_CURVE('',#167006,#167004,#92736,.T.); #207473=EDGE_CURVE('',#167007,#167005,#92737,.T.); #207474=EDGE_CURVE('',#167006,#167007,#92738,.T.); #207475=EDGE_CURVE('',#167008,#167006,#92739,.T.); #207476=EDGE_CURVE('',#167009,#167007,#92740,.T.); #207477=EDGE_CURVE('',#167008,#167009,#92741,.T.); #207478=EDGE_CURVE('',#167010,#167008,#68187,.T.); #207479=EDGE_CURVE('',#167011,#167009,#68188,.T.); #207480=EDGE_CURVE('',#167010,#167011,#92742,.T.); #207481=EDGE_CURVE('',#166979,#167010,#68189,.T.); #207482=EDGE_CURVE('',#166980,#167011,#68190,.T.); #207483=EDGE_CURVE('',#167012,#167012,#68191,.T.); #207484=EDGE_CURVE('',#167012,#167013,#92743,.T.); #207485=EDGE_CURVE('',#167013,#167013,#68192,.T.); #207486=EDGE_CURVE('',#167014,#167015,#92744,.T.); #207487=EDGE_CURVE('',#167015,#167016,#92745,.T.); #207488=EDGE_CURVE('',#167017,#167016,#92746,.T.); #207489=EDGE_CURVE('',#167014,#167017,#92747,.T.); #207490=EDGE_CURVE('',#167018,#167014,#92748,.T.); #207491=EDGE_CURVE('',#167019,#167017,#92749,.T.); #207492=EDGE_CURVE('',#167018,#167019,#92750,.T.); #207493=EDGE_CURVE('',#167020,#167018,#92751,.T.); #207494=EDGE_CURVE('',#167021,#167019,#92752,.T.); #207495=EDGE_CURVE('',#167020,#167021,#92753,.T.); #207496=EDGE_CURVE('',#167022,#167020,#92754,.T.); #207497=EDGE_CURVE('',#167023,#167021,#92755,.T.); #207498=EDGE_CURVE('',#167022,#167023,#92756,.T.); #207499=EDGE_CURVE('',#167024,#167022,#92757,.T.); #207500=EDGE_CURVE('',#167025,#167023,#92758,.T.); #207501=EDGE_CURVE('',#167024,#167025,#92759,.T.); #207502=EDGE_CURVE('',#167026,#167024,#92760,.T.); #207503=EDGE_CURVE('',#167027,#167025,#92761,.T.); #207504=EDGE_CURVE('',#167026,#167027,#92762,.T.); #207505=EDGE_CURVE('',#167028,#167026,#92763,.T.); #207506=EDGE_CURVE('',#167029,#167027,#92764,.T.); #207507=EDGE_CURVE('',#167028,#167029,#92765,.T.); #207508=EDGE_CURVE('',#167030,#167028,#92766,.T.); #207509=EDGE_CURVE('',#167031,#167029,#92767,.T.); #207510=EDGE_CURVE('',#167030,#167031,#92768,.T.); #207511=EDGE_CURVE('',#167032,#167030,#68193,.T.); #207512=EDGE_CURVE('',#167033,#167031,#68194,.T.); #207513=EDGE_CURVE('',#167032,#167033,#92769,.T.); #207514=EDGE_CURVE('',#167034,#167032,#68195,.T.); #207515=EDGE_CURVE('',#167035,#167033,#68196,.T.); #207516=EDGE_CURVE('',#167034,#167035,#92770,.T.); #207517=EDGE_CURVE('',#167036,#167034,#92771,.T.); #207518=EDGE_CURVE('',#167037,#167035,#92772,.T.); #207519=EDGE_CURVE('',#167036,#167037,#92773,.T.); #207520=EDGE_CURVE('',#167038,#167036,#68197,.T.); #207521=EDGE_CURVE('',#167039,#167037,#68198,.T.); #207522=EDGE_CURVE('',#167038,#167039,#92774,.T.); #207523=EDGE_CURVE('',#167040,#167038,#92775,.T.); #207524=EDGE_CURVE('',#167041,#167039,#92776,.T.); #207525=EDGE_CURVE('',#167040,#167041,#92777,.T.); #207526=EDGE_CURVE('',#167042,#167040,#68199,.T.); #207527=EDGE_CURVE('',#167043,#167041,#68200,.T.); #207528=EDGE_CURVE('',#167042,#167043,#92778,.T.); #207529=EDGE_CURVE('',#167015,#167042,#92779,.T.); #207530=EDGE_CURVE('',#167016,#167043,#92780,.T.); #207531=EDGE_CURVE('',#167044,#167044,#68201,.T.); #207532=EDGE_CURVE('',#167044,#167045,#92781,.T.); #207533=EDGE_CURVE('',#167045,#167045,#68202,.T.); #207534=EDGE_CURVE('',#167046,#167047,#92782,.T.); #207535=EDGE_CURVE('',#167047,#167048,#92783,.T.); #207536=EDGE_CURVE('',#167049,#167048,#92784,.T.); #207537=EDGE_CURVE('',#167046,#167049,#92785,.T.); #207538=EDGE_CURVE('',#167050,#167046,#68203,.T.); #207539=EDGE_CURVE('',#167051,#167049,#68204,.T.); #207540=EDGE_CURVE('',#167050,#167051,#92786,.T.); #207541=EDGE_CURVE('',#167047,#167050,#68205,.T.); #207542=EDGE_CURVE('',#167048,#167051,#68206,.T.); #207543=EDGE_CURVE('',#167052,#167052,#68207,.T.); #207544=EDGE_CURVE('',#167052,#167053,#92787,.T.); #207545=EDGE_CURVE('',#167053,#167053,#68208,.T.); #207546=EDGE_CURVE('',#167054,#167055,#92788,.T.); #207547=EDGE_CURVE('',#167055,#167056,#92789,.T.); #207548=EDGE_CURVE('',#167057,#167056,#92790,.T.); #207549=EDGE_CURVE('',#167054,#167057,#92791,.T.); #207550=EDGE_CURVE('',#167058,#167054,#92792,.T.); #207551=EDGE_CURVE('',#167059,#167057,#92793,.T.); #207552=EDGE_CURVE('',#167058,#167059,#92794,.T.); #207553=EDGE_CURVE('',#167060,#167058,#92795,.T.); #207554=EDGE_CURVE('',#167061,#167059,#92796,.T.); #207555=EDGE_CURVE('',#167060,#167061,#92797,.T.); #207556=EDGE_CURVE('',#167062,#167060,#92798,.T.); #207557=EDGE_CURVE('',#167063,#167061,#92799,.T.); #207558=EDGE_CURVE('',#167062,#167063,#92800,.T.); #207559=EDGE_CURVE('',#167064,#167062,#92801,.T.); #207560=EDGE_CURVE('',#167065,#167063,#92802,.T.); #207561=EDGE_CURVE('',#167064,#167065,#92803,.T.); #207562=EDGE_CURVE('',#167066,#167064,#92804,.T.); #207563=EDGE_CURVE('',#167067,#167065,#92805,.T.); #207564=EDGE_CURVE('',#167066,#167067,#92806,.T.); #207565=EDGE_CURVE('',#167068,#167066,#92807,.T.); #207566=EDGE_CURVE('',#167069,#167067,#92808,.T.); #207567=EDGE_CURVE('',#167068,#167069,#92809,.T.); #207568=EDGE_CURVE('',#167070,#167068,#68209,.T.); #207569=EDGE_CURVE('',#167071,#167069,#68210,.T.); #207570=EDGE_CURVE('',#167070,#167071,#92810,.T.); #207571=EDGE_CURVE('',#167072,#167070,#92811,.T.); #207572=EDGE_CURVE('',#167073,#167071,#92812,.T.); #207573=EDGE_CURVE('',#167072,#167073,#92813,.T.); #207574=EDGE_CURVE('',#167074,#167072,#92814,.T.); #207575=EDGE_CURVE('',#167075,#167073,#92815,.T.); #207576=EDGE_CURVE('',#167074,#167075,#92816,.T.); #207577=EDGE_CURVE('',#167076,#167074,#68211,.T.); #207578=EDGE_CURVE('',#167077,#167075,#68212,.T.); #207579=EDGE_CURVE('',#167076,#167077,#92817,.T.); #207580=EDGE_CURVE('',#167078,#167076,#68213,.T.); #207581=EDGE_CURVE('',#167079,#167077,#68214,.T.); #207582=EDGE_CURVE('',#167078,#167079,#92818,.T.); #207583=EDGE_CURVE('',#167080,#167078,#92819,.T.); #207584=EDGE_CURVE('',#167081,#167079,#92820,.T.); #207585=EDGE_CURVE('',#167080,#167081,#92821,.T.); #207586=EDGE_CURVE('',#167082,#167080,#68215,.T.); #207587=EDGE_CURVE('',#167083,#167081,#68216,.T.); #207588=EDGE_CURVE('',#167082,#167083,#92822,.T.); #207589=EDGE_CURVE('',#167084,#167082,#92823,.T.); #207590=EDGE_CURVE('',#167085,#167083,#92824,.T.); #207591=EDGE_CURVE('',#167084,#167085,#92825,.T.); #207592=EDGE_CURVE('',#167086,#167084,#92826,.T.); #207593=EDGE_CURVE('',#167087,#167085,#92827,.T.); #207594=EDGE_CURVE('',#167086,#167087,#92828,.T.); #207595=EDGE_CURVE('',#167088,#167086,#68217,.T.); #207596=EDGE_CURVE('',#167089,#167087,#68218,.T.); #207597=EDGE_CURVE('',#167088,#167089,#92829,.T.); #207598=EDGE_CURVE('',#167090,#167088,#92830,.T.); #207599=EDGE_CURVE('',#167091,#167089,#92831,.T.); #207600=EDGE_CURVE('',#167090,#167091,#92832,.T.); #207601=EDGE_CURVE('',#167092,#167090,#68219,.T.); #207602=EDGE_CURVE('',#167093,#167091,#68220,.T.); #207603=EDGE_CURVE('',#167092,#167093,#92833,.T.); #207604=EDGE_CURVE('',#167094,#167092,#92834,.T.); #207605=EDGE_CURVE('',#167095,#167093,#92835,.T.); #207606=EDGE_CURVE('',#167094,#167095,#92836,.T.); #207607=EDGE_CURVE('',#167055,#167094,#92837,.T.); #207608=EDGE_CURVE('',#167056,#167095,#92838,.T.); #207609=EDGE_CURVE('',#167096,#167096,#68221,.T.); #207610=EDGE_CURVE('',#167096,#167097,#92839,.T.); #207611=EDGE_CURVE('',#167097,#167097,#68222,.T.); #207612=EDGE_CURVE('',#167098,#167099,#92840,.T.); #207613=EDGE_CURVE('',#167099,#167100,#92841,.T.); #207614=EDGE_CURVE('',#167101,#167100,#92842,.T.); #207615=EDGE_CURVE('',#167098,#167101,#92843,.T.); #207616=EDGE_CURVE('',#167102,#167098,#92844,.T.); #207617=EDGE_CURVE('',#167103,#167101,#92845,.T.); #207618=EDGE_CURVE('',#167102,#167103,#92846,.T.); #207619=EDGE_CURVE('',#167104,#167102,#92847,.T.); #207620=EDGE_CURVE('',#167105,#167103,#92848,.T.); #207621=EDGE_CURVE('',#167104,#167105,#92849,.T.); #207622=EDGE_CURVE('',#167106,#167104,#92850,.T.); #207623=EDGE_CURVE('',#167107,#167105,#92851,.T.); #207624=EDGE_CURVE('',#167106,#167107,#92852,.T.); #207625=EDGE_CURVE('',#167108,#167106,#68223,.T.); #207626=EDGE_CURVE('',#167109,#167107,#68224,.T.); #207627=EDGE_CURVE('',#167108,#167109,#92853,.T.); #207628=EDGE_CURVE('',#167110,#167108,#92854,.T.); #207629=EDGE_CURVE('',#167111,#167109,#92855,.T.); #207630=EDGE_CURVE('',#167110,#167111,#92856,.T.); #207631=EDGE_CURVE('',#167112,#167110,#68225,.T.); #207632=EDGE_CURVE('',#167113,#167111,#68226,.T.); #207633=EDGE_CURVE('',#167112,#167113,#92857,.T.); #207634=EDGE_CURVE('',#167114,#167112,#92858,.T.); #207635=EDGE_CURVE('',#167115,#167113,#92859,.T.); #207636=EDGE_CURVE('',#167114,#167115,#92860,.T.); #207637=EDGE_CURVE('',#167116,#167114,#68227,.T.); #207638=EDGE_CURVE('',#167117,#167115,#68228,.T.); #207639=EDGE_CURVE('',#167116,#167117,#92861,.T.); #207640=EDGE_CURVE('',#167118,#167116,#68229,.T.); #207641=EDGE_CURVE('',#167119,#167117,#68230,.T.); #207642=EDGE_CURVE('',#167118,#167119,#92862,.T.); #207643=EDGE_CURVE('',#167120,#167118,#92863,.T.); #207644=EDGE_CURVE('',#167121,#167119,#92864,.T.); #207645=EDGE_CURVE('',#167120,#167121,#92865,.T.); #207646=EDGE_CURVE('',#167122,#167120,#92866,.T.); #207647=EDGE_CURVE('',#167123,#167121,#92867,.T.); #207648=EDGE_CURVE('',#167122,#167123,#92868,.T.); #207649=EDGE_CURVE('',#167124,#167122,#92869,.T.); #207650=EDGE_CURVE('',#167125,#167123,#92870,.T.); #207651=EDGE_CURVE('',#167124,#167125,#92871,.T.); #207652=EDGE_CURVE('',#167126,#167124,#92872,.T.); #207653=EDGE_CURVE('',#167127,#167125,#92873,.T.); #207654=EDGE_CURVE('',#167126,#167127,#92874,.T.); #207655=EDGE_CURVE('',#167099,#167126,#92875,.T.); #207656=EDGE_CURVE('',#167100,#167127,#92876,.T.); #207657=EDGE_CURVE('',#167128,#167129,#92877,.T.); #207658=EDGE_CURVE('',#167129,#167130,#92878,.T.); #207659=EDGE_CURVE('',#167131,#167130,#92879,.T.); #207660=EDGE_CURVE('',#167128,#167131,#92880,.T.); #207661=EDGE_CURVE('',#167132,#167128,#92881,.T.); #207662=EDGE_CURVE('',#167133,#167131,#92882,.T.); #207663=EDGE_CURVE('',#167132,#167133,#92883,.T.); #207664=EDGE_CURVE('',#167134,#167132,#92884,.T.); #207665=EDGE_CURVE('',#167135,#167133,#92885,.T.); #207666=EDGE_CURVE('',#167134,#167135,#92886,.T.); #207667=EDGE_CURVE('',#167136,#167134,#92887,.T.); #207668=EDGE_CURVE('',#167137,#167135,#92888,.T.); #207669=EDGE_CURVE('',#167136,#167137,#92889,.T.); #207670=EDGE_CURVE('',#167138,#167136,#92890,.T.); #207671=EDGE_CURVE('',#167139,#167137,#92891,.T.); #207672=EDGE_CURVE('',#167138,#167139,#92892,.T.); #207673=EDGE_CURVE('',#167140,#167138,#68231,.T.); #207674=EDGE_CURVE('',#167141,#167139,#68232,.T.); #207675=EDGE_CURVE('',#167140,#167141,#92893,.T.); #207676=EDGE_CURVE('',#167142,#167140,#92894,.T.); #207677=EDGE_CURVE('',#167143,#167141,#92895,.T.); #207678=EDGE_CURVE('',#167142,#167143,#92896,.T.); #207679=EDGE_CURVE('',#167144,#167142,#92897,.T.); #207680=EDGE_CURVE('',#167145,#167143,#92898,.T.); #207681=EDGE_CURVE('',#167144,#167145,#92899,.T.); #207682=EDGE_CURVE('',#167146,#167144,#92900,.T.); #207683=EDGE_CURVE('',#167147,#167145,#92901,.T.); #207684=EDGE_CURVE('',#167146,#167147,#92902,.T.); #207685=EDGE_CURVE('',#167148,#167146,#92903,.T.); #207686=EDGE_CURVE('',#167149,#167147,#92904,.T.); #207687=EDGE_CURVE('',#167148,#167149,#92905,.T.); #207688=EDGE_CURVE('',#167150,#167148,#92906,.T.); #207689=EDGE_CURVE('',#167151,#167149,#92907,.T.); #207690=EDGE_CURVE('',#167150,#167151,#92908,.T.); #207691=EDGE_CURVE('',#167152,#167150,#92909,.T.); #207692=EDGE_CURVE('',#167153,#167151,#92910,.T.); #207693=EDGE_CURVE('',#167152,#167153,#92911,.T.); #207694=EDGE_CURVE('',#167154,#167152,#92912,.T.); #207695=EDGE_CURVE('',#167155,#167153,#92913,.T.); #207696=EDGE_CURVE('',#167154,#167155,#92914,.T.); #207697=EDGE_CURVE('',#167129,#167154,#92915,.T.); #207698=EDGE_CURVE('',#167130,#167155,#92916,.T.); #207699=EDGE_CURVE('',#167156,#167157,#92917,.T.); #207700=EDGE_CURVE('',#167157,#167158,#92918,.T.); #207701=EDGE_CURVE('',#167159,#167158,#92919,.T.); #207702=EDGE_CURVE('',#167156,#167159,#92920,.T.); #207703=EDGE_CURVE('',#167160,#167156,#92921,.T.); #207704=EDGE_CURVE('',#167161,#167159,#92922,.T.); #207705=EDGE_CURVE('',#167160,#167161,#92923,.T.); #207706=EDGE_CURVE('',#167162,#167160,#92924,.T.); #207707=EDGE_CURVE('',#167163,#167161,#92925,.T.); #207708=EDGE_CURVE('',#167162,#167163,#92926,.T.); #207709=EDGE_CURVE('',#167164,#167162,#92927,.T.); #207710=EDGE_CURVE('',#167165,#167163,#92928,.T.); #207711=EDGE_CURVE('',#167164,#167165,#92929,.T.); #207712=EDGE_CURVE('',#167166,#167164,#92930,.T.); #207713=EDGE_CURVE('',#167167,#167165,#92931,.T.); #207714=EDGE_CURVE('',#167166,#167167,#92932,.T.); #207715=EDGE_CURVE('',#167168,#167166,#92933,.T.); #207716=EDGE_CURVE('',#167169,#167167,#92934,.T.); #207717=EDGE_CURVE('',#167168,#167169,#92935,.T.); #207718=EDGE_CURVE('',#167170,#167168,#92936,.T.); #207719=EDGE_CURVE('',#167171,#167169,#92937,.T.); #207720=EDGE_CURVE('',#167170,#167171,#92938,.T.); #207721=EDGE_CURVE('',#167172,#167170,#92939,.T.); #207722=EDGE_CURVE('',#167173,#167171,#92940,.T.); #207723=EDGE_CURVE('',#167172,#167173,#92941,.T.); #207724=EDGE_CURVE('',#167174,#167172,#92942,.T.); #207725=EDGE_CURVE('',#167175,#167173,#92943,.T.); #207726=EDGE_CURVE('',#167174,#167175,#92944,.T.); #207727=EDGE_CURVE('',#167176,#167174,#92945,.T.); #207728=EDGE_CURVE('',#167177,#167175,#92946,.T.); #207729=EDGE_CURVE('',#167176,#167177,#92947,.T.); #207730=EDGE_CURVE('',#167178,#167176,#92948,.T.); #207731=EDGE_CURVE('',#167179,#167177,#92949,.T.); #207732=EDGE_CURVE('',#167178,#167179,#92950,.T.); #207733=EDGE_CURVE('',#167180,#167178,#68233,.T.); #207734=EDGE_CURVE('',#167181,#167179,#68234,.T.); #207735=EDGE_CURVE('',#167180,#167181,#92951,.T.); #207736=EDGE_CURVE('',#167182,#167180,#92952,.T.); #207737=EDGE_CURVE('',#167183,#167181,#92953,.T.); #207738=EDGE_CURVE('',#167182,#167183,#92954,.T.); #207739=EDGE_CURVE('',#167157,#167182,#92955,.T.); #207740=EDGE_CURVE('',#167158,#167183,#92956,.T.); #207741=EDGE_CURVE('',#167184,#167184,#68235,.T.); #207742=EDGE_CURVE('',#167184,#167185,#92957,.T.); #207743=EDGE_CURVE('',#167185,#167185,#68236,.T.); #207744=EDGE_CURVE('',#167186,#167187,#92958,.T.); #207745=EDGE_CURVE('',#167187,#167188,#92959,.T.); #207746=EDGE_CURVE('',#167189,#167188,#92960,.T.); #207747=EDGE_CURVE('',#167186,#167189,#92961,.T.); #207748=EDGE_CURVE('',#167190,#167186,#92962,.T.); #207749=EDGE_CURVE('',#167191,#167189,#92963,.T.); #207750=EDGE_CURVE('',#167190,#167191,#92964,.T.); #207751=EDGE_CURVE('',#167192,#167190,#68237,.T.); #207752=EDGE_CURVE('',#167193,#167191,#68238,.T.); #207753=EDGE_CURVE('',#167192,#167193,#92965,.T.); #207754=EDGE_CURVE('',#167194,#167192,#92966,.T.); #207755=EDGE_CURVE('',#167195,#167193,#92967,.T.); #207756=EDGE_CURVE('',#167194,#167195,#92968,.T.); #207757=EDGE_CURVE('',#167196,#167194,#68239,.T.); #207758=EDGE_CURVE('',#167197,#167195,#68240,.T.); #207759=EDGE_CURVE('',#167196,#167197,#92969,.T.); #207760=EDGE_CURVE('',#167198,#167196,#92970,.T.); #207761=EDGE_CURVE('',#167199,#167197,#92971,.T.); #207762=EDGE_CURVE('',#167198,#167199,#92972,.T.); #207763=EDGE_CURVE('',#167200,#167198,#92973,.T.); #207764=EDGE_CURVE('',#167201,#167199,#92974,.T.); #207765=EDGE_CURVE('',#167200,#167201,#92975,.T.); #207766=EDGE_CURVE('',#167202,#167200,#68241,.T.); #207767=EDGE_CURVE('',#167203,#167201,#68242,.T.); #207768=EDGE_CURVE('',#167202,#167203,#92976,.T.); #207769=EDGE_CURVE('',#167204,#167202,#92977,.T.); #207770=EDGE_CURVE('',#167205,#167203,#92978,.T.); #207771=EDGE_CURVE('',#167204,#167205,#92979,.T.); #207772=EDGE_CURVE('',#167206,#167204,#92980,.T.); #207773=EDGE_CURVE('',#167207,#167205,#92981,.T.); #207774=EDGE_CURVE('',#167206,#167207,#92982,.T.); #207775=EDGE_CURVE('',#167208,#167206,#92983,.T.); #207776=EDGE_CURVE('',#167209,#167207,#92984,.T.); #207777=EDGE_CURVE('',#167208,#167209,#92985,.T.); #207778=EDGE_CURVE('',#167210,#167208,#92986,.T.); #207779=EDGE_CURVE('',#167211,#167209,#92987,.T.); #207780=EDGE_CURVE('',#167210,#167211,#92988,.T.); #207781=EDGE_CURVE('',#167212,#167210,#92989,.T.); #207782=EDGE_CURVE('',#167213,#167211,#92990,.T.); #207783=EDGE_CURVE('',#167212,#167213,#92991,.T.); #207784=EDGE_CURVE('',#167214,#167212,#92992,.T.); #207785=EDGE_CURVE('',#167215,#167213,#92993,.T.); #207786=EDGE_CURVE('',#167214,#167215,#92994,.T.); #207787=EDGE_CURVE('',#167216,#167214,#92995,.T.); #207788=EDGE_CURVE('',#167217,#167215,#92996,.T.); #207789=EDGE_CURVE('',#167216,#167217,#92997,.T.); #207790=EDGE_CURVE('',#167218,#167216,#92998,.T.); #207791=EDGE_CURVE('',#167219,#167217,#92999,.T.); #207792=EDGE_CURVE('',#167218,#167219,#93000,.T.); #207793=EDGE_CURVE('',#167220,#167218,#68243,.T.); #207794=EDGE_CURVE('',#167221,#167219,#68244,.T.); #207795=EDGE_CURVE('',#167220,#167221,#93001,.T.); #207796=EDGE_CURVE('',#167222,#167220,#93002,.T.); #207797=EDGE_CURVE('',#167223,#167221,#93003,.T.); #207798=EDGE_CURVE('',#167222,#167223,#93004,.T.); #207799=EDGE_CURVE('',#167224,#167222,#93005,.T.); #207800=EDGE_CURVE('',#167225,#167223,#93006,.T.); #207801=EDGE_CURVE('',#167224,#167225,#93007,.T.); #207802=EDGE_CURVE('',#167226,#167224,#93008,.T.); #207803=EDGE_CURVE('',#167227,#167225,#93009,.T.); #207804=EDGE_CURVE('',#167226,#167227,#93010,.T.); #207805=EDGE_CURVE('',#167228,#167226,#93011,.T.); #207806=EDGE_CURVE('',#167229,#167227,#93012,.T.); #207807=EDGE_CURVE('',#167228,#167229,#93013,.T.); #207808=EDGE_CURVE('',#167230,#167228,#68245,.T.); #207809=EDGE_CURVE('',#167231,#167229,#68246,.T.); #207810=EDGE_CURVE('',#167230,#167231,#93014,.T.); #207811=EDGE_CURVE('',#167232,#167230,#93015,.T.); #207812=EDGE_CURVE('',#167233,#167231,#93016,.T.); #207813=EDGE_CURVE('',#167232,#167233,#93017,.T.); #207814=EDGE_CURVE('',#167234,#167232,#93018,.T.); #207815=EDGE_CURVE('',#167235,#167233,#93019,.T.); #207816=EDGE_CURVE('',#167234,#167235,#93020,.T.); #207817=EDGE_CURVE('',#167236,#167234,#93021,.T.); #207818=EDGE_CURVE('',#167237,#167235,#93022,.T.); #207819=EDGE_CURVE('',#167236,#167237,#93023,.T.); #207820=EDGE_CURVE('',#167238,#167236,#93024,.T.); #207821=EDGE_CURVE('',#167239,#167237,#93025,.T.); #207822=EDGE_CURVE('',#167238,#167239,#93026,.T.); #207823=EDGE_CURVE('',#167240,#167238,#93027,.T.); #207824=EDGE_CURVE('',#167241,#167239,#93028,.T.); #207825=EDGE_CURVE('',#167240,#167241,#93029,.T.); #207826=EDGE_CURVE('',#167242,#167240,#93030,.T.); #207827=EDGE_CURVE('',#167243,#167241,#93031,.T.); #207828=EDGE_CURVE('',#167242,#167243,#93032,.T.); #207829=EDGE_CURVE('',#167244,#167242,#93033,.T.); #207830=EDGE_CURVE('',#167245,#167243,#93034,.T.); #207831=EDGE_CURVE('',#167244,#167245,#93035,.T.); #207832=EDGE_CURVE('',#167246,#167244,#93036,.T.); #207833=EDGE_CURVE('',#167247,#167245,#93037,.T.); #207834=EDGE_CURVE('',#167246,#167247,#93038,.T.); #207835=EDGE_CURVE('',#167248,#167246,#93039,.T.); #207836=EDGE_CURVE('',#167249,#167247,#93040,.T.); #207837=EDGE_CURVE('',#167248,#167249,#93041,.T.); #207838=EDGE_CURVE('',#167250,#167248,#93042,.T.); #207839=EDGE_CURVE('',#167251,#167249,#93043,.T.); #207840=EDGE_CURVE('',#167250,#167251,#93044,.T.); #207841=EDGE_CURVE('',#167252,#167250,#93045,.T.); #207842=EDGE_CURVE('',#167253,#167251,#93046,.T.); #207843=EDGE_CURVE('',#167252,#167253,#93047,.T.); #207844=EDGE_CURVE('',#167254,#167252,#93048,.T.); #207845=EDGE_CURVE('',#167255,#167253,#93049,.T.); #207846=EDGE_CURVE('',#167254,#167255,#93050,.T.); #207847=EDGE_CURVE('',#167256,#167254,#93051,.T.); #207848=EDGE_CURVE('',#167257,#167255,#93052,.T.); #207849=EDGE_CURVE('',#167256,#167257,#93053,.T.); #207850=EDGE_CURVE('',#167258,#167256,#93054,.T.); #207851=EDGE_CURVE('',#167259,#167257,#93055,.T.); #207852=EDGE_CURVE('',#167258,#167259,#93056,.T.); #207853=EDGE_CURVE('',#167260,#167258,#93057,.T.); #207854=EDGE_CURVE('',#167261,#167259,#93058,.T.); #207855=EDGE_CURVE('',#167260,#167261,#93059,.T.); #207856=EDGE_CURVE('',#167262,#167260,#93060,.T.); #207857=EDGE_CURVE('',#167263,#167261,#93061,.T.); #207858=EDGE_CURVE('',#167262,#167263,#93062,.T.); #207859=EDGE_CURVE('',#167187,#167262,#68247,.T.); #207860=EDGE_CURVE('',#167188,#167263,#68248,.T.); #207861=EDGE_CURVE('',#167264,#167264,#68249,.T.); #207862=EDGE_CURVE('',#167264,#167265,#93063,.T.); #207863=EDGE_CURVE('',#167265,#167265,#68250,.T.); #207864=EDGE_CURVE('',#167266,#167266,#68251,.T.); #207865=EDGE_CURVE('',#167266,#167267,#93064,.T.); #207866=EDGE_CURVE('',#167267,#167267,#68252,.T.); #207867=EDGE_CURVE('',#167268,#167269,#93065,.T.); #207868=EDGE_CURVE('',#167269,#167270,#93066,.T.); #207869=EDGE_CURVE('',#167271,#167270,#93067,.T.); #207870=EDGE_CURVE('',#167268,#167271,#93068,.T.); #207871=EDGE_CURVE('',#167272,#167268,#68253,.T.); #207872=EDGE_CURVE('',#167273,#167271,#68254,.T.); #207873=EDGE_CURVE('',#167272,#167273,#93069,.T.); #207874=EDGE_CURVE('',#167274,#167272,#93070,.T.); #207875=EDGE_CURVE('',#167275,#167273,#93071,.T.); #207876=EDGE_CURVE('',#167274,#167275,#93072,.T.); #207877=EDGE_CURVE('',#167276,#167274,#68255,.T.); #207878=EDGE_CURVE('',#167277,#167275,#68256,.T.); #207879=EDGE_CURVE('',#167276,#167277,#93073,.T.); #207880=EDGE_CURVE('',#167278,#167276,#68257,.T.); #207881=EDGE_CURVE('',#167279,#167277,#68258,.T.); #207882=EDGE_CURVE('',#167278,#167279,#93074,.T.); #207883=EDGE_CURVE('',#167280,#167278,#93075,.T.); #207884=EDGE_CURVE('',#167281,#167279,#93076,.T.); #207885=EDGE_CURVE('',#167280,#167281,#93077,.T.); #207886=EDGE_CURVE('',#167269,#167280,#68259,.T.); #207887=EDGE_CURVE('',#167270,#167281,#68260,.T.); #207888=EDGE_CURVE('',#167282,#167282,#68261,.T.); #207889=EDGE_CURVE('',#167282,#167283,#93078,.T.); #207890=EDGE_CURVE('',#167283,#167283,#68262,.T.); #207891=EDGE_CURVE('',#167284,#167285,#93079,.T.); #207892=EDGE_CURVE('',#167285,#167286,#93080,.T.); #207893=EDGE_CURVE('',#167287,#167286,#93081,.T.); #207894=EDGE_CURVE('',#167284,#167287,#93082,.T.); #207895=EDGE_CURVE('',#167288,#167284,#93083,.T.); #207896=EDGE_CURVE('',#167289,#167287,#93084,.T.); #207897=EDGE_CURVE('',#167288,#167289,#93085,.T.); #207898=EDGE_CURVE('',#167290,#167288,#93086,.T.); #207899=EDGE_CURVE('',#167291,#167289,#93087,.T.); #207900=EDGE_CURVE('',#167290,#167291,#93088,.T.); #207901=EDGE_CURVE('',#167285,#167290,#93089,.T.); #207902=EDGE_CURVE('',#167286,#167291,#93090,.T.); #207903=EDGE_CURVE('',#167292,#167292,#68263,.T.); #207904=EDGE_CURVE('',#167292,#167293,#93091,.T.); #207905=EDGE_CURVE('',#167293,#167293,#68264,.T.); #207906=EDGE_CURVE('',#167294,#167294,#68265,.T.); #207907=EDGE_CURVE('',#167294,#167295,#93092,.T.); #207908=EDGE_CURVE('',#167295,#167295,#68266,.T.); #207909=EDGE_CURVE('',#167296,#167297,#93093,.T.); #207910=EDGE_CURVE('',#167297,#167298,#93094,.T.); #207911=EDGE_CURVE('',#167299,#167298,#93095,.T.); #207912=EDGE_CURVE('',#167296,#167299,#93096,.T.); #207913=EDGE_CURVE('',#167300,#167296,#68267,.T.); #207914=EDGE_CURVE('',#167301,#167299,#68268,.T.); #207915=EDGE_CURVE('',#167300,#167301,#93097,.T.); #207916=EDGE_CURVE('',#167302,#167300,#93098,.T.); #207917=EDGE_CURVE('',#167303,#167301,#93099,.T.); #207918=EDGE_CURVE('',#167302,#167303,#93100,.T.); #207919=EDGE_CURVE('',#167304,#167302,#68269,.T.); #207920=EDGE_CURVE('',#167305,#167303,#68270,.T.); #207921=EDGE_CURVE('',#167304,#167305,#93101,.T.); #207922=EDGE_CURVE('',#167306,#167304,#93102,.T.); #207923=EDGE_CURVE('',#167307,#167305,#93103,.T.); #207924=EDGE_CURVE('',#167306,#167307,#93104,.T.); #207925=EDGE_CURVE('',#167308,#167306,#68271,.T.); #207926=EDGE_CURVE('',#167309,#167307,#68272,.T.); #207927=EDGE_CURVE('',#167308,#167309,#93105,.T.); #207928=EDGE_CURVE('',#167310,#167308,#93106,.T.); #207929=EDGE_CURVE('',#167311,#167309,#93107,.T.); #207930=EDGE_CURVE('',#167310,#167311,#93108,.T.); #207931=EDGE_CURVE('',#167312,#167310,#68273,.T.); #207932=EDGE_CURVE('',#167313,#167311,#68274,.T.); #207933=EDGE_CURVE('',#167312,#167313,#93109,.T.); #207934=EDGE_CURVE('',#167314,#167312,#93110,.T.); #207935=EDGE_CURVE('',#167315,#167313,#93111,.T.); #207936=EDGE_CURVE('',#167314,#167315,#93112,.T.); #207937=EDGE_CURVE('',#167316,#167314,#68275,.T.); #207938=EDGE_CURVE('',#167317,#167315,#68276,.T.); #207939=EDGE_CURVE('',#167316,#167317,#93113,.T.); #207940=EDGE_CURVE('',#167318,#167316,#93114,.T.); #207941=EDGE_CURVE('',#167319,#167317,#93115,.T.); #207942=EDGE_CURVE('',#167318,#167319,#93116,.T.); #207943=EDGE_CURVE('',#167320,#167318,#68277,.T.); #207944=EDGE_CURVE('',#167321,#167319,#68278,.T.); #207945=EDGE_CURVE('',#167320,#167321,#93117,.T.); #207946=EDGE_CURVE('',#167322,#167320,#93118,.T.); #207947=EDGE_CURVE('',#167323,#167321,#93119,.T.); #207948=EDGE_CURVE('',#167322,#167323,#93120,.T.); #207949=EDGE_CURVE('',#167324,#167322,#68279,.T.); #207950=EDGE_CURVE('',#167325,#167323,#68280,.T.); #207951=EDGE_CURVE('',#167324,#167325,#93121,.T.); #207952=EDGE_CURVE('',#167326,#167324,#68281,.T.); #207953=EDGE_CURVE('',#167327,#167325,#68282,.T.); #207954=EDGE_CURVE('',#167326,#167327,#93122,.T.); #207955=EDGE_CURVE('',#167328,#167326,#93123,.T.); #207956=EDGE_CURVE('',#167329,#167327,#93124,.T.); #207957=EDGE_CURVE('',#167328,#167329,#93125,.T.); #207958=EDGE_CURVE('',#167330,#167328,#93126,.T.); #207959=EDGE_CURVE('',#167331,#167329,#93127,.T.); #207960=EDGE_CURVE('',#167330,#167331,#93128,.T.); #207961=EDGE_CURVE('',#167332,#167330,#93129,.T.); #207962=EDGE_CURVE('',#167333,#167331,#93130,.T.); #207963=EDGE_CURVE('',#167332,#167333,#93131,.T.); #207964=EDGE_CURVE('',#167334,#167332,#93132,.T.); #207965=EDGE_CURVE('',#167335,#167333,#93133,.T.); #207966=EDGE_CURVE('',#167334,#167335,#93134,.T.); #207967=EDGE_CURVE('',#167336,#167334,#93135,.T.); #207968=EDGE_CURVE('',#167337,#167335,#93136,.T.); #207969=EDGE_CURVE('',#167336,#167337,#93137,.T.); #207970=EDGE_CURVE('',#167338,#167336,#93138,.T.); #207971=EDGE_CURVE('',#167339,#167337,#93139,.T.); #207972=EDGE_CURVE('',#167338,#167339,#93140,.T.); #207973=EDGE_CURVE('',#167340,#167338,#68283,.T.); #207974=EDGE_CURVE('',#167341,#167339,#68284,.T.); #207975=EDGE_CURVE('',#167340,#167341,#93141,.T.); #207976=EDGE_CURVE('',#167297,#167340,#68285,.T.); #207977=EDGE_CURVE('',#167298,#167341,#68286,.T.); #207978=EDGE_CURVE('',#167342,#167342,#68287,.T.); #207979=EDGE_CURVE('',#167342,#167343,#93142,.T.); #207980=EDGE_CURVE('',#167343,#167343,#68288,.T.); #207981=EDGE_CURVE('',#167344,#167344,#68289,.T.); #207982=EDGE_CURVE('',#167344,#167345,#93143,.T.); #207983=EDGE_CURVE('',#167345,#167345,#68290,.T.); #207984=EDGE_CURVE('',#167346,#167347,#93144,.T.); #207985=EDGE_CURVE('',#167347,#167348,#93145,.T.); #207986=EDGE_CURVE('',#167349,#167348,#93146,.T.); #207987=EDGE_CURVE('',#167346,#167349,#93147,.T.); #207988=EDGE_CURVE('',#167350,#167346,#68291,.T.); #207989=EDGE_CURVE('',#167351,#167349,#68292,.T.); #207990=EDGE_CURVE('',#167350,#167351,#93148,.T.); #207991=EDGE_CURVE('',#167352,#167350,#93149,.T.); #207992=EDGE_CURVE('',#167353,#167351,#93150,.T.); #207993=EDGE_CURVE('',#167352,#167353,#93151,.T.); #207994=EDGE_CURVE('',#167354,#167352,#68293,.T.); #207995=EDGE_CURVE('',#167355,#167353,#68294,.T.); #207996=EDGE_CURVE('',#167354,#167355,#93152,.T.); #207997=EDGE_CURVE('',#167356,#167354,#68295,.T.); #207998=EDGE_CURVE('',#167357,#167355,#68296,.T.); #207999=EDGE_CURVE('',#167356,#167357,#93153,.T.); #208000=EDGE_CURVE('',#167358,#167356,#93154,.T.); #208001=EDGE_CURVE('',#167359,#167357,#93155,.T.); #208002=EDGE_CURVE('',#167358,#167359,#93156,.T.); #208003=EDGE_CURVE('',#167360,#167358,#93157,.T.); #208004=EDGE_CURVE('',#167361,#167359,#93158,.T.); #208005=EDGE_CURVE('',#167360,#167361,#93159,.T.); #208006=EDGE_CURVE('',#167347,#167360,#68297,.T.); #208007=EDGE_CURVE('',#167348,#167361,#68298,.T.); #208008=EDGE_CURVE('',#167362,#167362,#68299,.T.); #208009=EDGE_CURVE('',#167362,#167363,#93160,.T.); #208010=EDGE_CURVE('',#167363,#167363,#68300,.T.); #208011=EDGE_CURVE('',#167364,#167365,#93161,.T.); #208012=EDGE_CURVE('',#167365,#167366,#93162,.T.); #208013=EDGE_CURVE('',#167367,#167366,#93163,.T.); #208014=EDGE_CURVE('',#167364,#167367,#93164,.T.); #208015=EDGE_CURVE('',#167368,#167364,#93165,.T.); #208016=EDGE_CURVE('',#167369,#167367,#93166,.T.); #208017=EDGE_CURVE('',#167368,#167369,#93167,.T.); #208018=EDGE_CURVE('',#167370,#167368,#93168,.T.); #208019=EDGE_CURVE('',#167371,#167369,#93169,.T.); #208020=EDGE_CURVE('',#167370,#167371,#93170,.T.); #208021=EDGE_CURVE('',#167365,#167370,#93171,.T.); #208022=EDGE_CURVE('',#167366,#167371,#93172,.T.); #208023=EDGE_CURVE('',#167372,#167372,#68301,.T.); #208024=EDGE_CURVE('',#167372,#167373,#93173,.T.); #208025=EDGE_CURVE('',#167373,#167373,#68302,.T.); #208026=EDGE_CURVE('',#167374,#167374,#68303,.T.); #208027=EDGE_CURVE('',#167374,#167375,#93174,.T.); #208028=EDGE_CURVE('',#167375,#167375,#68304,.T.); #208029=EDGE_CURVE('',#167376,#167376,#68305,.T.); #208030=EDGE_CURVE('',#167376,#167377,#93175,.T.); #208031=EDGE_CURVE('',#167377,#167377,#68306,.T.); #208032=EDGE_CURVE('',#167378,#167378,#68307,.T.); #208033=EDGE_CURVE('',#167378,#167379,#93176,.T.); #208034=EDGE_CURVE('',#167379,#167379,#68308,.T.); #208035=EDGE_CURVE('',#167380,#167381,#93177,.T.); #208036=EDGE_CURVE('',#167381,#167382,#93178,.T.); #208037=EDGE_CURVE('',#167383,#167382,#93179,.T.); #208038=EDGE_CURVE('',#167380,#167383,#93180,.T.); #208039=EDGE_CURVE('',#167384,#167380,#93181,.T.); #208040=EDGE_CURVE('',#167385,#167383,#93182,.T.); #208041=EDGE_CURVE('',#167384,#167385,#93183,.T.); #208042=EDGE_CURVE('',#167386,#167384,#93184,.T.); #208043=EDGE_CURVE('',#167387,#167385,#93185,.T.); #208044=EDGE_CURVE('',#167386,#167387,#93186,.T.); #208045=EDGE_CURVE('',#167388,#167386,#93187,.T.); #208046=EDGE_CURVE('',#167389,#167387,#93188,.T.); #208047=EDGE_CURVE('',#167388,#167389,#93189,.T.); #208048=EDGE_CURVE('',#167390,#167388,#93190,.T.); #208049=EDGE_CURVE('',#167391,#167389,#93191,.T.); #208050=EDGE_CURVE('',#167390,#167391,#93192,.T.); #208051=EDGE_CURVE('',#167392,#167390,#93193,.T.); #208052=EDGE_CURVE('',#167393,#167391,#93194,.T.); #208053=EDGE_CURVE('',#167392,#167393,#93195,.T.); #208054=EDGE_CURVE('',#167394,#167392,#93196,.T.); #208055=EDGE_CURVE('',#167395,#167393,#93197,.T.); #208056=EDGE_CURVE('',#167394,#167395,#93198,.T.); #208057=EDGE_CURVE('',#167396,#167394,#93199,.T.); #208058=EDGE_CURVE('',#167397,#167395,#93200,.T.); #208059=EDGE_CURVE('',#167396,#167397,#93201,.T.); #208060=EDGE_CURVE('',#167398,#167396,#93202,.T.); #208061=EDGE_CURVE('',#167399,#167397,#93203,.T.); #208062=EDGE_CURVE('',#167398,#167399,#93204,.T.); #208063=EDGE_CURVE('',#167400,#167398,#93205,.T.); #208064=EDGE_CURVE('',#167401,#167399,#93206,.T.); #208065=EDGE_CURVE('',#167400,#167401,#93207,.T.); #208066=EDGE_CURVE('',#167402,#167400,#93208,.T.); #208067=EDGE_CURVE('',#167403,#167401,#93209,.T.); #208068=EDGE_CURVE('',#167402,#167403,#93210,.T.); #208069=EDGE_CURVE('',#167404,#167402,#93211,.T.); #208070=EDGE_CURVE('',#167405,#167403,#93212,.T.); #208071=EDGE_CURVE('',#167404,#167405,#93213,.T.); #208072=EDGE_CURVE('',#167406,#167404,#93214,.T.); #208073=EDGE_CURVE('',#167407,#167405,#93215,.T.); #208074=EDGE_CURVE('',#167406,#167407,#93216,.T.); #208075=EDGE_CURVE('',#167408,#167406,#93217,.T.); #208076=EDGE_CURVE('',#167409,#167407,#93218,.T.); #208077=EDGE_CURVE('',#167408,#167409,#93219,.T.); #208078=EDGE_CURVE('',#167410,#167408,#93220,.T.); #208079=EDGE_CURVE('',#167411,#167409,#93221,.T.); #208080=EDGE_CURVE('',#167410,#167411,#93222,.T.); #208081=EDGE_CURVE('',#167381,#167410,#93223,.T.); #208082=EDGE_CURVE('',#167382,#167411,#93224,.T.); #208083=EDGE_CURVE('',#167412,#167413,#93225,.T.); #208084=EDGE_CURVE('',#167413,#167414,#93226,.T.); #208085=EDGE_CURVE('',#167415,#167414,#93227,.T.); #208086=EDGE_CURVE('',#167412,#167415,#93228,.T.); #208087=EDGE_CURVE('',#167416,#167412,#93229,.T.); #208088=EDGE_CURVE('',#167417,#167415,#93230,.T.); #208089=EDGE_CURVE('',#167416,#167417,#93231,.T.); #208090=EDGE_CURVE('',#167418,#167416,#93232,.T.); #208091=EDGE_CURVE('',#167419,#167417,#93233,.T.); #208092=EDGE_CURVE('',#167418,#167419,#93234,.T.); #208093=EDGE_CURVE('',#167420,#167418,#93235,.T.); #208094=EDGE_CURVE('',#167421,#167419,#93236,.T.); #208095=EDGE_CURVE('',#167420,#167421,#93237,.T.); #208096=EDGE_CURVE('',#167422,#167420,#93238,.T.); #208097=EDGE_CURVE('',#167423,#167421,#93239,.T.); #208098=EDGE_CURVE('',#167422,#167423,#93240,.T.); #208099=EDGE_CURVE('',#167424,#167422,#93241,.T.); #208100=EDGE_CURVE('',#167425,#167423,#93242,.T.); #208101=EDGE_CURVE('',#167424,#167425,#93243,.T.); #208102=EDGE_CURVE('',#167426,#167424,#93244,.T.); #208103=EDGE_CURVE('',#167427,#167425,#93245,.T.); #208104=EDGE_CURVE('',#167426,#167427,#93246,.T.); #208105=EDGE_CURVE('',#167428,#167426,#93247,.T.); #208106=EDGE_CURVE('',#167429,#167427,#93248,.T.); #208107=EDGE_CURVE('',#167428,#167429,#93249,.T.); #208108=EDGE_CURVE('',#167430,#167428,#93250,.T.); #208109=EDGE_CURVE('',#167431,#167429,#93251,.T.); #208110=EDGE_CURVE('',#167430,#167431,#93252,.T.); #208111=EDGE_CURVE('',#167432,#167430,#93253,.T.); #208112=EDGE_CURVE('',#167433,#167431,#93254,.T.); #208113=EDGE_CURVE('',#167432,#167433,#93255,.T.); #208114=EDGE_CURVE('',#167434,#167432,#93256,.T.); #208115=EDGE_CURVE('',#167435,#167433,#93257,.T.); #208116=EDGE_CURVE('',#167434,#167435,#93258,.T.); #208117=EDGE_CURVE('',#167436,#167434,#93259,.T.); #208118=EDGE_CURVE('',#167437,#167435,#93260,.T.); #208119=EDGE_CURVE('',#167436,#167437,#93261,.T.); #208120=EDGE_CURVE('',#167438,#167436,#93262,.T.); #208121=EDGE_CURVE('',#167439,#167437,#93263,.T.); #208122=EDGE_CURVE('',#167438,#167439,#93264,.T.); #208123=EDGE_CURVE('',#167440,#167438,#93265,.T.); #208124=EDGE_CURVE('',#167441,#167439,#93266,.T.); #208125=EDGE_CURVE('',#167440,#167441,#93267,.T.); #208126=EDGE_CURVE('',#167442,#167440,#93268,.T.); #208127=EDGE_CURVE('',#167443,#167441,#93269,.T.); #208128=EDGE_CURVE('',#167442,#167443,#93270,.T.); #208129=EDGE_CURVE('',#167444,#167442,#93271,.T.); #208130=EDGE_CURVE('',#167445,#167443,#93272,.T.); #208131=EDGE_CURVE('',#167444,#167445,#93273,.T.); #208132=EDGE_CURVE('',#167446,#167444,#93274,.T.); #208133=EDGE_CURVE('',#167447,#167445,#93275,.T.); #208134=EDGE_CURVE('',#167446,#167447,#93276,.T.); #208135=EDGE_CURVE('',#167448,#167446,#93277,.T.); #208136=EDGE_CURVE('',#167449,#167447,#93278,.T.); #208137=EDGE_CURVE('',#167448,#167449,#93279,.T.); #208138=EDGE_CURVE('',#167450,#167448,#93280,.T.); #208139=EDGE_CURVE('',#167451,#167449,#93281,.T.); #208140=EDGE_CURVE('',#167450,#167451,#93282,.T.); #208141=EDGE_CURVE('',#167452,#167450,#93283,.T.); #208142=EDGE_CURVE('',#167453,#167451,#93284,.T.); #208143=EDGE_CURVE('',#167452,#167453,#93285,.T.); #208144=EDGE_CURVE('',#167454,#167452,#93286,.T.); #208145=EDGE_CURVE('',#167455,#167453,#93287,.T.); #208146=EDGE_CURVE('',#167454,#167455,#93288,.T.); #208147=EDGE_CURVE('',#167456,#167454,#93289,.T.); #208148=EDGE_CURVE('',#167457,#167455,#93290,.T.); #208149=EDGE_CURVE('',#167456,#167457,#93291,.T.); #208150=EDGE_CURVE('',#167458,#167456,#93292,.T.); #208151=EDGE_CURVE('',#167459,#167457,#93293,.T.); #208152=EDGE_CURVE('',#167458,#167459,#93294,.T.); #208153=EDGE_CURVE('',#167460,#167458,#93295,.T.); #208154=EDGE_CURVE('',#167461,#167459,#93296,.T.); #208155=EDGE_CURVE('',#167460,#167461,#93297,.T.); #208156=EDGE_CURVE('',#167462,#167460,#93298,.T.); #208157=EDGE_CURVE('',#167463,#167461,#93299,.T.); #208158=EDGE_CURVE('',#167462,#167463,#93300,.T.); #208159=EDGE_CURVE('',#167464,#167462,#93301,.T.); #208160=EDGE_CURVE('',#167465,#167463,#93302,.T.); #208161=EDGE_CURVE('',#167464,#167465,#93303,.T.); #208162=EDGE_CURVE('',#167466,#167464,#93304,.T.); #208163=EDGE_CURVE('',#167467,#167465,#93305,.T.); #208164=EDGE_CURVE('',#167466,#167467,#93306,.T.); #208165=EDGE_CURVE('',#167468,#167466,#93307,.T.); #208166=EDGE_CURVE('',#167469,#167467,#93308,.T.); #208167=EDGE_CURVE('',#167468,#167469,#93309,.T.); #208168=EDGE_CURVE('',#167470,#167468,#93310,.T.); #208169=EDGE_CURVE('',#167471,#167469,#93311,.T.); #208170=EDGE_CURVE('',#167470,#167471,#93312,.T.); #208171=EDGE_CURVE('',#167472,#167470,#93313,.T.); #208172=EDGE_CURVE('',#167473,#167471,#93314,.T.); #208173=EDGE_CURVE('',#167472,#167473,#93315,.T.); #208174=EDGE_CURVE('',#167474,#167472,#93316,.T.); #208175=EDGE_CURVE('',#167475,#167473,#93317,.T.); #208176=EDGE_CURVE('',#167474,#167475,#93318,.T.); #208177=EDGE_CURVE('',#167476,#167474,#93319,.T.); #208178=EDGE_CURVE('',#167477,#167475,#93320,.T.); #208179=EDGE_CURVE('',#167476,#167477,#93321,.T.); #208180=EDGE_CURVE('',#167478,#167476,#93322,.T.); #208181=EDGE_CURVE('',#167479,#167477,#93323,.T.); #208182=EDGE_CURVE('',#167478,#167479,#93324,.T.); #208183=EDGE_CURVE('',#167480,#167478,#93325,.T.); #208184=EDGE_CURVE('',#167481,#167479,#93326,.T.); #208185=EDGE_CURVE('',#167480,#167481,#93327,.T.); #208186=EDGE_CURVE('',#167482,#167480,#93328,.T.); #208187=EDGE_CURVE('',#167483,#167481,#93329,.T.); #208188=EDGE_CURVE('',#167482,#167483,#93330,.T.); #208189=EDGE_CURVE('',#167484,#167482,#93331,.T.); #208190=EDGE_CURVE('',#167485,#167483,#93332,.T.); #208191=EDGE_CURVE('',#167484,#167485,#93333,.T.); #208192=EDGE_CURVE('',#167486,#167484,#93334,.T.); #208193=EDGE_CURVE('',#167487,#167485,#93335,.T.); #208194=EDGE_CURVE('',#167486,#167487,#93336,.T.); #208195=EDGE_CURVE('',#167488,#167486,#93337,.T.); #208196=EDGE_CURVE('',#167489,#167487,#93338,.T.); #208197=EDGE_CURVE('',#167488,#167489,#93339,.T.); #208198=EDGE_CURVE('',#167490,#167488,#93340,.T.); #208199=EDGE_CURVE('',#167491,#167489,#93341,.T.); #208200=EDGE_CURVE('',#167490,#167491,#93342,.T.); #208201=EDGE_CURVE('',#167492,#167490,#93343,.T.); #208202=EDGE_CURVE('',#167493,#167491,#93344,.T.); #208203=EDGE_CURVE('',#167492,#167493,#93345,.T.); #208204=EDGE_CURVE('',#167494,#167492,#93346,.T.); #208205=EDGE_CURVE('',#167495,#167493,#93347,.T.); #208206=EDGE_CURVE('',#167494,#167495,#93348,.T.); #208207=EDGE_CURVE('',#167496,#167494,#93349,.T.); #208208=EDGE_CURVE('',#167497,#167495,#93350,.T.); #208209=EDGE_CURVE('',#167496,#167497,#93351,.T.); #208210=EDGE_CURVE('',#167498,#167496,#93352,.T.); #208211=EDGE_CURVE('',#167499,#167497,#93353,.T.); #208212=EDGE_CURVE('',#167498,#167499,#93354,.T.); #208213=EDGE_CURVE('',#167500,#167498,#93355,.T.); #208214=EDGE_CURVE('',#167501,#167499,#93356,.T.); #208215=EDGE_CURVE('',#167500,#167501,#93357,.T.); #208216=EDGE_CURVE('',#167502,#167500,#93358,.T.); #208217=EDGE_CURVE('',#167503,#167501,#93359,.T.); #208218=EDGE_CURVE('',#167502,#167503,#93360,.T.); #208219=EDGE_CURVE('',#167504,#167502,#93361,.T.); #208220=EDGE_CURVE('',#167505,#167503,#93362,.T.); #208221=EDGE_CURVE('',#167504,#167505,#93363,.T.); #208222=EDGE_CURVE('',#167506,#167504,#93364,.T.); #208223=EDGE_CURVE('',#167507,#167505,#93365,.T.); #208224=EDGE_CURVE('',#167506,#167507,#93366,.T.); #208225=EDGE_CURVE('',#167508,#167506,#93367,.T.); #208226=EDGE_CURVE('',#167509,#167507,#93368,.T.); #208227=EDGE_CURVE('',#167508,#167509,#93369,.T.); #208228=EDGE_CURVE('',#167510,#167508,#93370,.T.); #208229=EDGE_CURVE('',#167511,#167509,#93371,.T.); #208230=EDGE_CURVE('',#167510,#167511,#93372,.T.); #208231=EDGE_CURVE('',#167512,#167510,#93373,.T.); #208232=EDGE_CURVE('',#167513,#167511,#93374,.T.); #208233=EDGE_CURVE('',#167512,#167513,#93375,.T.); #208234=EDGE_CURVE('',#167514,#167512,#93376,.T.); #208235=EDGE_CURVE('',#167515,#167513,#93377,.T.); #208236=EDGE_CURVE('',#167514,#167515,#93378,.T.); #208237=EDGE_CURVE('',#167516,#167514,#93379,.T.); #208238=EDGE_CURVE('',#167517,#167515,#93380,.T.); #208239=EDGE_CURVE('',#167516,#167517,#93381,.T.); #208240=EDGE_CURVE('',#167518,#167516,#93382,.T.); #208241=EDGE_CURVE('',#167519,#167517,#93383,.T.); #208242=EDGE_CURVE('',#167518,#167519,#93384,.T.); #208243=EDGE_CURVE('',#167520,#167518,#93385,.T.); #208244=EDGE_CURVE('',#167521,#167519,#93386,.T.); #208245=EDGE_CURVE('',#167520,#167521,#93387,.T.); #208246=EDGE_CURVE('',#167522,#167520,#68309,.T.); #208247=EDGE_CURVE('',#167523,#167521,#68310,.T.); #208248=EDGE_CURVE('',#167522,#167523,#93388,.T.); #208249=EDGE_CURVE('',#167524,#167522,#93389,.T.); #208250=EDGE_CURVE('',#167525,#167523,#93390,.T.); #208251=EDGE_CURVE('',#167524,#167525,#93391,.T.); #208252=EDGE_CURVE('',#167526,#167524,#93392,.T.); #208253=EDGE_CURVE('',#167527,#167525,#93393,.T.); #208254=EDGE_CURVE('',#167526,#167527,#93394,.T.); #208255=EDGE_CURVE('',#167528,#167526,#93395,.T.); #208256=EDGE_CURVE('',#167529,#167527,#93396,.T.); #208257=EDGE_CURVE('',#167528,#167529,#93397,.T.); #208258=EDGE_CURVE('',#167530,#167528,#68311,.T.); #208259=EDGE_CURVE('',#167531,#167529,#68312,.T.); #208260=EDGE_CURVE('',#167530,#167531,#93398,.T.); #208261=EDGE_CURVE('',#167532,#167530,#68313,.T.); #208262=EDGE_CURVE('',#167533,#167531,#68314,.T.); #208263=EDGE_CURVE('',#167532,#167533,#93399,.T.); #208264=EDGE_CURVE('',#167534,#167532,#93400,.T.); #208265=EDGE_CURVE('',#167535,#167533,#93401,.T.); #208266=EDGE_CURVE('',#167534,#167535,#93402,.T.); #208267=EDGE_CURVE('',#167536,#167534,#68315,.T.); #208268=EDGE_CURVE('',#167537,#167535,#68316,.T.); #208269=EDGE_CURVE('',#167536,#167537,#93403,.T.); #208270=EDGE_CURVE('',#167538,#167536,#93404,.T.); #208271=EDGE_CURVE('',#167539,#167537,#93405,.T.); #208272=EDGE_CURVE('',#167538,#167539,#93406,.T.); #208273=EDGE_CURVE('',#167540,#167538,#68317,.T.); #208274=EDGE_CURVE('',#167541,#167539,#68318,.T.); #208275=EDGE_CURVE('',#167540,#167541,#93407,.T.); #208276=EDGE_CURVE('',#167542,#167540,#93408,.T.); #208277=EDGE_CURVE('',#167543,#167541,#93409,.T.); #208278=EDGE_CURVE('',#167542,#167543,#93410,.T.); #208279=EDGE_CURVE('',#167544,#167542,#68319,.T.); #208280=EDGE_CURVE('',#167545,#167543,#68320,.T.); #208281=EDGE_CURVE('',#167544,#167545,#93411,.T.); #208282=EDGE_CURVE('',#167546,#167544,#93412,.T.); #208283=EDGE_CURVE('',#167547,#167545,#93413,.T.); #208284=EDGE_CURVE('',#167546,#167547,#93414,.T.); #208285=EDGE_CURVE('',#167548,#167546,#93415,.T.); #208286=EDGE_CURVE('',#167549,#167547,#93416,.T.); #208287=EDGE_CURVE('',#167548,#167549,#93417,.T.); #208288=EDGE_CURVE('',#167550,#167548,#93418,.T.); #208289=EDGE_CURVE('',#167551,#167549,#93419,.T.); #208290=EDGE_CURVE('',#167550,#167551,#93420,.T.); #208291=EDGE_CURVE('',#167552,#167550,#93421,.T.); #208292=EDGE_CURVE('',#167553,#167551,#93422,.T.); #208293=EDGE_CURVE('',#167552,#167553,#93423,.T.); #208294=EDGE_CURVE('',#167554,#167552,#93424,.T.); #208295=EDGE_CURVE('',#167555,#167553,#93425,.T.); #208296=EDGE_CURVE('',#167554,#167555,#93426,.T.); #208297=EDGE_CURVE('',#167556,#167554,#93427,.T.); #208298=EDGE_CURVE('',#167557,#167555,#93428,.T.); #208299=EDGE_CURVE('',#167556,#167557,#93429,.T.); #208300=EDGE_CURVE('',#167558,#167556,#93430,.T.); #208301=EDGE_CURVE('',#167559,#167557,#93431,.T.); #208302=EDGE_CURVE('',#167558,#167559,#93432,.T.); #208303=EDGE_CURVE('',#167413,#167558,#93433,.T.); #208304=EDGE_CURVE('',#167414,#167559,#93434,.T.); #208305=EDGE_CURVE('',#167560,#167560,#68321,.T.); #208306=EDGE_CURVE('',#167560,#167561,#93435,.T.); #208307=EDGE_CURVE('',#167561,#167561,#68322,.T.); #208308=EDGE_CURVE('',#167562,#167563,#93436,.T.); #208309=EDGE_CURVE('',#167563,#167564,#93437,.T.); #208310=EDGE_CURVE('',#167565,#167564,#93438,.T.); #208311=EDGE_CURVE('',#167562,#167565,#93439,.T.); #208312=EDGE_CURVE('',#167566,#167562,#93440,.T.); #208313=EDGE_CURVE('',#167567,#167565,#93441,.T.); #208314=EDGE_CURVE('',#167566,#167567,#93442,.T.); #208315=EDGE_CURVE('',#167568,#167566,#68323,.T.); #208316=EDGE_CURVE('',#167569,#167567,#68324,.T.); #208317=EDGE_CURVE('',#167568,#167569,#93443,.T.); #208318=EDGE_CURVE('',#167570,#167568,#93444,.T.); #208319=EDGE_CURVE('',#167571,#167569,#93445,.T.); #208320=EDGE_CURVE('',#167570,#167571,#93446,.T.); #208321=EDGE_CURVE('',#167572,#167570,#93447,.T.); #208322=EDGE_CURVE('',#167573,#167571,#93448,.T.); #208323=EDGE_CURVE('',#167572,#167573,#93449,.T.); #208324=EDGE_CURVE('',#167574,#167572,#93450,.T.); #208325=EDGE_CURVE('',#167575,#167573,#93451,.T.); #208326=EDGE_CURVE('',#167574,#167575,#93452,.T.); #208327=EDGE_CURVE('',#167576,#167574,#93453,.T.); #208328=EDGE_CURVE('',#167577,#167575,#93454,.T.); #208329=EDGE_CURVE('',#167576,#167577,#93455,.T.); #208330=EDGE_CURVE('',#167578,#167576,#93456,.T.); #208331=EDGE_CURVE('',#167579,#167577,#93457,.T.); #208332=EDGE_CURVE('',#167578,#167579,#93458,.T.); #208333=EDGE_CURVE('',#167580,#167578,#93459,.T.); #208334=EDGE_CURVE('',#167581,#167579,#93460,.T.); #208335=EDGE_CURVE('',#167580,#167581,#93461,.T.); #208336=EDGE_CURVE('',#167582,#167580,#93462,.T.); #208337=EDGE_CURVE('',#167583,#167581,#93463,.T.); #208338=EDGE_CURVE('',#167582,#167583,#93464,.T.); #208339=EDGE_CURVE('',#167584,#167582,#93465,.T.); #208340=EDGE_CURVE('',#167585,#167583,#93466,.T.); #208341=EDGE_CURVE('',#167584,#167585,#93467,.T.); #208342=EDGE_CURVE('',#167586,#167584,#93468,.T.); #208343=EDGE_CURVE('',#167587,#167585,#93469,.T.); #208344=EDGE_CURVE('',#167586,#167587,#93470,.T.); #208345=EDGE_CURVE('',#167588,#167586,#93471,.T.); #208346=EDGE_CURVE('',#167589,#167587,#93472,.T.); #208347=EDGE_CURVE('',#167588,#167589,#93473,.T.); #208348=EDGE_CURVE('',#167590,#167588,#93474,.T.); #208349=EDGE_CURVE('',#167591,#167589,#93475,.T.); #208350=EDGE_CURVE('',#167590,#167591,#93476,.T.); #208351=EDGE_CURVE('',#167592,#167590,#93477,.T.); #208352=EDGE_CURVE('',#167593,#167591,#93478,.T.); #208353=EDGE_CURVE('',#167592,#167593,#93479,.T.); #208354=EDGE_CURVE('',#167594,#167592,#93480,.T.); #208355=EDGE_CURVE('',#167595,#167593,#93481,.T.); #208356=EDGE_CURVE('',#167594,#167595,#93482,.T.); #208357=EDGE_CURVE('',#167596,#167594,#93483,.T.); #208358=EDGE_CURVE('',#167597,#167595,#93484,.T.); #208359=EDGE_CURVE('',#167596,#167597,#93485,.T.); #208360=EDGE_CURVE('',#167598,#167596,#93486,.T.); #208361=EDGE_CURVE('',#167599,#167597,#93487,.T.); #208362=EDGE_CURVE('',#167598,#167599,#93488,.T.); #208363=EDGE_CURVE('',#167600,#167598,#93489,.T.); #208364=EDGE_CURVE('',#167601,#167599,#93490,.T.); #208365=EDGE_CURVE('',#167600,#167601,#93491,.T.); #208366=EDGE_CURVE('',#167602,#167600,#93492,.T.); #208367=EDGE_CURVE('',#167603,#167601,#93493,.T.); #208368=EDGE_CURVE('',#167602,#167603,#93494,.T.); #208369=EDGE_CURVE('',#167604,#167602,#93495,.T.); #208370=EDGE_CURVE('',#167605,#167603,#93496,.T.); #208371=EDGE_CURVE('',#167604,#167605,#93497,.T.); #208372=EDGE_CURVE('',#167606,#167604,#93498,.T.); #208373=EDGE_CURVE('',#167607,#167605,#93499,.T.); #208374=EDGE_CURVE('',#167606,#167607,#93500,.T.); #208375=EDGE_CURVE('',#167608,#167606,#93501,.T.); #208376=EDGE_CURVE('',#167609,#167607,#93502,.T.); #208377=EDGE_CURVE('',#167608,#167609,#93503,.T.); #208378=EDGE_CURVE('',#167610,#167608,#68325,.T.); #208379=EDGE_CURVE('',#167611,#167609,#68326,.T.); #208380=EDGE_CURVE('',#167610,#167611,#93504,.T.); #208381=EDGE_CURVE('',#167612,#167610,#93505,.T.); #208382=EDGE_CURVE('',#167613,#167611,#93506,.T.); #208383=EDGE_CURVE('',#167612,#167613,#93507,.T.); #208384=EDGE_CURVE('',#167614,#167612,#93508,.T.); #208385=EDGE_CURVE('',#167615,#167613,#93509,.T.); #208386=EDGE_CURVE('',#167614,#167615,#93510,.T.); #208387=EDGE_CURVE('',#167616,#167614,#93511,.T.); #208388=EDGE_CURVE('',#167617,#167615,#93512,.T.); #208389=EDGE_CURVE('',#167616,#167617,#93513,.T.); #208390=EDGE_CURVE('',#167618,#167616,#68327,.T.); #208391=EDGE_CURVE('',#167619,#167617,#68328,.T.); #208392=EDGE_CURVE('',#167618,#167619,#93514,.T.); #208393=EDGE_CURVE('',#167620,#167618,#93515,.T.); #208394=EDGE_CURVE('',#167621,#167619,#93516,.T.); #208395=EDGE_CURVE('',#167620,#167621,#93517,.T.); #208396=EDGE_CURVE('',#167622,#167620,#93518,.T.); #208397=EDGE_CURVE('',#167623,#167621,#93519,.T.); #208398=EDGE_CURVE('',#167622,#167623,#93520,.T.); #208399=EDGE_CURVE('',#167624,#167622,#68329,.T.); #208400=EDGE_CURVE('',#167625,#167623,#68330,.T.); #208401=EDGE_CURVE('',#167624,#167625,#93521,.T.); #208402=EDGE_CURVE('',#167626,#167624,#93522,.T.); #208403=EDGE_CURVE('',#167627,#167625,#93523,.T.); #208404=EDGE_CURVE('',#167626,#167627,#93524,.T.); #208405=EDGE_CURVE('',#167628,#167626,#68331,.T.); #208406=EDGE_CURVE('',#167629,#167627,#68332,.T.); #208407=EDGE_CURVE('',#167628,#167629,#93525,.T.); #208408=EDGE_CURVE('',#167630,#167628,#68333,.T.); #208409=EDGE_CURVE('',#167631,#167629,#68334,.T.); #208410=EDGE_CURVE('',#167630,#167631,#93526,.T.); #208411=EDGE_CURVE('',#167632,#167630,#93527,.T.); #208412=EDGE_CURVE('',#167633,#167631,#93528,.T.); #208413=EDGE_CURVE('',#167632,#167633,#93529,.T.); #208414=EDGE_CURVE('',#167634,#167632,#93530,.T.); #208415=EDGE_CURVE('',#167635,#167633,#93531,.T.); #208416=EDGE_CURVE('',#167634,#167635,#93532,.T.); #208417=EDGE_CURVE('',#167636,#167634,#68335,.T.); #208418=EDGE_CURVE('',#167637,#167635,#68336,.T.); #208419=EDGE_CURVE('',#167636,#167637,#93533,.T.); #208420=EDGE_CURVE('',#167638,#167636,#93534,.T.); #208421=EDGE_CURVE('',#167639,#167637,#93535,.T.); #208422=EDGE_CURVE('',#167638,#167639,#93536,.T.); #208423=EDGE_CURVE('',#167640,#167638,#93537,.T.); #208424=EDGE_CURVE('',#167641,#167639,#93538,.T.); #208425=EDGE_CURVE('',#167640,#167641,#93539,.T.); #208426=EDGE_CURVE('',#167642,#167640,#68337,.T.); #208427=EDGE_CURVE('',#167643,#167641,#68338,.T.); #208428=EDGE_CURVE('',#167642,#167643,#93540,.T.); #208429=EDGE_CURVE('',#167644,#167642,#93541,.T.); #208430=EDGE_CURVE('',#167645,#167643,#93542,.T.); #208431=EDGE_CURVE('',#167644,#167645,#93543,.T.); #208432=EDGE_CURVE('',#167646,#167644,#68339,.T.); #208433=EDGE_CURVE('',#167647,#167645,#68340,.T.); #208434=EDGE_CURVE('',#167646,#167647,#93544,.T.); #208435=EDGE_CURVE('',#167648,#167646,#93545,.T.); #208436=EDGE_CURVE('',#167649,#167647,#93546,.T.); #208437=EDGE_CURVE('',#167648,#167649,#93547,.T.); #208438=EDGE_CURVE('',#167650,#167648,#93548,.T.); #208439=EDGE_CURVE('',#167651,#167649,#93549,.T.); #208440=EDGE_CURVE('',#167650,#167651,#93550,.T.); #208441=EDGE_CURVE('',#167652,#167650,#93551,.T.); #208442=EDGE_CURVE('',#167653,#167651,#93552,.T.); #208443=EDGE_CURVE('',#167652,#167653,#93553,.T.); #208444=EDGE_CURVE('',#167654,#167652,#93554,.T.); #208445=EDGE_CURVE('',#167655,#167653,#93555,.T.); #208446=EDGE_CURVE('',#167654,#167655,#93556,.T.); #208447=EDGE_CURVE('',#167656,#167654,#93557,.T.); #208448=EDGE_CURVE('',#167657,#167655,#93558,.T.); #208449=EDGE_CURVE('',#167656,#167657,#93559,.T.); #208450=EDGE_CURVE('',#167563,#167656,#68341,.T.); #208451=EDGE_CURVE('',#167564,#167657,#68342,.T.); #208452=EDGE_CURVE('',#167658,#167658,#68343,.T.); #208453=EDGE_CURVE('',#167658,#167659,#93560,.T.); #208454=EDGE_CURVE('',#167659,#167659,#68344,.T.); #208455=EDGE_CURVE('',#167660,#167660,#68345,.T.); #208456=EDGE_CURVE('',#167660,#167661,#93561,.T.); #208457=EDGE_CURVE('',#167661,#167661,#68346,.T.); #208458=EDGE_CURVE('',#167662,#167662,#68347,.T.); #208459=EDGE_CURVE('',#167662,#167663,#93562,.T.); #208460=EDGE_CURVE('',#167663,#167663,#68348,.T.); #208461=EDGE_CURVE('',#167664,#167664,#68349,.T.); #208462=EDGE_CURVE('',#167664,#167665,#93563,.T.); #208463=EDGE_CURVE('',#167665,#167665,#68350,.T.); #208464=EDGE_CURVE('',#167666,#167666,#68351,.T.); #208465=EDGE_CURVE('',#167666,#167667,#93564,.T.); #208466=EDGE_CURVE('',#167667,#167667,#68352,.T.); #208467=EDGE_CURVE('',#167668,#167668,#68353,.T.); #208468=EDGE_CURVE('',#167668,#167669,#93565,.T.); #208469=EDGE_CURVE('',#167669,#167669,#68354,.T.); #208470=EDGE_CURVE('',#167670,#167670,#68355,.T.); #208471=EDGE_CURVE('',#167670,#167671,#93566,.T.); #208472=EDGE_CURVE('',#167671,#167671,#68356,.T.); #208473=EDGE_CURVE('',#167672,#167672,#68357,.T.); #208474=EDGE_CURVE('',#167672,#167673,#93567,.T.); #208475=EDGE_CURVE('',#167673,#167673,#68358,.T.); #208476=EDGE_CURVE('',#167674,#167674,#68359,.T.); #208477=EDGE_CURVE('',#167674,#167675,#93568,.T.); #208478=EDGE_CURVE('',#167675,#167675,#68360,.T.); #208479=EDGE_CURVE('',#167676,#167676,#68361,.T.); #208480=EDGE_CURVE('',#167676,#167677,#93569,.T.); #208481=EDGE_CURVE('',#167677,#167677,#68362,.T.); #208482=EDGE_CURVE('',#167678,#167678,#68363,.T.); #208483=EDGE_CURVE('',#167678,#167679,#93570,.T.); #208484=EDGE_CURVE('',#167679,#167679,#68364,.T.); #208485=EDGE_CURVE('',#167680,#167680,#68365,.T.); #208486=EDGE_CURVE('',#167680,#167681,#93571,.T.); #208487=EDGE_CURVE('',#167681,#167681,#68366,.T.); #208488=EDGE_CURVE('',#167682,#167683,#93572,.T.); #208489=EDGE_CURVE('',#167683,#167684,#93573,.T.); #208490=EDGE_CURVE('',#167685,#167684,#93574,.T.); #208491=EDGE_CURVE('',#167682,#167685,#93575,.T.); #208492=EDGE_CURVE('',#167686,#167682,#93576,.T.); #208493=EDGE_CURVE('',#167687,#167685,#93577,.T.); #208494=EDGE_CURVE('',#167686,#167687,#93578,.T.); #208495=EDGE_CURVE('',#167688,#167686,#93579,.T.); #208496=EDGE_CURVE('',#167689,#167687,#93580,.T.); #208497=EDGE_CURVE('',#167688,#167689,#93581,.T.); #208498=EDGE_CURVE('',#167690,#167688,#93582,.T.); #208499=EDGE_CURVE('',#167691,#167689,#93583,.T.); #208500=EDGE_CURVE('',#167690,#167691,#93584,.T.); #208501=EDGE_CURVE('',#167692,#167690,#93585,.T.); #208502=EDGE_CURVE('',#167693,#167691,#93586,.T.); #208503=EDGE_CURVE('',#167692,#167693,#93587,.T.); #208504=EDGE_CURVE('',#167694,#167692,#93588,.T.); #208505=EDGE_CURVE('',#167695,#167693,#93589,.T.); #208506=EDGE_CURVE('',#167694,#167695,#93590,.T.); #208507=EDGE_CURVE('',#167696,#167694,#93591,.T.); #208508=EDGE_CURVE('',#167697,#167695,#93592,.T.); #208509=EDGE_CURVE('',#167696,#167697,#93593,.T.); #208510=EDGE_CURVE('',#167698,#167696,#93594,.T.); #208511=EDGE_CURVE('',#167699,#167697,#93595,.T.); #208512=EDGE_CURVE('',#167698,#167699,#93596,.T.); #208513=EDGE_CURVE('',#167700,#167698,#93597,.T.); #208514=EDGE_CURVE('',#167701,#167699,#93598,.T.); #208515=EDGE_CURVE('',#167700,#167701,#93599,.T.); #208516=EDGE_CURVE('',#167702,#167700,#93600,.T.); #208517=EDGE_CURVE('',#167703,#167701,#93601,.T.); #208518=EDGE_CURVE('',#167702,#167703,#93602,.T.); #208519=EDGE_CURVE('',#167704,#167702,#93603,.T.); #208520=EDGE_CURVE('',#167705,#167703,#93604,.T.); #208521=EDGE_CURVE('',#167704,#167705,#93605,.T.); #208522=EDGE_CURVE('',#167706,#167704,#93606,.T.); #208523=EDGE_CURVE('',#167707,#167705,#93607,.T.); #208524=EDGE_CURVE('',#167706,#167707,#93608,.T.); #208525=EDGE_CURVE('',#167708,#167706,#93609,.T.); #208526=EDGE_CURVE('',#167709,#167707,#93610,.T.); #208527=EDGE_CURVE('',#167708,#167709,#93611,.T.); #208528=EDGE_CURVE('',#167710,#167708,#93612,.T.); #208529=EDGE_CURVE('',#167711,#167709,#93613,.T.); #208530=EDGE_CURVE('',#167710,#167711,#93614,.T.); #208531=EDGE_CURVE('',#167712,#167710,#93615,.T.); #208532=EDGE_CURVE('',#167713,#167711,#93616,.T.); #208533=EDGE_CURVE('',#167712,#167713,#93617,.T.); #208534=EDGE_CURVE('',#167714,#167712,#93618,.T.); #208535=EDGE_CURVE('',#167715,#167713,#93619,.T.); #208536=EDGE_CURVE('',#167714,#167715,#93620,.T.); #208537=EDGE_CURVE('',#167716,#167714,#93621,.T.); #208538=EDGE_CURVE('',#167717,#167715,#93622,.T.); #208539=EDGE_CURVE('',#167716,#167717,#93623,.T.); #208540=EDGE_CURVE('',#167718,#167716,#93624,.T.); #208541=EDGE_CURVE('',#167719,#167717,#93625,.T.); #208542=EDGE_CURVE('',#167718,#167719,#93626,.T.); #208543=EDGE_CURVE('',#167720,#167718,#93627,.T.); #208544=EDGE_CURVE('',#167721,#167719,#93628,.T.); #208545=EDGE_CURVE('',#167720,#167721,#93629,.T.); #208546=EDGE_CURVE('',#167722,#167720,#93630,.T.); #208547=EDGE_CURVE('',#167723,#167721,#93631,.T.); #208548=EDGE_CURVE('',#167722,#167723,#93632,.T.); #208549=EDGE_CURVE('',#167724,#167722,#93633,.T.); #208550=EDGE_CURVE('',#167725,#167723,#93634,.T.); #208551=EDGE_CURVE('',#167724,#167725,#93635,.T.); #208552=EDGE_CURVE('',#167726,#167724,#93636,.T.); #208553=EDGE_CURVE('',#167727,#167725,#93637,.T.); #208554=EDGE_CURVE('',#167726,#167727,#93638,.T.); #208555=EDGE_CURVE('',#167728,#167726,#93639,.T.); #208556=EDGE_CURVE('',#167729,#167727,#93640,.T.); #208557=EDGE_CURVE('',#167728,#167729,#93641,.T.); #208558=EDGE_CURVE('',#167730,#167728,#93642,.T.); #208559=EDGE_CURVE('',#167731,#167729,#93643,.T.); #208560=EDGE_CURVE('',#167730,#167731,#93644,.T.); #208561=EDGE_CURVE('',#167732,#167730,#93645,.T.); #208562=EDGE_CURVE('',#167733,#167731,#93646,.T.); #208563=EDGE_CURVE('',#167732,#167733,#93647,.T.); #208564=EDGE_CURVE('',#167734,#167732,#93648,.T.); #208565=EDGE_CURVE('',#167735,#167733,#93649,.T.); #208566=EDGE_CURVE('',#167734,#167735,#93650,.T.); #208567=EDGE_CURVE('',#167736,#167734,#93651,.T.); #208568=EDGE_CURVE('',#167737,#167735,#93652,.T.); #208569=EDGE_CURVE('',#167736,#167737,#93653,.T.); #208570=EDGE_CURVE('',#167738,#167736,#93654,.T.); #208571=EDGE_CURVE('',#167739,#167737,#93655,.T.); #208572=EDGE_CURVE('',#167738,#167739,#93656,.T.); #208573=EDGE_CURVE('',#167740,#167738,#93657,.T.); #208574=EDGE_CURVE('',#167741,#167739,#93658,.T.); #208575=EDGE_CURVE('',#167740,#167741,#93659,.T.); #208576=EDGE_CURVE('',#167742,#167740,#93660,.T.); #208577=EDGE_CURVE('',#167743,#167741,#93661,.T.); #208578=EDGE_CURVE('',#167742,#167743,#93662,.T.); #208579=EDGE_CURVE('',#167744,#167742,#93663,.T.); #208580=EDGE_CURVE('',#167745,#167743,#93664,.T.); #208581=EDGE_CURVE('',#167744,#167745,#93665,.T.); #208582=EDGE_CURVE('',#167746,#167744,#93666,.T.); #208583=EDGE_CURVE('',#167747,#167745,#93667,.T.); #208584=EDGE_CURVE('',#167746,#167747,#93668,.T.); #208585=EDGE_CURVE('',#167748,#167746,#93669,.T.); #208586=EDGE_CURVE('',#167749,#167747,#93670,.T.); #208587=EDGE_CURVE('',#167748,#167749,#93671,.T.); #208588=EDGE_CURVE('',#167750,#167748,#93672,.T.); #208589=EDGE_CURVE('',#167751,#167749,#93673,.T.); #208590=EDGE_CURVE('',#167750,#167751,#93674,.T.); #208591=EDGE_CURVE('',#167752,#167750,#93675,.T.); #208592=EDGE_CURVE('',#167753,#167751,#93676,.T.); #208593=EDGE_CURVE('',#167752,#167753,#93677,.T.); #208594=EDGE_CURVE('',#167754,#167752,#93678,.T.); #208595=EDGE_CURVE('',#167755,#167753,#93679,.T.); #208596=EDGE_CURVE('',#167754,#167755,#93680,.T.); #208597=EDGE_CURVE('',#167756,#167754,#93681,.T.); #208598=EDGE_CURVE('',#167757,#167755,#93682,.T.); #208599=EDGE_CURVE('',#167756,#167757,#93683,.T.); #208600=EDGE_CURVE('',#167758,#167756,#93684,.T.); #208601=EDGE_CURVE('',#167759,#167757,#93685,.T.); #208602=EDGE_CURVE('',#167758,#167759,#93686,.T.); #208603=EDGE_CURVE('',#167760,#167758,#93687,.T.); #208604=EDGE_CURVE('',#167761,#167759,#93688,.T.); #208605=EDGE_CURVE('',#167760,#167761,#93689,.T.); #208606=EDGE_CURVE('',#167762,#167760,#93690,.T.); #208607=EDGE_CURVE('',#167763,#167761,#93691,.T.); #208608=EDGE_CURVE('',#167762,#167763,#93692,.T.); #208609=EDGE_CURVE('',#167764,#167762,#93693,.T.); #208610=EDGE_CURVE('',#167765,#167763,#93694,.T.); #208611=EDGE_CURVE('',#167764,#167765,#93695,.T.); #208612=EDGE_CURVE('',#167766,#167764,#93696,.T.); #208613=EDGE_CURVE('',#167767,#167765,#93697,.T.); #208614=EDGE_CURVE('',#167766,#167767,#93698,.T.); #208615=EDGE_CURVE('',#167768,#167766,#93699,.T.); #208616=EDGE_CURVE('',#167769,#167767,#93700,.T.); #208617=EDGE_CURVE('',#167768,#167769,#93701,.T.); #208618=EDGE_CURVE('',#167770,#167768,#93702,.T.); #208619=EDGE_CURVE('',#167771,#167769,#93703,.T.); #208620=EDGE_CURVE('',#167770,#167771,#93704,.T.); #208621=EDGE_CURVE('',#167772,#167770,#93705,.T.); #208622=EDGE_CURVE('',#167773,#167771,#93706,.T.); #208623=EDGE_CURVE('',#167772,#167773,#93707,.T.); #208624=EDGE_CURVE('',#167774,#167772,#93708,.T.); #208625=EDGE_CURVE('',#167775,#167773,#93709,.T.); #208626=EDGE_CURVE('',#167774,#167775,#93710,.T.); #208627=EDGE_CURVE('',#167776,#167774,#93711,.T.); #208628=EDGE_CURVE('',#167777,#167775,#93712,.T.); #208629=EDGE_CURVE('',#167776,#167777,#93713,.T.); #208630=EDGE_CURVE('',#167778,#167776,#93714,.T.); #208631=EDGE_CURVE('',#167779,#167777,#93715,.T.); #208632=EDGE_CURVE('',#167778,#167779,#93716,.T.); #208633=EDGE_CURVE('',#167780,#167778,#93717,.T.); #208634=EDGE_CURVE('',#167781,#167779,#93718,.T.); #208635=EDGE_CURVE('',#167780,#167781,#93719,.T.); #208636=EDGE_CURVE('',#167782,#167780,#93720,.T.); #208637=EDGE_CURVE('',#167783,#167781,#93721,.T.); #208638=EDGE_CURVE('',#167782,#167783,#93722,.T.); #208639=EDGE_CURVE('',#167784,#167782,#93723,.T.); #208640=EDGE_CURVE('',#167785,#167783,#93724,.T.); #208641=EDGE_CURVE('',#167784,#167785,#93725,.T.); #208642=EDGE_CURVE('',#167786,#167784,#93726,.T.); #208643=EDGE_CURVE('',#167787,#167785,#93727,.T.); #208644=EDGE_CURVE('',#167786,#167787,#93728,.T.); #208645=EDGE_CURVE('',#167788,#167786,#93729,.T.); #208646=EDGE_CURVE('',#167789,#167787,#93730,.T.); #208647=EDGE_CURVE('',#167788,#167789,#93731,.T.); #208648=EDGE_CURVE('',#167790,#167788,#93732,.T.); #208649=EDGE_CURVE('',#167791,#167789,#93733,.T.); #208650=EDGE_CURVE('',#167790,#167791,#93734,.T.); #208651=EDGE_CURVE('',#167792,#167790,#93735,.T.); #208652=EDGE_CURVE('',#167793,#167791,#93736,.T.); #208653=EDGE_CURVE('',#167792,#167793,#93737,.T.); #208654=EDGE_CURVE('',#167794,#167792,#93738,.T.); #208655=EDGE_CURVE('',#167795,#167793,#93739,.T.); #208656=EDGE_CURVE('',#167794,#167795,#93740,.T.); #208657=EDGE_CURVE('',#167796,#167794,#93741,.T.); #208658=EDGE_CURVE('',#167797,#167795,#93742,.T.); #208659=EDGE_CURVE('',#167796,#167797,#93743,.T.); #208660=EDGE_CURVE('',#167798,#167796,#93744,.T.); #208661=EDGE_CURVE('',#167799,#167797,#93745,.T.); #208662=EDGE_CURVE('',#167798,#167799,#93746,.T.); #208663=EDGE_CURVE('',#167800,#167798,#93747,.T.); #208664=EDGE_CURVE('',#167801,#167799,#93748,.T.); #208665=EDGE_CURVE('',#167800,#167801,#93749,.T.); #208666=EDGE_CURVE('',#167802,#167800,#93750,.T.); #208667=EDGE_CURVE('',#167803,#167801,#93751,.T.); #208668=EDGE_CURVE('',#167802,#167803,#93752,.T.); #208669=EDGE_CURVE('',#167804,#167802,#93753,.T.); #208670=EDGE_CURVE('',#167805,#167803,#93754,.T.); #208671=EDGE_CURVE('',#167804,#167805,#93755,.T.); #208672=EDGE_CURVE('',#167806,#167804,#93756,.T.); #208673=EDGE_CURVE('',#167807,#167805,#93757,.T.); #208674=EDGE_CURVE('',#167806,#167807,#93758,.T.); #208675=EDGE_CURVE('',#167808,#167806,#93759,.T.); #208676=EDGE_CURVE('',#167809,#167807,#93760,.T.); #208677=EDGE_CURVE('',#167808,#167809,#93761,.T.); #208678=EDGE_CURVE('',#167810,#167808,#93762,.T.); #208679=EDGE_CURVE('',#167811,#167809,#93763,.T.); #208680=EDGE_CURVE('',#167810,#167811,#93764,.T.); #208681=EDGE_CURVE('',#167812,#167810,#93765,.T.); #208682=EDGE_CURVE('',#167813,#167811,#93766,.T.); #208683=EDGE_CURVE('',#167812,#167813,#93767,.T.); #208684=EDGE_CURVE('',#167814,#167812,#93768,.T.); #208685=EDGE_CURVE('',#167815,#167813,#93769,.T.); #208686=EDGE_CURVE('',#167814,#167815,#93770,.T.); #208687=EDGE_CURVE('',#167816,#167814,#93771,.T.); #208688=EDGE_CURVE('',#167817,#167815,#93772,.T.); #208689=EDGE_CURVE('',#167816,#167817,#93773,.T.); #208690=EDGE_CURVE('',#167818,#167816,#93774,.T.); #208691=EDGE_CURVE('',#167819,#167817,#93775,.T.); #208692=EDGE_CURVE('',#167818,#167819,#93776,.T.); #208693=EDGE_CURVE('',#167820,#167818,#93777,.T.); #208694=EDGE_CURVE('',#167821,#167819,#93778,.T.); #208695=EDGE_CURVE('',#167820,#167821,#93779,.T.); #208696=EDGE_CURVE('',#167822,#167820,#93780,.T.); #208697=EDGE_CURVE('',#167823,#167821,#93781,.T.); #208698=EDGE_CURVE('',#167822,#167823,#93782,.T.); #208699=EDGE_CURVE('',#167824,#167822,#93783,.T.); #208700=EDGE_CURVE('',#167825,#167823,#93784,.T.); #208701=EDGE_CURVE('',#167824,#167825,#93785,.T.); #208702=EDGE_CURVE('',#167826,#167824,#93786,.T.); #208703=EDGE_CURVE('',#167827,#167825,#93787,.T.); #208704=EDGE_CURVE('',#167826,#167827,#93788,.T.); #208705=EDGE_CURVE('',#167828,#167826,#93789,.T.); #208706=EDGE_CURVE('',#167829,#167827,#93790,.T.); #208707=EDGE_CURVE('',#167828,#167829,#93791,.T.); #208708=EDGE_CURVE('',#167830,#167828,#93792,.T.); #208709=EDGE_CURVE('',#167831,#167829,#93793,.T.); #208710=EDGE_CURVE('',#167830,#167831,#93794,.T.); #208711=EDGE_CURVE('',#167832,#167830,#93795,.T.); #208712=EDGE_CURVE('',#167833,#167831,#93796,.T.); #208713=EDGE_CURVE('',#167832,#167833,#93797,.T.); #208714=EDGE_CURVE('',#167834,#167832,#93798,.T.); #208715=EDGE_CURVE('',#167835,#167833,#93799,.T.); #208716=EDGE_CURVE('',#167834,#167835,#93800,.T.); #208717=EDGE_CURVE('',#167836,#167834,#93801,.T.); #208718=EDGE_CURVE('',#167837,#167835,#93802,.T.); #208719=EDGE_CURVE('',#167836,#167837,#93803,.T.); #208720=EDGE_CURVE('',#167838,#167836,#93804,.T.); #208721=EDGE_CURVE('',#167839,#167837,#93805,.T.); #208722=EDGE_CURVE('',#167838,#167839,#93806,.T.); #208723=EDGE_CURVE('',#167840,#167838,#93807,.T.); #208724=EDGE_CURVE('',#167841,#167839,#93808,.T.); #208725=EDGE_CURVE('',#167840,#167841,#93809,.T.); #208726=EDGE_CURVE('',#167842,#167840,#93810,.T.); #208727=EDGE_CURVE('',#167843,#167841,#93811,.T.); #208728=EDGE_CURVE('',#167842,#167843,#93812,.T.); #208729=EDGE_CURVE('',#167844,#167842,#93813,.T.); #208730=EDGE_CURVE('',#167845,#167843,#93814,.T.); #208731=EDGE_CURVE('',#167844,#167845,#93815,.T.); #208732=EDGE_CURVE('',#167846,#167844,#93816,.T.); #208733=EDGE_CURVE('',#167847,#167845,#93817,.T.); #208734=EDGE_CURVE('',#167846,#167847,#93818,.T.); #208735=EDGE_CURVE('',#167848,#167846,#93819,.T.); #208736=EDGE_CURVE('',#167849,#167847,#93820,.T.); #208737=EDGE_CURVE('',#167848,#167849,#93821,.T.); #208738=EDGE_CURVE('',#167850,#167848,#93822,.T.); #208739=EDGE_CURVE('',#167851,#167849,#93823,.T.); #208740=EDGE_CURVE('',#167850,#167851,#93824,.T.); #208741=EDGE_CURVE('',#167852,#167850,#93825,.T.); #208742=EDGE_CURVE('',#167853,#167851,#93826,.T.); #208743=EDGE_CURVE('',#167852,#167853,#93827,.T.); #208744=EDGE_CURVE('',#167854,#167852,#93828,.T.); #208745=EDGE_CURVE('',#167855,#167853,#93829,.T.); #208746=EDGE_CURVE('',#167854,#167855,#93830,.T.); #208747=EDGE_CURVE('',#167856,#167854,#93831,.T.); #208748=EDGE_CURVE('',#167857,#167855,#93832,.T.); #208749=EDGE_CURVE('',#167856,#167857,#93833,.T.); #208750=EDGE_CURVE('',#167858,#167856,#93834,.T.); #208751=EDGE_CURVE('',#167859,#167857,#93835,.T.); #208752=EDGE_CURVE('',#167858,#167859,#93836,.T.); #208753=EDGE_CURVE('',#167860,#167858,#93837,.T.); #208754=EDGE_CURVE('',#167861,#167859,#93838,.T.); #208755=EDGE_CURVE('',#167860,#167861,#93839,.T.); #208756=EDGE_CURVE('',#167862,#167860,#93840,.T.); #208757=EDGE_CURVE('',#167863,#167861,#93841,.T.); #208758=EDGE_CURVE('',#167862,#167863,#93842,.T.); #208759=EDGE_CURVE('',#167864,#167862,#93843,.T.); #208760=EDGE_CURVE('',#167865,#167863,#93844,.T.); #208761=EDGE_CURVE('',#167864,#167865,#93845,.T.); #208762=EDGE_CURVE('',#167866,#167864,#93846,.T.); #208763=EDGE_CURVE('',#167867,#167865,#93847,.T.); #208764=EDGE_CURVE('',#167866,#167867,#93848,.T.); #208765=EDGE_CURVE('',#167868,#167866,#93849,.T.); #208766=EDGE_CURVE('',#167869,#167867,#93850,.T.); #208767=EDGE_CURVE('',#167868,#167869,#93851,.T.); #208768=EDGE_CURVE('',#167870,#167868,#93852,.T.); #208769=EDGE_CURVE('',#167871,#167869,#93853,.T.); #208770=EDGE_CURVE('',#167870,#167871,#93854,.T.); #208771=EDGE_CURVE('',#167872,#167870,#93855,.T.); #208772=EDGE_CURVE('',#167873,#167871,#93856,.T.); #208773=EDGE_CURVE('',#167872,#167873,#93857,.T.); #208774=EDGE_CURVE('',#167874,#167872,#93858,.T.); #208775=EDGE_CURVE('',#167875,#167873,#93859,.T.); #208776=EDGE_CURVE('',#167874,#167875,#93860,.T.); #208777=EDGE_CURVE('',#167683,#167874,#93861,.T.); #208778=EDGE_CURVE('',#167684,#167875,#93862,.T.); #208779=EDGE_CURVE('',#167876,#167877,#93863,.T.); #208780=EDGE_CURVE('',#167877,#167878,#93864,.T.); #208781=EDGE_CURVE('',#167879,#167878,#93865,.T.); #208782=EDGE_CURVE('',#167876,#167879,#93866,.T.); #208783=EDGE_CURVE('',#167880,#167876,#93867,.T.); #208784=EDGE_CURVE('',#167881,#167879,#93868,.T.); #208785=EDGE_CURVE('',#167880,#167881,#93869,.T.); #208786=EDGE_CURVE('',#167882,#167880,#93870,.T.); #208787=EDGE_CURVE('',#167883,#167881,#93871,.T.); #208788=EDGE_CURVE('',#167882,#167883,#93872,.T.); #208789=EDGE_CURVE('',#167884,#167882,#93873,.T.); #208790=EDGE_CURVE('',#167885,#167883,#93874,.T.); #208791=EDGE_CURVE('',#167884,#167885,#93875,.T.); #208792=EDGE_CURVE('',#167886,#167884,#93876,.T.); #208793=EDGE_CURVE('',#167887,#167885,#93877,.T.); #208794=EDGE_CURVE('',#167886,#167887,#93878,.T.); #208795=EDGE_CURVE('',#167888,#167886,#93879,.T.); #208796=EDGE_CURVE('',#167889,#167887,#93880,.T.); #208797=EDGE_CURVE('',#167888,#167889,#93881,.T.); #208798=EDGE_CURVE('',#167890,#167888,#93882,.T.); #208799=EDGE_CURVE('',#167891,#167889,#93883,.T.); #208800=EDGE_CURVE('',#167890,#167891,#93884,.T.); #208801=EDGE_CURVE('',#167892,#167890,#93885,.T.); #208802=EDGE_CURVE('',#167893,#167891,#93886,.T.); #208803=EDGE_CURVE('',#167892,#167893,#93887,.T.); #208804=EDGE_CURVE('',#167894,#167892,#93888,.T.); #208805=EDGE_CURVE('',#167895,#167893,#93889,.T.); #208806=EDGE_CURVE('',#167894,#167895,#93890,.T.); #208807=EDGE_CURVE('',#167896,#167894,#93891,.T.); #208808=EDGE_CURVE('',#167897,#167895,#93892,.T.); #208809=EDGE_CURVE('',#167896,#167897,#93893,.T.); #208810=EDGE_CURVE('',#167898,#167896,#93894,.T.); #208811=EDGE_CURVE('',#167899,#167897,#93895,.T.); #208812=EDGE_CURVE('',#167898,#167899,#93896,.T.); #208813=EDGE_CURVE('',#167900,#167898,#93897,.T.); #208814=EDGE_CURVE('',#167901,#167899,#93898,.T.); #208815=EDGE_CURVE('',#167900,#167901,#93899,.T.); #208816=EDGE_CURVE('',#167902,#167900,#93900,.T.); #208817=EDGE_CURVE('',#167903,#167901,#93901,.T.); #208818=EDGE_CURVE('',#167902,#167903,#93902,.T.); #208819=EDGE_CURVE('',#167904,#167902,#93903,.T.); #208820=EDGE_CURVE('',#167905,#167903,#93904,.T.); #208821=EDGE_CURVE('',#167904,#167905,#93905,.T.); #208822=EDGE_CURVE('',#167906,#167904,#93906,.T.); #208823=EDGE_CURVE('',#167907,#167905,#93907,.T.); #208824=EDGE_CURVE('',#167906,#167907,#93908,.T.); #208825=EDGE_CURVE('',#167908,#167906,#93909,.T.); #208826=EDGE_CURVE('',#167909,#167907,#93910,.T.); #208827=EDGE_CURVE('',#167908,#167909,#93911,.T.); #208828=EDGE_CURVE('',#167910,#167908,#93912,.T.); #208829=EDGE_CURVE('',#167911,#167909,#93913,.T.); #208830=EDGE_CURVE('',#167910,#167911,#93914,.T.); #208831=EDGE_CURVE('',#167912,#167910,#93915,.T.); #208832=EDGE_CURVE('',#167913,#167911,#93916,.T.); #208833=EDGE_CURVE('',#167912,#167913,#93917,.T.); #208834=EDGE_CURVE('',#167914,#167912,#93918,.T.); #208835=EDGE_CURVE('',#167915,#167913,#93919,.T.); #208836=EDGE_CURVE('',#167914,#167915,#93920,.T.); #208837=EDGE_CURVE('',#167916,#167914,#93921,.T.); #208838=EDGE_CURVE('',#167917,#167915,#93922,.T.); #208839=EDGE_CURVE('',#167916,#167917,#93923,.T.); #208840=EDGE_CURVE('',#167877,#167916,#93924,.T.); #208841=EDGE_CURVE('',#167878,#167917,#93925,.T.); #208842=EDGE_CURVE('',#167918,#167919,#93926,.T.); #208843=EDGE_CURVE('',#167919,#167920,#93927,.T.); #208844=EDGE_CURVE('',#167921,#167920,#93928,.T.); #208845=EDGE_CURVE('',#167918,#167921,#93929,.T.); #208846=EDGE_CURVE('',#167922,#167918,#93930,.T.); #208847=EDGE_CURVE('',#167923,#167921,#93931,.T.); #208848=EDGE_CURVE('',#167922,#167923,#93932,.T.); #208849=EDGE_CURVE('',#167924,#167922,#93933,.T.); #208850=EDGE_CURVE('',#167925,#167923,#93934,.T.); #208851=EDGE_CURVE('',#167924,#167925,#93935,.T.); #208852=EDGE_CURVE('',#167926,#167924,#93936,.T.); #208853=EDGE_CURVE('',#167927,#167925,#93937,.T.); #208854=EDGE_CURVE('',#167926,#167927,#93938,.T.); #208855=EDGE_CURVE('',#167928,#167926,#93939,.T.); #208856=EDGE_CURVE('',#167929,#167927,#93940,.T.); #208857=EDGE_CURVE('',#167928,#167929,#93941,.T.); #208858=EDGE_CURVE('',#167930,#167928,#93942,.T.); #208859=EDGE_CURVE('',#167931,#167929,#93943,.T.); #208860=EDGE_CURVE('',#167930,#167931,#93944,.T.); #208861=EDGE_CURVE('',#167932,#167930,#93945,.T.); #208862=EDGE_CURVE('',#167933,#167931,#93946,.T.); #208863=EDGE_CURVE('',#167932,#167933,#93947,.T.); #208864=EDGE_CURVE('',#167934,#167932,#93948,.T.); #208865=EDGE_CURVE('',#167935,#167933,#93949,.T.); #208866=EDGE_CURVE('',#167934,#167935,#93950,.T.); #208867=EDGE_CURVE('',#167936,#167934,#93951,.T.); #208868=EDGE_CURVE('',#167937,#167935,#93952,.T.); #208869=EDGE_CURVE('',#167936,#167937,#93953,.T.); #208870=EDGE_CURVE('',#167938,#167936,#93954,.T.); #208871=EDGE_CURVE('',#167939,#167937,#93955,.T.); #208872=EDGE_CURVE('',#167938,#167939,#93956,.T.); #208873=EDGE_CURVE('',#167940,#167938,#93957,.T.); #208874=EDGE_CURVE('',#167941,#167939,#93958,.T.); #208875=EDGE_CURVE('',#167940,#167941,#93959,.T.); #208876=EDGE_CURVE('',#167942,#167940,#93960,.T.); #208877=EDGE_CURVE('',#167943,#167941,#93961,.T.); #208878=EDGE_CURVE('',#167942,#167943,#93962,.T.); #208879=EDGE_CURVE('',#167944,#167942,#93963,.T.); #208880=EDGE_CURVE('',#167945,#167943,#93964,.T.); #208881=EDGE_CURVE('',#167944,#167945,#93965,.T.); #208882=EDGE_CURVE('',#167946,#167944,#93966,.T.); #208883=EDGE_CURVE('',#167947,#167945,#93967,.T.); #208884=EDGE_CURVE('',#167946,#167947,#93968,.T.); #208885=EDGE_CURVE('',#167948,#167946,#93969,.T.); #208886=EDGE_CURVE('',#167949,#167947,#93970,.T.); #208887=EDGE_CURVE('',#167948,#167949,#93971,.T.); #208888=EDGE_CURVE('',#167950,#167948,#93972,.T.); #208889=EDGE_CURVE('',#167951,#167949,#93973,.T.); #208890=EDGE_CURVE('',#167950,#167951,#93974,.T.); #208891=EDGE_CURVE('',#167952,#167950,#93975,.T.); #208892=EDGE_CURVE('',#167953,#167951,#93976,.T.); #208893=EDGE_CURVE('',#167952,#167953,#93977,.T.); #208894=EDGE_CURVE('',#167954,#167952,#93978,.T.); #208895=EDGE_CURVE('',#167955,#167953,#93979,.T.); #208896=EDGE_CURVE('',#167954,#167955,#93980,.T.); #208897=EDGE_CURVE('',#167956,#167954,#93981,.T.); #208898=EDGE_CURVE('',#167957,#167955,#93982,.T.); #208899=EDGE_CURVE('',#167956,#167957,#93983,.T.); #208900=EDGE_CURVE('',#167919,#167956,#93984,.T.); #208901=EDGE_CURVE('',#167920,#167957,#93985,.T.); #208902=EDGE_CURVE('',#167958,#167959,#93986,.T.); #208903=EDGE_CURVE('',#167959,#167960,#93987,.T.); #208904=EDGE_CURVE('',#167961,#167960,#93988,.T.); #208905=EDGE_CURVE('',#167958,#167961,#93989,.T.); #208906=EDGE_CURVE('',#167962,#167958,#93990,.T.); #208907=EDGE_CURVE('',#167963,#167961,#93991,.T.); #208908=EDGE_CURVE('',#167962,#167963,#93992,.T.); #208909=EDGE_CURVE('',#167964,#167962,#93993,.T.); #208910=EDGE_CURVE('',#167965,#167963,#93994,.T.); #208911=EDGE_CURVE('',#167964,#167965,#93995,.T.); #208912=EDGE_CURVE('',#167966,#167964,#93996,.T.); #208913=EDGE_CURVE('',#167967,#167965,#93997,.T.); #208914=EDGE_CURVE('',#167966,#167967,#93998,.T.); #208915=EDGE_CURVE('',#167968,#167966,#93999,.T.); #208916=EDGE_CURVE('',#167969,#167967,#94000,.T.); #208917=EDGE_CURVE('',#167968,#167969,#94001,.T.); #208918=EDGE_CURVE('',#167970,#167968,#94002,.T.); #208919=EDGE_CURVE('',#167971,#167969,#94003,.T.); #208920=EDGE_CURVE('',#167970,#167971,#94004,.T.); #208921=EDGE_CURVE('',#167972,#167970,#94005,.T.); #208922=EDGE_CURVE('',#167973,#167971,#94006,.T.); #208923=EDGE_CURVE('',#167972,#167973,#94007,.T.); #208924=EDGE_CURVE('',#167974,#167972,#94008,.T.); #208925=EDGE_CURVE('',#167975,#167973,#94009,.T.); #208926=EDGE_CURVE('',#167974,#167975,#94010,.T.); #208927=EDGE_CURVE('',#167976,#167974,#94011,.T.); #208928=EDGE_CURVE('',#167977,#167975,#94012,.T.); #208929=EDGE_CURVE('',#167976,#167977,#94013,.T.); #208930=EDGE_CURVE('',#167978,#167976,#94014,.T.); #208931=EDGE_CURVE('',#167979,#167977,#94015,.T.); #208932=EDGE_CURVE('',#167978,#167979,#94016,.T.); #208933=EDGE_CURVE('',#167980,#167978,#94017,.T.); #208934=EDGE_CURVE('',#167981,#167979,#94018,.T.); #208935=EDGE_CURVE('',#167980,#167981,#94019,.T.); #208936=EDGE_CURVE('',#167982,#167980,#94020,.T.); #208937=EDGE_CURVE('',#167983,#167981,#94021,.T.); #208938=EDGE_CURVE('',#167982,#167983,#94022,.T.); #208939=EDGE_CURVE('',#167984,#167982,#94023,.T.); #208940=EDGE_CURVE('',#167985,#167983,#94024,.T.); #208941=EDGE_CURVE('',#167984,#167985,#94025,.T.); #208942=EDGE_CURVE('',#167986,#167984,#94026,.T.); #208943=EDGE_CURVE('',#167987,#167985,#94027,.T.); #208944=EDGE_CURVE('',#167986,#167987,#94028,.T.); #208945=EDGE_CURVE('',#167988,#167986,#94029,.T.); #208946=EDGE_CURVE('',#167989,#167987,#94030,.T.); #208947=EDGE_CURVE('',#167988,#167989,#94031,.T.); #208948=EDGE_CURVE('',#167990,#167988,#94032,.T.); #208949=EDGE_CURVE('',#167991,#167989,#94033,.T.); #208950=EDGE_CURVE('',#167990,#167991,#94034,.T.); #208951=EDGE_CURVE('',#167992,#167990,#94035,.T.); #208952=EDGE_CURVE('',#167993,#167991,#94036,.T.); #208953=EDGE_CURVE('',#167992,#167993,#94037,.T.); #208954=EDGE_CURVE('',#167994,#167992,#94038,.T.); #208955=EDGE_CURVE('',#167995,#167993,#94039,.T.); #208956=EDGE_CURVE('',#167994,#167995,#94040,.T.); #208957=EDGE_CURVE('',#167996,#167994,#94041,.T.); #208958=EDGE_CURVE('',#167997,#167995,#94042,.T.); #208959=EDGE_CURVE('',#167996,#167997,#94043,.T.); #208960=EDGE_CURVE('',#167998,#167996,#94044,.T.); #208961=EDGE_CURVE('',#167999,#167997,#94045,.T.); #208962=EDGE_CURVE('',#167998,#167999,#94046,.T.); #208963=EDGE_CURVE('',#168000,#167998,#94047,.T.); #208964=EDGE_CURVE('',#168001,#167999,#94048,.T.); #208965=EDGE_CURVE('',#168000,#168001,#94049,.T.); #208966=EDGE_CURVE('',#168002,#168000,#94050,.T.); #208967=EDGE_CURVE('',#168003,#168001,#94051,.T.); #208968=EDGE_CURVE('',#168002,#168003,#94052,.T.); #208969=EDGE_CURVE('',#168004,#168002,#94053,.T.); #208970=EDGE_CURVE('',#168005,#168003,#94054,.T.); #208971=EDGE_CURVE('',#168004,#168005,#94055,.T.); #208972=EDGE_CURVE('',#167959,#168004,#94056,.T.); #208973=EDGE_CURVE('',#167960,#168005,#94057,.T.); #208974=EDGE_CURVE('',#168006,#168007,#94058,.T.); #208975=EDGE_CURVE('',#168007,#168008,#94059,.T.); #208976=EDGE_CURVE('',#168009,#168008,#94060,.T.); #208977=EDGE_CURVE('',#168006,#168009,#94061,.T.); #208978=EDGE_CURVE('',#168010,#168006,#94062,.T.); #208979=EDGE_CURVE('',#168011,#168009,#94063,.T.); #208980=EDGE_CURVE('',#168010,#168011,#94064,.T.); #208981=EDGE_CURVE('',#168012,#168010,#94065,.T.); #208982=EDGE_CURVE('',#168013,#168011,#94066,.T.); #208983=EDGE_CURVE('',#168012,#168013,#94067,.T.); #208984=EDGE_CURVE('',#168014,#168012,#94068,.T.); #208985=EDGE_CURVE('',#168015,#168013,#94069,.T.); #208986=EDGE_CURVE('',#168014,#168015,#94070,.T.); #208987=EDGE_CURVE('',#168016,#168014,#94071,.T.); #208988=EDGE_CURVE('',#168017,#168015,#94072,.T.); #208989=EDGE_CURVE('',#168016,#168017,#94073,.T.); #208990=EDGE_CURVE('',#168018,#168016,#94074,.T.); #208991=EDGE_CURVE('',#168019,#168017,#94075,.T.); #208992=EDGE_CURVE('',#168018,#168019,#94076,.T.); #208993=EDGE_CURVE('',#168020,#168018,#94077,.T.); #208994=EDGE_CURVE('',#168021,#168019,#94078,.T.); #208995=EDGE_CURVE('',#168020,#168021,#94079,.T.); #208996=EDGE_CURVE('',#168022,#168020,#94080,.T.); #208997=EDGE_CURVE('',#168023,#168021,#94081,.T.); #208998=EDGE_CURVE('',#168022,#168023,#94082,.T.); #208999=EDGE_CURVE('',#168024,#168022,#94083,.T.); #209000=EDGE_CURVE('',#168025,#168023,#94084,.T.); #209001=EDGE_CURVE('',#168024,#168025,#94085,.T.); #209002=EDGE_CURVE('',#168026,#168024,#94086,.T.); #209003=EDGE_CURVE('',#168027,#168025,#94087,.T.); #209004=EDGE_CURVE('',#168026,#168027,#94088,.T.); #209005=EDGE_CURVE('',#168028,#168026,#94089,.T.); #209006=EDGE_CURVE('',#168029,#168027,#94090,.T.); #209007=EDGE_CURVE('',#168028,#168029,#94091,.T.); #209008=EDGE_CURVE('',#168030,#168028,#94092,.T.); #209009=EDGE_CURVE('',#168031,#168029,#94093,.T.); #209010=EDGE_CURVE('',#168030,#168031,#94094,.T.); #209011=EDGE_CURVE('',#168032,#168030,#94095,.T.); #209012=EDGE_CURVE('',#168033,#168031,#94096,.T.); #209013=EDGE_CURVE('',#168032,#168033,#94097,.T.); #209014=EDGE_CURVE('',#168034,#168032,#94098,.T.); #209015=EDGE_CURVE('',#168035,#168033,#94099,.T.); #209016=EDGE_CURVE('',#168034,#168035,#94100,.T.); #209017=EDGE_CURVE('',#168036,#168034,#94101,.T.); #209018=EDGE_CURVE('',#168037,#168035,#94102,.T.); #209019=EDGE_CURVE('',#168036,#168037,#94103,.T.); #209020=EDGE_CURVE('',#168038,#168036,#94104,.T.); #209021=EDGE_CURVE('',#168039,#168037,#94105,.T.); #209022=EDGE_CURVE('',#168038,#168039,#94106,.T.); #209023=EDGE_CURVE('',#168040,#168038,#94107,.T.); #209024=EDGE_CURVE('',#168041,#168039,#94108,.T.); #209025=EDGE_CURVE('',#168040,#168041,#94109,.T.); #209026=EDGE_CURVE('',#168042,#168040,#94110,.T.); #209027=EDGE_CURVE('',#168043,#168041,#94111,.T.); #209028=EDGE_CURVE('',#168042,#168043,#94112,.T.); #209029=EDGE_CURVE('',#168044,#168042,#94113,.T.); #209030=EDGE_CURVE('',#168045,#168043,#94114,.T.); #209031=EDGE_CURVE('',#168044,#168045,#94115,.T.); #209032=EDGE_CURVE('',#168046,#168044,#94116,.T.); #209033=EDGE_CURVE('',#168047,#168045,#94117,.T.); #209034=EDGE_CURVE('',#168046,#168047,#94118,.T.); #209035=EDGE_CURVE('',#168007,#168046,#94119,.T.); #209036=EDGE_CURVE('',#168008,#168047,#94120,.T.); #209037=EDGE_CURVE('',#168048,#168049,#94121,.T.); #209038=EDGE_CURVE('',#168049,#168050,#94122,.T.); #209039=EDGE_CURVE('',#168051,#168050,#94123,.T.); #209040=EDGE_CURVE('',#168048,#168051,#94124,.T.); #209041=EDGE_CURVE('',#168052,#168048,#94125,.T.); #209042=EDGE_CURVE('',#168053,#168051,#94126,.T.); #209043=EDGE_CURVE('',#168052,#168053,#94127,.T.); #209044=EDGE_CURVE('',#168054,#168052,#94128,.T.); #209045=EDGE_CURVE('',#168055,#168053,#94129,.T.); #209046=EDGE_CURVE('',#168054,#168055,#94130,.T.); #209047=EDGE_CURVE('',#168056,#168054,#94131,.T.); #209048=EDGE_CURVE('',#168057,#168055,#94132,.T.); #209049=EDGE_CURVE('',#168056,#168057,#94133,.T.); #209050=EDGE_CURVE('',#168058,#168056,#94134,.T.); #209051=EDGE_CURVE('',#168059,#168057,#94135,.T.); #209052=EDGE_CURVE('',#168058,#168059,#94136,.T.); #209053=EDGE_CURVE('',#168060,#168058,#94137,.T.); #209054=EDGE_CURVE('',#168061,#168059,#94138,.T.); #209055=EDGE_CURVE('',#168060,#168061,#94139,.T.); #209056=EDGE_CURVE('',#168062,#168060,#94140,.T.); #209057=EDGE_CURVE('',#168063,#168061,#94141,.T.); #209058=EDGE_CURVE('',#168062,#168063,#94142,.T.); #209059=EDGE_CURVE('',#168064,#168062,#94143,.T.); #209060=EDGE_CURVE('',#168065,#168063,#94144,.T.); #209061=EDGE_CURVE('',#168064,#168065,#94145,.T.); #209062=EDGE_CURVE('',#168066,#168064,#94146,.T.); #209063=EDGE_CURVE('',#168067,#168065,#94147,.T.); #209064=EDGE_CURVE('',#168066,#168067,#94148,.T.); #209065=EDGE_CURVE('',#168068,#168066,#94149,.T.); #209066=EDGE_CURVE('',#168069,#168067,#94150,.T.); #209067=EDGE_CURVE('',#168068,#168069,#94151,.T.); #209068=EDGE_CURVE('',#168070,#168068,#94152,.T.); #209069=EDGE_CURVE('',#168071,#168069,#94153,.T.); #209070=EDGE_CURVE('',#168070,#168071,#94154,.T.); #209071=EDGE_CURVE('',#168072,#168070,#94155,.T.); #209072=EDGE_CURVE('',#168073,#168071,#94156,.T.); #209073=EDGE_CURVE('',#168072,#168073,#94157,.T.); #209074=EDGE_CURVE('',#168074,#168072,#94158,.T.); #209075=EDGE_CURVE('',#168075,#168073,#94159,.T.); #209076=EDGE_CURVE('',#168074,#168075,#94160,.T.); #209077=EDGE_CURVE('',#168076,#168074,#94161,.T.); #209078=EDGE_CURVE('',#168077,#168075,#94162,.T.); #209079=EDGE_CURVE('',#168076,#168077,#94163,.T.); #209080=EDGE_CURVE('',#168078,#168076,#94164,.T.); #209081=EDGE_CURVE('',#168079,#168077,#94165,.T.); #209082=EDGE_CURVE('',#168078,#168079,#94166,.T.); #209083=EDGE_CURVE('',#168080,#168078,#94167,.T.); #209084=EDGE_CURVE('',#168081,#168079,#94168,.T.); #209085=EDGE_CURVE('',#168080,#168081,#94169,.T.); #209086=EDGE_CURVE('',#168082,#168080,#94170,.T.); #209087=EDGE_CURVE('',#168083,#168081,#94171,.T.); #209088=EDGE_CURVE('',#168082,#168083,#94172,.T.); #209089=EDGE_CURVE('',#168084,#168082,#94173,.T.); #209090=EDGE_CURVE('',#168085,#168083,#94174,.T.); #209091=EDGE_CURVE('',#168084,#168085,#94175,.T.); #209092=EDGE_CURVE('',#168086,#168084,#94176,.T.); #209093=EDGE_CURVE('',#168087,#168085,#94177,.T.); #209094=EDGE_CURVE('',#168086,#168087,#94178,.T.); #209095=EDGE_CURVE('',#168088,#168086,#94179,.T.); #209096=EDGE_CURVE('',#168089,#168087,#94180,.T.); #209097=EDGE_CURVE('',#168088,#168089,#94181,.T.); #209098=EDGE_CURVE('',#168090,#168088,#94182,.T.); #209099=EDGE_CURVE('',#168091,#168089,#94183,.T.); #209100=EDGE_CURVE('',#168090,#168091,#94184,.T.); #209101=EDGE_CURVE('',#168092,#168090,#94185,.T.); #209102=EDGE_CURVE('',#168093,#168091,#94186,.T.); #209103=EDGE_CURVE('',#168092,#168093,#94187,.T.); #209104=EDGE_CURVE('',#168094,#168092,#94188,.T.); #209105=EDGE_CURVE('',#168095,#168093,#94189,.T.); #209106=EDGE_CURVE('',#168094,#168095,#94190,.T.); #209107=EDGE_CURVE('',#168096,#168094,#94191,.T.); #209108=EDGE_CURVE('',#168097,#168095,#94192,.T.); #209109=EDGE_CURVE('',#168096,#168097,#94193,.T.); #209110=EDGE_CURVE('',#168098,#168096,#94194,.T.); #209111=EDGE_CURVE('',#168099,#168097,#94195,.T.); #209112=EDGE_CURVE('',#168098,#168099,#94196,.T.); #209113=EDGE_CURVE('',#168100,#168098,#94197,.T.); #209114=EDGE_CURVE('',#168101,#168099,#94198,.T.); #209115=EDGE_CURVE('',#168100,#168101,#94199,.T.); #209116=EDGE_CURVE('',#168102,#168100,#94200,.T.); #209117=EDGE_CURVE('',#168103,#168101,#94201,.T.); #209118=EDGE_CURVE('',#168102,#168103,#94202,.T.); #209119=EDGE_CURVE('',#168104,#168102,#94203,.T.); #209120=EDGE_CURVE('',#168105,#168103,#94204,.T.); #209121=EDGE_CURVE('',#168104,#168105,#94205,.T.); #209122=EDGE_CURVE('',#168106,#168104,#94206,.T.); #209123=EDGE_CURVE('',#168107,#168105,#94207,.T.); #209124=EDGE_CURVE('',#168106,#168107,#94208,.T.); #209125=EDGE_CURVE('',#168108,#168106,#94209,.T.); #209126=EDGE_CURVE('',#168109,#168107,#94210,.T.); #209127=EDGE_CURVE('',#168108,#168109,#94211,.T.); #209128=EDGE_CURVE('',#168110,#168108,#94212,.T.); #209129=EDGE_CURVE('',#168111,#168109,#94213,.T.); #209130=EDGE_CURVE('',#168110,#168111,#94214,.T.); #209131=EDGE_CURVE('',#168112,#168110,#94215,.T.); #209132=EDGE_CURVE('',#168113,#168111,#94216,.T.); #209133=EDGE_CURVE('',#168112,#168113,#94217,.T.); #209134=EDGE_CURVE('',#168114,#168112,#94218,.T.); #209135=EDGE_CURVE('',#168115,#168113,#94219,.T.); #209136=EDGE_CURVE('',#168114,#168115,#94220,.T.); #209137=EDGE_CURVE('',#168116,#168114,#94221,.T.); #209138=EDGE_CURVE('',#168117,#168115,#94222,.T.); #209139=EDGE_CURVE('',#168116,#168117,#94223,.T.); #209140=EDGE_CURVE('',#168049,#168116,#94224,.T.); #209141=EDGE_CURVE('',#168050,#168117,#94225,.T.); #209142=EDGE_CURVE('',#168118,#168119,#94226,.T.); #209143=EDGE_CURVE('',#168119,#168120,#94227,.T.); #209144=EDGE_CURVE('',#168121,#168120,#94228,.T.); #209145=EDGE_CURVE('',#168118,#168121,#94229,.T.); #209146=EDGE_CURVE('',#168122,#168118,#94230,.T.); #209147=EDGE_CURVE('',#168123,#168121,#94231,.T.); #209148=EDGE_CURVE('',#168122,#168123,#94232,.T.); #209149=EDGE_CURVE('',#168124,#168122,#94233,.T.); #209150=EDGE_CURVE('',#168125,#168123,#94234,.T.); #209151=EDGE_CURVE('',#168124,#168125,#94235,.T.); #209152=EDGE_CURVE('',#168126,#168124,#94236,.T.); #209153=EDGE_CURVE('',#168127,#168125,#94237,.T.); #209154=EDGE_CURVE('',#168126,#168127,#94238,.T.); #209155=EDGE_CURVE('',#168128,#168126,#94239,.T.); #209156=EDGE_CURVE('',#168129,#168127,#94240,.T.); #209157=EDGE_CURVE('',#168128,#168129,#94241,.T.); #209158=EDGE_CURVE('',#168130,#168128,#94242,.T.); #209159=EDGE_CURVE('',#168131,#168129,#94243,.T.); #209160=EDGE_CURVE('',#168130,#168131,#94244,.T.); #209161=EDGE_CURVE('',#168132,#168130,#94245,.T.); #209162=EDGE_CURVE('',#168133,#168131,#94246,.T.); #209163=EDGE_CURVE('',#168132,#168133,#94247,.T.); #209164=EDGE_CURVE('',#168134,#168132,#94248,.T.); #209165=EDGE_CURVE('',#168135,#168133,#94249,.T.); #209166=EDGE_CURVE('',#168134,#168135,#94250,.T.); #209167=EDGE_CURVE('',#168136,#168134,#94251,.T.); #209168=EDGE_CURVE('',#168137,#168135,#94252,.T.); #209169=EDGE_CURVE('',#168136,#168137,#94253,.T.); #209170=EDGE_CURVE('',#168138,#168136,#94254,.T.); #209171=EDGE_CURVE('',#168139,#168137,#94255,.T.); #209172=EDGE_CURVE('',#168138,#168139,#94256,.T.); #209173=EDGE_CURVE('',#168140,#168138,#94257,.T.); #209174=EDGE_CURVE('',#168141,#168139,#94258,.T.); #209175=EDGE_CURVE('',#168140,#168141,#94259,.T.); #209176=EDGE_CURVE('',#168142,#168140,#94260,.T.); #209177=EDGE_CURVE('',#168143,#168141,#94261,.T.); #209178=EDGE_CURVE('',#168142,#168143,#94262,.T.); #209179=EDGE_CURVE('',#168144,#168142,#94263,.T.); #209180=EDGE_CURVE('',#168145,#168143,#94264,.T.); #209181=EDGE_CURVE('',#168144,#168145,#94265,.T.); #209182=EDGE_CURVE('',#168146,#168144,#94266,.T.); #209183=EDGE_CURVE('',#168147,#168145,#94267,.T.); #209184=EDGE_CURVE('',#168146,#168147,#94268,.T.); #209185=EDGE_CURVE('',#168148,#168146,#94269,.T.); #209186=EDGE_CURVE('',#168149,#168147,#94270,.T.); #209187=EDGE_CURVE('',#168148,#168149,#94271,.T.); #209188=EDGE_CURVE('',#168150,#168148,#94272,.T.); #209189=EDGE_CURVE('',#168151,#168149,#94273,.T.); #209190=EDGE_CURVE('',#168150,#168151,#94274,.T.); #209191=EDGE_CURVE('',#168152,#168150,#94275,.T.); #209192=EDGE_CURVE('',#168153,#168151,#94276,.T.); #209193=EDGE_CURVE('',#168152,#168153,#94277,.T.); #209194=EDGE_CURVE('',#168154,#168152,#94278,.T.); #209195=EDGE_CURVE('',#168155,#168153,#94279,.T.); #209196=EDGE_CURVE('',#168154,#168155,#94280,.T.); #209197=EDGE_CURVE('',#168156,#168154,#94281,.T.); #209198=EDGE_CURVE('',#168157,#168155,#94282,.T.); #209199=EDGE_CURVE('',#168156,#168157,#94283,.T.); #209200=EDGE_CURVE('',#168158,#168156,#94284,.T.); #209201=EDGE_CURVE('',#168159,#168157,#94285,.T.); #209202=EDGE_CURVE('',#168158,#168159,#94286,.T.); #209203=EDGE_CURVE('',#168119,#168158,#94287,.T.); #209204=EDGE_CURVE('',#168120,#168159,#94288,.T.); #209205=EDGE_CURVE('',#168160,#168161,#94289,.T.); #209206=EDGE_CURVE('',#168161,#168162,#94290,.T.); #209207=EDGE_CURVE('',#168163,#168162,#94291,.T.); #209208=EDGE_CURVE('',#168160,#168163,#94292,.T.); #209209=EDGE_CURVE('',#168164,#168160,#94293,.T.); #209210=EDGE_CURVE('',#168165,#168163,#94294,.T.); #209211=EDGE_CURVE('',#168164,#168165,#94295,.T.); #209212=EDGE_CURVE('',#168166,#168164,#94296,.T.); #209213=EDGE_CURVE('',#168167,#168165,#94297,.T.); #209214=EDGE_CURVE('',#168166,#168167,#94298,.T.); #209215=EDGE_CURVE('',#168168,#168166,#94299,.T.); #209216=EDGE_CURVE('',#168169,#168167,#94300,.T.); #209217=EDGE_CURVE('',#168168,#168169,#94301,.T.); #209218=EDGE_CURVE('',#168170,#168168,#94302,.T.); #209219=EDGE_CURVE('',#168171,#168169,#94303,.T.); #209220=EDGE_CURVE('',#168170,#168171,#94304,.T.); #209221=EDGE_CURVE('',#168172,#168170,#94305,.T.); #209222=EDGE_CURVE('',#168173,#168171,#94306,.T.); #209223=EDGE_CURVE('',#168172,#168173,#94307,.T.); #209224=EDGE_CURVE('',#168174,#168172,#94308,.T.); #209225=EDGE_CURVE('',#168175,#168173,#94309,.T.); #209226=EDGE_CURVE('',#168174,#168175,#94310,.T.); #209227=EDGE_CURVE('',#168176,#168174,#94311,.T.); #209228=EDGE_CURVE('',#168177,#168175,#94312,.T.); #209229=EDGE_CURVE('',#168176,#168177,#94313,.T.); #209230=EDGE_CURVE('',#168178,#168176,#94314,.T.); #209231=EDGE_CURVE('',#168179,#168177,#94315,.T.); #209232=EDGE_CURVE('',#168178,#168179,#94316,.T.); #209233=EDGE_CURVE('',#168180,#168178,#94317,.T.); #209234=EDGE_CURVE('',#168181,#168179,#94318,.T.); #209235=EDGE_CURVE('',#168180,#168181,#94319,.T.); #209236=EDGE_CURVE('',#168182,#168180,#94320,.T.); #209237=EDGE_CURVE('',#168183,#168181,#94321,.T.); #209238=EDGE_CURVE('',#168182,#168183,#94322,.T.); #209239=EDGE_CURVE('',#168184,#168182,#94323,.T.); #209240=EDGE_CURVE('',#168185,#168183,#94324,.T.); #209241=EDGE_CURVE('',#168184,#168185,#94325,.T.); #209242=EDGE_CURVE('',#168186,#168184,#94326,.T.); #209243=EDGE_CURVE('',#168187,#168185,#94327,.T.); #209244=EDGE_CURVE('',#168186,#168187,#94328,.T.); #209245=EDGE_CURVE('',#168188,#168186,#94329,.T.); #209246=EDGE_CURVE('',#168189,#168187,#94330,.T.); #209247=EDGE_CURVE('',#168188,#168189,#94331,.T.); #209248=EDGE_CURVE('',#168190,#168188,#94332,.T.); #209249=EDGE_CURVE('',#168191,#168189,#94333,.T.); #209250=EDGE_CURVE('',#168190,#168191,#94334,.T.); #209251=EDGE_CURVE('',#168192,#168190,#94335,.T.); #209252=EDGE_CURVE('',#168193,#168191,#94336,.T.); #209253=EDGE_CURVE('',#168192,#168193,#94337,.T.); #209254=EDGE_CURVE('',#168194,#168192,#94338,.T.); #209255=EDGE_CURVE('',#168195,#168193,#94339,.T.); #209256=EDGE_CURVE('',#168194,#168195,#94340,.T.); #209257=EDGE_CURVE('',#168196,#168194,#94341,.T.); #209258=EDGE_CURVE('',#168197,#168195,#94342,.T.); #209259=EDGE_CURVE('',#168196,#168197,#94343,.T.); #209260=EDGE_CURVE('',#168198,#168196,#94344,.T.); #209261=EDGE_CURVE('',#168199,#168197,#94345,.T.); #209262=EDGE_CURVE('',#168198,#168199,#94346,.T.); #209263=EDGE_CURVE('',#168200,#168198,#94347,.T.); #209264=EDGE_CURVE('',#168201,#168199,#94348,.T.); #209265=EDGE_CURVE('',#168200,#168201,#94349,.T.); #209266=EDGE_CURVE('',#168202,#168200,#94350,.T.); #209267=EDGE_CURVE('',#168203,#168201,#94351,.T.); #209268=EDGE_CURVE('',#168202,#168203,#94352,.T.); #209269=EDGE_CURVE('',#168204,#168202,#94353,.T.); #209270=EDGE_CURVE('',#168205,#168203,#94354,.T.); #209271=EDGE_CURVE('',#168204,#168205,#94355,.T.); #209272=EDGE_CURVE('',#168206,#168204,#94356,.T.); #209273=EDGE_CURVE('',#168207,#168205,#94357,.T.); #209274=EDGE_CURVE('',#168206,#168207,#94358,.T.); #209275=EDGE_CURVE('',#168208,#168206,#94359,.T.); #209276=EDGE_CURVE('',#168209,#168207,#94360,.T.); #209277=EDGE_CURVE('',#168208,#168209,#94361,.T.); #209278=EDGE_CURVE('',#168210,#168208,#94362,.T.); #209279=EDGE_CURVE('',#168211,#168209,#94363,.T.); #209280=EDGE_CURVE('',#168210,#168211,#94364,.T.); #209281=EDGE_CURVE('',#168212,#168210,#94365,.T.); #209282=EDGE_CURVE('',#168213,#168211,#94366,.T.); #209283=EDGE_CURVE('',#168212,#168213,#94367,.T.); #209284=EDGE_CURVE('',#168214,#168212,#94368,.T.); #209285=EDGE_CURVE('',#168215,#168213,#94369,.T.); #209286=EDGE_CURVE('',#168214,#168215,#94370,.T.); #209287=EDGE_CURVE('',#168216,#168214,#94371,.T.); #209288=EDGE_CURVE('',#168217,#168215,#94372,.T.); #209289=EDGE_CURVE('',#168216,#168217,#94373,.T.); #209290=EDGE_CURVE('',#168218,#168216,#94374,.T.); #209291=EDGE_CURVE('',#168219,#168217,#94375,.T.); #209292=EDGE_CURVE('',#168218,#168219,#94376,.T.); #209293=EDGE_CURVE('',#168220,#168218,#94377,.T.); #209294=EDGE_CURVE('',#168221,#168219,#94378,.T.); #209295=EDGE_CURVE('',#168220,#168221,#94379,.T.); #209296=EDGE_CURVE('',#168161,#168220,#94380,.T.); #209297=EDGE_CURVE('',#168162,#168221,#94381,.T.); #209298=EDGE_CURVE('',#168222,#168223,#94382,.T.); #209299=EDGE_CURVE('',#168223,#168224,#94383,.T.); #209300=EDGE_CURVE('',#168225,#168224,#94384,.T.); #209301=EDGE_CURVE('',#168222,#168225,#94385,.T.); #209302=EDGE_CURVE('',#168226,#168222,#94386,.T.); #209303=EDGE_CURVE('',#168227,#168225,#94387,.T.); #209304=EDGE_CURVE('',#168226,#168227,#94388,.T.); #209305=EDGE_CURVE('',#168228,#168226,#94389,.T.); #209306=EDGE_CURVE('',#168229,#168227,#94390,.T.); #209307=EDGE_CURVE('',#168228,#168229,#94391,.T.); #209308=EDGE_CURVE('',#168230,#168228,#94392,.T.); #209309=EDGE_CURVE('',#168231,#168229,#94393,.T.); #209310=EDGE_CURVE('',#168230,#168231,#94394,.T.); #209311=EDGE_CURVE('',#168232,#168230,#94395,.T.); #209312=EDGE_CURVE('',#168233,#168231,#94396,.T.); #209313=EDGE_CURVE('',#168232,#168233,#94397,.T.); #209314=EDGE_CURVE('',#168234,#168232,#94398,.T.); #209315=EDGE_CURVE('',#168235,#168233,#94399,.T.); #209316=EDGE_CURVE('',#168234,#168235,#94400,.T.); #209317=EDGE_CURVE('',#168236,#168234,#94401,.T.); #209318=EDGE_CURVE('',#168237,#168235,#94402,.T.); #209319=EDGE_CURVE('',#168236,#168237,#94403,.T.); #209320=EDGE_CURVE('',#168238,#168236,#94404,.T.); #209321=EDGE_CURVE('',#168239,#168237,#94405,.T.); #209322=EDGE_CURVE('',#168238,#168239,#94406,.T.); #209323=EDGE_CURVE('',#168240,#168238,#94407,.T.); #209324=EDGE_CURVE('',#168241,#168239,#94408,.T.); #209325=EDGE_CURVE('',#168240,#168241,#94409,.T.); #209326=EDGE_CURVE('',#168242,#168240,#94410,.T.); #209327=EDGE_CURVE('',#168243,#168241,#94411,.T.); #209328=EDGE_CURVE('',#168242,#168243,#94412,.T.); #209329=EDGE_CURVE('',#168244,#168242,#94413,.T.); #209330=EDGE_CURVE('',#168245,#168243,#94414,.T.); #209331=EDGE_CURVE('',#168244,#168245,#94415,.T.); #209332=EDGE_CURVE('',#168246,#168244,#94416,.T.); #209333=EDGE_CURVE('',#168247,#168245,#94417,.T.); #209334=EDGE_CURVE('',#168246,#168247,#94418,.T.); #209335=EDGE_CURVE('',#168248,#168246,#94419,.T.); #209336=EDGE_CURVE('',#168249,#168247,#94420,.T.); #209337=EDGE_CURVE('',#168248,#168249,#94421,.T.); #209338=EDGE_CURVE('',#168250,#168248,#94422,.T.); #209339=EDGE_CURVE('',#168251,#168249,#94423,.T.); #209340=EDGE_CURVE('',#168250,#168251,#94424,.T.); #209341=EDGE_CURVE('',#168252,#168250,#94425,.T.); #209342=EDGE_CURVE('',#168253,#168251,#94426,.T.); #209343=EDGE_CURVE('',#168252,#168253,#94427,.T.); #209344=EDGE_CURVE('',#168254,#168252,#94428,.T.); #209345=EDGE_CURVE('',#168255,#168253,#94429,.T.); #209346=EDGE_CURVE('',#168254,#168255,#94430,.T.); #209347=EDGE_CURVE('',#168256,#168254,#94431,.T.); #209348=EDGE_CURVE('',#168257,#168255,#94432,.T.); #209349=EDGE_CURVE('',#168256,#168257,#94433,.T.); #209350=EDGE_CURVE('',#168258,#168256,#94434,.T.); #209351=EDGE_CURVE('',#168259,#168257,#94435,.T.); #209352=EDGE_CURVE('',#168258,#168259,#94436,.T.); #209353=EDGE_CURVE('',#168260,#168258,#94437,.T.); #209354=EDGE_CURVE('',#168261,#168259,#94438,.T.); #209355=EDGE_CURVE('',#168260,#168261,#94439,.T.); #209356=EDGE_CURVE('',#168262,#168260,#94440,.T.); #209357=EDGE_CURVE('',#168263,#168261,#94441,.T.); #209358=EDGE_CURVE('',#168262,#168263,#94442,.T.); #209359=EDGE_CURVE('',#168264,#168262,#94443,.T.); #209360=EDGE_CURVE('',#168265,#168263,#94444,.T.); #209361=EDGE_CURVE('',#168264,#168265,#94445,.T.); #209362=EDGE_CURVE('',#168223,#168264,#94446,.T.); #209363=EDGE_CURVE('',#168224,#168265,#94447,.T.); #209364=EDGE_CURVE('',#168266,#168267,#94448,.T.); #209365=EDGE_CURVE('',#168267,#168268,#94449,.T.); #209366=EDGE_CURVE('',#168269,#168268,#94450,.T.); #209367=EDGE_CURVE('',#168266,#168269,#94451,.T.); #209368=EDGE_CURVE('',#168270,#168266,#94452,.T.); #209369=EDGE_CURVE('',#168271,#168269,#94453,.T.); #209370=EDGE_CURVE('',#168270,#168271,#94454,.T.); #209371=EDGE_CURVE('',#168272,#168270,#94455,.T.); #209372=EDGE_CURVE('',#168273,#168271,#94456,.T.); #209373=EDGE_CURVE('',#168272,#168273,#94457,.T.); #209374=EDGE_CURVE('',#168274,#168272,#94458,.T.); #209375=EDGE_CURVE('',#168275,#168273,#94459,.T.); #209376=EDGE_CURVE('',#168274,#168275,#94460,.T.); #209377=EDGE_CURVE('',#168276,#168274,#94461,.T.); #209378=EDGE_CURVE('',#168277,#168275,#94462,.T.); #209379=EDGE_CURVE('',#168276,#168277,#94463,.T.); #209380=EDGE_CURVE('',#168278,#168276,#94464,.T.); #209381=EDGE_CURVE('',#168279,#168277,#94465,.T.); #209382=EDGE_CURVE('',#168278,#168279,#94466,.T.); #209383=EDGE_CURVE('',#168280,#168278,#94467,.T.); #209384=EDGE_CURVE('',#168281,#168279,#94468,.T.); #209385=EDGE_CURVE('',#168280,#168281,#94469,.T.); #209386=EDGE_CURVE('',#168282,#168280,#94470,.T.); #209387=EDGE_CURVE('',#168283,#168281,#94471,.T.); #209388=EDGE_CURVE('',#168282,#168283,#94472,.T.); #209389=EDGE_CURVE('',#168284,#168282,#94473,.T.); #209390=EDGE_CURVE('',#168285,#168283,#94474,.T.); #209391=EDGE_CURVE('',#168284,#168285,#94475,.T.); #209392=EDGE_CURVE('',#168286,#168284,#94476,.T.); #209393=EDGE_CURVE('',#168287,#168285,#94477,.T.); #209394=EDGE_CURVE('',#168286,#168287,#94478,.T.); #209395=EDGE_CURVE('',#168288,#168286,#94479,.T.); #209396=EDGE_CURVE('',#168289,#168287,#94480,.T.); #209397=EDGE_CURVE('',#168288,#168289,#94481,.T.); #209398=EDGE_CURVE('',#168290,#168288,#94482,.T.); #209399=EDGE_CURVE('',#168291,#168289,#94483,.T.); #209400=EDGE_CURVE('',#168290,#168291,#94484,.T.); #209401=EDGE_CURVE('',#168292,#168290,#94485,.T.); #209402=EDGE_CURVE('',#168293,#168291,#94486,.T.); #209403=EDGE_CURVE('',#168292,#168293,#94487,.T.); #209404=EDGE_CURVE('',#168294,#168292,#94488,.T.); #209405=EDGE_CURVE('',#168295,#168293,#94489,.T.); #209406=EDGE_CURVE('',#168294,#168295,#94490,.T.); #209407=EDGE_CURVE('',#168296,#168294,#94491,.T.); #209408=EDGE_CURVE('',#168297,#168295,#94492,.T.); #209409=EDGE_CURVE('',#168296,#168297,#94493,.T.); #209410=EDGE_CURVE('',#168298,#168296,#94494,.T.); #209411=EDGE_CURVE('',#168299,#168297,#94495,.T.); #209412=EDGE_CURVE('',#168298,#168299,#94496,.T.); #209413=EDGE_CURVE('',#168300,#168298,#94497,.T.); #209414=EDGE_CURVE('',#168301,#168299,#94498,.T.); #209415=EDGE_CURVE('',#168300,#168301,#94499,.T.); #209416=EDGE_CURVE('',#168302,#168300,#94500,.T.); #209417=EDGE_CURVE('',#168303,#168301,#94501,.T.); #209418=EDGE_CURVE('',#168302,#168303,#94502,.T.); #209419=EDGE_CURVE('',#168304,#168302,#94503,.T.); #209420=EDGE_CURVE('',#168305,#168303,#94504,.T.); #209421=EDGE_CURVE('',#168304,#168305,#94505,.T.); #209422=EDGE_CURVE('',#168267,#168304,#94506,.T.); #209423=EDGE_CURVE('',#168268,#168305,#94507,.T.); #209424=EDGE_CURVE('',#168306,#168306,#68367,.T.); #209425=EDGE_CURVE('',#168306,#168307,#94508,.T.); #209426=EDGE_CURVE('',#168307,#168307,#68368,.T.); #209427=EDGE_CURVE('',#168308,#168308,#68369,.T.); #209428=EDGE_CURVE('',#168308,#168309,#94509,.T.); #209429=EDGE_CURVE('',#168309,#168309,#68370,.T.); #209430=EDGE_CURVE('',#168310,#168310,#68371,.T.); #209431=EDGE_CURVE('',#168310,#168311,#94510,.T.); #209432=EDGE_CURVE('',#168311,#168311,#68372,.T.); #209433=EDGE_CURVE('',#168312,#168312,#68373,.T.); #209434=EDGE_CURVE('',#168312,#168313,#94511,.T.); #209435=EDGE_CURVE('',#168313,#168313,#68374,.T.); #209436=EDGE_CURVE('',#168314,#168314,#68375,.T.); #209437=EDGE_CURVE('',#168314,#168315,#94512,.T.); #209438=EDGE_CURVE('',#168315,#168315,#68376,.T.); #209439=EDGE_CURVE('',#168316,#168316,#68377,.T.); #209440=EDGE_CURVE('',#168316,#168317,#94513,.T.); #209441=EDGE_CURVE('',#168317,#168317,#68378,.T.); #209442=EDGE_CURVE('',#168318,#168318,#68379,.T.); #209443=EDGE_CURVE('',#168318,#168319,#94514,.T.); #209444=EDGE_CURVE('',#168319,#168319,#68380,.T.); #209445=EDGE_CURVE('',#168320,#168320,#68381,.T.); #209446=EDGE_CURVE('',#168320,#168321,#94515,.T.); #209447=EDGE_CURVE('',#168321,#168321,#68382,.T.); #209448=EDGE_CURVE('',#168322,#168322,#68383,.T.); #209449=EDGE_CURVE('',#168322,#168323,#94516,.T.); #209450=EDGE_CURVE('',#168323,#168323,#68384,.T.); #209451=EDGE_CURVE('',#168324,#168324,#68385,.T.); #209452=EDGE_CURVE('',#168324,#168325,#94517,.T.); #209453=EDGE_CURVE('',#168325,#168325,#68386,.T.); #209454=EDGE_CURVE('',#168326,#168326,#68387,.T.); #209455=EDGE_CURVE('',#168326,#168327,#94518,.T.); #209456=EDGE_CURVE('',#168327,#168327,#68388,.T.); #209457=EDGE_CURVE('',#168328,#168328,#68389,.T.); #209458=EDGE_CURVE('',#168328,#168329,#94519,.T.); #209459=EDGE_CURVE('',#168329,#168329,#68390,.T.); #209460=EDGE_CURVE('',#168330,#168330,#68391,.T.); #209461=EDGE_CURVE('',#168330,#168331,#94520,.T.); #209462=EDGE_CURVE('',#168331,#168331,#68392,.T.); #209463=EDGE_CURVE('',#168332,#168332,#68393,.T.); #209464=EDGE_CURVE('',#168332,#168333,#94521,.T.); #209465=EDGE_CURVE('',#168333,#168333,#68394,.T.); #209466=EDGE_CURVE('',#168334,#168334,#68395,.T.); #209467=EDGE_CURVE('',#168334,#168335,#94522,.T.); #209468=EDGE_CURVE('',#168335,#168335,#68396,.T.); #209469=EDGE_CURVE('',#168336,#168336,#68397,.T.); #209470=EDGE_CURVE('',#168336,#168337,#94523,.T.); #209471=EDGE_CURVE('',#168337,#168337,#68398,.T.); #209472=EDGE_CURVE('',#168338,#168338,#68399,.T.); #209473=EDGE_CURVE('',#168338,#168339,#94524,.T.); #209474=EDGE_CURVE('',#168339,#168339,#68400,.T.); #209475=EDGE_CURVE('',#168340,#168340,#68401,.T.); #209476=EDGE_CURVE('',#168340,#168341,#94525,.T.); #209477=EDGE_CURVE('',#168341,#168341,#68402,.T.); #209478=EDGE_CURVE('',#168342,#168342,#68403,.T.); #209479=EDGE_CURVE('',#168342,#168343,#94526,.T.); #209480=EDGE_CURVE('',#168343,#168343,#68404,.T.); #209481=EDGE_CURVE('',#168344,#168344,#68405,.T.); #209482=EDGE_CURVE('',#168344,#168345,#94527,.T.); #209483=EDGE_CURVE('',#168345,#168345,#68406,.T.); #209484=EDGE_CURVE('',#168346,#168346,#68407,.T.); #209485=EDGE_CURVE('',#168346,#168347,#94528,.T.); #209486=EDGE_CURVE('',#168347,#168347,#68408,.T.); #209487=EDGE_CURVE('',#168348,#168348,#68409,.T.); #209488=EDGE_CURVE('',#168348,#168349,#94529,.T.); #209489=EDGE_CURVE('',#168349,#168349,#68410,.T.); #209490=EDGE_CURVE('',#168350,#168350,#68411,.T.); #209491=EDGE_CURVE('',#168350,#168351,#94530,.T.); #209492=EDGE_CURVE('',#168351,#168351,#68412,.T.); #209493=EDGE_CURVE('',#168352,#168352,#68413,.T.); #209494=EDGE_CURVE('',#168352,#168353,#94531,.T.); #209495=EDGE_CURVE('',#168353,#168353,#68414,.T.); #209496=EDGE_CURVE('',#168354,#168354,#68415,.T.); #209497=EDGE_CURVE('',#168354,#168355,#94532,.T.); #209498=EDGE_CURVE('',#168355,#168355,#68416,.T.); #209499=EDGE_CURVE('',#168356,#168356,#68417,.T.); #209500=EDGE_CURVE('',#168356,#168357,#94533,.T.); #209501=EDGE_CURVE('',#168357,#168357,#68418,.T.); #209502=EDGE_CURVE('',#168358,#168358,#68419,.T.); #209503=EDGE_CURVE('',#168358,#168359,#94534,.T.); #209504=EDGE_CURVE('',#168359,#168359,#68420,.T.); #209505=EDGE_CURVE('',#168360,#168360,#68421,.T.); #209506=EDGE_CURVE('',#168360,#168361,#94535,.T.); #209507=EDGE_CURVE('',#168361,#168361,#68422,.T.); #209508=EDGE_CURVE('',#168362,#168362,#68423,.T.); #209509=EDGE_CURVE('',#168362,#168363,#94536,.T.); #209510=EDGE_CURVE('',#168363,#168363,#68424,.T.); #209511=EDGE_CURVE('',#168364,#168364,#68425,.T.); #209512=EDGE_CURVE('',#168364,#168365,#94537,.T.); #209513=EDGE_CURVE('',#168365,#168365,#68426,.T.); #209514=EDGE_CURVE('',#168366,#168366,#68427,.T.); #209515=EDGE_CURVE('',#168366,#168367,#94538,.T.); #209516=EDGE_CURVE('',#168367,#168367,#68428,.T.); #209517=EDGE_CURVE('',#168368,#168368,#68429,.T.); #209518=EDGE_CURVE('',#168368,#168369,#94539,.T.); #209519=EDGE_CURVE('',#168369,#168369,#68430,.T.); #209520=EDGE_CURVE('',#168370,#168370,#68431,.T.); #209521=EDGE_CURVE('',#168370,#168371,#94540,.T.); #209522=EDGE_CURVE('',#168371,#168371,#68432,.T.); #209523=EDGE_CURVE('',#168372,#168372,#68433,.T.); #209524=EDGE_CURVE('',#168372,#168373,#94541,.T.); #209525=EDGE_CURVE('',#168373,#168373,#68434,.T.); #209526=EDGE_CURVE('',#168374,#168374,#68435,.T.); #209527=EDGE_CURVE('',#168374,#168375,#94542,.T.); #209528=EDGE_CURVE('',#168375,#168375,#68436,.T.); #209529=EDGE_CURVE('',#168376,#168376,#68437,.T.); #209530=EDGE_CURVE('',#168376,#168377,#94543,.T.); #209531=EDGE_CURVE('',#168377,#168377,#68438,.T.); #209532=EDGE_CURVE('',#168378,#168378,#68439,.T.); #209533=EDGE_CURVE('',#168378,#168379,#94544,.T.); #209534=EDGE_CURVE('',#168379,#168379,#68440,.T.); #209535=EDGE_CURVE('',#168380,#168380,#68441,.T.); #209536=EDGE_CURVE('',#168380,#168381,#94545,.T.); #209537=EDGE_CURVE('',#168381,#168381,#68442,.T.); #209538=EDGE_CURVE('',#168382,#168382,#68443,.T.); #209539=EDGE_CURVE('',#168382,#168383,#94546,.T.); #209540=EDGE_CURVE('',#168383,#168383,#68444,.T.); #209541=EDGE_CURVE('',#168384,#168384,#68445,.T.); #209542=EDGE_CURVE('',#168384,#168385,#94547,.T.); #209543=EDGE_CURVE('',#168385,#168385,#68446,.T.); #209544=EDGE_CURVE('',#168386,#168386,#68447,.T.); #209545=EDGE_CURVE('',#168386,#168387,#94548,.T.); #209546=EDGE_CURVE('',#168387,#168387,#68448,.T.); #209547=EDGE_CURVE('',#168388,#168388,#68449,.T.); #209548=EDGE_CURVE('',#168388,#168389,#94549,.T.); #209549=EDGE_CURVE('',#168389,#168389,#68450,.T.); #209550=EDGE_CURVE('',#168390,#168390,#68451,.T.); #209551=EDGE_CURVE('',#168390,#168391,#94550,.T.); #209552=EDGE_CURVE('',#168391,#168391,#68452,.T.); #209553=EDGE_CURVE('',#168392,#168392,#68453,.T.); #209554=EDGE_CURVE('',#168392,#168393,#94551,.T.); #209555=EDGE_CURVE('',#168393,#168393,#68454,.T.); #209556=EDGE_CURVE('',#168394,#168394,#68455,.T.); #209557=EDGE_CURVE('',#168394,#168395,#94552,.T.); #209558=EDGE_CURVE('',#168395,#168395,#68456,.T.); #209559=EDGE_CURVE('',#168396,#168396,#68457,.T.); #209560=EDGE_CURVE('',#168396,#168397,#94553,.T.); #209561=EDGE_CURVE('',#168397,#168397,#68458,.T.); #209562=EDGE_CURVE('',#168398,#168398,#68459,.T.); #209563=EDGE_CURVE('',#168398,#168399,#94554,.T.); #209564=EDGE_CURVE('',#168399,#168399,#68460,.T.); #209565=EDGE_CURVE('',#168400,#168400,#68461,.T.); #209566=EDGE_CURVE('',#168400,#168401,#94555,.T.); #209567=EDGE_CURVE('',#168401,#168401,#68462,.T.); #209568=EDGE_CURVE('',#168402,#168402,#68463,.T.); #209569=EDGE_CURVE('',#168402,#168403,#94556,.T.); #209570=EDGE_CURVE('',#168403,#168403,#68464,.T.); #209571=EDGE_CURVE('',#168404,#168404,#68465,.T.); #209572=EDGE_CURVE('',#168404,#168405,#94557,.T.); #209573=EDGE_CURVE('',#168405,#168405,#68466,.T.); #209574=EDGE_CURVE('',#168406,#168406,#68467,.T.); #209575=EDGE_CURVE('',#168406,#168407,#94558,.T.); #209576=EDGE_CURVE('',#168407,#168407,#68468,.T.); #209577=EDGE_CURVE('',#168408,#168408,#68469,.T.); #209578=EDGE_CURVE('',#168408,#168409,#94559,.T.); #209579=EDGE_CURVE('',#168409,#168409,#68470,.T.); #209580=EDGE_CURVE('',#168410,#168410,#68471,.T.); #209581=EDGE_CURVE('',#168410,#168411,#94560,.T.); #209582=EDGE_CURVE('',#168411,#168411,#68472,.T.); #209583=EDGE_CURVE('',#168412,#168412,#68473,.T.); #209584=EDGE_CURVE('',#168412,#168413,#94561,.T.); #209585=EDGE_CURVE('',#168413,#168413,#68474,.T.); #209586=EDGE_CURVE('',#168414,#168414,#68475,.T.); #209587=EDGE_CURVE('',#168414,#168415,#94562,.T.); #209588=EDGE_CURVE('',#168415,#168415,#68476,.T.); #209589=EDGE_CURVE('',#168416,#168416,#68477,.T.); #209590=EDGE_CURVE('',#168416,#168417,#94563,.T.); #209591=EDGE_CURVE('',#168417,#168417,#68478,.T.); #209592=EDGE_CURVE('',#168418,#168418,#68479,.T.); #209593=EDGE_CURVE('',#168418,#168419,#94564,.T.); #209594=EDGE_CURVE('',#168419,#168419,#68480,.T.); #209595=EDGE_CURVE('',#168420,#168420,#68481,.T.); #209596=EDGE_CURVE('',#168420,#168421,#94565,.T.); #209597=EDGE_CURVE('',#168421,#168421,#68482,.T.); #209598=EDGE_CURVE('',#168422,#168422,#68483,.T.); #209599=EDGE_CURVE('',#168422,#168423,#94566,.T.); #209600=EDGE_CURVE('',#168423,#168423,#68484,.T.); #209601=EDGE_CURVE('',#168424,#168424,#68485,.T.); #209602=EDGE_CURVE('',#168424,#168425,#94567,.T.); #209603=EDGE_CURVE('',#168425,#168425,#68486,.T.); #209604=EDGE_CURVE('',#168426,#168426,#68487,.T.); #209605=EDGE_CURVE('',#168426,#168427,#94568,.T.); #209606=EDGE_CURVE('',#168427,#168427,#68488,.T.); #209607=EDGE_CURVE('',#168428,#168428,#68489,.T.); #209608=EDGE_CURVE('',#168428,#168429,#94569,.T.); #209609=EDGE_CURVE('',#168429,#168429,#68490,.T.); #209610=EDGE_CURVE('',#168430,#168430,#68491,.T.); #209611=EDGE_CURVE('',#168430,#168431,#94570,.T.); #209612=EDGE_CURVE('',#168431,#168431,#68492,.T.); #209613=EDGE_CURVE('',#168432,#168432,#68493,.T.); #209614=EDGE_CURVE('',#168432,#168433,#94571,.T.); #209615=EDGE_CURVE('',#168433,#168433,#68494,.T.); #209616=EDGE_CURVE('',#168434,#168435,#94572,.T.); #209617=EDGE_CURVE('',#168436,#168434,#94573,.T.); #209618=EDGE_CURVE('',#168437,#168436,#94574,.T.); #209619=EDGE_CURVE('',#168437,#168435,#94575,.T.); #209620=EDGE_CURVE('',#168435,#168438,#68495,.T.); #209621=EDGE_CURVE('',#168439,#168437,#68496,.T.); #209622=EDGE_CURVE('',#168439,#168438,#94576,.T.); #209623=EDGE_CURVE('',#168438,#168440,#94577,.T.); #209624=EDGE_CURVE('',#168441,#168439,#94578,.T.); #209625=EDGE_CURVE('',#168441,#168440,#94579,.T.); #209626=EDGE_CURVE('',#168440,#168434,#68497,.T.); #209627=EDGE_CURVE('',#168436,#168441,#68498,.T.); #209628=EDGE_CURVE('',#168442,#168443,#94580,.T.); #209629=EDGE_CURVE('',#168444,#168442,#94581,.T.); #209630=EDGE_CURVE('',#168445,#168444,#94582,.T.); #209631=EDGE_CURVE('',#168445,#168443,#94583,.T.); #209632=EDGE_CURVE('',#168443,#168446,#68499,.T.); #209633=EDGE_CURVE('',#168447,#168445,#68500,.T.); #209634=EDGE_CURVE('',#168447,#168446,#94584,.T.); #209635=EDGE_CURVE('',#168446,#168448,#94585,.T.); #209636=EDGE_CURVE('',#168449,#168447,#94586,.T.); #209637=EDGE_CURVE('',#168449,#168448,#94587,.T.); #209638=EDGE_CURVE('',#168448,#168442,#68501,.T.); #209639=EDGE_CURVE('',#168444,#168449,#68502,.T.); #209640=EDGE_CURVE('',#168450,#168450,#68503,.T.); #209641=EDGE_CURVE('',#168450,#168451,#94588,.T.); #209642=EDGE_CURVE('',#168451,#168451,#68504,.T.); #209643=EDGE_CURVE('',#168452,#168452,#68505,.T.); #209644=EDGE_CURVE('',#168452,#168453,#94589,.T.); #209645=EDGE_CURVE('',#168453,#168453,#68506,.T.); #209646=EDGE_CURVE('',#168454,#168455,#94590,.T.); #209647=EDGE_CURVE('',#168456,#168454,#94591,.T.); #209648=EDGE_CURVE('',#168457,#168456,#94592,.T.); #209649=EDGE_CURVE('',#168457,#168455,#94593,.T.); #209650=EDGE_CURVE('',#168455,#168458,#68507,.T.); #209651=EDGE_CURVE('',#168459,#168457,#68508,.T.); #209652=EDGE_CURVE('',#168459,#168458,#94594,.T.); #209653=EDGE_CURVE('',#168458,#168460,#94595,.T.); #209654=EDGE_CURVE('',#168461,#168459,#94596,.T.); #209655=EDGE_CURVE('',#168461,#168460,#94597,.T.); #209656=EDGE_CURVE('',#168460,#168454,#68509,.T.); #209657=EDGE_CURVE('',#168456,#168461,#68510,.T.); #209658=EDGE_CURVE('',#168462,#168462,#68511,.T.); #209659=EDGE_CURVE('',#168462,#168463,#94598,.T.); #209660=EDGE_CURVE('',#168463,#168463,#68512,.T.); #209661=EDGE_CURVE('',#168464,#168464,#68513,.T.); #209662=EDGE_CURVE('',#168464,#168465,#94599,.T.); #209663=EDGE_CURVE('',#168465,#168465,#68514,.T.); #209664=EDGE_CURVE('',#168466,#168466,#68515,.T.); #209665=EDGE_CURVE('',#168466,#168467,#94600,.T.); #209666=EDGE_CURVE('',#168467,#168467,#68516,.T.); #209667=EDGE_CURVE('',#168468,#168468,#68517,.T.); #209668=EDGE_CURVE('',#168468,#168469,#94601,.T.); #209669=EDGE_CURVE('',#168469,#168469,#68518,.T.); #209670=EDGE_CURVE('',#168470,#168470,#68519,.T.); #209671=EDGE_CURVE('',#168470,#168471,#94602,.T.); #209672=EDGE_CURVE('',#168471,#168471,#68520,.T.); #209673=EDGE_CURVE('',#168472,#168473,#94603,.T.); #209674=EDGE_CURVE('',#168474,#168472,#94604,.T.); #209675=EDGE_CURVE('',#168475,#168474,#94605,.T.); #209676=EDGE_CURVE('',#168475,#168473,#94606,.T.); #209677=EDGE_CURVE('',#168473,#168476,#68521,.T.); #209678=EDGE_CURVE('',#168477,#168475,#68522,.T.); #209679=EDGE_CURVE('',#168477,#168476,#94607,.T.); #209680=EDGE_CURVE('',#168476,#168478,#94608,.T.); #209681=EDGE_CURVE('',#168479,#168477,#94609,.T.); #209682=EDGE_CURVE('',#168479,#168478,#94610,.T.); #209683=EDGE_CURVE('',#168478,#168472,#68523,.T.); #209684=EDGE_CURVE('',#168474,#168479,#68524,.T.); #209685=EDGE_CURVE('',#168480,#168480,#68525,.T.); #209686=EDGE_CURVE('',#168480,#168481,#94611,.T.); #209687=EDGE_CURVE('',#168481,#168481,#68526,.T.); #209688=EDGE_CURVE('',#168482,#168483,#94612,.T.); #209689=EDGE_CURVE('',#168484,#168482,#94613,.T.); #209690=EDGE_CURVE('',#168485,#168484,#94614,.T.); #209691=EDGE_CURVE('',#168485,#168483,#94615,.T.); #209692=EDGE_CURVE('',#168483,#168486,#68527,.T.); #209693=EDGE_CURVE('',#168487,#168485,#68528,.T.); #209694=EDGE_CURVE('',#168487,#168486,#94616,.T.); #209695=EDGE_CURVE('',#168486,#168488,#94617,.T.); #209696=EDGE_CURVE('',#168489,#168487,#94618,.T.); #209697=EDGE_CURVE('',#168489,#168488,#94619,.T.); #209698=EDGE_CURVE('',#168488,#168482,#68529,.T.); #209699=EDGE_CURVE('',#168484,#168489,#68530,.T.); #209700=EDGE_CURVE('',#168490,#168491,#94620,.T.); #209701=EDGE_CURVE('',#168492,#168490,#94621,.T.); #209702=EDGE_CURVE('',#168493,#168492,#94622,.T.); #209703=EDGE_CURVE('',#168493,#168491,#94623,.T.); #209704=EDGE_CURVE('',#168491,#168494,#68531,.T.); #209705=EDGE_CURVE('',#168495,#168493,#68532,.T.); #209706=EDGE_CURVE('',#168495,#168494,#94624,.T.); #209707=EDGE_CURVE('',#168494,#168496,#94625,.T.); #209708=EDGE_CURVE('',#168497,#168495,#94626,.T.); #209709=EDGE_CURVE('',#168497,#168496,#94627,.T.); #209710=EDGE_CURVE('',#168496,#168490,#68533,.T.); #209711=EDGE_CURVE('',#168492,#168497,#68534,.T.); #209712=EDGE_CURVE('',#168498,#168498,#68535,.T.); #209713=EDGE_CURVE('',#168498,#168499,#94628,.T.); #209714=EDGE_CURVE('',#168499,#168499,#68536,.T.); #209715=EDGE_CURVE('',#168500,#168500,#68537,.T.); #209716=EDGE_CURVE('',#168500,#168501,#94629,.T.); #209717=EDGE_CURVE('',#168501,#168501,#68538,.T.); #209718=EDGE_CURVE('',#168502,#168502,#68539,.T.); #209719=EDGE_CURVE('',#168502,#168503,#94630,.T.); #209720=EDGE_CURVE('',#168503,#168503,#68540,.T.); #209721=EDGE_CURVE('',#168504,#168504,#68541,.T.); #209722=EDGE_CURVE('',#168504,#168505,#94631,.T.); #209723=EDGE_CURVE('',#168505,#168505,#68542,.T.); #209724=EDGE_CURVE('',#168506,#168506,#68543,.T.); #209725=EDGE_CURVE('',#168506,#168507,#94632,.T.); #209726=EDGE_CURVE('',#168507,#168507,#68544,.T.); #209727=EDGE_CURVE('',#168508,#168508,#68545,.T.); #209728=EDGE_CURVE('',#168508,#168509,#94633,.T.); #209729=EDGE_CURVE('',#168509,#168509,#68546,.T.); #209730=EDGE_CURVE('',#168510,#168510,#68547,.T.); #209731=EDGE_CURVE('',#168510,#168511,#94634,.T.); #209732=EDGE_CURVE('',#168511,#168511,#68548,.T.); #209733=EDGE_CURVE('',#168512,#168512,#68549,.T.); #209734=EDGE_CURVE('',#168512,#168513,#94635,.T.); #209735=EDGE_CURVE('',#168513,#168513,#68550,.T.); #209736=EDGE_CURVE('',#168514,#168514,#68551,.T.); #209737=EDGE_CURVE('',#168514,#168515,#94636,.T.); #209738=EDGE_CURVE('',#168515,#168515,#68552,.T.); #209739=EDGE_CURVE('',#168516,#168516,#68553,.T.); #209740=EDGE_CURVE('',#168516,#168517,#94637,.T.); #209741=EDGE_CURVE('',#168517,#168517,#68554,.T.); #209742=EDGE_CURVE('',#168518,#168518,#68555,.T.); #209743=EDGE_CURVE('',#168518,#168519,#94638,.T.); #209744=EDGE_CURVE('',#168519,#168519,#68556,.T.); #209745=EDGE_CURVE('',#168520,#168520,#68557,.T.); #209746=EDGE_CURVE('',#168520,#168521,#94639,.T.); #209747=EDGE_CURVE('',#168521,#168521,#68558,.T.); #209748=EDGE_CURVE('',#168522,#168522,#68559,.T.); #209749=EDGE_CURVE('',#168522,#168523,#94640,.T.); #209750=EDGE_CURVE('',#168523,#168523,#68560,.T.); #209751=EDGE_CURVE('',#168524,#168524,#68561,.T.); #209752=EDGE_CURVE('',#168524,#168525,#94641,.T.); #209753=EDGE_CURVE('',#168525,#168525,#68562,.T.); #209754=EDGE_CURVE('',#168526,#168526,#68563,.T.); #209755=EDGE_CURVE('',#168526,#168527,#94642,.T.); #209756=EDGE_CURVE('',#168527,#168527,#68564,.T.); #209757=EDGE_CURVE('',#168528,#168528,#68565,.T.); #209758=EDGE_CURVE('',#168528,#168529,#94643,.T.); #209759=EDGE_CURVE('',#168529,#168529,#68566,.T.); #209760=EDGE_CURVE('',#168530,#168530,#68567,.T.); #209761=EDGE_CURVE('',#168530,#168531,#94644,.T.); #209762=EDGE_CURVE('',#168531,#168531,#68568,.T.); #209763=EDGE_CURVE('',#168532,#168532,#68569,.T.); #209764=EDGE_CURVE('',#168532,#168533,#94645,.T.); #209765=EDGE_CURVE('',#168533,#168533,#68570,.T.); #209766=EDGE_CURVE('',#168534,#168534,#68571,.T.); #209767=EDGE_CURVE('',#168534,#168535,#94646,.T.); #209768=EDGE_CURVE('',#168535,#168535,#68572,.T.); #209769=EDGE_CURVE('',#168536,#168536,#68573,.T.); #209770=EDGE_CURVE('',#168536,#168537,#94647,.T.); #209771=EDGE_CURVE('',#168537,#168537,#68574,.T.); #209772=EDGE_CURVE('',#168538,#168538,#68575,.T.); #209773=EDGE_CURVE('',#168538,#168539,#94648,.T.); #209774=EDGE_CURVE('',#168539,#168539,#68576,.T.); #209775=EDGE_CURVE('',#168540,#168540,#68577,.T.); #209776=EDGE_CURVE('',#168540,#168541,#94649,.T.); #209777=EDGE_CURVE('',#168541,#168541,#68578,.T.); #209778=EDGE_CURVE('',#168542,#168542,#68579,.T.); #209779=EDGE_CURVE('',#168542,#168543,#94650,.T.); #209780=EDGE_CURVE('',#168543,#168543,#68580,.T.); #209781=EDGE_CURVE('',#168544,#168544,#68581,.T.); #209782=EDGE_CURVE('',#168544,#168545,#94651,.T.); #209783=EDGE_CURVE('',#168545,#168545,#68582,.T.); #209784=EDGE_CURVE('',#168546,#168546,#68583,.T.); #209785=EDGE_CURVE('',#168546,#168547,#94652,.T.); #209786=EDGE_CURVE('',#168547,#168547,#68584,.T.); #209787=EDGE_CURVE('',#168548,#168548,#68585,.T.); #209788=EDGE_CURVE('',#168548,#168549,#94653,.T.); #209789=EDGE_CURVE('',#168549,#168549,#68586,.T.); #209790=EDGE_CURVE('',#168550,#168550,#68587,.T.); #209791=EDGE_CURVE('',#168550,#168551,#94654,.T.); #209792=EDGE_CURVE('',#168551,#168551,#68588,.T.); #209793=EDGE_CURVE('',#168552,#168552,#68589,.T.); #209794=EDGE_CURVE('',#168552,#168553,#94655,.T.); #209795=EDGE_CURVE('',#168553,#168553,#68590,.T.); #209796=EDGE_CURVE('',#168554,#168554,#68591,.T.); #209797=EDGE_CURVE('',#168554,#168555,#94656,.T.); #209798=EDGE_CURVE('',#168555,#168555,#68592,.T.); #209799=EDGE_CURVE('',#168556,#168556,#68593,.T.); #209800=EDGE_CURVE('',#168556,#168557,#94657,.T.); #209801=EDGE_CURVE('',#168557,#168557,#68594,.T.); #209802=EDGE_CURVE('',#168558,#168558,#68595,.T.); #209803=EDGE_CURVE('',#168558,#168559,#94658,.T.); #209804=EDGE_CURVE('',#168559,#168559,#68596,.T.); #209805=EDGE_CURVE('',#168560,#168560,#68597,.T.); #209806=EDGE_CURVE('',#168560,#168561,#94659,.T.); #209807=EDGE_CURVE('',#168561,#168561,#68598,.T.); #209808=EDGE_CURVE('',#168562,#168562,#68599,.T.); #209809=EDGE_CURVE('',#168562,#168563,#94660,.T.); #209810=EDGE_CURVE('',#168563,#168563,#68600,.T.); #209811=EDGE_CURVE('',#168564,#168564,#68601,.T.); #209812=EDGE_CURVE('',#168564,#168565,#94661,.T.); #209813=EDGE_CURVE('',#168565,#168565,#68602,.T.); #209814=EDGE_CURVE('',#168566,#168566,#68603,.T.); #209815=EDGE_CURVE('',#168566,#168567,#94662,.T.); #209816=EDGE_CURVE('',#168567,#168567,#68604,.T.); #209817=EDGE_CURVE('',#168568,#168568,#68605,.T.); #209818=EDGE_CURVE('',#168568,#168569,#94663,.T.); #209819=EDGE_CURVE('',#168569,#168569,#68606,.T.); #209820=EDGE_CURVE('',#168570,#168570,#68607,.T.); #209821=EDGE_CURVE('',#168570,#168571,#94664,.T.); #209822=EDGE_CURVE('',#168571,#168571,#68608,.T.); #209823=EDGE_CURVE('',#168572,#168572,#68609,.T.); #209824=EDGE_CURVE('',#168572,#168573,#94665,.T.); #209825=EDGE_CURVE('',#168573,#168573,#68610,.T.); #209826=EDGE_CURVE('',#168574,#168574,#68611,.T.); #209827=EDGE_CURVE('',#168574,#168575,#94666,.T.); #209828=EDGE_CURVE('',#168575,#168575,#68612,.T.); #209829=EDGE_CURVE('',#168576,#168576,#68613,.T.); #209830=EDGE_CURVE('',#168576,#168577,#94667,.T.); #209831=EDGE_CURVE('',#168577,#168577,#68614,.T.); #209832=EDGE_CURVE('',#168578,#168578,#68615,.T.); #209833=EDGE_CURVE('',#168578,#168579,#94668,.T.); #209834=EDGE_CURVE('',#168579,#168579,#68616,.T.); #209835=EDGE_CURVE('',#168580,#168580,#68617,.T.); #209836=EDGE_CURVE('',#168580,#168581,#94669,.T.); #209837=EDGE_CURVE('',#168581,#168581,#68618,.T.); #209838=EDGE_CURVE('',#168582,#168582,#68619,.T.); #209839=EDGE_CURVE('',#168582,#168583,#94670,.T.); #209840=EDGE_CURVE('',#168583,#168583,#68620,.T.); #209841=EDGE_CURVE('',#168584,#168584,#68621,.T.); #209842=EDGE_CURVE('',#168584,#168585,#94671,.T.); #209843=EDGE_CURVE('',#168585,#168585,#68622,.T.); #209844=EDGE_CURVE('',#168586,#168586,#68623,.T.); #209845=EDGE_CURVE('',#168586,#168587,#94672,.T.); #209846=EDGE_CURVE('',#168587,#168587,#68624,.T.); #209847=EDGE_CURVE('',#168588,#168588,#68625,.T.); #209848=EDGE_CURVE('',#168588,#168589,#94673,.T.); #209849=EDGE_CURVE('',#168589,#168589,#68626,.T.); #209850=EDGE_CURVE('',#168590,#168590,#68627,.T.); #209851=EDGE_CURVE('',#168590,#168591,#94674,.T.); #209852=EDGE_CURVE('',#168591,#168591,#68628,.T.); #209853=EDGE_CURVE('',#168592,#168592,#68629,.T.); #209854=EDGE_CURVE('',#168592,#168593,#94675,.T.); #209855=EDGE_CURVE('',#168593,#168593,#68630,.T.); #209856=EDGE_CURVE('',#168594,#168594,#68631,.T.); #209857=EDGE_CURVE('',#168594,#168595,#94676,.T.); #209858=EDGE_CURVE('',#168595,#168595,#68632,.T.); #209859=EDGE_CURVE('',#168596,#168596,#68633,.T.); #209860=EDGE_CURVE('',#168596,#168597,#94677,.T.); #209861=EDGE_CURVE('',#168597,#168597,#68634,.T.); #209862=EDGE_CURVE('',#168598,#168598,#68635,.T.); #209863=EDGE_CURVE('',#168598,#168599,#94678,.T.); #209864=EDGE_CURVE('',#168599,#168599,#68636,.T.); #209865=EDGE_CURVE('',#168600,#168600,#68637,.T.); #209866=EDGE_CURVE('',#168600,#168601,#94679,.T.); #209867=EDGE_CURVE('',#168601,#168601,#68638,.T.); #209868=EDGE_CURVE('',#168602,#168603,#94680,.T.); #209869=EDGE_CURVE('',#168603,#168604,#94681,.T.); #209870=EDGE_CURVE('',#168605,#168604,#94682,.T.); #209871=EDGE_CURVE('',#168602,#168605,#94683,.T.); #209872=EDGE_CURVE('',#168606,#168602,#94684,.T.); #209873=EDGE_CURVE('',#168607,#168605,#94685,.T.); #209874=EDGE_CURVE('',#168606,#168607,#94686,.T.); #209875=EDGE_CURVE('',#168608,#168606,#94687,.T.); #209876=EDGE_CURVE('',#168609,#168607,#94688,.T.); #209877=EDGE_CURVE('',#168608,#168609,#94689,.T.); #209878=EDGE_CURVE('',#168610,#168608,#94690,.T.); #209879=EDGE_CURVE('',#168611,#168609,#94691,.T.); #209880=EDGE_CURVE('',#168610,#168611,#94692,.T.); #209881=EDGE_CURVE('',#168612,#168610,#94693,.T.); #209882=EDGE_CURVE('',#168613,#168611,#94694,.T.); #209883=EDGE_CURVE('',#168612,#168613,#94695,.T.); #209884=EDGE_CURVE('',#168614,#168612,#94696,.T.); #209885=EDGE_CURVE('',#168615,#168613,#94697,.T.); #209886=EDGE_CURVE('',#168614,#168615,#94698,.T.); #209887=EDGE_CURVE('',#168616,#168614,#94699,.T.); #209888=EDGE_CURVE('',#168617,#168615,#94700,.T.); #209889=EDGE_CURVE('',#168616,#168617,#94701,.T.); #209890=EDGE_CURVE('',#168618,#168616,#94702,.T.); #209891=EDGE_CURVE('',#168619,#168617,#94703,.T.); #209892=EDGE_CURVE('',#168618,#168619,#94704,.T.); #209893=EDGE_CURVE('',#168620,#168618,#94705,.T.); #209894=EDGE_CURVE('',#168621,#168619,#94706,.T.); #209895=EDGE_CURVE('',#168620,#168621,#94707,.T.); #209896=EDGE_CURVE('',#168622,#168620,#94708,.T.); #209897=EDGE_CURVE('',#168623,#168621,#94709,.T.); #209898=EDGE_CURVE('',#168622,#168623,#94710,.T.); #209899=EDGE_CURVE('',#168624,#168622,#94711,.T.); #209900=EDGE_CURVE('',#168625,#168623,#94712,.T.); #209901=EDGE_CURVE('',#168624,#168625,#94713,.T.); #209902=EDGE_CURVE('',#168626,#168624,#94714,.T.); #209903=EDGE_CURVE('',#168627,#168625,#94715,.T.); #209904=EDGE_CURVE('',#168626,#168627,#94716,.T.); #209905=EDGE_CURVE('',#168628,#168626,#94717,.T.); #209906=EDGE_CURVE('',#168629,#168627,#94718,.T.); #209907=EDGE_CURVE('',#168628,#168629,#94719,.T.); #209908=EDGE_CURVE('',#168630,#168628,#94720,.T.); #209909=EDGE_CURVE('',#168631,#168629,#94721,.T.); #209910=EDGE_CURVE('',#168630,#168631,#94722,.T.); #209911=EDGE_CURVE('',#168632,#168630,#94723,.T.); #209912=EDGE_CURVE('',#168633,#168631,#94724,.T.); #209913=EDGE_CURVE('',#168632,#168633,#94725,.T.); #209914=EDGE_CURVE('',#168634,#168632,#94726,.T.); #209915=EDGE_CURVE('',#168635,#168633,#94727,.T.); #209916=EDGE_CURVE('',#168634,#168635,#94728,.T.); #209917=EDGE_CURVE('',#168636,#168634,#94729,.T.); #209918=EDGE_CURVE('',#168637,#168635,#94730,.T.); #209919=EDGE_CURVE('',#168636,#168637,#94731,.T.); #209920=EDGE_CURVE('',#168638,#168636,#94732,.T.); #209921=EDGE_CURVE('',#168639,#168637,#94733,.T.); #209922=EDGE_CURVE('',#168638,#168639,#94734,.T.); #209923=EDGE_CURVE('',#168640,#168638,#94735,.T.); #209924=EDGE_CURVE('',#168641,#168639,#94736,.T.); #209925=EDGE_CURVE('',#168640,#168641,#94737,.T.); #209926=EDGE_CURVE('',#168642,#168640,#94738,.T.); #209927=EDGE_CURVE('',#168643,#168641,#94739,.T.); #209928=EDGE_CURVE('',#168642,#168643,#94740,.T.); #209929=EDGE_CURVE('',#168644,#168642,#94741,.T.); #209930=EDGE_CURVE('',#168645,#168643,#94742,.T.); #209931=EDGE_CURVE('',#168644,#168645,#94743,.T.); #209932=EDGE_CURVE('',#168646,#168644,#94744,.T.); #209933=EDGE_CURVE('',#168647,#168645,#94745,.T.); #209934=EDGE_CURVE('',#168646,#168647,#94746,.T.); #209935=EDGE_CURVE('',#168648,#168646,#94747,.T.); #209936=EDGE_CURVE('',#168649,#168647,#94748,.T.); #209937=EDGE_CURVE('',#168648,#168649,#94749,.T.); #209938=EDGE_CURVE('',#168650,#168648,#94750,.T.); #209939=EDGE_CURVE('',#168651,#168649,#94751,.T.); #209940=EDGE_CURVE('',#168650,#168651,#94752,.T.); #209941=EDGE_CURVE('',#168652,#168650,#94753,.T.); #209942=EDGE_CURVE('',#168653,#168651,#94754,.T.); #209943=EDGE_CURVE('',#168652,#168653,#94755,.T.); #209944=EDGE_CURVE('',#168654,#168652,#94756,.T.); #209945=EDGE_CURVE('',#168655,#168653,#94757,.T.); #209946=EDGE_CURVE('',#168654,#168655,#94758,.T.); #209947=EDGE_CURVE('',#168656,#168654,#94759,.T.); #209948=EDGE_CURVE('',#168657,#168655,#94760,.T.); #209949=EDGE_CURVE('',#168656,#168657,#94761,.T.); #209950=EDGE_CURVE('',#168658,#168656,#94762,.T.); #209951=EDGE_CURVE('',#168659,#168657,#94763,.T.); #209952=EDGE_CURVE('',#168658,#168659,#94764,.T.); #209953=EDGE_CURVE('',#168660,#168658,#94765,.T.); #209954=EDGE_CURVE('',#168661,#168659,#94766,.T.); #209955=EDGE_CURVE('',#168660,#168661,#94767,.T.); #209956=EDGE_CURVE('',#168662,#168660,#94768,.T.); #209957=EDGE_CURVE('',#168663,#168661,#94769,.T.); #209958=EDGE_CURVE('',#168662,#168663,#94770,.T.); #209959=EDGE_CURVE('',#168664,#168662,#94771,.T.); #209960=EDGE_CURVE('',#168665,#168663,#94772,.T.); #209961=EDGE_CURVE('',#168664,#168665,#94773,.T.); #209962=EDGE_CURVE('',#168666,#168664,#94774,.T.); #209963=EDGE_CURVE('',#168667,#168665,#94775,.T.); #209964=EDGE_CURVE('',#168666,#168667,#94776,.T.); #209965=EDGE_CURVE('',#168668,#168666,#94777,.T.); #209966=EDGE_CURVE('',#168669,#168667,#94778,.T.); #209967=EDGE_CURVE('',#168668,#168669,#94779,.T.); #209968=EDGE_CURVE('',#168670,#168668,#94780,.T.); #209969=EDGE_CURVE('',#168671,#168669,#94781,.T.); #209970=EDGE_CURVE('',#168670,#168671,#94782,.T.); #209971=EDGE_CURVE('',#168672,#168670,#94783,.T.); #209972=EDGE_CURVE('',#168673,#168671,#94784,.T.); #209973=EDGE_CURVE('',#168672,#168673,#94785,.T.); #209974=EDGE_CURVE('',#168674,#168672,#94786,.T.); #209975=EDGE_CURVE('',#168675,#168673,#94787,.T.); #209976=EDGE_CURVE('',#168674,#168675,#94788,.T.); #209977=EDGE_CURVE('',#168676,#168674,#94789,.T.); #209978=EDGE_CURVE('',#168677,#168675,#94790,.T.); #209979=EDGE_CURVE('',#168676,#168677,#94791,.T.); #209980=EDGE_CURVE('',#168678,#168676,#94792,.T.); #209981=EDGE_CURVE('',#168679,#168677,#94793,.T.); #209982=EDGE_CURVE('',#168678,#168679,#94794,.T.); #209983=EDGE_CURVE('',#168680,#168678,#94795,.T.); #209984=EDGE_CURVE('',#168681,#168679,#94796,.T.); #209985=EDGE_CURVE('',#168680,#168681,#94797,.T.); #209986=EDGE_CURVE('',#168682,#168680,#94798,.T.); #209987=EDGE_CURVE('',#168683,#168681,#94799,.T.); #209988=EDGE_CURVE('',#168682,#168683,#94800,.T.); #209989=EDGE_CURVE('',#168684,#168682,#94801,.T.); #209990=EDGE_CURVE('',#168685,#168683,#94802,.T.); #209991=EDGE_CURVE('',#168684,#168685,#94803,.T.); #209992=EDGE_CURVE('',#168686,#168684,#94804,.T.); #209993=EDGE_CURVE('',#168687,#168685,#94805,.T.); #209994=EDGE_CURVE('',#168686,#168687,#94806,.T.); #209995=EDGE_CURVE('',#168688,#168686,#94807,.T.); #209996=EDGE_CURVE('',#168689,#168687,#94808,.T.); #209997=EDGE_CURVE('',#168688,#168689,#94809,.T.); #209998=EDGE_CURVE('',#168690,#168688,#94810,.T.); #209999=EDGE_CURVE('',#168691,#168689,#94811,.T.); #210000=EDGE_CURVE('',#168690,#168691,#94812,.T.); #210001=EDGE_CURVE('',#168692,#168690,#94813,.T.); #210002=EDGE_CURVE('',#168693,#168691,#94814,.T.); #210003=EDGE_CURVE('',#168692,#168693,#94815,.T.); #210004=EDGE_CURVE('',#168694,#168692,#94816,.T.); #210005=EDGE_CURVE('',#168695,#168693,#94817,.T.); #210006=EDGE_CURVE('',#168694,#168695,#94818,.T.); #210007=EDGE_CURVE('',#168696,#168694,#94819,.T.); #210008=EDGE_CURVE('',#168697,#168695,#94820,.T.); #210009=EDGE_CURVE('',#168696,#168697,#94821,.T.); #210010=EDGE_CURVE('',#168698,#168696,#94822,.T.); #210011=EDGE_CURVE('',#168699,#168697,#94823,.T.); #210012=EDGE_CURVE('',#168698,#168699,#94824,.T.); #210013=EDGE_CURVE('',#168700,#168698,#94825,.T.); #210014=EDGE_CURVE('',#168701,#168699,#94826,.T.); #210015=EDGE_CURVE('',#168700,#168701,#94827,.T.); #210016=EDGE_CURVE('',#168702,#168700,#94828,.T.); #210017=EDGE_CURVE('',#168703,#168701,#94829,.T.); #210018=EDGE_CURVE('',#168702,#168703,#94830,.T.); #210019=EDGE_CURVE('',#168704,#168702,#94831,.T.); #210020=EDGE_CURVE('',#168705,#168703,#94832,.T.); #210021=EDGE_CURVE('',#168704,#168705,#94833,.T.); #210022=EDGE_CURVE('',#168706,#168704,#94834,.T.); #210023=EDGE_CURVE('',#168707,#168705,#94835,.T.); #210024=EDGE_CURVE('',#168706,#168707,#94836,.T.); #210025=EDGE_CURVE('',#168708,#168706,#94837,.T.); #210026=EDGE_CURVE('',#168709,#168707,#94838,.T.); #210027=EDGE_CURVE('',#168708,#168709,#94839,.T.); #210028=EDGE_CURVE('',#168710,#168708,#94840,.T.); #210029=EDGE_CURVE('',#168711,#168709,#94841,.T.); #210030=EDGE_CURVE('',#168710,#168711,#94842,.T.); #210031=EDGE_CURVE('',#168712,#168710,#94843,.T.); #210032=EDGE_CURVE('',#168713,#168711,#94844,.T.); #210033=EDGE_CURVE('',#168712,#168713,#94845,.T.); #210034=EDGE_CURVE('',#168714,#168712,#94846,.T.); #210035=EDGE_CURVE('',#168715,#168713,#94847,.T.); #210036=EDGE_CURVE('',#168714,#168715,#94848,.T.); #210037=EDGE_CURVE('',#168716,#168714,#94849,.T.); #210038=EDGE_CURVE('',#168717,#168715,#94850,.T.); #210039=EDGE_CURVE('',#168716,#168717,#94851,.T.); #210040=EDGE_CURVE('',#168718,#168716,#94852,.T.); #210041=EDGE_CURVE('',#168719,#168717,#94853,.T.); #210042=EDGE_CURVE('',#168718,#168719,#94854,.T.); #210043=EDGE_CURVE('',#168720,#168718,#94855,.T.); #210044=EDGE_CURVE('',#168721,#168719,#94856,.T.); #210045=EDGE_CURVE('',#168720,#168721,#94857,.T.); #210046=EDGE_CURVE('',#168722,#168720,#94858,.T.); #210047=EDGE_CURVE('',#168723,#168721,#94859,.T.); #210048=EDGE_CURVE('',#168722,#168723,#94860,.T.); #210049=EDGE_CURVE('',#168724,#168722,#94861,.T.); #210050=EDGE_CURVE('',#168725,#168723,#94862,.T.); #210051=EDGE_CURVE('',#168724,#168725,#94863,.T.); #210052=EDGE_CURVE('',#168726,#168724,#94864,.T.); #210053=EDGE_CURVE('',#168727,#168725,#94865,.T.); #210054=EDGE_CURVE('',#168726,#168727,#94866,.T.); #210055=EDGE_CURVE('',#168728,#168726,#94867,.T.); #210056=EDGE_CURVE('',#168729,#168727,#94868,.T.); #210057=EDGE_CURVE('',#168728,#168729,#94869,.T.); #210058=EDGE_CURVE('',#168730,#168728,#94870,.T.); #210059=EDGE_CURVE('',#168731,#168729,#94871,.T.); #210060=EDGE_CURVE('',#168730,#168731,#94872,.T.); #210061=EDGE_CURVE('',#168732,#168730,#94873,.T.); #210062=EDGE_CURVE('',#168733,#168731,#94874,.T.); #210063=EDGE_CURVE('',#168732,#168733,#94875,.T.); #210064=EDGE_CURVE('',#168734,#168732,#94876,.T.); #210065=EDGE_CURVE('',#168735,#168733,#94877,.T.); #210066=EDGE_CURVE('',#168734,#168735,#94878,.T.); #210067=EDGE_CURVE('',#168736,#168734,#94879,.T.); #210068=EDGE_CURVE('',#168737,#168735,#94880,.T.); #210069=EDGE_CURVE('',#168736,#168737,#94881,.T.); #210070=EDGE_CURVE('',#168738,#168736,#94882,.T.); #210071=EDGE_CURVE('',#168739,#168737,#94883,.T.); #210072=EDGE_CURVE('',#168738,#168739,#94884,.T.); #210073=EDGE_CURVE('',#168740,#168738,#94885,.T.); #210074=EDGE_CURVE('',#168741,#168739,#94886,.T.); #210075=EDGE_CURVE('',#168740,#168741,#94887,.T.); #210076=EDGE_CURVE('',#168742,#168740,#94888,.T.); #210077=EDGE_CURVE('',#168743,#168741,#94889,.T.); #210078=EDGE_CURVE('',#168742,#168743,#94890,.T.); #210079=EDGE_CURVE('',#168744,#168742,#94891,.T.); #210080=EDGE_CURVE('',#168745,#168743,#94892,.T.); #210081=EDGE_CURVE('',#168744,#168745,#94893,.T.); #210082=EDGE_CURVE('',#168746,#168744,#94894,.T.); #210083=EDGE_CURVE('',#168747,#168745,#94895,.T.); #210084=EDGE_CURVE('',#168746,#168747,#94896,.T.); #210085=EDGE_CURVE('',#168748,#168746,#94897,.T.); #210086=EDGE_CURVE('',#168749,#168747,#94898,.T.); #210087=EDGE_CURVE('',#168748,#168749,#94899,.T.); #210088=EDGE_CURVE('',#168750,#168748,#94900,.T.); #210089=EDGE_CURVE('',#168751,#168749,#94901,.T.); #210090=EDGE_CURVE('',#168750,#168751,#94902,.T.); #210091=EDGE_CURVE('',#168752,#168750,#94903,.T.); #210092=EDGE_CURVE('',#168753,#168751,#94904,.T.); #210093=EDGE_CURVE('',#168752,#168753,#94905,.T.); #210094=EDGE_CURVE('',#168754,#168752,#94906,.T.); #210095=EDGE_CURVE('',#168755,#168753,#94907,.T.); #210096=EDGE_CURVE('',#168754,#168755,#94908,.T.); #210097=EDGE_CURVE('',#168756,#168754,#94909,.T.); #210098=EDGE_CURVE('',#168757,#168755,#94910,.T.); #210099=EDGE_CURVE('',#168756,#168757,#94911,.T.); #210100=EDGE_CURVE('',#168758,#168756,#94912,.T.); #210101=EDGE_CURVE('',#168759,#168757,#94913,.T.); #210102=EDGE_CURVE('',#168758,#168759,#94914,.T.); #210103=EDGE_CURVE('',#168760,#168758,#94915,.T.); #210104=EDGE_CURVE('',#168761,#168759,#94916,.T.); #210105=EDGE_CURVE('',#168760,#168761,#94917,.T.); #210106=EDGE_CURVE('',#168762,#168760,#94918,.T.); #210107=EDGE_CURVE('',#168763,#168761,#94919,.T.); #210108=EDGE_CURVE('',#168762,#168763,#94920,.T.); #210109=EDGE_CURVE('',#168764,#168762,#94921,.T.); #210110=EDGE_CURVE('',#168765,#168763,#94922,.T.); #210111=EDGE_CURVE('',#168764,#168765,#94923,.T.); #210112=EDGE_CURVE('',#168766,#168764,#94924,.T.); #210113=EDGE_CURVE('',#168767,#168765,#94925,.T.); #210114=EDGE_CURVE('',#168766,#168767,#94926,.T.); #210115=EDGE_CURVE('',#168768,#168766,#94927,.T.); #210116=EDGE_CURVE('',#168769,#168767,#94928,.T.); #210117=EDGE_CURVE('',#168768,#168769,#94929,.T.); #210118=EDGE_CURVE('',#168770,#168768,#94930,.T.); #210119=EDGE_CURVE('',#168771,#168769,#94931,.T.); #210120=EDGE_CURVE('',#168770,#168771,#94932,.T.); #210121=EDGE_CURVE('',#168772,#168770,#94933,.T.); #210122=EDGE_CURVE('',#168773,#168771,#94934,.T.); #210123=EDGE_CURVE('',#168772,#168773,#94935,.T.); #210124=EDGE_CURVE('',#168774,#168772,#94936,.T.); #210125=EDGE_CURVE('',#168775,#168773,#94937,.T.); #210126=EDGE_CURVE('',#168774,#168775,#94938,.T.); #210127=EDGE_CURVE('',#168776,#168774,#94939,.T.); #210128=EDGE_CURVE('',#168777,#168775,#94940,.T.); #210129=EDGE_CURVE('',#168776,#168777,#94941,.T.); #210130=EDGE_CURVE('',#168778,#168776,#94942,.T.); #210131=EDGE_CURVE('',#168779,#168777,#94943,.T.); #210132=EDGE_CURVE('',#168778,#168779,#94944,.T.); #210133=EDGE_CURVE('',#168780,#168778,#94945,.T.); #210134=EDGE_CURVE('',#168781,#168779,#94946,.T.); #210135=EDGE_CURVE('',#168780,#168781,#94947,.T.); #210136=EDGE_CURVE('',#168782,#168780,#94948,.T.); #210137=EDGE_CURVE('',#168783,#168781,#94949,.T.); #210138=EDGE_CURVE('',#168782,#168783,#94950,.T.); #210139=EDGE_CURVE('',#168784,#168782,#94951,.T.); #210140=EDGE_CURVE('',#168785,#168783,#94952,.T.); #210141=EDGE_CURVE('',#168784,#168785,#94953,.T.); #210142=EDGE_CURVE('',#168786,#168784,#94954,.T.); #210143=EDGE_CURVE('',#168787,#168785,#94955,.T.); #210144=EDGE_CURVE('',#168786,#168787,#94956,.T.); #210145=EDGE_CURVE('',#168788,#168786,#94957,.T.); #210146=EDGE_CURVE('',#168789,#168787,#94958,.T.); #210147=EDGE_CURVE('',#168788,#168789,#94959,.T.); #210148=EDGE_CURVE('',#168790,#168788,#94960,.T.); #210149=EDGE_CURVE('',#168791,#168789,#94961,.T.); #210150=EDGE_CURVE('',#168790,#168791,#94962,.T.); #210151=EDGE_CURVE('',#168792,#168790,#94963,.T.); #210152=EDGE_CURVE('',#168793,#168791,#94964,.T.); #210153=EDGE_CURVE('',#168792,#168793,#94965,.T.); #210154=EDGE_CURVE('',#168794,#168792,#94966,.T.); #210155=EDGE_CURVE('',#168795,#168793,#94967,.T.); #210156=EDGE_CURVE('',#168794,#168795,#94968,.T.); #210157=EDGE_CURVE('',#168796,#168794,#94969,.T.); #210158=EDGE_CURVE('',#168797,#168795,#94970,.T.); #210159=EDGE_CURVE('',#168796,#168797,#94971,.T.); #210160=EDGE_CURVE('',#168798,#168796,#94972,.T.); #210161=EDGE_CURVE('',#168799,#168797,#94973,.T.); #210162=EDGE_CURVE('',#168798,#168799,#94974,.T.); #210163=EDGE_CURVE('',#168800,#168798,#94975,.T.); #210164=EDGE_CURVE('',#168801,#168799,#94976,.T.); #210165=EDGE_CURVE('',#168800,#168801,#94977,.T.); #210166=EDGE_CURVE('',#168802,#168800,#94978,.T.); #210167=EDGE_CURVE('',#168803,#168801,#94979,.T.); #210168=EDGE_CURVE('',#168802,#168803,#94980,.T.); #210169=EDGE_CURVE('',#168804,#168802,#94981,.T.); #210170=EDGE_CURVE('',#168805,#168803,#94982,.T.); #210171=EDGE_CURVE('',#168804,#168805,#94983,.T.); #210172=EDGE_CURVE('',#168806,#168804,#94984,.T.); #210173=EDGE_CURVE('',#168807,#168805,#94985,.T.); #210174=EDGE_CURVE('',#168806,#168807,#94986,.T.); #210175=EDGE_CURVE('',#168808,#168806,#94987,.T.); #210176=EDGE_CURVE('',#168809,#168807,#94988,.T.); #210177=EDGE_CURVE('',#168808,#168809,#94989,.T.); #210178=EDGE_CURVE('',#168810,#168808,#94990,.T.); #210179=EDGE_CURVE('',#168811,#168809,#94991,.T.); #210180=EDGE_CURVE('',#168810,#168811,#94992,.T.); #210181=EDGE_CURVE('',#168812,#168810,#94993,.T.); #210182=EDGE_CURVE('',#168813,#168811,#94994,.T.); #210183=EDGE_CURVE('',#168812,#168813,#94995,.T.); #210184=EDGE_CURVE('',#168814,#168812,#94996,.T.); #210185=EDGE_CURVE('',#168815,#168813,#94997,.T.); #210186=EDGE_CURVE('',#168814,#168815,#94998,.T.); #210187=EDGE_CURVE('',#168816,#168814,#94999,.T.); #210188=EDGE_CURVE('',#168817,#168815,#95000,.T.); #210189=EDGE_CURVE('',#168816,#168817,#95001,.T.); #210190=EDGE_CURVE('',#168818,#168816,#95002,.T.); #210191=EDGE_CURVE('',#168819,#168817,#95003,.T.); #210192=EDGE_CURVE('',#168818,#168819,#95004,.T.); #210193=EDGE_CURVE('',#168820,#168818,#95005,.T.); #210194=EDGE_CURVE('',#168821,#168819,#95006,.T.); #210195=EDGE_CURVE('',#168820,#168821,#95007,.T.); #210196=EDGE_CURVE('',#168822,#168820,#95008,.T.); #210197=EDGE_CURVE('',#168823,#168821,#95009,.T.); #210198=EDGE_CURVE('',#168822,#168823,#95010,.T.); #210199=EDGE_CURVE('',#168824,#168822,#95011,.T.); #210200=EDGE_CURVE('',#168825,#168823,#95012,.T.); #210201=EDGE_CURVE('',#168824,#168825,#95013,.T.); #210202=EDGE_CURVE('',#168826,#168824,#95014,.T.); #210203=EDGE_CURVE('',#168827,#168825,#95015,.T.); #210204=EDGE_CURVE('',#168826,#168827,#95016,.T.); #210205=EDGE_CURVE('',#168828,#168826,#95017,.T.); #210206=EDGE_CURVE('',#168829,#168827,#95018,.T.); #210207=EDGE_CURVE('',#168828,#168829,#95019,.T.); #210208=EDGE_CURVE('',#168830,#168828,#95020,.T.); #210209=EDGE_CURVE('',#168831,#168829,#95021,.T.); #210210=EDGE_CURVE('',#168830,#168831,#95022,.T.); #210211=EDGE_CURVE('',#168832,#168830,#95023,.T.); #210212=EDGE_CURVE('',#168833,#168831,#95024,.T.); #210213=EDGE_CURVE('',#168832,#168833,#95025,.T.); #210214=EDGE_CURVE('',#168834,#168832,#95026,.T.); #210215=EDGE_CURVE('',#168835,#168833,#95027,.T.); #210216=EDGE_CURVE('',#168834,#168835,#95028,.T.); #210217=EDGE_CURVE('',#168836,#168834,#95029,.T.); #210218=EDGE_CURVE('',#168837,#168835,#95030,.T.); #210219=EDGE_CURVE('',#168836,#168837,#95031,.T.); #210220=EDGE_CURVE('',#168838,#168836,#95032,.T.); #210221=EDGE_CURVE('',#168839,#168837,#95033,.T.); #210222=EDGE_CURVE('',#168838,#168839,#95034,.T.); #210223=EDGE_CURVE('',#168840,#168838,#95035,.T.); #210224=EDGE_CURVE('',#168841,#168839,#95036,.T.); #210225=EDGE_CURVE('',#168840,#168841,#95037,.T.); #210226=EDGE_CURVE('',#168842,#168840,#95038,.T.); #210227=EDGE_CURVE('',#168843,#168841,#95039,.T.); #210228=EDGE_CURVE('',#168842,#168843,#95040,.T.); #210229=EDGE_CURVE('',#168844,#168842,#95041,.T.); #210230=EDGE_CURVE('',#168845,#168843,#95042,.T.); #210231=EDGE_CURVE('',#168844,#168845,#95043,.T.); #210232=EDGE_CURVE('',#168846,#168844,#95044,.T.); #210233=EDGE_CURVE('',#168847,#168845,#95045,.T.); #210234=EDGE_CURVE('',#168846,#168847,#95046,.T.); #210235=EDGE_CURVE('',#168848,#168846,#95047,.T.); #210236=EDGE_CURVE('',#168849,#168847,#95048,.T.); #210237=EDGE_CURVE('',#168848,#168849,#95049,.T.); #210238=EDGE_CURVE('',#168850,#168848,#95050,.T.); #210239=EDGE_CURVE('',#168851,#168849,#95051,.T.); #210240=EDGE_CURVE('',#168850,#168851,#95052,.T.); #210241=EDGE_CURVE('',#168852,#168850,#95053,.T.); #210242=EDGE_CURVE('',#168853,#168851,#95054,.T.); #210243=EDGE_CURVE('',#168852,#168853,#95055,.T.); #210244=EDGE_CURVE('',#168854,#168852,#95056,.T.); #210245=EDGE_CURVE('',#168855,#168853,#95057,.T.); #210246=EDGE_CURVE('',#168854,#168855,#95058,.T.); #210247=EDGE_CURVE('',#168856,#168854,#95059,.T.); #210248=EDGE_CURVE('',#168857,#168855,#95060,.T.); #210249=EDGE_CURVE('',#168856,#168857,#95061,.T.); #210250=EDGE_CURVE('',#168858,#168856,#95062,.T.); #210251=EDGE_CURVE('',#168859,#168857,#95063,.T.); #210252=EDGE_CURVE('',#168858,#168859,#95064,.T.); #210253=EDGE_CURVE('',#168860,#168858,#95065,.T.); #210254=EDGE_CURVE('',#168861,#168859,#95066,.T.); #210255=EDGE_CURVE('',#168860,#168861,#95067,.T.); #210256=EDGE_CURVE('',#168862,#168860,#95068,.T.); #210257=EDGE_CURVE('',#168863,#168861,#95069,.T.); #210258=EDGE_CURVE('',#168862,#168863,#95070,.T.); #210259=EDGE_CURVE('',#168864,#168862,#95071,.T.); #210260=EDGE_CURVE('',#168865,#168863,#95072,.T.); #210261=EDGE_CURVE('',#168864,#168865,#95073,.T.); #210262=EDGE_CURVE('',#168603,#168864,#95074,.T.); #210263=EDGE_CURVE('',#168604,#168865,#95075,.T.); #210264=EDGE_CURVE('',#168866,#168867,#95076,.T.); #210265=EDGE_CURVE('',#168867,#168868,#95077,.T.); #210266=EDGE_CURVE('',#168869,#168868,#95078,.T.); #210267=EDGE_CURVE('',#168866,#168869,#95079,.T.); #210268=EDGE_CURVE('',#168870,#168866,#95080,.T.); #210269=EDGE_CURVE('',#168871,#168869,#95081,.T.); #210270=EDGE_CURVE('',#168870,#168871,#95082,.T.); #210271=EDGE_CURVE('',#168872,#168870,#95083,.T.); #210272=EDGE_CURVE('',#168873,#168871,#95084,.T.); #210273=EDGE_CURVE('',#168872,#168873,#95085,.T.); #210274=EDGE_CURVE('',#168874,#168872,#95086,.T.); #210275=EDGE_CURVE('',#168875,#168873,#95087,.T.); #210276=EDGE_CURVE('',#168874,#168875,#95088,.T.); #210277=EDGE_CURVE('',#168876,#168874,#95089,.T.); #210278=EDGE_CURVE('',#168877,#168875,#95090,.T.); #210279=EDGE_CURVE('',#168876,#168877,#95091,.T.); #210280=EDGE_CURVE('',#168878,#168876,#95092,.T.); #210281=EDGE_CURVE('',#168879,#168877,#95093,.T.); #210282=EDGE_CURVE('',#168878,#168879,#95094,.T.); #210283=EDGE_CURVE('',#168880,#168878,#95095,.T.); #210284=EDGE_CURVE('',#168881,#168879,#95096,.T.); #210285=EDGE_CURVE('',#168880,#168881,#95097,.T.); #210286=EDGE_CURVE('',#168867,#168880,#95098,.T.); #210287=EDGE_CURVE('',#168868,#168881,#95099,.T.); #210288=EDGE_CURVE('',#168882,#168883,#95100,.T.); #210289=EDGE_CURVE('',#168883,#168884,#95101,.T.); #210290=EDGE_CURVE('',#168885,#168884,#95102,.T.); #210291=EDGE_CURVE('',#168882,#168885,#95103,.T.); #210292=EDGE_CURVE('',#168886,#168882,#95104,.T.); #210293=EDGE_CURVE('',#168887,#168885,#95105,.T.); #210294=EDGE_CURVE('',#168886,#168887,#95106,.T.); #210295=EDGE_CURVE('',#168888,#168886,#95107,.T.); #210296=EDGE_CURVE('',#168889,#168887,#95108,.T.); #210297=EDGE_CURVE('',#168888,#168889,#95109,.T.); #210298=EDGE_CURVE('',#168890,#168888,#95110,.T.); #210299=EDGE_CURVE('',#168891,#168889,#95111,.T.); #210300=EDGE_CURVE('',#168890,#168891,#95112,.T.); #210301=EDGE_CURVE('',#168892,#168890,#95113,.T.); #210302=EDGE_CURVE('',#168893,#168891,#95114,.T.); #210303=EDGE_CURVE('',#168892,#168893,#95115,.T.); #210304=EDGE_CURVE('',#168894,#168892,#95116,.T.); #210305=EDGE_CURVE('',#168895,#168893,#95117,.T.); #210306=EDGE_CURVE('',#168894,#168895,#95118,.T.); #210307=EDGE_CURVE('',#168896,#168894,#95119,.T.); #210308=EDGE_CURVE('',#168897,#168895,#95120,.T.); #210309=EDGE_CURVE('',#168896,#168897,#95121,.T.); #210310=EDGE_CURVE('',#168883,#168896,#95122,.T.); #210311=EDGE_CURVE('',#168884,#168897,#95123,.T.); #210312=EDGE_CURVE('',#168898,#168899,#95124,.T.); #210313=EDGE_CURVE('',#168899,#168900,#95125,.T.); #210314=EDGE_CURVE('',#168901,#168900,#95126,.T.); #210315=EDGE_CURVE('',#168898,#168901,#95127,.T.); #210316=EDGE_CURVE('',#168902,#168898,#95128,.T.); #210317=EDGE_CURVE('',#168903,#168901,#95129,.T.); #210318=EDGE_CURVE('',#168902,#168903,#95130,.T.); #210319=EDGE_CURVE('',#168904,#168902,#95131,.T.); #210320=EDGE_CURVE('',#168905,#168903,#95132,.T.); #210321=EDGE_CURVE('',#168904,#168905,#95133,.T.); #210322=EDGE_CURVE('',#168906,#168904,#95134,.T.); #210323=EDGE_CURVE('',#168907,#168905,#95135,.T.); #210324=EDGE_CURVE('',#168906,#168907,#95136,.T.); #210325=EDGE_CURVE('',#168908,#168906,#95137,.T.); #210326=EDGE_CURVE('',#168909,#168907,#95138,.T.); #210327=EDGE_CURVE('',#168908,#168909,#95139,.T.); #210328=EDGE_CURVE('',#168910,#168908,#95140,.T.); #210329=EDGE_CURVE('',#168911,#168909,#95141,.T.); #210330=EDGE_CURVE('',#168910,#168911,#95142,.T.); #210331=EDGE_CURVE('',#168912,#168910,#95143,.T.); #210332=EDGE_CURVE('',#168913,#168911,#95144,.T.); #210333=EDGE_CURVE('',#168912,#168913,#95145,.T.); #210334=EDGE_CURVE('',#168899,#168912,#95146,.T.); #210335=EDGE_CURVE('',#168900,#168913,#95147,.T.); #210336=EDGE_CURVE('',#168914,#168915,#95148,.T.); #210337=EDGE_CURVE('',#168915,#168916,#95149,.T.); #210338=EDGE_CURVE('',#168917,#168916,#95150,.T.); #210339=EDGE_CURVE('',#168914,#168917,#95151,.T.); #210340=EDGE_CURVE('',#168918,#168914,#95152,.T.); #210341=EDGE_CURVE('',#168919,#168917,#95153,.T.); #210342=EDGE_CURVE('',#168918,#168919,#95154,.T.); #210343=EDGE_CURVE('',#168920,#168918,#95155,.T.); #210344=EDGE_CURVE('',#168921,#168919,#95156,.T.); #210345=EDGE_CURVE('',#168920,#168921,#95157,.T.); #210346=EDGE_CURVE('',#168922,#168920,#95158,.T.); #210347=EDGE_CURVE('',#168923,#168921,#95159,.T.); #210348=EDGE_CURVE('',#168922,#168923,#95160,.T.); #210349=EDGE_CURVE('',#168924,#168922,#95161,.T.); #210350=EDGE_CURVE('',#168925,#168923,#95162,.T.); #210351=EDGE_CURVE('',#168924,#168925,#95163,.T.); #210352=EDGE_CURVE('',#168926,#168924,#95164,.T.); #210353=EDGE_CURVE('',#168927,#168925,#95165,.T.); #210354=EDGE_CURVE('',#168926,#168927,#95166,.T.); #210355=EDGE_CURVE('',#168928,#168926,#95167,.T.); #210356=EDGE_CURVE('',#168929,#168927,#95168,.T.); #210357=EDGE_CURVE('',#168928,#168929,#95169,.T.); #210358=EDGE_CURVE('',#168930,#168928,#95170,.T.); #210359=EDGE_CURVE('',#168931,#168929,#95171,.T.); #210360=EDGE_CURVE('',#168930,#168931,#95172,.T.); #210361=EDGE_CURVE('',#168932,#168930,#95173,.T.); #210362=EDGE_CURVE('',#168933,#168931,#95174,.T.); #210363=EDGE_CURVE('',#168932,#168933,#95175,.T.); #210364=EDGE_CURVE('',#168934,#168932,#95176,.T.); #210365=EDGE_CURVE('',#168935,#168933,#95177,.T.); #210366=EDGE_CURVE('',#168934,#168935,#95178,.T.); #210367=EDGE_CURVE('',#168936,#168934,#95179,.T.); #210368=EDGE_CURVE('',#168937,#168935,#95180,.T.); #210369=EDGE_CURVE('',#168936,#168937,#95181,.T.); #210370=EDGE_CURVE('',#168938,#168936,#95182,.T.); #210371=EDGE_CURVE('',#168939,#168937,#95183,.T.); #210372=EDGE_CURVE('',#168938,#168939,#95184,.T.); #210373=EDGE_CURVE('',#168940,#168938,#95185,.T.); #210374=EDGE_CURVE('',#168941,#168939,#95186,.T.); #210375=EDGE_CURVE('',#168940,#168941,#95187,.T.); #210376=EDGE_CURVE('',#168942,#168940,#95188,.T.); #210377=EDGE_CURVE('',#168943,#168941,#95189,.T.); #210378=EDGE_CURVE('',#168942,#168943,#95190,.T.); #210379=EDGE_CURVE('',#168944,#168942,#95191,.T.); #210380=EDGE_CURVE('',#168945,#168943,#95192,.T.); #210381=EDGE_CURVE('',#168944,#168945,#95193,.T.); #210382=EDGE_CURVE('',#168946,#168944,#95194,.T.); #210383=EDGE_CURVE('',#168947,#168945,#95195,.T.); #210384=EDGE_CURVE('',#168946,#168947,#95196,.T.); #210385=EDGE_CURVE('',#168948,#168946,#95197,.T.); #210386=EDGE_CURVE('',#168949,#168947,#95198,.T.); #210387=EDGE_CURVE('',#168948,#168949,#95199,.T.); #210388=EDGE_CURVE('',#168950,#168948,#95200,.T.); #210389=EDGE_CURVE('',#168951,#168949,#95201,.T.); #210390=EDGE_CURVE('',#168950,#168951,#95202,.T.); #210391=EDGE_CURVE('',#168952,#168950,#95203,.T.); #210392=EDGE_CURVE('',#168953,#168951,#95204,.T.); #210393=EDGE_CURVE('',#168952,#168953,#95205,.T.); #210394=EDGE_CURVE('',#168954,#168952,#95206,.T.); #210395=EDGE_CURVE('',#168955,#168953,#95207,.T.); #210396=EDGE_CURVE('',#168954,#168955,#95208,.T.); #210397=EDGE_CURVE('',#168956,#168954,#95209,.T.); #210398=EDGE_CURVE('',#168957,#168955,#95210,.T.); #210399=EDGE_CURVE('',#168956,#168957,#95211,.T.); #210400=EDGE_CURVE('',#168958,#168956,#95212,.T.); #210401=EDGE_CURVE('',#168959,#168957,#95213,.T.); #210402=EDGE_CURVE('',#168958,#168959,#95214,.T.); #210403=EDGE_CURVE('',#168960,#168958,#95215,.T.); #210404=EDGE_CURVE('',#168961,#168959,#95216,.T.); #210405=EDGE_CURVE('',#168960,#168961,#95217,.T.); #210406=EDGE_CURVE('',#168962,#168960,#95218,.T.); #210407=EDGE_CURVE('',#168963,#168961,#95219,.T.); #210408=EDGE_CURVE('',#168962,#168963,#95220,.T.); #210409=EDGE_CURVE('',#168964,#168962,#95221,.T.); #210410=EDGE_CURVE('',#168965,#168963,#95222,.T.); #210411=EDGE_CURVE('',#168964,#168965,#95223,.T.); #210412=EDGE_CURVE('',#168966,#168964,#95224,.T.); #210413=EDGE_CURVE('',#168967,#168965,#95225,.T.); #210414=EDGE_CURVE('',#168966,#168967,#95226,.T.); #210415=EDGE_CURVE('',#168968,#168966,#95227,.T.); #210416=EDGE_CURVE('',#168969,#168967,#95228,.T.); #210417=EDGE_CURVE('',#168968,#168969,#95229,.T.); #210418=EDGE_CURVE('',#168970,#168968,#95230,.T.); #210419=EDGE_CURVE('',#168971,#168969,#95231,.T.); #210420=EDGE_CURVE('',#168970,#168971,#95232,.T.); #210421=EDGE_CURVE('',#168972,#168970,#95233,.T.); #210422=EDGE_CURVE('',#168973,#168971,#95234,.T.); #210423=EDGE_CURVE('',#168972,#168973,#95235,.T.); #210424=EDGE_CURVE('',#168974,#168972,#95236,.T.); #210425=EDGE_CURVE('',#168975,#168973,#95237,.T.); #210426=EDGE_CURVE('',#168974,#168975,#95238,.T.); #210427=EDGE_CURVE('',#168976,#168974,#95239,.T.); #210428=EDGE_CURVE('',#168977,#168975,#95240,.T.); #210429=EDGE_CURVE('',#168976,#168977,#95241,.T.); #210430=EDGE_CURVE('',#168978,#168976,#95242,.T.); #210431=EDGE_CURVE('',#168979,#168977,#95243,.T.); #210432=EDGE_CURVE('',#168978,#168979,#95244,.T.); #210433=EDGE_CURVE('',#168980,#168978,#95245,.T.); #210434=EDGE_CURVE('',#168981,#168979,#95246,.T.); #210435=EDGE_CURVE('',#168980,#168981,#95247,.T.); #210436=EDGE_CURVE('',#168915,#168980,#95248,.T.); #210437=EDGE_CURVE('',#168916,#168981,#95249,.T.); #210438=EDGE_CURVE('',#168982,#168983,#95250,.T.); #210439=EDGE_CURVE('',#168983,#168984,#95251,.T.); #210440=EDGE_CURVE('',#168985,#168984,#95252,.T.); #210441=EDGE_CURVE('',#168982,#168985,#95253,.T.); #210442=EDGE_CURVE('',#168986,#168982,#95254,.T.); #210443=EDGE_CURVE('',#168987,#168985,#95255,.T.); #210444=EDGE_CURVE('',#168986,#168987,#95256,.T.); #210445=EDGE_CURVE('',#168988,#168986,#95257,.T.); #210446=EDGE_CURVE('',#168989,#168987,#95258,.T.); #210447=EDGE_CURVE('',#168988,#168989,#95259,.T.); #210448=EDGE_CURVE('',#168990,#168988,#95260,.T.); #210449=EDGE_CURVE('',#168991,#168989,#95261,.T.); #210450=EDGE_CURVE('',#168990,#168991,#95262,.T.); #210451=EDGE_CURVE('',#168992,#168990,#95263,.T.); #210452=EDGE_CURVE('',#168993,#168991,#95264,.T.); #210453=EDGE_CURVE('',#168992,#168993,#95265,.T.); #210454=EDGE_CURVE('',#168994,#168992,#95266,.T.); #210455=EDGE_CURVE('',#168995,#168993,#95267,.T.); #210456=EDGE_CURVE('',#168994,#168995,#95268,.T.); #210457=EDGE_CURVE('',#168996,#168994,#95269,.T.); #210458=EDGE_CURVE('',#168997,#168995,#95270,.T.); #210459=EDGE_CURVE('',#168996,#168997,#95271,.T.); #210460=EDGE_CURVE('',#168998,#168996,#95272,.T.); #210461=EDGE_CURVE('',#168999,#168997,#95273,.T.); #210462=EDGE_CURVE('',#168998,#168999,#95274,.T.); #210463=EDGE_CURVE('',#169000,#168998,#95275,.T.); #210464=EDGE_CURVE('',#169001,#168999,#95276,.T.); #210465=EDGE_CURVE('',#169000,#169001,#95277,.T.); #210466=EDGE_CURVE('',#169002,#169000,#95278,.T.); #210467=EDGE_CURVE('',#169003,#169001,#95279,.T.); #210468=EDGE_CURVE('',#169002,#169003,#95280,.T.); #210469=EDGE_CURVE('',#169004,#169002,#95281,.T.); #210470=EDGE_CURVE('',#169005,#169003,#95282,.T.); #210471=EDGE_CURVE('',#169004,#169005,#95283,.T.); #210472=EDGE_CURVE('',#169006,#169004,#95284,.T.); #210473=EDGE_CURVE('',#169007,#169005,#95285,.T.); #210474=EDGE_CURVE('',#169006,#169007,#95286,.T.); #210475=EDGE_CURVE('',#169008,#169006,#95287,.T.); #210476=EDGE_CURVE('',#169009,#169007,#95288,.T.); #210477=EDGE_CURVE('',#169008,#169009,#95289,.T.); #210478=EDGE_CURVE('',#169010,#169008,#95290,.T.); #210479=EDGE_CURVE('',#169011,#169009,#95291,.T.); #210480=EDGE_CURVE('',#169010,#169011,#95292,.T.); #210481=EDGE_CURVE('',#169012,#169010,#95293,.T.); #210482=EDGE_CURVE('',#169013,#169011,#95294,.T.); #210483=EDGE_CURVE('',#169012,#169013,#95295,.T.); #210484=EDGE_CURVE('',#169014,#169012,#95296,.T.); #210485=EDGE_CURVE('',#169015,#169013,#95297,.T.); #210486=EDGE_CURVE('',#169014,#169015,#95298,.T.); #210487=EDGE_CURVE('',#169016,#169014,#95299,.T.); #210488=EDGE_CURVE('',#169017,#169015,#95300,.T.); #210489=EDGE_CURVE('',#169016,#169017,#95301,.T.); #210490=EDGE_CURVE('',#169018,#169016,#95302,.T.); #210491=EDGE_CURVE('',#169019,#169017,#95303,.T.); #210492=EDGE_CURVE('',#169018,#169019,#95304,.T.); #210493=EDGE_CURVE('',#169020,#169018,#95305,.T.); #210494=EDGE_CURVE('',#169021,#169019,#95306,.T.); #210495=EDGE_CURVE('',#169020,#169021,#95307,.T.); #210496=EDGE_CURVE('',#169022,#169020,#95308,.T.); #210497=EDGE_CURVE('',#169023,#169021,#95309,.T.); #210498=EDGE_CURVE('',#169022,#169023,#95310,.T.); #210499=EDGE_CURVE('',#169024,#169022,#95311,.T.); #210500=EDGE_CURVE('',#169025,#169023,#95312,.T.); #210501=EDGE_CURVE('',#169024,#169025,#95313,.T.); #210502=EDGE_CURVE('',#169026,#169024,#95314,.T.); #210503=EDGE_CURVE('',#169027,#169025,#95315,.T.); #210504=EDGE_CURVE('',#169026,#169027,#95316,.T.); #210505=EDGE_CURVE('',#169028,#169026,#95317,.T.); #210506=EDGE_CURVE('',#169029,#169027,#95318,.T.); #210507=EDGE_CURVE('',#169028,#169029,#95319,.T.); #210508=EDGE_CURVE('',#169030,#169028,#95320,.T.); #210509=EDGE_CURVE('',#169031,#169029,#95321,.T.); #210510=EDGE_CURVE('',#169030,#169031,#95322,.T.); #210511=EDGE_CURVE('',#169032,#169030,#95323,.T.); #210512=EDGE_CURVE('',#169033,#169031,#95324,.T.); #210513=EDGE_CURVE('',#169032,#169033,#95325,.T.); #210514=EDGE_CURVE('',#169034,#169032,#95326,.T.); #210515=EDGE_CURVE('',#169035,#169033,#95327,.T.); #210516=EDGE_CURVE('',#169034,#169035,#95328,.T.); #210517=EDGE_CURVE('',#169036,#169034,#95329,.T.); #210518=EDGE_CURVE('',#169037,#169035,#95330,.T.); #210519=EDGE_CURVE('',#169036,#169037,#95331,.T.); #210520=EDGE_CURVE('',#169038,#169036,#95332,.T.); #210521=EDGE_CURVE('',#169039,#169037,#95333,.T.); #210522=EDGE_CURVE('',#169038,#169039,#95334,.T.); #210523=EDGE_CURVE('',#169040,#169038,#95335,.T.); #210524=EDGE_CURVE('',#169041,#169039,#95336,.T.); #210525=EDGE_CURVE('',#169040,#169041,#95337,.T.); #210526=EDGE_CURVE('',#169042,#169040,#95338,.T.); #210527=EDGE_CURVE('',#169043,#169041,#95339,.T.); #210528=EDGE_CURVE('',#169042,#169043,#95340,.T.); #210529=EDGE_CURVE('',#169044,#169042,#95341,.T.); #210530=EDGE_CURVE('',#169045,#169043,#95342,.T.); #210531=EDGE_CURVE('',#169044,#169045,#95343,.T.); #210532=EDGE_CURVE('',#169046,#169044,#95344,.T.); #210533=EDGE_CURVE('',#169047,#169045,#95345,.T.); #210534=EDGE_CURVE('',#169046,#169047,#95346,.T.); #210535=EDGE_CURVE('',#169048,#169046,#95347,.T.); #210536=EDGE_CURVE('',#169049,#169047,#95348,.T.); #210537=EDGE_CURVE('',#169048,#169049,#95349,.T.); #210538=EDGE_CURVE('',#168983,#169048,#95350,.T.); #210539=EDGE_CURVE('',#168984,#169049,#95351,.T.); #210540=EDGE_CURVE('',#169050,#169051,#95352,.T.); #210541=EDGE_CURVE('',#169051,#169052,#95353,.T.); #210542=EDGE_CURVE('',#169053,#169052,#95354,.T.); #210543=EDGE_CURVE('',#169050,#169053,#95355,.T.); #210544=EDGE_CURVE('',#169054,#169050,#95356,.T.); #210545=EDGE_CURVE('',#169055,#169053,#95357,.T.); #210546=EDGE_CURVE('',#169054,#169055,#95358,.T.); #210547=EDGE_CURVE('',#169056,#169054,#95359,.T.); #210548=EDGE_CURVE('',#169057,#169055,#95360,.T.); #210549=EDGE_CURVE('',#169056,#169057,#95361,.T.); #210550=EDGE_CURVE('',#169058,#169056,#95362,.T.); #210551=EDGE_CURVE('',#169059,#169057,#95363,.T.); #210552=EDGE_CURVE('',#169058,#169059,#95364,.T.); #210553=EDGE_CURVE('',#169060,#169058,#95365,.T.); #210554=EDGE_CURVE('',#169061,#169059,#95366,.T.); #210555=EDGE_CURVE('',#169060,#169061,#95367,.T.); #210556=EDGE_CURVE('',#169062,#169060,#95368,.T.); #210557=EDGE_CURVE('',#169063,#169061,#95369,.T.); #210558=EDGE_CURVE('',#169062,#169063,#95370,.T.); #210559=EDGE_CURVE('',#169064,#169062,#95371,.T.); #210560=EDGE_CURVE('',#169065,#169063,#95372,.T.); #210561=EDGE_CURVE('',#169064,#169065,#95373,.T.); #210562=EDGE_CURVE('',#169066,#169064,#95374,.T.); #210563=EDGE_CURVE('',#169067,#169065,#95375,.T.); #210564=EDGE_CURVE('',#169066,#169067,#95376,.T.); #210565=EDGE_CURVE('',#169068,#169066,#95377,.T.); #210566=EDGE_CURVE('',#169069,#169067,#95378,.T.); #210567=EDGE_CURVE('',#169068,#169069,#95379,.T.); #210568=EDGE_CURVE('',#169070,#169068,#95380,.T.); #210569=EDGE_CURVE('',#169071,#169069,#95381,.T.); #210570=EDGE_CURVE('',#169070,#169071,#95382,.T.); #210571=EDGE_CURVE('',#169072,#169070,#95383,.T.); #210572=EDGE_CURVE('',#169073,#169071,#95384,.T.); #210573=EDGE_CURVE('',#169072,#169073,#95385,.T.); #210574=EDGE_CURVE('',#169074,#169072,#95386,.T.); #210575=EDGE_CURVE('',#169075,#169073,#95387,.T.); #210576=EDGE_CURVE('',#169074,#169075,#95388,.T.); #210577=EDGE_CURVE('',#169076,#169074,#95389,.T.); #210578=EDGE_CURVE('',#169077,#169075,#95390,.T.); #210579=EDGE_CURVE('',#169076,#169077,#95391,.T.); #210580=EDGE_CURVE('',#169078,#169076,#95392,.T.); #210581=EDGE_CURVE('',#169079,#169077,#95393,.T.); #210582=EDGE_CURVE('',#169078,#169079,#95394,.T.); #210583=EDGE_CURVE('',#169080,#169078,#95395,.T.); #210584=EDGE_CURVE('',#169081,#169079,#95396,.T.); #210585=EDGE_CURVE('',#169080,#169081,#95397,.T.); #210586=EDGE_CURVE('',#169082,#169080,#95398,.T.); #210587=EDGE_CURVE('',#169083,#169081,#95399,.T.); #210588=EDGE_CURVE('',#169082,#169083,#95400,.T.); #210589=EDGE_CURVE('',#169084,#169082,#95401,.T.); #210590=EDGE_CURVE('',#169085,#169083,#95402,.T.); #210591=EDGE_CURVE('',#169084,#169085,#95403,.T.); #210592=EDGE_CURVE('',#169086,#169084,#95404,.T.); #210593=EDGE_CURVE('',#169087,#169085,#95405,.T.); #210594=EDGE_CURVE('',#169086,#169087,#95406,.T.); #210595=EDGE_CURVE('',#169088,#169086,#95407,.T.); #210596=EDGE_CURVE('',#169089,#169087,#95408,.T.); #210597=EDGE_CURVE('',#169088,#169089,#95409,.T.); #210598=EDGE_CURVE('',#169090,#169088,#95410,.T.); #210599=EDGE_CURVE('',#169091,#169089,#95411,.T.); #210600=EDGE_CURVE('',#169090,#169091,#95412,.T.); #210601=EDGE_CURVE('',#169092,#169090,#95413,.T.); #210602=EDGE_CURVE('',#169093,#169091,#95414,.T.); #210603=EDGE_CURVE('',#169092,#169093,#95415,.T.); #210604=EDGE_CURVE('',#169094,#169092,#95416,.T.); #210605=EDGE_CURVE('',#169095,#169093,#95417,.T.); #210606=EDGE_CURVE('',#169094,#169095,#95418,.T.); #210607=EDGE_CURVE('',#169096,#169094,#95419,.T.); #210608=EDGE_CURVE('',#169097,#169095,#95420,.T.); #210609=EDGE_CURVE('',#169096,#169097,#95421,.T.); #210610=EDGE_CURVE('',#169098,#169096,#95422,.T.); #210611=EDGE_CURVE('',#169099,#169097,#95423,.T.); #210612=EDGE_CURVE('',#169098,#169099,#95424,.T.); #210613=EDGE_CURVE('',#169100,#169098,#95425,.T.); #210614=EDGE_CURVE('',#169101,#169099,#95426,.T.); #210615=EDGE_CURVE('',#169100,#169101,#95427,.T.); #210616=EDGE_CURVE('',#169102,#169100,#95428,.T.); #210617=EDGE_CURVE('',#169103,#169101,#95429,.T.); #210618=EDGE_CURVE('',#169102,#169103,#95430,.T.); #210619=EDGE_CURVE('',#169104,#169102,#95431,.T.); #210620=EDGE_CURVE('',#169105,#169103,#95432,.T.); #210621=EDGE_CURVE('',#169104,#169105,#95433,.T.); #210622=EDGE_CURVE('',#169106,#169104,#95434,.T.); #210623=EDGE_CURVE('',#169107,#169105,#95435,.T.); #210624=EDGE_CURVE('',#169106,#169107,#95436,.T.); #210625=EDGE_CURVE('',#169108,#169106,#95437,.T.); #210626=EDGE_CURVE('',#169109,#169107,#95438,.T.); #210627=EDGE_CURVE('',#169108,#169109,#95439,.T.); #210628=EDGE_CURVE('',#169110,#169108,#95440,.T.); #210629=EDGE_CURVE('',#169111,#169109,#95441,.T.); #210630=EDGE_CURVE('',#169110,#169111,#95442,.T.); #210631=EDGE_CURVE('',#169112,#169110,#95443,.T.); #210632=EDGE_CURVE('',#169113,#169111,#95444,.T.); #210633=EDGE_CURVE('',#169112,#169113,#95445,.T.); #210634=EDGE_CURVE('',#169114,#169112,#95446,.T.); #210635=EDGE_CURVE('',#169115,#169113,#95447,.T.); #210636=EDGE_CURVE('',#169114,#169115,#95448,.T.); #210637=EDGE_CURVE('',#169116,#169114,#95449,.T.); #210638=EDGE_CURVE('',#169117,#169115,#95450,.T.); #210639=EDGE_CURVE('',#169116,#169117,#95451,.T.); #210640=EDGE_CURVE('',#169118,#169116,#95452,.T.); #210641=EDGE_CURVE('',#169119,#169117,#95453,.T.); #210642=EDGE_CURVE('',#169118,#169119,#95454,.T.); #210643=EDGE_CURVE('',#169120,#169118,#95455,.T.); #210644=EDGE_CURVE('',#169121,#169119,#95456,.T.); #210645=EDGE_CURVE('',#169120,#169121,#95457,.T.); #210646=EDGE_CURVE('',#169122,#169120,#95458,.T.); #210647=EDGE_CURVE('',#169123,#169121,#95459,.T.); #210648=EDGE_CURVE('',#169122,#169123,#95460,.T.); #210649=EDGE_CURVE('',#169124,#169122,#95461,.T.); #210650=EDGE_CURVE('',#169125,#169123,#95462,.T.); #210651=EDGE_CURVE('',#169124,#169125,#95463,.T.); #210652=EDGE_CURVE('',#169126,#169124,#95464,.T.); #210653=EDGE_CURVE('',#169127,#169125,#95465,.T.); #210654=EDGE_CURVE('',#169126,#169127,#95466,.T.); #210655=EDGE_CURVE('',#169128,#169126,#95467,.T.); #210656=EDGE_CURVE('',#169129,#169127,#95468,.T.); #210657=EDGE_CURVE('',#169128,#169129,#95469,.T.); #210658=EDGE_CURVE('',#169051,#169128,#95470,.T.); #210659=EDGE_CURVE('',#169052,#169129,#95471,.T.); #210660=EDGE_CURVE('',#169130,#169131,#95472,.T.); #210661=EDGE_CURVE('',#169131,#169132,#95473,.T.); #210662=EDGE_CURVE('',#169133,#169132,#95474,.T.); #210663=EDGE_CURVE('',#169130,#169133,#95475,.T.); #210664=EDGE_CURVE('',#169134,#169130,#95476,.T.); #210665=EDGE_CURVE('',#169135,#169133,#95477,.T.); #210666=EDGE_CURVE('',#169134,#169135,#95478,.T.); #210667=EDGE_CURVE('',#169136,#169134,#95479,.T.); #210668=EDGE_CURVE('',#169137,#169135,#95480,.T.); #210669=EDGE_CURVE('',#169136,#169137,#95481,.T.); #210670=EDGE_CURVE('',#169138,#169136,#95482,.T.); #210671=EDGE_CURVE('',#169139,#169137,#95483,.T.); #210672=EDGE_CURVE('',#169138,#169139,#95484,.T.); #210673=EDGE_CURVE('',#169140,#169138,#95485,.T.); #210674=EDGE_CURVE('',#169141,#169139,#95486,.T.); #210675=EDGE_CURVE('',#169140,#169141,#95487,.T.); #210676=EDGE_CURVE('',#169142,#169140,#95488,.T.); #210677=EDGE_CURVE('',#169143,#169141,#95489,.T.); #210678=EDGE_CURVE('',#169142,#169143,#95490,.T.); #210679=EDGE_CURVE('',#169144,#169142,#95491,.T.); #210680=EDGE_CURVE('',#169145,#169143,#95492,.T.); #210681=EDGE_CURVE('',#169144,#169145,#95493,.T.); #210682=EDGE_CURVE('',#169146,#169144,#95494,.T.); #210683=EDGE_CURVE('',#169147,#169145,#95495,.T.); #210684=EDGE_CURVE('',#169146,#169147,#95496,.T.); #210685=EDGE_CURVE('',#169148,#169146,#95497,.T.); #210686=EDGE_CURVE('',#169149,#169147,#95498,.T.); #210687=EDGE_CURVE('',#169148,#169149,#95499,.T.); #210688=EDGE_CURVE('',#169150,#169148,#95500,.T.); #210689=EDGE_CURVE('',#169151,#169149,#95501,.T.); #210690=EDGE_CURVE('',#169150,#169151,#95502,.T.); #210691=EDGE_CURVE('',#169152,#169150,#95503,.T.); #210692=EDGE_CURVE('',#169153,#169151,#95504,.T.); #210693=EDGE_CURVE('',#169152,#169153,#95505,.T.); #210694=EDGE_CURVE('',#169154,#169152,#95506,.T.); #210695=EDGE_CURVE('',#169155,#169153,#95507,.T.); #210696=EDGE_CURVE('',#169154,#169155,#95508,.T.); #210697=EDGE_CURVE('',#169156,#169154,#95509,.T.); #210698=EDGE_CURVE('',#169157,#169155,#95510,.T.); #210699=EDGE_CURVE('',#169156,#169157,#95511,.T.); #210700=EDGE_CURVE('',#169158,#169156,#95512,.T.); #210701=EDGE_CURVE('',#169159,#169157,#95513,.T.); #210702=EDGE_CURVE('',#169158,#169159,#95514,.T.); #210703=EDGE_CURVE('',#169160,#169158,#95515,.T.); #210704=EDGE_CURVE('',#169161,#169159,#95516,.T.); #210705=EDGE_CURVE('',#169160,#169161,#95517,.T.); #210706=EDGE_CURVE('',#169162,#169160,#95518,.T.); #210707=EDGE_CURVE('',#169163,#169161,#95519,.T.); #210708=EDGE_CURVE('',#169162,#169163,#95520,.T.); #210709=EDGE_CURVE('',#169164,#169162,#95521,.T.); #210710=EDGE_CURVE('',#169165,#169163,#95522,.T.); #210711=EDGE_CURVE('',#169164,#169165,#95523,.T.); #210712=EDGE_CURVE('',#169166,#169164,#95524,.T.); #210713=EDGE_CURVE('',#169167,#169165,#95525,.T.); #210714=EDGE_CURVE('',#169166,#169167,#95526,.T.); #210715=EDGE_CURVE('',#169168,#169166,#95527,.T.); #210716=EDGE_CURVE('',#169169,#169167,#95528,.T.); #210717=EDGE_CURVE('',#169168,#169169,#95529,.T.); #210718=EDGE_CURVE('',#169170,#169168,#95530,.T.); #210719=EDGE_CURVE('',#169171,#169169,#95531,.T.); #210720=EDGE_CURVE('',#169170,#169171,#95532,.T.); #210721=EDGE_CURVE('',#169172,#169170,#95533,.T.); #210722=EDGE_CURVE('',#169173,#169171,#95534,.T.); #210723=EDGE_CURVE('',#169172,#169173,#95535,.T.); #210724=EDGE_CURVE('',#169174,#169172,#95536,.T.); #210725=EDGE_CURVE('',#169175,#169173,#95537,.T.); #210726=EDGE_CURVE('',#169174,#169175,#95538,.T.); #210727=EDGE_CURVE('',#169176,#169174,#95539,.T.); #210728=EDGE_CURVE('',#169177,#169175,#95540,.T.); #210729=EDGE_CURVE('',#169176,#169177,#95541,.T.); #210730=EDGE_CURVE('',#169178,#169176,#95542,.T.); #210731=EDGE_CURVE('',#169179,#169177,#95543,.T.); #210732=EDGE_CURVE('',#169178,#169179,#95544,.T.); #210733=EDGE_CURVE('',#169180,#169178,#95545,.T.); #210734=EDGE_CURVE('',#169181,#169179,#95546,.T.); #210735=EDGE_CURVE('',#169180,#169181,#95547,.T.); #210736=EDGE_CURVE('',#169182,#169180,#95548,.T.); #210737=EDGE_CURVE('',#169183,#169181,#95549,.T.); #210738=EDGE_CURVE('',#169182,#169183,#95550,.T.); #210739=EDGE_CURVE('',#169184,#169182,#95551,.T.); #210740=EDGE_CURVE('',#169185,#169183,#95552,.T.); #210741=EDGE_CURVE('',#169184,#169185,#95553,.T.); #210742=EDGE_CURVE('',#169186,#169184,#95554,.T.); #210743=EDGE_CURVE('',#169187,#169185,#95555,.T.); #210744=EDGE_CURVE('',#169186,#169187,#95556,.T.); #210745=EDGE_CURVE('',#169188,#169186,#95557,.T.); #210746=EDGE_CURVE('',#169189,#169187,#95558,.T.); #210747=EDGE_CURVE('',#169188,#169189,#95559,.T.); #210748=EDGE_CURVE('',#169190,#169188,#95560,.T.); #210749=EDGE_CURVE('',#169191,#169189,#95561,.T.); #210750=EDGE_CURVE('',#169190,#169191,#95562,.T.); #210751=EDGE_CURVE('',#169192,#169190,#95563,.T.); #210752=EDGE_CURVE('',#169193,#169191,#95564,.T.); #210753=EDGE_CURVE('',#169192,#169193,#95565,.T.); #210754=EDGE_CURVE('',#169194,#169192,#95566,.T.); #210755=EDGE_CURVE('',#169195,#169193,#95567,.T.); #210756=EDGE_CURVE('',#169194,#169195,#95568,.T.); #210757=EDGE_CURVE('',#169196,#169194,#95569,.T.); #210758=EDGE_CURVE('',#169197,#169195,#95570,.T.); #210759=EDGE_CURVE('',#169196,#169197,#95571,.T.); #210760=EDGE_CURVE('',#169198,#169196,#95572,.T.); #210761=EDGE_CURVE('',#169199,#169197,#95573,.T.); #210762=EDGE_CURVE('',#169198,#169199,#95574,.T.); #210763=EDGE_CURVE('',#169200,#169198,#95575,.T.); #210764=EDGE_CURVE('',#169201,#169199,#95576,.T.); #210765=EDGE_CURVE('',#169200,#169201,#95577,.T.); #210766=EDGE_CURVE('',#169202,#169200,#95578,.T.); #210767=EDGE_CURVE('',#169203,#169201,#95579,.T.); #210768=EDGE_CURVE('',#169202,#169203,#95580,.T.); #210769=EDGE_CURVE('',#169204,#169202,#95581,.T.); #210770=EDGE_CURVE('',#169205,#169203,#95582,.T.); #210771=EDGE_CURVE('',#169204,#169205,#95583,.T.); #210772=EDGE_CURVE('',#169131,#169204,#95584,.T.); #210773=EDGE_CURVE('',#169132,#169205,#95585,.T.); #210774=EDGE_CURVE('',#169206,#169207,#95586,.T.); #210775=EDGE_CURVE('',#169207,#169208,#95587,.T.); #210776=EDGE_CURVE('',#169209,#169208,#95588,.T.); #210777=EDGE_CURVE('',#169206,#169209,#95589,.T.); #210778=EDGE_CURVE('',#169210,#169206,#95590,.T.); #210779=EDGE_CURVE('',#169211,#169209,#95591,.T.); #210780=EDGE_CURVE('',#169210,#169211,#95592,.T.); #210781=EDGE_CURVE('',#169212,#169210,#95593,.T.); #210782=EDGE_CURVE('',#169213,#169211,#95594,.T.); #210783=EDGE_CURVE('',#169212,#169213,#95595,.T.); #210784=EDGE_CURVE('',#169214,#169212,#95596,.T.); #210785=EDGE_CURVE('',#169215,#169213,#95597,.T.); #210786=EDGE_CURVE('',#169214,#169215,#95598,.T.); #210787=EDGE_CURVE('',#169216,#169214,#95599,.T.); #210788=EDGE_CURVE('',#169217,#169215,#95600,.T.); #210789=EDGE_CURVE('',#169216,#169217,#95601,.T.); #210790=EDGE_CURVE('',#169218,#169216,#95602,.T.); #210791=EDGE_CURVE('',#169219,#169217,#95603,.T.); #210792=EDGE_CURVE('',#169218,#169219,#95604,.T.); #210793=EDGE_CURVE('',#169220,#169218,#95605,.T.); #210794=EDGE_CURVE('',#169221,#169219,#95606,.T.); #210795=EDGE_CURVE('',#169220,#169221,#95607,.T.); #210796=EDGE_CURVE('',#169222,#169220,#95608,.T.); #210797=EDGE_CURVE('',#169223,#169221,#95609,.T.); #210798=EDGE_CURVE('',#169222,#169223,#95610,.T.); #210799=EDGE_CURVE('',#169224,#169222,#95611,.T.); #210800=EDGE_CURVE('',#169225,#169223,#95612,.T.); #210801=EDGE_CURVE('',#169224,#169225,#95613,.T.); #210802=EDGE_CURVE('',#169226,#169224,#95614,.T.); #210803=EDGE_CURVE('',#169227,#169225,#95615,.T.); #210804=EDGE_CURVE('',#169226,#169227,#95616,.T.); #210805=EDGE_CURVE('',#169228,#169226,#95617,.T.); #210806=EDGE_CURVE('',#169229,#169227,#95618,.T.); #210807=EDGE_CURVE('',#169228,#169229,#95619,.T.); #210808=EDGE_CURVE('',#169230,#169228,#95620,.T.); #210809=EDGE_CURVE('',#169231,#169229,#95621,.T.); #210810=EDGE_CURVE('',#169230,#169231,#95622,.T.); #210811=EDGE_CURVE('',#169232,#169230,#95623,.T.); #210812=EDGE_CURVE('',#169233,#169231,#95624,.T.); #210813=EDGE_CURVE('',#169232,#169233,#95625,.T.); #210814=EDGE_CURVE('',#169234,#169232,#95626,.T.); #210815=EDGE_CURVE('',#169235,#169233,#95627,.T.); #210816=EDGE_CURVE('',#169234,#169235,#95628,.T.); #210817=EDGE_CURVE('',#169236,#169234,#95629,.T.); #210818=EDGE_CURVE('',#169237,#169235,#95630,.T.); #210819=EDGE_CURVE('',#169236,#169237,#95631,.T.); #210820=EDGE_CURVE('',#169238,#169236,#95632,.T.); #210821=EDGE_CURVE('',#169239,#169237,#95633,.T.); #210822=EDGE_CURVE('',#169238,#169239,#95634,.T.); #210823=EDGE_CURVE('',#169240,#169238,#95635,.T.); #210824=EDGE_CURVE('',#169241,#169239,#95636,.T.); #210825=EDGE_CURVE('',#169240,#169241,#95637,.T.); #210826=EDGE_CURVE('',#169242,#169240,#95638,.T.); #210827=EDGE_CURVE('',#169243,#169241,#95639,.T.); #210828=EDGE_CURVE('',#169242,#169243,#95640,.T.); #210829=EDGE_CURVE('',#169244,#169242,#95641,.T.); #210830=EDGE_CURVE('',#169245,#169243,#95642,.T.); #210831=EDGE_CURVE('',#169244,#169245,#95643,.T.); #210832=EDGE_CURVE('',#169246,#169244,#95644,.T.); #210833=EDGE_CURVE('',#169247,#169245,#95645,.T.); #210834=EDGE_CURVE('',#169246,#169247,#95646,.T.); #210835=EDGE_CURVE('',#169248,#169246,#95647,.T.); #210836=EDGE_CURVE('',#169249,#169247,#95648,.T.); #210837=EDGE_CURVE('',#169248,#169249,#95649,.T.); #210838=EDGE_CURVE('',#169250,#169248,#95650,.T.); #210839=EDGE_CURVE('',#169251,#169249,#95651,.T.); #210840=EDGE_CURVE('',#169250,#169251,#95652,.T.); #210841=EDGE_CURVE('',#169252,#169250,#95653,.T.); #210842=EDGE_CURVE('',#169253,#169251,#95654,.T.); #210843=EDGE_CURVE('',#169252,#169253,#95655,.T.); #210844=EDGE_CURVE('',#169207,#169252,#95656,.T.); #210845=EDGE_CURVE('',#169208,#169253,#95657,.T.); #210846=EDGE_CURVE('',#169254,#169255,#95658,.T.); #210847=EDGE_CURVE('',#169255,#169256,#95659,.T.); #210848=EDGE_CURVE('',#169257,#169256,#95660,.T.); #210849=EDGE_CURVE('',#169254,#169257,#95661,.T.); #210850=EDGE_CURVE('',#169258,#169254,#95662,.T.); #210851=EDGE_CURVE('',#169259,#169257,#95663,.T.); #210852=EDGE_CURVE('',#169258,#169259,#95664,.T.); #210853=EDGE_CURVE('',#169260,#169258,#95665,.T.); #210854=EDGE_CURVE('',#169261,#169259,#95666,.T.); #210855=EDGE_CURVE('',#169260,#169261,#95667,.T.); #210856=EDGE_CURVE('',#169262,#169260,#95668,.T.); #210857=EDGE_CURVE('',#169263,#169261,#95669,.T.); #210858=EDGE_CURVE('',#169262,#169263,#95670,.T.); #210859=EDGE_CURVE('',#169264,#169262,#95671,.T.); #210860=EDGE_CURVE('',#169265,#169263,#95672,.T.); #210861=EDGE_CURVE('',#169264,#169265,#95673,.T.); #210862=EDGE_CURVE('',#169266,#169264,#95674,.T.); #210863=EDGE_CURVE('',#169267,#169265,#95675,.T.); #210864=EDGE_CURVE('',#169266,#169267,#95676,.T.); #210865=EDGE_CURVE('',#169268,#169266,#95677,.T.); #210866=EDGE_CURVE('',#169269,#169267,#95678,.T.); #210867=EDGE_CURVE('',#169268,#169269,#95679,.T.); #210868=EDGE_CURVE('',#169270,#169268,#95680,.T.); #210869=EDGE_CURVE('',#169271,#169269,#95681,.T.); #210870=EDGE_CURVE('',#169270,#169271,#95682,.T.); #210871=EDGE_CURVE('',#169272,#169270,#95683,.T.); #210872=EDGE_CURVE('',#169273,#169271,#95684,.T.); #210873=EDGE_CURVE('',#169272,#169273,#95685,.T.); #210874=EDGE_CURVE('',#169274,#169272,#95686,.T.); #210875=EDGE_CURVE('',#169275,#169273,#95687,.T.); #210876=EDGE_CURVE('',#169274,#169275,#95688,.T.); #210877=EDGE_CURVE('',#169276,#169274,#95689,.T.); #210878=EDGE_CURVE('',#169277,#169275,#95690,.T.); #210879=EDGE_CURVE('',#169276,#169277,#95691,.T.); #210880=EDGE_CURVE('',#169278,#169276,#95692,.T.); #210881=EDGE_CURVE('',#169279,#169277,#95693,.T.); #210882=EDGE_CURVE('',#169278,#169279,#95694,.T.); #210883=EDGE_CURVE('',#169280,#169278,#95695,.T.); #210884=EDGE_CURVE('',#169281,#169279,#95696,.T.); #210885=EDGE_CURVE('',#169280,#169281,#95697,.T.); #210886=EDGE_CURVE('',#169282,#169280,#95698,.T.); #210887=EDGE_CURVE('',#169283,#169281,#95699,.T.); #210888=EDGE_CURVE('',#169282,#169283,#95700,.T.); #210889=EDGE_CURVE('',#169284,#169282,#95701,.T.); #210890=EDGE_CURVE('',#169285,#169283,#95702,.T.); #210891=EDGE_CURVE('',#169284,#169285,#95703,.T.); #210892=EDGE_CURVE('',#169286,#169284,#95704,.T.); #210893=EDGE_CURVE('',#169287,#169285,#95705,.T.); #210894=EDGE_CURVE('',#169286,#169287,#95706,.T.); #210895=EDGE_CURVE('',#169288,#169286,#95707,.T.); #210896=EDGE_CURVE('',#169289,#169287,#95708,.T.); #210897=EDGE_CURVE('',#169288,#169289,#95709,.T.); #210898=EDGE_CURVE('',#169290,#169288,#95710,.T.); #210899=EDGE_CURVE('',#169291,#169289,#95711,.T.); #210900=EDGE_CURVE('',#169290,#169291,#95712,.T.); #210901=EDGE_CURVE('',#169292,#169290,#95713,.T.); #210902=EDGE_CURVE('',#169293,#169291,#95714,.T.); #210903=EDGE_CURVE('',#169292,#169293,#95715,.T.); #210904=EDGE_CURVE('',#169294,#169292,#95716,.T.); #210905=EDGE_CURVE('',#169295,#169293,#95717,.T.); #210906=EDGE_CURVE('',#169294,#169295,#95718,.T.); #210907=EDGE_CURVE('',#169296,#169294,#95719,.T.); #210908=EDGE_CURVE('',#169297,#169295,#95720,.T.); #210909=EDGE_CURVE('',#169296,#169297,#95721,.T.); #210910=EDGE_CURVE('',#169298,#169296,#95722,.T.); #210911=EDGE_CURVE('',#169299,#169297,#95723,.T.); #210912=EDGE_CURVE('',#169298,#169299,#95724,.T.); #210913=EDGE_CURVE('',#169300,#169298,#95725,.T.); #210914=EDGE_CURVE('',#169301,#169299,#95726,.T.); #210915=EDGE_CURVE('',#169300,#169301,#95727,.T.); #210916=EDGE_CURVE('',#169302,#169300,#95728,.T.); #210917=EDGE_CURVE('',#169303,#169301,#95729,.T.); #210918=EDGE_CURVE('',#169302,#169303,#95730,.T.); #210919=EDGE_CURVE('',#169304,#169302,#95731,.T.); #210920=EDGE_CURVE('',#169305,#169303,#95732,.T.); #210921=EDGE_CURVE('',#169304,#169305,#95733,.T.); #210922=EDGE_CURVE('',#169306,#169304,#95734,.T.); #210923=EDGE_CURVE('',#169307,#169305,#95735,.T.); #210924=EDGE_CURVE('',#169306,#169307,#95736,.T.); #210925=EDGE_CURVE('',#169308,#169306,#95737,.T.); #210926=EDGE_CURVE('',#169309,#169307,#95738,.T.); #210927=EDGE_CURVE('',#169308,#169309,#95739,.T.); #210928=EDGE_CURVE('',#169310,#169308,#95740,.T.); #210929=EDGE_CURVE('',#169311,#169309,#95741,.T.); #210930=EDGE_CURVE('',#169310,#169311,#95742,.T.); #210931=EDGE_CURVE('',#169312,#169310,#95743,.T.); #210932=EDGE_CURVE('',#169313,#169311,#95744,.T.); #210933=EDGE_CURVE('',#169312,#169313,#95745,.T.); #210934=EDGE_CURVE('',#169314,#169312,#95746,.T.); #210935=EDGE_CURVE('',#169315,#169313,#95747,.T.); #210936=EDGE_CURVE('',#169314,#169315,#95748,.T.); #210937=EDGE_CURVE('',#169316,#169314,#95749,.T.); #210938=EDGE_CURVE('',#169317,#169315,#95750,.T.); #210939=EDGE_CURVE('',#169316,#169317,#95751,.T.); #210940=EDGE_CURVE('',#169318,#169316,#95752,.T.); #210941=EDGE_CURVE('',#169319,#169317,#95753,.T.); #210942=EDGE_CURVE('',#169318,#169319,#95754,.T.); #210943=EDGE_CURVE('',#169320,#169318,#95755,.T.); #210944=EDGE_CURVE('',#169321,#169319,#95756,.T.); #210945=EDGE_CURVE('',#169320,#169321,#95757,.T.); #210946=EDGE_CURVE('',#169322,#169320,#95758,.T.); #210947=EDGE_CURVE('',#169323,#169321,#95759,.T.); #210948=EDGE_CURVE('',#169322,#169323,#95760,.T.); #210949=EDGE_CURVE('',#169324,#169322,#95761,.T.); #210950=EDGE_CURVE('',#169325,#169323,#95762,.T.); #210951=EDGE_CURVE('',#169324,#169325,#95763,.T.); #210952=EDGE_CURVE('',#169326,#169324,#95764,.T.); #210953=EDGE_CURVE('',#169327,#169325,#95765,.T.); #210954=EDGE_CURVE('',#169326,#169327,#95766,.T.); #210955=EDGE_CURVE('',#169328,#169326,#95767,.T.); #210956=EDGE_CURVE('',#169329,#169327,#95768,.T.); #210957=EDGE_CURVE('',#169328,#169329,#95769,.T.); #210958=EDGE_CURVE('',#169330,#169328,#95770,.T.); #210959=EDGE_CURVE('',#169331,#169329,#95771,.T.); #210960=EDGE_CURVE('',#169330,#169331,#95772,.T.); #210961=EDGE_CURVE('',#169332,#169330,#95773,.T.); #210962=EDGE_CURVE('',#169333,#169331,#95774,.T.); #210963=EDGE_CURVE('',#169332,#169333,#95775,.T.); #210964=EDGE_CURVE('',#169334,#169332,#95776,.T.); #210965=EDGE_CURVE('',#169335,#169333,#95777,.T.); #210966=EDGE_CURVE('',#169334,#169335,#95778,.T.); #210967=EDGE_CURVE('',#169336,#169334,#95779,.T.); #210968=EDGE_CURVE('',#169337,#169335,#95780,.T.); #210969=EDGE_CURVE('',#169336,#169337,#95781,.T.); #210970=EDGE_CURVE('',#169338,#169336,#95782,.T.); #210971=EDGE_CURVE('',#169339,#169337,#95783,.T.); #210972=EDGE_CURVE('',#169338,#169339,#95784,.T.); #210973=EDGE_CURVE('',#169340,#169338,#95785,.T.); #210974=EDGE_CURVE('',#169341,#169339,#95786,.T.); #210975=EDGE_CURVE('',#169340,#169341,#95787,.T.); #210976=EDGE_CURVE('',#169342,#169340,#95788,.T.); #210977=EDGE_CURVE('',#169343,#169341,#95789,.T.); #210978=EDGE_CURVE('',#169342,#169343,#95790,.T.); #210979=EDGE_CURVE('',#169344,#169342,#95791,.T.); #210980=EDGE_CURVE('',#169345,#169343,#95792,.T.); #210981=EDGE_CURVE('',#169344,#169345,#95793,.T.); #210982=EDGE_CURVE('',#169346,#169344,#95794,.T.); #210983=EDGE_CURVE('',#169347,#169345,#95795,.T.); #210984=EDGE_CURVE('',#169346,#169347,#95796,.T.); #210985=EDGE_CURVE('',#169348,#169346,#95797,.T.); #210986=EDGE_CURVE('',#169349,#169347,#95798,.T.); #210987=EDGE_CURVE('',#169348,#169349,#95799,.T.); #210988=EDGE_CURVE('',#169350,#169348,#95800,.T.); #210989=EDGE_CURVE('',#169351,#169349,#95801,.T.); #210990=EDGE_CURVE('',#169350,#169351,#95802,.T.); #210991=EDGE_CURVE('',#169352,#169350,#95803,.T.); #210992=EDGE_CURVE('',#169353,#169351,#95804,.T.); #210993=EDGE_CURVE('',#169352,#169353,#95805,.T.); #210994=EDGE_CURVE('',#169354,#169352,#95806,.T.); #210995=EDGE_CURVE('',#169355,#169353,#95807,.T.); #210996=EDGE_CURVE('',#169354,#169355,#95808,.T.); #210997=EDGE_CURVE('',#169356,#169354,#95809,.T.); #210998=EDGE_CURVE('',#169357,#169355,#95810,.T.); #210999=EDGE_CURVE('',#169356,#169357,#95811,.T.); #211000=EDGE_CURVE('',#169358,#169356,#95812,.T.); #211001=EDGE_CURVE('',#169359,#169357,#95813,.T.); #211002=EDGE_CURVE('',#169358,#169359,#95814,.T.); #211003=EDGE_CURVE('',#169360,#169358,#95815,.T.); #211004=EDGE_CURVE('',#169361,#169359,#95816,.T.); #211005=EDGE_CURVE('',#169360,#169361,#95817,.T.); #211006=EDGE_CURVE('',#169362,#169360,#95818,.T.); #211007=EDGE_CURVE('',#169363,#169361,#95819,.T.); #211008=EDGE_CURVE('',#169362,#169363,#95820,.T.); #211009=EDGE_CURVE('',#169364,#169362,#95821,.T.); #211010=EDGE_CURVE('',#169365,#169363,#95822,.T.); #211011=EDGE_CURVE('',#169364,#169365,#95823,.T.); #211012=EDGE_CURVE('',#169366,#169364,#95824,.T.); #211013=EDGE_CURVE('',#169367,#169365,#95825,.T.); #211014=EDGE_CURVE('',#169366,#169367,#95826,.T.); #211015=EDGE_CURVE('',#169368,#169366,#95827,.T.); #211016=EDGE_CURVE('',#169369,#169367,#95828,.T.); #211017=EDGE_CURVE('',#169368,#169369,#95829,.T.); #211018=EDGE_CURVE('',#169370,#169368,#95830,.T.); #211019=EDGE_CURVE('',#169371,#169369,#95831,.T.); #211020=EDGE_CURVE('',#169370,#169371,#95832,.T.); #211021=EDGE_CURVE('',#169372,#169370,#95833,.T.); #211022=EDGE_CURVE('',#169373,#169371,#95834,.T.); #211023=EDGE_CURVE('',#169372,#169373,#95835,.T.); #211024=EDGE_CURVE('',#169374,#169372,#95836,.T.); #211025=EDGE_CURVE('',#169375,#169373,#95837,.T.); #211026=EDGE_CURVE('',#169374,#169375,#95838,.T.); #211027=EDGE_CURVE('',#169376,#169374,#95839,.T.); #211028=EDGE_CURVE('',#169377,#169375,#95840,.T.); #211029=EDGE_CURVE('',#169376,#169377,#95841,.T.); #211030=EDGE_CURVE('',#169378,#169376,#95842,.T.); #211031=EDGE_CURVE('',#169379,#169377,#95843,.T.); #211032=EDGE_CURVE('',#169378,#169379,#95844,.T.); #211033=EDGE_CURVE('',#169380,#169378,#95845,.T.); #211034=EDGE_CURVE('',#169381,#169379,#95846,.T.); #211035=EDGE_CURVE('',#169380,#169381,#95847,.T.); #211036=EDGE_CURVE('',#169382,#169380,#95848,.T.); #211037=EDGE_CURVE('',#169383,#169381,#95849,.T.); #211038=EDGE_CURVE('',#169382,#169383,#95850,.T.); #211039=EDGE_CURVE('',#169384,#169382,#95851,.T.); #211040=EDGE_CURVE('',#169385,#169383,#95852,.T.); #211041=EDGE_CURVE('',#169384,#169385,#95853,.T.); #211042=EDGE_CURVE('',#169386,#169384,#95854,.T.); #211043=EDGE_CURVE('',#169387,#169385,#95855,.T.); #211044=EDGE_CURVE('',#169386,#169387,#95856,.T.); #211045=EDGE_CURVE('',#169388,#169386,#95857,.T.); #211046=EDGE_CURVE('',#169389,#169387,#95858,.T.); #211047=EDGE_CURVE('',#169388,#169389,#95859,.T.); #211048=EDGE_CURVE('',#169390,#169388,#95860,.T.); #211049=EDGE_CURVE('',#169391,#169389,#95861,.T.); #211050=EDGE_CURVE('',#169390,#169391,#95862,.T.); #211051=EDGE_CURVE('',#169392,#169390,#95863,.T.); #211052=EDGE_CURVE('',#169393,#169391,#95864,.T.); #211053=EDGE_CURVE('',#169392,#169393,#95865,.T.); #211054=EDGE_CURVE('',#169394,#169392,#95866,.T.); #211055=EDGE_CURVE('',#169395,#169393,#95867,.T.); #211056=EDGE_CURVE('',#169394,#169395,#95868,.T.); #211057=EDGE_CURVE('',#169396,#169394,#95869,.T.); #211058=EDGE_CURVE('',#169397,#169395,#95870,.T.); #211059=EDGE_CURVE('',#169396,#169397,#95871,.T.); #211060=EDGE_CURVE('',#169398,#169396,#95872,.T.); #211061=EDGE_CURVE('',#169399,#169397,#95873,.T.); #211062=EDGE_CURVE('',#169398,#169399,#95874,.T.); #211063=EDGE_CURVE('',#169400,#169398,#95875,.T.); #211064=EDGE_CURVE('',#169401,#169399,#95876,.T.); #211065=EDGE_CURVE('',#169400,#169401,#95877,.T.); #211066=EDGE_CURVE('',#169402,#169400,#95878,.T.); #211067=EDGE_CURVE('',#169403,#169401,#95879,.T.); #211068=EDGE_CURVE('',#169402,#169403,#95880,.T.); #211069=EDGE_CURVE('',#169404,#169402,#95881,.T.); #211070=EDGE_CURVE('',#169405,#169403,#95882,.T.); #211071=EDGE_CURVE('',#169404,#169405,#95883,.T.); #211072=EDGE_CURVE('',#169406,#169404,#95884,.T.); #211073=EDGE_CURVE('',#169407,#169405,#95885,.T.); #211074=EDGE_CURVE('',#169406,#169407,#95886,.T.); #211075=EDGE_CURVE('',#169408,#169406,#95887,.T.); #211076=EDGE_CURVE('',#169409,#169407,#95888,.T.); #211077=EDGE_CURVE('',#169408,#169409,#95889,.T.); #211078=EDGE_CURVE('',#169410,#169408,#95890,.T.); #211079=EDGE_CURVE('',#169411,#169409,#95891,.T.); #211080=EDGE_CURVE('',#169410,#169411,#95892,.T.); #211081=EDGE_CURVE('',#169412,#169410,#95893,.T.); #211082=EDGE_CURVE('',#169413,#169411,#95894,.T.); #211083=EDGE_CURVE('',#169412,#169413,#95895,.T.); #211084=EDGE_CURVE('',#169414,#169412,#95896,.T.); #211085=EDGE_CURVE('',#169415,#169413,#95897,.T.); #211086=EDGE_CURVE('',#169414,#169415,#95898,.T.); #211087=EDGE_CURVE('',#169416,#169414,#95899,.T.); #211088=EDGE_CURVE('',#169417,#169415,#95900,.T.); #211089=EDGE_CURVE('',#169416,#169417,#95901,.T.); #211090=EDGE_CURVE('',#169418,#169416,#95902,.T.); #211091=EDGE_CURVE('',#169419,#169417,#95903,.T.); #211092=EDGE_CURVE('',#169418,#169419,#95904,.T.); #211093=EDGE_CURVE('',#169420,#169418,#95905,.T.); #211094=EDGE_CURVE('',#169421,#169419,#95906,.T.); #211095=EDGE_CURVE('',#169420,#169421,#95907,.T.); #211096=EDGE_CURVE('',#169422,#169420,#95908,.T.); #211097=EDGE_CURVE('',#169423,#169421,#95909,.T.); #211098=EDGE_CURVE('',#169422,#169423,#95910,.T.); #211099=EDGE_CURVE('',#169424,#169422,#95911,.T.); #211100=EDGE_CURVE('',#169425,#169423,#95912,.T.); #211101=EDGE_CURVE('',#169424,#169425,#95913,.T.); #211102=EDGE_CURVE('',#169426,#169424,#95914,.T.); #211103=EDGE_CURVE('',#169427,#169425,#95915,.T.); #211104=EDGE_CURVE('',#169426,#169427,#95916,.T.); #211105=EDGE_CURVE('',#169428,#169426,#95917,.T.); #211106=EDGE_CURVE('',#169429,#169427,#95918,.T.); #211107=EDGE_CURVE('',#169428,#169429,#95919,.T.); #211108=EDGE_CURVE('',#169430,#169428,#95920,.T.); #211109=EDGE_CURVE('',#169431,#169429,#95921,.T.); #211110=EDGE_CURVE('',#169430,#169431,#95922,.T.); #211111=EDGE_CURVE('',#169432,#169430,#95923,.T.); #211112=EDGE_CURVE('',#169433,#169431,#95924,.T.); #211113=EDGE_CURVE('',#169432,#169433,#95925,.T.); #211114=EDGE_CURVE('',#169434,#169432,#95926,.T.); #211115=EDGE_CURVE('',#169435,#169433,#95927,.T.); #211116=EDGE_CURVE('',#169434,#169435,#95928,.T.); #211117=EDGE_CURVE('',#169436,#169434,#95929,.T.); #211118=EDGE_CURVE('',#169437,#169435,#95930,.T.); #211119=EDGE_CURVE('',#169436,#169437,#95931,.T.); #211120=EDGE_CURVE('',#169438,#169436,#95932,.T.); #211121=EDGE_CURVE('',#169439,#169437,#95933,.T.); #211122=EDGE_CURVE('',#169438,#169439,#95934,.T.); #211123=EDGE_CURVE('',#169440,#169438,#95935,.T.); #211124=EDGE_CURVE('',#169441,#169439,#95936,.T.); #211125=EDGE_CURVE('',#169440,#169441,#95937,.T.); #211126=EDGE_CURVE('',#169442,#169440,#95938,.T.); #211127=EDGE_CURVE('',#169443,#169441,#95939,.T.); #211128=EDGE_CURVE('',#169442,#169443,#95940,.T.); #211129=EDGE_CURVE('',#169444,#169442,#95941,.T.); #211130=EDGE_CURVE('',#169445,#169443,#95942,.T.); #211131=EDGE_CURVE('',#169444,#169445,#95943,.T.); #211132=EDGE_CURVE('',#169446,#169444,#95944,.T.); #211133=EDGE_CURVE('',#169447,#169445,#95945,.T.); #211134=EDGE_CURVE('',#169446,#169447,#95946,.T.); #211135=EDGE_CURVE('',#169448,#169446,#95947,.T.); #211136=EDGE_CURVE('',#169449,#169447,#95948,.T.); #211137=EDGE_CURVE('',#169448,#169449,#95949,.T.); #211138=EDGE_CURVE('',#169450,#169448,#95950,.T.); #211139=EDGE_CURVE('',#169451,#169449,#95951,.T.); #211140=EDGE_CURVE('',#169450,#169451,#95952,.T.); #211141=EDGE_CURVE('',#169452,#169450,#95953,.T.); #211142=EDGE_CURVE('',#169453,#169451,#95954,.T.); #211143=EDGE_CURVE('',#169452,#169453,#95955,.T.); #211144=EDGE_CURVE('',#169454,#169452,#95956,.T.); #211145=EDGE_CURVE('',#169455,#169453,#95957,.T.); #211146=EDGE_CURVE('',#169454,#169455,#95958,.T.); #211147=EDGE_CURVE('',#169456,#169454,#95959,.T.); #211148=EDGE_CURVE('',#169457,#169455,#95960,.T.); #211149=EDGE_CURVE('',#169456,#169457,#95961,.T.); #211150=EDGE_CURVE('',#169458,#169456,#95962,.T.); #211151=EDGE_CURVE('',#169459,#169457,#95963,.T.); #211152=EDGE_CURVE('',#169458,#169459,#95964,.T.); #211153=EDGE_CURVE('',#169460,#169458,#95965,.T.); #211154=EDGE_CURVE('',#169461,#169459,#95966,.T.); #211155=EDGE_CURVE('',#169460,#169461,#95967,.T.); #211156=EDGE_CURVE('',#169462,#169460,#95968,.T.); #211157=EDGE_CURVE('',#169463,#169461,#95969,.T.); #211158=EDGE_CURVE('',#169462,#169463,#95970,.T.); #211159=EDGE_CURVE('',#169464,#169462,#95971,.T.); #211160=EDGE_CURVE('',#169465,#169463,#95972,.T.); #211161=EDGE_CURVE('',#169464,#169465,#95973,.T.); #211162=EDGE_CURVE('',#169466,#169464,#95974,.T.); #211163=EDGE_CURVE('',#169467,#169465,#95975,.T.); #211164=EDGE_CURVE('',#169466,#169467,#95976,.T.); #211165=EDGE_CURVE('',#169468,#169466,#95977,.T.); #211166=EDGE_CURVE('',#169469,#169467,#95978,.T.); #211167=EDGE_CURVE('',#169468,#169469,#95979,.T.); #211168=EDGE_CURVE('',#169470,#169468,#95980,.T.); #211169=EDGE_CURVE('',#169471,#169469,#95981,.T.); #211170=EDGE_CURVE('',#169470,#169471,#95982,.T.); #211171=EDGE_CURVE('',#169472,#169470,#95983,.T.); #211172=EDGE_CURVE('',#169473,#169471,#95984,.T.); #211173=EDGE_CURVE('',#169472,#169473,#95985,.T.); #211174=EDGE_CURVE('',#169474,#169472,#95986,.T.); #211175=EDGE_CURVE('',#169475,#169473,#95987,.T.); #211176=EDGE_CURVE('',#169474,#169475,#95988,.T.); #211177=EDGE_CURVE('',#169476,#169474,#95989,.T.); #211178=EDGE_CURVE('',#169477,#169475,#95990,.T.); #211179=EDGE_CURVE('',#169476,#169477,#95991,.T.); #211180=EDGE_CURVE('',#169478,#169476,#95992,.T.); #211181=EDGE_CURVE('',#169479,#169477,#95993,.T.); #211182=EDGE_CURVE('',#169478,#169479,#95994,.T.); #211183=EDGE_CURVE('',#169480,#169478,#95995,.T.); #211184=EDGE_CURVE('',#169481,#169479,#95996,.T.); #211185=EDGE_CURVE('',#169480,#169481,#95997,.T.); #211186=EDGE_CURVE('',#169482,#169480,#95998,.T.); #211187=EDGE_CURVE('',#169483,#169481,#95999,.T.); #211188=EDGE_CURVE('',#169482,#169483,#96000,.T.); #211189=EDGE_CURVE('',#169484,#169482,#96001,.T.); #211190=EDGE_CURVE('',#169485,#169483,#96002,.T.); #211191=EDGE_CURVE('',#169484,#169485,#96003,.T.); #211192=EDGE_CURVE('',#169486,#169484,#96004,.T.); #211193=EDGE_CURVE('',#169487,#169485,#96005,.T.); #211194=EDGE_CURVE('',#169486,#169487,#96006,.T.); #211195=EDGE_CURVE('',#169488,#169486,#96007,.T.); #211196=EDGE_CURVE('',#169489,#169487,#96008,.T.); #211197=EDGE_CURVE('',#169488,#169489,#96009,.T.); #211198=EDGE_CURVE('',#169490,#169488,#96010,.T.); #211199=EDGE_CURVE('',#169491,#169489,#96011,.T.); #211200=EDGE_CURVE('',#169490,#169491,#96012,.T.); #211201=EDGE_CURVE('',#169492,#169490,#96013,.T.); #211202=EDGE_CURVE('',#169493,#169491,#96014,.T.); #211203=EDGE_CURVE('',#169492,#169493,#96015,.T.); #211204=EDGE_CURVE('',#169494,#169492,#96016,.T.); #211205=EDGE_CURVE('',#169495,#169493,#96017,.T.); #211206=EDGE_CURVE('',#169494,#169495,#96018,.T.); #211207=EDGE_CURVE('',#169496,#169494,#96019,.T.); #211208=EDGE_CURVE('',#169497,#169495,#96020,.T.); #211209=EDGE_CURVE('',#169496,#169497,#96021,.T.); #211210=EDGE_CURVE('',#169498,#169496,#96022,.T.); #211211=EDGE_CURVE('',#169499,#169497,#96023,.T.); #211212=EDGE_CURVE('',#169498,#169499,#96024,.T.); #211213=EDGE_CURVE('',#169500,#169498,#96025,.T.); #211214=EDGE_CURVE('',#169501,#169499,#96026,.T.); #211215=EDGE_CURVE('',#169500,#169501,#96027,.T.); #211216=EDGE_CURVE('',#169502,#169500,#96028,.T.); #211217=EDGE_CURVE('',#169503,#169501,#96029,.T.); #211218=EDGE_CURVE('',#169502,#169503,#96030,.T.); #211219=EDGE_CURVE('',#169504,#169502,#96031,.T.); #211220=EDGE_CURVE('',#169505,#169503,#96032,.T.); #211221=EDGE_CURVE('',#169504,#169505,#96033,.T.); #211222=EDGE_CURVE('',#169506,#169504,#96034,.T.); #211223=EDGE_CURVE('',#169507,#169505,#96035,.T.); #211224=EDGE_CURVE('',#169506,#169507,#96036,.T.); #211225=EDGE_CURVE('',#169508,#169506,#96037,.T.); #211226=EDGE_CURVE('',#169509,#169507,#96038,.T.); #211227=EDGE_CURVE('',#169508,#169509,#96039,.T.); #211228=EDGE_CURVE('',#169510,#169508,#96040,.T.); #211229=EDGE_CURVE('',#169511,#169509,#96041,.T.); #211230=EDGE_CURVE('',#169510,#169511,#96042,.T.); #211231=EDGE_CURVE('',#169512,#169510,#96043,.T.); #211232=EDGE_CURVE('',#169513,#169511,#96044,.T.); #211233=EDGE_CURVE('',#169512,#169513,#96045,.T.); #211234=EDGE_CURVE('',#169514,#169512,#96046,.T.); #211235=EDGE_CURVE('',#169515,#169513,#96047,.T.); #211236=EDGE_CURVE('',#169514,#169515,#96048,.T.); #211237=EDGE_CURVE('',#169516,#169514,#96049,.T.); #211238=EDGE_CURVE('',#169517,#169515,#96050,.T.); #211239=EDGE_CURVE('',#169516,#169517,#96051,.T.); #211240=EDGE_CURVE('',#169518,#169516,#96052,.T.); #211241=EDGE_CURVE('',#169519,#169517,#96053,.T.); #211242=EDGE_CURVE('',#169518,#169519,#96054,.T.); #211243=EDGE_CURVE('',#169520,#169518,#96055,.T.); #211244=EDGE_CURVE('',#169521,#169519,#96056,.T.); #211245=EDGE_CURVE('',#169520,#169521,#96057,.T.); #211246=EDGE_CURVE('',#169522,#169520,#96058,.T.); #211247=EDGE_CURVE('',#169523,#169521,#96059,.T.); #211248=EDGE_CURVE('',#169522,#169523,#96060,.T.); #211249=EDGE_CURVE('',#169524,#169522,#96061,.T.); #211250=EDGE_CURVE('',#169525,#169523,#96062,.T.); #211251=EDGE_CURVE('',#169524,#169525,#96063,.T.); #211252=EDGE_CURVE('',#169526,#169524,#96064,.T.); #211253=EDGE_CURVE('',#169527,#169525,#96065,.T.); #211254=EDGE_CURVE('',#169526,#169527,#96066,.T.); #211255=EDGE_CURVE('',#169528,#169526,#96067,.T.); #211256=EDGE_CURVE('',#169529,#169527,#96068,.T.); #211257=EDGE_CURVE('',#169528,#169529,#96069,.T.); #211258=EDGE_CURVE('',#169530,#169528,#96070,.T.); #211259=EDGE_CURVE('',#169531,#169529,#96071,.T.); #211260=EDGE_CURVE('',#169530,#169531,#96072,.T.); #211261=EDGE_CURVE('',#169532,#169530,#96073,.T.); #211262=EDGE_CURVE('',#169533,#169531,#96074,.T.); #211263=EDGE_CURVE('',#169532,#169533,#96075,.T.); #211264=EDGE_CURVE('',#169534,#169532,#96076,.T.); #211265=EDGE_CURVE('',#169535,#169533,#96077,.T.); #211266=EDGE_CURVE('',#169534,#169535,#96078,.T.); #211267=EDGE_CURVE('',#169536,#169534,#96079,.T.); #211268=EDGE_CURVE('',#169537,#169535,#96080,.T.); #211269=EDGE_CURVE('',#169536,#169537,#96081,.T.); #211270=EDGE_CURVE('',#169538,#169536,#96082,.T.); #211271=EDGE_CURVE('',#169539,#169537,#96083,.T.); #211272=EDGE_CURVE('',#169538,#169539,#96084,.T.); #211273=EDGE_CURVE('',#169540,#169538,#96085,.T.); #211274=EDGE_CURVE('',#169541,#169539,#96086,.T.); #211275=EDGE_CURVE('',#169540,#169541,#96087,.T.); #211276=EDGE_CURVE('',#169542,#169540,#96088,.T.); #211277=EDGE_CURVE('',#169543,#169541,#96089,.T.); #211278=EDGE_CURVE('',#169542,#169543,#96090,.T.); #211279=EDGE_CURVE('',#169544,#169542,#96091,.T.); #211280=EDGE_CURVE('',#169545,#169543,#96092,.T.); #211281=EDGE_CURVE('',#169544,#169545,#96093,.T.); #211282=EDGE_CURVE('',#169546,#169544,#96094,.T.); #211283=EDGE_CURVE('',#169547,#169545,#96095,.T.); #211284=EDGE_CURVE('',#169546,#169547,#96096,.T.); #211285=EDGE_CURVE('',#169548,#169546,#96097,.T.); #211286=EDGE_CURVE('',#169549,#169547,#96098,.T.); #211287=EDGE_CURVE('',#169548,#169549,#96099,.T.); #211288=EDGE_CURVE('',#169550,#169548,#96100,.T.); #211289=EDGE_CURVE('',#169551,#169549,#96101,.T.); #211290=EDGE_CURVE('',#169550,#169551,#96102,.T.); #211291=EDGE_CURVE('',#169552,#169550,#96103,.T.); #211292=EDGE_CURVE('',#169553,#169551,#96104,.T.); #211293=EDGE_CURVE('',#169552,#169553,#96105,.T.); #211294=EDGE_CURVE('',#169554,#169552,#96106,.T.); #211295=EDGE_CURVE('',#169555,#169553,#96107,.T.); #211296=EDGE_CURVE('',#169554,#169555,#96108,.T.); #211297=EDGE_CURVE('',#169556,#169554,#96109,.T.); #211298=EDGE_CURVE('',#169557,#169555,#96110,.T.); #211299=EDGE_CURVE('',#169556,#169557,#96111,.T.); #211300=EDGE_CURVE('',#169558,#169556,#96112,.T.); #211301=EDGE_CURVE('',#169559,#169557,#96113,.T.); #211302=EDGE_CURVE('',#169558,#169559,#96114,.T.); #211303=EDGE_CURVE('',#169560,#169558,#96115,.T.); #211304=EDGE_CURVE('',#169561,#169559,#96116,.T.); #211305=EDGE_CURVE('',#169560,#169561,#96117,.T.); #211306=EDGE_CURVE('',#169562,#169560,#96118,.T.); #211307=EDGE_CURVE('',#169563,#169561,#96119,.T.); #211308=EDGE_CURVE('',#169562,#169563,#96120,.T.); #211309=EDGE_CURVE('',#169564,#169562,#96121,.T.); #211310=EDGE_CURVE('',#169565,#169563,#96122,.T.); #211311=EDGE_CURVE('',#169564,#169565,#96123,.T.); #211312=EDGE_CURVE('',#169566,#169564,#96124,.T.); #211313=EDGE_CURVE('',#169567,#169565,#96125,.T.); #211314=EDGE_CURVE('',#169566,#169567,#96126,.T.); #211315=EDGE_CURVE('',#169568,#169566,#96127,.T.); #211316=EDGE_CURVE('',#169569,#169567,#96128,.T.); #211317=EDGE_CURVE('',#169568,#169569,#96129,.T.); #211318=EDGE_CURVE('',#169570,#169568,#96130,.T.); #211319=EDGE_CURVE('',#169571,#169569,#96131,.T.); #211320=EDGE_CURVE('',#169570,#169571,#96132,.T.); #211321=EDGE_CURVE('',#169572,#169570,#96133,.T.); #211322=EDGE_CURVE('',#169573,#169571,#96134,.T.); #211323=EDGE_CURVE('',#169572,#169573,#96135,.T.); #211324=EDGE_CURVE('',#169574,#169572,#96136,.T.); #211325=EDGE_CURVE('',#169575,#169573,#96137,.T.); #211326=EDGE_CURVE('',#169574,#169575,#96138,.T.); #211327=EDGE_CURVE('',#169576,#169574,#96139,.T.); #211328=EDGE_CURVE('',#169577,#169575,#96140,.T.); #211329=EDGE_CURVE('',#169576,#169577,#96141,.T.); #211330=EDGE_CURVE('',#169578,#169576,#96142,.T.); #211331=EDGE_CURVE('',#169579,#169577,#96143,.T.); #211332=EDGE_CURVE('',#169578,#169579,#96144,.T.); #211333=EDGE_CURVE('',#169580,#169578,#96145,.T.); #211334=EDGE_CURVE('',#169581,#169579,#96146,.T.); #211335=EDGE_CURVE('',#169580,#169581,#96147,.T.); #211336=EDGE_CURVE('',#169582,#169580,#96148,.T.); #211337=EDGE_CURVE('',#169583,#169581,#96149,.T.); #211338=EDGE_CURVE('',#169582,#169583,#96150,.T.); #211339=EDGE_CURVE('',#169584,#169582,#96151,.T.); #211340=EDGE_CURVE('',#169585,#169583,#96152,.T.); #211341=EDGE_CURVE('',#169584,#169585,#96153,.T.); #211342=EDGE_CURVE('',#169586,#169584,#96154,.T.); #211343=EDGE_CURVE('',#169587,#169585,#96155,.T.); #211344=EDGE_CURVE('',#169586,#169587,#96156,.T.); #211345=EDGE_CURVE('',#169588,#169586,#96157,.T.); #211346=EDGE_CURVE('',#169589,#169587,#96158,.T.); #211347=EDGE_CURVE('',#169588,#169589,#96159,.T.); #211348=EDGE_CURVE('',#169590,#169588,#96160,.T.); #211349=EDGE_CURVE('',#169591,#169589,#96161,.T.); #211350=EDGE_CURVE('',#169590,#169591,#96162,.T.); #211351=EDGE_CURVE('',#169592,#169590,#96163,.T.); #211352=EDGE_CURVE('',#169593,#169591,#96164,.T.); #211353=EDGE_CURVE('',#169592,#169593,#96165,.T.); #211354=EDGE_CURVE('',#169594,#169592,#96166,.T.); #211355=EDGE_CURVE('',#169595,#169593,#96167,.T.); #211356=EDGE_CURVE('',#169594,#169595,#96168,.T.); #211357=EDGE_CURVE('',#169596,#169594,#96169,.T.); #211358=EDGE_CURVE('',#169597,#169595,#96170,.T.); #211359=EDGE_CURVE('',#169596,#169597,#96171,.T.); #211360=EDGE_CURVE('',#169598,#169596,#96172,.T.); #211361=EDGE_CURVE('',#169599,#169597,#96173,.T.); #211362=EDGE_CURVE('',#169598,#169599,#96174,.T.); #211363=EDGE_CURVE('',#169600,#169598,#96175,.T.); #211364=EDGE_CURVE('',#169601,#169599,#96176,.T.); #211365=EDGE_CURVE('',#169600,#169601,#96177,.T.); #211366=EDGE_CURVE('',#169602,#169600,#96178,.T.); #211367=EDGE_CURVE('',#169603,#169601,#96179,.T.); #211368=EDGE_CURVE('',#169602,#169603,#96180,.T.); #211369=EDGE_CURVE('',#169604,#169602,#96181,.T.); #211370=EDGE_CURVE('',#169605,#169603,#96182,.T.); #211371=EDGE_CURVE('',#169604,#169605,#96183,.T.); #211372=EDGE_CURVE('',#169606,#169604,#96184,.T.); #211373=EDGE_CURVE('',#169607,#169605,#96185,.T.); #211374=EDGE_CURVE('',#169606,#169607,#96186,.T.); #211375=EDGE_CURVE('',#169608,#169606,#96187,.T.); #211376=EDGE_CURVE('',#169609,#169607,#96188,.T.); #211377=EDGE_CURVE('',#169608,#169609,#96189,.T.); #211378=EDGE_CURVE('',#169610,#169608,#96190,.T.); #211379=EDGE_CURVE('',#169611,#169609,#96191,.T.); #211380=EDGE_CURVE('',#169610,#169611,#96192,.T.); #211381=EDGE_CURVE('',#169612,#169610,#96193,.T.); #211382=EDGE_CURVE('',#169613,#169611,#96194,.T.); #211383=EDGE_CURVE('',#169612,#169613,#96195,.T.); #211384=EDGE_CURVE('',#169614,#169612,#96196,.T.); #211385=EDGE_CURVE('',#169615,#169613,#96197,.T.); #211386=EDGE_CURVE('',#169614,#169615,#96198,.T.); #211387=EDGE_CURVE('',#169616,#169614,#96199,.T.); #211388=EDGE_CURVE('',#169617,#169615,#96200,.T.); #211389=EDGE_CURVE('',#169616,#169617,#96201,.T.); #211390=EDGE_CURVE('',#169618,#169616,#96202,.T.); #211391=EDGE_CURVE('',#169619,#169617,#96203,.T.); #211392=EDGE_CURVE('',#169618,#169619,#96204,.T.); #211393=EDGE_CURVE('',#169620,#169618,#96205,.T.); #211394=EDGE_CURVE('',#169621,#169619,#96206,.T.); #211395=EDGE_CURVE('',#169620,#169621,#96207,.T.); #211396=EDGE_CURVE('',#169622,#169620,#96208,.T.); #211397=EDGE_CURVE('',#169623,#169621,#96209,.T.); #211398=EDGE_CURVE('',#169622,#169623,#96210,.T.); #211399=EDGE_CURVE('',#169624,#169622,#96211,.T.); #211400=EDGE_CURVE('',#169625,#169623,#96212,.T.); #211401=EDGE_CURVE('',#169624,#169625,#96213,.T.); #211402=EDGE_CURVE('',#169626,#169624,#96214,.T.); #211403=EDGE_CURVE('',#169627,#169625,#96215,.T.); #211404=EDGE_CURVE('',#169626,#169627,#96216,.T.); #211405=EDGE_CURVE('',#169628,#169626,#96217,.T.); #211406=EDGE_CURVE('',#169629,#169627,#96218,.T.); #211407=EDGE_CURVE('',#169628,#169629,#96219,.T.); #211408=EDGE_CURVE('',#169630,#169628,#96220,.T.); #211409=EDGE_CURVE('',#169631,#169629,#96221,.T.); #211410=EDGE_CURVE('',#169630,#169631,#96222,.T.); #211411=EDGE_CURVE('',#169632,#169630,#96223,.T.); #211412=EDGE_CURVE('',#169633,#169631,#96224,.T.); #211413=EDGE_CURVE('',#169632,#169633,#96225,.T.); #211414=EDGE_CURVE('',#169634,#169632,#96226,.T.); #211415=EDGE_CURVE('',#169635,#169633,#96227,.T.); #211416=EDGE_CURVE('',#169634,#169635,#96228,.T.); #211417=EDGE_CURVE('',#169636,#169634,#96229,.T.); #211418=EDGE_CURVE('',#169637,#169635,#96230,.T.); #211419=EDGE_CURVE('',#169636,#169637,#96231,.T.); #211420=EDGE_CURVE('',#169638,#169636,#96232,.T.); #211421=EDGE_CURVE('',#169639,#169637,#96233,.T.); #211422=EDGE_CURVE('',#169638,#169639,#96234,.T.); #211423=EDGE_CURVE('',#169640,#169638,#96235,.T.); #211424=EDGE_CURVE('',#169641,#169639,#96236,.T.); #211425=EDGE_CURVE('',#169640,#169641,#96237,.T.); #211426=EDGE_CURVE('',#169642,#169640,#96238,.T.); #211427=EDGE_CURVE('',#169643,#169641,#96239,.T.); #211428=EDGE_CURVE('',#169642,#169643,#96240,.T.); #211429=EDGE_CURVE('',#169644,#169642,#96241,.T.); #211430=EDGE_CURVE('',#169645,#169643,#96242,.T.); #211431=EDGE_CURVE('',#169644,#169645,#96243,.T.); #211432=EDGE_CURVE('',#169646,#169644,#96244,.T.); #211433=EDGE_CURVE('',#169647,#169645,#96245,.T.); #211434=EDGE_CURVE('',#169646,#169647,#96246,.T.); #211435=EDGE_CURVE('',#169648,#169646,#96247,.T.); #211436=EDGE_CURVE('',#169649,#169647,#96248,.T.); #211437=EDGE_CURVE('',#169648,#169649,#96249,.T.); #211438=EDGE_CURVE('',#169650,#169648,#96250,.T.); #211439=EDGE_CURVE('',#169651,#169649,#96251,.T.); #211440=EDGE_CURVE('',#169650,#169651,#96252,.T.); #211441=EDGE_CURVE('',#169652,#169650,#96253,.T.); #211442=EDGE_CURVE('',#169653,#169651,#96254,.T.); #211443=EDGE_CURVE('',#169652,#169653,#96255,.T.); #211444=EDGE_CURVE('',#169654,#169652,#96256,.T.); #211445=EDGE_CURVE('',#169655,#169653,#96257,.T.); #211446=EDGE_CURVE('',#169654,#169655,#96258,.T.); #211447=EDGE_CURVE('',#169656,#169654,#96259,.T.); #211448=EDGE_CURVE('',#169657,#169655,#96260,.T.); #211449=EDGE_CURVE('',#169656,#169657,#96261,.T.); #211450=EDGE_CURVE('',#169658,#169656,#96262,.T.); #211451=EDGE_CURVE('',#169659,#169657,#96263,.T.); #211452=EDGE_CURVE('',#169658,#169659,#96264,.T.); #211453=EDGE_CURVE('',#169660,#169658,#96265,.T.); #211454=EDGE_CURVE('',#169661,#169659,#96266,.T.); #211455=EDGE_CURVE('',#169660,#169661,#96267,.T.); #211456=EDGE_CURVE('',#169662,#169660,#96268,.T.); #211457=EDGE_CURVE('',#169663,#169661,#96269,.T.); #211458=EDGE_CURVE('',#169662,#169663,#96270,.T.); #211459=EDGE_CURVE('',#169664,#169662,#96271,.T.); #211460=EDGE_CURVE('',#169665,#169663,#96272,.T.); #211461=EDGE_CURVE('',#169664,#169665,#96273,.T.); #211462=EDGE_CURVE('',#169666,#169664,#96274,.T.); #211463=EDGE_CURVE('',#169667,#169665,#96275,.T.); #211464=EDGE_CURVE('',#169666,#169667,#96276,.T.); #211465=EDGE_CURVE('',#169668,#169666,#96277,.T.); #211466=EDGE_CURVE('',#169669,#169667,#96278,.T.); #211467=EDGE_CURVE('',#169668,#169669,#96279,.T.); #211468=EDGE_CURVE('',#169670,#169668,#96280,.T.); #211469=EDGE_CURVE('',#169671,#169669,#96281,.T.); #211470=EDGE_CURVE('',#169670,#169671,#96282,.T.); #211471=EDGE_CURVE('',#169672,#169670,#96283,.T.); #211472=EDGE_CURVE('',#169673,#169671,#96284,.T.); #211473=EDGE_CURVE('',#169672,#169673,#96285,.T.); #211474=EDGE_CURVE('',#169674,#169672,#96286,.T.); #211475=EDGE_CURVE('',#169675,#169673,#96287,.T.); #211476=EDGE_CURVE('',#169674,#169675,#96288,.T.); #211477=EDGE_CURVE('',#169676,#169674,#96289,.T.); #211478=EDGE_CURVE('',#169677,#169675,#96290,.T.); #211479=EDGE_CURVE('',#169676,#169677,#96291,.T.); #211480=EDGE_CURVE('',#169678,#169676,#96292,.T.); #211481=EDGE_CURVE('',#169679,#169677,#96293,.T.); #211482=EDGE_CURVE('',#169678,#169679,#96294,.T.); #211483=EDGE_CURVE('',#169680,#169678,#96295,.T.); #211484=EDGE_CURVE('',#169681,#169679,#96296,.T.); #211485=EDGE_CURVE('',#169680,#169681,#96297,.T.); #211486=EDGE_CURVE('',#169682,#169680,#96298,.T.); #211487=EDGE_CURVE('',#169683,#169681,#96299,.T.); #211488=EDGE_CURVE('',#169682,#169683,#96300,.T.); #211489=EDGE_CURVE('',#169684,#169682,#96301,.T.); #211490=EDGE_CURVE('',#169685,#169683,#96302,.T.); #211491=EDGE_CURVE('',#169684,#169685,#96303,.T.); #211492=EDGE_CURVE('',#169686,#169684,#96304,.T.); #211493=EDGE_CURVE('',#169687,#169685,#96305,.T.); #211494=EDGE_CURVE('',#169686,#169687,#96306,.T.); #211495=EDGE_CURVE('',#169688,#169686,#96307,.T.); #211496=EDGE_CURVE('',#169689,#169687,#96308,.T.); #211497=EDGE_CURVE('',#169688,#169689,#96309,.T.); #211498=EDGE_CURVE('',#169690,#169688,#96310,.T.); #211499=EDGE_CURVE('',#169691,#169689,#96311,.T.); #211500=EDGE_CURVE('',#169690,#169691,#96312,.T.); #211501=EDGE_CURVE('',#169692,#169690,#96313,.T.); #211502=EDGE_CURVE('',#169693,#169691,#96314,.T.); #211503=EDGE_CURVE('',#169692,#169693,#96315,.T.); #211504=EDGE_CURVE('',#169694,#169692,#96316,.T.); #211505=EDGE_CURVE('',#169695,#169693,#96317,.T.); #211506=EDGE_CURVE('',#169694,#169695,#96318,.T.); #211507=EDGE_CURVE('',#169696,#169694,#96319,.T.); #211508=EDGE_CURVE('',#169697,#169695,#96320,.T.); #211509=EDGE_CURVE('',#169696,#169697,#96321,.T.); #211510=EDGE_CURVE('',#169698,#169696,#96322,.T.); #211511=EDGE_CURVE('',#169699,#169697,#96323,.T.); #211512=EDGE_CURVE('',#169698,#169699,#96324,.T.); #211513=EDGE_CURVE('',#169700,#169698,#96325,.T.); #211514=EDGE_CURVE('',#169701,#169699,#96326,.T.); #211515=EDGE_CURVE('',#169700,#169701,#96327,.T.); #211516=EDGE_CURVE('',#169702,#169700,#96328,.T.); #211517=EDGE_CURVE('',#169703,#169701,#96329,.T.); #211518=EDGE_CURVE('',#169702,#169703,#96330,.T.); #211519=EDGE_CURVE('',#169704,#169702,#96331,.T.); #211520=EDGE_CURVE('',#169705,#169703,#96332,.T.); #211521=EDGE_CURVE('',#169704,#169705,#96333,.T.); #211522=EDGE_CURVE('',#169706,#169704,#96334,.T.); #211523=EDGE_CURVE('',#169707,#169705,#96335,.T.); #211524=EDGE_CURVE('',#169706,#169707,#96336,.T.); #211525=EDGE_CURVE('',#169708,#169706,#96337,.T.); #211526=EDGE_CURVE('',#169709,#169707,#96338,.T.); #211527=EDGE_CURVE('',#169708,#169709,#96339,.T.); #211528=EDGE_CURVE('',#169710,#169708,#96340,.T.); #211529=EDGE_CURVE('',#169711,#169709,#96341,.T.); #211530=EDGE_CURVE('',#169710,#169711,#96342,.T.); #211531=EDGE_CURVE('',#169712,#169710,#96343,.T.); #211532=EDGE_CURVE('',#169713,#169711,#96344,.T.); #211533=EDGE_CURVE('',#169712,#169713,#96345,.T.); #211534=EDGE_CURVE('',#169714,#169712,#96346,.T.); #211535=EDGE_CURVE('',#169715,#169713,#96347,.T.); #211536=EDGE_CURVE('',#169714,#169715,#96348,.T.); #211537=EDGE_CURVE('',#169716,#169714,#96349,.T.); #211538=EDGE_CURVE('',#169717,#169715,#96350,.T.); #211539=EDGE_CURVE('',#169716,#169717,#96351,.T.); #211540=EDGE_CURVE('',#169718,#169716,#96352,.T.); #211541=EDGE_CURVE('',#169719,#169717,#96353,.T.); #211542=EDGE_CURVE('',#169718,#169719,#96354,.T.); #211543=EDGE_CURVE('',#169720,#169718,#96355,.T.); #211544=EDGE_CURVE('',#169721,#169719,#96356,.T.); #211545=EDGE_CURVE('',#169720,#169721,#96357,.T.); #211546=EDGE_CURVE('',#169722,#169720,#96358,.T.); #211547=EDGE_CURVE('',#169723,#169721,#96359,.T.); #211548=EDGE_CURVE('',#169722,#169723,#96360,.T.); #211549=EDGE_CURVE('',#169724,#169722,#96361,.T.); #211550=EDGE_CURVE('',#169725,#169723,#96362,.T.); #211551=EDGE_CURVE('',#169724,#169725,#96363,.T.); #211552=EDGE_CURVE('',#169726,#169724,#96364,.T.); #211553=EDGE_CURVE('',#169727,#169725,#96365,.T.); #211554=EDGE_CURVE('',#169726,#169727,#96366,.T.); #211555=EDGE_CURVE('',#169728,#169726,#96367,.T.); #211556=EDGE_CURVE('',#169729,#169727,#96368,.T.); #211557=EDGE_CURVE('',#169728,#169729,#96369,.T.); #211558=EDGE_CURVE('',#169730,#169728,#96370,.T.); #211559=EDGE_CURVE('',#169731,#169729,#96371,.T.); #211560=EDGE_CURVE('',#169730,#169731,#96372,.T.); #211561=EDGE_CURVE('',#169732,#169730,#96373,.T.); #211562=EDGE_CURVE('',#169733,#169731,#96374,.T.); #211563=EDGE_CURVE('',#169732,#169733,#96375,.T.); #211564=EDGE_CURVE('',#169734,#169732,#96376,.T.); #211565=EDGE_CURVE('',#169735,#169733,#96377,.T.); #211566=EDGE_CURVE('',#169734,#169735,#96378,.T.); #211567=EDGE_CURVE('',#169736,#169734,#96379,.T.); #211568=EDGE_CURVE('',#169737,#169735,#96380,.T.); #211569=EDGE_CURVE('',#169736,#169737,#96381,.T.); #211570=EDGE_CURVE('',#169738,#169736,#96382,.T.); #211571=EDGE_CURVE('',#169739,#169737,#96383,.T.); #211572=EDGE_CURVE('',#169738,#169739,#96384,.T.); #211573=EDGE_CURVE('',#169740,#169738,#96385,.T.); #211574=EDGE_CURVE('',#169741,#169739,#96386,.T.); #211575=EDGE_CURVE('',#169740,#169741,#96387,.T.); #211576=EDGE_CURVE('',#169742,#169740,#96388,.T.); #211577=EDGE_CURVE('',#169743,#169741,#96389,.T.); #211578=EDGE_CURVE('',#169742,#169743,#96390,.T.); #211579=EDGE_CURVE('',#169744,#169742,#96391,.T.); #211580=EDGE_CURVE('',#169745,#169743,#96392,.T.); #211581=EDGE_CURVE('',#169744,#169745,#96393,.T.); #211582=EDGE_CURVE('',#169746,#169744,#96394,.T.); #211583=EDGE_CURVE('',#169747,#169745,#96395,.T.); #211584=EDGE_CURVE('',#169746,#169747,#96396,.T.); #211585=EDGE_CURVE('',#169748,#169746,#96397,.T.); #211586=EDGE_CURVE('',#169749,#169747,#96398,.T.); #211587=EDGE_CURVE('',#169748,#169749,#96399,.T.); #211588=EDGE_CURVE('',#169750,#169748,#96400,.T.); #211589=EDGE_CURVE('',#169751,#169749,#96401,.T.); #211590=EDGE_CURVE('',#169750,#169751,#96402,.T.); #211591=EDGE_CURVE('',#169752,#169750,#96403,.T.); #211592=EDGE_CURVE('',#169753,#169751,#96404,.T.); #211593=EDGE_CURVE('',#169752,#169753,#96405,.T.); #211594=EDGE_CURVE('',#169754,#169752,#96406,.T.); #211595=EDGE_CURVE('',#169755,#169753,#96407,.T.); #211596=EDGE_CURVE('',#169754,#169755,#96408,.T.); #211597=EDGE_CURVE('',#169756,#169754,#96409,.T.); #211598=EDGE_CURVE('',#169757,#169755,#96410,.T.); #211599=EDGE_CURVE('',#169756,#169757,#96411,.T.); #211600=EDGE_CURVE('',#169758,#169756,#96412,.T.); #211601=EDGE_CURVE('',#169759,#169757,#96413,.T.); #211602=EDGE_CURVE('',#169758,#169759,#96414,.T.); #211603=EDGE_CURVE('',#169760,#169758,#96415,.T.); #211604=EDGE_CURVE('',#169761,#169759,#96416,.T.); #211605=EDGE_CURVE('',#169760,#169761,#96417,.T.); #211606=EDGE_CURVE('',#169762,#169760,#96418,.T.); #211607=EDGE_CURVE('',#169763,#169761,#96419,.T.); #211608=EDGE_CURVE('',#169762,#169763,#96420,.T.); #211609=EDGE_CURVE('',#169764,#169762,#96421,.T.); #211610=EDGE_CURVE('',#169765,#169763,#96422,.T.); #211611=EDGE_CURVE('',#169764,#169765,#96423,.T.); #211612=EDGE_CURVE('',#169766,#169764,#96424,.T.); #211613=EDGE_CURVE('',#169767,#169765,#96425,.T.); #211614=EDGE_CURVE('',#169766,#169767,#96426,.T.); #211615=EDGE_CURVE('',#169768,#169766,#96427,.T.); #211616=EDGE_CURVE('',#169769,#169767,#96428,.T.); #211617=EDGE_CURVE('',#169768,#169769,#96429,.T.); #211618=EDGE_CURVE('',#169770,#169768,#96430,.T.); #211619=EDGE_CURVE('',#169771,#169769,#96431,.T.); #211620=EDGE_CURVE('',#169770,#169771,#96432,.T.); #211621=EDGE_CURVE('',#169772,#169770,#96433,.T.); #211622=EDGE_CURVE('',#169773,#169771,#96434,.T.); #211623=EDGE_CURVE('',#169772,#169773,#96435,.T.); #211624=EDGE_CURVE('',#169774,#169772,#96436,.T.); #211625=EDGE_CURVE('',#169775,#169773,#96437,.T.); #211626=EDGE_CURVE('',#169774,#169775,#96438,.T.); #211627=EDGE_CURVE('',#169776,#169774,#96439,.T.); #211628=EDGE_CURVE('',#169777,#169775,#96440,.T.); #211629=EDGE_CURVE('',#169776,#169777,#96441,.T.); #211630=EDGE_CURVE('',#169778,#169776,#96442,.T.); #211631=EDGE_CURVE('',#169779,#169777,#96443,.T.); #211632=EDGE_CURVE('',#169778,#169779,#96444,.T.); #211633=EDGE_CURVE('',#169780,#169778,#96445,.T.); #211634=EDGE_CURVE('',#169781,#169779,#96446,.T.); #211635=EDGE_CURVE('',#169780,#169781,#96447,.T.); #211636=EDGE_CURVE('',#169782,#169780,#96448,.T.); #211637=EDGE_CURVE('',#169783,#169781,#96449,.T.); #211638=EDGE_CURVE('',#169782,#169783,#96450,.T.); #211639=EDGE_CURVE('',#169784,#169782,#96451,.T.); #211640=EDGE_CURVE('',#169785,#169783,#96452,.T.); #211641=EDGE_CURVE('',#169784,#169785,#96453,.T.); #211642=EDGE_CURVE('',#169786,#169784,#96454,.T.); #211643=EDGE_CURVE('',#169787,#169785,#96455,.T.); #211644=EDGE_CURVE('',#169786,#169787,#96456,.T.); #211645=EDGE_CURVE('',#169788,#169786,#96457,.T.); #211646=EDGE_CURVE('',#169789,#169787,#96458,.T.); #211647=EDGE_CURVE('',#169788,#169789,#96459,.T.); #211648=EDGE_CURVE('',#169790,#169788,#96460,.T.); #211649=EDGE_CURVE('',#169791,#169789,#96461,.T.); #211650=EDGE_CURVE('',#169790,#169791,#96462,.T.); #211651=EDGE_CURVE('',#169792,#169790,#96463,.T.); #211652=EDGE_CURVE('',#169793,#169791,#96464,.T.); #211653=EDGE_CURVE('',#169792,#169793,#96465,.T.); #211654=EDGE_CURVE('',#169794,#169792,#96466,.T.); #211655=EDGE_CURVE('',#169795,#169793,#96467,.T.); #211656=EDGE_CURVE('',#169794,#169795,#96468,.T.); #211657=EDGE_CURVE('',#169796,#169794,#96469,.T.); #211658=EDGE_CURVE('',#169797,#169795,#96470,.T.); #211659=EDGE_CURVE('',#169796,#169797,#96471,.T.); #211660=EDGE_CURVE('',#169798,#169796,#96472,.T.); #211661=EDGE_CURVE('',#169799,#169797,#96473,.T.); #211662=EDGE_CURVE('',#169798,#169799,#96474,.T.); #211663=EDGE_CURVE('',#169800,#169798,#96475,.T.); #211664=EDGE_CURVE('',#169801,#169799,#96476,.T.); #211665=EDGE_CURVE('',#169800,#169801,#96477,.T.); #211666=EDGE_CURVE('',#169802,#169800,#96478,.T.); #211667=EDGE_CURVE('',#169803,#169801,#96479,.T.); #211668=EDGE_CURVE('',#169802,#169803,#96480,.T.); #211669=EDGE_CURVE('',#169804,#169802,#96481,.T.); #211670=EDGE_CURVE('',#169805,#169803,#96482,.T.); #211671=EDGE_CURVE('',#169804,#169805,#96483,.T.); #211672=EDGE_CURVE('',#169806,#169804,#96484,.T.); #211673=EDGE_CURVE('',#169807,#169805,#96485,.T.); #211674=EDGE_CURVE('',#169806,#169807,#96486,.T.); #211675=EDGE_CURVE('',#169808,#169806,#96487,.T.); #211676=EDGE_CURVE('',#169809,#169807,#96488,.T.); #211677=EDGE_CURVE('',#169808,#169809,#96489,.T.); #211678=EDGE_CURVE('',#169810,#169808,#96490,.T.); #211679=EDGE_CURVE('',#169811,#169809,#96491,.T.); #211680=EDGE_CURVE('',#169810,#169811,#96492,.T.); #211681=EDGE_CURVE('',#169812,#169810,#96493,.T.); #211682=EDGE_CURVE('',#169813,#169811,#96494,.T.); #211683=EDGE_CURVE('',#169812,#169813,#96495,.T.); #211684=EDGE_CURVE('',#169814,#169812,#96496,.T.); #211685=EDGE_CURVE('',#169815,#169813,#96497,.T.); #211686=EDGE_CURVE('',#169814,#169815,#96498,.T.); #211687=EDGE_CURVE('',#169816,#169814,#96499,.T.); #211688=EDGE_CURVE('',#169817,#169815,#96500,.T.); #211689=EDGE_CURVE('',#169816,#169817,#96501,.T.); #211690=EDGE_CURVE('',#169818,#169816,#96502,.T.); #211691=EDGE_CURVE('',#169819,#169817,#96503,.T.); #211692=EDGE_CURVE('',#169818,#169819,#96504,.T.); #211693=EDGE_CURVE('',#169820,#169818,#96505,.T.); #211694=EDGE_CURVE('',#169821,#169819,#96506,.T.); #211695=EDGE_CURVE('',#169820,#169821,#96507,.T.); #211696=EDGE_CURVE('',#169822,#169820,#96508,.T.); #211697=EDGE_CURVE('',#169823,#169821,#96509,.T.); #211698=EDGE_CURVE('',#169822,#169823,#96510,.T.); #211699=EDGE_CURVE('',#169824,#169822,#96511,.T.); #211700=EDGE_CURVE('',#169825,#169823,#96512,.T.); #211701=EDGE_CURVE('',#169824,#169825,#96513,.T.); #211702=EDGE_CURVE('',#169826,#169824,#96514,.T.); #211703=EDGE_CURVE('',#169827,#169825,#96515,.T.); #211704=EDGE_CURVE('',#169826,#169827,#96516,.T.); #211705=EDGE_CURVE('',#169828,#169826,#96517,.T.); #211706=EDGE_CURVE('',#169829,#169827,#96518,.T.); #211707=EDGE_CURVE('',#169828,#169829,#96519,.T.); #211708=EDGE_CURVE('',#169830,#169828,#96520,.T.); #211709=EDGE_CURVE('',#169831,#169829,#96521,.T.); #211710=EDGE_CURVE('',#169830,#169831,#96522,.T.); #211711=EDGE_CURVE('',#169832,#169830,#96523,.T.); #211712=EDGE_CURVE('',#169833,#169831,#96524,.T.); #211713=EDGE_CURVE('',#169832,#169833,#96525,.T.); #211714=EDGE_CURVE('',#169834,#169832,#96526,.T.); #211715=EDGE_CURVE('',#169835,#169833,#96527,.T.); #211716=EDGE_CURVE('',#169834,#169835,#96528,.T.); #211717=EDGE_CURVE('',#169836,#169834,#96529,.T.); #211718=EDGE_CURVE('',#169837,#169835,#96530,.T.); #211719=EDGE_CURVE('',#169836,#169837,#96531,.T.); #211720=EDGE_CURVE('',#169838,#169836,#96532,.T.); #211721=EDGE_CURVE('',#169839,#169837,#96533,.T.); #211722=EDGE_CURVE('',#169838,#169839,#96534,.T.); #211723=EDGE_CURVE('',#169840,#169838,#96535,.T.); #211724=EDGE_CURVE('',#169841,#169839,#96536,.T.); #211725=EDGE_CURVE('',#169840,#169841,#96537,.T.); #211726=EDGE_CURVE('',#169842,#169840,#96538,.T.); #211727=EDGE_CURVE('',#169843,#169841,#96539,.T.); #211728=EDGE_CURVE('',#169842,#169843,#96540,.T.); #211729=EDGE_CURVE('',#169844,#169842,#96541,.T.); #211730=EDGE_CURVE('',#169845,#169843,#96542,.T.); #211731=EDGE_CURVE('',#169844,#169845,#96543,.T.); #211732=EDGE_CURVE('',#169846,#169844,#96544,.T.); #211733=EDGE_CURVE('',#169847,#169845,#96545,.T.); #211734=EDGE_CURVE('',#169846,#169847,#96546,.T.); #211735=EDGE_CURVE('',#169848,#169846,#96547,.T.); #211736=EDGE_CURVE('',#169849,#169847,#96548,.T.); #211737=EDGE_CURVE('',#169848,#169849,#96549,.T.); #211738=EDGE_CURVE('',#169850,#169848,#96550,.T.); #211739=EDGE_CURVE('',#169851,#169849,#96551,.T.); #211740=EDGE_CURVE('',#169850,#169851,#96552,.T.); #211741=EDGE_CURVE('',#169852,#169850,#96553,.T.); #211742=EDGE_CURVE('',#169853,#169851,#96554,.T.); #211743=EDGE_CURVE('',#169852,#169853,#96555,.T.); #211744=EDGE_CURVE('',#169854,#169852,#96556,.T.); #211745=EDGE_CURVE('',#169855,#169853,#96557,.T.); #211746=EDGE_CURVE('',#169854,#169855,#96558,.T.); #211747=EDGE_CURVE('',#169856,#169854,#96559,.T.); #211748=EDGE_CURVE('',#169857,#169855,#96560,.T.); #211749=EDGE_CURVE('',#169856,#169857,#96561,.T.); #211750=EDGE_CURVE('',#169858,#169856,#96562,.T.); #211751=EDGE_CURVE('',#169859,#169857,#96563,.T.); #211752=EDGE_CURVE('',#169858,#169859,#96564,.T.); #211753=EDGE_CURVE('',#169860,#169858,#96565,.T.); #211754=EDGE_CURVE('',#169861,#169859,#96566,.T.); #211755=EDGE_CURVE('',#169860,#169861,#96567,.T.); #211756=EDGE_CURVE('',#169862,#169860,#96568,.T.); #211757=EDGE_CURVE('',#169863,#169861,#96569,.T.); #211758=EDGE_CURVE('',#169862,#169863,#96570,.T.); #211759=EDGE_CURVE('',#169864,#169862,#96571,.T.); #211760=EDGE_CURVE('',#169865,#169863,#96572,.T.); #211761=EDGE_CURVE('',#169864,#169865,#96573,.T.); #211762=EDGE_CURVE('',#169866,#169864,#96574,.T.); #211763=EDGE_CURVE('',#169867,#169865,#96575,.T.); #211764=EDGE_CURVE('',#169866,#169867,#96576,.T.); #211765=EDGE_CURVE('',#169868,#169866,#96577,.T.); #211766=EDGE_CURVE('',#169869,#169867,#96578,.T.); #211767=EDGE_CURVE('',#169868,#169869,#96579,.T.); #211768=EDGE_CURVE('',#169870,#169868,#96580,.T.); #211769=EDGE_CURVE('',#169871,#169869,#96581,.T.); #211770=EDGE_CURVE('',#169870,#169871,#96582,.T.); #211771=EDGE_CURVE('',#169872,#169870,#96583,.T.); #211772=EDGE_CURVE('',#169873,#169871,#96584,.T.); #211773=EDGE_CURVE('',#169872,#169873,#96585,.T.); #211774=EDGE_CURVE('',#169874,#169872,#96586,.T.); #211775=EDGE_CURVE('',#169875,#169873,#96587,.T.); #211776=EDGE_CURVE('',#169874,#169875,#96588,.T.); #211777=EDGE_CURVE('',#169876,#169874,#96589,.T.); #211778=EDGE_CURVE('',#169877,#169875,#96590,.T.); #211779=EDGE_CURVE('',#169876,#169877,#96591,.T.); #211780=EDGE_CURVE('',#169878,#169876,#96592,.T.); #211781=EDGE_CURVE('',#169879,#169877,#96593,.T.); #211782=EDGE_CURVE('',#169878,#169879,#96594,.T.); #211783=EDGE_CURVE('',#169880,#169878,#96595,.T.); #211784=EDGE_CURVE('',#169881,#169879,#96596,.T.); #211785=EDGE_CURVE('',#169880,#169881,#96597,.T.); #211786=EDGE_CURVE('',#169882,#169880,#96598,.T.); #211787=EDGE_CURVE('',#169883,#169881,#96599,.T.); #211788=EDGE_CURVE('',#169882,#169883,#96600,.T.); #211789=EDGE_CURVE('',#169884,#169882,#96601,.T.); #211790=EDGE_CURVE('',#169885,#169883,#96602,.T.); #211791=EDGE_CURVE('',#169884,#169885,#96603,.T.); #211792=EDGE_CURVE('',#169886,#169884,#96604,.T.); #211793=EDGE_CURVE('',#169887,#169885,#96605,.T.); #211794=EDGE_CURVE('',#169886,#169887,#96606,.T.); #211795=EDGE_CURVE('',#169888,#169886,#96607,.T.); #211796=EDGE_CURVE('',#169889,#169887,#96608,.T.); #211797=EDGE_CURVE('',#169888,#169889,#96609,.T.); #211798=EDGE_CURVE('',#169890,#169888,#96610,.T.); #211799=EDGE_CURVE('',#169891,#169889,#96611,.T.); #211800=EDGE_CURVE('',#169890,#169891,#96612,.T.); #211801=EDGE_CURVE('',#169892,#169890,#96613,.T.); #211802=EDGE_CURVE('',#169893,#169891,#96614,.T.); #211803=EDGE_CURVE('',#169892,#169893,#96615,.T.); #211804=EDGE_CURVE('',#169894,#169892,#96616,.T.); #211805=EDGE_CURVE('',#169895,#169893,#96617,.T.); #211806=EDGE_CURVE('',#169894,#169895,#96618,.T.); #211807=EDGE_CURVE('',#169896,#169894,#96619,.T.); #211808=EDGE_CURVE('',#169897,#169895,#96620,.T.); #211809=EDGE_CURVE('',#169896,#169897,#96621,.T.); #211810=EDGE_CURVE('',#169898,#169896,#96622,.T.); #211811=EDGE_CURVE('',#169899,#169897,#96623,.T.); #211812=EDGE_CURVE('',#169898,#169899,#96624,.T.); #211813=EDGE_CURVE('',#169900,#169898,#96625,.T.); #211814=EDGE_CURVE('',#169901,#169899,#96626,.T.); #211815=EDGE_CURVE('',#169900,#169901,#96627,.T.); #211816=EDGE_CURVE('',#169902,#169900,#96628,.T.); #211817=EDGE_CURVE('',#169903,#169901,#96629,.T.); #211818=EDGE_CURVE('',#169902,#169903,#96630,.T.); #211819=EDGE_CURVE('',#169904,#169902,#96631,.T.); #211820=EDGE_CURVE('',#169905,#169903,#96632,.T.); #211821=EDGE_CURVE('',#169904,#169905,#96633,.T.); #211822=EDGE_CURVE('',#169906,#169904,#96634,.T.); #211823=EDGE_CURVE('',#169907,#169905,#96635,.T.); #211824=EDGE_CURVE('',#169906,#169907,#96636,.T.); #211825=EDGE_CURVE('',#169908,#169906,#96637,.T.); #211826=EDGE_CURVE('',#169909,#169907,#96638,.T.); #211827=EDGE_CURVE('',#169908,#169909,#96639,.T.); #211828=EDGE_CURVE('',#169910,#169908,#96640,.T.); #211829=EDGE_CURVE('',#169911,#169909,#96641,.T.); #211830=EDGE_CURVE('',#169910,#169911,#96642,.T.); #211831=EDGE_CURVE('',#169912,#169910,#96643,.T.); #211832=EDGE_CURVE('',#169913,#169911,#96644,.T.); #211833=EDGE_CURVE('',#169912,#169913,#96645,.T.); #211834=EDGE_CURVE('',#169914,#169912,#96646,.T.); #211835=EDGE_CURVE('',#169915,#169913,#96647,.T.); #211836=EDGE_CURVE('',#169914,#169915,#96648,.T.); #211837=EDGE_CURVE('',#169916,#169914,#96649,.T.); #211838=EDGE_CURVE('',#169917,#169915,#96650,.T.); #211839=EDGE_CURVE('',#169916,#169917,#96651,.T.); #211840=EDGE_CURVE('',#169918,#169916,#96652,.T.); #211841=EDGE_CURVE('',#169919,#169917,#96653,.T.); #211842=EDGE_CURVE('',#169918,#169919,#96654,.T.); #211843=EDGE_CURVE('',#169920,#169918,#96655,.T.); #211844=EDGE_CURVE('',#169921,#169919,#96656,.T.); #211845=EDGE_CURVE('',#169920,#169921,#96657,.T.); #211846=EDGE_CURVE('',#169922,#169920,#96658,.T.); #211847=EDGE_CURVE('',#169923,#169921,#96659,.T.); #211848=EDGE_CURVE('',#169922,#169923,#96660,.T.); #211849=EDGE_CURVE('',#169924,#169922,#96661,.T.); #211850=EDGE_CURVE('',#169925,#169923,#96662,.T.); #211851=EDGE_CURVE('',#169924,#169925,#96663,.T.); #211852=EDGE_CURVE('',#169926,#169924,#96664,.T.); #211853=EDGE_CURVE('',#169927,#169925,#96665,.T.); #211854=EDGE_CURVE('',#169926,#169927,#96666,.T.); #211855=EDGE_CURVE('',#169928,#169926,#96667,.T.); #211856=EDGE_CURVE('',#169929,#169927,#96668,.T.); #211857=EDGE_CURVE('',#169928,#169929,#96669,.T.); #211858=EDGE_CURVE('',#169930,#169928,#96670,.T.); #211859=EDGE_CURVE('',#169931,#169929,#96671,.T.); #211860=EDGE_CURVE('',#169930,#169931,#96672,.T.); #211861=EDGE_CURVE('',#169932,#169930,#96673,.T.); #211862=EDGE_CURVE('',#169933,#169931,#96674,.T.); #211863=EDGE_CURVE('',#169932,#169933,#96675,.T.); #211864=EDGE_CURVE('',#169934,#169932,#96676,.T.); #211865=EDGE_CURVE('',#169935,#169933,#96677,.T.); #211866=EDGE_CURVE('',#169934,#169935,#96678,.T.); #211867=EDGE_CURVE('',#169936,#169934,#96679,.T.); #211868=EDGE_CURVE('',#169937,#169935,#96680,.T.); #211869=EDGE_CURVE('',#169936,#169937,#96681,.T.); #211870=EDGE_CURVE('',#169938,#169936,#96682,.T.); #211871=EDGE_CURVE('',#169939,#169937,#96683,.T.); #211872=EDGE_CURVE('',#169938,#169939,#96684,.T.); #211873=EDGE_CURVE('',#169940,#169938,#96685,.T.); #211874=EDGE_CURVE('',#169941,#169939,#96686,.T.); #211875=EDGE_CURVE('',#169940,#169941,#96687,.T.); #211876=EDGE_CURVE('',#169942,#169940,#96688,.T.); #211877=EDGE_CURVE('',#169943,#169941,#96689,.T.); #211878=EDGE_CURVE('',#169942,#169943,#96690,.T.); #211879=EDGE_CURVE('',#169944,#169942,#96691,.T.); #211880=EDGE_CURVE('',#169945,#169943,#96692,.T.); #211881=EDGE_CURVE('',#169944,#169945,#96693,.T.); #211882=EDGE_CURVE('',#169946,#169944,#96694,.T.); #211883=EDGE_CURVE('',#169947,#169945,#96695,.T.); #211884=EDGE_CURVE('',#169946,#169947,#96696,.T.); #211885=EDGE_CURVE('',#169948,#169946,#96697,.T.); #211886=EDGE_CURVE('',#169949,#169947,#96698,.T.); #211887=EDGE_CURVE('',#169948,#169949,#96699,.T.); #211888=EDGE_CURVE('',#169950,#169948,#96700,.T.); #211889=EDGE_CURVE('',#169951,#169949,#96701,.T.); #211890=EDGE_CURVE('',#169950,#169951,#96702,.T.); #211891=EDGE_CURVE('',#169952,#169950,#96703,.T.); #211892=EDGE_CURVE('',#169953,#169951,#96704,.T.); #211893=EDGE_CURVE('',#169952,#169953,#96705,.T.); #211894=EDGE_CURVE('',#169954,#169952,#96706,.T.); #211895=EDGE_CURVE('',#169955,#169953,#96707,.T.); #211896=EDGE_CURVE('',#169954,#169955,#96708,.T.); #211897=EDGE_CURVE('',#169956,#169954,#96709,.T.); #211898=EDGE_CURVE('',#169957,#169955,#96710,.T.); #211899=EDGE_CURVE('',#169956,#169957,#96711,.T.); #211900=EDGE_CURVE('',#169958,#169956,#96712,.T.); #211901=EDGE_CURVE('',#169959,#169957,#96713,.T.); #211902=EDGE_CURVE('',#169958,#169959,#96714,.T.); #211903=EDGE_CURVE('',#169960,#169958,#96715,.T.); #211904=EDGE_CURVE('',#169961,#169959,#96716,.T.); #211905=EDGE_CURVE('',#169960,#169961,#96717,.T.); #211906=EDGE_CURVE('',#169962,#169960,#96718,.T.); #211907=EDGE_CURVE('',#169963,#169961,#96719,.T.); #211908=EDGE_CURVE('',#169962,#169963,#96720,.T.); #211909=EDGE_CURVE('',#169964,#169962,#96721,.T.); #211910=EDGE_CURVE('',#169965,#169963,#96722,.T.); #211911=EDGE_CURVE('',#169964,#169965,#96723,.T.); #211912=EDGE_CURVE('',#169966,#169964,#96724,.T.); #211913=EDGE_CURVE('',#169967,#169965,#96725,.T.); #211914=EDGE_CURVE('',#169966,#169967,#96726,.T.); #211915=EDGE_CURVE('',#169968,#169966,#96727,.T.); #211916=EDGE_CURVE('',#169969,#169967,#96728,.T.); #211917=EDGE_CURVE('',#169968,#169969,#96729,.T.); #211918=EDGE_CURVE('',#169970,#169968,#96730,.T.); #211919=EDGE_CURVE('',#169971,#169969,#96731,.T.); #211920=EDGE_CURVE('',#169970,#169971,#96732,.T.); #211921=EDGE_CURVE('',#169972,#169970,#96733,.T.); #211922=EDGE_CURVE('',#169973,#169971,#96734,.T.); #211923=EDGE_CURVE('',#169972,#169973,#96735,.T.); #211924=EDGE_CURVE('',#169974,#169972,#96736,.T.); #211925=EDGE_CURVE('',#169975,#169973,#96737,.T.); #211926=EDGE_CURVE('',#169974,#169975,#96738,.T.); #211927=EDGE_CURVE('',#169976,#169974,#96739,.T.); #211928=EDGE_CURVE('',#169977,#169975,#96740,.T.); #211929=EDGE_CURVE('',#169976,#169977,#96741,.T.); #211930=EDGE_CURVE('',#169978,#169976,#96742,.T.); #211931=EDGE_CURVE('',#169979,#169977,#96743,.T.); #211932=EDGE_CURVE('',#169978,#169979,#96744,.T.); #211933=EDGE_CURVE('',#169980,#169978,#96745,.T.); #211934=EDGE_CURVE('',#169981,#169979,#96746,.T.); #211935=EDGE_CURVE('',#169980,#169981,#96747,.T.); #211936=EDGE_CURVE('',#169982,#169980,#96748,.T.); #211937=EDGE_CURVE('',#169983,#169981,#96749,.T.); #211938=EDGE_CURVE('',#169982,#169983,#96750,.T.); #211939=EDGE_CURVE('',#169984,#169982,#96751,.T.); #211940=EDGE_CURVE('',#169985,#169983,#96752,.T.); #211941=EDGE_CURVE('',#169984,#169985,#96753,.T.); #211942=EDGE_CURVE('',#169986,#169984,#96754,.T.); #211943=EDGE_CURVE('',#169987,#169985,#96755,.T.); #211944=EDGE_CURVE('',#169986,#169987,#96756,.T.); #211945=EDGE_CURVE('',#169988,#169986,#96757,.T.); #211946=EDGE_CURVE('',#169989,#169987,#96758,.T.); #211947=EDGE_CURVE('',#169988,#169989,#96759,.T.); #211948=EDGE_CURVE('',#169990,#169988,#96760,.T.); #211949=EDGE_CURVE('',#169991,#169989,#96761,.T.); #211950=EDGE_CURVE('',#169990,#169991,#96762,.T.); #211951=EDGE_CURVE('',#169992,#169990,#96763,.T.); #211952=EDGE_CURVE('',#169993,#169991,#96764,.T.); #211953=EDGE_CURVE('',#169992,#169993,#96765,.T.); #211954=EDGE_CURVE('',#169994,#169992,#96766,.T.); #211955=EDGE_CURVE('',#169995,#169993,#96767,.T.); #211956=EDGE_CURVE('',#169994,#169995,#96768,.T.); #211957=EDGE_CURVE('',#169996,#169994,#96769,.T.); #211958=EDGE_CURVE('',#169997,#169995,#96770,.T.); #211959=EDGE_CURVE('',#169996,#169997,#96771,.T.); #211960=EDGE_CURVE('',#169998,#169996,#96772,.T.); #211961=EDGE_CURVE('',#169999,#169997,#96773,.T.); #211962=EDGE_CURVE('',#169998,#169999,#96774,.T.); #211963=EDGE_CURVE('',#170000,#169998,#96775,.T.); #211964=EDGE_CURVE('',#170001,#169999,#96776,.T.); #211965=EDGE_CURVE('',#170000,#170001,#96777,.T.); #211966=EDGE_CURVE('',#170002,#170000,#96778,.T.); #211967=EDGE_CURVE('',#170003,#170001,#96779,.T.); #211968=EDGE_CURVE('',#170002,#170003,#96780,.T.); #211969=EDGE_CURVE('',#170004,#170002,#96781,.T.); #211970=EDGE_CURVE('',#170005,#170003,#96782,.T.); #211971=EDGE_CURVE('',#170004,#170005,#96783,.T.); #211972=EDGE_CURVE('',#170006,#170004,#96784,.T.); #211973=EDGE_CURVE('',#170007,#170005,#96785,.T.); #211974=EDGE_CURVE('',#170006,#170007,#96786,.T.); #211975=EDGE_CURVE('',#170008,#170006,#96787,.T.); #211976=EDGE_CURVE('',#170009,#170007,#96788,.T.); #211977=EDGE_CURVE('',#170008,#170009,#96789,.T.); #211978=EDGE_CURVE('',#170010,#170008,#96790,.T.); #211979=EDGE_CURVE('',#170011,#170009,#96791,.T.); #211980=EDGE_CURVE('',#170010,#170011,#96792,.T.); #211981=EDGE_CURVE('',#170012,#170010,#96793,.T.); #211982=EDGE_CURVE('',#170013,#170011,#96794,.T.); #211983=EDGE_CURVE('',#170012,#170013,#96795,.T.); #211984=EDGE_CURVE('',#170014,#170012,#96796,.T.); #211985=EDGE_CURVE('',#170015,#170013,#96797,.T.); #211986=EDGE_CURVE('',#170014,#170015,#96798,.T.); #211987=EDGE_CURVE('',#170016,#170014,#96799,.T.); #211988=EDGE_CURVE('',#170017,#170015,#96800,.T.); #211989=EDGE_CURVE('',#170016,#170017,#96801,.T.); #211990=EDGE_CURVE('',#170018,#170016,#96802,.T.); #211991=EDGE_CURVE('',#170019,#170017,#96803,.T.); #211992=EDGE_CURVE('',#170018,#170019,#96804,.T.); #211993=EDGE_CURVE('',#170020,#170018,#96805,.T.); #211994=EDGE_CURVE('',#170021,#170019,#96806,.T.); #211995=EDGE_CURVE('',#170020,#170021,#96807,.T.); #211996=EDGE_CURVE('',#170022,#170020,#96808,.T.); #211997=EDGE_CURVE('',#170023,#170021,#96809,.T.); #211998=EDGE_CURVE('',#170022,#170023,#96810,.T.); #211999=EDGE_CURVE('',#170024,#170022,#96811,.T.); #212000=EDGE_CURVE('',#170025,#170023,#96812,.T.); #212001=EDGE_CURVE('',#170024,#170025,#96813,.T.); #212002=EDGE_CURVE('',#170026,#170024,#96814,.T.); #212003=EDGE_CURVE('',#170027,#170025,#96815,.T.); #212004=EDGE_CURVE('',#170026,#170027,#96816,.T.); #212005=EDGE_CURVE('',#170028,#170026,#96817,.T.); #212006=EDGE_CURVE('',#170029,#170027,#96818,.T.); #212007=EDGE_CURVE('',#170028,#170029,#96819,.T.); #212008=EDGE_CURVE('',#170030,#170028,#96820,.T.); #212009=EDGE_CURVE('',#170031,#170029,#96821,.T.); #212010=EDGE_CURVE('',#170030,#170031,#96822,.T.); #212011=EDGE_CURVE('',#170032,#170030,#96823,.T.); #212012=EDGE_CURVE('',#170033,#170031,#96824,.T.); #212013=EDGE_CURVE('',#170032,#170033,#96825,.T.); #212014=EDGE_CURVE('',#170034,#170032,#96826,.T.); #212015=EDGE_CURVE('',#170035,#170033,#96827,.T.); #212016=EDGE_CURVE('',#170034,#170035,#96828,.T.); #212017=EDGE_CURVE('',#170036,#170034,#96829,.T.); #212018=EDGE_CURVE('',#170037,#170035,#96830,.T.); #212019=EDGE_CURVE('',#170036,#170037,#96831,.T.); #212020=EDGE_CURVE('',#170038,#170036,#96832,.T.); #212021=EDGE_CURVE('',#170039,#170037,#96833,.T.); #212022=EDGE_CURVE('',#170038,#170039,#96834,.T.); #212023=EDGE_CURVE('',#170040,#170038,#96835,.T.); #212024=EDGE_CURVE('',#170041,#170039,#96836,.T.); #212025=EDGE_CURVE('',#170040,#170041,#96837,.T.); #212026=EDGE_CURVE('',#170042,#170040,#96838,.T.); #212027=EDGE_CURVE('',#170043,#170041,#96839,.T.); #212028=EDGE_CURVE('',#170042,#170043,#96840,.T.); #212029=EDGE_CURVE('',#170044,#170042,#96841,.T.); #212030=EDGE_CURVE('',#170045,#170043,#96842,.T.); #212031=EDGE_CURVE('',#170044,#170045,#96843,.T.); #212032=EDGE_CURVE('',#170046,#170044,#96844,.T.); #212033=EDGE_CURVE('',#170047,#170045,#96845,.T.); #212034=EDGE_CURVE('',#170046,#170047,#96846,.T.); #212035=EDGE_CURVE('',#170048,#170046,#96847,.T.); #212036=EDGE_CURVE('',#170049,#170047,#96848,.T.); #212037=EDGE_CURVE('',#170048,#170049,#96849,.T.); #212038=EDGE_CURVE('',#170050,#170048,#96850,.T.); #212039=EDGE_CURVE('',#170051,#170049,#96851,.T.); #212040=EDGE_CURVE('',#170050,#170051,#96852,.T.); #212041=EDGE_CURVE('',#170052,#170050,#96853,.T.); #212042=EDGE_CURVE('',#170053,#170051,#96854,.T.); #212043=EDGE_CURVE('',#170052,#170053,#96855,.T.); #212044=EDGE_CURVE('',#170054,#170052,#96856,.T.); #212045=EDGE_CURVE('',#170055,#170053,#96857,.T.); #212046=EDGE_CURVE('',#170054,#170055,#96858,.T.); #212047=EDGE_CURVE('',#170056,#170054,#96859,.T.); #212048=EDGE_CURVE('',#170057,#170055,#96860,.T.); #212049=EDGE_CURVE('',#170056,#170057,#96861,.T.); #212050=EDGE_CURVE('',#170058,#170056,#96862,.T.); #212051=EDGE_CURVE('',#170059,#170057,#96863,.T.); #212052=EDGE_CURVE('',#170058,#170059,#96864,.T.); #212053=EDGE_CURVE('',#170060,#170058,#96865,.T.); #212054=EDGE_CURVE('',#170061,#170059,#96866,.T.); #212055=EDGE_CURVE('',#170060,#170061,#96867,.T.); #212056=EDGE_CURVE('',#170062,#170060,#96868,.T.); #212057=EDGE_CURVE('',#170063,#170061,#96869,.T.); #212058=EDGE_CURVE('',#170062,#170063,#96870,.T.); #212059=EDGE_CURVE('',#170064,#170062,#96871,.T.); #212060=EDGE_CURVE('',#170065,#170063,#96872,.T.); #212061=EDGE_CURVE('',#170064,#170065,#96873,.T.); #212062=EDGE_CURVE('',#170066,#170064,#96874,.T.); #212063=EDGE_CURVE('',#170067,#170065,#96875,.T.); #212064=EDGE_CURVE('',#170066,#170067,#96876,.T.); #212065=EDGE_CURVE('',#170068,#170066,#96877,.T.); #212066=EDGE_CURVE('',#170069,#170067,#96878,.T.); #212067=EDGE_CURVE('',#170068,#170069,#96879,.T.); #212068=EDGE_CURVE('',#170070,#170068,#96880,.T.); #212069=EDGE_CURVE('',#170071,#170069,#96881,.T.); #212070=EDGE_CURVE('',#170070,#170071,#96882,.T.); #212071=EDGE_CURVE('',#170072,#170070,#96883,.T.); #212072=EDGE_CURVE('',#170073,#170071,#96884,.T.); #212073=EDGE_CURVE('',#170072,#170073,#96885,.T.); #212074=EDGE_CURVE('',#170074,#170072,#96886,.T.); #212075=EDGE_CURVE('',#170075,#170073,#96887,.T.); #212076=EDGE_CURVE('',#170074,#170075,#96888,.T.); #212077=EDGE_CURVE('',#170076,#170074,#96889,.T.); #212078=EDGE_CURVE('',#170077,#170075,#96890,.T.); #212079=EDGE_CURVE('',#170076,#170077,#96891,.T.); #212080=EDGE_CURVE('',#170078,#170076,#96892,.T.); #212081=EDGE_CURVE('',#170079,#170077,#96893,.T.); #212082=EDGE_CURVE('',#170078,#170079,#96894,.T.); #212083=EDGE_CURVE('',#170080,#170078,#96895,.T.); #212084=EDGE_CURVE('',#170081,#170079,#96896,.T.); #212085=EDGE_CURVE('',#170080,#170081,#96897,.T.); #212086=EDGE_CURVE('',#170082,#170080,#96898,.T.); #212087=EDGE_CURVE('',#170083,#170081,#96899,.T.); #212088=EDGE_CURVE('',#170082,#170083,#96900,.T.); #212089=EDGE_CURVE('',#170084,#170082,#96901,.T.); #212090=EDGE_CURVE('',#170085,#170083,#96902,.T.); #212091=EDGE_CURVE('',#170084,#170085,#96903,.T.); #212092=EDGE_CURVE('',#170086,#170084,#96904,.T.); #212093=EDGE_CURVE('',#170087,#170085,#96905,.T.); #212094=EDGE_CURVE('',#170086,#170087,#96906,.T.); #212095=EDGE_CURVE('',#170088,#170086,#96907,.T.); #212096=EDGE_CURVE('',#170089,#170087,#96908,.T.); #212097=EDGE_CURVE('',#170088,#170089,#96909,.T.); #212098=EDGE_CURVE('',#170090,#170088,#96910,.T.); #212099=EDGE_CURVE('',#170091,#170089,#96911,.T.); #212100=EDGE_CURVE('',#170090,#170091,#96912,.T.); #212101=EDGE_CURVE('',#170092,#170090,#96913,.T.); #212102=EDGE_CURVE('',#170093,#170091,#96914,.T.); #212103=EDGE_CURVE('',#170092,#170093,#96915,.T.); #212104=EDGE_CURVE('',#170094,#170092,#96916,.T.); #212105=EDGE_CURVE('',#170095,#170093,#96917,.T.); #212106=EDGE_CURVE('',#170094,#170095,#96918,.T.); #212107=EDGE_CURVE('',#170096,#170094,#96919,.T.); #212108=EDGE_CURVE('',#170097,#170095,#96920,.T.); #212109=EDGE_CURVE('',#170096,#170097,#96921,.T.); #212110=EDGE_CURVE('',#170098,#170096,#96922,.T.); #212111=EDGE_CURVE('',#170099,#170097,#96923,.T.); #212112=EDGE_CURVE('',#170098,#170099,#96924,.T.); #212113=EDGE_CURVE('',#170100,#170098,#96925,.T.); #212114=EDGE_CURVE('',#170101,#170099,#96926,.T.); #212115=EDGE_CURVE('',#170100,#170101,#96927,.T.); #212116=EDGE_CURVE('',#170102,#170100,#96928,.T.); #212117=EDGE_CURVE('',#170103,#170101,#96929,.T.); #212118=EDGE_CURVE('',#170102,#170103,#96930,.T.); #212119=EDGE_CURVE('',#170104,#170102,#96931,.T.); #212120=EDGE_CURVE('',#170105,#170103,#96932,.T.); #212121=EDGE_CURVE('',#170104,#170105,#96933,.T.); #212122=EDGE_CURVE('',#170106,#170104,#96934,.T.); #212123=EDGE_CURVE('',#170107,#170105,#96935,.T.); #212124=EDGE_CURVE('',#170106,#170107,#96936,.T.); #212125=EDGE_CURVE('',#170108,#170106,#96937,.T.); #212126=EDGE_CURVE('',#170109,#170107,#96938,.T.); #212127=EDGE_CURVE('',#170108,#170109,#96939,.T.); #212128=EDGE_CURVE('',#170110,#170108,#96940,.T.); #212129=EDGE_CURVE('',#170111,#170109,#96941,.T.); #212130=EDGE_CURVE('',#170110,#170111,#96942,.T.); #212131=EDGE_CURVE('',#170112,#170110,#96943,.T.); #212132=EDGE_CURVE('',#170113,#170111,#96944,.T.); #212133=EDGE_CURVE('',#170112,#170113,#96945,.T.); #212134=EDGE_CURVE('',#170114,#170112,#96946,.T.); #212135=EDGE_CURVE('',#170115,#170113,#96947,.T.); #212136=EDGE_CURVE('',#170114,#170115,#96948,.T.); #212137=EDGE_CURVE('',#170116,#170114,#96949,.T.); #212138=EDGE_CURVE('',#170117,#170115,#96950,.T.); #212139=EDGE_CURVE('',#170116,#170117,#96951,.T.); #212140=EDGE_CURVE('',#170118,#170116,#96952,.T.); #212141=EDGE_CURVE('',#170119,#170117,#96953,.T.); #212142=EDGE_CURVE('',#170118,#170119,#96954,.T.); #212143=EDGE_CURVE('',#170120,#170118,#96955,.T.); #212144=EDGE_CURVE('',#170121,#170119,#96956,.T.); #212145=EDGE_CURVE('',#170120,#170121,#96957,.T.); #212146=EDGE_CURVE('',#170122,#170120,#96958,.T.); #212147=EDGE_CURVE('',#170123,#170121,#96959,.T.); #212148=EDGE_CURVE('',#170122,#170123,#96960,.T.); #212149=EDGE_CURVE('',#170124,#170122,#96961,.T.); #212150=EDGE_CURVE('',#170125,#170123,#96962,.T.); #212151=EDGE_CURVE('',#170124,#170125,#96963,.T.); #212152=EDGE_CURVE('',#170126,#170124,#96964,.T.); #212153=EDGE_CURVE('',#170127,#170125,#96965,.T.); #212154=EDGE_CURVE('',#170126,#170127,#96966,.T.); #212155=EDGE_CURVE('',#170128,#170126,#96967,.T.); #212156=EDGE_CURVE('',#170129,#170127,#96968,.T.); #212157=EDGE_CURVE('',#170128,#170129,#96969,.T.); #212158=EDGE_CURVE('',#170130,#170128,#96970,.T.); #212159=EDGE_CURVE('',#170131,#170129,#96971,.T.); #212160=EDGE_CURVE('',#170130,#170131,#96972,.T.); #212161=EDGE_CURVE('',#170132,#170130,#96973,.T.); #212162=EDGE_CURVE('',#170133,#170131,#96974,.T.); #212163=EDGE_CURVE('',#170132,#170133,#96975,.T.); #212164=EDGE_CURVE('',#170134,#170132,#96976,.T.); #212165=EDGE_CURVE('',#170135,#170133,#96977,.T.); #212166=EDGE_CURVE('',#170134,#170135,#96978,.T.); #212167=EDGE_CURVE('',#170136,#170134,#96979,.T.); #212168=EDGE_CURVE('',#170137,#170135,#96980,.T.); #212169=EDGE_CURVE('',#170136,#170137,#96981,.T.); #212170=EDGE_CURVE('',#170138,#170136,#96982,.T.); #212171=EDGE_CURVE('',#170139,#170137,#96983,.T.); #212172=EDGE_CURVE('',#170138,#170139,#96984,.T.); #212173=EDGE_CURVE('',#170140,#170138,#96985,.T.); #212174=EDGE_CURVE('',#170141,#170139,#96986,.T.); #212175=EDGE_CURVE('',#170140,#170141,#96987,.T.); #212176=EDGE_CURVE('',#170142,#170140,#96988,.T.); #212177=EDGE_CURVE('',#170143,#170141,#96989,.T.); #212178=EDGE_CURVE('',#170142,#170143,#96990,.T.); #212179=EDGE_CURVE('',#170144,#170142,#96991,.T.); #212180=EDGE_CURVE('',#170145,#170143,#96992,.T.); #212181=EDGE_CURVE('',#170144,#170145,#96993,.T.); #212182=EDGE_CURVE('',#170146,#170144,#96994,.T.); #212183=EDGE_CURVE('',#170147,#170145,#96995,.T.); #212184=EDGE_CURVE('',#170146,#170147,#96996,.T.); #212185=EDGE_CURVE('',#170148,#170146,#96997,.T.); #212186=EDGE_CURVE('',#170149,#170147,#96998,.T.); #212187=EDGE_CURVE('',#170148,#170149,#96999,.T.); #212188=EDGE_CURVE('',#170150,#170148,#97000,.T.); #212189=EDGE_CURVE('',#170151,#170149,#97001,.T.); #212190=EDGE_CURVE('',#170150,#170151,#97002,.T.); #212191=EDGE_CURVE('',#170152,#170150,#97003,.T.); #212192=EDGE_CURVE('',#170153,#170151,#97004,.T.); #212193=EDGE_CURVE('',#170152,#170153,#97005,.T.); #212194=EDGE_CURVE('',#170154,#170152,#97006,.T.); #212195=EDGE_CURVE('',#170155,#170153,#97007,.T.); #212196=EDGE_CURVE('',#170154,#170155,#97008,.T.); #212197=EDGE_CURVE('',#170156,#170154,#97009,.T.); #212198=EDGE_CURVE('',#170157,#170155,#97010,.T.); #212199=EDGE_CURVE('',#170156,#170157,#97011,.T.); #212200=EDGE_CURVE('',#170158,#170156,#97012,.T.); #212201=EDGE_CURVE('',#170159,#170157,#97013,.T.); #212202=EDGE_CURVE('',#170158,#170159,#97014,.T.); #212203=EDGE_CURVE('',#170160,#170158,#97015,.T.); #212204=EDGE_CURVE('',#170161,#170159,#97016,.T.); #212205=EDGE_CURVE('',#170160,#170161,#97017,.T.); #212206=EDGE_CURVE('',#170162,#170160,#97018,.T.); #212207=EDGE_CURVE('',#170163,#170161,#97019,.T.); #212208=EDGE_CURVE('',#170162,#170163,#97020,.T.); #212209=EDGE_CURVE('',#170164,#170162,#97021,.T.); #212210=EDGE_CURVE('',#170165,#170163,#97022,.T.); #212211=EDGE_CURVE('',#170164,#170165,#97023,.T.); #212212=EDGE_CURVE('',#170166,#170164,#97024,.T.); #212213=EDGE_CURVE('',#170167,#170165,#97025,.T.); #212214=EDGE_CURVE('',#170166,#170167,#97026,.T.); #212215=EDGE_CURVE('',#170168,#170166,#97027,.T.); #212216=EDGE_CURVE('',#170169,#170167,#97028,.T.); #212217=EDGE_CURVE('',#170168,#170169,#97029,.T.); #212218=EDGE_CURVE('',#170170,#170168,#97030,.T.); #212219=EDGE_CURVE('',#170171,#170169,#97031,.T.); #212220=EDGE_CURVE('',#170170,#170171,#97032,.T.); #212221=EDGE_CURVE('',#170172,#170170,#97033,.T.); #212222=EDGE_CURVE('',#170173,#170171,#97034,.T.); #212223=EDGE_CURVE('',#170172,#170173,#97035,.T.); #212224=EDGE_CURVE('',#170174,#170172,#97036,.T.); #212225=EDGE_CURVE('',#170175,#170173,#97037,.T.); #212226=EDGE_CURVE('',#170174,#170175,#97038,.T.); #212227=EDGE_CURVE('',#170176,#170174,#97039,.T.); #212228=EDGE_CURVE('',#170177,#170175,#97040,.T.); #212229=EDGE_CURVE('',#170176,#170177,#97041,.T.); #212230=EDGE_CURVE('',#170178,#170176,#97042,.T.); #212231=EDGE_CURVE('',#170179,#170177,#97043,.T.); #212232=EDGE_CURVE('',#170178,#170179,#97044,.T.); #212233=EDGE_CURVE('',#170180,#170178,#97045,.T.); #212234=EDGE_CURVE('',#170181,#170179,#97046,.T.); #212235=EDGE_CURVE('',#170180,#170181,#97047,.T.); #212236=EDGE_CURVE('',#170182,#170180,#97048,.T.); #212237=EDGE_CURVE('',#170183,#170181,#97049,.T.); #212238=EDGE_CURVE('',#170182,#170183,#97050,.T.); #212239=EDGE_CURVE('',#170184,#170182,#97051,.T.); #212240=EDGE_CURVE('',#170185,#170183,#97052,.T.); #212241=EDGE_CURVE('',#170184,#170185,#97053,.T.); #212242=EDGE_CURVE('',#170186,#170184,#97054,.T.); #212243=EDGE_CURVE('',#170187,#170185,#97055,.T.); #212244=EDGE_CURVE('',#170186,#170187,#97056,.T.); #212245=EDGE_CURVE('',#170188,#170186,#97057,.T.); #212246=EDGE_CURVE('',#170189,#170187,#97058,.T.); #212247=EDGE_CURVE('',#170188,#170189,#97059,.T.); #212248=EDGE_CURVE('',#170190,#170188,#97060,.T.); #212249=EDGE_CURVE('',#170191,#170189,#97061,.T.); #212250=EDGE_CURVE('',#170190,#170191,#97062,.T.); #212251=EDGE_CURVE('',#170192,#170190,#97063,.T.); #212252=EDGE_CURVE('',#170193,#170191,#97064,.T.); #212253=EDGE_CURVE('',#170192,#170193,#97065,.T.); #212254=EDGE_CURVE('',#170194,#170192,#97066,.T.); #212255=EDGE_CURVE('',#170195,#170193,#97067,.T.); #212256=EDGE_CURVE('',#170194,#170195,#97068,.T.); #212257=EDGE_CURVE('',#170196,#170194,#97069,.T.); #212258=EDGE_CURVE('',#170197,#170195,#97070,.T.); #212259=EDGE_CURVE('',#170196,#170197,#97071,.T.); #212260=EDGE_CURVE('',#170198,#170196,#97072,.T.); #212261=EDGE_CURVE('',#170199,#170197,#97073,.T.); #212262=EDGE_CURVE('',#170198,#170199,#97074,.T.); #212263=EDGE_CURVE('',#170200,#170198,#97075,.T.); #212264=EDGE_CURVE('',#170201,#170199,#97076,.T.); #212265=EDGE_CURVE('',#170200,#170201,#97077,.T.); #212266=EDGE_CURVE('',#170202,#170200,#97078,.T.); #212267=EDGE_CURVE('',#170203,#170201,#97079,.T.); #212268=EDGE_CURVE('',#170202,#170203,#97080,.T.); #212269=EDGE_CURVE('',#170204,#170202,#97081,.T.); #212270=EDGE_CURVE('',#170205,#170203,#97082,.T.); #212271=EDGE_CURVE('',#170204,#170205,#97083,.T.); #212272=EDGE_CURVE('',#170206,#170204,#97084,.T.); #212273=EDGE_CURVE('',#170207,#170205,#97085,.T.); #212274=EDGE_CURVE('',#170206,#170207,#97086,.T.); #212275=EDGE_CURVE('',#170208,#170206,#97087,.T.); #212276=EDGE_CURVE('',#170209,#170207,#97088,.T.); #212277=EDGE_CURVE('',#170208,#170209,#97089,.T.); #212278=EDGE_CURVE('',#170210,#170208,#97090,.T.); #212279=EDGE_CURVE('',#170211,#170209,#97091,.T.); #212280=EDGE_CURVE('',#170210,#170211,#97092,.T.); #212281=EDGE_CURVE('',#170212,#170210,#97093,.T.); #212282=EDGE_CURVE('',#170213,#170211,#97094,.T.); #212283=EDGE_CURVE('',#170212,#170213,#97095,.T.); #212284=EDGE_CURVE('',#170214,#170212,#97096,.T.); #212285=EDGE_CURVE('',#170215,#170213,#97097,.T.); #212286=EDGE_CURVE('',#170214,#170215,#97098,.T.); #212287=EDGE_CURVE('',#170216,#170214,#97099,.T.); #212288=EDGE_CURVE('',#170217,#170215,#97100,.T.); #212289=EDGE_CURVE('',#170216,#170217,#97101,.T.); #212290=EDGE_CURVE('',#170218,#170216,#97102,.T.); #212291=EDGE_CURVE('',#170219,#170217,#97103,.T.); #212292=EDGE_CURVE('',#170218,#170219,#97104,.T.); #212293=EDGE_CURVE('',#170220,#170218,#97105,.T.); #212294=EDGE_CURVE('',#170221,#170219,#97106,.T.); #212295=EDGE_CURVE('',#170220,#170221,#97107,.T.); #212296=EDGE_CURVE('',#170222,#170220,#97108,.T.); #212297=EDGE_CURVE('',#170223,#170221,#97109,.T.); #212298=EDGE_CURVE('',#170222,#170223,#97110,.T.); #212299=EDGE_CURVE('',#170224,#170222,#97111,.T.); #212300=EDGE_CURVE('',#170225,#170223,#97112,.T.); #212301=EDGE_CURVE('',#170224,#170225,#97113,.T.); #212302=EDGE_CURVE('',#170226,#170224,#97114,.T.); #212303=EDGE_CURVE('',#170227,#170225,#97115,.T.); #212304=EDGE_CURVE('',#170226,#170227,#97116,.T.); #212305=EDGE_CURVE('',#170228,#170226,#97117,.T.); #212306=EDGE_CURVE('',#170229,#170227,#97118,.T.); #212307=EDGE_CURVE('',#170228,#170229,#97119,.T.); #212308=EDGE_CURVE('',#170230,#170228,#97120,.T.); #212309=EDGE_CURVE('',#170231,#170229,#97121,.T.); #212310=EDGE_CURVE('',#170230,#170231,#97122,.T.); #212311=EDGE_CURVE('',#170232,#170230,#97123,.T.); #212312=EDGE_CURVE('',#170233,#170231,#97124,.T.); #212313=EDGE_CURVE('',#170232,#170233,#97125,.T.); #212314=EDGE_CURVE('',#170234,#170232,#97126,.T.); #212315=EDGE_CURVE('',#170235,#170233,#97127,.T.); #212316=EDGE_CURVE('',#170234,#170235,#97128,.T.); #212317=EDGE_CURVE('',#170236,#170234,#97129,.T.); #212318=EDGE_CURVE('',#170237,#170235,#97130,.T.); #212319=EDGE_CURVE('',#170236,#170237,#97131,.T.); #212320=EDGE_CURVE('',#170238,#170236,#97132,.T.); #212321=EDGE_CURVE('',#170239,#170237,#97133,.T.); #212322=EDGE_CURVE('',#170238,#170239,#97134,.T.); #212323=EDGE_CURVE('',#170240,#170238,#97135,.T.); #212324=EDGE_CURVE('',#170241,#170239,#97136,.T.); #212325=EDGE_CURVE('',#170240,#170241,#97137,.T.); #212326=EDGE_CURVE('',#170242,#170240,#97138,.T.); #212327=EDGE_CURVE('',#170243,#170241,#97139,.T.); #212328=EDGE_CURVE('',#170242,#170243,#97140,.T.); #212329=EDGE_CURVE('',#170244,#170242,#97141,.T.); #212330=EDGE_CURVE('',#170245,#170243,#97142,.T.); #212331=EDGE_CURVE('',#170244,#170245,#97143,.T.); #212332=EDGE_CURVE('',#170246,#170244,#97144,.T.); #212333=EDGE_CURVE('',#170247,#170245,#97145,.T.); #212334=EDGE_CURVE('',#170246,#170247,#97146,.T.); #212335=EDGE_CURVE('',#170248,#170246,#97147,.T.); #212336=EDGE_CURVE('',#170249,#170247,#97148,.T.); #212337=EDGE_CURVE('',#170248,#170249,#97149,.T.); #212338=EDGE_CURVE('',#170250,#170248,#97150,.T.); #212339=EDGE_CURVE('',#170251,#170249,#97151,.T.); #212340=EDGE_CURVE('',#170250,#170251,#97152,.T.); #212341=EDGE_CURVE('',#170252,#170250,#97153,.T.); #212342=EDGE_CURVE('',#170253,#170251,#97154,.T.); #212343=EDGE_CURVE('',#170252,#170253,#97155,.T.); #212344=EDGE_CURVE('',#170254,#170252,#97156,.T.); #212345=EDGE_CURVE('',#170255,#170253,#97157,.T.); #212346=EDGE_CURVE('',#170254,#170255,#97158,.T.); #212347=EDGE_CURVE('',#170256,#170254,#97159,.T.); #212348=EDGE_CURVE('',#170257,#170255,#97160,.T.); #212349=EDGE_CURVE('',#170256,#170257,#97161,.T.); #212350=EDGE_CURVE('',#170258,#170256,#97162,.T.); #212351=EDGE_CURVE('',#170259,#170257,#97163,.T.); #212352=EDGE_CURVE('',#170258,#170259,#97164,.T.); #212353=EDGE_CURVE('',#170260,#170258,#97165,.T.); #212354=EDGE_CURVE('',#170261,#170259,#97166,.T.); #212355=EDGE_CURVE('',#170260,#170261,#97167,.T.); #212356=EDGE_CURVE('',#170262,#170260,#97168,.T.); #212357=EDGE_CURVE('',#170263,#170261,#97169,.T.); #212358=EDGE_CURVE('',#170262,#170263,#97170,.T.); #212359=EDGE_CURVE('',#170264,#170262,#97171,.T.); #212360=EDGE_CURVE('',#170265,#170263,#97172,.T.); #212361=EDGE_CURVE('',#170264,#170265,#97173,.T.); #212362=EDGE_CURVE('',#170266,#170264,#97174,.T.); #212363=EDGE_CURVE('',#170267,#170265,#97175,.T.); #212364=EDGE_CURVE('',#170266,#170267,#97176,.T.); #212365=EDGE_CURVE('',#170268,#170266,#97177,.T.); #212366=EDGE_CURVE('',#170269,#170267,#97178,.T.); #212367=EDGE_CURVE('',#170268,#170269,#97179,.T.); #212368=EDGE_CURVE('',#170270,#170268,#97180,.T.); #212369=EDGE_CURVE('',#170271,#170269,#97181,.T.); #212370=EDGE_CURVE('',#170270,#170271,#97182,.T.); #212371=EDGE_CURVE('',#170272,#170270,#97183,.T.); #212372=EDGE_CURVE('',#170273,#170271,#97184,.T.); #212373=EDGE_CURVE('',#170272,#170273,#97185,.T.); #212374=EDGE_CURVE('',#170274,#170272,#97186,.T.); #212375=EDGE_CURVE('',#170275,#170273,#97187,.T.); #212376=EDGE_CURVE('',#170274,#170275,#97188,.T.); #212377=EDGE_CURVE('',#170276,#170274,#97189,.T.); #212378=EDGE_CURVE('',#170277,#170275,#97190,.T.); #212379=EDGE_CURVE('',#170276,#170277,#97191,.T.); #212380=EDGE_CURVE('',#170278,#170276,#97192,.T.); #212381=EDGE_CURVE('',#170279,#170277,#97193,.T.); #212382=EDGE_CURVE('',#170278,#170279,#97194,.T.); #212383=EDGE_CURVE('',#170280,#170278,#97195,.T.); #212384=EDGE_CURVE('',#170281,#170279,#97196,.T.); #212385=EDGE_CURVE('',#170280,#170281,#97197,.T.); #212386=EDGE_CURVE('',#170282,#170280,#97198,.T.); #212387=EDGE_CURVE('',#170283,#170281,#97199,.T.); #212388=EDGE_CURVE('',#170282,#170283,#97200,.T.); #212389=EDGE_CURVE('',#170284,#170282,#97201,.T.); #212390=EDGE_CURVE('',#170285,#170283,#97202,.T.); #212391=EDGE_CURVE('',#170284,#170285,#97203,.T.); #212392=EDGE_CURVE('',#170286,#170284,#97204,.T.); #212393=EDGE_CURVE('',#170287,#170285,#97205,.T.); #212394=EDGE_CURVE('',#170286,#170287,#97206,.T.); #212395=EDGE_CURVE('',#170288,#170286,#97207,.T.); #212396=EDGE_CURVE('',#170289,#170287,#97208,.T.); #212397=EDGE_CURVE('',#170288,#170289,#97209,.T.); #212398=EDGE_CURVE('',#170290,#170288,#97210,.T.); #212399=EDGE_CURVE('',#170291,#170289,#97211,.T.); #212400=EDGE_CURVE('',#170290,#170291,#97212,.T.); #212401=EDGE_CURVE('',#170292,#170290,#97213,.T.); #212402=EDGE_CURVE('',#170293,#170291,#97214,.T.); #212403=EDGE_CURVE('',#170292,#170293,#97215,.T.); #212404=EDGE_CURVE('',#170294,#170292,#97216,.T.); #212405=EDGE_CURVE('',#170295,#170293,#97217,.T.); #212406=EDGE_CURVE('',#170294,#170295,#97218,.T.); #212407=EDGE_CURVE('',#170296,#170294,#97219,.T.); #212408=EDGE_CURVE('',#170297,#170295,#97220,.T.); #212409=EDGE_CURVE('',#170296,#170297,#97221,.T.); #212410=EDGE_CURVE('',#170298,#170296,#97222,.T.); #212411=EDGE_CURVE('',#170299,#170297,#97223,.T.); #212412=EDGE_CURVE('',#170298,#170299,#97224,.T.); #212413=EDGE_CURVE('',#170300,#170298,#97225,.T.); #212414=EDGE_CURVE('',#170301,#170299,#97226,.T.); #212415=EDGE_CURVE('',#170300,#170301,#97227,.T.); #212416=EDGE_CURVE('',#170302,#170300,#97228,.T.); #212417=EDGE_CURVE('',#170303,#170301,#97229,.T.); #212418=EDGE_CURVE('',#170302,#170303,#97230,.T.); #212419=EDGE_CURVE('',#170304,#170302,#97231,.T.); #212420=EDGE_CURVE('',#170305,#170303,#97232,.T.); #212421=EDGE_CURVE('',#170304,#170305,#97233,.T.); #212422=EDGE_CURVE('',#170306,#170304,#97234,.T.); #212423=EDGE_CURVE('',#170307,#170305,#97235,.T.); #212424=EDGE_CURVE('',#170306,#170307,#97236,.T.); #212425=EDGE_CURVE('',#170308,#170306,#97237,.T.); #212426=EDGE_CURVE('',#170309,#170307,#97238,.T.); #212427=EDGE_CURVE('',#170308,#170309,#97239,.T.); #212428=EDGE_CURVE('',#170310,#170308,#97240,.T.); #212429=EDGE_CURVE('',#170311,#170309,#97241,.T.); #212430=EDGE_CURVE('',#170310,#170311,#97242,.T.); #212431=EDGE_CURVE('',#170312,#170310,#97243,.T.); #212432=EDGE_CURVE('',#170313,#170311,#97244,.T.); #212433=EDGE_CURVE('',#170312,#170313,#97245,.T.); #212434=EDGE_CURVE('',#170314,#170312,#97246,.T.); #212435=EDGE_CURVE('',#170315,#170313,#97247,.T.); #212436=EDGE_CURVE('',#170314,#170315,#97248,.T.); #212437=EDGE_CURVE('',#170316,#170314,#97249,.T.); #212438=EDGE_CURVE('',#170317,#170315,#97250,.T.); #212439=EDGE_CURVE('',#170316,#170317,#97251,.T.); #212440=EDGE_CURVE('',#170318,#170316,#97252,.T.); #212441=EDGE_CURVE('',#170319,#170317,#97253,.T.); #212442=EDGE_CURVE('',#170318,#170319,#97254,.T.); #212443=EDGE_CURVE('',#170320,#170318,#97255,.T.); #212444=EDGE_CURVE('',#170321,#170319,#97256,.T.); #212445=EDGE_CURVE('',#170320,#170321,#97257,.T.); #212446=EDGE_CURVE('',#170322,#170320,#97258,.T.); #212447=EDGE_CURVE('',#170323,#170321,#97259,.T.); #212448=EDGE_CURVE('',#170322,#170323,#97260,.T.); #212449=EDGE_CURVE('',#170324,#170322,#97261,.T.); #212450=EDGE_CURVE('',#170325,#170323,#97262,.T.); #212451=EDGE_CURVE('',#170324,#170325,#97263,.T.); #212452=EDGE_CURVE('',#170326,#170324,#97264,.T.); #212453=EDGE_CURVE('',#170327,#170325,#97265,.T.); #212454=EDGE_CURVE('',#170326,#170327,#97266,.T.); #212455=EDGE_CURVE('',#170328,#170326,#97267,.T.); #212456=EDGE_CURVE('',#170329,#170327,#97268,.T.); #212457=EDGE_CURVE('',#170328,#170329,#97269,.T.); #212458=EDGE_CURVE('',#170330,#170328,#97270,.T.); #212459=EDGE_CURVE('',#170331,#170329,#97271,.T.); #212460=EDGE_CURVE('',#170330,#170331,#97272,.T.); #212461=EDGE_CURVE('',#170332,#170330,#97273,.T.); #212462=EDGE_CURVE('',#170333,#170331,#97274,.T.); #212463=EDGE_CURVE('',#170332,#170333,#97275,.T.); #212464=EDGE_CURVE('',#170334,#170332,#97276,.T.); #212465=EDGE_CURVE('',#170335,#170333,#97277,.T.); #212466=EDGE_CURVE('',#170334,#170335,#97278,.T.); #212467=EDGE_CURVE('',#170336,#170334,#97279,.T.); #212468=EDGE_CURVE('',#170337,#170335,#97280,.T.); #212469=EDGE_CURVE('',#170336,#170337,#97281,.T.); #212470=EDGE_CURVE('',#170338,#170336,#97282,.T.); #212471=EDGE_CURVE('',#170339,#170337,#97283,.T.); #212472=EDGE_CURVE('',#170338,#170339,#97284,.T.); #212473=EDGE_CURVE('',#170340,#170338,#97285,.T.); #212474=EDGE_CURVE('',#170341,#170339,#97286,.T.); #212475=EDGE_CURVE('',#170340,#170341,#97287,.T.); #212476=EDGE_CURVE('',#170342,#170340,#97288,.T.); #212477=EDGE_CURVE('',#170343,#170341,#97289,.T.); #212478=EDGE_CURVE('',#170342,#170343,#97290,.T.); #212479=EDGE_CURVE('',#170344,#170342,#97291,.T.); #212480=EDGE_CURVE('',#170345,#170343,#97292,.T.); #212481=EDGE_CURVE('',#170344,#170345,#97293,.T.); #212482=EDGE_CURVE('',#170346,#170344,#97294,.T.); #212483=EDGE_CURVE('',#170347,#170345,#97295,.T.); #212484=EDGE_CURVE('',#170346,#170347,#97296,.T.); #212485=EDGE_CURVE('',#170348,#170346,#97297,.T.); #212486=EDGE_CURVE('',#170349,#170347,#97298,.T.); #212487=EDGE_CURVE('',#170348,#170349,#97299,.T.); #212488=EDGE_CURVE('',#170350,#170348,#97300,.T.); #212489=EDGE_CURVE('',#170351,#170349,#97301,.T.); #212490=EDGE_CURVE('',#170350,#170351,#97302,.T.); #212491=EDGE_CURVE('',#170352,#170350,#97303,.T.); #212492=EDGE_CURVE('',#170353,#170351,#97304,.T.); #212493=EDGE_CURVE('',#170352,#170353,#97305,.T.); #212494=EDGE_CURVE('',#170354,#170352,#97306,.T.); #212495=EDGE_CURVE('',#170355,#170353,#97307,.T.); #212496=EDGE_CURVE('',#170354,#170355,#97308,.T.); #212497=EDGE_CURVE('',#170356,#170354,#97309,.T.); #212498=EDGE_CURVE('',#170357,#170355,#97310,.T.); #212499=EDGE_CURVE('',#170356,#170357,#97311,.T.); #212500=EDGE_CURVE('',#170358,#170356,#97312,.T.); #212501=EDGE_CURVE('',#170359,#170357,#97313,.T.); #212502=EDGE_CURVE('',#170358,#170359,#97314,.T.); #212503=EDGE_CURVE('',#170360,#170358,#97315,.T.); #212504=EDGE_CURVE('',#170361,#170359,#97316,.T.); #212505=EDGE_CURVE('',#170360,#170361,#97317,.T.); #212506=EDGE_CURVE('',#170362,#170360,#97318,.T.); #212507=EDGE_CURVE('',#170363,#170361,#97319,.T.); #212508=EDGE_CURVE('',#170362,#170363,#97320,.T.); #212509=EDGE_CURVE('',#170364,#170362,#97321,.T.); #212510=EDGE_CURVE('',#170365,#170363,#97322,.T.); #212511=EDGE_CURVE('',#170364,#170365,#97323,.T.); #212512=EDGE_CURVE('',#170366,#170364,#97324,.T.); #212513=EDGE_CURVE('',#170367,#170365,#97325,.T.); #212514=EDGE_CURVE('',#170366,#170367,#97326,.T.); #212515=EDGE_CURVE('',#170368,#170366,#97327,.T.); #212516=EDGE_CURVE('',#170369,#170367,#97328,.T.); #212517=EDGE_CURVE('',#170368,#170369,#97329,.T.); #212518=EDGE_CURVE('',#170370,#170368,#97330,.T.); #212519=EDGE_CURVE('',#170371,#170369,#97331,.T.); #212520=EDGE_CURVE('',#170370,#170371,#97332,.T.); #212521=EDGE_CURVE('',#170372,#170370,#97333,.T.); #212522=EDGE_CURVE('',#170373,#170371,#97334,.T.); #212523=EDGE_CURVE('',#170372,#170373,#97335,.T.); #212524=EDGE_CURVE('',#170374,#170372,#97336,.T.); #212525=EDGE_CURVE('',#170375,#170373,#97337,.T.); #212526=EDGE_CURVE('',#170374,#170375,#97338,.T.); #212527=EDGE_CURVE('',#170376,#170374,#97339,.T.); #212528=EDGE_CURVE('',#170377,#170375,#97340,.T.); #212529=EDGE_CURVE('',#170376,#170377,#97341,.T.); #212530=EDGE_CURVE('',#170378,#170376,#97342,.T.); #212531=EDGE_CURVE('',#170379,#170377,#97343,.T.); #212532=EDGE_CURVE('',#170378,#170379,#97344,.T.); #212533=EDGE_CURVE('',#170380,#170378,#97345,.T.); #212534=EDGE_CURVE('',#170381,#170379,#97346,.T.); #212535=EDGE_CURVE('',#170380,#170381,#97347,.T.); #212536=EDGE_CURVE('',#170382,#170380,#97348,.T.); #212537=EDGE_CURVE('',#170383,#170381,#97349,.T.); #212538=EDGE_CURVE('',#170382,#170383,#97350,.T.); #212539=EDGE_CURVE('',#170384,#170382,#97351,.T.); #212540=EDGE_CURVE('',#170385,#170383,#97352,.T.); #212541=EDGE_CURVE('',#170384,#170385,#97353,.T.); #212542=EDGE_CURVE('',#170386,#170384,#97354,.T.); #212543=EDGE_CURVE('',#170387,#170385,#97355,.T.); #212544=EDGE_CURVE('',#170386,#170387,#97356,.T.); #212545=EDGE_CURVE('',#170388,#170386,#97357,.T.); #212546=EDGE_CURVE('',#170389,#170387,#97358,.T.); #212547=EDGE_CURVE('',#170388,#170389,#97359,.T.); #212548=EDGE_CURVE('',#170390,#170388,#97360,.T.); #212549=EDGE_CURVE('',#170391,#170389,#97361,.T.); #212550=EDGE_CURVE('',#170390,#170391,#97362,.T.); #212551=EDGE_CURVE('',#170392,#170390,#97363,.T.); #212552=EDGE_CURVE('',#170393,#170391,#97364,.T.); #212553=EDGE_CURVE('',#170392,#170393,#97365,.T.); #212554=EDGE_CURVE('',#170394,#170392,#97366,.T.); #212555=EDGE_CURVE('',#170395,#170393,#97367,.T.); #212556=EDGE_CURVE('',#170394,#170395,#97368,.T.); #212557=EDGE_CURVE('',#170396,#170394,#97369,.T.); #212558=EDGE_CURVE('',#170397,#170395,#97370,.T.); #212559=EDGE_CURVE('',#170396,#170397,#97371,.T.); #212560=EDGE_CURVE('',#170398,#170396,#97372,.T.); #212561=EDGE_CURVE('',#170399,#170397,#97373,.T.); #212562=EDGE_CURVE('',#170398,#170399,#97374,.T.); #212563=EDGE_CURVE('',#170400,#170398,#97375,.T.); #212564=EDGE_CURVE('',#170401,#170399,#97376,.T.); #212565=EDGE_CURVE('',#170400,#170401,#97377,.T.); #212566=EDGE_CURVE('',#170402,#170400,#97378,.T.); #212567=EDGE_CURVE('',#170403,#170401,#97379,.T.); #212568=EDGE_CURVE('',#170402,#170403,#97380,.T.); #212569=EDGE_CURVE('',#170404,#170402,#97381,.T.); #212570=EDGE_CURVE('',#170405,#170403,#97382,.T.); #212571=EDGE_CURVE('',#170404,#170405,#97383,.T.); #212572=EDGE_CURVE('',#170406,#170404,#97384,.T.); #212573=EDGE_CURVE('',#170407,#170405,#97385,.T.); #212574=EDGE_CURVE('',#170406,#170407,#97386,.T.); #212575=EDGE_CURVE('',#170408,#170406,#97387,.T.); #212576=EDGE_CURVE('',#170409,#170407,#97388,.T.); #212577=EDGE_CURVE('',#170408,#170409,#97389,.T.); #212578=EDGE_CURVE('',#170410,#170408,#97390,.T.); #212579=EDGE_CURVE('',#170411,#170409,#97391,.T.); #212580=EDGE_CURVE('',#170410,#170411,#97392,.T.); #212581=EDGE_CURVE('',#170412,#170410,#97393,.T.); #212582=EDGE_CURVE('',#170413,#170411,#97394,.T.); #212583=EDGE_CURVE('',#170412,#170413,#97395,.T.); #212584=EDGE_CURVE('',#170414,#170412,#97396,.T.); #212585=EDGE_CURVE('',#170415,#170413,#97397,.T.); #212586=EDGE_CURVE('',#170414,#170415,#97398,.T.); #212587=EDGE_CURVE('',#170416,#170414,#97399,.T.); #212588=EDGE_CURVE('',#170417,#170415,#97400,.T.); #212589=EDGE_CURVE('',#170416,#170417,#97401,.T.); #212590=EDGE_CURVE('',#170418,#170416,#97402,.T.); #212591=EDGE_CURVE('',#170419,#170417,#97403,.T.); #212592=EDGE_CURVE('',#170418,#170419,#97404,.T.); #212593=EDGE_CURVE('',#170420,#170418,#97405,.T.); #212594=EDGE_CURVE('',#170421,#170419,#97406,.T.); #212595=EDGE_CURVE('',#170420,#170421,#97407,.T.); #212596=EDGE_CURVE('',#170422,#170420,#97408,.T.); #212597=EDGE_CURVE('',#170423,#170421,#97409,.T.); #212598=EDGE_CURVE('',#170422,#170423,#97410,.T.); #212599=EDGE_CURVE('',#170424,#170422,#97411,.T.); #212600=EDGE_CURVE('',#170425,#170423,#97412,.T.); #212601=EDGE_CURVE('',#170424,#170425,#97413,.T.); #212602=EDGE_CURVE('',#170426,#170424,#97414,.T.); #212603=EDGE_CURVE('',#170427,#170425,#97415,.T.); #212604=EDGE_CURVE('',#170426,#170427,#97416,.T.); #212605=EDGE_CURVE('',#170428,#170426,#97417,.T.); #212606=EDGE_CURVE('',#170429,#170427,#97418,.T.); #212607=EDGE_CURVE('',#170428,#170429,#97419,.T.); #212608=EDGE_CURVE('',#170430,#170428,#97420,.T.); #212609=EDGE_CURVE('',#170431,#170429,#97421,.T.); #212610=EDGE_CURVE('',#170430,#170431,#97422,.T.); #212611=EDGE_CURVE('',#170432,#170430,#97423,.T.); #212612=EDGE_CURVE('',#170433,#170431,#97424,.T.); #212613=EDGE_CURVE('',#170432,#170433,#97425,.T.); #212614=EDGE_CURVE('',#170434,#170432,#97426,.T.); #212615=EDGE_CURVE('',#170435,#170433,#97427,.T.); #212616=EDGE_CURVE('',#170434,#170435,#97428,.T.); #212617=EDGE_CURVE('',#170436,#170434,#97429,.T.); #212618=EDGE_CURVE('',#170437,#170435,#97430,.T.); #212619=EDGE_CURVE('',#170436,#170437,#97431,.T.); #212620=EDGE_CURVE('',#170438,#170436,#97432,.T.); #212621=EDGE_CURVE('',#170439,#170437,#97433,.T.); #212622=EDGE_CURVE('',#170438,#170439,#97434,.T.); #212623=EDGE_CURVE('',#170440,#170438,#97435,.T.); #212624=EDGE_CURVE('',#170441,#170439,#97436,.T.); #212625=EDGE_CURVE('',#170440,#170441,#97437,.T.); #212626=EDGE_CURVE('',#170442,#170440,#97438,.T.); #212627=EDGE_CURVE('',#170443,#170441,#97439,.T.); #212628=EDGE_CURVE('',#170442,#170443,#97440,.T.); #212629=EDGE_CURVE('',#170444,#170442,#97441,.T.); #212630=EDGE_CURVE('',#170445,#170443,#97442,.T.); #212631=EDGE_CURVE('',#170444,#170445,#97443,.T.); #212632=EDGE_CURVE('',#170446,#170444,#97444,.T.); #212633=EDGE_CURVE('',#170447,#170445,#97445,.T.); #212634=EDGE_CURVE('',#170446,#170447,#97446,.T.); #212635=EDGE_CURVE('',#170448,#170446,#97447,.T.); #212636=EDGE_CURVE('',#170449,#170447,#97448,.T.); #212637=EDGE_CURVE('',#170448,#170449,#97449,.T.); #212638=EDGE_CURVE('',#170450,#170448,#97450,.T.); #212639=EDGE_CURVE('',#170451,#170449,#97451,.T.); #212640=EDGE_CURVE('',#170450,#170451,#97452,.T.); #212641=EDGE_CURVE('',#170452,#170450,#97453,.T.); #212642=EDGE_CURVE('',#170453,#170451,#97454,.T.); #212643=EDGE_CURVE('',#170452,#170453,#97455,.T.); #212644=EDGE_CURVE('',#170454,#170452,#97456,.T.); #212645=EDGE_CURVE('',#170455,#170453,#97457,.T.); #212646=EDGE_CURVE('',#170454,#170455,#97458,.T.); #212647=EDGE_CURVE('',#170456,#170454,#97459,.T.); #212648=EDGE_CURVE('',#170457,#170455,#97460,.T.); #212649=EDGE_CURVE('',#170456,#170457,#97461,.T.); #212650=EDGE_CURVE('',#170458,#170456,#97462,.T.); #212651=EDGE_CURVE('',#170459,#170457,#97463,.T.); #212652=EDGE_CURVE('',#170458,#170459,#97464,.T.); #212653=EDGE_CURVE('',#170460,#170458,#97465,.T.); #212654=EDGE_CURVE('',#170461,#170459,#97466,.T.); #212655=EDGE_CURVE('',#170460,#170461,#97467,.T.); #212656=EDGE_CURVE('',#170462,#170460,#97468,.T.); #212657=EDGE_CURVE('',#170463,#170461,#97469,.T.); #212658=EDGE_CURVE('',#170462,#170463,#97470,.T.); #212659=EDGE_CURVE('',#170464,#170462,#97471,.T.); #212660=EDGE_CURVE('',#170465,#170463,#97472,.T.); #212661=EDGE_CURVE('',#170464,#170465,#97473,.T.); #212662=EDGE_CURVE('',#170466,#170464,#97474,.T.); #212663=EDGE_CURVE('',#170467,#170465,#97475,.T.); #212664=EDGE_CURVE('',#170466,#170467,#97476,.T.); #212665=EDGE_CURVE('',#170468,#170466,#97477,.T.); #212666=EDGE_CURVE('',#170469,#170467,#97478,.T.); #212667=EDGE_CURVE('',#170468,#170469,#97479,.T.); #212668=EDGE_CURVE('',#170470,#170468,#97480,.T.); #212669=EDGE_CURVE('',#170471,#170469,#97481,.T.); #212670=EDGE_CURVE('',#170470,#170471,#97482,.T.); #212671=EDGE_CURVE('',#170472,#170470,#97483,.T.); #212672=EDGE_CURVE('',#170473,#170471,#97484,.T.); #212673=EDGE_CURVE('',#170472,#170473,#97485,.T.); #212674=EDGE_CURVE('',#170474,#170472,#97486,.T.); #212675=EDGE_CURVE('',#170475,#170473,#97487,.T.); #212676=EDGE_CURVE('',#170474,#170475,#97488,.T.); #212677=EDGE_CURVE('',#170476,#170474,#97489,.T.); #212678=EDGE_CURVE('',#170477,#170475,#97490,.T.); #212679=EDGE_CURVE('',#170476,#170477,#97491,.T.); #212680=EDGE_CURVE('',#170478,#170476,#97492,.T.); #212681=EDGE_CURVE('',#170479,#170477,#97493,.T.); #212682=EDGE_CURVE('',#170478,#170479,#97494,.T.); #212683=EDGE_CURVE('',#170480,#170478,#97495,.T.); #212684=EDGE_CURVE('',#170481,#170479,#97496,.T.); #212685=EDGE_CURVE('',#170480,#170481,#97497,.T.); #212686=EDGE_CURVE('',#170482,#170480,#97498,.T.); #212687=EDGE_CURVE('',#170483,#170481,#97499,.T.); #212688=EDGE_CURVE('',#170482,#170483,#97500,.T.); #212689=EDGE_CURVE('',#170484,#170482,#97501,.T.); #212690=EDGE_CURVE('',#170485,#170483,#97502,.T.); #212691=EDGE_CURVE('',#170484,#170485,#97503,.T.); #212692=EDGE_CURVE('',#170486,#170484,#97504,.T.); #212693=EDGE_CURVE('',#170487,#170485,#97505,.T.); #212694=EDGE_CURVE('',#170486,#170487,#97506,.T.); #212695=EDGE_CURVE('',#170488,#170486,#97507,.T.); #212696=EDGE_CURVE('',#170489,#170487,#97508,.T.); #212697=EDGE_CURVE('',#170488,#170489,#97509,.T.); #212698=EDGE_CURVE('',#170490,#170488,#97510,.T.); #212699=EDGE_CURVE('',#170491,#170489,#97511,.T.); #212700=EDGE_CURVE('',#170490,#170491,#97512,.T.); #212701=EDGE_CURVE('',#170492,#170490,#97513,.T.); #212702=EDGE_CURVE('',#170493,#170491,#97514,.T.); #212703=EDGE_CURVE('',#170492,#170493,#97515,.T.); #212704=EDGE_CURVE('',#170494,#170492,#97516,.T.); #212705=EDGE_CURVE('',#170495,#170493,#97517,.T.); #212706=EDGE_CURVE('',#170494,#170495,#97518,.T.); #212707=EDGE_CURVE('',#170496,#170494,#97519,.T.); #212708=EDGE_CURVE('',#170497,#170495,#97520,.T.); #212709=EDGE_CURVE('',#170496,#170497,#97521,.T.); #212710=EDGE_CURVE('',#170498,#170496,#97522,.T.); #212711=EDGE_CURVE('',#170499,#170497,#97523,.T.); #212712=EDGE_CURVE('',#170498,#170499,#97524,.T.); #212713=EDGE_CURVE('',#170500,#170498,#97525,.T.); #212714=EDGE_CURVE('',#170501,#170499,#97526,.T.); #212715=EDGE_CURVE('',#170500,#170501,#97527,.T.); #212716=EDGE_CURVE('',#170502,#170500,#97528,.T.); #212717=EDGE_CURVE('',#170503,#170501,#97529,.T.); #212718=EDGE_CURVE('',#170502,#170503,#97530,.T.); #212719=EDGE_CURVE('',#170504,#170502,#97531,.T.); #212720=EDGE_CURVE('',#170505,#170503,#97532,.T.); #212721=EDGE_CURVE('',#170504,#170505,#97533,.T.); #212722=EDGE_CURVE('',#170506,#170504,#97534,.T.); #212723=EDGE_CURVE('',#170507,#170505,#97535,.T.); #212724=EDGE_CURVE('',#170506,#170507,#97536,.T.); #212725=EDGE_CURVE('',#170508,#170506,#97537,.T.); #212726=EDGE_CURVE('',#170509,#170507,#97538,.T.); #212727=EDGE_CURVE('',#170508,#170509,#97539,.T.); #212728=EDGE_CURVE('',#170510,#170508,#97540,.T.); #212729=EDGE_CURVE('',#170511,#170509,#97541,.T.); #212730=EDGE_CURVE('',#170510,#170511,#97542,.T.); #212731=EDGE_CURVE('',#170512,#170510,#97543,.T.); #212732=EDGE_CURVE('',#170513,#170511,#97544,.T.); #212733=EDGE_CURVE('',#170512,#170513,#97545,.T.); #212734=EDGE_CURVE('',#170514,#170512,#97546,.T.); #212735=EDGE_CURVE('',#170515,#170513,#97547,.T.); #212736=EDGE_CURVE('',#170514,#170515,#97548,.T.); #212737=EDGE_CURVE('',#170516,#170514,#97549,.T.); #212738=EDGE_CURVE('',#170517,#170515,#97550,.T.); #212739=EDGE_CURVE('',#170516,#170517,#97551,.T.); #212740=EDGE_CURVE('',#170518,#170516,#97552,.T.); #212741=EDGE_CURVE('',#170519,#170517,#97553,.T.); #212742=EDGE_CURVE('',#170518,#170519,#97554,.T.); #212743=EDGE_CURVE('',#170520,#170518,#97555,.T.); #212744=EDGE_CURVE('',#170521,#170519,#97556,.T.); #212745=EDGE_CURVE('',#170520,#170521,#97557,.T.); #212746=EDGE_CURVE('',#170522,#170520,#97558,.T.); #212747=EDGE_CURVE('',#170523,#170521,#97559,.T.); #212748=EDGE_CURVE('',#170522,#170523,#97560,.T.); #212749=EDGE_CURVE('',#170524,#170522,#97561,.T.); #212750=EDGE_CURVE('',#170525,#170523,#97562,.T.); #212751=EDGE_CURVE('',#170524,#170525,#97563,.T.); #212752=EDGE_CURVE('',#170526,#170524,#97564,.T.); #212753=EDGE_CURVE('',#170527,#170525,#97565,.T.); #212754=EDGE_CURVE('',#170526,#170527,#97566,.T.); #212755=EDGE_CURVE('',#170528,#170526,#97567,.T.); #212756=EDGE_CURVE('',#170529,#170527,#97568,.T.); #212757=EDGE_CURVE('',#170528,#170529,#97569,.T.); #212758=EDGE_CURVE('',#170530,#170528,#97570,.T.); #212759=EDGE_CURVE('',#170531,#170529,#97571,.T.); #212760=EDGE_CURVE('',#170530,#170531,#97572,.T.); #212761=EDGE_CURVE('',#170532,#170530,#97573,.T.); #212762=EDGE_CURVE('',#170533,#170531,#97574,.T.); #212763=EDGE_CURVE('',#170532,#170533,#97575,.T.); #212764=EDGE_CURVE('',#170534,#170532,#97576,.T.); #212765=EDGE_CURVE('',#170535,#170533,#97577,.T.); #212766=EDGE_CURVE('',#170534,#170535,#97578,.T.); #212767=EDGE_CURVE('',#170536,#170534,#97579,.T.); #212768=EDGE_CURVE('',#170537,#170535,#97580,.T.); #212769=EDGE_CURVE('',#170536,#170537,#97581,.T.); #212770=EDGE_CURVE('',#170538,#170536,#97582,.T.); #212771=EDGE_CURVE('',#170539,#170537,#97583,.T.); #212772=EDGE_CURVE('',#170538,#170539,#97584,.T.); #212773=EDGE_CURVE('',#170540,#170538,#97585,.T.); #212774=EDGE_CURVE('',#170541,#170539,#97586,.T.); #212775=EDGE_CURVE('',#170540,#170541,#97587,.T.); #212776=EDGE_CURVE('',#170542,#170540,#97588,.T.); #212777=EDGE_CURVE('',#170543,#170541,#97589,.T.); #212778=EDGE_CURVE('',#170542,#170543,#97590,.T.); #212779=EDGE_CURVE('',#170544,#170542,#97591,.T.); #212780=EDGE_CURVE('',#170545,#170543,#97592,.T.); #212781=EDGE_CURVE('',#170544,#170545,#97593,.T.); #212782=EDGE_CURVE('',#169255,#170544,#97594,.T.); #212783=EDGE_CURVE('',#169256,#170545,#97595,.T.); #212784=EDGE_CURVE('',#170546,#170547,#97596,.T.); #212785=EDGE_CURVE('',#170547,#170548,#97597,.T.); #212786=EDGE_CURVE('',#170549,#170548,#97598,.T.); #212787=EDGE_CURVE('',#170546,#170549,#97599,.T.); #212788=EDGE_CURVE('',#170550,#170546,#97600,.T.); #212789=EDGE_CURVE('',#170551,#170549,#97601,.T.); #212790=EDGE_CURVE('',#170550,#170551,#97602,.T.); #212791=EDGE_CURVE('',#170552,#170550,#97603,.T.); #212792=EDGE_CURVE('',#170553,#170551,#97604,.T.); #212793=EDGE_CURVE('',#170552,#170553,#97605,.T.); #212794=EDGE_CURVE('',#170554,#170552,#97606,.T.); #212795=EDGE_CURVE('',#170555,#170553,#97607,.T.); #212796=EDGE_CURVE('',#170554,#170555,#97608,.T.); #212797=EDGE_CURVE('',#170556,#170554,#97609,.T.); #212798=EDGE_CURVE('',#170557,#170555,#97610,.T.); #212799=EDGE_CURVE('',#170556,#170557,#97611,.T.); #212800=EDGE_CURVE('',#170558,#170556,#97612,.T.); #212801=EDGE_CURVE('',#170559,#170557,#97613,.T.); #212802=EDGE_CURVE('',#170558,#170559,#97614,.T.); #212803=EDGE_CURVE('',#170560,#170558,#97615,.T.); #212804=EDGE_CURVE('',#170561,#170559,#97616,.T.); #212805=EDGE_CURVE('',#170560,#170561,#97617,.T.); #212806=EDGE_CURVE('',#170562,#170560,#97618,.T.); #212807=EDGE_CURVE('',#170563,#170561,#97619,.T.); #212808=EDGE_CURVE('',#170562,#170563,#97620,.T.); #212809=EDGE_CURVE('',#170564,#170562,#97621,.T.); #212810=EDGE_CURVE('',#170565,#170563,#97622,.T.); #212811=EDGE_CURVE('',#170564,#170565,#97623,.T.); #212812=EDGE_CURVE('',#170566,#170564,#97624,.T.); #212813=EDGE_CURVE('',#170567,#170565,#97625,.T.); #212814=EDGE_CURVE('',#170566,#170567,#97626,.T.); #212815=EDGE_CURVE('',#170568,#170566,#97627,.T.); #212816=EDGE_CURVE('',#170569,#170567,#97628,.T.); #212817=EDGE_CURVE('',#170568,#170569,#97629,.T.); #212818=EDGE_CURVE('',#170570,#170568,#97630,.T.); #212819=EDGE_CURVE('',#170571,#170569,#97631,.T.); #212820=EDGE_CURVE('',#170570,#170571,#97632,.T.); #212821=EDGE_CURVE('',#170572,#170570,#97633,.T.); #212822=EDGE_CURVE('',#170573,#170571,#97634,.T.); #212823=EDGE_CURVE('',#170572,#170573,#97635,.T.); #212824=EDGE_CURVE('',#170574,#170572,#97636,.T.); #212825=EDGE_CURVE('',#170575,#170573,#97637,.T.); #212826=EDGE_CURVE('',#170574,#170575,#97638,.T.); #212827=EDGE_CURVE('',#170576,#170574,#97639,.T.); #212828=EDGE_CURVE('',#170577,#170575,#97640,.T.); #212829=EDGE_CURVE('',#170576,#170577,#97641,.T.); #212830=EDGE_CURVE('',#170578,#170576,#97642,.T.); #212831=EDGE_CURVE('',#170579,#170577,#97643,.T.); #212832=EDGE_CURVE('',#170578,#170579,#97644,.T.); #212833=EDGE_CURVE('',#170580,#170578,#97645,.T.); #212834=EDGE_CURVE('',#170581,#170579,#97646,.T.); #212835=EDGE_CURVE('',#170580,#170581,#97647,.T.); #212836=EDGE_CURVE('',#170582,#170580,#97648,.T.); #212837=EDGE_CURVE('',#170583,#170581,#97649,.T.); #212838=EDGE_CURVE('',#170582,#170583,#97650,.T.); #212839=EDGE_CURVE('',#170584,#170582,#97651,.T.); #212840=EDGE_CURVE('',#170585,#170583,#97652,.T.); #212841=EDGE_CURVE('',#170584,#170585,#97653,.T.); #212842=EDGE_CURVE('',#170586,#170584,#97654,.T.); #212843=EDGE_CURVE('',#170587,#170585,#97655,.T.); #212844=EDGE_CURVE('',#170586,#170587,#97656,.T.); #212845=EDGE_CURVE('',#170588,#170586,#97657,.T.); #212846=EDGE_CURVE('',#170589,#170587,#97658,.T.); #212847=EDGE_CURVE('',#170588,#170589,#97659,.T.); #212848=EDGE_CURVE('',#170590,#170588,#97660,.T.); #212849=EDGE_CURVE('',#170591,#170589,#97661,.T.); #212850=EDGE_CURVE('',#170590,#170591,#97662,.T.); #212851=EDGE_CURVE('',#170592,#170590,#97663,.T.); #212852=EDGE_CURVE('',#170593,#170591,#97664,.T.); #212853=EDGE_CURVE('',#170592,#170593,#97665,.T.); #212854=EDGE_CURVE('',#170594,#170592,#97666,.T.); #212855=EDGE_CURVE('',#170595,#170593,#97667,.T.); #212856=EDGE_CURVE('',#170594,#170595,#97668,.T.); #212857=EDGE_CURVE('',#170596,#170594,#97669,.T.); #212858=EDGE_CURVE('',#170597,#170595,#97670,.T.); #212859=EDGE_CURVE('',#170596,#170597,#97671,.T.); #212860=EDGE_CURVE('',#170598,#170596,#97672,.T.); #212861=EDGE_CURVE('',#170599,#170597,#97673,.T.); #212862=EDGE_CURVE('',#170598,#170599,#97674,.T.); #212863=EDGE_CURVE('',#170600,#170598,#97675,.T.); #212864=EDGE_CURVE('',#170601,#170599,#97676,.T.); #212865=EDGE_CURVE('',#170600,#170601,#97677,.T.); #212866=EDGE_CURVE('',#170602,#170600,#97678,.T.); #212867=EDGE_CURVE('',#170603,#170601,#97679,.T.); #212868=EDGE_CURVE('',#170602,#170603,#97680,.T.); #212869=EDGE_CURVE('',#170604,#170602,#97681,.T.); #212870=EDGE_CURVE('',#170605,#170603,#97682,.T.); #212871=EDGE_CURVE('',#170604,#170605,#97683,.T.); #212872=EDGE_CURVE('',#170606,#170604,#97684,.T.); #212873=EDGE_CURVE('',#170607,#170605,#97685,.T.); #212874=EDGE_CURVE('',#170606,#170607,#97686,.T.); #212875=EDGE_CURVE('',#170608,#170606,#97687,.T.); #212876=EDGE_CURVE('',#170609,#170607,#97688,.T.); #212877=EDGE_CURVE('',#170608,#170609,#97689,.T.); #212878=EDGE_CURVE('',#170610,#170608,#97690,.T.); #212879=EDGE_CURVE('',#170611,#170609,#97691,.T.); #212880=EDGE_CURVE('',#170610,#170611,#97692,.T.); #212881=EDGE_CURVE('',#170612,#170610,#97693,.T.); #212882=EDGE_CURVE('',#170613,#170611,#97694,.T.); #212883=EDGE_CURVE('',#170612,#170613,#97695,.T.); #212884=EDGE_CURVE('',#170614,#170612,#97696,.T.); #212885=EDGE_CURVE('',#170615,#170613,#97697,.T.); #212886=EDGE_CURVE('',#170614,#170615,#97698,.T.); #212887=EDGE_CURVE('',#170616,#170614,#97699,.T.); #212888=EDGE_CURVE('',#170617,#170615,#97700,.T.); #212889=EDGE_CURVE('',#170616,#170617,#97701,.T.); #212890=EDGE_CURVE('',#170618,#170616,#97702,.T.); #212891=EDGE_CURVE('',#170619,#170617,#97703,.T.); #212892=EDGE_CURVE('',#170618,#170619,#97704,.T.); #212893=EDGE_CURVE('',#170620,#170618,#97705,.T.); #212894=EDGE_CURVE('',#170621,#170619,#97706,.T.); #212895=EDGE_CURVE('',#170620,#170621,#97707,.T.); #212896=EDGE_CURVE('',#170622,#170620,#97708,.T.); #212897=EDGE_CURVE('',#170623,#170621,#97709,.T.); #212898=EDGE_CURVE('',#170622,#170623,#97710,.T.); #212899=EDGE_CURVE('',#170624,#170622,#97711,.T.); #212900=EDGE_CURVE('',#170625,#170623,#97712,.T.); #212901=EDGE_CURVE('',#170624,#170625,#97713,.T.); #212902=EDGE_CURVE('',#170626,#170624,#97714,.T.); #212903=EDGE_CURVE('',#170627,#170625,#97715,.T.); #212904=EDGE_CURVE('',#170626,#170627,#97716,.T.); #212905=EDGE_CURVE('',#170628,#170626,#97717,.T.); #212906=EDGE_CURVE('',#170629,#170627,#97718,.T.); #212907=EDGE_CURVE('',#170628,#170629,#97719,.T.); #212908=EDGE_CURVE('',#170630,#170628,#97720,.T.); #212909=EDGE_CURVE('',#170631,#170629,#97721,.T.); #212910=EDGE_CURVE('',#170630,#170631,#97722,.T.); #212911=EDGE_CURVE('',#170632,#170630,#97723,.T.); #212912=EDGE_CURVE('',#170633,#170631,#97724,.T.); #212913=EDGE_CURVE('',#170632,#170633,#97725,.T.); #212914=EDGE_CURVE('',#170634,#170632,#97726,.T.); #212915=EDGE_CURVE('',#170635,#170633,#97727,.T.); #212916=EDGE_CURVE('',#170634,#170635,#97728,.T.); #212917=EDGE_CURVE('',#170636,#170634,#97729,.T.); #212918=EDGE_CURVE('',#170637,#170635,#97730,.T.); #212919=EDGE_CURVE('',#170636,#170637,#97731,.T.); #212920=EDGE_CURVE('',#170638,#170636,#97732,.T.); #212921=EDGE_CURVE('',#170639,#170637,#97733,.T.); #212922=EDGE_CURVE('',#170638,#170639,#97734,.T.); #212923=EDGE_CURVE('',#170640,#170638,#97735,.T.); #212924=EDGE_CURVE('',#170641,#170639,#97736,.T.); #212925=EDGE_CURVE('',#170640,#170641,#97737,.T.); #212926=EDGE_CURVE('',#170642,#170640,#97738,.T.); #212927=EDGE_CURVE('',#170643,#170641,#97739,.T.); #212928=EDGE_CURVE('',#170642,#170643,#97740,.T.); #212929=EDGE_CURVE('',#170644,#170642,#97741,.T.); #212930=EDGE_CURVE('',#170645,#170643,#97742,.T.); #212931=EDGE_CURVE('',#170644,#170645,#97743,.T.); #212932=EDGE_CURVE('',#170646,#170644,#97744,.T.); #212933=EDGE_CURVE('',#170647,#170645,#97745,.T.); #212934=EDGE_CURVE('',#170646,#170647,#97746,.T.); #212935=EDGE_CURVE('',#170648,#170646,#97747,.T.); #212936=EDGE_CURVE('',#170649,#170647,#97748,.T.); #212937=EDGE_CURVE('',#170648,#170649,#97749,.T.); #212938=EDGE_CURVE('',#170650,#170648,#97750,.T.); #212939=EDGE_CURVE('',#170651,#170649,#97751,.T.); #212940=EDGE_CURVE('',#170650,#170651,#97752,.T.); #212941=EDGE_CURVE('',#170652,#170650,#97753,.T.); #212942=EDGE_CURVE('',#170653,#170651,#97754,.T.); #212943=EDGE_CURVE('',#170652,#170653,#97755,.T.); #212944=EDGE_CURVE('',#170654,#170652,#97756,.T.); #212945=EDGE_CURVE('',#170655,#170653,#97757,.T.); #212946=EDGE_CURVE('',#170654,#170655,#97758,.T.); #212947=EDGE_CURVE('',#170656,#170654,#97759,.T.); #212948=EDGE_CURVE('',#170657,#170655,#97760,.T.); #212949=EDGE_CURVE('',#170656,#170657,#97761,.T.); #212950=EDGE_CURVE('',#170658,#170656,#97762,.T.); #212951=EDGE_CURVE('',#170659,#170657,#97763,.T.); #212952=EDGE_CURVE('',#170658,#170659,#97764,.T.); #212953=EDGE_CURVE('',#170660,#170658,#97765,.T.); #212954=EDGE_CURVE('',#170661,#170659,#97766,.T.); #212955=EDGE_CURVE('',#170660,#170661,#97767,.T.); #212956=EDGE_CURVE('',#170662,#170660,#97768,.T.); #212957=EDGE_CURVE('',#170663,#170661,#97769,.T.); #212958=EDGE_CURVE('',#170662,#170663,#97770,.T.); #212959=EDGE_CURVE('',#170664,#170662,#97771,.T.); #212960=EDGE_CURVE('',#170665,#170663,#97772,.T.); #212961=EDGE_CURVE('',#170664,#170665,#97773,.T.); #212962=EDGE_CURVE('',#170666,#170664,#97774,.T.); #212963=EDGE_CURVE('',#170667,#170665,#97775,.T.); #212964=EDGE_CURVE('',#170666,#170667,#97776,.T.); #212965=EDGE_CURVE('',#170668,#170666,#97777,.T.); #212966=EDGE_CURVE('',#170669,#170667,#97778,.T.); #212967=EDGE_CURVE('',#170668,#170669,#97779,.T.); #212968=EDGE_CURVE('',#170670,#170668,#97780,.T.); #212969=EDGE_CURVE('',#170671,#170669,#97781,.T.); #212970=EDGE_CURVE('',#170670,#170671,#97782,.T.); #212971=EDGE_CURVE('',#170672,#170670,#97783,.T.); #212972=EDGE_CURVE('',#170673,#170671,#97784,.T.); #212973=EDGE_CURVE('',#170672,#170673,#97785,.T.); #212974=EDGE_CURVE('',#170674,#170672,#97786,.T.); #212975=EDGE_CURVE('',#170675,#170673,#97787,.T.); #212976=EDGE_CURVE('',#170674,#170675,#97788,.T.); #212977=EDGE_CURVE('',#170676,#170674,#97789,.T.); #212978=EDGE_CURVE('',#170677,#170675,#97790,.T.); #212979=EDGE_CURVE('',#170676,#170677,#97791,.T.); #212980=EDGE_CURVE('',#170678,#170676,#97792,.T.); #212981=EDGE_CURVE('',#170679,#170677,#97793,.T.); #212982=EDGE_CURVE('',#170678,#170679,#97794,.T.); #212983=EDGE_CURVE('',#170680,#170678,#97795,.T.); #212984=EDGE_CURVE('',#170681,#170679,#97796,.T.); #212985=EDGE_CURVE('',#170680,#170681,#97797,.T.); #212986=EDGE_CURVE('',#170682,#170680,#97798,.T.); #212987=EDGE_CURVE('',#170683,#170681,#97799,.T.); #212988=EDGE_CURVE('',#170682,#170683,#97800,.T.); #212989=EDGE_CURVE('',#170684,#170682,#97801,.T.); #212990=EDGE_CURVE('',#170685,#170683,#97802,.T.); #212991=EDGE_CURVE('',#170684,#170685,#97803,.T.); #212992=EDGE_CURVE('',#170686,#170684,#97804,.T.); #212993=EDGE_CURVE('',#170687,#170685,#97805,.T.); #212994=EDGE_CURVE('',#170686,#170687,#97806,.T.); #212995=EDGE_CURVE('',#170688,#170686,#97807,.T.); #212996=EDGE_CURVE('',#170689,#170687,#97808,.T.); #212997=EDGE_CURVE('',#170688,#170689,#97809,.T.); #212998=EDGE_CURVE('',#170690,#170688,#97810,.T.); #212999=EDGE_CURVE('',#170691,#170689,#97811,.T.); #213000=EDGE_CURVE('',#170690,#170691,#97812,.T.); #213001=EDGE_CURVE('',#170692,#170690,#97813,.T.); #213002=EDGE_CURVE('',#170693,#170691,#97814,.T.); #213003=EDGE_CURVE('',#170692,#170693,#97815,.T.); #213004=EDGE_CURVE('',#170694,#170692,#97816,.T.); #213005=EDGE_CURVE('',#170695,#170693,#97817,.T.); #213006=EDGE_CURVE('',#170694,#170695,#97818,.T.); #213007=EDGE_CURVE('',#170696,#170694,#97819,.T.); #213008=EDGE_CURVE('',#170697,#170695,#97820,.T.); #213009=EDGE_CURVE('',#170696,#170697,#97821,.T.); #213010=EDGE_CURVE('',#170698,#170696,#97822,.T.); #213011=EDGE_CURVE('',#170699,#170697,#97823,.T.); #213012=EDGE_CURVE('',#170698,#170699,#97824,.T.); #213013=EDGE_CURVE('',#170700,#170698,#97825,.T.); #213014=EDGE_CURVE('',#170701,#170699,#97826,.T.); #213015=EDGE_CURVE('',#170700,#170701,#97827,.T.); #213016=EDGE_CURVE('',#170702,#170700,#97828,.T.); #213017=EDGE_CURVE('',#170703,#170701,#97829,.T.); #213018=EDGE_CURVE('',#170702,#170703,#97830,.T.); #213019=EDGE_CURVE('',#170704,#170702,#97831,.T.); #213020=EDGE_CURVE('',#170705,#170703,#97832,.T.); #213021=EDGE_CURVE('',#170704,#170705,#97833,.T.); #213022=EDGE_CURVE('',#170706,#170704,#97834,.T.); #213023=EDGE_CURVE('',#170707,#170705,#97835,.T.); #213024=EDGE_CURVE('',#170706,#170707,#97836,.T.); #213025=EDGE_CURVE('',#170708,#170706,#97837,.T.); #213026=EDGE_CURVE('',#170709,#170707,#97838,.T.); #213027=EDGE_CURVE('',#170708,#170709,#97839,.T.); #213028=EDGE_CURVE('',#170710,#170708,#97840,.T.); #213029=EDGE_CURVE('',#170711,#170709,#97841,.T.); #213030=EDGE_CURVE('',#170710,#170711,#97842,.T.); #213031=EDGE_CURVE('',#170712,#170710,#97843,.T.); #213032=EDGE_CURVE('',#170713,#170711,#97844,.T.); #213033=EDGE_CURVE('',#170712,#170713,#97845,.T.); #213034=EDGE_CURVE('',#170714,#170712,#97846,.T.); #213035=EDGE_CURVE('',#170715,#170713,#97847,.T.); #213036=EDGE_CURVE('',#170714,#170715,#97848,.T.); #213037=EDGE_CURVE('',#170716,#170714,#97849,.T.); #213038=EDGE_CURVE('',#170717,#170715,#97850,.T.); #213039=EDGE_CURVE('',#170716,#170717,#97851,.T.); #213040=EDGE_CURVE('',#170718,#170716,#97852,.T.); #213041=EDGE_CURVE('',#170719,#170717,#97853,.T.); #213042=EDGE_CURVE('',#170718,#170719,#97854,.T.); #213043=EDGE_CURVE('',#170720,#170718,#97855,.T.); #213044=EDGE_CURVE('',#170721,#170719,#97856,.T.); #213045=EDGE_CURVE('',#170720,#170721,#97857,.T.); #213046=EDGE_CURVE('',#170722,#170720,#97858,.T.); #213047=EDGE_CURVE('',#170723,#170721,#97859,.T.); #213048=EDGE_CURVE('',#170722,#170723,#97860,.T.); #213049=EDGE_CURVE('',#170724,#170722,#97861,.T.); #213050=EDGE_CURVE('',#170725,#170723,#97862,.T.); #213051=EDGE_CURVE('',#170724,#170725,#97863,.T.); #213052=EDGE_CURVE('',#170726,#170724,#97864,.T.); #213053=EDGE_CURVE('',#170727,#170725,#97865,.T.); #213054=EDGE_CURVE('',#170726,#170727,#97866,.T.); #213055=EDGE_CURVE('',#170728,#170726,#97867,.T.); #213056=EDGE_CURVE('',#170729,#170727,#97868,.T.); #213057=EDGE_CURVE('',#170728,#170729,#97869,.T.); #213058=EDGE_CURVE('',#170730,#170728,#97870,.T.); #213059=EDGE_CURVE('',#170731,#170729,#97871,.T.); #213060=EDGE_CURVE('',#170730,#170731,#97872,.T.); #213061=EDGE_CURVE('',#170732,#170730,#97873,.T.); #213062=EDGE_CURVE('',#170733,#170731,#97874,.T.); #213063=EDGE_CURVE('',#170732,#170733,#97875,.T.); #213064=EDGE_CURVE('',#170734,#170732,#97876,.T.); #213065=EDGE_CURVE('',#170735,#170733,#97877,.T.); #213066=EDGE_CURVE('',#170734,#170735,#97878,.T.); #213067=EDGE_CURVE('',#170736,#170734,#97879,.T.); #213068=EDGE_CURVE('',#170737,#170735,#97880,.T.); #213069=EDGE_CURVE('',#170736,#170737,#97881,.T.); #213070=EDGE_CURVE('',#170738,#170736,#97882,.T.); #213071=EDGE_CURVE('',#170739,#170737,#97883,.T.); #213072=EDGE_CURVE('',#170738,#170739,#97884,.T.); #213073=EDGE_CURVE('',#170740,#170738,#97885,.T.); #213074=EDGE_CURVE('',#170741,#170739,#97886,.T.); #213075=EDGE_CURVE('',#170740,#170741,#97887,.T.); #213076=EDGE_CURVE('',#170742,#170740,#97888,.T.); #213077=EDGE_CURVE('',#170743,#170741,#97889,.T.); #213078=EDGE_CURVE('',#170742,#170743,#97890,.T.); #213079=EDGE_CURVE('',#170744,#170742,#97891,.T.); #213080=EDGE_CURVE('',#170745,#170743,#97892,.T.); #213081=EDGE_CURVE('',#170744,#170745,#97893,.T.); #213082=EDGE_CURVE('',#170547,#170744,#97894,.T.); #213083=EDGE_CURVE('',#170548,#170745,#97895,.T.); #213084=EDGE_CURVE('',#170746,#170747,#97896,.T.); #213085=EDGE_CURVE('',#170747,#170748,#97897,.T.); #213086=EDGE_CURVE('',#170749,#170748,#97898,.T.); #213087=EDGE_CURVE('',#170746,#170749,#97899,.T.); #213088=EDGE_CURVE('',#170750,#170746,#97900,.T.); #213089=EDGE_CURVE('',#170751,#170749,#97901,.T.); #213090=EDGE_CURVE('',#170750,#170751,#97902,.T.); #213091=EDGE_CURVE('',#170752,#170750,#97903,.T.); #213092=EDGE_CURVE('',#170753,#170751,#97904,.T.); #213093=EDGE_CURVE('',#170752,#170753,#97905,.T.); #213094=EDGE_CURVE('',#170754,#170752,#97906,.T.); #213095=EDGE_CURVE('',#170755,#170753,#97907,.T.); #213096=EDGE_CURVE('',#170754,#170755,#97908,.T.); #213097=EDGE_CURVE('',#170756,#170754,#97909,.T.); #213098=EDGE_CURVE('',#170757,#170755,#97910,.T.); #213099=EDGE_CURVE('',#170756,#170757,#97911,.T.); #213100=EDGE_CURVE('',#170758,#170756,#97912,.T.); #213101=EDGE_CURVE('',#170759,#170757,#97913,.T.); #213102=EDGE_CURVE('',#170758,#170759,#97914,.T.); #213103=EDGE_CURVE('',#170760,#170758,#97915,.T.); #213104=EDGE_CURVE('',#170761,#170759,#97916,.T.); #213105=EDGE_CURVE('',#170760,#170761,#97917,.T.); #213106=EDGE_CURVE('',#170762,#170760,#97918,.T.); #213107=EDGE_CURVE('',#170763,#170761,#97919,.T.); #213108=EDGE_CURVE('',#170762,#170763,#97920,.T.); #213109=EDGE_CURVE('',#170764,#170762,#97921,.T.); #213110=EDGE_CURVE('',#170765,#170763,#97922,.T.); #213111=EDGE_CURVE('',#170764,#170765,#97923,.T.); #213112=EDGE_CURVE('',#170766,#170764,#97924,.T.); #213113=EDGE_CURVE('',#170767,#170765,#97925,.T.); #213114=EDGE_CURVE('',#170766,#170767,#97926,.T.); #213115=EDGE_CURVE('',#170768,#170766,#97927,.T.); #213116=EDGE_CURVE('',#170769,#170767,#97928,.T.); #213117=EDGE_CURVE('',#170768,#170769,#97929,.T.); #213118=EDGE_CURVE('',#170770,#170768,#97930,.T.); #213119=EDGE_CURVE('',#170771,#170769,#97931,.T.); #213120=EDGE_CURVE('',#170770,#170771,#97932,.T.); #213121=EDGE_CURVE('',#170772,#170770,#97933,.T.); #213122=EDGE_CURVE('',#170773,#170771,#97934,.T.); #213123=EDGE_CURVE('',#170772,#170773,#97935,.T.); #213124=EDGE_CURVE('',#170774,#170772,#97936,.T.); #213125=EDGE_CURVE('',#170775,#170773,#97937,.T.); #213126=EDGE_CURVE('',#170774,#170775,#97938,.T.); #213127=EDGE_CURVE('',#170776,#170774,#97939,.T.); #213128=EDGE_CURVE('',#170777,#170775,#97940,.T.); #213129=EDGE_CURVE('',#170776,#170777,#97941,.T.); #213130=EDGE_CURVE('',#170778,#170776,#97942,.T.); #213131=EDGE_CURVE('',#170779,#170777,#97943,.T.); #213132=EDGE_CURVE('',#170778,#170779,#97944,.T.); #213133=EDGE_CURVE('',#170780,#170778,#97945,.T.); #213134=EDGE_CURVE('',#170781,#170779,#97946,.T.); #213135=EDGE_CURVE('',#170780,#170781,#97947,.T.); #213136=EDGE_CURVE('',#170782,#170780,#97948,.T.); #213137=EDGE_CURVE('',#170783,#170781,#97949,.T.); #213138=EDGE_CURVE('',#170782,#170783,#97950,.T.); #213139=EDGE_CURVE('',#170784,#170782,#97951,.T.); #213140=EDGE_CURVE('',#170785,#170783,#97952,.T.); #213141=EDGE_CURVE('',#170784,#170785,#97953,.T.); #213142=EDGE_CURVE('',#170786,#170784,#97954,.T.); #213143=EDGE_CURVE('',#170787,#170785,#97955,.T.); #213144=EDGE_CURVE('',#170786,#170787,#97956,.T.); #213145=EDGE_CURVE('',#170788,#170786,#97957,.T.); #213146=EDGE_CURVE('',#170789,#170787,#97958,.T.); #213147=EDGE_CURVE('',#170788,#170789,#97959,.T.); #213148=EDGE_CURVE('',#170790,#170788,#97960,.T.); #213149=EDGE_CURVE('',#170791,#170789,#97961,.T.); #213150=EDGE_CURVE('',#170790,#170791,#97962,.T.); #213151=EDGE_CURVE('',#170792,#170790,#97963,.T.); #213152=EDGE_CURVE('',#170793,#170791,#97964,.T.); #213153=EDGE_CURVE('',#170792,#170793,#97965,.T.); #213154=EDGE_CURVE('',#170794,#170792,#97966,.T.); #213155=EDGE_CURVE('',#170795,#170793,#97967,.T.); #213156=EDGE_CURVE('',#170794,#170795,#97968,.T.); #213157=EDGE_CURVE('',#170796,#170794,#97969,.T.); #213158=EDGE_CURVE('',#170797,#170795,#97970,.T.); #213159=EDGE_CURVE('',#170796,#170797,#97971,.T.); #213160=EDGE_CURVE('',#170798,#170796,#97972,.T.); #213161=EDGE_CURVE('',#170799,#170797,#97973,.T.); #213162=EDGE_CURVE('',#170798,#170799,#97974,.T.); #213163=EDGE_CURVE('',#170800,#170798,#97975,.T.); #213164=EDGE_CURVE('',#170801,#170799,#97976,.T.); #213165=EDGE_CURVE('',#170800,#170801,#97977,.T.); #213166=EDGE_CURVE('',#170802,#170800,#97978,.T.); #213167=EDGE_CURVE('',#170803,#170801,#97979,.T.); #213168=EDGE_CURVE('',#170802,#170803,#97980,.T.); #213169=EDGE_CURVE('',#170804,#170802,#97981,.T.); #213170=EDGE_CURVE('',#170805,#170803,#97982,.T.); #213171=EDGE_CURVE('',#170804,#170805,#97983,.T.); #213172=EDGE_CURVE('',#170806,#170804,#97984,.T.); #213173=EDGE_CURVE('',#170807,#170805,#97985,.T.); #213174=EDGE_CURVE('',#170806,#170807,#97986,.T.); #213175=EDGE_CURVE('',#170808,#170806,#97987,.T.); #213176=EDGE_CURVE('',#170809,#170807,#97988,.T.); #213177=EDGE_CURVE('',#170808,#170809,#97989,.T.); #213178=EDGE_CURVE('',#170810,#170808,#97990,.T.); #213179=EDGE_CURVE('',#170811,#170809,#97991,.T.); #213180=EDGE_CURVE('',#170810,#170811,#97992,.T.); #213181=EDGE_CURVE('',#170812,#170810,#97993,.T.); #213182=EDGE_CURVE('',#170813,#170811,#97994,.T.); #213183=EDGE_CURVE('',#170812,#170813,#97995,.T.); #213184=EDGE_CURVE('',#170814,#170812,#97996,.T.); #213185=EDGE_CURVE('',#170815,#170813,#97997,.T.); #213186=EDGE_CURVE('',#170814,#170815,#97998,.T.); #213187=EDGE_CURVE('',#170816,#170814,#97999,.T.); #213188=EDGE_CURVE('',#170817,#170815,#98000,.T.); #213189=EDGE_CURVE('',#170816,#170817,#98001,.T.); #213190=EDGE_CURVE('',#170818,#170816,#98002,.T.); #213191=EDGE_CURVE('',#170819,#170817,#98003,.T.); #213192=EDGE_CURVE('',#170818,#170819,#98004,.T.); #213193=EDGE_CURVE('',#170820,#170818,#98005,.T.); #213194=EDGE_CURVE('',#170821,#170819,#98006,.T.); #213195=EDGE_CURVE('',#170820,#170821,#98007,.T.); #213196=EDGE_CURVE('',#170822,#170820,#98008,.T.); #213197=EDGE_CURVE('',#170823,#170821,#98009,.T.); #213198=EDGE_CURVE('',#170822,#170823,#98010,.T.); #213199=EDGE_CURVE('',#170824,#170822,#98011,.T.); #213200=EDGE_CURVE('',#170825,#170823,#98012,.T.); #213201=EDGE_CURVE('',#170824,#170825,#98013,.T.); #213202=EDGE_CURVE('',#170826,#170824,#98014,.T.); #213203=EDGE_CURVE('',#170827,#170825,#98015,.T.); #213204=EDGE_CURVE('',#170826,#170827,#98016,.T.); #213205=EDGE_CURVE('',#170828,#170826,#98017,.T.); #213206=EDGE_CURVE('',#170829,#170827,#98018,.T.); #213207=EDGE_CURVE('',#170828,#170829,#98019,.T.); #213208=EDGE_CURVE('',#170830,#170828,#98020,.T.); #213209=EDGE_CURVE('',#170831,#170829,#98021,.T.); #213210=EDGE_CURVE('',#170830,#170831,#98022,.T.); #213211=EDGE_CURVE('',#170832,#170830,#98023,.T.); #213212=EDGE_CURVE('',#170833,#170831,#98024,.T.); #213213=EDGE_CURVE('',#170832,#170833,#98025,.T.); #213214=EDGE_CURVE('',#170834,#170832,#98026,.T.); #213215=EDGE_CURVE('',#170835,#170833,#98027,.T.); #213216=EDGE_CURVE('',#170834,#170835,#98028,.T.); #213217=EDGE_CURVE('',#170836,#170834,#98029,.T.); #213218=EDGE_CURVE('',#170837,#170835,#98030,.T.); #213219=EDGE_CURVE('',#170836,#170837,#98031,.T.); #213220=EDGE_CURVE('',#170838,#170836,#98032,.T.); #213221=EDGE_CURVE('',#170839,#170837,#98033,.T.); #213222=EDGE_CURVE('',#170838,#170839,#98034,.T.); #213223=EDGE_CURVE('',#170840,#170838,#98035,.T.); #213224=EDGE_CURVE('',#170841,#170839,#98036,.T.); #213225=EDGE_CURVE('',#170840,#170841,#98037,.T.); #213226=EDGE_CURVE('',#170842,#170840,#98038,.T.); #213227=EDGE_CURVE('',#170843,#170841,#98039,.T.); #213228=EDGE_CURVE('',#170842,#170843,#98040,.T.); #213229=EDGE_CURVE('',#170844,#170842,#98041,.T.); #213230=EDGE_CURVE('',#170845,#170843,#98042,.T.); #213231=EDGE_CURVE('',#170844,#170845,#98043,.T.); #213232=EDGE_CURVE('',#170846,#170844,#98044,.T.); #213233=EDGE_CURVE('',#170847,#170845,#98045,.T.); #213234=EDGE_CURVE('',#170846,#170847,#98046,.T.); #213235=EDGE_CURVE('',#170848,#170846,#98047,.T.); #213236=EDGE_CURVE('',#170849,#170847,#98048,.T.); #213237=EDGE_CURVE('',#170848,#170849,#98049,.T.); #213238=EDGE_CURVE('',#170850,#170848,#98050,.T.); #213239=EDGE_CURVE('',#170851,#170849,#98051,.T.); #213240=EDGE_CURVE('',#170850,#170851,#98052,.T.); #213241=EDGE_CURVE('',#170852,#170850,#98053,.T.); #213242=EDGE_CURVE('',#170853,#170851,#98054,.T.); #213243=EDGE_CURVE('',#170852,#170853,#98055,.T.); #213244=EDGE_CURVE('',#170854,#170852,#98056,.T.); #213245=EDGE_CURVE('',#170855,#170853,#98057,.T.); #213246=EDGE_CURVE('',#170854,#170855,#98058,.T.); #213247=EDGE_CURVE('',#170856,#170854,#98059,.T.); #213248=EDGE_CURVE('',#170857,#170855,#98060,.T.); #213249=EDGE_CURVE('',#170856,#170857,#98061,.T.); #213250=EDGE_CURVE('',#170858,#170856,#98062,.T.); #213251=EDGE_CURVE('',#170859,#170857,#98063,.T.); #213252=EDGE_CURVE('',#170858,#170859,#98064,.T.); #213253=EDGE_CURVE('',#170860,#170858,#98065,.T.); #213254=EDGE_CURVE('',#170861,#170859,#98066,.T.); #213255=EDGE_CURVE('',#170860,#170861,#98067,.T.); #213256=EDGE_CURVE('',#170862,#170860,#98068,.T.); #213257=EDGE_CURVE('',#170863,#170861,#98069,.T.); #213258=EDGE_CURVE('',#170862,#170863,#98070,.T.); #213259=EDGE_CURVE('',#170864,#170862,#98071,.T.); #213260=EDGE_CURVE('',#170865,#170863,#98072,.T.); #213261=EDGE_CURVE('',#170864,#170865,#98073,.T.); #213262=EDGE_CURVE('',#170866,#170864,#98074,.T.); #213263=EDGE_CURVE('',#170867,#170865,#98075,.T.); #213264=EDGE_CURVE('',#170866,#170867,#98076,.T.); #213265=EDGE_CURVE('',#170868,#170866,#98077,.T.); #213266=EDGE_CURVE('',#170869,#170867,#98078,.T.); #213267=EDGE_CURVE('',#170868,#170869,#98079,.T.); #213268=EDGE_CURVE('',#170870,#170868,#98080,.T.); #213269=EDGE_CURVE('',#170871,#170869,#98081,.T.); #213270=EDGE_CURVE('',#170870,#170871,#98082,.T.); #213271=EDGE_CURVE('',#170872,#170870,#98083,.T.); #213272=EDGE_CURVE('',#170873,#170871,#98084,.T.); #213273=EDGE_CURVE('',#170872,#170873,#98085,.T.); #213274=EDGE_CURVE('',#170874,#170872,#98086,.T.); #213275=EDGE_CURVE('',#170875,#170873,#98087,.T.); #213276=EDGE_CURVE('',#170874,#170875,#98088,.T.); #213277=EDGE_CURVE('',#170876,#170874,#98089,.T.); #213278=EDGE_CURVE('',#170877,#170875,#98090,.T.); #213279=EDGE_CURVE('',#170876,#170877,#98091,.T.); #213280=EDGE_CURVE('',#170878,#170876,#98092,.T.); #213281=EDGE_CURVE('',#170879,#170877,#98093,.T.); #213282=EDGE_CURVE('',#170878,#170879,#98094,.T.); #213283=EDGE_CURVE('',#170880,#170878,#98095,.T.); #213284=EDGE_CURVE('',#170881,#170879,#98096,.T.); #213285=EDGE_CURVE('',#170880,#170881,#98097,.T.); #213286=EDGE_CURVE('',#170882,#170880,#98098,.T.); #213287=EDGE_CURVE('',#170883,#170881,#98099,.T.); #213288=EDGE_CURVE('',#170882,#170883,#98100,.T.); #213289=EDGE_CURVE('',#170884,#170882,#98101,.T.); #213290=EDGE_CURVE('',#170885,#170883,#98102,.T.); #213291=EDGE_CURVE('',#170884,#170885,#98103,.T.); #213292=EDGE_CURVE('',#170886,#170884,#98104,.T.); #213293=EDGE_CURVE('',#170887,#170885,#98105,.T.); #213294=EDGE_CURVE('',#170886,#170887,#98106,.T.); #213295=EDGE_CURVE('',#170888,#170886,#98107,.T.); #213296=EDGE_CURVE('',#170889,#170887,#98108,.T.); #213297=EDGE_CURVE('',#170888,#170889,#98109,.T.); #213298=EDGE_CURVE('',#170890,#170888,#98110,.T.); #213299=EDGE_CURVE('',#170891,#170889,#98111,.T.); #213300=EDGE_CURVE('',#170890,#170891,#98112,.T.); #213301=EDGE_CURVE('',#170892,#170890,#98113,.T.); #213302=EDGE_CURVE('',#170893,#170891,#98114,.T.); #213303=EDGE_CURVE('',#170892,#170893,#98115,.T.); #213304=EDGE_CURVE('',#170894,#170892,#98116,.T.); #213305=EDGE_CURVE('',#170895,#170893,#98117,.T.); #213306=EDGE_CURVE('',#170894,#170895,#98118,.T.); #213307=EDGE_CURVE('',#170896,#170894,#98119,.T.); #213308=EDGE_CURVE('',#170897,#170895,#98120,.T.); #213309=EDGE_CURVE('',#170896,#170897,#98121,.T.); #213310=EDGE_CURVE('',#170898,#170896,#98122,.T.); #213311=EDGE_CURVE('',#170899,#170897,#98123,.T.); #213312=EDGE_CURVE('',#170898,#170899,#98124,.T.); #213313=EDGE_CURVE('',#170900,#170898,#98125,.T.); #213314=EDGE_CURVE('',#170901,#170899,#98126,.T.); #213315=EDGE_CURVE('',#170900,#170901,#98127,.T.); #213316=EDGE_CURVE('',#170902,#170900,#98128,.T.); #213317=EDGE_CURVE('',#170903,#170901,#98129,.T.); #213318=EDGE_CURVE('',#170902,#170903,#98130,.T.); #213319=EDGE_CURVE('',#170904,#170902,#98131,.T.); #213320=EDGE_CURVE('',#170905,#170903,#98132,.T.); #213321=EDGE_CURVE('',#170904,#170905,#98133,.T.); #213322=EDGE_CURVE('',#170906,#170904,#98134,.T.); #213323=EDGE_CURVE('',#170907,#170905,#98135,.T.); #213324=EDGE_CURVE('',#170906,#170907,#98136,.T.); #213325=EDGE_CURVE('',#170908,#170906,#98137,.T.); #213326=EDGE_CURVE('',#170909,#170907,#98138,.T.); #213327=EDGE_CURVE('',#170908,#170909,#98139,.T.); #213328=EDGE_CURVE('',#170910,#170908,#98140,.T.); #213329=EDGE_CURVE('',#170911,#170909,#98141,.T.); #213330=EDGE_CURVE('',#170910,#170911,#98142,.T.); #213331=EDGE_CURVE('',#170912,#170910,#98143,.T.); #213332=EDGE_CURVE('',#170913,#170911,#98144,.T.); #213333=EDGE_CURVE('',#170912,#170913,#98145,.T.); #213334=EDGE_CURVE('',#170914,#170912,#98146,.T.); #213335=EDGE_CURVE('',#170915,#170913,#98147,.T.); #213336=EDGE_CURVE('',#170914,#170915,#98148,.T.); #213337=EDGE_CURVE('',#170916,#170914,#98149,.T.); #213338=EDGE_CURVE('',#170917,#170915,#98150,.T.); #213339=EDGE_CURVE('',#170916,#170917,#98151,.T.); #213340=EDGE_CURVE('',#170918,#170916,#98152,.T.); #213341=EDGE_CURVE('',#170919,#170917,#98153,.T.); #213342=EDGE_CURVE('',#170918,#170919,#98154,.T.); #213343=EDGE_CURVE('',#170920,#170918,#98155,.T.); #213344=EDGE_CURVE('',#170921,#170919,#98156,.T.); #213345=EDGE_CURVE('',#170920,#170921,#98157,.T.); #213346=EDGE_CURVE('',#170922,#170920,#98158,.T.); #213347=EDGE_CURVE('',#170923,#170921,#98159,.T.); #213348=EDGE_CURVE('',#170922,#170923,#98160,.T.); #213349=EDGE_CURVE('',#170924,#170922,#98161,.T.); #213350=EDGE_CURVE('',#170925,#170923,#98162,.T.); #213351=EDGE_CURVE('',#170924,#170925,#98163,.T.); #213352=EDGE_CURVE('',#170926,#170924,#98164,.T.); #213353=EDGE_CURVE('',#170927,#170925,#98165,.T.); #213354=EDGE_CURVE('',#170926,#170927,#98166,.T.); #213355=EDGE_CURVE('',#170928,#170926,#98167,.T.); #213356=EDGE_CURVE('',#170929,#170927,#98168,.T.); #213357=EDGE_CURVE('',#170928,#170929,#98169,.T.); #213358=EDGE_CURVE('',#170930,#170928,#98170,.T.); #213359=EDGE_CURVE('',#170931,#170929,#98171,.T.); #213360=EDGE_CURVE('',#170930,#170931,#98172,.T.); #213361=EDGE_CURVE('',#170932,#170930,#98173,.T.); #213362=EDGE_CURVE('',#170933,#170931,#98174,.T.); #213363=EDGE_CURVE('',#170932,#170933,#98175,.T.); #213364=EDGE_CURVE('',#170934,#170932,#98176,.T.); #213365=EDGE_CURVE('',#170935,#170933,#98177,.T.); #213366=EDGE_CURVE('',#170934,#170935,#98178,.T.); #213367=EDGE_CURVE('',#170936,#170934,#98179,.T.); #213368=EDGE_CURVE('',#170937,#170935,#98180,.T.); #213369=EDGE_CURVE('',#170936,#170937,#98181,.T.); #213370=EDGE_CURVE('',#170938,#170936,#98182,.T.); #213371=EDGE_CURVE('',#170939,#170937,#98183,.T.); #213372=EDGE_CURVE('',#170938,#170939,#98184,.T.); #213373=EDGE_CURVE('',#170940,#170938,#98185,.T.); #213374=EDGE_CURVE('',#170941,#170939,#98186,.T.); #213375=EDGE_CURVE('',#170940,#170941,#98187,.T.); #213376=EDGE_CURVE('',#170942,#170940,#98188,.T.); #213377=EDGE_CURVE('',#170943,#170941,#98189,.T.); #213378=EDGE_CURVE('',#170942,#170943,#98190,.T.); #213379=EDGE_CURVE('',#170944,#170942,#98191,.T.); #213380=EDGE_CURVE('',#170945,#170943,#98192,.T.); #213381=EDGE_CURVE('',#170944,#170945,#98193,.T.); #213382=EDGE_CURVE('',#170946,#170944,#98194,.T.); #213383=EDGE_CURVE('',#170947,#170945,#98195,.T.); #213384=EDGE_CURVE('',#170946,#170947,#98196,.T.); #213385=EDGE_CURVE('',#170948,#170946,#98197,.T.); #213386=EDGE_CURVE('',#170949,#170947,#98198,.T.); #213387=EDGE_CURVE('',#170948,#170949,#98199,.T.); #213388=EDGE_CURVE('',#170950,#170948,#98200,.T.); #213389=EDGE_CURVE('',#170951,#170949,#98201,.T.); #213390=EDGE_CURVE('',#170950,#170951,#98202,.T.); #213391=EDGE_CURVE('',#170952,#170950,#98203,.T.); #213392=EDGE_CURVE('',#170953,#170951,#98204,.T.); #213393=EDGE_CURVE('',#170952,#170953,#98205,.T.); #213394=EDGE_CURVE('',#170954,#170952,#98206,.T.); #213395=EDGE_CURVE('',#170955,#170953,#98207,.T.); #213396=EDGE_CURVE('',#170954,#170955,#98208,.T.); #213397=EDGE_CURVE('',#170956,#170954,#98209,.T.); #213398=EDGE_CURVE('',#170957,#170955,#98210,.T.); #213399=EDGE_CURVE('',#170956,#170957,#98211,.T.); #213400=EDGE_CURVE('',#170958,#170956,#98212,.T.); #213401=EDGE_CURVE('',#170959,#170957,#98213,.T.); #213402=EDGE_CURVE('',#170958,#170959,#98214,.T.); #213403=EDGE_CURVE('',#170960,#170958,#98215,.T.); #213404=EDGE_CURVE('',#170961,#170959,#98216,.T.); #213405=EDGE_CURVE('',#170960,#170961,#98217,.T.); #213406=EDGE_CURVE('',#170962,#170960,#98218,.T.); #213407=EDGE_CURVE('',#170963,#170961,#98219,.T.); #213408=EDGE_CURVE('',#170962,#170963,#98220,.T.); #213409=EDGE_CURVE('',#170964,#170962,#98221,.T.); #213410=EDGE_CURVE('',#170965,#170963,#98222,.T.); #213411=EDGE_CURVE('',#170964,#170965,#98223,.T.); #213412=EDGE_CURVE('',#170966,#170964,#98224,.T.); #213413=EDGE_CURVE('',#170967,#170965,#98225,.T.); #213414=EDGE_CURVE('',#170966,#170967,#98226,.T.); #213415=EDGE_CURVE('',#170968,#170966,#98227,.T.); #213416=EDGE_CURVE('',#170969,#170967,#98228,.T.); #213417=EDGE_CURVE('',#170968,#170969,#98229,.T.); #213418=EDGE_CURVE('',#170970,#170968,#98230,.T.); #213419=EDGE_CURVE('',#170971,#170969,#98231,.T.); #213420=EDGE_CURVE('',#170970,#170971,#98232,.T.); #213421=EDGE_CURVE('',#170972,#170970,#98233,.T.); #213422=EDGE_CURVE('',#170973,#170971,#98234,.T.); #213423=EDGE_CURVE('',#170972,#170973,#98235,.T.); #213424=EDGE_CURVE('',#170974,#170972,#98236,.T.); #213425=EDGE_CURVE('',#170975,#170973,#98237,.T.); #213426=EDGE_CURVE('',#170974,#170975,#98238,.T.); #213427=EDGE_CURVE('',#170976,#170974,#98239,.T.); #213428=EDGE_CURVE('',#170977,#170975,#98240,.T.); #213429=EDGE_CURVE('',#170976,#170977,#98241,.T.); #213430=EDGE_CURVE('',#170978,#170976,#98242,.T.); #213431=EDGE_CURVE('',#170979,#170977,#98243,.T.); #213432=EDGE_CURVE('',#170978,#170979,#98244,.T.); #213433=EDGE_CURVE('',#170980,#170978,#98245,.T.); #213434=EDGE_CURVE('',#170981,#170979,#98246,.T.); #213435=EDGE_CURVE('',#170980,#170981,#98247,.T.); #213436=EDGE_CURVE('',#170982,#170980,#98248,.T.); #213437=EDGE_CURVE('',#170983,#170981,#98249,.T.); #213438=EDGE_CURVE('',#170982,#170983,#98250,.T.); #213439=EDGE_CURVE('',#170984,#170982,#98251,.T.); #213440=EDGE_CURVE('',#170985,#170983,#98252,.T.); #213441=EDGE_CURVE('',#170984,#170985,#98253,.T.); #213442=EDGE_CURVE('',#170986,#170984,#98254,.T.); #213443=EDGE_CURVE('',#170987,#170985,#98255,.T.); #213444=EDGE_CURVE('',#170986,#170987,#98256,.T.); #213445=EDGE_CURVE('',#170988,#170986,#98257,.T.); #213446=EDGE_CURVE('',#170989,#170987,#98258,.T.); #213447=EDGE_CURVE('',#170988,#170989,#98259,.T.); #213448=EDGE_CURVE('',#170990,#170988,#98260,.T.); #213449=EDGE_CURVE('',#170991,#170989,#98261,.T.); #213450=EDGE_CURVE('',#170990,#170991,#98262,.T.); #213451=EDGE_CURVE('',#170992,#170990,#98263,.T.); #213452=EDGE_CURVE('',#170993,#170991,#98264,.T.); #213453=EDGE_CURVE('',#170992,#170993,#98265,.T.); #213454=EDGE_CURVE('',#170994,#170992,#98266,.T.); #213455=EDGE_CURVE('',#170995,#170993,#98267,.T.); #213456=EDGE_CURVE('',#170994,#170995,#98268,.T.); #213457=EDGE_CURVE('',#170996,#170994,#98269,.T.); #213458=EDGE_CURVE('',#170997,#170995,#98270,.T.); #213459=EDGE_CURVE('',#170996,#170997,#98271,.T.); #213460=EDGE_CURVE('',#170998,#170996,#98272,.T.); #213461=EDGE_CURVE('',#170999,#170997,#98273,.T.); #213462=EDGE_CURVE('',#170998,#170999,#98274,.T.); #213463=EDGE_CURVE('',#171000,#170998,#98275,.T.); #213464=EDGE_CURVE('',#171001,#170999,#98276,.T.); #213465=EDGE_CURVE('',#171000,#171001,#98277,.T.); #213466=EDGE_CURVE('',#171002,#171000,#98278,.T.); #213467=EDGE_CURVE('',#171003,#171001,#98279,.T.); #213468=EDGE_CURVE('',#171002,#171003,#98280,.T.); #213469=EDGE_CURVE('',#171004,#171002,#98281,.T.); #213470=EDGE_CURVE('',#171005,#171003,#98282,.T.); #213471=EDGE_CURVE('',#171004,#171005,#98283,.T.); #213472=EDGE_CURVE('',#171006,#171004,#98284,.T.); #213473=EDGE_CURVE('',#171007,#171005,#98285,.T.); #213474=EDGE_CURVE('',#171006,#171007,#98286,.T.); #213475=EDGE_CURVE('',#171008,#171006,#98287,.T.); #213476=EDGE_CURVE('',#171009,#171007,#98288,.T.); #213477=EDGE_CURVE('',#171008,#171009,#98289,.T.); #213478=EDGE_CURVE('',#171010,#171008,#98290,.T.); #213479=EDGE_CURVE('',#171011,#171009,#98291,.T.); #213480=EDGE_CURVE('',#171010,#171011,#98292,.T.); #213481=EDGE_CURVE('',#171012,#171010,#98293,.T.); #213482=EDGE_CURVE('',#171013,#171011,#98294,.T.); #213483=EDGE_CURVE('',#171012,#171013,#98295,.T.); #213484=EDGE_CURVE('',#171014,#171012,#98296,.T.); #213485=EDGE_CURVE('',#171015,#171013,#98297,.T.); #213486=EDGE_CURVE('',#171014,#171015,#98298,.T.); #213487=EDGE_CURVE('',#171016,#171014,#98299,.T.); #213488=EDGE_CURVE('',#171017,#171015,#98300,.T.); #213489=EDGE_CURVE('',#171016,#171017,#98301,.T.); #213490=EDGE_CURVE('',#171018,#171016,#98302,.T.); #213491=EDGE_CURVE('',#171019,#171017,#98303,.T.); #213492=EDGE_CURVE('',#171018,#171019,#98304,.T.); #213493=EDGE_CURVE('',#171020,#171018,#98305,.T.); #213494=EDGE_CURVE('',#171021,#171019,#98306,.T.); #213495=EDGE_CURVE('',#171020,#171021,#98307,.T.); #213496=EDGE_CURVE('',#171022,#171020,#98308,.T.); #213497=EDGE_CURVE('',#171023,#171021,#98309,.T.); #213498=EDGE_CURVE('',#171022,#171023,#98310,.T.); #213499=EDGE_CURVE('',#171024,#171022,#98311,.T.); #213500=EDGE_CURVE('',#171025,#171023,#98312,.T.); #213501=EDGE_CURVE('',#171024,#171025,#98313,.T.); #213502=EDGE_CURVE('',#171026,#171024,#98314,.T.); #213503=EDGE_CURVE('',#171027,#171025,#98315,.T.); #213504=EDGE_CURVE('',#171026,#171027,#98316,.T.); #213505=EDGE_CURVE('',#171028,#171026,#98317,.T.); #213506=EDGE_CURVE('',#171029,#171027,#98318,.T.); #213507=EDGE_CURVE('',#171028,#171029,#98319,.T.); #213508=EDGE_CURVE('',#171030,#171028,#98320,.T.); #213509=EDGE_CURVE('',#171031,#171029,#98321,.T.); #213510=EDGE_CURVE('',#171030,#171031,#98322,.T.); #213511=EDGE_CURVE('',#171032,#171030,#98323,.T.); #213512=EDGE_CURVE('',#171033,#171031,#98324,.T.); #213513=EDGE_CURVE('',#171032,#171033,#98325,.T.); #213514=EDGE_CURVE('',#171034,#171032,#98326,.T.); #213515=EDGE_CURVE('',#171035,#171033,#98327,.T.); #213516=EDGE_CURVE('',#171034,#171035,#98328,.T.); #213517=EDGE_CURVE('',#171036,#171034,#98329,.T.); #213518=EDGE_CURVE('',#171037,#171035,#98330,.T.); #213519=EDGE_CURVE('',#171036,#171037,#98331,.T.); #213520=EDGE_CURVE('',#171038,#171036,#98332,.T.); #213521=EDGE_CURVE('',#171039,#171037,#98333,.T.); #213522=EDGE_CURVE('',#171038,#171039,#98334,.T.); #213523=EDGE_CURVE('',#171040,#171038,#98335,.T.); #213524=EDGE_CURVE('',#171041,#171039,#98336,.T.); #213525=EDGE_CURVE('',#171040,#171041,#98337,.T.); #213526=EDGE_CURVE('',#171042,#171040,#98338,.T.); #213527=EDGE_CURVE('',#171043,#171041,#98339,.T.); #213528=EDGE_CURVE('',#171042,#171043,#98340,.T.); #213529=EDGE_CURVE('',#171044,#171042,#98341,.T.); #213530=EDGE_CURVE('',#171045,#171043,#98342,.T.); #213531=EDGE_CURVE('',#171044,#171045,#98343,.T.); #213532=EDGE_CURVE('',#171046,#171044,#98344,.T.); #213533=EDGE_CURVE('',#171047,#171045,#98345,.T.); #213534=EDGE_CURVE('',#171046,#171047,#98346,.T.); #213535=EDGE_CURVE('',#171048,#171046,#98347,.T.); #213536=EDGE_CURVE('',#171049,#171047,#98348,.T.); #213537=EDGE_CURVE('',#171048,#171049,#98349,.T.); #213538=EDGE_CURVE('',#171050,#171048,#98350,.T.); #213539=EDGE_CURVE('',#171051,#171049,#98351,.T.); #213540=EDGE_CURVE('',#171050,#171051,#98352,.T.); #213541=EDGE_CURVE('',#171052,#171050,#98353,.T.); #213542=EDGE_CURVE('',#171053,#171051,#98354,.T.); #213543=EDGE_CURVE('',#171052,#171053,#98355,.T.); #213544=EDGE_CURVE('',#171054,#171052,#98356,.T.); #213545=EDGE_CURVE('',#171055,#171053,#98357,.T.); #213546=EDGE_CURVE('',#171054,#171055,#98358,.T.); #213547=EDGE_CURVE('',#171056,#171054,#98359,.T.); #213548=EDGE_CURVE('',#171057,#171055,#98360,.T.); #213549=EDGE_CURVE('',#171056,#171057,#98361,.T.); #213550=EDGE_CURVE('',#171058,#171056,#98362,.T.); #213551=EDGE_CURVE('',#171059,#171057,#98363,.T.); #213552=EDGE_CURVE('',#171058,#171059,#98364,.T.); #213553=EDGE_CURVE('',#171060,#171058,#98365,.T.); #213554=EDGE_CURVE('',#171061,#171059,#98366,.T.); #213555=EDGE_CURVE('',#171060,#171061,#98367,.T.); #213556=EDGE_CURVE('',#171062,#171060,#98368,.T.); #213557=EDGE_CURVE('',#171063,#171061,#98369,.T.); #213558=EDGE_CURVE('',#171062,#171063,#98370,.T.); #213559=EDGE_CURVE('',#171064,#171062,#98371,.T.); #213560=EDGE_CURVE('',#171065,#171063,#98372,.T.); #213561=EDGE_CURVE('',#171064,#171065,#98373,.T.); #213562=EDGE_CURVE('',#171066,#171064,#98374,.T.); #213563=EDGE_CURVE('',#171067,#171065,#98375,.T.); #213564=EDGE_CURVE('',#171066,#171067,#98376,.T.); #213565=EDGE_CURVE('',#171068,#171066,#98377,.T.); #213566=EDGE_CURVE('',#171069,#171067,#98378,.T.); #213567=EDGE_CURVE('',#171068,#171069,#98379,.T.); #213568=EDGE_CURVE('',#171070,#171068,#98380,.T.); #213569=EDGE_CURVE('',#171071,#171069,#98381,.T.); #213570=EDGE_CURVE('',#171070,#171071,#98382,.T.); #213571=EDGE_CURVE('',#171072,#171070,#98383,.T.); #213572=EDGE_CURVE('',#171073,#171071,#98384,.T.); #213573=EDGE_CURVE('',#171072,#171073,#98385,.T.); #213574=EDGE_CURVE('',#171074,#171072,#98386,.T.); #213575=EDGE_CURVE('',#171075,#171073,#98387,.T.); #213576=EDGE_CURVE('',#171074,#171075,#98388,.T.); #213577=EDGE_CURVE('',#171076,#171074,#98389,.T.); #213578=EDGE_CURVE('',#171077,#171075,#98390,.T.); #213579=EDGE_CURVE('',#171076,#171077,#98391,.T.); #213580=EDGE_CURVE('',#171078,#171076,#98392,.T.); #213581=EDGE_CURVE('',#171079,#171077,#98393,.T.); #213582=EDGE_CURVE('',#171078,#171079,#98394,.T.); #213583=EDGE_CURVE('',#171080,#171078,#98395,.T.); #213584=EDGE_CURVE('',#171081,#171079,#98396,.T.); #213585=EDGE_CURVE('',#171080,#171081,#98397,.T.); #213586=EDGE_CURVE('',#171082,#171080,#98398,.T.); #213587=EDGE_CURVE('',#171083,#171081,#98399,.T.); #213588=EDGE_CURVE('',#171082,#171083,#98400,.T.); #213589=EDGE_CURVE('',#171084,#171082,#98401,.T.); #213590=EDGE_CURVE('',#171085,#171083,#98402,.T.); #213591=EDGE_CURVE('',#171084,#171085,#98403,.T.); #213592=EDGE_CURVE('',#171086,#171084,#98404,.T.); #213593=EDGE_CURVE('',#171087,#171085,#98405,.T.); #213594=EDGE_CURVE('',#171086,#171087,#98406,.T.); #213595=EDGE_CURVE('',#171088,#171086,#98407,.T.); #213596=EDGE_CURVE('',#171089,#171087,#98408,.T.); #213597=EDGE_CURVE('',#171088,#171089,#98409,.T.); #213598=EDGE_CURVE('',#171090,#171088,#98410,.T.); #213599=EDGE_CURVE('',#171091,#171089,#98411,.T.); #213600=EDGE_CURVE('',#171090,#171091,#98412,.T.); #213601=EDGE_CURVE('',#171092,#171090,#98413,.T.); #213602=EDGE_CURVE('',#171093,#171091,#98414,.T.); #213603=EDGE_CURVE('',#171092,#171093,#98415,.T.); #213604=EDGE_CURVE('',#171094,#171092,#98416,.T.); #213605=EDGE_CURVE('',#171095,#171093,#98417,.T.); #213606=EDGE_CURVE('',#171094,#171095,#98418,.T.); #213607=EDGE_CURVE('',#171096,#171094,#98419,.T.); #213608=EDGE_CURVE('',#171097,#171095,#98420,.T.); #213609=EDGE_CURVE('',#171096,#171097,#98421,.T.); #213610=EDGE_CURVE('',#171098,#171096,#98422,.T.); #213611=EDGE_CURVE('',#171099,#171097,#98423,.T.); #213612=EDGE_CURVE('',#171098,#171099,#98424,.T.); #213613=EDGE_CURVE('',#171100,#171098,#98425,.T.); #213614=EDGE_CURVE('',#171101,#171099,#98426,.T.); #213615=EDGE_CURVE('',#171100,#171101,#98427,.T.); #213616=EDGE_CURVE('',#171102,#171100,#98428,.T.); #213617=EDGE_CURVE('',#171103,#171101,#98429,.T.); #213618=EDGE_CURVE('',#171102,#171103,#98430,.T.); #213619=EDGE_CURVE('',#171104,#171102,#98431,.T.); #213620=EDGE_CURVE('',#171105,#171103,#98432,.T.); #213621=EDGE_CURVE('',#171104,#171105,#98433,.T.); #213622=EDGE_CURVE('',#171106,#171104,#98434,.T.); #213623=EDGE_CURVE('',#171107,#171105,#98435,.T.); #213624=EDGE_CURVE('',#171106,#171107,#98436,.T.); #213625=EDGE_CURVE('',#171108,#171106,#98437,.T.); #213626=EDGE_CURVE('',#171109,#171107,#98438,.T.); #213627=EDGE_CURVE('',#171108,#171109,#98439,.T.); #213628=EDGE_CURVE('',#171110,#171108,#98440,.T.); #213629=EDGE_CURVE('',#171111,#171109,#98441,.T.); #213630=EDGE_CURVE('',#171110,#171111,#98442,.T.); #213631=EDGE_CURVE('',#171112,#171110,#98443,.T.); #213632=EDGE_CURVE('',#171113,#171111,#98444,.T.); #213633=EDGE_CURVE('',#171112,#171113,#98445,.T.); #213634=EDGE_CURVE('',#171114,#171112,#98446,.T.); #213635=EDGE_CURVE('',#171115,#171113,#98447,.T.); #213636=EDGE_CURVE('',#171114,#171115,#98448,.T.); #213637=EDGE_CURVE('',#171116,#171114,#98449,.T.); #213638=EDGE_CURVE('',#171117,#171115,#98450,.T.); #213639=EDGE_CURVE('',#171116,#171117,#98451,.T.); #213640=EDGE_CURVE('',#171118,#171116,#98452,.T.); #213641=EDGE_CURVE('',#171119,#171117,#98453,.T.); #213642=EDGE_CURVE('',#171118,#171119,#98454,.T.); #213643=EDGE_CURVE('',#171120,#171118,#98455,.T.); #213644=EDGE_CURVE('',#171121,#171119,#98456,.T.); #213645=EDGE_CURVE('',#171120,#171121,#98457,.T.); #213646=EDGE_CURVE('',#171122,#171120,#98458,.T.); #213647=EDGE_CURVE('',#171123,#171121,#98459,.T.); #213648=EDGE_CURVE('',#171122,#171123,#98460,.T.); #213649=EDGE_CURVE('',#171124,#171122,#98461,.T.); #213650=EDGE_CURVE('',#171125,#171123,#98462,.T.); #213651=EDGE_CURVE('',#171124,#171125,#98463,.T.); #213652=EDGE_CURVE('',#171126,#171124,#98464,.T.); #213653=EDGE_CURVE('',#171127,#171125,#98465,.T.); #213654=EDGE_CURVE('',#171126,#171127,#98466,.T.); #213655=EDGE_CURVE('',#171128,#171126,#98467,.T.); #213656=EDGE_CURVE('',#171129,#171127,#98468,.T.); #213657=EDGE_CURVE('',#171128,#171129,#98469,.T.); #213658=EDGE_CURVE('',#171130,#171128,#98470,.T.); #213659=EDGE_CURVE('',#171131,#171129,#98471,.T.); #213660=EDGE_CURVE('',#171130,#171131,#98472,.T.); #213661=EDGE_CURVE('',#171132,#171130,#98473,.T.); #213662=EDGE_CURVE('',#171133,#171131,#98474,.T.); #213663=EDGE_CURVE('',#171132,#171133,#98475,.T.); #213664=EDGE_CURVE('',#171134,#171132,#98476,.T.); #213665=EDGE_CURVE('',#171135,#171133,#98477,.T.); #213666=EDGE_CURVE('',#171134,#171135,#98478,.T.); #213667=EDGE_CURVE('',#171136,#171134,#98479,.T.); #213668=EDGE_CURVE('',#171137,#171135,#98480,.T.); #213669=EDGE_CURVE('',#171136,#171137,#98481,.T.); #213670=EDGE_CURVE('',#171138,#171136,#98482,.T.); #213671=EDGE_CURVE('',#171139,#171137,#98483,.T.); #213672=EDGE_CURVE('',#171138,#171139,#98484,.T.); #213673=EDGE_CURVE('',#171140,#171138,#98485,.T.); #213674=EDGE_CURVE('',#171141,#171139,#98486,.T.); #213675=EDGE_CURVE('',#171140,#171141,#98487,.T.); #213676=EDGE_CURVE('',#171142,#171140,#98488,.T.); #213677=EDGE_CURVE('',#171143,#171141,#98489,.T.); #213678=EDGE_CURVE('',#171142,#171143,#98490,.T.); #213679=EDGE_CURVE('',#171144,#171142,#98491,.T.); #213680=EDGE_CURVE('',#171145,#171143,#98492,.T.); #213681=EDGE_CURVE('',#171144,#171145,#98493,.T.); #213682=EDGE_CURVE('',#171146,#171144,#98494,.T.); #213683=EDGE_CURVE('',#171147,#171145,#98495,.T.); #213684=EDGE_CURVE('',#171146,#171147,#98496,.T.); #213685=EDGE_CURVE('',#171148,#171146,#98497,.T.); #213686=EDGE_CURVE('',#171149,#171147,#98498,.T.); #213687=EDGE_CURVE('',#171148,#171149,#98499,.T.); #213688=EDGE_CURVE('',#171150,#171148,#98500,.T.); #213689=EDGE_CURVE('',#171151,#171149,#98501,.T.); #213690=EDGE_CURVE('',#171150,#171151,#98502,.T.); #213691=EDGE_CURVE('',#171152,#171150,#98503,.T.); #213692=EDGE_CURVE('',#171153,#171151,#98504,.T.); #213693=EDGE_CURVE('',#171152,#171153,#98505,.T.); #213694=EDGE_CURVE('',#171154,#171152,#98506,.T.); #213695=EDGE_CURVE('',#171155,#171153,#98507,.T.); #213696=EDGE_CURVE('',#171154,#171155,#98508,.T.); #213697=EDGE_CURVE('',#171156,#171154,#98509,.T.); #213698=EDGE_CURVE('',#171157,#171155,#98510,.T.); #213699=EDGE_CURVE('',#171156,#171157,#98511,.T.); #213700=EDGE_CURVE('',#171158,#171156,#98512,.T.); #213701=EDGE_CURVE('',#171159,#171157,#98513,.T.); #213702=EDGE_CURVE('',#171158,#171159,#98514,.T.); #213703=EDGE_CURVE('',#171160,#171158,#98515,.T.); #213704=EDGE_CURVE('',#171161,#171159,#98516,.T.); #213705=EDGE_CURVE('',#171160,#171161,#98517,.T.); #213706=EDGE_CURVE('',#171162,#171160,#98518,.T.); #213707=EDGE_CURVE('',#171163,#171161,#98519,.T.); #213708=EDGE_CURVE('',#171162,#171163,#98520,.T.); #213709=EDGE_CURVE('',#171164,#171162,#98521,.T.); #213710=EDGE_CURVE('',#171165,#171163,#98522,.T.); #213711=EDGE_CURVE('',#171164,#171165,#98523,.T.); #213712=EDGE_CURVE('',#171166,#171164,#98524,.T.); #213713=EDGE_CURVE('',#171167,#171165,#98525,.T.); #213714=EDGE_CURVE('',#171166,#171167,#98526,.T.); #213715=EDGE_CURVE('',#171168,#171166,#98527,.T.); #213716=EDGE_CURVE('',#171169,#171167,#98528,.T.); #213717=EDGE_CURVE('',#171168,#171169,#98529,.T.); #213718=EDGE_CURVE('',#171170,#171168,#98530,.T.); #213719=EDGE_CURVE('',#171171,#171169,#98531,.T.); #213720=EDGE_CURVE('',#171170,#171171,#98532,.T.); #213721=EDGE_CURVE('',#171172,#171170,#98533,.T.); #213722=EDGE_CURVE('',#171173,#171171,#98534,.T.); #213723=EDGE_CURVE('',#171172,#171173,#98535,.T.); #213724=EDGE_CURVE('',#171174,#171172,#98536,.T.); #213725=EDGE_CURVE('',#171175,#171173,#98537,.T.); #213726=EDGE_CURVE('',#171174,#171175,#98538,.T.); #213727=EDGE_CURVE('',#171176,#171174,#98539,.T.); #213728=EDGE_CURVE('',#171177,#171175,#98540,.T.); #213729=EDGE_CURVE('',#171176,#171177,#98541,.T.); #213730=EDGE_CURVE('',#171178,#171176,#98542,.T.); #213731=EDGE_CURVE('',#171179,#171177,#98543,.T.); #213732=EDGE_CURVE('',#171178,#171179,#98544,.T.); #213733=EDGE_CURVE('',#171180,#171178,#98545,.T.); #213734=EDGE_CURVE('',#171181,#171179,#98546,.T.); #213735=EDGE_CURVE('',#171180,#171181,#98547,.T.); #213736=EDGE_CURVE('',#171182,#171180,#98548,.T.); #213737=EDGE_CURVE('',#171183,#171181,#98549,.T.); #213738=EDGE_CURVE('',#171182,#171183,#98550,.T.); #213739=EDGE_CURVE('',#171184,#171182,#98551,.T.); #213740=EDGE_CURVE('',#171185,#171183,#98552,.T.); #213741=EDGE_CURVE('',#171184,#171185,#98553,.T.); #213742=EDGE_CURVE('',#171186,#171184,#98554,.T.); #213743=EDGE_CURVE('',#171187,#171185,#98555,.T.); #213744=EDGE_CURVE('',#171186,#171187,#98556,.T.); #213745=EDGE_CURVE('',#171188,#171186,#98557,.T.); #213746=EDGE_CURVE('',#171189,#171187,#98558,.T.); #213747=EDGE_CURVE('',#171188,#171189,#98559,.T.); #213748=EDGE_CURVE('',#171190,#171188,#98560,.T.); #213749=EDGE_CURVE('',#171191,#171189,#98561,.T.); #213750=EDGE_CURVE('',#171190,#171191,#98562,.T.); #213751=EDGE_CURVE('',#171192,#171190,#98563,.T.); #213752=EDGE_CURVE('',#171193,#171191,#98564,.T.); #213753=EDGE_CURVE('',#171192,#171193,#98565,.T.); #213754=EDGE_CURVE('',#171194,#171192,#98566,.T.); #213755=EDGE_CURVE('',#171195,#171193,#98567,.T.); #213756=EDGE_CURVE('',#171194,#171195,#98568,.T.); #213757=EDGE_CURVE('',#171196,#171194,#98569,.T.); #213758=EDGE_CURVE('',#171197,#171195,#98570,.T.); #213759=EDGE_CURVE('',#171196,#171197,#98571,.T.); #213760=EDGE_CURVE('',#171198,#171196,#98572,.T.); #213761=EDGE_CURVE('',#171199,#171197,#98573,.T.); #213762=EDGE_CURVE('',#171198,#171199,#98574,.T.); #213763=EDGE_CURVE('',#171200,#171198,#98575,.T.); #213764=EDGE_CURVE('',#171201,#171199,#98576,.T.); #213765=EDGE_CURVE('',#171200,#171201,#98577,.T.); #213766=EDGE_CURVE('',#171202,#171200,#98578,.T.); #213767=EDGE_CURVE('',#171203,#171201,#98579,.T.); #213768=EDGE_CURVE('',#171202,#171203,#98580,.T.); #213769=EDGE_CURVE('',#171204,#171202,#98581,.T.); #213770=EDGE_CURVE('',#171205,#171203,#98582,.T.); #213771=EDGE_CURVE('',#171204,#171205,#98583,.T.); #213772=EDGE_CURVE('',#171206,#171204,#98584,.T.); #213773=EDGE_CURVE('',#171207,#171205,#98585,.T.); #213774=EDGE_CURVE('',#171206,#171207,#98586,.T.); #213775=EDGE_CURVE('',#171208,#171206,#98587,.T.); #213776=EDGE_CURVE('',#171209,#171207,#98588,.T.); #213777=EDGE_CURVE('',#171208,#171209,#98589,.T.); #213778=EDGE_CURVE('',#171210,#171208,#98590,.T.); #213779=EDGE_CURVE('',#171211,#171209,#98591,.T.); #213780=EDGE_CURVE('',#171210,#171211,#98592,.T.); #213781=EDGE_CURVE('',#171212,#171210,#98593,.T.); #213782=EDGE_CURVE('',#171213,#171211,#98594,.T.); #213783=EDGE_CURVE('',#171212,#171213,#98595,.T.); #213784=EDGE_CURVE('',#171214,#171212,#98596,.T.); #213785=EDGE_CURVE('',#171215,#171213,#98597,.T.); #213786=EDGE_CURVE('',#171214,#171215,#98598,.T.); #213787=EDGE_CURVE('',#171216,#171214,#98599,.T.); #213788=EDGE_CURVE('',#171217,#171215,#98600,.T.); #213789=EDGE_CURVE('',#171216,#171217,#98601,.T.); #213790=EDGE_CURVE('',#171218,#171216,#98602,.T.); #213791=EDGE_CURVE('',#171219,#171217,#98603,.T.); #213792=EDGE_CURVE('',#171218,#171219,#98604,.T.); #213793=EDGE_CURVE('',#171220,#171218,#98605,.T.); #213794=EDGE_CURVE('',#171221,#171219,#98606,.T.); #213795=EDGE_CURVE('',#171220,#171221,#98607,.T.); #213796=EDGE_CURVE('',#171222,#171220,#98608,.T.); #213797=EDGE_CURVE('',#171223,#171221,#98609,.T.); #213798=EDGE_CURVE('',#171222,#171223,#98610,.T.); #213799=EDGE_CURVE('',#171224,#171222,#98611,.T.); #213800=EDGE_CURVE('',#171225,#171223,#98612,.T.); #213801=EDGE_CURVE('',#171224,#171225,#98613,.T.); #213802=EDGE_CURVE('',#171226,#171224,#98614,.T.); #213803=EDGE_CURVE('',#171227,#171225,#98615,.T.); #213804=EDGE_CURVE('',#171226,#171227,#98616,.T.); #213805=EDGE_CURVE('',#171228,#171226,#98617,.T.); #213806=EDGE_CURVE('',#171229,#171227,#98618,.T.); #213807=EDGE_CURVE('',#171228,#171229,#98619,.T.); #213808=EDGE_CURVE('',#171230,#171228,#98620,.T.); #213809=EDGE_CURVE('',#171231,#171229,#98621,.T.); #213810=EDGE_CURVE('',#171230,#171231,#98622,.T.); #213811=EDGE_CURVE('',#171232,#171230,#98623,.T.); #213812=EDGE_CURVE('',#171233,#171231,#98624,.T.); #213813=EDGE_CURVE('',#171232,#171233,#98625,.T.); #213814=EDGE_CURVE('',#171234,#171232,#98626,.T.); #213815=EDGE_CURVE('',#171235,#171233,#98627,.T.); #213816=EDGE_CURVE('',#171234,#171235,#98628,.T.); #213817=EDGE_CURVE('',#171236,#171234,#98629,.T.); #213818=EDGE_CURVE('',#171237,#171235,#98630,.T.); #213819=EDGE_CURVE('',#171236,#171237,#98631,.T.); #213820=EDGE_CURVE('',#171238,#171236,#98632,.T.); #213821=EDGE_CURVE('',#171239,#171237,#98633,.T.); #213822=EDGE_CURVE('',#171238,#171239,#98634,.T.); #213823=EDGE_CURVE('',#171240,#171238,#98635,.T.); #213824=EDGE_CURVE('',#171241,#171239,#98636,.T.); #213825=EDGE_CURVE('',#171240,#171241,#98637,.T.); #213826=EDGE_CURVE('',#171242,#171240,#98638,.T.); #213827=EDGE_CURVE('',#171243,#171241,#98639,.T.); #213828=EDGE_CURVE('',#171242,#171243,#98640,.T.); #213829=EDGE_CURVE('',#171244,#171242,#98641,.T.); #213830=EDGE_CURVE('',#171245,#171243,#98642,.T.); #213831=EDGE_CURVE('',#171244,#171245,#98643,.T.); #213832=EDGE_CURVE('',#171246,#171244,#98644,.T.); #213833=EDGE_CURVE('',#171247,#171245,#98645,.T.); #213834=EDGE_CURVE('',#171246,#171247,#98646,.T.); #213835=EDGE_CURVE('',#170747,#171246,#98647,.T.); #213836=EDGE_CURVE('',#170748,#171247,#98648,.T.); #213837=EDGE_CURVE('',#171248,#171249,#98649,.T.); #213838=EDGE_CURVE('',#171249,#171250,#98650,.T.); #213839=EDGE_CURVE('',#171251,#171250,#98651,.T.); #213840=EDGE_CURVE('',#171248,#171251,#98652,.T.); #213841=EDGE_CURVE('',#171252,#171248,#98653,.T.); #213842=EDGE_CURVE('',#171253,#171251,#98654,.T.); #213843=EDGE_CURVE('',#171252,#171253,#98655,.T.); #213844=EDGE_CURVE('',#171254,#171252,#98656,.T.); #213845=EDGE_CURVE('',#171255,#171253,#98657,.T.); #213846=EDGE_CURVE('',#171254,#171255,#98658,.T.); #213847=EDGE_CURVE('',#171256,#171254,#98659,.T.); #213848=EDGE_CURVE('',#171257,#171255,#98660,.T.); #213849=EDGE_CURVE('',#171256,#171257,#98661,.T.); #213850=EDGE_CURVE('',#171258,#171256,#98662,.T.); #213851=EDGE_CURVE('',#171259,#171257,#98663,.T.); #213852=EDGE_CURVE('',#171258,#171259,#98664,.T.); #213853=EDGE_CURVE('',#171260,#171258,#98665,.T.); #213854=EDGE_CURVE('',#171261,#171259,#98666,.T.); #213855=EDGE_CURVE('',#171260,#171261,#98667,.T.); #213856=EDGE_CURVE('',#171262,#171260,#98668,.T.); #213857=EDGE_CURVE('',#171263,#171261,#98669,.T.); #213858=EDGE_CURVE('',#171262,#171263,#98670,.T.); #213859=EDGE_CURVE('',#171264,#171262,#98671,.T.); #213860=EDGE_CURVE('',#171265,#171263,#98672,.T.); #213861=EDGE_CURVE('',#171264,#171265,#98673,.T.); #213862=EDGE_CURVE('',#171266,#171264,#98674,.T.); #213863=EDGE_CURVE('',#171267,#171265,#98675,.T.); #213864=EDGE_CURVE('',#171266,#171267,#98676,.T.); #213865=EDGE_CURVE('',#171268,#171266,#98677,.T.); #213866=EDGE_CURVE('',#171269,#171267,#98678,.T.); #213867=EDGE_CURVE('',#171268,#171269,#98679,.T.); #213868=EDGE_CURVE('',#171270,#171268,#98680,.T.); #213869=EDGE_CURVE('',#171271,#171269,#98681,.T.); #213870=EDGE_CURVE('',#171270,#171271,#98682,.T.); #213871=EDGE_CURVE('',#171272,#171270,#98683,.T.); #213872=EDGE_CURVE('',#171273,#171271,#98684,.T.); #213873=EDGE_CURVE('',#171272,#171273,#98685,.T.); #213874=EDGE_CURVE('',#171274,#171272,#98686,.T.); #213875=EDGE_CURVE('',#171275,#171273,#98687,.T.); #213876=EDGE_CURVE('',#171274,#171275,#98688,.T.); #213877=EDGE_CURVE('',#171276,#171274,#98689,.T.); #213878=EDGE_CURVE('',#171277,#171275,#98690,.T.); #213879=EDGE_CURVE('',#171276,#171277,#98691,.T.); #213880=EDGE_CURVE('',#171278,#171276,#98692,.T.); #213881=EDGE_CURVE('',#171279,#171277,#98693,.T.); #213882=EDGE_CURVE('',#171278,#171279,#98694,.T.); #213883=EDGE_CURVE('',#171280,#171278,#98695,.T.); #213884=EDGE_CURVE('',#171281,#171279,#98696,.T.); #213885=EDGE_CURVE('',#171280,#171281,#98697,.T.); #213886=EDGE_CURVE('',#171282,#171280,#98698,.T.); #213887=EDGE_CURVE('',#171283,#171281,#98699,.T.); #213888=EDGE_CURVE('',#171282,#171283,#98700,.T.); #213889=EDGE_CURVE('',#171284,#171282,#98701,.T.); #213890=EDGE_CURVE('',#171285,#171283,#98702,.T.); #213891=EDGE_CURVE('',#171284,#171285,#98703,.T.); #213892=EDGE_CURVE('',#171286,#171284,#98704,.T.); #213893=EDGE_CURVE('',#171287,#171285,#98705,.T.); #213894=EDGE_CURVE('',#171286,#171287,#98706,.T.); #213895=EDGE_CURVE('',#171288,#171286,#98707,.T.); #213896=EDGE_CURVE('',#171289,#171287,#98708,.T.); #213897=EDGE_CURVE('',#171288,#171289,#98709,.T.); #213898=EDGE_CURVE('',#171290,#171288,#98710,.T.); #213899=EDGE_CURVE('',#171291,#171289,#98711,.T.); #213900=EDGE_CURVE('',#171290,#171291,#98712,.T.); #213901=EDGE_CURVE('',#171292,#171290,#98713,.T.); #213902=EDGE_CURVE('',#171293,#171291,#98714,.T.); #213903=EDGE_CURVE('',#171292,#171293,#98715,.T.); #213904=EDGE_CURVE('',#171294,#171292,#98716,.T.); #213905=EDGE_CURVE('',#171295,#171293,#98717,.T.); #213906=EDGE_CURVE('',#171294,#171295,#98718,.T.); #213907=EDGE_CURVE('',#171296,#171294,#98719,.T.); #213908=EDGE_CURVE('',#171297,#171295,#98720,.T.); #213909=EDGE_CURVE('',#171296,#171297,#98721,.T.); #213910=EDGE_CURVE('',#171298,#171296,#98722,.T.); #213911=EDGE_CURVE('',#171299,#171297,#98723,.T.); #213912=EDGE_CURVE('',#171298,#171299,#98724,.T.); #213913=EDGE_CURVE('',#171300,#171298,#98725,.T.); #213914=EDGE_CURVE('',#171301,#171299,#98726,.T.); #213915=EDGE_CURVE('',#171300,#171301,#98727,.T.); #213916=EDGE_CURVE('',#171302,#171300,#98728,.T.); #213917=EDGE_CURVE('',#171303,#171301,#98729,.T.); #213918=EDGE_CURVE('',#171302,#171303,#98730,.T.); #213919=EDGE_CURVE('',#171304,#171302,#98731,.T.); #213920=EDGE_CURVE('',#171305,#171303,#98732,.T.); #213921=EDGE_CURVE('',#171304,#171305,#98733,.T.); #213922=EDGE_CURVE('',#171306,#171304,#98734,.T.); #213923=EDGE_CURVE('',#171307,#171305,#98735,.T.); #213924=EDGE_CURVE('',#171306,#171307,#98736,.T.); #213925=EDGE_CURVE('',#171249,#171306,#98737,.T.); #213926=EDGE_CURVE('',#171250,#171307,#98738,.T.); #213927=EDGE_CURVE('',#171308,#171309,#98739,.T.); #213928=EDGE_CURVE('',#171309,#171310,#98740,.T.); #213929=EDGE_CURVE('',#171311,#171310,#98741,.T.); #213930=EDGE_CURVE('',#171308,#171311,#98742,.T.); #213931=EDGE_CURVE('',#171312,#171308,#98743,.T.); #213932=EDGE_CURVE('',#171313,#171311,#98744,.T.); #213933=EDGE_CURVE('',#171312,#171313,#98745,.T.); #213934=EDGE_CURVE('',#171314,#171312,#98746,.T.); #213935=EDGE_CURVE('',#171315,#171313,#98747,.T.); #213936=EDGE_CURVE('',#171314,#171315,#98748,.T.); #213937=EDGE_CURVE('',#171316,#171314,#98749,.T.); #213938=EDGE_CURVE('',#171317,#171315,#98750,.T.); #213939=EDGE_CURVE('',#171316,#171317,#98751,.T.); #213940=EDGE_CURVE('',#171318,#171316,#98752,.T.); #213941=EDGE_CURVE('',#171319,#171317,#98753,.T.); #213942=EDGE_CURVE('',#171318,#171319,#98754,.T.); #213943=EDGE_CURVE('',#171320,#171318,#98755,.T.); #213944=EDGE_CURVE('',#171321,#171319,#98756,.T.); #213945=EDGE_CURVE('',#171320,#171321,#98757,.T.); #213946=EDGE_CURVE('',#171322,#171320,#98758,.T.); #213947=EDGE_CURVE('',#171323,#171321,#98759,.T.); #213948=EDGE_CURVE('',#171322,#171323,#98760,.T.); #213949=EDGE_CURVE('',#171324,#171322,#98761,.T.); #213950=EDGE_CURVE('',#171325,#171323,#98762,.T.); #213951=EDGE_CURVE('',#171324,#171325,#98763,.T.); #213952=EDGE_CURVE('',#171326,#171324,#98764,.T.); #213953=EDGE_CURVE('',#171327,#171325,#98765,.T.); #213954=EDGE_CURVE('',#171326,#171327,#98766,.T.); #213955=EDGE_CURVE('',#171328,#171326,#98767,.T.); #213956=EDGE_CURVE('',#171329,#171327,#98768,.T.); #213957=EDGE_CURVE('',#171328,#171329,#98769,.T.); #213958=EDGE_CURVE('',#171330,#171328,#98770,.T.); #213959=EDGE_CURVE('',#171331,#171329,#98771,.T.); #213960=EDGE_CURVE('',#171330,#171331,#98772,.T.); #213961=EDGE_CURVE('',#171332,#171330,#98773,.T.); #213962=EDGE_CURVE('',#171333,#171331,#98774,.T.); #213963=EDGE_CURVE('',#171332,#171333,#98775,.T.); #213964=EDGE_CURVE('',#171334,#171332,#98776,.T.); #213965=EDGE_CURVE('',#171335,#171333,#98777,.T.); #213966=EDGE_CURVE('',#171334,#171335,#98778,.T.); #213967=EDGE_CURVE('',#171336,#171334,#98779,.T.); #213968=EDGE_CURVE('',#171337,#171335,#98780,.T.); #213969=EDGE_CURVE('',#171336,#171337,#98781,.T.); #213970=EDGE_CURVE('',#171338,#171336,#98782,.T.); #213971=EDGE_CURVE('',#171339,#171337,#98783,.T.); #213972=EDGE_CURVE('',#171338,#171339,#98784,.T.); #213973=EDGE_CURVE('',#171340,#171338,#98785,.T.); #213974=EDGE_CURVE('',#171341,#171339,#98786,.T.); #213975=EDGE_CURVE('',#171340,#171341,#98787,.T.); #213976=EDGE_CURVE('',#171342,#171340,#98788,.T.); #213977=EDGE_CURVE('',#171343,#171341,#98789,.T.); #213978=EDGE_CURVE('',#171342,#171343,#98790,.T.); #213979=EDGE_CURVE('',#171344,#171342,#98791,.T.); #213980=EDGE_CURVE('',#171345,#171343,#98792,.T.); #213981=EDGE_CURVE('',#171344,#171345,#98793,.T.); #213982=EDGE_CURVE('',#171346,#171344,#98794,.T.); #213983=EDGE_CURVE('',#171347,#171345,#98795,.T.); #213984=EDGE_CURVE('',#171346,#171347,#98796,.T.); #213985=EDGE_CURVE('',#171348,#171346,#98797,.T.); #213986=EDGE_CURVE('',#171349,#171347,#98798,.T.); #213987=EDGE_CURVE('',#171348,#171349,#98799,.T.); #213988=EDGE_CURVE('',#171350,#171348,#98800,.T.); #213989=EDGE_CURVE('',#171351,#171349,#98801,.T.); #213990=EDGE_CURVE('',#171350,#171351,#98802,.T.); #213991=EDGE_CURVE('',#171352,#171350,#98803,.T.); #213992=EDGE_CURVE('',#171353,#171351,#98804,.T.); #213993=EDGE_CURVE('',#171352,#171353,#98805,.T.); #213994=EDGE_CURVE('',#171354,#171352,#98806,.T.); #213995=EDGE_CURVE('',#171355,#171353,#98807,.T.); #213996=EDGE_CURVE('',#171354,#171355,#98808,.T.); #213997=EDGE_CURVE('',#171356,#171354,#98809,.T.); #213998=EDGE_CURVE('',#171357,#171355,#98810,.T.); #213999=EDGE_CURVE('',#171356,#171357,#98811,.T.); #214000=EDGE_CURVE('',#171358,#171356,#98812,.T.); #214001=EDGE_CURVE('',#171359,#171357,#98813,.T.); #214002=EDGE_CURVE('',#171358,#171359,#98814,.T.); #214003=EDGE_CURVE('',#171360,#171358,#98815,.T.); #214004=EDGE_CURVE('',#171361,#171359,#98816,.T.); #214005=EDGE_CURVE('',#171360,#171361,#98817,.T.); #214006=EDGE_CURVE('',#171362,#171360,#98818,.T.); #214007=EDGE_CURVE('',#171363,#171361,#98819,.T.); #214008=EDGE_CURVE('',#171362,#171363,#98820,.T.); #214009=EDGE_CURVE('',#171364,#171362,#98821,.T.); #214010=EDGE_CURVE('',#171365,#171363,#98822,.T.); #214011=EDGE_CURVE('',#171364,#171365,#98823,.T.); #214012=EDGE_CURVE('',#171366,#171364,#98824,.T.); #214013=EDGE_CURVE('',#171367,#171365,#98825,.T.); #214014=EDGE_CURVE('',#171366,#171367,#98826,.T.); #214015=EDGE_CURVE('',#171309,#171366,#98827,.T.); #214016=EDGE_CURVE('',#171310,#171367,#98828,.T.); #214017=EDGE_CURVE('',#171368,#171369,#98829,.T.); #214018=EDGE_CURVE('',#171369,#171370,#98830,.T.); #214019=EDGE_CURVE('',#171371,#171370,#98831,.T.); #214020=EDGE_CURVE('',#171368,#171371,#98832,.T.); #214021=EDGE_CURVE('',#171372,#171368,#98833,.T.); #214022=EDGE_CURVE('',#171373,#171371,#98834,.T.); #214023=EDGE_CURVE('',#171372,#171373,#98835,.T.); #214024=EDGE_CURVE('',#171374,#171372,#98836,.T.); #214025=EDGE_CURVE('',#171375,#171373,#98837,.T.); #214026=EDGE_CURVE('',#171374,#171375,#98838,.T.); #214027=EDGE_CURVE('',#171376,#171374,#98839,.T.); #214028=EDGE_CURVE('',#171377,#171375,#98840,.T.); #214029=EDGE_CURVE('',#171376,#171377,#98841,.T.); #214030=EDGE_CURVE('',#171378,#171376,#98842,.T.); #214031=EDGE_CURVE('',#171379,#171377,#98843,.T.); #214032=EDGE_CURVE('',#171378,#171379,#98844,.T.); #214033=EDGE_CURVE('',#171380,#171378,#98845,.T.); #214034=EDGE_CURVE('',#171381,#171379,#98846,.T.); #214035=EDGE_CURVE('',#171380,#171381,#98847,.T.); #214036=EDGE_CURVE('',#171382,#171380,#98848,.T.); #214037=EDGE_CURVE('',#171383,#171381,#98849,.T.); #214038=EDGE_CURVE('',#171382,#171383,#98850,.T.); #214039=EDGE_CURVE('',#171384,#171382,#98851,.T.); #214040=EDGE_CURVE('',#171385,#171383,#98852,.T.); #214041=EDGE_CURVE('',#171384,#171385,#98853,.T.); #214042=EDGE_CURVE('',#171386,#171384,#98854,.T.); #214043=EDGE_CURVE('',#171387,#171385,#98855,.T.); #214044=EDGE_CURVE('',#171386,#171387,#98856,.T.); #214045=EDGE_CURVE('',#171388,#171386,#98857,.T.); #214046=EDGE_CURVE('',#171389,#171387,#98858,.T.); #214047=EDGE_CURVE('',#171388,#171389,#98859,.T.); #214048=EDGE_CURVE('',#171390,#171388,#98860,.T.); #214049=EDGE_CURVE('',#171391,#171389,#98861,.T.); #214050=EDGE_CURVE('',#171390,#171391,#98862,.T.); #214051=EDGE_CURVE('',#171392,#171390,#98863,.T.); #214052=EDGE_CURVE('',#171393,#171391,#98864,.T.); #214053=EDGE_CURVE('',#171392,#171393,#98865,.T.); #214054=EDGE_CURVE('',#171394,#171392,#98866,.T.); #214055=EDGE_CURVE('',#171395,#171393,#98867,.T.); #214056=EDGE_CURVE('',#171394,#171395,#98868,.T.); #214057=EDGE_CURVE('',#171396,#171394,#98869,.T.); #214058=EDGE_CURVE('',#171397,#171395,#98870,.T.); #214059=EDGE_CURVE('',#171396,#171397,#98871,.T.); #214060=EDGE_CURVE('',#171398,#171396,#98872,.T.); #214061=EDGE_CURVE('',#171399,#171397,#98873,.T.); #214062=EDGE_CURVE('',#171398,#171399,#98874,.T.); #214063=EDGE_CURVE('',#171400,#171398,#98875,.T.); #214064=EDGE_CURVE('',#171401,#171399,#98876,.T.); #214065=EDGE_CURVE('',#171400,#171401,#98877,.T.); #214066=EDGE_CURVE('',#171402,#171400,#98878,.T.); #214067=EDGE_CURVE('',#171403,#171401,#98879,.T.); #214068=EDGE_CURVE('',#171402,#171403,#98880,.T.); #214069=EDGE_CURVE('',#171404,#171402,#98881,.T.); #214070=EDGE_CURVE('',#171405,#171403,#98882,.T.); #214071=EDGE_CURVE('',#171404,#171405,#98883,.T.); #214072=EDGE_CURVE('',#171406,#171404,#98884,.T.); #214073=EDGE_CURVE('',#171407,#171405,#98885,.T.); #214074=EDGE_CURVE('',#171406,#171407,#98886,.T.); #214075=EDGE_CURVE('',#171408,#171406,#98887,.T.); #214076=EDGE_CURVE('',#171409,#171407,#98888,.T.); #214077=EDGE_CURVE('',#171408,#171409,#98889,.T.); #214078=EDGE_CURVE('',#171410,#171408,#98890,.T.); #214079=EDGE_CURVE('',#171411,#171409,#98891,.T.); #214080=EDGE_CURVE('',#171410,#171411,#98892,.T.); #214081=EDGE_CURVE('',#171412,#171410,#98893,.T.); #214082=EDGE_CURVE('',#171413,#171411,#98894,.T.); #214083=EDGE_CURVE('',#171412,#171413,#98895,.T.); #214084=EDGE_CURVE('',#171414,#171412,#98896,.T.); #214085=EDGE_CURVE('',#171415,#171413,#98897,.T.); #214086=EDGE_CURVE('',#171414,#171415,#98898,.T.); #214087=EDGE_CURVE('',#171416,#171414,#98899,.T.); #214088=EDGE_CURVE('',#171417,#171415,#98900,.T.); #214089=EDGE_CURVE('',#171416,#171417,#98901,.T.); #214090=EDGE_CURVE('',#171418,#171416,#98902,.T.); #214091=EDGE_CURVE('',#171419,#171417,#98903,.T.); #214092=EDGE_CURVE('',#171418,#171419,#98904,.T.); #214093=EDGE_CURVE('',#171420,#171418,#98905,.T.); #214094=EDGE_CURVE('',#171421,#171419,#98906,.T.); #214095=EDGE_CURVE('',#171420,#171421,#98907,.T.); #214096=EDGE_CURVE('',#171422,#171420,#98908,.T.); #214097=EDGE_CURVE('',#171423,#171421,#98909,.T.); #214098=EDGE_CURVE('',#171422,#171423,#98910,.T.); #214099=EDGE_CURVE('',#171424,#171422,#98911,.T.); #214100=EDGE_CURVE('',#171425,#171423,#98912,.T.); #214101=EDGE_CURVE('',#171424,#171425,#98913,.T.); #214102=EDGE_CURVE('',#171426,#171424,#98914,.T.); #214103=EDGE_CURVE('',#171427,#171425,#98915,.T.); #214104=EDGE_CURVE('',#171426,#171427,#98916,.T.); #214105=EDGE_CURVE('',#171369,#171426,#98917,.T.); #214106=EDGE_CURVE('',#171370,#171427,#98918,.T.); #214107=EDGE_CURVE('',#171428,#171429,#98919,.T.); #214108=EDGE_CURVE('',#171429,#171430,#98920,.T.); #214109=EDGE_CURVE('',#171431,#171430,#98921,.T.); #214110=EDGE_CURVE('',#171428,#171431,#98922,.T.); #214111=EDGE_CURVE('',#171432,#171428,#98923,.T.); #214112=EDGE_CURVE('',#171433,#171431,#98924,.T.); #214113=EDGE_CURVE('',#171432,#171433,#98925,.T.); #214114=EDGE_CURVE('',#171434,#171432,#98926,.T.); #214115=EDGE_CURVE('',#171435,#171433,#98927,.T.); #214116=EDGE_CURVE('',#171434,#171435,#98928,.T.); #214117=EDGE_CURVE('',#171436,#171434,#98929,.T.); #214118=EDGE_CURVE('',#171437,#171435,#98930,.T.); #214119=EDGE_CURVE('',#171436,#171437,#98931,.T.); #214120=EDGE_CURVE('',#171438,#171436,#98932,.T.); #214121=EDGE_CURVE('',#171439,#171437,#98933,.T.); #214122=EDGE_CURVE('',#171438,#171439,#98934,.T.); #214123=EDGE_CURVE('',#171440,#171438,#98935,.T.); #214124=EDGE_CURVE('',#171441,#171439,#98936,.T.); #214125=EDGE_CURVE('',#171440,#171441,#98937,.T.); #214126=EDGE_CURVE('',#171442,#171440,#98938,.T.); #214127=EDGE_CURVE('',#171443,#171441,#98939,.T.); #214128=EDGE_CURVE('',#171442,#171443,#98940,.T.); #214129=EDGE_CURVE('',#171444,#171442,#98941,.T.); #214130=EDGE_CURVE('',#171445,#171443,#98942,.T.); #214131=EDGE_CURVE('',#171444,#171445,#98943,.T.); #214132=EDGE_CURVE('',#171446,#171444,#98944,.T.); #214133=EDGE_CURVE('',#171447,#171445,#98945,.T.); #214134=EDGE_CURVE('',#171446,#171447,#98946,.T.); #214135=EDGE_CURVE('',#171448,#171446,#98947,.T.); #214136=EDGE_CURVE('',#171449,#171447,#98948,.T.); #214137=EDGE_CURVE('',#171448,#171449,#98949,.T.); #214138=EDGE_CURVE('',#171450,#171448,#98950,.T.); #214139=EDGE_CURVE('',#171451,#171449,#98951,.T.); #214140=EDGE_CURVE('',#171450,#171451,#98952,.T.); #214141=EDGE_CURVE('',#171452,#171450,#98953,.T.); #214142=EDGE_CURVE('',#171453,#171451,#98954,.T.); #214143=EDGE_CURVE('',#171452,#171453,#98955,.T.); #214144=EDGE_CURVE('',#171454,#171452,#98956,.T.); #214145=EDGE_CURVE('',#171455,#171453,#98957,.T.); #214146=EDGE_CURVE('',#171454,#171455,#98958,.T.); #214147=EDGE_CURVE('',#171456,#171454,#98959,.T.); #214148=EDGE_CURVE('',#171457,#171455,#98960,.T.); #214149=EDGE_CURVE('',#171456,#171457,#98961,.T.); #214150=EDGE_CURVE('',#171458,#171456,#98962,.T.); #214151=EDGE_CURVE('',#171459,#171457,#98963,.T.); #214152=EDGE_CURVE('',#171458,#171459,#98964,.T.); #214153=EDGE_CURVE('',#171460,#171458,#98965,.T.); #214154=EDGE_CURVE('',#171461,#171459,#98966,.T.); #214155=EDGE_CURVE('',#171460,#171461,#98967,.T.); #214156=EDGE_CURVE('',#171462,#171460,#98968,.T.); #214157=EDGE_CURVE('',#171463,#171461,#98969,.T.); #214158=EDGE_CURVE('',#171462,#171463,#98970,.T.); #214159=EDGE_CURVE('',#171464,#171462,#98971,.T.); #214160=EDGE_CURVE('',#171465,#171463,#98972,.T.); #214161=EDGE_CURVE('',#171464,#171465,#98973,.T.); #214162=EDGE_CURVE('',#171466,#171464,#98974,.T.); #214163=EDGE_CURVE('',#171467,#171465,#98975,.T.); #214164=EDGE_CURVE('',#171466,#171467,#98976,.T.); #214165=EDGE_CURVE('',#171468,#171466,#98977,.T.); #214166=EDGE_CURVE('',#171469,#171467,#98978,.T.); #214167=EDGE_CURVE('',#171468,#171469,#98979,.T.); #214168=EDGE_CURVE('',#171470,#171468,#98980,.T.); #214169=EDGE_CURVE('',#171471,#171469,#98981,.T.); #214170=EDGE_CURVE('',#171470,#171471,#98982,.T.); #214171=EDGE_CURVE('',#171472,#171470,#98983,.T.); #214172=EDGE_CURVE('',#171473,#171471,#98984,.T.); #214173=EDGE_CURVE('',#171472,#171473,#98985,.T.); #214174=EDGE_CURVE('',#171474,#171472,#98986,.T.); #214175=EDGE_CURVE('',#171475,#171473,#98987,.T.); #214176=EDGE_CURVE('',#171474,#171475,#98988,.T.); #214177=EDGE_CURVE('',#171476,#171474,#98989,.T.); #214178=EDGE_CURVE('',#171477,#171475,#98990,.T.); #214179=EDGE_CURVE('',#171476,#171477,#98991,.T.); #214180=EDGE_CURVE('',#171478,#171476,#98992,.T.); #214181=EDGE_CURVE('',#171479,#171477,#98993,.T.); #214182=EDGE_CURVE('',#171478,#171479,#98994,.T.); #214183=EDGE_CURVE('',#171480,#171478,#98995,.T.); #214184=EDGE_CURVE('',#171481,#171479,#98996,.T.); #214185=EDGE_CURVE('',#171480,#171481,#98997,.T.); #214186=EDGE_CURVE('',#171482,#171480,#98998,.T.); #214187=EDGE_CURVE('',#171483,#171481,#98999,.T.); #214188=EDGE_CURVE('',#171482,#171483,#99000,.T.); #214189=EDGE_CURVE('',#171484,#171482,#99001,.T.); #214190=EDGE_CURVE('',#171485,#171483,#99002,.T.); #214191=EDGE_CURVE('',#171484,#171485,#99003,.T.); #214192=EDGE_CURVE('',#171486,#171484,#99004,.T.); #214193=EDGE_CURVE('',#171487,#171485,#99005,.T.); #214194=EDGE_CURVE('',#171486,#171487,#99006,.T.); #214195=EDGE_CURVE('',#171488,#171486,#99007,.T.); #214196=EDGE_CURVE('',#171489,#171487,#99008,.T.); #214197=EDGE_CURVE('',#171488,#171489,#99009,.T.); #214198=EDGE_CURVE('',#171490,#171488,#99010,.T.); #214199=EDGE_CURVE('',#171491,#171489,#99011,.T.); #214200=EDGE_CURVE('',#171490,#171491,#99012,.T.); #214201=EDGE_CURVE('',#171492,#171490,#99013,.T.); #214202=EDGE_CURVE('',#171493,#171491,#99014,.T.); #214203=EDGE_CURVE('',#171492,#171493,#99015,.T.); #214204=EDGE_CURVE('',#171494,#171492,#99016,.T.); #214205=EDGE_CURVE('',#171495,#171493,#99017,.T.); #214206=EDGE_CURVE('',#171494,#171495,#99018,.T.); #214207=EDGE_CURVE('',#171496,#171494,#99019,.T.); #214208=EDGE_CURVE('',#171497,#171495,#99020,.T.); #214209=EDGE_CURVE('',#171496,#171497,#99021,.T.); #214210=EDGE_CURVE('',#171498,#171496,#99022,.T.); #214211=EDGE_CURVE('',#171499,#171497,#99023,.T.); #214212=EDGE_CURVE('',#171498,#171499,#99024,.T.); #214213=EDGE_CURVE('',#171500,#171498,#99025,.T.); #214214=EDGE_CURVE('',#171501,#171499,#99026,.T.); #214215=EDGE_CURVE('',#171500,#171501,#99027,.T.); #214216=EDGE_CURVE('',#171502,#171500,#99028,.T.); #214217=EDGE_CURVE('',#171503,#171501,#99029,.T.); #214218=EDGE_CURVE('',#171502,#171503,#99030,.T.); #214219=EDGE_CURVE('',#171504,#171502,#99031,.T.); #214220=EDGE_CURVE('',#171505,#171503,#99032,.T.); #214221=EDGE_CURVE('',#171504,#171505,#99033,.T.); #214222=EDGE_CURVE('',#171506,#171504,#99034,.T.); #214223=EDGE_CURVE('',#171507,#171505,#99035,.T.); #214224=EDGE_CURVE('',#171506,#171507,#99036,.T.); #214225=EDGE_CURVE('',#171508,#171506,#99037,.T.); #214226=EDGE_CURVE('',#171509,#171507,#99038,.T.); #214227=EDGE_CURVE('',#171508,#171509,#99039,.T.); #214228=EDGE_CURVE('',#171510,#171508,#99040,.T.); #214229=EDGE_CURVE('',#171511,#171509,#99041,.T.); #214230=EDGE_CURVE('',#171510,#171511,#99042,.T.); #214231=EDGE_CURVE('',#171512,#171510,#99043,.T.); #214232=EDGE_CURVE('',#171513,#171511,#99044,.T.); #214233=EDGE_CURVE('',#171512,#171513,#99045,.T.); #214234=EDGE_CURVE('',#171514,#171512,#99046,.T.); #214235=EDGE_CURVE('',#171515,#171513,#99047,.T.); #214236=EDGE_CURVE('',#171514,#171515,#99048,.T.); #214237=EDGE_CURVE('',#171516,#171514,#99049,.T.); #214238=EDGE_CURVE('',#171517,#171515,#99050,.T.); #214239=EDGE_CURVE('',#171516,#171517,#99051,.T.); #214240=EDGE_CURVE('',#171518,#171516,#99052,.T.); #214241=EDGE_CURVE('',#171519,#171517,#99053,.T.); #214242=EDGE_CURVE('',#171518,#171519,#99054,.T.); #214243=EDGE_CURVE('',#171520,#171518,#99055,.T.); #214244=EDGE_CURVE('',#171521,#171519,#99056,.T.); #214245=EDGE_CURVE('',#171520,#171521,#99057,.T.); #214246=EDGE_CURVE('',#171522,#171520,#99058,.T.); #214247=EDGE_CURVE('',#171523,#171521,#99059,.T.); #214248=EDGE_CURVE('',#171522,#171523,#99060,.T.); #214249=EDGE_CURVE('',#171524,#171522,#99061,.T.); #214250=EDGE_CURVE('',#171525,#171523,#99062,.T.); #214251=EDGE_CURVE('',#171524,#171525,#99063,.T.); #214252=EDGE_CURVE('',#171526,#171524,#99064,.T.); #214253=EDGE_CURVE('',#171527,#171525,#99065,.T.); #214254=EDGE_CURVE('',#171526,#171527,#99066,.T.); #214255=EDGE_CURVE('',#171528,#171526,#99067,.T.); #214256=EDGE_CURVE('',#171529,#171527,#99068,.T.); #214257=EDGE_CURVE('',#171528,#171529,#99069,.T.); #214258=EDGE_CURVE('',#171530,#171528,#99070,.T.); #214259=EDGE_CURVE('',#171531,#171529,#99071,.T.); #214260=EDGE_CURVE('',#171530,#171531,#99072,.T.); #214261=EDGE_CURVE('',#171532,#171530,#99073,.T.); #214262=EDGE_CURVE('',#171533,#171531,#99074,.T.); #214263=EDGE_CURVE('',#171532,#171533,#99075,.T.); #214264=EDGE_CURVE('',#171534,#171532,#99076,.T.); #214265=EDGE_CURVE('',#171535,#171533,#99077,.T.); #214266=EDGE_CURVE('',#171534,#171535,#99078,.T.); #214267=EDGE_CURVE('',#171536,#171534,#99079,.T.); #214268=EDGE_CURVE('',#171537,#171535,#99080,.T.); #214269=EDGE_CURVE('',#171536,#171537,#99081,.T.); #214270=EDGE_CURVE('',#171538,#171536,#99082,.T.); #214271=EDGE_CURVE('',#171539,#171537,#99083,.T.); #214272=EDGE_CURVE('',#171538,#171539,#99084,.T.); #214273=EDGE_CURVE('',#171540,#171538,#99085,.T.); #214274=EDGE_CURVE('',#171541,#171539,#99086,.T.); #214275=EDGE_CURVE('',#171540,#171541,#99087,.T.); #214276=EDGE_CURVE('',#171542,#171540,#99088,.T.); #214277=EDGE_CURVE('',#171543,#171541,#99089,.T.); #214278=EDGE_CURVE('',#171542,#171543,#99090,.T.); #214279=EDGE_CURVE('',#171544,#171542,#99091,.T.); #214280=EDGE_CURVE('',#171545,#171543,#99092,.T.); #214281=EDGE_CURVE('',#171544,#171545,#99093,.T.); #214282=EDGE_CURVE('',#171546,#171544,#99094,.T.); #214283=EDGE_CURVE('',#171547,#171545,#99095,.T.); #214284=EDGE_CURVE('',#171546,#171547,#99096,.T.); #214285=EDGE_CURVE('',#171548,#171546,#99097,.T.); #214286=EDGE_CURVE('',#171549,#171547,#99098,.T.); #214287=EDGE_CURVE('',#171548,#171549,#99099,.T.); #214288=EDGE_CURVE('',#171550,#171548,#99100,.T.); #214289=EDGE_CURVE('',#171551,#171549,#99101,.T.); #214290=EDGE_CURVE('',#171550,#171551,#99102,.T.); #214291=EDGE_CURVE('',#171552,#171550,#99103,.T.); #214292=EDGE_CURVE('',#171553,#171551,#99104,.T.); #214293=EDGE_CURVE('',#171552,#171553,#99105,.T.); #214294=EDGE_CURVE('',#171554,#171552,#99106,.T.); #214295=EDGE_CURVE('',#171555,#171553,#99107,.T.); #214296=EDGE_CURVE('',#171554,#171555,#99108,.T.); #214297=EDGE_CURVE('',#171556,#171554,#99109,.T.); #214298=EDGE_CURVE('',#171557,#171555,#99110,.T.); #214299=EDGE_CURVE('',#171556,#171557,#99111,.T.); #214300=EDGE_CURVE('',#171558,#171556,#99112,.T.); #214301=EDGE_CURVE('',#171559,#171557,#99113,.T.); #214302=EDGE_CURVE('',#171558,#171559,#99114,.T.); #214303=EDGE_CURVE('',#171560,#171558,#99115,.T.); #214304=EDGE_CURVE('',#171561,#171559,#99116,.T.); #214305=EDGE_CURVE('',#171560,#171561,#99117,.T.); #214306=EDGE_CURVE('',#171562,#171560,#99118,.T.); #214307=EDGE_CURVE('',#171563,#171561,#99119,.T.); #214308=EDGE_CURVE('',#171562,#171563,#99120,.T.); #214309=EDGE_CURVE('',#171564,#171562,#99121,.T.); #214310=EDGE_CURVE('',#171565,#171563,#99122,.T.); #214311=EDGE_CURVE('',#171564,#171565,#99123,.T.); #214312=EDGE_CURVE('',#171566,#171564,#99124,.T.); #214313=EDGE_CURVE('',#171567,#171565,#99125,.T.); #214314=EDGE_CURVE('',#171566,#171567,#99126,.T.); #214315=EDGE_CURVE('',#171568,#171566,#99127,.T.); #214316=EDGE_CURVE('',#171569,#171567,#99128,.T.); #214317=EDGE_CURVE('',#171568,#171569,#99129,.T.); #214318=EDGE_CURVE('',#171570,#171568,#99130,.T.); #214319=EDGE_CURVE('',#171571,#171569,#99131,.T.); #214320=EDGE_CURVE('',#171570,#171571,#99132,.T.); #214321=EDGE_CURVE('',#171572,#171570,#99133,.T.); #214322=EDGE_CURVE('',#171573,#171571,#99134,.T.); #214323=EDGE_CURVE('',#171572,#171573,#99135,.T.); #214324=EDGE_CURVE('',#171574,#171572,#99136,.T.); #214325=EDGE_CURVE('',#171575,#171573,#99137,.T.); #214326=EDGE_CURVE('',#171574,#171575,#99138,.T.); #214327=EDGE_CURVE('',#171576,#171574,#99139,.T.); #214328=EDGE_CURVE('',#171577,#171575,#99140,.T.); #214329=EDGE_CURVE('',#171576,#171577,#99141,.T.); #214330=EDGE_CURVE('',#171578,#171576,#99142,.T.); #214331=EDGE_CURVE('',#171579,#171577,#99143,.T.); #214332=EDGE_CURVE('',#171578,#171579,#99144,.T.); #214333=EDGE_CURVE('',#171580,#171578,#99145,.T.); #214334=EDGE_CURVE('',#171581,#171579,#99146,.T.); #214335=EDGE_CURVE('',#171580,#171581,#99147,.T.); #214336=EDGE_CURVE('',#171582,#171580,#99148,.T.); #214337=EDGE_CURVE('',#171583,#171581,#99149,.T.); #214338=EDGE_CURVE('',#171582,#171583,#99150,.T.); #214339=EDGE_CURVE('',#171584,#171582,#99151,.T.); #214340=EDGE_CURVE('',#171585,#171583,#99152,.T.); #214341=EDGE_CURVE('',#171584,#171585,#99153,.T.); #214342=EDGE_CURVE('',#171586,#171584,#99154,.T.); #214343=EDGE_CURVE('',#171587,#171585,#99155,.T.); #214344=EDGE_CURVE('',#171586,#171587,#99156,.T.); #214345=EDGE_CURVE('',#171588,#171586,#99157,.T.); #214346=EDGE_CURVE('',#171589,#171587,#99158,.T.); #214347=EDGE_CURVE('',#171588,#171589,#99159,.T.); #214348=EDGE_CURVE('',#171590,#171588,#99160,.T.); #214349=EDGE_CURVE('',#171591,#171589,#99161,.T.); #214350=EDGE_CURVE('',#171590,#171591,#99162,.T.); #214351=EDGE_CURVE('',#171592,#171590,#99163,.T.); #214352=EDGE_CURVE('',#171593,#171591,#99164,.T.); #214353=EDGE_CURVE('',#171592,#171593,#99165,.T.); #214354=EDGE_CURVE('',#171594,#171592,#99166,.T.); #214355=EDGE_CURVE('',#171595,#171593,#99167,.T.); #214356=EDGE_CURVE('',#171594,#171595,#99168,.T.); #214357=EDGE_CURVE('',#171596,#171594,#99169,.T.); #214358=EDGE_CURVE('',#171597,#171595,#99170,.T.); #214359=EDGE_CURVE('',#171596,#171597,#99171,.T.); #214360=EDGE_CURVE('',#171598,#171596,#99172,.T.); #214361=EDGE_CURVE('',#171599,#171597,#99173,.T.); #214362=EDGE_CURVE('',#171598,#171599,#99174,.T.); #214363=EDGE_CURVE('',#171600,#171598,#99175,.T.); #214364=EDGE_CURVE('',#171601,#171599,#99176,.T.); #214365=EDGE_CURVE('',#171600,#171601,#99177,.T.); #214366=EDGE_CURVE('',#171602,#171600,#99178,.T.); #214367=EDGE_CURVE('',#171603,#171601,#99179,.T.); #214368=EDGE_CURVE('',#171602,#171603,#99180,.T.); #214369=EDGE_CURVE('',#171604,#171602,#99181,.T.); #214370=EDGE_CURVE('',#171605,#171603,#99182,.T.); #214371=EDGE_CURVE('',#171604,#171605,#99183,.T.); #214372=EDGE_CURVE('',#171606,#171604,#99184,.T.); #214373=EDGE_CURVE('',#171607,#171605,#99185,.T.); #214374=EDGE_CURVE('',#171606,#171607,#99186,.T.); #214375=EDGE_CURVE('',#171608,#171606,#99187,.T.); #214376=EDGE_CURVE('',#171609,#171607,#99188,.T.); #214377=EDGE_CURVE('',#171608,#171609,#99189,.T.); #214378=EDGE_CURVE('',#171610,#171608,#99190,.T.); #214379=EDGE_CURVE('',#171611,#171609,#99191,.T.); #214380=EDGE_CURVE('',#171610,#171611,#99192,.T.); #214381=EDGE_CURVE('',#171612,#171610,#99193,.T.); #214382=EDGE_CURVE('',#171613,#171611,#99194,.T.); #214383=EDGE_CURVE('',#171612,#171613,#99195,.T.); #214384=EDGE_CURVE('',#171614,#171612,#99196,.T.); #214385=EDGE_CURVE('',#171615,#171613,#99197,.T.); #214386=EDGE_CURVE('',#171614,#171615,#99198,.T.); #214387=EDGE_CURVE('',#171616,#171614,#99199,.T.); #214388=EDGE_CURVE('',#171617,#171615,#99200,.T.); #214389=EDGE_CURVE('',#171616,#171617,#99201,.T.); #214390=EDGE_CURVE('',#171618,#171616,#99202,.T.); #214391=EDGE_CURVE('',#171619,#171617,#99203,.T.); #214392=EDGE_CURVE('',#171618,#171619,#99204,.T.); #214393=EDGE_CURVE('',#171620,#171618,#99205,.T.); #214394=EDGE_CURVE('',#171621,#171619,#99206,.T.); #214395=EDGE_CURVE('',#171620,#171621,#99207,.T.); #214396=EDGE_CURVE('',#171622,#171620,#99208,.T.); #214397=EDGE_CURVE('',#171623,#171621,#99209,.T.); #214398=EDGE_CURVE('',#171622,#171623,#99210,.T.); #214399=EDGE_CURVE('',#171624,#171622,#99211,.T.); #214400=EDGE_CURVE('',#171625,#171623,#99212,.T.); #214401=EDGE_CURVE('',#171624,#171625,#99213,.T.); #214402=EDGE_CURVE('',#171626,#171624,#99214,.T.); #214403=EDGE_CURVE('',#171627,#171625,#99215,.T.); #214404=EDGE_CURVE('',#171626,#171627,#99216,.T.); #214405=EDGE_CURVE('',#171628,#171626,#99217,.T.); #214406=EDGE_CURVE('',#171629,#171627,#99218,.T.); #214407=EDGE_CURVE('',#171628,#171629,#99219,.T.); #214408=EDGE_CURVE('',#171630,#171628,#99220,.T.); #214409=EDGE_CURVE('',#171631,#171629,#99221,.T.); #214410=EDGE_CURVE('',#171630,#171631,#99222,.T.); #214411=EDGE_CURVE('',#171632,#171630,#99223,.T.); #214412=EDGE_CURVE('',#171633,#171631,#99224,.T.); #214413=EDGE_CURVE('',#171632,#171633,#99225,.T.); #214414=EDGE_CURVE('',#171634,#171632,#99226,.T.); #214415=EDGE_CURVE('',#171635,#171633,#99227,.T.); #214416=EDGE_CURVE('',#171634,#171635,#99228,.T.); #214417=EDGE_CURVE('',#171636,#171634,#99229,.T.); #214418=EDGE_CURVE('',#171637,#171635,#99230,.T.); #214419=EDGE_CURVE('',#171636,#171637,#99231,.T.); #214420=EDGE_CURVE('',#171638,#171636,#99232,.T.); #214421=EDGE_CURVE('',#171639,#171637,#99233,.T.); #214422=EDGE_CURVE('',#171638,#171639,#99234,.T.); #214423=EDGE_CURVE('',#171640,#171638,#99235,.T.); #214424=EDGE_CURVE('',#171641,#171639,#99236,.T.); #214425=EDGE_CURVE('',#171640,#171641,#99237,.T.); #214426=EDGE_CURVE('',#171642,#171640,#99238,.T.); #214427=EDGE_CURVE('',#171643,#171641,#99239,.T.); #214428=EDGE_CURVE('',#171642,#171643,#99240,.T.); #214429=EDGE_CURVE('',#171644,#171642,#99241,.T.); #214430=EDGE_CURVE('',#171645,#171643,#99242,.T.); #214431=EDGE_CURVE('',#171644,#171645,#99243,.T.); #214432=EDGE_CURVE('',#171646,#171644,#99244,.T.); #214433=EDGE_CURVE('',#171647,#171645,#99245,.T.); #214434=EDGE_CURVE('',#171646,#171647,#99246,.T.); #214435=EDGE_CURVE('',#171648,#171646,#99247,.T.); #214436=EDGE_CURVE('',#171649,#171647,#99248,.T.); #214437=EDGE_CURVE('',#171648,#171649,#99249,.T.); #214438=EDGE_CURVE('',#171650,#171648,#99250,.T.); #214439=EDGE_CURVE('',#171651,#171649,#99251,.T.); #214440=EDGE_CURVE('',#171650,#171651,#99252,.T.); #214441=EDGE_CURVE('',#171652,#171650,#99253,.T.); #214442=EDGE_CURVE('',#171653,#171651,#99254,.T.); #214443=EDGE_CURVE('',#171652,#171653,#99255,.T.); #214444=EDGE_CURVE('',#171654,#171652,#99256,.T.); #214445=EDGE_CURVE('',#171655,#171653,#99257,.T.); #214446=EDGE_CURVE('',#171654,#171655,#99258,.T.); #214447=EDGE_CURVE('',#171656,#171654,#99259,.T.); #214448=EDGE_CURVE('',#171657,#171655,#99260,.T.); #214449=EDGE_CURVE('',#171656,#171657,#99261,.T.); #214450=EDGE_CURVE('',#171658,#171656,#99262,.T.); #214451=EDGE_CURVE('',#171659,#171657,#99263,.T.); #214452=EDGE_CURVE('',#171658,#171659,#99264,.T.); #214453=EDGE_CURVE('',#171660,#171658,#99265,.T.); #214454=EDGE_CURVE('',#171661,#171659,#99266,.T.); #214455=EDGE_CURVE('',#171660,#171661,#99267,.T.); #214456=EDGE_CURVE('',#171662,#171660,#99268,.T.); #214457=EDGE_CURVE('',#171663,#171661,#99269,.T.); #214458=EDGE_CURVE('',#171662,#171663,#99270,.T.); #214459=EDGE_CURVE('',#171664,#171662,#99271,.T.); #214460=EDGE_CURVE('',#171665,#171663,#99272,.T.); #214461=EDGE_CURVE('',#171664,#171665,#99273,.T.); #214462=EDGE_CURVE('',#171666,#171664,#99274,.T.); #214463=EDGE_CURVE('',#171667,#171665,#99275,.T.); #214464=EDGE_CURVE('',#171666,#171667,#99276,.T.); #214465=EDGE_CURVE('',#171429,#171666,#99277,.T.); #214466=EDGE_CURVE('',#171430,#171667,#99278,.T.); #214467=EDGE_CURVE('',#171668,#171669,#99279,.T.); #214468=EDGE_CURVE('',#171669,#171670,#99280,.T.); #214469=EDGE_CURVE('',#171671,#171670,#99281,.T.); #214470=EDGE_CURVE('',#171668,#171671,#99282,.T.); #214471=EDGE_CURVE('',#171672,#171668,#99283,.T.); #214472=EDGE_CURVE('',#171673,#171671,#99284,.T.); #214473=EDGE_CURVE('',#171672,#171673,#99285,.T.); #214474=EDGE_CURVE('',#171674,#171672,#99286,.T.); #214475=EDGE_CURVE('',#171675,#171673,#99287,.T.); #214476=EDGE_CURVE('',#171674,#171675,#99288,.T.); #214477=EDGE_CURVE('',#171676,#171674,#99289,.T.); #214478=EDGE_CURVE('',#171677,#171675,#99290,.T.); #214479=EDGE_CURVE('',#171676,#171677,#99291,.T.); #214480=EDGE_CURVE('',#171678,#171676,#99292,.T.); #214481=EDGE_CURVE('',#171679,#171677,#99293,.T.); #214482=EDGE_CURVE('',#171678,#171679,#99294,.T.); #214483=EDGE_CURVE('',#171680,#171678,#99295,.T.); #214484=EDGE_CURVE('',#171681,#171679,#99296,.T.); #214485=EDGE_CURVE('',#171680,#171681,#99297,.T.); #214486=EDGE_CURVE('',#171682,#171680,#99298,.T.); #214487=EDGE_CURVE('',#171683,#171681,#99299,.T.); #214488=EDGE_CURVE('',#171682,#171683,#99300,.T.); #214489=EDGE_CURVE('',#171684,#171682,#99301,.T.); #214490=EDGE_CURVE('',#171685,#171683,#99302,.T.); #214491=EDGE_CURVE('',#171684,#171685,#99303,.T.); #214492=EDGE_CURVE('',#171686,#171684,#99304,.T.); #214493=EDGE_CURVE('',#171687,#171685,#99305,.T.); #214494=EDGE_CURVE('',#171686,#171687,#99306,.T.); #214495=EDGE_CURVE('',#171688,#171686,#99307,.T.); #214496=EDGE_CURVE('',#171689,#171687,#99308,.T.); #214497=EDGE_CURVE('',#171688,#171689,#99309,.T.); #214498=EDGE_CURVE('',#171690,#171688,#99310,.T.); #214499=EDGE_CURVE('',#171691,#171689,#99311,.T.); #214500=EDGE_CURVE('',#171690,#171691,#99312,.T.); #214501=EDGE_CURVE('',#171692,#171690,#99313,.T.); #214502=EDGE_CURVE('',#171693,#171691,#99314,.T.); #214503=EDGE_CURVE('',#171692,#171693,#99315,.T.); #214504=EDGE_CURVE('',#171694,#171692,#99316,.T.); #214505=EDGE_CURVE('',#171695,#171693,#99317,.T.); #214506=EDGE_CURVE('',#171694,#171695,#99318,.T.); #214507=EDGE_CURVE('',#171696,#171694,#99319,.T.); #214508=EDGE_CURVE('',#171697,#171695,#99320,.T.); #214509=EDGE_CURVE('',#171696,#171697,#99321,.T.); #214510=EDGE_CURVE('',#171698,#171696,#99322,.T.); #214511=EDGE_CURVE('',#171699,#171697,#99323,.T.); #214512=EDGE_CURVE('',#171698,#171699,#99324,.T.); #214513=EDGE_CURVE('',#171700,#171698,#99325,.T.); #214514=EDGE_CURVE('',#171701,#171699,#99326,.T.); #214515=EDGE_CURVE('',#171700,#171701,#99327,.T.); #214516=EDGE_CURVE('',#171702,#171700,#99328,.T.); #214517=EDGE_CURVE('',#171703,#171701,#99329,.T.); #214518=EDGE_CURVE('',#171702,#171703,#99330,.T.); #214519=EDGE_CURVE('',#171704,#171702,#99331,.T.); #214520=EDGE_CURVE('',#171705,#171703,#99332,.T.); #214521=EDGE_CURVE('',#171704,#171705,#99333,.T.); #214522=EDGE_CURVE('',#171706,#171704,#99334,.T.); #214523=EDGE_CURVE('',#171707,#171705,#99335,.T.); #214524=EDGE_CURVE('',#171706,#171707,#99336,.T.); #214525=EDGE_CURVE('',#171708,#171706,#99337,.T.); #214526=EDGE_CURVE('',#171709,#171707,#99338,.T.); #214527=EDGE_CURVE('',#171708,#171709,#99339,.T.); #214528=EDGE_CURVE('',#171710,#171708,#99340,.T.); #214529=EDGE_CURVE('',#171711,#171709,#99341,.T.); #214530=EDGE_CURVE('',#171710,#171711,#99342,.T.); #214531=EDGE_CURVE('',#171712,#171710,#99343,.T.); #214532=EDGE_CURVE('',#171713,#171711,#99344,.T.); #214533=EDGE_CURVE('',#171712,#171713,#99345,.T.); #214534=EDGE_CURVE('',#171714,#171712,#99346,.T.); #214535=EDGE_CURVE('',#171715,#171713,#99347,.T.); #214536=EDGE_CURVE('',#171714,#171715,#99348,.T.); #214537=EDGE_CURVE('',#171716,#171714,#99349,.T.); #214538=EDGE_CURVE('',#171717,#171715,#99350,.T.); #214539=EDGE_CURVE('',#171716,#171717,#99351,.T.); #214540=EDGE_CURVE('',#171718,#171716,#99352,.T.); #214541=EDGE_CURVE('',#171719,#171717,#99353,.T.); #214542=EDGE_CURVE('',#171718,#171719,#99354,.T.); #214543=EDGE_CURVE('',#171720,#171718,#99355,.T.); #214544=EDGE_CURVE('',#171721,#171719,#99356,.T.); #214545=EDGE_CURVE('',#171720,#171721,#99357,.T.); #214546=EDGE_CURVE('',#171669,#171720,#99358,.T.); #214547=EDGE_CURVE('',#171670,#171721,#99359,.T.); #214548=EDGE_CURVE('',#171722,#171723,#99360,.T.); #214549=EDGE_CURVE('',#171723,#171724,#99361,.T.); #214550=EDGE_CURVE('',#171725,#171724,#99362,.T.); #214551=EDGE_CURVE('',#171722,#171725,#99363,.T.); #214552=EDGE_CURVE('',#171726,#171722,#99364,.T.); #214553=EDGE_CURVE('',#171727,#171725,#99365,.T.); #214554=EDGE_CURVE('',#171726,#171727,#99366,.T.); #214555=EDGE_CURVE('',#171728,#171726,#99367,.T.); #214556=EDGE_CURVE('',#171729,#171727,#99368,.T.); #214557=EDGE_CURVE('',#171728,#171729,#99369,.T.); #214558=EDGE_CURVE('',#171730,#171728,#99370,.T.); #214559=EDGE_CURVE('',#171731,#171729,#99371,.T.); #214560=EDGE_CURVE('',#171730,#171731,#99372,.T.); #214561=EDGE_CURVE('',#171732,#171730,#99373,.T.); #214562=EDGE_CURVE('',#171733,#171731,#99374,.T.); #214563=EDGE_CURVE('',#171732,#171733,#99375,.T.); #214564=EDGE_CURVE('',#171734,#171732,#99376,.T.); #214565=EDGE_CURVE('',#171735,#171733,#99377,.T.); #214566=EDGE_CURVE('',#171734,#171735,#99378,.T.); #214567=EDGE_CURVE('',#171736,#171734,#99379,.T.); #214568=EDGE_CURVE('',#171737,#171735,#99380,.T.); #214569=EDGE_CURVE('',#171736,#171737,#99381,.T.); #214570=EDGE_CURVE('',#171738,#171736,#99382,.T.); #214571=EDGE_CURVE('',#171739,#171737,#99383,.T.); #214572=EDGE_CURVE('',#171738,#171739,#99384,.T.); #214573=EDGE_CURVE('',#171740,#171738,#99385,.T.); #214574=EDGE_CURVE('',#171741,#171739,#99386,.T.); #214575=EDGE_CURVE('',#171740,#171741,#99387,.T.); #214576=EDGE_CURVE('',#171742,#171740,#99388,.T.); #214577=EDGE_CURVE('',#171743,#171741,#99389,.T.); #214578=EDGE_CURVE('',#171742,#171743,#99390,.T.); #214579=EDGE_CURVE('',#171744,#171742,#99391,.T.); #214580=EDGE_CURVE('',#171745,#171743,#99392,.T.); #214581=EDGE_CURVE('',#171744,#171745,#99393,.T.); #214582=EDGE_CURVE('',#171746,#171744,#99394,.T.); #214583=EDGE_CURVE('',#171747,#171745,#99395,.T.); #214584=EDGE_CURVE('',#171746,#171747,#99396,.T.); #214585=EDGE_CURVE('',#171748,#171746,#99397,.T.); #214586=EDGE_CURVE('',#171749,#171747,#99398,.T.); #214587=EDGE_CURVE('',#171748,#171749,#99399,.T.); #214588=EDGE_CURVE('',#171750,#171748,#99400,.T.); #214589=EDGE_CURVE('',#171751,#171749,#99401,.T.); #214590=EDGE_CURVE('',#171750,#171751,#99402,.T.); #214591=EDGE_CURVE('',#171752,#171750,#99403,.T.); #214592=EDGE_CURVE('',#171753,#171751,#99404,.T.); #214593=EDGE_CURVE('',#171752,#171753,#99405,.T.); #214594=EDGE_CURVE('',#171754,#171752,#99406,.T.); #214595=EDGE_CURVE('',#171755,#171753,#99407,.T.); #214596=EDGE_CURVE('',#171754,#171755,#99408,.T.); #214597=EDGE_CURVE('',#171756,#171754,#99409,.T.); #214598=EDGE_CURVE('',#171757,#171755,#99410,.T.); #214599=EDGE_CURVE('',#171756,#171757,#99411,.T.); #214600=EDGE_CURVE('',#171758,#171756,#99412,.T.); #214601=EDGE_CURVE('',#171759,#171757,#99413,.T.); #214602=EDGE_CURVE('',#171758,#171759,#99414,.T.); #214603=EDGE_CURVE('',#171760,#171758,#99415,.T.); #214604=EDGE_CURVE('',#171761,#171759,#99416,.T.); #214605=EDGE_CURVE('',#171760,#171761,#99417,.T.); #214606=EDGE_CURVE('',#171762,#171760,#99418,.T.); #214607=EDGE_CURVE('',#171763,#171761,#99419,.T.); #214608=EDGE_CURVE('',#171762,#171763,#99420,.T.); #214609=EDGE_CURVE('',#171723,#171762,#99421,.T.); #214610=EDGE_CURVE('',#171724,#171763,#99422,.T.); #214611=EDGE_CURVE('',#171764,#171765,#99423,.T.); #214612=EDGE_CURVE('',#171765,#171766,#99424,.T.); #214613=EDGE_CURVE('',#171767,#171766,#99425,.T.); #214614=EDGE_CURVE('',#171764,#171767,#99426,.T.); #214615=EDGE_CURVE('',#171768,#171764,#99427,.T.); #214616=EDGE_CURVE('',#171769,#171767,#99428,.T.); #214617=EDGE_CURVE('',#171768,#171769,#99429,.T.); #214618=EDGE_CURVE('',#171770,#171768,#99430,.T.); #214619=EDGE_CURVE('',#171771,#171769,#99431,.T.); #214620=EDGE_CURVE('',#171770,#171771,#99432,.T.); #214621=EDGE_CURVE('',#171772,#171770,#99433,.T.); #214622=EDGE_CURVE('',#171773,#171771,#99434,.T.); #214623=EDGE_CURVE('',#171772,#171773,#99435,.T.); #214624=EDGE_CURVE('',#171774,#171772,#99436,.T.); #214625=EDGE_CURVE('',#171775,#171773,#99437,.T.); #214626=EDGE_CURVE('',#171774,#171775,#99438,.T.); #214627=EDGE_CURVE('',#171776,#171774,#99439,.T.); #214628=EDGE_CURVE('',#171777,#171775,#99440,.T.); #214629=EDGE_CURVE('',#171776,#171777,#99441,.T.); #214630=EDGE_CURVE('',#171778,#171776,#99442,.T.); #214631=EDGE_CURVE('',#171779,#171777,#99443,.T.); #214632=EDGE_CURVE('',#171778,#171779,#99444,.T.); #214633=EDGE_CURVE('',#171780,#171778,#99445,.T.); #214634=EDGE_CURVE('',#171781,#171779,#99446,.T.); #214635=EDGE_CURVE('',#171780,#171781,#99447,.T.); #214636=EDGE_CURVE('',#171782,#171780,#99448,.T.); #214637=EDGE_CURVE('',#171783,#171781,#99449,.T.); #214638=EDGE_CURVE('',#171782,#171783,#99450,.T.); #214639=EDGE_CURVE('',#171784,#171782,#99451,.T.); #214640=EDGE_CURVE('',#171785,#171783,#99452,.T.); #214641=EDGE_CURVE('',#171784,#171785,#99453,.T.); #214642=EDGE_CURVE('',#171786,#171784,#99454,.T.); #214643=EDGE_CURVE('',#171787,#171785,#99455,.T.); #214644=EDGE_CURVE('',#171786,#171787,#99456,.T.); #214645=EDGE_CURVE('',#171788,#171786,#99457,.T.); #214646=EDGE_CURVE('',#171789,#171787,#99458,.T.); #214647=EDGE_CURVE('',#171788,#171789,#99459,.T.); #214648=EDGE_CURVE('',#171790,#171788,#99460,.T.); #214649=EDGE_CURVE('',#171791,#171789,#99461,.T.); #214650=EDGE_CURVE('',#171790,#171791,#99462,.T.); #214651=EDGE_CURVE('',#171792,#171790,#99463,.T.); #214652=EDGE_CURVE('',#171793,#171791,#99464,.T.); #214653=EDGE_CURVE('',#171792,#171793,#99465,.T.); #214654=EDGE_CURVE('',#171794,#171792,#99466,.T.); #214655=EDGE_CURVE('',#171795,#171793,#99467,.T.); #214656=EDGE_CURVE('',#171794,#171795,#99468,.T.); #214657=EDGE_CURVE('',#171796,#171794,#99469,.T.); #214658=EDGE_CURVE('',#171797,#171795,#99470,.T.); #214659=EDGE_CURVE('',#171796,#171797,#99471,.T.); #214660=EDGE_CURVE('',#171798,#171796,#99472,.T.); #214661=EDGE_CURVE('',#171799,#171797,#99473,.T.); #214662=EDGE_CURVE('',#171798,#171799,#99474,.T.); #214663=EDGE_CURVE('',#171800,#171798,#99475,.T.); #214664=EDGE_CURVE('',#171801,#171799,#99476,.T.); #214665=EDGE_CURVE('',#171800,#171801,#99477,.T.); #214666=EDGE_CURVE('',#171802,#171800,#99478,.T.); #214667=EDGE_CURVE('',#171803,#171801,#99479,.T.); #214668=EDGE_CURVE('',#171802,#171803,#99480,.T.); #214669=EDGE_CURVE('',#171765,#171802,#99481,.T.); #214670=EDGE_CURVE('',#171766,#171803,#99482,.T.); #214671=EDGE_CURVE('',#171804,#171805,#99483,.T.); #214672=EDGE_CURVE('',#171805,#171806,#99484,.T.); #214673=EDGE_CURVE('',#171807,#171806,#99485,.T.); #214674=EDGE_CURVE('',#171804,#171807,#99486,.T.); #214675=EDGE_CURVE('',#171808,#171804,#99487,.T.); #214676=EDGE_CURVE('',#171809,#171807,#99488,.T.); #214677=EDGE_CURVE('',#171808,#171809,#99489,.T.); #214678=EDGE_CURVE('',#171810,#171808,#99490,.T.); #214679=EDGE_CURVE('',#171811,#171809,#99491,.T.); #214680=EDGE_CURVE('',#171810,#171811,#99492,.T.); #214681=EDGE_CURVE('',#171812,#171810,#99493,.T.); #214682=EDGE_CURVE('',#171813,#171811,#99494,.T.); #214683=EDGE_CURVE('',#171812,#171813,#99495,.T.); #214684=EDGE_CURVE('',#171814,#171812,#99496,.T.); #214685=EDGE_CURVE('',#171815,#171813,#99497,.T.); #214686=EDGE_CURVE('',#171814,#171815,#99498,.T.); #214687=EDGE_CURVE('',#171816,#171814,#99499,.T.); #214688=EDGE_CURVE('',#171817,#171815,#99500,.T.); #214689=EDGE_CURVE('',#171816,#171817,#99501,.T.); #214690=EDGE_CURVE('',#171818,#171816,#99502,.T.); #214691=EDGE_CURVE('',#171819,#171817,#99503,.T.); #214692=EDGE_CURVE('',#171818,#171819,#99504,.T.); #214693=EDGE_CURVE('',#171820,#171818,#99505,.T.); #214694=EDGE_CURVE('',#171821,#171819,#99506,.T.); #214695=EDGE_CURVE('',#171820,#171821,#99507,.T.); #214696=EDGE_CURVE('',#171822,#171820,#99508,.T.); #214697=EDGE_CURVE('',#171823,#171821,#99509,.T.); #214698=EDGE_CURVE('',#171822,#171823,#99510,.T.); #214699=EDGE_CURVE('',#171824,#171822,#99511,.T.); #214700=EDGE_CURVE('',#171825,#171823,#99512,.T.); #214701=EDGE_CURVE('',#171824,#171825,#99513,.T.); #214702=EDGE_CURVE('',#171826,#171824,#99514,.T.); #214703=EDGE_CURVE('',#171827,#171825,#99515,.T.); #214704=EDGE_CURVE('',#171826,#171827,#99516,.T.); #214705=EDGE_CURVE('',#171828,#171826,#99517,.T.); #214706=EDGE_CURVE('',#171829,#171827,#99518,.T.); #214707=EDGE_CURVE('',#171828,#171829,#99519,.T.); #214708=EDGE_CURVE('',#171830,#171828,#99520,.T.); #214709=EDGE_CURVE('',#171831,#171829,#99521,.T.); #214710=EDGE_CURVE('',#171830,#171831,#99522,.T.); #214711=EDGE_CURVE('',#171832,#171830,#99523,.T.); #214712=EDGE_CURVE('',#171833,#171831,#99524,.T.); #214713=EDGE_CURVE('',#171832,#171833,#99525,.T.); #214714=EDGE_CURVE('',#171834,#171832,#99526,.T.); #214715=EDGE_CURVE('',#171835,#171833,#99527,.T.); #214716=EDGE_CURVE('',#171834,#171835,#99528,.T.); #214717=EDGE_CURVE('',#171836,#171834,#99529,.T.); #214718=EDGE_CURVE('',#171837,#171835,#99530,.T.); #214719=EDGE_CURVE('',#171836,#171837,#99531,.T.); #214720=EDGE_CURVE('',#171838,#171836,#99532,.T.); #214721=EDGE_CURVE('',#171839,#171837,#99533,.T.); #214722=EDGE_CURVE('',#171838,#171839,#99534,.T.); #214723=EDGE_CURVE('',#171840,#171838,#99535,.T.); #214724=EDGE_CURVE('',#171841,#171839,#99536,.T.); #214725=EDGE_CURVE('',#171840,#171841,#99537,.T.); #214726=EDGE_CURVE('',#171842,#171840,#99538,.T.); #214727=EDGE_CURVE('',#171843,#171841,#99539,.T.); #214728=EDGE_CURVE('',#171842,#171843,#99540,.T.); #214729=EDGE_CURVE('',#171844,#171842,#99541,.T.); #214730=EDGE_CURVE('',#171845,#171843,#99542,.T.); #214731=EDGE_CURVE('',#171844,#171845,#99543,.T.); #214732=EDGE_CURVE('',#171846,#171844,#99544,.T.); #214733=EDGE_CURVE('',#171847,#171845,#99545,.T.); #214734=EDGE_CURVE('',#171846,#171847,#99546,.T.); #214735=EDGE_CURVE('',#171848,#171846,#99547,.T.); #214736=EDGE_CURVE('',#171849,#171847,#99548,.T.); #214737=EDGE_CURVE('',#171848,#171849,#99549,.T.); #214738=EDGE_CURVE('',#171850,#171848,#99550,.T.); #214739=EDGE_CURVE('',#171851,#171849,#99551,.T.); #214740=EDGE_CURVE('',#171850,#171851,#99552,.T.); #214741=EDGE_CURVE('',#171852,#171850,#99553,.T.); #214742=EDGE_CURVE('',#171853,#171851,#99554,.T.); #214743=EDGE_CURVE('',#171852,#171853,#99555,.T.); #214744=EDGE_CURVE('',#171854,#171852,#99556,.T.); #214745=EDGE_CURVE('',#171855,#171853,#99557,.T.); #214746=EDGE_CURVE('',#171854,#171855,#99558,.T.); #214747=EDGE_CURVE('',#171856,#171854,#99559,.T.); #214748=EDGE_CURVE('',#171857,#171855,#99560,.T.); #214749=EDGE_CURVE('',#171856,#171857,#99561,.T.); #214750=EDGE_CURVE('',#171858,#171856,#99562,.T.); #214751=EDGE_CURVE('',#171859,#171857,#99563,.T.); #214752=EDGE_CURVE('',#171858,#171859,#99564,.T.); #214753=EDGE_CURVE('',#171860,#171858,#99565,.T.); #214754=EDGE_CURVE('',#171861,#171859,#99566,.T.); #214755=EDGE_CURVE('',#171860,#171861,#99567,.T.); #214756=EDGE_CURVE('',#171862,#171860,#99568,.T.); #214757=EDGE_CURVE('',#171863,#171861,#99569,.T.); #214758=EDGE_CURVE('',#171862,#171863,#99570,.T.); #214759=EDGE_CURVE('',#171864,#171862,#99571,.T.); #214760=EDGE_CURVE('',#171865,#171863,#99572,.T.); #214761=EDGE_CURVE('',#171864,#171865,#99573,.T.); #214762=EDGE_CURVE('',#171866,#171864,#99574,.T.); #214763=EDGE_CURVE('',#171867,#171865,#99575,.T.); #214764=EDGE_CURVE('',#171866,#171867,#99576,.T.); #214765=EDGE_CURVE('',#171868,#171866,#99577,.T.); #214766=EDGE_CURVE('',#171869,#171867,#99578,.T.); #214767=EDGE_CURVE('',#171868,#171869,#99579,.T.); #214768=EDGE_CURVE('',#171870,#171868,#99580,.T.); #214769=EDGE_CURVE('',#171871,#171869,#99581,.T.); #214770=EDGE_CURVE('',#171870,#171871,#99582,.T.); #214771=EDGE_CURVE('',#171872,#171870,#99583,.T.); #214772=EDGE_CURVE('',#171873,#171871,#99584,.T.); #214773=EDGE_CURVE('',#171872,#171873,#99585,.T.); #214774=EDGE_CURVE('',#171874,#171872,#99586,.T.); #214775=EDGE_CURVE('',#171875,#171873,#99587,.T.); #214776=EDGE_CURVE('',#171874,#171875,#99588,.T.); #214777=EDGE_CURVE('',#171876,#171874,#99589,.T.); #214778=EDGE_CURVE('',#171877,#171875,#99590,.T.); #214779=EDGE_CURVE('',#171876,#171877,#99591,.T.); #214780=EDGE_CURVE('',#171878,#171876,#99592,.T.); #214781=EDGE_CURVE('',#171879,#171877,#99593,.T.); #214782=EDGE_CURVE('',#171878,#171879,#99594,.T.); #214783=EDGE_CURVE('',#171880,#171878,#99595,.T.); #214784=EDGE_CURVE('',#171881,#171879,#99596,.T.); #214785=EDGE_CURVE('',#171880,#171881,#99597,.T.); #214786=EDGE_CURVE('',#171882,#171880,#99598,.T.); #214787=EDGE_CURVE('',#171883,#171881,#99599,.T.); #214788=EDGE_CURVE('',#171882,#171883,#99600,.T.); #214789=EDGE_CURVE('',#171884,#171882,#99601,.T.); #214790=EDGE_CURVE('',#171885,#171883,#99602,.T.); #214791=EDGE_CURVE('',#171884,#171885,#99603,.T.); #214792=EDGE_CURVE('',#171886,#171884,#99604,.T.); #214793=EDGE_CURVE('',#171887,#171885,#99605,.T.); #214794=EDGE_CURVE('',#171886,#171887,#99606,.T.); #214795=EDGE_CURVE('',#171888,#171886,#99607,.T.); #214796=EDGE_CURVE('',#171889,#171887,#99608,.T.); #214797=EDGE_CURVE('',#171888,#171889,#99609,.T.); #214798=EDGE_CURVE('',#171890,#171888,#99610,.T.); #214799=EDGE_CURVE('',#171891,#171889,#99611,.T.); #214800=EDGE_CURVE('',#171890,#171891,#99612,.T.); #214801=EDGE_CURVE('',#171892,#171890,#99613,.T.); #214802=EDGE_CURVE('',#171893,#171891,#99614,.T.); #214803=EDGE_CURVE('',#171892,#171893,#99615,.T.); #214804=EDGE_CURVE('',#171894,#171892,#99616,.T.); #214805=EDGE_CURVE('',#171895,#171893,#99617,.T.); #214806=EDGE_CURVE('',#171894,#171895,#99618,.T.); #214807=EDGE_CURVE('',#171896,#171894,#99619,.T.); #214808=EDGE_CURVE('',#171897,#171895,#99620,.T.); #214809=EDGE_CURVE('',#171896,#171897,#99621,.T.); #214810=EDGE_CURVE('',#171805,#171896,#99622,.T.); #214811=EDGE_CURVE('',#171806,#171897,#99623,.T.); #214812=EDGE_CURVE('',#171898,#171899,#99624,.T.); #214813=EDGE_CURVE('',#171899,#171900,#99625,.T.); #214814=EDGE_CURVE('',#171901,#171900,#99626,.T.); #214815=EDGE_CURVE('',#171898,#171901,#99627,.T.); #214816=EDGE_CURVE('',#171902,#171898,#99628,.T.); #214817=EDGE_CURVE('',#171903,#171901,#99629,.T.); #214818=EDGE_CURVE('',#171902,#171903,#99630,.T.); #214819=EDGE_CURVE('',#171904,#171902,#99631,.T.); #214820=EDGE_CURVE('',#171905,#171903,#99632,.T.); #214821=EDGE_CURVE('',#171904,#171905,#99633,.T.); #214822=EDGE_CURVE('',#171906,#171904,#99634,.T.); #214823=EDGE_CURVE('',#171907,#171905,#99635,.T.); #214824=EDGE_CURVE('',#171906,#171907,#99636,.T.); #214825=EDGE_CURVE('',#171908,#171906,#99637,.T.); #214826=EDGE_CURVE('',#171909,#171907,#99638,.T.); #214827=EDGE_CURVE('',#171908,#171909,#99639,.T.); #214828=EDGE_CURVE('',#171910,#171908,#99640,.T.); #214829=EDGE_CURVE('',#171911,#171909,#99641,.T.); #214830=EDGE_CURVE('',#171910,#171911,#99642,.T.); #214831=EDGE_CURVE('',#171912,#171910,#99643,.T.); #214832=EDGE_CURVE('',#171913,#171911,#99644,.T.); #214833=EDGE_CURVE('',#171912,#171913,#99645,.T.); #214834=EDGE_CURVE('',#171914,#171912,#99646,.T.); #214835=EDGE_CURVE('',#171915,#171913,#99647,.T.); #214836=EDGE_CURVE('',#171914,#171915,#99648,.T.); #214837=EDGE_CURVE('',#171916,#171914,#99649,.T.); #214838=EDGE_CURVE('',#171917,#171915,#99650,.T.); #214839=EDGE_CURVE('',#171916,#171917,#99651,.T.); #214840=EDGE_CURVE('',#171918,#171916,#99652,.T.); #214841=EDGE_CURVE('',#171919,#171917,#99653,.T.); #214842=EDGE_CURVE('',#171918,#171919,#99654,.T.); #214843=EDGE_CURVE('',#171920,#171918,#99655,.T.); #214844=EDGE_CURVE('',#171921,#171919,#99656,.T.); #214845=EDGE_CURVE('',#171920,#171921,#99657,.T.); #214846=EDGE_CURVE('',#171922,#171920,#99658,.T.); #214847=EDGE_CURVE('',#171923,#171921,#99659,.T.); #214848=EDGE_CURVE('',#171922,#171923,#99660,.T.); #214849=EDGE_CURVE('',#171924,#171922,#99661,.T.); #214850=EDGE_CURVE('',#171925,#171923,#99662,.T.); #214851=EDGE_CURVE('',#171924,#171925,#99663,.T.); #214852=EDGE_CURVE('',#171926,#171924,#99664,.T.); #214853=EDGE_CURVE('',#171927,#171925,#99665,.T.); #214854=EDGE_CURVE('',#171926,#171927,#99666,.T.); #214855=EDGE_CURVE('',#171928,#171926,#99667,.T.); #214856=EDGE_CURVE('',#171929,#171927,#99668,.T.); #214857=EDGE_CURVE('',#171928,#171929,#99669,.T.); #214858=EDGE_CURVE('',#171930,#171928,#99670,.T.); #214859=EDGE_CURVE('',#171931,#171929,#99671,.T.); #214860=EDGE_CURVE('',#171930,#171931,#99672,.T.); #214861=EDGE_CURVE('',#171932,#171930,#99673,.T.); #214862=EDGE_CURVE('',#171933,#171931,#99674,.T.); #214863=EDGE_CURVE('',#171932,#171933,#99675,.T.); #214864=EDGE_CURVE('',#171934,#171932,#99676,.T.); #214865=EDGE_CURVE('',#171935,#171933,#99677,.T.); #214866=EDGE_CURVE('',#171934,#171935,#99678,.T.); #214867=EDGE_CURVE('',#171936,#171934,#99679,.T.); #214868=EDGE_CURVE('',#171937,#171935,#99680,.T.); #214869=EDGE_CURVE('',#171936,#171937,#99681,.T.); #214870=EDGE_CURVE('',#171938,#171936,#99682,.T.); #214871=EDGE_CURVE('',#171939,#171937,#99683,.T.); #214872=EDGE_CURVE('',#171938,#171939,#99684,.T.); #214873=EDGE_CURVE('',#171940,#171938,#99685,.T.); #214874=EDGE_CURVE('',#171941,#171939,#99686,.T.); #214875=EDGE_CURVE('',#171940,#171941,#99687,.T.); #214876=EDGE_CURVE('',#171942,#171940,#99688,.T.); #214877=EDGE_CURVE('',#171943,#171941,#99689,.T.); #214878=EDGE_CURVE('',#171942,#171943,#99690,.T.); #214879=EDGE_CURVE('',#171944,#171942,#99691,.T.); #214880=EDGE_CURVE('',#171945,#171943,#99692,.T.); #214881=EDGE_CURVE('',#171944,#171945,#99693,.T.); #214882=EDGE_CURVE('',#171946,#171944,#99694,.T.); #214883=EDGE_CURVE('',#171947,#171945,#99695,.T.); #214884=EDGE_CURVE('',#171946,#171947,#99696,.T.); #214885=EDGE_CURVE('',#171948,#171946,#99697,.T.); #214886=EDGE_CURVE('',#171949,#171947,#99698,.T.); #214887=EDGE_CURVE('',#171948,#171949,#99699,.T.); #214888=EDGE_CURVE('',#171950,#171948,#99700,.T.); #214889=EDGE_CURVE('',#171951,#171949,#99701,.T.); #214890=EDGE_CURVE('',#171950,#171951,#99702,.T.); #214891=EDGE_CURVE('',#171952,#171950,#99703,.T.); #214892=EDGE_CURVE('',#171953,#171951,#99704,.T.); #214893=EDGE_CURVE('',#171952,#171953,#99705,.T.); #214894=EDGE_CURVE('',#171954,#171952,#99706,.T.); #214895=EDGE_CURVE('',#171955,#171953,#99707,.T.); #214896=EDGE_CURVE('',#171954,#171955,#99708,.T.); #214897=EDGE_CURVE('',#171956,#171954,#99709,.T.); #214898=EDGE_CURVE('',#171957,#171955,#99710,.T.); #214899=EDGE_CURVE('',#171956,#171957,#99711,.T.); #214900=EDGE_CURVE('',#171958,#171956,#99712,.T.); #214901=EDGE_CURVE('',#171959,#171957,#99713,.T.); #214902=EDGE_CURVE('',#171958,#171959,#99714,.T.); #214903=EDGE_CURVE('',#171960,#171958,#99715,.T.); #214904=EDGE_CURVE('',#171961,#171959,#99716,.T.); #214905=EDGE_CURVE('',#171960,#171961,#99717,.T.); #214906=EDGE_CURVE('',#171962,#171960,#99718,.T.); #214907=EDGE_CURVE('',#171963,#171961,#99719,.T.); #214908=EDGE_CURVE('',#171962,#171963,#99720,.T.); #214909=EDGE_CURVE('',#171964,#171962,#99721,.T.); #214910=EDGE_CURVE('',#171965,#171963,#99722,.T.); #214911=EDGE_CURVE('',#171964,#171965,#99723,.T.); #214912=EDGE_CURVE('',#171899,#171964,#99724,.T.); #214913=EDGE_CURVE('',#171900,#171965,#99725,.T.); #214914=EDGE_CURVE('',#171966,#171967,#99726,.T.); #214915=EDGE_CURVE('',#171967,#171968,#99727,.T.); #214916=EDGE_CURVE('',#171969,#171968,#99728,.T.); #214917=EDGE_CURVE('',#171966,#171969,#99729,.T.); #214918=EDGE_CURVE('',#171970,#171966,#99730,.T.); #214919=EDGE_CURVE('',#171971,#171969,#99731,.T.); #214920=EDGE_CURVE('',#171970,#171971,#99732,.T.); #214921=EDGE_CURVE('',#171972,#171970,#99733,.T.); #214922=EDGE_CURVE('',#171973,#171971,#99734,.T.); #214923=EDGE_CURVE('',#171972,#171973,#99735,.T.); #214924=EDGE_CURVE('',#171974,#171972,#99736,.T.); #214925=EDGE_CURVE('',#171975,#171973,#99737,.T.); #214926=EDGE_CURVE('',#171974,#171975,#99738,.T.); #214927=EDGE_CURVE('',#171976,#171974,#99739,.T.); #214928=EDGE_CURVE('',#171977,#171975,#99740,.T.); #214929=EDGE_CURVE('',#171976,#171977,#99741,.T.); #214930=EDGE_CURVE('',#171978,#171976,#99742,.T.); #214931=EDGE_CURVE('',#171979,#171977,#99743,.T.); #214932=EDGE_CURVE('',#171978,#171979,#99744,.T.); #214933=EDGE_CURVE('',#171980,#171978,#99745,.T.); #214934=EDGE_CURVE('',#171981,#171979,#99746,.T.); #214935=EDGE_CURVE('',#171980,#171981,#99747,.T.); #214936=EDGE_CURVE('',#171982,#171980,#99748,.T.); #214937=EDGE_CURVE('',#171983,#171981,#99749,.T.); #214938=EDGE_CURVE('',#171982,#171983,#99750,.T.); #214939=EDGE_CURVE('',#171984,#171982,#99751,.T.); #214940=EDGE_CURVE('',#171985,#171983,#99752,.T.); #214941=EDGE_CURVE('',#171984,#171985,#99753,.T.); #214942=EDGE_CURVE('',#171986,#171984,#99754,.T.); #214943=EDGE_CURVE('',#171987,#171985,#99755,.T.); #214944=EDGE_CURVE('',#171986,#171987,#99756,.T.); #214945=EDGE_CURVE('',#171988,#171986,#99757,.T.); #214946=EDGE_CURVE('',#171989,#171987,#99758,.T.); #214947=EDGE_CURVE('',#171988,#171989,#99759,.T.); #214948=EDGE_CURVE('',#171990,#171988,#99760,.T.); #214949=EDGE_CURVE('',#171991,#171989,#99761,.T.); #214950=EDGE_CURVE('',#171990,#171991,#99762,.T.); #214951=EDGE_CURVE('',#171992,#171990,#99763,.T.); #214952=EDGE_CURVE('',#171993,#171991,#99764,.T.); #214953=EDGE_CURVE('',#171992,#171993,#99765,.T.); #214954=EDGE_CURVE('',#171994,#171992,#99766,.T.); #214955=EDGE_CURVE('',#171995,#171993,#99767,.T.); #214956=EDGE_CURVE('',#171994,#171995,#99768,.T.); #214957=EDGE_CURVE('',#171996,#171994,#99769,.T.); #214958=EDGE_CURVE('',#171997,#171995,#99770,.T.); #214959=EDGE_CURVE('',#171996,#171997,#99771,.T.); #214960=EDGE_CURVE('',#171998,#171996,#99772,.T.); #214961=EDGE_CURVE('',#171999,#171997,#99773,.T.); #214962=EDGE_CURVE('',#171998,#171999,#99774,.T.); #214963=EDGE_CURVE('',#172000,#171998,#99775,.T.); #214964=EDGE_CURVE('',#172001,#171999,#99776,.T.); #214965=EDGE_CURVE('',#172000,#172001,#99777,.T.); #214966=EDGE_CURVE('',#172002,#172000,#99778,.T.); #214967=EDGE_CURVE('',#172003,#172001,#99779,.T.); #214968=EDGE_CURVE('',#172002,#172003,#99780,.T.); #214969=EDGE_CURVE('',#172004,#172002,#99781,.T.); #214970=EDGE_CURVE('',#172005,#172003,#99782,.T.); #214971=EDGE_CURVE('',#172004,#172005,#99783,.T.); #214972=EDGE_CURVE('',#172006,#172004,#99784,.T.); #214973=EDGE_CURVE('',#172007,#172005,#99785,.T.); #214974=EDGE_CURVE('',#172006,#172007,#99786,.T.); #214975=EDGE_CURVE('',#172008,#172006,#99787,.T.); #214976=EDGE_CURVE('',#172009,#172007,#99788,.T.); #214977=EDGE_CURVE('',#172008,#172009,#99789,.T.); #214978=EDGE_CURVE('',#171967,#172008,#99790,.T.); #214979=EDGE_CURVE('',#171968,#172009,#99791,.T.); #214980=EDGE_CURVE('',#172010,#172011,#99792,.T.); #214981=EDGE_CURVE('',#172011,#172012,#99793,.T.); #214982=EDGE_CURVE('',#172013,#172012,#99794,.T.); #214983=EDGE_CURVE('',#172010,#172013,#99795,.T.); #214984=EDGE_CURVE('',#172014,#172010,#99796,.T.); #214985=EDGE_CURVE('',#172015,#172013,#99797,.T.); #214986=EDGE_CURVE('',#172014,#172015,#99798,.T.); #214987=EDGE_CURVE('',#172016,#172014,#99799,.T.); #214988=EDGE_CURVE('',#172017,#172015,#99800,.T.); #214989=EDGE_CURVE('',#172016,#172017,#99801,.T.); #214990=EDGE_CURVE('',#172018,#172016,#99802,.T.); #214991=EDGE_CURVE('',#172019,#172017,#99803,.T.); #214992=EDGE_CURVE('',#172018,#172019,#99804,.T.); #214993=EDGE_CURVE('',#172020,#172018,#99805,.T.); #214994=EDGE_CURVE('',#172021,#172019,#99806,.T.); #214995=EDGE_CURVE('',#172020,#172021,#99807,.T.); #214996=EDGE_CURVE('',#172022,#172020,#99808,.T.); #214997=EDGE_CURVE('',#172023,#172021,#99809,.T.); #214998=EDGE_CURVE('',#172022,#172023,#99810,.T.); #214999=EDGE_CURVE('',#172024,#172022,#99811,.T.); #215000=EDGE_CURVE('',#172025,#172023,#99812,.T.); #215001=EDGE_CURVE('',#172024,#172025,#99813,.T.); #215002=EDGE_CURVE('',#172026,#172024,#99814,.T.); #215003=EDGE_CURVE('',#172027,#172025,#99815,.T.); #215004=EDGE_CURVE('',#172026,#172027,#99816,.T.); #215005=EDGE_CURVE('',#172028,#172026,#99817,.T.); #215006=EDGE_CURVE('',#172029,#172027,#99818,.T.); #215007=EDGE_CURVE('',#172028,#172029,#99819,.T.); #215008=EDGE_CURVE('',#172030,#172028,#99820,.T.); #215009=EDGE_CURVE('',#172031,#172029,#99821,.T.); #215010=EDGE_CURVE('',#172030,#172031,#99822,.T.); #215011=EDGE_CURVE('',#172032,#172030,#99823,.T.); #215012=EDGE_CURVE('',#172033,#172031,#99824,.T.); #215013=EDGE_CURVE('',#172032,#172033,#99825,.T.); #215014=EDGE_CURVE('',#172034,#172032,#99826,.T.); #215015=EDGE_CURVE('',#172035,#172033,#99827,.T.); #215016=EDGE_CURVE('',#172034,#172035,#99828,.T.); #215017=EDGE_CURVE('',#172036,#172034,#99829,.T.); #215018=EDGE_CURVE('',#172037,#172035,#99830,.T.); #215019=EDGE_CURVE('',#172036,#172037,#99831,.T.); #215020=EDGE_CURVE('',#172038,#172036,#99832,.T.); #215021=EDGE_CURVE('',#172039,#172037,#99833,.T.); #215022=EDGE_CURVE('',#172038,#172039,#99834,.T.); #215023=EDGE_CURVE('',#172040,#172038,#99835,.T.); #215024=EDGE_CURVE('',#172041,#172039,#99836,.T.); #215025=EDGE_CURVE('',#172040,#172041,#99837,.T.); #215026=EDGE_CURVE('',#172042,#172040,#99838,.T.); #215027=EDGE_CURVE('',#172043,#172041,#99839,.T.); #215028=EDGE_CURVE('',#172042,#172043,#99840,.T.); #215029=EDGE_CURVE('',#172044,#172042,#99841,.T.); #215030=EDGE_CURVE('',#172045,#172043,#99842,.T.); #215031=EDGE_CURVE('',#172044,#172045,#99843,.T.); #215032=EDGE_CURVE('',#172046,#172044,#99844,.T.); #215033=EDGE_CURVE('',#172047,#172045,#99845,.T.); #215034=EDGE_CURVE('',#172046,#172047,#99846,.T.); #215035=EDGE_CURVE('',#172048,#172046,#99847,.T.); #215036=EDGE_CURVE('',#172049,#172047,#99848,.T.); #215037=EDGE_CURVE('',#172048,#172049,#99849,.T.); #215038=EDGE_CURVE('',#172011,#172048,#99850,.T.); #215039=EDGE_CURVE('',#172012,#172049,#99851,.T.); #215040=EDGE_CURVE('',#172050,#172051,#99852,.T.); #215041=EDGE_CURVE('',#172051,#172052,#99853,.T.); #215042=EDGE_CURVE('',#172053,#172052,#99854,.T.); #215043=EDGE_CURVE('',#172050,#172053,#99855,.T.); #215044=EDGE_CURVE('',#172054,#172050,#99856,.T.); #215045=EDGE_CURVE('',#172055,#172053,#99857,.T.); #215046=EDGE_CURVE('',#172054,#172055,#99858,.T.); #215047=EDGE_CURVE('',#172056,#172054,#99859,.T.); #215048=EDGE_CURVE('',#172057,#172055,#99860,.T.); #215049=EDGE_CURVE('',#172056,#172057,#99861,.T.); #215050=EDGE_CURVE('',#172058,#172056,#99862,.T.); #215051=EDGE_CURVE('',#172059,#172057,#99863,.T.); #215052=EDGE_CURVE('',#172058,#172059,#99864,.T.); #215053=EDGE_CURVE('',#172060,#172058,#99865,.T.); #215054=EDGE_CURVE('',#172061,#172059,#99866,.T.); #215055=EDGE_CURVE('',#172060,#172061,#99867,.T.); #215056=EDGE_CURVE('',#172062,#172060,#99868,.T.); #215057=EDGE_CURVE('',#172063,#172061,#99869,.T.); #215058=EDGE_CURVE('',#172062,#172063,#99870,.T.); #215059=EDGE_CURVE('',#172064,#172062,#99871,.T.); #215060=EDGE_CURVE('',#172065,#172063,#99872,.T.); #215061=EDGE_CURVE('',#172064,#172065,#99873,.T.); #215062=EDGE_CURVE('',#172066,#172064,#99874,.T.); #215063=EDGE_CURVE('',#172067,#172065,#99875,.T.); #215064=EDGE_CURVE('',#172066,#172067,#99876,.T.); #215065=EDGE_CURVE('',#172068,#172066,#99877,.T.); #215066=EDGE_CURVE('',#172069,#172067,#99878,.T.); #215067=EDGE_CURVE('',#172068,#172069,#99879,.T.); #215068=EDGE_CURVE('',#172070,#172068,#99880,.T.); #215069=EDGE_CURVE('',#172071,#172069,#99881,.T.); #215070=EDGE_CURVE('',#172070,#172071,#99882,.T.); #215071=EDGE_CURVE('',#172072,#172070,#99883,.T.); #215072=EDGE_CURVE('',#172073,#172071,#99884,.T.); #215073=EDGE_CURVE('',#172072,#172073,#99885,.T.); #215074=EDGE_CURVE('',#172074,#172072,#99886,.T.); #215075=EDGE_CURVE('',#172075,#172073,#99887,.T.); #215076=EDGE_CURVE('',#172074,#172075,#99888,.T.); #215077=EDGE_CURVE('',#172076,#172074,#99889,.T.); #215078=EDGE_CURVE('',#172077,#172075,#99890,.T.); #215079=EDGE_CURVE('',#172076,#172077,#99891,.T.); #215080=EDGE_CURVE('',#172078,#172076,#99892,.T.); #215081=EDGE_CURVE('',#172079,#172077,#99893,.T.); #215082=EDGE_CURVE('',#172078,#172079,#99894,.T.); #215083=EDGE_CURVE('',#172080,#172078,#99895,.T.); #215084=EDGE_CURVE('',#172081,#172079,#99896,.T.); #215085=EDGE_CURVE('',#172080,#172081,#99897,.T.); #215086=EDGE_CURVE('',#172082,#172080,#99898,.T.); #215087=EDGE_CURVE('',#172083,#172081,#99899,.T.); #215088=EDGE_CURVE('',#172082,#172083,#99900,.T.); #215089=EDGE_CURVE('',#172084,#172082,#99901,.T.); #215090=EDGE_CURVE('',#172085,#172083,#99902,.T.); #215091=EDGE_CURVE('',#172084,#172085,#99903,.T.); #215092=EDGE_CURVE('',#172086,#172084,#99904,.T.); #215093=EDGE_CURVE('',#172087,#172085,#99905,.T.); #215094=EDGE_CURVE('',#172086,#172087,#99906,.T.); #215095=EDGE_CURVE('',#172088,#172086,#99907,.T.); #215096=EDGE_CURVE('',#172089,#172087,#99908,.T.); #215097=EDGE_CURVE('',#172088,#172089,#99909,.T.); #215098=EDGE_CURVE('',#172090,#172088,#99910,.T.); #215099=EDGE_CURVE('',#172091,#172089,#99911,.T.); #215100=EDGE_CURVE('',#172090,#172091,#99912,.T.); #215101=EDGE_CURVE('',#172092,#172090,#99913,.T.); #215102=EDGE_CURVE('',#172093,#172091,#99914,.T.); #215103=EDGE_CURVE('',#172092,#172093,#99915,.T.); #215104=EDGE_CURVE('',#172094,#172092,#99916,.T.); #215105=EDGE_CURVE('',#172095,#172093,#99917,.T.); #215106=EDGE_CURVE('',#172094,#172095,#99918,.T.); #215107=EDGE_CURVE('',#172096,#172094,#99919,.T.); #215108=EDGE_CURVE('',#172097,#172095,#99920,.T.); #215109=EDGE_CURVE('',#172096,#172097,#99921,.T.); #215110=EDGE_CURVE('',#172098,#172096,#99922,.T.); #215111=EDGE_CURVE('',#172099,#172097,#99923,.T.); #215112=EDGE_CURVE('',#172098,#172099,#99924,.T.); #215113=EDGE_CURVE('',#172100,#172098,#99925,.T.); #215114=EDGE_CURVE('',#172101,#172099,#99926,.T.); #215115=EDGE_CURVE('',#172100,#172101,#99927,.T.); #215116=EDGE_CURVE('',#172102,#172100,#99928,.T.); #215117=EDGE_CURVE('',#172103,#172101,#99929,.T.); #215118=EDGE_CURVE('',#172102,#172103,#99930,.T.); #215119=EDGE_CURVE('',#172104,#172102,#99931,.T.); #215120=EDGE_CURVE('',#172105,#172103,#99932,.T.); #215121=EDGE_CURVE('',#172104,#172105,#99933,.T.); #215122=EDGE_CURVE('',#172106,#172104,#99934,.T.); #215123=EDGE_CURVE('',#172107,#172105,#99935,.T.); #215124=EDGE_CURVE('',#172106,#172107,#99936,.T.); #215125=EDGE_CURVE('',#172108,#172106,#99937,.T.); #215126=EDGE_CURVE('',#172109,#172107,#99938,.T.); #215127=EDGE_CURVE('',#172108,#172109,#99939,.T.); #215128=EDGE_CURVE('',#172110,#172108,#99940,.T.); #215129=EDGE_CURVE('',#172111,#172109,#99941,.T.); #215130=EDGE_CURVE('',#172110,#172111,#99942,.T.); #215131=EDGE_CURVE('',#172112,#172110,#99943,.T.); #215132=EDGE_CURVE('',#172113,#172111,#99944,.T.); #215133=EDGE_CURVE('',#172112,#172113,#99945,.T.); #215134=EDGE_CURVE('',#172114,#172112,#99946,.T.); #215135=EDGE_CURVE('',#172115,#172113,#99947,.T.); #215136=EDGE_CURVE('',#172114,#172115,#99948,.T.); #215137=EDGE_CURVE('',#172116,#172114,#99949,.T.); #215138=EDGE_CURVE('',#172117,#172115,#99950,.T.); #215139=EDGE_CURVE('',#172116,#172117,#99951,.T.); #215140=EDGE_CURVE('',#172118,#172116,#99952,.T.); #215141=EDGE_CURVE('',#172119,#172117,#99953,.T.); #215142=EDGE_CURVE('',#172118,#172119,#99954,.T.); #215143=EDGE_CURVE('',#172120,#172118,#99955,.T.); #215144=EDGE_CURVE('',#172121,#172119,#99956,.T.); #215145=EDGE_CURVE('',#172120,#172121,#99957,.T.); #215146=EDGE_CURVE('',#172122,#172120,#99958,.T.); #215147=EDGE_CURVE('',#172123,#172121,#99959,.T.); #215148=EDGE_CURVE('',#172122,#172123,#99960,.T.); #215149=EDGE_CURVE('',#172124,#172122,#99961,.T.); #215150=EDGE_CURVE('',#172125,#172123,#99962,.T.); #215151=EDGE_CURVE('',#172124,#172125,#99963,.T.); #215152=EDGE_CURVE('',#172126,#172124,#99964,.T.); #215153=EDGE_CURVE('',#172127,#172125,#99965,.T.); #215154=EDGE_CURVE('',#172126,#172127,#99966,.T.); #215155=EDGE_CURVE('',#172128,#172126,#99967,.T.); #215156=EDGE_CURVE('',#172129,#172127,#99968,.T.); #215157=EDGE_CURVE('',#172128,#172129,#99969,.T.); #215158=EDGE_CURVE('',#172130,#172128,#99970,.T.); #215159=EDGE_CURVE('',#172131,#172129,#99971,.T.); #215160=EDGE_CURVE('',#172130,#172131,#99972,.T.); #215161=EDGE_CURVE('',#172132,#172130,#99973,.T.); #215162=EDGE_CURVE('',#172133,#172131,#99974,.T.); #215163=EDGE_CURVE('',#172132,#172133,#99975,.T.); #215164=EDGE_CURVE('',#172134,#172132,#99976,.T.); #215165=EDGE_CURVE('',#172135,#172133,#99977,.T.); #215166=EDGE_CURVE('',#172134,#172135,#99978,.T.); #215167=EDGE_CURVE('',#172136,#172134,#99979,.T.); #215168=EDGE_CURVE('',#172137,#172135,#99980,.T.); #215169=EDGE_CURVE('',#172136,#172137,#99981,.T.); #215170=EDGE_CURVE('',#172138,#172136,#99982,.T.); #215171=EDGE_CURVE('',#172139,#172137,#99983,.T.); #215172=EDGE_CURVE('',#172138,#172139,#99984,.T.); #215173=EDGE_CURVE('',#172140,#172138,#99985,.T.); #215174=EDGE_CURVE('',#172141,#172139,#99986,.T.); #215175=EDGE_CURVE('',#172140,#172141,#99987,.T.); #215176=EDGE_CURVE('',#172142,#172140,#99988,.T.); #215177=EDGE_CURVE('',#172143,#172141,#99989,.T.); #215178=EDGE_CURVE('',#172142,#172143,#99990,.T.); #215179=EDGE_CURVE('',#172144,#172142,#99991,.T.); #215180=EDGE_CURVE('',#172145,#172143,#99992,.T.); #215181=EDGE_CURVE('',#172144,#172145,#99993,.T.); #215182=EDGE_CURVE('',#172146,#172144,#99994,.T.); #215183=EDGE_CURVE('',#172147,#172145,#99995,.T.); #215184=EDGE_CURVE('',#172146,#172147,#99996,.T.); #215185=EDGE_CURVE('',#172148,#172146,#99997,.T.); #215186=EDGE_CURVE('',#172149,#172147,#99998,.T.); #215187=EDGE_CURVE('',#172148,#172149,#99999,.T.); #215188=EDGE_CURVE('',#172150,#172148,#100000,.T.); #215189=EDGE_CURVE('',#172151,#172149,#100001,.T.); #215190=EDGE_CURVE('',#172150,#172151,#100002,.T.); #215191=EDGE_CURVE('',#172152,#172150,#100003,.T.); #215192=EDGE_CURVE('',#172153,#172151,#100004,.T.); #215193=EDGE_CURVE('',#172152,#172153,#100005,.T.); #215194=EDGE_CURVE('',#172154,#172152,#100006,.T.); #215195=EDGE_CURVE('',#172155,#172153,#100007,.T.); #215196=EDGE_CURVE('',#172154,#172155,#100008,.T.); #215197=EDGE_CURVE('',#172156,#172154,#100009,.T.); #215198=EDGE_CURVE('',#172157,#172155,#100010,.T.); #215199=EDGE_CURVE('',#172156,#172157,#100011,.T.); #215200=EDGE_CURVE('',#172158,#172156,#100012,.T.); #215201=EDGE_CURVE('',#172159,#172157,#100013,.T.); #215202=EDGE_CURVE('',#172158,#172159,#100014,.T.); #215203=EDGE_CURVE('',#172160,#172158,#100015,.T.); #215204=EDGE_CURVE('',#172161,#172159,#100016,.T.); #215205=EDGE_CURVE('',#172160,#172161,#100017,.T.); #215206=EDGE_CURVE('',#172162,#172160,#100018,.T.); #215207=EDGE_CURVE('',#172163,#172161,#100019,.T.); #215208=EDGE_CURVE('',#172162,#172163,#100020,.T.); #215209=EDGE_CURVE('',#172164,#172162,#100021,.T.); #215210=EDGE_CURVE('',#172165,#172163,#100022,.T.); #215211=EDGE_CURVE('',#172164,#172165,#100023,.T.); #215212=EDGE_CURVE('',#172166,#172164,#100024,.T.); #215213=EDGE_CURVE('',#172167,#172165,#100025,.T.); #215214=EDGE_CURVE('',#172166,#172167,#100026,.T.); #215215=EDGE_CURVE('',#172168,#172166,#100027,.T.); #215216=EDGE_CURVE('',#172169,#172167,#100028,.T.); #215217=EDGE_CURVE('',#172168,#172169,#100029,.T.); #215218=EDGE_CURVE('',#172170,#172168,#100030,.T.); #215219=EDGE_CURVE('',#172171,#172169,#100031,.T.); #215220=EDGE_CURVE('',#172170,#172171,#100032,.T.); #215221=EDGE_CURVE('',#172172,#172170,#100033,.T.); #215222=EDGE_CURVE('',#172173,#172171,#100034,.T.); #215223=EDGE_CURVE('',#172172,#172173,#100035,.T.); #215224=EDGE_CURVE('',#172174,#172172,#100036,.T.); #215225=EDGE_CURVE('',#172175,#172173,#100037,.T.); #215226=EDGE_CURVE('',#172174,#172175,#100038,.T.); #215227=EDGE_CURVE('',#172176,#172174,#100039,.T.); #215228=EDGE_CURVE('',#172177,#172175,#100040,.T.); #215229=EDGE_CURVE('',#172176,#172177,#100041,.T.); #215230=EDGE_CURVE('',#172178,#172176,#100042,.T.); #215231=EDGE_CURVE('',#172179,#172177,#100043,.T.); #215232=EDGE_CURVE('',#172178,#172179,#100044,.T.); #215233=EDGE_CURVE('',#172180,#172178,#100045,.T.); #215234=EDGE_CURVE('',#172181,#172179,#100046,.T.); #215235=EDGE_CURVE('',#172180,#172181,#100047,.T.); #215236=EDGE_CURVE('',#172182,#172180,#100048,.T.); #215237=EDGE_CURVE('',#172183,#172181,#100049,.T.); #215238=EDGE_CURVE('',#172182,#172183,#100050,.T.); #215239=EDGE_CURVE('',#172184,#172182,#100051,.T.); #215240=EDGE_CURVE('',#172185,#172183,#100052,.T.); #215241=EDGE_CURVE('',#172184,#172185,#100053,.T.); #215242=EDGE_CURVE('',#172186,#172184,#100054,.T.); #215243=EDGE_CURVE('',#172187,#172185,#100055,.T.); #215244=EDGE_CURVE('',#172186,#172187,#100056,.T.); #215245=EDGE_CURVE('',#172188,#172186,#100057,.T.); #215246=EDGE_CURVE('',#172189,#172187,#100058,.T.); #215247=EDGE_CURVE('',#172188,#172189,#100059,.T.); #215248=EDGE_CURVE('',#172190,#172188,#100060,.T.); #215249=EDGE_CURVE('',#172191,#172189,#100061,.T.); #215250=EDGE_CURVE('',#172190,#172191,#100062,.T.); #215251=EDGE_CURVE('',#172192,#172190,#100063,.T.); #215252=EDGE_CURVE('',#172193,#172191,#100064,.T.); #215253=EDGE_CURVE('',#172192,#172193,#100065,.T.); #215254=EDGE_CURVE('',#172051,#172192,#100066,.T.); #215255=EDGE_CURVE('',#172052,#172193,#100067,.T.); #215256=EDGE_CURVE('',#172194,#172195,#100068,.T.); #215257=EDGE_CURVE('',#172195,#172196,#100069,.T.); #215258=EDGE_CURVE('',#172197,#172196,#100070,.T.); #215259=EDGE_CURVE('',#172194,#172197,#100071,.T.); #215260=EDGE_CURVE('',#172198,#172194,#100072,.T.); #215261=EDGE_CURVE('',#172199,#172197,#100073,.T.); #215262=EDGE_CURVE('',#172198,#172199,#100074,.T.); #215263=EDGE_CURVE('',#172200,#172198,#100075,.T.); #215264=EDGE_CURVE('',#172201,#172199,#100076,.T.); #215265=EDGE_CURVE('',#172200,#172201,#100077,.T.); #215266=EDGE_CURVE('',#172202,#172200,#100078,.T.); #215267=EDGE_CURVE('',#172203,#172201,#100079,.T.); #215268=EDGE_CURVE('',#172202,#172203,#100080,.T.); #215269=EDGE_CURVE('',#172204,#172202,#100081,.T.); #215270=EDGE_CURVE('',#172205,#172203,#100082,.T.); #215271=EDGE_CURVE('',#172204,#172205,#100083,.T.); #215272=EDGE_CURVE('',#172206,#172204,#100084,.T.); #215273=EDGE_CURVE('',#172207,#172205,#100085,.T.); #215274=EDGE_CURVE('',#172206,#172207,#100086,.T.); #215275=EDGE_CURVE('',#172208,#172206,#100087,.T.); #215276=EDGE_CURVE('',#172209,#172207,#100088,.T.); #215277=EDGE_CURVE('',#172208,#172209,#100089,.T.); #215278=EDGE_CURVE('',#172210,#172208,#100090,.T.); #215279=EDGE_CURVE('',#172211,#172209,#100091,.T.); #215280=EDGE_CURVE('',#172210,#172211,#100092,.T.); #215281=EDGE_CURVE('',#172212,#172210,#100093,.T.); #215282=EDGE_CURVE('',#172213,#172211,#100094,.T.); #215283=EDGE_CURVE('',#172212,#172213,#100095,.T.); #215284=EDGE_CURVE('',#172214,#172212,#100096,.T.); #215285=EDGE_CURVE('',#172215,#172213,#100097,.T.); #215286=EDGE_CURVE('',#172214,#172215,#100098,.T.); #215287=EDGE_CURVE('',#172216,#172214,#100099,.T.); #215288=EDGE_CURVE('',#172217,#172215,#100100,.T.); #215289=EDGE_CURVE('',#172216,#172217,#100101,.T.); #215290=EDGE_CURVE('',#172218,#172216,#100102,.T.); #215291=EDGE_CURVE('',#172219,#172217,#100103,.T.); #215292=EDGE_CURVE('',#172218,#172219,#100104,.T.); #215293=EDGE_CURVE('',#172220,#172218,#100105,.T.); #215294=EDGE_CURVE('',#172221,#172219,#100106,.T.); #215295=EDGE_CURVE('',#172220,#172221,#100107,.T.); #215296=EDGE_CURVE('',#172222,#172220,#100108,.T.); #215297=EDGE_CURVE('',#172223,#172221,#100109,.T.); #215298=EDGE_CURVE('',#172222,#172223,#100110,.T.); #215299=EDGE_CURVE('',#172224,#172222,#100111,.T.); #215300=EDGE_CURVE('',#172225,#172223,#100112,.T.); #215301=EDGE_CURVE('',#172224,#172225,#100113,.T.); #215302=EDGE_CURVE('',#172226,#172224,#100114,.T.); #215303=EDGE_CURVE('',#172227,#172225,#100115,.T.); #215304=EDGE_CURVE('',#172226,#172227,#100116,.T.); #215305=EDGE_CURVE('',#172228,#172226,#100117,.T.); #215306=EDGE_CURVE('',#172229,#172227,#100118,.T.); #215307=EDGE_CURVE('',#172228,#172229,#100119,.T.); #215308=EDGE_CURVE('',#172230,#172228,#100120,.T.); #215309=EDGE_CURVE('',#172231,#172229,#100121,.T.); #215310=EDGE_CURVE('',#172230,#172231,#100122,.T.); #215311=EDGE_CURVE('',#172232,#172230,#100123,.T.); #215312=EDGE_CURVE('',#172233,#172231,#100124,.T.); #215313=EDGE_CURVE('',#172232,#172233,#100125,.T.); #215314=EDGE_CURVE('',#172234,#172232,#100126,.T.); #215315=EDGE_CURVE('',#172235,#172233,#100127,.T.); #215316=EDGE_CURVE('',#172234,#172235,#100128,.T.); #215317=EDGE_CURVE('',#172236,#172234,#100129,.T.); #215318=EDGE_CURVE('',#172237,#172235,#100130,.T.); #215319=EDGE_CURVE('',#172236,#172237,#100131,.T.); #215320=EDGE_CURVE('',#172238,#172236,#100132,.T.); #215321=EDGE_CURVE('',#172239,#172237,#100133,.T.); #215322=EDGE_CURVE('',#172238,#172239,#100134,.T.); #215323=EDGE_CURVE('',#172240,#172238,#100135,.T.); #215324=EDGE_CURVE('',#172241,#172239,#100136,.T.); #215325=EDGE_CURVE('',#172240,#172241,#100137,.T.); #215326=EDGE_CURVE('',#172242,#172240,#100138,.T.); #215327=EDGE_CURVE('',#172243,#172241,#100139,.T.); #215328=EDGE_CURVE('',#172242,#172243,#100140,.T.); #215329=EDGE_CURVE('',#172244,#172242,#100141,.T.); #215330=EDGE_CURVE('',#172245,#172243,#100142,.T.); #215331=EDGE_CURVE('',#172244,#172245,#100143,.T.); #215332=EDGE_CURVE('',#172246,#172244,#100144,.T.); #215333=EDGE_CURVE('',#172247,#172245,#100145,.T.); #215334=EDGE_CURVE('',#172246,#172247,#100146,.T.); #215335=EDGE_CURVE('',#172248,#172246,#100147,.T.); #215336=EDGE_CURVE('',#172249,#172247,#100148,.T.); #215337=EDGE_CURVE('',#172248,#172249,#100149,.T.); #215338=EDGE_CURVE('',#172195,#172248,#100150,.T.); #215339=EDGE_CURVE('',#172196,#172249,#100151,.T.); #215340=EDGE_CURVE('',#172250,#172250,#68639,.T.); #215341=EDGE_CURVE('',#172250,#172251,#100152,.T.); #215342=EDGE_CURVE('',#172251,#172251,#68640,.T.); #215343=EDGE_CURVE('',#172252,#172252,#68641,.T.); #215344=EDGE_CURVE('',#172252,#172253,#100153,.T.); #215345=EDGE_CURVE('',#172253,#172253,#68642,.T.); #215346=EDGE_CURVE('',#172254,#172254,#68643,.T.); #215347=EDGE_CURVE('',#172254,#172255,#100154,.T.); #215348=EDGE_CURVE('',#172255,#172255,#68644,.T.); #215349=EDGE_CURVE('',#172256,#172256,#68645,.T.); #215350=EDGE_CURVE('',#172256,#172257,#100155,.T.); #215351=EDGE_CURVE('',#172257,#172257,#68646,.T.); #215352=EDGE_CURVE('',#172258,#172258,#68647,.T.); #215353=EDGE_CURVE('',#172258,#172259,#100156,.T.); #215354=EDGE_CURVE('',#172259,#172259,#68648,.T.); #215355=EDGE_CURVE('',#172260,#172260,#68649,.T.); #215356=EDGE_CURVE('',#172260,#172261,#100157,.T.); #215357=EDGE_CURVE('',#172261,#172261,#68650,.T.); #215358=EDGE_CURVE('',#172262,#172262,#68651,.T.); #215359=EDGE_CURVE('',#172262,#172263,#100158,.T.); #215360=EDGE_CURVE('',#172263,#172263,#68652,.T.); #215361=EDGE_CURVE('',#172264,#172264,#68653,.T.); #215362=EDGE_CURVE('',#172264,#172265,#100159,.T.); #215363=EDGE_CURVE('',#172265,#172265,#68654,.T.); #215364=EDGE_CURVE('',#172266,#172266,#68655,.T.); #215365=EDGE_CURVE('',#172266,#172267,#100160,.T.); #215366=EDGE_CURVE('',#172267,#172267,#68656,.T.); #215367=EDGE_CURVE('',#172268,#172268,#68657,.T.); #215368=EDGE_CURVE('',#172268,#172269,#100161,.T.); #215369=EDGE_CURVE('',#172269,#172269,#68658,.T.); #215370=EDGE_CURVE('',#172270,#172270,#68659,.T.); #215371=EDGE_CURVE('',#172270,#172271,#100162,.T.); #215372=EDGE_CURVE('',#172271,#172271,#68660,.T.); #215373=EDGE_CURVE('',#172272,#172272,#68661,.T.); #215374=EDGE_CURVE('',#172272,#172273,#100163,.T.); #215375=EDGE_CURVE('',#172273,#172273,#68662,.T.); #215376=EDGE_CURVE('',#172274,#172274,#68663,.T.); #215377=EDGE_CURVE('',#172274,#172275,#100164,.T.); #215378=EDGE_CURVE('',#172275,#172275,#68664,.T.); #215379=EDGE_CURVE('',#172276,#172276,#68665,.T.); #215380=EDGE_CURVE('',#172276,#172277,#100165,.T.); #215381=EDGE_CURVE('',#172277,#172277,#68666,.T.); #215382=EDGE_CURVE('',#172278,#172278,#68667,.T.); #215383=EDGE_CURVE('',#172278,#172279,#100166,.T.); #215384=EDGE_CURVE('',#172279,#172279,#68668,.T.); #215385=EDGE_CURVE('',#172280,#172280,#68669,.T.); #215386=EDGE_CURVE('',#172280,#172281,#100167,.T.); #215387=EDGE_CURVE('',#172281,#172281,#68670,.T.); #215388=EDGE_CURVE('',#172282,#172283,#100168,.T.); #215389=EDGE_CURVE('',#172283,#172284,#100169,.T.); #215390=EDGE_CURVE('',#172285,#172284,#100170,.T.); #215391=EDGE_CURVE('',#172282,#172285,#100171,.T.); #215392=EDGE_CURVE('',#172286,#172282,#100172,.T.); #215393=EDGE_CURVE('',#172287,#172285,#100173,.T.); #215394=EDGE_CURVE('',#172286,#172287,#100174,.T.); #215395=EDGE_CURVE('',#172288,#172286,#100175,.T.); #215396=EDGE_CURVE('',#172289,#172287,#100176,.T.); #215397=EDGE_CURVE('',#172288,#172289,#100177,.T.); #215398=EDGE_CURVE('',#172290,#172288,#100178,.T.); #215399=EDGE_CURVE('',#172291,#172289,#100179,.T.); #215400=EDGE_CURVE('',#172290,#172291,#100180,.T.); #215401=EDGE_CURVE('',#172292,#172290,#100181,.T.); #215402=EDGE_CURVE('',#172293,#172291,#100182,.T.); #215403=EDGE_CURVE('',#172292,#172293,#100183,.T.); #215404=EDGE_CURVE('',#172294,#172292,#100184,.T.); #215405=EDGE_CURVE('',#172295,#172293,#100185,.T.); #215406=EDGE_CURVE('',#172294,#172295,#100186,.T.); #215407=EDGE_CURVE('',#172296,#172294,#100187,.T.); #215408=EDGE_CURVE('',#172297,#172295,#100188,.T.); #215409=EDGE_CURVE('',#172296,#172297,#100189,.T.); #215410=EDGE_CURVE('',#172298,#172296,#100190,.T.); #215411=EDGE_CURVE('',#172299,#172297,#100191,.T.); #215412=EDGE_CURVE('',#172298,#172299,#100192,.T.); #215413=EDGE_CURVE('',#172300,#172298,#100193,.T.); #215414=EDGE_CURVE('',#172301,#172299,#100194,.T.); #215415=EDGE_CURVE('',#172300,#172301,#100195,.T.); #215416=EDGE_CURVE('',#172302,#172300,#100196,.T.); #215417=EDGE_CURVE('',#172303,#172301,#100197,.T.); #215418=EDGE_CURVE('',#172302,#172303,#100198,.T.); #215419=EDGE_CURVE('',#172304,#172302,#100199,.T.); #215420=EDGE_CURVE('',#172305,#172303,#100200,.T.); #215421=EDGE_CURVE('',#172304,#172305,#100201,.T.); #215422=EDGE_CURVE('',#172306,#172304,#100202,.T.); #215423=EDGE_CURVE('',#172307,#172305,#100203,.T.); #215424=EDGE_CURVE('',#172306,#172307,#100204,.T.); #215425=EDGE_CURVE('',#172308,#172306,#100205,.T.); #215426=EDGE_CURVE('',#172309,#172307,#100206,.T.); #215427=EDGE_CURVE('',#172308,#172309,#100207,.T.); #215428=EDGE_CURVE('',#172310,#172308,#100208,.T.); #215429=EDGE_CURVE('',#172311,#172309,#100209,.T.); #215430=EDGE_CURVE('',#172310,#172311,#100210,.T.); #215431=EDGE_CURVE('',#172312,#172310,#100211,.T.); #215432=EDGE_CURVE('',#172313,#172311,#100212,.T.); #215433=EDGE_CURVE('',#172312,#172313,#100213,.T.); #215434=EDGE_CURVE('',#172314,#172312,#100214,.T.); #215435=EDGE_CURVE('',#172315,#172313,#100215,.T.); #215436=EDGE_CURVE('',#172314,#172315,#100216,.T.); #215437=EDGE_CURVE('',#172316,#172314,#100217,.T.); #215438=EDGE_CURVE('',#172317,#172315,#100218,.T.); #215439=EDGE_CURVE('',#172316,#172317,#100219,.T.); #215440=EDGE_CURVE('',#172318,#172316,#100220,.T.); #215441=EDGE_CURVE('',#172319,#172317,#100221,.T.); #215442=EDGE_CURVE('',#172318,#172319,#100222,.T.); #215443=EDGE_CURVE('',#172320,#172318,#100223,.T.); #215444=EDGE_CURVE('',#172321,#172319,#100224,.T.); #215445=EDGE_CURVE('',#172320,#172321,#100225,.T.); #215446=EDGE_CURVE('',#172322,#172320,#100226,.T.); #215447=EDGE_CURVE('',#172323,#172321,#100227,.T.); #215448=EDGE_CURVE('',#172322,#172323,#100228,.T.); #215449=EDGE_CURVE('',#172324,#172322,#100229,.T.); #215450=EDGE_CURVE('',#172325,#172323,#100230,.T.); #215451=EDGE_CURVE('',#172324,#172325,#100231,.T.); #215452=EDGE_CURVE('',#172326,#172324,#100232,.T.); #215453=EDGE_CURVE('',#172327,#172325,#100233,.T.); #215454=EDGE_CURVE('',#172326,#172327,#100234,.T.); #215455=EDGE_CURVE('',#172328,#172326,#100235,.T.); #215456=EDGE_CURVE('',#172329,#172327,#100236,.T.); #215457=EDGE_CURVE('',#172328,#172329,#100237,.T.); #215458=EDGE_CURVE('',#172330,#172328,#100238,.T.); #215459=EDGE_CURVE('',#172331,#172329,#100239,.T.); #215460=EDGE_CURVE('',#172330,#172331,#100240,.T.); #215461=EDGE_CURVE('',#172332,#172330,#100241,.T.); #215462=EDGE_CURVE('',#172333,#172331,#100242,.T.); #215463=EDGE_CURVE('',#172332,#172333,#100243,.T.); #215464=EDGE_CURVE('',#172334,#172332,#100244,.T.); #215465=EDGE_CURVE('',#172335,#172333,#100245,.T.); #215466=EDGE_CURVE('',#172334,#172335,#100246,.T.); #215467=EDGE_CURVE('',#172336,#172334,#100247,.T.); #215468=EDGE_CURVE('',#172337,#172335,#100248,.T.); #215469=EDGE_CURVE('',#172336,#172337,#100249,.T.); #215470=EDGE_CURVE('',#172338,#172336,#100250,.T.); #215471=EDGE_CURVE('',#172339,#172337,#100251,.T.); #215472=EDGE_CURVE('',#172338,#172339,#100252,.T.); #215473=EDGE_CURVE('',#172340,#172338,#100253,.T.); #215474=EDGE_CURVE('',#172341,#172339,#100254,.T.); #215475=EDGE_CURVE('',#172340,#172341,#100255,.T.); #215476=EDGE_CURVE('',#172342,#172340,#100256,.T.); #215477=EDGE_CURVE('',#172343,#172341,#100257,.T.); #215478=EDGE_CURVE('',#172342,#172343,#100258,.T.); #215479=EDGE_CURVE('',#172344,#172342,#100259,.T.); #215480=EDGE_CURVE('',#172345,#172343,#100260,.T.); #215481=EDGE_CURVE('',#172344,#172345,#100261,.T.); #215482=EDGE_CURVE('',#172346,#172344,#100262,.T.); #215483=EDGE_CURVE('',#172347,#172345,#100263,.T.); #215484=EDGE_CURVE('',#172346,#172347,#100264,.T.); #215485=EDGE_CURVE('',#172348,#172346,#100265,.T.); #215486=EDGE_CURVE('',#172349,#172347,#100266,.T.); #215487=EDGE_CURVE('',#172348,#172349,#100267,.T.); #215488=EDGE_CURVE('',#172350,#172348,#100268,.T.); #215489=EDGE_CURVE('',#172351,#172349,#100269,.T.); #215490=EDGE_CURVE('',#172350,#172351,#100270,.T.); #215491=EDGE_CURVE('',#172352,#172350,#100271,.T.); #215492=EDGE_CURVE('',#172353,#172351,#100272,.T.); #215493=EDGE_CURVE('',#172352,#172353,#100273,.T.); #215494=EDGE_CURVE('',#172354,#172352,#100274,.T.); #215495=EDGE_CURVE('',#172355,#172353,#100275,.T.); #215496=EDGE_CURVE('',#172354,#172355,#100276,.T.); #215497=EDGE_CURVE('',#172356,#172354,#100277,.T.); #215498=EDGE_CURVE('',#172357,#172355,#100278,.T.); #215499=EDGE_CURVE('',#172356,#172357,#100279,.T.); #215500=EDGE_CURVE('',#172358,#172356,#100280,.T.); #215501=EDGE_CURVE('',#172359,#172357,#100281,.T.); #215502=EDGE_CURVE('',#172358,#172359,#100282,.T.); #215503=EDGE_CURVE('',#172360,#172358,#100283,.T.); #215504=EDGE_CURVE('',#172361,#172359,#100284,.T.); #215505=EDGE_CURVE('',#172360,#172361,#100285,.T.); #215506=EDGE_CURVE('',#172362,#172360,#100286,.T.); #215507=EDGE_CURVE('',#172363,#172361,#100287,.T.); #215508=EDGE_CURVE('',#172362,#172363,#100288,.T.); #215509=EDGE_CURVE('',#172364,#172362,#100289,.T.); #215510=EDGE_CURVE('',#172365,#172363,#100290,.T.); #215511=EDGE_CURVE('',#172364,#172365,#100291,.T.); #215512=EDGE_CURVE('',#172366,#172364,#100292,.T.); #215513=EDGE_CURVE('',#172367,#172365,#100293,.T.); #215514=EDGE_CURVE('',#172366,#172367,#100294,.T.); #215515=EDGE_CURVE('',#172368,#172366,#100295,.T.); #215516=EDGE_CURVE('',#172369,#172367,#100296,.T.); #215517=EDGE_CURVE('',#172368,#172369,#100297,.T.); #215518=EDGE_CURVE('',#172370,#172368,#100298,.T.); #215519=EDGE_CURVE('',#172371,#172369,#100299,.T.); #215520=EDGE_CURVE('',#172370,#172371,#100300,.T.); #215521=EDGE_CURVE('',#172372,#172370,#100301,.T.); #215522=EDGE_CURVE('',#172373,#172371,#100302,.T.); #215523=EDGE_CURVE('',#172372,#172373,#100303,.T.); #215524=EDGE_CURVE('',#172374,#172372,#100304,.T.); #215525=EDGE_CURVE('',#172375,#172373,#100305,.T.); #215526=EDGE_CURVE('',#172374,#172375,#100306,.T.); #215527=EDGE_CURVE('',#172376,#172374,#100307,.T.); #215528=EDGE_CURVE('',#172377,#172375,#100308,.T.); #215529=EDGE_CURVE('',#172376,#172377,#100309,.T.); #215530=EDGE_CURVE('',#172378,#172376,#100310,.T.); #215531=EDGE_CURVE('',#172379,#172377,#100311,.T.); #215532=EDGE_CURVE('',#172378,#172379,#100312,.T.); #215533=EDGE_CURVE('',#172380,#172378,#100313,.T.); #215534=EDGE_CURVE('',#172381,#172379,#100314,.T.); #215535=EDGE_CURVE('',#172380,#172381,#100315,.T.); #215536=EDGE_CURVE('',#172382,#172380,#100316,.T.); #215537=EDGE_CURVE('',#172383,#172381,#100317,.T.); #215538=EDGE_CURVE('',#172382,#172383,#100318,.T.); #215539=EDGE_CURVE('',#172384,#172382,#100319,.T.); #215540=EDGE_CURVE('',#172385,#172383,#100320,.T.); #215541=EDGE_CURVE('',#172384,#172385,#100321,.T.); #215542=EDGE_CURVE('',#172386,#172384,#100322,.T.); #215543=EDGE_CURVE('',#172387,#172385,#100323,.T.); #215544=EDGE_CURVE('',#172386,#172387,#100324,.T.); #215545=EDGE_CURVE('',#172388,#172386,#100325,.T.); #215546=EDGE_CURVE('',#172389,#172387,#100326,.T.); #215547=EDGE_CURVE('',#172388,#172389,#100327,.T.); #215548=EDGE_CURVE('',#172390,#172388,#100328,.T.); #215549=EDGE_CURVE('',#172391,#172389,#100329,.T.); #215550=EDGE_CURVE('',#172390,#172391,#100330,.T.); #215551=EDGE_CURVE('',#172392,#172390,#100331,.T.); #215552=EDGE_CURVE('',#172393,#172391,#100332,.T.); #215553=EDGE_CURVE('',#172392,#172393,#100333,.T.); #215554=EDGE_CURVE('',#172394,#172392,#100334,.T.); #215555=EDGE_CURVE('',#172395,#172393,#100335,.T.); #215556=EDGE_CURVE('',#172394,#172395,#100336,.T.); #215557=EDGE_CURVE('',#172396,#172394,#100337,.T.); #215558=EDGE_CURVE('',#172397,#172395,#100338,.T.); #215559=EDGE_CURVE('',#172396,#172397,#100339,.T.); #215560=EDGE_CURVE('',#172398,#172396,#100340,.T.); #215561=EDGE_CURVE('',#172399,#172397,#100341,.T.); #215562=EDGE_CURVE('',#172398,#172399,#100342,.T.); #215563=EDGE_CURVE('',#172400,#172398,#100343,.T.); #215564=EDGE_CURVE('',#172401,#172399,#100344,.T.); #215565=EDGE_CURVE('',#172400,#172401,#100345,.T.); #215566=EDGE_CURVE('',#172402,#172400,#100346,.T.); #215567=EDGE_CURVE('',#172403,#172401,#100347,.T.); #215568=EDGE_CURVE('',#172402,#172403,#100348,.T.); #215569=EDGE_CURVE('',#172404,#172402,#100349,.T.); #215570=EDGE_CURVE('',#172405,#172403,#100350,.T.); #215571=EDGE_CURVE('',#172404,#172405,#100351,.T.); #215572=EDGE_CURVE('',#172406,#172404,#100352,.T.); #215573=EDGE_CURVE('',#172407,#172405,#100353,.T.); #215574=EDGE_CURVE('',#172406,#172407,#100354,.T.); #215575=EDGE_CURVE('',#172408,#172406,#100355,.T.); #215576=EDGE_CURVE('',#172409,#172407,#100356,.T.); #215577=EDGE_CURVE('',#172408,#172409,#100357,.T.); #215578=EDGE_CURVE('',#172410,#172408,#100358,.T.); #215579=EDGE_CURVE('',#172411,#172409,#100359,.T.); #215580=EDGE_CURVE('',#172410,#172411,#100360,.T.); #215581=EDGE_CURVE('',#172412,#172410,#100361,.T.); #215582=EDGE_CURVE('',#172413,#172411,#100362,.T.); #215583=EDGE_CURVE('',#172412,#172413,#100363,.T.); #215584=EDGE_CURVE('',#172414,#172412,#100364,.T.); #215585=EDGE_CURVE('',#172415,#172413,#100365,.T.); #215586=EDGE_CURVE('',#172414,#172415,#100366,.T.); #215587=EDGE_CURVE('',#172416,#172414,#100367,.T.); #215588=EDGE_CURVE('',#172417,#172415,#100368,.T.); #215589=EDGE_CURVE('',#172416,#172417,#100369,.T.); #215590=EDGE_CURVE('',#172418,#172416,#100370,.T.); #215591=EDGE_CURVE('',#172419,#172417,#100371,.T.); #215592=EDGE_CURVE('',#172418,#172419,#100372,.T.); #215593=EDGE_CURVE('',#172420,#172418,#100373,.T.); #215594=EDGE_CURVE('',#172421,#172419,#100374,.T.); #215595=EDGE_CURVE('',#172420,#172421,#100375,.T.); #215596=EDGE_CURVE('',#172422,#172420,#100376,.T.); #215597=EDGE_CURVE('',#172423,#172421,#100377,.T.); #215598=EDGE_CURVE('',#172422,#172423,#100378,.T.); #215599=EDGE_CURVE('',#172424,#172422,#100379,.T.); #215600=EDGE_CURVE('',#172425,#172423,#100380,.T.); #215601=EDGE_CURVE('',#172424,#172425,#100381,.T.); #215602=EDGE_CURVE('',#172426,#172424,#100382,.T.); #215603=EDGE_CURVE('',#172427,#172425,#100383,.T.); #215604=EDGE_CURVE('',#172426,#172427,#100384,.T.); #215605=EDGE_CURVE('',#172428,#172426,#100385,.T.); #215606=EDGE_CURVE('',#172429,#172427,#100386,.T.); #215607=EDGE_CURVE('',#172428,#172429,#100387,.T.); #215608=EDGE_CURVE('',#172283,#172428,#100388,.T.); #215609=EDGE_CURVE('',#172284,#172429,#100389,.T.); #215610=EDGE_CURVE('',#172430,#172430,#68671,.T.); #215611=EDGE_CURVE('',#172430,#172431,#100390,.T.); #215612=EDGE_CURVE('',#172431,#172431,#68672,.T.); #215613=EDGE_CURVE('',#172432,#172432,#68673,.T.); #215614=EDGE_CURVE('',#172432,#172433,#100391,.T.); #215615=EDGE_CURVE('',#172433,#172433,#68674,.T.); #215616=EDGE_CURVE('',#172434,#172434,#68675,.T.); #215617=EDGE_CURVE('',#172434,#172435,#100392,.T.); #215618=EDGE_CURVE('',#172435,#172435,#68676,.T.); #215619=EDGE_CURVE('',#172436,#172436,#68677,.T.); #215620=EDGE_CURVE('',#172436,#172437,#100393,.T.); #215621=EDGE_CURVE('',#172437,#172437,#68678,.T.); #215622=EDGE_CURVE('',#172438,#172438,#68679,.T.); #215623=EDGE_CURVE('',#172438,#172439,#100394,.T.); #215624=EDGE_CURVE('',#172439,#172439,#68680,.T.); #215625=EDGE_CURVE('',#172440,#172440,#68681,.T.); #215626=EDGE_CURVE('',#172440,#172441,#100395,.T.); #215627=EDGE_CURVE('',#172441,#172441,#68682,.T.); #215628=EDGE_CURVE('',#172442,#172442,#68683,.T.); #215629=EDGE_CURVE('',#172442,#172443,#100396,.T.); #215630=EDGE_CURVE('',#172443,#172443,#68684,.T.); #215631=EDGE_CURVE('',#172444,#172444,#68685,.T.); #215632=EDGE_CURVE('',#172444,#172445,#100397,.T.); #215633=EDGE_CURVE('',#172445,#172445,#68686,.T.); #215634=EDGE_CURVE('',#172446,#172446,#68687,.T.); #215635=EDGE_CURVE('',#172446,#172447,#100398,.T.); #215636=EDGE_CURVE('',#172447,#172447,#68688,.T.); #215637=EDGE_CURVE('',#172448,#172448,#68689,.T.); #215638=EDGE_CURVE('',#172448,#172449,#100399,.T.); #215639=EDGE_CURVE('',#172449,#172449,#68690,.T.); #215640=EDGE_CURVE('',#172450,#172450,#68691,.T.); #215641=EDGE_CURVE('',#172450,#172451,#100400,.T.); #215642=EDGE_CURVE('',#172451,#172451,#68692,.T.); #215643=EDGE_CURVE('',#172452,#172452,#68693,.T.); #215644=EDGE_CURVE('',#172452,#172453,#100401,.T.); #215645=EDGE_CURVE('',#172453,#172453,#68694,.T.); #215646=EDGE_CURVE('',#172454,#172454,#68695,.T.); #215647=EDGE_CURVE('',#172454,#172455,#100402,.T.); #215648=EDGE_CURVE('',#172455,#172455,#68696,.T.); #215649=EDGE_CURVE('',#172456,#172456,#68697,.T.); #215650=EDGE_CURVE('',#172456,#172457,#100403,.T.); #215651=EDGE_CURVE('',#172457,#172457,#68698,.T.); #215652=EDGE_CURVE('',#172458,#172458,#68699,.T.); #215653=EDGE_CURVE('',#172458,#172459,#100404,.T.); #215654=EDGE_CURVE('',#172459,#172459,#68700,.T.); #215655=EDGE_CURVE('',#172460,#172460,#68701,.T.); #215656=EDGE_CURVE('',#172460,#172461,#100405,.T.); #215657=EDGE_CURVE('',#172461,#172461,#68702,.T.); #215658=EDGE_CURVE('',#172462,#172463,#100406,.T.); #215659=EDGE_CURVE('',#172463,#172464,#100407,.T.); #215660=EDGE_CURVE('',#172465,#172464,#100408,.T.); #215661=EDGE_CURVE('',#172462,#172465,#100409,.T.); #215662=EDGE_CURVE('',#172466,#172462,#100410,.T.); #215663=EDGE_CURVE('',#172467,#172465,#100411,.T.); #215664=EDGE_CURVE('',#172466,#172467,#100412,.T.); #215665=EDGE_CURVE('',#172468,#172466,#100413,.T.); #215666=EDGE_CURVE('',#172469,#172467,#100414,.T.); #215667=EDGE_CURVE('',#172468,#172469,#100415,.T.); #215668=EDGE_CURVE('',#172470,#172468,#100416,.T.); #215669=EDGE_CURVE('',#172471,#172469,#100417,.T.); #215670=EDGE_CURVE('',#172470,#172471,#100418,.T.); #215671=EDGE_CURVE('',#172472,#172470,#100419,.T.); #215672=EDGE_CURVE('',#172473,#172471,#100420,.T.); #215673=EDGE_CURVE('',#172472,#172473,#100421,.T.); #215674=EDGE_CURVE('',#172474,#172472,#100422,.T.); #215675=EDGE_CURVE('',#172475,#172473,#100423,.T.); #215676=EDGE_CURVE('',#172474,#172475,#100424,.T.); #215677=EDGE_CURVE('',#172476,#172474,#100425,.T.); #215678=EDGE_CURVE('',#172477,#172475,#100426,.T.); #215679=EDGE_CURVE('',#172476,#172477,#100427,.T.); #215680=EDGE_CURVE('',#172478,#172476,#100428,.T.); #215681=EDGE_CURVE('',#172479,#172477,#100429,.T.); #215682=EDGE_CURVE('',#172478,#172479,#100430,.T.); #215683=EDGE_CURVE('',#172480,#172478,#100431,.T.); #215684=EDGE_CURVE('',#172481,#172479,#100432,.T.); #215685=EDGE_CURVE('',#172480,#172481,#100433,.T.); #215686=EDGE_CURVE('',#172482,#172480,#100434,.T.); #215687=EDGE_CURVE('',#172483,#172481,#100435,.T.); #215688=EDGE_CURVE('',#172482,#172483,#100436,.T.); #215689=EDGE_CURVE('',#172484,#172482,#100437,.T.); #215690=EDGE_CURVE('',#172485,#172483,#100438,.T.); #215691=EDGE_CURVE('',#172484,#172485,#100439,.T.); #215692=EDGE_CURVE('',#172486,#172484,#100440,.T.); #215693=EDGE_CURVE('',#172487,#172485,#100441,.T.); #215694=EDGE_CURVE('',#172486,#172487,#100442,.T.); #215695=EDGE_CURVE('',#172488,#172486,#100443,.T.); #215696=EDGE_CURVE('',#172489,#172487,#100444,.T.); #215697=EDGE_CURVE('',#172488,#172489,#100445,.T.); #215698=EDGE_CURVE('',#172490,#172488,#100446,.T.); #215699=EDGE_CURVE('',#172491,#172489,#100447,.T.); #215700=EDGE_CURVE('',#172490,#172491,#100448,.T.); #215701=EDGE_CURVE('',#172492,#172490,#100449,.T.); #215702=EDGE_CURVE('',#172493,#172491,#100450,.T.); #215703=EDGE_CURVE('',#172492,#172493,#100451,.T.); #215704=EDGE_CURVE('',#172494,#172492,#100452,.T.); #215705=EDGE_CURVE('',#172495,#172493,#100453,.T.); #215706=EDGE_CURVE('',#172494,#172495,#100454,.T.); #215707=EDGE_CURVE('',#172496,#172494,#100455,.T.); #215708=EDGE_CURVE('',#172497,#172495,#100456,.T.); #215709=EDGE_CURVE('',#172496,#172497,#100457,.T.); #215710=EDGE_CURVE('',#172498,#172496,#100458,.T.); #215711=EDGE_CURVE('',#172499,#172497,#100459,.T.); #215712=EDGE_CURVE('',#172498,#172499,#100460,.T.); #215713=EDGE_CURVE('',#172500,#172498,#100461,.T.); #215714=EDGE_CURVE('',#172501,#172499,#100462,.T.); #215715=EDGE_CURVE('',#172500,#172501,#100463,.T.); #215716=EDGE_CURVE('',#172502,#172500,#100464,.T.); #215717=EDGE_CURVE('',#172503,#172501,#100465,.T.); #215718=EDGE_CURVE('',#172502,#172503,#100466,.T.); #215719=EDGE_CURVE('',#172504,#172502,#100467,.T.); #215720=EDGE_CURVE('',#172505,#172503,#100468,.T.); #215721=EDGE_CURVE('',#172504,#172505,#100469,.T.); #215722=EDGE_CURVE('',#172506,#172504,#100470,.T.); #215723=EDGE_CURVE('',#172507,#172505,#100471,.T.); #215724=EDGE_CURVE('',#172506,#172507,#100472,.T.); #215725=EDGE_CURVE('',#172508,#172506,#100473,.T.); #215726=EDGE_CURVE('',#172509,#172507,#100474,.T.); #215727=EDGE_CURVE('',#172508,#172509,#100475,.T.); #215728=EDGE_CURVE('',#172510,#172508,#100476,.T.); #215729=EDGE_CURVE('',#172511,#172509,#100477,.T.); #215730=EDGE_CURVE('',#172510,#172511,#100478,.T.); #215731=EDGE_CURVE('',#172512,#172510,#100479,.T.); #215732=EDGE_CURVE('',#172513,#172511,#100480,.T.); #215733=EDGE_CURVE('',#172512,#172513,#100481,.T.); #215734=EDGE_CURVE('',#172514,#172512,#100482,.T.); #215735=EDGE_CURVE('',#172515,#172513,#100483,.T.); #215736=EDGE_CURVE('',#172514,#172515,#100484,.T.); #215737=EDGE_CURVE('',#172516,#172514,#100485,.T.); #215738=EDGE_CURVE('',#172517,#172515,#100486,.T.); #215739=EDGE_CURVE('',#172516,#172517,#100487,.T.); #215740=EDGE_CURVE('',#172518,#172516,#100488,.T.); #215741=EDGE_CURVE('',#172519,#172517,#100489,.T.); #215742=EDGE_CURVE('',#172518,#172519,#100490,.T.); #215743=EDGE_CURVE('',#172520,#172518,#100491,.T.); #215744=EDGE_CURVE('',#172521,#172519,#100492,.T.); #215745=EDGE_CURVE('',#172520,#172521,#100493,.T.); #215746=EDGE_CURVE('',#172522,#172520,#100494,.T.); #215747=EDGE_CURVE('',#172523,#172521,#100495,.T.); #215748=EDGE_CURVE('',#172522,#172523,#100496,.T.); #215749=EDGE_CURVE('',#172524,#172522,#100497,.T.); #215750=EDGE_CURVE('',#172525,#172523,#100498,.T.); #215751=EDGE_CURVE('',#172524,#172525,#100499,.T.); #215752=EDGE_CURVE('',#172526,#172524,#100500,.T.); #215753=EDGE_CURVE('',#172527,#172525,#100501,.T.); #215754=EDGE_CURVE('',#172526,#172527,#100502,.T.); #215755=EDGE_CURVE('',#172528,#172526,#100503,.T.); #215756=EDGE_CURVE('',#172529,#172527,#100504,.T.); #215757=EDGE_CURVE('',#172528,#172529,#100505,.T.); #215758=EDGE_CURVE('',#172530,#172528,#100506,.T.); #215759=EDGE_CURVE('',#172531,#172529,#100507,.T.); #215760=EDGE_CURVE('',#172530,#172531,#100508,.T.); #215761=EDGE_CURVE('',#172532,#172530,#100509,.T.); #215762=EDGE_CURVE('',#172533,#172531,#100510,.T.); #215763=EDGE_CURVE('',#172532,#172533,#100511,.T.); #215764=EDGE_CURVE('',#172534,#172532,#100512,.T.); #215765=EDGE_CURVE('',#172535,#172533,#100513,.T.); #215766=EDGE_CURVE('',#172534,#172535,#100514,.T.); #215767=EDGE_CURVE('',#172536,#172534,#100515,.T.); #215768=EDGE_CURVE('',#172537,#172535,#100516,.T.); #215769=EDGE_CURVE('',#172536,#172537,#100517,.T.); #215770=EDGE_CURVE('',#172538,#172536,#100518,.T.); #215771=EDGE_CURVE('',#172539,#172537,#100519,.T.); #215772=EDGE_CURVE('',#172538,#172539,#100520,.T.); #215773=EDGE_CURVE('',#172540,#172538,#100521,.T.); #215774=EDGE_CURVE('',#172541,#172539,#100522,.T.); #215775=EDGE_CURVE('',#172540,#172541,#100523,.T.); #215776=EDGE_CURVE('',#172542,#172540,#100524,.T.); #215777=EDGE_CURVE('',#172543,#172541,#100525,.T.); #215778=EDGE_CURVE('',#172542,#172543,#100526,.T.); #215779=EDGE_CURVE('',#172544,#172542,#100527,.T.); #215780=EDGE_CURVE('',#172545,#172543,#100528,.T.); #215781=EDGE_CURVE('',#172544,#172545,#100529,.T.); #215782=EDGE_CURVE('',#172546,#172544,#100530,.T.); #215783=EDGE_CURVE('',#172547,#172545,#100531,.T.); #215784=EDGE_CURVE('',#172546,#172547,#100532,.T.); #215785=EDGE_CURVE('',#172548,#172546,#100533,.T.); #215786=EDGE_CURVE('',#172549,#172547,#100534,.T.); #215787=EDGE_CURVE('',#172548,#172549,#100535,.T.); #215788=EDGE_CURVE('',#172550,#172548,#100536,.T.); #215789=EDGE_CURVE('',#172551,#172549,#100537,.T.); #215790=EDGE_CURVE('',#172550,#172551,#100538,.T.); #215791=EDGE_CURVE('',#172552,#172550,#100539,.T.); #215792=EDGE_CURVE('',#172553,#172551,#100540,.T.); #215793=EDGE_CURVE('',#172552,#172553,#100541,.T.); #215794=EDGE_CURVE('',#172554,#172552,#100542,.T.); #215795=EDGE_CURVE('',#172555,#172553,#100543,.T.); #215796=EDGE_CURVE('',#172554,#172555,#100544,.T.); #215797=EDGE_CURVE('',#172556,#172554,#100545,.T.); #215798=EDGE_CURVE('',#172557,#172555,#100546,.T.); #215799=EDGE_CURVE('',#172556,#172557,#100547,.T.); #215800=EDGE_CURVE('',#172558,#172556,#100548,.T.); #215801=EDGE_CURVE('',#172559,#172557,#100549,.T.); #215802=EDGE_CURVE('',#172558,#172559,#100550,.T.); #215803=EDGE_CURVE('',#172560,#172558,#100551,.T.); #215804=EDGE_CURVE('',#172561,#172559,#100552,.T.); #215805=EDGE_CURVE('',#172560,#172561,#100553,.T.); #215806=EDGE_CURVE('',#172562,#172560,#100554,.T.); #215807=EDGE_CURVE('',#172563,#172561,#100555,.T.); #215808=EDGE_CURVE('',#172562,#172563,#100556,.T.); #215809=EDGE_CURVE('',#172564,#172562,#100557,.T.); #215810=EDGE_CURVE('',#172565,#172563,#100558,.T.); #215811=EDGE_CURVE('',#172564,#172565,#100559,.T.); #215812=EDGE_CURVE('',#172566,#172564,#100560,.T.); #215813=EDGE_CURVE('',#172567,#172565,#100561,.T.); #215814=EDGE_CURVE('',#172566,#172567,#100562,.T.); #215815=EDGE_CURVE('',#172568,#172566,#100563,.T.); #215816=EDGE_CURVE('',#172569,#172567,#100564,.T.); #215817=EDGE_CURVE('',#172568,#172569,#100565,.T.); #215818=EDGE_CURVE('',#172570,#172568,#100566,.T.); #215819=EDGE_CURVE('',#172571,#172569,#100567,.T.); #215820=EDGE_CURVE('',#172570,#172571,#100568,.T.); #215821=EDGE_CURVE('',#172572,#172570,#100569,.T.); #215822=EDGE_CURVE('',#172573,#172571,#100570,.T.); #215823=EDGE_CURVE('',#172572,#172573,#100571,.T.); #215824=EDGE_CURVE('',#172574,#172572,#100572,.T.); #215825=EDGE_CURVE('',#172575,#172573,#100573,.T.); #215826=EDGE_CURVE('',#172574,#172575,#100574,.T.); #215827=EDGE_CURVE('',#172576,#172574,#100575,.T.); #215828=EDGE_CURVE('',#172577,#172575,#100576,.T.); #215829=EDGE_CURVE('',#172576,#172577,#100577,.T.); #215830=EDGE_CURVE('',#172578,#172576,#100578,.T.); #215831=EDGE_CURVE('',#172579,#172577,#100579,.T.); #215832=EDGE_CURVE('',#172578,#172579,#100580,.T.); #215833=EDGE_CURVE('',#172580,#172578,#100581,.T.); #215834=EDGE_CURVE('',#172581,#172579,#100582,.T.); #215835=EDGE_CURVE('',#172580,#172581,#100583,.T.); #215836=EDGE_CURVE('',#172582,#172580,#100584,.T.); #215837=EDGE_CURVE('',#172583,#172581,#100585,.T.); #215838=EDGE_CURVE('',#172582,#172583,#100586,.T.); #215839=EDGE_CURVE('',#172584,#172582,#100587,.T.); #215840=EDGE_CURVE('',#172585,#172583,#100588,.T.); #215841=EDGE_CURVE('',#172584,#172585,#100589,.T.); #215842=EDGE_CURVE('',#172586,#172584,#100590,.T.); #215843=EDGE_CURVE('',#172587,#172585,#100591,.T.); #215844=EDGE_CURVE('',#172586,#172587,#100592,.T.); #215845=EDGE_CURVE('',#172588,#172586,#100593,.T.); #215846=EDGE_CURVE('',#172589,#172587,#100594,.T.); #215847=EDGE_CURVE('',#172588,#172589,#100595,.T.); #215848=EDGE_CURVE('',#172590,#172588,#100596,.T.); #215849=EDGE_CURVE('',#172591,#172589,#100597,.T.); #215850=EDGE_CURVE('',#172590,#172591,#100598,.T.); #215851=EDGE_CURVE('',#172592,#172590,#100599,.T.); #215852=EDGE_CURVE('',#172593,#172591,#100600,.T.); #215853=EDGE_CURVE('',#172592,#172593,#100601,.T.); #215854=EDGE_CURVE('',#172594,#172592,#100602,.T.); #215855=EDGE_CURVE('',#172595,#172593,#100603,.T.); #215856=EDGE_CURVE('',#172594,#172595,#100604,.T.); #215857=EDGE_CURVE('',#172596,#172594,#100605,.T.); #215858=EDGE_CURVE('',#172597,#172595,#100606,.T.); #215859=EDGE_CURVE('',#172596,#172597,#100607,.T.); #215860=EDGE_CURVE('',#172598,#172596,#100608,.T.); #215861=EDGE_CURVE('',#172599,#172597,#100609,.T.); #215862=EDGE_CURVE('',#172598,#172599,#100610,.T.); #215863=EDGE_CURVE('',#172600,#172598,#100611,.T.); #215864=EDGE_CURVE('',#172601,#172599,#100612,.T.); #215865=EDGE_CURVE('',#172600,#172601,#100613,.T.); #215866=EDGE_CURVE('',#172463,#172600,#100614,.T.); #215867=EDGE_CURVE('',#172464,#172601,#100615,.T.); #215868=EDGE_CURVE('',#172602,#172602,#68703,.T.); #215869=EDGE_CURVE('',#172602,#172603,#100616,.T.); #215870=EDGE_CURVE('',#172603,#172603,#68704,.T.); #215871=EDGE_CURVE('',#172604,#172604,#68705,.T.); #215872=EDGE_CURVE('',#172604,#172605,#100617,.T.); #215873=EDGE_CURVE('',#172605,#172605,#68706,.T.); #215874=EDGE_CURVE('',#172606,#172606,#68707,.T.); #215875=EDGE_CURVE('',#172606,#172607,#100618,.T.); #215876=EDGE_CURVE('',#172607,#172607,#68708,.T.); #215877=EDGE_CURVE('',#172608,#172608,#68709,.T.); #215878=EDGE_CURVE('',#172608,#172609,#100619,.T.); #215879=EDGE_CURVE('',#172609,#172609,#68710,.T.); #215880=EDGE_CURVE('',#172610,#172610,#68711,.T.); #215881=EDGE_CURVE('',#172610,#172611,#100620,.T.); #215882=EDGE_CURVE('',#172611,#172611,#68712,.T.); #215883=EDGE_CURVE('',#172612,#172612,#68713,.T.); #215884=EDGE_CURVE('',#172612,#172613,#100621,.T.); #215885=EDGE_CURVE('',#172613,#172613,#68714,.T.); #215886=EDGE_CURVE('',#172614,#172614,#68715,.T.); #215887=EDGE_CURVE('',#172614,#172615,#100622,.T.); #215888=EDGE_CURVE('',#172615,#172615,#68716,.T.); #215889=EDGE_CURVE('',#172616,#172616,#68717,.T.); #215890=EDGE_CURVE('',#172616,#172617,#100623,.T.); #215891=EDGE_CURVE('',#172617,#172617,#68718,.T.); #215892=EDGE_CURVE('',#172618,#172618,#68719,.T.); #215893=EDGE_CURVE('',#172618,#172619,#100624,.T.); #215894=EDGE_CURVE('',#172619,#172619,#68720,.T.); #215895=EDGE_CURVE('',#172620,#172620,#68721,.T.); #215896=EDGE_CURVE('',#172620,#172621,#100625,.T.); #215897=EDGE_CURVE('',#172621,#172621,#68722,.T.); #215898=EDGE_CURVE('',#172622,#172622,#68723,.T.); #215899=EDGE_CURVE('',#172622,#172623,#100626,.T.); #215900=EDGE_CURVE('',#172623,#172623,#68724,.T.); #215901=EDGE_CURVE('',#172624,#172624,#68725,.T.); #215902=EDGE_CURVE('',#172624,#172625,#100627,.T.); #215903=EDGE_CURVE('',#172625,#172625,#68726,.T.); #215904=EDGE_CURVE('',#172626,#172626,#68727,.T.); #215905=EDGE_CURVE('',#172626,#172627,#100628,.T.); #215906=EDGE_CURVE('',#172627,#172627,#68728,.T.); #215907=EDGE_CURVE('',#172628,#172628,#68729,.T.); #215908=EDGE_CURVE('',#172628,#172629,#100629,.T.); #215909=EDGE_CURVE('',#172629,#172629,#68730,.T.); #215910=EDGE_CURVE('',#172630,#172630,#68731,.T.); #215911=EDGE_CURVE('',#172630,#172631,#100630,.T.); #215912=EDGE_CURVE('',#172631,#172631,#68732,.T.); #215913=EDGE_CURVE('',#172632,#172632,#68733,.T.); #215914=EDGE_CURVE('',#172632,#172633,#100631,.T.); #215915=EDGE_CURVE('',#172633,#172633,#68734,.T.); #215916=EDGE_CURVE('',#172634,#172634,#68735,.T.); #215917=EDGE_CURVE('',#172634,#172635,#100632,.T.); #215918=EDGE_CURVE('',#172635,#172635,#68736,.T.); #215919=EDGE_CURVE('',#172636,#172636,#68737,.T.); #215920=EDGE_CURVE('',#172636,#172637,#100633,.T.); #215921=EDGE_CURVE('',#172637,#172637,#68738,.T.); #215922=EDGE_CURVE('',#172638,#172638,#68739,.T.); #215923=EDGE_CURVE('',#172638,#172639,#100634,.T.); #215924=EDGE_CURVE('',#172639,#172639,#68740,.T.); #215925=EDGE_CURVE('',#172640,#172640,#68741,.T.); #215926=EDGE_CURVE('',#172640,#172641,#100635,.T.); #215927=EDGE_CURVE('',#172641,#172641,#68742,.T.); #215928=EDGE_CURVE('',#172642,#172642,#68743,.T.); #215929=EDGE_CURVE('',#172642,#172643,#100636,.T.); #215930=EDGE_CURVE('',#172643,#172643,#68744,.T.); #215931=EDGE_CURVE('',#172644,#172644,#68745,.T.); #215932=EDGE_CURVE('',#172644,#172645,#100637,.T.); #215933=EDGE_CURVE('',#172645,#172645,#68746,.T.); #215934=EDGE_CURVE('',#172646,#172646,#68747,.T.); #215935=EDGE_CURVE('',#172646,#172647,#100638,.T.); #215936=EDGE_CURVE('',#172647,#172647,#68748,.T.); #215937=EDGE_CURVE('',#172648,#172648,#68749,.T.); #215938=EDGE_CURVE('',#172648,#172649,#100639,.T.); #215939=EDGE_CURVE('',#172649,#172649,#68750,.T.); #215940=EDGE_CURVE('',#172650,#172650,#68751,.T.); #215941=EDGE_CURVE('',#172650,#172651,#100640,.T.); #215942=EDGE_CURVE('',#172651,#172651,#68752,.T.); #215943=EDGE_CURVE('',#172652,#172652,#68753,.T.); #215944=EDGE_CURVE('',#172652,#172653,#100641,.T.); #215945=EDGE_CURVE('',#172653,#172653,#68754,.T.); #215946=EDGE_CURVE('',#172654,#172654,#68755,.T.); #215947=EDGE_CURVE('',#172654,#172655,#100642,.T.); #215948=EDGE_CURVE('',#172655,#172655,#68756,.T.); #215949=EDGE_CURVE('',#172656,#172656,#68757,.T.); #215950=EDGE_CURVE('',#172656,#172657,#100643,.T.); #215951=EDGE_CURVE('',#172657,#172657,#68758,.T.); #215952=EDGE_CURVE('',#172658,#172658,#68759,.T.); #215953=EDGE_CURVE('',#172658,#172659,#100644,.T.); #215954=EDGE_CURVE('',#172659,#172659,#68760,.T.); #215955=EDGE_CURVE('',#172660,#172660,#68761,.T.); #215956=EDGE_CURVE('',#172660,#172661,#100645,.T.); #215957=EDGE_CURVE('',#172661,#172661,#68762,.T.); #215958=EDGE_CURVE('',#172662,#172663,#100646,.T.); #215959=EDGE_CURVE('',#172663,#172664,#100647,.T.); #215960=EDGE_CURVE('',#172665,#172664,#100648,.T.); #215961=EDGE_CURVE('',#172662,#172665,#100649,.T.); #215962=EDGE_CURVE('',#172666,#172662,#100650,.T.); #215963=EDGE_CURVE('',#172667,#172665,#100651,.T.); #215964=EDGE_CURVE('',#172666,#172667,#100652,.T.); #215965=EDGE_CURVE('',#172668,#172666,#100653,.T.); #215966=EDGE_CURVE('',#172669,#172667,#100654,.T.); #215967=EDGE_CURVE('',#172668,#172669,#100655,.T.); #215968=EDGE_CURVE('',#172670,#172668,#100656,.T.); #215969=EDGE_CURVE('',#172671,#172669,#100657,.T.); #215970=EDGE_CURVE('',#172670,#172671,#100658,.T.); #215971=EDGE_CURVE('',#172672,#172670,#100659,.T.); #215972=EDGE_CURVE('',#172673,#172671,#100660,.T.); #215973=EDGE_CURVE('',#172672,#172673,#100661,.T.); #215974=EDGE_CURVE('',#172674,#172672,#100662,.T.); #215975=EDGE_CURVE('',#172675,#172673,#100663,.T.); #215976=EDGE_CURVE('',#172674,#172675,#100664,.T.); #215977=EDGE_CURVE('',#172676,#172674,#100665,.T.); #215978=EDGE_CURVE('',#172677,#172675,#100666,.T.); #215979=EDGE_CURVE('',#172676,#172677,#100667,.T.); #215980=EDGE_CURVE('',#172678,#172676,#100668,.T.); #215981=EDGE_CURVE('',#172679,#172677,#100669,.T.); #215982=EDGE_CURVE('',#172678,#172679,#100670,.T.); #215983=EDGE_CURVE('',#172680,#172678,#100671,.T.); #215984=EDGE_CURVE('',#172681,#172679,#100672,.T.); #215985=EDGE_CURVE('',#172680,#172681,#100673,.T.); #215986=EDGE_CURVE('',#172682,#172680,#100674,.T.); #215987=EDGE_CURVE('',#172683,#172681,#100675,.T.); #215988=EDGE_CURVE('',#172682,#172683,#100676,.T.); #215989=EDGE_CURVE('',#172684,#172682,#100677,.T.); #215990=EDGE_CURVE('',#172685,#172683,#100678,.T.); #215991=EDGE_CURVE('',#172684,#172685,#100679,.T.); #215992=EDGE_CURVE('',#172686,#172684,#100680,.T.); #215993=EDGE_CURVE('',#172687,#172685,#100681,.T.); #215994=EDGE_CURVE('',#172686,#172687,#100682,.T.); #215995=EDGE_CURVE('',#172688,#172686,#100683,.T.); #215996=EDGE_CURVE('',#172689,#172687,#100684,.T.); #215997=EDGE_CURVE('',#172688,#172689,#100685,.T.); #215998=EDGE_CURVE('',#172690,#172688,#100686,.T.); #215999=EDGE_CURVE('',#172691,#172689,#100687,.T.); #216000=EDGE_CURVE('',#172690,#172691,#100688,.T.); #216001=EDGE_CURVE('',#172692,#172690,#100689,.T.); #216002=EDGE_CURVE('',#172693,#172691,#100690,.T.); #216003=EDGE_CURVE('',#172692,#172693,#100691,.T.); #216004=EDGE_CURVE('',#172694,#172692,#100692,.T.); #216005=EDGE_CURVE('',#172695,#172693,#100693,.T.); #216006=EDGE_CURVE('',#172694,#172695,#100694,.T.); #216007=EDGE_CURVE('',#172696,#172694,#100695,.T.); #216008=EDGE_CURVE('',#172697,#172695,#100696,.T.); #216009=EDGE_CURVE('',#172696,#172697,#100697,.T.); #216010=EDGE_CURVE('',#172698,#172696,#100698,.T.); #216011=EDGE_CURVE('',#172699,#172697,#100699,.T.); #216012=EDGE_CURVE('',#172698,#172699,#100700,.T.); #216013=EDGE_CURVE('',#172700,#172698,#100701,.T.); #216014=EDGE_CURVE('',#172701,#172699,#100702,.T.); #216015=EDGE_CURVE('',#172700,#172701,#100703,.T.); #216016=EDGE_CURVE('',#172702,#172700,#100704,.T.); #216017=EDGE_CURVE('',#172703,#172701,#100705,.T.); #216018=EDGE_CURVE('',#172702,#172703,#100706,.T.); #216019=EDGE_CURVE('',#172704,#172702,#100707,.T.); #216020=EDGE_CURVE('',#172705,#172703,#100708,.T.); #216021=EDGE_CURVE('',#172704,#172705,#100709,.T.); #216022=EDGE_CURVE('',#172706,#172704,#100710,.T.); #216023=EDGE_CURVE('',#172707,#172705,#100711,.T.); #216024=EDGE_CURVE('',#172706,#172707,#100712,.T.); #216025=EDGE_CURVE('',#172708,#172706,#100713,.T.); #216026=EDGE_CURVE('',#172709,#172707,#100714,.T.); #216027=EDGE_CURVE('',#172708,#172709,#100715,.T.); #216028=EDGE_CURVE('',#172710,#172708,#100716,.T.); #216029=EDGE_CURVE('',#172711,#172709,#100717,.T.); #216030=EDGE_CURVE('',#172710,#172711,#100718,.T.); #216031=EDGE_CURVE('',#172712,#172710,#100719,.T.); #216032=EDGE_CURVE('',#172713,#172711,#100720,.T.); #216033=EDGE_CURVE('',#172712,#172713,#100721,.T.); #216034=EDGE_CURVE('',#172714,#172712,#100722,.T.); #216035=EDGE_CURVE('',#172715,#172713,#100723,.T.); #216036=EDGE_CURVE('',#172714,#172715,#100724,.T.); #216037=EDGE_CURVE('',#172716,#172714,#100725,.T.); #216038=EDGE_CURVE('',#172717,#172715,#100726,.T.); #216039=EDGE_CURVE('',#172716,#172717,#100727,.T.); #216040=EDGE_CURVE('',#172718,#172716,#100728,.T.); #216041=EDGE_CURVE('',#172719,#172717,#100729,.T.); #216042=EDGE_CURVE('',#172718,#172719,#100730,.T.); #216043=EDGE_CURVE('',#172720,#172718,#100731,.T.); #216044=EDGE_CURVE('',#172721,#172719,#100732,.T.); #216045=EDGE_CURVE('',#172720,#172721,#100733,.T.); #216046=EDGE_CURVE('',#172722,#172720,#100734,.T.); #216047=EDGE_CURVE('',#172723,#172721,#100735,.T.); #216048=EDGE_CURVE('',#172722,#172723,#100736,.T.); #216049=EDGE_CURVE('',#172724,#172722,#100737,.T.); #216050=EDGE_CURVE('',#172725,#172723,#100738,.T.); #216051=EDGE_CURVE('',#172724,#172725,#100739,.T.); #216052=EDGE_CURVE('',#172726,#172724,#100740,.T.); #216053=EDGE_CURVE('',#172727,#172725,#100741,.T.); #216054=EDGE_CURVE('',#172726,#172727,#100742,.T.); #216055=EDGE_CURVE('',#172728,#172726,#100743,.T.); #216056=EDGE_CURVE('',#172729,#172727,#100744,.T.); #216057=EDGE_CURVE('',#172728,#172729,#100745,.T.); #216058=EDGE_CURVE('',#172730,#172728,#100746,.T.); #216059=EDGE_CURVE('',#172731,#172729,#100747,.T.); #216060=EDGE_CURVE('',#172730,#172731,#100748,.T.); #216061=EDGE_CURVE('',#172732,#172730,#100749,.T.); #216062=EDGE_CURVE('',#172733,#172731,#100750,.T.); #216063=EDGE_CURVE('',#172732,#172733,#100751,.T.); #216064=EDGE_CURVE('',#172734,#172732,#100752,.T.); #216065=EDGE_CURVE('',#172735,#172733,#100753,.T.); #216066=EDGE_CURVE('',#172734,#172735,#100754,.T.); #216067=EDGE_CURVE('',#172736,#172734,#100755,.T.); #216068=EDGE_CURVE('',#172737,#172735,#100756,.T.); #216069=EDGE_CURVE('',#172736,#172737,#100757,.T.); #216070=EDGE_CURVE('',#172738,#172736,#100758,.T.); #216071=EDGE_CURVE('',#172739,#172737,#100759,.T.); #216072=EDGE_CURVE('',#172738,#172739,#100760,.T.); #216073=EDGE_CURVE('',#172740,#172738,#100761,.T.); #216074=EDGE_CURVE('',#172741,#172739,#100762,.T.); #216075=EDGE_CURVE('',#172740,#172741,#100763,.T.); #216076=EDGE_CURVE('',#172742,#172740,#100764,.T.); #216077=EDGE_CURVE('',#172743,#172741,#100765,.T.); #216078=EDGE_CURVE('',#172742,#172743,#100766,.T.); #216079=EDGE_CURVE('',#172744,#172742,#100767,.T.); #216080=EDGE_CURVE('',#172745,#172743,#100768,.T.); #216081=EDGE_CURVE('',#172744,#172745,#100769,.T.); #216082=EDGE_CURVE('',#172746,#172744,#100770,.T.); #216083=EDGE_CURVE('',#172747,#172745,#100771,.T.); #216084=EDGE_CURVE('',#172746,#172747,#100772,.T.); #216085=EDGE_CURVE('',#172748,#172746,#100773,.T.); #216086=EDGE_CURVE('',#172749,#172747,#100774,.T.); #216087=EDGE_CURVE('',#172748,#172749,#100775,.T.); #216088=EDGE_CURVE('',#172750,#172748,#100776,.T.); #216089=EDGE_CURVE('',#172751,#172749,#100777,.T.); #216090=EDGE_CURVE('',#172750,#172751,#100778,.T.); #216091=EDGE_CURVE('',#172663,#172750,#100779,.T.); #216092=EDGE_CURVE('',#172664,#172751,#100780,.T.); #216093=EDGE_CURVE('',#172752,#172752,#68763,.T.); #216094=EDGE_CURVE('',#172752,#172753,#100781,.T.); #216095=EDGE_CURVE('',#172753,#172753,#68764,.T.); #216096=EDGE_CURVE('',#172754,#172754,#68765,.T.); #216097=EDGE_CURVE('',#172754,#172755,#100782,.T.); #216098=EDGE_CURVE('',#172755,#172755,#68766,.T.); #216099=EDGE_CURVE('',#172756,#172757,#100783,.T.); #216100=EDGE_CURVE('',#172757,#172758,#100784,.T.); #216101=EDGE_CURVE('',#172759,#172758,#100785,.T.); #216102=EDGE_CURVE('',#172756,#172759,#100786,.T.); #216103=EDGE_CURVE('',#172760,#172756,#68767,.T.); #216104=EDGE_CURVE('',#172761,#172759,#68768,.T.); #216105=EDGE_CURVE('',#172760,#172761,#100787,.T.); #216106=EDGE_CURVE('',#172762,#172760,#100788,.T.); #216107=EDGE_CURVE('',#172763,#172761,#100789,.T.); #216108=EDGE_CURVE('',#172762,#172763,#100790,.T.); #216109=EDGE_CURVE('',#172764,#172762,#68769,.T.); #216110=EDGE_CURVE('',#172765,#172763,#68770,.T.); #216111=EDGE_CURVE('',#172764,#172765,#100791,.T.); #216112=EDGE_CURVE('',#172766,#172764,#68771,.T.); #216113=EDGE_CURVE('',#172767,#172765,#68772,.T.); #216114=EDGE_CURVE('',#172766,#172767,#100792,.T.); #216115=EDGE_CURVE('',#172768,#172766,#100793,.T.); #216116=EDGE_CURVE('',#172769,#172767,#100794,.T.); #216117=EDGE_CURVE('',#172768,#172769,#100795,.T.); #216118=EDGE_CURVE('',#172757,#172768,#68773,.T.); #216119=EDGE_CURVE('',#172758,#172769,#68774,.T.); #216120=EDGE_CURVE('',#172770,#172770,#68775,.T.); #216121=EDGE_CURVE('',#172770,#172771,#100796,.T.); #216122=EDGE_CURVE('',#172771,#172771,#68776,.T.); #216123=EDGE_CURVE('',#172772,#172772,#68777,.T.); #216124=EDGE_CURVE('',#172772,#172773,#100797,.T.); #216125=EDGE_CURVE('',#172773,#172773,#68778,.T.); #216126=EDGE_CURVE('',#172774,#172774,#68779,.T.); #216127=EDGE_CURVE('',#172774,#172775,#100798,.T.); #216128=EDGE_CURVE('',#172775,#172775,#68780,.T.); #216129=EDGE_CURVE('',#172776,#172776,#68781,.T.); #216130=EDGE_CURVE('',#172776,#172777,#100799,.T.); #216131=EDGE_CURVE('',#172777,#172777,#68782,.T.); #216132=EDGE_CURVE('',#172778,#172778,#68783,.T.); #216133=EDGE_CURVE('',#172778,#172779,#100800,.T.); #216134=EDGE_CURVE('',#172779,#172779,#68784,.T.); #216135=EDGE_CURVE('',#172780,#172780,#68785,.T.); #216136=EDGE_CURVE('',#172780,#172781,#100801,.T.); #216137=EDGE_CURVE('',#172781,#172781,#68786,.T.); #216138=EDGE_CURVE('',#172782,#172782,#68787,.T.); #216139=EDGE_CURVE('',#172782,#172783,#100802,.T.); #216140=EDGE_CURVE('',#172783,#172783,#68788,.T.); #216141=EDGE_CURVE('',#172784,#172784,#68789,.T.); #216142=EDGE_CURVE('',#172784,#172785,#100803,.T.); #216143=EDGE_CURVE('',#172785,#172785,#68790,.T.); #216144=EDGE_CURVE('',#172786,#172786,#68791,.T.); #216145=EDGE_CURVE('',#172786,#172787,#100804,.T.); #216146=EDGE_CURVE('',#172787,#172787,#68792,.T.); #216147=EDGE_CURVE('',#172788,#172788,#68793,.T.); #216148=EDGE_CURVE('',#172788,#172789,#100805,.T.); #216149=EDGE_CURVE('',#172789,#172789,#68794,.T.); #216150=EDGE_CURVE('',#172790,#172791,#100806,.T.); #216151=EDGE_CURVE('',#172791,#172792,#100807,.T.); #216152=EDGE_CURVE('',#172793,#172792,#100808,.T.); #216153=EDGE_CURVE('',#172790,#172793,#100809,.T.); #216154=EDGE_CURVE('',#172794,#172790,#100810,.T.); #216155=EDGE_CURVE('',#172795,#172793,#100811,.T.); #216156=EDGE_CURVE('',#172794,#172795,#100812,.T.); #216157=EDGE_CURVE('',#172796,#172794,#100813,.T.); #216158=EDGE_CURVE('',#172797,#172795,#100814,.T.); #216159=EDGE_CURVE('',#172796,#172797,#100815,.T.); #216160=EDGE_CURVE('',#172798,#172796,#100816,.T.); #216161=EDGE_CURVE('',#172799,#172797,#100817,.T.); #216162=EDGE_CURVE('',#172798,#172799,#100818,.T.); #216163=EDGE_CURVE('',#172800,#172798,#100819,.T.); #216164=EDGE_CURVE('',#172801,#172799,#100820,.T.); #216165=EDGE_CURVE('',#172800,#172801,#100821,.T.); #216166=EDGE_CURVE('',#172802,#172800,#100822,.T.); #216167=EDGE_CURVE('',#172803,#172801,#100823,.T.); #216168=EDGE_CURVE('',#172802,#172803,#100824,.T.); #216169=EDGE_CURVE('',#172804,#172802,#100825,.T.); #216170=EDGE_CURVE('',#172805,#172803,#100826,.T.); #216171=EDGE_CURVE('',#172804,#172805,#100827,.T.); #216172=EDGE_CURVE('',#172806,#172804,#100828,.T.); #216173=EDGE_CURVE('',#172807,#172805,#100829,.T.); #216174=EDGE_CURVE('',#172806,#172807,#100830,.T.); #216175=EDGE_CURVE('',#172808,#172806,#100831,.T.); #216176=EDGE_CURVE('',#172809,#172807,#100832,.T.); #216177=EDGE_CURVE('',#172808,#172809,#100833,.T.); #216178=EDGE_CURVE('',#172810,#172808,#100834,.T.); #216179=EDGE_CURVE('',#172811,#172809,#100835,.T.); #216180=EDGE_CURVE('',#172810,#172811,#100836,.T.); #216181=EDGE_CURVE('',#172812,#172810,#100837,.T.); #216182=EDGE_CURVE('',#172813,#172811,#100838,.T.); #216183=EDGE_CURVE('',#172812,#172813,#100839,.T.); #216184=EDGE_CURVE('',#172814,#172812,#100840,.T.); #216185=EDGE_CURVE('',#172815,#172813,#100841,.T.); #216186=EDGE_CURVE('',#172814,#172815,#100842,.T.); #216187=EDGE_CURVE('',#172816,#172814,#100843,.T.); #216188=EDGE_CURVE('',#172817,#172815,#100844,.T.); #216189=EDGE_CURVE('',#172816,#172817,#100845,.T.); #216190=EDGE_CURVE('',#172818,#172816,#100846,.T.); #216191=EDGE_CURVE('',#172819,#172817,#100847,.T.); #216192=EDGE_CURVE('',#172818,#172819,#100848,.T.); #216193=EDGE_CURVE('',#172820,#172818,#100849,.T.); #216194=EDGE_CURVE('',#172821,#172819,#100850,.T.); #216195=EDGE_CURVE('',#172820,#172821,#100851,.T.); #216196=EDGE_CURVE('',#172822,#172820,#100852,.T.); #216197=EDGE_CURVE('',#172823,#172821,#100853,.T.); #216198=EDGE_CURVE('',#172822,#172823,#100854,.T.); #216199=EDGE_CURVE('',#172824,#172822,#100855,.T.); #216200=EDGE_CURVE('',#172825,#172823,#100856,.T.); #216201=EDGE_CURVE('',#172824,#172825,#100857,.T.); #216202=EDGE_CURVE('',#172826,#172824,#100858,.T.); #216203=EDGE_CURVE('',#172827,#172825,#100859,.T.); #216204=EDGE_CURVE('',#172826,#172827,#100860,.T.); #216205=EDGE_CURVE('',#172828,#172826,#100861,.T.); #216206=EDGE_CURVE('',#172829,#172827,#100862,.T.); #216207=EDGE_CURVE('',#172828,#172829,#100863,.T.); #216208=EDGE_CURVE('',#172830,#172828,#100864,.T.); #216209=EDGE_CURVE('',#172831,#172829,#100865,.T.); #216210=EDGE_CURVE('',#172830,#172831,#100866,.T.); #216211=EDGE_CURVE('',#172832,#172830,#100867,.T.); #216212=EDGE_CURVE('',#172833,#172831,#100868,.T.); #216213=EDGE_CURVE('',#172832,#172833,#100869,.T.); #216214=EDGE_CURVE('',#172834,#172832,#100870,.T.); #216215=EDGE_CURVE('',#172835,#172833,#100871,.T.); #216216=EDGE_CURVE('',#172834,#172835,#100872,.T.); #216217=EDGE_CURVE('',#172836,#172834,#100873,.T.); #216218=EDGE_CURVE('',#172837,#172835,#100874,.T.); #216219=EDGE_CURVE('',#172836,#172837,#100875,.T.); #216220=EDGE_CURVE('',#172791,#172836,#100876,.T.); #216221=EDGE_CURVE('',#172792,#172837,#100877,.T.); #216222=EDGE_CURVE('',#172838,#172838,#68795,.T.); #216223=EDGE_CURVE('',#172838,#172839,#100878,.T.); #216224=EDGE_CURVE('',#172839,#172839,#68796,.T.); #216225=EDGE_CURVE('',#172840,#172841,#100879,.T.); #216226=EDGE_CURVE('',#172841,#172842,#100880,.T.); #216227=EDGE_CURVE('',#172843,#172842,#100881,.T.); #216228=EDGE_CURVE('',#172840,#172843,#100882,.T.); #216229=EDGE_CURVE('',#172844,#172840,#68797,.T.); #216230=EDGE_CURVE('',#172845,#172843,#68798,.T.); #216231=EDGE_CURVE('',#172844,#172845,#100883,.T.); #216232=EDGE_CURVE('',#172841,#172844,#68799,.T.); #216233=EDGE_CURVE('',#172842,#172845,#68800,.T.); #216234=EDGE_CURVE('',#172846,#172846,#68801,.T.); #216235=EDGE_CURVE('',#172846,#172847,#100884,.T.); #216236=EDGE_CURVE('',#172847,#172847,#68802,.T.); #216237=EDGE_CURVE('',#172848,#172848,#68803,.T.); #216238=EDGE_CURVE('',#172848,#172849,#100885,.T.); #216239=EDGE_CURVE('',#172849,#172849,#68804,.T.); #216240=EDGE_CURVE('',#172850,#172850,#68805,.T.); #216241=EDGE_CURVE('',#172850,#172851,#100886,.T.); #216242=EDGE_CURVE('',#172851,#172851,#68806,.T.); #216243=EDGE_CURVE('',#172852,#172852,#68807,.T.); #216244=EDGE_CURVE('',#172852,#172853,#100887,.T.); #216245=EDGE_CURVE('',#172853,#172853,#68808,.T.); #216246=EDGE_CURVE('',#172854,#172854,#68809,.T.); #216247=EDGE_CURVE('',#172854,#172855,#100888,.T.); #216248=EDGE_CURVE('',#172855,#172855,#68810,.T.); #216249=EDGE_CURVE('',#172856,#172856,#68811,.T.); #216250=EDGE_CURVE('',#172856,#172857,#100889,.T.); #216251=EDGE_CURVE('',#172857,#172857,#68812,.T.); #216252=EDGE_CURVE('',#172858,#172858,#68813,.T.); #216253=EDGE_CURVE('',#172858,#172859,#100890,.T.); #216254=EDGE_CURVE('',#172859,#172859,#68814,.T.); #216255=EDGE_CURVE('',#172860,#172860,#68815,.T.); #216256=EDGE_CURVE('',#172860,#172861,#100891,.T.); #216257=EDGE_CURVE('',#172861,#172861,#68816,.T.); #216258=EDGE_CURVE('',#172862,#172862,#68817,.T.); #216259=EDGE_CURVE('',#172862,#172863,#100892,.T.); #216260=EDGE_CURVE('',#172863,#172863,#68818,.T.); #216261=EDGE_CURVE('',#172864,#172864,#68819,.T.); #216262=EDGE_CURVE('',#172864,#172865,#100893,.T.); #216263=EDGE_CURVE('',#172865,#172865,#68820,.T.); #216264=EDGE_CURVE('',#172866,#172866,#68821,.T.); #216265=EDGE_CURVE('',#172866,#172867,#100894,.T.); #216266=EDGE_CURVE('',#172867,#172867,#68822,.T.); #216267=EDGE_CURVE('',#172868,#172868,#68823,.T.); #216268=EDGE_CURVE('',#172868,#172869,#100895,.T.); #216269=EDGE_CURVE('',#172869,#172869,#68824,.T.); #216270=EDGE_CURVE('',#172870,#172870,#68825,.T.); #216271=EDGE_CURVE('',#172870,#172871,#100896,.T.); #216272=EDGE_CURVE('',#172871,#172871,#68826,.T.); #216273=EDGE_CURVE('',#172872,#172872,#68827,.T.); #216274=EDGE_CURVE('',#172872,#172873,#100897,.T.); #216275=EDGE_CURVE('',#172873,#172873,#68828,.T.); #216276=EDGE_CURVE('',#172874,#172874,#68829,.T.); #216277=EDGE_CURVE('',#172874,#172875,#100898,.T.); #216278=EDGE_CURVE('',#172875,#172875,#68830,.T.); #216279=EDGE_CURVE('',#172876,#172876,#68831,.T.); #216280=EDGE_CURVE('',#172876,#172877,#100899,.T.); #216281=EDGE_CURVE('',#172877,#172877,#68832,.T.); #216282=EDGE_CURVE('',#172878,#172878,#68833,.T.); #216283=EDGE_CURVE('',#172878,#172879,#100900,.T.); #216284=EDGE_CURVE('',#172879,#172879,#68834,.T.); #216285=EDGE_CURVE('',#172880,#172880,#68835,.T.); #216286=EDGE_CURVE('',#172880,#172881,#100901,.T.); #216287=EDGE_CURVE('',#172881,#172881,#68836,.T.); #216288=EDGE_CURVE('',#172882,#172882,#68837,.T.); #216289=EDGE_CURVE('',#172882,#172883,#100902,.T.); #216290=EDGE_CURVE('',#172883,#172883,#68838,.T.); #216291=EDGE_CURVE('',#172884,#172884,#68839,.T.); #216292=EDGE_CURVE('',#172884,#172885,#100903,.T.); #216293=EDGE_CURVE('',#172885,#172885,#68840,.T.); #216294=EDGE_CURVE('',#172886,#172886,#68841,.T.); #216295=EDGE_CURVE('',#172886,#172887,#100904,.T.); #216296=EDGE_CURVE('',#172887,#172887,#68842,.T.); #216297=EDGE_CURVE('',#172888,#172888,#68843,.T.); #216298=EDGE_CURVE('',#172888,#172889,#100905,.T.); #216299=EDGE_CURVE('',#172889,#172889,#68844,.T.); #216300=EDGE_CURVE('',#172890,#172890,#68845,.T.); #216301=EDGE_CURVE('',#172890,#172891,#100906,.T.); #216302=EDGE_CURVE('',#172891,#172891,#68846,.T.); #216303=EDGE_CURVE('',#172892,#172892,#68847,.T.); #216304=EDGE_CURVE('',#172892,#172893,#100907,.T.); #216305=EDGE_CURVE('',#172893,#172893,#68848,.T.); #216306=EDGE_CURVE('',#172894,#172894,#68849,.T.); #216307=EDGE_CURVE('',#172894,#172895,#100908,.T.); #216308=EDGE_CURVE('',#172895,#172895,#68850,.T.); #216309=EDGE_CURVE('',#172896,#172896,#68851,.T.); #216310=EDGE_CURVE('',#172896,#172897,#100909,.T.); #216311=EDGE_CURVE('',#172897,#172897,#68852,.T.); #216312=EDGE_CURVE('',#172898,#172898,#68853,.T.); #216313=EDGE_CURVE('',#172898,#172899,#100910,.T.); #216314=EDGE_CURVE('',#172899,#172899,#68854,.T.); #216315=EDGE_CURVE('',#172900,#172900,#68855,.T.); #216316=EDGE_CURVE('',#172900,#172901,#100911,.T.); #216317=EDGE_CURVE('',#172901,#172901,#68856,.T.); #216318=EDGE_CURVE('',#172902,#172902,#68857,.T.); #216319=EDGE_CURVE('',#172902,#172903,#100912,.T.); #216320=EDGE_CURVE('',#172903,#172903,#68858,.T.); #216321=EDGE_CURVE('',#172904,#172904,#68859,.T.); #216322=EDGE_CURVE('',#172904,#172905,#100913,.T.); #216323=EDGE_CURVE('',#172905,#172905,#68860,.T.); #216324=EDGE_CURVE('',#172906,#172907,#100914,.T.); #216325=EDGE_CURVE('',#172907,#172908,#100915,.T.); #216326=EDGE_CURVE('',#172909,#172908,#100916,.T.); #216327=EDGE_CURVE('',#172906,#172909,#100917,.T.); #216328=EDGE_CURVE('',#172910,#172906,#100918,.T.); #216329=EDGE_CURVE('',#172911,#172909,#100919,.T.); #216330=EDGE_CURVE('',#172910,#172911,#100920,.T.); #216331=EDGE_CURVE('',#172912,#172910,#100921,.T.); #216332=EDGE_CURVE('',#172913,#172911,#100922,.T.); #216333=EDGE_CURVE('',#172912,#172913,#100923,.T.); #216334=EDGE_CURVE('',#172914,#172912,#100924,.T.); #216335=EDGE_CURVE('',#172915,#172913,#100925,.T.); #216336=EDGE_CURVE('',#172914,#172915,#100926,.T.); #216337=EDGE_CURVE('',#172916,#172914,#100927,.T.); #216338=EDGE_CURVE('',#172917,#172915,#100928,.T.); #216339=EDGE_CURVE('',#172916,#172917,#100929,.T.); #216340=EDGE_CURVE('',#172918,#172916,#100930,.T.); #216341=EDGE_CURVE('',#172919,#172917,#100931,.T.); #216342=EDGE_CURVE('',#172918,#172919,#100932,.T.); #216343=EDGE_CURVE('',#172920,#172918,#100933,.T.); #216344=EDGE_CURVE('',#172921,#172919,#100934,.T.); #216345=EDGE_CURVE('',#172920,#172921,#100935,.T.); #216346=EDGE_CURVE('',#172922,#172920,#100936,.T.); #216347=EDGE_CURVE('',#172923,#172921,#100937,.T.); #216348=EDGE_CURVE('',#172922,#172923,#100938,.T.); #216349=EDGE_CURVE('',#172924,#172922,#100939,.T.); #216350=EDGE_CURVE('',#172925,#172923,#100940,.T.); #216351=EDGE_CURVE('',#172924,#172925,#100941,.T.); #216352=EDGE_CURVE('',#172926,#172924,#100942,.T.); #216353=EDGE_CURVE('',#172927,#172925,#100943,.T.); #216354=EDGE_CURVE('',#172926,#172927,#100944,.T.); #216355=EDGE_CURVE('',#172928,#172926,#100945,.T.); #216356=EDGE_CURVE('',#172929,#172927,#100946,.T.); #216357=EDGE_CURVE('',#172928,#172929,#100947,.T.); #216358=EDGE_CURVE('',#172930,#172928,#100948,.T.); #216359=EDGE_CURVE('',#172931,#172929,#100949,.T.); #216360=EDGE_CURVE('',#172930,#172931,#100950,.T.); #216361=EDGE_CURVE('',#172932,#172930,#100951,.T.); #216362=EDGE_CURVE('',#172933,#172931,#100952,.T.); #216363=EDGE_CURVE('',#172932,#172933,#100953,.T.); #216364=EDGE_CURVE('',#172934,#172932,#100954,.T.); #216365=EDGE_CURVE('',#172935,#172933,#100955,.T.); #216366=EDGE_CURVE('',#172934,#172935,#100956,.T.); #216367=EDGE_CURVE('',#172936,#172934,#100957,.T.); #216368=EDGE_CURVE('',#172937,#172935,#100958,.T.); #216369=EDGE_CURVE('',#172936,#172937,#100959,.T.); #216370=EDGE_CURVE('',#172938,#172936,#100960,.T.); #216371=EDGE_CURVE('',#172939,#172937,#100961,.T.); #216372=EDGE_CURVE('',#172938,#172939,#100962,.T.); #216373=EDGE_CURVE('',#172940,#172938,#100963,.T.); #216374=EDGE_CURVE('',#172941,#172939,#100964,.T.); #216375=EDGE_CURVE('',#172940,#172941,#100965,.T.); #216376=EDGE_CURVE('',#172942,#172940,#100966,.T.); #216377=EDGE_CURVE('',#172943,#172941,#100967,.T.); #216378=EDGE_CURVE('',#172942,#172943,#100968,.T.); #216379=EDGE_CURVE('',#172944,#172942,#100969,.T.); #216380=EDGE_CURVE('',#172945,#172943,#100970,.T.); #216381=EDGE_CURVE('',#172944,#172945,#100971,.T.); #216382=EDGE_CURVE('',#172946,#172944,#100972,.T.); #216383=EDGE_CURVE('',#172947,#172945,#100973,.T.); #216384=EDGE_CURVE('',#172946,#172947,#100974,.T.); #216385=EDGE_CURVE('',#172948,#172946,#100975,.T.); #216386=EDGE_CURVE('',#172949,#172947,#100976,.T.); #216387=EDGE_CURVE('',#172948,#172949,#100977,.T.); #216388=EDGE_CURVE('',#172950,#172948,#100978,.T.); #216389=EDGE_CURVE('',#172951,#172949,#100979,.T.); #216390=EDGE_CURVE('',#172950,#172951,#100980,.T.); #216391=EDGE_CURVE('',#172952,#172950,#100981,.T.); #216392=EDGE_CURVE('',#172953,#172951,#100982,.T.); #216393=EDGE_CURVE('',#172952,#172953,#100983,.T.); #216394=EDGE_CURVE('',#172954,#172952,#100984,.T.); #216395=EDGE_CURVE('',#172955,#172953,#100985,.T.); #216396=EDGE_CURVE('',#172954,#172955,#100986,.T.); #216397=EDGE_CURVE('',#172956,#172954,#100987,.T.); #216398=EDGE_CURVE('',#172957,#172955,#100988,.T.); #216399=EDGE_CURVE('',#172956,#172957,#100989,.T.); #216400=EDGE_CURVE('',#172958,#172956,#100990,.T.); #216401=EDGE_CURVE('',#172959,#172957,#100991,.T.); #216402=EDGE_CURVE('',#172958,#172959,#100992,.T.); #216403=EDGE_CURVE('',#172960,#172958,#100993,.T.); #216404=EDGE_CURVE('',#172961,#172959,#100994,.T.); #216405=EDGE_CURVE('',#172960,#172961,#100995,.T.); #216406=EDGE_CURVE('',#172962,#172960,#100996,.T.); #216407=EDGE_CURVE('',#172963,#172961,#100997,.T.); #216408=EDGE_CURVE('',#172962,#172963,#100998,.T.); #216409=EDGE_CURVE('',#172964,#172962,#100999,.T.); #216410=EDGE_CURVE('',#172965,#172963,#101000,.T.); #216411=EDGE_CURVE('',#172964,#172965,#101001,.T.); #216412=EDGE_CURVE('',#172966,#172964,#101002,.T.); #216413=EDGE_CURVE('',#172967,#172965,#101003,.T.); #216414=EDGE_CURVE('',#172966,#172967,#101004,.T.); #216415=EDGE_CURVE('',#172968,#172966,#101005,.T.); #216416=EDGE_CURVE('',#172969,#172967,#101006,.T.); #216417=EDGE_CURVE('',#172968,#172969,#101007,.T.); #216418=EDGE_CURVE('',#172970,#172968,#101008,.T.); #216419=EDGE_CURVE('',#172971,#172969,#101009,.T.); #216420=EDGE_CURVE('',#172970,#172971,#101010,.T.); #216421=EDGE_CURVE('',#172972,#172970,#101011,.T.); #216422=EDGE_CURVE('',#172973,#172971,#101012,.T.); #216423=EDGE_CURVE('',#172972,#172973,#101013,.T.); #216424=EDGE_CURVE('',#172974,#172972,#101014,.T.); #216425=EDGE_CURVE('',#172975,#172973,#101015,.T.); #216426=EDGE_CURVE('',#172974,#172975,#101016,.T.); #216427=EDGE_CURVE('',#172976,#172974,#101017,.T.); #216428=EDGE_CURVE('',#172977,#172975,#101018,.T.); #216429=EDGE_CURVE('',#172976,#172977,#101019,.T.); #216430=EDGE_CURVE('',#172978,#172976,#101020,.T.); #216431=EDGE_CURVE('',#172979,#172977,#101021,.T.); #216432=EDGE_CURVE('',#172978,#172979,#101022,.T.); #216433=EDGE_CURVE('',#172980,#172978,#101023,.T.); #216434=EDGE_CURVE('',#172981,#172979,#101024,.T.); #216435=EDGE_CURVE('',#172980,#172981,#101025,.T.); #216436=EDGE_CURVE('',#172982,#172980,#101026,.T.); #216437=EDGE_CURVE('',#172983,#172981,#101027,.T.); #216438=EDGE_CURVE('',#172982,#172983,#101028,.T.); #216439=EDGE_CURVE('',#172984,#172982,#101029,.T.); #216440=EDGE_CURVE('',#172985,#172983,#101030,.T.); #216441=EDGE_CURVE('',#172984,#172985,#101031,.T.); #216442=EDGE_CURVE('',#172986,#172984,#101032,.T.); #216443=EDGE_CURVE('',#172987,#172985,#101033,.T.); #216444=EDGE_CURVE('',#172986,#172987,#101034,.T.); #216445=EDGE_CURVE('',#172988,#172986,#101035,.T.); #216446=EDGE_CURVE('',#172989,#172987,#101036,.T.); #216447=EDGE_CURVE('',#172988,#172989,#101037,.T.); #216448=EDGE_CURVE('',#172990,#172988,#101038,.T.); #216449=EDGE_CURVE('',#172991,#172989,#101039,.T.); #216450=EDGE_CURVE('',#172990,#172991,#101040,.T.); #216451=EDGE_CURVE('',#172992,#172990,#101041,.T.); #216452=EDGE_CURVE('',#172993,#172991,#101042,.T.); #216453=EDGE_CURVE('',#172992,#172993,#101043,.T.); #216454=EDGE_CURVE('',#172994,#172992,#101044,.T.); #216455=EDGE_CURVE('',#172995,#172993,#101045,.T.); #216456=EDGE_CURVE('',#172994,#172995,#101046,.T.); #216457=EDGE_CURVE('',#172907,#172994,#101047,.T.); #216458=EDGE_CURVE('',#172908,#172995,#101048,.T.); #216459=EDGE_CURVE('',#172996,#172996,#68861,.T.); #216460=EDGE_CURVE('',#172996,#172997,#101049,.T.); #216461=EDGE_CURVE('',#172997,#172997,#68862,.T.); #216462=EDGE_CURVE('',#172998,#172998,#68863,.T.); #216463=EDGE_CURVE('',#172998,#172999,#101050,.T.); #216464=EDGE_CURVE('',#172999,#172999,#68864,.T.); #216465=EDGE_CURVE('',#173000,#173001,#101051,.T.); #216466=EDGE_CURVE('',#173001,#173002,#101052,.T.); #216467=EDGE_CURVE('',#173003,#173002,#101053,.T.); #216468=EDGE_CURVE('',#173000,#173003,#101054,.T.); #216469=EDGE_CURVE('',#173004,#173000,#68865,.T.); #216470=EDGE_CURVE('',#173005,#173003,#68866,.T.); #216471=EDGE_CURVE('',#173004,#173005,#101055,.T.); #216472=EDGE_CURVE('',#173006,#173004,#101056,.T.); #216473=EDGE_CURVE('',#173007,#173005,#101057,.T.); #216474=EDGE_CURVE('',#173006,#173007,#101058,.T.); #216475=EDGE_CURVE('',#173008,#173006,#68867,.T.); #216476=EDGE_CURVE('',#173009,#173007,#68868,.T.); #216477=EDGE_CURVE('',#173008,#173009,#101059,.T.); #216478=EDGE_CURVE('',#173010,#173008,#68869,.T.); #216479=EDGE_CURVE('',#173011,#173009,#68870,.T.); #216480=EDGE_CURVE('',#173010,#173011,#101060,.T.); #216481=EDGE_CURVE('',#173012,#173010,#101061,.T.); #216482=EDGE_CURVE('',#173013,#173011,#101062,.T.); #216483=EDGE_CURVE('',#173012,#173013,#101063,.T.); #216484=EDGE_CURVE('',#173001,#173012,#68871,.T.); #216485=EDGE_CURVE('',#173002,#173013,#68872,.T.); #216486=EDGE_CURVE('',#173014,#173014,#68873,.T.); #216487=EDGE_CURVE('',#173014,#173015,#101064,.T.); #216488=EDGE_CURVE('',#173015,#173015,#68874,.T.); #216489=EDGE_CURVE('',#173016,#173017,#101065,.T.); #216490=EDGE_CURVE('',#173017,#173018,#101066,.T.); #216491=EDGE_CURVE('',#173019,#173018,#101067,.T.); #216492=EDGE_CURVE('',#173016,#173019,#101068,.T.); #216493=EDGE_CURVE('',#173020,#173016,#68875,.T.); #216494=EDGE_CURVE('',#173021,#173019,#68876,.T.); #216495=EDGE_CURVE('',#173020,#173021,#101069,.T.); #216496=EDGE_CURVE('',#173017,#173020,#68877,.T.); #216497=EDGE_CURVE('',#173018,#173021,#68878,.T.); #216498=EDGE_CURVE('',#173022,#173022,#68879,.T.); #216499=EDGE_CURVE('',#173022,#173023,#101070,.T.); #216500=EDGE_CURVE('',#173023,#173023,#68880,.T.); #216501=EDGE_CURVE('',#173024,#173024,#68881,.T.); #216502=EDGE_CURVE('',#173024,#173025,#101071,.T.); #216503=EDGE_CURVE('',#173025,#173025,#68882,.T.); #216504=EDGE_CURVE('',#173026,#173026,#68883,.T.); #216505=EDGE_CURVE('',#173026,#173027,#101072,.T.); #216506=EDGE_CURVE('',#173027,#173027,#68884,.T.); #216507=EDGE_CURVE('',#173028,#173028,#68885,.T.); #216508=EDGE_CURVE('',#173028,#173029,#101073,.T.); #216509=EDGE_CURVE('',#173029,#173029,#68886,.T.); #216510=EDGE_CURVE('',#173030,#173030,#68887,.T.); #216511=EDGE_CURVE('',#173030,#173031,#101074,.T.); #216512=EDGE_CURVE('',#173031,#173031,#68888,.T.); #216513=EDGE_CURVE('',#173032,#173032,#68889,.T.); #216514=EDGE_CURVE('',#173032,#173033,#101075,.T.); #216515=EDGE_CURVE('',#173033,#173033,#68890,.T.); #216516=EDGE_CURVE('',#173034,#173034,#68891,.T.); #216517=EDGE_CURVE('',#173034,#173035,#101076,.T.); #216518=EDGE_CURVE('',#173035,#173035,#68892,.T.); #216519=EDGE_CURVE('',#173036,#173036,#68893,.T.); #216520=EDGE_CURVE('',#173036,#173037,#101077,.T.); #216521=EDGE_CURVE('',#173037,#173037,#68894,.T.); #216522=EDGE_CURVE('',#173038,#173038,#68895,.T.); #216523=EDGE_CURVE('',#173038,#173039,#101078,.T.); #216524=EDGE_CURVE('',#173039,#173039,#68896,.T.); #216525=EDGE_CURVE('',#173040,#173040,#68897,.T.); #216526=EDGE_CURVE('',#173040,#173041,#101079,.T.); #216527=EDGE_CURVE('',#173041,#173041,#68898,.T.); #216528=EDGE_CURVE('',#173042,#173042,#68899,.T.); #216529=EDGE_CURVE('',#173042,#173043,#101080,.T.); #216530=EDGE_CURVE('',#173043,#173043,#68900,.T.); #216531=EDGE_CURVE('',#173044,#173044,#68901,.T.); #216532=EDGE_CURVE('',#173044,#173045,#101081,.T.); #216533=EDGE_CURVE('',#173045,#173045,#68902,.T.); #216534=EDGE_CURVE('',#173046,#173046,#68903,.T.); #216535=EDGE_CURVE('',#173046,#173047,#101082,.T.); #216536=EDGE_CURVE('',#173047,#173047,#68904,.T.); #216537=EDGE_CURVE('',#173048,#173048,#68905,.T.); #216538=EDGE_CURVE('',#173048,#173049,#101083,.T.); #216539=EDGE_CURVE('',#173049,#173049,#68906,.T.); #216540=EDGE_CURVE('',#173050,#173050,#68907,.T.); #216541=EDGE_CURVE('',#173050,#173051,#101084,.T.); #216542=EDGE_CURVE('',#173051,#173051,#68908,.T.); #216543=EDGE_CURVE('',#173052,#173053,#101085,.T.); #216544=EDGE_CURVE('',#173053,#173054,#101086,.T.); #216545=EDGE_CURVE('',#173055,#173054,#101087,.T.); #216546=EDGE_CURVE('',#173052,#173055,#101088,.T.); #216547=EDGE_CURVE('',#173056,#173052,#101089,.T.); #216548=EDGE_CURVE('',#173057,#173055,#101090,.T.); #216549=EDGE_CURVE('',#173056,#173057,#101091,.T.); #216550=EDGE_CURVE('',#173058,#173056,#101092,.T.); #216551=EDGE_CURVE('',#173059,#173057,#101093,.T.); #216552=EDGE_CURVE('',#173058,#173059,#101094,.T.); #216553=EDGE_CURVE('',#173060,#173058,#101095,.T.); #216554=EDGE_CURVE('',#173061,#173059,#101096,.T.); #216555=EDGE_CURVE('',#173060,#173061,#101097,.T.); #216556=EDGE_CURVE('',#173062,#173060,#101098,.T.); #216557=EDGE_CURVE('',#173063,#173061,#101099,.T.); #216558=EDGE_CURVE('',#173062,#173063,#101100,.T.); #216559=EDGE_CURVE('',#173064,#173062,#101101,.T.); #216560=EDGE_CURVE('',#173065,#173063,#101102,.T.); #216561=EDGE_CURVE('',#173064,#173065,#101103,.T.); #216562=EDGE_CURVE('',#173066,#173064,#101104,.T.); #216563=EDGE_CURVE('',#173067,#173065,#101105,.T.); #216564=EDGE_CURVE('',#173066,#173067,#101106,.T.); #216565=EDGE_CURVE('',#173068,#173066,#101107,.T.); #216566=EDGE_CURVE('',#173069,#173067,#101108,.T.); #216567=EDGE_CURVE('',#173068,#173069,#101109,.T.); #216568=EDGE_CURVE('',#173070,#173068,#101110,.T.); #216569=EDGE_CURVE('',#173071,#173069,#101111,.T.); #216570=EDGE_CURVE('',#173070,#173071,#101112,.T.); #216571=EDGE_CURVE('',#173072,#173070,#101113,.T.); #216572=EDGE_CURVE('',#173073,#173071,#101114,.T.); #216573=EDGE_CURVE('',#173072,#173073,#101115,.T.); #216574=EDGE_CURVE('',#173074,#173072,#101116,.T.); #216575=EDGE_CURVE('',#173075,#173073,#101117,.T.); #216576=EDGE_CURVE('',#173074,#173075,#101118,.T.); #216577=EDGE_CURVE('',#173076,#173074,#101119,.T.); #216578=EDGE_CURVE('',#173077,#173075,#101120,.T.); #216579=EDGE_CURVE('',#173076,#173077,#101121,.T.); #216580=EDGE_CURVE('',#173078,#173076,#101122,.T.); #216581=EDGE_CURVE('',#173079,#173077,#101123,.T.); #216582=EDGE_CURVE('',#173078,#173079,#101124,.T.); #216583=EDGE_CURVE('',#173080,#173078,#101125,.T.); #216584=EDGE_CURVE('',#173081,#173079,#101126,.T.); #216585=EDGE_CURVE('',#173080,#173081,#101127,.T.); #216586=EDGE_CURVE('',#173082,#173080,#101128,.T.); #216587=EDGE_CURVE('',#173083,#173081,#101129,.T.); #216588=EDGE_CURVE('',#173082,#173083,#101130,.T.); #216589=EDGE_CURVE('',#173084,#173082,#101131,.T.); #216590=EDGE_CURVE('',#173085,#173083,#101132,.T.); #216591=EDGE_CURVE('',#173084,#173085,#101133,.T.); #216592=EDGE_CURVE('',#173086,#173084,#101134,.T.); #216593=EDGE_CURVE('',#173087,#173085,#101135,.T.); #216594=EDGE_CURVE('',#173086,#173087,#101136,.T.); #216595=EDGE_CURVE('',#173088,#173086,#101137,.T.); #216596=EDGE_CURVE('',#173089,#173087,#101138,.T.); #216597=EDGE_CURVE('',#173088,#173089,#101139,.T.); #216598=EDGE_CURVE('',#173090,#173088,#101140,.T.); #216599=EDGE_CURVE('',#173091,#173089,#101141,.T.); #216600=EDGE_CURVE('',#173090,#173091,#101142,.T.); #216601=EDGE_CURVE('',#173092,#173090,#101143,.T.); #216602=EDGE_CURVE('',#173093,#173091,#101144,.T.); #216603=EDGE_CURVE('',#173092,#173093,#101145,.T.); #216604=EDGE_CURVE('',#173094,#173092,#101146,.T.); #216605=EDGE_CURVE('',#173095,#173093,#101147,.T.); #216606=EDGE_CURVE('',#173094,#173095,#101148,.T.); #216607=EDGE_CURVE('',#173096,#173094,#101149,.T.); #216608=EDGE_CURVE('',#173097,#173095,#101150,.T.); #216609=EDGE_CURVE('',#173096,#173097,#101151,.T.); #216610=EDGE_CURVE('',#173098,#173096,#101152,.T.); #216611=EDGE_CURVE('',#173099,#173097,#101153,.T.); #216612=EDGE_CURVE('',#173098,#173099,#101154,.T.); #216613=EDGE_CURVE('',#173100,#173098,#101155,.T.); #216614=EDGE_CURVE('',#173101,#173099,#101156,.T.); #216615=EDGE_CURVE('',#173100,#173101,#101157,.T.); #216616=EDGE_CURVE('',#173102,#173100,#101158,.T.); #216617=EDGE_CURVE('',#173103,#173101,#101159,.T.); #216618=EDGE_CURVE('',#173102,#173103,#101160,.T.); #216619=EDGE_CURVE('',#173104,#173102,#101161,.T.); #216620=EDGE_CURVE('',#173105,#173103,#101162,.T.); #216621=EDGE_CURVE('',#173104,#173105,#101163,.T.); #216622=EDGE_CURVE('',#173106,#173104,#101164,.T.); #216623=EDGE_CURVE('',#173107,#173105,#101165,.T.); #216624=EDGE_CURVE('',#173106,#173107,#101166,.T.); #216625=EDGE_CURVE('',#173108,#173106,#101167,.T.); #216626=EDGE_CURVE('',#173109,#173107,#101168,.T.); #216627=EDGE_CURVE('',#173108,#173109,#101169,.T.); #216628=EDGE_CURVE('',#173110,#173108,#101170,.T.); #216629=EDGE_CURVE('',#173111,#173109,#101171,.T.); #216630=EDGE_CURVE('',#173110,#173111,#101172,.T.); #216631=EDGE_CURVE('',#173112,#173110,#101173,.T.); #216632=EDGE_CURVE('',#173113,#173111,#101174,.T.); #216633=EDGE_CURVE('',#173112,#173113,#101175,.T.); #216634=EDGE_CURVE('',#173114,#173112,#101176,.T.); #216635=EDGE_CURVE('',#173115,#173113,#101177,.T.); #216636=EDGE_CURVE('',#173114,#173115,#101178,.T.); #216637=EDGE_CURVE('',#173116,#173114,#101179,.T.); #216638=EDGE_CURVE('',#173117,#173115,#101180,.T.); #216639=EDGE_CURVE('',#173116,#173117,#101181,.T.); #216640=EDGE_CURVE('',#173118,#173116,#101182,.T.); #216641=EDGE_CURVE('',#173119,#173117,#101183,.T.); #216642=EDGE_CURVE('',#173118,#173119,#101184,.T.); #216643=EDGE_CURVE('',#173120,#173118,#101185,.T.); #216644=EDGE_CURVE('',#173121,#173119,#101186,.T.); #216645=EDGE_CURVE('',#173120,#173121,#101187,.T.); #216646=EDGE_CURVE('',#173122,#173120,#101188,.T.); #216647=EDGE_CURVE('',#173123,#173121,#101189,.T.); #216648=EDGE_CURVE('',#173122,#173123,#101190,.T.); #216649=EDGE_CURVE('',#173124,#173122,#101191,.T.); #216650=EDGE_CURVE('',#173125,#173123,#101192,.T.); #216651=EDGE_CURVE('',#173124,#173125,#101193,.T.); #216652=EDGE_CURVE('',#173126,#173124,#101194,.T.); #216653=EDGE_CURVE('',#173127,#173125,#101195,.T.); #216654=EDGE_CURVE('',#173126,#173127,#101196,.T.); #216655=EDGE_CURVE('',#173128,#173126,#101197,.T.); #216656=EDGE_CURVE('',#173129,#173127,#101198,.T.); #216657=EDGE_CURVE('',#173128,#173129,#101199,.T.); #216658=EDGE_CURVE('',#173130,#173128,#101200,.T.); #216659=EDGE_CURVE('',#173131,#173129,#101201,.T.); #216660=EDGE_CURVE('',#173130,#173131,#101202,.T.); #216661=EDGE_CURVE('',#173132,#173130,#101203,.T.); #216662=EDGE_CURVE('',#173133,#173131,#101204,.T.); #216663=EDGE_CURVE('',#173132,#173133,#101205,.T.); #216664=EDGE_CURVE('',#173134,#173132,#101206,.T.); #216665=EDGE_CURVE('',#173135,#173133,#101207,.T.); #216666=EDGE_CURVE('',#173134,#173135,#101208,.T.); #216667=EDGE_CURVE('',#173136,#173134,#101209,.T.); #216668=EDGE_CURVE('',#173137,#173135,#101210,.T.); #216669=EDGE_CURVE('',#173136,#173137,#101211,.T.); #216670=EDGE_CURVE('',#173138,#173136,#101212,.T.); #216671=EDGE_CURVE('',#173139,#173137,#101213,.T.); #216672=EDGE_CURVE('',#173138,#173139,#101214,.T.); #216673=EDGE_CURVE('',#173140,#173138,#101215,.T.); #216674=EDGE_CURVE('',#173141,#173139,#101216,.T.); #216675=EDGE_CURVE('',#173140,#173141,#101217,.T.); #216676=EDGE_CURVE('',#173142,#173140,#101218,.T.); #216677=EDGE_CURVE('',#173143,#173141,#101219,.T.); #216678=EDGE_CURVE('',#173142,#173143,#101220,.T.); #216679=EDGE_CURVE('',#173144,#173142,#101221,.T.); #216680=EDGE_CURVE('',#173145,#173143,#101222,.T.); #216681=EDGE_CURVE('',#173144,#173145,#101223,.T.); #216682=EDGE_CURVE('',#173146,#173144,#101224,.T.); #216683=EDGE_CURVE('',#173147,#173145,#101225,.T.); #216684=EDGE_CURVE('',#173146,#173147,#101226,.T.); #216685=EDGE_CURVE('',#173148,#173146,#101227,.T.); #216686=EDGE_CURVE('',#173149,#173147,#101228,.T.); #216687=EDGE_CURVE('',#173148,#173149,#101229,.T.); #216688=EDGE_CURVE('',#173150,#173148,#101230,.T.); #216689=EDGE_CURVE('',#173151,#173149,#101231,.T.); #216690=EDGE_CURVE('',#173150,#173151,#101232,.T.); #216691=EDGE_CURVE('',#173152,#173150,#101233,.T.); #216692=EDGE_CURVE('',#173153,#173151,#101234,.T.); #216693=EDGE_CURVE('',#173152,#173153,#101235,.T.); #216694=EDGE_CURVE('',#173154,#173152,#101236,.T.); #216695=EDGE_CURVE('',#173155,#173153,#101237,.T.); #216696=EDGE_CURVE('',#173154,#173155,#101238,.T.); #216697=EDGE_CURVE('',#173156,#173154,#101239,.T.); #216698=EDGE_CURVE('',#173157,#173155,#101240,.T.); #216699=EDGE_CURVE('',#173156,#173157,#101241,.T.); #216700=EDGE_CURVE('',#173158,#173156,#101242,.T.); #216701=EDGE_CURVE('',#173159,#173157,#101243,.T.); #216702=EDGE_CURVE('',#173158,#173159,#101244,.T.); #216703=EDGE_CURVE('',#173160,#173158,#101245,.T.); #216704=EDGE_CURVE('',#173161,#173159,#101246,.T.); #216705=EDGE_CURVE('',#173160,#173161,#101247,.T.); #216706=EDGE_CURVE('',#173162,#173160,#101248,.T.); #216707=EDGE_CURVE('',#173163,#173161,#101249,.T.); #216708=EDGE_CURVE('',#173162,#173163,#101250,.T.); #216709=EDGE_CURVE('',#173164,#173162,#101251,.T.); #216710=EDGE_CURVE('',#173165,#173163,#101252,.T.); #216711=EDGE_CURVE('',#173164,#173165,#101253,.T.); #216712=EDGE_CURVE('',#173166,#173164,#101254,.T.); #216713=EDGE_CURVE('',#173167,#173165,#101255,.T.); #216714=EDGE_CURVE('',#173166,#173167,#101256,.T.); #216715=EDGE_CURVE('',#173168,#173166,#101257,.T.); #216716=EDGE_CURVE('',#173169,#173167,#101258,.T.); #216717=EDGE_CURVE('',#173168,#173169,#101259,.T.); #216718=EDGE_CURVE('',#173170,#173168,#101260,.T.); #216719=EDGE_CURVE('',#173171,#173169,#101261,.T.); #216720=EDGE_CURVE('',#173170,#173171,#101262,.T.); #216721=EDGE_CURVE('',#173172,#173170,#101263,.T.); #216722=EDGE_CURVE('',#173173,#173171,#101264,.T.); #216723=EDGE_CURVE('',#173172,#173173,#101265,.T.); #216724=EDGE_CURVE('',#173174,#173172,#101266,.T.); #216725=EDGE_CURVE('',#173175,#173173,#101267,.T.); #216726=EDGE_CURVE('',#173174,#173175,#101268,.T.); #216727=EDGE_CURVE('',#173176,#173174,#101269,.T.); #216728=EDGE_CURVE('',#173177,#173175,#101270,.T.); #216729=EDGE_CURVE('',#173176,#173177,#101271,.T.); #216730=EDGE_CURVE('',#173178,#173176,#101272,.T.); #216731=EDGE_CURVE('',#173179,#173177,#101273,.T.); #216732=EDGE_CURVE('',#173178,#173179,#101274,.T.); #216733=EDGE_CURVE('',#173180,#173178,#101275,.T.); #216734=EDGE_CURVE('',#173181,#173179,#101276,.T.); #216735=EDGE_CURVE('',#173180,#173181,#101277,.T.); #216736=EDGE_CURVE('',#173182,#173180,#101278,.T.); #216737=EDGE_CURVE('',#173183,#173181,#101279,.T.); #216738=EDGE_CURVE('',#173182,#173183,#101280,.T.); #216739=EDGE_CURVE('',#173184,#173182,#101281,.T.); #216740=EDGE_CURVE('',#173185,#173183,#101282,.T.); #216741=EDGE_CURVE('',#173184,#173185,#101283,.T.); #216742=EDGE_CURVE('',#173186,#173184,#101284,.T.); #216743=EDGE_CURVE('',#173187,#173185,#101285,.T.); #216744=EDGE_CURVE('',#173186,#173187,#101286,.T.); #216745=EDGE_CURVE('',#173188,#173186,#101287,.T.); #216746=EDGE_CURVE('',#173189,#173187,#101288,.T.); #216747=EDGE_CURVE('',#173188,#173189,#101289,.T.); #216748=EDGE_CURVE('',#173190,#173188,#101290,.T.); #216749=EDGE_CURVE('',#173191,#173189,#101291,.T.); #216750=EDGE_CURVE('',#173190,#173191,#101292,.T.); #216751=EDGE_CURVE('',#173192,#173190,#101293,.T.); #216752=EDGE_CURVE('',#173193,#173191,#101294,.T.); #216753=EDGE_CURVE('',#173192,#173193,#101295,.T.); #216754=EDGE_CURVE('',#173194,#173192,#101296,.T.); #216755=EDGE_CURVE('',#173195,#173193,#101297,.T.); #216756=EDGE_CURVE('',#173194,#173195,#101298,.T.); #216757=EDGE_CURVE('',#173053,#173194,#101299,.T.); #216758=EDGE_CURVE('',#173054,#173195,#101300,.T.); #216759=EDGE_CURVE('',#173196,#173197,#101301,.T.); #216760=EDGE_CURVE('',#173197,#173198,#101302,.T.); #216761=EDGE_CURVE('',#173199,#173198,#101303,.T.); #216762=EDGE_CURVE('',#173196,#173199,#101304,.T.); #216763=EDGE_CURVE('',#173200,#173196,#101305,.T.); #216764=EDGE_CURVE('',#173201,#173199,#101306,.T.); #216765=EDGE_CURVE('',#173200,#173201,#101307,.T.); #216766=EDGE_CURVE('',#173202,#173200,#101308,.T.); #216767=EDGE_CURVE('',#173203,#173201,#101309,.T.); #216768=EDGE_CURVE('',#173202,#173203,#101310,.T.); #216769=EDGE_CURVE('',#173204,#173202,#101311,.T.); #216770=EDGE_CURVE('',#173205,#173203,#101312,.T.); #216771=EDGE_CURVE('',#173204,#173205,#101313,.T.); #216772=EDGE_CURVE('',#173206,#173204,#101314,.T.); #216773=EDGE_CURVE('',#173207,#173205,#101315,.T.); #216774=EDGE_CURVE('',#173206,#173207,#101316,.T.); #216775=EDGE_CURVE('',#173208,#173206,#101317,.T.); #216776=EDGE_CURVE('',#173209,#173207,#101318,.T.); #216777=EDGE_CURVE('',#173208,#173209,#101319,.T.); #216778=EDGE_CURVE('',#173210,#173208,#101320,.T.); #216779=EDGE_CURVE('',#173211,#173209,#101321,.T.); #216780=EDGE_CURVE('',#173210,#173211,#101322,.T.); #216781=EDGE_CURVE('',#173212,#173210,#101323,.T.); #216782=EDGE_CURVE('',#173213,#173211,#101324,.T.); #216783=EDGE_CURVE('',#173212,#173213,#101325,.T.); #216784=EDGE_CURVE('',#173214,#173212,#101326,.T.); #216785=EDGE_CURVE('',#173215,#173213,#101327,.T.); #216786=EDGE_CURVE('',#173214,#173215,#101328,.T.); #216787=EDGE_CURVE('',#173216,#173214,#101329,.T.); #216788=EDGE_CURVE('',#173217,#173215,#101330,.T.); #216789=EDGE_CURVE('',#173216,#173217,#101331,.T.); #216790=EDGE_CURVE('',#173218,#173216,#101332,.T.); #216791=EDGE_CURVE('',#173219,#173217,#101333,.T.); #216792=EDGE_CURVE('',#173218,#173219,#101334,.T.); #216793=EDGE_CURVE('',#173220,#173218,#101335,.T.); #216794=EDGE_CURVE('',#173221,#173219,#101336,.T.); #216795=EDGE_CURVE('',#173220,#173221,#101337,.T.); #216796=EDGE_CURVE('',#173222,#173220,#101338,.T.); #216797=EDGE_CURVE('',#173223,#173221,#101339,.T.); #216798=EDGE_CURVE('',#173222,#173223,#101340,.T.); #216799=EDGE_CURVE('',#173224,#173222,#101341,.T.); #216800=EDGE_CURVE('',#173225,#173223,#101342,.T.); #216801=EDGE_CURVE('',#173224,#173225,#101343,.T.); #216802=EDGE_CURVE('',#173226,#173224,#101344,.T.); #216803=EDGE_CURVE('',#173227,#173225,#101345,.T.); #216804=EDGE_CURVE('',#173226,#173227,#101346,.T.); #216805=EDGE_CURVE('',#173228,#173226,#101347,.T.); #216806=EDGE_CURVE('',#173229,#173227,#101348,.T.); #216807=EDGE_CURVE('',#173228,#173229,#101349,.T.); #216808=EDGE_CURVE('',#173230,#173228,#101350,.T.); #216809=EDGE_CURVE('',#173231,#173229,#101351,.T.); #216810=EDGE_CURVE('',#173230,#173231,#101352,.T.); #216811=EDGE_CURVE('',#173232,#173230,#101353,.T.); #216812=EDGE_CURVE('',#173233,#173231,#101354,.T.); #216813=EDGE_CURVE('',#173232,#173233,#101355,.T.); #216814=EDGE_CURVE('',#173234,#173232,#101356,.T.); #216815=EDGE_CURVE('',#173235,#173233,#101357,.T.); #216816=EDGE_CURVE('',#173234,#173235,#101358,.T.); #216817=EDGE_CURVE('',#173236,#173234,#101359,.T.); #216818=EDGE_CURVE('',#173237,#173235,#101360,.T.); #216819=EDGE_CURVE('',#173236,#173237,#101361,.T.); #216820=EDGE_CURVE('',#173238,#173236,#101362,.T.); #216821=EDGE_CURVE('',#173239,#173237,#101363,.T.); #216822=EDGE_CURVE('',#173238,#173239,#101364,.T.); #216823=EDGE_CURVE('',#173240,#173238,#101365,.T.); #216824=EDGE_CURVE('',#173241,#173239,#101366,.T.); #216825=EDGE_CURVE('',#173240,#173241,#101367,.T.); #216826=EDGE_CURVE('',#173242,#173240,#101368,.T.); #216827=EDGE_CURVE('',#173243,#173241,#101369,.T.); #216828=EDGE_CURVE('',#173242,#173243,#101370,.T.); #216829=EDGE_CURVE('',#173244,#173242,#101371,.T.); #216830=EDGE_CURVE('',#173245,#173243,#101372,.T.); #216831=EDGE_CURVE('',#173244,#173245,#101373,.T.); #216832=EDGE_CURVE('',#173246,#173244,#101374,.T.); #216833=EDGE_CURVE('',#173247,#173245,#101375,.T.); #216834=EDGE_CURVE('',#173246,#173247,#101376,.T.); #216835=EDGE_CURVE('',#173248,#173246,#101377,.T.); #216836=EDGE_CURVE('',#173249,#173247,#101378,.T.); #216837=EDGE_CURVE('',#173248,#173249,#101379,.T.); #216838=EDGE_CURVE('',#173250,#173248,#101380,.T.); #216839=EDGE_CURVE('',#173251,#173249,#101381,.T.); #216840=EDGE_CURVE('',#173250,#173251,#101382,.T.); #216841=EDGE_CURVE('',#173252,#173250,#101383,.T.); #216842=EDGE_CURVE('',#173253,#173251,#101384,.T.); #216843=EDGE_CURVE('',#173252,#173253,#101385,.T.); #216844=EDGE_CURVE('',#173254,#173252,#101386,.T.); #216845=EDGE_CURVE('',#173255,#173253,#101387,.T.); #216846=EDGE_CURVE('',#173254,#173255,#101388,.T.); #216847=EDGE_CURVE('',#173256,#173254,#101389,.T.); #216848=EDGE_CURVE('',#173257,#173255,#101390,.T.); #216849=EDGE_CURVE('',#173256,#173257,#101391,.T.); #216850=EDGE_CURVE('',#173258,#173256,#101392,.T.); #216851=EDGE_CURVE('',#173259,#173257,#101393,.T.); #216852=EDGE_CURVE('',#173258,#173259,#101394,.T.); #216853=EDGE_CURVE('',#173260,#173258,#101395,.T.); #216854=EDGE_CURVE('',#173261,#173259,#101396,.T.); #216855=EDGE_CURVE('',#173260,#173261,#101397,.T.); #216856=EDGE_CURVE('',#173262,#173260,#101398,.T.); #216857=EDGE_CURVE('',#173263,#173261,#101399,.T.); #216858=EDGE_CURVE('',#173262,#173263,#101400,.T.); #216859=EDGE_CURVE('',#173264,#173262,#101401,.T.); #216860=EDGE_CURVE('',#173265,#173263,#101402,.T.); #216861=EDGE_CURVE('',#173264,#173265,#101403,.T.); #216862=EDGE_CURVE('',#173266,#173264,#101404,.T.); #216863=EDGE_CURVE('',#173267,#173265,#101405,.T.); #216864=EDGE_CURVE('',#173266,#173267,#101406,.T.); #216865=EDGE_CURVE('',#173268,#173266,#101407,.T.); #216866=EDGE_CURVE('',#173269,#173267,#101408,.T.); #216867=EDGE_CURVE('',#173268,#173269,#101409,.T.); #216868=EDGE_CURVE('',#173270,#173268,#101410,.T.); #216869=EDGE_CURVE('',#173271,#173269,#101411,.T.); #216870=EDGE_CURVE('',#173270,#173271,#101412,.T.); #216871=EDGE_CURVE('',#173272,#173270,#101413,.T.); #216872=EDGE_CURVE('',#173273,#173271,#101414,.T.); #216873=EDGE_CURVE('',#173272,#173273,#101415,.T.); #216874=EDGE_CURVE('',#173274,#173272,#101416,.T.); #216875=EDGE_CURVE('',#173275,#173273,#101417,.T.); #216876=EDGE_CURVE('',#173274,#173275,#101418,.T.); #216877=EDGE_CURVE('',#173276,#173274,#101419,.T.); #216878=EDGE_CURVE('',#173277,#173275,#101420,.T.); #216879=EDGE_CURVE('',#173276,#173277,#101421,.T.); #216880=EDGE_CURVE('',#173278,#173276,#101422,.T.); #216881=EDGE_CURVE('',#173279,#173277,#101423,.T.); #216882=EDGE_CURVE('',#173278,#173279,#101424,.T.); #216883=EDGE_CURVE('',#173280,#173278,#101425,.T.); #216884=EDGE_CURVE('',#173281,#173279,#101426,.T.); #216885=EDGE_CURVE('',#173280,#173281,#101427,.T.); #216886=EDGE_CURVE('',#173282,#173280,#101428,.T.); #216887=EDGE_CURVE('',#173283,#173281,#101429,.T.); #216888=EDGE_CURVE('',#173282,#173283,#101430,.T.); #216889=EDGE_CURVE('',#173284,#173282,#101431,.T.); #216890=EDGE_CURVE('',#173285,#173283,#101432,.T.); #216891=EDGE_CURVE('',#173284,#173285,#101433,.T.); #216892=EDGE_CURVE('',#173286,#173284,#101434,.T.); #216893=EDGE_CURVE('',#173287,#173285,#101435,.T.); #216894=EDGE_CURVE('',#173286,#173287,#101436,.T.); #216895=EDGE_CURVE('',#173288,#173286,#101437,.T.); #216896=EDGE_CURVE('',#173289,#173287,#101438,.T.); #216897=EDGE_CURVE('',#173288,#173289,#101439,.T.); #216898=EDGE_CURVE('',#173290,#173288,#101440,.T.); #216899=EDGE_CURVE('',#173291,#173289,#101441,.T.); #216900=EDGE_CURVE('',#173290,#173291,#101442,.T.); #216901=EDGE_CURVE('',#173292,#173290,#101443,.T.); #216902=EDGE_CURVE('',#173293,#173291,#101444,.T.); #216903=EDGE_CURVE('',#173292,#173293,#101445,.T.); #216904=EDGE_CURVE('',#173294,#173292,#101446,.T.); #216905=EDGE_CURVE('',#173295,#173293,#101447,.T.); #216906=EDGE_CURVE('',#173294,#173295,#101448,.T.); #216907=EDGE_CURVE('',#173296,#173294,#101449,.T.); #216908=EDGE_CURVE('',#173297,#173295,#101450,.T.); #216909=EDGE_CURVE('',#173296,#173297,#101451,.T.); #216910=EDGE_CURVE('',#173298,#173296,#101452,.T.); #216911=EDGE_CURVE('',#173299,#173297,#101453,.T.); #216912=EDGE_CURVE('',#173298,#173299,#101454,.T.); #216913=EDGE_CURVE('',#173300,#173298,#101455,.T.); #216914=EDGE_CURVE('',#173301,#173299,#101456,.T.); #216915=EDGE_CURVE('',#173300,#173301,#101457,.T.); #216916=EDGE_CURVE('',#173302,#173300,#101458,.T.); #216917=EDGE_CURVE('',#173303,#173301,#101459,.T.); #216918=EDGE_CURVE('',#173302,#173303,#101460,.T.); #216919=EDGE_CURVE('',#173304,#173302,#101461,.T.); #216920=EDGE_CURVE('',#173305,#173303,#101462,.T.); #216921=EDGE_CURVE('',#173304,#173305,#101463,.T.); #216922=EDGE_CURVE('',#173306,#173304,#101464,.T.); #216923=EDGE_CURVE('',#173307,#173305,#101465,.T.); #216924=EDGE_CURVE('',#173306,#173307,#101466,.T.); #216925=EDGE_CURVE('',#173308,#173306,#101467,.T.); #216926=EDGE_CURVE('',#173309,#173307,#101468,.T.); #216927=EDGE_CURVE('',#173308,#173309,#101469,.T.); #216928=EDGE_CURVE('',#173310,#173308,#101470,.T.); #216929=EDGE_CURVE('',#173311,#173309,#101471,.T.); #216930=EDGE_CURVE('',#173310,#173311,#101472,.T.); #216931=EDGE_CURVE('',#173312,#173310,#101473,.T.); #216932=EDGE_CURVE('',#173313,#173311,#101474,.T.); #216933=EDGE_CURVE('',#173312,#173313,#101475,.T.); #216934=EDGE_CURVE('',#173314,#173312,#101476,.T.); #216935=EDGE_CURVE('',#173315,#173313,#101477,.T.); #216936=EDGE_CURVE('',#173314,#173315,#101478,.T.); #216937=EDGE_CURVE('',#173316,#173314,#101479,.T.); #216938=EDGE_CURVE('',#173317,#173315,#101480,.T.); #216939=EDGE_CURVE('',#173316,#173317,#101481,.T.); #216940=EDGE_CURVE('',#173318,#173316,#101482,.T.); #216941=EDGE_CURVE('',#173319,#173317,#101483,.T.); #216942=EDGE_CURVE('',#173318,#173319,#101484,.T.); #216943=EDGE_CURVE('',#173320,#173318,#101485,.T.); #216944=EDGE_CURVE('',#173321,#173319,#101486,.T.); #216945=EDGE_CURVE('',#173320,#173321,#101487,.T.); #216946=EDGE_CURVE('',#173322,#173320,#101488,.T.); #216947=EDGE_CURVE('',#173323,#173321,#101489,.T.); #216948=EDGE_CURVE('',#173322,#173323,#101490,.T.); #216949=EDGE_CURVE('',#173324,#173322,#101491,.T.); #216950=EDGE_CURVE('',#173325,#173323,#101492,.T.); #216951=EDGE_CURVE('',#173324,#173325,#101493,.T.); #216952=EDGE_CURVE('',#173326,#173324,#101494,.T.); #216953=EDGE_CURVE('',#173327,#173325,#101495,.T.); #216954=EDGE_CURVE('',#173326,#173327,#101496,.T.); #216955=EDGE_CURVE('',#173328,#173326,#101497,.T.); #216956=EDGE_CURVE('',#173329,#173327,#101498,.T.); #216957=EDGE_CURVE('',#173328,#173329,#101499,.T.); #216958=EDGE_CURVE('',#173330,#173328,#101500,.T.); #216959=EDGE_CURVE('',#173331,#173329,#101501,.T.); #216960=EDGE_CURVE('',#173330,#173331,#101502,.T.); #216961=EDGE_CURVE('',#173332,#173330,#101503,.T.); #216962=EDGE_CURVE('',#173333,#173331,#101504,.T.); #216963=EDGE_CURVE('',#173332,#173333,#101505,.T.); #216964=EDGE_CURVE('',#173334,#173332,#101506,.T.); #216965=EDGE_CURVE('',#173335,#173333,#101507,.T.); #216966=EDGE_CURVE('',#173334,#173335,#101508,.T.); #216967=EDGE_CURVE('',#173336,#173334,#101509,.T.); #216968=EDGE_CURVE('',#173337,#173335,#101510,.T.); #216969=EDGE_CURVE('',#173336,#173337,#101511,.T.); #216970=EDGE_CURVE('',#173338,#173336,#101512,.T.); #216971=EDGE_CURVE('',#173339,#173337,#101513,.T.); #216972=EDGE_CURVE('',#173338,#173339,#101514,.T.); #216973=EDGE_CURVE('',#173340,#173338,#101515,.T.); #216974=EDGE_CURVE('',#173341,#173339,#101516,.T.); #216975=EDGE_CURVE('',#173340,#173341,#101517,.T.); #216976=EDGE_CURVE('',#173342,#173340,#101518,.T.); #216977=EDGE_CURVE('',#173343,#173341,#101519,.T.); #216978=EDGE_CURVE('',#173342,#173343,#101520,.T.); #216979=EDGE_CURVE('',#173344,#173342,#101521,.T.); #216980=EDGE_CURVE('',#173345,#173343,#101522,.T.); #216981=EDGE_CURVE('',#173344,#173345,#101523,.T.); #216982=EDGE_CURVE('',#173346,#173344,#101524,.T.); #216983=EDGE_CURVE('',#173347,#173345,#101525,.T.); #216984=EDGE_CURVE('',#173346,#173347,#101526,.T.); #216985=EDGE_CURVE('',#173348,#173346,#101527,.T.); #216986=EDGE_CURVE('',#173349,#173347,#101528,.T.); #216987=EDGE_CURVE('',#173348,#173349,#101529,.T.); #216988=EDGE_CURVE('',#173350,#173348,#101530,.T.); #216989=EDGE_CURVE('',#173351,#173349,#101531,.T.); #216990=EDGE_CURVE('',#173350,#173351,#101532,.T.); #216991=EDGE_CURVE('',#173352,#173350,#101533,.T.); #216992=EDGE_CURVE('',#173353,#173351,#101534,.T.); #216993=EDGE_CURVE('',#173352,#173353,#101535,.T.); #216994=EDGE_CURVE('',#173354,#173352,#101536,.T.); #216995=EDGE_CURVE('',#173355,#173353,#101537,.T.); #216996=EDGE_CURVE('',#173354,#173355,#101538,.T.); #216997=EDGE_CURVE('',#173356,#173354,#101539,.T.); #216998=EDGE_CURVE('',#173357,#173355,#101540,.T.); #216999=EDGE_CURVE('',#173356,#173357,#101541,.T.); #217000=EDGE_CURVE('',#173358,#173356,#101542,.T.); #217001=EDGE_CURVE('',#173359,#173357,#101543,.T.); #217002=EDGE_CURVE('',#173358,#173359,#101544,.T.); #217003=EDGE_CURVE('',#173360,#173358,#101545,.T.); #217004=EDGE_CURVE('',#173361,#173359,#101546,.T.); #217005=EDGE_CURVE('',#173360,#173361,#101547,.T.); #217006=EDGE_CURVE('',#173362,#173360,#101548,.T.); #217007=EDGE_CURVE('',#173363,#173361,#101549,.T.); #217008=EDGE_CURVE('',#173362,#173363,#101550,.T.); #217009=EDGE_CURVE('',#173364,#173362,#101551,.T.); #217010=EDGE_CURVE('',#173365,#173363,#101552,.T.); #217011=EDGE_CURVE('',#173364,#173365,#101553,.T.); #217012=EDGE_CURVE('',#173366,#173364,#101554,.T.); #217013=EDGE_CURVE('',#173367,#173365,#101555,.T.); #217014=EDGE_CURVE('',#173366,#173367,#101556,.T.); #217015=EDGE_CURVE('',#173368,#173366,#101557,.T.); #217016=EDGE_CURVE('',#173369,#173367,#101558,.T.); #217017=EDGE_CURVE('',#173368,#173369,#101559,.T.); #217018=EDGE_CURVE('',#173370,#173368,#101560,.T.); #217019=EDGE_CURVE('',#173371,#173369,#101561,.T.); #217020=EDGE_CURVE('',#173370,#173371,#101562,.T.); #217021=EDGE_CURVE('',#173372,#173370,#101563,.T.); #217022=EDGE_CURVE('',#173373,#173371,#101564,.T.); #217023=EDGE_CURVE('',#173372,#173373,#101565,.T.); #217024=EDGE_CURVE('',#173374,#173372,#101566,.T.); #217025=EDGE_CURVE('',#173375,#173373,#101567,.T.); #217026=EDGE_CURVE('',#173374,#173375,#101568,.T.); #217027=EDGE_CURVE('',#173376,#173374,#101569,.T.); #217028=EDGE_CURVE('',#173377,#173375,#101570,.T.); #217029=EDGE_CURVE('',#173376,#173377,#101571,.T.); #217030=EDGE_CURVE('',#173378,#173376,#101572,.T.); #217031=EDGE_CURVE('',#173379,#173377,#101573,.T.); #217032=EDGE_CURVE('',#173378,#173379,#101574,.T.); #217033=EDGE_CURVE('',#173380,#173378,#101575,.T.); #217034=EDGE_CURVE('',#173381,#173379,#101576,.T.); #217035=EDGE_CURVE('',#173380,#173381,#101577,.T.); #217036=EDGE_CURVE('',#173382,#173380,#101578,.T.); #217037=EDGE_CURVE('',#173383,#173381,#101579,.T.); #217038=EDGE_CURVE('',#173382,#173383,#101580,.T.); #217039=EDGE_CURVE('',#173384,#173382,#101581,.T.); #217040=EDGE_CURVE('',#173385,#173383,#101582,.T.); #217041=EDGE_CURVE('',#173384,#173385,#101583,.T.); #217042=EDGE_CURVE('',#173197,#173384,#101584,.T.); #217043=EDGE_CURVE('',#173198,#173385,#101585,.T.); #217044=EDGE_CURVE('',#173386,#173386,#68909,.T.); #217045=EDGE_CURVE('',#173386,#173387,#101586,.T.); #217046=EDGE_CURVE('',#173387,#173387,#68910,.T.); #217047=EDGE_CURVE('',#173388,#173389,#101587,.T.); #217048=EDGE_CURVE('',#173389,#173390,#101588,.T.); #217049=EDGE_CURVE('',#173391,#173390,#101589,.T.); #217050=EDGE_CURVE('',#173388,#173391,#101590,.T.); #217051=EDGE_CURVE('',#173392,#173388,#68911,.T.); #217052=EDGE_CURVE('',#173393,#173391,#68912,.T.); #217053=EDGE_CURVE('',#173392,#173393,#101591,.T.); #217054=EDGE_CURVE('',#173389,#173392,#68913,.T.); #217055=EDGE_CURVE('',#173390,#173393,#68914,.T.); #217056=EDGE_CURVE('',#173394,#173394,#68915,.T.); #217057=EDGE_CURVE('',#173394,#173395,#101592,.T.); #217058=EDGE_CURVE('',#173395,#173395,#68916,.T.); #217059=EDGE_CURVE('',#173396,#173397,#101593,.T.); #217060=EDGE_CURVE('',#173397,#173398,#101594,.T.); #217061=EDGE_CURVE('',#173399,#173398,#101595,.T.); #217062=EDGE_CURVE('',#173396,#173399,#101596,.T.); #217063=EDGE_CURVE('',#173400,#173396,#68917,.T.); #217064=EDGE_CURVE('',#173401,#173399,#68918,.T.); #217065=EDGE_CURVE('',#173400,#173401,#101597,.T.); #217066=EDGE_CURVE('',#173397,#173400,#68919,.T.); #217067=EDGE_CURVE('',#173398,#173401,#68920,.T.); #217068=EDGE_CURVE('',#173402,#173402,#68921,.T.); #217069=EDGE_CURVE('',#173402,#173403,#101598,.T.); #217070=EDGE_CURVE('',#173403,#173403,#68922,.T.); #217071=EDGE_CURVE('',#173404,#173405,#101599,.T.); #217072=EDGE_CURVE('',#173405,#173406,#101600,.T.); #217073=EDGE_CURVE('',#173407,#173406,#101601,.T.); #217074=EDGE_CURVE('',#173404,#173407,#101602,.T.); #217075=EDGE_CURVE('',#173408,#173404,#68923,.T.); #217076=EDGE_CURVE('',#173409,#173407,#68924,.T.); #217077=EDGE_CURVE('',#173408,#173409,#101603,.T.); #217078=EDGE_CURVE('',#173405,#173408,#68925,.T.); #217079=EDGE_CURVE('',#173406,#173409,#68926,.T.); #217080=EDGE_CURVE('',#173410,#173410,#68927,.T.); #217081=EDGE_CURVE('',#173410,#173411,#101604,.T.); #217082=EDGE_CURVE('',#173411,#173411,#68928,.T.); #217083=EDGE_CURVE('',#173412,#173413,#101605,.T.); #217084=EDGE_CURVE('',#173413,#173414,#101606,.T.); #217085=EDGE_CURVE('',#173415,#173414,#101607,.T.); #217086=EDGE_CURVE('',#173412,#173415,#101608,.T.); #217087=EDGE_CURVE('',#173416,#173412,#68929,.T.); #217088=EDGE_CURVE('',#173417,#173415,#68930,.T.); #217089=EDGE_CURVE('',#173416,#173417,#101609,.T.); #217090=EDGE_CURVE('',#173413,#173416,#68931,.T.); #217091=EDGE_CURVE('',#173414,#173417,#68932,.T.); #217092=EDGE_CURVE('',#173418,#173418,#68933,.T.); #217093=EDGE_CURVE('',#173418,#173419,#101610,.T.); #217094=EDGE_CURVE('',#173419,#173419,#68934,.T.); #217095=EDGE_CURVE('',#173420,#173421,#101611,.T.); #217096=EDGE_CURVE('',#173421,#173422,#101612,.T.); #217097=EDGE_CURVE('',#173423,#173422,#101613,.T.); #217098=EDGE_CURVE('',#173420,#173423,#101614,.T.); #217099=EDGE_CURVE('',#173424,#173420,#101615,.T.); #217100=EDGE_CURVE('',#173425,#173423,#101616,.T.); #217101=EDGE_CURVE('',#173424,#173425,#101617,.T.); #217102=EDGE_CURVE('',#173426,#173424,#101618,.T.); #217103=EDGE_CURVE('',#173427,#173425,#101619,.T.); #217104=EDGE_CURVE('',#173426,#173427,#101620,.T.); #217105=EDGE_CURVE('',#173421,#173426,#101621,.T.); #217106=EDGE_CURVE('',#173422,#173427,#101622,.T.); #217107=EDGE_CURVE('',#173428,#173428,#68935,.T.); #217108=EDGE_CURVE('',#173428,#173429,#101623,.T.); #217109=EDGE_CURVE('',#173429,#173429,#68936,.T.); #217110=EDGE_CURVE('',#173430,#173431,#101624,.T.); #217111=EDGE_CURVE('',#173431,#173432,#101625,.T.); #217112=EDGE_CURVE('',#173433,#173432,#101626,.T.); #217113=EDGE_CURVE('',#173430,#173433,#101627,.T.); #217114=EDGE_CURVE('',#173434,#173430,#68937,.T.); #217115=EDGE_CURVE('',#173435,#173433,#68938,.T.); #217116=EDGE_CURVE('',#173434,#173435,#101628,.T.); #217117=EDGE_CURVE('',#173431,#173434,#68939,.T.); #217118=EDGE_CURVE('',#173432,#173435,#68940,.T.); #217119=EDGE_CURVE('',#173436,#173436,#68941,.T.); #217120=EDGE_CURVE('',#173436,#173437,#101629,.T.); #217121=EDGE_CURVE('',#173437,#173437,#68942,.T.); #217122=EDGE_CURVE('',#173438,#173439,#101630,.T.); #217123=EDGE_CURVE('',#173439,#173440,#101631,.T.); #217124=EDGE_CURVE('',#173441,#173440,#101632,.T.); #217125=EDGE_CURVE('',#173438,#173441,#101633,.T.); #217126=EDGE_CURVE('',#173442,#173438,#68943,.T.); #217127=EDGE_CURVE('',#173443,#173441,#68944,.T.); #217128=EDGE_CURVE('',#173442,#173443,#101634,.T.); #217129=EDGE_CURVE('',#173439,#173442,#68945,.T.); #217130=EDGE_CURVE('',#173440,#173443,#68946,.T.); #217131=EDGE_CURVE('',#173444,#173444,#68947,.T.); #217132=EDGE_CURVE('',#173444,#173445,#101635,.T.); #217133=EDGE_CURVE('',#173445,#173445,#68948,.T.); #217134=EDGE_CURVE('',#173446,#173447,#101636,.T.); #217135=EDGE_CURVE('',#173447,#173448,#101637,.T.); #217136=EDGE_CURVE('',#173449,#173448,#101638,.T.); #217137=EDGE_CURVE('',#173446,#173449,#101639,.T.); #217138=EDGE_CURVE('',#173450,#173446,#68949,.T.); #217139=EDGE_CURVE('',#173451,#173449,#68950,.T.); #217140=EDGE_CURVE('',#173450,#173451,#101640,.T.); #217141=EDGE_CURVE('',#173447,#173450,#68951,.T.); #217142=EDGE_CURVE('',#173448,#173451,#68952,.T.); #217143=EDGE_CURVE('',#173452,#173452,#68953,.T.); #217144=EDGE_CURVE('',#173452,#173453,#101641,.T.); #217145=EDGE_CURVE('',#173453,#173453,#68954,.T.); #217146=EDGE_CURVE('',#173454,#173455,#101642,.T.); #217147=EDGE_CURVE('',#173455,#173456,#101643,.T.); #217148=EDGE_CURVE('',#173457,#173456,#101644,.T.); #217149=EDGE_CURVE('',#173454,#173457,#101645,.T.); #217150=EDGE_CURVE('',#173458,#173454,#68955,.T.); #217151=EDGE_CURVE('',#173459,#173457,#68956,.T.); #217152=EDGE_CURVE('',#173458,#173459,#101646,.T.); #217153=EDGE_CURVE('',#173455,#173458,#68957,.T.); #217154=EDGE_CURVE('',#173456,#173459,#68958,.T.); #217155=EDGE_CURVE('',#173460,#173461,#101647,.T.); #217156=EDGE_CURVE('',#173462,#173460,#101648,.T.); #217157=EDGE_CURVE('',#173463,#173462,#101649,.T.); #217158=EDGE_CURVE('',#173463,#173461,#101650,.T.); #217159=EDGE_CURVE('',#173461,#173464,#68959,.T.); #217160=EDGE_CURVE('',#173465,#173463,#68960,.T.); #217161=EDGE_CURVE('',#173465,#173464,#101651,.T.); #217162=EDGE_CURVE('',#173464,#173466,#101652,.T.); #217163=EDGE_CURVE('',#173467,#173465,#101653,.T.); #217164=EDGE_CURVE('',#173467,#173466,#101654,.T.); #217165=EDGE_CURVE('',#173466,#173460,#68961,.T.); #217166=EDGE_CURVE('',#173462,#173467,#68962,.T.); #217167=EDGE_CURVE('',#173468,#173469,#101655,.T.); #217168=EDGE_CURVE('',#173469,#173470,#101656,.T.); #217169=EDGE_CURVE('',#173471,#173470,#101657,.T.); #217170=EDGE_CURVE('',#173468,#173471,#101658,.T.); #217171=EDGE_CURVE('',#173472,#173468,#101659,.T.); #217172=EDGE_CURVE('',#173473,#173471,#101660,.T.); #217173=EDGE_CURVE('',#173472,#173473,#101661,.T.); #217174=EDGE_CURVE('',#173474,#173472,#101662,.T.); #217175=EDGE_CURVE('',#173475,#173473,#101663,.T.); #217176=EDGE_CURVE('',#173474,#173475,#101664,.T.); #217177=EDGE_CURVE('',#173476,#173474,#101665,.T.); #217178=EDGE_CURVE('',#173477,#173475,#101666,.T.); #217179=EDGE_CURVE('',#173476,#173477,#101667,.T.); #217180=EDGE_CURVE('',#173478,#173476,#101668,.T.); #217181=EDGE_CURVE('',#173479,#173477,#101669,.T.); #217182=EDGE_CURVE('',#173478,#173479,#101670,.T.); #217183=EDGE_CURVE('',#173480,#173478,#101671,.T.); #217184=EDGE_CURVE('',#173481,#173479,#101672,.T.); #217185=EDGE_CURVE('',#173480,#173481,#101673,.T.); #217186=EDGE_CURVE('',#173482,#173480,#101674,.T.); #217187=EDGE_CURVE('',#173483,#173481,#101675,.T.); #217188=EDGE_CURVE('',#173482,#173483,#101676,.T.); #217189=EDGE_CURVE('',#173484,#173482,#101677,.T.); #217190=EDGE_CURVE('',#173485,#173483,#101678,.T.); #217191=EDGE_CURVE('',#173484,#173485,#101679,.T.); #217192=EDGE_CURVE('',#173486,#173484,#101680,.T.); #217193=EDGE_CURVE('',#173487,#173485,#101681,.T.); #217194=EDGE_CURVE('',#173486,#173487,#101682,.T.); #217195=EDGE_CURVE('',#173488,#173486,#101683,.T.); #217196=EDGE_CURVE('',#173489,#173487,#101684,.T.); #217197=EDGE_CURVE('',#173488,#173489,#101685,.T.); #217198=EDGE_CURVE('',#173490,#173488,#101686,.T.); #217199=EDGE_CURVE('',#173491,#173489,#101687,.T.); #217200=EDGE_CURVE('',#173490,#173491,#101688,.T.); #217201=EDGE_CURVE('',#173492,#173490,#101689,.T.); #217202=EDGE_CURVE('',#173493,#173491,#101690,.T.); #217203=EDGE_CURVE('',#173492,#173493,#101691,.T.); #217204=EDGE_CURVE('',#173494,#173492,#101692,.T.); #217205=EDGE_CURVE('',#173495,#173493,#101693,.T.); #217206=EDGE_CURVE('',#173494,#173495,#101694,.T.); #217207=EDGE_CURVE('',#173496,#173494,#101695,.T.); #217208=EDGE_CURVE('',#173497,#173495,#101696,.T.); #217209=EDGE_CURVE('',#173496,#173497,#101697,.T.); #217210=EDGE_CURVE('',#173498,#173496,#101698,.T.); #217211=EDGE_CURVE('',#173499,#173497,#101699,.T.); #217212=EDGE_CURVE('',#173498,#173499,#101700,.T.); #217213=EDGE_CURVE('',#173500,#173498,#101701,.T.); #217214=EDGE_CURVE('',#173501,#173499,#101702,.T.); #217215=EDGE_CURVE('',#173500,#173501,#101703,.T.); #217216=EDGE_CURVE('',#173502,#173500,#101704,.T.); #217217=EDGE_CURVE('',#173503,#173501,#101705,.T.); #217218=EDGE_CURVE('',#173502,#173503,#101706,.T.); #217219=EDGE_CURVE('',#173504,#173502,#101707,.T.); #217220=EDGE_CURVE('',#173505,#173503,#101708,.T.); #217221=EDGE_CURVE('',#173504,#173505,#101709,.T.); #217222=EDGE_CURVE('',#173506,#173504,#101710,.T.); #217223=EDGE_CURVE('',#173507,#173505,#101711,.T.); #217224=EDGE_CURVE('',#173506,#173507,#101712,.T.); #217225=EDGE_CURVE('',#173508,#173506,#101713,.T.); #217226=EDGE_CURVE('',#173509,#173507,#101714,.T.); #217227=EDGE_CURVE('',#173508,#173509,#101715,.T.); #217228=EDGE_CURVE('',#173510,#173508,#101716,.T.); #217229=EDGE_CURVE('',#173511,#173509,#101717,.T.); #217230=EDGE_CURVE('',#173510,#173511,#101718,.T.); #217231=EDGE_CURVE('',#173512,#173510,#101719,.T.); #217232=EDGE_CURVE('',#173513,#173511,#101720,.T.); #217233=EDGE_CURVE('',#173512,#173513,#101721,.T.); #217234=EDGE_CURVE('',#173514,#173512,#101722,.T.); #217235=EDGE_CURVE('',#173515,#173513,#101723,.T.); #217236=EDGE_CURVE('',#173514,#173515,#101724,.T.); #217237=EDGE_CURVE('',#173516,#173514,#101725,.T.); #217238=EDGE_CURVE('',#173517,#173515,#101726,.T.); #217239=EDGE_CURVE('',#173516,#173517,#101727,.T.); #217240=EDGE_CURVE('',#173518,#173516,#101728,.T.); #217241=EDGE_CURVE('',#173519,#173517,#101729,.T.); #217242=EDGE_CURVE('',#173518,#173519,#101730,.T.); #217243=EDGE_CURVE('',#173520,#173518,#101731,.T.); #217244=EDGE_CURVE('',#173521,#173519,#101732,.T.); #217245=EDGE_CURVE('',#173520,#173521,#101733,.T.); #217246=EDGE_CURVE('',#173522,#173520,#101734,.T.); #217247=EDGE_CURVE('',#173523,#173521,#101735,.T.); #217248=EDGE_CURVE('',#173522,#173523,#101736,.T.); #217249=EDGE_CURVE('',#173524,#173522,#101737,.T.); #217250=EDGE_CURVE('',#173525,#173523,#101738,.T.); #217251=EDGE_CURVE('',#173524,#173525,#101739,.T.); #217252=EDGE_CURVE('',#173526,#173524,#101740,.T.); #217253=EDGE_CURVE('',#173527,#173525,#101741,.T.); #217254=EDGE_CURVE('',#173526,#173527,#101742,.T.); #217255=EDGE_CURVE('',#173528,#173526,#101743,.T.); #217256=EDGE_CURVE('',#173529,#173527,#101744,.T.); #217257=EDGE_CURVE('',#173528,#173529,#101745,.T.); #217258=EDGE_CURVE('',#173530,#173528,#101746,.T.); #217259=EDGE_CURVE('',#173531,#173529,#101747,.T.); #217260=EDGE_CURVE('',#173530,#173531,#101748,.T.); #217261=EDGE_CURVE('',#173532,#173530,#101749,.T.); #217262=EDGE_CURVE('',#173533,#173531,#101750,.T.); #217263=EDGE_CURVE('',#173532,#173533,#101751,.T.); #217264=EDGE_CURVE('',#173534,#173532,#101752,.T.); #217265=EDGE_CURVE('',#173535,#173533,#101753,.T.); #217266=EDGE_CURVE('',#173534,#173535,#101754,.T.); #217267=EDGE_CURVE('',#173536,#173534,#101755,.T.); #217268=EDGE_CURVE('',#173537,#173535,#101756,.T.); #217269=EDGE_CURVE('',#173536,#173537,#101757,.T.); #217270=EDGE_CURVE('',#173538,#173536,#101758,.T.); #217271=EDGE_CURVE('',#173539,#173537,#101759,.T.); #217272=EDGE_CURVE('',#173538,#173539,#101760,.T.); #217273=EDGE_CURVE('',#173540,#173538,#101761,.T.); #217274=EDGE_CURVE('',#173541,#173539,#101762,.T.); #217275=EDGE_CURVE('',#173540,#173541,#101763,.T.); #217276=EDGE_CURVE('',#173542,#173540,#101764,.T.); #217277=EDGE_CURVE('',#173543,#173541,#101765,.T.); #217278=EDGE_CURVE('',#173542,#173543,#101766,.T.); #217279=EDGE_CURVE('',#173544,#173542,#101767,.T.); #217280=EDGE_CURVE('',#173545,#173543,#101768,.T.); #217281=EDGE_CURVE('',#173544,#173545,#101769,.T.); #217282=EDGE_CURVE('',#173546,#173544,#101770,.T.); #217283=EDGE_CURVE('',#173547,#173545,#101771,.T.); #217284=EDGE_CURVE('',#173546,#173547,#101772,.T.); #217285=EDGE_CURVE('',#173548,#173546,#101773,.T.); #217286=EDGE_CURVE('',#173549,#173547,#101774,.T.); #217287=EDGE_CURVE('',#173548,#173549,#101775,.T.); #217288=EDGE_CURVE('',#173550,#173548,#101776,.T.); #217289=EDGE_CURVE('',#173551,#173549,#101777,.T.); #217290=EDGE_CURVE('',#173550,#173551,#101778,.T.); #217291=EDGE_CURVE('',#173552,#173550,#101779,.T.); #217292=EDGE_CURVE('',#173553,#173551,#101780,.T.); #217293=EDGE_CURVE('',#173552,#173553,#101781,.T.); #217294=EDGE_CURVE('',#173554,#173552,#101782,.T.); #217295=EDGE_CURVE('',#173555,#173553,#101783,.T.); #217296=EDGE_CURVE('',#173554,#173555,#101784,.T.); #217297=EDGE_CURVE('',#173556,#173554,#101785,.T.); #217298=EDGE_CURVE('',#173557,#173555,#101786,.T.); #217299=EDGE_CURVE('',#173556,#173557,#101787,.T.); #217300=EDGE_CURVE('',#173558,#173556,#101788,.T.); #217301=EDGE_CURVE('',#173559,#173557,#101789,.T.); #217302=EDGE_CURVE('',#173558,#173559,#101790,.T.); #217303=EDGE_CURVE('',#173560,#173558,#101791,.T.); #217304=EDGE_CURVE('',#173561,#173559,#101792,.T.); #217305=EDGE_CURVE('',#173560,#173561,#101793,.T.); #217306=EDGE_CURVE('',#173562,#173560,#101794,.T.); #217307=EDGE_CURVE('',#173563,#173561,#101795,.T.); #217308=EDGE_CURVE('',#173562,#173563,#101796,.T.); #217309=EDGE_CURVE('',#173564,#173562,#101797,.T.); #217310=EDGE_CURVE('',#173565,#173563,#101798,.T.); #217311=EDGE_CURVE('',#173564,#173565,#101799,.T.); #217312=EDGE_CURVE('',#173566,#173564,#101800,.T.); #217313=EDGE_CURVE('',#173567,#173565,#101801,.T.); #217314=EDGE_CURVE('',#173566,#173567,#101802,.T.); #217315=EDGE_CURVE('',#173568,#173566,#101803,.T.); #217316=EDGE_CURVE('',#173569,#173567,#101804,.T.); #217317=EDGE_CURVE('',#173568,#173569,#101805,.T.); #217318=EDGE_CURVE('',#173570,#173568,#101806,.T.); #217319=EDGE_CURVE('',#173571,#173569,#101807,.T.); #217320=EDGE_CURVE('',#173570,#173571,#101808,.T.); #217321=EDGE_CURVE('',#173572,#173570,#101809,.T.); #217322=EDGE_CURVE('',#173573,#173571,#101810,.T.); #217323=EDGE_CURVE('',#173572,#173573,#101811,.T.); #217324=EDGE_CURVE('',#173574,#173572,#101812,.T.); #217325=EDGE_CURVE('',#173575,#173573,#101813,.T.); #217326=EDGE_CURVE('',#173574,#173575,#101814,.T.); #217327=EDGE_CURVE('',#173576,#173574,#101815,.T.); #217328=EDGE_CURVE('',#173577,#173575,#101816,.T.); #217329=EDGE_CURVE('',#173576,#173577,#101817,.T.); #217330=EDGE_CURVE('',#173578,#173576,#101818,.T.); #217331=EDGE_CURVE('',#173579,#173577,#101819,.T.); #217332=EDGE_CURVE('',#173578,#173579,#101820,.T.); #217333=EDGE_CURVE('',#173469,#173578,#101821,.T.); #217334=EDGE_CURVE('',#173470,#173579,#101822,.T.); #217335=EDGE_CURVE('',#173580,#173581,#101823,.T.); #217336=EDGE_CURVE('',#173582,#173580,#101824,.T.); #217337=EDGE_CURVE('',#173583,#173582,#101825,.T.); #217338=EDGE_CURVE('',#173583,#173581,#101826,.T.); #217339=EDGE_CURVE('',#173581,#173584,#68963,.T.); #217340=EDGE_CURVE('',#173585,#173583,#68964,.T.); #217341=EDGE_CURVE('',#173585,#173584,#101827,.T.); #217342=EDGE_CURVE('',#173584,#173586,#101828,.T.); #217343=EDGE_CURVE('',#173587,#173585,#101829,.T.); #217344=EDGE_CURVE('',#173587,#173586,#101830,.T.); #217345=EDGE_CURVE('',#173586,#173580,#68965,.T.); #217346=EDGE_CURVE('',#173582,#173587,#68966,.T.); #217347=EDGE_CURVE('',#173588,#173589,#101831,.T.); #217348=EDGE_CURVE('',#173589,#173590,#101832,.T.); #217349=EDGE_CURVE('',#173591,#173590,#101833,.T.); #217350=EDGE_CURVE('',#173588,#173591,#101834,.T.); #217351=EDGE_CURVE('',#173592,#173588,#101835,.T.); #217352=EDGE_CURVE('',#173593,#173591,#101836,.T.); #217353=EDGE_CURVE('',#173592,#173593,#101837,.T.); #217354=EDGE_CURVE('',#173594,#173592,#101838,.T.); #217355=EDGE_CURVE('',#173595,#173593,#101839,.T.); #217356=EDGE_CURVE('',#173594,#173595,#101840,.T.); #217357=EDGE_CURVE('',#173596,#173594,#101841,.T.); #217358=EDGE_CURVE('',#173597,#173595,#101842,.T.); #217359=EDGE_CURVE('',#173596,#173597,#101843,.T.); #217360=EDGE_CURVE('',#173598,#173596,#101844,.T.); #217361=EDGE_CURVE('',#173599,#173597,#101845,.T.); #217362=EDGE_CURVE('',#173598,#173599,#101846,.T.); #217363=EDGE_CURVE('',#173600,#173598,#101847,.T.); #217364=EDGE_CURVE('',#173601,#173599,#101848,.T.); #217365=EDGE_CURVE('',#173600,#173601,#101849,.T.); #217366=EDGE_CURVE('',#173602,#173600,#101850,.T.); #217367=EDGE_CURVE('',#173603,#173601,#101851,.T.); #217368=EDGE_CURVE('',#173602,#173603,#101852,.T.); #217369=EDGE_CURVE('',#173604,#173602,#101853,.T.); #217370=EDGE_CURVE('',#173605,#173603,#101854,.T.); #217371=EDGE_CURVE('',#173604,#173605,#101855,.T.); #217372=EDGE_CURVE('',#173606,#173604,#101856,.T.); #217373=EDGE_CURVE('',#173607,#173605,#101857,.T.); #217374=EDGE_CURVE('',#173606,#173607,#101858,.T.); #217375=EDGE_CURVE('',#173608,#173606,#101859,.T.); #217376=EDGE_CURVE('',#173609,#173607,#101860,.T.); #217377=EDGE_CURVE('',#173608,#173609,#101861,.T.); #217378=EDGE_CURVE('',#173610,#173608,#101862,.T.); #217379=EDGE_CURVE('',#173611,#173609,#101863,.T.); #217380=EDGE_CURVE('',#173610,#173611,#101864,.T.); #217381=EDGE_CURVE('',#173612,#173610,#101865,.T.); #217382=EDGE_CURVE('',#173613,#173611,#101866,.T.); #217383=EDGE_CURVE('',#173612,#173613,#101867,.T.); #217384=EDGE_CURVE('',#173614,#173612,#101868,.T.); #217385=EDGE_CURVE('',#173615,#173613,#101869,.T.); #217386=EDGE_CURVE('',#173614,#173615,#101870,.T.); #217387=EDGE_CURVE('',#173616,#173614,#101871,.T.); #217388=EDGE_CURVE('',#173617,#173615,#101872,.T.); #217389=EDGE_CURVE('',#173616,#173617,#101873,.T.); #217390=EDGE_CURVE('',#173618,#173616,#101874,.T.); #217391=EDGE_CURVE('',#173619,#173617,#101875,.T.); #217392=EDGE_CURVE('',#173618,#173619,#101876,.T.); #217393=EDGE_CURVE('',#173620,#173618,#101877,.T.); #217394=EDGE_CURVE('',#173621,#173619,#101878,.T.); #217395=EDGE_CURVE('',#173620,#173621,#101879,.T.); #217396=EDGE_CURVE('',#173622,#173620,#101880,.T.); #217397=EDGE_CURVE('',#173623,#173621,#101881,.T.); #217398=EDGE_CURVE('',#173622,#173623,#101882,.T.); #217399=EDGE_CURVE('',#173624,#173622,#101883,.T.); #217400=EDGE_CURVE('',#173625,#173623,#101884,.T.); #217401=EDGE_CURVE('',#173624,#173625,#101885,.T.); #217402=EDGE_CURVE('',#173626,#173624,#101886,.T.); #217403=EDGE_CURVE('',#173627,#173625,#101887,.T.); #217404=EDGE_CURVE('',#173626,#173627,#101888,.T.); #217405=EDGE_CURVE('',#173628,#173626,#101889,.T.); #217406=EDGE_CURVE('',#173629,#173627,#101890,.T.); #217407=EDGE_CURVE('',#173628,#173629,#101891,.T.); #217408=EDGE_CURVE('',#173630,#173628,#101892,.T.); #217409=EDGE_CURVE('',#173631,#173629,#101893,.T.); #217410=EDGE_CURVE('',#173630,#173631,#101894,.T.); #217411=EDGE_CURVE('',#173632,#173630,#101895,.T.); #217412=EDGE_CURVE('',#173633,#173631,#101896,.T.); #217413=EDGE_CURVE('',#173632,#173633,#101897,.T.); #217414=EDGE_CURVE('',#173634,#173632,#101898,.T.); #217415=EDGE_CURVE('',#173635,#173633,#101899,.T.); #217416=EDGE_CURVE('',#173634,#173635,#101900,.T.); #217417=EDGE_CURVE('',#173636,#173634,#101901,.T.); #217418=EDGE_CURVE('',#173637,#173635,#101902,.T.); #217419=EDGE_CURVE('',#173636,#173637,#101903,.T.); #217420=EDGE_CURVE('',#173638,#173636,#101904,.T.); #217421=EDGE_CURVE('',#173639,#173637,#101905,.T.); #217422=EDGE_CURVE('',#173638,#173639,#101906,.T.); #217423=EDGE_CURVE('',#173640,#173638,#101907,.T.); #217424=EDGE_CURVE('',#173641,#173639,#101908,.T.); #217425=EDGE_CURVE('',#173640,#173641,#101909,.T.); #217426=EDGE_CURVE('',#173642,#173640,#101910,.T.); #217427=EDGE_CURVE('',#173643,#173641,#101911,.T.); #217428=EDGE_CURVE('',#173642,#173643,#101912,.T.); #217429=EDGE_CURVE('',#173644,#173642,#101913,.T.); #217430=EDGE_CURVE('',#173645,#173643,#101914,.T.); #217431=EDGE_CURVE('',#173644,#173645,#101915,.T.); #217432=EDGE_CURVE('',#173646,#173644,#101916,.T.); #217433=EDGE_CURVE('',#173647,#173645,#101917,.T.); #217434=EDGE_CURVE('',#173646,#173647,#101918,.T.); #217435=EDGE_CURVE('',#173648,#173646,#101919,.T.); #217436=EDGE_CURVE('',#173649,#173647,#101920,.T.); #217437=EDGE_CURVE('',#173648,#173649,#101921,.T.); #217438=EDGE_CURVE('',#173650,#173648,#101922,.T.); #217439=EDGE_CURVE('',#173651,#173649,#101923,.T.); #217440=EDGE_CURVE('',#173650,#173651,#101924,.T.); #217441=EDGE_CURVE('',#173652,#173650,#101925,.T.); #217442=EDGE_CURVE('',#173653,#173651,#101926,.T.); #217443=EDGE_CURVE('',#173652,#173653,#101927,.T.); #217444=EDGE_CURVE('',#173654,#173652,#101928,.T.); #217445=EDGE_CURVE('',#173655,#173653,#101929,.T.); #217446=EDGE_CURVE('',#173654,#173655,#101930,.T.); #217447=EDGE_CURVE('',#173656,#173654,#101931,.T.); #217448=EDGE_CURVE('',#173657,#173655,#101932,.T.); #217449=EDGE_CURVE('',#173656,#173657,#101933,.T.); #217450=EDGE_CURVE('',#173658,#173656,#101934,.T.); #217451=EDGE_CURVE('',#173659,#173657,#101935,.T.); #217452=EDGE_CURVE('',#173658,#173659,#101936,.T.); #217453=EDGE_CURVE('',#173660,#173658,#101937,.T.); #217454=EDGE_CURVE('',#173661,#173659,#101938,.T.); #217455=EDGE_CURVE('',#173660,#173661,#101939,.T.); #217456=EDGE_CURVE('',#173662,#173660,#101940,.T.); #217457=EDGE_CURVE('',#173663,#173661,#101941,.T.); #217458=EDGE_CURVE('',#173662,#173663,#101942,.T.); #217459=EDGE_CURVE('',#173664,#173662,#101943,.T.); #217460=EDGE_CURVE('',#173665,#173663,#101944,.T.); #217461=EDGE_CURVE('',#173664,#173665,#101945,.T.); #217462=EDGE_CURVE('',#173666,#173664,#101946,.T.); #217463=EDGE_CURVE('',#173667,#173665,#101947,.T.); #217464=EDGE_CURVE('',#173666,#173667,#101948,.T.); #217465=EDGE_CURVE('',#173668,#173666,#101949,.T.); #217466=EDGE_CURVE('',#173669,#173667,#101950,.T.); #217467=EDGE_CURVE('',#173668,#173669,#101951,.T.); #217468=EDGE_CURVE('',#173670,#173668,#101952,.T.); #217469=EDGE_CURVE('',#173671,#173669,#101953,.T.); #217470=EDGE_CURVE('',#173670,#173671,#101954,.T.); #217471=EDGE_CURVE('',#173672,#173670,#101955,.T.); #217472=EDGE_CURVE('',#173673,#173671,#101956,.T.); #217473=EDGE_CURVE('',#173672,#173673,#101957,.T.); #217474=EDGE_CURVE('',#173674,#173672,#101958,.T.); #217475=EDGE_CURVE('',#173675,#173673,#101959,.T.); #217476=EDGE_CURVE('',#173674,#173675,#101960,.T.); #217477=EDGE_CURVE('',#173676,#173674,#101961,.T.); #217478=EDGE_CURVE('',#173677,#173675,#101962,.T.); #217479=EDGE_CURVE('',#173676,#173677,#101963,.T.); #217480=EDGE_CURVE('',#173678,#173676,#101964,.T.); #217481=EDGE_CURVE('',#173679,#173677,#101965,.T.); #217482=EDGE_CURVE('',#173678,#173679,#101966,.T.); #217483=EDGE_CURVE('',#173680,#173678,#101967,.T.); #217484=EDGE_CURVE('',#173681,#173679,#101968,.T.); #217485=EDGE_CURVE('',#173680,#173681,#101969,.T.); #217486=EDGE_CURVE('',#173682,#173680,#101970,.T.); #217487=EDGE_CURVE('',#173683,#173681,#101971,.T.); #217488=EDGE_CURVE('',#173682,#173683,#101972,.T.); #217489=EDGE_CURVE('',#173684,#173682,#101973,.T.); #217490=EDGE_CURVE('',#173685,#173683,#101974,.T.); #217491=EDGE_CURVE('',#173684,#173685,#101975,.T.); #217492=EDGE_CURVE('',#173686,#173684,#101976,.T.); #217493=EDGE_CURVE('',#173687,#173685,#101977,.T.); #217494=EDGE_CURVE('',#173686,#173687,#101978,.T.); #217495=EDGE_CURVE('',#173688,#173686,#101979,.T.); #217496=EDGE_CURVE('',#173689,#173687,#101980,.T.); #217497=EDGE_CURVE('',#173688,#173689,#101981,.T.); #217498=EDGE_CURVE('',#173690,#173688,#101982,.T.); #217499=EDGE_CURVE('',#173691,#173689,#101983,.T.); #217500=EDGE_CURVE('',#173690,#173691,#101984,.T.); #217501=EDGE_CURVE('',#173692,#173690,#101985,.T.); #217502=EDGE_CURVE('',#173693,#173691,#101986,.T.); #217503=EDGE_CURVE('',#173692,#173693,#101987,.T.); #217504=EDGE_CURVE('',#173694,#173692,#101988,.T.); #217505=EDGE_CURVE('',#173695,#173693,#101989,.T.); #217506=EDGE_CURVE('',#173694,#173695,#101990,.T.); #217507=EDGE_CURVE('',#173696,#173694,#101991,.T.); #217508=EDGE_CURVE('',#173697,#173695,#101992,.T.); #217509=EDGE_CURVE('',#173696,#173697,#101993,.T.); #217510=EDGE_CURVE('',#173698,#173696,#101994,.T.); #217511=EDGE_CURVE('',#173699,#173697,#101995,.T.); #217512=EDGE_CURVE('',#173698,#173699,#101996,.T.); #217513=EDGE_CURVE('',#173700,#173698,#101997,.T.); #217514=EDGE_CURVE('',#173701,#173699,#101998,.T.); #217515=EDGE_CURVE('',#173700,#173701,#101999,.T.); #217516=EDGE_CURVE('',#173702,#173700,#102000,.T.); #217517=EDGE_CURVE('',#173703,#173701,#102001,.T.); #217518=EDGE_CURVE('',#173702,#173703,#102002,.T.); #217519=EDGE_CURVE('',#173704,#173702,#102003,.T.); #217520=EDGE_CURVE('',#173705,#173703,#102004,.T.); #217521=EDGE_CURVE('',#173704,#173705,#102005,.T.); #217522=EDGE_CURVE('',#173706,#173704,#102006,.T.); #217523=EDGE_CURVE('',#173707,#173705,#102007,.T.); #217524=EDGE_CURVE('',#173706,#173707,#102008,.T.); #217525=EDGE_CURVE('',#173708,#173706,#102009,.T.); #217526=EDGE_CURVE('',#173709,#173707,#102010,.T.); #217527=EDGE_CURVE('',#173708,#173709,#102011,.T.); #217528=EDGE_CURVE('',#173710,#173708,#102012,.T.); #217529=EDGE_CURVE('',#173711,#173709,#102013,.T.); #217530=EDGE_CURVE('',#173710,#173711,#102014,.T.); #217531=EDGE_CURVE('',#173712,#173710,#102015,.T.); #217532=EDGE_CURVE('',#173713,#173711,#102016,.T.); #217533=EDGE_CURVE('',#173712,#173713,#102017,.T.); #217534=EDGE_CURVE('',#173714,#173712,#102018,.T.); #217535=EDGE_CURVE('',#173715,#173713,#102019,.T.); #217536=EDGE_CURVE('',#173714,#173715,#102020,.T.); #217537=EDGE_CURVE('',#173716,#173714,#102021,.T.); #217538=EDGE_CURVE('',#173717,#173715,#102022,.T.); #217539=EDGE_CURVE('',#173716,#173717,#102023,.T.); #217540=EDGE_CURVE('',#173718,#173716,#102024,.T.); #217541=EDGE_CURVE('',#173719,#173717,#102025,.T.); #217542=EDGE_CURVE('',#173718,#173719,#102026,.T.); #217543=EDGE_CURVE('',#173720,#173718,#102027,.T.); #217544=EDGE_CURVE('',#173721,#173719,#102028,.T.); #217545=EDGE_CURVE('',#173720,#173721,#102029,.T.); #217546=EDGE_CURVE('',#173722,#173720,#102030,.T.); #217547=EDGE_CURVE('',#173723,#173721,#102031,.T.); #217548=EDGE_CURVE('',#173722,#173723,#102032,.T.); #217549=EDGE_CURVE('',#173724,#173722,#102033,.T.); #217550=EDGE_CURVE('',#173725,#173723,#102034,.T.); #217551=EDGE_CURVE('',#173724,#173725,#102035,.T.); #217552=EDGE_CURVE('',#173726,#173724,#102036,.T.); #217553=EDGE_CURVE('',#173727,#173725,#102037,.T.); #217554=EDGE_CURVE('',#173726,#173727,#102038,.T.); #217555=EDGE_CURVE('',#173728,#173726,#102039,.T.); #217556=EDGE_CURVE('',#173729,#173727,#102040,.T.); #217557=EDGE_CURVE('',#173728,#173729,#102041,.T.); #217558=EDGE_CURVE('',#173730,#173728,#102042,.T.); #217559=EDGE_CURVE('',#173731,#173729,#102043,.T.); #217560=EDGE_CURVE('',#173730,#173731,#102044,.T.); #217561=EDGE_CURVE('',#173589,#173730,#102045,.T.); #217562=EDGE_CURVE('',#173590,#173731,#102046,.T.); #217563=EDGE_CURVE('',#173732,#173733,#102047,.T.); #217564=EDGE_CURVE('',#173734,#173732,#102048,.T.); #217565=EDGE_CURVE('',#173735,#173734,#102049,.T.); #217566=EDGE_CURVE('',#173735,#173733,#102050,.T.); #217567=EDGE_CURVE('',#173733,#173736,#68967,.T.); #217568=EDGE_CURVE('',#173737,#173735,#68968,.T.); #217569=EDGE_CURVE('',#173737,#173736,#102051,.T.); #217570=EDGE_CURVE('',#173736,#173738,#102052,.T.); #217571=EDGE_CURVE('',#173739,#173737,#102053,.T.); #217572=EDGE_CURVE('',#173739,#173738,#102054,.T.); #217573=EDGE_CURVE('',#173738,#173732,#68969,.T.); #217574=EDGE_CURVE('',#173734,#173739,#68970,.T.); #217575=EDGE_CURVE('',#173740,#173741,#102055,.T.); #217576=EDGE_CURVE('',#173741,#173742,#102056,.T.); #217577=EDGE_CURVE('',#173743,#173742,#102057,.T.); #217578=EDGE_CURVE('',#173740,#173743,#102058,.T.); #217579=EDGE_CURVE('',#173744,#173740,#102059,.T.); #217580=EDGE_CURVE('',#173745,#173743,#102060,.T.); #217581=EDGE_CURVE('',#173744,#173745,#102061,.T.); #217582=EDGE_CURVE('',#173746,#173744,#102062,.T.); #217583=EDGE_CURVE('',#173747,#173745,#102063,.T.); #217584=EDGE_CURVE('',#173746,#173747,#102064,.T.); #217585=EDGE_CURVE('',#173748,#173746,#102065,.T.); #217586=EDGE_CURVE('',#173749,#173747,#102066,.T.); #217587=EDGE_CURVE('',#173748,#173749,#102067,.T.); #217588=EDGE_CURVE('',#173750,#173748,#102068,.T.); #217589=EDGE_CURVE('',#173751,#173749,#102069,.T.); #217590=EDGE_CURVE('',#173750,#173751,#102070,.T.); #217591=EDGE_CURVE('',#173752,#173750,#102071,.T.); #217592=EDGE_CURVE('',#173753,#173751,#102072,.T.); #217593=EDGE_CURVE('',#173752,#173753,#102073,.T.); #217594=EDGE_CURVE('',#173754,#173752,#102074,.T.); #217595=EDGE_CURVE('',#173755,#173753,#102075,.T.); #217596=EDGE_CURVE('',#173754,#173755,#102076,.T.); #217597=EDGE_CURVE('',#173756,#173754,#102077,.T.); #217598=EDGE_CURVE('',#173757,#173755,#102078,.T.); #217599=EDGE_CURVE('',#173756,#173757,#102079,.T.); #217600=EDGE_CURVE('',#173758,#173756,#102080,.T.); #217601=EDGE_CURVE('',#173759,#173757,#102081,.T.); #217602=EDGE_CURVE('',#173758,#173759,#102082,.T.); #217603=EDGE_CURVE('',#173760,#173758,#102083,.T.); #217604=EDGE_CURVE('',#173761,#173759,#102084,.T.); #217605=EDGE_CURVE('',#173760,#173761,#102085,.T.); #217606=EDGE_CURVE('',#173762,#173760,#102086,.T.); #217607=EDGE_CURVE('',#173763,#173761,#102087,.T.); #217608=EDGE_CURVE('',#173762,#173763,#102088,.T.); #217609=EDGE_CURVE('',#173764,#173762,#102089,.T.); #217610=EDGE_CURVE('',#173765,#173763,#102090,.T.); #217611=EDGE_CURVE('',#173764,#173765,#102091,.T.); #217612=EDGE_CURVE('',#173766,#173764,#102092,.T.); #217613=EDGE_CURVE('',#173767,#173765,#102093,.T.); #217614=EDGE_CURVE('',#173766,#173767,#102094,.T.); #217615=EDGE_CURVE('',#173768,#173766,#102095,.T.); #217616=EDGE_CURVE('',#173769,#173767,#102096,.T.); #217617=EDGE_CURVE('',#173768,#173769,#102097,.T.); #217618=EDGE_CURVE('',#173770,#173768,#102098,.T.); #217619=EDGE_CURVE('',#173771,#173769,#102099,.T.); #217620=EDGE_CURVE('',#173770,#173771,#102100,.T.); #217621=EDGE_CURVE('',#173772,#173770,#102101,.T.); #217622=EDGE_CURVE('',#173773,#173771,#102102,.T.); #217623=EDGE_CURVE('',#173772,#173773,#102103,.T.); #217624=EDGE_CURVE('',#173774,#173772,#102104,.T.); #217625=EDGE_CURVE('',#173775,#173773,#102105,.T.); #217626=EDGE_CURVE('',#173774,#173775,#102106,.T.); #217627=EDGE_CURVE('',#173776,#173774,#102107,.T.); #217628=EDGE_CURVE('',#173777,#173775,#102108,.T.); #217629=EDGE_CURVE('',#173776,#173777,#102109,.T.); #217630=EDGE_CURVE('',#173778,#173776,#102110,.T.); #217631=EDGE_CURVE('',#173779,#173777,#102111,.T.); #217632=EDGE_CURVE('',#173778,#173779,#102112,.T.); #217633=EDGE_CURVE('',#173780,#173778,#102113,.T.); #217634=EDGE_CURVE('',#173781,#173779,#102114,.T.); #217635=EDGE_CURVE('',#173780,#173781,#102115,.T.); #217636=EDGE_CURVE('',#173782,#173780,#102116,.T.); #217637=EDGE_CURVE('',#173783,#173781,#102117,.T.); #217638=EDGE_CURVE('',#173782,#173783,#102118,.T.); #217639=EDGE_CURVE('',#173784,#173782,#102119,.T.); #217640=EDGE_CURVE('',#173785,#173783,#102120,.T.); #217641=EDGE_CURVE('',#173784,#173785,#102121,.T.); #217642=EDGE_CURVE('',#173786,#173784,#102122,.T.); #217643=EDGE_CURVE('',#173787,#173785,#102123,.T.); #217644=EDGE_CURVE('',#173786,#173787,#102124,.T.); #217645=EDGE_CURVE('',#173788,#173786,#102125,.T.); #217646=EDGE_CURVE('',#173789,#173787,#102126,.T.); #217647=EDGE_CURVE('',#173788,#173789,#102127,.T.); #217648=EDGE_CURVE('',#173790,#173788,#102128,.T.); #217649=EDGE_CURVE('',#173791,#173789,#102129,.T.); #217650=EDGE_CURVE('',#173790,#173791,#102130,.T.); #217651=EDGE_CURVE('',#173792,#173790,#102131,.T.); #217652=EDGE_CURVE('',#173793,#173791,#102132,.T.); #217653=EDGE_CURVE('',#173792,#173793,#102133,.T.); #217654=EDGE_CURVE('',#173794,#173792,#102134,.T.); #217655=EDGE_CURVE('',#173795,#173793,#102135,.T.); #217656=EDGE_CURVE('',#173794,#173795,#102136,.T.); #217657=EDGE_CURVE('',#173796,#173794,#102137,.T.); #217658=EDGE_CURVE('',#173797,#173795,#102138,.T.); #217659=EDGE_CURVE('',#173796,#173797,#102139,.T.); #217660=EDGE_CURVE('',#173798,#173796,#102140,.T.); #217661=EDGE_CURVE('',#173799,#173797,#102141,.T.); #217662=EDGE_CURVE('',#173798,#173799,#102142,.T.); #217663=EDGE_CURVE('',#173800,#173798,#102143,.T.); #217664=EDGE_CURVE('',#173801,#173799,#102144,.T.); #217665=EDGE_CURVE('',#173800,#173801,#102145,.T.); #217666=EDGE_CURVE('',#173802,#173800,#102146,.T.); #217667=EDGE_CURVE('',#173803,#173801,#102147,.T.); #217668=EDGE_CURVE('',#173802,#173803,#102148,.T.); #217669=EDGE_CURVE('',#173804,#173802,#102149,.T.); #217670=EDGE_CURVE('',#173805,#173803,#102150,.T.); #217671=EDGE_CURVE('',#173804,#173805,#102151,.T.); #217672=EDGE_CURVE('',#173806,#173804,#102152,.T.); #217673=EDGE_CURVE('',#173807,#173805,#102153,.T.); #217674=EDGE_CURVE('',#173806,#173807,#102154,.T.); #217675=EDGE_CURVE('',#173808,#173806,#102155,.T.); #217676=EDGE_CURVE('',#173809,#173807,#102156,.T.); #217677=EDGE_CURVE('',#173808,#173809,#102157,.T.); #217678=EDGE_CURVE('',#173810,#173808,#102158,.T.); #217679=EDGE_CURVE('',#173811,#173809,#102159,.T.); #217680=EDGE_CURVE('',#173810,#173811,#102160,.T.); #217681=EDGE_CURVE('',#173812,#173810,#102161,.T.); #217682=EDGE_CURVE('',#173813,#173811,#102162,.T.); #217683=EDGE_CURVE('',#173812,#173813,#102163,.T.); #217684=EDGE_CURVE('',#173814,#173812,#102164,.T.); #217685=EDGE_CURVE('',#173815,#173813,#102165,.T.); #217686=EDGE_CURVE('',#173814,#173815,#102166,.T.); #217687=EDGE_CURVE('',#173816,#173814,#102167,.T.); #217688=EDGE_CURVE('',#173817,#173815,#102168,.T.); #217689=EDGE_CURVE('',#173816,#173817,#102169,.T.); #217690=EDGE_CURVE('',#173818,#173816,#102170,.T.); #217691=EDGE_CURVE('',#173819,#173817,#102171,.T.); #217692=EDGE_CURVE('',#173818,#173819,#102172,.T.); #217693=EDGE_CURVE('',#173820,#173818,#102173,.T.); #217694=EDGE_CURVE('',#173821,#173819,#102174,.T.); #217695=EDGE_CURVE('',#173820,#173821,#102175,.T.); #217696=EDGE_CURVE('',#173822,#173820,#102176,.T.); #217697=EDGE_CURVE('',#173823,#173821,#102177,.T.); #217698=EDGE_CURVE('',#173822,#173823,#102178,.T.); #217699=EDGE_CURVE('',#173824,#173822,#102179,.T.); #217700=EDGE_CURVE('',#173825,#173823,#102180,.T.); #217701=EDGE_CURVE('',#173824,#173825,#102181,.T.); #217702=EDGE_CURVE('',#173826,#173824,#102182,.T.); #217703=EDGE_CURVE('',#173827,#173825,#102183,.T.); #217704=EDGE_CURVE('',#173826,#173827,#102184,.T.); #217705=EDGE_CURVE('',#173828,#173826,#102185,.T.); #217706=EDGE_CURVE('',#173829,#173827,#102186,.T.); #217707=EDGE_CURVE('',#173828,#173829,#102187,.T.); #217708=EDGE_CURVE('',#173830,#173828,#102188,.T.); #217709=EDGE_CURVE('',#173831,#173829,#102189,.T.); #217710=EDGE_CURVE('',#173830,#173831,#102190,.T.); #217711=EDGE_CURVE('',#173832,#173830,#102191,.T.); #217712=EDGE_CURVE('',#173833,#173831,#102192,.T.); #217713=EDGE_CURVE('',#173832,#173833,#102193,.T.); #217714=EDGE_CURVE('',#173834,#173832,#102194,.T.); #217715=EDGE_CURVE('',#173835,#173833,#102195,.T.); #217716=EDGE_CURVE('',#173834,#173835,#102196,.T.); #217717=EDGE_CURVE('',#173836,#173834,#102197,.T.); #217718=EDGE_CURVE('',#173837,#173835,#102198,.T.); #217719=EDGE_CURVE('',#173836,#173837,#102199,.T.); #217720=EDGE_CURVE('',#173838,#173836,#102200,.T.); #217721=EDGE_CURVE('',#173839,#173837,#102201,.T.); #217722=EDGE_CURVE('',#173838,#173839,#102202,.T.); #217723=EDGE_CURVE('',#173840,#173838,#102203,.T.); #217724=EDGE_CURVE('',#173841,#173839,#102204,.T.); #217725=EDGE_CURVE('',#173840,#173841,#102205,.T.); #217726=EDGE_CURVE('',#173842,#173840,#102206,.T.); #217727=EDGE_CURVE('',#173843,#173841,#102207,.T.); #217728=EDGE_CURVE('',#173842,#173843,#102208,.T.); #217729=EDGE_CURVE('',#173844,#173842,#102209,.T.); #217730=EDGE_CURVE('',#173845,#173843,#102210,.T.); #217731=EDGE_CURVE('',#173844,#173845,#102211,.T.); #217732=EDGE_CURVE('',#173846,#173844,#102212,.T.); #217733=EDGE_CURVE('',#173847,#173845,#102213,.T.); #217734=EDGE_CURVE('',#173846,#173847,#102214,.T.); #217735=EDGE_CURVE('',#173848,#173846,#102215,.T.); #217736=EDGE_CURVE('',#173849,#173847,#102216,.T.); #217737=EDGE_CURVE('',#173848,#173849,#102217,.T.); #217738=EDGE_CURVE('',#173850,#173848,#102218,.T.); #217739=EDGE_CURVE('',#173851,#173849,#102219,.T.); #217740=EDGE_CURVE('',#173850,#173851,#102220,.T.); #217741=EDGE_CURVE('',#173741,#173850,#102221,.T.); #217742=EDGE_CURVE('',#173742,#173851,#102222,.T.); #217743=EDGE_CURVE('',#173852,#173852,#68971,.T.); #217744=EDGE_CURVE('',#173852,#173853,#102223,.T.); #217745=EDGE_CURVE('',#173853,#173853,#68972,.T.); #217746=EDGE_CURVE('',#173854,#173855,#102224,.T.); #217747=EDGE_CURVE('',#173855,#173856,#102225,.T.); #217748=EDGE_CURVE('',#173857,#173856,#102226,.T.); #217749=EDGE_CURVE('',#173854,#173857,#102227,.T.); #217750=EDGE_CURVE('',#173858,#173854,#102228,.T.); #217751=EDGE_CURVE('',#173859,#173857,#102229,.T.); #217752=EDGE_CURVE('',#173858,#173859,#102230,.T.); #217753=EDGE_CURVE('',#173860,#173858,#102231,.T.); #217754=EDGE_CURVE('',#173861,#173859,#102232,.T.); #217755=EDGE_CURVE('',#173860,#173861,#102233,.T.); #217756=EDGE_CURVE('',#173855,#173860,#102234,.T.); #217757=EDGE_CURVE('',#173856,#173861,#102235,.T.); #217758=EDGE_CURVE('',#173862,#173863,#102236,.T.); #217759=EDGE_CURVE('',#173864,#173862,#102237,.T.); #217760=EDGE_CURVE('',#173865,#173864,#102238,.T.); #217761=EDGE_CURVE('',#173865,#173863,#102239,.T.); #217762=EDGE_CURVE('',#173863,#173866,#68973,.T.); #217763=EDGE_CURVE('',#173867,#173865,#68974,.T.); #217764=EDGE_CURVE('',#173867,#173866,#102240,.T.); #217765=EDGE_CURVE('',#173866,#173868,#102241,.T.); #217766=EDGE_CURVE('',#173869,#173867,#102242,.T.); #217767=EDGE_CURVE('',#173869,#173868,#102243,.T.); #217768=EDGE_CURVE('',#173868,#173862,#68975,.T.); #217769=EDGE_CURVE('',#173864,#173869,#68976,.T.); #217770=EDGE_CURVE('',#173870,#173871,#102244,.T.); #217771=EDGE_CURVE('',#173871,#173872,#102245,.T.); #217772=EDGE_CURVE('',#173873,#173872,#102246,.T.); #217773=EDGE_CURVE('',#173870,#173873,#102247,.T.); #217774=EDGE_CURVE('',#173874,#173870,#102248,.T.); #217775=EDGE_CURVE('',#173875,#173873,#102249,.T.); #217776=EDGE_CURVE('',#173874,#173875,#102250,.T.); #217777=EDGE_CURVE('',#173876,#173874,#102251,.T.); #217778=EDGE_CURVE('',#173877,#173875,#102252,.T.); #217779=EDGE_CURVE('',#173876,#173877,#102253,.T.); #217780=EDGE_CURVE('',#173878,#173876,#102254,.T.); #217781=EDGE_CURVE('',#173879,#173877,#102255,.T.); #217782=EDGE_CURVE('',#173878,#173879,#102256,.T.); #217783=EDGE_CURVE('',#173880,#173878,#102257,.T.); #217784=EDGE_CURVE('',#173881,#173879,#102258,.T.); #217785=EDGE_CURVE('',#173880,#173881,#102259,.T.); #217786=EDGE_CURVE('',#173882,#173880,#102260,.T.); #217787=EDGE_CURVE('',#173883,#173881,#102261,.T.); #217788=EDGE_CURVE('',#173882,#173883,#102262,.T.); #217789=EDGE_CURVE('',#173884,#173882,#102263,.T.); #217790=EDGE_CURVE('',#173885,#173883,#102264,.T.); #217791=EDGE_CURVE('',#173884,#173885,#102265,.T.); #217792=EDGE_CURVE('',#173886,#173884,#102266,.T.); #217793=EDGE_CURVE('',#173887,#173885,#102267,.T.); #217794=EDGE_CURVE('',#173886,#173887,#102268,.T.); #217795=EDGE_CURVE('',#173888,#173886,#102269,.T.); #217796=EDGE_CURVE('',#173889,#173887,#102270,.T.); #217797=EDGE_CURVE('',#173888,#173889,#102271,.T.); #217798=EDGE_CURVE('',#173890,#173888,#102272,.T.); #217799=EDGE_CURVE('',#173891,#173889,#102273,.T.); #217800=EDGE_CURVE('',#173890,#173891,#102274,.T.); #217801=EDGE_CURVE('',#173892,#173890,#102275,.T.); #217802=EDGE_CURVE('',#173893,#173891,#102276,.T.); #217803=EDGE_CURVE('',#173892,#173893,#102277,.T.); #217804=EDGE_CURVE('',#173894,#173892,#102278,.T.); #217805=EDGE_CURVE('',#173895,#173893,#102279,.T.); #217806=EDGE_CURVE('',#173894,#173895,#102280,.T.); #217807=EDGE_CURVE('',#173896,#173894,#102281,.T.); #217808=EDGE_CURVE('',#173897,#173895,#102282,.T.); #217809=EDGE_CURVE('',#173896,#173897,#102283,.T.); #217810=EDGE_CURVE('',#173898,#173896,#102284,.T.); #217811=EDGE_CURVE('',#173899,#173897,#102285,.T.); #217812=EDGE_CURVE('',#173898,#173899,#102286,.T.); #217813=EDGE_CURVE('',#173900,#173898,#102287,.T.); #217814=EDGE_CURVE('',#173901,#173899,#102288,.T.); #217815=EDGE_CURVE('',#173900,#173901,#102289,.T.); #217816=EDGE_CURVE('',#173902,#173900,#102290,.T.); #217817=EDGE_CURVE('',#173903,#173901,#102291,.T.); #217818=EDGE_CURVE('',#173902,#173903,#102292,.T.); #217819=EDGE_CURVE('',#173904,#173902,#102293,.T.); #217820=EDGE_CURVE('',#173905,#173903,#102294,.T.); #217821=EDGE_CURVE('',#173904,#173905,#102295,.T.); #217822=EDGE_CURVE('',#173906,#173904,#102296,.T.); #217823=EDGE_CURVE('',#173907,#173905,#102297,.T.); #217824=EDGE_CURVE('',#173906,#173907,#102298,.T.); #217825=EDGE_CURVE('',#173908,#173906,#102299,.T.); #217826=EDGE_CURVE('',#173909,#173907,#102300,.T.); #217827=EDGE_CURVE('',#173908,#173909,#102301,.T.); #217828=EDGE_CURVE('',#173910,#173908,#102302,.T.); #217829=EDGE_CURVE('',#173911,#173909,#102303,.T.); #217830=EDGE_CURVE('',#173910,#173911,#102304,.T.); #217831=EDGE_CURVE('',#173912,#173910,#102305,.T.); #217832=EDGE_CURVE('',#173913,#173911,#102306,.T.); #217833=EDGE_CURVE('',#173912,#173913,#102307,.T.); #217834=EDGE_CURVE('',#173914,#173912,#102308,.T.); #217835=EDGE_CURVE('',#173915,#173913,#102309,.T.); #217836=EDGE_CURVE('',#173914,#173915,#102310,.T.); #217837=EDGE_CURVE('',#173916,#173914,#102311,.T.); #217838=EDGE_CURVE('',#173917,#173915,#102312,.T.); #217839=EDGE_CURVE('',#173916,#173917,#102313,.T.); #217840=EDGE_CURVE('',#173918,#173916,#102314,.T.); #217841=EDGE_CURVE('',#173919,#173917,#102315,.T.); #217842=EDGE_CURVE('',#173918,#173919,#102316,.T.); #217843=EDGE_CURVE('',#173920,#173918,#102317,.T.); #217844=EDGE_CURVE('',#173921,#173919,#102318,.T.); #217845=EDGE_CURVE('',#173920,#173921,#102319,.T.); #217846=EDGE_CURVE('',#173922,#173920,#102320,.T.); #217847=EDGE_CURVE('',#173923,#173921,#102321,.T.); #217848=EDGE_CURVE('',#173922,#173923,#102322,.T.); #217849=EDGE_CURVE('',#173924,#173922,#102323,.T.); #217850=EDGE_CURVE('',#173925,#173923,#102324,.T.); #217851=EDGE_CURVE('',#173924,#173925,#102325,.T.); #217852=EDGE_CURVE('',#173926,#173924,#102326,.T.); #217853=EDGE_CURVE('',#173927,#173925,#102327,.T.); #217854=EDGE_CURVE('',#173926,#173927,#102328,.T.); #217855=EDGE_CURVE('',#173928,#173926,#102329,.T.); #217856=EDGE_CURVE('',#173929,#173927,#102330,.T.); #217857=EDGE_CURVE('',#173928,#173929,#102331,.T.); #217858=EDGE_CURVE('',#173930,#173928,#102332,.T.); #217859=EDGE_CURVE('',#173931,#173929,#102333,.T.); #217860=EDGE_CURVE('',#173930,#173931,#102334,.T.); #217861=EDGE_CURVE('',#173932,#173930,#102335,.T.); #217862=EDGE_CURVE('',#173933,#173931,#102336,.T.); #217863=EDGE_CURVE('',#173932,#173933,#102337,.T.); #217864=EDGE_CURVE('',#173934,#173932,#102338,.T.); #217865=EDGE_CURVE('',#173935,#173933,#102339,.T.); #217866=EDGE_CURVE('',#173934,#173935,#102340,.T.); #217867=EDGE_CURVE('',#173936,#173934,#102341,.T.); #217868=EDGE_CURVE('',#173937,#173935,#102342,.T.); #217869=EDGE_CURVE('',#173936,#173937,#102343,.T.); #217870=EDGE_CURVE('',#173938,#173936,#102344,.T.); #217871=EDGE_CURVE('',#173939,#173937,#102345,.T.); #217872=EDGE_CURVE('',#173938,#173939,#102346,.T.); #217873=EDGE_CURVE('',#173940,#173938,#102347,.T.); #217874=EDGE_CURVE('',#173941,#173939,#102348,.T.); #217875=EDGE_CURVE('',#173940,#173941,#102349,.T.); #217876=EDGE_CURVE('',#173942,#173940,#102350,.T.); #217877=EDGE_CURVE('',#173943,#173941,#102351,.T.); #217878=EDGE_CURVE('',#173942,#173943,#102352,.T.); #217879=EDGE_CURVE('',#173944,#173942,#102353,.T.); #217880=EDGE_CURVE('',#173945,#173943,#102354,.T.); #217881=EDGE_CURVE('',#173944,#173945,#102355,.T.); #217882=EDGE_CURVE('',#173946,#173944,#102356,.T.); #217883=EDGE_CURVE('',#173947,#173945,#102357,.T.); #217884=EDGE_CURVE('',#173946,#173947,#102358,.T.); #217885=EDGE_CURVE('',#173948,#173946,#102359,.T.); #217886=EDGE_CURVE('',#173949,#173947,#102360,.T.); #217887=EDGE_CURVE('',#173948,#173949,#102361,.T.); #217888=EDGE_CURVE('',#173950,#173948,#102362,.T.); #217889=EDGE_CURVE('',#173951,#173949,#102363,.T.); #217890=EDGE_CURVE('',#173950,#173951,#102364,.T.); #217891=EDGE_CURVE('',#173952,#173950,#102365,.T.); #217892=EDGE_CURVE('',#173953,#173951,#102366,.T.); #217893=EDGE_CURVE('',#173952,#173953,#102367,.T.); #217894=EDGE_CURVE('',#173954,#173952,#102368,.T.); #217895=EDGE_CURVE('',#173955,#173953,#102369,.T.); #217896=EDGE_CURVE('',#173954,#173955,#102370,.T.); #217897=EDGE_CURVE('',#173956,#173954,#102371,.T.); #217898=EDGE_CURVE('',#173957,#173955,#102372,.T.); #217899=EDGE_CURVE('',#173956,#173957,#102373,.T.); #217900=EDGE_CURVE('',#173958,#173956,#102374,.T.); #217901=EDGE_CURVE('',#173959,#173957,#102375,.T.); #217902=EDGE_CURVE('',#173958,#173959,#102376,.T.); #217903=EDGE_CURVE('',#173960,#173958,#102377,.T.); #217904=EDGE_CURVE('',#173961,#173959,#102378,.T.); #217905=EDGE_CURVE('',#173960,#173961,#102379,.T.); #217906=EDGE_CURVE('',#173962,#173960,#102380,.T.); #217907=EDGE_CURVE('',#173963,#173961,#102381,.T.); #217908=EDGE_CURVE('',#173962,#173963,#102382,.T.); #217909=EDGE_CURVE('',#173964,#173962,#102383,.T.); #217910=EDGE_CURVE('',#173965,#173963,#102384,.T.); #217911=EDGE_CURVE('',#173964,#173965,#102385,.T.); #217912=EDGE_CURVE('',#173966,#173964,#102386,.T.); #217913=EDGE_CURVE('',#173967,#173965,#102387,.T.); #217914=EDGE_CURVE('',#173966,#173967,#102388,.T.); #217915=EDGE_CURVE('',#173968,#173966,#102389,.T.); #217916=EDGE_CURVE('',#173969,#173967,#102390,.T.); #217917=EDGE_CURVE('',#173968,#173969,#102391,.T.); #217918=EDGE_CURVE('',#173970,#173968,#102392,.T.); #217919=EDGE_CURVE('',#173971,#173969,#102393,.T.); #217920=EDGE_CURVE('',#173970,#173971,#102394,.T.); #217921=EDGE_CURVE('',#173972,#173970,#102395,.T.); #217922=EDGE_CURVE('',#173973,#173971,#102396,.T.); #217923=EDGE_CURVE('',#173972,#173973,#102397,.T.); #217924=EDGE_CURVE('',#173974,#173972,#102398,.T.); #217925=EDGE_CURVE('',#173975,#173973,#102399,.T.); #217926=EDGE_CURVE('',#173974,#173975,#102400,.T.); #217927=EDGE_CURVE('',#173976,#173974,#102401,.T.); #217928=EDGE_CURVE('',#173977,#173975,#102402,.T.); #217929=EDGE_CURVE('',#173976,#173977,#102403,.T.); #217930=EDGE_CURVE('',#173978,#173976,#102404,.T.); #217931=EDGE_CURVE('',#173979,#173977,#102405,.T.); #217932=EDGE_CURVE('',#173978,#173979,#102406,.T.); #217933=EDGE_CURVE('',#173980,#173978,#102407,.T.); #217934=EDGE_CURVE('',#173981,#173979,#102408,.T.); #217935=EDGE_CURVE('',#173980,#173981,#102409,.T.); #217936=EDGE_CURVE('',#173871,#173980,#102410,.T.); #217937=EDGE_CURVE('',#173872,#173981,#102411,.T.); #217938=EDGE_CURVE('',#173982,#173983,#102412,.T.); #217939=EDGE_CURVE('',#173984,#173982,#102413,.T.); #217940=EDGE_CURVE('',#173985,#173984,#102414,.T.); #217941=EDGE_CURVE('',#173985,#173983,#102415,.T.); #217942=EDGE_CURVE('',#173983,#173986,#68977,.T.); #217943=EDGE_CURVE('',#173987,#173985,#68978,.T.); #217944=EDGE_CURVE('',#173987,#173986,#102416,.T.); #217945=EDGE_CURVE('',#173986,#173988,#102417,.T.); #217946=EDGE_CURVE('',#173989,#173987,#102418,.T.); #217947=EDGE_CURVE('',#173989,#173988,#102419,.T.); #217948=EDGE_CURVE('',#173988,#173982,#68979,.T.); #217949=EDGE_CURVE('',#173984,#173989,#68980,.T.); #217950=EDGE_CURVE('',#173990,#173991,#102420,.T.); #217951=EDGE_CURVE('',#173991,#173992,#102421,.T.); #217952=EDGE_CURVE('',#173993,#173992,#102422,.T.); #217953=EDGE_CURVE('',#173990,#173993,#102423,.T.); #217954=EDGE_CURVE('',#173994,#173990,#102424,.T.); #217955=EDGE_CURVE('',#173995,#173993,#102425,.T.); #217956=EDGE_CURVE('',#173994,#173995,#102426,.T.); #217957=EDGE_CURVE('',#173996,#173994,#102427,.T.); #217958=EDGE_CURVE('',#173997,#173995,#102428,.T.); #217959=EDGE_CURVE('',#173996,#173997,#102429,.T.); #217960=EDGE_CURVE('',#173998,#173996,#102430,.T.); #217961=EDGE_CURVE('',#173999,#173997,#102431,.T.); #217962=EDGE_CURVE('',#173998,#173999,#102432,.T.); #217963=EDGE_CURVE('',#174000,#173998,#102433,.T.); #217964=EDGE_CURVE('',#174001,#173999,#102434,.T.); #217965=EDGE_CURVE('',#174000,#174001,#102435,.T.); #217966=EDGE_CURVE('',#174002,#174000,#102436,.T.); #217967=EDGE_CURVE('',#174003,#174001,#102437,.T.); #217968=EDGE_CURVE('',#174002,#174003,#102438,.T.); #217969=EDGE_CURVE('',#174004,#174002,#102439,.T.); #217970=EDGE_CURVE('',#174005,#174003,#102440,.T.); #217971=EDGE_CURVE('',#174004,#174005,#102441,.T.); #217972=EDGE_CURVE('',#174006,#174004,#102442,.T.); #217973=EDGE_CURVE('',#174007,#174005,#102443,.T.); #217974=EDGE_CURVE('',#174006,#174007,#102444,.T.); #217975=EDGE_CURVE('',#174008,#174006,#102445,.T.); #217976=EDGE_CURVE('',#174009,#174007,#102446,.T.); #217977=EDGE_CURVE('',#174008,#174009,#102447,.T.); #217978=EDGE_CURVE('',#174010,#174008,#102448,.T.); #217979=EDGE_CURVE('',#174011,#174009,#102449,.T.); #217980=EDGE_CURVE('',#174010,#174011,#102450,.T.); #217981=EDGE_CURVE('',#174012,#174010,#102451,.T.); #217982=EDGE_CURVE('',#174013,#174011,#102452,.T.); #217983=EDGE_CURVE('',#174012,#174013,#102453,.T.); #217984=EDGE_CURVE('',#174014,#174012,#102454,.T.); #217985=EDGE_CURVE('',#174015,#174013,#102455,.T.); #217986=EDGE_CURVE('',#174014,#174015,#102456,.T.); #217987=EDGE_CURVE('',#174016,#174014,#102457,.T.); #217988=EDGE_CURVE('',#174017,#174015,#102458,.T.); #217989=EDGE_CURVE('',#174016,#174017,#102459,.T.); #217990=EDGE_CURVE('',#174018,#174016,#102460,.T.); #217991=EDGE_CURVE('',#174019,#174017,#102461,.T.); #217992=EDGE_CURVE('',#174018,#174019,#102462,.T.); #217993=EDGE_CURVE('',#174020,#174018,#102463,.T.); #217994=EDGE_CURVE('',#174021,#174019,#102464,.T.); #217995=EDGE_CURVE('',#174020,#174021,#102465,.T.); #217996=EDGE_CURVE('',#174022,#174020,#102466,.T.); #217997=EDGE_CURVE('',#174023,#174021,#102467,.T.); #217998=EDGE_CURVE('',#174022,#174023,#102468,.T.); #217999=EDGE_CURVE('',#174024,#174022,#102469,.T.); #218000=EDGE_CURVE('',#174025,#174023,#102470,.T.); #218001=EDGE_CURVE('',#174024,#174025,#102471,.T.); #218002=EDGE_CURVE('',#174026,#174024,#102472,.T.); #218003=EDGE_CURVE('',#174027,#174025,#102473,.T.); #218004=EDGE_CURVE('',#174026,#174027,#102474,.T.); #218005=EDGE_CURVE('',#174028,#174026,#102475,.T.); #218006=EDGE_CURVE('',#174029,#174027,#102476,.T.); #218007=EDGE_CURVE('',#174028,#174029,#102477,.T.); #218008=EDGE_CURVE('',#174030,#174028,#102478,.T.); #218009=EDGE_CURVE('',#174031,#174029,#102479,.T.); #218010=EDGE_CURVE('',#174030,#174031,#102480,.T.); #218011=EDGE_CURVE('',#174032,#174030,#102481,.T.); #218012=EDGE_CURVE('',#174033,#174031,#102482,.T.); #218013=EDGE_CURVE('',#174032,#174033,#102483,.T.); #218014=EDGE_CURVE('',#174034,#174032,#102484,.T.); #218015=EDGE_CURVE('',#174035,#174033,#102485,.T.); #218016=EDGE_CURVE('',#174034,#174035,#102486,.T.); #218017=EDGE_CURVE('',#174036,#174034,#102487,.T.); #218018=EDGE_CURVE('',#174037,#174035,#102488,.T.); #218019=EDGE_CURVE('',#174036,#174037,#102489,.T.); #218020=EDGE_CURVE('',#174038,#174036,#102490,.T.); #218021=EDGE_CURVE('',#174039,#174037,#102491,.T.); #218022=EDGE_CURVE('',#174038,#174039,#102492,.T.); #218023=EDGE_CURVE('',#174040,#174038,#102493,.T.); #218024=EDGE_CURVE('',#174041,#174039,#102494,.T.); #218025=EDGE_CURVE('',#174040,#174041,#102495,.T.); #218026=EDGE_CURVE('',#174042,#174040,#102496,.T.); #218027=EDGE_CURVE('',#174043,#174041,#102497,.T.); #218028=EDGE_CURVE('',#174042,#174043,#102498,.T.); #218029=EDGE_CURVE('',#174044,#174042,#102499,.T.); #218030=EDGE_CURVE('',#174045,#174043,#102500,.T.); #218031=EDGE_CURVE('',#174044,#174045,#102501,.T.); #218032=EDGE_CURVE('',#174046,#174044,#102502,.T.); #218033=EDGE_CURVE('',#174047,#174045,#102503,.T.); #218034=EDGE_CURVE('',#174046,#174047,#102504,.T.); #218035=EDGE_CURVE('',#174048,#174046,#102505,.T.); #218036=EDGE_CURVE('',#174049,#174047,#102506,.T.); #218037=EDGE_CURVE('',#174048,#174049,#102507,.T.); #218038=EDGE_CURVE('',#174050,#174048,#102508,.T.); #218039=EDGE_CURVE('',#174051,#174049,#102509,.T.); #218040=EDGE_CURVE('',#174050,#174051,#102510,.T.); #218041=EDGE_CURVE('',#174052,#174050,#102511,.T.); #218042=EDGE_CURVE('',#174053,#174051,#102512,.T.); #218043=EDGE_CURVE('',#174052,#174053,#102513,.T.); #218044=EDGE_CURVE('',#174054,#174052,#102514,.T.); #218045=EDGE_CURVE('',#174055,#174053,#102515,.T.); #218046=EDGE_CURVE('',#174054,#174055,#102516,.T.); #218047=EDGE_CURVE('',#174056,#174054,#102517,.T.); #218048=EDGE_CURVE('',#174057,#174055,#102518,.T.); #218049=EDGE_CURVE('',#174056,#174057,#102519,.T.); #218050=EDGE_CURVE('',#174058,#174056,#102520,.T.); #218051=EDGE_CURVE('',#174059,#174057,#102521,.T.); #218052=EDGE_CURVE('',#174058,#174059,#102522,.T.); #218053=EDGE_CURVE('',#174060,#174058,#102523,.T.); #218054=EDGE_CURVE('',#174061,#174059,#102524,.T.); #218055=EDGE_CURVE('',#174060,#174061,#102525,.T.); #218056=EDGE_CURVE('',#174062,#174060,#102526,.T.); #218057=EDGE_CURVE('',#174063,#174061,#102527,.T.); #218058=EDGE_CURVE('',#174062,#174063,#102528,.T.); #218059=EDGE_CURVE('',#174064,#174062,#102529,.T.); #218060=EDGE_CURVE('',#174065,#174063,#102530,.T.); #218061=EDGE_CURVE('',#174064,#174065,#102531,.T.); #218062=EDGE_CURVE('',#174066,#174064,#102532,.T.); #218063=EDGE_CURVE('',#174067,#174065,#102533,.T.); #218064=EDGE_CURVE('',#174066,#174067,#102534,.T.); #218065=EDGE_CURVE('',#174068,#174066,#102535,.T.); #218066=EDGE_CURVE('',#174069,#174067,#102536,.T.); #218067=EDGE_CURVE('',#174068,#174069,#102537,.T.); #218068=EDGE_CURVE('',#174070,#174068,#102538,.T.); #218069=EDGE_CURVE('',#174071,#174069,#102539,.T.); #218070=EDGE_CURVE('',#174070,#174071,#102540,.T.); #218071=EDGE_CURVE('',#174072,#174070,#102541,.T.); #218072=EDGE_CURVE('',#174073,#174071,#102542,.T.); #218073=EDGE_CURVE('',#174072,#174073,#102543,.T.); #218074=EDGE_CURVE('',#174074,#174072,#102544,.T.); #218075=EDGE_CURVE('',#174075,#174073,#102545,.T.); #218076=EDGE_CURVE('',#174074,#174075,#102546,.T.); #218077=EDGE_CURVE('',#174076,#174074,#102547,.T.); #218078=EDGE_CURVE('',#174077,#174075,#102548,.T.); #218079=EDGE_CURVE('',#174076,#174077,#102549,.T.); #218080=EDGE_CURVE('',#174078,#174076,#102550,.T.); #218081=EDGE_CURVE('',#174079,#174077,#102551,.T.); #218082=EDGE_CURVE('',#174078,#174079,#102552,.T.); #218083=EDGE_CURVE('',#174080,#174078,#102553,.T.); #218084=EDGE_CURVE('',#174081,#174079,#102554,.T.); #218085=EDGE_CURVE('',#174080,#174081,#102555,.T.); #218086=EDGE_CURVE('',#174082,#174080,#102556,.T.); #218087=EDGE_CURVE('',#174083,#174081,#102557,.T.); #218088=EDGE_CURVE('',#174082,#174083,#102558,.T.); #218089=EDGE_CURVE('',#174084,#174082,#102559,.T.); #218090=EDGE_CURVE('',#174085,#174083,#102560,.T.); #218091=EDGE_CURVE('',#174084,#174085,#102561,.T.); #218092=EDGE_CURVE('',#174086,#174084,#102562,.T.); #218093=EDGE_CURVE('',#174087,#174085,#102563,.T.); #218094=EDGE_CURVE('',#174086,#174087,#102564,.T.); #218095=EDGE_CURVE('',#174088,#174086,#102565,.T.); #218096=EDGE_CURVE('',#174089,#174087,#102566,.T.); #218097=EDGE_CURVE('',#174088,#174089,#102567,.T.); #218098=EDGE_CURVE('',#174090,#174088,#102568,.T.); #218099=EDGE_CURVE('',#174091,#174089,#102569,.T.); #218100=EDGE_CURVE('',#174090,#174091,#102570,.T.); #218101=EDGE_CURVE('',#174092,#174090,#102571,.T.); #218102=EDGE_CURVE('',#174093,#174091,#102572,.T.); #218103=EDGE_CURVE('',#174092,#174093,#102573,.T.); #218104=EDGE_CURVE('',#174094,#174092,#102574,.T.); #218105=EDGE_CURVE('',#174095,#174093,#102575,.T.); #218106=EDGE_CURVE('',#174094,#174095,#102576,.T.); #218107=EDGE_CURVE('',#174096,#174094,#102577,.T.); #218108=EDGE_CURVE('',#174097,#174095,#102578,.T.); #218109=EDGE_CURVE('',#174096,#174097,#102579,.T.); #218110=EDGE_CURVE('',#174098,#174096,#102580,.T.); #218111=EDGE_CURVE('',#174099,#174097,#102581,.T.); #218112=EDGE_CURVE('',#174098,#174099,#102582,.T.); #218113=EDGE_CURVE('',#174100,#174098,#102583,.T.); #218114=EDGE_CURVE('',#174101,#174099,#102584,.T.); #218115=EDGE_CURVE('',#174100,#174101,#102585,.T.); #218116=EDGE_CURVE('',#174102,#174100,#102586,.T.); #218117=EDGE_CURVE('',#174103,#174101,#102587,.T.); #218118=EDGE_CURVE('',#174102,#174103,#102588,.T.); #218119=EDGE_CURVE('',#174104,#174102,#102589,.T.); #218120=EDGE_CURVE('',#174105,#174103,#102590,.T.); #218121=EDGE_CURVE('',#174104,#174105,#102591,.T.); #218122=EDGE_CURVE('',#174106,#174104,#102592,.T.); #218123=EDGE_CURVE('',#174107,#174105,#102593,.T.); #218124=EDGE_CURVE('',#174106,#174107,#102594,.T.); #218125=EDGE_CURVE('',#174108,#174106,#102595,.T.); #218126=EDGE_CURVE('',#174109,#174107,#102596,.T.); #218127=EDGE_CURVE('',#174108,#174109,#102597,.T.); #218128=EDGE_CURVE('',#174110,#174108,#102598,.T.); #218129=EDGE_CURVE('',#174111,#174109,#102599,.T.); #218130=EDGE_CURVE('',#174110,#174111,#102600,.T.); #218131=EDGE_CURVE('',#174112,#174110,#102601,.T.); #218132=EDGE_CURVE('',#174113,#174111,#102602,.T.); #218133=EDGE_CURVE('',#174112,#174113,#102603,.T.); #218134=EDGE_CURVE('',#174114,#174112,#102604,.T.); #218135=EDGE_CURVE('',#174115,#174113,#102605,.T.); #218136=EDGE_CURVE('',#174114,#174115,#102606,.T.); #218137=EDGE_CURVE('',#174116,#174114,#102607,.T.); #218138=EDGE_CURVE('',#174117,#174115,#102608,.T.); #218139=EDGE_CURVE('',#174116,#174117,#102609,.T.); #218140=EDGE_CURVE('',#174118,#174116,#102610,.T.); #218141=EDGE_CURVE('',#174119,#174117,#102611,.T.); #218142=EDGE_CURVE('',#174118,#174119,#102612,.T.); #218143=EDGE_CURVE('',#174120,#174118,#102613,.T.); #218144=EDGE_CURVE('',#174121,#174119,#102614,.T.); #218145=EDGE_CURVE('',#174120,#174121,#102615,.T.); #218146=EDGE_CURVE('',#174122,#174120,#102616,.T.); #218147=EDGE_CURVE('',#174123,#174121,#102617,.T.); #218148=EDGE_CURVE('',#174122,#174123,#102618,.T.); #218149=EDGE_CURVE('',#174124,#174122,#102619,.T.); #218150=EDGE_CURVE('',#174125,#174123,#102620,.T.); #218151=EDGE_CURVE('',#174124,#174125,#102621,.T.); #218152=EDGE_CURVE('',#174126,#174124,#102622,.T.); #218153=EDGE_CURVE('',#174127,#174125,#102623,.T.); #218154=EDGE_CURVE('',#174126,#174127,#102624,.T.); #218155=EDGE_CURVE('',#174128,#174126,#102625,.T.); #218156=EDGE_CURVE('',#174129,#174127,#102626,.T.); #218157=EDGE_CURVE('',#174128,#174129,#102627,.T.); #218158=EDGE_CURVE('',#174130,#174128,#102628,.T.); #218159=EDGE_CURVE('',#174131,#174129,#102629,.T.); #218160=EDGE_CURVE('',#174130,#174131,#102630,.T.); #218161=EDGE_CURVE('',#174132,#174130,#102631,.T.); #218162=EDGE_CURVE('',#174133,#174131,#102632,.T.); #218163=EDGE_CURVE('',#174132,#174133,#102633,.T.); #218164=EDGE_CURVE('',#173991,#174132,#102634,.T.); #218165=EDGE_CURVE('',#173992,#174133,#102635,.T.); #218166=EDGE_CURVE('',#174134,#174134,#68981,.T.); #218167=EDGE_CURVE('',#174134,#174135,#102636,.T.); #218168=EDGE_CURVE('',#174135,#174135,#68982,.T.); #218169=EDGE_CURVE('',#174136,#174137,#102637,.T.); #218170=EDGE_CURVE('',#174137,#174138,#102638,.T.); #218171=EDGE_CURVE('',#174139,#174138,#102639,.T.); #218172=EDGE_CURVE('',#174136,#174139,#102640,.T.); #218173=EDGE_CURVE('',#174140,#174136,#68983,.T.); #218174=EDGE_CURVE('',#174141,#174139,#68984,.T.); #218175=EDGE_CURVE('',#174140,#174141,#102641,.T.); #218176=EDGE_CURVE('',#174137,#174140,#68985,.T.); #218177=EDGE_CURVE('',#174138,#174141,#68986,.T.); #218178=EDGE_CURVE('',#174142,#174142,#68987,.T.); #218179=EDGE_CURVE('',#174142,#174143,#102642,.T.); #218180=EDGE_CURVE('',#174143,#174143,#68988,.T.); #218181=EDGE_CURVE('',#174144,#174145,#102643,.T.); #218182=EDGE_CURVE('',#174145,#174146,#102644,.T.); #218183=EDGE_CURVE('',#174147,#174146,#102645,.T.); #218184=EDGE_CURVE('',#174144,#174147,#102646,.T.); #218185=EDGE_CURVE('',#174148,#174144,#68989,.T.); #218186=EDGE_CURVE('',#174149,#174147,#68990,.T.); #218187=EDGE_CURVE('',#174148,#174149,#102647,.T.); #218188=EDGE_CURVE('',#174145,#174148,#68991,.T.); #218189=EDGE_CURVE('',#174146,#174149,#68992,.T.); #218190=EDGE_CURVE('',#174150,#174151,#102648,.T.); #218191=EDGE_CURVE('',#174152,#174150,#102649,.T.); #218192=EDGE_CURVE('',#174153,#174152,#102650,.T.); #218193=EDGE_CURVE('',#174153,#174151,#102651,.T.); #218194=EDGE_CURVE('',#174151,#174154,#68993,.T.); #218195=EDGE_CURVE('',#174155,#174153,#68994,.T.); #218196=EDGE_CURVE('',#174155,#174154,#102652,.T.); #218197=EDGE_CURVE('',#174154,#174156,#102653,.T.); #218198=EDGE_CURVE('',#174157,#174155,#102654,.T.); #218199=EDGE_CURVE('',#174157,#174156,#102655,.T.); #218200=EDGE_CURVE('',#174156,#174150,#68995,.T.); #218201=EDGE_CURVE('',#174152,#174157,#68996,.T.); #218202=EDGE_CURVE('',#174158,#174159,#102656,.T.); #218203=EDGE_CURVE('',#174159,#174160,#102657,.T.); #218204=EDGE_CURVE('',#174161,#174160,#102658,.T.); #218205=EDGE_CURVE('',#174158,#174161,#102659,.T.); #218206=EDGE_CURVE('',#174162,#174158,#102660,.T.); #218207=EDGE_CURVE('',#174163,#174161,#102661,.T.); #218208=EDGE_CURVE('',#174162,#174163,#102662,.T.); #218209=EDGE_CURVE('',#174164,#174162,#102663,.T.); #218210=EDGE_CURVE('',#174165,#174163,#102664,.T.); #218211=EDGE_CURVE('',#174164,#174165,#102665,.T.); #218212=EDGE_CURVE('',#174166,#174164,#102666,.T.); #218213=EDGE_CURVE('',#174167,#174165,#102667,.T.); #218214=EDGE_CURVE('',#174166,#174167,#102668,.T.); #218215=EDGE_CURVE('',#174168,#174166,#102669,.T.); #218216=EDGE_CURVE('',#174169,#174167,#102670,.T.); #218217=EDGE_CURVE('',#174168,#174169,#102671,.T.); #218218=EDGE_CURVE('',#174170,#174168,#102672,.T.); #218219=EDGE_CURVE('',#174171,#174169,#102673,.T.); #218220=EDGE_CURVE('',#174170,#174171,#102674,.T.); #218221=EDGE_CURVE('',#174172,#174170,#102675,.T.); #218222=EDGE_CURVE('',#174173,#174171,#102676,.T.); #218223=EDGE_CURVE('',#174172,#174173,#102677,.T.); #218224=EDGE_CURVE('',#174174,#174172,#102678,.T.); #218225=EDGE_CURVE('',#174175,#174173,#102679,.T.); #218226=EDGE_CURVE('',#174174,#174175,#102680,.T.); #218227=EDGE_CURVE('',#174176,#174174,#102681,.T.); #218228=EDGE_CURVE('',#174177,#174175,#102682,.T.); #218229=EDGE_CURVE('',#174176,#174177,#102683,.T.); #218230=EDGE_CURVE('',#174178,#174176,#102684,.T.); #218231=EDGE_CURVE('',#174179,#174177,#102685,.T.); #218232=EDGE_CURVE('',#174178,#174179,#102686,.T.); #218233=EDGE_CURVE('',#174180,#174178,#102687,.T.); #218234=EDGE_CURVE('',#174181,#174179,#102688,.T.); #218235=EDGE_CURVE('',#174180,#174181,#102689,.T.); #218236=EDGE_CURVE('',#174182,#174180,#102690,.T.); #218237=EDGE_CURVE('',#174183,#174181,#102691,.T.); #218238=EDGE_CURVE('',#174182,#174183,#102692,.T.); #218239=EDGE_CURVE('',#174184,#174182,#102693,.T.); #218240=EDGE_CURVE('',#174185,#174183,#102694,.T.); #218241=EDGE_CURVE('',#174184,#174185,#102695,.T.); #218242=EDGE_CURVE('',#174186,#174184,#102696,.T.); #218243=EDGE_CURVE('',#174187,#174185,#102697,.T.); #218244=EDGE_CURVE('',#174186,#174187,#102698,.T.); #218245=EDGE_CURVE('',#174188,#174186,#102699,.T.); #218246=EDGE_CURVE('',#174189,#174187,#102700,.T.); #218247=EDGE_CURVE('',#174188,#174189,#102701,.T.); #218248=EDGE_CURVE('',#174190,#174188,#102702,.T.); #218249=EDGE_CURVE('',#174191,#174189,#102703,.T.); #218250=EDGE_CURVE('',#174190,#174191,#102704,.T.); #218251=EDGE_CURVE('',#174192,#174190,#102705,.T.); #218252=EDGE_CURVE('',#174193,#174191,#102706,.T.); #218253=EDGE_CURVE('',#174192,#174193,#102707,.T.); #218254=EDGE_CURVE('',#174194,#174192,#102708,.T.); #218255=EDGE_CURVE('',#174195,#174193,#102709,.T.); #218256=EDGE_CURVE('',#174194,#174195,#102710,.T.); #218257=EDGE_CURVE('',#174196,#174194,#102711,.T.); #218258=EDGE_CURVE('',#174197,#174195,#102712,.T.); #218259=EDGE_CURVE('',#174196,#174197,#102713,.T.); #218260=EDGE_CURVE('',#174198,#174196,#102714,.T.); #218261=EDGE_CURVE('',#174199,#174197,#102715,.T.); #218262=EDGE_CURVE('',#174198,#174199,#102716,.T.); #218263=EDGE_CURVE('',#174200,#174198,#102717,.T.); #218264=EDGE_CURVE('',#174201,#174199,#102718,.T.); #218265=EDGE_CURVE('',#174200,#174201,#102719,.T.); #218266=EDGE_CURVE('',#174202,#174200,#102720,.T.); #218267=EDGE_CURVE('',#174203,#174201,#102721,.T.); #218268=EDGE_CURVE('',#174202,#174203,#102722,.T.); #218269=EDGE_CURVE('',#174204,#174202,#102723,.T.); #218270=EDGE_CURVE('',#174205,#174203,#102724,.T.); #218271=EDGE_CURVE('',#174204,#174205,#102725,.T.); #218272=EDGE_CURVE('',#174206,#174204,#102726,.T.); #218273=EDGE_CURVE('',#174207,#174205,#102727,.T.); #218274=EDGE_CURVE('',#174206,#174207,#102728,.T.); #218275=EDGE_CURVE('',#174208,#174206,#102729,.T.); #218276=EDGE_CURVE('',#174209,#174207,#102730,.T.); #218277=EDGE_CURVE('',#174208,#174209,#102731,.T.); #218278=EDGE_CURVE('',#174210,#174208,#102732,.T.); #218279=EDGE_CURVE('',#174211,#174209,#102733,.T.); #218280=EDGE_CURVE('',#174210,#174211,#102734,.T.); #218281=EDGE_CURVE('',#174212,#174210,#102735,.T.); #218282=EDGE_CURVE('',#174213,#174211,#102736,.T.); #218283=EDGE_CURVE('',#174212,#174213,#102737,.T.); #218284=EDGE_CURVE('',#174214,#174212,#102738,.T.); #218285=EDGE_CURVE('',#174215,#174213,#102739,.T.); #218286=EDGE_CURVE('',#174214,#174215,#102740,.T.); #218287=EDGE_CURVE('',#174216,#174214,#102741,.T.); #218288=EDGE_CURVE('',#174217,#174215,#102742,.T.); #218289=EDGE_CURVE('',#174216,#174217,#102743,.T.); #218290=EDGE_CURVE('',#174218,#174216,#102744,.T.); #218291=EDGE_CURVE('',#174219,#174217,#102745,.T.); #218292=EDGE_CURVE('',#174218,#174219,#102746,.T.); #218293=EDGE_CURVE('',#174220,#174218,#102747,.T.); #218294=EDGE_CURVE('',#174221,#174219,#102748,.T.); #218295=EDGE_CURVE('',#174220,#174221,#102749,.T.); #218296=EDGE_CURVE('',#174222,#174220,#102750,.T.); #218297=EDGE_CURVE('',#174223,#174221,#102751,.T.); #218298=EDGE_CURVE('',#174222,#174223,#102752,.T.); #218299=EDGE_CURVE('',#174224,#174222,#102753,.T.); #218300=EDGE_CURVE('',#174225,#174223,#102754,.T.); #218301=EDGE_CURVE('',#174224,#174225,#102755,.T.); #218302=EDGE_CURVE('',#174226,#174224,#102756,.T.); #218303=EDGE_CURVE('',#174227,#174225,#102757,.T.); #218304=EDGE_CURVE('',#174226,#174227,#102758,.T.); #218305=EDGE_CURVE('',#174228,#174226,#102759,.T.); #218306=EDGE_CURVE('',#174229,#174227,#102760,.T.); #218307=EDGE_CURVE('',#174228,#174229,#102761,.T.); #218308=EDGE_CURVE('',#174230,#174228,#102762,.T.); #218309=EDGE_CURVE('',#174231,#174229,#102763,.T.); #218310=EDGE_CURVE('',#174230,#174231,#102764,.T.); #218311=EDGE_CURVE('',#174232,#174230,#102765,.T.); #218312=EDGE_CURVE('',#174233,#174231,#102766,.T.); #218313=EDGE_CURVE('',#174232,#174233,#102767,.T.); #218314=EDGE_CURVE('',#174234,#174232,#102768,.T.); #218315=EDGE_CURVE('',#174235,#174233,#102769,.T.); #218316=EDGE_CURVE('',#174234,#174235,#102770,.T.); #218317=EDGE_CURVE('',#174236,#174234,#102771,.T.); #218318=EDGE_CURVE('',#174237,#174235,#102772,.T.); #218319=EDGE_CURVE('',#174236,#174237,#102773,.T.); #218320=EDGE_CURVE('',#174238,#174236,#102774,.T.); #218321=EDGE_CURVE('',#174239,#174237,#102775,.T.); #218322=EDGE_CURVE('',#174238,#174239,#102776,.T.); #218323=EDGE_CURVE('',#174240,#174238,#102777,.T.); #218324=EDGE_CURVE('',#174241,#174239,#102778,.T.); #218325=EDGE_CURVE('',#174240,#174241,#102779,.T.); #218326=EDGE_CURVE('',#174242,#174240,#102780,.T.); #218327=EDGE_CURVE('',#174243,#174241,#102781,.T.); #218328=EDGE_CURVE('',#174242,#174243,#102782,.T.); #218329=EDGE_CURVE('',#174244,#174242,#102783,.T.); #218330=EDGE_CURVE('',#174245,#174243,#102784,.T.); #218331=EDGE_CURVE('',#174244,#174245,#102785,.T.); #218332=EDGE_CURVE('',#174246,#174244,#102786,.T.); #218333=EDGE_CURVE('',#174247,#174245,#102787,.T.); #218334=EDGE_CURVE('',#174246,#174247,#102788,.T.); #218335=EDGE_CURVE('',#174248,#174246,#102789,.T.); #218336=EDGE_CURVE('',#174249,#174247,#102790,.T.); #218337=EDGE_CURVE('',#174248,#174249,#102791,.T.); #218338=EDGE_CURVE('',#174250,#174248,#102792,.T.); #218339=EDGE_CURVE('',#174251,#174249,#102793,.T.); #218340=EDGE_CURVE('',#174250,#174251,#102794,.T.); #218341=EDGE_CURVE('',#174252,#174250,#102795,.T.); #218342=EDGE_CURVE('',#174253,#174251,#102796,.T.); #218343=EDGE_CURVE('',#174252,#174253,#102797,.T.); #218344=EDGE_CURVE('',#174254,#174252,#102798,.T.); #218345=EDGE_CURVE('',#174255,#174253,#102799,.T.); #218346=EDGE_CURVE('',#174254,#174255,#102800,.T.); #218347=EDGE_CURVE('',#174256,#174254,#102801,.T.); #218348=EDGE_CURVE('',#174257,#174255,#102802,.T.); #218349=EDGE_CURVE('',#174256,#174257,#102803,.T.); #218350=EDGE_CURVE('',#174258,#174256,#102804,.T.); #218351=EDGE_CURVE('',#174259,#174257,#102805,.T.); #218352=EDGE_CURVE('',#174258,#174259,#102806,.T.); #218353=EDGE_CURVE('',#174260,#174258,#102807,.T.); #218354=EDGE_CURVE('',#174261,#174259,#102808,.T.); #218355=EDGE_CURVE('',#174260,#174261,#102809,.T.); #218356=EDGE_CURVE('',#174262,#174260,#102810,.T.); #218357=EDGE_CURVE('',#174263,#174261,#102811,.T.); #218358=EDGE_CURVE('',#174262,#174263,#102812,.T.); #218359=EDGE_CURVE('',#174264,#174262,#102813,.T.); #218360=EDGE_CURVE('',#174265,#174263,#102814,.T.); #218361=EDGE_CURVE('',#174264,#174265,#102815,.T.); #218362=EDGE_CURVE('',#174266,#174264,#102816,.T.); #218363=EDGE_CURVE('',#174267,#174265,#102817,.T.); #218364=EDGE_CURVE('',#174266,#174267,#102818,.T.); #218365=EDGE_CURVE('',#174268,#174266,#102819,.T.); #218366=EDGE_CURVE('',#174269,#174267,#102820,.T.); #218367=EDGE_CURVE('',#174268,#174269,#102821,.T.); #218368=EDGE_CURVE('',#174159,#174268,#102822,.T.); #218369=EDGE_CURVE('',#174160,#174269,#102823,.T.); #218370=EDGE_CURVE('',#174270,#174270,#68997,.T.); #218371=EDGE_CURVE('',#174270,#174271,#102824,.T.); #218372=EDGE_CURVE('',#174271,#174271,#68998,.T.); #218373=EDGE_CURVE('',#174272,#174273,#102825,.T.); #218374=EDGE_CURVE('',#174273,#174274,#102826,.T.); #218375=EDGE_CURVE('',#174275,#174274,#102827,.T.); #218376=EDGE_CURVE('',#174272,#174275,#102828,.T.); #218377=EDGE_CURVE('',#174276,#174272,#68999,.T.); #218378=EDGE_CURVE('',#174277,#174275,#69000,.T.); #218379=EDGE_CURVE('',#174276,#174277,#102829,.T.); #218380=EDGE_CURVE('',#174273,#174276,#69001,.T.); #218381=EDGE_CURVE('',#174274,#174277,#69002,.T.); #218382=EDGE_CURVE('',#174278,#174278,#69003,.T.); #218383=EDGE_CURVE('',#174278,#174279,#102830,.T.); #218384=EDGE_CURVE('',#174279,#174279,#69004,.T.); #218385=EDGE_CURVE('',#174280,#174281,#102831,.T.); #218386=EDGE_CURVE('',#174281,#174282,#102832,.T.); #218387=EDGE_CURVE('',#174283,#174282,#102833,.T.); #218388=EDGE_CURVE('',#174280,#174283,#102834,.T.); #218389=EDGE_CURVE('',#174284,#174280,#69005,.T.); #218390=EDGE_CURVE('',#174285,#174283,#69006,.T.); #218391=EDGE_CURVE('',#174284,#174285,#102835,.T.); #218392=EDGE_CURVE('',#174281,#174284,#69007,.T.); #218393=EDGE_CURVE('',#174282,#174285,#69008,.T.); #218394=EDGE_CURVE('',#174286,#174286,#69009,.T.); #218395=EDGE_CURVE('',#174286,#174287,#102836,.T.); #218396=EDGE_CURVE('',#174287,#174287,#69010,.T.); #218397=EDGE_CURVE('',#174288,#174289,#102837,.T.); #218398=EDGE_CURVE('',#174289,#174290,#102838,.T.); #218399=EDGE_CURVE('',#174291,#174290,#102839,.T.); #218400=EDGE_CURVE('',#174288,#174291,#102840,.T.); #218401=EDGE_CURVE('',#174292,#174288,#69011,.T.); #218402=EDGE_CURVE('',#174293,#174291,#69012,.T.); #218403=EDGE_CURVE('',#174292,#174293,#102841,.T.); #218404=EDGE_CURVE('',#174289,#174292,#69013,.T.); #218405=EDGE_CURVE('',#174290,#174293,#69014,.T.); #218406=EDGE_CURVE('',#174294,#174294,#69015,.T.); #218407=EDGE_CURVE('',#174294,#174295,#102842,.T.); #218408=EDGE_CURVE('',#174295,#174295,#69016,.T.); #218409=EDGE_CURVE('',#174296,#174297,#102843,.T.); #218410=EDGE_CURVE('',#174297,#174298,#102844,.T.); #218411=EDGE_CURVE('',#174299,#174298,#102845,.T.); #218412=EDGE_CURVE('',#174296,#174299,#102846,.T.); #218413=EDGE_CURVE('',#174300,#174296,#102847,.T.); #218414=EDGE_CURVE('',#174301,#174299,#102848,.T.); #218415=EDGE_CURVE('',#174300,#174301,#102849,.T.); #218416=EDGE_CURVE('',#174302,#174300,#102850,.T.); #218417=EDGE_CURVE('',#174303,#174301,#102851,.T.); #218418=EDGE_CURVE('',#174302,#174303,#102852,.T.); #218419=EDGE_CURVE('',#174297,#174302,#102853,.T.); #218420=EDGE_CURVE('',#174298,#174303,#102854,.T.); #218421=EDGE_CURVE('',#174304,#174304,#69017,.T.); #218422=EDGE_CURVE('',#174304,#174305,#102855,.T.); #218423=EDGE_CURVE('',#174305,#174305,#69018,.T.); #218424=EDGE_CURVE('',#174306,#174307,#102856,.T.); #218425=EDGE_CURVE('',#174307,#174308,#102857,.T.); #218426=EDGE_CURVE('',#174309,#174308,#102858,.T.); #218427=EDGE_CURVE('',#174306,#174309,#102859,.T.); #218428=EDGE_CURVE('',#174310,#174306,#69019,.T.); #218429=EDGE_CURVE('',#174311,#174309,#69020,.T.); #218430=EDGE_CURVE('',#174310,#174311,#102860,.T.); #218431=EDGE_CURVE('',#174307,#174310,#69021,.T.); #218432=EDGE_CURVE('',#174308,#174311,#69022,.T.); #218433=EDGE_CURVE('',#174312,#174313,#102861,.T.); #218434=EDGE_CURVE('',#174313,#174314,#102862,.T.); #218435=EDGE_CURVE('',#174315,#174314,#102863,.T.); #218436=EDGE_CURVE('',#174312,#174315,#102864,.T.); #218437=EDGE_CURVE('',#174316,#174312,#102865,.T.); #218438=EDGE_CURVE('',#174317,#174315,#102866,.T.); #218439=EDGE_CURVE('',#174316,#174317,#102867,.T.); #218440=EDGE_CURVE('',#174318,#174316,#102868,.T.); #218441=EDGE_CURVE('',#174319,#174317,#102869,.T.); #218442=EDGE_CURVE('',#174318,#174319,#102870,.T.); #218443=EDGE_CURVE('',#174313,#174318,#102871,.T.); #218444=EDGE_CURVE('',#174314,#174319,#102872,.T.); #218445=EDGE_CURVE('',#174320,#174321,#102873,.T.); #218446=EDGE_CURVE('',#174321,#174322,#102874,.T.); #218447=EDGE_CURVE('',#174323,#174322,#102875,.T.); #218448=EDGE_CURVE('',#174320,#174323,#102876,.T.); #218449=EDGE_CURVE('',#174324,#174320,#102877,.T.); #218450=EDGE_CURVE('',#174325,#174323,#102878,.T.); #218451=EDGE_CURVE('',#174324,#174325,#102879,.T.); #218452=EDGE_CURVE('',#174326,#174324,#102880,.T.); #218453=EDGE_CURVE('',#174327,#174325,#102881,.T.); #218454=EDGE_CURVE('',#174326,#174327,#102882,.T.); #218455=EDGE_CURVE('',#174321,#174326,#102883,.T.); #218456=EDGE_CURVE('',#174322,#174327,#102884,.T.); #218457=EDGE_CURVE('',#174328,#174329,#102885,.T.); #218458=EDGE_CURVE('',#174329,#174330,#102886,.T.); #218459=EDGE_CURVE('',#174331,#174330,#102887,.T.); #218460=EDGE_CURVE('',#174328,#174331,#102888,.T.); #218461=EDGE_CURVE('',#174332,#174328,#102889,.T.); #218462=EDGE_CURVE('',#174333,#174331,#102890,.T.); #218463=EDGE_CURVE('',#174332,#174333,#102891,.T.); #218464=EDGE_CURVE('',#174334,#174332,#102892,.T.); #218465=EDGE_CURVE('',#174335,#174333,#102893,.T.); #218466=EDGE_CURVE('',#174334,#174335,#102894,.T.); #218467=EDGE_CURVE('',#174329,#174334,#102895,.T.); #218468=EDGE_CURVE('',#174330,#174335,#102896,.T.); #218469=EDGE_CURVE('',#174336,#174337,#102897,.T.); #218470=EDGE_CURVE('',#174337,#174338,#102898,.T.); #218471=EDGE_CURVE('',#174339,#174338,#102899,.T.); #218472=EDGE_CURVE('',#174336,#174339,#102900,.T.); #218473=EDGE_CURVE('',#174340,#174336,#102901,.T.); #218474=EDGE_CURVE('',#174341,#174339,#102902,.T.); #218475=EDGE_CURVE('',#174340,#174341,#102903,.T.); #218476=EDGE_CURVE('',#174342,#174340,#102904,.T.); #218477=EDGE_CURVE('',#174343,#174341,#102905,.T.); #218478=EDGE_CURVE('',#174342,#174343,#102906,.T.); #218479=EDGE_CURVE('',#174337,#174342,#102907,.T.); #218480=EDGE_CURVE('',#174338,#174343,#102908,.T.); #218481=EDGE_CURVE('',#174344,#174345,#102909,.T.); #218482=EDGE_CURVE('',#174345,#174346,#102910,.T.); #218483=EDGE_CURVE('',#174347,#174346,#102911,.T.); #218484=EDGE_CURVE('',#174344,#174347,#102912,.T.); #218485=EDGE_CURVE('',#174348,#174344,#102913,.T.); #218486=EDGE_CURVE('',#174349,#174347,#102914,.T.); #218487=EDGE_CURVE('',#174348,#174349,#102915,.T.); #218488=EDGE_CURVE('',#174350,#174348,#102916,.T.); #218489=EDGE_CURVE('',#174351,#174349,#102917,.T.); #218490=EDGE_CURVE('',#174350,#174351,#102918,.T.); #218491=EDGE_CURVE('',#174345,#174350,#102919,.T.); #218492=EDGE_CURVE('',#174346,#174351,#102920,.T.); #218493=EDGE_CURVE('',#174352,#174353,#102921,.T.); #218494=EDGE_CURVE('',#174353,#174354,#102922,.T.); #218495=EDGE_CURVE('',#174355,#174354,#102923,.T.); #218496=EDGE_CURVE('',#174352,#174355,#102924,.T.); #218497=EDGE_CURVE('',#174356,#174352,#102925,.T.); #218498=EDGE_CURVE('',#174357,#174355,#102926,.T.); #218499=EDGE_CURVE('',#174356,#174357,#102927,.T.); #218500=EDGE_CURVE('',#174358,#174356,#102928,.T.); #218501=EDGE_CURVE('',#174359,#174357,#102929,.T.); #218502=EDGE_CURVE('',#174358,#174359,#102930,.T.); #218503=EDGE_CURVE('',#174353,#174358,#102931,.T.); #218504=EDGE_CURVE('',#174354,#174359,#102932,.T.); #218505=EDGE_CURVE('',#174360,#174361,#102933,.T.); #218506=EDGE_CURVE('',#174361,#174362,#102934,.T.); #218507=EDGE_CURVE('',#174363,#174362,#102935,.T.); #218508=EDGE_CURVE('',#174360,#174363,#102936,.T.); #218509=EDGE_CURVE('',#174364,#174360,#102937,.T.); #218510=EDGE_CURVE('',#174365,#174363,#102938,.T.); #218511=EDGE_CURVE('',#174364,#174365,#102939,.T.); #218512=EDGE_CURVE('',#174366,#174364,#102940,.T.); #218513=EDGE_CURVE('',#174367,#174365,#102941,.T.); #218514=EDGE_CURVE('',#174366,#174367,#102942,.T.); #218515=EDGE_CURVE('',#174361,#174366,#102943,.T.); #218516=EDGE_CURVE('',#174362,#174367,#102944,.T.); #218517=EDGE_CURVE('',#174368,#174369,#102945,.T.); #218518=EDGE_CURVE('',#174369,#174370,#102946,.T.); #218519=EDGE_CURVE('',#174371,#174370,#102947,.T.); #218520=EDGE_CURVE('',#174368,#174371,#102948,.T.); #218521=EDGE_CURVE('',#174372,#174368,#102949,.T.); #218522=EDGE_CURVE('',#174373,#174371,#102950,.T.); #218523=EDGE_CURVE('',#174372,#174373,#102951,.T.); #218524=EDGE_CURVE('',#174374,#174372,#102952,.T.); #218525=EDGE_CURVE('',#174375,#174373,#102953,.T.); #218526=EDGE_CURVE('',#174374,#174375,#102954,.T.); #218527=EDGE_CURVE('',#174369,#174374,#102955,.T.); #218528=EDGE_CURVE('',#174370,#174375,#102956,.T.); #218529=EDGE_CURVE('',#174376,#174377,#102957,.T.); #218530=EDGE_CURVE('',#174377,#174378,#102958,.T.); #218531=EDGE_CURVE('',#174379,#174378,#102959,.T.); #218532=EDGE_CURVE('',#174376,#174379,#102960,.T.); #218533=EDGE_CURVE('',#174380,#174376,#102961,.T.); #218534=EDGE_CURVE('',#174381,#174379,#102962,.T.); #218535=EDGE_CURVE('',#174380,#174381,#102963,.T.); #218536=EDGE_CURVE('',#174382,#174380,#102964,.T.); #218537=EDGE_CURVE('',#174383,#174381,#102965,.T.); #218538=EDGE_CURVE('',#174382,#174383,#102966,.T.); #218539=EDGE_CURVE('',#174377,#174382,#102967,.T.); #218540=EDGE_CURVE('',#174378,#174383,#102968,.T.); #218541=EDGE_CURVE('',#174384,#174385,#102969,.T.); #218542=EDGE_CURVE('',#174385,#174386,#102970,.T.); #218543=EDGE_CURVE('',#174387,#174386,#102971,.T.); #218544=EDGE_CURVE('',#174384,#174387,#102972,.T.); #218545=EDGE_CURVE('',#174388,#174384,#102973,.T.); #218546=EDGE_CURVE('',#174389,#174387,#102974,.T.); #218547=EDGE_CURVE('',#174388,#174389,#102975,.T.); #218548=EDGE_CURVE('',#174390,#174388,#102976,.T.); #218549=EDGE_CURVE('',#174391,#174389,#102977,.T.); #218550=EDGE_CURVE('',#174390,#174391,#102978,.T.); #218551=EDGE_CURVE('',#174385,#174390,#102979,.T.); #218552=EDGE_CURVE('',#174386,#174391,#102980,.T.); #218553=EDGE_CURVE('',#174392,#174393,#102981,.T.); #218554=EDGE_CURVE('',#174393,#174394,#102982,.T.); #218555=EDGE_CURVE('',#174395,#174394,#102983,.T.); #218556=EDGE_CURVE('',#174392,#174395,#102984,.T.); #218557=EDGE_CURVE('',#174396,#174392,#102985,.T.); #218558=EDGE_CURVE('',#174397,#174395,#102986,.T.); #218559=EDGE_CURVE('',#174396,#174397,#102987,.T.); #218560=EDGE_CURVE('',#174398,#174396,#102988,.T.); #218561=EDGE_CURVE('',#174399,#174397,#102989,.T.); #218562=EDGE_CURVE('',#174398,#174399,#102990,.T.); #218563=EDGE_CURVE('',#174393,#174398,#102991,.T.); #218564=EDGE_CURVE('',#174394,#174399,#102992,.T.); #218565=EDGE_CURVE('',#174400,#174401,#102993,.T.); #218566=EDGE_CURVE('',#174401,#174402,#102994,.T.); #218567=EDGE_CURVE('',#174403,#174402,#102995,.T.); #218568=EDGE_CURVE('',#174400,#174403,#102996,.T.); #218569=EDGE_CURVE('',#174404,#174400,#102997,.T.); #218570=EDGE_CURVE('',#174405,#174403,#102998,.T.); #218571=EDGE_CURVE('',#174404,#174405,#102999,.T.); #218572=EDGE_CURVE('',#174406,#174404,#103000,.T.); #218573=EDGE_CURVE('',#174407,#174405,#103001,.T.); #218574=EDGE_CURVE('',#174406,#174407,#103002,.T.); #218575=EDGE_CURVE('',#174401,#174406,#103003,.T.); #218576=EDGE_CURVE('',#174402,#174407,#103004,.T.); #218577=EDGE_CURVE('',#174408,#174409,#103005,.T.); #218578=EDGE_CURVE('',#174409,#174410,#103006,.T.); #218579=EDGE_CURVE('',#174411,#174410,#103007,.T.); #218580=EDGE_CURVE('',#174408,#174411,#103008,.T.); #218581=EDGE_CURVE('',#174412,#174408,#103009,.T.); #218582=EDGE_CURVE('',#174413,#174411,#103010,.T.); #218583=EDGE_CURVE('',#174412,#174413,#103011,.T.); #218584=EDGE_CURVE('',#174414,#174412,#103012,.T.); #218585=EDGE_CURVE('',#174415,#174413,#103013,.T.); #218586=EDGE_CURVE('',#174414,#174415,#103014,.T.); #218587=EDGE_CURVE('',#174409,#174414,#103015,.T.); #218588=EDGE_CURVE('',#174410,#174415,#103016,.T.); #218589=EDGE_CURVE('',#174416,#174417,#103017,.T.); #218590=EDGE_CURVE('',#174417,#174418,#103018,.T.); #218591=EDGE_CURVE('',#174419,#174418,#103019,.T.); #218592=EDGE_CURVE('',#174416,#174419,#103020,.T.); #218593=EDGE_CURVE('',#174420,#174416,#103021,.T.); #218594=EDGE_CURVE('',#174421,#174419,#103022,.T.); #218595=EDGE_CURVE('',#174420,#174421,#103023,.T.); #218596=EDGE_CURVE('',#174422,#174420,#103024,.T.); #218597=EDGE_CURVE('',#174423,#174421,#103025,.T.); #218598=EDGE_CURVE('',#174422,#174423,#103026,.T.); #218599=EDGE_CURVE('',#174417,#174422,#103027,.T.); #218600=EDGE_CURVE('',#174418,#174423,#103028,.T.); #218601=EDGE_CURVE('',#174424,#174425,#103029,.T.); #218602=EDGE_CURVE('',#174425,#174426,#103030,.T.); #218603=EDGE_CURVE('',#174427,#174426,#103031,.T.); #218604=EDGE_CURVE('',#174424,#174427,#103032,.T.); #218605=EDGE_CURVE('',#174428,#174424,#103033,.T.); #218606=EDGE_CURVE('',#174429,#174427,#103034,.T.); #218607=EDGE_CURVE('',#174428,#174429,#103035,.T.); #218608=EDGE_CURVE('',#174430,#174428,#103036,.T.); #218609=EDGE_CURVE('',#174431,#174429,#103037,.T.); #218610=EDGE_CURVE('',#174430,#174431,#103038,.T.); #218611=EDGE_CURVE('',#174425,#174430,#103039,.T.); #218612=EDGE_CURVE('',#174426,#174431,#103040,.T.); #218613=EDGE_CURVE('',#174432,#174433,#103041,.T.); #218614=EDGE_CURVE('',#174433,#174434,#103042,.T.); #218615=EDGE_CURVE('',#174435,#174434,#103043,.T.); #218616=EDGE_CURVE('',#174432,#174435,#103044,.T.); #218617=EDGE_CURVE('',#174436,#174432,#103045,.T.); #218618=EDGE_CURVE('',#174437,#174435,#103046,.T.); #218619=EDGE_CURVE('',#174436,#174437,#103047,.T.); #218620=EDGE_CURVE('',#174438,#174436,#103048,.T.); #218621=EDGE_CURVE('',#174439,#174437,#103049,.T.); #218622=EDGE_CURVE('',#174438,#174439,#103050,.T.); #218623=EDGE_CURVE('',#174433,#174438,#103051,.T.); #218624=EDGE_CURVE('',#174434,#174439,#103052,.T.); #218625=EDGE_CURVE('',#174440,#174441,#103053,.T.); #218626=EDGE_CURVE('',#174441,#174442,#103054,.T.); #218627=EDGE_CURVE('',#174443,#174442,#103055,.T.); #218628=EDGE_CURVE('',#174440,#174443,#103056,.T.); #218629=EDGE_CURVE('',#174444,#174440,#103057,.T.); #218630=EDGE_CURVE('',#174445,#174443,#103058,.T.); #218631=EDGE_CURVE('',#174444,#174445,#103059,.T.); #218632=EDGE_CURVE('',#174446,#174444,#103060,.T.); #218633=EDGE_CURVE('',#174447,#174445,#103061,.T.); #218634=EDGE_CURVE('',#174446,#174447,#103062,.T.); #218635=EDGE_CURVE('',#174441,#174446,#103063,.T.); #218636=EDGE_CURVE('',#174442,#174447,#103064,.T.); #218637=EDGE_CURVE('',#174448,#174449,#103065,.T.); #218638=EDGE_CURVE('',#174449,#174450,#103066,.T.); #218639=EDGE_CURVE('',#174451,#174450,#103067,.T.); #218640=EDGE_CURVE('',#174448,#174451,#103068,.T.); #218641=EDGE_CURVE('',#174452,#174448,#103069,.T.); #218642=EDGE_CURVE('',#174453,#174451,#103070,.T.); #218643=EDGE_CURVE('',#174452,#174453,#103071,.T.); #218644=EDGE_CURVE('',#174454,#174452,#103072,.T.); #218645=EDGE_CURVE('',#174455,#174453,#103073,.T.); #218646=EDGE_CURVE('',#174454,#174455,#103074,.T.); #218647=EDGE_CURVE('',#174449,#174454,#103075,.T.); #218648=EDGE_CURVE('',#174450,#174455,#103076,.T.); #218649=EDGE_CURVE('',#174456,#174457,#103077,.T.); #218650=EDGE_CURVE('',#174457,#174458,#103078,.T.); #218651=EDGE_CURVE('',#174459,#174458,#103079,.T.); #218652=EDGE_CURVE('',#174456,#174459,#103080,.T.); #218653=EDGE_CURVE('',#174460,#174456,#103081,.T.); #218654=EDGE_CURVE('',#174461,#174459,#103082,.T.); #218655=EDGE_CURVE('',#174460,#174461,#103083,.T.); #218656=EDGE_CURVE('',#174462,#174460,#103084,.T.); #218657=EDGE_CURVE('',#174463,#174461,#103085,.T.); #218658=EDGE_CURVE('',#174462,#174463,#103086,.T.); #218659=EDGE_CURVE('',#174457,#174462,#103087,.T.); #218660=EDGE_CURVE('',#174458,#174463,#103088,.T.); #218661=EDGE_CURVE('',#174464,#174465,#103089,.T.); #218662=EDGE_CURVE('',#174465,#174466,#103090,.T.); #218663=EDGE_CURVE('',#174467,#174466,#103091,.T.); #218664=EDGE_CURVE('',#174464,#174467,#103092,.T.); #218665=EDGE_CURVE('',#174468,#174464,#103093,.T.); #218666=EDGE_CURVE('',#174469,#174467,#103094,.T.); #218667=EDGE_CURVE('',#174468,#174469,#103095,.T.); #218668=EDGE_CURVE('',#174470,#174468,#103096,.T.); #218669=EDGE_CURVE('',#174471,#174469,#103097,.T.); #218670=EDGE_CURVE('',#174470,#174471,#103098,.T.); #218671=EDGE_CURVE('',#174465,#174470,#103099,.T.); #218672=EDGE_CURVE('',#174466,#174471,#103100,.T.); #218673=EDGE_CURVE('',#174472,#174473,#103101,.T.); #218674=EDGE_CURVE('',#174473,#174474,#103102,.T.); #218675=EDGE_CURVE('',#174475,#174474,#103103,.T.); #218676=EDGE_CURVE('',#174472,#174475,#103104,.T.); #218677=EDGE_CURVE('',#174476,#174472,#103105,.T.); #218678=EDGE_CURVE('',#174477,#174475,#103106,.T.); #218679=EDGE_CURVE('',#174476,#174477,#103107,.T.); #218680=EDGE_CURVE('',#174478,#174476,#103108,.T.); #218681=EDGE_CURVE('',#174479,#174477,#103109,.T.); #218682=EDGE_CURVE('',#174478,#174479,#103110,.T.); #218683=EDGE_CURVE('',#174473,#174478,#103111,.T.); #218684=EDGE_CURVE('',#174474,#174479,#103112,.T.); #218685=EDGE_CURVE('',#174480,#174481,#103113,.T.); #218686=EDGE_CURVE('',#174481,#174482,#103114,.T.); #218687=EDGE_CURVE('',#174483,#174482,#103115,.T.); #218688=EDGE_CURVE('',#174480,#174483,#103116,.T.); #218689=EDGE_CURVE('',#174484,#174480,#103117,.T.); #218690=EDGE_CURVE('',#174485,#174483,#103118,.T.); #218691=EDGE_CURVE('',#174484,#174485,#103119,.T.); #218692=EDGE_CURVE('',#174486,#174484,#103120,.T.); #218693=EDGE_CURVE('',#174487,#174485,#103121,.T.); #218694=EDGE_CURVE('',#174486,#174487,#103122,.T.); #218695=EDGE_CURVE('',#174481,#174486,#103123,.T.); #218696=EDGE_CURVE('',#174482,#174487,#103124,.T.); #218697=EDGE_CURVE('',#174488,#174489,#103125,.T.); #218698=EDGE_CURVE('',#174489,#174490,#103126,.T.); #218699=EDGE_CURVE('',#174491,#174490,#103127,.T.); #218700=EDGE_CURVE('',#174488,#174491,#103128,.T.); #218701=EDGE_CURVE('',#174492,#174488,#103129,.T.); #218702=EDGE_CURVE('',#174493,#174491,#103130,.T.); #218703=EDGE_CURVE('',#174492,#174493,#103131,.T.); #218704=EDGE_CURVE('',#174494,#174492,#103132,.T.); #218705=EDGE_CURVE('',#174495,#174493,#103133,.T.); #218706=EDGE_CURVE('',#174494,#174495,#103134,.T.); #218707=EDGE_CURVE('',#174489,#174494,#103135,.T.); #218708=EDGE_CURVE('',#174490,#174495,#103136,.T.); #218709=EDGE_CURVE('',#174496,#174496,#69023,.T.); #218710=EDGE_CURVE('',#174496,#174497,#103137,.T.); #218711=EDGE_CURVE('',#174497,#174497,#69024,.T.); #218712=EDGE_CURVE('',#174498,#174498,#69025,.T.); #218713=EDGE_CURVE('',#174498,#174499,#103138,.T.); #218714=EDGE_CURVE('',#174499,#174499,#69026,.T.); #218715=EDGE_CURVE('',#174500,#174501,#103139,.T.); #218716=EDGE_CURVE('',#174501,#174502,#103140,.T.); #218717=EDGE_CURVE('',#174503,#174502,#103141,.T.); #218718=EDGE_CURVE('',#174500,#174503,#103142,.T.); #218719=EDGE_CURVE('',#174504,#174500,#103143,.T.); #218720=EDGE_CURVE('',#174505,#174503,#103144,.T.); #218721=EDGE_CURVE('',#174504,#174505,#103145,.T.); #218722=EDGE_CURVE('',#174506,#174504,#103146,.T.); #218723=EDGE_CURVE('',#174507,#174505,#103147,.T.); #218724=EDGE_CURVE('',#174506,#174507,#103148,.T.); #218725=EDGE_CURVE('',#174501,#174506,#103149,.T.); #218726=EDGE_CURVE('',#174502,#174507,#103150,.T.); #218727=EDGE_CURVE('',#174508,#174509,#103151,.T.); #218728=EDGE_CURVE('',#174509,#174510,#103152,.T.); #218729=EDGE_CURVE('',#174511,#174510,#103153,.T.); #218730=EDGE_CURVE('',#174508,#174511,#103154,.T.); #218731=EDGE_CURVE('',#174512,#174508,#103155,.T.); #218732=EDGE_CURVE('',#174513,#174511,#103156,.T.); #218733=EDGE_CURVE('',#174512,#174513,#103157,.T.); #218734=EDGE_CURVE('',#174514,#174512,#103158,.T.); #218735=EDGE_CURVE('',#174515,#174513,#103159,.T.); #218736=EDGE_CURVE('',#174514,#174515,#103160,.T.); #218737=EDGE_CURVE('',#174509,#174514,#103161,.T.); #218738=EDGE_CURVE('',#174510,#174515,#103162,.T.); #218739=EDGE_CURVE('',#174516,#174516,#69027,.T.); #218740=EDGE_CURVE('',#174516,#174517,#103163,.T.); #218741=EDGE_CURVE('',#174517,#174517,#69028,.T.); #218742=EDGE_CURVE('',#174518,#174518,#69029,.T.); #218743=EDGE_CURVE('',#174518,#174519,#103164,.T.); #218744=EDGE_CURVE('',#174519,#174519,#69030,.T.); #218745=EDGE_CURVE('',#174520,#174521,#103165,.T.); #218746=EDGE_CURVE('',#174521,#174522,#103166,.T.); #218747=EDGE_CURVE('',#174523,#174522,#103167,.T.); #218748=EDGE_CURVE('',#174520,#174523,#103168,.T.); #218749=EDGE_CURVE('',#174524,#174520,#103169,.T.); #218750=EDGE_CURVE('',#174525,#174523,#103170,.T.); #218751=EDGE_CURVE('',#174524,#174525,#103171,.T.); #218752=EDGE_CURVE('',#174526,#174524,#103172,.T.); #218753=EDGE_CURVE('',#174527,#174525,#103173,.T.); #218754=EDGE_CURVE('',#174526,#174527,#103174,.T.); #218755=EDGE_CURVE('',#174521,#174526,#103175,.T.); #218756=EDGE_CURVE('',#174522,#174527,#103176,.T.); #218757=EDGE_CURVE('',#174528,#174529,#103177,.T.); #218758=EDGE_CURVE('',#174529,#174530,#103178,.T.); #218759=EDGE_CURVE('',#174531,#174530,#103179,.T.); #218760=EDGE_CURVE('',#174528,#174531,#103180,.T.); #218761=EDGE_CURVE('',#174532,#174528,#103181,.T.); #218762=EDGE_CURVE('',#174533,#174531,#103182,.T.); #218763=EDGE_CURVE('',#174532,#174533,#103183,.T.); #218764=EDGE_CURVE('',#174534,#174532,#103184,.T.); #218765=EDGE_CURVE('',#174535,#174533,#103185,.T.); #218766=EDGE_CURVE('',#174534,#174535,#103186,.T.); #218767=EDGE_CURVE('',#174529,#174534,#103187,.T.); #218768=EDGE_CURVE('',#174530,#174535,#103188,.T.); #218769=EDGE_CURVE('',#174536,#174537,#103189,.T.); #218770=EDGE_CURVE('',#174537,#174538,#103190,.T.); #218771=EDGE_CURVE('',#174539,#174538,#103191,.T.); #218772=EDGE_CURVE('',#174536,#174539,#103192,.T.); #218773=EDGE_CURVE('',#174540,#174536,#103193,.T.); #218774=EDGE_CURVE('',#174541,#174539,#103194,.T.); #218775=EDGE_CURVE('',#174540,#174541,#103195,.T.); #218776=EDGE_CURVE('',#174542,#174540,#103196,.T.); #218777=EDGE_CURVE('',#174543,#174541,#103197,.T.); #218778=EDGE_CURVE('',#174542,#174543,#103198,.T.); #218779=EDGE_CURVE('',#174537,#174542,#103199,.T.); #218780=EDGE_CURVE('',#174538,#174543,#103200,.T.); #218781=EDGE_CURVE('',#174544,#174545,#103201,.T.); #218782=EDGE_CURVE('',#174545,#174546,#103202,.T.); #218783=EDGE_CURVE('',#174547,#174546,#103203,.T.); #218784=EDGE_CURVE('',#174544,#174547,#103204,.T.); #218785=EDGE_CURVE('',#174548,#174544,#103205,.T.); #218786=EDGE_CURVE('',#174549,#174547,#103206,.T.); #218787=EDGE_CURVE('',#174548,#174549,#103207,.T.); #218788=EDGE_CURVE('',#174550,#174548,#103208,.T.); #218789=EDGE_CURVE('',#174551,#174549,#103209,.T.); #218790=EDGE_CURVE('',#174550,#174551,#103210,.T.); #218791=EDGE_CURVE('',#174545,#174550,#103211,.T.); #218792=EDGE_CURVE('',#174546,#174551,#103212,.T.); #218793=EDGE_CURVE('',#174552,#174553,#103213,.T.); #218794=EDGE_CURVE('',#174553,#174554,#103214,.T.); #218795=EDGE_CURVE('',#174555,#174554,#103215,.T.); #218796=EDGE_CURVE('',#174552,#174555,#103216,.T.); #218797=EDGE_CURVE('',#174556,#174552,#103217,.T.); #218798=EDGE_CURVE('',#174557,#174555,#103218,.T.); #218799=EDGE_CURVE('',#174556,#174557,#103219,.T.); #218800=EDGE_CURVE('',#174558,#174556,#103220,.T.); #218801=EDGE_CURVE('',#174559,#174557,#103221,.T.); #218802=EDGE_CURVE('',#174558,#174559,#103222,.T.); #218803=EDGE_CURVE('',#174553,#174558,#103223,.T.); #218804=EDGE_CURVE('',#174554,#174559,#103224,.T.); #218805=EDGE_CURVE('',#174560,#174561,#103225,.T.); #218806=EDGE_CURVE('',#174561,#174562,#103226,.T.); #218807=EDGE_CURVE('',#174563,#174562,#103227,.T.); #218808=EDGE_CURVE('',#174560,#174563,#103228,.T.); #218809=EDGE_CURVE('',#174564,#174560,#103229,.T.); #218810=EDGE_CURVE('',#174565,#174563,#103230,.T.); #218811=EDGE_CURVE('',#174564,#174565,#103231,.T.); #218812=EDGE_CURVE('',#174566,#174564,#103232,.T.); #218813=EDGE_CURVE('',#174567,#174565,#103233,.T.); #218814=EDGE_CURVE('',#174566,#174567,#103234,.T.); #218815=EDGE_CURVE('',#174561,#174566,#103235,.T.); #218816=EDGE_CURVE('',#174562,#174567,#103236,.T.); #218817=EDGE_CURVE('',#174568,#174569,#103237,.T.); #218818=EDGE_CURVE('',#174569,#174570,#103238,.T.); #218819=EDGE_CURVE('',#174571,#174570,#103239,.T.); #218820=EDGE_CURVE('',#174568,#174571,#103240,.T.); #218821=EDGE_CURVE('',#174572,#174568,#103241,.T.); #218822=EDGE_CURVE('',#174573,#174571,#103242,.T.); #218823=EDGE_CURVE('',#174572,#174573,#103243,.T.); #218824=EDGE_CURVE('',#174574,#174572,#103244,.T.); #218825=EDGE_CURVE('',#174575,#174573,#103245,.T.); #218826=EDGE_CURVE('',#174574,#174575,#103246,.T.); #218827=EDGE_CURVE('',#174569,#174574,#103247,.T.); #218828=EDGE_CURVE('',#174570,#174575,#103248,.T.); #218829=EDGE_CURVE('',#174576,#174577,#103249,.T.); #218830=EDGE_CURVE('',#174577,#174578,#103250,.T.); #218831=EDGE_CURVE('',#174579,#174578,#103251,.T.); #218832=EDGE_CURVE('',#174576,#174579,#103252,.T.); #218833=EDGE_CURVE('',#174580,#174576,#103253,.T.); #218834=EDGE_CURVE('',#174581,#174579,#103254,.T.); #218835=EDGE_CURVE('',#174580,#174581,#103255,.T.); #218836=EDGE_CURVE('',#174582,#174580,#103256,.T.); #218837=EDGE_CURVE('',#174583,#174581,#103257,.T.); #218838=EDGE_CURVE('',#174582,#174583,#103258,.T.); #218839=EDGE_CURVE('',#174577,#174582,#103259,.T.); #218840=EDGE_CURVE('',#174578,#174583,#103260,.T.); #218841=EDGE_CURVE('',#174584,#174585,#103261,.T.); #218842=EDGE_CURVE('',#174585,#174586,#103262,.T.); #218843=EDGE_CURVE('',#174587,#174586,#103263,.T.); #218844=EDGE_CURVE('',#174584,#174587,#103264,.T.); #218845=EDGE_CURVE('',#174588,#174584,#103265,.T.); #218846=EDGE_CURVE('',#174589,#174587,#103266,.T.); #218847=EDGE_CURVE('',#174588,#174589,#103267,.T.); #218848=EDGE_CURVE('',#174590,#174588,#103268,.T.); #218849=EDGE_CURVE('',#174591,#174589,#103269,.T.); #218850=EDGE_CURVE('',#174590,#174591,#103270,.T.); #218851=EDGE_CURVE('',#174585,#174590,#103271,.T.); #218852=EDGE_CURVE('',#174586,#174591,#103272,.T.); #218853=EDGE_CURVE('',#174592,#174593,#103273,.T.); #218854=EDGE_CURVE('',#174593,#174594,#103274,.T.); #218855=EDGE_CURVE('',#174595,#174594,#103275,.T.); #218856=EDGE_CURVE('',#174592,#174595,#103276,.T.); #218857=EDGE_CURVE('',#174596,#174592,#103277,.T.); #218858=EDGE_CURVE('',#174597,#174595,#103278,.T.); #218859=EDGE_CURVE('',#174596,#174597,#103279,.T.); #218860=EDGE_CURVE('',#174598,#174596,#103280,.T.); #218861=EDGE_CURVE('',#174599,#174597,#103281,.T.); #218862=EDGE_CURVE('',#174598,#174599,#103282,.T.); #218863=EDGE_CURVE('',#174593,#174598,#103283,.T.); #218864=EDGE_CURVE('',#174594,#174599,#103284,.T.); #218865=EDGE_CURVE('',#174600,#174601,#103285,.T.); #218866=EDGE_CURVE('',#174601,#174602,#103286,.T.); #218867=EDGE_CURVE('',#174603,#174602,#103287,.T.); #218868=EDGE_CURVE('',#174600,#174603,#103288,.T.); #218869=EDGE_CURVE('',#174604,#174600,#103289,.T.); #218870=EDGE_CURVE('',#174605,#174603,#103290,.T.); #218871=EDGE_CURVE('',#174604,#174605,#103291,.T.); #218872=EDGE_CURVE('',#174606,#174604,#103292,.T.); #218873=EDGE_CURVE('',#174607,#174605,#103293,.T.); #218874=EDGE_CURVE('',#174606,#174607,#103294,.T.); #218875=EDGE_CURVE('',#174601,#174606,#103295,.T.); #218876=EDGE_CURVE('',#174602,#174607,#103296,.T.); #218877=EDGE_CURVE('',#174608,#174609,#103297,.T.); #218878=EDGE_CURVE('',#174609,#174610,#103298,.T.); #218879=EDGE_CURVE('',#174611,#174610,#103299,.T.); #218880=EDGE_CURVE('',#174608,#174611,#103300,.T.); #218881=EDGE_CURVE('',#174612,#174608,#103301,.T.); #218882=EDGE_CURVE('',#174613,#174611,#103302,.T.); #218883=EDGE_CURVE('',#174612,#174613,#103303,.T.); #218884=EDGE_CURVE('',#174614,#174612,#103304,.T.); #218885=EDGE_CURVE('',#174615,#174613,#103305,.T.); #218886=EDGE_CURVE('',#174614,#174615,#103306,.T.); #218887=EDGE_CURVE('',#174609,#174614,#103307,.T.); #218888=EDGE_CURVE('',#174610,#174615,#103308,.T.); #218889=EDGE_CURVE('',#174616,#174617,#103309,.T.); #218890=EDGE_CURVE('',#174617,#174618,#103310,.T.); #218891=EDGE_CURVE('',#174619,#174618,#103311,.T.); #218892=EDGE_CURVE('',#174616,#174619,#103312,.T.); #218893=EDGE_CURVE('',#174620,#174616,#103313,.T.); #218894=EDGE_CURVE('',#174621,#174619,#103314,.T.); #218895=EDGE_CURVE('',#174620,#174621,#103315,.T.); #218896=EDGE_CURVE('',#174622,#174620,#103316,.T.); #218897=EDGE_CURVE('',#174623,#174621,#103317,.T.); #218898=EDGE_CURVE('',#174622,#174623,#103318,.T.); #218899=EDGE_CURVE('',#174617,#174622,#103319,.T.); #218900=EDGE_CURVE('',#174618,#174623,#103320,.T.); #218901=EDGE_CURVE('',#174624,#174625,#103321,.T.); #218902=EDGE_CURVE('',#174625,#174626,#103322,.T.); #218903=EDGE_CURVE('',#174627,#174626,#103323,.T.); #218904=EDGE_CURVE('',#174624,#174627,#103324,.T.); #218905=EDGE_CURVE('',#174628,#174624,#103325,.T.); #218906=EDGE_CURVE('',#174629,#174627,#103326,.T.); #218907=EDGE_CURVE('',#174628,#174629,#103327,.T.); #218908=EDGE_CURVE('',#174630,#174628,#103328,.T.); #218909=EDGE_CURVE('',#174631,#174629,#103329,.T.); #218910=EDGE_CURVE('',#174630,#174631,#103330,.T.); #218911=EDGE_CURVE('',#174625,#174630,#103331,.T.); #218912=EDGE_CURVE('',#174626,#174631,#103332,.T.); #218913=EDGE_CURVE('',#174632,#174633,#103333,.T.); #218914=EDGE_CURVE('',#174633,#174634,#103334,.T.); #218915=EDGE_CURVE('',#174635,#174634,#103335,.T.); #218916=EDGE_CURVE('',#174632,#174635,#103336,.T.); #218917=EDGE_CURVE('',#174636,#174632,#103337,.T.); #218918=EDGE_CURVE('',#174637,#174635,#103338,.T.); #218919=EDGE_CURVE('',#174636,#174637,#103339,.T.); #218920=EDGE_CURVE('',#174638,#174636,#103340,.T.); #218921=EDGE_CURVE('',#174639,#174637,#103341,.T.); #218922=EDGE_CURVE('',#174638,#174639,#103342,.T.); #218923=EDGE_CURVE('',#174633,#174638,#103343,.T.); #218924=EDGE_CURVE('',#174634,#174639,#103344,.T.); #218925=EDGE_CURVE('',#174640,#174641,#103345,.T.); #218926=EDGE_CURVE('',#174641,#174642,#103346,.T.); #218927=EDGE_CURVE('',#174643,#174642,#103347,.T.); #218928=EDGE_CURVE('',#174640,#174643,#103348,.T.); #218929=EDGE_CURVE('',#174644,#174640,#103349,.T.); #218930=EDGE_CURVE('',#174645,#174643,#103350,.T.); #218931=EDGE_CURVE('',#174644,#174645,#103351,.T.); #218932=EDGE_CURVE('',#174646,#174644,#103352,.T.); #218933=EDGE_CURVE('',#174647,#174645,#103353,.T.); #218934=EDGE_CURVE('',#174646,#174647,#103354,.T.); #218935=EDGE_CURVE('',#174641,#174646,#103355,.T.); #218936=EDGE_CURVE('',#174642,#174647,#103356,.T.); #218937=EDGE_CURVE('',#174648,#174649,#103357,.T.); #218938=EDGE_CURVE('',#174649,#174650,#103358,.T.); #218939=EDGE_CURVE('',#174651,#174650,#103359,.T.); #218940=EDGE_CURVE('',#174648,#174651,#103360,.T.); #218941=EDGE_CURVE('',#174652,#174648,#103361,.T.); #218942=EDGE_CURVE('',#174653,#174651,#103362,.T.); #218943=EDGE_CURVE('',#174652,#174653,#103363,.T.); #218944=EDGE_CURVE('',#174654,#174652,#103364,.T.); #218945=EDGE_CURVE('',#174655,#174653,#103365,.T.); #218946=EDGE_CURVE('',#174654,#174655,#103366,.T.); #218947=EDGE_CURVE('',#174649,#174654,#103367,.T.); #218948=EDGE_CURVE('',#174650,#174655,#103368,.T.); #218949=EDGE_CURVE('',#174656,#174656,#69031,.T.); #218950=EDGE_CURVE('',#174656,#174657,#103369,.T.); #218951=EDGE_CURVE('',#174657,#174657,#69032,.T.); #218952=EDGE_CURVE('',#174658,#174659,#103370,.T.); #218953=EDGE_CURVE('',#174659,#174660,#103371,.T.); #218954=EDGE_CURVE('',#174661,#174660,#103372,.T.); #218955=EDGE_CURVE('',#174658,#174661,#103373,.T.); #218956=EDGE_CURVE('',#174662,#174658,#103374,.T.); #218957=EDGE_CURVE('',#174663,#174661,#103375,.T.); #218958=EDGE_CURVE('',#174662,#174663,#103376,.T.); #218959=EDGE_CURVE('',#174664,#174662,#103377,.T.); #218960=EDGE_CURVE('',#174665,#174663,#103378,.T.); #218961=EDGE_CURVE('',#174664,#174665,#103379,.T.); #218962=EDGE_CURVE('',#174659,#174664,#103380,.T.); #218963=EDGE_CURVE('',#174660,#174665,#103381,.T.); #218964=EDGE_CURVE('',#174666,#174667,#103382,.T.); #218965=EDGE_CURVE('',#174667,#174668,#103383,.T.); #218966=EDGE_CURVE('',#174669,#174668,#103384,.T.); #218967=EDGE_CURVE('',#174666,#174669,#103385,.T.); #218968=EDGE_CURVE('',#174670,#174666,#103386,.T.); #218969=EDGE_CURVE('',#174671,#174669,#103387,.T.); #218970=EDGE_CURVE('',#174670,#174671,#103388,.T.); #218971=EDGE_CURVE('',#174672,#174670,#103389,.T.); #218972=EDGE_CURVE('',#174673,#174671,#103390,.T.); #218973=EDGE_CURVE('',#174672,#174673,#103391,.T.); #218974=EDGE_CURVE('',#174667,#174672,#103392,.T.); #218975=EDGE_CURVE('',#174668,#174673,#103393,.T.); #218976=EDGE_CURVE('',#174674,#174675,#103394,.T.); #218977=EDGE_CURVE('',#174675,#174676,#103395,.T.); #218978=EDGE_CURVE('',#174677,#174676,#103396,.T.); #218979=EDGE_CURVE('',#174674,#174677,#103397,.T.); #218980=EDGE_CURVE('',#174678,#174674,#103398,.T.); #218981=EDGE_CURVE('',#174679,#174677,#103399,.T.); #218982=EDGE_CURVE('',#174678,#174679,#103400,.T.); #218983=EDGE_CURVE('',#174680,#174678,#103401,.T.); #218984=EDGE_CURVE('',#174681,#174679,#103402,.T.); #218985=EDGE_CURVE('',#174680,#174681,#103403,.T.); #218986=EDGE_CURVE('',#174675,#174680,#103404,.T.); #218987=EDGE_CURVE('',#174676,#174681,#103405,.T.); #218988=EDGE_CURVE('',#174682,#174683,#103406,.T.); #218989=EDGE_CURVE('',#174683,#174684,#103407,.T.); #218990=EDGE_CURVE('',#174685,#174684,#103408,.T.); #218991=EDGE_CURVE('',#174682,#174685,#103409,.T.); #218992=EDGE_CURVE('',#174686,#174682,#103410,.T.); #218993=EDGE_CURVE('',#174687,#174685,#103411,.T.); #218994=EDGE_CURVE('',#174686,#174687,#103412,.T.); #218995=EDGE_CURVE('',#174688,#174686,#103413,.T.); #218996=EDGE_CURVE('',#174689,#174687,#103414,.T.); #218997=EDGE_CURVE('',#174688,#174689,#103415,.T.); #218998=EDGE_CURVE('',#174683,#174688,#103416,.T.); #218999=EDGE_CURVE('',#174684,#174689,#103417,.T.); #219000=EDGE_CURVE('',#174690,#174691,#103418,.T.); #219001=EDGE_CURVE('',#174691,#174692,#103419,.T.); #219002=EDGE_CURVE('',#174693,#174692,#103420,.T.); #219003=EDGE_CURVE('',#174690,#174693,#103421,.T.); #219004=EDGE_CURVE('',#174694,#174690,#103422,.T.); #219005=EDGE_CURVE('',#174695,#174693,#103423,.T.); #219006=EDGE_CURVE('',#174694,#174695,#103424,.T.); #219007=EDGE_CURVE('',#174696,#174694,#103425,.T.); #219008=EDGE_CURVE('',#174697,#174695,#103426,.T.); #219009=EDGE_CURVE('',#174696,#174697,#103427,.T.); #219010=EDGE_CURVE('',#174691,#174696,#103428,.T.); #219011=EDGE_CURVE('',#174692,#174697,#103429,.T.); #219012=EDGE_CURVE('',#174698,#174698,#69033,.T.); #219013=EDGE_CURVE('',#174698,#174699,#103430,.T.); #219014=EDGE_CURVE('',#174699,#174699,#69034,.T.); #219015=EDGE_CURVE('',#174700,#174700,#69035,.T.); #219016=EDGE_CURVE('',#174700,#174701,#103431,.T.); #219017=EDGE_CURVE('',#174701,#174701,#69036,.T.); #219018=EDGE_CURVE('',#174702,#174702,#69037,.T.); #219019=EDGE_CURVE('',#174702,#174703,#103432,.T.); #219020=EDGE_CURVE('',#174703,#174703,#69038,.T.); #219021=EDGE_CURVE('',#174704,#174704,#69039,.T.); #219022=EDGE_CURVE('',#174704,#174705,#103433,.T.); #219023=EDGE_CURVE('',#174705,#174705,#69040,.T.); #219024=EDGE_CURVE('',#174706,#174706,#69041,.T.); #219025=EDGE_CURVE('',#174706,#174707,#103434,.T.); #219026=EDGE_CURVE('',#174707,#174707,#69042,.T.); #219027=EDGE_CURVE('',#174708,#174708,#69043,.T.); #219028=EDGE_CURVE('',#174708,#174709,#103435,.T.); #219029=EDGE_CURVE('',#174709,#174709,#69044,.T.); #219030=EDGE_CURVE('',#174710,#174710,#69045,.T.); #219031=EDGE_CURVE('',#174710,#174711,#103436,.T.); #219032=EDGE_CURVE('',#174711,#174711,#69046,.T.); #219033=EDGE_CURVE('',#174712,#174712,#69047,.T.); #219034=EDGE_CURVE('',#174712,#174713,#103437,.T.); #219035=EDGE_CURVE('',#174713,#174713,#69048,.T.); #219036=EDGE_CURVE('',#174714,#174714,#69049,.T.); #219037=EDGE_CURVE('',#174714,#174715,#103438,.T.); #219038=EDGE_CURVE('',#174715,#174715,#69050,.T.); #219039=EDGE_CURVE('',#174716,#174716,#69051,.T.); #219040=EDGE_CURVE('',#174716,#174717,#103439,.T.); #219041=EDGE_CURVE('',#174717,#174717,#69052,.T.); #219042=EDGE_CURVE('',#174718,#174718,#69053,.T.); #219043=EDGE_CURVE('',#174718,#174719,#103440,.T.); #219044=EDGE_CURVE('',#174719,#174719,#69054,.T.); #219045=EDGE_CURVE('',#174720,#174720,#69055,.T.); #219046=EDGE_CURVE('',#174720,#174721,#103441,.T.); #219047=EDGE_CURVE('',#174721,#174721,#69056,.T.); #219048=EDGE_CURVE('',#174722,#174722,#69057,.T.); #219049=EDGE_CURVE('',#174722,#174723,#103442,.T.); #219050=EDGE_CURVE('',#174723,#174723,#69058,.T.); #219051=EDGE_CURVE('',#174724,#174724,#69059,.T.); #219052=EDGE_CURVE('',#174724,#174725,#103443,.T.); #219053=EDGE_CURVE('',#174725,#174725,#69060,.T.); #219054=EDGE_CURVE('',#174726,#174726,#69061,.T.); #219055=EDGE_CURVE('',#174726,#174727,#103444,.T.); #219056=EDGE_CURVE('',#174727,#174727,#69062,.T.); #219057=EDGE_CURVE('',#174728,#174729,#103445,.T.); #219058=EDGE_CURVE('',#174729,#174730,#103446,.T.); #219059=EDGE_CURVE('',#174731,#174730,#103447,.T.); #219060=EDGE_CURVE('',#174728,#174731,#103448,.T.); #219061=EDGE_CURVE('',#174732,#174728,#103449,.T.); #219062=EDGE_CURVE('',#174733,#174731,#103450,.T.); #219063=EDGE_CURVE('',#174732,#174733,#103451,.T.); #219064=EDGE_CURVE('',#174734,#174732,#103452,.T.); #219065=EDGE_CURVE('',#174735,#174733,#103453,.T.); #219066=EDGE_CURVE('',#174734,#174735,#103454,.T.); #219067=EDGE_CURVE('',#174729,#174734,#103455,.T.); #219068=EDGE_CURVE('',#174730,#174735,#103456,.T.); #219069=EDGE_CURVE('',#174736,#174737,#103457,.T.); #219070=EDGE_CURVE('',#174737,#174738,#103458,.T.); #219071=EDGE_CURVE('',#174739,#174738,#103459,.T.); #219072=EDGE_CURVE('',#174736,#174739,#103460,.T.); #219073=EDGE_CURVE('',#174740,#174736,#103461,.T.); #219074=EDGE_CURVE('',#174741,#174739,#103462,.T.); #219075=EDGE_CURVE('',#174740,#174741,#103463,.T.); #219076=EDGE_CURVE('',#174742,#174740,#103464,.T.); #219077=EDGE_CURVE('',#174743,#174741,#103465,.T.); #219078=EDGE_CURVE('',#174742,#174743,#103466,.T.); #219079=EDGE_CURVE('',#174737,#174742,#103467,.T.); #219080=EDGE_CURVE('',#174738,#174743,#103468,.T.); #219081=EDGE_CURVE('',#174744,#174745,#103469,.T.); #219082=EDGE_CURVE('',#174745,#174746,#103470,.T.); #219083=EDGE_CURVE('',#174747,#174746,#103471,.T.); #219084=EDGE_CURVE('',#174744,#174747,#103472,.T.); #219085=EDGE_CURVE('',#174748,#174744,#103473,.T.); #219086=EDGE_CURVE('',#174749,#174747,#103474,.T.); #219087=EDGE_CURVE('',#174748,#174749,#103475,.T.); #219088=EDGE_CURVE('',#174750,#174748,#103476,.T.); #219089=EDGE_CURVE('',#174751,#174749,#103477,.T.); #219090=EDGE_CURVE('',#174750,#174751,#103478,.T.); #219091=EDGE_CURVE('',#174745,#174750,#103479,.T.); #219092=EDGE_CURVE('',#174746,#174751,#103480,.T.); #219093=EDGE_CURVE('',#174752,#174753,#103481,.T.); #219094=EDGE_CURVE('',#174753,#174754,#103482,.T.); #219095=EDGE_CURVE('',#174755,#174754,#103483,.T.); #219096=EDGE_CURVE('',#174752,#174755,#103484,.T.); #219097=EDGE_CURVE('',#174756,#174752,#103485,.T.); #219098=EDGE_CURVE('',#174757,#174755,#103486,.T.); #219099=EDGE_CURVE('',#174756,#174757,#103487,.T.); #219100=EDGE_CURVE('',#174758,#174756,#103488,.T.); #219101=EDGE_CURVE('',#174759,#174757,#103489,.T.); #219102=EDGE_CURVE('',#174758,#174759,#103490,.T.); #219103=EDGE_CURVE('',#174753,#174758,#103491,.T.); #219104=EDGE_CURVE('',#174754,#174759,#103492,.T.); #219105=EDGE_CURVE('',#174760,#174760,#69063,.T.); #219106=EDGE_CURVE('',#174760,#174761,#103493,.T.); #219107=EDGE_CURVE('',#174761,#174761,#69064,.T.); #219108=EDGE_CURVE('',#174762,#174763,#103494,.T.); #219109=EDGE_CURVE('',#174763,#174764,#103495,.T.); #219110=EDGE_CURVE('',#174765,#174764,#103496,.T.); #219111=EDGE_CURVE('',#174762,#174765,#103497,.T.); #219112=EDGE_CURVE('',#174766,#174762,#103498,.T.); #219113=EDGE_CURVE('',#174767,#174765,#103499,.T.); #219114=EDGE_CURVE('',#174766,#174767,#103500,.T.); #219115=EDGE_CURVE('',#174768,#174766,#103501,.T.); #219116=EDGE_CURVE('',#174769,#174767,#103502,.T.); #219117=EDGE_CURVE('',#174768,#174769,#103503,.T.); #219118=EDGE_CURVE('',#174763,#174768,#103504,.T.); #219119=EDGE_CURVE('',#174764,#174769,#103505,.T.); #219120=EDGE_CURVE('',#174770,#174771,#103506,.T.); #219121=EDGE_CURVE('',#174771,#174772,#103507,.T.); #219122=EDGE_CURVE('',#174773,#174772,#103508,.T.); #219123=EDGE_CURVE('',#174770,#174773,#103509,.T.); #219124=EDGE_CURVE('',#174774,#174770,#103510,.T.); #219125=EDGE_CURVE('',#174775,#174773,#103511,.T.); #219126=EDGE_CURVE('',#174774,#174775,#103512,.T.); #219127=EDGE_CURVE('',#174776,#174774,#103513,.T.); #219128=EDGE_CURVE('',#174777,#174775,#103514,.T.); #219129=EDGE_CURVE('',#174776,#174777,#103515,.T.); #219130=EDGE_CURVE('',#174771,#174776,#103516,.T.); #219131=EDGE_CURVE('',#174772,#174777,#103517,.T.); #219132=EDGE_CURVE('',#174778,#174779,#103518,.T.); #219133=EDGE_CURVE('',#174779,#174780,#103519,.T.); #219134=EDGE_CURVE('',#174781,#174780,#103520,.T.); #219135=EDGE_CURVE('',#174778,#174781,#103521,.T.); #219136=EDGE_CURVE('',#174782,#174778,#103522,.T.); #219137=EDGE_CURVE('',#174783,#174781,#103523,.T.); #219138=EDGE_CURVE('',#174782,#174783,#103524,.T.); #219139=EDGE_CURVE('',#174784,#174782,#103525,.T.); #219140=EDGE_CURVE('',#174785,#174783,#103526,.T.); #219141=EDGE_CURVE('',#174784,#174785,#103527,.T.); #219142=EDGE_CURVE('',#174779,#174784,#103528,.T.); #219143=EDGE_CURVE('',#174780,#174785,#103529,.T.); #219144=EDGE_CURVE('',#174786,#174787,#103530,.T.); #219145=EDGE_CURVE('',#174787,#174788,#103531,.T.); #219146=EDGE_CURVE('',#174789,#174788,#103532,.T.); #219147=EDGE_CURVE('',#174786,#174789,#103533,.T.); #219148=EDGE_CURVE('',#174790,#174786,#103534,.T.); #219149=EDGE_CURVE('',#174791,#174789,#103535,.T.); #219150=EDGE_CURVE('',#174790,#174791,#103536,.T.); #219151=EDGE_CURVE('',#174792,#174790,#103537,.T.); #219152=EDGE_CURVE('',#174793,#174791,#103538,.T.); #219153=EDGE_CURVE('',#174792,#174793,#103539,.T.); #219154=EDGE_CURVE('',#174787,#174792,#103540,.T.); #219155=EDGE_CURVE('',#174788,#174793,#103541,.T.); #219156=EDGE_CURVE('',#174794,#174795,#103542,.T.); #219157=EDGE_CURVE('',#174795,#174796,#103543,.T.); #219158=EDGE_CURVE('',#174797,#174796,#103544,.T.); #219159=EDGE_CURVE('',#174794,#174797,#103545,.T.); #219160=EDGE_CURVE('',#174798,#174794,#103546,.T.); #219161=EDGE_CURVE('',#174799,#174797,#103547,.T.); #219162=EDGE_CURVE('',#174798,#174799,#103548,.T.); #219163=EDGE_CURVE('',#174800,#174798,#103549,.T.); #219164=EDGE_CURVE('',#174801,#174799,#103550,.T.); #219165=EDGE_CURVE('',#174800,#174801,#103551,.T.); #219166=EDGE_CURVE('',#174795,#174800,#103552,.T.); #219167=EDGE_CURVE('',#174796,#174801,#103553,.T.); #219168=EDGE_CURVE('',#174802,#174802,#69065,.T.); #219169=EDGE_CURVE('',#174802,#174803,#103554,.T.); #219170=EDGE_CURVE('',#174803,#174803,#69066,.T.); #219171=EDGE_CURVE('',#174804,#174804,#69067,.T.); #219172=EDGE_CURVE('',#174804,#174805,#103555,.T.); #219173=EDGE_CURVE('',#174805,#174805,#69068,.T.); #219174=EDGE_CURVE('',#174806,#174806,#69069,.T.); #219175=EDGE_CURVE('',#174806,#174807,#103556,.T.); #219176=EDGE_CURVE('',#174807,#174807,#69070,.T.); #219177=EDGE_CURVE('',#174808,#174808,#69071,.T.); #219178=EDGE_CURVE('',#174808,#174809,#103557,.T.); #219179=EDGE_CURVE('',#174809,#174809,#69072,.T.); #219180=EDGE_CURVE('',#174810,#174810,#69073,.T.); #219181=EDGE_CURVE('',#174810,#174811,#103558,.T.); #219182=EDGE_CURVE('',#174811,#174811,#69074,.T.); #219183=EDGE_CURVE('',#174812,#174812,#69075,.T.); #219184=EDGE_CURVE('',#174812,#174813,#103559,.T.); #219185=EDGE_CURVE('',#174813,#174813,#69076,.T.); #219186=EDGE_CURVE('',#174814,#174814,#69077,.T.); #219187=EDGE_CURVE('',#174814,#174815,#103560,.T.); #219188=EDGE_CURVE('',#174815,#174815,#69078,.T.); #219189=EDGE_CURVE('',#174816,#174816,#69079,.T.); #219190=EDGE_CURVE('',#174816,#174817,#103561,.T.); #219191=EDGE_CURVE('',#174817,#174817,#69080,.T.); #219192=EDGE_CURVE('',#174818,#174818,#69081,.T.); #219193=EDGE_CURVE('',#174818,#174819,#103562,.T.); #219194=EDGE_CURVE('',#174819,#174819,#69082,.T.); #219195=EDGE_CURVE('',#174820,#174820,#69083,.T.); #219196=EDGE_CURVE('',#174820,#174821,#103563,.T.); #219197=EDGE_CURVE('',#174821,#174821,#69084,.T.); #219198=EDGE_CURVE('',#174822,#174822,#69085,.T.); #219199=EDGE_CURVE('',#174822,#174823,#103564,.T.); #219200=EDGE_CURVE('',#174823,#174823,#69086,.T.); #219201=EDGE_CURVE('',#174824,#174824,#69087,.T.); #219202=EDGE_CURVE('',#174824,#174825,#103565,.T.); #219203=EDGE_CURVE('',#174825,#174825,#69088,.T.); #219204=EDGE_CURVE('',#174826,#174826,#69089,.T.); #219205=EDGE_CURVE('',#174826,#174827,#103566,.T.); #219206=EDGE_CURVE('',#174827,#174827,#69090,.T.); #219207=EDGE_CURVE('',#174828,#174828,#69091,.T.); #219208=EDGE_CURVE('',#174828,#174829,#103567,.T.); #219209=EDGE_CURVE('',#174829,#174829,#69092,.T.); #219210=EDGE_CURVE('',#174830,#174830,#69093,.T.); #219211=EDGE_CURVE('',#174830,#174831,#103568,.T.); #219212=EDGE_CURVE('',#174831,#174831,#69094,.T.); #219213=EDGE_CURVE('',#174832,#174833,#103569,.T.); #219214=EDGE_CURVE('',#174833,#174834,#103570,.T.); #219215=EDGE_CURVE('',#174835,#174834,#103571,.T.); #219216=EDGE_CURVE('',#174832,#174835,#103572,.T.); #219217=EDGE_CURVE('',#174836,#174832,#103573,.T.); #219218=EDGE_CURVE('',#174837,#174835,#103574,.T.); #219219=EDGE_CURVE('',#174836,#174837,#103575,.T.); #219220=EDGE_CURVE('',#174838,#174836,#103576,.T.); #219221=EDGE_CURVE('',#174839,#174837,#103577,.T.); #219222=EDGE_CURVE('',#174838,#174839,#103578,.T.); #219223=EDGE_CURVE('',#174833,#174838,#103579,.T.); #219224=EDGE_CURVE('',#174834,#174839,#103580,.T.); #219225=EDGE_CURVE('',#174840,#174841,#103581,.T.); #219226=EDGE_CURVE('',#174841,#174842,#103582,.T.); #219227=EDGE_CURVE('',#174843,#174842,#103583,.T.); #219228=EDGE_CURVE('',#174840,#174843,#103584,.T.); #219229=EDGE_CURVE('',#174844,#174840,#103585,.T.); #219230=EDGE_CURVE('',#174845,#174843,#103586,.T.); #219231=EDGE_CURVE('',#174844,#174845,#103587,.T.); #219232=EDGE_CURVE('',#174846,#174844,#103588,.T.); #219233=EDGE_CURVE('',#174847,#174845,#103589,.T.); #219234=EDGE_CURVE('',#174846,#174847,#103590,.T.); #219235=EDGE_CURVE('',#174841,#174846,#103591,.T.); #219236=EDGE_CURVE('',#174842,#174847,#103592,.T.); #219237=EDGE_CURVE('',#174848,#174849,#103593,.T.); #219238=EDGE_CURVE('',#174849,#174850,#103594,.T.); #219239=EDGE_CURVE('',#174851,#174850,#103595,.T.); #219240=EDGE_CURVE('',#174848,#174851,#103596,.T.); #219241=EDGE_CURVE('',#174852,#174848,#103597,.T.); #219242=EDGE_CURVE('',#174853,#174851,#103598,.T.); #219243=EDGE_CURVE('',#174852,#174853,#103599,.T.); #219244=EDGE_CURVE('',#174854,#174852,#103600,.T.); #219245=EDGE_CURVE('',#174855,#174853,#103601,.T.); #219246=EDGE_CURVE('',#174854,#174855,#103602,.T.); #219247=EDGE_CURVE('',#174849,#174854,#103603,.T.); #219248=EDGE_CURVE('',#174850,#174855,#103604,.T.); #219249=EDGE_CURVE('',#174856,#174857,#103605,.T.); #219250=EDGE_CURVE('',#174857,#174858,#103606,.T.); #219251=EDGE_CURVE('',#174859,#174858,#103607,.T.); #219252=EDGE_CURVE('',#174856,#174859,#103608,.T.); #219253=EDGE_CURVE('',#174860,#174856,#103609,.T.); #219254=EDGE_CURVE('',#174861,#174859,#103610,.T.); #219255=EDGE_CURVE('',#174860,#174861,#103611,.T.); #219256=EDGE_CURVE('',#174862,#174860,#103612,.T.); #219257=EDGE_CURVE('',#174863,#174861,#103613,.T.); #219258=EDGE_CURVE('',#174862,#174863,#103614,.T.); #219259=EDGE_CURVE('',#174857,#174862,#103615,.T.); #219260=EDGE_CURVE('',#174858,#174863,#103616,.T.); #219261=EDGE_CURVE('',#174864,#174864,#69095,.T.); #219262=EDGE_CURVE('',#174864,#174865,#103617,.T.); #219263=EDGE_CURVE('',#174865,#174865,#69096,.T.); #219264=EDGE_CURVE('',#174866,#174867,#103618,.T.); #219265=EDGE_CURVE('',#174867,#174868,#103619,.T.); #219266=EDGE_CURVE('',#174869,#174868,#103620,.T.); #219267=EDGE_CURVE('',#174866,#174869,#103621,.T.); #219268=EDGE_CURVE('',#174870,#174866,#103622,.T.); #219269=EDGE_CURVE('',#174871,#174869,#103623,.T.); #219270=EDGE_CURVE('',#174870,#174871,#103624,.T.); #219271=EDGE_CURVE('',#174872,#174870,#103625,.T.); #219272=EDGE_CURVE('',#174873,#174871,#103626,.T.); #219273=EDGE_CURVE('',#174872,#174873,#103627,.T.); #219274=EDGE_CURVE('',#174867,#174872,#103628,.T.); #219275=EDGE_CURVE('',#174868,#174873,#103629,.T.); #219276=EDGE_CURVE('',#174874,#174874,#69097,.T.); #219277=EDGE_CURVE('',#174874,#174875,#103630,.T.); #219278=EDGE_CURVE('',#174875,#174875,#69098,.T.); #219279=EDGE_CURVE('',#174876,#174877,#103631,.T.); #219280=EDGE_CURVE('',#174877,#174878,#103632,.T.); #219281=EDGE_CURVE('',#174879,#174878,#103633,.T.); #219282=EDGE_CURVE('',#174876,#174879,#103634,.T.); #219283=EDGE_CURVE('',#174880,#174876,#103635,.T.); #219284=EDGE_CURVE('',#174881,#174879,#103636,.T.); #219285=EDGE_CURVE('',#174880,#174881,#103637,.T.); #219286=EDGE_CURVE('',#174882,#174880,#103638,.T.); #219287=EDGE_CURVE('',#174883,#174881,#103639,.T.); #219288=EDGE_CURVE('',#174882,#174883,#103640,.T.); #219289=EDGE_CURVE('',#174877,#174882,#103641,.T.); #219290=EDGE_CURVE('',#174878,#174883,#103642,.T.); #219291=EDGE_CURVE('',#174884,#174884,#69099,.T.); #219292=EDGE_CURVE('',#174884,#174885,#103643,.T.); #219293=EDGE_CURVE('',#174885,#174885,#69100,.T.); #219294=EDGE_CURVE('',#174886,#174887,#103644,.T.); #219295=EDGE_CURVE('',#174887,#174888,#103645,.T.); #219296=EDGE_CURVE('',#174889,#174888,#103646,.T.); #219297=EDGE_CURVE('',#174886,#174889,#103647,.T.); #219298=EDGE_CURVE('',#174890,#174886,#103648,.T.); #219299=EDGE_CURVE('',#174891,#174889,#103649,.T.); #219300=EDGE_CURVE('',#174890,#174891,#103650,.T.); #219301=EDGE_CURVE('',#174892,#174890,#103651,.T.); #219302=EDGE_CURVE('',#174893,#174891,#103652,.T.); #219303=EDGE_CURVE('',#174892,#174893,#103653,.T.); #219304=EDGE_CURVE('',#174887,#174892,#103654,.T.); #219305=EDGE_CURVE('',#174888,#174893,#103655,.T.); #219306=EDGE_CURVE('',#174894,#174894,#69101,.T.); #219307=EDGE_CURVE('',#174894,#174895,#103656,.T.); #219308=EDGE_CURVE('',#174895,#174895,#69102,.T.); #219309=EDGE_CURVE('',#174896,#174896,#69103,.T.); #219310=EDGE_CURVE('',#174896,#174897,#103657,.T.); #219311=EDGE_CURVE('',#174897,#174897,#69104,.T.); #219312=EDGE_CURVE('',#174898,#174898,#69105,.T.); #219313=EDGE_CURVE('',#174898,#174899,#103658,.T.); #219314=EDGE_CURVE('',#174899,#174899,#69106,.T.); #219315=EDGE_CURVE('',#174900,#174900,#69107,.T.); #219316=EDGE_CURVE('',#174900,#174901,#103659,.T.); #219317=EDGE_CURVE('',#174901,#174901,#69108,.T.); #219318=EDGE_CURVE('',#174902,#174902,#69109,.T.); #219319=EDGE_CURVE('',#174902,#174903,#103660,.T.); #219320=EDGE_CURVE('',#174903,#174903,#69110,.T.); #219321=EDGE_CURVE('',#174904,#174904,#69111,.T.); #219322=EDGE_CURVE('',#174904,#174905,#103661,.T.); #219323=EDGE_CURVE('',#174905,#174905,#69112,.T.); #219324=EDGE_CURVE('',#174906,#174906,#69113,.T.); #219325=EDGE_CURVE('',#174906,#174907,#103662,.T.); #219326=EDGE_CURVE('',#174907,#174907,#69114,.T.); #219327=EDGE_CURVE('',#174908,#174908,#69115,.T.); #219328=EDGE_CURVE('',#174908,#174909,#103663,.T.); #219329=EDGE_CURVE('',#174909,#174909,#69116,.T.); #219330=EDGE_CURVE('',#174910,#174910,#69117,.T.); #219331=EDGE_CURVE('',#174910,#174911,#103664,.T.); #219332=EDGE_CURVE('',#174911,#174911,#69118,.T.); #219333=EDGE_CURVE('',#174912,#174912,#69119,.T.); #219334=EDGE_CURVE('',#174912,#174913,#103665,.T.); #219335=EDGE_CURVE('',#174913,#174913,#69120,.T.); #219336=EDGE_CURVE('',#174914,#174914,#69121,.T.); #219337=EDGE_CURVE('',#174914,#174915,#103666,.T.); #219338=EDGE_CURVE('',#174915,#174915,#69122,.T.); #219339=EDGE_CURVE('',#174916,#174916,#69123,.T.); #219340=EDGE_CURVE('',#174916,#174917,#103667,.T.); #219341=EDGE_CURVE('',#174917,#174917,#69124,.T.); #219342=EDGE_CURVE('',#174918,#174918,#69125,.T.); #219343=EDGE_CURVE('',#174918,#174919,#103668,.T.); #219344=EDGE_CURVE('',#174919,#174919,#69126,.T.); #219345=EDGE_CURVE('',#174920,#174920,#69127,.T.); #219346=EDGE_CURVE('',#174920,#174921,#103669,.T.); #219347=EDGE_CURVE('',#174921,#174921,#69128,.T.); #219348=EDGE_CURVE('',#174922,#174922,#69129,.T.); #219349=EDGE_CURVE('',#174922,#174923,#103670,.T.); #219350=EDGE_CURVE('',#174923,#174923,#69130,.T.); #219351=EDGE_CURVE('',#174924,#174925,#103671,.T.); #219352=EDGE_CURVE('',#174925,#174926,#103672,.T.); #219353=EDGE_CURVE('',#174927,#174926,#103673,.T.); #219354=EDGE_CURVE('',#174924,#174927,#103674,.T.); #219355=EDGE_CURVE('',#174928,#174924,#103675,.T.); #219356=EDGE_CURVE('',#174929,#174927,#103676,.T.); #219357=EDGE_CURVE('',#174928,#174929,#103677,.T.); #219358=EDGE_CURVE('',#174930,#174928,#103678,.T.); #219359=EDGE_CURVE('',#174931,#174929,#103679,.T.); #219360=EDGE_CURVE('',#174930,#174931,#103680,.T.); #219361=EDGE_CURVE('',#174925,#174930,#103681,.T.); #219362=EDGE_CURVE('',#174926,#174931,#103682,.T.); #219363=EDGE_CURVE('',#174932,#174933,#103683,.T.); #219364=EDGE_CURVE('',#174933,#174934,#103684,.T.); #219365=EDGE_CURVE('',#174935,#174934,#103685,.T.); #219366=EDGE_CURVE('',#174932,#174935,#103686,.T.); #219367=EDGE_CURVE('',#174936,#174932,#103687,.T.); #219368=EDGE_CURVE('',#174937,#174935,#103688,.T.); #219369=EDGE_CURVE('',#174936,#174937,#103689,.T.); #219370=EDGE_CURVE('',#174938,#174936,#103690,.T.); #219371=EDGE_CURVE('',#174939,#174937,#103691,.T.); #219372=EDGE_CURVE('',#174938,#174939,#103692,.T.); #219373=EDGE_CURVE('',#174933,#174938,#103693,.T.); #219374=EDGE_CURVE('',#174934,#174939,#103694,.T.); #219375=EDGE_CURVE('',#174940,#174941,#103695,.T.); #219376=EDGE_CURVE('',#174941,#174942,#103696,.T.); #219377=EDGE_CURVE('',#174943,#174942,#103697,.T.); #219378=EDGE_CURVE('',#174940,#174943,#103698,.T.); #219379=EDGE_CURVE('',#174944,#174940,#103699,.T.); #219380=EDGE_CURVE('',#174945,#174943,#103700,.T.); #219381=EDGE_CURVE('',#174944,#174945,#103701,.T.); #219382=EDGE_CURVE('',#174946,#174944,#103702,.T.); #219383=EDGE_CURVE('',#174947,#174945,#103703,.T.); #219384=EDGE_CURVE('',#174946,#174947,#103704,.T.); #219385=EDGE_CURVE('',#174941,#174946,#103705,.T.); #219386=EDGE_CURVE('',#174942,#174947,#103706,.T.); #219387=EDGE_CURVE('',#174948,#174949,#103707,.T.); #219388=EDGE_CURVE('',#174949,#174950,#103708,.T.); #219389=EDGE_CURVE('',#174951,#174950,#103709,.T.); #219390=EDGE_CURVE('',#174948,#174951,#103710,.T.); #219391=EDGE_CURVE('',#174952,#174948,#103711,.T.); #219392=EDGE_CURVE('',#174953,#174951,#103712,.T.); #219393=EDGE_CURVE('',#174952,#174953,#103713,.T.); #219394=EDGE_CURVE('',#174954,#174952,#103714,.T.); #219395=EDGE_CURVE('',#174955,#174953,#103715,.T.); #219396=EDGE_CURVE('',#174954,#174955,#103716,.T.); #219397=EDGE_CURVE('',#174949,#174954,#103717,.T.); #219398=EDGE_CURVE('',#174950,#174955,#103718,.T.); #219399=EDGE_CURVE('',#174956,#174957,#103719,.T.); #219400=EDGE_CURVE('',#174957,#174958,#103720,.T.); #219401=EDGE_CURVE('',#174959,#174958,#103721,.T.); #219402=EDGE_CURVE('',#174956,#174959,#103722,.T.); #219403=EDGE_CURVE('',#174960,#174956,#103723,.T.); #219404=EDGE_CURVE('',#174961,#174959,#103724,.T.); #219405=EDGE_CURVE('',#174960,#174961,#103725,.T.); #219406=EDGE_CURVE('',#174962,#174960,#103726,.T.); #219407=EDGE_CURVE('',#174963,#174961,#103727,.T.); #219408=EDGE_CURVE('',#174962,#174963,#103728,.T.); #219409=EDGE_CURVE('',#174957,#174962,#103729,.T.); #219410=EDGE_CURVE('',#174958,#174963,#103730,.T.); #219411=EDGE_CURVE('',#174964,#174965,#103731,.T.); #219412=EDGE_CURVE('',#174965,#174966,#103732,.T.); #219413=EDGE_CURVE('',#174967,#174966,#103733,.T.); #219414=EDGE_CURVE('',#174964,#174967,#103734,.T.); #219415=EDGE_CURVE('',#174968,#174964,#103735,.T.); #219416=EDGE_CURVE('',#174969,#174967,#103736,.T.); #219417=EDGE_CURVE('',#174968,#174969,#103737,.T.); #219418=EDGE_CURVE('',#174970,#174968,#103738,.T.); #219419=EDGE_CURVE('',#174971,#174969,#103739,.T.); #219420=EDGE_CURVE('',#174970,#174971,#103740,.T.); #219421=EDGE_CURVE('',#174965,#174970,#103741,.T.); #219422=EDGE_CURVE('',#174966,#174971,#103742,.T.); #219423=EDGE_CURVE('',#174972,#174973,#103743,.T.); #219424=EDGE_CURVE('',#174973,#174974,#103744,.T.); #219425=EDGE_CURVE('',#174975,#174974,#103745,.T.); #219426=EDGE_CURVE('',#174972,#174975,#103746,.T.); #219427=EDGE_CURVE('',#174976,#174972,#103747,.T.); #219428=EDGE_CURVE('',#174977,#174975,#103748,.T.); #219429=EDGE_CURVE('',#174976,#174977,#103749,.T.); #219430=EDGE_CURVE('',#174978,#174976,#103750,.T.); #219431=EDGE_CURVE('',#174979,#174977,#103751,.T.); #219432=EDGE_CURVE('',#174978,#174979,#103752,.T.); #219433=EDGE_CURVE('',#174973,#174978,#103753,.T.); #219434=EDGE_CURVE('',#174974,#174979,#103754,.T.); #219435=EDGE_CURVE('',#174980,#174981,#103755,.T.); #219436=EDGE_CURVE('',#174981,#174982,#103756,.T.); #219437=EDGE_CURVE('',#174983,#174982,#103757,.T.); #219438=EDGE_CURVE('',#174980,#174983,#103758,.T.); #219439=EDGE_CURVE('',#174984,#174980,#103759,.T.); #219440=EDGE_CURVE('',#174985,#174983,#103760,.T.); #219441=EDGE_CURVE('',#174984,#174985,#103761,.T.); #219442=EDGE_CURVE('',#174986,#174984,#103762,.T.); #219443=EDGE_CURVE('',#174987,#174985,#103763,.T.); #219444=EDGE_CURVE('',#174986,#174987,#103764,.T.); #219445=EDGE_CURVE('',#174981,#174986,#103765,.T.); #219446=EDGE_CURVE('',#174982,#174987,#103766,.T.); #219447=EDGE_CURVE('',#174988,#174989,#103767,.T.); #219448=EDGE_CURVE('',#174989,#174990,#103768,.T.); #219449=EDGE_CURVE('',#174991,#174990,#103769,.T.); #219450=EDGE_CURVE('',#174988,#174991,#103770,.T.); #219451=EDGE_CURVE('',#174992,#174988,#103771,.T.); #219452=EDGE_CURVE('',#174993,#174991,#103772,.T.); #219453=EDGE_CURVE('',#174992,#174993,#103773,.T.); #219454=EDGE_CURVE('',#174994,#174992,#103774,.T.); #219455=EDGE_CURVE('',#174995,#174993,#103775,.T.); #219456=EDGE_CURVE('',#174994,#174995,#103776,.T.); #219457=EDGE_CURVE('',#174989,#174994,#103777,.T.); #219458=EDGE_CURVE('',#174990,#174995,#103778,.T.); #219459=EDGE_CURVE('',#174996,#174997,#103779,.T.); #219460=EDGE_CURVE('',#174997,#174998,#103780,.T.); #219461=EDGE_CURVE('',#174999,#174998,#103781,.T.); #219462=EDGE_CURVE('',#174996,#174999,#103782,.T.); #219463=EDGE_CURVE('',#175000,#174996,#103783,.T.); #219464=EDGE_CURVE('',#175001,#174999,#103784,.T.); #219465=EDGE_CURVE('',#175000,#175001,#103785,.T.); #219466=EDGE_CURVE('',#175002,#175000,#103786,.T.); #219467=EDGE_CURVE('',#175003,#175001,#103787,.T.); #219468=EDGE_CURVE('',#175002,#175003,#103788,.T.); #219469=EDGE_CURVE('',#174997,#175002,#103789,.T.); #219470=EDGE_CURVE('',#174998,#175003,#103790,.T.); #219471=EDGE_CURVE('',#175004,#175005,#103791,.T.); #219472=EDGE_CURVE('',#175005,#175006,#103792,.T.); #219473=EDGE_CURVE('',#175007,#175006,#103793,.T.); #219474=EDGE_CURVE('',#175004,#175007,#103794,.T.); #219475=EDGE_CURVE('',#175008,#175004,#103795,.T.); #219476=EDGE_CURVE('',#175009,#175007,#103796,.T.); #219477=EDGE_CURVE('',#175008,#175009,#103797,.T.); #219478=EDGE_CURVE('',#175010,#175008,#103798,.T.); #219479=EDGE_CURVE('',#175011,#175009,#103799,.T.); #219480=EDGE_CURVE('',#175010,#175011,#103800,.T.); #219481=EDGE_CURVE('',#175005,#175010,#103801,.T.); #219482=EDGE_CURVE('',#175006,#175011,#103802,.T.); #219483=EDGE_CURVE('',#175012,#175013,#103803,.T.); #219484=EDGE_CURVE('',#175013,#175014,#103804,.T.); #219485=EDGE_CURVE('',#175015,#175014,#103805,.T.); #219486=EDGE_CURVE('',#175012,#175015,#103806,.T.); #219487=EDGE_CURVE('',#175016,#175012,#103807,.T.); #219488=EDGE_CURVE('',#175017,#175015,#103808,.T.); #219489=EDGE_CURVE('',#175016,#175017,#103809,.T.); #219490=EDGE_CURVE('',#175018,#175016,#103810,.T.); #219491=EDGE_CURVE('',#175019,#175017,#103811,.T.); #219492=EDGE_CURVE('',#175018,#175019,#103812,.T.); #219493=EDGE_CURVE('',#175013,#175018,#103813,.T.); #219494=EDGE_CURVE('',#175014,#175019,#103814,.T.); #219495=EDGE_CURVE('',#175020,#175021,#103815,.T.); #219496=EDGE_CURVE('',#175021,#175022,#103816,.T.); #219497=EDGE_CURVE('',#175023,#175022,#103817,.T.); #219498=EDGE_CURVE('',#175020,#175023,#103818,.T.); #219499=EDGE_CURVE('',#175024,#175020,#103819,.T.); #219500=EDGE_CURVE('',#175025,#175023,#103820,.T.); #219501=EDGE_CURVE('',#175024,#175025,#103821,.T.); #219502=EDGE_CURVE('',#175026,#175024,#103822,.T.); #219503=EDGE_CURVE('',#175027,#175025,#103823,.T.); #219504=EDGE_CURVE('',#175026,#175027,#103824,.T.); #219505=EDGE_CURVE('',#175021,#175026,#103825,.T.); #219506=EDGE_CURVE('',#175022,#175027,#103826,.T.); #219507=EDGE_CURVE('',#175028,#175029,#103827,.T.); #219508=EDGE_CURVE('',#175029,#175030,#103828,.T.); #219509=EDGE_CURVE('',#175031,#175030,#103829,.T.); #219510=EDGE_CURVE('',#175028,#175031,#103830,.T.); #219511=EDGE_CURVE('',#175032,#175028,#103831,.T.); #219512=EDGE_CURVE('',#175033,#175031,#103832,.T.); #219513=EDGE_CURVE('',#175032,#175033,#103833,.T.); #219514=EDGE_CURVE('',#175034,#175032,#103834,.T.); #219515=EDGE_CURVE('',#175035,#175033,#103835,.T.); #219516=EDGE_CURVE('',#175034,#175035,#103836,.T.); #219517=EDGE_CURVE('',#175029,#175034,#103837,.T.); #219518=EDGE_CURVE('',#175030,#175035,#103838,.T.); #219519=EDGE_CURVE('',#175036,#175037,#103839,.T.); #219520=EDGE_CURVE('',#175037,#175038,#103840,.T.); #219521=EDGE_CURVE('',#175039,#175038,#103841,.T.); #219522=EDGE_CURVE('',#175036,#175039,#103842,.T.); #219523=EDGE_CURVE('',#175040,#175036,#103843,.T.); #219524=EDGE_CURVE('',#175041,#175039,#103844,.T.); #219525=EDGE_CURVE('',#175040,#175041,#103845,.T.); #219526=EDGE_CURVE('',#175042,#175040,#103846,.T.); #219527=EDGE_CURVE('',#175043,#175041,#103847,.T.); #219528=EDGE_CURVE('',#175042,#175043,#103848,.T.); #219529=EDGE_CURVE('',#175037,#175042,#103849,.T.); #219530=EDGE_CURVE('',#175038,#175043,#103850,.T.); #219531=EDGE_CURVE('',#175044,#175045,#103851,.T.); #219532=EDGE_CURVE('',#175045,#175046,#103852,.T.); #219533=EDGE_CURVE('',#175047,#175046,#103853,.T.); #219534=EDGE_CURVE('',#175044,#175047,#103854,.T.); #219535=EDGE_CURVE('',#175048,#175044,#103855,.T.); #219536=EDGE_CURVE('',#175049,#175047,#103856,.T.); #219537=EDGE_CURVE('',#175048,#175049,#103857,.T.); #219538=EDGE_CURVE('',#175050,#175048,#103858,.T.); #219539=EDGE_CURVE('',#175051,#175049,#103859,.T.); #219540=EDGE_CURVE('',#175050,#175051,#103860,.T.); #219541=EDGE_CURVE('',#175045,#175050,#103861,.T.); #219542=EDGE_CURVE('',#175046,#175051,#103862,.T.); #219543=EDGE_CURVE('',#175052,#175053,#103863,.T.); #219544=EDGE_CURVE('',#175053,#175054,#103864,.T.); #219545=EDGE_CURVE('',#175055,#175054,#103865,.T.); #219546=EDGE_CURVE('',#175052,#175055,#103866,.T.); #219547=EDGE_CURVE('',#175056,#175052,#103867,.T.); #219548=EDGE_CURVE('',#175057,#175055,#103868,.T.); #219549=EDGE_CURVE('',#175056,#175057,#103869,.T.); #219550=EDGE_CURVE('',#175058,#175056,#103870,.T.); #219551=EDGE_CURVE('',#175059,#175057,#103871,.T.); #219552=EDGE_CURVE('',#175058,#175059,#103872,.T.); #219553=EDGE_CURVE('',#175053,#175058,#103873,.T.); #219554=EDGE_CURVE('',#175054,#175059,#103874,.T.); #219555=EDGE_CURVE('',#175060,#175061,#103875,.T.); #219556=EDGE_CURVE('',#175061,#175062,#103876,.T.); #219557=EDGE_CURVE('',#175063,#175062,#103877,.T.); #219558=EDGE_CURVE('',#175060,#175063,#103878,.T.); #219559=EDGE_CURVE('',#175064,#175060,#103879,.T.); #219560=EDGE_CURVE('',#175065,#175063,#103880,.T.); #219561=EDGE_CURVE('',#175064,#175065,#103881,.T.); #219562=EDGE_CURVE('',#175066,#175064,#103882,.T.); #219563=EDGE_CURVE('',#175067,#175065,#103883,.T.); #219564=EDGE_CURVE('',#175066,#175067,#103884,.T.); #219565=EDGE_CURVE('',#175061,#175066,#103885,.T.); #219566=EDGE_CURVE('',#175062,#175067,#103886,.T.); #219567=EDGE_CURVE('',#175068,#175069,#103887,.T.); #219568=EDGE_CURVE('',#175069,#175070,#103888,.T.); #219569=EDGE_CURVE('',#175071,#175070,#103889,.T.); #219570=EDGE_CURVE('',#175068,#175071,#103890,.T.); #219571=EDGE_CURVE('',#175072,#175068,#103891,.T.); #219572=EDGE_CURVE('',#175073,#175071,#103892,.T.); #219573=EDGE_CURVE('',#175072,#175073,#103893,.T.); #219574=EDGE_CURVE('',#175074,#175072,#103894,.T.); #219575=EDGE_CURVE('',#175075,#175073,#103895,.T.); #219576=EDGE_CURVE('',#175074,#175075,#103896,.T.); #219577=EDGE_CURVE('',#175069,#175074,#103897,.T.); #219578=EDGE_CURVE('',#175070,#175075,#103898,.T.); #219579=EDGE_CURVE('',#175076,#175077,#103899,.T.); #219580=EDGE_CURVE('',#175077,#175078,#103900,.T.); #219581=EDGE_CURVE('',#175079,#175078,#103901,.T.); #219582=EDGE_CURVE('',#175076,#175079,#103902,.T.); #219583=EDGE_CURVE('',#175080,#175076,#103903,.T.); #219584=EDGE_CURVE('',#175081,#175079,#103904,.T.); #219585=EDGE_CURVE('',#175080,#175081,#103905,.T.); #219586=EDGE_CURVE('',#175082,#175080,#103906,.T.); #219587=EDGE_CURVE('',#175083,#175081,#103907,.T.); #219588=EDGE_CURVE('',#175082,#175083,#103908,.T.); #219589=EDGE_CURVE('',#175077,#175082,#103909,.T.); #219590=EDGE_CURVE('',#175078,#175083,#103910,.T.); #219591=EDGE_CURVE('',#175084,#175085,#103911,.T.); #219592=EDGE_CURVE('',#175085,#175086,#103912,.T.); #219593=EDGE_CURVE('',#175087,#175086,#103913,.T.); #219594=EDGE_CURVE('',#175084,#175087,#103914,.T.); #219595=EDGE_CURVE('',#175088,#175084,#103915,.T.); #219596=EDGE_CURVE('',#175089,#175087,#103916,.T.); #219597=EDGE_CURVE('',#175088,#175089,#103917,.T.); #219598=EDGE_CURVE('',#175090,#175088,#103918,.T.); #219599=EDGE_CURVE('',#175091,#175089,#103919,.T.); #219600=EDGE_CURVE('',#175090,#175091,#103920,.T.); #219601=EDGE_CURVE('',#175085,#175090,#103921,.T.); #219602=EDGE_CURVE('',#175086,#175091,#103922,.T.); #219603=EDGE_CURVE('',#175092,#175092,#69131,.T.); #219604=EDGE_CURVE('',#175092,#175093,#103923,.T.); #219605=EDGE_CURVE('',#175093,#175093,#69132,.T.); #219606=EDGE_CURVE('',#175094,#175094,#69133,.T.); #219607=EDGE_CURVE('',#175094,#175095,#103924,.T.); #219608=EDGE_CURVE('',#175095,#175095,#69134,.T.); #219609=EDGE_CURVE('',#175096,#175096,#69135,.T.); #219610=EDGE_CURVE('',#175096,#175097,#103925,.T.); #219611=EDGE_CURVE('',#175097,#175097,#69136,.T.); #219612=EDGE_CURVE('',#175098,#175098,#69137,.T.); #219613=EDGE_CURVE('',#175098,#175099,#103926,.T.); #219614=EDGE_CURVE('',#175099,#175099,#69138,.T.); #219615=EDGE_CURVE('',#175100,#175100,#69139,.T.); #219616=EDGE_CURVE('',#175100,#175101,#103927,.T.); #219617=EDGE_CURVE('',#175101,#175101,#69140,.T.); #219618=EDGE_CURVE('',#175102,#175102,#69141,.T.); #219619=EDGE_CURVE('',#175102,#175103,#103928,.T.); #219620=EDGE_CURVE('',#175103,#175103,#69142,.T.); #219621=EDGE_CURVE('',#175104,#175104,#69143,.T.); #219622=EDGE_CURVE('',#175104,#175105,#103929,.T.); #219623=EDGE_CURVE('',#175105,#175105,#69144,.T.); #219624=EDGE_CURVE('',#175106,#175106,#69145,.T.); #219625=EDGE_CURVE('',#175106,#175107,#103930,.T.); #219626=EDGE_CURVE('',#175107,#175107,#69146,.T.); #219627=EDGE_CURVE('',#175108,#175108,#69147,.T.); #219628=EDGE_CURVE('',#175108,#175109,#103931,.T.); #219629=EDGE_CURVE('',#175109,#175109,#69148,.T.); #219630=EDGE_CURVE('',#175110,#175110,#69149,.T.); #219631=EDGE_CURVE('',#175110,#175111,#103932,.T.); #219632=EDGE_CURVE('',#175111,#175111,#69150,.T.); #219633=EDGE_CURVE('',#175112,#175112,#69151,.T.); #219634=EDGE_CURVE('',#175112,#175113,#103933,.T.); #219635=EDGE_CURVE('',#175113,#175113,#69152,.T.); #219636=EDGE_CURVE('',#175114,#175114,#69153,.T.); #219637=EDGE_CURVE('',#175114,#175115,#103934,.T.); #219638=EDGE_CURVE('',#175115,#175115,#69154,.T.); #219639=EDGE_CURVE('',#175116,#175116,#69155,.T.); #219640=EDGE_CURVE('',#175116,#175117,#103935,.T.); #219641=EDGE_CURVE('',#175117,#175117,#69156,.T.); #219642=EDGE_CURVE('',#175118,#175118,#69157,.T.); #219643=EDGE_CURVE('',#175118,#175119,#103936,.T.); #219644=EDGE_CURVE('',#175119,#175119,#69158,.T.); #219645=EDGE_CURVE('',#175120,#175120,#69159,.T.); #219646=EDGE_CURVE('',#175120,#175121,#103937,.T.); #219647=EDGE_CURVE('',#175121,#175121,#69160,.T.); #219648=EDGE_CURVE('',#175122,#175123,#103938,.T.); #219649=EDGE_CURVE('',#175123,#175124,#103939,.T.); #219650=EDGE_CURVE('',#175125,#175124,#103940,.T.); #219651=EDGE_CURVE('',#175122,#175125,#103941,.T.); #219652=EDGE_CURVE('',#175126,#175122,#103942,.T.); #219653=EDGE_CURVE('',#175127,#175125,#103943,.T.); #219654=EDGE_CURVE('',#175126,#175127,#103944,.T.); #219655=EDGE_CURVE('',#175128,#175126,#103945,.T.); #219656=EDGE_CURVE('',#175129,#175127,#103946,.T.); #219657=EDGE_CURVE('',#175128,#175129,#103947,.T.); #219658=EDGE_CURVE('',#175123,#175128,#103948,.T.); #219659=EDGE_CURVE('',#175124,#175129,#103949,.T.); #219660=EDGE_CURVE('',#175130,#175131,#103950,.T.); #219661=EDGE_CURVE('',#175131,#175132,#103951,.T.); #219662=EDGE_CURVE('',#175133,#175132,#103952,.T.); #219663=EDGE_CURVE('',#175130,#175133,#103953,.T.); #219664=EDGE_CURVE('',#175134,#175130,#103954,.T.); #219665=EDGE_CURVE('',#175135,#175133,#103955,.T.); #219666=EDGE_CURVE('',#175134,#175135,#103956,.T.); #219667=EDGE_CURVE('',#175136,#175134,#103957,.T.); #219668=EDGE_CURVE('',#175137,#175135,#103958,.T.); #219669=EDGE_CURVE('',#175136,#175137,#103959,.T.); #219670=EDGE_CURVE('',#175131,#175136,#103960,.T.); #219671=EDGE_CURVE('',#175132,#175137,#103961,.T.); #219672=EDGE_CURVE('',#175138,#175139,#103962,.T.); #219673=EDGE_CURVE('',#175139,#175140,#103963,.T.); #219674=EDGE_CURVE('',#175141,#175140,#103964,.T.); #219675=EDGE_CURVE('',#175138,#175141,#103965,.T.); #219676=EDGE_CURVE('',#175142,#175138,#103966,.T.); #219677=EDGE_CURVE('',#175143,#175141,#103967,.T.); #219678=EDGE_CURVE('',#175142,#175143,#103968,.T.); #219679=EDGE_CURVE('',#175144,#175142,#103969,.T.); #219680=EDGE_CURVE('',#175145,#175143,#103970,.T.); #219681=EDGE_CURVE('',#175144,#175145,#103971,.T.); #219682=EDGE_CURVE('',#175139,#175144,#103972,.T.); #219683=EDGE_CURVE('',#175140,#175145,#103973,.T.); #219684=EDGE_CURVE('',#175146,#175147,#103974,.T.); #219685=EDGE_CURVE('',#175147,#175148,#103975,.T.); #219686=EDGE_CURVE('',#175149,#175148,#103976,.T.); #219687=EDGE_CURVE('',#175146,#175149,#103977,.T.); #219688=EDGE_CURVE('',#175150,#175146,#103978,.T.); #219689=EDGE_CURVE('',#175151,#175149,#103979,.T.); #219690=EDGE_CURVE('',#175150,#175151,#103980,.T.); #219691=EDGE_CURVE('',#175152,#175150,#103981,.T.); #219692=EDGE_CURVE('',#175153,#175151,#103982,.T.); #219693=EDGE_CURVE('',#175152,#175153,#103983,.T.); #219694=EDGE_CURVE('',#175147,#175152,#103984,.T.); #219695=EDGE_CURVE('',#175148,#175153,#103985,.T.); #219696=EDGE_CURVE('',#175154,#175155,#103986,.T.); #219697=EDGE_CURVE('',#175155,#175156,#103987,.T.); #219698=EDGE_CURVE('',#175157,#175156,#103988,.T.); #219699=EDGE_CURVE('',#175154,#175157,#103989,.T.); #219700=EDGE_CURVE('',#175158,#175154,#103990,.T.); #219701=EDGE_CURVE('',#175159,#175157,#103991,.T.); #219702=EDGE_CURVE('',#175158,#175159,#103992,.T.); #219703=EDGE_CURVE('',#175160,#175158,#103993,.T.); #219704=EDGE_CURVE('',#175161,#175159,#103994,.T.); #219705=EDGE_CURVE('',#175160,#175161,#103995,.T.); #219706=EDGE_CURVE('',#175155,#175160,#103996,.T.); #219707=EDGE_CURVE('',#175156,#175161,#103997,.T.); #219708=EDGE_CURVE('',#175162,#175163,#103998,.T.); #219709=EDGE_CURVE('',#175163,#175164,#103999,.T.); #219710=EDGE_CURVE('',#175165,#175164,#104000,.T.); #219711=EDGE_CURVE('',#175162,#175165,#104001,.T.); #219712=EDGE_CURVE('',#175166,#175162,#104002,.T.); #219713=EDGE_CURVE('',#175167,#175165,#104003,.T.); #219714=EDGE_CURVE('',#175166,#175167,#104004,.T.); #219715=EDGE_CURVE('',#175168,#175166,#104005,.T.); #219716=EDGE_CURVE('',#175169,#175167,#104006,.T.); #219717=EDGE_CURVE('',#175168,#175169,#104007,.T.); #219718=EDGE_CURVE('',#175163,#175168,#104008,.T.); #219719=EDGE_CURVE('',#175164,#175169,#104009,.T.); #219720=EDGE_CURVE('',#175170,#175171,#104010,.T.); #219721=EDGE_CURVE('',#175171,#175172,#104011,.T.); #219722=EDGE_CURVE('',#175173,#175172,#104012,.T.); #219723=EDGE_CURVE('',#175170,#175173,#104013,.T.); #219724=EDGE_CURVE('',#175174,#175170,#104014,.T.); #219725=EDGE_CURVE('',#175175,#175173,#104015,.T.); #219726=EDGE_CURVE('',#175174,#175175,#104016,.T.); #219727=EDGE_CURVE('',#175176,#175174,#104017,.T.); #219728=EDGE_CURVE('',#175177,#175175,#104018,.T.); #219729=EDGE_CURVE('',#175176,#175177,#104019,.T.); #219730=EDGE_CURVE('',#175171,#175176,#104020,.T.); #219731=EDGE_CURVE('',#175172,#175177,#104021,.T.); #219732=EDGE_CURVE('',#175178,#175178,#69161,.T.); #219733=EDGE_CURVE('',#175178,#175179,#104022,.T.); #219734=EDGE_CURVE('',#175179,#175179,#69162,.T.); #219735=EDGE_CURVE('',#175180,#175181,#104023,.T.); #219736=EDGE_CURVE('',#175181,#175182,#104024,.T.); #219737=EDGE_CURVE('',#175183,#175182,#104025,.T.); #219738=EDGE_CURVE('',#175180,#175183,#104026,.T.); #219739=EDGE_CURVE('',#175184,#175180,#104027,.T.); #219740=EDGE_CURVE('',#175185,#175183,#104028,.T.); #219741=EDGE_CURVE('',#175184,#175185,#104029,.T.); #219742=EDGE_CURVE('',#175186,#175184,#104030,.T.); #219743=EDGE_CURVE('',#175187,#175185,#104031,.T.); #219744=EDGE_CURVE('',#175186,#175187,#104032,.T.); #219745=EDGE_CURVE('',#175181,#175186,#104033,.T.); #219746=EDGE_CURVE('',#175182,#175187,#104034,.T.); #219747=EDGE_CURVE('',#175188,#175188,#69163,.T.); #219748=EDGE_CURVE('',#175188,#175189,#104035,.T.); #219749=EDGE_CURVE('',#175189,#175189,#69164,.T.); #219750=EDGE_CURVE('',#175190,#175190,#69165,.T.); #219751=EDGE_CURVE('',#175190,#175191,#104036,.T.); #219752=EDGE_CURVE('',#175191,#175191,#69166,.T.); #219753=EDGE_CURVE('',#175192,#175193,#104037,.T.); #219754=EDGE_CURVE('',#175193,#175194,#104038,.T.); #219755=EDGE_CURVE('',#175195,#175194,#104039,.T.); #219756=EDGE_CURVE('',#175192,#175195,#104040,.T.); #219757=EDGE_CURVE('',#175196,#175192,#104041,.T.); #219758=EDGE_CURVE('',#175197,#175195,#104042,.T.); #219759=EDGE_CURVE('',#175196,#175197,#104043,.T.); #219760=EDGE_CURVE('',#175198,#175196,#104044,.T.); #219761=EDGE_CURVE('',#175199,#175197,#104045,.T.); #219762=EDGE_CURVE('',#175198,#175199,#104046,.T.); #219763=EDGE_CURVE('',#175193,#175198,#104047,.T.); #219764=EDGE_CURVE('',#175194,#175199,#104048,.T.); #219765=EDGE_CURVE('',#175200,#175200,#69167,.T.); #219766=EDGE_CURVE('',#175200,#175201,#104049,.T.); #219767=EDGE_CURVE('',#175201,#175201,#69168,.T.); #219768=EDGE_CURVE('',#175202,#175202,#69169,.T.); #219769=EDGE_CURVE('',#175202,#175203,#104050,.T.); #219770=EDGE_CURVE('',#175203,#175203,#69170,.T.); #219771=EDGE_CURVE('',#175204,#175205,#104051,.T.); #219772=EDGE_CURVE('',#175205,#175206,#104052,.T.); #219773=EDGE_CURVE('',#175207,#175206,#104053,.T.); #219774=EDGE_CURVE('',#175204,#175207,#104054,.T.); #219775=EDGE_CURVE('',#175208,#175204,#104055,.T.); #219776=EDGE_CURVE('',#175209,#175207,#104056,.T.); #219777=EDGE_CURVE('',#175208,#175209,#104057,.T.); #219778=EDGE_CURVE('',#175210,#175208,#104058,.T.); #219779=EDGE_CURVE('',#175211,#175209,#104059,.T.); #219780=EDGE_CURVE('',#175210,#175211,#104060,.T.); #219781=EDGE_CURVE('',#175205,#175210,#104061,.T.); #219782=EDGE_CURVE('',#175206,#175211,#104062,.T.); #219783=EDGE_CURVE('',#175212,#175212,#69171,.T.); #219784=EDGE_CURVE('',#175212,#175213,#104063,.T.); #219785=EDGE_CURVE('',#175213,#175213,#69172,.T.); #219786=EDGE_CURVE('',#175214,#175215,#104064,.T.); #219787=EDGE_CURVE('',#175215,#175216,#104065,.T.); #219788=EDGE_CURVE('',#175217,#175216,#104066,.T.); #219789=EDGE_CURVE('',#175214,#175217,#104067,.T.); #219790=EDGE_CURVE('',#175218,#175214,#104068,.T.); #219791=EDGE_CURVE('',#175219,#175217,#104069,.T.); #219792=EDGE_CURVE('',#175218,#175219,#104070,.T.); #219793=EDGE_CURVE('',#175220,#175218,#104071,.T.); #219794=EDGE_CURVE('',#175221,#175219,#104072,.T.); #219795=EDGE_CURVE('',#175220,#175221,#104073,.T.); #219796=EDGE_CURVE('',#175215,#175220,#104074,.T.); #219797=EDGE_CURVE('',#175216,#175221,#104075,.T.); #219798=EDGE_CURVE('',#175222,#175222,#69173,.T.); #219799=EDGE_CURVE('',#175222,#175223,#104076,.T.); #219800=EDGE_CURVE('',#175223,#175223,#69174,.T.); #219801=EDGE_CURVE('',#175224,#175224,#69175,.T.); #219802=EDGE_CURVE('',#175224,#175225,#104077,.T.); #219803=EDGE_CURVE('',#175225,#175225,#69176,.T.); #219804=EDGE_CURVE('',#175226,#175226,#69177,.T.); #219805=EDGE_CURVE('',#175226,#175227,#104078,.T.); #219806=EDGE_CURVE('',#175227,#175227,#69178,.T.); #219807=EDGE_CURVE('',#175228,#175228,#69179,.T.); #219808=EDGE_CURVE('',#175228,#175229,#104079,.T.); #219809=EDGE_CURVE('',#175229,#175229,#69180,.T.); #219810=EDGE_CURVE('',#175230,#175230,#69181,.T.); #219811=EDGE_CURVE('',#175230,#175231,#104080,.T.); #219812=EDGE_CURVE('',#175231,#175231,#69182,.T.); #219813=EDGE_CURVE('',#175232,#175232,#69183,.T.); #219814=EDGE_CURVE('',#175232,#175233,#104081,.T.); #219815=EDGE_CURVE('',#175233,#175233,#69184,.T.); #219816=EDGE_CURVE('',#175234,#175234,#69185,.T.); #219817=EDGE_CURVE('',#175234,#175235,#104082,.T.); #219818=EDGE_CURVE('',#175235,#175235,#69186,.T.); #219819=EDGE_CURVE('',#175236,#175236,#69187,.T.); #219820=EDGE_CURVE('',#175236,#175237,#104083,.T.); #219821=EDGE_CURVE('',#175237,#175237,#69188,.T.); #219822=EDGE_CURVE('',#175238,#175238,#69189,.T.); #219823=EDGE_CURVE('',#175238,#175239,#104084,.T.); #219824=EDGE_CURVE('',#175239,#175239,#69190,.T.); #219825=EDGE_CURVE('',#175240,#175240,#69191,.T.); #219826=EDGE_CURVE('',#175240,#175241,#104085,.T.); #219827=EDGE_CURVE('',#175241,#175241,#69192,.T.); #219828=EDGE_CURVE('',#175242,#175242,#69193,.T.); #219829=EDGE_CURVE('',#175242,#175243,#104086,.T.); #219830=EDGE_CURVE('',#175243,#175243,#69194,.T.); #219831=EDGE_CURVE('',#175244,#175244,#69195,.T.); #219832=EDGE_CURVE('',#175244,#175245,#104087,.T.); #219833=EDGE_CURVE('',#175245,#175245,#69196,.T.); #219834=EDGE_CURVE('',#175246,#175246,#69197,.T.); #219835=EDGE_CURVE('',#175246,#175247,#104088,.T.); #219836=EDGE_CURVE('',#175247,#175247,#69198,.T.); #219837=EDGE_CURVE('',#175248,#175248,#69199,.T.); #219838=EDGE_CURVE('',#175248,#175249,#104089,.T.); #219839=EDGE_CURVE('',#175249,#175249,#69200,.T.); #219840=EDGE_CURVE('',#175250,#175250,#69201,.T.); #219841=EDGE_CURVE('',#175250,#175251,#104090,.T.); #219842=EDGE_CURVE('',#175251,#175251,#69202,.T.); #219843=EDGE_CURVE('',#175252,#175252,#69203,.T.); #219844=EDGE_CURVE('',#175252,#175253,#104091,.T.); #219845=EDGE_CURVE('',#175253,#175253,#69204,.T.); #219846=EDGE_CURVE('',#175254,#175254,#69205,.T.); #219847=EDGE_CURVE('',#175254,#175255,#104092,.T.); #219848=EDGE_CURVE('',#175255,#175255,#69206,.T.); #219849=EDGE_CURVE('',#175256,#175256,#69207,.T.); #219850=EDGE_CURVE('',#175256,#175257,#104093,.T.); #219851=EDGE_CURVE('',#175257,#175257,#69208,.T.); #219852=EDGE_CURVE('',#175258,#175258,#69209,.T.); #219853=EDGE_CURVE('',#175258,#175259,#104094,.T.); #219854=EDGE_CURVE('',#175259,#175259,#69210,.T.); #219855=EDGE_CURVE('',#175260,#175260,#69211,.T.); #219856=EDGE_CURVE('',#175260,#175261,#104095,.T.); #219857=EDGE_CURVE('',#175261,#175261,#69212,.T.); #219858=EDGE_CURVE('',#175262,#175262,#69213,.T.); #219859=EDGE_CURVE('',#175262,#175263,#104096,.T.); #219860=EDGE_CURVE('',#175263,#175263,#69214,.T.); #219861=EDGE_CURVE('',#175264,#175264,#69215,.T.); #219862=EDGE_CURVE('',#175264,#175265,#104097,.T.); #219863=EDGE_CURVE('',#175265,#175265,#69216,.T.); #219864=EDGE_CURVE('',#175266,#175266,#69217,.T.); #219865=EDGE_CURVE('',#175266,#175267,#104098,.T.); #219866=EDGE_CURVE('',#175267,#175267,#69218,.T.); #219867=EDGE_CURVE('',#175268,#175268,#69219,.T.); #219868=EDGE_CURVE('',#175268,#175269,#104099,.T.); #219869=EDGE_CURVE('',#175269,#175269,#69220,.T.); #219870=EDGE_CURVE('',#175270,#175270,#69221,.T.); #219871=EDGE_CURVE('',#175270,#175271,#104100,.T.); #219872=EDGE_CURVE('',#175271,#175271,#69222,.T.); #219873=EDGE_CURVE('',#175272,#175272,#69223,.T.); #219874=EDGE_CURVE('',#175272,#175273,#104101,.T.); #219875=EDGE_CURVE('',#175273,#175273,#69224,.T.); #219876=EDGE_CURVE('',#175274,#175274,#69225,.T.); #219877=EDGE_CURVE('',#175274,#175275,#104102,.T.); #219878=EDGE_CURVE('',#175275,#175275,#69226,.T.); #219879=EDGE_CURVE('',#175276,#175276,#69227,.T.); #219880=EDGE_CURVE('',#175276,#175277,#104103,.T.); #219881=EDGE_CURVE('',#175277,#175277,#69228,.T.); #219882=EDGE_CURVE('',#175278,#175278,#69229,.T.); #219883=EDGE_CURVE('',#175278,#175279,#104104,.T.); #219884=EDGE_CURVE('',#175279,#175279,#69230,.T.); #219885=EDGE_CURVE('',#175280,#175280,#69231,.T.); #219886=EDGE_CURVE('',#175280,#175281,#104105,.T.); #219887=EDGE_CURVE('',#175281,#175281,#69232,.T.); #219888=EDGE_CURVE('',#175282,#175282,#69233,.T.); #219889=EDGE_CURVE('',#175282,#175283,#104106,.T.); #219890=EDGE_CURVE('',#175283,#175283,#69234,.T.); #219891=EDGE_CURVE('',#175284,#175284,#69235,.T.); #219892=EDGE_CURVE('',#175284,#175285,#104107,.T.); #219893=EDGE_CURVE('',#175285,#175285,#69236,.T.); #219894=EDGE_CURVE('',#175286,#175286,#69237,.T.); #219895=EDGE_CURVE('',#175286,#175287,#104108,.T.); #219896=EDGE_CURVE('',#175287,#175287,#69238,.T.); #219897=EDGE_CURVE('',#175288,#175288,#69239,.T.); #219898=EDGE_CURVE('',#175288,#175289,#104109,.T.); #219899=EDGE_CURVE('',#175289,#175289,#69240,.T.); #219900=EDGE_CURVE('',#175290,#175290,#69241,.T.); #219901=EDGE_CURVE('',#175290,#175291,#104110,.T.); #219902=EDGE_CURVE('',#175291,#175291,#69242,.T.); #219903=EDGE_CURVE('',#175292,#175292,#69243,.T.); #219904=EDGE_CURVE('',#175292,#175293,#104111,.T.); #219905=EDGE_CURVE('',#175293,#175293,#69244,.T.); #219906=EDGE_CURVE('',#175294,#175294,#69245,.T.); #219907=EDGE_CURVE('',#175294,#175295,#104112,.T.); #219908=EDGE_CURVE('',#175295,#175295,#69246,.T.); #219909=EDGE_CURVE('',#175296,#175296,#69247,.T.); #219910=EDGE_CURVE('',#175296,#175297,#104113,.T.); #219911=EDGE_CURVE('',#175297,#175297,#69248,.T.); #219912=EDGE_CURVE('',#175298,#175298,#69249,.T.); #219913=EDGE_CURVE('',#175298,#175299,#104114,.T.); #219914=EDGE_CURVE('',#175299,#175299,#69250,.T.); #219915=EDGE_CURVE('',#175300,#175300,#69251,.T.); #219916=EDGE_CURVE('',#175300,#175301,#104115,.T.); #219917=EDGE_CURVE('',#175301,#175301,#69252,.T.); #219918=EDGE_CURVE('',#175302,#175302,#69253,.T.); #219919=EDGE_CURVE('',#175302,#175303,#104116,.T.); #219920=EDGE_CURVE('',#175303,#175303,#69254,.T.); #219921=EDGE_CURVE('',#175304,#175304,#69255,.T.); #219922=EDGE_CURVE('',#175304,#175305,#104117,.T.); #219923=EDGE_CURVE('',#175305,#175305,#69256,.T.); #219924=EDGE_CURVE('',#175306,#175306,#69257,.T.); #219925=EDGE_CURVE('',#175306,#175307,#104118,.T.); #219926=EDGE_CURVE('',#175307,#175307,#69258,.T.); #219927=EDGE_CURVE('',#175308,#175308,#69259,.T.); #219928=EDGE_CURVE('',#175308,#175309,#104119,.T.); #219929=EDGE_CURVE('',#175309,#175309,#69260,.T.); #219930=EDGE_CURVE('',#175310,#175310,#69261,.T.); #219931=EDGE_CURVE('',#175310,#175311,#104120,.T.); #219932=EDGE_CURVE('',#175311,#175311,#69262,.T.); #219933=EDGE_CURVE('',#175312,#175312,#69263,.T.); #219934=EDGE_CURVE('',#175312,#175313,#104121,.T.); #219935=EDGE_CURVE('',#175313,#175313,#69264,.T.); #219936=EDGE_CURVE('',#175314,#175314,#69265,.T.); #219937=EDGE_CURVE('',#175314,#175315,#104122,.T.); #219938=EDGE_CURVE('',#175315,#175315,#69266,.T.); #219939=EDGE_CURVE('',#175316,#175316,#69267,.T.); #219940=EDGE_CURVE('',#175316,#175317,#104123,.T.); #219941=EDGE_CURVE('',#175317,#175317,#69268,.T.); #219942=EDGE_CURVE('',#175318,#175318,#69269,.T.); #219943=EDGE_CURVE('',#175318,#175319,#104124,.T.); #219944=EDGE_CURVE('',#175319,#175319,#69270,.T.); #219945=EDGE_CURVE('',#175320,#175320,#69271,.T.); #219946=EDGE_CURVE('',#175320,#175321,#104125,.T.); #219947=EDGE_CURVE('',#175321,#175321,#69272,.T.); #219948=EDGE_CURVE('',#175322,#175322,#69273,.T.); #219949=EDGE_CURVE('',#175322,#175323,#104126,.T.); #219950=EDGE_CURVE('',#175323,#175323,#69274,.T.); #219951=EDGE_CURVE('',#175324,#175324,#69275,.T.); #219952=EDGE_CURVE('',#175324,#175325,#104127,.T.); #219953=EDGE_CURVE('',#175325,#175325,#69276,.T.); #219954=EDGE_CURVE('',#175326,#175326,#69277,.T.); #219955=EDGE_CURVE('',#175326,#175327,#104128,.T.); #219956=EDGE_CURVE('',#175327,#175327,#69278,.T.); #219957=EDGE_CURVE('',#175328,#175328,#69279,.T.); #219958=EDGE_CURVE('',#175328,#175329,#104129,.T.); #219959=EDGE_CURVE('',#175329,#175329,#69280,.T.); #219960=EDGE_CURVE('',#175330,#175330,#69281,.T.); #219961=EDGE_CURVE('',#175330,#175331,#104130,.T.); #219962=EDGE_CURVE('',#175331,#175331,#69282,.T.); #219963=EDGE_CURVE('',#175332,#175332,#69283,.T.); #219964=EDGE_CURVE('',#175332,#175333,#104131,.T.); #219965=EDGE_CURVE('',#175333,#175333,#69284,.T.); #219966=EDGE_CURVE('',#175334,#175334,#69285,.T.); #219967=EDGE_CURVE('',#175334,#175335,#104132,.T.); #219968=EDGE_CURVE('',#175335,#175335,#69286,.T.); #219969=EDGE_CURVE('',#175336,#175336,#69287,.T.); #219970=EDGE_CURVE('',#175336,#175337,#104133,.T.); #219971=EDGE_CURVE('',#175337,#175337,#69288,.T.); #219972=EDGE_CURVE('',#175338,#175338,#69289,.T.); #219973=EDGE_CURVE('',#175338,#175339,#104134,.T.); #219974=EDGE_CURVE('',#175339,#175339,#69290,.T.); #219975=EDGE_CURVE('',#175340,#175340,#69291,.T.); #219976=EDGE_CURVE('',#175340,#175341,#104135,.T.); #219977=EDGE_CURVE('',#175341,#175341,#69292,.T.); #219978=EDGE_CURVE('',#175342,#175342,#69293,.T.); #219979=EDGE_CURVE('',#175342,#175343,#104136,.T.); #219980=EDGE_CURVE('',#175343,#175343,#69294,.T.); #219981=EDGE_CURVE('',#175344,#175344,#69295,.T.); #219982=EDGE_CURVE('',#175344,#175345,#104137,.T.); #219983=EDGE_CURVE('',#175345,#175345,#69296,.T.); #219984=EDGE_CURVE('',#175346,#175346,#69297,.T.); #219985=EDGE_CURVE('',#175346,#175347,#104138,.T.); #219986=EDGE_CURVE('',#175347,#175347,#69298,.T.); #219987=EDGE_CURVE('',#175348,#175348,#69299,.T.); #219988=EDGE_CURVE('',#175348,#175349,#104139,.T.); #219989=EDGE_CURVE('',#175349,#175349,#69300,.T.); #219990=EDGE_CURVE('',#175350,#175350,#69301,.T.); #219991=EDGE_CURVE('',#175350,#175351,#104140,.T.); #219992=EDGE_CURVE('',#175351,#175351,#69302,.T.); #219993=EDGE_CURVE('',#175352,#175352,#69303,.T.); #219994=EDGE_CURVE('',#175352,#175353,#104141,.T.); #219995=EDGE_CURVE('',#175353,#175353,#69304,.T.); #219996=EDGE_CURVE('',#175354,#175354,#69305,.T.); #219997=EDGE_CURVE('',#175354,#175355,#104142,.T.); #219998=EDGE_CURVE('',#175355,#175355,#69306,.T.); #219999=EDGE_CURVE('',#175356,#175356,#69307,.T.); #220000=EDGE_CURVE('',#175356,#175357,#104143,.T.); #220001=EDGE_CURVE('',#175357,#175357,#69308,.T.); #220002=EDGE_CURVE('',#175358,#175358,#69309,.T.); #220003=EDGE_CURVE('',#175358,#175359,#104144,.T.); #220004=EDGE_CURVE('',#175359,#175359,#69310,.T.); #220005=EDGE_CURVE('',#175360,#175360,#69311,.T.); #220006=EDGE_CURVE('',#175360,#175361,#104145,.T.); #220007=EDGE_CURVE('',#175361,#175361,#69312,.T.); #220008=EDGE_CURVE('',#175362,#175362,#69313,.T.); #220009=EDGE_CURVE('',#175362,#175363,#104146,.T.); #220010=EDGE_CURVE('',#175363,#175363,#69314,.T.); #220011=EDGE_CURVE('',#175364,#175364,#69315,.T.); #220012=EDGE_CURVE('',#175364,#175365,#104147,.T.); #220013=EDGE_CURVE('',#175365,#175365,#69316,.T.); #220014=EDGE_CURVE('',#175366,#175366,#69317,.T.); #220015=EDGE_CURVE('',#175366,#175367,#104148,.T.); #220016=EDGE_CURVE('',#175367,#175367,#69318,.T.); #220017=EDGE_CURVE('',#175368,#175368,#69319,.T.); #220018=EDGE_CURVE('',#175368,#175369,#104149,.T.); #220019=EDGE_CURVE('',#175369,#175369,#69320,.T.); #220020=EDGE_CURVE('',#175370,#175370,#69321,.T.); #220021=EDGE_CURVE('',#175370,#175371,#104150,.T.); #220022=EDGE_CURVE('',#175371,#175371,#69322,.T.); #220023=EDGE_CURVE('',#175372,#175372,#69323,.T.); #220024=EDGE_CURVE('',#175372,#175373,#104151,.T.); #220025=EDGE_CURVE('',#175373,#175373,#69324,.T.); #220026=EDGE_CURVE('',#175374,#175374,#69325,.T.); #220027=EDGE_CURVE('',#175374,#175375,#104152,.T.); #220028=EDGE_CURVE('',#175375,#175375,#69326,.T.); #220029=EDGE_CURVE('',#175376,#175376,#69327,.T.); #220030=EDGE_CURVE('',#175376,#175377,#104153,.T.); #220031=EDGE_CURVE('',#175377,#175377,#69328,.T.); #220032=EDGE_CURVE('',#175378,#175378,#69329,.T.); #220033=EDGE_CURVE('',#175378,#175379,#104154,.T.); #220034=EDGE_CURVE('',#175379,#175379,#69330,.T.); #220035=EDGE_CURVE('',#175380,#175380,#69331,.T.); #220036=EDGE_CURVE('',#175380,#175381,#104155,.T.); #220037=EDGE_CURVE('',#175381,#175381,#69332,.T.); #220038=EDGE_CURVE('',#175382,#175382,#69333,.T.); #220039=EDGE_CURVE('',#175382,#175383,#104156,.T.); #220040=EDGE_CURVE('',#175383,#175383,#69334,.T.); #220041=EDGE_CURVE('',#175384,#175384,#69335,.T.); #220042=EDGE_CURVE('',#175384,#175385,#104157,.T.); #220043=EDGE_CURVE('',#175385,#175385,#69336,.T.); #220044=EDGE_CURVE('',#175386,#175386,#69337,.T.); #220045=EDGE_CURVE('',#175386,#175387,#104158,.T.); #220046=EDGE_CURVE('',#175387,#175387,#69338,.T.); #220047=EDGE_CURVE('',#175388,#175388,#69339,.T.); #220048=EDGE_CURVE('',#175388,#175389,#104159,.T.); #220049=EDGE_CURVE('',#175389,#175389,#69340,.T.); #220050=EDGE_CURVE('',#175390,#175390,#69341,.T.); #220051=EDGE_CURVE('',#175390,#175391,#104160,.T.); #220052=EDGE_CURVE('',#175391,#175391,#69342,.T.); #220053=EDGE_CURVE('',#175392,#175392,#69343,.T.); #220054=EDGE_CURVE('',#175392,#175393,#104161,.T.); #220055=EDGE_CURVE('',#175393,#175393,#69344,.T.); #220056=EDGE_CURVE('',#175394,#175394,#69345,.T.); #220057=EDGE_CURVE('',#175394,#175395,#104162,.T.); #220058=EDGE_CURVE('',#175395,#175395,#69346,.T.); #220059=EDGE_CURVE('',#175396,#175396,#69347,.T.); #220060=EDGE_CURVE('',#175396,#175397,#104163,.T.); #220061=EDGE_CURVE('',#175397,#175397,#69348,.T.); #220062=EDGE_CURVE('',#175398,#175398,#69349,.T.); #220063=EDGE_CURVE('',#175398,#175399,#104164,.T.); #220064=EDGE_CURVE('',#175399,#175399,#69350,.T.); #220065=EDGE_CURVE('',#175400,#175400,#69351,.T.); #220066=EDGE_CURVE('',#175400,#175401,#104165,.T.); #220067=EDGE_CURVE('',#175401,#175401,#69352,.T.); #220068=EDGE_CURVE('',#175402,#175402,#69353,.T.); #220069=EDGE_CURVE('',#175402,#175403,#104166,.T.); #220070=EDGE_CURVE('',#175403,#175403,#69354,.T.); #220071=EDGE_CURVE('',#175404,#175404,#69355,.T.); #220072=EDGE_CURVE('',#175404,#175405,#104167,.T.); #220073=EDGE_CURVE('',#175405,#175405,#69356,.T.); #220074=EDGE_CURVE('',#175406,#175406,#69357,.T.); #220075=EDGE_CURVE('',#175406,#175407,#104168,.T.); #220076=EDGE_CURVE('',#175407,#175407,#69358,.T.); #220077=EDGE_CURVE('',#175408,#175408,#69359,.T.); #220078=EDGE_CURVE('',#175408,#175409,#104169,.T.); #220079=EDGE_CURVE('',#175409,#175409,#69360,.T.); #220080=EDGE_CURVE('',#175410,#175410,#69361,.T.); #220081=EDGE_CURVE('',#175410,#175411,#104170,.T.); #220082=EDGE_CURVE('',#175411,#175411,#69362,.T.); #220083=EDGE_CURVE('',#175412,#175412,#69363,.T.); #220084=EDGE_CURVE('',#175412,#175413,#104171,.T.); #220085=EDGE_CURVE('',#175413,#175413,#69364,.T.); #220086=EDGE_CURVE('',#175414,#175414,#69365,.T.); #220087=EDGE_CURVE('',#175414,#175415,#104172,.T.); #220088=EDGE_CURVE('',#175415,#175415,#69366,.T.); #220089=EDGE_CURVE('',#175416,#175416,#69367,.T.); #220090=EDGE_CURVE('',#175416,#175417,#104173,.T.); #220091=EDGE_CURVE('',#175417,#175417,#69368,.T.); #220092=EDGE_CURVE('',#175418,#175418,#69369,.T.); #220093=EDGE_CURVE('',#175418,#175419,#104174,.T.); #220094=EDGE_CURVE('',#175419,#175419,#69370,.T.); #220095=EDGE_CURVE('',#175420,#175420,#69371,.T.); #220096=EDGE_CURVE('',#175420,#175421,#104175,.T.); #220097=EDGE_CURVE('',#175421,#175421,#69372,.T.); #220098=EDGE_CURVE('',#175422,#175422,#69373,.T.); #220099=EDGE_CURVE('',#175422,#175423,#104176,.T.); #220100=EDGE_CURVE('',#175423,#175423,#69374,.T.); #220101=EDGE_CURVE('',#175424,#175424,#69375,.T.); #220102=EDGE_CURVE('',#175424,#175425,#104177,.T.); #220103=EDGE_CURVE('',#175425,#175425,#69376,.T.); #220104=EDGE_CURVE('',#175426,#175426,#69377,.T.); #220105=EDGE_CURVE('',#175426,#175427,#104178,.T.); #220106=EDGE_CURVE('',#175427,#175427,#69378,.T.); #220107=EDGE_CURVE('',#175428,#175428,#69379,.T.); #220108=EDGE_CURVE('',#175428,#175429,#104179,.T.); #220109=EDGE_CURVE('',#175429,#175429,#69380,.T.); #220110=EDGE_CURVE('',#175430,#175430,#69381,.T.); #220111=EDGE_CURVE('',#175430,#175431,#104180,.T.); #220112=EDGE_CURVE('',#175431,#175431,#69382,.T.); #220113=EDGE_CURVE('',#175432,#175432,#69383,.T.); #220114=EDGE_CURVE('',#175432,#175433,#104181,.T.); #220115=EDGE_CURVE('',#175433,#175433,#69384,.T.); #220116=EDGE_CURVE('',#175434,#175434,#69385,.T.); #220117=EDGE_CURVE('',#175434,#175435,#104182,.T.); #220118=EDGE_CURVE('',#175435,#175435,#69386,.T.); #220119=EDGE_CURVE('',#175436,#175436,#69387,.T.); #220120=EDGE_CURVE('',#175436,#175437,#104183,.T.); #220121=EDGE_CURVE('',#175437,#175437,#69388,.T.); #220122=EDGE_CURVE('',#175438,#175438,#69389,.T.); #220123=EDGE_CURVE('',#175438,#175439,#104184,.T.); #220124=EDGE_CURVE('',#175439,#175439,#69390,.T.); #220125=EDGE_CURVE('',#175440,#175440,#69391,.T.); #220126=EDGE_CURVE('',#175440,#175441,#104185,.T.); #220127=EDGE_CURVE('',#175441,#175441,#69392,.T.); #220128=EDGE_CURVE('',#175442,#175442,#69393,.T.); #220129=EDGE_CURVE('',#175442,#175443,#104186,.T.); #220130=EDGE_CURVE('',#175443,#175443,#69394,.T.); #220131=EDGE_CURVE('',#175444,#175444,#69395,.T.); #220132=EDGE_CURVE('',#175444,#175445,#104187,.T.); #220133=EDGE_CURVE('',#175445,#175445,#69396,.T.); #220134=EDGE_CURVE('',#175446,#175446,#69397,.T.); #220135=EDGE_CURVE('',#175446,#175447,#104188,.T.); #220136=EDGE_CURVE('',#175447,#175447,#69398,.T.); #220137=EDGE_CURVE('',#175448,#175448,#69399,.T.); #220138=EDGE_CURVE('',#175448,#175449,#104189,.T.); #220139=EDGE_CURVE('',#175449,#175449,#69400,.T.); #220140=EDGE_CURVE('',#175450,#175450,#69401,.T.); #220141=EDGE_CURVE('',#175450,#175451,#104190,.T.); #220142=EDGE_CURVE('',#175451,#175451,#69402,.T.); #220143=EDGE_CURVE('',#175452,#175452,#69403,.T.); #220144=EDGE_CURVE('',#175452,#175453,#104191,.T.); #220145=EDGE_CURVE('',#175453,#175453,#69404,.T.); #220146=EDGE_CURVE('',#175454,#175454,#69405,.T.); #220147=EDGE_CURVE('',#175454,#175455,#104192,.T.); #220148=EDGE_CURVE('',#175455,#175455,#69406,.T.); #220149=EDGE_CURVE('',#175456,#175456,#69407,.T.); #220150=EDGE_CURVE('',#175456,#175457,#104193,.T.); #220151=EDGE_CURVE('',#175457,#175457,#69408,.T.); #220152=EDGE_CURVE('',#175458,#175458,#69409,.T.); #220153=EDGE_CURVE('',#175458,#175459,#104194,.T.); #220154=EDGE_CURVE('',#175459,#175459,#69410,.T.); #220155=EDGE_CURVE('',#175460,#175460,#69411,.T.); #220156=EDGE_CURVE('',#175460,#175461,#104195,.T.); #220157=EDGE_CURVE('',#175461,#175461,#69412,.T.); #220158=EDGE_CURVE('',#175462,#175462,#69413,.T.); #220159=EDGE_CURVE('',#175462,#175463,#104196,.T.); #220160=EDGE_CURVE('',#175463,#175463,#69414,.T.); #220161=EDGE_CURVE('',#175464,#175464,#69415,.T.); #220162=EDGE_CURVE('',#175464,#175465,#104197,.T.); #220163=EDGE_CURVE('',#175465,#175465,#69416,.T.); #220164=EDGE_CURVE('',#175466,#175466,#69417,.T.); #220165=EDGE_CURVE('',#175466,#175467,#104198,.T.); #220166=EDGE_CURVE('',#175467,#175467,#69418,.T.); #220167=EDGE_CURVE('',#175468,#175468,#69419,.T.); #220168=EDGE_CURVE('',#175468,#175469,#104199,.T.); #220169=EDGE_CURVE('',#175469,#175469,#69420,.T.); #220170=EDGE_CURVE('',#175470,#175470,#69421,.T.); #220171=EDGE_CURVE('',#175470,#175471,#104200,.T.); #220172=EDGE_CURVE('',#175471,#175471,#69422,.T.); #220173=EDGE_CURVE('',#175472,#175472,#69423,.T.); #220174=EDGE_CURVE('',#175472,#175473,#104201,.T.); #220175=EDGE_CURVE('',#175473,#175473,#69424,.T.); #220176=EDGE_CURVE('',#175474,#175474,#69425,.T.); #220177=EDGE_CURVE('',#175474,#175475,#104202,.T.); #220178=EDGE_CURVE('',#175475,#175475,#69426,.T.); #220179=EDGE_CURVE('',#175476,#175476,#69427,.T.); #220180=EDGE_CURVE('',#175476,#175477,#104203,.T.); #220181=EDGE_CURVE('',#175477,#175477,#69428,.T.); #220182=EDGE_CURVE('',#175478,#175478,#69429,.T.); #220183=EDGE_CURVE('',#175478,#175479,#104204,.T.); #220184=EDGE_CURVE('',#175479,#175479,#69430,.T.); #220185=EDGE_CURVE('',#175480,#175480,#69431,.T.); #220186=EDGE_CURVE('',#175480,#175481,#104205,.T.); #220187=EDGE_CURVE('',#175481,#175481,#69432,.T.); #220188=EDGE_CURVE('',#175482,#175482,#69433,.T.); #220189=EDGE_CURVE('',#175482,#175483,#104206,.T.); #220190=EDGE_CURVE('',#175483,#175483,#69434,.T.); #220191=EDGE_CURVE('',#175484,#175484,#69435,.T.); #220192=EDGE_CURVE('',#175484,#175485,#104207,.T.); #220193=EDGE_CURVE('',#175485,#175485,#69436,.T.); #220194=EDGE_CURVE('',#175486,#175486,#69437,.T.); #220195=EDGE_CURVE('',#175486,#175487,#104208,.T.); #220196=EDGE_CURVE('',#175487,#175487,#69438,.T.); #220197=EDGE_CURVE('',#175488,#175488,#69439,.T.); #220198=EDGE_CURVE('',#175488,#175489,#104209,.T.); #220199=EDGE_CURVE('',#175489,#175489,#69440,.T.); #220200=EDGE_CURVE('',#175490,#175490,#69441,.T.); #220201=EDGE_CURVE('',#175490,#175491,#104210,.T.); #220202=EDGE_CURVE('',#175491,#175491,#69442,.T.); #220203=EDGE_CURVE('',#175492,#175492,#69443,.T.); #220204=EDGE_CURVE('',#175492,#175493,#104211,.T.); #220205=EDGE_CURVE('',#175493,#175493,#69444,.T.); #220206=EDGE_CURVE('',#175494,#175494,#69445,.T.); #220207=EDGE_CURVE('',#175494,#175495,#104212,.T.); #220208=EDGE_CURVE('',#175495,#175495,#69446,.T.); #220209=EDGE_CURVE('',#175496,#175496,#69447,.T.); #220210=EDGE_CURVE('',#175496,#175497,#104213,.T.); #220211=EDGE_CURVE('',#175497,#175497,#69448,.T.); #220212=EDGE_CURVE('',#175498,#175498,#69449,.T.); #220213=EDGE_CURVE('',#175498,#175499,#104214,.T.); #220214=EDGE_CURVE('',#175499,#175499,#69450,.T.); #220215=EDGE_CURVE('',#175500,#175500,#69451,.T.); #220216=EDGE_CURVE('',#175500,#175501,#104215,.T.); #220217=EDGE_CURVE('',#175501,#175501,#69452,.T.); #220218=EDGE_CURVE('',#175502,#175502,#69453,.T.); #220219=EDGE_CURVE('',#175502,#175503,#104216,.T.); #220220=EDGE_CURVE('',#175503,#175503,#69454,.T.); #220221=EDGE_CURVE('',#175504,#175504,#69455,.T.); #220222=EDGE_CURVE('',#175504,#175505,#104217,.T.); #220223=EDGE_CURVE('',#175505,#175505,#69456,.T.); #220224=EDGE_CURVE('',#175506,#175506,#69457,.T.); #220225=EDGE_CURVE('',#175506,#175507,#104218,.T.); #220226=EDGE_CURVE('',#175507,#175507,#69458,.T.); #220227=EDGE_CURVE('',#175508,#175508,#69459,.T.); #220228=EDGE_CURVE('',#175508,#175509,#104219,.T.); #220229=EDGE_CURVE('',#175509,#175509,#69460,.T.); #220230=EDGE_CURVE('',#175510,#175510,#69461,.T.); #220231=EDGE_CURVE('',#175510,#175511,#104220,.T.); #220232=EDGE_CURVE('',#175511,#175511,#69462,.T.); #220233=EDGE_CURVE('',#175512,#175512,#69463,.T.); #220234=EDGE_CURVE('',#175512,#175513,#104221,.T.); #220235=EDGE_CURVE('',#175513,#175513,#69464,.T.); #220236=EDGE_CURVE('',#175514,#175514,#69465,.T.); #220237=EDGE_CURVE('',#175514,#175515,#104222,.T.); #220238=EDGE_CURVE('',#175515,#175515,#69466,.T.); #220239=EDGE_CURVE('',#175516,#175516,#69467,.T.); #220240=EDGE_CURVE('',#175516,#175517,#104223,.T.); #220241=EDGE_CURVE('',#175517,#175517,#69468,.T.); #220242=EDGE_CURVE('',#175518,#175518,#69469,.T.); #220243=EDGE_CURVE('',#175518,#175519,#104224,.T.); #220244=EDGE_CURVE('',#175519,#175519,#69470,.T.); #220245=EDGE_CURVE('',#175520,#175520,#69471,.T.); #220246=EDGE_CURVE('',#175520,#175521,#104225,.T.); #220247=EDGE_CURVE('',#175521,#175521,#69472,.T.); #220248=EDGE_CURVE('',#175522,#175522,#69473,.T.); #220249=EDGE_CURVE('',#175522,#175523,#104226,.T.); #220250=EDGE_CURVE('',#175523,#175523,#69474,.T.); #220251=EDGE_CURVE('',#175524,#175524,#69475,.T.); #220252=EDGE_CURVE('',#175524,#175525,#104227,.T.); #220253=EDGE_CURVE('',#175525,#175525,#69476,.T.); #220254=EDGE_CURVE('',#175526,#175526,#69477,.T.); #220255=EDGE_CURVE('',#175526,#175527,#104228,.T.); #220256=EDGE_CURVE('',#175527,#175527,#69478,.T.); #220257=EDGE_CURVE('',#175528,#175528,#69479,.T.); #220258=EDGE_CURVE('',#175528,#175529,#104229,.T.); #220259=EDGE_CURVE('',#175529,#175529,#69480,.T.); #220260=EDGE_CURVE('',#175530,#175530,#69481,.T.); #220261=EDGE_CURVE('',#175530,#175531,#104230,.T.); #220262=EDGE_CURVE('',#175531,#175531,#69482,.T.); #220263=EDGE_CURVE('',#175532,#175532,#69483,.T.); #220264=EDGE_CURVE('',#175532,#175533,#104231,.T.); #220265=EDGE_CURVE('',#175533,#175533,#69484,.T.); #220266=EDGE_CURVE('',#175534,#175534,#69485,.T.); #220267=EDGE_CURVE('',#175534,#175535,#104232,.T.); #220268=EDGE_CURVE('',#175535,#175535,#69486,.T.); #220269=EDGE_CURVE('',#175536,#175536,#69487,.T.); #220270=EDGE_CURVE('',#175536,#175537,#104233,.T.); #220271=EDGE_CURVE('',#175537,#175537,#69488,.T.); #220272=EDGE_CURVE('',#175538,#175538,#69489,.T.); #220273=EDGE_CURVE('',#175538,#175539,#104234,.T.); #220274=EDGE_CURVE('',#175539,#175539,#69490,.T.); #220275=EDGE_CURVE('',#175540,#175540,#69491,.T.); #220276=EDGE_CURVE('',#175540,#175541,#104235,.T.); #220277=EDGE_CURVE('',#175541,#175541,#69492,.T.); #220278=EDGE_CURVE('',#175542,#175542,#69493,.T.); #220279=EDGE_CURVE('',#175542,#175543,#104236,.T.); #220280=EDGE_CURVE('',#175543,#175543,#69494,.T.); #220281=EDGE_CURVE('',#175544,#175544,#69495,.T.); #220282=EDGE_CURVE('',#175544,#175545,#104237,.T.); #220283=EDGE_CURVE('',#175545,#175545,#69496,.T.); #220284=EDGE_CURVE('',#175546,#175546,#69497,.T.); #220285=EDGE_CURVE('',#175546,#175547,#104238,.T.); #220286=EDGE_CURVE('',#175547,#175547,#69498,.T.); #220287=EDGE_CURVE('',#175548,#175549,#104239,.T.); #220288=EDGE_CURVE('',#175550,#175548,#104240,.T.); #220289=EDGE_CURVE('',#175551,#175550,#104241,.T.); #220290=EDGE_CURVE('',#175551,#175549,#104242,.T.); #220291=EDGE_CURVE('',#175549,#175552,#69499,.T.); #220292=EDGE_CURVE('',#175553,#175551,#69500,.T.); #220293=EDGE_CURVE('',#175553,#175552,#104243,.T.); #220294=EDGE_CURVE('',#175552,#175554,#104244,.T.); #220295=EDGE_CURVE('',#175555,#175553,#104245,.T.); #220296=EDGE_CURVE('',#175555,#175554,#104246,.T.); #220297=EDGE_CURVE('',#175554,#175548,#69501,.T.); #220298=EDGE_CURVE('',#175550,#175555,#69502,.T.); #220299=EDGE_CURVE('',#175556,#175556,#69503,.T.); #220300=EDGE_CURVE('',#175556,#175557,#104247,.T.); #220301=EDGE_CURVE('',#175557,#175557,#69504,.T.); #220302=EDGE_CURVE('',#175558,#175559,#104248,.T.); #220303=EDGE_CURVE('',#175560,#175558,#104249,.T.); #220304=EDGE_CURVE('',#175561,#175560,#104250,.T.); #220305=EDGE_CURVE('',#175561,#175559,#104251,.T.); #220306=EDGE_CURVE('',#175559,#175562,#69505,.T.); #220307=EDGE_CURVE('',#175563,#175561,#69506,.T.); #220308=EDGE_CURVE('',#175563,#175562,#104252,.T.); #220309=EDGE_CURVE('',#175562,#175564,#104253,.T.); #220310=EDGE_CURVE('',#175565,#175563,#104254,.T.); #220311=EDGE_CURVE('',#175565,#175564,#104255,.T.); #220312=EDGE_CURVE('',#175564,#175558,#69507,.T.); #220313=EDGE_CURVE('',#175560,#175565,#69508,.T.); #220314=EDGE_CURVE('',#175566,#175566,#69509,.T.); #220315=EDGE_CURVE('',#175566,#175567,#104256,.T.); #220316=EDGE_CURVE('',#175567,#175567,#69510,.T.); #220317=EDGE_CURVE('',#175568,#175568,#69511,.T.); #220318=EDGE_CURVE('',#175568,#175569,#104257,.T.); #220319=EDGE_CURVE('',#175569,#175569,#69512,.T.); #220320=EDGE_CURVE('',#175570,#175570,#69513,.T.); #220321=EDGE_CURVE('',#175570,#175571,#104258,.T.); #220322=EDGE_CURVE('',#175571,#175571,#69514,.T.); #220323=EDGE_CURVE('',#175572,#175573,#104259,.T.); #220324=EDGE_CURVE('',#175574,#175572,#104260,.T.); #220325=EDGE_CURVE('',#175575,#175574,#104261,.T.); #220326=EDGE_CURVE('',#175575,#175573,#104262,.T.); #220327=EDGE_CURVE('',#175573,#175576,#69515,.T.); #220328=EDGE_CURVE('',#175577,#175575,#69516,.T.); #220329=EDGE_CURVE('',#175577,#175576,#104263,.T.); #220330=EDGE_CURVE('',#175576,#175578,#104264,.T.); #220331=EDGE_CURVE('',#175579,#175577,#104265,.T.); #220332=EDGE_CURVE('',#175579,#175578,#104266,.T.); #220333=EDGE_CURVE('',#175578,#175572,#69517,.T.); #220334=EDGE_CURVE('',#175574,#175579,#69518,.T.); #220335=EDGE_CURVE('',#175580,#175580,#69519,.T.); #220336=EDGE_CURVE('',#175580,#175581,#104267,.T.); #220337=EDGE_CURVE('',#175581,#175581,#69520,.T.); #220338=EDGE_CURVE('',#175582,#175582,#69521,.T.); #220339=EDGE_CURVE('',#175582,#175583,#104268,.T.); #220340=EDGE_CURVE('',#175583,#175583,#69522,.T.); #220341=EDGE_CURVE('',#175584,#175584,#69523,.T.); #220342=EDGE_CURVE('',#175584,#175585,#104269,.T.); #220343=EDGE_CURVE('',#175585,#175585,#69524,.T.); #220344=EDGE_CURVE('',#175586,#175586,#69525,.T.); #220345=EDGE_CURVE('',#175586,#175587,#104270,.T.); #220346=EDGE_CURVE('',#175587,#175587,#69526,.T.); #220347=EDGE_CURVE('',#175588,#175588,#69527,.T.); #220348=EDGE_CURVE('',#175588,#175589,#104271,.T.); #220349=EDGE_CURVE('',#175589,#175589,#69528,.T.); #220350=EDGE_CURVE('',#175590,#175590,#69529,.T.); #220351=EDGE_CURVE('',#175590,#175591,#104272,.T.); #220352=EDGE_CURVE('',#175591,#175591,#69530,.T.); #220353=EDGE_CURVE('',#175592,#175592,#69531,.T.); #220354=EDGE_CURVE('',#175592,#175593,#104273,.T.); #220355=EDGE_CURVE('',#175593,#175593,#69532,.T.); #220356=EDGE_CURVE('',#175594,#175594,#69533,.T.); #220357=EDGE_CURVE('',#175594,#175595,#104274,.T.); #220358=EDGE_CURVE('',#175595,#175595,#69534,.T.); #220359=EDGE_CURVE('',#175596,#175596,#69535,.T.); #220360=EDGE_CURVE('',#175596,#175597,#104275,.T.); #220361=EDGE_CURVE('',#175597,#175597,#69536,.T.); #220362=EDGE_CURVE('',#175598,#175598,#69537,.T.); #220363=EDGE_CURVE('',#175598,#175599,#104276,.T.); #220364=EDGE_CURVE('',#175599,#175599,#69538,.T.); #220365=EDGE_CURVE('',#175600,#175601,#104277,.T.); #220366=EDGE_CURVE('',#175602,#175600,#104278,.T.); #220367=EDGE_CURVE('',#175603,#175602,#104279,.T.); #220368=EDGE_CURVE('',#175603,#175601,#104280,.T.); #220369=EDGE_CURVE('',#175601,#175604,#69539,.T.); #220370=EDGE_CURVE('',#175605,#175603,#69540,.T.); #220371=EDGE_CURVE('',#175605,#175604,#104281,.T.); #220372=EDGE_CURVE('',#175604,#175606,#104282,.T.); #220373=EDGE_CURVE('',#175607,#175605,#104283,.T.); #220374=EDGE_CURVE('',#175607,#175606,#104284,.T.); #220375=EDGE_CURVE('',#175606,#175600,#69541,.T.); #220376=EDGE_CURVE('',#175602,#175607,#69542,.T.); #220377=EDGE_CURVE('',#175608,#175608,#69543,.T.); #220378=EDGE_CURVE('',#175608,#175609,#104285,.T.); #220379=EDGE_CURVE('',#175609,#175609,#69544,.T.); #220380=EDGE_CURVE('',#175610,#175611,#104286,.T.); #220381=EDGE_CURVE('',#175612,#175610,#104287,.T.); #220382=EDGE_CURVE('',#175613,#175612,#104288,.T.); #220383=EDGE_CURVE('',#175613,#175611,#104289,.T.); #220384=EDGE_CURVE('',#175611,#175614,#69545,.T.); #220385=EDGE_CURVE('',#175615,#175613,#69546,.T.); #220386=EDGE_CURVE('',#175615,#175614,#104290,.T.); #220387=EDGE_CURVE('',#175614,#175616,#104291,.T.); #220388=EDGE_CURVE('',#175617,#175615,#104292,.T.); #220389=EDGE_CURVE('',#175617,#175616,#104293,.T.); #220390=EDGE_CURVE('',#175616,#175610,#69547,.T.); #220391=EDGE_CURVE('',#175612,#175617,#69548,.T.); #220392=EDGE_CURVE('',#175618,#175618,#69549,.T.); #220393=EDGE_CURVE('',#175618,#175619,#104294,.T.); #220394=EDGE_CURVE('',#175619,#175619,#69550,.T.); #220395=EDGE_CURVE('',#175620,#175621,#104295,.T.); #220396=EDGE_CURVE('',#175622,#175620,#104296,.T.); #220397=EDGE_CURVE('',#175623,#175622,#104297,.T.); #220398=EDGE_CURVE('',#175623,#175621,#104298,.T.); #220399=EDGE_CURVE('',#175621,#175624,#69551,.T.); #220400=EDGE_CURVE('',#175625,#175623,#69552,.T.); #220401=EDGE_CURVE('',#175625,#175624,#104299,.T.); #220402=EDGE_CURVE('',#175624,#175626,#104300,.T.); #220403=EDGE_CURVE('',#175627,#175625,#104301,.T.); #220404=EDGE_CURVE('',#175627,#175626,#104302,.T.); #220405=EDGE_CURVE('',#175626,#175620,#69553,.T.); #220406=EDGE_CURVE('',#175622,#175627,#69554,.T.); #220407=EDGE_CURVE('',#175628,#175628,#69555,.T.); #220408=EDGE_CURVE('',#175628,#175629,#104303,.T.); #220409=EDGE_CURVE('',#175629,#175629,#69556,.T.); #220410=EDGE_CURVE('',#175630,#175630,#69557,.T.); #220411=EDGE_CURVE('',#175630,#175631,#104304,.T.); #220412=EDGE_CURVE('',#175631,#175631,#69558,.T.); #220413=EDGE_CURVE('',#175632,#175632,#69559,.T.); #220414=EDGE_CURVE('',#175632,#175633,#104305,.T.); #220415=EDGE_CURVE('',#175633,#175633,#69560,.T.); #220416=EDGE_CURVE('',#175634,#175634,#69561,.T.); #220417=EDGE_CURVE('',#175634,#175635,#104306,.T.); #220418=EDGE_CURVE('',#175635,#175635,#69562,.T.); #220419=EDGE_CURVE('',#175636,#175636,#69563,.T.); #220420=EDGE_CURVE('',#175636,#175637,#104307,.T.); #220421=EDGE_CURVE('',#175637,#175637,#69564,.T.); #220422=EDGE_CURVE('',#175638,#175638,#69565,.T.); #220423=EDGE_CURVE('',#175638,#175639,#104308,.T.); #220424=EDGE_CURVE('',#175639,#175639,#69566,.T.); #220425=EDGE_CURVE('',#175640,#175640,#69567,.T.); #220426=EDGE_CURVE('',#175640,#175641,#104309,.T.); #220427=EDGE_CURVE('',#175641,#175641,#69568,.T.); #220428=EDGE_CURVE('',#175642,#175642,#69569,.T.); #220429=EDGE_CURVE('',#175642,#175643,#104310,.T.); #220430=EDGE_CURVE('',#175643,#175643,#69570,.T.); #220431=EDGE_CURVE('',#175644,#175644,#69571,.T.); #220432=EDGE_CURVE('',#175644,#175645,#104311,.T.); #220433=EDGE_CURVE('',#175645,#175645,#69572,.T.); #220434=EDGE_CURVE('',#175646,#175646,#69573,.T.); #220435=EDGE_CURVE('',#175646,#175647,#104312,.T.); #220436=EDGE_CURVE('',#175647,#175647,#69574,.T.); #220437=EDGE_CURVE('',#175648,#175648,#69575,.T.); #220438=EDGE_CURVE('',#175648,#175649,#104313,.T.); #220439=EDGE_CURVE('',#175649,#175649,#69576,.T.); #220440=EDGE_CURVE('',#175650,#175650,#69577,.T.); #220441=EDGE_CURVE('',#175650,#175651,#104314,.T.); #220442=EDGE_CURVE('',#175651,#175651,#69578,.T.); #220443=EDGE_CURVE('',#175652,#175652,#69579,.T.); #220444=EDGE_CURVE('',#175652,#175653,#104315,.T.); #220445=EDGE_CURVE('',#175653,#175653,#69580,.T.); #220446=EDGE_CURVE('',#175654,#175654,#69581,.T.); #220447=EDGE_CURVE('',#175654,#175655,#104316,.T.); #220448=EDGE_CURVE('',#175655,#175655,#69582,.T.); #220449=EDGE_CURVE('',#175656,#175656,#69583,.T.); #220450=EDGE_CURVE('',#175656,#175657,#104317,.T.); #220451=EDGE_CURVE('',#175657,#175657,#69584,.T.); #220452=EDGE_CURVE('',#175658,#175658,#69585,.T.); #220453=EDGE_CURVE('',#175658,#175659,#104318,.T.); #220454=EDGE_CURVE('',#175659,#175659,#69586,.T.); #220455=EDGE_CURVE('',#175660,#175660,#69587,.T.); #220456=EDGE_CURVE('',#175660,#175661,#104319,.T.); #220457=EDGE_CURVE('',#175661,#175661,#69588,.T.); #220458=EDGE_CURVE('',#175662,#175662,#69589,.T.); #220459=EDGE_CURVE('',#175662,#175663,#104320,.T.); #220460=EDGE_CURVE('',#175663,#175663,#69590,.T.); #220461=EDGE_CURVE('',#175664,#175664,#69591,.T.); #220462=EDGE_CURVE('',#175664,#175665,#104321,.T.); #220463=EDGE_CURVE('',#175665,#175665,#69592,.T.); #220464=EDGE_CURVE('',#175666,#175666,#69593,.T.); #220465=EDGE_CURVE('',#175666,#175667,#104322,.T.); #220466=EDGE_CURVE('',#175667,#175667,#69594,.T.); #220467=EDGE_CURVE('',#175668,#175668,#69595,.T.); #220468=EDGE_CURVE('',#175668,#175669,#104323,.T.); #220469=EDGE_CURVE('',#175669,#175669,#69596,.T.); #220470=EDGE_CURVE('',#175670,#175670,#69597,.T.); #220471=EDGE_CURVE('',#175670,#175671,#104324,.T.); #220472=EDGE_CURVE('',#175671,#175671,#69598,.T.); #220473=EDGE_CURVE('',#175672,#175672,#69599,.T.); #220474=EDGE_CURVE('',#175672,#175673,#104325,.T.); #220475=EDGE_CURVE('',#175673,#175673,#69600,.T.); #220476=EDGE_CURVE('',#175674,#175674,#69601,.T.); #220477=EDGE_CURVE('',#175674,#175675,#104326,.T.); #220478=EDGE_CURVE('',#175675,#175675,#69602,.T.); #220479=EDGE_CURVE('',#175676,#175676,#69603,.T.); #220480=EDGE_CURVE('',#175676,#175677,#104327,.T.); #220481=EDGE_CURVE('',#175677,#175677,#69604,.T.); #220482=EDGE_CURVE('',#175678,#175678,#69605,.T.); #220483=EDGE_CURVE('',#175678,#175679,#104328,.T.); #220484=EDGE_CURVE('',#175679,#175679,#69606,.T.); #220485=EDGE_CURVE('',#175680,#175680,#69607,.T.); #220486=EDGE_CURVE('',#175680,#175681,#104329,.T.); #220487=EDGE_CURVE('',#175681,#175681,#69608,.T.); #220488=EDGE_CURVE('',#175682,#175682,#69609,.T.); #220489=EDGE_CURVE('',#175682,#175683,#104330,.T.); #220490=EDGE_CURVE('',#175683,#175683,#69610,.T.); #220491=EDGE_CURVE('',#175684,#175684,#69611,.T.); #220492=EDGE_CURVE('',#175684,#175685,#104331,.T.); #220493=EDGE_CURVE('',#175685,#175685,#69612,.T.); #220494=EDGE_CURVE('',#175686,#175686,#69613,.T.); #220495=EDGE_CURVE('',#175686,#175687,#104332,.T.); #220496=EDGE_CURVE('',#175687,#175687,#69614,.T.); #220497=EDGE_CURVE('',#175688,#175688,#69615,.T.); #220498=EDGE_CURVE('',#175688,#175689,#104333,.T.); #220499=EDGE_CURVE('',#175689,#175689,#69616,.T.); #220500=EDGE_CURVE('',#175690,#175690,#69617,.T.); #220501=EDGE_CURVE('',#175690,#175691,#104334,.T.); #220502=EDGE_CURVE('',#175691,#175691,#69618,.T.); #220503=EDGE_CURVE('',#175692,#175692,#69619,.T.); #220504=EDGE_CURVE('',#175692,#175693,#104335,.T.); #220505=EDGE_CURVE('',#175693,#175693,#69620,.T.); #220506=EDGE_CURVE('',#175694,#175694,#69621,.T.); #220507=EDGE_CURVE('',#175694,#175695,#104336,.T.); #220508=EDGE_CURVE('',#175695,#175695,#69622,.T.); #220509=EDGE_CURVE('',#175696,#175696,#69623,.T.); #220510=EDGE_CURVE('',#175696,#175697,#104337,.T.); #220511=EDGE_CURVE('',#175697,#175697,#69624,.T.); #220512=EDGE_CURVE('',#175698,#175698,#69625,.T.); #220513=EDGE_CURVE('',#175698,#175699,#104338,.T.); #220514=EDGE_CURVE('',#175699,#175699,#69626,.T.); #220515=EDGE_CURVE('',#175700,#175700,#69627,.T.); #220516=EDGE_CURVE('',#175700,#175701,#104339,.T.); #220517=EDGE_CURVE('',#175701,#175701,#69628,.T.); #220518=EDGE_CURVE('',#175702,#175702,#69629,.T.); #220519=EDGE_CURVE('',#175702,#175703,#104340,.T.); #220520=EDGE_CURVE('',#175703,#175703,#69630,.T.); #220521=EDGE_CURVE('',#175704,#175704,#69631,.T.); #220522=EDGE_CURVE('',#175704,#175705,#104341,.T.); #220523=EDGE_CURVE('',#175705,#175705,#69632,.T.); #220524=EDGE_CURVE('',#175706,#175706,#69633,.T.); #220525=EDGE_CURVE('',#175706,#175707,#104342,.T.); #220526=EDGE_CURVE('',#175707,#175707,#69634,.T.); #220527=EDGE_CURVE('',#175708,#175708,#69635,.T.); #220528=EDGE_CURVE('',#175708,#175709,#104343,.T.); #220529=EDGE_CURVE('',#175709,#175709,#69636,.T.); #220530=EDGE_CURVE('',#175710,#175710,#69637,.T.); #220531=EDGE_CURVE('',#175710,#175711,#104344,.T.); #220532=EDGE_CURVE('',#175711,#175711,#69638,.T.); #220533=EDGE_CURVE('',#175712,#175712,#69639,.T.); #220534=EDGE_CURVE('',#175712,#175713,#104345,.T.); #220535=EDGE_CURVE('',#175713,#175713,#69640,.T.); #220536=EDGE_CURVE('',#175714,#175714,#69641,.T.); #220537=EDGE_CURVE('',#175714,#175715,#104346,.T.); #220538=EDGE_CURVE('',#175715,#175715,#69642,.T.); #220539=EDGE_CURVE('',#175716,#175716,#69643,.T.); #220540=EDGE_CURVE('',#175716,#175717,#104347,.T.); #220541=EDGE_CURVE('',#175717,#175717,#69644,.T.); #220542=EDGE_CURVE('',#175718,#175718,#69645,.T.); #220543=EDGE_CURVE('',#175718,#175719,#104348,.T.); #220544=EDGE_CURVE('',#175719,#175719,#69646,.T.); #220545=EDGE_CURVE('',#175720,#175720,#69647,.T.); #220546=EDGE_CURVE('',#175720,#175721,#104349,.T.); #220547=EDGE_CURVE('',#175721,#175721,#69648,.T.); #220548=EDGE_CURVE('',#175722,#175722,#69649,.T.); #220549=EDGE_CURVE('',#175722,#175723,#104350,.T.); #220550=EDGE_CURVE('',#175723,#175723,#69650,.T.); #220551=EDGE_CURVE('',#175724,#175724,#69651,.T.); #220552=EDGE_CURVE('',#175724,#175725,#104351,.T.); #220553=EDGE_CURVE('',#175725,#175725,#69652,.T.); #220554=EDGE_CURVE('',#175726,#175726,#69653,.T.); #220555=EDGE_CURVE('',#175726,#175727,#104352,.T.); #220556=EDGE_CURVE('',#175727,#175727,#69654,.T.); #220557=EDGE_CURVE('',#175728,#175728,#69655,.T.); #220558=EDGE_CURVE('',#175728,#175729,#104353,.T.); #220559=EDGE_CURVE('',#175729,#175729,#69656,.T.); #220560=EDGE_CURVE('',#175730,#175730,#69657,.T.); #220561=EDGE_CURVE('',#175730,#175731,#104354,.T.); #220562=EDGE_CURVE('',#175731,#175731,#69658,.T.); #220563=EDGE_CURVE('',#175732,#175732,#69659,.T.); #220564=EDGE_CURVE('',#175732,#175733,#104355,.T.); #220565=EDGE_CURVE('',#175733,#175733,#69660,.T.); #220566=EDGE_CURVE('',#175734,#175734,#69661,.T.); #220567=EDGE_CURVE('',#175734,#175735,#104356,.T.); #220568=EDGE_CURVE('',#175735,#175735,#69662,.T.); #220569=EDGE_CURVE('',#175736,#175736,#69663,.T.); #220570=EDGE_CURVE('',#175736,#175737,#104357,.T.); #220571=EDGE_CURVE('',#175737,#175737,#69664,.T.); #220572=EDGE_CURVE('',#175738,#175738,#69665,.T.); #220573=EDGE_CURVE('',#175738,#175739,#104358,.T.); #220574=EDGE_CURVE('',#175739,#175739,#69666,.T.); #220575=EDGE_CURVE('',#175740,#175740,#69667,.T.); #220576=EDGE_CURVE('',#175740,#175741,#104359,.T.); #220577=EDGE_CURVE('',#175741,#175741,#69668,.T.); #220578=EDGE_CURVE('',#175742,#175742,#69669,.T.); #220579=EDGE_CURVE('',#175742,#175743,#104360,.T.); #220580=EDGE_CURVE('',#175743,#175743,#69670,.T.); #220581=EDGE_CURVE('',#175744,#175744,#69671,.T.); #220582=EDGE_CURVE('',#175744,#175745,#104361,.T.); #220583=EDGE_CURVE('',#175745,#175745,#69672,.T.); #220584=EDGE_CURVE('',#175746,#175746,#69673,.T.); #220585=EDGE_CURVE('',#175746,#175747,#104362,.T.); #220586=EDGE_CURVE('',#175747,#175747,#69674,.T.); #220587=EDGE_CURVE('',#175748,#175748,#69675,.T.); #220588=EDGE_CURVE('',#175748,#175749,#104363,.T.); #220589=EDGE_CURVE('',#175749,#175749,#69676,.T.); #220590=EDGE_CURVE('',#175750,#175750,#69677,.T.); #220591=EDGE_CURVE('',#175750,#175751,#104364,.T.); #220592=EDGE_CURVE('',#175751,#175751,#69678,.T.); #220593=EDGE_CURVE('',#175752,#175752,#69679,.T.); #220594=EDGE_CURVE('',#175752,#175753,#104365,.T.); #220595=EDGE_CURVE('',#175753,#175753,#69680,.T.); #220596=EDGE_CURVE('',#175754,#175754,#69681,.T.); #220597=EDGE_CURVE('',#175754,#175755,#104366,.T.); #220598=EDGE_CURVE('',#175755,#175755,#69682,.T.); #220599=EDGE_CURVE('',#175756,#175756,#69683,.T.); #220600=EDGE_CURVE('',#175756,#175757,#104367,.T.); #220601=EDGE_CURVE('',#175757,#175757,#69684,.T.); #220602=EDGE_CURVE('',#175758,#175758,#69685,.T.); #220603=EDGE_CURVE('',#175758,#175759,#104368,.T.); #220604=EDGE_CURVE('',#175759,#175759,#69686,.T.); #220605=EDGE_CURVE('',#175760,#175760,#69687,.T.); #220606=EDGE_CURVE('',#175760,#175761,#104369,.T.); #220607=EDGE_CURVE('',#175761,#175761,#69688,.T.); #220608=EDGE_CURVE('',#175762,#175762,#69689,.T.); #220609=EDGE_CURVE('',#175762,#175763,#104370,.T.); #220610=EDGE_CURVE('',#175763,#175763,#69690,.T.); #220611=EDGE_CURVE('',#175764,#175764,#69691,.T.); #220612=EDGE_CURVE('',#175764,#175765,#104371,.T.); #220613=EDGE_CURVE('',#175765,#175765,#69692,.T.); #220614=EDGE_CURVE('',#175766,#175766,#69693,.T.); #220615=EDGE_CURVE('',#175766,#175767,#104372,.T.); #220616=EDGE_CURVE('',#175767,#175767,#69694,.T.); #220617=EDGE_CURVE('',#175768,#175768,#69695,.T.); #220618=EDGE_CURVE('',#175768,#175769,#104373,.T.); #220619=EDGE_CURVE('',#175769,#175769,#69696,.T.); #220620=EDGE_CURVE('',#175770,#175770,#69697,.T.); #220621=EDGE_CURVE('',#175770,#175771,#104374,.T.); #220622=EDGE_CURVE('',#175771,#175771,#69698,.T.); #220623=EDGE_CURVE('',#175772,#175772,#69699,.T.); #220624=EDGE_CURVE('',#175772,#175773,#104375,.T.); #220625=EDGE_CURVE('',#175773,#175773,#69700,.T.); #220626=EDGE_CURVE('',#175774,#175774,#69701,.T.); #220627=EDGE_CURVE('',#175774,#175775,#104376,.T.); #220628=EDGE_CURVE('',#175775,#175775,#69702,.T.); #220629=EDGE_CURVE('',#175776,#175776,#69703,.T.); #220630=EDGE_CURVE('',#175776,#175777,#104377,.T.); #220631=EDGE_CURVE('',#175777,#175777,#69704,.T.); #220632=EDGE_CURVE('',#175778,#175778,#69705,.T.); #220633=EDGE_CURVE('',#175778,#175779,#104378,.T.); #220634=EDGE_CURVE('',#175779,#175779,#69706,.T.); #220635=EDGE_CURVE('',#175780,#175780,#69707,.T.); #220636=EDGE_CURVE('',#175780,#175781,#104379,.T.); #220637=EDGE_CURVE('',#175781,#175781,#69708,.T.); #220638=EDGE_CURVE('',#175782,#175782,#69709,.T.); #220639=EDGE_CURVE('',#175782,#175783,#104380,.T.); #220640=EDGE_CURVE('',#175783,#175783,#69710,.T.); #220641=EDGE_CURVE('',#175784,#175784,#69711,.T.); #220642=EDGE_CURVE('',#175784,#175785,#104381,.T.); #220643=EDGE_CURVE('',#175785,#175785,#69712,.T.); #220644=EDGE_CURVE('',#175786,#175786,#69713,.T.); #220645=EDGE_CURVE('',#175786,#175787,#104382,.T.); #220646=EDGE_CURVE('',#175787,#175787,#69714,.T.); #220647=EDGE_CURVE('',#175788,#175788,#69715,.T.); #220648=EDGE_CURVE('',#175788,#175789,#104383,.T.); #220649=EDGE_CURVE('',#175789,#175789,#69716,.T.); #220650=EDGE_CURVE('',#175790,#175790,#69717,.T.); #220651=EDGE_CURVE('',#175790,#175791,#104384,.T.); #220652=EDGE_CURVE('',#175791,#175791,#69718,.T.); #220653=EDGE_CURVE('',#175792,#175792,#69719,.T.); #220654=EDGE_CURVE('',#175792,#175793,#104385,.T.); #220655=EDGE_CURVE('',#175793,#175793,#69720,.T.); #220656=EDGE_CURVE('',#175794,#175794,#69721,.T.); #220657=EDGE_CURVE('',#175794,#175795,#104386,.T.); #220658=EDGE_CURVE('',#175795,#175795,#69722,.T.); #220659=EDGE_CURVE('',#175796,#175796,#69723,.T.); #220660=EDGE_CURVE('',#175796,#175797,#104387,.T.); #220661=EDGE_CURVE('',#175797,#175797,#69724,.T.); #220662=EDGE_CURVE('',#175798,#175798,#69725,.T.); #220663=EDGE_CURVE('',#175798,#175799,#104388,.T.); #220664=EDGE_CURVE('',#175799,#175799,#69726,.T.); #220665=EDGE_CURVE('',#175800,#175800,#69727,.T.); #220666=EDGE_CURVE('',#175800,#175801,#104389,.T.); #220667=EDGE_CURVE('',#175801,#175801,#69728,.T.); #220668=EDGE_CURVE('',#175802,#175802,#69729,.T.); #220669=EDGE_CURVE('',#175802,#175803,#104390,.T.); #220670=EDGE_CURVE('',#175803,#175803,#69730,.T.); #220671=EDGE_CURVE('',#175804,#175804,#69731,.T.); #220672=EDGE_CURVE('',#175804,#175805,#104391,.T.); #220673=EDGE_CURVE('',#175805,#175805,#69732,.T.); #220674=EDGE_CURVE('',#175806,#175806,#69733,.T.); #220675=EDGE_CURVE('',#175806,#175807,#104392,.T.); #220676=EDGE_CURVE('',#175807,#175807,#69734,.T.); #220677=EDGE_CURVE('',#175808,#175808,#69735,.T.); #220678=EDGE_CURVE('',#175808,#175809,#104393,.T.); #220679=EDGE_CURVE('',#175809,#175809,#69736,.T.); #220680=EDGE_CURVE('',#175810,#175810,#69737,.T.); #220681=EDGE_CURVE('',#175810,#175811,#104394,.T.); #220682=EDGE_CURVE('',#175811,#175811,#69738,.T.); #220683=EDGE_CURVE('',#175812,#175812,#69739,.T.); #220684=EDGE_CURVE('',#175812,#175813,#104395,.T.); #220685=EDGE_CURVE('',#175813,#175813,#69740,.T.); #220686=EDGE_CURVE('',#175814,#175814,#69741,.T.); #220687=EDGE_CURVE('',#175814,#175815,#104396,.T.); #220688=EDGE_CURVE('',#175815,#175815,#69742,.T.); #220689=EDGE_CURVE('',#175816,#175816,#69743,.T.); #220690=EDGE_CURVE('',#175816,#175817,#104397,.T.); #220691=EDGE_CURVE('',#175817,#175817,#69744,.T.); #220692=EDGE_CURVE('',#175818,#175818,#69745,.T.); #220693=EDGE_CURVE('',#175818,#175819,#104398,.T.); #220694=EDGE_CURVE('',#175819,#175819,#69746,.T.); #220695=EDGE_CURVE('',#175820,#175820,#69747,.T.); #220696=EDGE_CURVE('',#175820,#175821,#104399,.T.); #220697=EDGE_CURVE('',#175821,#175821,#69748,.T.); #220698=EDGE_CURVE('',#175822,#175822,#69749,.T.); #220699=EDGE_CURVE('',#175822,#175823,#104400,.T.); #220700=EDGE_CURVE('',#175823,#175823,#69750,.T.); #220701=EDGE_CURVE('',#175824,#175824,#69751,.T.); #220702=EDGE_CURVE('',#175824,#175825,#104401,.T.); #220703=EDGE_CURVE('',#175825,#175825,#69752,.T.); #220704=EDGE_CURVE('',#175826,#175826,#69753,.T.); #220705=EDGE_CURVE('',#175826,#175827,#104402,.T.); #220706=EDGE_CURVE('',#175827,#175827,#69754,.T.); #220707=EDGE_CURVE('',#175828,#175828,#69755,.T.); #220708=EDGE_CURVE('',#175828,#175829,#104403,.T.); #220709=EDGE_CURVE('',#175829,#175829,#69756,.T.); #220710=EDGE_CURVE('',#175830,#175830,#69757,.T.); #220711=EDGE_CURVE('',#175830,#175831,#104404,.T.); #220712=EDGE_CURVE('',#175831,#175831,#69758,.T.); #220713=EDGE_CURVE('',#175832,#175832,#69759,.T.); #220714=EDGE_CURVE('',#175832,#175833,#104405,.T.); #220715=EDGE_CURVE('',#175833,#175833,#69760,.T.); #220716=EDGE_CURVE('',#175834,#175834,#69761,.T.); #220717=EDGE_CURVE('',#175834,#175835,#104406,.T.); #220718=EDGE_CURVE('',#175835,#175835,#69762,.T.); #220719=EDGE_CURVE('',#175836,#175836,#69763,.T.); #220720=EDGE_CURVE('',#175836,#175837,#104407,.T.); #220721=EDGE_CURVE('',#175837,#175837,#69764,.T.); #220722=EDGE_CURVE('',#175838,#175838,#69765,.T.); #220723=EDGE_CURVE('',#175838,#175839,#104408,.T.); #220724=EDGE_CURVE('',#175839,#175839,#69766,.T.); #220725=EDGE_CURVE('',#175840,#175840,#69767,.T.); #220726=EDGE_CURVE('',#175840,#175841,#104409,.T.); #220727=EDGE_CURVE('',#175841,#175841,#69768,.T.); #220728=EDGE_CURVE('',#175842,#175842,#69769,.T.); #220729=EDGE_CURVE('',#175842,#175843,#104410,.T.); #220730=EDGE_CURVE('',#175843,#175843,#69770,.T.); #220731=EDGE_CURVE('',#175844,#175844,#69771,.T.); #220732=EDGE_CURVE('',#175844,#175845,#104411,.T.); #220733=EDGE_CURVE('',#175845,#175845,#69772,.T.); #220734=EDGE_CURVE('',#175846,#175846,#69773,.T.); #220735=EDGE_CURVE('',#175846,#175847,#104412,.T.); #220736=EDGE_CURVE('',#175847,#175847,#69774,.T.); #220737=EDGE_CURVE('',#175848,#175848,#69775,.T.); #220738=EDGE_CURVE('',#175848,#175849,#104413,.T.); #220739=EDGE_CURVE('',#175849,#175849,#69776,.T.); #220740=EDGE_CURVE('',#175850,#175850,#69777,.T.); #220741=EDGE_CURVE('',#175850,#175851,#104414,.T.); #220742=EDGE_CURVE('',#175851,#175851,#69778,.T.); #220743=EDGE_CURVE('',#175852,#175852,#69779,.T.); #220744=EDGE_CURVE('',#175852,#175853,#104415,.T.); #220745=EDGE_CURVE('',#175853,#175853,#69780,.T.); #220746=EDGE_CURVE('',#175854,#175854,#69781,.T.); #220747=EDGE_CURVE('',#175854,#175855,#104416,.T.); #220748=EDGE_CURVE('',#175855,#175855,#69782,.T.); #220749=EDGE_CURVE('',#175856,#175856,#69783,.T.); #220750=EDGE_CURVE('',#175856,#175857,#104417,.T.); #220751=EDGE_CURVE('',#175857,#175857,#69784,.T.); #220752=EDGE_CURVE('',#175858,#175858,#69785,.T.); #220753=EDGE_CURVE('',#175858,#175859,#104418,.T.); #220754=EDGE_CURVE('',#175859,#175859,#69786,.T.); #220755=EDGE_CURVE('',#175860,#175860,#69787,.T.); #220756=EDGE_CURVE('',#175860,#175861,#104419,.T.); #220757=EDGE_CURVE('',#175861,#175861,#69788,.T.); #220758=EDGE_CURVE('',#175862,#175862,#69789,.T.); #220759=EDGE_CURVE('',#175862,#175863,#104420,.T.); #220760=EDGE_CURVE('',#175863,#175863,#69790,.T.); #220761=EDGE_CURVE('',#175864,#175864,#69791,.T.); #220762=EDGE_CURVE('',#175864,#175865,#104421,.T.); #220763=EDGE_CURVE('',#175865,#175865,#69792,.T.); #220764=EDGE_CURVE('',#175866,#175866,#69793,.T.); #220765=EDGE_CURVE('',#175866,#175867,#104422,.T.); #220766=EDGE_CURVE('',#175867,#175867,#69794,.T.); #220767=EDGE_CURVE('',#175868,#175868,#69795,.T.); #220768=EDGE_CURVE('',#175868,#175869,#104423,.T.); #220769=EDGE_CURVE('',#175869,#175869,#69796,.T.); #220770=EDGE_CURVE('',#175870,#175870,#69797,.T.); #220771=EDGE_CURVE('',#175870,#175871,#104424,.T.); #220772=EDGE_CURVE('',#175871,#175871,#69798,.T.); #220773=EDGE_CURVE('',#175872,#175872,#69799,.T.); #220774=EDGE_CURVE('',#175872,#175873,#104425,.T.); #220775=EDGE_CURVE('',#175873,#175873,#69800,.T.); #220776=EDGE_CURVE('',#175874,#175874,#69801,.T.); #220777=EDGE_CURVE('',#175874,#175875,#104426,.T.); #220778=EDGE_CURVE('',#175875,#175875,#69802,.T.); #220779=EDGE_CURVE('',#175876,#175876,#69803,.T.); #220780=EDGE_CURVE('',#175876,#175877,#104427,.T.); #220781=EDGE_CURVE('',#175877,#175877,#69804,.T.); #220782=EDGE_CURVE('',#175878,#175878,#69805,.T.); #220783=EDGE_CURVE('',#175878,#175879,#104428,.T.); #220784=EDGE_CURVE('',#175879,#175879,#69806,.T.); #220785=EDGE_CURVE('',#175880,#175880,#69807,.T.); #220786=EDGE_CURVE('',#175880,#175881,#104429,.T.); #220787=EDGE_CURVE('',#175881,#175881,#69808,.T.); #220788=EDGE_CURVE('',#175882,#175882,#69809,.T.); #220789=EDGE_CURVE('',#175882,#175883,#104430,.T.); #220790=EDGE_CURVE('',#175883,#175883,#69810,.T.); #220791=EDGE_CURVE('',#175884,#175884,#69811,.T.); #220792=EDGE_CURVE('',#175884,#175885,#104431,.T.); #220793=EDGE_CURVE('',#175885,#175885,#69812,.T.); #220794=EDGE_CURVE('',#175886,#175886,#69813,.T.); #220795=EDGE_CURVE('',#175886,#175887,#104432,.T.); #220796=EDGE_CURVE('',#175887,#175887,#69814,.T.); #220797=EDGE_CURVE('',#175888,#175888,#69815,.T.); #220798=EDGE_CURVE('',#175888,#175889,#104433,.T.); #220799=EDGE_CURVE('',#175889,#175889,#69816,.T.); #220800=EDGE_CURVE('',#175890,#175890,#69817,.T.); #220801=EDGE_CURVE('',#175890,#175891,#104434,.T.); #220802=EDGE_CURVE('',#175891,#175891,#69818,.T.); #220803=EDGE_CURVE('',#175892,#175892,#69819,.T.); #220804=EDGE_CURVE('',#175892,#175893,#104435,.T.); #220805=EDGE_CURVE('',#175893,#175893,#69820,.T.); #220806=EDGE_CURVE('',#175894,#175894,#69821,.T.); #220807=EDGE_CURVE('',#175894,#175895,#104436,.T.); #220808=EDGE_CURVE('',#175895,#175895,#69822,.T.); #220809=EDGE_CURVE('',#175896,#175896,#69823,.T.); #220810=EDGE_CURVE('',#175896,#175897,#104437,.T.); #220811=EDGE_CURVE('',#175897,#175897,#69824,.T.); #220812=EDGE_CURVE('',#175898,#175898,#69825,.T.); #220813=EDGE_CURVE('',#175898,#175899,#104438,.T.); #220814=EDGE_CURVE('',#175899,#175899,#69826,.T.); #220815=EDGE_CURVE('',#175900,#175900,#69827,.T.); #220816=EDGE_CURVE('',#175900,#175901,#104439,.T.); #220817=EDGE_CURVE('',#175901,#175901,#69828,.T.); #220818=EDGE_CURVE('',#175902,#175902,#69829,.T.); #220819=EDGE_CURVE('',#175902,#175903,#104440,.T.); #220820=EDGE_CURVE('',#175903,#175903,#69830,.T.); #220821=EDGE_CURVE('',#175904,#175904,#69831,.T.); #220822=EDGE_CURVE('',#175904,#175905,#104441,.T.); #220823=EDGE_CURVE('',#175905,#175905,#69832,.T.); #220824=EDGE_CURVE('',#175906,#175906,#69833,.T.); #220825=EDGE_CURVE('',#175906,#175907,#104442,.T.); #220826=EDGE_CURVE('',#175907,#175907,#69834,.T.); #220827=EDGE_CURVE('',#175908,#175908,#69835,.T.); #220828=EDGE_CURVE('',#175908,#175909,#104443,.T.); #220829=EDGE_CURVE('',#175909,#175909,#69836,.T.); #220830=EDGE_CURVE('',#175910,#175910,#69837,.T.); #220831=EDGE_CURVE('',#175910,#175911,#104444,.T.); #220832=EDGE_CURVE('',#175911,#175911,#69838,.T.); #220833=EDGE_CURVE('',#175912,#175912,#69839,.T.); #220834=EDGE_CURVE('',#175912,#175913,#104445,.T.); #220835=EDGE_CURVE('',#175913,#175913,#69840,.T.); #220836=EDGE_CURVE('',#175914,#175914,#69841,.T.); #220837=EDGE_CURVE('',#175914,#175915,#104446,.T.); #220838=EDGE_CURVE('',#175915,#175915,#69842,.T.); #220839=EDGE_CURVE('',#175916,#175916,#69843,.T.); #220840=EDGE_CURVE('',#175916,#175917,#104447,.T.); #220841=EDGE_CURVE('',#175917,#175917,#69844,.T.); #220842=EDGE_CURVE('',#175918,#175918,#69845,.T.); #220843=EDGE_CURVE('',#175918,#175919,#104448,.T.); #220844=EDGE_CURVE('',#175919,#175919,#69846,.T.); #220845=EDGE_CURVE('',#175920,#175920,#69847,.T.); #220846=EDGE_CURVE('',#175920,#175921,#104449,.T.); #220847=EDGE_CURVE('',#175921,#175921,#69848,.T.); #220848=EDGE_CURVE('',#175922,#175922,#69849,.T.); #220849=EDGE_CURVE('',#175922,#175923,#104450,.T.); #220850=EDGE_CURVE('',#175923,#175923,#69850,.T.); #220851=EDGE_CURVE('',#175924,#175924,#69851,.T.); #220852=EDGE_CURVE('',#175924,#175925,#104451,.T.); #220853=EDGE_CURVE('',#175925,#175925,#69852,.T.); #220854=EDGE_CURVE('',#175926,#175926,#69853,.T.); #220855=EDGE_CURVE('',#175926,#175927,#104452,.T.); #220856=EDGE_CURVE('',#175927,#175927,#69854,.T.); #220857=EDGE_CURVE('',#175928,#175928,#69855,.T.); #220858=EDGE_CURVE('',#175928,#175929,#104453,.T.); #220859=EDGE_CURVE('',#175929,#175929,#69856,.T.); #220860=EDGE_CURVE('',#175930,#175930,#69857,.T.); #220861=EDGE_CURVE('',#175930,#175931,#104454,.T.); #220862=EDGE_CURVE('',#175931,#175931,#69858,.T.); #220863=EDGE_CURVE('',#175932,#175932,#69859,.T.); #220864=EDGE_CURVE('',#175932,#175933,#104455,.T.); #220865=EDGE_CURVE('',#175933,#175933,#69860,.T.); #220866=EDGE_CURVE('',#175934,#175934,#69861,.T.); #220867=EDGE_CURVE('',#175934,#175935,#104456,.T.); #220868=EDGE_CURVE('',#175935,#175935,#69862,.T.); #220869=EDGE_CURVE('',#175936,#175936,#69863,.T.); #220870=EDGE_CURVE('',#175936,#175937,#104457,.T.); #220871=EDGE_CURVE('',#175937,#175937,#69864,.T.); #220872=EDGE_CURVE('',#175938,#175938,#69865,.T.); #220873=EDGE_CURVE('',#175938,#175939,#104458,.T.); #220874=EDGE_CURVE('',#175939,#175939,#69866,.T.); #220875=EDGE_CURVE('',#175940,#175940,#69867,.T.); #220876=EDGE_CURVE('',#175940,#175941,#104459,.T.); #220877=EDGE_CURVE('',#175941,#175941,#69868,.T.); #220878=EDGE_CURVE('',#175942,#175942,#69869,.T.); #220879=EDGE_CURVE('',#175942,#175943,#104460,.T.); #220880=EDGE_CURVE('',#175943,#175943,#69870,.T.); #220881=EDGE_CURVE('',#175944,#175944,#69871,.T.); #220882=EDGE_CURVE('',#175944,#175945,#104461,.T.); #220883=EDGE_CURVE('',#175945,#175945,#69872,.T.); #220884=EDGE_CURVE('',#175946,#175946,#69873,.T.); #220885=EDGE_CURVE('',#175946,#175947,#104462,.T.); #220886=EDGE_CURVE('',#175947,#175947,#69874,.T.); #220887=EDGE_CURVE('',#175948,#175948,#69875,.T.); #220888=EDGE_CURVE('',#175948,#175949,#104463,.T.); #220889=EDGE_CURVE('',#175949,#175949,#69876,.T.); #220890=EDGE_CURVE('',#175950,#175950,#69877,.T.); #220891=EDGE_CURVE('',#175950,#175951,#104464,.T.); #220892=EDGE_CURVE('',#175951,#175951,#69878,.T.); #220893=EDGE_CURVE('',#175952,#175952,#69879,.T.); #220894=EDGE_CURVE('',#175952,#175953,#104465,.T.); #220895=EDGE_CURVE('',#175953,#175953,#69880,.T.); #220896=EDGE_CURVE('',#175954,#175954,#69881,.T.); #220897=EDGE_CURVE('',#175954,#175955,#104466,.T.); #220898=EDGE_CURVE('',#175955,#175955,#69882,.T.); #220899=EDGE_CURVE('',#175956,#175956,#69883,.T.); #220900=EDGE_CURVE('',#175956,#175957,#104467,.T.); #220901=EDGE_CURVE('',#175957,#175957,#69884,.T.); #220902=EDGE_CURVE('',#175958,#175958,#69885,.T.); #220903=EDGE_CURVE('',#175958,#175959,#104468,.T.); #220904=EDGE_CURVE('',#175959,#175959,#69886,.T.); #220905=EDGE_CURVE('',#175960,#175960,#69887,.T.); #220906=EDGE_CURVE('',#175960,#175961,#104469,.T.); #220907=EDGE_CURVE('',#175961,#175961,#69888,.T.); #220908=EDGE_CURVE('',#175962,#175962,#69889,.T.); #220909=EDGE_CURVE('',#175962,#175963,#104470,.T.); #220910=EDGE_CURVE('',#175963,#175963,#69890,.T.); #220911=EDGE_CURVE('',#175964,#175964,#69891,.T.); #220912=EDGE_CURVE('',#175964,#175965,#104471,.T.); #220913=EDGE_CURVE('',#175965,#175965,#69892,.T.); #220914=EDGE_CURVE('',#175966,#175966,#69893,.T.); #220915=EDGE_CURVE('',#175966,#175967,#104472,.T.); #220916=EDGE_CURVE('',#175967,#175967,#69894,.T.); #220917=EDGE_CURVE('',#175968,#175968,#69895,.T.); #220918=EDGE_CURVE('',#175968,#175969,#104473,.T.); #220919=EDGE_CURVE('',#175969,#175969,#69896,.T.); #220920=EDGE_CURVE('',#175970,#175970,#69897,.T.); #220921=EDGE_CURVE('',#175970,#175971,#104474,.T.); #220922=EDGE_CURVE('',#175971,#175971,#69898,.T.); #220923=EDGE_CURVE('',#175972,#175972,#69899,.T.); #220924=EDGE_CURVE('',#175972,#175973,#104475,.T.); #220925=EDGE_CURVE('',#175973,#175973,#69900,.T.); #220926=EDGE_CURVE('',#175974,#175974,#69901,.T.); #220927=EDGE_CURVE('',#175974,#175975,#104476,.T.); #220928=EDGE_CURVE('',#175975,#175975,#69902,.T.); #220929=EDGE_CURVE('',#175976,#175976,#69903,.T.); #220930=EDGE_CURVE('',#175976,#175977,#104477,.T.); #220931=EDGE_CURVE('',#175977,#175977,#69904,.T.); #220932=EDGE_CURVE('',#175978,#175978,#69905,.T.); #220933=EDGE_CURVE('',#175978,#175979,#104478,.T.); #220934=EDGE_CURVE('',#175979,#175979,#69906,.T.); #220935=EDGE_CURVE('',#175980,#175980,#69907,.T.); #220936=EDGE_CURVE('',#175980,#175981,#104479,.T.); #220937=EDGE_CURVE('',#175981,#175981,#69908,.T.); #220938=EDGE_CURVE('',#175982,#175982,#69909,.T.); #220939=EDGE_CURVE('',#175982,#175983,#104480,.T.); #220940=EDGE_CURVE('',#175983,#175983,#69910,.T.); #220941=EDGE_CURVE('',#175984,#175984,#69911,.T.); #220942=EDGE_CURVE('',#175984,#175985,#104481,.T.); #220943=EDGE_CURVE('',#175985,#175985,#69912,.T.); #220944=EDGE_CURVE('',#175986,#175986,#69913,.T.); #220945=EDGE_CURVE('',#175986,#175987,#104482,.T.); #220946=EDGE_CURVE('',#175987,#175987,#69914,.T.); #220947=EDGE_CURVE('',#175988,#175988,#69915,.T.); #220948=EDGE_CURVE('',#175988,#175989,#104483,.T.); #220949=EDGE_CURVE('',#175989,#175989,#69916,.T.); #220950=EDGE_CURVE('',#175990,#175990,#69917,.T.); #220951=EDGE_CURVE('',#175990,#175991,#104484,.T.); #220952=EDGE_CURVE('',#175991,#175991,#69918,.T.); #220953=EDGE_CURVE('',#175992,#175992,#69919,.T.); #220954=EDGE_CURVE('',#175992,#175993,#104485,.T.); #220955=EDGE_CURVE('',#175993,#175993,#69920,.T.); #220956=EDGE_CURVE('',#175994,#175994,#69921,.T.); #220957=EDGE_CURVE('',#175994,#175995,#104486,.T.); #220958=EDGE_CURVE('',#175995,#175995,#69922,.T.); #220959=EDGE_CURVE('',#175996,#175996,#69923,.T.); #220960=EDGE_CURVE('',#175996,#175997,#104487,.T.); #220961=EDGE_CURVE('',#175997,#175997,#69924,.T.); #220962=EDGE_CURVE('',#175998,#175998,#69925,.T.); #220963=EDGE_CURVE('',#175998,#175999,#104488,.T.); #220964=EDGE_CURVE('',#175999,#175999,#69926,.T.); #220965=EDGE_CURVE('',#176000,#176000,#69927,.T.); #220966=EDGE_CURVE('',#176000,#176001,#104489,.T.); #220967=EDGE_CURVE('',#176001,#176001,#69928,.T.); #220968=EDGE_CURVE('',#176002,#176002,#69929,.T.); #220969=EDGE_CURVE('',#176002,#176003,#104490,.T.); #220970=EDGE_CURVE('',#176003,#176003,#69930,.T.); #220971=EDGE_CURVE('',#176004,#176004,#69931,.T.); #220972=EDGE_CURVE('',#176004,#176005,#104491,.T.); #220973=EDGE_CURVE('',#176005,#176005,#69932,.T.); #220974=EDGE_CURVE('',#176006,#176006,#69933,.T.); #220975=EDGE_CURVE('',#176006,#176007,#104492,.T.); #220976=EDGE_CURVE('',#176007,#176007,#69934,.T.); #220977=EDGE_CURVE('',#176008,#176008,#69935,.T.); #220978=EDGE_CURVE('',#176008,#176009,#104493,.T.); #220979=EDGE_CURVE('',#176009,#176009,#69936,.T.); #220980=EDGE_CURVE('',#176010,#176010,#69937,.T.); #220981=EDGE_CURVE('',#176010,#176011,#104494,.T.); #220982=EDGE_CURVE('',#176011,#176011,#69938,.T.); #220983=EDGE_CURVE('',#176012,#176012,#69939,.T.); #220984=EDGE_CURVE('',#176012,#176013,#104495,.T.); #220985=EDGE_CURVE('',#176013,#176013,#69940,.T.); #220986=EDGE_CURVE('',#176014,#176014,#69941,.T.); #220987=EDGE_CURVE('',#176014,#176015,#104496,.T.); #220988=EDGE_CURVE('',#176015,#176015,#69942,.T.); #220989=EDGE_CURVE('',#176016,#176016,#69943,.T.); #220990=EDGE_CURVE('',#176016,#176017,#104497,.T.); #220991=EDGE_CURVE('',#176017,#176017,#69944,.T.); #220992=EDGE_CURVE('',#176018,#176018,#69945,.T.); #220993=EDGE_CURVE('',#176018,#176019,#104498,.T.); #220994=EDGE_CURVE('',#176019,#176019,#69946,.T.); #220995=EDGE_CURVE('',#176020,#176020,#69947,.T.); #220996=EDGE_CURVE('',#176020,#176021,#104499,.T.); #220997=EDGE_CURVE('',#176021,#176021,#69948,.T.); #220998=EDGE_CURVE('',#176022,#176022,#69949,.T.); #220999=EDGE_CURVE('',#176022,#176023,#104500,.T.); #221000=EDGE_CURVE('',#176023,#176023,#69950,.T.); #221001=EDGE_CURVE('',#176024,#176024,#69951,.T.); #221002=EDGE_CURVE('',#176024,#176025,#104501,.T.); #221003=EDGE_CURVE('',#176025,#176025,#69952,.T.); #221004=EDGE_CURVE('',#176026,#176026,#69953,.T.); #221005=EDGE_CURVE('',#176026,#176027,#104502,.T.); #221006=EDGE_CURVE('',#176027,#176027,#69954,.T.); #221007=EDGE_CURVE('',#176028,#176028,#69955,.T.); #221008=EDGE_CURVE('',#176028,#176029,#104503,.T.); #221009=EDGE_CURVE('',#176029,#176029,#69956,.T.); #221010=EDGE_CURVE('',#176030,#176030,#69957,.T.); #221011=EDGE_CURVE('',#176030,#176031,#104504,.T.); #221012=EDGE_CURVE('',#176031,#176031,#69958,.T.); #221013=EDGE_CURVE('',#176032,#176032,#69959,.T.); #221014=EDGE_CURVE('',#176032,#176033,#104505,.T.); #221015=EDGE_CURVE('',#176033,#176033,#69960,.T.); #221016=EDGE_CURVE('',#176034,#176034,#69961,.T.); #221017=EDGE_CURVE('',#176034,#176035,#104506,.T.); #221018=EDGE_CURVE('',#176035,#176035,#69962,.T.); #221019=EDGE_CURVE('',#176036,#176036,#69963,.T.); #221020=EDGE_CURVE('',#176036,#176037,#104507,.T.); #221021=EDGE_CURVE('',#176037,#176037,#69964,.T.); #221022=EDGE_CURVE('',#176038,#176038,#69965,.T.); #221023=EDGE_CURVE('',#176038,#176039,#104508,.T.); #221024=EDGE_CURVE('',#176039,#176039,#69966,.T.); #221025=EDGE_CURVE('',#176040,#176040,#69967,.T.); #221026=EDGE_CURVE('',#176040,#176041,#104509,.T.); #221027=EDGE_CURVE('',#176041,#176041,#69968,.T.); #221028=EDGE_CURVE('',#176042,#176042,#69969,.T.); #221029=EDGE_CURVE('',#176042,#176043,#104510,.T.); #221030=EDGE_CURVE('',#176043,#176043,#69970,.T.); #221031=EDGE_CURVE('',#176044,#176044,#69971,.T.); #221032=EDGE_CURVE('',#176044,#176045,#104511,.T.); #221033=EDGE_CURVE('',#176045,#176045,#69972,.T.); #221034=EDGE_CURVE('',#176046,#176046,#69973,.T.); #221035=EDGE_CURVE('',#176046,#176047,#104512,.T.); #221036=EDGE_CURVE('',#176047,#176047,#69974,.T.); #221037=EDGE_CURVE('',#176048,#176048,#69975,.T.); #221038=EDGE_CURVE('',#176048,#176049,#104513,.T.); #221039=EDGE_CURVE('',#176049,#176049,#69976,.T.); #221040=EDGE_CURVE('',#176050,#176050,#69977,.T.); #221041=EDGE_CURVE('',#176050,#176051,#104514,.T.); #221042=EDGE_CURVE('',#176051,#176051,#69978,.T.); #221043=EDGE_CURVE('',#176052,#176052,#69979,.T.); #221044=EDGE_CURVE('',#176052,#176053,#104515,.T.); #221045=EDGE_CURVE('',#176053,#176053,#69980,.T.); #221046=EDGE_CURVE('',#176054,#176054,#69981,.T.); #221047=EDGE_CURVE('',#176054,#176055,#104516,.T.); #221048=EDGE_CURVE('',#176055,#176055,#69982,.T.); #221049=EDGE_CURVE('',#176056,#176056,#69983,.T.); #221050=EDGE_CURVE('',#176056,#176057,#104517,.T.); #221051=EDGE_CURVE('',#176057,#176057,#69984,.T.); #221052=EDGE_CURVE('',#176058,#176058,#69985,.T.); #221053=EDGE_CURVE('',#176058,#176059,#104518,.T.); #221054=EDGE_CURVE('',#176059,#176059,#69986,.T.); #221055=EDGE_CURVE('',#176060,#176060,#69987,.T.); #221056=EDGE_CURVE('',#176060,#176061,#104519,.T.); #221057=EDGE_CURVE('',#176061,#176061,#69988,.T.); #221058=EDGE_CURVE('',#176062,#176062,#69989,.T.); #221059=EDGE_CURVE('',#176062,#176063,#104520,.T.); #221060=EDGE_CURVE('',#176063,#176063,#69990,.T.); #221061=EDGE_CURVE('',#176064,#176064,#69991,.T.); #221062=EDGE_CURVE('',#176064,#176065,#104521,.T.); #221063=EDGE_CURVE('',#176065,#176065,#69992,.T.); #221064=EDGE_CURVE('',#176066,#176066,#69993,.T.); #221065=EDGE_CURVE('',#176066,#176067,#104522,.T.); #221066=EDGE_CURVE('',#176067,#176067,#69994,.T.); #221067=EDGE_CURVE('',#176068,#176068,#69995,.T.); #221068=EDGE_CURVE('',#176068,#176069,#104523,.T.); #221069=EDGE_CURVE('',#176069,#176069,#69996,.T.); #221070=EDGE_CURVE('',#176070,#176070,#69997,.T.); #221071=EDGE_CURVE('',#176070,#176071,#104524,.T.); #221072=EDGE_CURVE('',#176071,#176071,#69998,.T.); #221073=EDGE_CURVE('',#176072,#176072,#69999,.T.); #221074=EDGE_CURVE('',#176072,#176073,#104525,.T.); #221075=EDGE_CURVE('',#176073,#176073,#70000,.T.); #221076=EDGE_CURVE('',#176074,#176074,#70001,.T.); #221077=EDGE_CURVE('',#176074,#176075,#104526,.T.); #221078=EDGE_CURVE('',#176075,#176075,#70002,.T.); #221079=EDGE_CURVE('',#176076,#176076,#70003,.T.); #221080=EDGE_CURVE('',#176076,#176077,#104527,.T.); #221081=EDGE_CURVE('',#176077,#176077,#70004,.T.); #221082=EDGE_CURVE('',#176078,#176078,#70005,.T.); #221083=EDGE_CURVE('',#176078,#176079,#104528,.T.); #221084=EDGE_CURVE('',#176079,#176079,#70006,.T.); #221085=EDGE_CURVE('',#176080,#176080,#70007,.T.); #221086=EDGE_CURVE('',#176080,#176081,#104529,.T.); #221087=EDGE_CURVE('',#176081,#176081,#70008,.T.); #221088=EDGE_CURVE('',#176082,#176082,#70009,.T.); #221089=EDGE_CURVE('',#176082,#176083,#104530,.T.); #221090=EDGE_CURVE('',#176083,#176083,#70010,.T.); #221091=EDGE_CURVE('',#176084,#176084,#70011,.T.); #221092=EDGE_CURVE('',#176084,#176085,#104531,.T.); #221093=EDGE_CURVE('',#176085,#176085,#70012,.T.); #221094=EDGE_CURVE('',#176086,#176086,#70013,.T.); #221095=EDGE_CURVE('',#176086,#176087,#104532,.T.); #221096=EDGE_CURVE('',#176087,#176087,#70014,.T.); #221097=EDGE_CURVE('',#176088,#176088,#70015,.T.); #221098=EDGE_CURVE('',#176088,#176089,#104533,.T.); #221099=EDGE_CURVE('',#176089,#176089,#70016,.T.); #221100=EDGE_CURVE('',#176090,#176090,#70017,.T.); #221101=EDGE_CURVE('',#176090,#176091,#104534,.T.); #221102=EDGE_CURVE('',#176091,#176091,#70018,.T.); #221103=EDGE_CURVE('',#176092,#176092,#70019,.T.); #221104=EDGE_CURVE('',#176092,#176093,#104535,.T.); #221105=EDGE_CURVE('',#176093,#176093,#70020,.T.); #221106=EDGE_CURVE('',#176094,#176094,#70021,.T.); #221107=EDGE_CURVE('',#176094,#176095,#104536,.T.); #221108=EDGE_CURVE('',#176095,#176095,#70022,.T.); #221109=EDGE_CURVE('',#176096,#176096,#70023,.T.); #221110=EDGE_CURVE('',#176096,#176097,#104537,.T.); #221111=EDGE_CURVE('',#176097,#176097,#70024,.T.); #221112=EDGE_CURVE('',#176098,#176098,#70025,.T.); #221113=EDGE_CURVE('',#176098,#176099,#104538,.T.); #221114=EDGE_CURVE('',#176099,#176099,#70026,.T.); #221115=EDGE_CURVE('',#176100,#176100,#70027,.T.); #221116=EDGE_CURVE('',#176100,#176101,#104539,.T.); #221117=EDGE_CURVE('',#176101,#176101,#70028,.T.); #221118=EDGE_CURVE('',#176102,#176102,#70029,.T.); #221119=EDGE_CURVE('',#176102,#176103,#104540,.T.); #221120=EDGE_CURVE('',#176103,#176103,#70030,.T.); #221121=EDGE_CURVE('',#176104,#176104,#70031,.T.); #221122=EDGE_CURVE('',#176104,#176105,#104541,.T.); #221123=EDGE_CURVE('',#176105,#176105,#70032,.T.); #221124=EDGE_CURVE('',#176106,#176106,#70033,.T.); #221125=EDGE_CURVE('',#176106,#176107,#104542,.T.); #221126=EDGE_CURVE('',#176107,#176107,#70034,.T.); #221127=EDGE_CURVE('',#176108,#176108,#70035,.T.); #221128=EDGE_CURVE('',#176108,#176109,#104543,.T.); #221129=EDGE_CURVE('',#176109,#176109,#70036,.T.); #221130=EDGE_CURVE('',#176110,#176110,#70037,.T.); #221131=EDGE_CURVE('',#176110,#176111,#104544,.T.); #221132=EDGE_CURVE('',#176111,#176111,#70038,.T.); #221133=EDGE_CURVE('',#176112,#176112,#70039,.T.); #221134=EDGE_CURVE('',#176112,#176113,#104545,.T.); #221135=EDGE_CURVE('',#176113,#176113,#70040,.T.); #221136=EDGE_CURVE('',#176114,#176114,#70041,.T.); #221137=EDGE_CURVE('',#176114,#176115,#104546,.T.); #221138=EDGE_CURVE('',#176115,#176115,#70042,.T.); #221139=EDGE_CURVE('',#176116,#176116,#70043,.T.); #221140=EDGE_CURVE('',#176116,#176117,#104547,.T.); #221141=EDGE_CURVE('',#176117,#176117,#70044,.T.); #221142=EDGE_CURVE('',#176118,#176118,#70045,.T.); #221143=EDGE_CURVE('',#176118,#176119,#104548,.T.); #221144=EDGE_CURVE('',#176119,#176119,#70046,.T.); #221145=EDGE_CURVE('',#176120,#176120,#70047,.T.); #221146=EDGE_CURVE('',#176120,#176121,#104549,.T.); #221147=EDGE_CURVE('',#176121,#176121,#70048,.T.); #221148=EDGE_CURVE('',#176122,#176122,#70049,.T.); #221149=EDGE_CURVE('',#176122,#176123,#104550,.T.); #221150=EDGE_CURVE('',#176123,#176123,#70050,.T.); #221151=EDGE_CURVE('',#176124,#176124,#70051,.T.); #221152=EDGE_CURVE('',#176124,#176125,#104551,.T.); #221153=EDGE_CURVE('',#176125,#176125,#70052,.T.); #221154=EDGE_CURVE('',#176126,#176126,#70053,.T.); #221155=EDGE_CURVE('',#176126,#176127,#104552,.T.); #221156=EDGE_CURVE('',#176127,#176127,#70054,.T.); #221157=EDGE_CURVE('',#176128,#176128,#70055,.T.); #221158=EDGE_CURVE('',#176128,#176129,#104553,.T.); #221159=EDGE_CURVE('',#176129,#176129,#70056,.T.); #221160=EDGE_CURVE('',#176130,#176130,#70057,.T.); #221161=EDGE_CURVE('',#176130,#176131,#104554,.T.); #221162=EDGE_CURVE('',#176131,#176131,#70058,.T.); #221163=EDGE_CURVE('',#176132,#176132,#70059,.T.); #221164=EDGE_CURVE('',#176132,#176133,#104555,.T.); #221165=EDGE_CURVE('',#176133,#176133,#70060,.T.); #221166=EDGE_CURVE('',#176134,#176134,#70061,.T.); #221167=EDGE_CURVE('',#176134,#176135,#104556,.T.); #221168=EDGE_CURVE('',#176135,#176135,#70062,.T.); #221169=EDGE_CURVE('',#176136,#176136,#70063,.T.); #221170=EDGE_CURVE('',#176136,#176137,#104557,.T.); #221171=EDGE_CURVE('',#176137,#176137,#70064,.T.); #221172=EDGE_CURVE('',#176138,#176138,#70065,.T.); #221173=EDGE_CURVE('',#176138,#176139,#104558,.T.); #221174=EDGE_CURVE('',#176139,#176139,#70066,.T.); #221175=EDGE_CURVE('',#176140,#176140,#70067,.T.); #221176=EDGE_CURVE('',#176140,#176141,#104559,.T.); #221177=EDGE_CURVE('',#176141,#176141,#70068,.T.); #221178=EDGE_CURVE('',#176142,#176142,#70069,.T.); #221179=EDGE_CURVE('',#176142,#176143,#104560,.T.); #221180=EDGE_CURVE('',#176143,#176143,#70070,.T.); #221181=EDGE_CURVE('',#176144,#176144,#70071,.T.); #221182=EDGE_CURVE('',#176144,#176145,#104561,.T.); #221183=EDGE_CURVE('',#176145,#176145,#70072,.T.); #221184=EDGE_CURVE('',#176146,#176146,#70073,.T.); #221185=EDGE_CURVE('',#176146,#176147,#104562,.T.); #221186=EDGE_CURVE('',#176147,#176147,#70074,.T.); #221187=EDGE_CURVE('',#176148,#176148,#70075,.T.); #221188=EDGE_CURVE('',#176148,#176149,#104563,.T.); #221189=EDGE_CURVE('',#176149,#176149,#70076,.T.); #221190=EDGE_CURVE('',#176150,#176150,#70077,.T.); #221191=EDGE_CURVE('',#176150,#176151,#104564,.T.); #221192=EDGE_CURVE('',#176151,#176151,#70078,.T.); #221193=EDGE_CURVE('',#176152,#176152,#70079,.T.); #221194=EDGE_CURVE('',#176152,#176153,#104565,.T.); #221195=EDGE_CURVE('',#176153,#176153,#70080,.T.); #221196=EDGE_CURVE('',#176154,#176154,#70081,.T.); #221197=EDGE_CURVE('',#176154,#176155,#104566,.T.); #221198=EDGE_CURVE('',#176155,#176155,#70082,.T.); #221199=EDGE_CURVE('',#176156,#176156,#70083,.T.); #221200=EDGE_CURVE('',#176156,#176157,#104567,.T.); #221201=EDGE_CURVE('',#176157,#176157,#70084,.T.); #221202=EDGE_CURVE('',#176158,#176158,#70085,.T.); #221203=EDGE_CURVE('',#176158,#176159,#104568,.T.); #221204=EDGE_CURVE('',#176159,#176159,#70086,.T.); #221205=EDGE_CURVE('',#176160,#176160,#70087,.T.); #221206=EDGE_CURVE('',#176160,#176161,#104569,.T.); #221207=EDGE_CURVE('',#176161,#176161,#70088,.T.); #221208=EDGE_CURVE('',#176162,#176162,#70089,.T.); #221209=EDGE_CURVE('',#176162,#176163,#104570,.T.); #221210=EDGE_CURVE('',#176163,#176163,#70090,.T.); #221211=EDGE_CURVE('',#176164,#176164,#70091,.T.); #221212=EDGE_CURVE('',#176164,#176165,#104571,.T.); #221213=EDGE_CURVE('',#176165,#176165,#70092,.T.); #221214=EDGE_CURVE('',#176166,#176166,#70093,.T.); #221215=EDGE_CURVE('',#176166,#176167,#104572,.T.); #221216=EDGE_CURVE('',#176167,#176167,#70094,.T.); #221217=EDGE_CURVE('',#176168,#176168,#70095,.T.); #221218=EDGE_CURVE('',#176168,#176169,#104573,.T.); #221219=EDGE_CURVE('',#176169,#176169,#70096,.T.); #221220=EDGE_CURVE('',#176170,#176170,#70097,.T.); #221221=EDGE_CURVE('',#176170,#176171,#104574,.T.); #221222=EDGE_CURVE('',#176171,#176171,#70098,.T.); #221223=EDGE_CURVE('',#176172,#176172,#70099,.T.); #221224=EDGE_CURVE('',#176172,#176173,#104575,.T.); #221225=EDGE_CURVE('',#176173,#176173,#70100,.T.); #221226=EDGE_CURVE('',#176174,#176174,#70101,.T.); #221227=EDGE_CURVE('',#176174,#176175,#104576,.T.); #221228=EDGE_CURVE('',#176175,#176175,#70102,.T.); #221229=EDGE_CURVE('',#176176,#176176,#70103,.T.); #221230=EDGE_CURVE('',#176176,#176177,#104577,.T.); #221231=EDGE_CURVE('',#176177,#176177,#70104,.T.); #221232=EDGE_CURVE('',#176178,#176178,#70105,.T.); #221233=EDGE_CURVE('',#176178,#176179,#104578,.T.); #221234=EDGE_CURVE('',#176179,#176179,#70106,.T.); #221235=EDGE_CURVE('',#176180,#176180,#70107,.T.); #221236=EDGE_CURVE('',#176180,#176181,#104579,.T.); #221237=EDGE_CURVE('',#176181,#176181,#70108,.T.); #221238=EDGE_CURVE('',#176182,#176182,#70109,.T.); #221239=EDGE_CURVE('',#176182,#176183,#104580,.T.); #221240=EDGE_CURVE('',#176183,#176183,#70110,.T.); #221241=EDGE_CURVE('',#176184,#176184,#70111,.T.); #221242=EDGE_CURVE('',#176184,#176185,#104581,.T.); #221243=EDGE_CURVE('',#176185,#176185,#70112,.T.); #221244=EDGE_CURVE('',#176186,#176186,#70113,.T.); #221245=EDGE_CURVE('',#176186,#176187,#104582,.T.); #221246=EDGE_CURVE('',#176187,#176187,#70114,.T.); #221247=EDGE_CURVE('',#176188,#176188,#70115,.T.); #221248=EDGE_CURVE('',#176188,#176189,#104583,.T.); #221249=EDGE_CURVE('',#176189,#176189,#70116,.T.); #221250=EDGE_CURVE('',#176190,#176190,#70117,.T.); #221251=EDGE_CURVE('',#176190,#176191,#104584,.T.); #221252=EDGE_CURVE('',#176191,#176191,#70118,.T.); #221253=EDGE_CURVE('',#176192,#176192,#70119,.T.); #221254=EDGE_CURVE('',#176192,#176193,#104585,.T.); #221255=EDGE_CURVE('',#176193,#176193,#70120,.T.); #221256=EDGE_CURVE('',#176194,#176194,#70121,.T.); #221257=EDGE_CURVE('',#176194,#176195,#104586,.T.); #221258=EDGE_CURVE('',#176195,#176195,#70122,.T.); #221259=EDGE_CURVE('',#176196,#176196,#70123,.T.); #221260=EDGE_CURVE('',#176196,#176197,#104587,.T.); #221261=EDGE_CURVE('',#176197,#176197,#70124,.T.); #221262=EDGE_CURVE('',#176198,#176198,#70125,.T.); #221263=EDGE_CURVE('',#176198,#176199,#104588,.T.); #221264=EDGE_CURVE('',#176199,#176199,#70126,.T.); #221265=EDGE_CURVE('',#176200,#176200,#70127,.T.); #221266=EDGE_CURVE('',#176200,#176201,#104589,.T.); #221267=EDGE_CURVE('',#176201,#176201,#70128,.T.); #221268=EDGE_CURVE('',#176202,#176202,#70129,.T.); #221269=EDGE_CURVE('',#176202,#176203,#104590,.T.); #221270=EDGE_CURVE('',#176203,#176203,#70130,.T.); #221271=EDGE_CURVE('',#176204,#176204,#70131,.T.); #221272=EDGE_CURVE('',#176204,#176205,#104591,.T.); #221273=EDGE_CURVE('',#176205,#176205,#70132,.T.); #221274=EDGE_CURVE('',#176206,#176206,#70133,.T.); #221275=EDGE_CURVE('',#176206,#176207,#104592,.T.); #221276=EDGE_CURVE('',#176207,#176207,#70134,.T.); #221277=EDGE_CURVE('',#176208,#176208,#70135,.T.); #221278=EDGE_CURVE('',#176208,#176209,#104593,.T.); #221279=EDGE_CURVE('',#176209,#176209,#70136,.T.); #221280=EDGE_CURVE('',#176210,#176210,#70137,.T.); #221281=EDGE_CURVE('',#176210,#176211,#104594,.T.); #221282=EDGE_CURVE('',#176211,#176211,#70138,.T.); #221283=EDGE_CURVE('',#176212,#176212,#70139,.T.); #221284=EDGE_CURVE('',#176212,#176213,#104595,.T.); #221285=EDGE_CURVE('',#176213,#176213,#70140,.T.); #221286=EDGE_CURVE('',#176214,#176214,#70141,.T.); #221287=EDGE_CURVE('',#176214,#176215,#104596,.T.); #221288=EDGE_CURVE('',#176215,#176215,#70142,.T.); #221289=EDGE_CURVE('',#176216,#176216,#70143,.T.); #221290=EDGE_CURVE('',#176216,#176217,#104597,.T.); #221291=EDGE_CURVE('',#176217,#176217,#70144,.T.); #221292=EDGE_CURVE('',#176218,#176218,#70145,.T.); #221293=EDGE_CURVE('',#176218,#176219,#104598,.T.); #221294=EDGE_CURVE('',#176219,#176219,#70146,.T.); #221295=EDGE_CURVE('',#176220,#176221,#104599,.T.); #221296=EDGE_CURVE('',#176222,#176220,#104600,.T.); #221297=EDGE_CURVE('',#176223,#176222,#104601,.T.); #221298=EDGE_CURVE('',#176223,#176221,#104602,.T.); #221299=EDGE_CURVE('',#176221,#176224,#70147,.T.); #221300=EDGE_CURVE('',#176225,#176223,#70148,.T.); #221301=EDGE_CURVE('',#176225,#176224,#104603,.T.); #221302=EDGE_CURVE('',#176224,#176226,#104604,.T.); #221303=EDGE_CURVE('',#176227,#176225,#104605,.T.); #221304=EDGE_CURVE('',#176227,#176226,#104606,.T.); #221305=EDGE_CURVE('',#176226,#176220,#70149,.T.); #221306=EDGE_CURVE('',#176222,#176227,#70150,.T.); #221307=EDGE_CURVE('',#176228,#176228,#70151,.T.); #221308=EDGE_CURVE('',#176228,#176229,#104607,.T.); #221309=EDGE_CURVE('',#176229,#176229,#70152,.T.); #221310=EDGE_CURVE('',#176230,#176231,#104608,.T.); #221311=EDGE_CURVE('',#176232,#176230,#104609,.T.); #221312=EDGE_CURVE('',#176233,#176232,#104610,.T.); #221313=EDGE_CURVE('',#176233,#176231,#104611,.T.); #221314=EDGE_CURVE('',#176231,#176234,#70153,.T.); #221315=EDGE_CURVE('',#176235,#176233,#70154,.T.); #221316=EDGE_CURVE('',#176235,#176234,#104612,.T.); #221317=EDGE_CURVE('',#176234,#176236,#104613,.T.); #221318=EDGE_CURVE('',#176237,#176235,#104614,.T.); #221319=EDGE_CURVE('',#176237,#176236,#104615,.T.); #221320=EDGE_CURVE('',#176236,#176230,#70155,.T.); #221321=EDGE_CURVE('',#176232,#176237,#70156,.T.); #221322=EDGE_CURVE('',#176238,#176238,#70157,.T.); #221323=EDGE_CURVE('',#176238,#176239,#104616,.T.); #221324=EDGE_CURVE('',#176239,#176239,#70158,.T.); #221325=EDGE_CURVE('',#176240,#176240,#70159,.T.); #221326=EDGE_CURVE('',#176240,#176241,#104617,.T.); #221327=EDGE_CURVE('',#176241,#176241,#70160,.T.); #221328=EDGE_CURVE('',#176242,#176242,#70161,.T.); #221329=EDGE_CURVE('',#176242,#176243,#104618,.T.); #221330=EDGE_CURVE('',#176243,#176243,#70162,.T.); #221331=EDGE_CURVE('',#176244,#176245,#104619,.T.); #221332=EDGE_CURVE('',#176246,#176244,#104620,.T.); #221333=EDGE_CURVE('',#176247,#176246,#104621,.T.); #221334=EDGE_CURVE('',#176247,#176245,#104622,.T.); #221335=EDGE_CURVE('',#176245,#176248,#70163,.T.); #221336=EDGE_CURVE('',#176249,#176247,#70164,.T.); #221337=EDGE_CURVE('',#176249,#176248,#104623,.T.); #221338=EDGE_CURVE('',#176248,#176250,#104624,.T.); #221339=EDGE_CURVE('',#176251,#176249,#104625,.T.); #221340=EDGE_CURVE('',#176251,#176250,#104626,.T.); #221341=EDGE_CURVE('',#176250,#176244,#70165,.T.); #221342=EDGE_CURVE('',#176246,#176251,#70166,.T.); #221343=EDGE_CURVE('',#176252,#176252,#70167,.T.); #221344=EDGE_CURVE('',#176252,#176253,#104627,.T.); #221345=EDGE_CURVE('',#176253,#176253,#70168,.T.); #221346=EDGE_CURVE('',#176254,#176254,#70169,.T.); #221347=EDGE_CURVE('',#176254,#176255,#104628,.T.); #221348=EDGE_CURVE('',#176255,#176255,#70170,.T.); #221349=EDGE_CURVE('',#176256,#176256,#70171,.T.); #221350=EDGE_CURVE('',#176256,#176257,#104629,.T.); #221351=EDGE_CURVE('',#176257,#176257,#70172,.T.); #221352=EDGE_CURVE('',#176258,#176258,#70173,.T.); #221353=EDGE_CURVE('',#176258,#176259,#104630,.T.); #221354=EDGE_CURVE('',#176259,#176259,#70174,.T.); #221355=EDGE_CURVE('',#176260,#176260,#70175,.T.); #221356=EDGE_CURVE('',#176260,#176261,#104631,.T.); #221357=EDGE_CURVE('',#176261,#176261,#70176,.T.); #221358=EDGE_CURVE('',#176262,#176262,#70177,.T.); #221359=EDGE_CURVE('',#176262,#176263,#104632,.T.); #221360=EDGE_CURVE('',#176263,#176263,#70178,.T.); #221361=EDGE_CURVE('',#176264,#176264,#70179,.T.); #221362=EDGE_CURVE('',#176264,#176265,#104633,.T.); #221363=EDGE_CURVE('',#176265,#176265,#70180,.T.); #221364=EDGE_CURVE('',#176266,#176266,#70181,.T.); #221365=EDGE_CURVE('',#176266,#176267,#104634,.T.); #221366=EDGE_CURVE('',#176267,#176267,#70182,.T.); #221367=EDGE_CURVE('',#176268,#176268,#70183,.T.); #221368=EDGE_CURVE('',#176268,#176269,#104635,.T.); #221369=EDGE_CURVE('',#176269,#176269,#70184,.T.); #221370=EDGE_CURVE('',#176270,#176270,#70185,.T.); #221371=EDGE_CURVE('',#176270,#176271,#104636,.T.); #221372=EDGE_CURVE('',#176271,#176271,#70186,.T.); #221373=EDGE_CURVE('',#176272,#176273,#104637,.T.); #221374=EDGE_CURVE('',#176274,#176272,#104638,.T.); #221375=EDGE_CURVE('',#176275,#176274,#104639,.T.); #221376=EDGE_CURVE('',#176275,#176273,#104640,.T.); #221377=EDGE_CURVE('',#176273,#176276,#70187,.T.); #221378=EDGE_CURVE('',#176277,#176275,#70188,.T.); #221379=EDGE_CURVE('',#176277,#176276,#104641,.T.); #221380=EDGE_CURVE('',#176276,#176278,#104642,.T.); #221381=EDGE_CURVE('',#176279,#176277,#104643,.T.); #221382=EDGE_CURVE('',#176279,#176278,#104644,.T.); #221383=EDGE_CURVE('',#176278,#176272,#70189,.T.); #221384=EDGE_CURVE('',#176274,#176279,#70190,.T.); #221385=EDGE_CURVE('',#176280,#176280,#70191,.T.); #221386=EDGE_CURVE('',#176280,#176281,#104645,.T.); #221387=EDGE_CURVE('',#176281,#176281,#70192,.T.); #221388=EDGE_CURVE('',#176282,#176283,#104646,.T.); #221389=EDGE_CURVE('',#176284,#176282,#104647,.T.); #221390=EDGE_CURVE('',#176285,#176284,#104648,.T.); #221391=EDGE_CURVE('',#176285,#176283,#104649,.T.); #221392=EDGE_CURVE('',#176283,#176286,#70193,.T.); #221393=EDGE_CURVE('',#176287,#176285,#70194,.T.); #221394=EDGE_CURVE('',#176287,#176286,#104650,.T.); #221395=EDGE_CURVE('',#176286,#176288,#104651,.T.); #221396=EDGE_CURVE('',#176289,#176287,#104652,.T.); #221397=EDGE_CURVE('',#176289,#176288,#104653,.T.); #221398=EDGE_CURVE('',#176288,#176282,#70195,.T.); #221399=EDGE_CURVE('',#176284,#176289,#70196,.T.); #221400=EDGE_CURVE('',#176290,#176290,#70197,.T.); #221401=EDGE_CURVE('',#176290,#176291,#104654,.T.); #221402=EDGE_CURVE('',#176291,#176291,#70198,.T.); #221403=EDGE_CURVE('',#176292,#176293,#104655,.T.); #221404=EDGE_CURVE('',#176294,#176292,#104656,.T.); #221405=EDGE_CURVE('',#176295,#176294,#104657,.T.); #221406=EDGE_CURVE('',#176295,#176293,#104658,.T.); #221407=EDGE_CURVE('',#176293,#176296,#70199,.T.); #221408=EDGE_CURVE('',#176297,#176295,#70200,.T.); #221409=EDGE_CURVE('',#176297,#176296,#104659,.T.); #221410=EDGE_CURVE('',#176296,#176298,#104660,.T.); #221411=EDGE_CURVE('',#176299,#176297,#104661,.T.); #221412=EDGE_CURVE('',#176299,#176298,#104662,.T.); #221413=EDGE_CURVE('',#176298,#176292,#70201,.T.); #221414=EDGE_CURVE('',#176294,#176299,#70202,.T.); #221415=EDGE_CURVE('',#176300,#176300,#70203,.T.); #221416=EDGE_CURVE('',#176300,#176301,#104663,.T.); #221417=EDGE_CURVE('',#176301,#176301,#70204,.T.); #221418=EDGE_CURVE('',#176302,#176302,#70205,.T.); #221419=EDGE_CURVE('',#176302,#176303,#104664,.T.); #221420=EDGE_CURVE('',#176303,#176303,#70206,.T.); #221421=EDGE_CURVE('',#176304,#176304,#70207,.T.); #221422=EDGE_CURVE('',#176304,#176305,#104665,.T.); #221423=EDGE_CURVE('',#176305,#176305,#70208,.T.); #221424=EDGE_CURVE('',#176306,#176306,#70209,.T.); #221425=EDGE_CURVE('',#176306,#176307,#104666,.T.); #221426=EDGE_CURVE('',#176307,#176307,#70210,.T.); #221427=EDGE_CURVE('',#176308,#176308,#70211,.T.); #221428=EDGE_CURVE('',#176308,#176309,#104667,.T.); #221429=EDGE_CURVE('',#176309,#176309,#70212,.T.); #221430=EDGE_CURVE('',#176310,#176310,#70213,.T.); #221431=EDGE_CURVE('',#176310,#176311,#104668,.T.); #221432=EDGE_CURVE('',#176311,#176311,#70214,.T.); #221433=EDGE_CURVE('',#176312,#176312,#70215,.T.); #221434=EDGE_CURVE('',#176312,#176313,#104669,.T.); #221435=EDGE_CURVE('',#176313,#176313,#70216,.T.); #221436=EDGE_CURVE('',#176314,#176314,#70217,.T.); #221437=EDGE_CURVE('',#176314,#176315,#104670,.T.); #221438=EDGE_CURVE('',#176315,#176315,#70218,.T.); #221439=EDGE_CURVE('',#176316,#176316,#70219,.T.); #221440=EDGE_CURVE('',#176316,#176317,#104671,.T.); #221441=EDGE_CURVE('',#176317,#176317,#70220,.T.); #221442=EDGE_CURVE('',#176318,#176318,#70221,.T.); #221443=EDGE_CURVE('',#176318,#176319,#104672,.T.); #221444=EDGE_CURVE('',#176319,#176319,#70222,.T.); #221445=EDGE_CURVE('',#176320,#176320,#70223,.T.); #221446=EDGE_CURVE('',#176320,#176321,#104673,.T.); #221447=EDGE_CURVE('',#176321,#176321,#70224,.T.); #221448=EDGE_CURVE('',#176322,#176322,#70225,.T.); #221449=EDGE_CURVE('',#176322,#176323,#104674,.T.); #221450=EDGE_CURVE('',#176323,#176323,#70226,.T.); #221451=EDGE_CURVE('',#176324,#176324,#70227,.T.); #221452=EDGE_CURVE('',#176324,#176325,#104675,.T.); #221453=EDGE_CURVE('',#176325,#176325,#70228,.T.); #221454=EDGE_CURVE('',#176326,#176326,#70229,.T.); #221455=EDGE_CURVE('',#176326,#176327,#104676,.T.); #221456=EDGE_CURVE('',#176327,#176327,#70230,.T.); #221457=EDGE_CURVE('',#176328,#176328,#70231,.T.); #221458=EDGE_CURVE('',#176328,#176329,#104677,.T.); #221459=EDGE_CURVE('',#176329,#176329,#70232,.T.); #221460=EDGE_CURVE('',#176330,#176330,#70233,.T.); #221461=EDGE_CURVE('',#176330,#176331,#104678,.T.); #221462=EDGE_CURVE('',#176331,#176331,#70234,.T.); #221463=EDGE_CURVE('',#176332,#176332,#70235,.T.); #221464=EDGE_CURVE('',#176332,#176333,#104679,.T.); #221465=EDGE_CURVE('',#176333,#176333,#70236,.T.); #221466=EDGE_CURVE('',#176334,#176334,#70237,.T.); #221467=EDGE_CURVE('',#176334,#176335,#104680,.T.); #221468=EDGE_CURVE('',#176335,#176335,#70238,.T.); #221469=EDGE_CURVE('',#176336,#176336,#70239,.T.); #221470=EDGE_CURVE('',#176336,#176337,#104681,.T.); #221471=EDGE_CURVE('',#176337,#176337,#70240,.T.); #221472=EDGE_CURVE('',#176338,#176338,#70241,.T.); #221473=EDGE_CURVE('',#176338,#176339,#104682,.T.); #221474=EDGE_CURVE('',#176339,#176339,#70242,.T.); #221475=EDGE_CURVE('',#176340,#176340,#70243,.T.); #221476=EDGE_CURVE('',#176340,#176341,#104683,.T.); #221477=EDGE_CURVE('',#176341,#176341,#70244,.T.); #221478=EDGE_CURVE('',#176342,#176342,#70245,.T.); #221479=EDGE_CURVE('',#176342,#176343,#104684,.T.); #221480=EDGE_CURVE('',#176343,#176343,#70246,.T.); #221481=EDGE_CURVE('',#176344,#176344,#70247,.T.); #221482=EDGE_CURVE('',#176344,#176345,#104685,.T.); #221483=EDGE_CURVE('',#176345,#176345,#70248,.T.); #221484=EDGE_CURVE('',#176346,#176346,#70249,.T.); #221485=EDGE_CURVE('',#176346,#176347,#104686,.T.); #221486=EDGE_CURVE('',#176347,#176347,#70250,.T.); #221487=EDGE_CURVE('',#176348,#176348,#70251,.T.); #221488=EDGE_CURVE('',#176348,#176349,#104687,.T.); #221489=EDGE_CURVE('',#176349,#176349,#70252,.T.); #221490=EDGE_CURVE('',#176350,#176350,#70253,.T.); #221491=EDGE_CURVE('',#176350,#176351,#104688,.T.); #221492=EDGE_CURVE('',#176351,#176351,#70254,.T.); #221493=EDGE_CURVE('',#176352,#176352,#70255,.T.); #221494=EDGE_CURVE('',#176352,#176353,#104689,.T.); #221495=EDGE_CURVE('',#176353,#176353,#70256,.T.); #221496=EDGE_CURVE('',#176354,#176354,#70257,.T.); #221497=EDGE_CURVE('',#176354,#176355,#104690,.T.); #221498=EDGE_CURVE('',#176355,#176355,#70258,.T.); #221499=EDGE_CURVE('',#176356,#176356,#70259,.T.); #221500=EDGE_CURVE('',#176356,#176357,#104691,.T.); #221501=EDGE_CURVE('',#176357,#176357,#70260,.T.); #221502=EDGE_CURVE('',#176358,#176358,#70261,.T.); #221503=EDGE_CURVE('',#176358,#176359,#104692,.T.); #221504=EDGE_CURVE('',#176359,#176359,#70262,.T.); #221505=EDGE_CURVE('',#176360,#176360,#70263,.T.); #221506=EDGE_CURVE('',#176360,#176361,#104693,.T.); #221507=EDGE_CURVE('',#176361,#176361,#70264,.T.); #221508=EDGE_CURVE('',#176362,#176362,#70265,.T.); #221509=EDGE_CURVE('',#176362,#176363,#104694,.T.); #221510=EDGE_CURVE('',#176363,#176363,#70266,.T.); #221511=EDGE_CURVE('',#176364,#176364,#70267,.T.); #221512=EDGE_CURVE('',#176364,#176365,#104695,.T.); #221513=EDGE_CURVE('',#176365,#176365,#70268,.T.); #221514=EDGE_CURVE('',#176366,#176366,#70269,.T.); #221515=EDGE_CURVE('',#176366,#176367,#104696,.T.); #221516=EDGE_CURVE('',#176367,#176367,#70270,.T.); #221517=EDGE_CURVE('',#176368,#176368,#70271,.T.); #221518=EDGE_CURVE('',#176368,#176369,#104697,.T.); #221519=EDGE_CURVE('',#176369,#176369,#70272,.T.); #221520=EDGE_CURVE('',#176370,#176370,#70273,.T.); #221521=EDGE_CURVE('',#176370,#176371,#104698,.T.); #221522=EDGE_CURVE('',#176371,#176371,#70274,.T.); #221523=EDGE_CURVE('',#176372,#176372,#70275,.T.); #221524=EDGE_CURVE('',#176372,#176373,#104699,.T.); #221525=EDGE_CURVE('',#176373,#176373,#70276,.T.); #221526=EDGE_CURVE('',#176374,#176374,#70277,.T.); #221527=EDGE_CURVE('',#176374,#176375,#104700,.T.); #221528=EDGE_CURVE('',#176375,#176375,#70278,.T.); #221529=EDGE_CURVE('',#176376,#176376,#70279,.T.); #221530=EDGE_CURVE('',#176376,#176377,#104701,.T.); #221531=EDGE_CURVE('',#176377,#176377,#70280,.T.); #221532=EDGE_CURVE('',#176378,#176378,#70281,.T.); #221533=EDGE_CURVE('',#176378,#176379,#104702,.T.); #221534=EDGE_CURVE('',#176379,#176379,#70282,.T.); #221535=EDGE_CURVE('',#176380,#176380,#70283,.T.); #221536=EDGE_CURVE('',#176380,#176381,#104703,.T.); #221537=EDGE_CURVE('',#176381,#176381,#70284,.T.); #221538=EDGE_CURVE('',#176382,#176382,#70285,.T.); #221539=EDGE_CURVE('',#176382,#176383,#104704,.T.); #221540=EDGE_CURVE('',#176383,#176383,#70286,.T.); #221541=EDGE_CURVE('',#176384,#176384,#70287,.T.); #221542=EDGE_CURVE('',#176384,#176385,#104705,.T.); #221543=EDGE_CURVE('',#176385,#176385,#70288,.T.); #221544=EDGE_CURVE('',#176386,#176386,#70289,.T.); #221545=EDGE_CURVE('',#176386,#176387,#104706,.T.); #221546=EDGE_CURVE('',#176387,#176387,#70290,.T.); #221547=EDGE_CURVE('',#176388,#176388,#70291,.T.); #221548=EDGE_CURVE('',#176388,#176389,#104707,.T.); #221549=EDGE_CURVE('',#176389,#176389,#70292,.T.); #221550=EDGE_CURVE('',#176390,#176390,#70293,.T.); #221551=EDGE_CURVE('',#176390,#176391,#104708,.T.); #221552=EDGE_CURVE('',#176391,#176391,#70294,.T.); #221553=EDGE_CURVE('',#176392,#176392,#70295,.T.); #221554=EDGE_CURVE('',#176392,#176393,#104709,.T.); #221555=EDGE_CURVE('',#176393,#176393,#70296,.T.); #221556=EDGE_CURVE('',#176394,#176394,#70297,.T.); #221557=EDGE_CURVE('',#176394,#176395,#104710,.T.); #221558=EDGE_CURVE('',#176395,#176395,#70298,.T.); #221559=EDGE_CURVE('',#176396,#176396,#70299,.T.); #221560=EDGE_CURVE('',#176396,#176397,#104711,.T.); #221561=EDGE_CURVE('',#176397,#176397,#70300,.T.); #221562=EDGE_CURVE('',#176398,#176398,#70301,.T.); #221563=EDGE_CURVE('',#176398,#176399,#104712,.T.); #221564=EDGE_CURVE('',#176399,#176399,#70302,.T.); #221565=EDGE_CURVE('',#176400,#176400,#70303,.T.); #221566=EDGE_CURVE('',#176400,#176401,#104713,.T.); #221567=EDGE_CURVE('',#176401,#176401,#70304,.T.); #221568=EDGE_CURVE('',#176402,#176402,#70305,.T.); #221569=EDGE_CURVE('',#176402,#176403,#104714,.T.); #221570=EDGE_CURVE('',#176403,#176403,#70306,.T.); #221571=EDGE_CURVE('',#176404,#176404,#70307,.T.); #221572=EDGE_CURVE('',#176404,#176405,#104715,.T.); #221573=EDGE_CURVE('',#176405,#176405,#70308,.T.); #221574=EDGE_CURVE('',#176406,#176406,#70309,.T.); #221575=EDGE_CURVE('',#176406,#176407,#104716,.T.); #221576=EDGE_CURVE('',#176407,#176407,#70310,.T.); #221577=EDGE_CURVE('',#176408,#176408,#70311,.T.); #221578=EDGE_CURVE('',#176408,#176409,#104717,.T.); #221579=EDGE_CURVE('',#176409,#176409,#70312,.T.); #221580=EDGE_CURVE('',#176410,#176410,#70313,.T.); #221581=EDGE_CURVE('',#176410,#176411,#104718,.T.); #221582=EDGE_CURVE('',#176411,#176411,#70314,.T.); #221583=EDGE_CURVE('',#176412,#176412,#70315,.T.); #221584=EDGE_CURVE('',#176412,#176413,#104719,.T.); #221585=EDGE_CURVE('',#176413,#176413,#70316,.T.); #221586=EDGE_CURVE('',#176414,#176414,#70317,.T.); #221587=EDGE_CURVE('',#176414,#176415,#104720,.T.); #221588=EDGE_CURVE('',#176415,#176415,#70318,.T.); #221589=EDGE_CURVE('',#176416,#176416,#70319,.T.); #221590=EDGE_CURVE('',#176416,#176417,#104721,.T.); #221591=EDGE_CURVE('',#176417,#176417,#70320,.T.); #221592=EDGE_CURVE('',#176418,#176418,#70321,.T.); #221593=EDGE_CURVE('',#176418,#176419,#104722,.T.); #221594=EDGE_CURVE('',#176419,#176419,#70322,.T.); #221595=EDGE_CURVE('',#176420,#176420,#70323,.T.); #221596=EDGE_CURVE('',#176420,#176421,#104723,.T.); #221597=EDGE_CURVE('',#176421,#176421,#70324,.T.); #221598=EDGE_CURVE('',#176422,#176422,#70325,.T.); #221599=EDGE_CURVE('',#176422,#176423,#104724,.T.); #221600=EDGE_CURVE('',#176423,#176423,#70326,.T.); #221601=EDGE_CURVE('',#176424,#176424,#70327,.T.); #221602=EDGE_CURVE('',#176424,#176425,#104725,.T.); #221603=EDGE_CURVE('',#176425,#176425,#70328,.T.); #221604=EDGE_CURVE('',#176426,#176426,#70329,.T.); #221605=EDGE_CURVE('',#176426,#176427,#104726,.T.); #221606=EDGE_CURVE('',#176427,#176427,#70330,.T.); #221607=EDGE_CURVE('',#176428,#176428,#70331,.T.); #221608=EDGE_CURVE('',#176428,#176429,#104727,.T.); #221609=EDGE_CURVE('',#176429,#176429,#70332,.T.); #221610=EDGE_CURVE('',#176430,#176430,#70333,.T.); #221611=EDGE_CURVE('',#176430,#176431,#104728,.T.); #221612=EDGE_CURVE('',#176431,#176431,#70334,.T.); #221613=EDGE_CURVE('',#176432,#176432,#70335,.T.); #221614=EDGE_CURVE('',#176432,#176433,#104729,.T.); #221615=EDGE_CURVE('',#176433,#176433,#70336,.T.); #221616=EDGE_CURVE('',#176434,#176434,#70337,.T.); #221617=EDGE_CURVE('',#176434,#176435,#104730,.T.); #221618=EDGE_CURVE('',#176435,#176435,#70338,.T.); #221619=EDGE_CURVE('',#176436,#176436,#70339,.T.); #221620=EDGE_CURVE('',#176436,#176437,#104731,.T.); #221621=EDGE_CURVE('',#176437,#176437,#70340,.T.); #221622=EDGE_CURVE('',#176438,#176438,#70341,.T.); #221623=EDGE_CURVE('',#176438,#176439,#104732,.T.); #221624=EDGE_CURVE('',#176439,#176439,#70342,.T.); #221625=EDGE_CURVE('',#176440,#176440,#70343,.T.); #221626=EDGE_CURVE('',#176440,#176441,#104733,.T.); #221627=EDGE_CURVE('',#176441,#176441,#70344,.T.); #221628=EDGE_CURVE('',#176442,#176442,#70345,.T.); #221629=EDGE_CURVE('',#176442,#176443,#104734,.T.); #221630=EDGE_CURVE('',#176443,#176443,#70346,.T.); #221631=EDGE_CURVE('',#176444,#176444,#70347,.T.); #221632=EDGE_CURVE('',#176444,#176445,#104735,.T.); #221633=EDGE_CURVE('',#176445,#176445,#70348,.T.); #221634=EDGE_CURVE('',#176446,#176446,#70349,.T.); #221635=EDGE_CURVE('',#176446,#176447,#104736,.T.); #221636=EDGE_CURVE('',#176447,#176447,#70350,.T.); #221637=EDGE_CURVE('',#176448,#176448,#70351,.T.); #221638=EDGE_CURVE('',#176448,#176449,#104737,.T.); #221639=EDGE_CURVE('',#176449,#176449,#70352,.T.); #221640=EDGE_CURVE('',#176450,#176450,#70353,.T.); #221641=EDGE_CURVE('',#176450,#176451,#104738,.T.); #221642=EDGE_CURVE('',#176451,#176451,#70354,.T.); #221643=EDGE_CURVE('',#176452,#176452,#70355,.T.); #221644=EDGE_CURVE('',#176452,#176453,#104739,.T.); #221645=EDGE_CURVE('',#176453,#176453,#70356,.T.); #221646=EDGE_CURVE('',#176454,#176454,#70357,.T.); #221647=EDGE_CURVE('',#176454,#176455,#104740,.T.); #221648=EDGE_CURVE('',#176455,#176455,#70358,.T.); #221649=EDGE_CURVE('',#176456,#176456,#70359,.T.); #221650=EDGE_CURVE('',#176456,#176457,#104741,.T.); #221651=EDGE_CURVE('',#176457,#176457,#70360,.T.); #221652=EDGE_CURVE('',#176458,#176458,#70361,.T.); #221653=EDGE_CURVE('',#176458,#176459,#104742,.T.); #221654=EDGE_CURVE('',#176459,#176459,#70362,.T.); #221655=EDGE_CURVE('',#176460,#176460,#70363,.T.); #221656=EDGE_CURVE('',#176460,#176461,#104743,.T.); #221657=EDGE_CURVE('',#176461,#176461,#70364,.T.); #221658=EDGE_CURVE('',#176462,#176462,#70365,.T.); #221659=EDGE_CURVE('',#176462,#176463,#104744,.T.); #221660=EDGE_CURVE('',#176463,#176463,#70366,.T.); #221661=EDGE_CURVE('',#176464,#176464,#70367,.T.); #221662=EDGE_CURVE('',#176464,#176465,#104745,.T.); #221663=EDGE_CURVE('',#176465,#176465,#70368,.T.); #221664=EDGE_CURVE('',#176466,#176466,#70369,.T.); #221665=EDGE_CURVE('',#176466,#176467,#104746,.T.); #221666=EDGE_CURVE('',#176467,#176467,#70370,.T.); #221667=EDGE_CURVE('',#176468,#176468,#70371,.T.); #221668=EDGE_CURVE('',#176468,#176469,#104747,.T.); #221669=EDGE_CURVE('',#176469,#176469,#70372,.T.); #221670=EDGE_CURVE('',#176470,#176470,#70373,.T.); #221671=EDGE_CURVE('',#176470,#176471,#104748,.T.); #221672=EDGE_CURVE('',#176471,#176471,#70374,.T.); #221673=EDGE_CURVE('',#176472,#176472,#70375,.T.); #221674=EDGE_CURVE('',#176472,#176473,#104749,.T.); #221675=EDGE_CURVE('',#176473,#176473,#70376,.T.); #221676=EDGE_CURVE('',#176474,#176474,#70377,.T.); #221677=EDGE_CURVE('',#176474,#176475,#104750,.T.); #221678=EDGE_CURVE('',#176475,#176475,#70378,.T.); #221679=EDGE_CURVE('',#176476,#176476,#70379,.T.); #221680=EDGE_CURVE('',#176476,#176477,#104751,.T.); #221681=EDGE_CURVE('',#176477,#176477,#70380,.T.); #221682=EDGE_CURVE('',#176478,#176478,#70381,.T.); #221683=EDGE_CURVE('',#176478,#176479,#104752,.T.); #221684=EDGE_CURVE('',#176479,#176479,#70382,.T.); #221685=EDGE_CURVE('',#176480,#176480,#70383,.T.); #221686=EDGE_CURVE('',#176480,#176481,#104753,.T.); #221687=EDGE_CURVE('',#176481,#176481,#70384,.T.); #221688=EDGE_CURVE('',#176482,#176482,#70385,.T.); #221689=EDGE_CURVE('',#176482,#176483,#104754,.T.); #221690=EDGE_CURVE('',#176483,#176483,#70386,.T.); #221691=EDGE_CURVE('',#176484,#176484,#70387,.T.); #221692=EDGE_CURVE('',#176484,#176485,#104755,.T.); #221693=EDGE_CURVE('',#176485,#176485,#70388,.T.); #221694=EDGE_CURVE('',#176486,#176486,#70389,.T.); #221695=EDGE_CURVE('',#176486,#176487,#104756,.T.); #221696=EDGE_CURVE('',#176487,#176487,#70390,.T.); #221697=EDGE_CURVE('',#176488,#176488,#70391,.T.); #221698=EDGE_CURVE('',#176488,#176489,#104757,.T.); #221699=EDGE_CURVE('',#176489,#176489,#70392,.T.); #221700=EDGE_CURVE('',#176490,#176490,#70393,.T.); #221701=EDGE_CURVE('',#176490,#176491,#104758,.T.); #221702=EDGE_CURVE('',#176491,#176491,#70394,.T.); #221703=EDGE_CURVE('',#176492,#176492,#70395,.T.); #221704=EDGE_CURVE('',#176492,#176493,#104759,.T.); #221705=EDGE_CURVE('',#176493,#176493,#70396,.T.); #221706=EDGE_CURVE('',#176494,#176494,#70397,.T.); #221707=EDGE_CURVE('',#176494,#176495,#104760,.T.); #221708=EDGE_CURVE('',#176495,#176495,#70398,.T.); #221709=EDGE_CURVE('',#176496,#176496,#70399,.T.); #221710=EDGE_CURVE('',#176496,#176497,#104761,.T.); #221711=EDGE_CURVE('',#176497,#176497,#70400,.T.); #221712=EDGE_CURVE('',#176498,#176498,#70401,.T.); #221713=EDGE_CURVE('',#176498,#176499,#104762,.T.); #221714=EDGE_CURVE('',#176499,#176499,#70402,.T.); #221715=EDGE_CURVE('',#176500,#176500,#70403,.T.); #221716=EDGE_CURVE('',#176500,#176501,#104763,.T.); #221717=EDGE_CURVE('',#176501,#176501,#70404,.T.); #221718=EDGE_CURVE('',#176502,#176502,#70405,.T.); #221719=EDGE_CURVE('',#176502,#176503,#104764,.T.); #221720=EDGE_CURVE('',#176503,#176503,#70406,.T.); #221721=EDGE_CURVE('',#176504,#176504,#70407,.T.); #221722=EDGE_CURVE('',#176504,#176505,#104765,.T.); #221723=EDGE_CURVE('',#176505,#176505,#70408,.T.); #221724=EDGE_CURVE('',#176506,#176506,#70409,.T.); #221725=EDGE_CURVE('',#176506,#176507,#104766,.T.); #221726=EDGE_CURVE('',#176507,#176507,#70410,.T.); #221727=EDGE_CURVE('',#176508,#176508,#70411,.T.); #221728=EDGE_CURVE('',#176508,#176509,#104767,.T.); #221729=EDGE_CURVE('',#176509,#176509,#70412,.T.); #221730=EDGE_CURVE('',#176510,#176510,#70413,.T.); #221731=EDGE_CURVE('',#176510,#176511,#104768,.T.); #221732=EDGE_CURVE('',#176511,#176511,#70414,.T.); #221733=EDGE_CURVE('',#176512,#176512,#70415,.T.); #221734=EDGE_CURVE('',#176512,#176513,#104769,.T.); #221735=EDGE_CURVE('',#176513,#176513,#70416,.T.); #221736=EDGE_CURVE('',#176514,#176514,#70417,.T.); #221737=EDGE_CURVE('',#176514,#176515,#104770,.T.); #221738=EDGE_CURVE('',#176515,#176515,#70418,.T.); #221739=EDGE_CURVE('',#176516,#176516,#70419,.T.); #221740=EDGE_CURVE('',#176516,#176517,#104771,.T.); #221741=EDGE_CURVE('',#176517,#176517,#70420,.T.); #221742=EDGE_CURVE('',#176518,#176518,#70421,.T.); #221743=EDGE_CURVE('',#176518,#176519,#104772,.T.); #221744=EDGE_CURVE('',#176519,#176519,#70422,.T.); #221745=EDGE_CURVE('',#176520,#176520,#70423,.T.); #221746=EDGE_CURVE('',#176520,#176521,#104773,.T.); #221747=EDGE_CURVE('',#176521,#176521,#70424,.T.); #221748=EDGE_CURVE('',#176522,#176522,#70425,.T.); #221749=EDGE_CURVE('',#176522,#176523,#104774,.T.); #221750=EDGE_CURVE('',#176523,#176523,#70426,.T.); #221751=EDGE_CURVE('',#176524,#176524,#70427,.T.); #221752=EDGE_CURVE('',#176524,#176525,#104775,.T.); #221753=EDGE_CURVE('',#176525,#176525,#70428,.T.); #221754=EDGE_CURVE('',#176526,#176526,#70429,.T.); #221755=EDGE_CURVE('',#176526,#176527,#104776,.T.); #221756=EDGE_CURVE('',#176527,#176527,#70430,.T.); #221757=EDGE_CURVE('',#176528,#176528,#70431,.T.); #221758=EDGE_CURVE('',#176528,#176529,#104777,.T.); #221759=EDGE_CURVE('',#176529,#176529,#70432,.T.); #221760=EDGE_CURVE('',#176530,#176530,#70433,.T.); #221761=EDGE_CURVE('',#176530,#176531,#104778,.T.); #221762=EDGE_CURVE('',#176531,#176531,#70434,.T.); #221763=EDGE_CURVE('',#176532,#176532,#70435,.T.); #221764=EDGE_CURVE('',#176532,#176533,#104779,.T.); #221765=EDGE_CURVE('',#176533,#176533,#70436,.T.); #221766=EDGE_CURVE('',#176534,#176534,#70437,.T.); #221767=EDGE_CURVE('',#176534,#176535,#104780,.T.); #221768=EDGE_CURVE('',#176535,#176535,#70438,.T.); #221769=EDGE_CURVE('',#176536,#176536,#70439,.T.); #221770=EDGE_CURVE('',#176536,#176537,#104781,.T.); #221771=EDGE_CURVE('',#176537,#176537,#70440,.T.); #221772=EDGE_CURVE('',#176538,#176538,#70441,.T.); #221773=EDGE_CURVE('',#176538,#176539,#104782,.T.); #221774=EDGE_CURVE('',#176539,#176539,#70442,.T.); #221775=EDGE_CURVE('',#176540,#176540,#70443,.T.); #221776=EDGE_CURVE('',#176540,#176541,#104783,.T.); #221777=EDGE_CURVE('',#176541,#176541,#70444,.T.); #221778=EDGE_CURVE('',#176542,#176542,#70445,.T.); #221779=EDGE_CURVE('',#176542,#176543,#104784,.T.); #221780=EDGE_CURVE('',#176543,#176543,#70446,.T.); #221781=EDGE_CURVE('',#176544,#176544,#70447,.T.); #221782=EDGE_CURVE('',#176544,#176545,#104785,.T.); #221783=EDGE_CURVE('',#176545,#176545,#70448,.T.); #221784=EDGE_CURVE('',#176546,#176546,#70449,.T.); #221785=EDGE_CURVE('',#176546,#176547,#104786,.T.); #221786=EDGE_CURVE('',#176547,#176547,#70450,.T.); #221787=EDGE_CURVE('',#176548,#176548,#70451,.T.); #221788=EDGE_CURVE('',#176548,#176549,#104787,.T.); #221789=EDGE_CURVE('',#176549,#176549,#70452,.T.); #221790=EDGE_CURVE('',#176550,#176550,#70453,.T.); #221791=EDGE_CURVE('',#176550,#176551,#104788,.T.); #221792=EDGE_CURVE('',#176551,#176551,#70454,.T.); #221793=EDGE_CURVE('',#176552,#176552,#70455,.T.); #221794=EDGE_CURVE('',#176552,#176553,#104789,.T.); #221795=EDGE_CURVE('',#176553,#176553,#70456,.T.); #221796=EDGE_CURVE('',#176554,#176554,#70457,.T.); #221797=EDGE_CURVE('',#176554,#176555,#104790,.T.); #221798=EDGE_CURVE('',#176555,#176555,#70458,.T.); #221799=EDGE_CURVE('',#176556,#176556,#70459,.T.); #221800=EDGE_CURVE('',#176556,#176557,#104791,.T.); #221801=EDGE_CURVE('',#176557,#176557,#70460,.T.); #221802=EDGE_CURVE('',#176558,#176558,#70461,.T.); #221803=EDGE_CURVE('',#176558,#176559,#104792,.T.); #221804=EDGE_CURVE('',#176559,#176559,#70462,.T.); #221805=EDGE_CURVE('',#176560,#176560,#70463,.T.); #221806=EDGE_CURVE('',#176560,#176561,#104793,.T.); #221807=EDGE_CURVE('',#176561,#176561,#70464,.T.); #221808=EDGE_CURVE('',#176562,#176562,#70465,.T.); #221809=EDGE_CURVE('',#176562,#176563,#104794,.T.); #221810=EDGE_CURVE('',#176563,#176563,#70466,.T.); #221811=EDGE_CURVE('',#176564,#176564,#70467,.T.); #221812=EDGE_CURVE('',#176564,#176565,#104795,.T.); #221813=EDGE_CURVE('',#176565,#176565,#70468,.T.); #221814=EDGE_CURVE('',#176566,#176567,#104796,.T.); #221815=EDGE_CURVE('',#176567,#176568,#104797,.T.); #221816=EDGE_CURVE('',#176568,#176569,#104798,.T.); #221817=EDGE_CURVE('',#176569,#176566,#104799,.T.); #221818=EDGE_CURVE('',#176567,#176570,#104800,.T.); #221819=EDGE_CURVE('',#176571,#176570,#104801,.T.); #221820=EDGE_CURVE('',#176568,#176571,#104802,.T.); #221821=EDGE_CURVE('',#176572,#176566,#104803,.T.); #221822=EDGE_CURVE('',#176572,#176573,#104804,.T.); #221823=EDGE_CURVE('',#176573,#176574,#104805,.T.); #221824=EDGE_CURVE('',#176575,#176574,#104806,.T.); #221825=EDGE_CURVE('',#176575,#176576,#104807,.T.); #221826=EDGE_CURVE('',#176576,#176577,#104808,.T.); #221827=EDGE_CURVE('',#176577,#176578,#104809,.T.); #221828=EDGE_CURVE('',#176579,#176578,#104810,.T.); #221829=EDGE_CURVE('',#176579,#176580,#104811,.T.); #221830=EDGE_CURVE('',#176580,#176581,#104812,.T.); #221831=EDGE_CURVE('',#176581,#176582,#104813,.T.); #221832=EDGE_CURVE('',#176583,#176582,#104814,.T.); #221833=EDGE_CURVE('',#176583,#176584,#104815,.T.); #221834=EDGE_CURVE('',#176584,#176585,#104816,.T.); #221835=EDGE_CURVE('',#176585,#176586,#104817,.T.); #221836=EDGE_CURVE('',#176587,#176586,#104818,.T.); #221837=EDGE_CURVE('',#176587,#176588,#104819,.T.); #221838=EDGE_CURVE('',#176588,#176589,#104820,.T.); #221839=EDGE_CURVE('',#176589,#176590,#104821,.T.); #221840=EDGE_CURVE('',#176591,#176590,#104822,.T.); #221841=EDGE_CURVE('',#176592,#176591,#104823,.T.); #221842=EDGE_CURVE('',#176592,#176593,#104824,.T.); #221843=EDGE_CURVE('',#176593,#176594,#104825,.T.); #221844=EDGE_CURVE('',#176570,#176594,#104826,.T.); #221845=EDGE_CURVE('',#176595,#176571,#104827,.T.); #221846=EDGE_CURVE('',#176594,#176595,#104828,.T.); #221847=EDGE_CURVE('',#176595,#176596,#104829,.T.); #221848=EDGE_CURVE('',#176597,#176596,#104830,.T.); #221849=EDGE_CURVE('',#176597,#176569,#104831,.T.); #221850=EDGE_CURVE('',#176598,#176593,#104832,.T.); #221851=EDGE_CURVE('',#176599,#176598,#104833,.T.); #221852=EDGE_CURVE('',#176600,#176599,#104834,.T.); #221853=EDGE_CURVE('',#176601,#176600,#104835,.T.); #221854=EDGE_CURVE('',#176596,#176601,#104836,.T.); #221855=EDGE_CURVE('',#176602,#176572,#104837,.T.); #221856=EDGE_CURVE('',#176602,#176598,#104838,.T.); #221857=EDGE_CURVE('',#176603,#176592,#104839,.T.); #221858=EDGE_CURVE('',#176603,#176604,#104840,.T.); #221859=EDGE_CURVE('',#176604,#176573,#104841,.T.); #221860=EDGE_CURVE('',#176605,#176606,#104842,.T.); #221861=EDGE_CURVE('',#176607,#176605,#104843,.T.); #221862=EDGE_CURVE('',#176607,#176608,#104844,.T.); #221863=EDGE_CURVE('',#176608,#176606,#104845,.T.); #221864=EDGE_CURVE('',#176609,#176610,#104846,.T.); #221865=EDGE_CURVE('',#176611,#176609,#104847,.T.); #221866=EDGE_CURVE('',#176611,#176612,#104848,.T.); #221867=EDGE_CURVE('',#176612,#176610,#104849,.T.); #221868=EDGE_CURVE('',#176613,#176614,#104850,.T.); #221869=EDGE_CURVE('',#176615,#176613,#104851,.T.); #221870=EDGE_CURVE('',#176615,#176616,#104852,.T.); #221871=EDGE_CURVE('',#176616,#176614,#104853,.T.); #221872=EDGE_CURVE('',#176617,#176618,#104854,.T.); #221873=EDGE_CURVE('',#176619,#176617,#104855,.T.); #221874=EDGE_CURVE('',#176619,#176620,#104856,.T.); #221875=EDGE_CURVE('',#176620,#176618,#104857,.T.); #221876=EDGE_CURVE('',#176621,#176622,#104858,.T.); #221877=EDGE_CURVE('',#176577,#176622,#104859,.T.); #221878=EDGE_CURVE('',#176576,#176621,#104860,.T.); #221879=EDGE_CURVE('',#176623,#176624,#104861,.T.); #221880=EDGE_CURVE('',#176623,#176625,#104862,.T.); #221881=EDGE_CURVE('',#176625,#176626,#104863,.T.); #221882=EDGE_CURVE('',#176624,#176626,#104864,.T.); #221883=EDGE_CURVE('',#176627,#176628,#104865,.T.); #221884=EDGE_CURVE('',#176581,#176628,#104866,.T.); #221885=EDGE_CURVE('',#176580,#176627,#104867,.T.); #221886=EDGE_CURVE('',#176629,#176630,#104868,.T.); #221887=EDGE_CURVE('',#176629,#176631,#104869,.T.); #221888=EDGE_CURVE('',#176631,#176632,#104870,.T.); #221889=EDGE_CURVE('',#176630,#176632,#104871,.T.); #221890=EDGE_CURVE('',#176633,#176634,#104872,.T.); #221891=EDGE_CURVE('',#176585,#176634,#104873,.T.); #221892=EDGE_CURVE('',#176584,#176633,#104874,.T.); #221893=EDGE_CURVE('',#176635,#176636,#104875,.T.); #221894=EDGE_CURVE('',#176635,#176637,#104876,.T.); #221895=EDGE_CURVE('',#176637,#176638,#104877,.T.); #221896=EDGE_CURVE('',#176636,#176638,#104878,.T.); #221897=EDGE_CURVE('',#176639,#176640,#104879,.T.); #221898=EDGE_CURVE('',#176639,#176579,#104880,.T.); #221899=EDGE_CURVE('',#176578,#176640,#104881,.T.); #221900=EDGE_CURVE('',#176641,#176642,#104882,.T.); #221901=EDGE_CURVE('',#176641,#176575,#104883,.T.); #221902=EDGE_CURVE('',#176574,#176642,#104884,.T.); #221903=EDGE_CURVE('',#176643,#176644,#104885,.T.); #221904=EDGE_CURVE('',#176589,#176644,#104886,.T.); #221905=EDGE_CURVE('',#176588,#176643,#104887,.T.); #221906=EDGE_CURVE('',#176645,#176646,#104888,.T.); #221907=EDGE_CURVE('',#176645,#176647,#104889,.T.); #221908=EDGE_CURVE('',#176647,#176648,#104890,.T.); #221909=EDGE_CURVE('',#176646,#176648,#104891,.T.); #221910=EDGE_CURVE('',#176586,#176649,#104892,.T.); #221911=EDGE_CURVE('',#176650,#176649,#104893,.T.); #221912=EDGE_CURVE('',#176650,#176587,#104894,.T.); #221913=EDGE_CURVE('',#176651,#176633,#104895,.T.); #221914=EDGE_CURVE('',#176651,#176652,#104896,.T.); #221915=EDGE_CURVE('',#176628,#176652,#104897,.T.); #221916=EDGE_CURVE('',#176639,#176627,#104898,.T.); #221917=EDGE_CURVE('',#176622,#176640,#104899,.T.); #221918=EDGE_CURVE('',#176641,#176621,#104900,.T.); #221919=EDGE_CURVE('',#176642,#176604,#104901,.T.); #221920=EDGE_CURVE('',#176653,#176603,#104902,.T.); #221921=EDGE_CURVE('',#176653,#176654,#104903,.T.); #221922=EDGE_CURVE('',#176644,#176654,#104904,.T.); #221923=EDGE_CURVE('',#176650,#176643,#104905,.T.); #221924=EDGE_CURVE('',#176634,#176649,#104906,.T.); #221925=EDGE_CURVE('',#176590,#176654,#104907,.T.); #221926=EDGE_CURVE('',#176591,#176653,#104908,.T.); #221927=EDGE_CURVE('',#176597,#176655,#104909,.T.); #221928=EDGE_CURVE('',#176655,#176656,#104910,.T.); #221929=EDGE_CURVE('',#176656,#176657,#104911,.T.); #221930=EDGE_CURVE('',#176602,#176657,#104912,.T.); #221931=EDGE_CURVE('',#176657,#176599,#104913,.T.); #221932=EDGE_CURVE('',#176656,#176600,#104914,.T.); #221933=EDGE_CURVE('',#176655,#176601,#104915,.T.); #221934=EDGE_CURVE('',#176658,#176659,#104916,.T.); #221935=EDGE_CURVE('',#176659,#176660,#104917,.T.); #221936=EDGE_CURVE('',#176660,#176661,#104918,.T.); #221937=EDGE_CURVE('',#176661,#176658,#104919,.T.); #221938=EDGE_CURVE('',#176647,#176662,#104920,.T.); #221939=EDGE_CURVE('',#176662,#176663,#104921,.T.); #221940=EDGE_CURVE('',#176648,#176663,#104922,.T.); #221941=EDGE_CURVE('',#176646,#176664,#104923,.T.); #221942=EDGE_CURVE('',#176664,#176665,#104924,.T.); #221943=EDGE_CURVE('',#176645,#176665,#104925,.T.); #221944=EDGE_CURVE('',#176665,#176662,#104926,.T.); #221945=EDGE_CURVE('',#176663,#176664,#104927,.T.); #221946=EDGE_CURVE('',#176666,#176617,#104928,.T.); #221947=EDGE_CURVE('',#176666,#176667,#104929,.T.); #221948=EDGE_CURVE('',#176667,#176619,#104930,.T.); #221949=EDGE_CURVE('',#176668,#176620,#104931,.T.); #221950=EDGE_CURVE('',#176668,#176669,#104932,.T.); #221951=EDGE_CURVE('',#176669,#176618,#104933,.T.); #221952=EDGE_CURVE('',#176669,#176666,#104934,.T.); #221953=EDGE_CURVE('',#176667,#176668,#104935,.T.); #221954=EDGE_CURVE('',#176670,#176671,#104936,.T.); #221955=EDGE_CURVE('',#176671,#176672,#104937,.T.); #221956=EDGE_CURVE('',#176672,#176673,#104938,.T.); #221957=EDGE_CURVE('',#176673,#176670,#104939,.T.); #221958=EDGE_CURVE('',#176662,#176661,#104940,.T.); #221959=EDGE_CURVE('',#176658,#176665,#104941,.T.); #221960=EDGE_CURVE('',#176659,#176664,#104942,.T.); #221961=EDGE_CURVE('',#176663,#176660,#104943,.T.); #221962=EDGE_CURVE('',#176667,#176673,#104944,.T.); #221963=EDGE_CURVE('',#176670,#176666,#104945,.T.); #221964=EDGE_CURVE('',#176671,#176669,#104946,.T.); #221965=EDGE_CURVE('',#176668,#176672,#104947,.T.); #221966=EDGE_CURVE('',#176582,#176652,#104948,.T.); #221967=EDGE_CURVE('',#176651,#176583,#104949,.T.); #221968=EDGE_CURVE('',#176674,#176675,#104950,.T.); #221969=EDGE_CURVE('',#176675,#176676,#104951,.T.); #221970=EDGE_CURVE('',#176676,#176677,#104952,.T.); #221971=EDGE_CURVE('',#176677,#176674,#104953,.T.); #221972=EDGE_CURVE('',#176637,#176678,#104954,.T.); #221973=EDGE_CURVE('',#176678,#176679,#104955,.T.); #221974=EDGE_CURVE('',#176638,#176679,#104956,.T.); #221975=EDGE_CURVE('',#176636,#176680,#104957,.T.); #221976=EDGE_CURVE('',#176680,#176681,#104958,.T.); #221977=EDGE_CURVE('',#176635,#176681,#104959,.T.); #221978=EDGE_CURVE('',#176681,#176678,#104960,.T.); #221979=EDGE_CURVE('',#176679,#176680,#104961,.T.); #221980=EDGE_CURVE('',#176682,#176605,#104962,.T.); #221981=EDGE_CURVE('',#176682,#176683,#104963,.T.); #221982=EDGE_CURVE('',#176683,#176607,#104964,.T.); #221983=EDGE_CURVE('',#176684,#176608,#104965,.T.); #221984=EDGE_CURVE('',#176684,#176685,#104966,.T.); #221985=EDGE_CURVE('',#176685,#176606,#104967,.T.); #221986=EDGE_CURVE('',#176685,#176682,#104968,.T.); #221987=EDGE_CURVE('',#176683,#176684,#104969,.T.); #221988=EDGE_CURVE('',#176686,#176687,#104970,.T.); #221989=EDGE_CURVE('',#176687,#176688,#104971,.T.); #221990=EDGE_CURVE('',#176688,#176689,#104972,.T.); #221991=EDGE_CURVE('',#176689,#176686,#104973,.T.); #221992=EDGE_CURVE('',#176690,#176691,#104974,.T.); #221993=EDGE_CURVE('',#176691,#176692,#104975,.T.); #221994=EDGE_CURVE('',#176692,#176693,#104976,.T.); #221995=EDGE_CURVE('',#176693,#176690,#104977,.T.); #221996=EDGE_CURVE('',#176631,#176694,#104978,.T.); #221997=EDGE_CURVE('',#176694,#176695,#104979,.T.); #221998=EDGE_CURVE('',#176632,#176695,#104980,.T.); #221999=EDGE_CURVE('',#176630,#176696,#104981,.T.); #222000=EDGE_CURVE('',#176696,#176697,#104982,.T.); #222001=EDGE_CURVE('',#176629,#176697,#104983,.T.); #222002=EDGE_CURVE('',#176697,#176694,#104984,.T.); #222003=EDGE_CURVE('',#176695,#176696,#104985,.T.); #222004=EDGE_CURVE('',#176698,#176613,#104986,.T.); #222005=EDGE_CURVE('',#176698,#176699,#104987,.T.); #222006=EDGE_CURVE('',#176699,#176615,#104988,.T.); #222007=EDGE_CURVE('',#176700,#176616,#104989,.T.); #222008=EDGE_CURVE('',#176700,#176701,#104990,.T.); #222009=EDGE_CURVE('',#176701,#176614,#104991,.T.); #222010=EDGE_CURVE('',#176701,#176698,#104992,.T.); #222011=EDGE_CURVE('',#176699,#176700,#104993,.T.); #222012=EDGE_CURVE('',#176702,#176703,#104994,.T.); #222013=EDGE_CURVE('',#176703,#176704,#104995,.T.); #222014=EDGE_CURVE('',#176704,#176705,#104996,.T.); #222015=EDGE_CURVE('',#176705,#176702,#104997,.T.); #222016=EDGE_CURVE('',#176706,#176707,#104998,.T.); #222017=EDGE_CURVE('',#176707,#176708,#104999,.T.); #222018=EDGE_CURVE('',#176708,#176709,#105000,.T.); #222019=EDGE_CURVE('',#176709,#176706,#105001,.T.); #222020=EDGE_CURVE('',#176625,#176710,#105002,.T.); #222021=EDGE_CURVE('',#176710,#176711,#105003,.T.); #222022=EDGE_CURVE('',#176626,#176711,#105004,.T.); #222023=EDGE_CURVE('',#176624,#176712,#105005,.T.); #222024=EDGE_CURVE('',#176712,#176713,#105006,.T.); #222025=EDGE_CURVE('',#176623,#176713,#105007,.T.); #222026=EDGE_CURVE('',#176713,#176710,#105008,.T.); #222027=EDGE_CURVE('',#176711,#176712,#105009,.T.); #222028=EDGE_CURVE('',#176714,#176609,#105010,.T.); #222029=EDGE_CURVE('',#176714,#176715,#105011,.T.); #222030=EDGE_CURVE('',#176715,#176611,#105012,.T.); #222031=EDGE_CURVE('',#176716,#176612,#105013,.T.); #222032=EDGE_CURVE('',#176716,#176717,#105014,.T.); #222033=EDGE_CURVE('',#176717,#176610,#105015,.T.); #222034=EDGE_CURVE('',#176717,#176714,#105016,.T.); #222035=EDGE_CURVE('',#176715,#176716,#105017,.T.); #222036=EDGE_CURVE('',#176718,#176719,#105018,.T.); #222037=EDGE_CURVE('',#176719,#176720,#105019,.T.); #222038=EDGE_CURVE('',#176720,#176721,#105020,.T.); #222039=EDGE_CURVE('',#176721,#176718,#105021,.T.); #222040=EDGE_CURVE('',#176678,#176677,#105022,.T.); #222041=EDGE_CURVE('',#176674,#176681,#105023,.T.); #222042=EDGE_CURVE('',#176675,#176680,#105024,.T.); #222043=EDGE_CURVE('',#176679,#176676,#105025,.T.); #222044=EDGE_CURVE('',#176683,#176689,#105026,.T.); #222045=EDGE_CURVE('',#176686,#176682,#105027,.T.); #222046=EDGE_CURVE('',#176687,#176685,#105028,.T.); #222047=EDGE_CURVE('',#176684,#176688,#105029,.T.); #222048=EDGE_CURVE('',#176694,#176693,#105030,.T.); #222049=EDGE_CURVE('',#176690,#176697,#105031,.T.); #222050=EDGE_CURVE('',#176691,#176696,#105032,.T.); #222051=EDGE_CURVE('',#176695,#176692,#105033,.T.); #222052=EDGE_CURVE('',#176699,#176705,#105034,.T.); #222053=EDGE_CURVE('',#176702,#176698,#105035,.T.); #222054=EDGE_CURVE('',#176703,#176701,#105036,.T.); #222055=EDGE_CURVE('',#176700,#176704,#105037,.T.); #222056=EDGE_CURVE('',#176710,#176709,#105038,.T.); #222057=EDGE_CURVE('',#176706,#176713,#105039,.T.); #222058=EDGE_CURVE('',#176707,#176712,#105040,.T.); #222059=EDGE_CURVE('',#176711,#176708,#105041,.T.); #222060=EDGE_CURVE('',#176715,#176721,#105042,.T.); #222061=EDGE_CURVE('',#176718,#176714,#105043,.T.); #222062=EDGE_CURVE('',#176719,#176717,#105044,.T.); #222063=EDGE_CURVE('',#176716,#176720,#105045,.T.); #222064=EDGE_CURVE('',#176722,#176723,#70469,.F.); #222065=EDGE_CURVE('',#176724,#176722,#70470,.F.); #222066=EDGE_CURVE('',#176723,#176724,#70471,.F.); #222067=EDGE_CURVE('',#176725,#176726,#70472,.F.); #222068=EDGE_CURVE('',#176727,#176725,#70473,.F.); #222069=EDGE_CURVE('',#176726,#176727,#70474,.F.); #222070=EDGE_CURVE('',#176728,#176729,#70475,.F.); #222071=EDGE_CURVE('',#176730,#176728,#70476,.F.); #222072=EDGE_CURVE('',#176729,#176730,#70477,.F.); #222073=EDGE_CURVE('',#176731,#176732,#70478,.F.); #222074=EDGE_CURVE('',#176733,#176731,#70479,.F.); #222075=EDGE_CURVE('',#176732,#176733,#70480,.F.); #222076=EDGE_CURVE('',#176734,#176735,#70481,.F.); #222077=EDGE_CURVE('',#176736,#176734,#70482,.F.); #222078=EDGE_CURVE('',#176735,#176736,#70483,.F.); #222079=EDGE_CURVE('',#176734,#176729,#105046,.T.); #222080=EDGE_CURVE('',#176730,#176736,#105047,.T.); #222081=EDGE_CURVE('',#176737,#176738,#70484,.F.); #222082=EDGE_CURVE('',#176739,#176737,#70485,.F.); #222083=EDGE_CURVE('',#176738,#176739,#70486,.F.); #222084=EDGE_CURVE('',#176740,#176741,#70487,.F.); #222085=EDGE_CURVE('',#176742,#176740,#70488,.F.); #222086=EDGE_CURVE('',#176741,#176742,#70489,.F.); #222087=EDGE_CURVE('',#176728,#176742,#105048,.T.); #222088=EDGE_CURVE('',#176740,#176730,#105049,.T.); #222089=EDGE_CURVE('',#176731,#176741,#105050,.T.); #222090=EDGE_CURVE('',#176742,#176733,#105051,.T.); #222091=EDGE_CURVE('',#176743,#176744,#70490,.F.); #222092=EDGE_CURVE('',#176745,#176743,#70491,.F.); #222093=EDGE_CURVE('',#176744,#176745,#70492,.F.); #222094=EDGE_CURVE('',#176736,#176745,#105052,.T.); #222095=EDGE_CURVE('',#176743,#176735,#105053,.T.); #222096=EDGE_CURVE('',#176741,#176744,#105054,.T.); #222097=EDGE_CURVE('',#176745,#176740,#105055,.T.); #222098=EDGE_CURVE('',#176737,#176743,#105056,.T.); #222099=EDGE_CURVE('',#176744,#176739,#105057,.T.); #222100=EDGE_CURVE('',#176732,#176738,#105058,.T.); #222101=EDGE_CURVE('',#176739,#176731,#105059,.T.); #222102=EDGE_CURVE('',#176738,#176726,#105060,.T.); #222103=EDGE_CURVE('',#176727,#176737,#105061,.T.); #222104=EDGE_CURVE('',#176725,#176734,#105062,.T.); #222105=EDGE_CURVE('',#176735,#176727,#105063,.T.); #222106=EDGE_CURVE('',#176723,#176732,#105064,.T.); #222107=EDGE_CURVE('',#176733,#176722,#105065,.T.); #222108=EDGE_CURVE('',#176722,#176728,#105066,.T.); #222109=EDGE_CURVE('',#176729,#176724,#105067,.T.); #222110=EDGE_CURVE('',#176726,#176723,#105068,.T.); #222111=EDGE_CURVE('',#176724,#176725,#105069,.T.); #222112=EDGE_CURVE('',#176746,#176747,#70493,.F.); #222113=EDGE_CURVE('',#176748,#176746,#70494,.F.); #222114=EDGE_CURVE('',#176747,#176748,#70495,.F.); #222115=EDGE_CURVE('',#176749,#176750,#70496,.F.); #222116=EDGE_CURVE('',#176751,#176749,#70497,.F.); #222117=EDGE_CURVE('',#176750,#176751,#70498,.F.); #222118=EDGE_CURVE('',#176752,#176753,#70499,.F.); #222119=EDGE_CURVE('',#176754,#176752,#70500,.F.); #222120=EDGE_CURVE('',#176753,#176754,#70501,.F.); #222121=EDGE_CURVE('',#176755,#176756,#70502,.F.); #222122=EDGE_CURVE('',#176757,#176755,#70503,.F.); #222123=EDGE_CURVE('',#176756,#176757,#70504,.F.); #222124=EDGE_CURVE('',#176758,#176759,#70505,.F.); #222125=EDGE_CURVE('',#176760,#176758,#70506,.F.); #222126=EDGE_CURVE('',#176759,#176760,#70507,.F.); #222127=EDGE_CURVE('',#176758,#176753,#105070,.T.); #222128=EDGE_CURVE('',#176754,#176760,#105071,.T.); #222129=EDGE_CURVE('',#176761,#176762,#70508,.F.); #222130=EDGE_CURVE('',#176763,#176761,#70509,.F.); #222131=EDGE_CURVE('',#176762,#176763,#70510,.F.); #222132=EDGE_CURVE('',#176764,#176765,#70511,.F.); #222133=EDGE_CURVE('',#176766,#176764,#70512,.F.); #222134=EDGE_CURVE('',#176765,#176766,#70513,.F.); #222135=EDGE_CURVE('',#176752,#176766,#105072,.T.); #222136=EDGE_CURVE('',#176764,#176754,#105073,.T.); #222137=EDGE_CURVE('',#176755,#176765,#105074,.T.); #222138=EDGE_CURVE('',#176766,#176757,#105075,.T.); #222139=EDGE_CURVE('',#176767,#176768,#70514,.F.); #222140=EDGE_CURVE('',#176769,#176767,#70515,.F.); #222141=EDGE_CURVE('',#176768,#176769,#70516,.F.); #222142=EDGE_CURVE('',#176767,#176759,#105076,.T.); #222143=EDGE_CURVE('',#176760,#176769,#105077,.T.); #222144=EDGE_CURVE('',#176765,#176768,#105078,.T.); #222145=EDGE_CURVE('',#176769,#176764,#105079,.T.); #222146=EDGE_CURVE('',#176761,#176767,#105080,.T.); #222147=EDGE_CURVE('',#176768,#176763,#105081,.T.); #222148=EDGE_CURVE('',#176756,#176762,#105082,.T.); #222149=EDGE_CURVE('',#176763,#176755,#105083,.T.); #222150=EDGE_CURVE('',#176762,#176750,#105084,.T.); #222151=EDGE_CURVE('',#176751,#176761,#105085,.T.); #222152=EDGE_CURVE('',#176749,#176758,#105086,.T.); #222153=EDGE_CURVE('',#176759,#176751,#105087,.T.); #222154=EDGE_CURVE('',#176747,#176756,#105088,.T.); #222155=EDGE_CURVE('',#176757,#176746,#105089,.T.); #222156=EDGE_CURVE('',#176746,#176752,#105090,.T.); #222157=EDGE_CURVE('',#176753,#176748,#105091,.T.); #222158=EDGE_CURVE('',#176750,#176747,#105092,.T.); #222159=EDGE_CURVE('',#176748,#176749,#105093,.T.); #222160=EDGE_CURVE('',#176770,#176771,#105094,.T.); #222161=EDGE_CURVE('',#176770,#176772,#105095,.T.); #222162=EDGE_CURVE('',#176773,#176772,#105096,.T.); #222163=EDGE_CURVE('',#176771,#176773,#105097,.T.); #222164=EDGE_CURVE('',#176774,#176771,#105098,.T.); #222165=EDGE_CURVE('',#176775,#176773,#105099,.T.); #222166=EDGE_CURVE('',#176774,#176775,#105100,.T.); #222167=EDGE_CURVE('',#176776,#176774,#105101,.T.); #222168=EDGE_CURVE('',#176777,#176775,#105102,.T.); #222169=EDGE_CURVE('',#176776,#176777,#105103,.T.); #222170=EDGE_CURVE('',#176770,#176776,#105104,.T.); #222171=EDGE_CURVE('',#176772,#176777,#105105,.T.); #222172=EDGE_CURVE('',#176778,#176779,#105106,.T.); #222173=EDGE_CURVE('',#176780,#176778,#105107,.T.); #222174=EDGE_CURVE('',#176781,#176780,#105108,.T.); #222175=EDGE_CURVE('',#176779,#176781,#105109,.T.); #222176=EDGE_CURVE('',#176782,#176783,#105110,.T.); #222177=EDGE_CURVE('',#176783,#176784,#105111,.T.); #222178=EDGE_CURVE('',#176784,#176785,#105112,.T.); #222179=EDGE_CURVE('',#176785,#176782,#105113,.T.); #222180=EDGE_CURVE('',#176782,#176778,#105114,.T.); #222181=EDGE_CURVE('',#176783,#176779,#105115,.T.); #222182=EDGE_CURVE('',#176784,#176781,#105116,.T.); #222183=EDGE_CURVE('',#176785,#176780,#105117,.T.); #222184=EDGE_CURVE('',#176786,#176787,#105118,.T.); #222185=EDGE_CURVE('',#176787,#176788,#105119,.T.); #222186=EDGE_CURVE('',#176789,#176788,#105120,.T.); #222187=EDGE_CURVE('',#176786,#176789,#105121,.T.); #222188=EDGE_CURVE('',#176790,#176786,#105122,.T.); #222189=EDGE_CURVE('',#176791,#176789,#105123,.T.); #222190=EDGE_CURVE('',#176790,#176791,#105124,.T.); #222191=EDGE_CURVE('',#176792,#176790,#105125,.T.); #222192=EDGE_CURVE('',#176793,#176791,#105126,.T.); #222193=EDGE_CURVE('',#176792,#176793,#105127,.T.); #222194=EDGE_CURVE('',#176787,#176792,#105128,.T.); #222195=EDGE_CURVE('',#176788,#176793,#105129,.T.); #222196=EDGE_CURVE('',#176794,#176795,#105130,.T.); #222197=EDGE_CURVE('',#176796,#176794,#105131,.T.); #222198=EDGE_CURVE('',#176797,#176796,#105132,.T.); #222199=EDGE_CURVE('',#176798,#176797,#105133,.T.); #222200=EDGE_CURVE('',#176798,#176799,#105134,.T.); #222201=EDGE_CURVE('',#176799,#176800,#105135,.T.); #222202=EDGE_CURVE('',#176800,#176801,#105136,.T.); #222203=EDGE_CURVE('',#176802,#176801,#105137,.T.); #222204=EDGE_CURVE('',#176802,#176803,#105138,.T.); #222205=EDGE_CURVE('',#176804,#176803,#105139,.T.); #222206=EDGE_CURVE('',#176805,#176804,#105140,.T.); #222207=EDGE_CURVE('',#176805,#176806,#105141,.T.); #222208=EDGE_CURVE('',#176807,#176806,#105142,.T.); #222209=EDGE_CURVE('',#176808,#176807,#105143,.T.); #222210=EDGE_CURVE('',#176809,#176808,#105144,.T.); #222211=EDGE_CURVE('',#176809,#176795,#105145,.T.); #222212=EDGE_CURVE('',#176810,#176811,#105146,.T.); #222213=EDGE_CURVE('',#176812,#176810,#105147,.T.); #222214=EDGE_CURVE('',#176812,#176813,#105148,.T.); #222215=EDGE_CURVE('',#176813,#176811,#105149,.T.); #222216=EDGE_CURVE('',#176814,#176815,#70517,.T.); #222217=EDGE_CURVE('',#176814,#176816,#105150,.T.); #222218=EDGE_CURVE('',#176816,#176817,#70518,.T.); #222219=EDGE_CURVE('',#176818,#176817,#105151,.T.); #222220=EDGE_CURVE('',#176818,#176819,#70519,.F.); #222221=EDGE_CURVE('',#176819,#176820,#105152,.T.); #222222=EDGE_CURVE('',#176821,#176820,#70520,.T.); #222223=EDGE_CURVE('',#176815,#176821,#105153,.F.); #222224=EDGE_CURVE('',#176822,#176823,#70521,.T.); #222225=EDGE_CURVE('',#176824,#176822,#105154,.T.); #222226=EDGE_CURVE('',#176825,#176824,#70522,.T.); #222227=EDGE_CURVE('',#176826,#176825,#105155,.T.); #222228=EDGE_CURVE('',#176826,#176827,#70523,.F.); #222229=EDGE_CURVE('',#176827,#176828,#105156,.T.); #222230=EDGE_CURVE('',#176828,#176829,#70524,.T.); #222231=EDGE_CURVE('',#176823,#176829,#105157,.T.); #222232=EDGE_CURVE('',#176823,#176814,#105158,.T.); #222233=EDGE_CURVE('',#176829,#176816,#105159,.T.); #222234=EDGE_CURVE('',#176820,#176825,#105160,.T.); #222235=EDGE_CURVE('',#176819,#176826,#105161,.T.); #222236=EDGE_CURVE('',#176830,#176831,#105162,.T.); #222237=EDGE_CURVE('',#176830,#176832,#105163,.T.); #222238=EDGE_CURVE('',#176832,#176833,#105164,.T.); #222239=EDGE_CURVE('',#176833,#176834,#105165,.T.); #222240=EDGE_CURVE('',#176834,#176835,#105166,.T.); #222241=EDGE_CURVE('',#176836,#176835,#105167,.T.); #222242=EDGE_CURVE('',#176836,#176837,#105168,.T.); #222243=EDGE_CURVE('',#176837,#176838,#105169,.T.); #222244=EDGE_CURVE('',#176838,#176839,#105170,.T.); #222245=EDGE_CURVE('',#176840,#176839,#105171,.T.); #222246=EDGE_CURVE('',#176841,#176840,#105172,.T.); #222247=EDGE_CURVE('',#176841,#176842,#105173,.T.); #222248=EDGE_CURVE('',#176842,#176843,#105174,.T.); #222249=EDGE_CURVE('',#176844,#176843,#105175,.T.); #222250=EDGE_CURVE('',#176844,#176845,#105176,.T.); #222251=EDGE_CURVE('',#176831,#176845,#105177,.T.); #222252=EDGE_CURVE('',#176845,#176846,#105178,.T.); #222253=EDGE_CURVE('',#176847,#176844,#105179,.T.); #222254=EDGE_CURVE('',#176846,#176847,#105180,.T.); #222255=EDGE_CURVE('',#176848,#176849,#105181,.T.); #222256=EDGE_CURVE('',#176848,#176850,#105182,.T.); #222257=EDGE_CURVE('',#176850,#176851,#105183,.T.); #222258=EDGE_CURVE('',#176851,#176852,#105184,.T.); #222259=EDGE_CURVE('',#176853,#176852,#105185,.T.); #222260=EDGE_CURVE('',#176854,#176853,#105186,.T.); #222261=EDGE_CURVE('',#176855,#176854,#105187,.T.); #222262=EDGE_CURVE('',#176856,#176855,#105188,.T.); #222263=EDGE_CURVE('',#176857,#176856,#105189,.T.); #222264=EDGE_CURVE('',#176858,#176857,#105190,.T.); #222265=EDGE_CURVE('',#176859,#176858,#105191,.T.); #222266=EDGE_CURVE('',#176860,#176859,#105192,.T.); #222267=EDGE_CURVE('',#176861,#176860,#105193,.T.); #222268=EDGE_CURVE('',#176862,#176861,#105194,.T.); #222269=EDGE_CURVE('',#176863,#176862,#105195,.T.); #222270=EDGE_CURVE('',#176864,#176863,#105196,.T.); #222271=EDGE_CURVE('',#176865,#176864,#105197,.T.); #222272=EDGE_CURVE('',#176866,#176865,#105198,.T.); #222273=EDGE_CURVE('',#176849,#176866,#105199,.T.); #222274=EDGE_CURVE('',#176867,#176796,#105200,.T.); #222275=EDGE_CURVE('',#176867,#176868,#70525,.F.); #222276=EDGE_CURVE('',#176868,#176869,#105201,.T.); #222277=EDGE_CURVE('',#176870,#176869,#105202,.T.); #222278=EDGE_CURVE('',#176796,#176870,#105203,.T.); #222279=EDGE_CURVE('',#176871,#176872,#70526,.F.); #222280=EDGE_CURVE('',#176872,#176799,#105204,.T.); #222281=EDGE_CURVE('',#176799,#176873,#105205,.T.); #222282=EDGE_CURVE('',#176874,#176873,#105206,.T.); #222283=EDGE_CURVE('',#176874,#176871,#105207,.T.); #222284=EDGE_CURVE('',#176875,#176876,#70527,.F.); #222285=EDGE_CURVE('',#176875,#176872,#63529,.T.); #222286=EDGE_CURVE('',#176871,#176877,#105208,.T.); #222287=EDGE_CURVE('',#176833,#176877,#70528,.T.); #222288=EDGE_CURVE('',#176878,#176833,#105209,.T.); #222289=EDGE_CURVE('',#176879,#176878,#70529,.T.); #222290=EDGE_CURVE('',#176879,#176876,#63530,.T.); #222291=EDGE_CURVE('',#176880,#176881,#105210,.T.); #222292=EDGE_CURVE('',#176880,#176882,#105211,.T.); #222293=EDGE_CURVE('',#176882,#176883,#105212,.T.); #222294=EDGE_CURVE('',#176883,#176881,#105213,.T.); #222295=EDGE_CURVE('',#176884,#176885,#105214,.T.); #222296=EDGE_CURVE('',#176884,#176886,#105215,.T.); #222297=EDGE_CURVE('',#176886,#176887,#105216,.T.); #222298=EDGE_CURVE('',#176887,#176885,#105217,.T.); #222299=EDGE_CURVE('',#176888,#176889,#105218,.T.); #222300=EDGE_CURVE('',#176888,#176890,#105219,.T.); #222301=EDGE_CURVE('',#176890,#176891,#105220,.T.); #222302=EDGE_CURVE('',#176891,#176889,#105221,.T.); #222303=EDGE_CURVE('',#176892,#176893,#105222,.T.); #222304=EDGE_CURVE('',#176892,#176894,#105223,.T.); #222305=EDGE_CURVE('',#176894,#176895,#105224,.T.); #222306=EDGE_CURVE('',#176895,#176893,#105225,.T.); #222307=EDGE_CURVE('',#176896,#176897,#105226,.T.); #222308=EDGE_CURVE('',#176896,#176898,#105227,.T.); #222309=EDGE_CURVE('',#176898,#176899,#105228,.T.); #222310=EDGE_CURVE('',#176899,#176897,#105229,.T.); #222311=EDGE_CURVE('',#176900,#176901,#105230,.T.); #222312=EDGE_CURVE('',#176900,#176902,#105231,.T.); #222313=EDGE_CURVE('',#176902,#176903,#105232,.T.); #222314=EDGE_CURVE('',#176903,#176901,#105233,.T.); #222315=EDGE_CURVE('',#176904,#176905,#105234,.T.); #222316=EDGE_CURVE('',#176904,#176906,#105235,.T.); #222317=EDGE_CURVE('',#176906,#176907,#105236,.T.); #222318=EDGE_CURVE('',#176907,#176905,#105237,.T.); #222319=EDGE_CURVE('',#176908,#176909,#105238,.T.); #222320=EDGE_CURVE('',#176908,#176910,#105239,.T.); #222321=EDGE_CURVE('',#176910,#176911,#105240,.T.); #222322=EDGE_CURVE('',#176911,#176909,#105241,.T.); #222323=EDGE_CURVE('',#176912,#176913,#105242,.T.); #222324=EDGE_CURVE('',#176912,#176914,#105243,.T.); #222325=EDGE_CURVE('',#176914,#176915,#105244,.T.); #222326=EDGE_CURVE('',#176915,#176913,#105245,.T.); #222327=EDGE_CURVE('',#176916,#176917,#105246,.T.); #222328=EDGE_CURVE('',#176916,#176918,#105247,.T.); #222329=EDGE_CURVE('',#176918,#176919,#105248,.T.); #222330=EDGE_CURVE('',#176919,#176917,#105249,.T.); #222331=EDGE_CURVE('',#176920,#176921,#105250,.T.); #222332=EDGE_CURVE('',#176920,#176922,#105251,.T.); #222333=EDGE_CURVE('',#176922,#176923,#105252,.T.); #222334=EDGE_CURVE('',#176923,#176921,#105253,.T.); #222335=EDGE_CURVE('',#176924,#176925,#105254,.T.); #222336=EDGE_CURVE('',#176924,#176926,#105255,.T.); #222337=EDGE_CURVE('',#176926,#176927,#105256,.T.); #222338=EDGE_CURVE('',#176927,#176925,#105257,.T.); #222339=EDGE_CURVE('',#176926,#176928,#105258,.T.); #222340=EDGE_CURVE('',#176928,#176929,#105259,.T.); #222341=EDGE_CURVE('',#176927,#176929,#105260,.T.); #222342=EDGE_CURVE('',#176928,#176930,#105261,.T.); #222343=EDGE_CURVE('',#176930,#176931,#105262,.T.); #222344=EDGE_CURVE('',#176929,#176931,#105263,.T.); #222345=EDGE_CURVE('',#176932,#176933,#105264,.T.); #222346=EDGE_CURVE('',#176932,#176934,#105265,.T.); #222347=EDGE_CURVE('',#176934,#176935,#105266,.T.); #222348=EDGE_CURVE('',#176933,#176935,#105267,.T.); #222349=EDGE_CURVE('',#176934,#176920,#105268,.T.); #222350=EDGE_CURVE('',#176935,#176921,#105269,.T.); #222351=EDGE_CURVE('',#176922,#176936,#105270,.T.); #222352=EDGE_CURVE('',#176936,#176937,#105271,.T.); #222353=EDGE_CURVE('',#176923,#176937,#105272,.T.); #222354=EDGE_CURVE('',#176936,#176938,#105273,.T.); #222355=EDGE_CURVE('',#176938,#176939,#105274,.T.); #222356=EDGE_CURVE('',#176937,#176939,#105275,.T.); #222357=EDGE_CURVE('',#176940,#176941,#105276,.T.); #222358=EDGE_CURVE('',#176940,#176942,#105277,.T.); #222359=EDGE_CURVE('',#176942,#176943,#105278,.T.); #222360=EDGE_CURVE('',#176941,#176943,#105279,.T.); #222361=EDGE_CURVE('',#176942,#176916,#105280,.T.); #222362=EDGE_CURVE('',#176943,#176917,#105281,.T.); #222363=EDGE_CURVE('',#176918,#176944,#105282,.T.); #222364=EDGE_CURVE('',#176944,#176945,#105283,.T.); #222365=EDGE_CURVE('',#176919,#176945,#105284,.T.); #222366=EDGE_CURVE('',#176944,#176946,#105285,.T.); #222367=EDGE_CURVE('',#176946,#176947,#105286,.T.); #222368=EDGE_CURVE('',#176945,#176947,#105287,.T.); #222369=EDGE_CURVE('',#176948,#176949,#105288,.T.); #222370=EDGE_CURVE('',#176948,#176950,#105289,.T.); #222371=EDGE_CURVE('',#176950,#176951,#105290,.T.); #222372=EDGE_CURVE('',#176949,#176951,#105291,.T.); #222373=EDGE_CURVE('',#176950,#176912,#105292,.T.); #222374=EDGE_CURVE('',#176951,#176913,#105293,.T.); #222375=EDGE_CURVE('',#176914,#176952,#105294,.T.); #222376=EDGE_CURVE('',#176952,#176953,#105295,.T.); #222377=EDGE_CURVE('',#176915,#176953,#105296,.T.); #222378=EDGE_CURVE('',#176952,#176954,#105297,.T.); #222379=EDGE_CURVE('',#176954,#176955,#105298,.T.); #222380=EDGE_CURVE('',#176953,#176955,#105299,.T.); #222381=EDGE_CURVE('',#176956,#176957,#105300,.T.); #222382=EDGE_CURVE('',#176956,#176958,#105301,.T.); #222383=EDGE_CURVE('',#176958,#176959,#105302,.T.); #222384=EDGE_CURVE('',#176957,#176959,#105303,.T.); #222385=EDGE_CURVE('',#176958,#176908,#105304,.T.); #222386=EDGE_CURVE('',#176959,#176909,#105305,.T.); #222387=EDGE_CURVE('',#176910,#176960,#105306,.T.); #222388=EDGE_CURVE('',#176960,#176961,#105307,.T.); #222389=EDGE_CURVE('',#176911,#176961,#105308,.T.); #222390=EDGE_CURVE('',#176960,#176962,#105309,.T.); #222391=EDGE_CURVE('',#176962,#176963,#105310,.T.); #222392=EDGE_CURVE('',#176961,#176963,#105311,.T.); #222393=EDGE_CURVE('',#176964,#176965,#105312,.T.); #222394=EDGE_CURVE('',#176964,#176966,#105313,.T.); #222395=EDGE_CURVE('',#176966,#176967,#105314,.T.); #222396=EDGE_CURVE('',#176965,#176967,#105315,.T.); #222397=EDGE_CURVE('',#176966,#176904,#105316,.T.); #222398=EDGE_CURVE('',#176967,#176905,#105317,.T.); #222399=EDGE_CURVE('',#176906,#176968,#105318,.T.); #222400=EDGE_CURVE('',#176968,#176969,#105319,.T.); #222401=EDGE_CURVE('',#176907,#176969,#105320,.T.); #222402=EDGE_CURVE('',#176968,#176970,#105321,.T.); #222403=EDGE_CURVE('',#176970,#176971,#105322,.T.); #222404=EDGE_CURVE('',#176969,#176971,#105323,.T.); #222405=EDGE_CURVE('',#176972,#176973,#105324,.T.); #222406=EDGE_CURVE('',#176972,#176974,#105325,.T.); #222407=EDGE_CURVE('',#176974,#176975,#105326,.T.); #222408=EDGE_CURVE('',#176973,#176975,#105327,.T.); #222409=EDGE_CURVE('',#176974,#176880,#105328,.T.); #222410=EDGE_CURVE('',#176975,#176881,#105329,.T.); #222411=EDGE_CURVE('',#176902,#176976,#105330,.T.); #222412=EDGE_CURVE('',#176976,#176977,#105331,.T.); #222413=EDGE_CURVE('',#176903,#176977,#105332,.T.); #222414=EDGE_CURVE('',#176976,#176978,#105333,.T.); #222415=EDGE_CURVE('',#176978,#176979,#105334,.T.); #222416=EDGE_CURVE('',#176977,#176979,#105335,.T.); #222417=EDGE_CURVE('',#176900,#176980,#105336,.T.); #222418=EDGE_CURVE('',#176901,#176981,#105337,.T.); #222419=EDGE_CURVE('',#176980,#176981,#105338,.T.); #222420=EDGE_CURVE('',#176980,#176982,#105339,.T.); #222421=EDGE_CURVE('',#176981,#176983,#105340,.T.); #222422=EDGE_CURVE('',#176982,#176983,#105341,.T.); #222423=EDGE_CURVE('',#176984,#176985,#105342,.T.); #222424=EDGE_CURVE('',#176984,#176986,#105343,.T.); #222425=EDGE_CURVE('',#176986,#176987,#105344,.T.); #222426=EDGE_CURVE('',#176985,#176987,#105345,.T.); #222427=EDGE_CURVE('',#176985,#176898,#105346,.T.); #222428=EDGE_CURVE('',#176987,#176899,#105347,.T.); #222429=EDGE_CURVE('',#176896,#176988,#105348,.T.); #222430=EDGE_CURVE('',#176897,#176989,#105349,.T.); #222431=EDGE_CURVE('',#176988,#176989,#105350,.T.); #222432=EDGE_CURVE('',#176988,#176990,#105351,.T.); #222433=EDGE_CURVE('',#176989,#176991,#105352,.T.); #222434=EDGE_CURVE('',#176990,#176991,#105353,.T.); #222435=EDGE_CURVE('',#176992,#176993,#105354,.T.); #222436=EDGE_CURVE('',#176992,#176994,#105355,.T.); #222437=EDGE_CURVE('',#176994,#176995,#105356,.T.); #222438=EDGE_CURVE('',#176993,#176995,#105357,.T.); #222439=EDGE_CURVE('',#176993,#176894,#105358,.T.); #222440=EDGE_CURVE('',#176995,#176895,#105359,.T.); #222441=EDGE_CURVE('',#176892,#176996,#105360,.T.); #222442=EDGE_CURVE('',#176893,#176997,#105361,.T.); #222443=EDGE_CURVE('',#176996,#176997,#105362,.T.); #222444=EDGE_CURVE('',#176996,#176998,#105363,.T.); #222445=EDGE_CURVE('',#176997,#176999,#105364,.T.); #222446=EDGE_CURVE('',#176998,#176999,#105365,.T.); #222447=EDGE_CURVE('',#177000,#177001,#105366,.T.); #222448=EDGE_CURVE('',#177000,#177002,#105367,.T.); #222449=EDGE_CURVE('',#177002,#177003,#105368,.T.); #222450=EDGE_CURVE('',#177001,#177003,#105369,.T.); #222451=EDGE_CURVE('',#177001,#176890,#105370,.T.); #222452=EDGE_CURVE('',#177003,#176891,#105371,.T.); #222453=EDGE_CURVE('',#176888,#177004,#105372,.T.); #222454=EDGE_CURVE('',#176889,#177005,#105373,.T.); #222455=EDGE_CURVE('',#177004,#177005,#105374,.T.); #222456=EDGE_CURVE('',#177004,#177006,#105375,.T.); #222457=EDGE_CURVE('',#177005,#177007,#105376,.T.); #222458=EDGE_CURVE('',#177006,#177007,#105377,.T.); #222459=EDGE_CURVE('',#177008,#177009,#105378,.T.); #222460=EDGE_CURVE('',#177008,#177010,#105379,.T.); #222461=EDGE_CURVE('',#177010,#177011,#105380,.T.); #222462=EDGE_CURVE('',#177009,#177011,#105381,.T.); #222463=EDGE_CURVE('',#177009,#176886,#105382,.T.); #222464=EDGE_CURVE('',#177011,#176887,#105383,.T.); #222465=EDGE_CURVE('',#176884,#177012,#105384,.T.); #222466=EDGE_CURVE('',#176885,#177013,#105385,.T.); #222467=EDGE_CURVE('',#177012,#177013,#105386,.T.); #222468=EDGE_CURVE('',#177012,#177014,#105387,.T.); #222469=EDGE_CURVE('',#177013,#177015,#105388,.T.); #222470=EDGE_CURVE('',#177014,#177015,#105389,.T.); #222471=EDGE_CURVE('',#177016,#177017,#105390,.T.); #222472=EDGE_CURVE('',#177016,#177018,#105391,.T.); #222473=EDGE_CURVE('',#177018,#177019,#105392,.T.); #222474=EDGE_CURVE('',#177017,#177019,#105393,.T.); #222475=EDGE_CURVE('',#177017,#176882,#105394,.T.); #222476=EDGE_CURVE('',#177019,#176883,#105395,.T.); #222477=EDGE_CURVE('',#176924,#177020,#105396,.T.); #222478=EDGE_CURVE('',#176925,#177021,#105397,.T.); #222479=EDGE_CURVE('',#177020,#177021,#105398,.T.); #222480=EDGE_CURVE('',#177020,#177022,#105399,.T.); #222481=EDGE_CURVE('',#177021,#177023,#105400,.T.); #222482=EDGE_CURVE('',#177022,#177023,#105401,.T.); #222483=EDGE_CURVE('',#177024,#177025,#105402,.T.); #222484=EDGE_CURVE('',#177025,#177026,#105403,.T.); #222485=EDGE_CURVE('',#177026,#176797,#105404,.T.); #222486=EDGE_CURVE('',#176870,#177024,#105405,.T.); #222487=EDGE_CURVE('',#177025,#177027,#105406,.T.); #222488=EDGE_CURVE('',#177028,#177024,#105407,.T.); #222489=EDGE_CURVE('',#177028,#177029,#105408,.T.); #222490=EDGE_CURVE('',#177029,#177030,#105409,.T.); #222491=EDGE_CURVE('',#177030,#177027,#105410,.T.); #222492=EDGE_CURVE('',#177027,#177031,#105411,.T.); #222493=EDGE_CURVE('',#176873,#177030,#105412,.T.); #222494=EDGE_CURVE('',#177031,#176798,#105413,.T.); #222495=EDGE_CURVE('',#176931,#176933,#105414,.T.); #222496=EDGE_CURVE('',#176939,#176941,#105415,.T.); #222497=EDGE_CURVE('',#176947,#176949,#105416,.T.); #222498=EDGE_CURVE('',#176955,#176957,#105417,.T.); #222499=EDGE_CURVE('',#176963,#176965,#105418,.T.); #222500=EDGE_CURVE('',#176971,#176973,#105419,.T.); #222501=EDGE_CURVE('',#177015,#177018,#105420,.T.); #222502=EDGE_CURVE('',#177007,#177010,#105421,.T.); #222503=EDGE_CURVE('',#176999,#177002,#105422,.T.); #222504=EDGE_CURVE('',#176991,#176994,#105423,.T.); #222505=EDGE_CURVE('',#176983,#176986,#105424,.T.); #222506=EDGE_CURVE('',#176979,#177031,#105425,.T.); #222507=EDGE_CURVE('',#177023,#177026,#105426,.T.); #222508=EDGE_CURVE('',#177030,#177032,#105427,.T.); #222509=EDGE_CURVE('',#177033,#177032,#105428,.T.); #222510=EDGE_CURVE('',#177034,#177033,#105429,.T.); #222511=EDGE_CURVE('',#177035,#177034,#105430,.T.); #222512=EDGE_CURVE('',#177035,#176874,#105431,.T.); #222513=EDGE_CURVE('',#176869,#177036,#105432,.T.); #222514=EDGE_CURVE('',#177036,#177037,#105433,.T.); #222515=EDGE_CURVE('',#177037,#177038,#105434,.T.); #222516=EDGE_CURVE('',#177039,#177038,#105435,.T.); #222517=EDGE_CURVE('',#177039,#177024,#105436,.T.); #222518=EDGE_CURVE('',#177040,#177041,#105437,.T.); #222519=EDGE_CURVE('',#177040,#177042,#70530,.F.); #222520=EDGE_CURVE('',#177042,#177043,#105438,.T.); #222521=EDGE_CURVE('',#177043,#177041,#70531,.F.); #222522=EDGE_CURVE('',#177044,#177042,#105439,.T.); #222523=EDGE_CURVE('',#177045,#177044,#105440,.T.); #222524=EDGE_CURVE('',#177045,#177043,#105441,.T.); #222525=EDGE_CURVE('',#177046,#177047,#105442,.T.); #222526=EDGE_CURVE('',#177048,#177047,#105443,.T.); #222527=EDGE_CURVE('',#177048,#177049,#105444,.T.); #222528=EDGE_CURVE('',#177049,#177046,#105445,.T.); #222529=EDGE_CURVE('',#177050,#177051,#105446,.T.); #222530=EDGE_CURVE('',#177052,#177050,#105447,.T.); #222531=EDGE_CURVE('',#177053,#177052,#105448,.T.); #222532=EDGE_CURVE('',#177053,#177054,#105449,.T.); #222533=EDGE_CURVE('',#177054,#177055,#105450,.T.); #222534=EDGE_CURVE('',#177055,#177056,#105451,.T.); #222535=EDGE_CURVE('',#177057,#177056,#105452,.T.); #222536=EDGE_CURVE('',#177058,#177057,#105453,.T.); #222537=EDGE_CURVE('',#177058,#177059,#105454,.T.); #222538=EDGE_CURVE('',#177059,#177060,#105455,.T.); #222539=EDGE_CURVE('',#177060,#177061,#105456,.T.); #222540=EDGE_CURVE('',#177061,#177062,#105457,.T.); #222541=EDGE_CURVE('',#177063,#177062,#105458,.T.); #222542=EDGE_CURVE('',#177051,#177063,#105459,.T.); #222543=EDGE_CURVE('',#177064,#177065,#105460,.T.); #222544=EDGE_CURVE('',#177064,#177066,#105461,.T.); #222545=EDGE_CURVE('',#177066,#177067,#105462,.T.); #222546=EDGE_CURVE('',#177065,#177067,#105463,.T.); #222547=EDGE_CURVE('',#177068,#177045,#105464,.F.); #222548=EDGE_CURVE('',#177069,#177068,#105465,.T.); #222549=EDGE_CURVE('',#177069,#177043,#105466,.T.); #222550=EDGE_CURVE('',#177070,#177071,#105467,.T.); #222551=EDGE_CURVE('',#177070,#177072,#105468,.T.); #222552=EDGE_CURVE('',#177072,#177073,#105469,.T.); #222553=EDGE_CURVE('',#177073,#177074,#70532,.T.); #222554=EDGE_CURVE('',#177074,#177075,#105470,.T.); #222555=EDGE_CURVE('',#177075,#177076,#70533,.T.); #222556=EDGE_CURVE('',#177076,#177077,#105471,.T.); #222557=EDGE_CURVE('',#177078,#177077,#105472,.T.); #222558=EDGE_CURVE('',#177079,#177078,#105473,.T.); #222559=EDGE_CURVE('',#176811,#177079,#70534,.T.); #222560=EDGE_CURVE('',#177071,#176813,#70535,.T.); #222561=EDGE_CURVE('',#177072,#177046,#105474,.F.); #222562=EDGE_CURVE('',#177073,#177049,#105475,.T.); #222563=EDGE_CURVE('',#177062,#177066,#105476,.F.); #222564=EDGE_CURVE('',#177061,#177067,#105477,.F.); #222565=EDGE_CURVE('',#177080,#177068,#105478,.T.); #222566=EDGE_CURVE('',#176817,#177080,#105479,.T.); #222567=EDGE_CURVE('',#177081,#176828,#105480,.T.); #222568=EDGE_CURVE('',#177081,#177082,#105481,.T.); #222569=EDGE_CURVE('',#177082,#177083,#105482,.T.); #222570=EDGE_CURVE('',#177083,#177084,#70536,.T.); #222571=EDGE_CURVE('',#177084,#177085,#105483,.T.); #222572=EDGE_CURVE('',#177085,#177069,#70537,.T.); #222573=EDGE_CURVE('',#177086,#177087,#105484,.T.); #222574=EDGE_CURVE('',#177087,#177088,#105485,.T.); #222575=EDGE_CURVE('',#177089,#177088,#105486,.T.); #222576=EDGE_CURVE('',#177086,#177089,#105487,.T.); #222577=EDGE_CURVE('',#177082,#176835,#105488,.F.); #222578=EDGE_CURVE('',#176834,#177083,#105489,.T.); #222579=EDGE_CURVE('',#176838,#177087,#105490,.F.); #222580=EDGE_CURVE('',#176839,#177086,#105491,.F.); #222581=EDGE_CURVE('',#177077,#176842,#105492,.F.); #222582=EDGE_CURVE('',#176843,#177076,#105493,.T.); #222583=EDGE_CURVE('',#177090,#177048,#70538,.F.); #222584=EDGE_CURVE('',#177090,#177091,#105494,.T.); #222585=EDGE_CURVE('',#177091,#177049,#70539,.F.); #222586=EDGE_CURVE('',#177036,#177092,#105495,.T.); #222587=EDGE_CURVE('',#177092,#177093,#105496,.T.); #222588=EDGE_CURVE('',#177093,#177091,#105497,.T.); #222589=EDGE_CURVE('',#177090,#177037,#105498,.T.); #222590=EDGE_CURVE('',#177092,#176844,#70540,.T.); #222591=EDGE_CURVE('',#176843,#177093,#70541,.F.); #222592=EDGE_CURVE('',#176877,#177094,#105499,.T.); #222593=EDGE_CURVE('',#177094,#176834,#70542,.F.); #222594=EDGE_CURVE('',#176877,#177035,#105500,.T.); #222595=EDGE_CURVE('',#177040,#177034,#105501,.T.); #222596=EDGE_CURVE('',#177041,#177094,#105502,.T.); #222597=EDGE_CURVE('',#177095,#177071,#105503,.T.); #222598=EDGE_CURVE('',#177095,#176818,#105504,.T.); #222599=EDGE_CURVE('',#177080,#177096,#105505,.T.); #222600=EDGE_CURVE('',#177096,#177097,#105506,.T.); #222601=EDGE_CURVE('',#177097,#177098,#105507,.T.); #222602=EDGE_CURVE('',#177098,#177064,#105508,.T.); #222603=EDGE_CURVE('',#177099,#177065,#105509,.T.); #222604=EDGE_CURVE('',#177100,#177099,#105510,.T.); #222605=EDGE_CURVE('',#177101,#177100,#105511,.T.); #222606=EDGE_CURVE('',#177070,#177101,#105512,.T.); #222607=EDGE_CURVE('',#176812,#177102,#70543,.T.); #222608=EDGE_CURVE('',#177102,#177103,#105513,.F.); #222609=EDGE_CURVE('',#177103,#177104,#70544,.T.); #222610=EDGE_CURVE('',#177105,#177104,#105514,.T.); #222611=EDGE_CURVE('',#177105,#177095,#70545,.F.); #222612=EDGE_CURVE('',#177104,#177106,#105515,.T.); #222613=EDGE_CURVE('',#177107,#177106,#105516,.T.); #222614=EDGE_CURVE('',#177107,#177105,#105517,.T.); #222615=EDGE_CURVE('',#177108,#177109,#105518,.T.); #222616=EDGE_CURVE('',#177109,#176810,#70546,.T.); #222617=EDGE_CURVE('',#177110,#177079,#105519,.T.); #222618=EDGE_CURVE('',#177110,#177107,#70547,.F.); #222619=EDGE_CURVE('',#177106,#177108,#70548,.T.); #222620=EDGE_CURVE('',#176827,#177110,#105520,.T.); #222621=EDGE_CURVE('',#177111,#177078,#105521,.T.); #222622=EDGE_CURVE('',#177112,#177111,#105522,.T.); #222623=EDGE_CURVE('',#177112,#177089,#105523,.T.); #222624=EDGE_CURVE('',#177113,#177088,#105524,.T.); #222625=EDGE_CURVE('',#177113,#177114,#105525,.T.); #222626=EDGE_CURVE('',#177114,#177081,#105526,.T.); #222627=EDGE_CURVE('',#177115,#177116,#105527,.T.); #222628=EDGE_CURVE('',#177116,#177117,#70549,.T.); #222629=EDGE_CURVE('',#177117,#177118,#105528,.T.); #222630=EDGE_CURVE('',#177118,#177119,#70550,.T.); #222631=EDGE_CURVE('',#177119,#177120,#105529,.T.); #222632=EDGE_CURVE('',#177120,#177121,#70551,.T.); #222633=EDGE_CURVE('',#177121,#177122,#105530,.T.); #222634=EDGE_CURVE('',#177122,#177115,#70552,.T.); #222635=EDGE_CURVE('',#177123,#177124,#105531,.T.); #222636=EDGE_CURVE('',#177125,#177123,#105532,.T.); #222637=EDGE_CURVE('',#177125,#177126,#105533,.T.); #222638=EDGE_CURVE('',#177126,#177124,#105534,.T.); #222639=EDGE_CURVE('',#177127,#177123,#105535,.T.); #222640=EDGE_CURVE('',#177128,#177127,#105536,.T.); #222641=EDGE_CURVE('',#177128,#177125,#105537,.T.); #222642=EDGE_CURVE('',#177124,#177129,#105538,.T.); #222643=EDGE_CURVE('',#177126,#177130,#105539,.T.); #222644=EDGE_CURVE('',#177130,#177129,#105540,.T.); #222645=EDGE_CURVE('',#177129,#177131,#70553,.T.); #222646=EDGE_CURVE('',#177130,#177132,#70554,.T.); #222647=EDGE_CURVE('',#177132,#177131,#105541,.T.); #222648=EDGE_CURVE('',#177131,#177133,#105542,.T.); #222649=EDGE_CURVE('',#177132,#177134,#105543,.T.); #222650=EDGE_CURVE('',#177134,#177133,#105544,.T.); #222651=EDGE_CURVE('',#177134,#177135,#105545,.T.); #222652=EDGE_CURVE('',#177135,#177136,#105546,.T.); #222653=EDGE_CURVE('',#177133,#177136,#105547,.T.); #222654=EDGE_CURVE('',#177137,#177138,#105548,.T.); #222655=EDGE_CURVE('',#177138,#177139,#105549,.F.); #222656=EDGE_CURVE('',#177139,#177140,#105550,.T.); #222657=EDGE_CURVE('',#177140,#177137,#105551,.T.); #222658=EDGE_CURVE('',#177141,#177142,#105552,.T.); #222659=EDGE_CURVE('',#177141,#177143,#105553,.F.); #222660=EDGE_CURVE('',#177143,#177144,#105554,.T.); #222661=EDGE_CURVE('',#177144,#177142,#105555,.T.); #222662=EDGE_CURVE('',#177142,#177145,#105556,.T.); #222663=EDGE_CURVE('',#177144,#177146,#105557,.T.); #222664=EDGE_CURVE('',#177146,#177145,#105558,.T.); #222665=EDGE_CURVE('',#177145,#177127,#70555,.T.); #222666=EDGE_CURVE('',#177146,#177128,#70556,.T.); #222667=EDGE_CURVE('',#177147,#177143,#105559,.T.); #222668=EDGE_CURVE('',#177147,#177148,#105560,.T.); #222669=EDGE_CURVE('',#177135,#177148,#105561,.T.); #222670=EDGE_CURVE('',#177136,#177149,#105562,.T.); #222671=EDGE_CURVE('',#177149,#177150,#105563,.T.); #222672=EDGE_CURVE('',#177150,#177141,#105564,.T.); #222673=EDGE_CURVE('',#176819,#177120,#105565,.T.); #222674=EDGE_CURVE('',#177121,#176818,#105566,.T.); #222675=EDGE_CURVE('',#177122,#177095,#105567,.T.); #222676=EDGE_CURVE('',#176826,#177119,#105568,.T.); #222677=EDGE_CURVE('',#177115,#177105,#105569,.T.); #222678=EDGE_CURVE('',#176827,#177118,#105570,.T.); #222679=EDGE_CURVE('',#177116,#177107,#105571,.T.); #222680=EDGE_CURVE('',#177110,#177117,#105572,.T.); #222681=EDGE_CURVE('',#177041,#177085,#105573,.T.); #222682=EDGE_CURVE('',#177094,#177084,#105574,.T.); #222683=EDGE_CURVE('',#177074,#177091,#105575,.T.); #222684=EDGE_CURVE('',#177075,#177093,#105576,.T.); #222685=EDGE_CURVE('',#177149,#177138,#3181,.T.); #222686=EDGE_CURVE('',#177137,#177150,#3182,.T.); #222687=EDGE_CURVE('',#177139,#177148,#3183,.F.); #222688=EDGE_CURVE('',#177147,#177140,#3184,.F.); #222689=EDGE_CURVE('',#177111,#176841,#105577,.T.); #222690=EDGE_CURVE('',#176840,#177112,#105578,.T.); #222691=EDGE_CURVE('',#177114,#176836,#105579,.T.); #222692=EDGE_CURVE('',#176837,#177113,#105580,.T.); #222693=EDGE_CURVE('',#177101,#177047,#105581,.T.); #222694=EDGE_CURVE('',#177151,#177058,#105582,.T.); #222695=EDGE_CURVE('',#177152,#177151,#105583,.T.); #222696=EDGE_CURVE('',#177152,#177038,#105584,.T.); #222697=EDGE_CURVE('',#177100,#177059,#105585,.T.); #222698=EDGE_CURVE('',#177099,#177060,#105586,.T.); #222699=EDGE_CURVE('',#177153,#177053,#105587,.T.); #222700=EDGE_CURVE('',#177154,#177052,#105588,.T.); #222701=EDGE_CURVE('',#177155,#177154,#105589,.T.); #222702=EDGE_CURVE('',#177155,#177057,#105590,.T.); #222703=EDGE_CURVE('',#177056,#177156,#105591,.T.); #222704=EDGE_CURVE('',#177153,#177156,#105592,.T.); #222705=EDGE_CURVE('',#177157,#177158,#105593,.T.); #222706=EDGE_CURVE('',#177158,#177159,#105594,.T.); #222707=EDGE_CURVE('',#177159,#177160,#105595,.T.); #222708=EDGE_CURVE('',#177157,#177160,#105596,.T.); #222709=EDGE_CURVE('',#177160,#177153,#105597,.T.); #222710=EDGE_CURVE('',#177156,#177157,#105598,.T.); #222711=EDGE_CURVE('',#177096,#177044,#105599,.T.); #222712=EDGE_CURVE('',#177161,#177033,#105600,.T.); #222713=EDGE_CURVE('',#177162,#177161,#105601,.T.); #222714=EDGE_CURVE('',#177162,#177050,#105602,.T.); #222715=EDGE_CURVE('',#177097,#177051,#105603,.T.); #222716=EDGE_CURVE('',#177098,#177063,#105604,.T.); #222717=EDGE_CURVE('',#177055,#177158,#105605,.T.); #222718=EDGE_CURVE('',#177159,#177054,#105606,.T.); #222719=EDGE_CURVE('',#177154,#177162,#105607,.T.); #222720=EDGE_CURVE('',#177163,#177032,#105608,.T.); #222721=EDGE_CURVE('',#177161,#177163,#105609,.T.); #222722=EDGE_CURVE('',#177164,#177032,#105610,.T.); #222723=EDGE_CURVE('',#177164,#177165,#105611,.T.); #222724=EDGE_CURVE('',#177165,#177039,#105612,.T.); #222725=EDGE_CURVE('',#177166,#177039,#105613,.T.); #222726=EDGE_CURVE('',#177163,#177166,#105614,.T.); #222727=EDGE_CURVE('',#177166,#177152,#105615,.T.); #222728=EDGE_CURVE('',#177151,#177155,#105616,.T.); #222729=EDGE_CURVE('',#177167,#177167,#70557,.T.); #222730=EDGE_CURVE('',#177168,#177168,#70558,.T.); #222731=EDGE_CURVE('',#177168,#177169,#105617,.T.); #222732=EDGE_CURVE('',#177169,#177169,#70559,.T.); #222733=EDGE_CURVE('',#177170,#177170,#70560,.F.); #222734=EDGE_CURVE('',#177167,#177171,#105618,.T.); #222735=EDGE_CURVE('',#177171,#177171,#70561,.F.); #222736=EDGE_CURVE('',#177172,#177172,#70562,.T.); #222737=EDGE_CURVE('',#177171,#177172,#105619,.T.); #222738=EDGE_CURVE('',#177169,#177170,#105620,.T.); #222739=EDGE_CURVE('',#177173,#176832,#105621,.T.); #222740=EDGE_CURVE('',#176878,#177173,#105622,.T.); #222741=EDGE_CURVE('',#177174,#176867,#63531,.T.); #222742=EDGE_CURVE('',#177174,#177175,#70563,.F.); #222743=EDGE_CURVE('',#177176,#177175,#63532,.T.); #222744=EDGE_CURVE('',#176847,#177176,#70564,.F.); #222745=EDGE_CURVE('',#176868,#177092,#105623,.T.); #222746=EDGE_CURVE('',#177029,#177164,#105624,.T.); #222747=EDGE_CURVE('',#177165,#177028,#105625,.T.); #222748=EDGE_CURVE('',#176982,#176978,#105626,.T.); #222749=EDGE_CURVE('',#176990,#176984,#105627,.T.); #222750=EDGE_CURVE('',#176998,#176992,#105628,.T.); #222751=EDGE_CURVE('',#177006,#177000,#105629,.T.); #222752=EDGE_CURVE('',#177014,#177008,#105630,.T.); #222753=EDGE_CURVE('',#176972,#177016,#105631,.T.); #222754=EDGE_CURVE('',#176964,#176970,#105632,.T.); #222755=EDGE_CURVE('',#176956,#176962,#105633,.T.); #222756=EDGE_CURVE('',#176948,#176954,#105634,.T.); #222757=EDGE_CURVE('',#176940,#176946,#105635,.T.); #222758=EDGE_CURVE('',#176932,#176938,#105636,.T.); #222759=EDGE_CURVE('',#177022,#176930,#105637,.T.); #222760=EDGE_CURVE('',#177020,#176928,#105638,.T.); #222761=EDGE_CURVE('',#176974,#177017,#105639,.T.); #222762=EDGE_CURVE('',#177012,#177009,#105640,.T.); #222763=EDGE_CURVE('',#177004,#177001,#105641,.T.); #222764=EDGE_CURVE('',#176996,#176993,#105642,.T.); #222765=EDGE_CURVE('',#176988,#176985,#105643,.T.); #222766=EDGE_CURVE('',#176980,#176976,#105644,.T.); #222767=EDGE_CURVE('',#176966,#176968,#105645,.T.); #222768=EDGE_CURVE('',#176958,#176960,#105646,.T.); #222769=EDGE_CURVE('',#176950,#176952,#105647,.T.); #222770=EDGE_CURVE('',#176942,#176944,#105648,.T.); #222771=EDGE_CURVE('',#176934,#176936,#105649,.T.); #222772=EDGE_CURVE('',#177177,#177178,#105650,.T.); #222773=EDGE_CURVE('',#177178,#177179,#105651,.F.); #222774=EDGE_CURVE('',#177179,#176805,#105652,.T.); #222775=EDGE_CURVE('',#177180,#176804,#105653,.T.); #222776=EDGE_CURVE('',#177177,#177180,#105654,.T.); #222777=EDGE_CURVE('',#177181,#176803,#105655,.T.); #222778=EDGE_CURVE('',#177180,#177181,#105656,.T.); #222779=EDGE_CURVE('',#177173,#176802,#105657,.T.); #222780=EDGE_CURVE('',#177182,#176830,#105658,.T.); #222781=EDGE_CURVE('',#177182,#177183,#105659,.T.); #222782=EDGE_CURVE('',#177181,#177183,#105660,.T.); #222783=EDGE_CURVE('',#176846,#176809,#105661,.T.); #222784=EDGE_CURVE('',#176850,#176808,#105662,.T.); #222785=EDGE_CURVE('',#177184,#176848,#105663,.T.); #222786=EDGE_CURVE('',#176831,#177184,#105664,.T.); #222787=EDGE_CURVE('',#176851,#176807,#105665,.T.); #222788=EDGE_CURVE('',#176806,#177185,#105666,.T.); #222789=EDGE_CURVE('',#177185,#177186,#105667,.F.); #222790=EDGE_CURVE('',#176852,#177186,#105668,.T.); #222791=EDGE_CURVE('',#177186,#177178,#105669,.T.); #222792=EDGE_CURVE('',#177177,#177187,#105670,.T.); #222793=EDGE_CURVE('',#177188,#177187,#105671,.T.); #222794=EDGE_CURVE('',#177189,#177188,#105672,.T.); #222795=EDGE_CURVE('',#177189,#176853,#105673,.T.); #222796=EDGE_CURVE('',#177190,#177183,#105674,.T.); #222797=EDGE_CURVE('',#177191,#177190,#105675,.T.); #222798=EDGE_CURVE('',#177192,#177191,#105676,.T.); #222799=EDGE_CURVE('',#177193,#177192,#105677,.T.); #222800=EDGE_CURVE('',#177194,#177193,#105678,.T.); #222801=EDGE_CURVE('',#177195,#177194,#105679,.T.); #222802=EDGE_CURVE('',#177196,#177195,#105680,.T.); #222803=EDGE_CURVE('',#177197,#177196,#105681,.T.); #222804=EDGE_CURVE('',#177198,#177197,#105682,.T.); #222805=EDGE_CURVE('',#177199,#177198,#105683,.T.); #222806=EDGE_CURVE('',#177200,#177199,#105684,.T.); #222807=EDGE_CURVE('',#177201,#177200,#105685,.T.); #222808=EDGE_CURVE('',#177202,#177201,#105686,.T.); #222809=EDGE_CURVE('',#177203,#177202,#105687,.T.); #222810=EDGE_CURVE('',#177187,#177203,#105688,.T.); #222811=EDGE_CURVE('',#176875,#177204,#105689,.T.); #222812=EDGE_CURVE('',#177204,#176799,#105690,.T.); #222813=EDGE_CURVE('',#177204,#177205,#105691,.T.); #222814=EDGE_CURVE('',#177205,#176800,#105692,.T.); #222815=EDGE_CURVE('',#176801,#176879,#105693,.T.); #222816=EDGE_CURVE('',#177205,#176876,#105694,.T.); #222817=EDGE_CURVE('',#177206,#176796,#105695,.T.); #222818=EDGE_CURVE('',#177174,#177206,#105696,.T.); #222819=EDGE_CURVE('',#177207,#176794,#105697,.T.); #222820=EDGE_CURVE('',#177206,#177207,#105698,.T.); #222821=EDGE_CURVE('',#176795,#177176,#105699,.T.); #222822=EDGE_CURVE('',#177207,#177175,#105700,.T.); #222823=EDGE_CURVE('',#177208,#176849,#105701,.T.); #222824=EDGE_CURVE('',#177184,#177208,#105702,.T.); #222825=EDGE_CURVE('',#177209,#176866,#105703,.T.); #222826=EDGE_CURVE('',#177208,#177209,#105704,.T.); #222827=EDGE_CURVE('',#177210,#176865,#105705,.T.); #222828=EDGE_CURVE('',#177209,#177210,#105706,.T.); #222829=EDGE_CURVE('',#177211,#176864,#105707,.T.); #222830=EDGE_CURVE('',#177210,#177211,#105708,.T.); #222831=EDGE_CURVE('',#177212,#176863,#105709,.T.); #222832=EDGE_CURVE('',#177211,#177212,#105710,.T.); #222833=EDGE_CURVE('',#177213,#176862,#105711,.T.); #222834=EDGE_CURVE('',#177212,#177213,#105712,.T.); #222835=EDGE_CURVE('',#177214,#176861,#105713,.T.); #222836=EDGE_CURVE('',#177213,#177214,#105714,.T.); #222837=EDGE_CURVE('',#177215,#176860,#105715,.T.); #222838=EDGE_CURVE('',#177214,#177215,#105716,.T.); #222839=EDGE_CURVE('',#177216,#176859,#105717,.T.); #222840=EDGE_CURVE('',#177215,#177216,#105718,.T.); #222841=EDGE_CURVE('',#177217,#176858,#105719,.T.); #222842=EDGE_CURVE('',#177216,#177217,#105720,.T.); #222843=EDGE_CURVE('',#177218,#176857,#105721,.T.); #222844=EDGE_CURVE('',#177217,#177218,#105722,.T.); #222845=EDGE_CURVE('',#177219,#176856,#105723,.T.); #222846=EDGE_CURVE('',#177218,#177219,#105724,.T.); #222847=EDGE_CURVE('',#177220,#176855,#105725,.T.); #222848=EDGE_CURVE('',#177219,#177220,#105726,.T.); #222849=EDGE_CURVE('',#177221,#176854,#105727,.T.); #222850=EDGE_CURVE('',#177220,#177221,#105728,.T.); #222851=EDGE_CURVE('',#177221,#177189,#105729,.T.); #222852=EDGE_CURVE('',#177222,#177203,#105730,.T.); #222853=EDGE_CURVE('',#177188,#177222,#105731,.T.); #222854=EDGE_CURVE('',#177223,#177202,#105732,.T.); #222855=EDGE_CURVE('',#177222,#177223,#105733,.T.); #222856=EDGE_CURVE('',#177224,#177201,#105734,.T.); #222857=EDGE_CURVE('',#177223,#177224,#105735,.T.); #222858=EDGE_CURVE('',#177225,#177200,#105736,.T.); #222859=EDGE_CURVE('',#177224,#177225,#105737,.T.); #222860=EDGE_CURVE('',#177226,#177199,#105738,.T.); #222861=EDGE_CURVE('',#177225,#177226,#105739,.T.); #222862=EDGE_CURVE('',#177227,#177198,#105740,.T.); #222863=EDGE_CURVE('',#177226,#177227,#105741,.T.); #222864=EDGE_CURVE('',#177228,#177197,#105742,.T.); #222865=EDGE_CURVE('',#177227,#177228,#105743,.T.); #222866=EDGE_CURVE('',#177229,#177196,#105744,.T.); #222867=EDGE_CURVE('',#177228,#177229,#105745,.T.); #222868=EDGE_CURVE('',#177230,#177195,#105746,.T.); #222869=EDGE_CURVE('',#177229,#177230,#105747,.T.); #222870=EDGE_CURVE('',#177231,#177194,#105748,.T.); #222871=EDGE_CURVE('',#177230,#177231,#105749,.T.); #222872=EDGE_CURVE('',#177232,#177193,#105750,.T.); #222873=EDGE_CURVE('',#177231,#177232,#105751,.T.); #222874=EDGE_CURVE('',#177233,#177192,#105752,.T.); #222875=EDGE_CURVE('',#177232,#177233,#105753,.T.); #222876=EDGE_CURVE('',#177234,#177191,#105754,.T.); #222877=EDGE_CURVE('',#177233,#177234,#105755,.T.); #222878=EDGE_CURVE('',#177235,#177190,#105756,.T.); #222879=EDGE_CURVE('',#177234,#177235,#105757,.T.); #222880=EDGE_CURVE('',#177235,#177182,#105758,.T.); #222881=EDGE_CURVE('',#176815,#176822,#105759,.T.); #222882=EDGE_CURVE('',#176821,#176824,#105760,.T.); #222883=EDGE_CURVE('',#177102,#177109,#105761,.T.); #222884=EDGE_CURVE('',#177103,#177108,#105762,.T.); #222885=EDGE_CURVE('',#177179,#177185,#105763,.T.); #222886=EDGE_CURVE('',#177236,#177237,#105764,.T.); #222887=EDGE_CURVE('',#177238,#177237,#105765,.T.); #222888=EDGE_CURVE('',#177239,#177238,#105766,.T.); #222889=EDGE_CURVE('',#177239,#177236,#105767,.T.); #222890=EDGE_CURVE('',#177237,#177240,#105768,.T.); #222891=EDGE_CURVE('',#177240,#177241,#70565,.T.); #222892=EDGE_CURVE('',#177241,#177242,#105769,.F.); #222893=EDGE_CURVE('',#177242,#177243,#70566,.F.); #222894=EDGE_CURVE('',#177243,#177244,#105770,.F.); #222895=EDGE_CURVE('',#177244,#177245,#105771,.T.); #222896=EDGE_CURVE('',#177246,#177245,#105772,.T.); #222897=EDGE_CURVE('',#177247,#177246,#70567,.T.); #222898=EDGE_CURVE('',#177248,#177247,#105773,.T.); #222899=EDGE_CURVE('',#177249,#177248,#70568,.T.); #222900=EDGE_CURVE('',#177238,#177249,#105774,.T.); #222901=EDGE_CURVE('',#177250,#177251,#105775,.T.); #222902=EDGE_CURVE('',#177252,#177251,#105776,.T.); #222903=EDGE_CURVE('',#177253,#177252,#105777,.T.); #222904=EDGE_CURVE('',#177253,#177254,#70569,.T.); #222905=EDGE_CURVE('',#177254,#177255,#105778,.T.); #222906=EDGE_CURVE('',#177255,#177256,#70570,.T.); #222907=EDGE_CURVE('',#177256,#177257,#105779,.T.); #222908=EDGE_CURVE('',#177258,#177257,#105780,.T.); #222909=EDGE_CURVE('',#177259,#177258,#105781,.F.); #222910=EDGE_CURVE('',#177260,#177259,#70571,.F.); #222911=EDGE_CURVE('',#177261,#177260,#105782,.F.); #222912=EDGE_CURVE('',#177250,#177261,#70572,.T.); #222913=EDGE_CURVE('',#177251,#177262,#105783,.T.); #222914=EDGE_CURVE('',#177263,#177262,#105784,.T.); #222915=EDGE_CURVE('',#177252,#177263,#105785,.T.); #222916=EDGE_CURVE('',#177264,#177265,#105786,.T.); #222917=EDGE_CURVE('',#177264,#177266,#105787,.T.); #222918=EDGE_CURVE('',#177263,#177266,#105788,.T.); #222919=EDGE_CURVE('',#177262,#177267,#105789,.T.); #222920=EDGE_CURVE('',#177267,#177265,#105790,.F.); #222921=EDGE_CURVE('',#177268,#177269,#105791,.T.); #222922=EDGE_CURVE('',#177268,#177264,#105792,.T.); #222923=EDGE_CURVE('',#177265,#177269,#105793,.T.); #222924=EDGE_CURVE('',#177270,#177239,#105794,.T.); #222925=EDGE_CURVE('',#177270,#177268,#105795,.T.); #222926=EDGE_CURVE('',#177269,#177271,#105796,.F.); #222927=EDGE_CURVE('',#177271,#177236,#105797,.T.); #222928=EDGE_CURVE('',#177266,#177270,#105798,.T.); #222929=EDGE_CURVE('',#177249,#177253,#105799,.T.); #222930=EDGE_CURVE('',#177271,#177267,#105800,.T.); #222931=EDGE_CURVE('',#177240,#177250,#105801,.T.); #222932=EDGE_CURVE('',#177258,#177244,#105802,.F.); #222933=EDGE_CURVE('',#177257,#177245,#105803,.T.); #222934=EDGE_CURVE('',#177254,#177248,#105804,.T.); #222935=EDGE_CURVE('',#177255,#177247,#105805,.T.); #222936=EDGE_CURVE('',#177256,#177246,#105806,.T.); #222937=EDGE_CURVE('',#177261,#177241,#105807,.F.); #222938=EDGE_CURVE('',#177260,#177242,#105808,.T.); #222939=EDGE_CURVE('',#177259,#177243,#105809,.F.); #222940=EDGE_CURVE('',#177272,#177273,#105810,.T.); #222941=EDGE_CURVE('',#177274,#177273,#105811,.T.); #222942=EDGE_CURVE('',#177275,#177274,#105812,.T.); #222943=EDGE_CURVE('',#177275,#177272,#105813,.T.); #222944=EDGE_CURVE('',#177273,#177276,#105814,.T.); #222945=EDGE_CURVE('',#177276,#177277,#70573,.T.); #222946=EDGE_CURVE('',#177277,#177278,#105815,.F.); #222947=EDGE_CURVE('',#177278,#177279,#70574,.F.); #222948=EDGE_CURVE('',#177279,#177280,#105816,.F.); #222949=EDGE_CURVE('',#177280,#177281,#105817,.T.); #222950=EDGE_CURVE('',#177282,#177281,#105818,.T.); #222951=EDGE_CURVE('',#177283,#177282,#70575,.T.); #222952=EDGE_CURVE('',#177284,#177283,#105819,.T.); #222953=EDGE_CURVE('',#177285,#177284,#70576,.T.); #222954=EDGE_CURVE('',#177274,#177285,#105820,.T.); #222955=EDGE_CURVE('',#177286,#177287,#105821,.T.); #222956=EDGE_CURVE('',#177288,#177287,#105822,.T.); #222957=EDGE_CURVE('',#177289,#177288,#105823,.T.); #222958=EDGE_CURVE('',#177289,#177290,#70577,.T.); #222959=EDGE_CURVE('',#177290,#177291,#105824,.T.); #222960=EDGE_CURVE('',#177291,#177292,#70578,.T.); #222961=EDGE_CURVE('',#177292,#177293,#105825,.T.); #222962=EDGE_CURVE('',#177294,#177293,#105826,.T.); #222963=EDGE_CURVE('',#177295,#177294,#105827,.F.); #222964=EDGE_CURVE('',#177296,#177295,#70579,.F.); #222965=EDGE_CURVE('',#177297,#177296,#105828,.F.); #222966=EDGE_CURVE('',#177286,#177297,#70580,.T.); #222967=EDGE_CURVE('',#177287,#177298,#105829,.T.); #222968=EDGE_CURVE('',#177299,#177298,#105830,.T.); #222969=EDGE_CURVE('',#177288,#177299,#105831,.T.); #222970=EDGE_CURVE('',#177300,#177301,#105832,.T.); #222971=EDGE_CURVE('',#177300,#177302,#105833,.T.); #222972=EDGE_CURVE('',#177299,#177302,#105834,.T.); #222973=EDGE_CURVE('',#177298,#177303,#105835,.T.); #222974=EDGE_CURVE('',#177303,#177301,#105836,.F.); #222975=EDGE_CURVE('',#177304,#177305,#105837,.T.); #222976=EDGE_CURVE('',#177304,#177300,#105838,.T.); #222977=EDGE_CURVE('',#177301,#177305,#105839,.T.); #222978=EDGE_CURVE('',#177306,#177275,#105840,.T.); #222979=EDGE_CURVE('',#177306,#177304,#105841,.T.); #222980=EDGE_CURVE('',#177305,#177307,#105842,.F.); #222981=EDGE_CURVE('',#177307,#177272,#105843,.T.); #222982=EDGE_CURVE('',#177302,#177306,#105844,.T.); #222983=EDGE_CURVE('',#177285,#177289,#105845,.T.); #222984=EDGE_CURVE('',#177307,#177303,#105846,.T.); #222985=EDGE_CURVE('',#177276,#177286,#105847,.T.); #222986=EDGE_CURVE('',#177294,#177280,#105848,.F.); #222987=EDGE_CURVE('',#177293,#177281,#105849,.T.); #222988=EDGE_CURVE('',#177290,#177284,#105850,.T.); #222989=EDGE_CURVE('',#177291,#177283,#105851,.T.); #222990=EDGE_CURVE('',#177292,#177282,#105852,.T.); #222991=EDGE_CURVE('',#177297,#177277,#105853,.F.); #222992=EDGE_CURVE('',#177296,#177278,#105854,.T.); #222993=EDGE_CURVE('',#177295,#177279,#105855,.F.); #222994=EDGE_CURVE('',#177308,#177309,#105856,.T.); #222995=EDGE_CURVE('',#177310,#177309,#105857,.T.); #222996=EDGE_CURVE('',#177311,#177310,#105858,.T.); #222997=EDGE_CURVE('',#177311,#177308,#105859,.T.); #222998=EDGE_CURVE('',#177309,#177312,#105860,.T.); #222999=EDGE_CURVE('',#177312,#177313,#70581,.T.); #223000=EDGE_CURVE('',#177313,#177314,#105861,.F.); #223001=EDGE_CURVE('',#177314,#177315,#70582,.F.); #223002=EDGE_CURVE('',#177315,#177316,#105862,.F.); #223003=EDGE_CURVE('',#177316,#177317,#105863,.T.); #223004=EDGE_CURVE('',#177318,#177317,#105864,.T.); #223005=EDGE_CURVE('',#177319,#177318,#70583,.T.); #223006=EDGE_CURVE('',#177320,#177319,#105865,.T.); #223007=EDGE_CURVE('',#177321,#177320,#70584,.T.); #223008=EDGE_CURVE('',#177310,#177321,#105866,.T.); #223009=EDGE_CURVE('',#177322,#177323,#105867,.T.); #223010=EDGE_CURVE('',#177324,#177323,#105868,.T.); #223011=EDGE_CURVE('',#177325,#177324,#105869,.T.); #223012=EDGE_CURVE('',#177325,#177326,#70585,.T.); #223013=EDGE_CURVE('',#177326,#177327,#105870,.T.); #223014=EDGE_CURVE('',#177327,#177328,#70586,.T.); #223015=EDGE_CURVE('',#177328,#177329,#105871,.T.); #223016=EDGE_CURVE('',#177330,#177329,#105872,.T.); #223017=EDGE_CURVE('',#177331,#177330,#105873,.F.); #223018=EDGE_CURVE('',#177332,#177331,#70587,.F.); #223019=EDGE_CURVE('',#177333,#177332,#105874,.F.); #223020=EDGE_CURVE('',#177322,#177333,#70588,.T.); #223021=EDGE_CURVE('',#177323,#177334,#105875,.T.); #223022=EDGE_CURVE('',#177335,#177334,#105876,.T.); #223023=EDGE_CURVE('',#177324,#177335,#105877,.T.); #223024=EDGE_CURVE('',#177336,#177337,#105878,.T.); #223025=EDGE_CURVE('',#177336,#177338,#105879,.T.); #223026=EDGE_CURVE('',#177335,#177338,#105880,.T.); #223027=EDGE_CURVE('',#177334,#177339,#105881,.T.); #223028=EDGE_CURVE('',#177339,#177337,#105882,.F.); #223029=EDGE_CURVE('',#177340,#177341,#105883,.T.); #223030=EDGE_CURVE('',#177340,#177336,#105884,.T.); #223031=EDGE_CURVE('',#177337,#177341,#105885,.T.); #223032=EDGE_CURVE('',#177342,#177311,#105886,.T.); #223033=EDGE_CURVE('',#177342,#177340,#105887,.T.); #223034=EDGE_CURVE('',#177341,#177343,#105888,.F.); #223035=EDGE_CURVE('',#177343,#177308,#105889,.T.); #223036=EDGE_CURVE('',#177338,#177342,#105890,.T.); #223037=EDGE_CURVE('',#177321,#177325,#105891,.T.); #223038=EDGE_CURVE('',#177343,#177339,#105892,.T.); #223039=EDGE_CURVE('',#177312,#177322,#105893,.T.); #223040=EDGE_CURVE('',#177330,#177316,#105894,.F.); #223041=EDGE_CURVE('',#177329,#177317,#105895,.T.); #223042=EDGE_CURVE('',#177326,#177320,#105896,.T.); #223043=EDGE_CURVE('',#177327,#177319,#105897,.T.); #223044=EDGE_CURVE('',#177328,#177318,#105898,.T.); #223045=EDGE_CURVE('',#177333,#177313,#105899,.F.); #223046=EDGE_CURVE('',#177332,#177314,#105900,.T.); #223047=EDGE_CURVE('',#177331,#177315,#105901,.F.); #223048=EDGE_CURVE('',#177344,#177345,#105902,.T.); #223049=EDGE_CURVE('',#177346,#177345,#105903,.T.); #223050=EDGE_CURVE('',#177347,#177346,#105904,.T.); #223051=EDGE_CURVE('',#177347,#177344,#105905,.T.); #223052=EDGE_CURVE('',#177345,#177348,#105906,.T.); #223053=EDGE_CURVE('',#177348,#177349,#70589,.T.); #223054=EDGE_CURVE('',#177349,#177350,#105907,.F.); #223055=EDGE_CURVE('',#177350,#177351,#70590,.F.); #223056=EDGE_CURVE('',#177351,#177352,#105908,.F.); #223057=EDGE_CURVE('',#177352,#177353,#105909,.T.); #223058=EDGE_CURVE('',#177354,#177353,#105910,.T.); #223059=EDGE_CURVE('',#177355,#177354,#70591,.T.); #223060=EDGE_CURVE('',#177356,#177355,#105911,.T.); #223061=EDGE_CURVE('',#177357,#177356,#70592,.T.); #223062=EDGE_CURVE('',#177346,#177357,#105912,.T.); #223063=EDGE_CURVE('',#177358,#177359,#105913,.T.); #223064=EDGE_CURVE('',#177360,#177359,#105914,.T.); #223065=EDGE_CURVE('',#177361,#177360,#105915,.T.); #223066=EDGE_CURVE('',#177361,#177362,#70593,.T.); #223067=EDGE_CURVE('',#177362,#177363,#105916,.T.); #223068=EDGE_CURVE('',#177363,#177364,#70594,.T.); #223069=EDGE_CURVE('',#177364,#177365,#105917,.T.); #223070=EDGE_CURVE('',#177366,#177365,#105918,.T.); #223071=EDGE_CURVE('',#177367,#177366,#105919,.F.); #223072=EDGE_CURVE('',#177368,#177367,#70595,.F.); #223073=EDGE_CURVE('',#177369,#177368,#105920,.F.); #223074=EDGE_CURVE('',#177358,#177369,#70596,.T.); #223075=EDGE_CURVE('',#177359,#177370,#105921,.T.); #223076=EDGE_CURVE('',#177371,#177370,#105922,.T.); #223077=EDGE_CURVE('',#177360,#177371,#105923,.T.); #223078=EDGE_CURVE('',#177372,#177373,#105924,.T.); #223079=EDGE_CURVE('',#177372,#177374,#105925,.T.); #223080=EDGE_CURVE('',#177371,#177374,#105926,.T.); #223081=EDGE_CURVE('',#177370,#177375,#105927,.T.); #223082=EDGE_CURVE('',#177375,#177373,#105928,.F.); #223083=EDGE_CURVE('',#177376,#177377,#105929,.T.); #223084=EDGE_CURVE('',#177376,#177372,#105930,.T.); #223085=EDGE_CURVE('',#177373,#177377,#105931,.T.); #223086=EDGE_CURVE('',#177378,#177347,#105932,.T.); #223087=EDGE_CURVE('',#177378,#177376,#105933,.T.); #223088=EDGE_CURVE('',#177377,#177379,#105934,.F.); #223089=EDGE_CURVE('',#177379,#177344,#105935,.T.); #223090=EDGE_CURVE('',#177374,#177378,#105936,.T.); #223091=EDGE_CURVE('',#177357,#177361,#105937,.T.); #223092=EDGE_CURVE('',#177379,#177375,#105938,.T.); #223093=EDGE_CURVE('',#177348,#177358,#105939,.T.); #223094=EDGE_CURVE('',#177366,#177352,#105940,.F.); #223095=EDGE_CURVE('',#177365,#177353,#105941,.T.); #223096=EDGE_CURVE('',#177362,#177356,#105942,.T.); #223097=EDGE_CURVE('',#177363,#177355,#105943,.T.); #223098=EDGE_CURVE('',#177364,#177354,#105944,.T.); #223099=EDGE_CURVE('',#177369,#177349,#105945,.F.); #223100=EDGE_CURVE('',#177368,#177350,#105946,.T.); #223101=EDGE_CURVE('',#177367,#177351,#105947,.F.); #223102=EDGE_CURVE('',#177380,#177381,#105948,.T.); #223103=EDGE_CURVE('',#177382,#177381,#105949,.T.); #223104=EDGE_CURVE('',#177383,#177382,#105950,.T.); #223105=EDGE_CURVE('',#177383,#177380,#105951,.T.); #223106=EDGE_CURVE('',#177381,#177384,#105952,.T.); #223107=EDGE_CURVE('',#177384,#177385,#70597,.T.); #223108=EDGE_CURVE('',#177385,#177386,#105953,.F.); #223109=EDGE_CURVE('',#177386,#177387,#70598,.F.); #223110=EDGE_CURVE('',#177387,#177388,#105954,.F.); #223111=EDGE_CURVE('',#177388,#177389,#105955,.T.); #223112=EDGE_CURVE('',#177390,#177389,#105956,.T.); #223113=EDGE_CURVE('',#177391,#177390,#70599,.T.); #223114=EDGE_CURVE('',#177392,#177391,#105957,.T.); #223115=EDGE_CURVE('',#177393,#177392,#70600,.T.); #223116=EDGE_CURVE('',#177382,#177393,#105958,.T.); #223117=EDGE_CURVE('',#177394,#177395,#105959,.T.); #223118=EDGE_CURVE('',#177396,#177395,#105960,.T.); #223119=EDGE_CURVE('',#177397,#177396,#105961,.T.); #223120=EDGE_CURVE('',#177397,#177398,#70601,.T.); #223121=EDGE_CURVE('',#177398,#177399,#105962,.T.); #223122=EDGE_CURVE('',#177399,#177400,#70602,.T.); #223123=EDGE_CURVE('',#177400,#177401,#105963,.T.); #223124=EDGE_CURVE('',#177402,#177401,#105964,.T.); #223125=EDGE_CURVE('',#177403,#177402,#105965,.F.); #223126=EDGE_CURVE('',#177404,#177403,#70603,.F.); #223127=EDGE_CURVE('',#177405,#177404,#105966,.F.); #223128=EDGE_CURVE('',#177394,#177405,#70604,.T.); #223129=EDGE_CURVE('',#177395,#177406,#105967,.T.); #223130=EDGE_CURVE('',#177407,#177406,#105968,.T.); #223131=EDGE_CURVE('',#177396,#177407,#105969,.T.); #223132=EDGE_CURVE('',#177408,#177409,#105970,.T.); #223133=EDGE_CURVE('',#177408,#177410,#105971,.T.); #223134=EDGE_CURVE('',#177407,#177410,#105972,.T.); #223135=EDGE_CURVE('',#177406,#177411,#105973,.T.); #223136=EDGE_CURVE('',#177411,#177409,#105974,.F.); #223137=EDGE_CURVE('',#177412,#177413,#105975,.T.); #223138=EDGE_CURVE('',#177412,#177408,#105976,.T.); #223139=EDGE_CURVE('',#177409,#177413,#105977,.T.); #223140=EDGE_CURVE('',#177414,#177383,#105978,.T.); #223141=EDGE_CURVE('',#177414,#177412,#105979,.T.); #223142=EDGE_CURVE('',#177413,#177415,#105980,.F.); #223143=EDGE_CURVE('',#177415,#177380,#105981,.T.); #223144=EDGE_CURVE('',#177410,#177414,#105982,.T.); #223145=EDGE_CURVE('',#177393,#177397,#105983,.T.); #223146=EDGE_CURVE('',#177415,#177411,#105984,.T.); #223147=EDGE_CURVE('',#177384,#177394,#105985,.T.); #223148=EDGE_CURVE('',#177402,#177388,#105986,.F.); #223149=EDGE_CURVE('',#177401,#177389,#105987,.T.); #223150=EDGE_CURVE('',#177398,#177392,#105988,.T.); #223151=EDGE_CURVE('',#177399,#177391,#105989,.T.); #223152=EDGE_CURVE('',#177400,#177390,#105990,.T.); #223153=EDGE_CURVE('',#177405,#177385,#105991,.F.); #223154=EDGE_CURVE('',#177404,#177386,#105992,.T.); #223155=EDGE_CURVE('',#177403,#177387,#105993,.F.); #223156=EDGE_CURVE('',#177416,#177417,#105994,.T.); #223157=EDGE_CURVE('',#177418,#177417,#105995,.T.); #223158=EDGE_CURVE('',#177419,#177418,#105996,.T.); #223159=EDGE_CURVE('',#177419,#177416,#105997,.T.); #223160=EDGE_CURVE('',#177417,#177420,#105998,.T.); #223161=EDGE_CURVE('',#177420,#177421,#70605,.T.); #223162=EDGE_CURVE('',#177421,#177422,#105999,.F.); #223163=EDGE_CURVE('',#177422,#177423,#70606,.F.); #223164=EDGE_CURVE('',#177423,#177424,#106000,.F.); #223165=EDGE_CURVE('',#177424,#177425,#106001,.T.); #223166=EDGE_CURVE('',#177426,#177425,#106002,.T.); #223167=EDGE_CURVE('',#177427,#177426,#70607,.T.); #223168=EDGE_CURVE('',#177428,#177427,#106003,.T.); #223169=EDGE_CURVE('',#177429,#177428,#70608,.T.); #223170=EDGE_CURVE('',#177418,#177429,#106004,.T.); #223171=EDGE_CURVE('',#177430,#177431,#106005,.T.); #223172=EDGE_CURVE('',#177432,#177431,#106006,.T.); #223173=EDGE_CURVE('',#177433,#177432,#106007,.T.); #223174=EDGE_CURVE('',#177433,#177434,#70609,.T.); #223175=EDGE_CURVE('',#177434,#177435,#106008,.T.); #223176=EDGE_CURVE('',#177435,#177436,#70610,.T.); #223177=EDGE_CURVE('',#177436,#177437,#106009,.T.); #223178=EDGE_CURVE('',#177438,#177437,#106010,.T.); #223179=EDGE_CURVE('',#177439,#177438,#106011,.F.); #223180=EDGE_CURVE('',#177440,#177439,#70611,.F.); #223181=EDGE_CURVE('',#177441,#177440,#106012,.F.); #223182=EDGE_CURVE('',#177430,#177441,#70612,.T.); #223183=EDGE_CURVE('',#177431,#177442,#106013,.T.); #223184=EDGE_CURVE('',#177443,#177442,#106014,.T.); #223185=EDGE_CURVE('',#177432,#177443,#106015,.T.); #223186=EDGE_CURVE('',#177444,#177445,#106016,.T.); #223187=EDGE_CURVE('',#177444,#177446,#106017,.T.); #223188=EDGE_CURVE('',#177443,#177446,#106018,.T.); #223189=EDGE_CURVE('',#177442,#177447,#106019,.T.); #223190=EDGE_CURVE('',#177447,#177445,#106020,.F.); #223191=EDGE_CURVE('',#177448,#177449,#106021,.T.); #223192=EDGE_CURVE('',#177448,#177444,#106022,.T.); #223193=EDGE_CURVE('',#177445,#177449,#106023,.T.); #223194=EDGE_CURVE('',#177450,#177419,#106024,.T.); #223195=EDGE_CURVE('',#177450,#177448,#106025,.T.); #223196=EDGE_CURVE('',#177449,#177451,#106026,.F.); #223197=EDGE_CURVE('',#177451,#177416,#106027,.T.); #223198=EDGE_CURVE('',#177446,#177450,#106028,.T.); #223199=EDGE_CURVE('',#177429,#177433,#106029,.T.); #223200=EDGE_CURVE('',#177451,#177447,#106030,.T.); #223201=EDGE_CURVE('',#177420,#177430,#106031,.T.); #223202=EDGE_CURVE('',#177438,#177424,#106032,.F.); #223203=EDGE_CURVE('',#177437,#177425,#106033,.T.); #223204=EDGE_CURVE('',#177434,#177428,#106034,.T.); #223205=EDGE_CURVE('',#177435,#177427,#106035,.T.); #223206=EDGE_CURVE('',#177436,#177426,#106036,.T.); #223207=EDGE_CURVE('',#177441,#177421,#106037,.F.); #223208=EDGE_CURVE('',#177440,#177422,#106038,.T.); #223209=EDGE_CURVE('',#177439,#177423,#106039,.F.); #223210=EDGE_CURVE('',#177452,#177453,#106040,.T.); #223211=EDGE_CURVE('',#177454,#177453,#106041,.T.); #223212=EDGE_CURVE('',#177455,#177454,#106042,.T.); #223213=EDGE_CURVE('',#177455,#177452,#106043,.T.); #223214=EDGE_CURVE('',#177453,#177456,#106044,.T.); #223215=EDGE_CURVE('',#177456,#177457,#70613,.T.); #223216=EDGE_CURVE('',#177457,#177458,#106045,.F.); #223217=EDGE_CURVE('',#177458,#177459,#70614,.F.); #223218=EDGE_CURVE('',#177459,#177460,#106046,.F.); #223219=EDGE_CURVE('',#177460,#177461,#106047,.T.); #223220=EDGE_CURVE('',#177462,#177461,#106048,.T.); #223221=EDGE_CURVE('',#177463,#177462,#70615,.T.); #223222=EDGE_CURVE('',#177464,#177463,#106049,.T.); #223223=EDGE_CURVE('',#177465,#177464,#70616,.T.); #223224=EDGE_CURVE('',#177454,#177465,#106050,.T.); #223225=EDGE_CURVE('',#177466,#177467,#106051,.T.); #223226=EDGE_CURVE('',#177468,#177467,#106052,.T.); #223227=EDGE_CURVE('',#177469,#177468,#106053,.T.); #223228=EDGE_CURVE('',#177469,#177470,#70617,.T.); #223229=EDGE_CURVE('',#177470,#177471,#106054,.T.); #223230=EDGE_CURVE('',#177471,#177472,#70618,.T.); #223231=EDGE_CURVE('',#177472,#177473,#106055,.T.); #223232=EDGE_CURVE('',#177474,#177473,#106056,.T.); #223233=EDGE_CURVE('',#177475,#177474,#106057,.F.); #223234=EDGE_CURVE('',#177476,#177475,#70619,.F.); #223235=EDGE_CURVE('',#177477,#177476,#106058,.F.); #223236=EDGE_CURVE('',#177466,#177477,#70620,.T.); #223237=EDGE_CURVE('',#177467,#177478,#106059,.T.); #223238=EDGE_CURVE('',#177479,#177478,#106060,.T.); #223239=EDGE_CURVE('',#177468,#177479,#106061,.T.); #223240=EDGE_CURVE('',#177480,#177481,#106062,.T.); #223241=EDGE_CURVE('',#177480,#177482,#106063,.T.); #223242=EDGE_CURVE('',#177479,#177482,#106064,.T.); #223243=EDGE_CURVE('',#177478,#177483,#106065,.T.); #223244=EDGE_CURVE('',#177483,#177481,#106066,.F.); #223245=EDGE_CURVE('',#177484,#177485,#106067,.T.); #223246=EDGE_CURVE('',#177484,#177480,#106068,.T.); #223247=EDGE_CURVE('',#177481,#177485,#106069,.T.); #223248=EDGE_CURVE('',#177486,#177455,#106070,.T.); #223249=EDGE_CURVE('',#177486,#177484,#106071,.T.); #223250=EDGE_CURVE('',#177485,#177487,#106072,.F.); #223251=EDGE_CURVE('',#177487,#177452,#106073,.T.); #223252=EDGE_CURVE('',#177482,#177486,#106074,.T.); #223253=EDGE_CURVE('',#177465,#177469,#106075,.T.); #223254=EDGE_CURVE('',#177487,#177483,#106076,.T.); #223255=EDGE_CURVE('',#177456,#177466,#106077,.T.); #223256=EDGE_CURVE('',#177474,#177460,#106078,.F.); #223257=EDGE_CURVE('',#177473,#177461,#106079,.T.); #223258=EDGE_CURVE('',#177470,#177464,#106080,.T.); #223259=EDGE_CURVE('',#177471,#177463,#106081,.T.); #223260=EDGE_CURVE('',#177472,#177462,#106082,.T.); #223261=EDGE_CURVE('',#177477,#177457,#106083,.F.); #223262=EDGE_CURVE('',#177476,#177458,#106084,.T.); #223263=EDGE_CURVE('',#177475,#177459,#106085,.F.); #223264=EDGE_CURVE('',#177488,#177489,#106086,.T.); #223265=EDGE_CURVE('',#177490,#177488,#106087,.T.); #223266=EDGE_CURVE('',#177490,#177491,#106088,.T.); #223267=EDGE_CURVE('',#177491,#177489,#106089,.T.); #223268=EDGE_CURVE('',#177489,#177492,#106090,.T.); #223269=EDGE_CURVE('',#177491,#177493,#106091,.T.); #223270=EDGE_CURVE('',#177493,#177494,#70621,.T.); #223271=EDGE_CURVE('',#177494,#177495,#106092,.T.); #223272=EDGE_CURVE('',#177495,#177496,#70622,.T.); #223273=EDGE_CURVE('',#177496,#177497,#106093,.T.); #223274=EDGE_CURVE('',#177498,#177497,#106094,.T.); #223275=EDGE_CURVE('',#177499,#177498,#106095,.F.); #223276=EDGE_CURVE('',#177500,#177499,#70623,.F.); #223277=EDGE_CURVE('',#177501,#177500,#106096,.F.); #223278=EDGE_CURVE('',#177492,#177501,#70624,.T.); #223279=EDGE_CURVE('',#177502,#177503,#106097,.T.); #223280=EDGE_CURVE('',#177502,#177504,#70625,.T.); #223281=EDGE_CURVE('',#177504,#177505,#106098,.F.); #223282=EDGE_CURVE('',#177505,#177506,#70626,.F.); #223283=EDGE_CURVE('',#177506,#177507,#106099,.F.); #223284=EDGE_CURVE('',#177507,#177508,#106100,.T.); #223285=EDGE_CURVE('',#177509,#177508,#106101,.T.); #223286=EDGE_CURVE('',#177510,#177509,#70627,.T.); #223287=EDGE_CURVE('',#177511,#177510,#106102,.T.); #223288=EDGE_CURVE('',#177512,#177511,#70628,.T.); #223289=EDGE_CURVE('',#177512,#177513,#106103,.T.); #223290=EDGE_CURVE('',#177513,#177503,#106104,.T.); #223291=EDGE_CURVE('',#177503,#177514,#106105,.T.); #223292=EDGE_CURVE('',#177513,#177515,#106106,.T.); #223293=EDGE_CURVE('',#177515,#177514,#106107,.T.); #223294=EDGE_CURVE('',#177516,#177517,#106108,.T.); #223295=EDGE_CURVE('',#177518,#177517,#106109,.F.); #223296=EDGE_CURVE('',#177514,#177518,#106110,.T.); #223297=EDGE_CURVE('',#177515,#177519,#106111,.T.); #223298=EDGE_CURVE('',#177516,#177519,#106112,.T.); #223299=EDGE_CURVE('',#177520,#177521,#106113,.T.); #223300=EDGE_CURVE('',#177517,#177521,#106114,.T.); #223301=EDGE_CURVE('',#177520,#177516,#106115,.T.); #223302=EDGE_CURVE('',#177522,#177490,#106116,.T.); #223303=EDGE_CURVE('',#177523,#177488,#106117,.T.); #223304=EDGE_CURVE('',#177521,#177523,#106118,.F.); #223305=EDGE_CURVE('',#177522,#177520,#106119,.T.); #223306=EDGE_CURVE('',#177493,#177512,#106120,.T.); #223307=EDGE_CURVE('',#177519,#177522,#106121,.T.); #223308=EDGE_CURVE('',#177492,#177502,#106122,.T.); #223309=EDGE_CURVE('',#177523,#177518,#106123,.T.); #223310=EDGE_CURVE('',#177508,#177497,#106124,.T.); #223311=EDGE_CURVE('',#177507,#177498,#106125,.F.); #223312=EDGE_CURVE('',#177511,#177494,#106126,.T.); #223313=EDGE_CURVE('',#177510,#177495,#106127,.T.); #223314=EDGE_CURVE('',#177509,#177496,#106128,.T.); #223315=EDGE_CURVE('',#177504,#177501,#106129,.F.); #223316=EDGE_CURVE('',#177505,#177500,#106130,.T.); #223317=EDGE_CURVE('',#177506,#177499,#106131,.F.); #223318=EDGE_CURVE('',#177524,#177525,#106132,.T.); #223319=EDGE_CURVE('',#177526,#177524,#106133,.T.); #223320=EDGE_CURVE('',#177526,#177527,#106134,.T.); #223321=EDGE_CURVE('',#177527,#177525,#106135,.T.); #223322=EDGE_CURVE('',#177525,#177528,#106136,.T.); #223323=EDGE_CURVE('',#177527,#177529,#106137,.T.); #223324=EDGE_CURVE('',#177529,#177530,#70629,.T.); #223325=EDGE_CURVE('',#177530,#177531,#106138,.T.); #223326=EDGE_CURVE('',#177531,#177532,#70630,.T.); #223327=EDGE_CURVE('',#177532,#177533,#106139,.T.); #223328=EDGE_CURVE('',#177534,#177533,#106140,.T.); #223329=EDGE_CURVE('',#177535,#177534,#106141,.F.); #223330=EDGE_CURVE('',#177536,#177535,#70631,.F.); #223331=EDGE_CURVE('',#177537,#177536,#106142,.F.); #223332=EDGE_CURVE('',#177528,#177537,#70632,.T.); #223333=EDGE_CURVE('',#177538,#177539,#106143,.T.); #223334=EDGE_CURVE('',#177538,#177540,#70633,.T.); #223335=EDGE_CURVE('',#177540,#177541,#106144,.F.); #223336=EDGE_CURVE('',#177541,#177542,#70634,.F.); #223337=EDGE_CURVE('',#177542,#177543,#106145,.F.); #223338=EDGE_CURVE('',#177543,#177544,#106146,.T.); #223339=EDGE_CURVE('',#177545,#177544,#106147,.T.); #223340=EDGE_CURVE('',#177546,#177545,#70635,.T.); #223341=EDGE_CURVE('',#177547,#177546,#106148,.T.); #223342=EDGE_CURVE('',#177548,#177547,#70636,.T.); #223343=EDGE_CURVE('',#177548,#177549,#106149,.T.); #223344=EDGE_CURVE('',#177549,#177539,#106150,.T.); #223345=EDGE_CURVE('',#177539,#177550,#106151,.T.); #223346=EDGE_CURVE('',#177549,#177551,#106152,.T.); #223347=EDGE_CURVE('',#177551,#177550,#106153,.T.); #223348=EDGE_CURVE('',#177552,#177553,#106154,.T.); #223349=EDGE_CURVE('',#177554,#177553,#106155,.F.); #223350=EDGE_CURVE('',#177550,#177554,#106156,.T.); #223351=EDGE_CURVE('',#177551,#177555,#106157,.T.); #223352=EDGE_CURVE('',#177552,#177555,#106158,.T.); #223353=EDGE_CURVE('',#177556,#177557,#106159,.T.); #223354=EDGE_CURVE('',#177553,#177557,#106160,.T.); #223355=EDGE_CURVE('',#177556,#177552,#106161,.T.); #223356=EDGE_CURVE('',#177558,#177526,#106162,.T.); #223357=EDGE_CURVE('',#177559,#177524,#106163,.T.); #223358=EDGE_CURVE('',#177557,#177559,#106164,.F.); #223359=EDGE_CURVE('',#177558,#177556,#106165,.T.); #223360=EDGE_CURVE('',#177529,#177548,#106166,.T.); #223361=EDGE_CURVE('',#177555,#177558,#106167,.T.); #223362=EDGE_CURVE('',#177528,#177538,#106168,.T.); #223363=EDGE_CURVE('',#177559,#177554,#106169,.T.); #223364=EDGE_CURVE('',#177544,#177533,#106170,.T.); #223365=EDGE_CURVE('',#177543,#177534,#106171,.F.); #223366=EDGE_CURVE('',#177547,#177530,#106172,.T.); #223367=EDGE_CURVE('',#177546,#177531,#106173,.T.); #223368=EDGE_CURVE('',#177545,#177532,#106174,.T.); #223369=EDGE_CURVE('',#177540,#177537,#106175,.F.); #223370=EDGE_CURVE('',#177541,#177536,#106176,.T.); #223371=EDGE_CURVE('',#177542,#177535,#106177,.F.); #223372=EDGE_CURVE('',#177560,#177561,#106178,.T.); #223373=EDGE_CURVE('',#177562,#177560,#106179,.T.); #223374=EDGE_CURVE('',#177562,#177563,#106180,.T.); #223375=EDGE_CURVE('',#177563,#177561,#106181,.T.); #223376=EDGE_CURVE('',#177561,#177564,#106182,.T.); #223377=EDGE_CURVE('',#177563,#177565,#106183,.T.); #223378=EDGE_CURVE('',#177565,#177566,#70637,.T.); #223379=EDGE_CURVE('',#177566,#177567,#106184,.T.); #223380=EDGE_CURVE('',#177567,#177568,#70638,.T.); #223381=EDGE_CURVE('',#177568,#177569,#106185,.T.); #223382=EDGE_CURVE('',#177570,#177569,#106186,.T.); #223383=EDGE_CURVE('',#177571,#177570,#106187,.F.); #223384=EDGE_CURVE('',#177572,#177571,#70639,.F.); #223385=EDGE_CURVE('',#177573,#177572,#106188,.F.); #223386=EDGE_CURVE('',#177564,#177573,#70640,.T.); #223387=EDGE_CURVE('',#177574,#177575,#106189,.T.); #223388=EDGE_CURVE('',#177574,#177576,#70641,.T.); #223389=EDGE_CURVE('',#177576,#177577,#106190,.F.); #223390=EDGE_CURVE('',#177577,#177578,#70642,.F.); #223391=EDGE_CURVE('',#177578,#177579,#106191,.F.); #223392=EDGE_CURVE('',#177579,#177580,#106192,.T.); #223393=EDGE_CURVE('',#177581,#177580,#106193,.T.); #223394=EDGE_CURVE('',#177582,#177581,#70643,.T.); #223395=EDGE_CURVE('',#177583,#177582,#106194,.T.); #223396=EDGE_CURVE('',#177584,#177583,#70644,.T.); #223397=EDGE_CURVE('',#177584,#177585,#106195,.T.); #223398=EDGE_CURVE('',#177585,#177575,#106196,.T.); #223399=EDGE_CURVE('',#177575,#177586,#106197,.T.); #223400=EDGE_CURVE('',#177585,#177587,#106198,.T.); #223401=EDGE_CURVE('',#177587,#177586,#106199,.T.); #223402=EDGE_CURVE('',#177588,#177589,#106200,.T.); #223403=EDGE_CURVE('',#177590,#177589,#106201,.F.); #223404=EDGE_CURVE('',#177586,#177590,#106202,.T.); #223405=EDGE_CURVE('',#177587,#177591,#106203,.T.); #223406=EDGE_CURVE('',#177588,#177591,#106204,.T.); #223407=EDGE_CURVE('',#177592,#177593,#106205,.T.); #223408=EDGE_CURVE('',#177589,#177593,#106206,.T.); #223409=EDGE_CURVE('',#177592,#177588,#106207,.T.); #223410=EDGE_CURVE('',#177594,#177562,#106208,.T.); #223411=EDGE_CURVE('',#177595,#177560,#106209,.T.); #223412=EDGE_CURVE('',#177593,#177595,#106210,.F.); #223413=EDGE_CURVE('',#177594,#177592,#106211,.T.); #223414=EDGE_CURVE('',#177565,#177584,#106212,.T.); #223415=EDGE_CURVE('',#177591,#177594,#106213,.T.); #223416=EDGE_CURVE('',#177564,#177574,#106214,.T.); #223417=EDGE_CURVE('',#177595,#177590,#106215,.T.); #223418=EDGE_CURVE('',#177580,#177569,#106216,.T.); #223419=EDGE_CURVE('',#177579,#177570,#106217,.F.); #223420=EDGE_CURVE('',#177583,#177566,#106218,.T.); #223421=EDGE_CURVE('',#177582,#177567,#106219,.T.); #223422=EDGE_CURVE('',#177581,#177568,#106220,.T.); #223423=EDGE_CURVE('',#177576,#177573,#106221,.F.); #223424=EDGE_CURVE('',#177577,#177572,#106222,.T.); #223425=EDGE_CURVE('',#177578,#177571,#106223,.F.); #223426=EDGE_CURVE('',#177596,#177597,#106224,.T.); #223427=EDGE_CURVE('',#177598,#177596,#106225,.T.); #223428=EDGE_CURVE('',#177598,#177599,#106226,.T.); #223429=EDGE_CURVE('',#177599,#177597,#106227,.T.); #223430=EDGE_CURVE('',#177597,#177600,#106228,.T.); #223431=EDGE_CURVE('',#177599,#177601,#106229,.T.); #223432=EDGE_CURVE('',#177601,#177602,#70645,.T.); #223433=EDGE_CURVE('',#177602,#177603,#106230,.T.); #223434=EDGE_CURVE('',#177603,#177604,#70646,.T.); #223435=EDGE_CURVE('',#177604,#177605,#106231,.T.); #223436=EDGE_CURVE('',#177606,#177605,#106232,.T.); #223437=EDGE_CURVE('',#177607,#177606,#106233,.F.); #223438=EDGE_CURVE('',#177608,#177607,#70647,.F.); #223439=EDGE_CURVE('',#177609,#177608,#106234,.F.); #223440=EDGE_CURVE('',#177600,#177609,#70648,.T.); #223441=EDGE_CURVE('',#177610,#177611,#106235,.T.); #223442=EDGE_CURVE('',#177610,#177612,#70649,.T.); #223443=EDGE_CURVE('',#177612,#177613,#106236,.F.); #223444=EDGE_CURVE('',#177613,#177614,#70650,.F.); #223445=EDGE_CURVE('',#177614,#177615,#106237,.F.); #223446=EDGE_CURVE('',#177615,#177616,#106238,.T.); #223447=EDGE_CURVE('',#177617,#177616,#106239,.T.); #223448=EDGE_CURVE('',#177618,#177617,#70651,.T.); #223449=EDGE_CURVE('',#177619,#177618,#106240,.T.); #223450=EDGE_CURVE('',#177620,#177619,#70652,.T.); #223451=EDGE_CURVE('',#177620,#177621,#106241,.T.); #223452=EDGE_CURVE('',#177621,#177611,#106242,.T.); #223453=EDGE_CURVE('',#177611,#177622,#106243,.T.); #223454=EDGE_CURVE('',#177621,#177623,#106244,.T.); #223455=EDGE_CURVE('',#177623,#177622,#106245,.T.); #223456=EDGE_CURVE('',#177624,#177625,#106246,.T.); #223457=EDGE_CURVE('',#177626,#177625,#106247,.F.); #223458=EDGE_CURVE('',#177622,#177626,#106248,.T.); #223459=EDGE_CURVE('',#177623,#177627,#106249,.T.); #223460=EDGE_CURVE('',#177624,#177627,#106250,.T.); #223461=EDGE_CURVE('',#177628,#177629,#106251,.T.); #223462=EDGE_CURVE('',#177625,#177629,#106252,.T.); #223463=EDGE_CURVE('',#177628,#177624,#106253,.T.); #223464=EDGE_CURVE('',#177630,#177598,#106254,.T.); #223465=EDGE_CURVE('',#177631,#177596,#106255,.T.); #223466=EDGE_CURVE('',#177629,#177631,#106256,.F.); #223467=EDGE_CURVE('',#177630,#177628,#106257,.T.); #223468=EDGE_CURVE('',#177601,#177620,#106258,.T.); #223469=EDGE_CURVE('',#177627,#177630,#106259,.T.); #223470=EDGE_CURVE('',#177600,#177610,#106260,.T.); #223471=EDGE_CURVE('',#177631,#177626,#106261,.T.); #223472=EDGE_CURVE('',#177616,#177605,#106262,.T.); #223473=EDGE_CURVE('',#177615,#177606,#106263,.F.); #223474=EDGE_CURVE('',#177619,#177602,#106264,.T.); #223475=EDGE_CURVE('',#177618,#177603,#106265,.T.); #223476=EDGE_CURVE('',#177617,#177604,#106266,.T.); #223477=EDGE_CURVE('',#177612,#177609,#106267,.F.); #223478=EDGE_CURVE('',#177613,#177608,#106268,.T.); #223479=EDGE_CURVE('',#177614,#177607,#106269,.F.); #223480=EDGE_CURVE('',#177632,#177633,#106270,.T.); #223481=EDGE_CURVE('',#177634,#177632,#106271,.T.); #223482=EDGE_CURVE('',#177634,#177635,#106272,.T.); #223483=EDGE_CURVE('',#177635,#177633,#106273,.T.); #223484=EDGE_CURVE('',#177633,#177636,#106274,.T.); #223485=EDGE_CURVE('',#177635,#177637,#106275,.T.); #223486=EDGE_CURVE('',#177637,#177638,#70653,.T.); #223487=EDGE_CURVE('',#177638,#177639,#106276,.T.); #223488=EDGE_CURVE('',#177639,#177640,#70654,.T.); #223489=EDGE_CURVE('',#177640,#177641,#106277,.T.); #223490=EDGE_CURVE('',#177642,#177641,#106278,.T.); #223491=EDGE_CURVE('',#177643,#177642,#106279,.F.); #223492=EDGE_CURVE('',#177644,#177643,#70655,.F.); #223493=EDGE_CURVE('',#177645,#177644,#106280,.F.); #223494=EDGE_CURVE('',#177636,#177645,#70656,.T.); #223495=EDGE_CURVE('',#177646,#177647,#106281,.T.); #223496=EDGE_CURVE('',#177646,#177648,#70657,.T.); #223497=EDGE_CURVE('',#177648,#177649,#106282,.F.); #223498=EDGE_CURVE('',#177649,#177650,#70658,.F.); #223499=EDGE_CURVE('',#177650,#177651,#106283,.F.); #223500=EDGE_CURVE('',#177651,#177652,#106284,.T.); #223501=EDGE_CURVE('',#177653,#177652,#106285,.T.); #223502=EDGE_CURVE('',#177654,#177653,#70659,.T.); #223503=EDGE_CURVE('',#177655,#177654,#106286,.T.); #223504=EDGE_CURVE('',#177656,#177655,#70660,.T.); #223505=EDGE_CURVE('',#177656,#177657,#106287,.T.); #223506=EDGE_CURVE('',#177657,#177647,#106288,.T.); #223507=EDGE_CURVE('',#177647,#177658,#106289,.T.); #223508=EDGE_CURVE('',#177657,#177659,#106290,.T.); #223509=EDGE_CURVE('',#177659,#177658,#106291,.T.); #223510=EDGE_CURVE('',#177660,#177661,#106292,.T.); #223511=EDGE_CURVE('',#177662,#177661,#106293,.F.); #223512=EDGE_CURVE('',#177658,#177662,#106294,.T.); #223513=EDGE_CURVE('',#177659,#177663,#106295,.T.); #223514=EDGE_CURVE('',#177660,#177663,#106296,.T.); #223515=EDGE_CURVE('',#177664,#177665,#106297,.T.); #223516=EDGE_CURVE('',#177661,#177665,#106298,.T.); #223517=EDGE_CURVE('',#177664,#177660,#106299,.T.); #223518=EDGE_CURVE('',#177666,#177634,#106300,.T.); #223519=EDGE_CURVE('',#177667,#177632,#106301,.T.); #223520=EDGE_CURVE('',#177665,#177667,#106302,.F.); #223521=EDGE_CURVE('',#177666,#177664,#106303,.T.); #223522=EDGE_CURVE('',#177637,#177656,#106304,.T.); #223523=EDGE_CURVE('',#177663,#177666,#106305,.T.); #223524=EDGE_CURVE('',#177636,#177646,#106306,.T.); #223525=EDGE_CURVE('',#177667,#177662,#106307,.T.); #223526=EDGE_CURVE('',#177652,#177641,#106308,.T.); #223527=EDGE_CURVE('',#177651,#177642,#106309,.F.); #223528=EDGE_CURVE('',#177655,#177638,#106310,.T.); #223529=EDGE_CURVE('',#177654,#177639,#106311,.T.); #223530=EDGE_CURVE('',#177653,#177640,#106312,.T.); #223531=EDGE_CURVE('',#177648,#177645,#106313,.F.); #223532=EDGE_CURVE('',#177649,#177644,#106314,.T.); #223533=EDGE_CURVE('',#177650,#177643,#106315,.F.); #223534=EDGE_CURVE('',#177668,#177669,#106316,.T.); #223535=EDGE_CURVE('',#177670,#177668,#106317,.T.); #223536=EDGE_CURVE('',#177670,#177671,#106318,.T.); #223537=EDGE_CURVE('',#177671,#177669,#106319,.T.); #223538=EDGE_CURVE('',#177669,#177672,#106320,.T.); #223539=EDGE_CURVE('',#177671,#177673,#106321,.T.); #223540=EDGE_CURVE('',#177673,#177674,#70661,.T.); #223541=EDGE_CURVE('',#177674,#177675,#106322,.T.); #223542=EDGE_CURVE('',#177675,#177676,#70662,.T.); #223543=EDGE_CURVE('',#177676,#177677,#106323,.T.); #223544=EDGE_CURVE('',#177678,#177677,#106324,.T.); #223545=EDGE_CURVE('',#177679,#177678,#106325,.F.); #223546=EDGE_CURVE('',#177680,#177679,#70663,.F.); #223547=EDGE_CURVE('',#177681,#177680,#106326,.F.); #223548=EDGE_CURVE('',#177672,#177681,#70664,.T.); #223549=EDGE_CURVE('',#177682,#177683,#106327,.T.); #223550=EDGE_CURVE('',#177682,#177684,#70665,.T.); #223551=EDGE_CURVE('',#177684,#177685,#106328,.F.); #223552=EDGE_CURVE('',#177685,#177686,#70666,.F.); #223553=EDGE_CURVE('',#177686,#177687,#106329,.F.); #223554=EDGE_CURVE('',#177687,#177688,#106330,.T.); #223555=EDGE_CURVE('',#177689,#177688,#106331,.T.); #223556=EDGE_CURVE('',#177690,#177689,#70667,.T.); #223557=EDGE_CURVE('',#177691,#177690,#106332,.T.); #223558=EDGE_CURVE('',#177692,#177691,#70668,.T.); #223559=EDGE_CURVE('',#177692,#177693,#106333,.T.); #223560=EDGE_CURVE('',#177693,#177683,#106334,.T.); #223561=EDGE_CURVE('',#177683,#177694,#106335,.T.); #223562=EDGE_CURVE('',#177693,#177695,#106336,.T.); #223563=EDGE_CURVE('',#177695,#177694,#106337,.T.); #223564=EDGE_CURVE('',#177696,#177697,#106338,.T.); #223565=EDGE_CURVE('',#177698,#177697,#106339,.F.); #223566=EDGE_CURVE('',#177694,#177698,#106340,.T.); #223567=EDGE_CURVE('',#177695,#177699,#106341,.T.); #223568=EDGE_CURVE('',#177696,#177699,#106342,.T.); #223569=EDGE_CURVE('',#177700,#177701,#106343,.T.); #223570=EDGE_CURVE('',#177697,#177701,#106344,.T.); #223571=EDGE_CURVE('',#177700,#177696,#106345,.T.); #223572=EDGE_CURVE('',#177702,#177670,#106346,.T.); #223573=EDGE_CURVE('',#177703,#177668,#106347,.T.); #223574=EDGE_CURVE('',#177701,#177703,#106348,.F.); #223575=EDGE_CURVE('',#177702,#177700,#106349,.T.); #223576=EDGE_CURVE('',#177673,#177692,#106350,.T.); #223577=EDGE_CURVE('',#177699,#177702,#106351,.T.); #223578=EDGE_CURVE('',#177672,#177682,#106352,.T.); #223579=EDGE_CURVE('',#177703,#177698,#106353,.T.); #223580=EDGE_CURVE('',#177688,#177677,#106354,.T.); #223581=EDGE_CURVE('',#177687,#177678,#106355,.F.); #223582=EDGE_CURVE('',#177691,#177674,#106356,.T.); #223583=EDGE_CURVE('',#177690,#177675,#106357,.T.); #223584=EDGE_CURVE('',#177689,#177676,#106358,.T.); #223585=EDGE_CURVE('',#177684,#177681,#106359,.F.); #223586=EDGE_CURVE('',#177685,#177680,#106360,.T.); #223587=EDGE_CURVE('',#177686,#177679,#106361,.F.); #223588=EDGE_CURVE('',#177704,#177705,#106362,.T.); #223589=EDGE_CURVE('',#177706,#177704,#106363,.T.); #223590=EDGE_CURVE('',#177706,#177707,#106364,.T.); #223591=EDGE_CURVE('',#177707,#177705,#106365,.T.); #223592=EDGE_CURVE('',#177705,#177708,#106366,.T.); #223593=EDGE_CURVE('',#177707,#177709,#106367,.T.); #223594=EDGE_CURVE('',#177709,#177710,#70669,.T.); #223595=EDGE_CURVE('',#177710,#177711,#106368,.T.); #223596=EDGE_CURVE('',#177711,#177712,#70670,.T.); #223597=EDGE_CURVE('',#177712,#177713,#106369,.T.); #223598=EDGE_CURVE('',#177714,#177713,#106370,.T.); #223599=EDGE_CURVE('',#177715,#177714,#106371,.F.); #223600=EDGE_CURVE('',#177716,#177715,#70671,.F.); #223601=EDGE_CURVE('',#177717,#177716,#106372,.F.); #223602=EDGE_CURVE('',#177708,#177717,#70672,.T.); #223603=EDGE_CURVE('',#177718,#177719,#106373,.T.); #223604=EDGE_CURVE('',#177718,#177720,#70673,.T.); #223605=EDGE_CURVE('',#177720,#177721,#106374,.F.); #223606=EDGE_CURVE('',#177721,#177722,#70674,.F.); #223607=EDGE_CURVE('',#177722,#177723,#106375,.F.); #223608=EDGE_CURVE('',#177723,#177724,#106376,.T.); #223609=EDGE_CURVE('',#177725,#177724,#106377,.T.); #223610=EDGE_CURVE('',#177726,#177725,#70675,.T.); #223611=EDGE_CURVE('',#177727,#177726,#106378,.T.); #223612=EDGE_CURVE('',#177728,#177727,#70676,.T.); #223613=EDGE_CURVE('',#177728,#177729,#106379,.T.); #223614=EDGE_CURVE('',#177729,#177719,#106380,.T.); #223615=EDGE_CURVE('',#177719,#177730,#106381,.T.); #223616=EDGE_CURVE('',#177729,#177731,#106382,.T.); #223617=EDGE_CURVE('',#177731,#177730,#106383,.T.); #223618=EDGE_CURVE('',#177732,#177733,#106384,.T.); #223619=EDGE_CURVE('',#177734,#177733,#106385,.F.); #223620=EDGE_CURVE('',#177730,#177734,#106386,.T.); #223621=EDGE_CURVE('',#177731,#177735,#106387,.T.); #223622=EDGE_CURVE('',#177732,#177735,#106388,.T.); #223623=EDGE_CURVE('',#177736,#177737,#106389,.T.); #223624=EDGE_CURVE('',#177733,#177737,#106390,.T.); #223625=EDGE_CURVE('',#177736,#177732,#106391,.T.); #223626=EDGE_CURVE('',#177738,#177706,#106392,.T.); #223627=EDGE_CURVE('',#177739,#177704,#106393,.T.); #223628=EDGE_CURVE('',#177737,#177739,#106394,.F.); #223629=EDGE_CURVE('',#177738,#177736,#106395,.T.); #223630=EDGE_CURVE('',#177709,#177728,#106396,.T.); #223631=EDGE_CURVE('',#177735,#177738,#106397,.T.); #223632=EDGE_CURVE('',#177708,#177718,#106398,.T.); #223633=EDGE_CURVE('',#177739,#177734,#106399,.T.); #223634=EDGE_CURVE('',#177724,#177713,#106400,.T.); #223635=EDGE_CURVE('',#177723,#177714,#106401,.F.); #223636=EDGE_CURVE('',#177727,#177710,#106402,.T.); #223637=EDGE_CURVE('',#177726,#177711,#106403,.T.); #223638=EDGE_CURVE('',#177725,#177712,#106404,.T.); #223639=EDGE_CURVE('',#177720,#177717,#106405,.F.); #223640=EDGE_CURVE('',#177721,#177716,#106406,.T.); #223641=EDGE_CURVE('',#177722,#177715,#106407,.F.); #223642=EDGE_CURVE('',#177740,#177741,#106408,.T.); #223643=EDGE_CURVE('',#177742,#177741,#106409,.T.); #223644=EDGE_CURVE('',#177743,#177742,#106410,.T.); #223645=EDGE_CURVE('',#177743,#177740,#106411,.T.); #223646=EDGE_CURVE('',#177741,#177744,#106412,.T.); #223647=EDGE_CURVE('',#177745,#177744,#106413,.T.); #223648=EDGE_CURVE('',#177742,#177745,#106414,.T.); #223649=EDGE_CURVE('',#177744,#177746,#106415,.T.); #223650=EDGE_CURVE('',#177747,#177746,#106416,.T.); #223651=EDGE_CURVE('',#177745,#177747,#106417,.T.); #223652=EDGE_CURVE('',#177746,#177748,#106418,.T.); #223653=EDGE_CURVE('',#177749,#177748,#106419,.T.); #223654=EDGE_CURVE('',#177747,#177749,#106420,.T.); #223655=EDGE_CURVE('',#177748,#177750,#70677,.T.); #223656=EDGE_CURVE('',#177751,#177750,#106421,.T.); #223657=EDGE_CURVE('',#177749,#177751,#70678,.T.); #223658=EDGE_CURVE('',#177750,#177752,#106422,.T.); #223659=EDGE_CURVE('',#177753,#177752,#106423,.T.); #223660=EDGE_CURVE('',#177751,#177753,#106424,.T.); #223661=EDGE_CURVE('',#177752,#177754,#106425,.T.); #223662=EDGE_CURVE('',#177755,#177754,#106426,.T.); #223663=EDGE_CURVE('',#177753,#177755,#106427,.T.); #223664=EDGE_CURVE('',#177754,#177756,#106428,.T.); #223665=EDGE_CURVE('',#177757,#177756,#106429,.T.); #223666=EDGE_CURVE('',#177755,#177757,#106430,.T.); #223667=EDGE_CURVE('',#177756,#177758,#70679,.T.); #223668=EDGE_CURVE('',#177759,#177758,#106431,.T.); #223669=EDGE_CURVE('',#177757,#177759,#70680,.T.); #223670=EDGE_CURVE('',#177758,#177760,#106432,.T.); #223671=EDGE_CURVE('',#177761,#177760,#106433,.T.); #223672=EDGE_CURVE('',#177759,#177761,#106434,.T.); #223673=EDGE_CURVE('',#177760,#177762,#70681,.T.); #223674=EDGE_CURVE('',#177763,#177762,#106435,.T.); #223675=EDGE_CURVE('',#177761,#177763,#70682,.T.); #223676=EDGE_CURVE('',#177762,#177764,#70683,.T.); #223677=EDGE_CURVE('',#177765,#177764,#106436,.T.); #223678=EDGE_CURVE('',#177763,#177765,#70684,.T.); #223679=EDGE_CURVE('',#177764,#177766,#106437,.T.); #223680=EDGE_CURVE('',#177767,#177766,#106438,.T.); #223681=EDGE_CURVE('',#177765,#177767,#106439,.T.); #223682=EDGE_CURVE('',#177766,#177768,#70685,.T.); #223683=EDGE_CURVE('',#177769,#177768,#106440,.T.); #223684=EDGE_CURVE('',#177767,#177769,#70686,.T.); #223685=EDGE_CURVE('',#177768,#177770,#106441,.T.); #223686=EDGE_CURVE('',#177771,#177770,#106442,.T.); #223687=EDGE_CURVE('',#177769,#177771,#106443,.T.); #223688=EDGE_CURVE('',#177770,#177772,#70687,.T.); #223689=EDGE_CURVE('',#177773,#177772,#106444,.T.); #223690=EDGE_CURVE('',#177771,#177773,#70688,.T.); #223691=EDGE_CURVE('',#177772,#177774,#106445,.T.); #223692=EDGE_CURVE('',#177775,#177774,#106446,.T.); #223693=EDGE_CURVE('',#177773,#177775,#106447,.T.); #223694=EDGE_CURVE('',#177774,#177776,#106448,.T.); #223695=EDGE_CURVE('',#177777,#177776,#106449,.T.); #223696=EDGE_CURVE('',#177775,#177777,#106450,.T.); #223697=EDGE_CURVE('',#177776,#177778,#106451,.T.); #223698=EDGE_CURVE('',#177779,#177778,#106452,.T.); #223699=EDGE_CURVE('',#177777,#177779,#106453,.T.); #223700=EDGE_CURVE('',#177778,#177780,#106454,.T.); #223701=EDGE_CURVE('',#177781,#177780,#106455,.T.); #223702=EDGE_CURVE('',#177779,#177781,#106456,.T.); #223703=EDGE_CURVE('',#177780,#177782,#106457,.T.); #223704=EDGE_CURVE('',#177783,#177782,#106458,.T.); #223705=EDGE_CURVE('',#177781,#177783,#106459,.T.); #223706=EDGE_CURVE('',#177782,#177784,#106460,.T.); #223707=EDGE_CURVE('',#177785,#177784,#106461,.T.); #223708=EDGE_CURVE('',#177783,#177785,#106462,.T.); #223709=EDGE_CURVE('',#177784,#177786,#106463,.T.); #223710=EDGE_CURVE('',#177787,#177786,#106464,.T.); #223711=EDGE_CURVE('',#177785,#177787,#106465,.T.); #223712=EDGE_CURVE('',#177786,#177788,#70689,.T.); #223713=EDGE_CURVE('',#177789,#177788,#106466,.T.); #223714=EDGE_CURVE('',#177787,#177789,#70690,.T.); #223715=EDGE_CURVE('',#177788,#177790,#106467,.T.); #223716=EDGE_CURVE('',#177791,#177790,#106468,.T.); #223717=EDGE_CURVE('',#177789,#177791,#106469,.T.); #223718=EDGE_CURVE('',#177790,#177792,#70691,.T.); #223719=EDGE_CURVE('',#177793,#177792,#106470,.T.); #223720=EDGE_CURVE('',#177791,#177793,#70692,.T.); #223721=EDGE_CURVE('',#177792,#177794,#106471,.T.); #223722=EDGE_CURVE('',#177795,#177794,#106472,.T.); #223723=EDGE_CURVE('',#177793,#177795,#106473,.T.); #223724=EDGE_CURVE('',#177794,#177796,#70693,.T.); #223725=EDGE_CURVE('',#177797,#177796,#106474,.T.); #223726=EDGE_CURVE('',#177795,#177797,#70694,.T.); #223727=EDGE_CURVE('',#177796,#177798,#70695,.T.); #223728=EDGE_CURVE('',#177799,#177798,#106475,.T.); #223729=EDGE_CURVE('',#177797,#177799,#70696,.T.); #223730=EDGE_CURVE('',#177798,#177800,#106476,.T.); #223731=EDGE_CURVE('',#177801,#177800,#106477,.T.); #223732=EDGE_CURVE('',#177799,#177801,#106478,.T.); #223733=EDGE_CURVE('',#177800,#177802,#70697,.T.); #223734=EDGE_CURVE('',#177803,#177802,#106479,.T.); #223735=EDGE_CURVE('',#177801,#177803,#70698,.T.); #223736=EDGE_CURVE('',#177802,#177804,#106480,.T.); #223737=EDGE_CURVE('',#177805,#177804,#106481,.T.); #223738=EDGE_CURVE('',#177803,#177805,#106482,.T.); #223739=EDGE_CURVE('',#177804,#177806,#106483,.T.); #223740=EDGE_CURVE('',#177807,#177806,#106484,.T.); #223741=EDGE_CURVE('',#177805,#177807,#106485,.T.); #223742=EDGE_CURVE('',#177806,#177808,#106486,.T.); #223743=EDGE_CURVE('',#177809,#177808,#106487,.T.); #223744=EDGE_CURVE('',#177807,#177809,#106488,.T.); #223745=EDGE_CURVE('',#177808,#177810,#70699,.T.); #223746=EDGE_CURVE('',#177811,#177810,#106489,.T.); #223747=EDGE_CURVE('',#177809,#177811,#70700,.T.); #223748=EDGE_CURVE('',#177810,#177740,#106490,.T.); #223749=EDGE_CURVE('',#177811,#177743,#106491,.T.); #223750=EDGE_CURVE('',#177812,#177813,#106492,.T.); #223751=EDGE_CURVE('',#177813,#177814,#106493,.T.); #223752=EDGE_CURVE('',#177815,#177814,#106494,.F.); #223753=EDGE_CURVE('',#177812,#177815,#106495,.F.); #223754=EDGE_CURVE('',#177816,#177817,#106496,.T.); #223755=EDGE_CURVE('',#177816,#177818,#106497,.T.); #223756=EDGE_CURVE('',#177818,#177819,#106498,.T.); #223757=EDGE_CURVE('',#177817,#177819,#106499,.F.); #223758=EDGE_CURVE('',#177820,#177821,#70701,.F.); #223759=EDGE_CURVE('',#177822,#177820,#106500,.T.); #223760=EDGE_CURVE('',#177823,#177822,#70702,.T.); #223761=EDGE_CURVE('',#177824,#177823,#106501,.T.); #223762=EDGE_CURVE('',#177825,#177824,#70703,.T.); #223763=EDGE_CURVE('',#177826,#177825,#106502,.T.); #223764=EDGE_CURVE('',#177827,#177826,#70704,.F.); #223765=EDGE_CURVE('',#177827,#177828,#106503,.T.); #223766=EDGE_CURVE('',#177828,#177829,#70705,.T.); #223767=EDGE_CURVE('',#177829,#177830,#106504,.T.); #223768=EDGE_CURVE('',#177830,#177831,#70706,.F.); #223769=EDGE_CURVE('',#177831,#177832,#106505,.T.); #223770=EDGE_CURVE('',#177832,#177833,#70707,.F.); #223771=EDGE_CURVE('',#177833,#177834,#106506,.T.); #223772=EDGE_CURVE('',#177834,#177835,#70708,.T.); #223773=EDGE_CURVE('',#177835,#177836,#106507,.T.); #223774=EDGE_CURVE('',#177836,#177837,#106508,.T.); #223775=EDGE_CURVE('',#177838,#177837,#106509,.T.); #223776=EDGE_CURVE('',#177839,#177838,#106510,.T.); #223777=EDGE_CURVE('',#177840,#177839,#70709,.T.); #223778=EDGE_CURVE('',#177841,#177840,#106511,.T.); #223779=EDGE_CURVE('',#177842,#177841,#106512,.T.); #223780=EDGE_CURVE('',#177843,#177842,#70710,.T.); #223781=EDGE_CURVE('',#177844,#177843,#106513,.T.); #223782=EDGE_CURVE('',#177845,#177844,#70711,.T.); #223783=EDGE_CURVE('',#177846,#177845,#106514,.T.); #223784=EDGE_CURVE('',#177847,#177846,#70712,.F.); #223785=EDGE_CURVE('',#177848,#177847,#70713,.T.); #223786=EDGE_CURVE('',#177849,#177848,#106515,.T.); #223787=EDGE_CURVE('',#177850,#177849,#70714,.F.); #223788=EDGE_CURVE('',#177851,#177850,#106516,.T.); #223789=EDGE_CURVE('',#177852,#177851,#70715,.T.); #223790=EDGE_CURVE('',#177853,#177852,#106517,.T.); #223791=EDGE_CURVE('',#177854,#177853,#70716,.F.); #223792=EDGE_CURVE('',#177855,#177854,#106518,.T.); #223793=EDGE_CURVE('',#177855,#177856,#106519,.T.); #223794=EDGE_CURVE('',#177857,#177856,#70717,.T.); #223795=EDGE_CURVE('',#177858,#177857,#106520,.T.); #223796=EDGE_CURVE('',#177858,#177821,#106521,.T.); #223797=EDGE_CURVE('',#177859,#177860,#106522,.T.); #223798=EDGE_CURVE('',#177860,#177861,#106523,.T.); #223799=EDGE_CURVE('',#177862,#177861,#106524,.T.); #223800=EDGE_CURVE('',#177862,#177863,#106525,.T.); #223801=EDGE_CURVE('',#177863,#177864,#106526,.T.); #223802=EDGE_CURVE('',#177864,#177865,#106527,.T.); #223803=EDGE_CURVE('',#177865,#177866,#106528,.T.); #223804=EDGE_CURVE('',#177866,#177859,#106529,.T.); #223805=EDGE_CURVE('',#177867,#177868,#106530,.T.); #223806=EDGE_CURVE('',#177869,#177867,#70718,.T.); #223807=EDGE_CURVE('',#177870,#177869,#106531,.F.); #223808=EDGE_CURVE('',#177871,#177870,#106532,.T.); #223809=EDGE_CURVE('',#177872,#177871,#70719,.T.); #223810=EDGE_CURVE('',#177873,#177872,#106533,.T.); #223811=EDGE_CURVE('',#177874,#177873,#106534,.T.); #223812=EDGE_CURVE('',#177875,#177874,#70720,.T.); #223813=EDGE_CURVE('',#177876,#177875,#106535,.T.); #223814=EDGE_CURVE('',#177877,#177876,#70721,.T.); #223815=EDGE_CURVE('',#177878,#177877,#106536,.T.); #223816=EDGE_CURVE('',#177879,#177878,#70722,.T.); #223817=EDGE_CURVE('',#177879,#177880,#106537,.T.); #223818=EDGE_CURVE('',#177881,#177880,#70723,.T.); #223819=EDGE_CURVE('',#177882,#177881,#70724,.T.); #223820=EDGE_CURVE('',#177883,#177882,#106538,.T.); #223821=EDGE_CURVE('',#177884,#177883,#70725,.T.); #223822=EDGE_CURVE('',#177885,#177884,#106539,.T.); #223823=EDGE_CURVE('',#177886,#177885,#70726,.T.); #223824=EDGE_CURVE('',#177887,#177886,#106540,.T.); #223825=EDGE_CURVE('',#177888,#177887,#106541,.T.); #223826=EDGE_CURVE('',#177889,#177888,#70727,.T.); #223827=EDGE_CURVE('',#177890,#177889,#106542,.T.); #223828=EDGE_CURVE('',#177890,#177891,#106543,.T.); #223829=EDGE_CURVE('',#177891,#177892,#106544,.F.); #223830=EDGE_CURVE('',#177893,#177892,#106545,.F.); #223831=EDGE_CURVE('',#177893,#177894,#70728,.T.); #223832=EDGE_CURVE('',#177894,#177895,#106546,.T.); #223833=EDGE_CURVE('',#177895,#177896,#70729,.T.); #223834=EDGE_CURVE('',#177896,#177897,#106547,.T.); #223835=EDGE_CURVE('',#177897,#177898,#70730,.T.); #223836=EDGE_CURVE('',#177898,#177899,#106548,.T.); #223837=EDGE_CURVE('',#177899,#177900,#70731,.T.); #223838=EDGE_CURVE('',#177901,#177900,#106549,.F.); #223839=EDGE_CURVE('',#177902,#177901,#70732,.T.); #223840=EDGE_CURVE('',#177903,#177902,#106550,.T.); #223841=EDGE_CURVE('',#177904,#177903,#70733,.T.); #223842=EDGE_CURVE('',#177905,#177904,#106551,.T.); #223843=EDGE_CURVE('',#177868,#177905,#70734,.T.); #223844=EDGE_CURVE('',#177906,#177907,#106552,.T.); #223845=EDGE_CURVE('',#177908,#177906,#106553,.T.); #223846=EDGE_CURVE('',#177909,#177908,#106554,.T.); #223847=EDGE_CURVE('',#177910,#177909,#106555,.T.); #223848=EDGE_CURVE('',#177911,#177910,#106556,.T.); #223849=EDGE_CURVE('',#177912,#177911,#106557,.T.); #223850=EDGE_CURVE('',#177913,#177912,#106558,.T.); #223851=EDGE_CURVE('',#177913,#177907,#106559,.T.); #223852=EDGE_CURVE('',#177821,#177869,#106560,.T.); #223853=EDGE_CURVE('',#177870,#177858,#106561,.T.); #223854=EDGE_CURVE('',#177835,#177893,#106562,.T.); #223855=EDGE_CURVE('',#177892,#177914,#106563,.T.); #223856=EDGE_CURVE('',#177914,#177836,#106564,.T.); #223857=EDGE_CURVE('',#177915,#177916,#70735,.T.); #223858=EDGE_CURVE('',#177916,#177917,#106565,.T.); #223859=EDGE_CURVE('',#177917,#177918,#106566,.T.); #223860=EDGE_CURVE('',#177919,#177918,#70736,.T.); #223861=EDGE_CURVE('',#177915,#177919,#106567,.T.); #223862=EDGE_CURVE('',#177920,#177921,#70737,.T.); #223863=EDGE_CURVE('',#177921,#177922,#106568,.T.); #223864=EDGE_CURVE('',#177922,#177923,#106569,.T.); #223865=EDGE_CURVE('',#177924,#177923,#70738,.T.); #223866=EDGE_CURVE('',#177920,#177924,#106570,.T.); #223867=EDGE_CURVE('',#177925,#177926,#70739,.T.); #223868=EDGE_CURVE('',#177925,#177921,#106571,.T.); #223869=EDGE_CURVE('',#177920,#177927,#106572,.T.); #223870=EDGE_CURVE('',#177928,#177927,#70740,.T.); #223871=EDGE_CURVE('',#177928,#177916,#106573,.T.); #223872=EDGE_CURVE('',#177915,#177929,#106574,.T.); #223873=EDGE_CURVE('',#177929,#177930,#106575,.T.); #223874=EDGE_CURVE('',#177930,#177931,#106576,.T.); #223875=EDGE_CURVE('',#177932,#177931,#106577,.T.); #223876=EDGE_CURVE('',#177932,#177926,#106578,.T.); #223877=EDGE_CURVE('',#177933,#177934,#106579,.T.); #223878=EDGE_CURVE('',#177934,#177935,#106580,.T.); #223879=EDGE_CURVE('',#177935,#177936,#106581,.T.); #223880=EDGE_CURVE('',#177936,#177937,#106582,.T.); #223881=EDGE_CURVE('',#177937,#177938,#106583,.T.); #223882=EDGE_CURVE('',#177939,#177938,#106584,.T.); #223883=EDGE_CURVE('',#177939,#177940,#106585,.T.); #223884=EDGE_CURVE('',#177940,#177933,#106586,.T.); #223885=EDGE_CURVE('',#177941,#177942,#106587,.T.); #223886=EDGE_CURVE('',#177942,#177943,#106588,.T.); #223887=EDGE_CURVE('',#177944,#177943,#106589,.T.); #223888=EDGE_CURVE('',#177944,#177945,#106590,.T.); #223889=EDGE_CURVE('',#177945,#177946,#106591,.T.); #223890=EDGE_CURVE('',#177946,#177947,#106592,.T.); #223891=EDGE_CURVE('',#177947,#177948,#106593,.T.); #223892=EDGE_CURVE('',#177948,#177941,#106594,.T.); #223893=EDGE_CURVE('',#177949,#177950,#106595,.T.); #223894=EDGE_CURVE('',#177949,#177951,#70741,.F.); #223895=EDGE_CURVE('',#177951,#177952,#106596,.T.); #223896=EDGE_CURVE('',#177952,#177953,#70742,.T.); #223897=EDGE_CURVE('',#177953,#177954,#70743,.T.); #223898=EDGE_CURVE('',#177954,#177955,#106597,.T.); #223899=EDGE_CURVE('',#177955,#177956,#70744,.T.); #223900=EDGE_CURVE('',#177956,#177957,#106598,.T.); #223901=EDGE_CURVE('',#177957,#177958,#70745,.T.); #223902=EDGE_CURVE('',#177958,#177959,#106599,.T.); #223903=EDGE_CURVE('',#177959,#177960,#70746,.T.); #223904=EDGE_CURVE('',#177960,#177961,#106600,.T.); #223905=EDGE_CURVE('',#177961,#177962,#70747,.T.); #223906=EDGE_CURVE('',#177962,#177963,#106601,.T.); #223907=EDGE_CURVE('',#177963,#177964,#106602,.T.); #223908=EDGE_CURVE('',#177964,#177965,#106603,.T.); #223909=EDGE_CURVE('',#177965,#177966,#70748,.T.); #223910=EDGE_CURVE('',#177966,#177967,#70749,.T.); #223911=EDGE_CURVE('',#177967,#177968,#106604,.T.); #223912=EDGE_CURVE('',#177968,#177969,#106605,.T.); #223913=EDGE_CURVE('',#177969,#177970,#106606,.T.); #223914=EDGE_CURVE('',#177971,#177970,#106607,.T.); #223915=EDGE_CURVE('',#177971,#177972,#106608,.T.); #223916=EDGE_CURVE('',#177972,#177973,#70750,.F.); #223917=EDGE_CURVE('',#177974,#177973,#106609,.T.); #223918=EDGE_CURVE('',#177975,#177974,#70751,.T.); #223919=EDGE_CURVE('',#177976,#177975,#106610,.T.); #223920=EDGE_CURVE('',#177977,#177976,#70752,.T.); #223921=EDGE_CURVE('',#177978,#177977,#106611,.T.); #223922=EDGE_CURVE('',#177979,#177978,#70753,.T.); #223923=EDGE_CURVE('',#177980,#177979,#106612,.T.); #223924=EDGE_CURVE('',#177981,#177980,#70754,.T.); #223925=EDGE_CURVE('',#177982,#177981,#106613,.T.); #223926=EDGE_CURVE('',#177983,#177982,#70755,.T.); #223927=EDGE_CURVE('',#177984,#177983,#70756,.T.); #223928=EDGE_CURVE('',#177985,#177984,#70757,.T.); #223929=EDGE_CURVE('',#177986,#177985,#106614,.T.); #223930=EDGE_CURVE('',#177987,#177986,#70758,.T.); #223931=EDGE_CURVE('',#177988,#177987,#106615,.T.); #223932=EDGE_CURVE('',#177988,#177989,#70759,.F.); #223933=EDGE_CURVE('',#177989,#177990,#106616,.T.); #223934=EDGE_CURVE('',#177950,#177990,#106617,.T.); #223935=EDGE_CURVE('',#177991,#177992,#106618,.T.); #223936=EDGE_CURVE('',#177991,#177993,#106619,.T.); #223937=EDGE_CURVE('',#177993,#177994,#106620,.T.); #223938=EDGE_CURVE('',#177992,#177994,#106621,.T.); #223939=EDGE_CURVE('',#177995,#177996,#106622,.T.); #223940=EDGE_CURVE('',#177997,#177996,#70760,.F.); #223941=EDGE_CURVE('',#177997,#177998,#106623,.T.); #223942=EDGE_CURVE('',#177998,#177999,#106624,.T.); #223943=EDGE_CURVE('',#177999,#178000,#106625,.T.); #223944=EDGE_CURVE('',#178000,#178001,#70761,.T.); #223945=EDGE_CURVE('',#178001,#178002,#106626,.T.); #223946=EDGE_CURVE('',#177995,#178002,#106627,.T.); #223947=EDGE_CURVE('',#178003,#178004,#70762,.T.); #223948=EDGE_CURVE('',#178003,#177996,#106628,.T.); #223949=EDGE_CURVE('',#178005,#177995,#70763,.F.); #223950=EDGE_CURVE('',#178006,#178005,#106629,.T.); #223951=EDGE_CURVE('',#178007,#178006,#70764,.T.); #223952=EDGE_CURVE('',#178008,#178007,#106630,.T.); #223953=EDGE_CURVE('',#178009,#178008,#70765,.F.); #223954=EDGE_CURVE('',#178009,#178010,#106631,.T.); #223955=EDGE_CURVE('',#178010,#178011,#70766,.F.); #223956=EDGE_CURVE('',#178011,#178012,#70767,.T.); #223957=EDGE_CURVE('',#178012,#178013,#106632,.T.); #223958=EDGE_CURVE('',#178013,#178014,#70768,.F.); #223959=EDGE_CURVE('',#178014,#178015,#106633,.T.); #223960=EDGE_CURVE('',#178015,#178016,#70769,.F.); #223961=EDGE_CURVE('',#178016,#178017,#106634,.T.); #223962=EDGE_CURVE('',#178017,#178018,#106635,.T.); #223963=EDGE_CURVE('',#178018,#178019,#70770,.F.); #223964=EDGE_CURVE('',#178019,#178020,#106636,.T.); #223965=EDGE_CURVE('',#178020,#178021,#106637,.T.); #223966=EDGE_CURVE('',#178021,#178022,#106638,.T.); #223967=EDGE_CURVE('',#178023,#178022,#106639,.T.); #223968=EDGE_CURVE('',#178024,#178023,#70771,.T.); #223969=EDGE_CURVE('',#178025,#178024,#106640,.T.); #223970=EDGE_CURVE('',#178026,#178025,#70772,.T.); #223971=EDGE_CURVE('',#178027,#178026,#106641,.T.); #223972=EDGE_CURVE('',#178028,#178027,#70773,.T.); #223973=EDGE_CURVE('',#178029,#178028,#106642,.T.); #223974=EDGE_CURVE('',#177818,#178029,#70774,.T.); #223975=EDGE_CURVE('',#177816,#178030,#70775,.T.); #223976=EDGE_CURVE('',#178030,#178031,#106643,.T.); #223977=EDGE_CURVE('',#178031,#178032,#70776,.T.); #223978=EDGE_CURVE('',#178032,#178033,#106644,.T.); #223979=EDGE_CURVE('',#178033,#178034,#70777,.T.); #223980=EDGE_CURVE('',#178034,#178035,#106645,.T.); #223981=EDGE_CURVE('',#178035,#177813,#70778,.T.); #223982=EDGE_CURVE('',#178004,#177812,#106646,.T.); #223983=EDGE_CURVE('',#178036,#178037,#106647,.T.); #223984=EDGE_CURVE('',#178037,#178038,#106648,.T.); #223985=EDGE_CURVE('',#178038,#178039,#106649,.T.); #223986=EDGE_CURVE('',#178039,#178040,#106650,.T.); #223987=EDGE_CURVE('',#178040,#178041,#106651,.T.); #223988=EDGE_CURVE('',#178042,#178041,#106652,.T.); #223989=EDGE_CURVE('',#178042,#178043,#106653,.T.); #223990=EDGE_CURVE('',#178043,#178036,#106654,.T.); #223991=EDGE_CURVE('',#178037,#178044,#106655,.T.); #223992=EDGE_CURVE('',#178036,#178045,#106656,.T.); #223993=EDGE_CURVE('',#178045,#178044,#106657,.T.); #223994=EDGE_CURVE('',#178041,#178046,#106658,.T.); #223995=EDGE_CURVE('',#178040,#178047,#106659,.T.); #223996=EDGE_CURVE('',#178047,#178046,#106660,.T.); #223997=EDGE_CURVE('',#177943,#178048,#106661,.T.); #223998=EDGE_CURVE('',#177942,#178049,#106662,.T.); #223999=EDGE_CURVE('',#178049,#178048,#106663,.T.); #224000=EDGE_CURVE('',#177947,#178050,#106664,.T.); #224001=EDGE_CURVE('',#177946,#178051,#106665,.T.); #224002=EDGE_CURVE('',#178051,#178050,#106666,.T.); #224003=EDGE_CURVE('',#178052,#178053,#3185,.T.); #224004=EDGE_CURVE('',#178054,#178052,#3186,.F.); #224005=EDGE_CURVE('',#178055,#178054,#3187,.T.); #224006=EDGE_CURVE('',#178056,#178055,#106667,.T.); #224007=EDGE_CURVE('',#178057,#178056,#106668,.F.); #224008=EDGE_CURVE('',#178053,#178057,#106669,.T.); #224009=EDGE_CURVE('',#177967,#178058,#106670,.T.); #224010=EDGE_CURVE('',#178058,#178059,#70779,.F.); #224011=EDGE_CURVE('',#178059,#178060,#106671,.F.); #224012=EDGE_CURVE('',#177926,#178060,#106672,.T.); #224013=EDGE_CURVE('',#177968,#177932,#70780,.T.); #224014=EDGE_CURVE('',#178061,#178062,#106673,.T.); #224015=EDGE_CURVE('',#178062,#178063,#106674,.T.); #224016=EDGE_CURVE('',#178064,#178063,#106675,.T.); #224017=EDGE_CURVE('',#178064,#178065,#106676,.T.); #224018=EDGE_CURVE('',#178065,#178066,#70781,.T.); #224019=EDGE_CURVE('',#178066,#178067,#70782,.T.); #224020=EDGE_CURVE('',#178067,#178068,#106677,.T.); #224021=EDGE_CURVE('',#178068,#178069,#106678,.T.); #224022=EDGE_CURVE('',#178069,#178070,#106679,.T.); #224023=EDGE_CURVE('',#178070,#178071,#70783,.T.); #224024=EDGE_CURVE('',#178071,#178072,#106680,.T.); #224025=EDGE_CURVE('',#178072,#178073,#70784,.T.); #224026=EDGE_CURVE('',#178073,#178074,#106681,.T.); #224027=EDGE_CURVE('',#178074,#178075,#70785,.T.); #224028=EDGE_CURVE('',#178075,#178076,#106682,.T.); #224029=EDGE_CURVE('',#178076,#178077,#70786,.T.); #224030=EDGE_CURVE('',#178077,#178078,#106683,.T.); #224031=EDGE_CURVE('',#178078,#178079,#70787,.T.); #224032=EDGE_CURVE('',#178079,#178080,#70788,.T.); #224033=EDGE_CURVE('',#178080,#178081,#106684,.T.); #224034=EDGE_CURVE('',#178081,#178082,#70789,.F.); #224035=EDGE_CURVE('',#178083,#178082,#106685,.T.); #224036=EDGE_CURVE('',#178083,#178084,#106686,.T.); #224037=EDGE_CURVE('',#178085,#178084,#106687,.T.); #224038=EDGE_CURVE('',#178085,#178086,#70790,.F.); #224039=EDGE_CURVE('',#178087,#178086,#106688,.T.); #224040=EDGE_CURVE('',#178088,#178087,#70791,.T.); #224041=EDGE_CURVE('',#178089,#178088,#106689,.T.); #224042=EDGE_CURVE('',#178090,#178089,#70792,.T.); #224043=EDGE_CURVE('',#178091,#178090,#70793,.T.); #224044=EDGE_CURVE('',#178092,#178091,#70794,.T.); #224045=EDGE_CURVE('',#178093,#178092,#106690,.T.); #224046=EDGE_CURVE('',#178094,#178093,#70795,.T.); #224047=EDGE_CURVE('',#178095,#178094,#106691,.T.); #224048=EDGE_CURVE('',#178096,#178095,#70796,.T.); #224049=EDGE_CURVE('',#178097,#178096,#106692,.T.); #224050=EDGE_CURVE('',#178098,#178097,#70797,.T.); #224051=EDGE_CURVE('',#178099,#178098,#106693,.T.); #224052=EDGE_CURVE('',#178100,#178099,#70798,.T.); #224053=EDGE_CURVE('',#178101,#178100,#106694,.T.); #224054=EDGE_CURVE('',#178101,#178102,#70799,.F.); #224055=EDGE_CURVE('',#178061,#178102,#106695,.T.); #224056=EDGE_CURVE('',#178103,#178104,#106696,.T.); #224057=EDGE_CURVE('',#178103,#178105,#106697,.T.); #224058=EDGE_CURVE('',#178105,#178106,#106698,.T.); #224059=EDGE_CURVE('',#178104,#178106,#106699,.T.); #224060=EDGE_CURVE('',#177856,#178107,#106700,.T.); #224061=EDGE_CURVE('',#178107,#177872,#106701,.T.); #224062=EDGE_CURVE('',#177857,#177871,#106702,.T.); #224063=EDGE_CURVE('',#177861,#177907,#106703,.T.); #224064=EDGE_CURVE('',#177860,#177906,#106704,.T.); #224065=EDGE_CURVE('',#177865,#177910,#106705,.T.); #224066=EDGE_CURVE('',#177864,#177911,#106706,.T.); #224067=EDGE_CURVE('',#177934,#178108,#106707,.T.); #224068=EDGE_CURVE('',#177933,#178109,#106708,.T.); #224069=EDGE_CURVE('',#178109,#178108,#106709,.T.); #224070=EDGE_CURVE('',#177938,#178110,#106710,.T.); #224071=EDGE_CURVE('',#177937,#178111,#106711,.T.); #224072=EDGE_CURVE('',#178111,#178110,#106712,.T.); #224073=EDGE_CURVE('',#178112,#178113,#106713,.T.); #224074=EDGE_CURVE('',#178113,#178114,#106714,.T.); #224075=EDGE_CURVE('',#178114,#178115,#106715,.F.); #224076=EDGE_CURVE('',#178116,#178115,#106716,.F.); #224077=EDGE_CURVE('',#178117,#178116,#106717,.T.); #224078=EDGE_CURVE('',#178117,#178118,#70800,.T.); #224079=EDGE_CURVE('',#178118,#178119,#106718,.T.); #224080=EDGE_CURVE('',#178119,#178120,#70801,.T.); #224081=EDGE_CURVE('',#178120,#178121,#106719,.T.); #224082=EDGE_CURVE('',#178121,#178122,#70802,.T.); #224083=EDGE_CURVE('',#178122,#178123,#106720,.T.); #224084=EDGE_CURVE('',#178123,#178124,#70803,.T.); #224085=EDGE_CURVE('',#178124,#178125,#106721,.T.); #224086=EDGE_CURVE('',#178125,#178126,#70804,.T.); #224087=EDGE_CURVE('',#178126,#178127,#106722,.T.); #224088=EDGE_CURVE('',#178127,#178128,#70805,.T.); #224089=EDGE_CURVE('',#178128,#178129,#70806,.T.); #224090=EDGE_CURVE('',#178129,#178130,#70807,.T.); #224091=EDGE_CURVE('',#178130,#178131,#106723,.T.); #224092=EDGE_CURVE('',#178131,#178132,#70808,.T.); #224093=EDGE_CURVE('',#178132,#178133,#106724,.T.); #224094=EDGE_CURVE('',#178133,#178134,#70809,.T.); #224095=EDGE_CURVE('',#178134,#178135,#106725,.T.); #224096=EDGE_CURVE('',#178136,#178135,#106726,.F.); #224097=EDGE_CURVE('',#178137,#178136,#106727,.T.); #224098=EDGE_CURVE('',#178137,#178138,#70810,.T.); #224099=EDGE_CURVE('',#178139,#178138,#106728,.T.); #224100=EDGE_CURVE('',#178140,#178139,#70811,.T.); #224101=EDGE_CURVE('',#178141,#178140,#70812,.T.); #224102=EDGE_CURVE('',#178142,#178141,#106729,.T.); #224103=EDGE_CURVE('',#178143,#178142,#70813,.T.); #224104=EDGE_CURVE('',#178144,#178143,#106730,.T.); #224105=EDGE_CURVE('',#178145,#178144,#70814,.T.); #224106=EDGE_CURVE('',#178146,#178145,#106731,.T.); #224107=EDGE_CURVE('',#178147,#178146,#70815,.T.); #224108=EDGE_CURVE('',#178148,#178147,#106732,.T.); #224109=EDGE_CURVE('',#178149,#178148,#70816,.T.); #224110=EDGE_CURVE('',#178150,#178149,#106733,.T.); #224111=EDGE_CURVE('',#178150,#178112,#106734,.T.); #224112=EDGE_CURVE('',#178151,#178152,#106735,.T.); #224113=EDGE_CURVE('',#178151,#178153,#106736,.T.); #224114=EDGE_CURVE('',#178153,#178154,#106737,.T.); #224115=EDGE_CURVE('',#178154,#178155,#70817,.T.); #224116=EDGE_CURVE('',#178156,#178155,#106738,.T.); #224117=EDGE_CURVE('',#178156,#178152,#70818,.T.); #224118=EDGE_CURVE('',#178113,#178157,#3188,.T.); #224119=EDGE_CURVE('',#178158,#178112,#106739,.F.); #224120=EDGE_CURVE('',#178159,#178158,#106740,.T.); #224121=EDGE_CURVE('',#178160,#178159,#3189,.T.); #224122=EDGE_CURVE('',#178157,#178160,#3190,.F.); #224123=EDGE_CURVE('',#178161,#178001,#106741,.T.); #224124=EDGE_CURVE('',#178162,#178161,#70819,.T.); #224125=EDGE_CURVE('',#177815,#178162,#106742,.T.); #224126=EDGE_CURVE('',#178163,#177814,#70820,.T.); #224127=EDGE_CURVE('',#178164,#178163,#106743,.T.); #224128=EDGE_CURVE('',#178165,#178164,#70821,.T.); #224129=EDGE_CURVE('',#178166,#178165,#106744,.T.); #224130=EDGE_CURVE('',#178167,#178166,#70822,.T.); #224131=EDGE_CURVE('',#178168,#178167,#106745,.T.); #224132=EDGE_CURVE('',#177817,#178168,#70823,.T.); #224133=EDGE_CURVE('',#177819,#178169,#70824,.T.); #224134=EDGE_CURVE('',#178169,#178170,#106746,.T.); #224135=EDGE_CURVE('',#178170,#178171,#70825,.T.); #224136=EDGE_CURVE('',#178171,#178172,#106747,.T.); #224137=EDGE_CURVE('',#178172,#178173,#70826,.T.); #224138=EDGE_CURVE('',#178173,#178174,#106748,.T.); #224139=EDGE_CURVE('',#178174,#178175,#70827,.T.); #224140=EDGE_CURVE('',#178175,#178176,#106749,.F.); #224141=EDGE_CURVE('',#178176,#178177,#106750,.F.); #224142=EDGE_CURVE('',#178178,#178177,#106751,.F.); #224143=EDGE_CURVE('',#178178,#178179,#106752,.T.); #224144=EDGE_CURVE('',#178179,#178180,#70828,.T.); #224145=EDGE_CURVE('',#178180,#178181,#106753,.T.); #224146=EDGE_CURVE('',#178181,#178182,#106754,.T.); #224147=EDGE_CURVE('',#178182,#178183,#70829,.T.); #224148=EDGE_CURVE('',#178183,#178184,#106755,.T.); #224149=EDGE_CURVE('',#178184,#178185,#70830,.T.); #224150=EDGE_CURVE('',#178185,#178186,#106756,.T.); #224151=EDGE_CURVE('',#178186,#178187,#70831,.T.); #224152=EDGE_CURVE('',#178187,#178188,#70832,.T.); #224153=EDGE_CURVE('',#178189,#178188,#106757,.F.); #224154=EDGE_CURVE('',#178190,#178189,#70833,.T.); #224155=EDGE_CURVE('',#178191,#178190,#106758,.T.); #224156=EDGE_CURVE('',#178192,#178191,#70834,.T.); #224157=EDGE_CURVE('',#178193,#178192,#106759,.T.); #224158=EDGE_CURVE('',#178002,#178193,#70835,.T.); #224159=EDGE_CURVE('',#178194,#178047,#106760,.T.); #224160=EDGE_CURVE('',#178195,#178194,#106761,.T.); #224161=EDGE_CURVE('',#178044,#178195,#106762,.T.); #224162=EDGE_CURVE('',#178196,#178045,#106763,.T.); #224163=EDGE_CURVE('',#178197,#178196,#106764,.T.); #224164=EDGE_CURVE('',#178197,#178046,#106765,.T.); #224165=EDGE_CURVE('',#177970,#178021,#70836,.T.); #224166=EDGE_CURVE('',#178020,#177971,#70837,.T.); #224167=EDGE_CURVE('',#177990,#178198,#70838,.T.); #224168=EDGE_CURVE('',#178198,#178010,#63533,.T.); #224169=EDGE_CURVE('',#178199,#178009,#63534,.T.); #224170=EDGE_CURVE('',#177950,#178199,#70839,.T.); #224171=EDGE_CURVE('',#178178,#178200,#70840,.T.); #224172=EDGE_CURVE('',#178177,#178201,#70841,.F.); #224173=EDGE_CURVE('',#178200,#178201,#106766,.T.); #224174=EDGE_CURVE('',#178202,#178188,#63535,.T.); #224175=EDGE_CURVE('',#178203,#178202,#70842,.T.); #224176=EDGE_CURVE('',#178204,#178203,#106767,.T.); #224177=EDGE_CURVE('',#178204,#178205,#70843,.T.); #224178=EDGE_CURVE('',#178205,#178189,#63536,.T.); #224179=EDGE_CURVE('',#177837,#178062,#70844,.T.); #224180=EDGE_CURVE('',#177838,#178061,#70845,.T.); #224181=EDGE_CURVE('',#177890,#178116,#70846,.T.); #224182=EDGE_CURVE('',#178115,#177891,#70847,.F.); #224183=EDGE_CURVE('',#177827,#177901,#106768,.T.); #224184=EDGE_CURVE('',#177828,#177900,#106769,.T.); #224185=EDGE_CURVE('',#178023,#178175,#106770,.T.); #224186=EDGE_CURVE('',#178022,#178206,#106771,.F.); #224187=EDGE_CURVE('',#178176,#178206,#106772,.T.); #224188=EDGE_CURVE('',#178065,#178207,#106773,.T.); #224189=EDGE_CURVE('',#177929,#178064,#70848,.T.); #224190=EDGE_CURVE('',#177919,#178208,#106774,.F.); #224191=EDGE_CURVE('',#178208,#178207,#70849,.F.); #224192=EDGE_CURVE('',#177927,#178209,#106775,.T.); #224193=EDGE_CURVE('',#177924,#178209,#106776,.F.); #224194=EDGE_CURVE('',#178206,#178210,#106777,.F.); #224195=EDGE_CURVE('',#178210,#178211,#70850,.T.); #224196=EDGE_CURVE('',#178211,#178212,#106778,.F.); #224197=EDGE_CURVE('',#178212,#178213,#70851,.T.); #224198=EDGE_CURVE('',#178213,#178214,#106779,.F.); #224199=EDGE_CURVE('',#178214,#178215,#70852,.T.); #224200=EDGE_CURVE('',#178215,#178216,#106780,.F.); #224201=EDGE_CURVE('',#178216,#178217,#70853,.T.); #224202=EDGE_CURVE('',#178217,#177914,#106781,.F.); #224203=EDGE_CURVE('',#178218,#178114,#70854,.F.); #224204=EDGE_CURVE('',#178219,#178218,#106782,.F.); #224205=EDGE_CURVE('',#178220,#178219,#70855,.F.); #224206=EDGE_CURVE('',#178201,#178220,#106783,.F.); #224207=EDGE_CURVE('',#177931,#177969,#70856,.T.); #224208=EDGE_CURVE('',#178063,#177930,#70857,.T.); #224209=EDGE_CURVE('',#178221,#177848,#63537,.T.); #224210=EDGE_CURVE('',#178084,#178221,#70858,.T.); #224211=EDGE_CURVE('',#178222,#178083,#70859,.T.); #224212=EDGE_CURVE('',#177849,#178222,#63538,.T.); #224213=EDGE_CURVE('',#178053,#178220,#106784,.F.); #224214=EDGE_CURVE('',#178057,#178223,#106785,.T.); #224215=EDGE_CURVE('',#178224,#178223,#106786,.T.); #224216=EDGE_CURVE('',#178225,#178224,#70860,.T.); #224217=EDGE_CURVE('',#178226,#178225,#106787,.T.); #224218=EDGE_CURVE('',#178227,#178226,#70861,.T.); #224219=EDGE_CURVE('',#178228,#178227,#106788,.T.); #224220=EDGE_CURVE('',#178229,#178228,#70862,.T.); #224221=EDGE_CURVE('',#178230,#178229,#106789,.T.); #224222=EDGE_CURVE('',#178231,#178230,#70863,.T.); #224223=EDGE_CURVE('',#178232,#178231,#106790,.T.); #224224=EDGE_CURVE('',#178233,#178232,#70864,.T.); #224225=EDGE_CURVE('',#178234,#178233,#70865,.T.); #224226=EDGE_CURVE('',#178235,#178234,#106791,.T.); #224227=EDGE_CURVE('',#178235,#178236,#70866,.T.); #224228=EDGE_CURVE('',#178236,#178204,#106792,.T.); #224229=EDGE_CURVE('',#178237,#178203,#106793,.T.); #224230=EDGE_CURVE('',#178237,#178238,#70867,.T.); #224231=EDGE_CURVE('',#178238,#178239,#106794,.T.); #224232=EDGE_CURVE('',#178239,#178240,#70868,.T.); #224233=EDGE_CURVE('',#178240,#178241,#106795,.T.); #224234=EDGE_CURVE('',#178241,#178242,#70869,.T.); #224235=EDGE_CURVE('',#178242,#178243,#70870,.T.); #224236=EDGE_CURVE('',#178243,#178244,#70871,.T.); #224237=EDGE_CURVE('',#178244,#178245,#106796,.T.); #224238=EDGE_CURVE('',#178245,#178246,#70872,.T.); #224239=EDGE_CURVE('',#178246,#178247,#106797,.T.); #224240=EDGE_CURVE('',#178247,#178248,#70873,.T.); #224241=EDGE_CURVE('',#178248,#178249,#106798,.T.); #224242=EDGE_CURVE('',#178249,#178250,#70874,.T.); #224243=EDGE_CURVE('',#178250,#178251,#106799,.T.); #224244=EDGE_CURVE('',#178251,#178252,#70875,.T.); #224245=EDGE_CURVE('',#178252,#178253,#106800,.T.); #224246=EDGE_CURVE('',#178253,#178254,#70876,.T.); #224247=EDGE_CURVE('',#178254,#178200,#106801,.T.); #224248=EDGE_CURVE('',#178255,#178256,#106802,.T.); #224249=EDGE_CURVE('',#178255,#178257,#106803,.T.); #224250=EDGE_CURVE('',#178257,#178258,#106804,.T.); #224251=EDGE_CURVE('',#178258,#178259,#70877,.T.); #224252=EDGE_CURVE('',#178260,#178259,#106805,.T.); #224253=EDGE_CURVE('',#178260,#178256,#70878,.T.); #224254=EDGE_CURVE('',#178059,#178219,#106806,.T.); #224255=EDGE_CURVE('',#178052,#178058,#63539,.T.); #224256=EDGE_CURVE('',#178261,#177923,#106807,.T.); #224257=EDGE_CURVE('',#178261,#178060,#70879,.T.); #224258=EDGE_CURVE('',#178208,#178218,#106808,.F.); #224259=EDGE_CURVE('',#178262,#177918,#106809,.T.); #224260=EDGE_CURVE('',#178262,#178209,#70880,.T.); #224261=EDGE_CURVE('',#178263,#178111,#106810,.T.); #224262=EDGE_CURVE('',#178264,#178263,#106811,.T.); #224263=EDGE_CURVE('',#178108,#178264,#106812,.T.); #224264=EDGE_CURVE('',#178265,#178109,#106813,.T.); #224265=EDGE_CURVE('',#178266,#178265,#106814,.T.); #224266=EDGE_CURVE('',#178266,#178110,#106815,.T.); #224267=EDGE_CURVE('',#178267,#178049,#106816,.T.); #224268=EDGE_CURVE('',#178268,#178267,#106817,.T.); #224269=EDGE_CURVE('',#178050,#178268,#106818,.T.); #224270=EDGE_CURVE('',#178269,#178051,#106819,.T.); #224271=EDGE_CURVE('',#178270,#178269,#106820,.T.); #224272=EDGE_CURVE('',#178270,#178048,#106821,.T.); #224273=EDGE_CURVE('',#178207,#178157,#63540,.T.); #224274=EDGE_CURVE('',#178135,#178271,#70881,.T.); #224275=EDGE_CURVE('',#178271,#177880,#63541,.T.); #224276=EDGE_CURVE('',#177879,#178272,#63542,.T.); #224277=EDGE_CURVE('',#178272,#178136,#70882,.T.); #224278=EDGE_CURVE('',#177928,#178273,#106822,.T.); #224279=EDGE_CURVE('',#178273,#178262,#106823,.T.); #224280=EDGE_CURVE('',#177925,#178274,#106824,.T.); #224281=EDGE_CURVE('',#178274,#178261,#106825,.T.); #224282=EDGE_CURVE('',#178222,#178272,#106826,.T.); #224283=EDGE_CURVE('',#177849,#177879,#106827,.T.); #224284=EDGE_CURVE('',#178082,#178137,#106828,.F.); #224285=EDGE_CURVE('',#177873,#178275,#70883,.T.); #224286=EDGE_CURVE('',#178275,#178276,#106829,.T.); #224287=EDGE_CURVE('',#178277,#178276,#106830,.T.); #224288=EDGE_CURVE('',#178277,#178278,#106831,.T.); #224289=EDGE_CURVE('',#177855,#178278,#70884,.T.); #224290=EDGE_CURVE('',#177854,#177874,#106832,.T.); #224291=EDGE_CURVE('',#177853,#177875,#106833,.T.); #224292=EDGE_CURVE('',#177852,#177876,#106834,.T.); #224293=EDGE_CURVE('',#177851,#177877,#106835,.T.); #224294=EDGE_CURVE('',#177850,#177878,#106836,.T.); #224295=EDGE_CURVE('',#178007,#178191,#106837,.T.); #224296=EDGE_CURVE('',#178008,#178190,#106838,.T.); #224297=EDGE_CURVE('',#178006,#178192,#106839,.T.); #224298=EDGE_CURVE('',#178005,#178193,#106840,.T.); #224299=EDGE_CURVE('',#178236,#177949,#106841,.F.); #224300=EDGE_CURVE('',#178199,#178205,#106842,.T.); #224301=EDGE_CURVE('',#178009,#178189,#106843,.T.); #224302=EDGE_CURVE('',#178004,#178162,#106844,.T.); #224303=EDGE_CURVE('',#178003,#178279,#106845,.T.); #224304=EDGE_CURVE('',#178279,#178161,#106846,.T.); #224305=EDGE_CURVE('',#178102,#178117,#106847,.F.); #224306=EDGE_CURVE('',#177839,#177889,#106848,.T.); #224307=EDGE_CURVE('',#177847,#177881,#106849,.T.); #224308=EDGE_CURVE('',#178221,#178271,#106850,.T.); #224309=EDGE_CURVE('',#178134,#178085,#106851,.F.); #224310=EDGE_CURVE('',#177840,#177888,#106852,.T.); #224311=EDGE_CURVE('',#177841,#177887,#106853,.T.); #224312=EDGE_CURVE('',#177842,#177886,#106854,.T.); #224313=EDGE_CURVE('',#177843,#177885,#106855,.T.); #224314=EDGE_CURVE('',#177844,#177884,#106856,.T.); #224315=EDGE_CURVE('',#177845,#177883,#106857,.T.); #224316=EDGE_CURVE('',#177846,#177882,#106858,.T.); #224317=EDGE_CURVE('',#178254,#177972,#106859,.T.); #224318=EDGE_CURVE('',#178019,#178179,#106860,.T.); #224319=EDGE_CURVE('',#178198,#178202,#106861,.T.); #224320=EDGE_CURVE('',#178011,#178187,#106862,.T.); #224321=EDGE_CURVE('',#177989,#178237,#106863,.T.); #224322=EDGE_CURVE('',#178018,#178180,#106864,.T.); #224323=EDGE_CURVE('',#178017,#178181,#106865,.T.); #224324=EDGE_CURVE('',#178016,#178182,#106866,.T.); #224325=EDGE_CURVE('',#178015,#178183,#106867,.T.); #224326=EDGE_CURVE('',#178014,#178184,#106868,.T.); #224327=EDGE_CURVE('',#178013,#178185,#106869,.T.); #224328=EDGE_CURVE('',#178012,#178186,#106870,.T.); #224329=EDGE_CURVE('',#178118,#178101,#106871,.F.); #224330=EDGE_CURVE('',#178100,#178119,#106872,.T.); #224331=EDGE_CURVE('',#178099,#178120,#106873,.T.); #224332=EDGE_CURVE('',#178098,#178121,#106874,.T.); #224333=EDGE_CURVE('',#178097,#178122,#106875,.T.); #224334=EDGE_CURVE('',#178096,#178123,#106876,.T.); #224335=EDGE_CURVE('',#178095,#178124,#106877,.T.); #224336=EDGE_CURVE('',#178094,#178125,#106878,.T.); #224337=EDGE_CURVE('',#178093,#178126,#106879,.T.); #224338=EDGE_CURVE('',#178092,#178127,#106880,.T.); #224339=EDGE_CURVE('',#178091,#178128,#106881,.T.); #224340=EDGE_CURVE('',#178090,#178129,#106882,.T.); #224341=EDGE_CURVE('',#178089,#178130,#106883,.T.); #224342=EDGE_CURVE('',#178088,#178131,#106884,.T.); #224343=EDGE_CURVE('',#178087,#178132,#106885,.T.); #224344=EDGE_CURVE('',#178086,#178133,#106886,.T.); #224345=EDGE_CURVE('',#178066,#178160,#106887,.T.); #224346=EDGE_CURVE('',#178067,#178159,#106888,.T.); #224347=EDGE_CURVE('',#178068,#178158,#106889,.T.); #224348=EDGE_CURVE('',#178158,#178280,#106890,.T.); #224349=EDGE_CURVE('',#178069,#178280,#106891,.T.); #224350=EDGE_CURVE('',#178280,#178150,#106892,.F.); #224351=EDGE_CURVE('',#178070,#178149,#106893,.T.); #224352=EDGE_CURVE('',#178071,#178148,#106894,.T.); #224353=EDGE_CURVE('',#178072,#178147,#106895,.T.); #224354=EDGE_CURVE('',#178073,#178146,#106896,.T.); #224355=EDGE_CURVE('',#178074,#178145,#106897,.T.); #224356=EDGE_CURVE('',#178075,#178144,#106898,.T.); #224357=EDGE_CURVE('',#178076,#178143,#106899,.T.); #224358=EDGE_CURVE('',#178077,#178142,#106900,.T.); #224359=EDGE_CURVE('',#178078,#178141,#106901,.T.); #224360=EDGE_CURVE('',#178079,#178140,#106902,.T.); #224361=EDGE_CURVE('',#178080,#178139,#106903,.T.); #224362=EDGE_CURVE('',#178138,#178081,#106904,.F.); #224363=EDGE_CURVE('',#177837,#178217,#106905,.T.); #224364=EDGE_CURVE('',#178062,#178216,#106906,.T.); #224365=EDGE_CURVE('',#178063,#178215,#106907,.T.); #224366=EDGE_CURVE('',#177930,#178214,#106908,.T.); #224367=EDGE_CURVE('',#177931,#178213,#106909,.T.); #224368=EDGE_CURVE('',#177969,#178212,#106910,.T.); #224369=EDGE_CURVE('',#177970,#178211,#106911,.T.); #224370=EDGE_CURVE('',#178021,#178210,#106912,.T.); #224371=EDGE_CURVE('',#177935,#178264,#106913,.T.); #224372=EDGE_CURVE('',#177936,#178263,#106914,.T.); #224373=EDGE_CURVE('',#177939,#178266,#106915,.T.); #224374=EDGE_CURVE('',#177940,#178265,#106916,.T.); #224375=EDGE_CURVE('',#178108,#178281,#70885,.T.); #224376=EDGE_CURVE('',#178281,#178282,#106917,.T.); #224377=EDGE_CURVE('',#178282,#178283,#70886,.T.); #224378=EDGE_CURVE('',#178283,#178284,#106918,.T.); #224379=EDGE_CURVE('',#178284,#178285,#70887,.T.); #224380=EDGE_CURVE('',#178285,#178286,#106919,.T.); #224381=EDGE_CURVE('',#178286,#178266,#70888,.T.); #224382=EDGE_CURVE('',#178287,#177939,#70889,.F.); #224383=EDGE_CURVE('',#178288,#178287,#106920,.F.); #224384=EDGE_CURVE('',#178289,#178288,#70890,.T.); #224385=EDGE_CURVE('',#178290,#178289,#106921,.F.); #224386=EDGE_CURVE('',#178291,#178290,#70891,.T.); #224387=EDGE_CURVE('',#178292,#178291,#106922,.F.); #224388=EDGE_CURVE('',#177934,#178292,#70892,.F.); #224389=EDGE_CURVE('',#178293,#178294,#106923,.F.); #224390=EDGE_CURVE('',#178294,#178295,#70893,.T.); #224391=EDGE_CURVE('',#178295,#178296,#106924,.F.); #224392=EDGE_CURVE('',#178296,#178297,#70894,.T.); #224393=EDGE_CURVE('',#178297,#178298,#106925,.F.); #224394=EDGE_CURVE('',#178298,#177938,#70895,.F.); #224395=EDGE_CURVE('',#178299,#178110,#70896,.T.); #224396=EDGE_CURVE('',#178300,#178299,#106926,.T.); #224397=EDGE_CURVE('',#178301,#178300,#70897,.T.); #224398=EDGE_CURVE('',#178302,#178301,#106927,.T.); #224399=EDGE_CURVE('',#178303,#178302,#70898,.T.); #224400=EDGE_CURVE('',#178304,#178303,#106928,.T.); #224401=EDGE_CURVE('',#178264,#178304,#70899,.T.); #224402=EDGE_CURVE('',#177935,#178293,#70900,.F.); #224403=EDGE_CURVE('',#178281,#178304,#106929,.T.); #224404=EDGE_CURVE('',#178282,#178303,#106930,.T.); #224405=EDGE_CURVE('',#178283,#178302,#106931,.T.); #224406=EDGE_CURVE('',#178284,#178301,#106932,.T.); #224407=EDGE_CURVE('',#178285,#178300,#106933,.T.); #224408=EDGE_CURVE('',#178286,#178299,#106934,.T.); #224409=EDGE_CURVE('',#178292,#178293,#106935,.F.); #224410=EDGE_CURVE('',#178291,#178294,#106936,.T.); #224411=EDGE_CURVE('',#178290,#178295,#106937,.F.); #224412=EDGE_CURVE('',#178289,#178296,#106938,.T.); #224413=EDGE_CURVE('',#178288,#178297,#106939,.F.); #224414=EDGE_CURVE('',#178287,#178298,#106940,.T.); #224415=EDGE_CURVE('',#177859,#177908,#106941,.T.); #224416=EDGE_CURVE('',#177862,#177913,#106942,.T.); #224417=EDGE_CURVE('',#177863,#177912,#106943,.T.); #224418=EDGE_CURVE('',#177866,#177909,#106944,.T.); #224419=EDGE_CURVE('',#177910,#178305,#70901,.T.); #224420=EDGE_CURVE('',#178305,#178306,#106945,.T.); #224421=EDGE_CURVE('',#178306,#178307,#70902,.T.); #224422=EDGE_CURVE('',#178307,#178308,#106946,.T.); #224423=EDGE_CURVE('',#178308,#178309,#70903,.T.); #224424=EDGE_CURVE('',#178309,#178310,#106947,.T.); #224425=EDGE_CURVE('',#178310,#177913,#70904,.T.); #224426=EDGE_CURVE('',#178311,#177862,#70905,.F.); #224427=EDGE_CURVE('',#178312,#178311,#106948,.F.); #224428=EDGE_CURVE('',#178313,#178312,#70906,.T.); #224429=EDGE_CURVE('',#178314,#178313,#106949,.F.); #224430=EDGE_CURVE('',#178315,#178314,#70907,.T.); #224431=EDGE_CURVE('',#178316,#178315,#106950,.F.); #224432=EDGE_CURVE('',#177865,#178316,#70908,.F.); #224433=EDGE_CURVE('',#178317,#178318,#106951,.F.); #224434=EDGE_CURVE('',#178318,#178319,#70909,.T.); #224435=EDGE_CURVE('',#178319,#178320,#106952,.F.); #224436=EDGE_CURVE('',#178320,#178321,#70910,.T.); #224437=EDGE_CURVE('',#178321,#178322,#106953,.F.); #224438=EDGE_CURVE('',#178322,#177861,#70911,.F.); #224439=EDGE_CURVE('',#178323,#177907,#70912,.T.); #224440=EDGE_CURVE('',#178324,#178323,#106954,.T.); #224441=EDGE_CURVE('',#178325,#178324,#70913,.T.); #224442=EDGE_CURVE('',#178326,#178325,#106955,.T.); #224443=EDGE_CURVE('',#178327,#178326,#70914,.T.); #224444=EDGE_CURVE('',#178328,#178327,#106956,.T.); #224445=EDGE_CURVE('',#177909,#178328,#70915,.T.); #224446=EDGE_CURVE('',#177866,#178317,#70916,.F.); #224447=EDGE_CURVE('',#178305,#178328,#106957,.T.); #224448=EDGE_CURVE('',#178306,#178327,#106958,.T.); #224449=EDGE_CURVE('',#178307,#178326,#106959,.T.); #224450=EDGE_CURVE('',#178308,#178325,#106960,.T.); #224451=EDGE_CURVE('',#178309,#178324,#106961,.T.); #224452=EDGE_CURVE('',#178310,#178323,#106962,.T.); #224453=EDGE_CURVE('',#178316,#178317,#106963,.F.); #224454=EDGE_CURVE('',#178315,#178318,#106964,.T.); #224455=EDGE_CURVE('',#178314,#178319,#106965,.F.); #224456=EDGE_CURVE('',#178313,#178320,#106966,.T.); #224457=EDGE_CURVE('',#178312,#178321,#106967,.F.); #224458=EDGE_CURVE('',#178311,#178322,#106968,.T.); #224459=EDGE_CURVE('',#178329,#178330,#106969,.T.); #224460=EDGE_CURVE('',#178330,#178331,#106970,.T.); #224461=EDGE_CURVE('',#178331,#178332,#106971,.T.); #224462=EDGE_CURVE('',#178329,#178332,#106972,.T.); #224463=EDGE_CURVE('',#178333,#178329,#70917,.F.); #224464=EDGE_CURVE('',#178332,#178334,#70918,.T.); #224465=EDGE_CURVE('',#178333,#178334,#106973,.T.); #224466=EDGE_CURVE('',#178335,#178333,#106974,.T.); #224467=EDGE_CURVE('',#178334,#178336,#106975,.T.); #224468=EDGE_CURVE('',#178335,#178336,#106976,.T.); #224469=EDGE_CURVE('',#178330,#178277,#70919,.F.); #224470=EDGE_CURVE('',#178276,#178331,#70920,.T.); #224471=EDGE_CURVE('',#178275,#178337,#106977,.T.); #224472=EDGE_CURVE('',#178336,#178337,#70921,.T.); #224473=EDGE_CURVE('',#178338,#178339,#106978,.T.); #224474=EDGE_CURVE('',#178338,#178335,#70922,.T.); #224475=EDGE_CURVE('',#178339,#178337,#106979,.T.); #224476=EDGE_CURVE('',#178278,#178338,#106980,.T.); #224477=EDGE_CURVE('',#178338,#177856,#63543,.T.); #224478=EDGE_CURVE('',#178337,#177872,#63544,.T.); #224479=EDGE_CURVE('',#178340,#178341,#106981,.T.); #224480=EDGE_CURVE('',#178341,#178154,#70923,.F.); #224481=EDGE_CURVE('',#178153,#178340,#70924,.T.); #224482=EDGE_CURVE('',#178342,#178343,#106982,.T.); #224483=EDGE_CURVE('',#178343,#178341,#106983,.F.); #224484=EDGE_CURVE('',#178340,#178342,#106984,.T.); #224485=EDGE_CURVE('',#178152,#178343,#70925,.F.); #224486=EDGE_CURVE('',#178342,#178151,#70926,.T.); #224487=EDGE_CURVE('',#178343,#178156,#70927,.T.); #224488=EDGE_CURVE('',#178341,#178155,#70928,.T.); #224489=EDGE_CURVE('',#178344,#178104,#106985,.T.); #224490=EDGE_CURVE('',#178345,#178344,#106986,.T.); #224491=EDGE_CURVE('',#178345,#178103,#106987,.T.); #224492=EDGE_CURVE('',#178106,#178346,#106988,.T.); #224493=EDGE_CURVE('',#178105,#178347,#106989,.T.); #224494=EDGE_CURVE('',#178347,#178346,#106990,.T.); #224495=EDGE_CURVE('',#178346,#178344,#106991,.T.); #224496=EDGE_CURVE('',#178347,#178345,#106992,.T.); #224497=EDGE_CURVE('',#177973,#178253,#106993,.T.); #224498=EDGE_CURVE('',#177974,#178252,#106994,.T.); #224499=EDGE_CURVE('',#178238,#177988,#106995,.F.); #224500=EDGE_CURVE('',#177987,#178239,#106996,.T.); #224501=EDGE_CURVE('',#177986,#178240,#106997,.T.); #224502=EDGE_CURVE('',#177985,#178241,#106998,.T.); #224503=EDGE_CURVE('',#177984,#178242,#106999,.T.); #224504=EDGE_CURVE('',#177983,#178243,#107000,.T.); #224505=EDGE_CURVE('',#177982,#178244,#107001,.T.); #224506=EDGE_CURVE('',#177981,#178245,#107002,.T.); #224507=EDGE_CURVE('',#177980,#178246,#107003,.T.); #224508=EDGE_CURVE('',#177979,#178247,#107004,.T.); #224509=EDGE_CURVE('',#177978,#178248,#107005,.T.); #224510=EDGE_CURVE('',#177977,#178249,#107006,.T.); #224511=EDGE_CURVE('',#177976,#178250,#107007,.T.); #224512=EDGE_CURVE('',#177975,#178251,#107008,.T.); #224513=EDGE_CURVE('',#177951,#178235,#107009,.T.); #224514=EDGE_CURVE('',#177952,#178234,#107010,.T.); #224515=EDGE_CURVE('',#177953,#178233,#107011,.T.); #224516=EDGE_CURVE('',#177954,#178232,#107012,.T.); #224517=EDGE_CURVE('',#177955,#178231,#107013,.T.); #224518=EDGE_CURVE('',#177956,#178230,#107014,.T.); #224519=EDGE_CURVE('',#177957,#178229,#107015,.T.); #224520=EDGE_CURVE('',#177958,#178228,#107016,.T.); #224521=EDGE_CURVE('',#177959,#178227,#107017,.T.); #224522=EDGE_CURVE('',#177960,#178226,#107018,.T.); #224523=EDGE_CURVE('',#177961,#178225,#107019,.T.); #224524=EDGE_CURVE('',#177962,#178224,#107020,.T.); #224525=EDGE_CURVE('',#178223,#178348,#107021,.F.); #224526=EDGE_CURVE('',#177963,#178348,#107022,.T.); #224527=EDGE_CURVE('',#178348,#178056,#107023,.T.); #224528=EDGE_CURVE('',#177964,#178056,#107024,.T.); #224529=EDGE_CURVE('',#177965,#178055,#107025,.T.); #224530=EDGE_CURVE('',#177966,#178054,#107026,.T.); #224531=EDGE_CURVE('',#177941,#178267,#107027,.T.); #224532=EDGE_CURVE('',#177944,#178270,#107028,.T.); #224533=EDGE_CURVE('',#177945,#178269,#107029,.T.); #224534=EDGE_CURVE('',#177948,#178268,#107030,.T.); #224535=EDGE_CURVE('',#178050,#178349,#70929,.T.); #224536=EDGE_CURVE('',#178349,#178350,#107031,.T.); #224537=EDGE_CURVE('',#178350,#178351,#70930,.T.); #224538=EDGE_CURVE('',#178351,#178352,#107032,.T.); #224539=EDGE_CURVE('',#178352,#178353,#70931,.T.); #224540=EDGE_CURVE('',#178353,#178354,#107033,.T.); #224541=EDGE_CURVE('',#178354,#178270,#70932,.T.); #224542=EDGE_CURVE('',#178355,#177944,#70933,.F.); #224543=EDGE_CURVE('',#178356,#178355,#107034,.F.); #224544=EDGE_CURVE('',#178357,#178356,#70934,.T.); #224545=EDGE_CURVE('',#178358,#178357,#107035,.F.); #224546=EDGE_CURVE('',#178359,#178358,#70935,.T.); #224547=EDGE_CURVE('',#178360,#178359,#107036,.F.); #224548=EDGE_CURVE('',#177947,#178360,#70936,.F.); #224549=EDGE_CURVE('',#178361,#178362,#107037,.F.); #224550=EDGE_CURVE('',#178362,#178363,#70937,.T.); #224551=EDGE_CURVE('',#178363,#178364,#107038,.F.); #224552=EDGE_CURVE('',#178364,#178365,#70938,.T.); #224553=EDGE_CURVE('',#178365,#178366,#107039,.F.); #224554=EDGE_CURVE('',#178366,#177943,#70939,.F.); #224555=EDGE_CURVE('',#178367,#178048,#70940,.T.); #224556=EDGE_CURVE('',#178368,#178367,#107040,.T.); #224557=EDGE_CURVE('',#178369,#178368,#70941,.T.); #224558=EDGE_CURVE('',#178370,#178369,#107041,.T.); #224559=EDGE_CURVE('',#178371,#178370,#70942,.T.); #224560=EDGE_CURVE('',#178372,#178371,#107042,.T.); #224561=EDGE_CURVE('',#178268,#178372,#70943,.T.); #224562=EDGE_CURVE('',#177948,#178361,#70944,.F.); #224563=EDGE_CURVE('',#178349,#178372,#107043,.T.); #224564=EDGE_CURVE('',#178350,#178371,#107044,.T.); #224565=EDGE_CURVE('',#178351,#178370,#107045,.T.); #224566=EDGE_CURVE('',#178352,#178369,#107046,.T.); #224567=EDGE_CURVE('',#178353,#178368,#107047,.T.); #224568=EDGE_CURVE('',#178354,#178367,#107048,.T.); #224569=EDGE_CURVE('',#178360,#178361,#107049,.F.); #224570=EDGE_CURVE('',#178359,#178362,#107050,.T.); #224571=EDGE_CURVE('',#178358,#178363,#107051,.F.); #224572=EDGE_CURVE('',#178357,#178364,#107052,.T.); #224573=EDGE_CURVE('',#178356,#178365,#107053,.F.); #224574=EDGE_CURVE('',#178355,#178366,#107054,.T.); #224575=EDGE_CURVE('',#178038,#178195,#107055,.T.); #224576=EDGE_CURVE('',#178039,#178194,#107056,.T.); #224577=EDGE_CURVE('',#178042,#178197,#107057,.T.); #224578=EDGE_CURVE('',#178043,#178196,#107058,.T.); #224579=EDGE_CURVE('',#178044,#178373,#70945,.T.); #224580=EDGE_CURVE('',#178373,#178374,#107059,.T.); #224581=EDGE_CURVE('',#178374,#178375,#70946,.T.); #224582=EDGE_CURVE('',#178375,#178376,#107060,.T.); #224583=EDGE_CURVE('',#178376,#178377,#70947,.T.); #224584=EDGE_CURVE('',#178377,#178378,#107061,.T.); #224585=EDGE_CURVE('',#178378,#178197,#70948,.T.); #224586=EDGE_CURVE('',#178379,#178042,#70949,.F.); #224587=EDGE_CURVE('',#178380,#178379,#107062,.F.); #224588=EDGE_CURVE('',#178381,#178380,#70950,.T.); #224589=EDGE_CURVE('',#178382,#178381,#107063,.F.); #224590=EDGE_CURVE('',#178383,#178382,#70951,.T.); #224591=EDGE_CURVE('',#178384,#178383,#107064,.F.); #224592=EDGE_CURVE('',#178037,#178384,#70952,.F.); #224593=EDGE_CURVE('',#178385,#178386,#107065,.F.); #224594=EDGE_CURVE('',#178386,#178387,#70953,.T.); #224595=EDGE_CURVE('',#178387,#178388,#107066,.F.); #224596=EDGE_CURVE('',#178388,#178389,#70954,.T.); #224597=EDGE_CURVE('',#178389,#178390,#107067,.F.); #224598=EDGE_CURVE('',#178390,#178041,#70955,.F.); #224599=EDGE_CURVE('',#178391,#178046,#70956,.T.); #224600=EDGE_CURVE('',#178392,#178391,#107068,.T.); #224601=EDGE_CURVE('',#178393,#178392,#70957,.T.); #224602=EDGE_CURVE('',#178394,#178393,#107069,.T.); #224603=EDGE_CURVE('',#178395,#178394,#70958,.T.); #224604=EDGE_CURVE('',#178396,#178395,#107070,.T.); #224605=EDGE_CURVE('',#178195,#178396,#70959,.T.); #224606=EDGE_CURVE('',#178038,#178385,#70960,.F.); #224607=EDGE_CURVE('',#178373,#178396,#107071,.T.); #224608=EDGE_CURVE('',#178374,#178395,#107072,.T.); #224609=EDGE_CURVE('',#178375,#178394,#107073,.T.); #224610=EDGE_CURVE('',#178376,#178393,#107074,.T.); #224611=EDGE_CURVE('',#178377,#178392,#107075,.T.); #224612=EDGE_CURVE('',#178378,#178391,#107076,.T.); #224613=EDGE_CURVE('',#178384,#178385,#107077,.F.); #224614=EDGE_CURVE('',#178383,#178386,#107078,.T.); #224615=EDGE_CURVE('',#178382,#178387,#107079,.F.); #224616=EDGE_CURVE('',#178381,#178388,#107080,.T.); #224617=EDGE_CURVE('',#178380,#178389,#107081,.F.); #224618=EDGE_CURVE('',#178379,#178390,#107082,.T.); #224619=EDGE_CURVE('',#178397,#178398,#70961,.F.); #224620=EDGE_CURVE('',#178398,#178399,#107083,.T.); #224621=EDGE_CURVE('',#178399,#178400,#70962,.T.); #224622=EDGE_CURVE('',#178397,#178400,#107084,.T.); #224623=EDGE_CURVE('',#178401,#178397,#107085,.T.); #224624=EDGE_CURVE('',#178400,#178402,#107086,.T.); #224625=EDGE_CURVE('',#178401,#178402,#107087,.T.); #224626=EDGE_CURVE('',#177998,#178401,#70963,.F.); #224627=EDGE_CURVE('',#178402,#177999,#70964,.T.); #224628=EDGE_CURVE('',#178403,#178404,#107088,.T.); #224629=EDGE_CURVE('',#178404,#178399,#107089,.T.); #224630=EDGE_CURVE('',#178398,#178403,#107090,.T.); #224631=EDGE_CURVE('',#178403,#178405,#70965,.T.); #224632=EDGE_CURVE('',#178405,#178406,#107091,.T.); #224633=EDGE_CURVE('',#178406,#178407,#107092,.T.); #224634=EDGE_CURVE('',#178407,#178404,#70966,.T.); #224635=EDGE_CURVE('',#178407,#178000,#107093,.T.); #224636=EDGE_CURVE('',#178405,#177997,#107094,.T.); #224637=EDGE_CURVE('',#178003,#178405,#63545,.T.); #224638=EDGE_CURVE('',#178161,#178407,#63546,.T.); #224639=EDGE_CURVE('',#178256,#178408,#70967,.F.); #224640=EDGE_CURVE('',#178409,#178408,#107095,.T.); #224641=EDGE_CURVE('',#178409,#178255,#70968,.T.); #224642=EDGE_CURVE('',#178410,#178411,#107096,.T.); #224643=EDGE_CURVE('',#178411,#178258,#70969,.F.); #224644=EDGE_CURVE('',#178257,#178410,#70970,.T.); #224645=EDGE_CURVE('',#178408,#178411,#107097,.F.); #224646=EDGE_CURVE('',#178410,#178409,#107098,.T.); #224647=EDGE_CURVE('',#178259,#178411,#70971,.T.); #224648=EDGE_CURVE('',#178260,#178408,#70972,.T.); #224649=EDGE_CURVE('',#177994,#178412,#107099,.T.); #224650=EDGE_CURVE('',#177993,#178413,#107100,.T.); #224651=EDGE_CURVE('',#178413,#178412,#107101,.T.); #224652=EDGE_CURVE('',#178412,#178414,#107102,.T.); #224653=EDGE_CURVE('',#178413,#178415,#107103,.T.); #224654=EDGE_CURVE('',#178415,#178414,#107104,.T.); #224655=EDGE_CURVE('',#178414,#177992,#107105,.T.); #224656=EDGE_CURVE('',#178415,#177991,#107106,.T.); #224657=EDGE_CURVE('',#178416,#178417,#107107,.T.); #224658=EDGE_CURVE('',#178416,#178418,#107108,.T.); #224659=EDGE_CURVE('',#178418,#178419,#107109,.T.); #224660=EDGE_CURVE('',#178417,#178419,#107110,.T.); #224661=EDGE_CURVE('',#178418,#178420,#3191,.T.); #224662=EDGE_CURVE('',#178420,#178421,#107111,.T.); #224663=EDGE_CURVE('',#178419,#178421,#70973,.T.); #224664=EDGE_CURVE('',#178422,#178423,#107112,.T.); #224665=EDGE_CURVE('',#178424,#178422,#107113,.T.); #224666=EDGE_CURVE('',#178424,#178425,#107114,.T.); #224667=EDGE_CURVE('',#178425,#178423,#107115,.T.); #224668=EDGE_CURVE('',#178423,#178426,#70974,.T.); #224669=EDGE_CURVE('',#178425,#178427,#70975,.T.); #224670=EDGE_CURVE('',#178427,#178426,#107116,.T.); #224671=EDGE_CURVE('',#178426,#178428,#70976,.T.); #224672=EDGE_CURVE('',#178427,#178429,#70977,.T.); #224673=EDGE_CURVE('',#178429,#178428,#107117,.T.); #224674=EDGE_CURVE('',#178428,#178430,#70978,.T.); #224675=EDGE_CURVE('',#178429,#178431,#70979,.T.); #224676=EDGE_CURVE('',#178431,#178430,#107118,.T.); #224677=EDGE_CURVE('',#178430,#178432,#107119,.T.); #224678=EDGE_CURVE('',#178431,#178433,#107120,.T.); #224679=EDGE_CURVE('',#178433,#178432,#107121,.T.); #224680=EDGE_CURVE('',#178432,#178434,#70980,.T.); #224681=EDGE_CURVE('',#178433,#178435,#70981,.T.); #224682=EDGE_CURVE('',#178435,#178434,#107122,.T.); #224683=EDGE_CURVE('',#178434,#178436,#70982,.T.); #224684=EDGE_CURVE('',#178435,#178437,#70983,.T.); #224685=EDGE_CURVE('',#178437,#178436,#107123,.T.); #224686=EDGE_CURVE('',#178436,#178438,#70984,.T.); #224687=EDGE_CURVE('',#178437,#178439,#70985,.T.); #224688=EDGE_CURVE('',#178439,#178438,#107124,.T.); #224689=EDGE_CURVE('',#178438,#178440,#107125,.T.); #224690=EDGE_CURVE('',#178439,#178441,#107126,.T.); #224691=EDGE_CURVE('',#178441,#178440,#107127,.T.); #224692=EDGE_CURVE('',#178440,#178442,#70986,.T.); #224693=EDGE_CURVE('',#178441,#178443,#70987,.T.); #224694=EDGE_CURVE('',#178443,#178442,#107128,.T.); #224695=EDGE_CURVE('',#178442,#178444,#107129,.T.); #224696=EDGE_CURVE('',#178443,#178445,#107130,.T.); #224697=EDGE_CURVE('',#178445,#178444,#107131,.T.); #224698=EDGE_CURVE('',#178444,#178422,#70988,.T.); #224699=EDGE_CURVE('',#178445,#178424,#70989,.T.); #224700=EDGE_CURVE('',#178446,#178447,#107132,.T.); #224701=EDGE_CURVE('',#178448,#178446,#107133,.T.); #224702=EDGE_CURVE('',#178448,#178449,#107134,.T.); #224703=EDGE_CURVE('',#178449,#178447,#107135,.T.); #224704=EDGE_CURVE('',#178450,#178446,#70990,.T.); #224705=EDGE_CURVE('',#178451,#178450,#107136,.T.); #224706=EDGE_CURVE('',#178451,#178448,#70991,.T.); #224707=EDGE_CURVE('',#178452,#178450,#70992,.T.); #224708=EDGE_CURVE('',#178453,#178452,#107137,.T.); #224709=EDGE_CURVE('',#178453,#178451,#70993,.T.); #224710=EDGE_CURVE('',#178454,#178452,#70994,.T.); #224711=EDGE_CURVE('',#178455,#178454,#107138,.T.); #224712=EDGE_CURVE('',#178455,#178453,#70995,.T.); #224713=EDGE_CURVE('',#178456,#178454,#107139,.T.); #224714=EDGE_CURVE('',#178457,#178456,#107140,.T.); #224715=EDGE_CURVE('',#178457,#178455,#107141,.T.); #224716=EDGE_CURVE('',#178458,#178456,#70996,.T.); #224717=EDGE_CURVE('',#178459,#178458,#107142,.T.); #224718=EDGE_CURVE('',#178459,#178457,#70997,.T.); #224719=EDGE_CURVE('',#178460,#178458,#70998,.T.); #224720=EDGE_CURVE('',#178461,#178460,#107143,.T.); #224721=EDGE_CURVE('',#178461,#178459,#70999,.T.); #224722=EDGE_CURVE('',#178462,#178460,#71000,.T.); #224723=EDGE_CURVE('',#178463,#178462,#107144,.T.); #224724=EDGE_CURVE('',#178463,#178461,#71001,.T.); #224725=EDGE_CURVE('',#178464,#178462,#107145,.T.); #224726=EDGE_CURVE('',#178465,#178464,#107146,.T.); #224727=EDGE_CURVE('',#178465,#178463,#107147,.T.); #224728=EDGE_CURVE('',#178466,#178464,#71002,.T.); #224729=EDGE_CURVE('',#178467,#178466,#107148,.T.); #224730=EDGE_CURVE('',#178467,#178465,#71003,.T.); #224731=EDGE_CURVE('',#178468,#178466,#107149,.T.); #224732=EDGE_CURVE('',#178469,#178468,#107150,.T.); #224733=EDGE_CURVE('',#178469,#178467,#107151,.T.); #224734=EDGE_CURVE('',#178447,#178468,#71004,.T.); #224735=EDGE_CURVE('',#178449,#178469,#71005,.T.); #224736=EDGE_CURVE('',#178470,#178471,#107152,.T.); #224737=EDGE_CURVE('',#178470,#178472,#107153,.T.); #224738=EDGE_CURVE('',#178472,#178473,#107154,.T.); #224739=EDGE_CURVE('',#178471,#178473,#107155,.T.); #224740=EDGE_CURVE('',#178474,#178475,#107156,.T.); #224741=EDGE_CURVE('',#178474,#178470,#3192,.T.); #224742=EDGE_CURVE('',#178475,#178471,#71006,.T.); #224743=EDGE_CURVE('',#178476,#178477,#107157,.T.); #224744=EDGE_CURVE('',#178476,#178478,#63547,.T.); #224745=EDGE_CURVE('',#178478,#178479,#107158,.T.); #224746=EDGE_CURVE('',#178479,#178480,#107159,.T.); #224747=EDGE_CURVE('',#178477,#178480,#63548,.T.); #224748=EDGE_CURVE('',#178481,#178482,#107160,.T.); #224749=EDGE_CURVE('',#178481,#178476,#63549,.T.); #224750=EDGE_CURVE('',#178482,#178477,#63550,.T.); #224751=EDGE_CURVE('',#178420,#178483,#107161,.F.); #224752=EDGE_CURVE('',#178483,#178484,#107162,.T.); #224753=EDGE_CURVE('',#178484,#178481,#71007,.F.); #224754=EDGE_CURVE('',#178485,#178482,#71008,.T.); #224755=EDGE_CURVE('',#178421,#178485,#107163,.T.); #224756=EDGE_CURVE('',#178485,#178486,#107164,.T.); #224757=EDGE_CURVE('',#178486,#178487,#107165,.T.); #224758=EDGE_CURVE('',#178487,#178417,#71009,.T.); #224759=EDGE_CURVE('',#178488,#178487,#107166,.T.); #224760=EDGE_CURVE('',#178488,#178416,#3193,.T.); #224761=EDGE_CURVE('',#178489,#178488,#107167,.F.); #224762=EDGE_CURVE('',#178483,#178489,#107168,.T.); #224763=EDGE_CURVE('',#178490,#178489,#107169,.T.); #224764=EDGE_CURVE('',#178484,#178490,#107170,.T.); #224765=EDGE_CURVE('',#178491,#178492,#107171,.T.); #224766=EDGE_CURVE('',#178491,#178493,#107172,.T.); #224767=EDGE_CURVE('',#178494,#178493,#107173,.T.); #224768=EDGE_CURVE('',#178492,#178494,#107174,.T.); #224769=EDGE_CURVE('',#178493,#178474,#107175,.F.); #224770=EDGE_CURVE('',#178495,#178491,#107176,.F.); #224771=EDGE_CURVE('',#178472,#178495,#3194,.T.); #224772=EDGE_CURVE('',#178495,#178496,#107177,.T.); #224773=EDGE_CURVE('',#178473,#178496,#71010,.T.); #224774=EDGE_CURVE('',#178497,#178498,#107178,.T.); #224775=EDGE_CURVE('',#178497,#178499,#63551,.T.); #224776=EDGE_CURVE('',#178499,#178500,#107179,.F.); #224777=EDGE_CURVE('',#178498,#178500,#63552,.T.); #224778=EDGE_CURVE('',#178496,#178501,#107180,.T.); #224779=EDGE_CURVE('',#178501,#178502,#107181,.T.); #224780=EDGE_CURVE('',#178502,#178475,#107182,.T.); #224781=EDGE_CURVE('',#178500,#178502,#71011,.T.); #224782=EDGE_CURVE('',#178499,#178494,#71012,.T.); #224783=EDGE_CURVE('',#178503,#178504,#107183,.T.); #224784=EDGE_CURVE('',#178503,#178497,#63553,.T.); #224785=EDGE_CURVE('',#178505,#178498,#63554,.T.); #224786=EDGE_CURVE('',#178504,#178505,#107184,.T.); #224787=EDGE_CURVE('',#178506,#178503,#107185,.T.); #224788=EDGE_CURVE('',#178492,#178506,#71013,.F.); #224789=EDGE_CURVE('',#178507,#178508,#107186,.T.); #224790=EDGE_CURVE('',#178508,#178509,#107187,.T.); #224791=EDGE_CURVE('',#178510,#178509,#107188,.T.); #224792=EDGE_CURVE('',#178510,#178507,#107189,.T.); #224793=EDGE_CURVE('',#178511,#178512,#107190,.T.); #224794=EDGE_CURVE('',#178512,#178513,#107191,.T.); #224795=EDGE_CURVE('',#178514,#178513,#107192,.T.); #224796=EDGE_CURVE('',#178514,#178511,#107193,.T.); #224797=EDGE_CURVE('',#178515,#178490,#71014,.T.); #224798=EDGE_CURVE('',#178478,#178515,#107194,.T.); #224799=EDGE_CURVE('',#178516,#178517,#107195,.T.); #224800=EDGE_CURVE('',#178518,#178516,#107196,.T.); #224801=EDGE_CURVE('',#178518,#178519,#107197,.T.); #224802=EDGE_CURVE('',#178519,#178517,#107198,.T.); #224803=EDGE_CURVE('',#178520,#178516,#71015,.T.); #224804=EDGE_CURVE('',#178521,#178520,#107199,.T.); #224805=EDGE_CURVE('',#178521,#178518,#71016,.T.); #224806=EDGE_CURVE('',#178522,#178520,#71017,.T.); #224807=EDGE_CURVE('',#178523,#178522,#107200,.T.); #224808=EDGE_CURVE('',#178523,#178521,#71018,.T.); #224809=EDGE_CURVE('',#178478,#178523,#71019,.T.); #224810=EDGE_CURVE('',#178480,#178522,#71020,.T.); #224811=EDGE_CURVE('',#178524,#178486,#71021,.T.); #224812=EDGE_CURVE('',#178480,#178524,#107201,.T.); #224813=EDGE_CURVE('',#178525,#178524,#107202,.T.); #224814=EDGE_CURVE('',#178526,#178525,#107203,.T.); #224815=EDGE_CURVE('',#178526,#178515,#107204,.T.); #224816=EDGE_CURVE('',#178527,#178525,#71022,.T.); #224817=EDGE_CURVE('',#178528,#178527,#107205,.T.); #224818=EDGE_CURVE('',#178528,#178526,#71023,.T.); #224819=EDGE_CURVE('',#178529,#178527,#107206,.T.); #224820=EDGE_CURVE('',#178530,#178529,#107207,.T.); #224821=EDGE_CURVE('',#178530,#178528,#107208,.T.); #224822=EDGE_CURVE('',#178531,#178529,#71024,.T.); #224823=EDGE_CURVE('',#178532,#178531,#107209,.T.); #224824=EDGE_CURVE('',#178532,#178530,#71025,.T.); #224825=EDGE_CURVE('',#178533,#178531,#107210,.T.); #224826=EDGE_CURVE('',#178534,#178533,#107211,.T.); #224827=EDGE_CURVE('',#178534,#178532,#107212,.T.); #224828=EDGE_CURVE('',#178535,#178533,#71026,.T.); #224829=EDGE_CURVE('',#178536,#178535,#107213,.T.); #224830=EDGE_CURVE('',#178536,#178534,#71027,.T.); #224831=EDGE_CURVE('',#178537,#178535,#71028,.T.); #224832=EDGE_CURVE('',#178537,#178512,#3195,.T.); #224833=EDGE_CURVE('',#178511,#178536,#71029,.T.); #224834=EDGE_CURVE('',#178513,#178538,#3196,.T.); #224835=EDGE_CURVE('',#178538,#178537,#107214,.T.); #224836=EDGE_CURVE('',#178539,#178538,#71030,.T.); #224837=EDGE_CURVE('',#178540,#178539,#107215,.T.); #224838=EDGE_CURVE('',#178540,#178514,#71031,.T.); #224839=EDGE_CURVE('',#178541,#178539,#71032,.T.); #224840=EDGE_CURVE('',#178542,#178541,#107216,.T.); #224841=EDGE_CURVE('',#178542,#178540,#71033,.T.); #224842=EDGE_CURVE('',#178543,#178541,#107217,.T.); #224843=EDGE_CURVE('',#178544,#178543,#107218,.T.); #224844=EDGE_CURVE('',#178544,#178542,#107219,.T.); #224845=EDGE_CURVE('',#178545,#178543,#71034,.T.); #224846=EDGE_CURVE('',#178546,#178545,#107220,.T.); #224847=EDGE_CURVE('',#178546,#178544,#71035,.T.); #224848=EDGE_CURVE('',#178547,#178545,#71036,.T.); #224849=EDGE_CURVE('',#178547,#178508,#3197,.T.); #224850=EDGE_CURVE('',#178507,#178546,#71037,.T.); #224851=EDGE_CURVE('',#178509,#178548,#3198,.T.); #224852=EDGE_CURVE('',#178548,#178547,#107221,.T.); #224853=EDGE_CURVE('',#178549,#178548,#71038,.T.); #224854=EDGE_CURVE('',#178550,#178549,#107222,.T.); #224855=EDGE_CURVE('',#178550,#178510,#71039,.T.); #224856=EDGE_CURVE('',#178551,#178549,#71040,.T.); #224857=EDGE_CURVE('',#178552,#178551,#107223,.T.); #224858=EDGE_CURVE('',#178552,#178550,#71041,.T.); #224859=EDGE_CURVE('',#178553,#178551,#107224,.T.); #224860=EDGE_CURVE('',#178554,#178553,#107225,.T.); #224861=EDGE_CURVE('',#178554,#178552,#107226,.T.); #224862=EDGE_CURVE('',#178555,#178553,#71042,.T.); #224863=EDGE_CURVE('',#178556,#178555,#107227,.T.); #224864=EDGE_CURVE('',#178556,#178554,#71043,.T.); #224865=EDGE_CURVE('',#178557,#178555,#107228,.T.); #224866=EDGE_CURVE('',#178558,#178557,#107229,.T.); #224867=EDGE_CURVE('',#178558,#178556,#107230,.T.); #224868=EDGE_CURVE('',#178559,#178557,#71044,.T.); #224869=EDGE_CURVE('',#178560,#178559,#107231,.T.); #224870=EDGE_CURVE('',#178560,#178558,#71045,.T.); #224871=EDGE_CURVE('',#178506,#178560,#107232,.T.); #224872=EDGE_CURVE('',#178561,#178559,#107233,.T.); #224873=EDGE_CURVE('',#178501,#178561,#71046,.T.); #224874=EDGE_CURVE('',#178561,#178505,#107234,.T.); #224875=EDGE_CURVE('',#178562,#178503,#71047,.T.); #224876=EDGE_CURVE('',#178563,#178505,#71048,.T.); #224877=EDGE_CURVE('',#178562,#178563,#107235,.T.); #224878=EDGE_CURVE('',#178564,#178563,#71049,.T.); #224879=EDGE_CURVE('',#178565,#178564,#107236,.T.); #224880=EDGE_CURVE('',#178565,#178562,#71050,.T.); #224881=EDGE_CURVE('',#178566,#178564,#71051,.T.); #224882=EDGE_CURVE('',#178567,#178566,#107237,.T.); #224883=EDGE_CURVE('',#178567,#178565,#71052,.T.); #224884=EDGE_CURVE('',#178568,#178566,#107238,.T.); #224885=EDGE_CURVE('',#178569,#178568,#107239,.T.); #224886=EDGE_CURVE('',#178569,#178567,#107240,.T.); #224887=EDGE_CURVE('',#178570,#178571,#107241,.T.); #224888=EDGE_CURVE('',#178572,#178570,#107242,.T.); #224889=EDGE_CURVE('',#178572,#178573,#107243,.T.); #224890=EDGE_CURVE('',#178573,#178571,#107244,.T.); #224891=EDGE_CURVE('',#178574,#178570,#71053,.T.); #224892=EDGE_CURVE('',#178575,#178574,#107245,.T.); #224893=EDGE_CURVE('',#178575,#178572,#71054,.T.); #224894=EDGE_CURVE('',#178571,#178576,#71055,.T.); #224895=EDGE_CURVE('',#178573,#178577,#71056,.T.); #224896=EDGE_CURVE('',#178577,#178576,#107246,.T.); #224897=EDGE_CURVE('',#178577,#178578,#107247,.T.); #224898=EDGE_CURVE('',#178578,#178579,#71057,.F.); #224899=EDGE_CURVE('',#178579,#178580,#107248,.T.); #224900=EDGE_CURVE('',#178581,#178580,#71058,.T.); #224901=EDGE_CURVE('',#178576,#178581,#107249,.T.); #224902=EDGE_CURVE('',#178517,#178582,#71059,.T.); #224903=EDGE_CURVE('',#178582,#178583,#107250,.T.); #224904=EDGE_CURVE('',#178583,#178574,#107251,.T.); #224905=EDGE_CURVE('',#178581,#178584,#107252,.T.); #224906=EDGE_CURVE('',#178584,#178568,#71060,.T.); #224907=EDGE_CURVE('',#178585,#178586,#107253,.T.); #224908=EDGE_CURVE('',#178585,#178569,#71061,.T.); #224909=EDGE_CURVE('',#178586,#178584,#107254,.T.); #224910=EDGE_CURVE('',#178587,#178588,#107255,.T.); #224911=EDGE_CURVE('',#178519,#178587,#71062,.T.); #224912=EDGE_CURVE('',#178578,#178585,#107256,.T.); #224913=EDGE_CURVE('',#178588,#178575,#107257,.T.); #224914=EDGE_CURVE('',#178587,#178589,#107258,.T.); #224915=EDGE_CURVE('',#178589,#178582,#107259,.T.); #224916=EDGE_CURVE('',#178590,#178583,#71063,.T.); #224917=EDGE_CURVE('',#178591,#178590,#107260,.F.); #224918=EDGE_CURVE('',#178591,#178588,#71064,.T.); #224919=EDGE_CURVE('',#178587,#178592,#3199,.T.); #224920=EDGE_CURVE('',#178592,#178593,#107261,.F.); #224921=EDGE_CURVE('',#178582,#178593,#3200,.T.); #224922=EDGE_CURVE('',#178592,#178594,#71065,.F.); #224923=EDGE_CURVE('',#178594,#178595,#107262,.T.); #224924=EDGE_CURVE('',#178593,#178595,#71066,.T.); #224925=EDGE_CURVE('',#178594,#177925,#63555,.T.); #224926=EDGE_CURVE('',#178595,#178261,#63556,.T.); #224927=EDGE_CURVE('',#177921,#178591,#63557,.T.); #224928=EDGE_CURVE('',#177923,#178590,#63558,.T.); #224929=EDGE_CURVE('',#178579,#177928,#63559,.T.); #224930=EDGE_CURVE('',#178580,#178262,#63560,.T.); #224931=EDGE_CURVE('',#177916,#178596,#63561,.T.); #224932=EDGE_CURVE('',#178596,#178597,#107263,.F.); #224933=EDGE_CURVE('',#177918,#178597,#63562,.T.); #224934=EDGE_CURVE('',#178596,#178598,#71067,.T.); #224935=EDGE_CURVE('',#178598,#178599,#107264,.T.); #224936=EDGE_CURVE('',#178597,#178599,#71068,.T.); #224937=EDGE_CURVE('',#178598,#178585,#3201,.T.); #224938=EDGE_CURVE('',#178599,#178584,#3202,.T.); #224939=EDGE_CURVE('',#177834,#177894,#107265,.T.); #224940=EDGE_CURVE('',#177833,#177895,#107266,.T.); #224941=EDGE_CURVE('',#177832,#177896,#107267,.T.); #224942=EDGE_CURVE('',#177831,#177897,#107268,.T.); #224943=EDGE_CURVE('',#177830,#177898,#107269,.T.); #224944=EDGE_CURVE('',#177829,#177899,#107270,.T.); #224945=EDGE_CURVE('',#177820,#177867,#107271,.T.); #224946=EDGE_CURVE('',#177822,#177868,#107272,.T.); #224947=EDGE_CURVE('',#177823,#177905,#107273,.T.); #224948=EDGE_CURVE('',#177824,#177904,#107274,.T.); #224949=EDGE_CURVE('',#177825,#177903,#107275,.T.); #224950=EDGE_CURVE('',#177826,#177902,#107276,.T.); #224951=EDGE_CURVE('',#178029,#178169,#107277,.T.); #224952=EDGE_CURVE('',#178028,#178170,#107278,.T.); #224953=EDGE_CURVE('',#178027,#178171,#107279,.T.); #224954=EDGE_CURVE('',#178026,#178172,#107280,.T.); #224955=EDGE_CURVE('',#178025,#178173,#107281,.T.); #224956=EDGE_CURVE('',#178024,#178174,#107282,.T.); #224957=EDGE_CURVE('',#178030,#178168,#107283,.T.); #224958=EDGE_CURVE('',#178031,#178167,#107284,.T.); #224959=EDGE_CURVE('',#178032,#178166,#107285,.T.); #224960=EDGE_CURVE('',#178033,#178165,#107286,.T.); #224961=EDGE_CURVE('',#178034,#178164,#107287,.T.); #224962=EDGE_CURVE('',#178035,#178163,#107288,.T.); #224963=EDGE_CURVE('',#178600,#178601,#107289,.T.); #224964=EDGE_CURVE('',#178602,#178601,#107290,.T.); #224965=EDGE_CURVE('',#178603,#178602,#107291,.T.); #224966=EDGE_CURVE('',#178603,#178600,#107292,.T.); #224967=EDGE_CURVE('',#178601,#178604,#107293,.T.); #224968=EDGE_CURVE('',#178604,#178605,#71069,.T.); #224969=EDGE_CURVE('',#178605,#178606,#107294,.F.); #224970=EDGE_CURVE('',#178606,#178607,#71070,.F.); #224971=EDGE_CURVE('',#178607,#178608,#107295,.F.); #224972=EDGE_CURVE('',#178608,#178609,#107296,.T.); #224973=EDGE_CURVE('',#178610,#178609,#107297,.T.); #224974=EDGE_CURVE('',#178611,#178610,#71071,.T.); #224975=EDGE_CURVE('',#178612,#178611,#107298,.T.); #224976=EDGE_CURVE('',#178613,#178612,#71072,.T.); #224977=EDGE_CURVE('',#178602,#178613,#107299,.T.); #224978=EDGE_CURVE('',#178614,#178615,#107300,.T.); #224979=EDGE_CURVE('',#178616,#178615,#107301,.T.); #224980=EDGE_CURVE('',#178617,#178616,#107302,.T.); #224981=EDGE_CURVE('',#178617,#178618,#71073,.T.); #224982=EDGE_CURVE('',#178618,#178619,#107303,.T.); #224983=EDGE_CURVE('',#178619,#178620,#71074,.T.); #224984=EDGE_CURVE('',#178620,#178621,#107304,.T.); #224985=EDGE_CURVE('',#178622,#178621,#107305,.T.); #224986=EDGE_CURVE('',#178623,#178622,#107306,.F.); #224987=EDGE_CURVE('',#178624,#178623,#71075,.F.); #224988=EDGE_CURVE('',#178625,#178624,#107307,.F.); #224989=EDGE_CURVE('',#178614,#178625,#71076,.T.); #224990=EDGE_CURVE('',#178615,#178626,#107308,.T.); #224991=EDGE_CURVE('',#178627,#178626,#107309,.T.); #224992=EDGE_CURVE('',#178616,#178627,#107310,.T.); #224993=EDGE_CURVE('',#178628,#178629,#107311,.T.); #224994=EDGE_CURVE('',#178628,#178630,#107312,.T.); #224995=EDGE_CURVE('',#178627,#178630,#107313,.T.); #224996=EDGE_CURVE('',#178626,#178631,#107314,.T.); #224997=EDGE_CURVE('',#178631,#178629,#107315,.F.); #224998=EDGE_CURVE('',#178632,#178633,#107316,.T.); #224999=EDGE_CURVE('',#178632,#178628,#107317,.T.); #225000=EDGE_CURVE('',#178629,#178633,#107318,.T.); #225001=EDGE_CURVE('',#178634,#178603,#107319,.T.); #225002=EDGE_CURVE('',#178634,#178632,#107320,.T.); #225003=EDGE_CURVE('',#178633,#178635,#107321,.F.); #225004=EDGE_CURVE('',#178635,#178600,#107322,.T.); #225005=EDGE_CURVE('',#178630,#178634,#107323,.T.); #225006=EDGE_CURVE('',#178613,#178617,#107324,.T.); #225007=EDGE_CURVE('',#178635,#178631,#107325,.T.); #225008=EDGE_CURVE('',#178604,#178614,#107326,.T.); #225009=EDGE_CURVE('',#178622,#178608,#107327,.F.); #225010=EDGE_CURVE('',#178621,#178609,#107328,.T.); #225011=EDGE_CURVE('',#178618,#178612,#107329,.T.); #225012=EDGE_CURVE('',#178619,#178611,#107330,.T.); #225013=EDGE_CURVE('',#178620,#178610,#107331,.T.); #225014=EDGE_CURVE('',#178625,#178605,#107332,.F.); #225015=EDGE_CURVE('',#178624,#178606,#107333,.T.); #225016=EDGE_CURVE('',#178623,#178607,#107334,.F.); #225017=EDGE_CURVE('',#178636,#178637,#107335,.T.); #225018=EDGE_CURVE('',#178638,#178637,#107336,.T.); #225019=EDGE_CURVE('',#178639,#178638,#107337,.T.); #225020=EDGE_CURVE('',#178639,#178640,#107338,.T.); #225021=EDGE_CURVE('',#178640,#178641,#107339,.T.); #225022=EDGE_CURVE('',#178642,#178641,#107340,.T.); #225023=EDGE_CURVE('',#178643,#178642,#107341,.T.); #225024=EDGE_CURVE('',#178643,#178644,#107342,.T.); #225025=EDGE_CURVE('',#178644,#178645,#107343,.T.); #225026=EDGE_CURVE('',#178646,#178645,#107344,.T.); #225027=EDGE_CURVE('',#178647,#178646,#107345,.T.); #225028=EDGE_CURVE('',#178647,#178636,#107346,.T.); #225029=EDGE_CURVE('',#178648,#178649,#107347,.T.); #225030=EDGE_CURVE('',#178648,#178650,#107348,.T.); #225031=EDGE_CURVE('',#178650,#178651,#107349,.T.); #225032=EDGE_CURVE('',#178649,#178651,#107350,.T.); #225033=EDGE_CURVE('',#178652,#178653,#107351,.T.); #225034=EDGE_CURVE('',#178654,#178653,#107352,.T.); #225035=EDGE_CURVE('',#178654,#178655,#107353,.T.); #225036=EDGE_CURVE('',#178655,#178652,#107354,.T.); #225037=EDGE_CURVE('',#178656,#178657,#107355,.T.); #225038=EDGE_CURVE('',#178657,#178658,#107356,.T.); #225039=EDGE_CURVE('',#178658,#178659,#107357,.T.); #225040=EDGE_CURVE('',#178659,#178656,#107358,.T.); #225041=EDGE_CURVE('',#178660,#178661,#107359,.T.); #225042=EDGE_CURVE('',#178661,#178662,#107360,.T.); #225043=EDGE_CURVE('',#178663,#178662,#107361,.T.); #225044=EDGE_CURVE('',#178660,#178663,#107362,.T.); #225045=EDGE_CURVE('',#178664,#178665,#107363,.T.); #225046=EDGE_CURVE('',#178665,#178666,#107364,.T.); #225047=EDGE_CURVE('',#178666,#178667,#107365,.T.); #225048=EDGE_CURVE('',#178667,#178664,#107366,.T.); #225049=EDGE_CURVE('',#178668,#178669,#107367,.T.); #225050=EDGE_CURVE('',#178669,#178670,#107368,.T.); #225051=EDGE_CURVE('',#178671,#178670,#107369,.T.); #225052=EDGE_CURVE('',#178668,#178671,#107370,.T.); #225053=EDGE_CURVE('',#178672,#178673,#107371,.T.); #225054=EDGE_CURVE('',#178673,#178674,#107372,.T.); #225055=EDGE_CURVE('',#178674,#178675,#107373,.T.); #225056=EDGE_CURVE('',#178675,#178672,#107374,.T.); #225057=EDGE_CURVE('',#178676,#178677,#107375,.T.); #225058=EDGE_CURVE('',#178678,#178676,#107376,.T.); #225059=EDGE_CURVE('',#178679,#178678,#107377,.T.); #225060=EDGE_CURVE('',#178677,#178679,#107378,.T.); #225061=EDGE_CURVE('',#178680,#178681,#107379,.T.); #225062=EDGE_CURVE('',#178682,#178680,#107380,.T.); #225063=EDGE_CURVE('',#178683,#178682,#107381,.T.); #225064=EDGE_CURVE('',#178681,#178683,#107382,.T.); #225065=EDGE_CURVE('',#178684,#178659,#107383,.T.); #225066=EDGE_CURVE('',#178658,#178638,#107384,.T.); #225067=EDGE_CURVE('',#178637,#178685,#107385,.T.); #225068=EDGE_CURVE('',#178684,#178685,#107386,.T.); #225069=EDGE_CURVE('',#178656,#178686,#107387,.T.); #225070=EDGE_CURVE('',#178686,#178687,#107388,.T.); #225071=EDGE_CURVE('',#178687,#178640,#107389,.T.); #225072=EDGE_CURVE('',#178639,#178657,#107390,.T.); #225073=EDGE_CURVE('',#178685,#178688,#107391,.T.); #225074=EDGE_CURVE('',#178688,#178636,#107392,.T.); #225075=EDGE_CURVE('',#178689,#178667,#107393,.T.); #225076=EDGE_CURVE('',#178666,#178646,#107394,.T.); #225077=EDGE_CURVE('',#178645,#178690,#107395,.T.); #225078=EDGE_CURVE('',#178689,#178690,#107396,.T.); #225079=EDGE_CURVE('',#178664,#178691,#107397,.T.); #225080=EDGE_CURVE('',#178691,#178688,#107398,.T.); #225081=EDGE_CURVE('',#178647,#178665,#107399,.T.); #225082=EDGE_CURVE('',#178690,#178692,#107400,.T.); #225083=EDGE_CURVE('',#178692,#178644,#107401,.T.); #225084=EDGE_CURVE('',#178693,#178694,#107402,.T.); #225085=EDGE_CURVE('',#178693,#178695,#107403,.T.); #225086=EDGE_CURVE('',#178695,#178696,#107404,.T.); #225087=EDGE_CURVE('',#178696,#178697,#107405,.T.); #225088=EDGE_CURVE('',#178698,#178697,#107406,.T.); #225089=EDGE_CURVE('',#178698,#178643,#107407,.T.); #225090=EDGE_CURVE('',#178642,#178694,#107408,.T.); #225091=EDGE_CURVE('',#178699,#178700,#107409,.T.); #225092=EDGE_CURVE('',#178699,#178701,#71077,.T.); #225093=EDGE_CURVE('',#178701,#178653,#107410,.T.); #225094=EDGE_CURVE('',#178652,#178692,#107411,.T.); #225095=EDGE_CURVE('',#178700,#178698,#107412,.T.); #225096=EDGE_CURVE('',#178702,#178648,#107413,.T.); #225097=EDGE_CURVE('',#178702,#178703,#71078,.T.); #225098=EDGE_CURVE('',#178704,#178703,#107414,.T.); #225099=EDGE_CURVE('',#178704,#178694,#107415,.T.); #225100=EDGE_CURVE('',#178641,#178705,#107416,.T.); #225101=EDGE_CURVE('',#178650,#178705,#107417,.T.); #225102=EDGE_CURVE('',#178706,#178707,#71079,.T.); #225103=EDGE_CURVE('',#178708,#178706,#107418,.T.); #225104=EDGE_CURVE('',#178709,#178708,#71080,.T.); #225105=EDGE_CURVE('',#178710,#178709,#107419,.T.); #225106=EDGE_CURVE('',#178711,#178710,#107420,.T.); #225107=EDGE_CURVE('',#178712,#178711,#107421,.T.); #225108=EDGE_CURVE('',#178651,#178712,#107422,.T.); #225109=EDGE_CURVE('',#178705,#178687,#107423,.T.); #225110=EDGE_CURVE('',#178684,#178686,#107424,.T.); #225111=EDGE_CURVE('',#178689,#178691,#107425,.T.); #225112=EDGE_CURVE('',#178713,#178655,#107426,.T.); #225113=EDGE_CURVE('',#178714,#178713,#107427,.T.); #225114=EDGE_CURVE('',#178715,#178714,#107428,.T.); #225115=EDGE_CURVE('',#178707,#178715,#107429,.T.); #225116=EDGE_CURVE('',#178716,#178717,#71081,.T.); #225117=EDGE_CURVE('',#178717,#178718,#107430,.T.); #225118=EDGE_CURVE('',#178718,#178719,#71082,.T.); #225119=EDGE_CURVE('',#178719,#178720,#107431,.T.); #225120=EDGE_CURVE('',#178720,#178721,#107432,.T.); #225121=EDGE_CURVE('',#178721,#178722,#107433,.T.); #225122=EDGE_CURVE('',#178722,#178654,#107434,.T.); #225123=EDGE_CURVE('',#178701,#178723,#107435,.T.); #225124=EDGE_CURVE('',#178673,#178723,#107436,.T.); #225125=EDGE_CURVE('',#178672,#178724,#107437,.T.); #225126=EDGE_CURVE('',#178724,#178702,#107438,.T.); #225127=EDGE_CURVE('',#178649,#178725,#107439,.T.); #225128=EDGE_CURVE('',#178725,#178726,#107440,.T.); #225129=EDGE_CURVE('',#178726,#178727,#107441,.T.); #225130=EDGE_CURVE('',#178727,#178716,#107442,.T.); #225131=EDGE_CURVE('',#178675,#178728,#107443,.T.); #225132=EDGE_CURVE('',#178728,#178729,#107444,.T.); #225133=EDGE_CURVE('',#178730,#178729,#107445,.T.); #225134=EDGE_CURVE('',#178731,#178730,#107446,.T.); #225135=EDGE_CURVE('',#178731,#178724,#71083,.T.); #225136=EDGE_CURVE('',#178674,#178732,#107447,.T.); #225137=EDGE_CURVE('',#178732,#178728,#107448,.T.); #225138=EDGE_CURVE('',#178733,#178734,#107449,.T.); #225139=EDGE_CURVE('',#178734,#178732,#107450,.T.); #225140=EDGE_CURVE('',#178723,#178735,#71084,.T.); #225141=EDGE_CURVE('',#178733,#178735,#107451,.T.); #225142=EDGE_CURVE('',#178722,#178713,#107452,.T.); #225143=EDGE_CURVE('',#178721,#178714,#107453,.T.); #225144=EDGE_CURVE('',#178720,#178715,#107454,.T.); #225145=EDGE_CURVE('',#178719,#178707,#107455,.T.); #225146=EDGE_CURVE('',#178718,#178706,#107456,.T.); #225147=EDGE_CURVE('',#178725,#178712,#107457,.T.); #225148=EDGE_CURVE('',#178717,#178708,#107458,.T.); #225149=EDGE_CURVE('',#178716,#178709,#107459,.T.); #225150=EDGE_CURVE('',#178727,#178710,#107460,.T.); #225151=EDGE_CURVE('',#178726,#178711,#107461,.T.); #225152=EDGE_CURVE('',#178736,#178676,#107462,.T.); #225153=EDGE_CURVE('',#178736,#178737,#107463,.T.); #225154=EDGE_CURVE('',#178737,#178678,#107464,.T.); #225155=EDGE_CURVE('',#178738,#178677,#107465,.T.); #225156=EDGE_CURVE('',#178738,#178736,#107466,.T.); #225157=EDGE_CURVE('',#178739,#178679,#107467,.T.); #225158=EDGE_CURVE('',#178739,#178738,#107468,.T.); #225159=EDGE_CURVE('',#178737,#178739,#107469,.T.); #225160=EDGE_CURVE('',#178740,#178741,#107470,.T.); #225161=EDGE_CURVE('',#178741,#178742,#107471,.T.); #225162=EDGE_CURVE('',#178742,#178743,#107472,.T.); #225163=EDGE_CURVE('',#178743,#178740,#107473,.T.); #225164=EDGE_CURVE('',#178736,#178743,#107474,.T.); #225165=EDGE_CURVE('',#178740,#178738,#107475,.T.); #225166=EDGE_CURVE('',#178741,#178739,#107476,.T.); #225167=EDGE_CURVE('',#178737,#178742,#107477,.T.); #225168=EDGE_CURVE('',#178744,#178680,#107478,.T.); #225169=EDGE_CURVE('',#178744,#178745,#107479,.T.); #225170=EDGE_CURVE('',#178745,#178682,#107480,.T.); #225171=EDGE_CURVE('',#178746,#178681,#107481,.T.); #225172=EDGE_CURVE('',#178746,#178744,#107482,.T.); #225173=EDGE_CURVE('',#178747,#178683,#107483,.T.); #225174=EDGE_CURVE('',#178747,#178746,#107484,.T.); #225175=EDGE_CURVE('',#178745,#178747,#107485,.T.); #225176=EDGE_CURVE('',#178748,#178749,#107486,.T.); #225177=EDGE_CURVE('',#178749,#178750,#107487,.T.); #225178=EDGE_CURVE('',#178750,#178751,#107488,.T.); #225179=EDGE_CURVE('',#178751,#178748,#107489,.T.); #225180=EDGE_CURVE('',#178744,#178751,#107490,.T.); #225181=EDGE_CURVE('',#178748,#178746,#107491,.T.); #225182=EDGE_CURVE('',#178749,#178747,#107492,.T.); #225183=EDGE_CURVE('',#178745,#178750,#107493,.T.); #225184=EDGE_CURVE('',#178668,#178752,#107494,.T.); #225185=EDGE_CURVE('',#178752,#178753,#107495,.T.); #225186=EDGE_CURVE('',#178669,#178753,#107496,.T.); #225187=EDGE_CURVE('',#178754,#178755,#107497,.T.); #225188=EDGE_CURVE('',#178755,#178756,#107498,.T.); #225189=EDGE_CURVE('',#178756,#178757,#107499,.T.); #225190=EDGE_CURVE('',#178757,#178754,#107500,.T.); #225191=EDGE_CURVE('',#178670,#178758,#107501,.T.); #225192=EDGE_CURVE('',#178758,#178759,#107502,.T.); #225193=EDGE_CURVE('',#178671,#178759,#107503,.T.); #225194=EDGE_CURVE('',#178753,#178758,#107504,.T.); #225195=EDGE_CURVE('',#178759,#178752,#107505,.T.); #225196=EDGE_CURVE('',#178759,#178757,#107506,.T.); #225197=EDGE_CURVE('',#178754,#178758,#107507,.T.); #225198=EDGE_CURVE('',#178755,#178753,#107508,.T.); #225199=EDGE_CURVE('',#178752,#178756,#107509,.T.); #225200=EDGE_CURVE('',#178660,#178760,#107510,.T.); #225201=EDGE_CURVE('',#178760,#178761,#107511,.T.); #225202=EDGE_CURVE('',#178661,#178761,#107512,.T.); #225203=EDGE_CURVE('',#178762,#178763,#107513,.T.); #225204=EDGE_CURVE('',#178763,#178764,#107514,.T.); #225205=EDGE_CURVE('',#178764,#178765,#107515,.T.); #225206=EDGE_CURVE('',#178765,#178762,#107516,.T.); #225207=EDGE_CURVE('',#178662,#178766,#107517,.T.); #225208=EDGE_CURVE('',#178766,#178767,#107518,.T.); #225209=EDGE_CURVE('',#178663,#178767,#107519,.T.); #225210=EDGE_CURVE('',#178761,#178766,#107520,.T.); #225211=EDGE_CURVE('',#178767,#178760,#107521,.T.); #225212=EDGE_CURVE('',#178767,#178765,#107522,.T.); #225213=EDGE_CURVE('',#178762,#178766,#107523,.T.); #225214=EDGE_CURVE('',#178763,#178761,#107524,.T.); #225215=EDGE_CURVE('',#178760,#178764,#107525,.T.); #225216=EDGE_CURVE('',#178697,#178734,#107526,.T.); #225217=EDGE_CURVE('',#178700,#178733,#107527,.T.); #225218=EDGE_CURVE('',#178735,#178699,#107528,.T.); #225219=EDGE_CURVE('',#178729,#178693,#107529,.T.); #225220=EDGE_CURVE('',#178730,#178704,#107530,.T.); #225221=EDGE_CURVE('',#178703,#178731,#107531,.T.); #225222=EDGE_CURVE('',#178732,#178696,#107532,.T.); #225223=EDGE_CURVE('',#178728,#178695,#107533,.T.); #225224=EDGE_CURVE('',#178768,#178769,#71085,.F.); #225225=EDGE_CURVE('',#178770,#178768,#71086,.F.); #225226=EDGE_CURVE('',#178769,#178770,#71087,.F.); #225227=EDGE_CURVE('',#178771,#178772,#71088,.F.); #225228=EDGE_CURVE('',#178773,#178771,#71089,.F.); #225229=EDGE_CURVE('',#178772,#178773,#71090,.F.); #225230=EDGE_CURVE('',#178774,#178775,#71091,.F.); #225231=EDGE_CURVE('',#178776,#178774,#71092,.F.); #225232=EDGE_CURVE('',#178775,#178776,#71093,.F.); #225233=EDGE_CURVE('',#178777,#178778,#71094,.F.); #225234=EDGE_CURVE('',#178779,#178777,#71095,.F.); #225235=EDGE_CURVE('',#178778,#178779,#71096,.F.); #225236=EDGE_CURVE('',#178780,#178781,#71097,.F.); #225237=EDGE_CURVE('',#178782,#178780,#71098,.F.); #225238=EDGE_CURVE('',#178781,#178782,#71099,.F.); #225239=EDGE_CURVE('',#178780,#178775,#107534,.T.); #225240=EDGE_CURVE('',#178776,#178782,#107535,.T.); #225241=EDGE_CURVE('',#178783,#178784,#71100,.F.); #225242=EDGE_CURVE('',#178785,#178783,#71101,.F.); #225243=EDGE_CURVE('',#178784,#178785,#71102,.F.); #225244=EDGE_CURVE('',#178786,#178787,#71103,.F.); #225245=EDGE_CURVE('',#178788,#178786,#71104,.F.); #225246=EDGE_CURVE('',#178787,#178788,#71105,.F.); #225247=EDGE_CURVE('',#178774,#178788,#107536,.T.); #225248=EDGE_CURVE('',#178786,#178776,#107537,.T.); #225249=EDGE_CURVE('',#178777,#178787,#107538,.T.); #225250=EDGE_CURVE('',#178788,#178779,#107539,.T.); #225251=EDGE_CURVE('',#178789,#178790,#71106,.F.); #225252=EDGE_CURVE('',#178791,#178789,#71107,.F.); #225253=EDGE_CURVE('',#178790,#178791,#71108,.F.); #225254=EDGE_CURVE('',#178782,#178791,#107540,.T.); #225255=EDGE_CURVE('',#178789,#178781,#107541,.T.); #225256=EDGE_CURVE('',#178787,#178790,#107542,.T.); #225257=EDGE_CURVE('',#178791,#178786,#107543,.T.); #225258=EDGE_CURVE('',#178783,#178789,#107544,.T.); #225259=EDGE_CURVE('',#178790,#178785,#107545,.T.); #225260=EDGE_CURVE('',#178778,#178784,#107546,.T.); #225261=EDGE_CURVE('',#178785,#178777,#107547,.T.); #225262=EDGE_CURVE('',#178784,#178772,#107548,.T.); #225263=EDGE_CURVE('',#178773,#178783,#107549,.T.); #225264=EDGE_CURVE('',#178771,#178780,#107550,.T.); #225265=EDGE_CURVE('',#178781,#178773,#107551,.T.); #225266=EDGE_CURVE('',#178769,#178778,#107552,.T.); #225267=EDGE_CURVE('',#178779,#178768,#107553,.T.); #225268=EDGE_CURVE('',#178768,#178774,#107554,.T.); #225269=EDGE_CURVE('',#178775,#178770,#107555,.T.); #225270=EDGE_CURVE('',#178772,#178769,#107556,.T.); #225271=EDGE_CURVE('',#178770,#178771,#107557,.T.); #225272=EDGE_CURVE('',#178792,#178793,#71109,.F.); #225273=EDGE_CURVE('',#178794,#178792,#71110,.F.); #225274=EDGE_CURVE('',#178793,#178794,#71111,.F.); #225275=EDGE_CURVE('',#178795,#178796,#71112,.F.); #225276=EDGE_CURVE('',#178797,#178795,#71113,.F.); #225277=EDGE_CURVE('',#178796,#178797,#71114,.F.); #225278=EDGE_CURVE('',#178798,#178799,#71115,.F.); #225279=EDGE_CURVE('',#178800,#178798,#71116,.F.); #225280=EDGE_CURVE('',#178799,#178800,#71117,.F.); #225281=EDGE_CURVE('',#178801,#178802,#71118,.F.); #225282=EDGE_CURVE('',#178803,#178801,#71119,.F.); #225283=EDGE_CURVE('',#178802,#178803,#71120,.F.); #225284=EDGE_CURVE('',#178804,#178805,#71121,.F.); #225285=EDGE_CURVE('',#178806,#178804,#71122,.F.); #225286=EDGE_CURVE('',#178805,#178806,#71123,.F.); #225287=EDGE_CURVE('',#178804,#178799,#107558,.T.); #225288=EDGE_CURVE('',#178800,#178806,#107559,.T.); #225289=EDGE_CURVE('',#178807,#178808,#71124,.F.); #225290=EDGE_CURVE('',#178809,#178807,#71125,.F.); #225291=EDGE_CURVE('',#178808,#178809,#71126,.F.); #225292=EDGE_CURVE('',#178810,#178811,#71127,.F.); #225293=EDGE_CURVE('',#178812,#178810,#71128,.F.); #225294=EDGE_CURVE('',#178811,#178812,#71129,.F.); #225295=EDGE_CURVE('',#178798,#178812,#107560,.T.); #225296=EDGE_CURVE('',#178810,#178800,#107561,.T.); #225297=EDGE_CURVE('',#178801,#178811,#107562,.T.); #225298=EDGE_CURVE('',#178812,#178803,#107563,.T.); #225299=EDGE_CURVE('',#178813,#178814,#71130,.F.); #225300=EDGE_CURVE('',#178815,#178813,#71131,.F.); #225301=EDGE_CURVE('',#178814,#178815,#71132,.F.); #225302=EDGE_CURVE('',#178813,#178805,#107564,.T.); #225303=EDGE_CURVE('',#178806,#178815,#107565,.T.); #225304=EDGE_CURVE('',#178811,#178814,#107566,.T.); #225305=EDGE_CURVE('',#178815,#178810,#107567,.T.); #225306=EDGE_CURVE('',#178807,#178813,#107568,.T.); #225307=EDGE_CURVE('',#178814,#178809,#107569,.T.); #225308=EDGE_CURVE('',#178802,#178808,#107570,.T.); #225309=EDGE_CURVE('',#178809,#178801,#107571,.T.); #225310=EDGE_CURVE('',#178808,#178796,#107572,.T.); #225311=EDGE_CURVE('',#178797,#178807,#107573,.T.); #225312=EDGE_CURVE('',#178795,#178804,#107574,.T.); #225313=EDGE_CURVE('',#178805,#178797,#107575,.T.); #225314=EDGE_CURVE('',#178793,#178802,#107576,.T.); #225315=EDGE_CURVE('',#178803,#178792,#107577,.T.); #225316=EDGE_CURVE('',#178792,#178798,#107578,.T.); #225317=EDGE_CURVE('',#178799,#178794,#107579,.T.); #225318=EDGE_CURVE('',#178796,#178793,#107580,.T.); #225319=EDGE_CURVE('',#178794,#178795,#107581,.T.); #225320=EDGE_CURVE('',#178816,#178817,#107582,.T.); #225321=EDGE_CURVE('',#178816,#178818,#107583,.T.); #225322=EDGE_CURVE('',#178819,#178818,#107584,.T.); #225323=EDGE_CURVE('',#178817,#178819,#107585,.T.); #225324=EDGE_CURVE('',#178820,#178817,#107586,.T.); #225325=EDGE_CURVE('',#178821,#178819,#107587,.T.); #225326=EDGE_CURVE('',#178820,#178821,#107588,.T.); #225327=EDGE_CURVE('',#178822,#178820,#107589,.T.); #225328=EDGE_CURVE('',#178823,#178821,#107590,.T.); #225329=EDGE_CURVE('',#178822,#178823,#107591,.T.); #225330=EDGE_CURVE('',#178816,#178822,#107592,.T.); #225331=EDGE_CURVE('',#178818,#178823,#107593,.T.); #225332=EDGE_CURVE('',#178824,#178825,#71133,.F.); #225333=EDGE_CURVE('',#178826,#178824,#71134,.F.); #225334=EDGE_CURVE('',#178825,#178826,#71135,.F.); #225335=EDGE_CURVE('',#178827,#178828,#71136,.F.); #225336=EDGE_CURVE('',#178829,#178827,#71137,.F.); #225337=EDGE_CURVE('',#178828,#178829,#71138,.F.); #225338=EDGE_CURVE('',#178830,#178831,#71139,.F.); #225339=EDGE_CURVE('',#178832,#178830,#71140,.F.); #225340=EDGE_CURVE('',#178831,#178832,#71141,.F.); #225341=EDGE_CURVE('',#178833,#178834,#71142,.F.); #225342=EDGE_CURVE('',#178835,#178833,#71143,.F.); #225343=EDGE_CURVE('',#178834,#178835,#71144,.F.); #225344=EDGE_CURVE('',#178836,#178837,#71145,.F.); #225345=EDGE_CURVE('',#178838,#178836,#71146,.F.); #225346=EDGE_CURVE('',#178837,#178838,#71147,.F.); #225347=EDGE_CURVE('',#178836,#178831,#107594,.T.); #225348=EDGE_CURVE('',#178832,#178838,#107595,.T.); #225349=EDGE_CURVE('',#178839,#178840,#71148,.F.); #225350=EDGE_CURVE('',#178841,#178839,#71149,.F.); #225351=EDGE_CURVE('',#178840,#178841,#71150,.F.); #225352=EDGE_CURVE('',#178842,#178843,#71151,.F.); #225353=EDGE_CURVE('',#178844,#178842,#71152,.F.); #225354=EDGE_CURVE('',#178843,#178844,#71153,.F.); #225355=EDGE_CURVE('',#178830,#178844,#107596,.T.); #225356=EDGE_CURVE('',#178842,#178832,#107597,.T.); #225357=EDGE_CURVE('',#178833,#178843,#107598,.T.); #225358=EDGE_CURVE('',#178844,#178835,#107599,.T.); #225359=EDGE_CURVE('',#178845,#178846,#71154,.F.); #225360=EDGE_CURVE('',#178847,#178845,#71155,.F.); #225361=EDGE_CURVE('',#178846,#178847,#71156,.F.); #225362=EDGE_CURVE('',#178838,#178847,#107600,.T.); #225363=EDGE_CURVE('',#178845,#178837,#107601,.T.); #225364=EDGE_CURVE('',#178843,#178846,#107602,.T.); #225365=EDGE_CURVE('',#178847,#178842,#107603,.T.); #225366=EDGE_CURVE('',#178839,#178845,#107604,.T.); #225367=EDGE_CURVE('',#178846,#178841,#107605,.T.); #225368=EDGE_CURVE('',#178834,#178840,#107606,.T.); #225369=EDGE_CURVE('',#178841,#178833,#107607,.T.); #225370=EDGE_CURVE('',#178840,#178828,#107608,.T.); #225371=EDGE_CURVE('',#178829,#178839,#107609,.T.); #225372=EDGE_CURVE('',#178827,#178836,#107610,.T.); #225373=EDGE_CURVE('',#178837,#178829,#107611,.T.); #225374=EDGE_CURVE('',#178825,#178834,#107612,.T.); #225375=EDGE_CURVE('',#178835,#178824,#107613,.T.); #225376=EDGE_CURVE('',#178824,#178830,#107614,.T.); #225377=EDGE_CURVE('',#178831,#178826,#107615,.T.); #225378=EDGE_CURVE('',#178828,#178825,#107616,.T.); #225379=EDGE_CURVE('',#178826,#178827,#107617,.T.); #225380=EDGE_CURVE('',#178848,#178849,#71157,.F.); #225381=EDGE_CURVE('',#178850,#178848,#71158,.F.); #225382=EDGE_CURVE('',#178849,#178850,#71159,.F.); #225383=EDGE_CURVE('',#178851,#178852,#71160,.F.); #225384=EDGE_CURVE('',#178853,#178851,#71161,.F.); #225385=EDGE_CURVE('',#178852,#178853,#71162,.F.); #225386=EDGE_CURVE('',#178854,#178855,#71163,.F.); #225387=EDGE_CURVE('',#178856,#178854,#71164,.F.); #225388=EDGE_CURVE('',#178855,#178856,#71165,.F.); #225389=EDGE_CURVE('',#178857,#178858,#71166,.F.); #225390=EDGE_CURVE('',#178859,#178857,#71167,.F.); #225391=EDGE_CURVE('',#178858,#178859,#71168,.F.); #225392=EDGE_CURVE('',#178860,#178861,#71169,.F.); #225393=EDGE_CURVE('',#178862,#178860,#71170,.F.); #225394=EDGE_CURVE('',#178861,#178862,#71171,.F.); #225395=EDGE_CURVE('',#178860,#178855,#107618,.T.); #225396=EDGE_CURVE('',#178856,#178862,#107619,.T.); #225397=EDGE_CURVE('',#178863,#178864,#71172,.F.); #225398=EDGE_CURVE('',#178865,#178863,#71173,.F.); #225399=EDGE_CURVE('',#178864,#178865,#71174,.F.); #225400=EDGE_CURVE('',#178866,#178867,#71175,.F.); #225401=EDGE_CURVE('',#178868,#178866,#71176,.F.); #225402=EDGE_CURVE('',#178867,#178868,#71177,.F.); #225403=EDGE_CURVE('',#178854,#178868,#107620,.T.); #225404=EDGE_CURVE('',#178866,#178856,#107621,.T.); #225405=EDGE_CURVE('',#178857,#178867,#107622,.T.); #225406=EDGE_CURVE('',#178868,#178859,#107623,.T.); #225407=EDGE_CURVE('',#178869,#178870,#71178,.F.); #225408=EDGE_CURVE('',#178871,#178869,#71179,.F.); #225409=EDGE_CURVE('',#178870,#178871,#71180,.F.); #225410=EDGE_CURVE('',#178869,#178861,#107624,.T.); #225411=EDGE_CURVE('',#178862,#178871,#107625,.T.); #225412=EDGE_CURVE('',#178867,#178870,#107626,.T.); #225413=EDGE_CURVE('',#178871,#178866,#107627,.T.); #225414=EDGE_CURVE('',#178863,#178869,#107628,.T.); #225415=EDGE_CURVE('',#178870,#178865,#107629,.T.); #225416=EDGE_CURVE('',#178858,#178864,#107630,.T.); #225417=EDGE_CURVE('',#178865,#178857,#107631,.T.); #225418=EDGE_CURVE('',#178864,#178852,#107632,.T.); #225419=EDGE_CURVE('',#178853,#178863,#107633,.T.); #225420=EDGE_CURVE('',#178851,#178860,#107634,.T.); #225421=EDGE_CURVE('',#178861,#178853,#107635,.T.); #225422=EDGE_CURVE('',#178849,#178858,#107636,.T.); #225423=EDGE_CURVE('',#178859,#178848,#107637,.T.); #225424=EDGE_CURVE('',#178848,#178854,#107638,.T.); #225425=EDGE_CURVE('',#178855,#178850,#107639,.T.); #225426=EDGE_CURVE('',#178852,#178849,#107640,.T.); #225427=EDGE_CURVE('',#178850,#178851,#107641,.T.); #225428=EDGE_CURVE('',#178872,#178873,#107642,.T.); #225429=EDGE_CURVE('',#178872,#178874,#107643,.T.); #225430=EDGE_CURVE('',#178875,#178874,#107644,.T.); #225431=EDGE_CURVE('',#178873,#178875,#107645,.T.); #225432=EDGE_CURVE('',#178876,#178873,#107646,.T.); #225433=EDGE_CURVE('',#178877,#178875,#107647,.T.); #225434=EDGE_CURVE('',#178876,#178877,#107648,.T.); #225435=EDGE_CURVE('',#178878,#178876,#107649,.T.); #225436=EDGE_CURVE('',#178879,#178877,#107650,.T.); #225437=EDGE_CURVE('',#178878,#178879,#107651,.T.); #225438=EDGE_CURVE('',#178872,#178878,#107652,.T.); #225439=EDGE_CURVE('',#178874,#178879,#107653,.T.); #225440=EDGE_CURVE('',#178880,#178881,#71181,.F.); #225441=EDGE_CURVE('',#178882,#178880,#71182,.F.); #225442=EDGE_CURVE('',#178881,#178882,#71183,.F.); #225443=EDGE_CURVE('',#178883,#178884,#71184,.F.); #225444=EDGE_CURVE('',#178885,#178883,#71185,.F.); #225445=EDGE_CURVE('',#178884,#178885,#71186,.F.); #225446=EDGE_CURVE('',#178886,#178887,#71187,.F.); #225447=EDGE_CURVE('',#178888,#178886,#71188,.F.); #225448=EDGE_CURVE('',#178887,#178888,#71189,.F.); #225449=EDGE_CURVE('',#178889,#178890,#71190,.F.); #225450=EDGE_CURVE('',#178891,#178889,#71191,.F.); #225451=EDGE_CURVE('',#178890,#178891,#71192,.F.); #225452=EDGE_CURVE('',#178892,#178893,#71193,.F.); #225453=EDGE_CURVE('',#178894,#178892,#71194,.F.); #225454=EDGE_CURVE('',#178893,#178894,#71195,.F.); #225455=EDGE_CURVE('',#178892,#178887,#107654,.T.); #225456=EDGE_CURVE('',#178888,#178894,#107655,.T.); #225457=EDGE_CURVE('',#178895,#178896,#71196,.F.); #225458=EDGE_CURVE('',#178897,#178895,#71197,.F.); #225459=EDGE_CURVE('',#178896,#178897,#71198,.F.); #225460=EDGE_CURVE('',#178898,#178899,#71199,.F.); #225461=EDGE_CURVE('',#178900,#178898,#71200,.F.); #225462=EDGE_CURVE('',#178899,#178900,#71201,.F.); #225463=EDGE_CURVE('',#178886,#178900,#107656,.T.); #225464=EDGE_CURVE('',#178898,#178888,#107657,.T.); #225465=EDGE_CURVE('',#178889,#178899,#107658,.T.); #225466=EDGE_CURVE('',#178900,#178891,#107659,.T.); #225467=EDGE_CURVE('',#178901,#178902,#71202,.F.); #225468=EDGE_CURVE('',#178903,#178901,#71203,.F.); #225469=EDGE_CURVE('',#178902,#178903,#71204,.F.); #225470=EDGE_CURVE('',#178894,#178903,#107660,.T.); #225471=EDGE_CURVE('',#178901,#178893,#107661,.T.); #225472=EDGE_CURVE('',#178899,#178902,#107662,.T.); #225473=EDGE_CURVE('',#178903,#178898,#107663,.T.); #225474=EDGE_CURVE('',#178895,#178901,#107664,.T.); #225475=EDGE_CURVE('',#178902,#178897,#107665,.T.); #225476=EDGE_CURVE('',#178890,#178896,#107666,.T.); #225477=EDGE_CURVE('',#178897,#178889,#107667,.T.); #225478=EDGE_CURVE('',#178896,#178884,#107668,.T.); #225479=EDGE_CURVE('',#178885,#178895,#107669,.T.); #225480=EDGE_CURVE('',#178883,#178892,#107670,.T.); #225481=EDGE_CURVE('',#178893,#178885,#107671,.T.); #225482=EDGE_CURVE('',#178881,#178890,#107672,.T.); #225483=EDGE_CURVE('',#178891,#178880,#107673,.T.); #225484=EDGE_CURVE('',#178880,#178886,#107674,.T.); #225485=EDGE_CURVE('',#178887,#178882,#107675,.T.); #225486=EDGE_CURVE('',#178884,#178881,#107676,.T.); #225487=EDGE_CURVE('',#178882,#178883,#107677,.T.); #225488=EDGE_CURVE('',#178904,#178905,#71205,.F.); #225489=EDGE_CURVE('',#178906,#178904,#71206,.F.); #225490=EDGE_CURVE('',#178905,#178906,#71207,.F.); #225491=EDGE_CURVE('',#178907,#178908,#71208,.F.); #225492=EDGE_CURVE('',#178909,#178907,#71209,.F.); #225493=EDGE_CURVE('',#178908,#178909,#71210,.F.); #225494=EDGE_CURVE('',#178910,#178911,#71211,.F.); #225495=EDGE_CURVE('',#178912,#178910,#71212,.F.); #225496=EDGE_CURVE('',#178911,#178912,#71213,.F.); #225497=EDGE_CURVE('',#178913,#178914,#71214,.F.); #225498=EDGE_CURVE('',#178915,#178913,#71215,.F.); #225499=EDGE_CURVE('',#178914,#178915,#71216,.F.); #225500=EDGE_CURVE('',#178916,#178917,#71217,.F.); #225501=EDGE_CURVE('',#178918,#178916,#71218,.F.); #225502=EDGE_CURVE('',#178917,#178918,#71219,.F.); #225503=EDGE_CURVE('',#178916,#178911,#107678,.T.); #225504=EDGE_CURVE('',#178912,#178918,#107679,.T.); #225505=EDGE_CURVE('',#178919,#178920,#71220,.F.); #225506=EDGE_CURVE('',#178921,#178919,#71221,.F.); #225507=EDGE_CURVE('',#178920,#178921,#71222,.F.); #225508=EDGE_CURVE('',#178922,#178923,#71223,.F.); #225509=EDGE_CURVE('',#178924,#178922,#71224,.F.); #225510=EDGE_CURVE('',#178923,#178924,#71225,.F.); #225511=EDGE_CURVE('',#178910,#178924,#107680,.T.); #225512=EDGE_CURVE('',#178922,#178912,#107681,.T.); #225513=EDGE_CURVE('',#178913,#178923,#107682,.T.); #225514=EDGE_CURVE('',#178924,#178915,#107683,.T.); #225515=EDGE_CURVE('',#178925,#178926,#71226,.F.); #225516=EDGE_CURVE('',#178927,#178925,#71227,.F.); #225517=EDGE_CURVE('',#178926,#178927,#71228,.F.); #225518=EDGE_CURVE('',#178925,#178917,#107684,.T.); #225519=EDGE_CURVE('',#178918,#178927,#107685,.T.); #225520=EDGE_CURVE('',#178923,#178926,#107686,.T.); #225521=EDGE_CURVE('',#178927,#178922,#107687,.T.); #225522=EDGE_CURVE('',#178919,#178925,#107688,.T.); #225523=EDGE_CURVE('',#178926,#178921,#107689,.T.); #225524=EDGE_CURVE('',#178914,#178920,#107690,.T.); #225525=EDGE_CURVE('',#178921,#178913,#107691,.T.); #225526=EDGE_CURVE('',#178920,#178908,#107692,.T.); #225527=EDGE_CURVE('',#178909,#178919,#107693,.T.); #225528=EDGE_CURVE('',#178907,#178916,#107694,.T.); #225529=EDGE_CURVE('',#178917,#178909,#107695,.T.); #225530=EDGE_CURVE('',#178905,#178914,#107696,.T.); #225531=EDGE_CURVE('',#178915,#178904,#107697,.T.); #225532=EDGE_CURVE('',#178904,#178910,#107698,.T.); #225533=EDGE_CURVE('',#178911,#178906,#107699,.T.); #225534=EDGE_CURVE('',#178908,#178905,#107700,.T.); #225535=EDGE_CURVE('',#178906,#178907,#107701,.T.); #225536=EDGE_CURVE('',#178928,#178929,#107702,.T.); #225537=EDGE_CURVE('',#178928,#178930,#107703,.T.); #225538=EDGE_CURVE('',#178931,#178930,#107704,.T.); #225539=EDGE_CURVE('',#178929,#178931,#107705,.T.); #225540=EDGE_CURVE('',#178932,#178929,#107706,.T.); #225541=EDGE_CURVE('',#178933,#178931,#107707,.T.); #225542=EDGE_CURVE('',#178932,#178933,#107708,.T.); #225543=EDGE_CURVE('',#178934,#178932,#107709,.T.); #225544=EDGE_CURVE('',#178935,#178933,#107710,.T.); #225545=EDGE_CURVE('',#178934,#178935,#107711,.T.); #225546=EDGE_CURVE('',#178928,#178934,#107712,.T.); #225547=EDGE_CURVE('',#178930,#178935,#107713,.T.); #225548=EDGE_CURVE('',#178936,#178936,#71229,.T.); #225549=EDGE_CURVE('',#178936,#178937,#107714,.T.); #225550=EDGE_CURVE('',#178937,#178937,#71230,.T.); #225551=EDGE_CURVE('',#178938,#178938,#71231,.T.); #225552=EDGE_CURVE('',#178939,#178939,#71232,.T.); #225553=EDGE_CURVE('',#178939,#178938,#71233,.T.); #225554=EDGE_CURVE('',#178940,#178940,#71234,.T.); #225555=EDGE_CURVE('',#178940,#178939,#107715,.T.); #225556=EDGE_CURVE('',#178941,#178941,#71235,.T.); #225557=EDGE_CURVE('',#178941,#178940,#71236,.T.); #225558=EDGE_CURVE('',#178941,#178942,#71237,.T.); #225559=EDGE_CURVE('',#178942,#178942,#71238,.T.); #225560=EDGE_CURVE('',#178943,#178943,#71239,.T.); #225561=EDGE_CURVE('',#178943,#178942,#71240,.T.); #225562=EDGE_CURVE('',#178944,#178944,#71241,.T.); #225563=EDGE_CURVE('',#178944,#178943,#107716,.T.); #225564=EDGE_CURVE('',#178945,#178945,#71242,.T.); #225565=EDGE_CURVE('',#178945,#178944,#71243,.T.); #225566=EDGE_CURVE('',#178946,#178947,#71244,.T.); #225567=EDGE_CURVE('',#178947,#178948,#71245,.T.); #225568=EDGE_CURVE('',#178948,#178949,#71246,.T.); #225569=EDGE_CURVE('',#178949,#178950,#107717,.T.); #225570=EDGE_CURVE('',#178950,#178951,#71247,.T.); #225571=EDGE_CURVE('',#178951,#178952,#107718,.T.); #225572=EDGE_CURVE('',#178952,#178953,#71248,.T.); #225573=EDGE_CURVE('',#178953,#178954,#107719,.T.); #225574=EDGE_CURVE('',#178954,#178955,#71249,.T.); #225575=EDGE_CURVE('',#178955,#178956,#71250,.T.); #225576=EDGE_CURVE('',#178956,#178957,#71251,.T.); #225577=EDGE_CURVE('',#178957,#178958,#107720,.T.); #225578=EDGE_CURVE('',#178958,#178959,#71252,.T.); #225579=EDGE_CURVE('',#178959,#178960,#107721,.T.); #225580=EDGE_CURVE('',#178960,#178961,#71253,.T.); #225581=EDGE_CURVE('',#178961,#178946,#107722,.T.); #225582=EDGE_CURVE('',#178962,#178947,#71254,.T.); #225583=EDGE_CURVE('',#178963,#178962,#71255,.T.); #225584=EDGE_CURVE('',#178948,#178963,#71256,.T.); #225585=EDGE_CURVE('',#178964,#178963,#71257,.T.); #225586=EDGE_CURVE('',#178949,#178964,#71258,.T.); #225587=EDGE_CURVE('',#178965,#178964,#107723,.T.); #225588=EDGE_CURVE('',#178950,#178965,#71259,.T.); #225589=EDGE_CURVE('',#178966,#178965,#71260,.T.); #225590=EDGE_CURVE('',#178951,#178966,#71261,.T.); #225591=EDGE_CURVE('',#178967,#178966,#107724,.T.); #225592=EDGE_CURVE('',#178952,#178967,#71262,.T.); #225593=EDGE_CURVE('',#178968,#178967,#71263,.T.); #225594=EDGE_CURVE('',#178953,#178968,#71264,.T.); #225595=EDGE_CURVE('',#178969,#178968,#107725,.T.); #225596=EDGE_CURVE('',#178954,#178969,#71265,.T.); #225597=EDGE_CURVE('',#178970,#178969,#71266,.T.); #225598=EDGE_CURVE('',#178955,#178970,#71267,.T.); #225599=EDGE_CURVE('',#178971,#178970,#71268,.T.); #225600=EDGE_CURVE('',#178956,#178971,#71269,.T.); #225601=EDGE_CURVE('',#178972,#178971,#71270,.T.); #225602=EDGE_CURVE('',#178957,#178972,#71271,.T.); #225603=EDGE_CURVE('',#178973,#178972,#107726,.T.); #225604=EDGE_CURVE('',#178958,#178973,#71272,.T.); #225605=EDGE_CURVE('',#178974,#178973,#71273,.T.); #225606=EDGE_CURVE('',#178959,#178974,#71274,.T.); #225607=EDGE_CURVE('',#178975,#178974,#107727,.T.); #225608=EDGE_CURVE('',#178960,#178975,#71275,.T.); #225609=EDGE_CURVE('',#178976,#178975,#71276,.T.); #225610=EDGE_CURVE('',#178961,#178976,#71277,.T.); #225611=EDGE_CURVE('',#178977,#178976,#107728,.T.); #225612=EDGE_CURVE('',#178946,#178977,#71278,.T.); #225613=EDGE_CURVE('',#178962,#178977,#71279,.T.); #225614=EDGE_CURVE('',#178978,#178978,#71280,.T.); #225615=EDGE_CURVE('',#178978,#178979,#107729,.T.); #225616=EDGE_CURVE('',#178979,#178979,#71281,.T.); #225617=EDGE_CURVE('',#178980,#178980,#71282,.T.); #225618=EDGE_CURVE('',#178980,#178981,#107730,.T.); #225619=EDGE_CURVE('',#178981,#178981,#71283,.T.); #225620=EDGE_CURVE('',#178982,#178983,#107731,.T.); #225621=EDGE_CURVE('',#178983,#178984,#107732,.T.); #225622=EDGE_CURVE('',#178984,#178985,#107733,.T.); #225623=EDGE_CURVE('',#178985,#178982,#107734,.T.); #225624=EDGE_CURVE('',#178986,#178983,#71284,.T.); #225625=EDGE_CURVE('',#178987,#178986,#107735,.T.); #225626=EDGE_CURVE('',#178984,#178987,#71285,.T.); #225627=EDGE_CURVE('',#178988,#178987,#107736,.T.); #225628=EDGE_CURVE('',#178985,#178988,#71286,.T.); #225629=EDGE_CURVE('',#178989,#178988,#107737,.T.); #225630=EDGE_CURVE('',#178982,#178989,#71287,.T.); #225631=EDGE_CURVE('',#178986,#178989,#107738,.T.); #225632=EDGE_CURVE('',#178990,#178991,#107739,.T.); #225633=EDGE_CURVE('',#178991,#178992,#107740,.T.); #225634=EDGE_CURVE('',#178992,#178993,#107741,.T.); #225635=EDGE_CURVE('',#178993,#178990,#107742,.T.); #225636=EDGE_CURVE('',#178994,#178991,#71288,.T.); #225637=EDGE_CURVE('',#178995,#178994,#107743,.T.); #225638=EDGE_CURVE('',#178992,#178995,#71289,.T.); #225639=EDGE_CURVE('',#178996,#178995,#107744,.T.); #225640=EDGE_CURVE('',#178993,#178996,#71290,.T.); #225641=EDGE_CURVE('',#178997,#178996,#107745,.T.); #225642=EDGE_CURVE('',#178990,#178997,#71291,.T.); #225643=EDGE_CURVE('',#178994,#178997,#107746,.T.); #225644=EDGE_CURVE('',#178998,#178999,#107747,.T.); #225645=EDGE_CURVE('',#178999,#179000,#107748,.T.); #225646=EDGE_CURVE('',#179000,#179001,#107749,.T.); #225647=EDGE_CURVE('',#179001,#178998,#107750,.T.); #225648=EDGE_CURVE('',#179002,#178999,#71292,.T.); #225649=EDGE_CURVE('',#179003,#179002,#107751,.T.); #225650=EDGE_CURVE('',#179000,#179003,#71293,.T.); #225651=EDGE_CURVE('',#179004,#179003,#107752,.T.); #225652=EDGE_CURVE('',#179001,#179004,#71294,.T.); #225653=EDGE_CURVE('',#179005,#179004,#107753,.T.); #225654=EDGE_CURVE('',#178998,#179005,#71295,.T.); #225655=EDGE_CURVE('',#179002,#179005,#107754,.T.); #225656=EDGE_CURVE('',#179006,#179007,#107755,.T.); #225657=EDGE_CURVE('',#179007,#179008,#107756,.T.); #225658=EDGE_CURVE('',#179008,#179009,#107757,.T.); #225659=EDGE_CURVE('',#179009,#179006,#107758,.T.); #225660=EDGE_CURVE('',#179010,#179007,#71296,.T.); #225661=EDGE_CURVE('',#179011,#179010,#107759,.T.); #225662=EDGE_CURVE('',#179008,#179011,#71297,.T.); #225663=EDGE_CURVE('',#179012,#179011,#107760,.T.); #225664=EDGE_CURVE('',#179009,#179012,#71298,.T.); #225665=EDGE_CURVE('',#179013,#179012,#107761,.T.); #225666=EDGE_CURVE('',#179006,#179013,#71299,.T.); #225667=EDGE_CURVE('',#179010,#179013,#107762,.T.); #225668=EDGE_CURVE('',#179014,#179015,#107763,.T.); #225669=EDGE_CURVE('',#179015,#179016,#107764,.T.); #225670=EDGE_CURVE('',#179016,#179017,#107765,.T.); #225671=EDGE_CURVE('',#179017,#179014,#107766,.T.); #225672=EDGE_CURVE('',#179018,#179015,#71300,.T.); #225673=EDGE_CURVE('',#179019,#179018,#107767,.T.); #225674=EDGE_CURVE('',#179016,#179019,#71301,.T.); #225675=EDGE_CURVE('',#179020,#179019,#107768,.T.); #225676=EDGE_CURVE('',#179017,#179020,#71302,.T.); #225677=EDGE_CURVE('',#179021,#179020,#107769,.T.); #225678=EDGE_CURVE('',#179014,#179021,#71303,.T.); #225679=EDGE_CURVE('',#179018,#179021,#107770,.T.); #225680=EDGE_CURVE('',#179022,#179023,#107771,.T.); #225681=EDGE_CURVE('',#179023,#179024,#107772,.T.); #225682=EDGE_CURVE('',#179024,#179025,#107773,.T.); #225683=EDGE_CURVE('',#179025,#179022,#107774,.T.); #225684=EDGE_CURVE('',#179026,#179023,#71304,.T.); #225685=EDGE_CURVE('',#179027,#179026,#107775,.T.); #225686=EDGE_CURVE('',#179024,#179027,#71305,.T.); #225687=EDGE_CURVE('',#179028,#179027,#107776,.T.); #225688=EDGE_CURVE('',#179025,#179028,#71306,.T.); #225689=EDGE_CURVE('',#179029,#179028,#107777,.T.); #225690=EDGE_CURVE('',#179022,#179029,#71307,.T.); #225691=EDGE_CURVE('',#179026,#179029,#107778,.T.); #225692=EDGE_CURVE('',#179030,#179031,#107779,.T.); #225693=EDGE_CURVE('',#179031,#179032,#107780,.T.); #225694=EDGE_CURVE('',#179032,#179033,#107781,.T.); #225695=EDGE_CURVE('',#179033,#179030,#107782,.T.); #225696=EDGE_CURVE('',#179034,#179031,#71308,.T.); #225697=EDGE_CURVE('',#179035,#179034,#107783,.T.); #225698=EDGE_CURVE('',#179032,#179035,#71309,.T.); #225699=EDGE_CURVE('',#179036,#179035,#107784,.T.); #225700=EDGE_CURVE('',#179033,#179036,#71310,.T.); #225701=EDGE_CURVE('',#179037,#179036,#107785,.T.); #225702=EDGE_CURVE('',#179030,#179037,#71311,.T.); #225703=EDGE_CURVE('',#179034,#179037,#107786,.T.); #225704=EDGE_CURVE('',#179038,#179039,#107787,.T.); #225705=EDGE_CURVE('',#179039,#179040,#107788,.T.); #225706=EDGE_CURVE('',#179040,#179041,#107789,.T.); #225707=EDGE_CURVE('',#179041,#179038,#107790,.T.); #225708=EDGE_CURVE('',#179042,#179039,#71312,.T.); #225709=EDGE_CURVE('',#179043,#179042,#107791,.T.); #225710=EDGE_CURVE('',#179040,#179043,#71313,.T.); #225711=EDGE_CURVE('',#179044,#179043,#107792,.T.); #225712=EDGE_CURVE('',#179041,#179044,#71314,.T.); #225713=EDGE_CURVE('',#179045,#179044,#107793,.T.); #225714=EDGE_CURVE('',#179038,#179045,#71315,.T.); #225715=EDGE_CURVE('',#179042,#179045,#107794,.T.); #225716=EDGE_CURVE('',#179046,#179047,#107795,.T.); #225717=EDGE_CURVE('',#179047,#179048,#107796,.T.); #225718=EDGE_CURVE('',#179048,#179049,#107797,.T.); #225719=EDGE_CURVE('',#179049,#179046,#107798,.T.); #225720=EDGE_CURVE('',#179050,#179047,#71316,.T.); #225721=EDGE_CURVE('',#179051,#179050,#107799,.T.); #225722=EDGE_CURVE('',#179048,#179051,#71317,.T.); #225723=EDGE_CURVE('',#179052,#179051,#107800,.T.); #225724=EDGE_CURVE('',#179049,#179052,#71318,.T.); #225725=EDGE_CURVE('',#179053,#179052,#107801,.T.); #225726=EDGE_CURVE('',#179046,#179053,#71319,.T.); #225727=EDGE_CURVE('',#179050,#179053,#107802,.T.); #225728=EDGE_CURVE('',#179054,#179054,#71320,.T.); #225729=EDGE_CURVE('',#179054,#179055,#107803,.T.); #225730=EDGE_CURVE('',#179055,#179055,#71321,.T.); #225731=EDGE_CURVE('',#179056,#179057,#107804,.T.); #225732=EDGE_CURVE('',#179057,#179058,#107805,.T.); #225733=EDGE_CURVE('',#179058,#179059,#107806,.T.); #225734=EDGE_CURVE('',#179059,#179056,#107807,.T.); #225735=EDGE_CURVE('',#179060,#179058,#107808,.T.); #225736=EDGE_CURVE('',#179057,#179061,#107809,.T.); #225737=EDGE_CURVE('',#179060,#179061,#107810,.T.); #225738=EDGE_CURVE('',#179062,#179056,#107811,.T.); #225739=EDGE_CURVE('',#179059,#179063,#107812,.T.); #225740=EDGE_CURVE('',#179063,#179062,#107813,.T.); #225741=EDGE_CURVE('',#179061,#179062,#107814,.T.); #225742=EDGE_CURVE('',#179063,#179060,#107815,.T.); #225743=EDGE_CURVE('',#179059,#179064,#107816,.T.); #225744=EDGE_CURVE('',#179065,#179064,#107817,.T.); #225745=EDGE_CURVE('',#179063,#179065,#107818,.T.); #225746=EDGE_CURVE('',#179058,#179066,#107819,.T.); #225747=EDGE_CURVE('',#179064,#179066,#107820,.T.); #225748=EDGE_CURVE('',#179067,#179065,#107821,.T.); #225749=EDGE_CURVE('',#179060,#179067,#107822,.T.); #225750=EDGE_CURVE('',#179066,#179067,#107823,.T.); #225751=EDGE_CURVE('',#179068,#179069,#107824,.T.); #225752=EDGE_CURVE('',#179069,#179070,#107825,.T.); #225753=EDGE_CURVE('',#179071,#179070,#107826,.T.); #225754=EDGE_CURVE('',#179068,#179071,#107827,.T.); #225755=EDGE_CURVE('',#179072,#179068,#107828,.T.); #225756=EDGE_CURVE('',#179073,#179071,#107829,.T.); #225757=EDGE_CURVE('',#179072,#179073,#107830,.T.); #225758=EDGE_CURVE('',#179074,#179072,#107831,.T.); #225759=EDGE_CURVE('',#179075,#179073,#107832,.T.); #225760=EDGE_CURVE('',#179074,#179075,#107833,.T.); #225761=EDGE_CURVE('',#179069,#179074,#107834,.T.); #225762=EDGE_CURVE('',#179070,#179075,#107835,.T.); #225763=EDGE_CURVE('',#179076,#179077,#107836,.T.); #225764=EDGE_CURVE('',#179076,#179078,#107837,.T.); #225765=EDGE_CURVE('',#179079,#179078,#107838,.T.); #225766=EDGE_CURVE('',#179077,#179079,#107839,.T.); #225767=EDGE_CURVE('',#179080,#179077,#107840,.T.); #225768=EDGE_CURVE('',#179081,#179079,#107841,.T.); #225769=EDGE_CURVE('',#179080,#179081,#107842,.T.); #225770=EDGE_CURVE('',#179082,#179080,#71322,.T.); #225771=EDGE_CURVE('',#179083,#179081,#71323,.T.); #225772=EDGE_CURVE('',#179082,#179083,#107843,.T.); #225773=EDGE_CURVE('',#179084,#179082,#107844,.T.); #225774=EDGE_CURVE('',#179085,#179083,#107845,.T.); #225775=EDGE_CURVE('',#179084,#179085,#107846,.T.); #225776=EDGE_CURVE('',#179086,#179084,#71324,.T.); #225777=EDGE_CURVE('',#179087,#179085,#71325,.T.); #225778=EDGE_CURVE('',#179086,#179087,#107847,.T.); #225779=EDGE_CURVE('',#179076,#179086,#107848,.T.); #225780=EDGE_CURVE('',#179078,#179087,#107849,.T.); #225781=EDGE_CURVE('',#179088,#179089,#107850,.T.); #225782=EDGE_CURVE('',#179089,#179090,#107851,.T.); #225783=EDGE_CURVE('',#179090,#179091,#107852,.T.); #225784=EDGE_CURVE('',#179088,#179091,#107853,.T.); #225785=EDGE_CURVE('',#179092,#179089,#107854,.T.); #225786=EDGE_CURVE('',#179092,#179093,#107855,.T.); #225787=EDGE_CURVE('',#179093,#179090,#107856,.T.); #225788=EDGE_CURVE('',#179094,#179092,#71326,.T.); #225789=EDGE_CURVE('',#179094,#179095,#107857,.T.); #225790=EDGE_CURVE('',#179095,#179093,#71327,.T.); #225791=EDGE_CURVE('',#179096,#179094,#107858,.T.); #225792=EDGE_CURVE('',#179096,#179097,#107859,.T.); #225793=EDGE_CURVE('',#179097,#179095,#107860,.T.); #225794=EDGE_CURVE('',#179098,#179096,#71328,.T.); #225795=EDGE_CURVE('',#179098,#179099,#107861,.T.); #225796=EDGE_CURVE('',#179099,#179097,#71329,.T.); #225797=EDGE_CURVE('',#179088,#179098,#107862,.T.); #225798=EDGE_CURVE('',#179091,#179099,#107863,.T.); #225799=EDGE_CURVE('',#179100,#179101,#107864,.T.); #225800=EDGE_CURVE('',#179100,#179102,#107865,.T.); #225801=EDGE_CURVE('',#179103,#179102,#107866,.T.); #225802=EDGE_CURVE('',#179101,#179103,#107867,.T.); #225803=EDGE_CURVE('',#179104,#179101,#107868,.T.); #225804=EDGE_CURVE('',#179105,#179103,#107869,.T.); #225805=EDGE_CURVE('',#179104,#179105,#107870,.T.); #225806=EDGE_CURVE('',#179106,#179104,#71330,.T.); #225807=EDGE_CURVE('',#179107,#179105,#71331,.T.); #225808=EDGE_CURVE('',#179106,#179107,#107871,.T.); #225809=EDGE_CURVE('',#179108,#179106,#107872,.T.); #225810=EDGE_CURVE('',#179109,#179107,#107873,.T.); #225811=EDGE_CURVE('',#179108,#179109,#107874,.T.); #225812=EDGE_CURVE('',#179110,#179108,#71332,.T.); #225813=EDGE_CURVE('',#179111,#179109,#71333,.T.); #225814=EDGE_CURVE('',#179110,#179111,#107875,.T.); #225815=EDGE_CURVE('',#179100,#179110,#107876,.T.); #225816=EDGE_CURVE('',#179102,#179111,#107877,.T.); #225817=EDGE_CURVE('',#179112,#179113,#107878,.T.); #225818=EDGE_CURVE('',#179112,#179114,#107879,.T.); #225819=EDGE_CURVE('',#179115,#179114,#107880,.T.); #225820=EDGE_CURVE('',#179113,#179115,#107881,.T.); #225821=EDGE_CURVE('',#179116,#179113,#107882,.T.); #225822=EDGE_CURVE('',#179117,#179115,#107883,.T.); #225823=EDGE_CURVE('',#179116,#179117,#107884,.T.); #225824=EDGE_CURVE('',#179118,#179116,#71334,.T.); #225825=EDGE_CURVE('',#179119,#179117,#71335,.T.); #225826=EDGE_CURVE('',#179118,#179119,#107885,.T.); #225827=EDGE_CURVE('',#179120,#179118,#107886,.T.); #225828=EDGE_CURVE('',#179121,#179119,#107887,.T.); #225829=EDGE_CURVE('',#179120,#179121,#107888,.T.); #225830=EDGE_CURVE('',#179122,#179120,#71336,.T.); #225831=EDGE_CURVE('',#179123,#179121,#71337,.T.); #225832=EDGE_CURVE('',#179122,#179123,#107889,.T.); #225833=EDGE_CURVE('',#179112,#179122,#107890,.T.); #225834=EDGE_CURVE('',#179114,#179123,#107891,.T.); #225835=EDGE_CURVE('',#179124,#179125,#107892,.T.); #225836=EDGE_CURVE('',#179124,#179126,#107893,.T.); #225837=EDGE_CURVE('',#179127,#179126,#107894,.T.); #225838=EDGE_CURVE('',#179125,#179127,#107895,.T.); #225839=EDGE_CURVE('',#179128,#179125,#107896,.T.); #225840=EDGE_CURVE('',#179129,#179127,#107897,.T.); #225841=EDGE_CURVE('',#179128,#179129,#107898,.T.); #225842=EDGE_CURVE('',#179130,#179128,#71338,.T.); #225843=EDGE_CURVE('',#179131,#179129,#71339,.T.); #225844=EDGE_CURVE('',#179130,#179131,#107899,.T.); #225845=EDGE_CURVE('',#179132,#179130,#107900,.T.); #225846=EDGE_CURVE('',#179133,#179131,#107901,.T.); #225847=EDGE_CURVE('',#179132,#179133,#107902,.T.); #225848=EDGE_CURVE('',#179134,#179132,#71340,.T.); #225849=EDGE_CURVE('',#179135,#179133,#71341,.T.); #225850=EDGE_CURVE('',#179134,#179135,#107903,.T.); #225851=EDGE_CURVE('',#179124,#179134,#107904,.T.); #225852=EDGE_CURVE('',#179126,#179135,#107905,.T.); #225853=EDGE_CURVE('',#179136,#179137,#107906,.T.); #225854=EDGE_CURVE('',#179137,#179138,#107907,.T.); #225855=EDGE_CURVE('',#179138,#179139,#107908,.T.); #225856=EDGE_CURVE('',#179136,#179139,#107909,.T.); #225857=EDGE_CURVE('',#179140,#179137,#107910,.T.); #225858=EDGE_CURVE('',#179140,#179141,#107911,.T.); #225859=EDGE_CURVE('',#179141,#179138,#107912,.T.); #225860=EDGE_CURVE('',#179142,#179140,#71342,.T.); #225861=EDGE_CURVE('',#179142,#179143,#107913,.T.); #225862=EDGE_CURVE('',#179143,#179141,#71343,.T.); #225863=EDGE_CURVE('',#179144,#179142,#107914,.T.); #225864=EDGE_CURVE('',#179144,#179145,#107915,.T.); #225865=EDGE_CURVE('',#179145,#179143,#107916,.T.); #225866=EDGE_CURVE('',#179146,#179144,#71344,.T.); #225867=EDGE_CURVE('',#179146,#179147,#107917,.T.); #225868=EDGE_CURVE('',#179147,#179145,#71345,.T.); #225869=EDGE_CURVE('',#179136,#179146,#107918,.T.); #225870=EDGE_CURVE('',#179139,#179147,#107919,.T.); #225871=EDGE_CURVE('',#179148,#179149,#107920,.T.); #225872=EDGE_CURVE('',#179149,#179150,#107921,.T.); #225873=EDGE_CURVE('',#179150,#179151,#107922,.T.); #225874=EDGE_CURVE('',#179148,#179151,#107923,.T.); #225875=EDGE_CURVE('',#179152,#179149,#107924,.T.); #225876=EDGE_CURVE('',#179152,#179153,#107925,.T.); #225877=EDGE_CURVE('',#179153,#179150,#107926,.T.); #225878=EDGE_CURVE('',#179154,#179152,#71346,.T.); #225879=EDGE_CURVE('',#179154,#179155,#107927,.T.); #225880=EDGE_CURVE('',#179155,#179153,#71347,.T.); #225881=EDGE_CURVE('',#179156,#179154,#107928,.T.); #225882=EDGE_CURVE('',#179156,#179157,#107929,.T.); #225883=EDGE_CURVE('',#179157,#179155,#107930,.T.); #225884=EDGE_CURVE('',#179158,#179156,#71348,.T.); #225885=EDGE_CURVE('',#179158,#179159,#107931,.T.); #225886=EDGE_CURVE('',#179159,#179157,#71349,.T.); #225887=EDGE_CURVE('',#179148,#179158,#107932,.T.); #225888=EDGE_CURVE('',#179151,#179159,#107933,.T.); #225889=EDGE_CURVE('',#179160,#179161,#107934,.T.); #225890=EDGE_CURVE('',#179161,#179162,#107935,.T.); #225891=EDGE_CURVE('',#179162,#179163,#107936,.T.); #225892=EDGE_CURVE('',#179160,#179163,#107937,.T.); #225893=EDGE_CURVE('',#179164,#179161,#107938,.T.); #225894=EDGE_CURVE('',#179164,#179165,#107939,.T.); #225895=EDGE_CURVE('',#179165,#179162,#107940,.T.); #225896=EDGE_CURVE('',#179166,#179164,#71350,.T.); #225897=EDGE_CURVE('',#179166,#179167,#107941,.T.); #225898=EDGE_CURVE('',#179167,#179165,#71351,.T.); #225899=EDGE_CURVE('',#179168,#179166,#107942,.T.); #225900=EDGE_CURVE('',#179168,#179169,#107943,.T.); #225901=EDGE_CURVE('',#179169,#179167,#107944,.T.); #225902=EDGE_CURVE('',#179170,#179168,#71352,.T.); #225903=EDGE_CURVE('',#179170,#179171,#107945,.T.); #225904=EDGE_CURVE('',#179171,#179169,#71353,.T.); #225905=EDGE_CURVE('',#179160,#179170,#107946,.T.); #225906=EDGE_CURVE('',#179163,#179171,#107947,.T.); #225907=EDGE_CURVE('',#179172,#179173,#107948,.T.); #225908=EDGE_CURVE('',#179172,#179174,#107949,.T.); #225909=EDGE_CURVE('',#179174,#179175,#107950,.T.); #225910=EDGE_CURVE('',#179173,#179175,#107951,.T.); #225911=EDGE_CURVE('',#179176,#179172,#107952,.T.); #225912=EDGE_CURVE('',#179176,#179177,#107953,.T.); #225913=EDGE_CURVE('',#179177,#179174,#107954,.T.); #225914=EDGE_CURVE('',#179178,#179176,#107955,.T.); #225915=EDGE_CURVE('',#179178,#179179,#107956,.T.); #225916=EDGE_CURVE('',#179179,#179177,#107957,.T.); #225917=EDGE_CURVE('',#179173,#179178,#107958,.T.); #225918=EDGE_CURVE('',#179175,#179179,#107959,.T.); #225919=EDGE_CURVE('',#179180,#179181,#107960,.T.); #225920=EDGE_CURVE('',#179181,#179182,#107961,.T.); #225921=EDGE_CURVE('',#179183,#179182,#107962,.T.); #225922=EDGE_CURVE('',#179180,#179183,#107963,.T.); #225923=EDGE_CURVE('',#179184,#179180,#107964,.T.); #225924=EDGE_CURVE('',#179185,#179183,#107965,.T.); #225925=EDGE_CURVE('',#179184,#179185,#107966,.T.); #225926=EDGE_CURVE('',#179186,#179184,#107967,.T.); #225927=EDGE_CURVE('',#179187,#179185,#107968,.T.); #225928=EDGE_CURVE('',#179186,#179187,#107969,.T.); #225929=EDGE_CURVE('',#179181,#179186,#107970,.T.); #225930=EDGE_CURVE('',#179182,#179187,#107971,.T.); #225931=EDGE_CURVE('',#179188,#179189,#107972,.T.); #225932=EDGE_CURVE('',#179189,#179190,#107973,.T.); #225933=EDGE_CURVE('',#179191,#179190,#107974,.T.); #225934=EDGE_CURVE('',#179188,#179191,#107975,.T.); #225935=EDGE_CURVE('',#179192,#179188,#107976,.T.); #225936=EDGE_CURVE('',#179193,#179191,#107977,.T.); #225937=EDGE_CURVE('',#179192,#179193,#107978,.T.); #225938=EDGE_CURVE('',#179194,#179192,#107979,.T.); #225939=EDGE_CURVE('',#179195,#179193,#107980,.T.); #225940=EDGE_CURVE('',#179194,#179195,#107981,.T.); #225941=EDGE_CURVE('',#179189,#179194,#107982,.T.); #225942=EDGE_CURVE('',#179190,#179195,#107983,.T.); #225943=EDGE_CURVE('',#179196,#179197,#107984,.T.); #225944=EDGE_CURVE('',#179197,#179198,#107985,.T.); #225945=EDGE_CURVE('',#179199,#179198,#107986,.T.); #225946=EDGE_CURVE('',#179196,#179199,#107987,.T.); #225947=EDGE_CURVE('',#179200,#179196,#107988,.T.); #225948=EDGE_CURVE('',#179201,#179199,#107989,.T.); #225949=EDGE_CURVE('',#179200,#179201,#107990,.T.); #225950=EDGE_CURVE('',#179202,#179200,#107991,.T.); #225951=EDGE_CURVE('',#179203,#179201,#107992,.T.); #225952=EDGE_CURVE('',#179202,#179203,#107993,.T.); #225953=EDGE_CURVE('',#179197,#179202,#107994,.T.); #225954=EDGE_CURVE('',#179198,#179203,#107995,.T.); #225955=EDGE_CURVE('',#179204,#179205,#107996,.T.); #225956=EDGE_CURVE('',#179204,#179206,#107997,.T.); #225957=EDGE_CURVE('',#179206,#179207,#107998,.T.); #225958=EDGE_CURVE('',#179205,#179207,#107999,.T.); #225959=EDGE_CURVE('',#179208,#179204,#108000,.T.); #225960=EDGE_CURVE('',#179208,#179209,#108001,.T.); #225961=EDGE_CURVE('',#179209,#179206,#108002,.T.); #225962=EDGE_CURVE('',#179210,#179208,#108003,.T.); #225963=EDGE_CURVE('',#179210,#179211,#108004,.T.); #225964=EDGE_CURVE('',#179211,#179209,#108005,.T.); #225965=EDGE_CURVE('',#179205,#179210,#108006,.T.); #225966=EDGE_CURVE('',#179207,#179211,#108007,.T.); #225967=EDGE_CURVE('',#179212,#179213,#108008,.T.); #225968=EDGE_CURVE('',#179212,#179214,#108009,.T.); #225969=EDGE_CURVE('',#179214,#179215,#108010,.T.); #225970=EDGE_CURVE('',#179213,#179215,#108011,.T.); #225971=EDGE_CURVE('',#179216,#179212,#108012,.T.); #225972=EDGE_CURVE('',#179216,#179217,#108013,.T.); #225973=EDGE_CURVE('',#179217,#179214,#108014,.T.); #225974=EDGE_CURVE('',#179218,#179216,#108015,.T.); #225975=EDGE_CURVE('',#179218,#179219,#108016,.T.); #225976=EDGE_CURVE('',#179219,#179217,#108017,.T.); #225977=EDGE_CURVE('',#179213,#179218,#108018,.T.); #225978=EDGE_CURVE('',#179215,#179219,#108019,.T.); #225979=EDGE_CURVE('',#179220,#179221,#108020,.T.); #225980=EDGE_CURVE('',#179220,#179222,#108021,.T.); #225981=EDGE_CURVE('',#179222,#179223,#108022,.T.); #225982=EDGE_CURVE('',#179221,#179223,#108023,.T.); #225983=EDGE_CURVE('',#179224,#179220,#108024,.T.); #225984=EDGE_CURVE('',#179224,#179225,#108025,.T.); #225985=EDGE_CURVE('',#179225,#179222,#108026,.T.); #225986=EDGE_CURVE('',#179226,#179224,#108027,.T.); #225987=EDGE_CURVE('',#179226,#179227,#108028,.T.); #225988=EDGE_CURVE('',#179227,#179225,#108029,.T.); #225989=EDGE_CURVE('',#179221,#179226,#108030,.T.); #225990=EDGE_CURVE('',#179223,#179227,#108031,.T.); #225991=EDGE_CURVE('',#179228,#179229,#108032,.T.); #225992=EDGE_CURVE('',#179229,#179230,#108033,.T.); #225993=EDGE_CURVE('',#179231,#179230,#108034,.T.); #225994=EDGE_CURVE('',#179228,#179231,#108035,.T.); #225995=EDGE_CURVE('',#179232,#179228,#108036,.T.); #225996=EDGE_CURVE('',#179233,#179231,#108037,.T.); #225997=EDGE_CURVE('',#179232,#179233,#108038,.T.); #225998=EDGE_CURVE('',#179234,#179232,#108039,.T.); #225999=EDGE_CURVE('',#179235,#179233,#108040,.T.); #226000=EDGE_CURVE('',#179234,#179235,#108041,.T.); #226001=EDGE_CURVE('',#179229,#179234,#108042,.T.); #226002=EDGE_CURVE('',#179230,#179235,#108043,.T.); #226003=EDGE_CURVE('',#179236,#179236,#71354,.T.); #226004=EDGE_CURVE('',#179236,#179237,#108044,.T.); #226005=EDGE_CURVE('',#179237,#179237,#71355,.T.); #226006=EDGE_CURVE('',#179238,#179239,#108045,.T.); #226007=EDGE_CURVE('',#179239,#179240,#108046,.T.); #226008=EDGE_CURVE('',#179240,#179241,#108047,.T.); #226009=EDGE_CURVE('',#179241,#179238,#108048,.T.); #226010=EDGE_CURVE('',#179242,#179240,#108049,.T.); #226011=EDGE_CURVE('',#179239,#179243,#108050,.T.); #226012=EDGE_CURVE('',#179242,#179243,#108051,.T.); #226013=EDGE_CURVE('',#179244,#179238,#108052,.T.); #226014=EDGE_CURVE('',#179241,#179245,#108053,.T.); #226015=EDGE_CURVE('',#179245,#179244,#108054,.T.); #226016=EDGE_CURVE('',#179243,#179244,#108055,.T.); #226017=EDGE_CURVE('',#179245,#179242,#108056,.T.); #226018=EDGE_CURVE('',#179241,#179246,#108057,.T.); #226019=EDGE_CURVE('',#179247,#179246,#108058,.T.); #226020=EDGE_CURVE('',#179245,#179247,#108059,.T.); #226021=EDGE_CURVE('',#179240,#179248,#108060,.T.); #226022=EDGE_CURVE('',#179246,#179248,#108061,.T.); #226023=EDGE_CURVE('',#179249,#179247,#108062,.T.); #226024=EDGE_CURVE('',#179242,#179249,#108063,.T.); #226025=EDGE_CURVE('',#179248,#179249,#108064,.T.); #226026=EDGE_CURVE('',#179250,#179251,#108065,.T.); #226027=EDGE_CURVE('',#179251,#179252,#108066,.T.); #226028=EDGE_CURVE('',#179253,#179252,#108067,.T.); #226029=EDGE_CURVE('',#179250,#179253,#108068,.T.); #226030=EDGE_CURVE('',#179254,#179250,#108069,.T.); #226031=EDGE_CURVE('',#179255,#179253,#108070,.T.); #226032=EDGE_CURVE('',#179254,#179255,#108071,.T.); #226033=EDGE_CURVE('',#179256,#179254,#108072,.T.); #226034=EDGE_CURVE('',#179257,#179255,#108073,.T.); #226035=EDGE_CURVE('',#179256,#179257,#108074,.T.); #226036=EDGE_CURVE('',#179251,#179256,#108075,.T.); #226037=EDGE_CURVE('',#179252,#179257,#108076,.T.); #226038=EDGE_CURVE('',#179258,#179259,#108077,.T.); #226039=EDGE_CURVE('',#179258,#179260,#108078,.T.); #226040=EDGE_CURVE('',#179261,#179260,#108079,.T.); #226041=EDGE_CURVE('',#179259,#179261,#108080,.T.); #226042=EDGE_CURVE('',#179262,#179259,#108081,.T.); #226043=EDGE_CURVE('',#179263,#179261,#108082,.T.); #226044=EDGE_CURVE('',#179262,#179263,#108083,.T.); #226045=EDGE_CURVE('',#179264,#179262,#71356,.T.); #226046=EDGE_CURVE('',#179265,#179263,#71357,.T.); #226047=EDGE_CURVE('',#179264,#179265,#108084,.T.); #226048=EDGE_CURVE('',#179266,#179264,#108085,.T.); #226049=EDGE_CURVE('',#179267,#179265,#108086,.T.); #226050=EDGE_CURVE('',#179266,#179267,#108087,.T.); #226051=EDGE_CURVE('',#179268,#179266,#71358,.T.); #226052=EDGE_CURVE('',#179269,#179267,#71359,.T.); #226053=EDGE_CURVE('',#179268,#179269,#108088,.T.); #226054=EDGE_CURVE('',#179258,#179268,#108089,.T.); #226055=EDGE_CURVE('',#179260,#179269,#108090,.T.); #226056=EDGE_CURVE('',#179270,#179271,#108091,.T.); #226057=EDGE_CURVE('',#179271,#179272,#108092,.T.); #226058=EDGE_CURVE('',#179272,#179273,#108093,.T.); #226059=EDGE_CURVE('',#179270,#179273,#108094,.T.); #226060=EDGE_CURVE('',#179274,#179271,#108095,.T.); #226061=EDGE_CURVE('',#179274,#179275,#108096,.T.); #226062=EDGE_CURVE('',#179275,#179272,#108097,.T.); #226063=EDGE_CURVE('',#179276,#179274,#71360,.T.); #226064=EDGE_CURVE('',#179276,#179277,#108098,.T.); #226065=EDGE_CURVE('',#179277,#179275,#71361,.T.); #226066=EDGE_CURVE('',#179278,#179276,#108099,.T.); #226067=EDGE_CURVE('',#179278,#179279,#108100,.T.); #226068=EDGE_CURVE('',#179279,#179277,#108101,.T.); #226069=EDGE_CURVE('',#179280,#179278,#71362,.T.); #226070=EDGE_CURVE('',#179280,#179281,#108102,.T.); #226071=EDGE_CURVE('',#179281,#179279,#71363,.T.); #226072=EDGE_CURVE('',#179270,#179280,#108103,.T.); #226073=EDGE_CURVE('',#179273,#179281,#108104,.T.); #226074=EDGE_CURVE('',#179282,#179283,#108105,.T.); #226075=EDGE_CURVE('',#179282,#179284,#108106,.T.); #226076=EDGE_CURVE('',#179285,#179284,#108107,.T.); #226077=EDGE_CURVE('',#179283,#179285,#108108,.T.); #226078=EDGE_CURVE('',#179286,#179283,#108109,.T.); #226079=EDGE_CURVE('',#179287,#179285,#108110,.T.); #226080=EDGE_CURVE('',#179286,#179287,#108111,.T.); #226081=EDGE_CURVE('',#179288,#179286,#71364,.T.); #226082=EDGE_CURVE('',#179289,#179287,#71365,.T.); #226083=EDGE_CURVE('',#179288,#179289,#108112,.T.); #226084=EDGE_CURVE('',#179290,#179288,#108113,.T.); #226085=EDGE_CURVE('',#179291,#179289,#108114,.T.); #226086=EDGE_CURVE('',#179290,#179291,#108115,.T.); #226087=EDGE_CURVE('',#179292,#179290,#71366,.T.); #226088=EDGE_CURVE('',#179293,#179291,#71367,.T.); #226089=EDGE_CURVE('',#179292,#179293,#108116,.T.); #226090=EDGE_CURVE('',#179282,#179292,#108117,.T.); #226091=EDGE_CURVE('',#179284,#179293,#108118,.T.); #226092=EDGE_CURVE('',#179294,#179295,#108119,.T.); #226093=EDGE_CURVE('',#179294,#179296,#108120,.T.); #226094=EDGE_CURVE('',#179297,#179296,#108121,.T.); #226095=EDGE_CURVE('',#179295,#179297,#108122,.T.); #226096=EDGE_CURVE('',#179298,#179295,#108123,.T.); #226097=EDGE_CURVE('',#179299,#179297,#108124,.T.); #226098=EDGE_CURVE('',#179298,#179299,#108125,.T.); #226099=EDGE_CURVE('',#179300,#179298,#71368,.T.); #226100=EDGE_CURVE('',#179301,#179299,#71369,.T.); #226101=EDGE_CURVE('',#179300,#179301,#108126,.T.); #226102=EDGE_CURVE('',#179302,#179300,#108127,.T.); #226103=EDGE_CURVE('',#179303,#179301,#108128,.T.); #226104=EDGE_CURVE('',#179302,#179303,#108129,.T.); #226105=EDGE_CURVE('',#179304,#179302,#71370,.T.); #226106=EDGE_CURVE('',#179305,#179303,#71371,.T.); #226107=EDGE_CURVE('',#179304,#179305,#108130,.T.); #226108=EDGE_CURVE('',#179294,#179304,#108131,.T.); #226109=EDGE_CURVE('',#179296,#179305,#108132,.T.); #226110=EDGE_CURVE('',#179306,#179307,#108133,.T.); #226111=EDGE_CURVE('',#179306,#179308,#108134,.T.); #226112=EDGE_CURVE('',#179309,#179308,#108135,.T.); #226113=EDGE_CURVE('',#179307,#179309,#108136,.T.); #226114=EDGE_CURVE('',#179310,#179307,#108137,.T.); #226115=EDGE_CURVE('',#179311,#179309,#108138,.T.); #226116=EDGE_CURVE('',#179310,#179311,#108139,.T.); #226117=EDGE_CURVE('',#179312,#179310,#71372,.T.); #226118=EDGE_CURVE('',#179313,#179311,#71373,.T.); #226119=EDGE_CURVE('',#179312,#179313,#108140,.T.); #226120=EDGE_CURVE('',#179314,#179312,#108141,.T.); #226121=EDGE_CURVE('',#179315,#179313,#108142,.T.); #226122=EDGE_CURVE('',#179314,#179315,#108143,.T.); #226123=EDGE_CURVE('',#179316,#179314,#71374,.T.); #226124=EDGE_CURVE('',#179317,#179315,#71375,.T.); #226125=EDGE_CURVE('',#179316,#179317,#108144,.T.); #226126=EDGE_CURVE('',#179306,#179316,#108145,.T.); #226127=EDGE_CURVE('',#179308,#179317,#108146,.T.); #226128=EDGE_CURVE('',#179318,#179319,#108147,.T.); #226129=EDGE_CURVE('',#179319,#179320,#108148,.T.); #226130=EDGE_CURVE('',#179320,#179321,#108149,.T.); #226131=EDGE_CURVE('',#179318,#179321,#108150,.T.); #226132=EDGE_CURVE('',#179322,#179319,#108151,.T.); #226133=EDGE_CURVE('',#179322,#179323,#108152,.T.); #226134=EDGE_CURVE('',#179323,#179320,#108153,.T.); #226135=EDGE_CURVE('',#179324,#179322,#71376,.T.); #226136=EDGE_CURVE('',#179324,#179325,#108154,.T.); #226137=EDGE_CURVE('',#179325,#179323,#71377,.T.); #226138=EDGE_CURVE('',#179326,#179324,#108155,.T.); #226139=EDGE_CURVE('',#179326,#179327,#108156,.T.); #226140=EDGE_CURVE('',#179327,#179325,#108157,.T.); #226141=EDGE_CURVE('',#179328,#179326,#71378,.T.); #226142=EDGE_CURVE('',#179328,#179329,#108158,.T.); #226143=EDGE_CURVE('',#179329,#179327,#71379,.T.); #226144=EDGE_CURVE('',#179318,#179328,#108159,.T.); #226145=EDGE_CURVE('',#179321,#179329,#108160,.T.); #226146=EDGE_CURVE('',#179330,#179331,#108161,.T.); #226147=EDGE_CURVE('',#179331,#179332,#108162,.T.); #226148=EDGE_CURVE('',#179332,#179333,#108163,.T.); #226149=EDGE_CURVE('',#179330,#179333,#108164,.T.); #226150=EDGE_CURVE('',#179334,#179331,#108165,.T.); #226151=EDGE_CURVE('',#179334,#179335,#108166,.T.); #226152=EDGE_CURVE('',#179335,#179332,#108167,.T.); #226153=EDGE_CURVE('',#179336,#179334,#71380,.T.); #226154=EDGE_CURVE('',#179336,#179337,#108168,.T.); #226155=EDGE_CURVE('',#179337,#179335,#71381,.T.); #226156=EDGE_CURVE('',#179338,#179336,#108169,.T.); #226157=EDGE_CURVE('',#179338,#179339,#108170,.T.); #226158=EDGE_CURVE('',#179339,#179337,#108171,.T.); #226159=EDGE_CURVE('',#179340,#179338,#71382,.T.); #226160=EDGE_CURVE('',#179340,#179341,#108172,.T.); #226161=EDGE_CURVE('',#179341,#179339,#71383,.T.); #226162=EDGE_CURVE('',#179330,#179340,#108173,.T.); #226163=EDGE_CURVE('',#179333,#179341,#108174,.T.); #226164=EDGE_CURVE('',#179342,#179343,#108175,.T.); #226165=EDGE_CURVE('',#179343,#179344,#108176,.T.); #226166=EDGE_CURVE('',#179344,#179345,#108177,.T.); #226167=EDGE_CURVE('',#179342,#179345,#108178,.T.); #226168=EDGE_CURVE('',#179346,#179343,#108179,.T.); #226169=EDGE_CURVE('',#179346,#179347,#108180,.T.); #226170=EDGE_CURVE('',#179347,#179344,#108181,.T.); #226171=EDGE_CURVE('',#179348,#179346,#71384,.T.); #226172=EDGE_CURVE('',#179348,#179349,#108182,.T.); #226173=EDGE_CURVE('',#179349,#179347,#71385,.T.); #226174=EDGE_CURVE('',#179350,#179348,#108183,.T.); #226175=EDGE_CURVE('',#179350,#179351,#108184,.T.); #226176=EDGE_CURVE('',#179351,#179349,#108185,.T.); #226177=EDGE_CURVE('',#179352,#179350,#71386,.T.); #226178=EDGE_CURVE('',#179352,#179353,#108186,.T.); #226179=EDGE_CURVE('',#179353,#179351,#71387,.T.); #226180=EDGE_CURVE('',#179342,#179352,#108187,.T.); #226181=EDGE_CURVE('',#179345,#179353,#108188,.T.); #226182=EDGE_CURVE('',#179354,#179355,#108189,.T.); #226183=EDGE_CURVE('',#179354,#179356,#108190,.T.); #226184=EDGE_CURVE('',#179356,#179357,#108191,.T.); #226185=EDGE_CURVE('',#179355,#179357,#108192,.T.); #226186=EDGE_CURVE('',#179358,#179354,#108193,.T.); #226187=EDGE_CURVE('',#179358,#179359,#108194,.T.); #226188=EDGE_CURVE('',#179359,#179356,#108195,.T.); #226189=EDGE_CURVE('',#179360,#179358,#108196,.T.); #226190=EDGE_CURVE('',#179360,#179361,#108197,.T.); #226191=EDGE_CURVE('',#179361,#179359,#108198,.T.); #226192=EDGE_CURVE('',#179355,#179360,#108199,.T.); #226193=EDGE_CURVE('',#179357,#179361,#108200,.T.); #226194=EDGE_CURVE('',#179362,#179363,#108201,.T.); #226195=EDGE_CURVE('',#179363,#179364,#108202,.T.); #226196=EDGE_CURVE('',#179365,#179364,#108203,.T.); #226197=EDGE_CURVE('',#179362,#179365,#108204,.T.); #226198=EDGE_CURVE('',#179366,#179362,#108205,.T.); #226199=EDGE_CURVE('',#179367,#179365,#108206,.T.); #226200=EDGE_CURVE('',#179366,#179367,#108207,.T.); #226201=EDGE_CURVE('',#179368,#179366,#108208,.T.); #226202=EDGE_CURVE('',#179369,#179367,#108209,.T.); #226203=EDGE_CURVE('',#179368,#179369,#108210,.T.); #226204=EDGE_CURVE('',#179363,#179368,#108211,.T.); #226205=EDGE_CURVE('',#179364,#179369,#108212,.T.); #226206=EDGE_CURVE('',#179370,#179371,#108213,.T.); #226207=EDGE_CURVE('',#179371,#179372,#108214,.T.); #226208=EDGE_CURVE('',#179373,#179372,#108215,.T.); #226209=EDGE_CURVE('',#179370,#179373,#108216,.T.); #226210=EDGE_CURVE('',#179374,#179370,#108217,.T.); #226211=EDGE_CURVE('',#179375,#179373,#108218,.T.); #226212=EDGE_CURVE('',#179374,#179375,#108219,.T.); #226213=EDGE_CURVE('',#179376,#179374,#108220,.T.); #226214=EDGE_CURVE('',#179377,#179375,#108221,.T.); #226215=EDGE_CURVE('',#179376,#179377,#108222,.T.); #226216=EDGE_CURVE('',#179371,#179376,#108223,.T.); #226217=EDGE_CURVE('',#179372,#179377,#108224,.T.); #226218=EDGE_CURVE('',#179378,#179379,#108225,.T.); #226219=EDGE_CURVE('',#179379,#179380,#108226,.T.); #226220=EDGE_CURVE('',#179381,#179380,#108227,.T.); #226221=EDGE_CURVE('',#179378,#179381,#108228,.T.); #226222=EDGE_CURVE('',#179382,#179378,#108229,.T.); #226223=EDGE_CURVE('',#179383,#179381,#108230,.T.); #226224=EDGE_CURVE('',#179382,#179383,#108231,.T.); #226225=EDGE_CURVE('',#179384,#179382,#108232,.T.); #226226=EDGE_CURVE('',#179385,#179383,#108233,.T.); #226227=EDGE_CURVE('',#179384,#179385,#108234,.T.); #226228=EDGE_CURVE('',#179379,#179384,#108235,.T.); #226229=EDGE_CURVE('',#179380,#179385,#108236,.T.); #226230=EDGE_CURVE('',#179386,#179387,#108237,.T.); #226231=EDGE_CURVE('',#179386,#179388,#108238,.T.); #226232=EDGE_CURVE('',#179388,#179389,#108239,.T.); #226233=EDGE_CURVE('',#179387,#179389,#108240,.T.); #226234=EDGE_CURVE('',#179390,#179386,#108241,.T.); #226235=EDGE_CURVE('',#179390,#179391,#108242,.T.); #226236=EDGE_CURVE('',#179391,#179388,#108243,.T.); #226237=EDGE_CURVE('',#179392,#179390,#108244,.T.); #226238=EDGE_CURVE('',#179392,#179393,#108245,.T.); #226239=EDGE_CURVE('',#179393,#179391,#108246,.T.); #226240=EDGE_CURVE('',#179387,#179392,#108247,.T.); #226241=EDGE_CURVE('',#179389,#179393,#108248,.T.); #226242=EDGE_CURVE('',#179394,#179395,#108249,.T.); #226243=EDGE_CURVE('',#179394,#179396,#108250,.T.); #226244=EDGE_CURVE('',#179396,#179397,#108251,.T.); #226245=EDGE_CURVE('',#179395,#179397,#108252,.T.); #226246=EDGE_CURVE('',#179398,#179394,#108253,.T.); #226247=EDGE_CURVE('',#179398,#179399,#108254,.T.); #226248=EDGE_CURVE('',#179399,#179396,#108255,.T.); #226249=EDGE_CURVE('',#179400,#179398,#108256,.T.); #226250=EDGE_CURVE('',#179400,#179401,#108257,.T.); #226251=EDGE_CURVE('',#179401,#179399,#108258,.T.); #226252=EDGE_CURVE('',#179395,#179400,#108259,.T.); #226253=EDGE_CURVE('',#179397,#179401,#108260,.T.); #226254=EDGE_CURVE('',#179402,#179403,#108261,.T.); #226255=EDGE_CURVE('',#179402,#179404,#108262,.T.); #226256=EDGE_CURVE('',#179404,#179405,#108263,.T.); #226257=EDGE_CURVE('',#179403,#179405,#108264,.T.); #226258=EDGE_CURVE('',#179406,#179402,#108265,.T.); #226259=EDGE_CURVE('',#179406,#179407,#108266,.T.); #226260=EDGE_CURVE('',#179407,#179404,#108267,.T.); #226261=EDGE_CURVE('',#179408,#179406,#108268,.T.); #226262=EDGE_CURVE('',#179408,#179409,#108269,.T.); #226263=EDGE_CURVE('',#179409,#179407,#108270,.T.); #226264=EDGE_CURVE('',#179403,#179408,#108271,.T.); #226265=EDGE_CURVE('',#179405,#179409,#108272,.T.); #226266=EDGE_CURVE('',#179410,#179411,#108273,.T.); #226267=EDGE_CURVE('',#179411,#179412,#108274,.T.); #226268=EDGE_CURVE('',#179413,#179412,#108275,.T.); #226269=EDGE_CURVE('',#179410,#179413,#108276,.T.); #226270=EDGE_CURVE('',#179414,#179410,#108277,.T.); #226271=EDGE_CURVE('',#179415,#179413,#108278,.T.); #226272=EDGE_CURVE('',#179414,#179415,#108279,.T.); #226273=EDGE_CURVE('',#179416,#179414,#108280,.T.); #226274=EDGE_CURVE('',#179417,#179415,#108281,.T.); #226275=EDGE_CURVE('',#179416,#179417,#108282,.T.); #226276=EDGE_CURVE('',#179411,#179416,#108283,.T.); #226277=EDGE_CURVE('',#179412,#179417,#108284,.T.); #226278=EDGE_CURVE('',#179418,#179419,#108285,.T.); #226279=EDGE_CURVE('',#179419,#179420,#108286,.T.); #226280=EDGE_CURVE('',#179420,#179421,#108287,.T.); #226281=EDGE_CURVE('',#179418,#179421,#108288,.T.); #226282=EDGE_CURVE('',#179419,#179422,#108289,.T.); #226283=EDGE_CURVE('',#179422,#179423,#108290,.T.); #226284=EDGE_CURVE('',#179423,#179420,#108291,.T.); #226285=EDGE_CURVE('',#179422,#179424,#108292,.T.); #226286=EDGE_CURVE('',#179424,#179425,#108293,.T.); #226287=EDGE_CURVE('',#179425,#179423,#108294,.T.); #226288=EDGE_CURVE('',#179421,#179425,#108295,.T.); #226289=EDGE_CURVE('',#179424,#179418,#108296,.T.); #226290=EDGE_CURVE('',#179426,#179421,#108297,.T.); #226291=EDGE_CURVE('',#179426,#179427,#108298,.T.); #226292=EDGE_CURVE('',#179427,#179425,#108299,.T.); #226293=EDGE_CURVE('',#179428,#179420,#108300,.T.); #226294=EDGE_CURVE('',#179428,#179426,#108301,.T.); #226295=EDGE_CURVE('',#179429,#179423,#108302,.T.); #226296=EDGE_CURVE('',#179429,#179428,#108303,.T.); #226297=EDGE_CURVE('',#179427,#179429,#108304,.T.); #226298=EDGE_CURVE('',#179430,#179431,#108305,.T.); #226299=EDGE_CURVE('',#179430,#179432,#108306,.T.); #226300=EDGE_CURVE('',#179433,#179432,#108307,.T.); #226301=EDGE_CURVE('',#179431,#179433,#108308,.T.); #226302=EDGE_CURVE('',#179434,#179431,#108309,.T.); #226303=EDGE_CURVE('',#179435,#179433,#108310,.T.); #226304=EDGE_CURVE('',#179434,#179435,#108311,.T.); #226305=EDGE_CURVE('',#179436,#179434,#108312,.T.); #226306=EDGE_CURVE('',#179437,#179435,#108313,.T.); #226307=EDGE_CURVE('',#179436,#179437,#108314,.T.); #226308=EDGE_CURVE('',#179430,#179436,#108315,.T.); #226309=EDGE_CURVE('',#179432,#179437,#108316,.T.); #226310=EDGE_CURVE('',#179438,#179439,#108317,.T.); #226311=EDGE_CURVE('',#179439,#179440,#108318,.T.); #226312=EDGE_CURVE('',#179441,#179440,#108319,.T.); #226313=EDGE_CURVE('',#179438,#179441,#108320,.T.); #226314=EDGE_CURVE('',#179442,#179438,#108321,.T.); #226315=EDGE_CURVE('',#179443,#179441,#108322,.T.); #226316=EDGE_CURVE('',#179442,#179443,#108323,.T.); #226317=EDGE_CURVE('',#179444,#179442,#108324,.T.); #226318=EDGE_CURVE('',#179445,#179443,#108325,.T.); #226319=EDGE_CURVE('',#179444,#179445,#108326,.T.); #226320=EDGE_CURVE('',#179439,#179444,#108327,.T.); #226321=EDGE_CURVE('',#179440,#179445,#108328,.T.); #226322=EDGE_CURVE('',#179446,#179447,#108329,.T.); #226323=EDGE_CURVE('',#179446,#179448,#108330,.T.); #226324=EDGE_CURVE('',#179449,#179448,#108331,.T.); #226325=EDGE_CURVE('',#179447,#179449,#108332,.T.); #226326=EDGE_CURVE('',#179447,#179450,#108333,.T.); #226327=EDGE_CURVE('',#179451,#179449,#108334,.T.); #226328=EDGE_CURVE('',#179450,#179451,#108335,.T.); #226329=EDGE_CURVE('',#179450,#179452,#108336,.T.); #226330=EDGE_CURVE('',#179453,#179451,#108337,.T.); #226331=EDGE_CURVE('',#179452,#179453,#108338,.T.); #226332=EDGE_CURVE('',#179452,#179446,#108339,.T.); #226333=EDGE_CURVE('',#179448,#179453,#108340,.T.); #226334=EDGE_CURVE('',#179454,#179455,#108341,.T.); #226335=EDGE_CURVE('',#179454,#179456,#108342,.T.); #226336=EDGE_CURVE('',#179457,#179456,#108343,.T.); #226337=EDGE_CURVE('',#179455,#179457,#108344,.T.); #226338=EDGE_CURVE('',#179455,#179458,#108345,.T.); #226339=EDGE_CURVE('',#179459,#179457,#108346,.T.); #226340=EDGE_CURVE('',#179458,#179459,#108347,.T.); #226341=EDGE_CURVE('',#179458,#179460,#108348,.T.); #226342=EDGE_CURVE('',#179461,#179459,#108349,.T.); #226343=EDGE_CURVE('',#179460,#179461,#108350,.T.); #226344=EDGE_CURVE('',#179454,#179460,#108351,.T.); #226345=EDGE_CURVE('',#179456,#179461,#108352,.T.); #226346=EDGE_CURVE('',#179462,#179462,#71388,.T.); #226347=EDGE_CURVE('',#179462,#179463,#108353,.T.); #226348=EDGE_CURVE('',#179463,#179463,#71389,.T.); #226349=EDGE_CURVE('',#179464,#179464,#71390,.T.); #226350=EDGE_CURVE('',#179464,#179465,#108354,.T.); #226351=EDGE_CURVE('',#179465,#179465,#71391,.T.); #226352=EDGE_CURVE('',#179466,#179467,#108355,.T.); #226353=EDGE_CURVE('',#179467,#179468,#63563,.T.); #226354=EDGE_CURVE('',#179469,#179468,#63564,.T.); #226355=EDGE_CURVE('',#179470,#179469,#63565,.T.); #226356=EDGE_CURVE('',#179470,#179466,#63566,.T.); #226357=EDGE_CURVE('',#179471,#179472,#108356,.T.); #226358=EDGE_CURVE('',#179472,#179467,#63567,.T.); #226359=EDGE_CURVE('',#179466,#179471,#63568,.T.); #226360=EDGE_CURVE('',#179473,#179474,#108357,.T.); #226361=EDGE_CURVE('',#179473,#179475,#63569,.T.); #226362=EDGE_CURVE('',#179476,#179475,#71392,.T.); #226363=EDGE_CURVE('',#179476,#179474,#63570,.T.); #226364=EDGE_CURVE('',#179477,#179478,#108358,.T.); #226365=EDGE_CURVE('',#179478,#179479,#63571,.F.); #226366=EDGE_CURVE('',#179479,#179480,#71393,.T.); #226367=EDGE_CURVE('',#179480,#179477,#63572,.T.); #226368=EDGE_CURVE('',#179481,#179482,#108359,.T.); #226369=EDGE_CURVE('',#179482,#179478,#63573,.F.); #226370=EDGE_CURVE('',#179477,#179481,#63574,.T.); #226371=EDGE_CURVE('',#179483,#179484,#108360,.T.); #226372=EDGE_CURVE('',#179483,#179485,#63575,.T.); #226373=EDGE_CURVE('',#179486,#179485,#63576,.T.); #226374=EDGE_CURVE('',#179487,#179486,#63577,.T.); #226375=EDGE_CURVE('',#179487,#179484,#63578,.F.); #226376=EDGE_CURVE('',#179475,#179480,#63579,.T.); #226377=EDGE_CURVE('',#179471,#179473,#63580,.T.); #226378=EDGE_CURVE('',#179485,#179470,#63581,.T.); #226379=EDGE_CURVE('',#179481,#179483,#63582,.T.); #226380=EDGE_CURVE('',#179468,#179487,#63583,.T.); #226381=EDGE_CURVE('',#179474,#179472,#63584,.T.); #226382=EDGE_CURVE('',#179479,#179476,#63585,.T.); #226383=EDGE_CURVE('',#179484,#179482,#63586,.F.); #226384=EDGE_CURVE('',#179486,#179488,#8032,.T.); #226385=EDGE_CURVE('',#179489,#179488,#63587,.T.); #226386=EDGE_CURVE('',#179490,#179489,#63588,.T.); #226387=EDGE_CURVE('',#179491,#179490,#63589,.T.); #226388=EDGE_CURVE('',#179469,#179491,#63590,.T.); #226389=EDGE_CURVE('',#179492,#179491,#63591,.T.); #226390=EDGE_CURVE('',#179493,#179492,#63592,.T.); #226391=EDGE_CURVE('',#179488,#179493,#63593,.T.); #226392=EDGE_CURVE('',#179494,#179495,#108361,.T.); #226393=EDGE_CURVE('',#179496,#179494,#108362,.T.); #226394=EDGE_CURVE('',#179497,#179496,#108363,.T.); #226395=EDGE_CURVE('',#179498,#179497,#108364,.T.); #226396=EDGE_CURVE('',#179499,#179498,#108365,.T.); #226397=EDGE_CURVE('',#179495,#179499,#108366,.T.); #226398=EDGE_CURVE('',#179489,#179495,#108367,.T.); #226399=EDGE_CURVE('',#179488,#179494,#108368,.T.); #226400=EDGE_CURVE('',#179490,#179499,#108369,.T.); #226401=EDGE_CURVE('',#179491,#179498,#108370,.T.); #226402=EDGE_CURVE('',#179492,#179497,#108371,.T.); #226403=EDGE_CURVE('',#179493,#179496,#108372,.T.); #226404=EDGE_CURVE('',#179500,#179501,#108373,.T.); #226405=EDGE_CURVE('',#179500,#179502,#63594,.T.); #226406=EDGE_CURVE('',#179503,#179502,#71394,.F.); #226407=EDGE_CURVE('',#179503,#179501,#63595,.T.); #226408=EDGE_CURVE('',#179504,#179505,#108374,.T.); #226409=EDGE_CURVE('',#179504,#179500,#63596,.T.); #226410=EDGE_CURVE('',#179501,#179505,#63597,.T.); #226411=EDGE_CURVE('',#179506,#179507,#108375,.T.); #226412=EDGE_CURVE('',#179506,#179504,#63598,.T.); #226413=EDGE_CURVE('',#179505,#179507,#63599,.T.); #226414=EDGE_CURVE('',#179508,#179509,#108376,.T.); #226415=EDGE_CURVE('',#179508,#179506,#63600,.T.); #226416=EDGE_CURVE('',#179507,#179509,#63601,.T.); #226417=EDGE_CURVE('',#179510,#179511,#108377,.T.); #226418=EDGE_CURVE('',#179510,#179508,#63602,.T.); #226419=EDGE_CURVE('',#179509,#179511,#63603,.T.); #226420=EDGE_CURVE('',#179512,#179513,#108378,.T.); #226421=EDGE_CURVE('',#179512,#179510,#63604,.T.); #226422=EDGE_CURVE('',#179511,#179513,#63605,.T.); #226423=EDGE_CURVE('',#179514,#179515,#108379,.T.); #226424=EDGE_CURVE('',#179514,#179512,#63606,.T.); #226425=EDGE_CURVE('',#179513,#179515,#63607,.T.); #226426=EDGE_CURVE('',#179516,#179517,#108380,.T.); #226427=EDGE_CURVE('',#179516,#179518,#63608,.T.); #226428=EDGE_CURVE('',#179517,#179518,#71395,.T.); #226429=EDGE_CURVE('',#179519,#179520,#108381,.T.); #226430=EDGE_CURVE('',#179519,#179516,#63609,.T.); #226431=EDGE_CURVE('',#179521,#179517,#71396,.T.); #226432=EDGE_CURVE('',#179520,#179521,#63610,.T.); #226433=EDGE_CURVE('',#179522,#179523,#108382,.T.); #226434=EDGE_CURVE('',#179522,#179519,#63611,.T.); #226435=EDGE_CURVE('',#179523,#179520,#63612,.T.); #226436=EDGE_CURVE('',#179524,#179525,#108383,.T.); #226437=EDGE_CURVE('',#179524,#179522,#63613,.T.); #226438=EDGE_CURVE('',#179525,#179523,#63614,.T.); #226439=EDGE_CURVE('',#179526,#179527,#108384,.T.); #226440=EDGE_CURVE('',#179526,#179524,#63615,.T.); #226441=EDGE_CURVE('',#179527,#179525,#63616,.T.); #226442=EDGE_CURVE('',#179528,#179529,#108385,.T.); #226443=EDGE_CURVE('',#179528,#179526,#63617,.T.); #226444=EDGE_CURVE('',#179529,#179527,#63618,.T.); #226445=EDGE_CURVE('',#179530,#179531,#108386,.T.); #226446=EDGE_CURVE('',#179530,#179528,#63619,.T.); #226447=EDGE_CURVE('',#179531,#179529,#63620,.T.); #226448=EDGE_CURVE('',#179532,#179533,#108387,.T.); #226449=EDGE_CURVE('',#179534,#179533,#108388,.T.); #226450=EDGE_CURVE('',#179535,#179534,#108389,.T.); #226451=EDGE_CURVE('',#179535,#179532,#108390,.T.); #226452=EDGE_CURVE('',#179536,#179537,#108391,.T.); #226453=EDGE_CURVE('',#179538,#179536,#108392,.T.); #226454=EDGE_CURVE('',#179538,#179539,#108393,.T.); #226455=EDGE_CURVE('',#179539,#179537,#108394,.T.); #226456=EDGE_CURVE('',#179540,#179541,#108395,.T.); #226457=EDGE_CURVE('',#179541,#179542,#108396,.T.); #226458=EDGE_CURVE('',#179542,#179543,#108397,.T.); #226459=EDGE_CURVE('',#179540,#179543,#108398,.T.); #226460=EDGE_CURVE('',#179544,#179545,#108399,.T.); #226461=EDGE_CURVE('',#179546,#179545,#108400,.T.); #226462=EDGE_CURVE('',#179547,#179546,#108401,.T.); #226463=EDGE_CURVE('',#179547,#179544,#108402,.T.); #226464=EDGE_CURVE('',#179548,#179549,#108403,.T.); #226465=EDGE_CURVE('',#179550,#179549,#108404,.T.); #226466=EDGE_CURVE('',#179551,#179550,#108405,.T.); #226467=EDGE_CURVE('',#179551,#179548,#108406,.T.); #226468=EDGE_CURVE('',#179552,#179553,#108407,.T.); #226469=EDGE_CURVE('',#179553,#179554,#108408,.T.); #226470=EDGE_CURVE('',#179554,#179555,#108409,.T.); #226471=EDGE_CURVE('',#179552,#179555,#108410,.T.); #226472=EDGE_CURVE('',#179556,#179557,#108411,.T.); #226473=EDGE_CURVE('',#179558,#179557,#108412,.T.); #226474=EDGE_CURVE('',#179559,#179558,#108413,.T.); #226475=EDGE_CURVE('',#179556,#179559,#108414,.T.); #226476=EDGE_CURVE('',#179560,#179556,#63621,.T.); #226477=EDGE_CURVE('',#179561,#179559,#63622,.T.); #226478=EDGE_CURVE('',#179560,#179561,#108415,.T.); #226479=EDGE_CURVE('',#179562,#179563,#63623,.T.); #226480=EDGE_CURVE('',#179563,#179564,#108416,.T.); #226481=EDGE_CURVE('',#179565,#179564,#63624,.T.); #226482=EDGE_CURVE('',#179562,#179565,#108417,.T.); #226483=EDGE_CURVE('',#179566,#179562,#108418,.T.); #226484=EDGE_CURVE('',#179567,#179565,#108419,.T.); #226485=EDGE_CURVE('',#179566,#179567,#108420,.T.); #226486=EDGE_CURVE('',#179567,#179558,#108421,.T.); #226487=EDGE_CURVE('',#179564,#179561,#108422,.T.); #226488=EDGE_CURVE('',#179557,#179568,#108423,.T.); #226489=EDGE_CURVE('',#179568,#179569,#71397,.T.); #226490=EDGE_CURVE('',#179569,#179570,#71398,.T.); #226491=EDGE_CURVE('',#179570,#179571,#108424,.T.); #226492=EDGE_CURVE('',#179571,#179572,#108425,.T.); #226493=EDGE_CURVE('',#179572,#179573,#108426,.T.); #226494=EDGE_CURVE('',#179573,#179574,#108427,.T.); #226495=EDGE_CURVE('',#179574,#179575,#108428,.T.); #226496=EDGE_CURVE('',#179575,#179576,#71399,.T.); #226497=EDGE_CURVE('',#179576,#179566,#108429,.T.); #226498=EDGE_CURVE('',#179577,#179574,#108430,.T.); #226499=EDGE_CURVE('',#179578,#179573,#108431,.T.); #226500=EDGE_CURVE('',#179577,#179578,#108432,.T.); #226501=EDGE_CURVE('',#179579,#179577,#63625,.T.); #226502=EDGE_CURVE('',#179580,#179578,#63626,.T.); #226503=EDGE_CURVE('',#179579,#179580,#108433,.T.); #226504=EDGE_CURVE('',#179581,#179582,#63627,.T.); #226505=EDGE_CURVE('',#179582,#179583,#108434,.T.); #226506=EDGE_CURVE('',#179584,#179583,#63628,.T.); #226507=EDGE_CURVE('',#179581,#179584,#108435,.T.); #226508=EDGE_CURVE('',#179571,#179581,#108436,.T.); #226509=EDGE_CURVE('',#179572,#179584,#108437,.T.); #226510=EDGE_CURVE('',#179583,#179580,#108438,.T.); #226511=EDGE_CURVE('',#179585,#179586,#108439,.T.); #226512=EDGE_CURVE('',#179585,#179587,#108440,.T.); #226513=EDGE_CURVE('',#179587,#179588,#8033,.T.); #226514=EDGE_CURVE('',#179586,#179588,#108441,.T.); #226515=EDGE_CURVE('',#179589,#179585,#108442,.T.); #226516=EDGE_CURVE('',#179589,#179590,#108443,.T.); #226517=EDGE_CURVE('',#179590,#179587,#8034,.T.); #226518=EDGE_CURVE('',#179591,#179592,#108444,.T.); #226519=EDGE_CURVE('',#179591,#179593,#108445,.T.); #226520=EDGE_CURVE('',#179593,#179594,#8035,.T.); #226521=EDGE_CURVE('',#179592,#179594,#108446,.T.); #226522=EDGE_CURVE('',#179595,#179591,#108447,.T.); #226523=EDGE_CURVE('',#179595,#179596,#108448,.T.); #226524=EDGE_CURVE('',#179596,#179593,#8036,.T.); #226525=EDGE_CURVE('',#179597,#179598,#108449,.T.); #226526=EDGE_CURVE('',#179597,#179599,#108450,.T.); #226527=EDGE_CURVE('',#179599,#179600,#8037,.T.); #226528=EDGE_CURVE('',#179598,#179600,#108451,.T.); #226529=EDGE_CURVE('',#179601,#179597,#108452,.T.); #226530=EDGE_CURVE('',#179601,#179602,#108453,.T.); #226531=EDGE_CURVE('',#179602,#179599,#8038,.T.); #226532=EDGE_CURVE('',#179556,#179603,#8039,.T.); #226533=EDGE_CURVE('',#179568,#179603,#108454,.T.); #226534=EDGE_CURVE('',#179576,#179604,#108455,.T.); #226535=EDGE_CURVE('',#179604,#179562,#8040,.T.); #226536=EDGE_CURVE('',#179605,#179606,#108456,.T.); #226537=EDGE_CURVE('',#179605,#179607,#108457,.T.); #226538=EDGE_CURVE('',#179607,#179608,#8041,.T.); #226539=EDGE_CURVE('',#179606,#179608,#108458,.T.); #226540=EDGE_CURVE('',#179609,#179605,#108459,.T.); #226541=EDGE_CURVE('',#179609,#179610,#108460,.T.); #226542=EDGE_CURVE('',#179610,#179607,#8042,.T.); #226543=EDGE_CURVE('',#179611,#179612,#108461,.T.); #226544=EDGE_CURVE('',#179611,#179613,#108462,.T.); #226545=EDGE_CURVE('',#179613,#179614,#8043,.T.); #226546=EDGE_CURVE('',#179612,#179614,#108463,.T.); #226547=EDGE_CURVE('',#179615,#179611,#108464,.T.); #226548=EDGE_CURVE('',#179615,#179616,#108465,.T.); #226549=EDGE_CURVE('',#179616,#179613,#8044,.T.); #226550=EDGE_CURVE('',#179617,#179618,#108466,.T.); #226551=EDGE_CURVE('',#179617,#179619,#108467,.T.); #226552=EDGE_CURVE('',#179619,#179620,#8045,.T.); #226553=EDGE_CURVE('',#179618,#179620,#108468,.T.); #226554=EDGE_CURVE('',#179621,#179617,#108469,.T.); #226555=EDGE_CURVE('',#179621,#179622,#108470,.T.); #226556=EDGE_CURVE('',#179622,#179619,#8046,.T.); #226557=EDGE_CURVE('',#179623,#179624,#108471,.T.); #226558=EDGE_CURVE('',#179623,#179625,#108472,.T.); #226559=EDGE_CURVE('',#179625,#179626,#8047,.T.); #226560=EDGE_CURVE('',#179624,#179626,#108473,.T.); #226561=EDGE_CURVE('',#179627,#179623,#108474,.T.); #226562=EDGE_CURVE('',#179627,#179628,#108475,.T.); #226563=EDGE_CURVE('',#179628,#179625,#8048,.T.); #226564=EDGE_CURVE('',#179563,#179625,#71400,.T.); #226565=EDGE_CURVE('',#179626,#179604,#71401,.T.); #226566=EDGE_CURVE('',#179625,#179619,#71402,.T.); #226567=EDGE_CURVE('',#179619,#179613,#71403,.T.); #226568=EDGE_CURVE('',#179613,#179607,#71404,.T.); #226569=EDGE_CURVE('',#179607,#179587,#71405,.T.); #226570=EDGE_CURVE('',#179587,#179593,#71406,.T.); #226571=EDGE_CURVE('',#179593,#179599,#71407,.T.); #226572=EDGE_CURVE('',#179599,#179560,#71408,.T.); #226573=EDGE_CURVE('',#179620,#179628,#71409,.T.); #226574=EDGE_CURVE('',#179614,#179622,#71410,.T.); #226575=EDGE_CURVE('',#179608,#179616,#71411,.T.); #226576=EDGE_CURVE('',#179588,#179610,#71412,.T.); #226577=EDGE_CURVE('',#179594,#179590,#71413,.T.); #226578=EDGE_CURVE('',#179600,#179596,#71414,.T.); #226579=EDGE_CURVE('',#179603,#179602,#71415,.T.); #226580=EDGE_CURVE('',#179618,#179621,#71416,.T.); #226581=EDGE_CURVE('',#179612,#179615,#71417,.T.); #226582=EDGE_CURVE('',#179624,#179627,#71418,.T.); #226583=EDGE_CURVE('',#179606,#179609,#71419,.T.); #226584=EDGE_CURVE('',#179586,#179589,#71420,.T.); #226585=EDGE_CURVE('',#179598,#179601,#71421,.T.); #226586=EDGE_CURVE('',#179629,#179630,#108476,.T.); #226587=EDGE_CURVE('',#179629,#179631,#108477,.T.); #226588=EDGE_CURVE('',#179631,#179632,#8049,.T.); #226589=EDGE_CURVE('',#179630,#179632,#108478,.T.); #226590=EDGE_CURVE('',#179633,#179629,#108479,.T.); #226591=EDGE_CURVE('',#179633,#179634,#108480,.T.); #226592=EDGE_CURVE('',#179634,#179631,#8050,.T.); #226593=EDGE_CURVE('',#179635,#179636,#108481,.T.); #226594=EDGE_CURVE('',#179635,#179637,#108482,.T.); #226595=EDGE_CURVE('',#179637,#179638,#8051,.T.); #226596=EDGE_CURVE('',#179636,#179638,#108483,.T.); #226597=EDGE_CURVE('',#179639,#179635,#108484,.T.); #226598=EDGE_CURVE('',#179639,#179640,#108485,.T.); #226599=EDGE_CURVE('',#179640,#179637,#8052,.T.); #226600=EDGE_CURVE('',#179641,#179642,#108486,.T.); #226601=EDGE_CURVE('',#179641,#179643,#108487,.T.); #226602=EDGE_CURVE('',#179643,#179644,#8053,.T.); #226603=EDGE_CURVE('',#179642,#179644,#108488,.T.); #226604=EDGE_CURVE('',#179645,#179641,#108489,.T.); #226605=EDGE_CURVE('',#179645,#179646,#108490,.T.); #226606=EDGE_CURVE('',#179646,#179643,#8054,.T.); #226607=EDGE_CURVE('',#179647,#179648,#108491,.T.); #226608=EDGE_CURVE('',#179647,#179649,#108492,.T.); #226609=EDGE_CURVE('',#179649,#179650,#8055,.T.); #226610=EDGE_CURVE('',#179648,#179650,#108493,.T.); #226611=EDGE_CURVE('',#179651,#179647,#108494,.T.); #226612=EDGE_CURVE('',#179651,#179652,#108495,.T.); #226613=EDGE_CURVE('',#179652,#179649,#8056,.T.); #226614=EDGE_CURVE('',#179577,#179653,#8057,.T.); #226615=EDGE_CURVE('',#179649,#179579,#71422,.T.); #226616=EDGE_CURVE('',#179653,#179652,#71423,.T.); #226617=EDGE_CURVE('',#179643,#179649,#71424,.T.); #226618=EDGE_CURVE('',#179650,#179646,#71425,.T.); #226619=EDGE_CURVE('',#179637,#179643,#71426,.T.); #226620=EDGE_CURVE('',#179644,#179640,#71427,.T.); #226621=EDGE_CURVE('',#179654,#179655,#8058,.T.); #226622=EDGE_CURVE('',#179632,#179654,#71428,.T.); #226623=EDGE_CURVE('',#179655,#179631,#71429,.T.); #226624=EDGE_CURVE('',#179655,#179656,#8059,.T.); #226625=EDGE_CURVE('',#179657,#179655,#71430,.T.); #226626=EDGE_CURVE('',#179658,#179657,#8060,.T.); #226627=EDGE_CURVE('',#179656,#179658,#71431,.T.); #226628=EDGE_CURVE('',#179657,#179659,#8061,.T.); #226629=EDGE_CURVE('',#179660,#179657,#71432,.T.); #226630=EDGE_CURVE('',#179661,#179660,#8062,.T.); #226631=EDGE_CURVE('',#179659,#179661,#71433,.T.); #226632=EDGE_CURVE('',#179631,#179637,#71434,.T.); #226633=EDGE_CURVE('',#179638,#179634,#71435,.T.); #226634=EDGE_CURVE('',#179582,#179660,#71436,.T.); #226635=EDGE_CURVE('',#179660,#179662,#8063,.T.); #226636=EDGE_CURVE('',#179663,#179581,#8064,.T.); #226637=EDGE_CURVE('',#179662,#179663,#71437,.T.); #226638=EDGE_CURVE('',#179575,#179653,#108496,.T.); #226639=EDGE_CURVE('',#179570,#179663,#108497,.T.); #226640=EDGE_CURVE('',#179664,#179665,#108498,.T.); #226641=EDGE_CURVE('',#179664,#179661,#108499,.T.); #226642=EDGE_CURVE('',#179665,#179660,#108500,.T.); #226643=EDGE_CURVE('',#179665,#179666,#108501,.T.); #226644=EDGE_CURVE('',#179666,#179662,#108502,.T.); #226645=EDGE_CURVE('',#179667,#179668,#108503,.T.); #226646=EDGE_CURVE('',#179667,#179658,#108504,.T.); #226647=EDGE_CURVE('',#179668,#179657,#108505,.T.); #226648=EDGE_CURVE('',#179668,#179669,#108506,.T.); #226649=EDGE_CURVE('',#179669,#179659,#108507,.T.); #226650=EDGE_CURVE('',#179670,#179671,#108508,.T.); #226651=EDGE_CURVE('',#179670,#179654,#108509,.T.); #226652=EDGE_CURVE('',#179671,#179655,#108510,.T.); #226653=EDGE_CURVE('',#179671,#179672,#108511,.T.); #226654=EDGE_CURVE('',#179672,#179656,#108512,.T.); #226655=EDGE_CURVE('',#179672,#179670,#71438,.T.); #226656=EDGE_CURVE('',#179669,#179667,#71439,.T.); #226657=EDGE_CURVE('',#179666,#179664,#71440,.T.); #226658=EDGE_CURVE('',#179592,#179595,#71441,.T.); #226659=EDGE_CURVE('',#179648,#179651,#71442,.T.); #226660=EDGE_CURVE('',#179642,#179645,#71443,.T.); #226661=EDGE_CURVE('',#179636,#179639,#71444,.T.); #226662=EDGE_CURVE('',#179630,#179633,#71445,.T.); #226663=EDGE_CURVE('',#179673,#179673,#71446,.T.); #226664=EDGE_CURVE('',#179673,#179569,#108513,.T.); #226665=EDGE_CURVE('',#179674,#179675,#108514,.T.); #226666=EDGE_CURVE('',#179676,#179674,#108515,.T.); #226667=EDGE_CURVE('',#179676,#179677,#108516,.T.); #226668=EDGE_CURVE('',#179677,#179675,#108517,.T.); #226669=EDGE_CURVE('',#179678,#179679,#108518,.T.); #226670=EDGE_CURVE('',#179678,#179680,#108519,.T.); #226671=EDGE_CURVE('',#179681,#179680,#108520,.T.); #226672=EDGE_CURVE('',#179681,#179679,#108521,.T.); #226673=EDGE_CURVE('',#179680,#179682,#71447,.T.); #226674=EDGE_CURVE('',#179683,#179682,#108522,.T.); #226675=EDGE_CURVE('',#179681,#179683,#71448,.T.); #226676=EDGE_CURVE('',#179684,#179677,#71449,.T.); #226677=EDGE_CURVE('',#179685,#179676,#71450,.T.); #226678=EDGE_CURVE('',#179685,#179684,#108523,.T.); #226679=EDGE_CURVE('',#179682,#179686,#108524,.T.); #226680=EDGE_CURVE('',#179687,#179686,#71451,.T.); #226681=EDGE_CURVE('',#179687,#179688,#108525,.T.); #226682=EDGE_CURVE('',#179688,#179689,#108526,.T.); #226683=EDGE_CURVE('',#179690,#179689,#71452,.T.); #226684=EDGE_CURVE('',#179690,#179691,#108527,.T.); #226685=EDGE_CURVE('',#179692,#179691,#71453,.T.); #226686=EDGE_CURVE('',#179692,#179693,#108528,.T.); #226687=EDGE_CURVE('',#179693,#179694,#108529,.T.); #226688=EDGE_CURVE('',#179695,#179694,#71454,.T.); #226689=EDGE_CURVE('',#179695,#179683,#108530,.T.); #226690=EDGE_CURVE('',#179696,#179684,#108531,.T.); #226691=EDGE_CURVE('',#179686,#179696,#108532,.T.); #226692=EDGE_CURVE('',#179675,#179678,#108533,.T.); #226693=EDGE_CURVE('',#179689,#179697,#108534,.T.); #226694=EDGE_CURVE('',#179688,#179698,#108535,.T.); #226695=EDGE_CURVE('',#179697,#179698,#108536,.T.); #226696=EDGE_CURVE('',#179694,#179699,#108537,.T.); #226697=EDGE_CURVE('',#179700,#179693,#108538,.T.); #226698=EDGE_CURVE('',#179699,#179700,#108539,.T.); #226699=EDGE_CURVE('',#179701,#179692,#108540,.T.); #226700=EDGE_CURVE('',#179700,#179701,#108541,.T.); #226701=EDGE_CURVE('',#179699,#179702,#71455,.T.); #226702=EDGE_CURVE('',#179702,#179695,#108542,.T.); #226703=EDGE_CURVE('',#179703,#179687,#108543,.T.); #226704=EDGE_CURVE('',#179698,#179703,#108544,.T.); #226705=EDGE_CURVE('',#179696,#179703,#71456,.T.); #226706=EDGE_CURVE('',#179697,#179704,#71457,.T.); #226707=EDGE_CURVE('',#179704,#179690,#108545,.T.); #226708=EDGE_CURVE('',#179691,#179705,#108546,.T.); #226709=EDGE_CURVE('',#179705,#179704,#108547,.T.); #226710=EDGE_CURVE('',#179702,#179685,#108548,.T.); #226711=EDGE_CURVE('',#179705,#179701,#71458,.T.); #226712=EDGE_CURVE('',#179679,#179674,#108549,.T.); #226713=EDGE_CURVE('',#179706,#179707,#108550,.T.); #226714=EDGE_CURVE('',#179708,#179706,#108551,.T.); #226715=EDGE_CURVE('',#179709,#179708,#71459,.T.); #226716=EDGE_CURVE('',#179709,#179710,#108552,.T.); #226717=EDGE_CURVE('',#179710,#179711,#108553,.T.); #226718=EDGE_CURVE('',#179712,#179711,#71460,.T.); #226719=EDGE_CURVE('',#179712,#179713,#108554,.T.); #226720=EDGE_CURVE('',#179714,#179713,#71461,.T.); #226721=EDGE_CURVE('',#179714,#179715,#108555,.T.); #226722=EDGE_CURVE('',#179715,#179716,#108556,.T.); #226723=EDGE_CURVE('',#179717,#179716,#71462,.T.); #226724=EDGE_CURVE('',#179717,#179707,#108557,.T.); #226725=EDGE_CURVE('',#179718,#179706,#108558,.T.); #226726=EDGE_CURVE('',#179718,#179719,#108559,.T.); #226727=EDGE_CURVE('',#179708,#179719,#108560,.T.); #226728=EDGE_CURVE('',#179720,#179718,#108561,.T.); #226729=EDGE_CURVE('',#179720,#179721,#108562,.T.); #226730=EDGE_CURVE('',#179722,#179721,#71463,.T.); #226731=EDGE_CURVE('',#179722,#179723,#108563,.T.); #226732=EDGE_CURVE('',#179723,#179724,#108564,.T.); #226733=EDGE_CURVE('',#179725,#179724,#71464,.T.); #226734=EDGE_CURVE('',#179725,#179726,#108565,.T.); #226735=EDGE_CURVE('',#179727,#179726,#71465,.T.); #226736=EDGE_CURVE('',#179727,#179728,#108566,.T.); #226737=EDGE_CURVE('',#179728,#179729,#108567,.T.); #226738=EDGE_CURVE('',#179719,#179729,#71466,.T.); #226739=EDGE_CURVE('',#179711,#179727,#108568,.T.); #226740=EDGE_CURVE('',#179726,#179712,#108569,.T.); #226741=EDGE_CURVE('',#179713,#179725,#108570,.T.); #226742=EDGE_CURVE('',#179724,#179714,#108571,.T.); #226743=EDGE_CURVE('',#179721,#179717,#108572,.T.); #226744=EDGE_CURVE('',#179716,#179722,#108573,.T.); #226745=EDGE_CURVE('',#179723,#179715,#108574,.T.); #226746=EDGE_CURVE('',#179729,#179709,#108575,.T.); #226747=EDGE_CURVE('',#179710,#179728,#108576,.T.); #226748=EDGE_CURVE('',#179707,#179720,#108577,.T.); #226749=EDGE_CURVE('',#179730,#179731,#108578,.T.); #226750=EDGE_CURVE('',#179730,#179732,#108579,.T.); #226751=EDGE_CURVE('',#179732,#179733,#108580,.T.); #226752=EDGE_CURVE('',#179731,#179733,#108581,.T.); #226753=EDGE_CURVE('',#179734,#179732,#71467,.T.); #226754=EDGE_CURVE('',#179735,#179730,#71468,.T.); #226755=EDGE_CURVE('',#179735,#179734,#108582,.T.); #226756=EDGE_CURVE('',#179736,#179737,#108583,.T.); #226757=EDGE_CURVE('',#179737,#179738,#71469,.T.); #226758=EDGE_CURVE('',#179739,#179738,#108584,.T.); #226759=EDGE_CURVE('',#179736,#179739,#71470,.T.); #226760=EDGE_CURVE('',#179740,#179737,#108585,.T.); #226761=EDGE_CURVE('',#179736,#179741,#108586,.T.); #226762=EDGE_CURVE('',#179740,#179741,#108587,.T.); #226763=EDGE_CURVE('',#179738,#179742,#108588,.T.); #226764=EDGE_CURVE('',#179743,#179742,#71471,.T.); #226765=EDGE_CURVE('',#179743,#179744,#108589,.T.); #226766=EDGE_CURVE('',#179744,#179745,#108590,.T.); #226767=EDGE_CURVE('',#179746,#179745,#71472,.T.); #226768=EDGE_CURVE('',#179746,#179747,#108591,.T.); #226769=EDGE_CURVE('',#179748,#179747,#71473,.T.); #226770=EDGE_CURVE('',#179748,#179749,#108592,.T.); #226771=EDGE_CURVE('',#179749,#179750,#108593,.T.); #226772=EDGE_CURVE('',#179751,#179750,#71474,.T.); #226773=EDGE_CURVE('',#179751,#179739,#108594,.T.); #226774=EDGE_CURVE('',#179752,#179734,#108595,.T.); #226775=EDGE_CURVE('',#179742,#179752,#108596,.T.); #226776=EDGE_CURVE('',#179733,#179740,#108597,.T.); #226777=EDGE_CURVE('',#179753,#179754,#71475,.T.); #226778=EDGE_CURVE('',#179754,#179748,#108598,.T.); #226779=EDGE_CURVE('',#179747,#179753,#108599,.T.); #226780=EDGE_CURVE('',#179755,#179735,#108600,.T.); #226781=EDGE_CURVE('',#179756,#179755,#71476,.T.); #226782=EDGE_CURVE('',#179756,#179757,#108601,.T.); #226783=EDGE_CURVE('',#179757,#179754,#108602,.T.); #226784=EDGE_CURVE('',#179753,#179758,#108603,.T.); #226785=EDGE_CURVE('',#179759,#179758,#71477,.T.); #226786=EDGE_CURVE('',#179759,#179760,#108604,.T.); #226787=EDGE_CURVE('',#179760,#179761,#108605,.T.); #226788=EDGE_CURVE('',#179752,#179761,#71478,.T.); #226789=EDGE_CURVE('',#179758,#179746,#108606,.T.); #226790=EDGE_CURVE('',#179745,#179759,#108607,.T.); #226791=EDGE_CURVE('',#179761,#179743,#108608,.T.); #226792=EDGE_CURVE('',#179744,#179760,#108609,.T.); #226793=EDGE_CURVE('',#179755,#179751,#108610,.T.); #226794=EDGE_CURVE('',#179750,#179756,#108611,.T.); #226795=EDGE_CURVE('',#179741,#179731,#108612,.T.); #226796=EDGE_CURVE('',#179757,#179749,#108613,.T.); #226797=EDGE_CURVE('',#179762,#179763,#108614,.T.); #226798=EDGE_CURVE('',#179764,#179762,#108615,.T.); #226799=EDGE_CURVE('',#179764,#179765,#108616,.T.); #226800=EDGE_CURVE('',#179765,#179763,#108617,.T.); #226801=EDGE_CURVE('',#179766,#179767,#108618,.T.); #226802=EDGE_CURVE('',#179766,#179768,#108619,.T.); #226803=EDGE_CURVE('',#179769,#179768,#108620,.T.); #226804=EDGE_CURVE('',#179769,#179767,#108621,.T.); #226805=EDGE_CURVE('',#179768,#179770,#71479,.T.); #226806=EDGE_CURVE('',#179771,#179770,#108622,.T.); #226807=EDGE_CURVE('',#179769,#179771,#71480,.T.); #226808=EDGE_CURVE('',#179772,#179765,#71481,.T.); #226809=EDGE_CURVE('',#179773,#179764,#71482,.T.); #226810=EDGE_CURVE('',#179773,#179772,#108623,.T.); #226811=EDGE_CURVE('',#179770,#179774,#108624,.T.); #226812=EDGE_CURVE('',#179775,#179774,#71483,.T.); #226813=EDGE_CURVE('',#179775,#179776,#108625,.T.); #226814=EDGE_CURVE('',#179776,#179777,#108626,.T.); #226815=EDGE_CURVE('',#179778,#179777,#71484,.T.); #226816=EDGE_CURVE('',#179778,#179779,#108627,.T.); #226817=EDGE_CURVE('',#179780,#179779,#71485,.T.); #226818=EDGE_CURVE('',#179780,#179781,#108628,.T.); #226819=EDGE_CURVE('',#179781,#179782,#108629,.T.); #226820=EDGE_CURVE('',#179783,#179782,#71486,.T.); #226821=EDGE_CURVE('',#179783,#179771,#108630,.T.); #226822=EDGE_CURVE('',#179784,#179772,#108631,.T.); #226823=EDGE_CURVE('',#179774,#179784,#108632,.T.); #226824=EDGE_CURVE('',#179763,#179766,#108633,.T.); #226825=EDGE_CURVE('',#179777,#179785,#108634,.T.); #226826=EDGE_CURVE('',#179776,#179786,#108635,.T.); #226827=EDGE_CURVE('',#179785,#179786,#108636,.T.); #226828=EDGE_CURVE('',#179782,#179787,#108637,.T.); #226829=EDGE_CURVE('',#179788,#179781,#108638,.T.); #226830=EDGE_CURVE('',#179787,#179788,#108639,.T.); #226831=EDGE_CURVE('',#179789,#179780,#108640,.T.); #226832=EDGE_CURVE('',#179788,#179789,#108641,.T.); #226833=EDGE_CURVE('',#179787,#179790,#71487,.T.); #226834=EDGE_CURVE('',#179790,#179783,#108642,.T.); #226835=EDGE_CURVE('',#179791,#179775,#108643,.T.); #226836=EDGE_CURVE('',#179786,#179791,#108644,.T.); #226837=EDGE_CURVE('',#179784,#179791,#71488,.T.); #226838=EDGE_CURVE('',#179785,#179792,#71489,.T.); #226839=EDGE_CURVE('',#179792,#179778,#108645,.T.); #226840=EDGE_CURVE('',#179779,#179793,#108646,.T.); #226841=EDGE_CURVE('',#179793,#179792,#108647,.T.); #226842=EDGE_CURVE('',#179790,#179773,#108648,.T.); #226843=EDGE_CURVE('',#179793,#179789,#71490,.T.); #226844=EDGE_CURVE('',#179767,#179762,#108649,.T.); #226845=EDGE_CURVE('',#179794,#179795,#108650,.T.); #226846=EDGE_CURVE('',#179796,#179794,#108651,.T.); #226847=EDGE_CURVE('',#179797,#179796,#71491,.T.); #226848=EDGE_CURVE('',#179797,#179798,#108652,.T.); #226849=EDGE_CURVE('',#179798,#179799,#108653,.T.); #226850=EDGE_CURVE('',#179800,#179799,#71492,.T.); #226851=EDGE_CURVE('',#179800,#179801,#108654,.T.); #226852=EDGE_CURVE('',#179802,#179801,#71493,.T.); #226853=EDGE_CURVE('',#179802,#179803,#108655,.T.); #226854=EDGE_CURVE('',#179803,#179804,#108656,.T.); #226855=EDGE_CURVE('',#179805,#179804,#71494,.T.); #226856=EDGE_CURVE('',#179805,#179795,#108657,.T.); #226857=EDGE_CURVE('',#179806,#179794,#108658,.T.); #226858=EDGE_CURVE('',#179806,#179807,#108659,.T.); #226859=EDGE_CURVE('',#179796,#179807,#108660,.T.); #226860=EDGE_CURVE('',#179808,#179806,#108661,.T.); #226861=EDGE_CURVE('',#179808,#179809,#108662,.T.); #226862=EDGE_CURVE('',#179810,#179809,#71495,.T.); #226863=EDGE_CURVE('',#179810,#179811,#108663,.T.); #226864=EDGE_CURVE('',#179811,#179812,#108664,.T.); #226865=EDGE_CURVE('',#179813,#179812,#71496,.T.); #226866=EDGE_CURVE('',#179813,#179814,#108665,.T.); #226867=EDGE_CURVE('',#179815,#179814,#71497,.T.); #226868=EDGE_CURVE('',#179815,#179816,#108666,.T.); #226869=EDGE_CURVE('',#179816,#179817,#108667,.T.); #226870=EDGE_CURVE('',#179807,#179817,#71498,.T.); #226871=EDGE_CURVE('',#179795,#179808,#108668,.T.); #226872=EDGE_CURVE('',#179809,#179805,#108669,.T.); #226873=EDGE_CURVE('',#179812,#179802,#108670,.T.); #226874=EDGE_CURVE('',#179811,#179803,#108671,.T.); #226875=EDGE_CURVE('',#179817,#179797,#108672,.T.); #226876=EDGE_CURVE('',#179798,#179816,#108673,.T.); #226877=EDGE_CURVE('',#179799,#179815,#108674,.T.); #226878=EDGE_CURVE('',#179804,#179810,#108675,.T.); #226879=EDGE_CURVE('',#179801,#179813,#108676,.T.); #226880=EDGE_CURVE('',#179814,#179800,#108677,.T.); #226881=EDGE_CURVE('',#179543,#179818,#108678,.T.); #226882=EDGE_CURVE('',#179818,#179819,#63629,.T.); #226883=EDGE_CURVE('',#179820,#179819,#71499,.T.); #226884=EDGE_CURVE('',#179821,#179820,#108679,.T.); #226885=EDGE_CURVE('',#179822,#179821,#71500,.T.); #226886=EDGE_CURVE('',#179822,#179823,#108680,.T.); #226887=EDGE_CURVE('',#179823,#179543,#108681,.T.); #226888=EDGE_CURVE('',#179824,#179537,#108682,.T.); #226889=EDGE_CURVE('',#179825,#179824,#108683,.T.); #226890=EDGE_CURVE('',#179825,#179826,#108684,.T.); #226891=EDGE_CURVE('',#179826,#179827,#108685,.T.); #226892=EDGE_CURVE('',#179828,#179827,#108686,.T.); #226893=EDGE_CURVE('',#179829,#179828,#108687,.T.); #226894=EDGE_CURVE('',#179829,#179535,#108688,.T.); #226895=EDGE_CURVE('',#179535,#179537,#108689,.T.); #226896=EDGE_CURVE('',#179547,#179830,#108690,.T.); #226897=EDGE_CURVE('',#179547,#179831,#108691,.T.); #226898=EDGE_CURVE('',#179831,#179832,#108692,.T.); #226899=EDGE_CURVE('',#179833,#179832,#71501,.T.); #226900=EDGE_CURVE('',#179834,#179833,#108693,.T.); #226901=EDGE_CURVE('',#179834,#179835,#63630,.T.); #226902=EDGE_CURVE('',#179830,#179835,#71502,.T.); #226903=EDGE_CURVE('',#179836,#179837,#108694,.T.); #226904=EDGE_CURVE('',#179838,#179837,#71503,.T.); #226905=EDGE_CURVE('',#179838,#179839,#108695,.T.); #226906=EDGE_CURVE('',#179840,#179839,#71504,.T.); #226907=EDGE_CURVE('',#179551,#179840,#108696,.T.); #226908=EDGE_CURVE('',#179551,#179836,#108697,.T.); #226909=EDGE_CURVE('',#179552,#179841,#71505,.T.); #226910=EDGE_CURVE('',#179552,#179842,#108698,.T.); #226911=EDGE_CURVE('',#179843,#179842,#71506,.T.); #226912=EDGE_CURVE('',#179844,#179843,#108699,.T.); #226913=EDGE_CURVE('',#179845,#179844,#71507,.T.); #226914=EDGE_CURVE('',#179845,#179546,#108700,.T.); #226915=EDGE_CURVE('',#179846,#179546,#71508,.T.); #226916=EDGE_CURVE('',#179846,#179847,#108701,.T.); #226917=EDGE_CURVE('',#179848,#179847,#108702,.T.); #226918=EDGE_CURVE('',#179849,#179848,#108703,.T.); #226919=EDGE_CURVE('',#179849,#179850,#108704,.T.); #226920=EDGE_CURVE('',#179850,#179851,#108705,.T.); #226921=EDGE_CURVE('',#179852,#179851,#108706,.T.); #226922=EDGE_CURVE('',#179853,#179852,#108707,.T.); #226923=EDGE_CURVE('',#179853,#179854,#108708,.T.); #226924=EDGE_CURVE('',#179854,#179841,#108709,.T.); #226925=EDGE_CURVE('',#179855,#179521,#63631,.T.); #226926=EDGE_CURVE('',#179515,#179855,#63632,.T.); #226927=EDGE_CURVE('',#179856,#179503,#63633,.T.); #226928=EDGE_CURVE('',#179856,#179531,#63634,.T.); #226929=EDGE_CURVE('',#179857,#179856,#71509,.T.); #226930=EDGE_CURVE('',#179857,#179530,#63635,.T.); #226931=EDGE_CURVE('',#179518,#179858,#63636,.T.); #226932=EDGE_CURVE('',#179502,#179857,#63637,.T.); #226933=EDGE_CURVE('',#179858,#179514,#63638,.T.); #226934=EDGE_CURVE('',#179855,#179858,#71510,.T.); #226935=EDGE_CURVE('',#179859,#179860,#108710,.T.); #226936=EDGE_CURVE('',#179861,#179859,#108711,.T.); #226937=EDGE_CURVE('',#179861,#179862,#108712,.T.); #226938=EDGE_CURVE('',#179863,#179862,#108713,.T.); #226939=EDGE_CURVE('',#179864,#179863,#108714,.T.); #226940=EDGE_CURVE('',#179860,#179864,#108715,.T.); #226941=EDGE_CURVE('',#179865,#179861,#108716,.T.); #226942=EDGE_CURVE('',#179866,#179865,#108717,.T.); #226943=EDGE_CURVE('',#179862,#179866,#108718,.T.); #226944=EDGE_CURVE('',#179860,#179867,#108719,.T.); #226945=EDGE_CURVE('',#179864,#179868,#108720,.T.); #226946=EDGE_CURVE('',#179868,#179867,#108721,.T.); #226947=EDGE_CURVE('',#179869,#179863,#108722,.T.); #226948=EDGE_CURVE('',#179868,#179869,#108723,.T.); #226949=EDGE_CURVE('',#179867,#179870,#108724,.T.); #226950=EDGE_CURVE('',#179866,#179869,#108725,.T.); #226951=EDGE_CURVE('',#179870,#179865,#108726,.T.); #226952=EDGE_CURVE('',#179871,#179870,#108727,.T.); #226953=EDGE_CURVE('',#179872,#179871,#108728,.T.); #226954=EDGE_CURVE('',#179873,#179872,#108729,.T.); #226955=EDGE_CURVE('',#179874,#179873,#108730,.T.); #226956=EDGE_CURVE('',#179875,#179874,#108731,.T.); #226957=EDGE_CURVE('',#179876,#179875,#108732,.T.); #226958=EDGE_CURVE('',#179877,#179876,#108733,.T.); #226959=EDGE_CURVE('',#179877,#179878,#108734,.T.); #226960=EDGE_CURVE('',#179859,#179878,#108735,.T.); #226961=EDGE_CURVE('',#179879,#179880,#108736,.T.); #226962=EDGE_CURVE('',#179880,#179881,#108737,.T.); #226963=EDGE_CURVE('',#179881,#179882,#108738,.T.); #226964=EDGE_CURVE('',#179882,#179879,#108739,.T.); #226965=EDGE_CURVE('',#179880,#179883,#108740,.T.); #226966=EDGE_CURVE('',#179883,#179884,#108741,.T.); #226967=EDGE_CURVE('',#179884,#179881,#108742,.T.); #226968=EDGE_CURVE('',#179885,#179886,#108743,.T.); #226969=EDGE_CURVE('',#179886,#179887,#108744,.T.); #226970=EDGE_CURVE('',#179887,#179888,#108745,.T.); #226971=EDGE_CURVE('',#179888,#179885,#108746,.T.); #226972=EDGE_CURVE('',#179889,#179890,#108747,.T.); #226973=EDGE_CURVE('',#179890,#179891,#108748,.T.); #226974=EDGE_CURVE('',#179891,#179892,#108749,.T.); #226975=EDGE_CURVE('',#179889,#179892,#108750,.T.); #226976=EDGE_CURVE('',#179893,#179889,#108751,.T.); #226977=EDGE_CURVE('',#179892,#179894,#108752,.T.); #226978=EDGE_CURVE('',#179894,#179893,#108753,.T.); #226979=EDGE_CURVE('',#179895,#179896,#108754,.T.); #226980=EDGE_CURVE('',#179896,#179897,#108755,.T.); #226981=EDGE_CURVE('',#179898,#179897,#108756,.T.); #226982=EDGE_CURVE('',#179895,#179898,#108757,.T.); #226983=EDGE_CURVE('',#179897,#179899,#71511,.F.); #226984=EDGE_CURVE('',#179900,#179899,#108758,.F.); #226985=EDGE_CURVE('',#179898,#179900,#71512,.T.); #226986=EDGE_CURVE('',#179901,#179902,#108759,.T.); #226987=EDGE_CURVE('',#179900,#179902,#108760,.T.); #226988=EDGE_CURVE('',#179899,#179901,#108761,.T.); #226989=EDGE_CURVE('',#179873,#179903,#71513,.T.); #226990=EDGE_CURVE('',#179872,#179904,#108762,.T.); #226991=EDGE_CURVE('',#179904,#179905,#108763,.F.); #226992=EDGE_CURVE('',#179905,#179906,#71514,.T.); #226993=EDGE_CURVE('',#179906,#179907,#108764,.T.); #226994=EDGE_CURVE('',#179907,#179903,#108765,.T.); #226995=EDGE_CURVE('',#179908,#179907,#108766,.T.); #226996=EDGE_CURVE('',#179906,#179909,#108767,.F.); #226997=EDGE_CURVE('',#179909,#179908,#108768,.T.); #226998=EDGE_CURVE('',#179871,#179910,#108769,.T.); #226999=EDGE_CURVE('',#179904,#179910,#108770,.F.); #227000=EDGE_CURVE('',#179910,#179911,#108771,.T.); #227001=EDGE_CURVE('',#179905,#179911,#108772,.T.); #227002=EDGE_CURVE('',#179911,#179909,#71515,.F.); #227003=EDGE_CURVE('',#179912,#179913,#108773,.T.); #227004=EDGE_CURVE('',#179913,#179914,#108774,.T.); #227005=EDGE_CURVE('',#179915,#179914,#108775,.T.); #227006=EDGE_CURVE('',#179912,#179915,#108776,.T.); #227007=EDGE_CURVE('',#179914,#179916,#71516,.F.); #227008=EDGE_CURVE('',#179917,#179916,#108777,.F.); #227009=EDGE_CURVE('',#179915,#179917,#71517,.T.); #227010=EDGE_CURVE('',#179918,#179919,#108778,.T.); #227011=EDGE_CURVE('',#179917,#179919,#108779,.T.); #227012=EDGE_CURVE('',#179916,#179918,#108780,.T.); #227013=EDGE_CURVE('',#179920,#179921,#108781,.T.); #227014=EDGE_CURVE('',#179922,#179921,#108782,.T.); #227015=EDGE_CURVE('',#179923,#179922,#108783,.F.); #227016=EDGE_CURVE('',#179923,#179920,#108784,.T.); #227017=EDGE_CURVE('',#179921,#179878,#108785,.T.); #227018=EDGE_CURVE('',#179922,#179877,#108786,.T.); #227019=EDGE_CURVE('',#179924,#179925,#108787,.T.); #227020=EDGE_CURVE('',#179925,#179926,#108788,.T.); #227021=EDGE_CURVE('',#179927,#179926,#108789,.T.); #227022=EDGE_CURVE('',#179924,#179927,#108790,.T.); #227023=EDGE_CURVE('',#179926,#179920,#71518,.F.); #227024=EDGE_CURVE('',#179927,#179923,#71519,.T.); #227025=EDGE_CURVE('',#179925,#179901,#108791,.T.); #227026=EDGE_CURVE('',#179896,#179918,#108792,.T.); #227027=EDGE_CURVE('',#179913,#179908,#108793,.T.); #227028=EDGE_CURVE('',#179928,#179929,#71520,.T.); #227029=EDGE_CURVE('',#179928,#179930,#108794,.T.); #227030=EDGE_CURVE('',#179930,#179931,#71521,.T.); #227031=EDGE_CURVE('',#179929,#179931,#108795,.T.); #227032=EDGE_CURVE('',#179932,#179933,#108796,.T.); #227033=EDGE_CURVE('',#179888,#179933,#108797,.T.); #227034=EDGE_CURVE('',#179934,#179887,#71522,.T.); #227035=EDGE_CURVE('',#179935,#179934,#108798,.T.); #227036=EDGE_CURVE('',#179936,#179935,#71523,.T.); #227037=EDGE_CURVE('',#179937,#179936,#108799,.T.); #227038=EDGE_CURVE('',#179938,#179937,#108800,.T.); #227039=EDGE_CURVE('',#179939,#179938,#108801,.T.); #227040=EDGE_CURVE('',#179940,#179939,#108802,.T.); #227041=EDGE_CURVE('',#179894,#179940,#108803,.T.); #227042=EDGE_CURVE('',#179941,#179891,#108804,.T.); #227043=EDGE_CURVE('',#179932,#179941,#108805,.T.); #227044=EDGE_CURVE('',#179942,#179943,#108806,.T.); #227045=EDGE_CURVE('',#179943,#179940,#108807,.T.); #227046=EDGE_CURVE('',#179942,#179939,#108808,.T.); #227047=EDGE_CURVE('',#179944,#179942,#108809,.T.); #227048=EDGE_CURVE('',#179931,#179938,#108810,.T.); #227049=EDGE_CURVE('',#179944,#179930,#108811,.T.); #227050=EDGE_CURVE('',#179945,#179946,#71524,.T.); #227051=EDGE_CURVE('',#179945,#179947,#108812,.T.); #227052=EDGE_CURVE('',#179948,#179947,#71525,.T.); #227053=EDGE_CURVE('',#179948,#179893,#108813,.T.); #227054=EDGE_CURVE('',#179943,#179949,#108814,.T.); #227055=EDGE_CURVE('',#179950,#179949,#108815,.T.); #227056=EDGE_CURVE('',#179950,#179946,#108816,.T.); #227057=EDGE_CURVE('',#179883,#179950,#108817,.T.); #227058=EDGE_CURVE('',#179949,#179884,#108818,.T.); #227059=EDGE_CURVE('',#179951,#179952,#71526,.T.); #227060=EDGE_CURVE('',#179951,#179879,#108819,.T.); #227061=EDGE_CURVE('',#179882,#179953,#108820,.T.); #227062=EDGE_CURVE('',#179953,#179932,#108821,.T.); #227063=EDGE_CURVE('',#179954,#179941,#108822,.T.); #227064=EDGE_CURVE('',#179954,#179955,#108823,.T.); #227065=EDGE_CURVE('',#179956,#179955,#71527,.T.); #227066=EDGE_CURVE('',#179956,#179952,#108824,.T.); #227067=EDGE_CURVE('',#179890,#179954,#108825,.T.); #227068=EDGE_CURVE('',#179957,#179958,#108826,.T.); #227069=EDGE_CURVE('',#179959,#179958,#108827,.T.); #227070=EDGE_CURVE('',#179959,#179960,#108828,.T.); #227071=EDGE_CURVE('',#179957,#179960,#108829,.T.); #227072=EDGE_CURVE('',#179961,#179957,#71528,.T.); #227073=EDGE_CURVE('',#179960,#179962,#71529,.T.); #227074=EDGE_CURVE('',#179961,#179962,#108830,.T.); #227075=EDGE_CURVE('',#179963,#179961,#108831,.T.); #227076=EDGE_CURVE('',#179962,#179964,#108832,.T.); #227077=EDGE_CURVE('',#179963,#179964,#108833,.T.); #227078=EDGE_CURVE('',#179965,#179963,#71530,.T.); #227079=EDGE_CURVE('',#179964,#179966,#71531,.T.); #227080=EDGE_CURVE('',#179965,#179966,#108834,.T.); #227081=EDGE_CURVE('',#179967,#179965,#108835,.T.); #227082=EDGE_CURVE('',#179966,#179968,#108836,.T.); #227083=EDGE_CURVE('',#179968,#179967,#108837,.T.); #227084=EDGE_CURVE('',#179969,#179967,#108838,.T.); #227085=EDGE_CURVE('',#179968,#179944,#108839,.T.); #227086=EDGE_CURVE('',#179969,#179944,#108840,.T.); #227087=EDGE_CURVE('',#179970,#179969,#108841,.T.); #227088=EDGE_CURVE('',#179970,#179928,#108842,.T.); #227089=EDGE_CURVE('',#179971,#179972,#108843,.T.); #227090=EDGE_CURVE('',#179929,#179972,#108844,.T.); #227091=EDGE_CURVE('',#179938,#179971,#108845,.T.); #227092=EDGE_CURVE('',#179973,#179971,#108846,.T.); #227093=EDGE_CURVE('',#179937,#179973,#108847,.T.); #227094=EDGE_CURVE('',#179974,#179973,#108848,.T.); #227095=EDGE_CURVE('',#179936,#179974,#108849,.T.); #227096=EDGE_CURVE('',#179975,#179974,#71532,.T.); #227097=EDGE_CURVE('',#179975,#179935,#108850,.T.); #227098=EDGE_CURVE('',#179976,#179975,#108851,.T.); #227099=EDGE_CURVE('',#179976,#179934,#108852,.T.); #227100=EDGE_CURVE('',#179886,#179976,#71533,.T.); #227101=EDGE_CURVE('',#179977,#179953,#108853,.T.); #227102=EDGE_CURVE('',#179977,#179959,#108854,.T.); #227103=EDGE_CURVE('',#179933,#179977,#108855,.T.); #227104=EDGE_CURVE('',#179978,#179979,#71534,.T.); #227105=EDGE_CURVE('',#179978,#179912,#108856,.T.); #227106=EDGE_CURVE('',#179919,#179979,#108857,.T.); #227107=EDGE_CURVE('',#179842,#179948,#108858,.T.); #227108=EDGE_CURVE('',#179947,#179843,#108859,.T.); #227109=EDGE_CURVE('',#179980,#179981,#108860,.T.); #227110=EDGE_CURVE('',#179902,#179980,#108861,.T.); #227111=EDGE_CURVE('',#179982,#179924,#108862,.T.); #227112=EDGE_CURVE('',#179983,#179982,#108863,.T.); #227113=EDGE_CURVE('',#179972,#179983,#108864,.T.); #227114=EDGE_CURVE('',#179981,#179885,#108865,.T.); #227115=EDGE_CURVE('',#179982,#179876,#71535,.T.); #227116=EDGE_CURVE('',#179875,#179983,#71536,.T.); #227117=EDGE_CURVE('',#179984,#179980,#71537,.T.); #227118=EDGE_CURVE('',#179984,#179895,#108866,.T.); #227119=EDGE_CURVE('',#179981,#179985,#71538,.T.); #227120=EDGE_CURVE('',#179985,#179984,#108867,.T.); #227121=EDGE_CURVE('',#179955,#179550,#108868,.T.); #227122=EDGE_CURVE('',#179536,#179550,#71539,.T.); #227123=EDGE_CURVE('',#179536,#179956,#108869,.T.); #227124=EDGE_CURVE('',#179844,#179945,#108870,.T.); #227125=EDGE_CURVE('',#179946,#179845,#108871,.T.); #227126=EDGE_CURVE('',#179986,#179978,#108872,.T.); #227127=EDGE_CURVE('',#179958,#179986,#108873,.T.); #227128=EDGE_CURVE('',#179987,#179970,#108874,.T.); #227129=EDGE_CURVE('',#179903,#179987,#108875,.T.); #227130=EDGE_CURVE('',#179987,#179874,#71540,.T.); #227131=EDGE_CURVE('',#179979,#179988,#108876,.T.); #227132=EDGE_CURVE('',#179988,#179985,#108877,.T.); #227133=EDGE_CURVE('',#179988,#179986,#71541,.T.); #227134=EDGE_CURVE('',#179989,#179990,#108878,.T.); #227135=EDGE_CURVE('',#179990,#179555,#108879,.T.); #227136=EDGE_CURVE('',#179555,#179991,#108880,.T.); #227137=EDGE_CURVE('',#179992,#179991,#71542,.T.); #227138=EDGE_CURVE('',#179993,#179992,#108881,.T.); #227139=EDGE_CURVE('',#179989,#179993,#71543,.T.); #227140=EDGE_CURVE('',#179540,#179534,#71544,.T.); #227141=EDGE_CURVE('',#179540,#179951,#108882,.T.); #227142=EDGE_CURVE('',#179952,#179534,#108883,.T.); #227143=EDGE_CURVE('',#179831,#179823,#108884,.T.); #227144=EDGE_CURVE('',#179823,#179994,#108885,.T.); #227145=EDGE_CURVE('',#179994,#179995,#108886,.T.); #227146=EDGE_CURVE('',#179995,#179831,#108887,.T.); #227147=EDGE_CURVE('',#179533,#179541,#71545,.T.); #227148=EDGE_CURVE('',#179996,#179553,#71546,.T.); #227149=EDGE_CURVE('',#179996,#179997,#108888,.T.); #227150=EDGE_CURVE('',#179998,#179997,#108889,.T.); #227151=EDGE_CURVE('',#179999,#179998,#108890,.T.); #227152=EDGE_CURVE('',#179999,#180000,#108891,.T.); #227153=EDGE_CURVE('',#180001,#180000,#108892,.T.); #227154=EDGE_CURVE('',#180001,#180002,#108893,.T.); #227155=EDGE_CURVE('',#180003,#180002,#108894,.T.); #227156=EDGE_CURVE('',#180003,#180004,#108895,.T.); #227157=EDGE_CURVE('',#180004,#180005,#108896,.T.); #227158=EDGE_CURVE('',#179545,#180005,#71547,.T.); #227159=EDGE_CURVE('',#180006,#179544,#108897,.T.); #227160=EDGE_CURVE('',#180006,#180007,#71548,.T.); #227161=EDGE_CURVE('',#180008,#180007,#63639,.F.); #227162=EDGE_CURVE('',#180008,#180009,#108898,.T.); #227163=EDGE_CURVE('',#180010,#180009,#71549,.T.); #227164=EDGE_CURVE('',#180010,#180011,#108899,.T.); #227165=EDGE_CURVE('',#180012,#180011,#108900,.T.); #227166=EDGE_CURVE('',#180012,#180013,#108901,.T.); #227167=EDGE_CURVE('',#180014,#180013,#71550,.T.); #227168=EDGE_CURVE('',#180014,#180015,#108902,.T.); #227169=EDGE_CURVE('',#180015,#180016,#71551,.T.); #227170=EDGE_CURVE('',#180017,#180016,#63640,.F.); #227171=EDGE_CURVE('',#180017,#179542,#108903,.T.); #227172=EDGE_CURVE('',#180018,#179532,#108904,.T.); #227173=EDGE_CURVE('',#180019,#180018,#108905,.T.); #227174=EDGE_CURVE('',#180019,#180020,#108906,.T.); #227175=EDGE_CURVE('',#180021,#180020,#108907,.T.); #227176=EDGE_CURVE('',#180022,#180021,#108908,.T.); #227177=EDGE_CURVE('',#180023,#180022,#108909,.T.); #227178=EDGE_CURVE('',#180023,#179539,#108910,.T.); #227179=EDGE_CURVE('',#179549,#179538,#71552,.T.); #227180=EDGE_CURVE('',#180024,#179548,#108911,.T.); #227181=EDGE_CURVE('',#180024,#180025,#71553,.T.); #227182=EDGE_CURVE('',#180025,#180026,#108912,.T.); #227183=EDGE_CURVE('',#180027,#180026,#71554,.T.); #227184=EDGE_CURVE('',#180027,#180028,#108913,.T.); #227185=EDGE_CURVE('',#180029,#180028,#108914,.T.); #227186=EDGE_CURVE('',#180029,#180030,#108915,.T.); #227187=EDGE_CURVE('',#180031,#180030,#71555,.T.); #227188=EDGE_CURVE('',#180031,#180032,#108916,.T.); #227189=EDGE_CURVE('',#180032,#180033,#71556,.T.); #227190=EDGE_CURVE('',#180033,#179554,#108917,.T.); #227191=EDGE_CURVE('',#180005,#179846,#108918,.T.); #227192=EDGE_CURVE('',#179847,#180004,#108919,.T.); #227193=EDGE_CURVE('',#179841,#179996,#108920,.T.); #227194=EDGE_CURVE('',#179836,#179990,#108921,.T.); #227195=EDGE_CURVE('',#179824,#180023,#108922,.T.); #227196=EDGE_CURVE('',#179997,#179854,#108923,.T.); #227197=EDGE_CURVE('',#179998,#179853,#108924,.T.); #227198=EDGE_CURVE('',#179848,#180003,#108925,.T.); #227199=EDGE_CURVE('',#180018,#179829,#108926,.T.); #227200=EDGE_CURVE('',#179828,#180019,#108927,.T.); #227201=EDGE_CURVE('',#180020,#179827,#108928,.T.); #227202=EDGE_CURVE('',#180034,#180035,#108929,.T.); #227203=EDGE_CURVE('',#180034,#180036,#108930,.T.); #227204=EDGE_CURVE('',#180036,#180037,#108931,.T.); #227205=EDGE_CURVE('',#180035,#180037,#108932,.T.); #227206=EDGE_CURVE('',#180038,#180039,#108933,.T.); #227207=EDGE_CURVE('',#180040,#180038,#108934,.T.); #227208=EDGE_CURVE('',#180041,#180040,#108935,.T.); #227209=EDGE_CURVE('',#180041,#180039,#108936,.T.); #227210=EDGE_CURVE('',#180041,#180021,#71557,.T.); #227211=EDGE_CURVE('',#180020,#180036,#71558,.T.); #227212=EDGE_CURVE('',#180036,#180041,#108937,.T.); #227213=EDGE_CURVE('',#180040,#179826,#71559,.T.); #227214=EDGE_CURVE('',#180040,#180037,#108938,.T.); #227215=EDGE_CURVE('',#179827,#180037,#71560,.T.); #227216=EDGE_CURVE('',#180021,#179826,#108939,.T.); #227217=EDGE_CURVE('',#180022,#179825,#108940,.T.); #227218=EDGE_CURVE('',#180042,#180034,#71561,.T.); #227219=EDGE_CURVE('',#180042,#180043,#108941,.T.); #227220=EDGE_CURVE('',#180039,#180043,#71562,.T.); #227221=EDGE_CURVE('',#180044,#180038,#71563,.T.); #227222=EDGE_CURVE('',#180043,#180044,#108942,.T.); #227223=EDGE_CURVE('',#180035,#180045,#71564,.T.); #227224=EDGE_CURVE('',#180044,#180045,#108943,.T.); #227225=EDGE_CURVE('',#180045,#180042,#108944,.T.); #227226=EDGE_CURVE('',#179852,#179999,#108945,.T.); #227227=EDGE_CURVE('',#180002,#179849,#108946,.T.); #227228=EDGE_CURVE('',#180001,#179850,#108947,.T.); #227229=EDGE_CURVE('',#180046,#180047,#108948,.T.); #227230=EDGE_CURVE('',#180048,#180046,#108949,.T.); #227231=EDGE_CURVE('',#180048,#180049,#108950,.T.); #227232=EDGE_CURVE('',#180049,#180047,#108951,.T.); #227233=EDGE_CURVE('',#180050,#180051,#108952,.T.); #227234=EDGE_CURVE('',#180050,#180052,#108953,.T.); #227235=EDGE_CURVE('',#180053,#180052,#108954,.T.); #227236=EDGE_CURVE('',#180051,#180053,#108955,.T.); #227237=EDGE_CURVE('',#180053,#180001,#71565,.T.); #227238=EDGE_CURVE('',#180000,#180048,#71566,.T.); #227239=EDGE_CURVE('',#180048,#180053,#108956,.T.); #227240=EDGE_CURVE('',#180052,#179850,#71567,.T.); #227241=EDGE_CURVE('',#180052,#180049,#108957,.T.); #227242=EDGE_CURVE('',#179851,#180049,#71568,.T.); #227243=EDGE_CURVE('',#180000,#179851,#108958,.T.); #227244=EDGE_CURVE('',#180054,#180046,#71569,.T.); #227245=EDGE_CURVE('',#180054,#180055,#108959,.T.); #227246=EDGE_CURVE('',#180051,#180055,#71570,.T.); #227247=EDGE_CURVE('',#180056,#180050,#71571,.T.); #227248=EDGE_CURVE('',#180055,#180056,#108960,.T.); #227249=EDGE_CURVE('',#180047,#180057,#71572,.T.); #227250=EDGE_CURVE('',#180056,#180057,#108961,.T.); #227251=EDGE_CURVE('',#180057,#180054,#108962,.T.); #227252=EDGE_CURVE('',#179991,#180033,#108963,.T.); #227253=EDGE_CURVE('',#179818,#180017,#108964,.T.); #227254=EDGE_CURVE('',#179832,#180010,#108965,.T.); #227255=EDGE_CURVE('',#180009,#179833,#108966,.T.); #227256=EDGE_CURVE('',#180058,#179995,#71573,.T.); #227257=EDGE_CURVE('',#180059,#180058,#108967,.T.); #227258=EDGE_CURVE('',#180060,#180059,#108968,.T.); #227259=EDGE_CURVE('',#180061,#180060,#108969,.T.); #227260=EDGE_CURVE('',#180011,#180061,#71574,.T.); #227261=EDGE_CURVE('',#180059,#180062,#71575,.T.); #227262=EDGE_CURVE('',#180062,#180063,#108970,.T.); #227263=EDGE_CURVE('',#180063,#180060,#71576,.T.); #227264=EDGE_CURVE('',#180064,#180062,#108971,.T.); #227265=EDGE_CURVE('',#180064,#180065,#108972,.T.); #227266=EDGE_CURVE('',#180063,#180065,#108973,.T.); #227267=EDGE_CURVE('',#180066,#180058,#108974,.T.); #227268=EDGE_CURVE('',#180066,#180067,#108975,.T.); #227269=EDGE_CURVE('',#180068,#180067,#71577,.T.); #227270=EDGE_CURVE('',#180068,#180069,#108976,.T.); #227271=EDGE_CURVE('',#180069,#180064,#108977,.T.); #227272=EDGE_CURVE('',#180013,#179822,#108978,.T.); #227273=EDGE_CURVE('',#179821,#180014,#108979,.T.); #227274=EDGE_CURVE('',#180070,#180012,#71578,.T.); #227275=EDGE_CURVE('',#180071,#180070,#108980,.T.); #227276=EDGE_CURVE('',#180067,#180071,#108981,.T.); #227277=EDGE_CURVE('',#179994,#180066,#71579,.T.); #227278=EDGE_CURVE('',#180071,#180072,#71580,.T.); #227279=EDGE_CURVE('',#180072,#180068,#108982,.T.); #227280=EDGE_CURVE('',#180065,#180073,#108983,.T.); #227281=EDGE_CURVE('',#180073,#180072,#108984,.T.); #227282=EDGE_CURVE('',#180061,#180070,#108985,.T.); #227283=EDGE_CURVE('',#180074,#180075,#71581,.T.); #227284=EDGE_CURVE('',#180075,#180076,#108986,.T.); #227285=EDGE_CURVE('',#180076,#180077,#71582,.T.); #227286=EDGE_CURVE('',#180077,#180074,#108987,.T.); #227287=EDGE_CURVE('',#180074,#180078,#108988,.T.); #227288=EDGE_CURVE('',#180079,#180078,#108989,.T.); #227289=EDGE_CURVE('',#180079,#180080,#108990,.T.); #227290=EDGE_CURVE('',#180081,#180080,#71583,.T.); #227291=EDGE_CURVE('',#180081,#180082,#108991,.T.); #227292=EDGE_CURVE('',#180082,#180083,#108992,.T.); #227293=EDGE_CURVE('',#180083,#180075,#108993,.T.); #227294=EDGE_CURVE('',#180084,#180077,#108994,.T.); #227295=EDGE_CURVE('',#180085,#180084,#71584,.T.); #227296=EDGE_CURVE('',#179990,#180085,#108995,.T.); #227297=EDGE_CURVE('',#180030,#179989,#108996,.T.); #227298=EDGE_CURVE('',#180078,#180029,#71585,.T.); #227299=EDGE_CURVE('',#179993,#180031,#108997,.T.); #227300=EDGE_CURVE('',#180086,#180087,#71586,.T.); #227301=EDGE_CURVE('',#180087,#180081,#108998,.T.); #227302=EDGE_CURVE('',#180080,#180086,#108999,.T.); #227303=EDGE_CURVE('',#180076,#180088,#109000,.T.); #227304=EDGE_CURVE('',#180088,#180089,#109001,.T.); #227305=EDGE_CURVE('',#180089,#180087,#109002,.T.); #227306=EDGE_CURVE('',#180086,#180090,#109003,.T.); #227307=EDGE_CURVE('',#180084,#180090,#109004,.T.); #227308=EDGE_CURVE('',#180028,#180079,#71587,.T.); #227309=EDGE_CURVE('',#179837,#180027,#109005,.T.); #227310=EDGE_CURVE('',#180091,#179836,#109006,.T.); #227311=EDGE_CURVE('',#180090,#180091,#71588,.T.); #227312=EDGE_CURVE('',#180026,#179838,#109007,.T.); #227313=EDGE_CURVE('',#180085,#180091,#109008,.T.); #227314=EDGE_CURVE('',#180083,#180088,#109009,.T.); #227315=EDGE_CURVE('',#179992,#180032,#109010,.T.); #227316=EDGE_CURVE('',#179839,#180025,#109011,.T.); #227317=EDGE_CURVE('',#180073,#180069,#109012,.T.); #227318=EDGE_CURVE('',#179834,#180008,#109013,.T.); #227319=EDGE_CURVE('',#179820,#180015,#109014,.T.); #227320=EDGE_CURVE('',#180089,#180082,#109015,.T.); #227321=EDGE_CURVE('',#179840,#180024,#109016,.T.); #227322=EDGE_CURVE('',#179830,#180006,#109017,.T.); #227323=EDGE_CURVE('',#179819,#180016,#109018,.T.); #227324=EDGE_CURVE('',#179835,#180007,#109019,.T.); #227325=EDGE_CURVE('',#180092,#180093,#71589,.T.); #227326=EDGE_CURVE('',#180094,#180092,#109020,.T.); #227327=EDGE_CURVE('',#180095,#180094,#71590,.T.); #227328=EDGE_CURVE('',#180093,#180095,#109021,.T.); #227329=EDGE_CURVE('',#180096,#180097,#71591,.T.); #227330=EDGE_CURVE('',#180098,#180096,#109022,.T.); #227331=EDGE_CURVE('',#180099,#180098,#71592,.T.); #227332=EDGE_CURVE('',#180097,#180099,#109023,.T.); #227333=EDGE_CURVE('',#180100,#180101,#109024,.T.); #227334=EDGE_CURVE('',#180100,#180102,#71593,.T.); #227335=EDGE_CURVE('',#180103,#180102,#109025,.T.); #227336=EDGE_CURVE('',#180101,#180103,#71594,.T.); #227337=EDGE_CURVE('',#180104,#180105,#109026,.T.); #227338=EDGE_CURVE('',#180106,#180104,#71595,.T.); #227339=EDGE_CURVE('',#180107,#180106,#109027,.T.); #227340=EDGE_CURVE('',#180107,#180105,#71596,.T.); #227341=EDGE_CURVE('',#180108,#180109,#109028,.T.); #227342=EDGE_CURVE('',#180108,#180073,#71597,.T.); #227343=EDGE_CURVE('',#180065,#180109,#71598,.T.); #227344=EDGE_CURVE('',#180110,#180069,#71599,.T.); #227345=EDGE_CURVE('',#180110,#180111,#109029,.T.); #227346=EDGE_CURVE('',#180064,#180111,#71600,.T.); #227347=EDGE_CURVE('',#180099,#180107,#109030,.T.); #227348=EDGE_CURVE('',#180095,#180098,#109031,.T.); #227349=EDGE_CURVE('',#180102,#180094,#109032,.T.); #227350=EDGE_CURVE('',#180102,#180107,#109033,.T.); #227351=EDGE_CURVE('',#180100,#180105,#109034,.T.); #227352=EDGE_CURVE('',#180112,#180100,#109035,.T.); #227353=EDGE_CURVE('',#180112,#180113,#109036,.T.); #227354=EDGE_CURVE('',#180105,#180113,#109037,.T.); #227355=EDGE_CURVE('',#180114,#180112,#71601,.T.); #227356=EDGE_CURVE('',#180114,#180115,#109038,.T.); #227357=EDGE_CURVE('',#180113,#180115,#71602,.T.); #227358=EDGE_CURVE('',#180114,#180108,#109039,.T.); #227359=EDGE_CURVE('',#180109,#180115,#109040,.T.); #227360=EDGE_CURVE('',#180093,#180096,#109041,.T.); #227361=EDGE_CURVE('',#180097,#180106,#109042,.T.); #227362=EDGE_CURVE('',#180103,#180092,#109043,.T.); #227363=EDGE_CURVE('',#180103,#180106,#109044,.T.); #227364=EDGE_CURVE('',#180101,#180104,#109045,.T.); #227365=EDGE_CURVE('',#180116,#180117,#109046,.T.); #227366=EDGE_CURVE('',#180116,#180101,#109047,.T.); #227367=EDGE_CURVE('',#180104,#180117,#109048,.T.); #227368=EDGE_CURVE('',#180118,#180119,#109049,.T.); #227369=EDGE_CURVE('',#180118,#180116,#71603,.T.); #227370=EDGE_CURVE('',#180117,#180119,#71604,.T.); #227371=EDGE_CURVE('',#180118,#180110,#109050,.T.); #227372=EDGE_CURVE('',#180111,#180119,#109051,.T.); #227373=EDGE_CURVE('',#180113,#180117,#109052,.T.); #227374=EDGE_CURVE('',#180119,#180115,#109053,.T.); #227375=EDGE_CURVE('',#180109,#180111,#109054,.T.); #227376=EDGE_CURVE('',#180116,#180112,#109055,.T.); #227377=EDGE_CURVE('',#180114,#180118,#109056,.T.); #227378=EDGE_CURVE('',#180110,#180108,#109057,.T.); #227379=EDGE_CURVE('',#180120,#180121,#71605,.T.); #227380=EDGE_CURVE('',#180122,#180120,#109058,.T.); #227381=EDGE_CURVE('',#180123,#180122,#71606,.T.); #227382=EDGE_CURVE('',#180121,#180123,#109059,.T.); #227383=EDGE_CURVE('',#180124,#180125,#71607,.T.); #227384=EDGE_CURVE('',#180126,#180124,#109060,.T.); #227385=EDGE_CURVE('',#180127,#180126,#71608,.T.); #227386=EDGE_CURVE('',#180125,#180127,#109061,.T.); #227387=EDGE_CURVE('',#180128,#180129,#109062,.T.); #227388=EDGE_CURVE('',#180128,#180130,#71609,.T.); #227389=EDGE_CURVE('',#180131,#180130,#109063,.T.); #227390=EDGE_CURVE('',#180129,#180131,#71610,.T.); #227391=EDGE_CURVE('',#180132,#180133,#109064,.T.); #227392=EDGE_CURVE('',#180134,#180132,#71611,.T.); #227393=EDGE_CURVE('',#180135,#180134,#109065,.T.); #227394=EDGE_CURVE('',#180135,#180133,#71612,.T.); #227395=EDGE_CURVE('',#180136,#180082,#71613,.T.); #227396=EDGE_CURVE('',#180136,#180137,#109066,.T.); #227397=EDGE_CURVE('',#180083,#180137,#71614,.T.); #227398=EDGE_CURVE('',#180088,#180138,#71615,.T.); #227399=EDGE_CURVE('',#180139,#180138,#109067,.T.); #227400=EDGE_CURVE('',#180139,#180089,#71616,.T.); #227401=EDGE_CURVE('',#180121,#180124,#109068,.T.); #227402=EDGE_CURVE('',#180125,#180134,#109069,.T.); #227403=EDGE_CURVE('',#180131,#180134,#109070,.T.); #227404=EDGE_CURVE('',#180131,#180120,#109071,.T.); #227405=EDGE_CURVE('',#180129,#180132,#109072,.T.); #227406=EDGE_CURVE('',#180140,#180141,#109073,.T.); #227407=EDGE_CURVE('',#180140,#180129,#109074,.T.); #227408=EDGE_CURVE('',#180132,#180141,#109075,.T.); #227409=EDGE_CURVE('',#180142,#180136,#109076,.T.); #227410=EDGE_CURVE('',#180142,#180143,#109077,.T.); #227411=EDGE_CURVE('',#180137,#180143,#109078,.T.); #227412=EDGE_CURVE('',#180123,#180126,#109079,.T.); #227413=EDGE_CURVE('',#180127,#180135,#109080,.T.); #227414=EDGE_CURVE('',#180130,#180122,#109081,.T.); #227415=EDGE_CURVE('',#180130,#180135,#109082,.T.); #227416=EDGE_CURVE('',#180128,#180133,#109083,.T.); #227417=EDGE_CURVE('',#180144,#180128,#109084,.T.); #227418=EDGE_CURVE('',#180144,#180145,#109085,.T.); #227419=EDGE_CURVE('',#180133,#180145,#109086,.T.); #227420=EDGE_CURVE('',#180146,#180147,#109087,.T.); #227421=EDGE_CURVE('',#180146,#180139,#109088,.T.); #227422=EDGE_CURVE('',#180138,#180147,#109089,.T.); #227423=EDGE_CURVE('',#180145,#180141,#109090,.T.); #227424=EDGE_CURVE('',#180143,#180147,#109091,.T.); #227425=EDGE_CURVE('',#180141,#180143,#71617,.T.); #227426=EDGE_CURVE('',#180145,#180147,#71618,.T.); #227427=EDGE_CURVE('',#180138,#180137,#109092,.T.); #227428=EDGE_CURVE('',#180140,#180144,#109093,.T.); #227429=EDGE_CURVE('',#180136,#180139,#109094,.T.); #227430=EDGE_CURVE('',#180146,#180142,#109095,.T.); #227431=EDGE_CURVE('',#180146,#180144,#71619,.T.); #227432=EDGE_CURVE('',#180142,#180140,#71620,.T.); #227433=EDGE_CURVE('',#180148,#180148,#71621,.T.); #227434=EDGE_CURVE('',#180148,#180149,#109096,.T.); #227435=EDGE_CURVE('',#180149,#180149,#71622,.T.); #227436=EDGE_CURVE('',#180150,#180151,#109097,.T.); #227437=EDGE_CURVE('',#180151,#180152,#109098,.T.); #227438=EDGE_CURVE('',#180152,#180153,#109099,.T.); #227439=EDGE_CURVE('',#180153,#180150,#109100,.T.); #227440=EDGE_CURVE('',#180154,#180152,#109101,.T.); #227441=EDGE_CURVE('',#180151,#180155,#109102,.T.); #227442=EDGE_CURVE('',#180154,#180155,#109103,.T.); #227443=EDGE_CURVE('',#180156,#180150,#109104,.T.); #227444=EDGE_CURVE('',#180153,#180157,#109105,.T.); #227445=EDGE_CURVE('',#180157,#180156,#109106,.T.); #227446=EDGE_CURVE('',#180155,#180156,#109107,.T.); #227447=EDGE_CURVE('',#180157,#180154,#109108,.T.); #227448=EDGE_CURVE('',#180153,#180158,#109109,.T.); #227449=EDGE_CURVE('',#180159,#180158,#109110,.T.); #227450=EDGE_CURVE('',#180157,#180159,#109111,.T.); #227451=EDGE_CURVE('',#180152,#180160,#109112,.T.); #227452=EDGE_CURVE('',#180158,#180160,#109113,.T.); #227453=EDGE_CURVE('',#180161,#180159,#109114,.T.); #227454=EDGE_CURVE('',#180154,#180161,#109115,.T.); #227455=EDGE_CURVE('',#180160,#180161,#109116,.T.); #227456=EDGE_CURVE('',#180162,#180163,#71623,.T.); #227457=EDGE_CURVE('',#180162,#180164,#109117,.T.); #227458=EDGE_CURVE('',#180164,#180165,#109118,.T.); #227459=EDGE_CURVE('',#180163,#180165,#109119,.T.); #227460=EDGE_CURVE('',#180163,#180166,#109120,.T.); #227461=EDGE_CURVE('',#180166,#180167,#71624,.T.); #227462=EDGE_CURVE('',#180167,#180162,#109121,.T.); #227463=EDGE_CURVE('',#180168,#180169,#109122,.T.); #227464=EDGE_CURVE('',#180169,#180170,#109123,.T.); #227465=EDGE_CURVE('',#180171,#180170,#109124,.T.); #227466=EDGE_CURVE('',#180168,#180171,#109125,.T.); #227467=EDGE_CURVE('',#180164,#180168,#109126,.T.); #227468=EDGE_CURVE('',#180165,#180171,#109127,.T.); #227469=EDGE_CURVE('',#180172,#180166,#109128,.T.); #227470=EDGE_CURVE('',#180173,#180172,#109129,.T.); #227471=EDGE_CURVE('',#180173,#180167,#109130,.T.); #227472=EDGE_CURVE('',#180169,#180173,#109131,.T.); #227473=EDGE_CURVE('',#180170,#180172,#109132,.T.); #227474=EDGE_CURVE('',#180174,#180175,#71625,.T.); #227475=EDGE_CURVE('',#180175,#180176,#109133,.T.); #227476=EDGE_CURVE('',#180177,#180176,#109134,.T.); #227477=EDGE_CURVE('',#180174,#180177,#109135,.T.); #227478=EDGE_CURVE('',#180178,#180174,#109136,.T.); #227479=EDGE_CURVE('',#180179,#180178,#71626,.T.); #227480=EDGE_CURVE('',#180175,#180179,#109137,.T.); #227481=EDGE_CURVE('',#180180,#180181,#109138,.T.); #227482=EDGE_CURVE('',#180180,#180182,#109139,.T.); #227483=EDGE_CURVE('',#180182,#180183,#109140,.T.); #227484=EDGE_CURVE('',#180181,#180183,#109141,.T.); #227485=EDGE_CURVE('',#180177,#180180,#109142,.T.); #227486=EDGE_CURVE('',#180176,#180182,#109143,.T.); #227487=EDGE_CURVE('',#180184,#180178,#109144,.T.); #227488=EDGE_CURVE('',#180184,#180185,#109145,.T.); #227489=EDGE_CURVE('',#180185,#180179,#109146,.T.); #227490=EDGE_CURVE('',#180181,#180184,#109147,.T.); #227491=EDGE_CURVE('',#180183,#180185,#109148,.T.); #227492=EDGE_CURVE('',#180186,#180187,#71627,.T.); #227493=EDGE_CURVE('',#180186,#180188,#109149,.T.); #227494=EDGE_CURVE('',#180188,#180189,#109150,.T.); #227495=EDGE_CURVE('',#180187,#180189,#109151,.T.); #227496=EDGE_CURVE('',#180187,#180190,#109152,.T.); #227497=EDGE_CURVE('',#180190,#180191,#71628,.T.); #227498=EDGE_CURVE('',#180191,#180186,#109153,.T.); #227499=EDGE_CURVE('',#180192,#180193,#109154,.T.); #227500=EDGE_CURVE('',#180193,#180194,#109155,.T.); #227501=EDGE_CURVE('',#180195,#180194,#109156,.T.); #227502=EDGE_CURVE('',#180192,#180195,#109157,.T.); #227503=EDGE_CURVE('',#180188,#180192,#109158,.T.); #227504=EDGE_CURVE('',#180189,#180195,#109159,.T.); #227505=EDGE_CURVE('',#180196,#180190,#109160,.T.); #227506=EDGE_CURVE('',#180197,#180196,#109161,.T.); #227507=EDGE_CURVE('',#180197,#180191,#109162,.T.); #227508=EDGE_CURVE('',#180193,#180197,#109163,.T.); #227509=EDGE_CURVE('',#180194,#180196,#109164,.T.); #227510=EDGE_CURVE('',#180198,#180199,#71629,.T.); #227511=EDGE_CURVE('',#180198,#180200,#109165,.T.); #227512=EDGE_CURVE('',#180200,#180201,#109166,.T.); #227513=EDGE_CURVE('',#180199,#180201,#109167,.T.); #227514=EDGE_CURVE('',#180199,#180202,#109168,.T.); #227515=EDGE_CURVE('',#180202,#180203,#71630,.T.); #227516=EDGE_CURVE('',#180203,#180198,#109169,.T.); #227517=EDGE_CURVE('',#180204,#180205,#109170,.T.); #227518=EDGE_CURVE('',#180205,#180206,#109171,.T.); #227519=EDGE_CURVE('',#180207,#180206,#109172,.T.); #227520=EDGE_CURVE('',#180204,#180207,#109173,.T.); #227521=EDGE_CURVE('',#180200,#180204,#109174,.T.); #227522=EDGE_CURVE('',#180201,#180207,#109175,.T.); #227523=EDGE_CURVE('',#180208,#180202,#109176,.T.); #227524=EDGE_CURVE('',#180209,#180208,#109177,.T.); #227525=EDGE_CURVE('',#180209,#180203,#109178,.T.); #227526=EDGE_CURVE('',#180205,#180209,#109179,.T.); #227527=EDGE_CURVE('',#180206,#180208,#109180,.T.); #227528=EDGE_CURVE('',#180210,#180211,#71631,.T.); #227529=EDGE_CURVE('',#180210,#180212,#109181,.T.); #227530=EDGE_CURVE('',#180212,#180213,#109182,.T.); #227531=EDGE_CURVE('',#180211,#180213,#109183,.T.); #227532=EDGE_CURVE('',#180211,#180214,#109184,.T.); #227533=EDGE_CURVE('',#180214,#180215,#71632,.T.); #227534=EDGE_CURVE('',#180215,#180210,#109185,.T.); #227535=EDGE_CURVE('',#180216,#180217,#109186,.T.); #227536=EDGE_CURVE('',#180217,#180218,#109187,.T.); #227537=EDGE_CURVE('',#180219,#180218,#109188,.T.); #227538=EDGE_CURVE('',#180216,#180219,#109189,.T.); #227539=EDGE_CURVE('',#180212,#180216,#109190,.T.); #227540=EDGE_CURVE('',#180213,#180219,#109191,.T.); #227541=EDGE_CURVE('',#180220,#180214,#109192,.T.); #227542=EDGE_CURVE('',#180221,#180220,#109193,.T.); #227543=EDGE_CURVE('',#180221,#180215,#109194,.T.); #227544=EDGE_CURVE('',#180217,#180221,#109195,.T.); #227545=EDGE_CURVE('',#180218,#180220,#109196,.T.); #227546=EDGE_CURVE('',#180222,#180223,#71633,.T.); #227547=EDGE_CURVE('',#180222,#180224,#109197,.T.); #227548=EDGE_CURVE('',#180224,#180225,#109198,.T.); #227549=EDGE_CURVE('',#180223,#180225,#109199,.T.); #227550=EDGE_CURVE('',#180223,#180226,#109200,.T.); #227551=EDGE_CURVE('',#180226,#180227,#71634,.T.); #227552=EDGE_CURVE('',#180227,#180222,#109201,.T.); #227553=EDGE_CURVE('',#180228,#180229,#109202,.T.); #227554=EDGE_CURVE('',#180229,#180230,#109203,.T.); #227555=EDGE_CURVE('',#180231,#180230,#109204,.T.); #227556=EDGE_CURVE('',#180228,#180231,#109205,.T.); #227557=EDGE_CURVE('',#180224,#180228,#109206,.T.); #227558=EDGE_CURVE('',#180225,#180231,#109207,.T.); #227559=EDGE_CURVE('',#180232,#180226,#109208,.T.); #227560=EDGE_CURVE('',#180233,#180232,#109209,.T.); #227561=EDGE_CURVE('',#180233,#180227,#109210,.T.); #227562=EDGE_CURVE('',#180229,#180233,#109211,.T.); #227563=EDGE_CURVE('',#180230,#180232,#109212,.T.); #227564=EDGE_CURVE('',#180234,#180235,#71635,.T.); #227565=EDGE_CURVE('',#180234,#180236,#109213,.T.); #227566=EDGE_CURVE('',#180236,#180237,#109214,.T.); #227567=EDGE_CURVE('',#180235,#180237,#109215,.T.); #227568=EDGE_CURVE('',#180235,#180238,#109216,.T.); #227569=EDGE_CURVE('',#180238,#180239,#71636,.T.); #227570=EDGE_CURVE('',#180239,#180234,#109217,.T.); #227571=EDGE_CURVE('',#180240,#180241,#109218,.T.); #227572=EDGE_CURVE('',#180241,#180242,#109219,.T.); #227573=EDGE_CURVE('',#180243,#180242,#109220,.T.); #227574=EDGE_CURVE('',#180240,#180243,#109221,.T.); #227575=EDGE_CURVE('',#180236,#180240,#109222,.T.); #227576=EDGE_CURVE('',#180237,#180243,#109223,.T.); #227577=EDGE_CURVE('',#180244,#180238,#109224,.T.); #227578=EDGE_CURVE('',#180245,#180244,#109225,.T.); #227579=EDGE_CURVE('',#180245,#180239,#109226,.T.); #227580=EDGE_CURVE('',#180241,#180245,#109227,.T.); #227581=EDGE_CURVE('',#180242,#180244,#109228,.T.); #227582=EDGE_CURVE('',#180246,#180247,#71637,.T.); #227583=EDGE_CURVE('',#180246,#180248,#109229,.T.); #227584=EDGE_CURVE('',#180248,#180249,#109230,.T.); #227585=EDGE_CURVE('',#180247,#180249,#109231,.T.); #227586=EDGE_CURVE('',#180247,#180250,#109232,.T.); #227587=EDGE_CURVE('',#180250,#180251,#71638,.T.); #227588=EDGE_CURVE('',#180251,#180246,#109233,.T.); #227589=EDGE_CURVE('',#180252,#180253,#109234,.T.); #227590=EDGE_CURVE('',#180253,#180254,#109235,.T.); #227591=EDGE_CURVE('',#180255,#180254,#109236,.T.); #227592=EDGE_CURVE('',#180252,#180255,#109237,.T.); #227593=EDGE_CURVE('',#180248,#180252,#109238,.T.); #227594=EDGE_CURVE('',#180249,#180255,#109239,.T.); #227595=EDGE_CURVE('',#180256,#180250,#109240,.T.); #227596=EDGE_CURVE('',#180257,#180256,#109241,.T.); #227597=EDGE_CURVE('',#180257,#180251,#109242,.T.); #227598=EDGE_CURVE('',#180253,#180257,#109243,.T.); #227599=EDGE_CURVE('',#180254,#180256,#109244,.T.); #227600=EDGE_CURVE('',#180258,#180259,#71639,.T.); #227601=EDGE_CURVE('',#180258,#180260,#109245,.T.); #227602=EDGE_CURVE('',#180260,#180261,#109246,.T.); #227603=EDGE_CURVE('',#180259,#180261,#109247,.T.); #227604=EDGE_CURVE('',#180259,#180262,#109248,.T.); #227605=EDGE_CURVE('',#180262,#180263,#71640,.T.); #227606=EDGE_CURVE('',#180263,#180258,#109249,.T.); #227607=EDGE_CURVE('',#180264,#180265,#109250,.T.); #227608=EDGE_CURVE('',#180265,#180266,#109251,.T.); #227609=EDGE_CURVE('',#180267,#180266,#109252,.T.); #227610=EDGE_CURVE('',#180264,#180267,#109253,.T.); #227611=EDGE_CURVE('',#180260,#180264,#109254,.T.); #227612=EDGE_CURVE('',#180261,#180267,#109255,.T.); #227613=EDGE_CURVE('',#180268,#180262,#109256,.T.); #227614=EDGE_CURVE('',#180269,#180268,#109257,.T.); #227615=EDGE_CURVE('',#180269,#180263,#109258,.T.); #227616=EDGE_CURVE('',#180265,#180269,#109259,.T.); #227617=EDGE_CURVE('',#180266,#180268,#109260,.T.); #227618=EDGE_CURVE('',#180270,#180271,#71641,.T.); #227619=EDGE_CURVE('',#180270,#180272,#109261,.T.); #227620=EDGE_CURVE('',#180272,#180273,#109262,.T.); #227621=EDGE_CURVE('',#180271,#180273,#109263,.T.); #227622=EDGE_CURVE('',#180271,#180274,#109264,.T.); #227623=EDGE_CURVE('',#180274,#180275,#71642,.T.); #227624=EDGE_CURVE('',#180275,#180270,#109265,.T.); #227625=EDGE_CURVE('',#180276,#180277,#109266,.T.); #227626=EDGE_CURVE('',#180277,#180278,#109267,.T.); #227627=EDGE_CURVE('',#180279,#180278,#109268,.T.); #227628=EDGE_CURVE('',#180276,#180279,#109269,.T.); #227629=EDGE_CURVE('',#180272,#180276,#109270,.T.); #227630=EDGE_CURVE('',#180273,#180279,#109271,.T.); #227631=EDGE_CURVE('',#180280,#180274,#109272,.T.); #227632=EDGE_CURVE('',#180281,#180280,#109273,.T.); #227633=EDGE_CURVE('',#180281,#180275,#109274,.T.); #227634=EDGE_CURVE('',#180277,#180281,#109275,.T.); #227635=EDGE_CURVE('',#180278,#180280,#109276,.T.); #227636=EDGE_CURVE('',#180282,#180283,#71643,.T.); #227637=EDGE_CURVE('',#180282,#180284,#109277,.T.); #227638=EDGE_CURVE('',#180284,#180285,#109278,.T.); #227639=EDGE_CURVE('',#180283,#180285,#109279,.T.); #227640=EDGE_CURVE('',#180283,#180286,#109280,.T.); #227641=EDGE_CURVE('',#180286,#180287,#71644,.T.); #227642=EDGE_CURVE('',#180287,#180282,#109281,.T.); #227643=EDGE_CURVE('',#180288,#180289,#109282,.T.); #227644=EDGE_CURVE('',#180289,#180290,#109283,.T.); #227645=EDGE_CURVE('',#180291,#180290,#109284,.T.); #227646=EDGE_CURVE('',#180288,#180291,#109285,.T.); #227647=EDGE_CURVE('',#180284,#180288,#109286,.T.); #227648=EDGE_CURVE('',#180285,#180291,#109287,.T.); #227649=EDGE_CURVE('',#180292,#180286,#109288,.T.); #227650=EDGE_CURVE('',#180293,#180292,#109289,.T.); #227651=EDGE_CURVE('',#180293,#180287,#109290,.T.); #227652=EDGE_CURVE('',#180289,#180293,#109291,.T.); #227653=EDGE_CURVE('',#180290,#180292,#109292,.T.); #227654=EDGE_CURVE('',#180294,#180295,#71645,.T.); #227655=EDGE_CURVE('',#180294,#180296,#109293,.T.); #227656=EDGE_CURVE('',#180296,#180297,#109294,.T.); #227657=EDGE_CURVE('',#180295,#180297,#109295,.T.); #227658=EDGE_CURVE('',#180295,#180298,#109296,.T.); #227659=EDGE_CURVE('',#180298,#180299,#71646,.T.); #227660=EDGE_CURVE('',#180299,#180294,#109297,.T.); #227661=EDGE_CURVE('',#180300,#180301,#109298,.T.); #227662=EDGE_CURVE('',#180301,#180302,#109299,.T.); #227663=EDGE_CURVE('',#180303,#180302,#109300,.T.); #227664=EDGE_CURVE('',#180300,#180303,#109301,.T.); #227665=EDGE_CURVE('',#180296,#180300,#109302,.T.); #227666=EDGE_CURVE('',#180297,#180303,#109303,.T.); #227667=EDGE_CURVE('',#180304,#180298,#109304,.T.); #227668=EDGE_CURVE('',#180305,#180304,#109305,.T.); #227669=EDGE_CURVE('',#180305,#180299,#109306,.T.); #227670=EDGE_CURVE('',#180301,#180305,#109307,.T.); #227671=EDGE_CURVE('',#180302,#180304,#109308,.T.); #227672=EDGE_CURVE('',#180306,#180307,#71647,.T.); #227673=EDGE_CURVE('',#180306,#180308,#109309,.T.); #227674=EDGE_CURVE('',#180308,#180309,#109310,.T.); #227675=EDGE_CURVE('',#180307,#180309,#109311,.T.); #227676=EDGE_CURVE('',#180307,#180310,#109312,.T.); #227677=EDGE_CURVE('',#180310,#180311,#71648,.T.); #227678=EDGE_CURVE('',#180311,#180306,#109313,.T.); #227679=EDGE_CURVE('',#180312,#180313,#109314,.T.); #227680=EDGE_CURVE('',#180313,#180314,#109315,.T.); #227681=EDGE_CURVE('',#180315,#180314,#109316,.T.); #227682=EDGE_CURVE('',#180312,#180315,#109317,.T.); #227683=EDGE_CURVE('',#180308,#180312,#109318,.T.); #227684=EDGE_CURVE('',#180309,#180315,#109319,.T.); #227685=EDGE_CURVE('',#180316,#180310,#109320,.T.); #227686=EDGE_CURVE('',#180317,#180316,#109321,.T.); #227687=EDGE_CURVE('',#180317,#180311,#109322,.T.); #227688=EDGE_CURVE('',#180313,#180317,#109323,.T.); #227689=EDGE_CURVE('',#180314,#180316,#109324,.T.); #227690=EDGE_CURVE('',#180318,#180319,#71649,.T.); #227691=EDGE_CURVE('',#180319,#180320,#109325,.T.); #227692=EDGE_CURVE('',#180321,#180320,#109326,.T.); #227693=EDGE_CURVE('',#180318,#180321,#109327,.T.); #227694=EDGE_CURVE('',#180322,#180318,#109328,.T.); #227695=EDGE_CURVE('',#180323,#180322,#71650,.T.); #227696=EDGE_CURVE('',#180319,#180323,#109329,.T.); #227697=EDGE_CURVE('',#180324,#180325,#109330,.T.); #227698=EDGE_CURVE('',#180324,#180326,#109331,.T.); #227699=EDGE_CURVE('',#180326,#180327,#109332,.T.); #227700=EDGE_CURVE('',#180325,#180327,#109333,.T.); #227701=EDGE_CURVE('',#180321,#180324,#109334,.T.); #227702=EDGE_CURVE('',#180320,#180326,#109335,.T.); #227703=EDGE_CURVE('',#180328,#180322,#109336,.T.); #227704=EDGE_CURVE('',#180328,#180329,#109337,.T.); #227705=EDGE_CURVE('',#180329,#180323,#109338,.T.); #227706=EDGE_CURVE('',#180325,#180328,#109339,.T.); #227707=EDGE_CURVE('',#180327,#180329,#109340,.T.); #227708=EDGE_CURVE('',#180330,#180331,#71651,.T.); #227709=EDGE_CURVE('',#180331,#180332,#109341,.T.); #227710=EDGE_CURVE('',#180333,#180332,#109342,.T.); #227711=EDGE_CURVE('',#180330,#180333,#109343,.T.); #227712=EDGE_CURVE('',#180334,#180330,#109344,.T.); #227713=EDGE_CURVE('',#180335,#180334,#71652,.T.); #227714=EDGE_CURVE('',#180331,#180335,#109345,.T.); #227715=EDGE_CURVE('',#180336,#180337,#109346,.T.); #227716=EDGE_CURVE('',#180336,#180338,#109347,.T.); #227717=EDGE_CURVE('',#180338,#180339,#109348,.T.); #227718=EDGE_CURVE('',#180337,#180339,#109349,.T.); #227719=EDGE_CURVE('',#180333,#180336,#109350,.T.); #227720=EDGE_CURVE('',#180332,#180338,#109351,.T.); #227721=EDGE_CURVE('',#180340,#180334,#109352,.T.); #227722=EDGE_CURVE('',#180340,#180341,#109353,.T.); #227723=EDGE_CURVE('',#180341,#180335,#109354,.T.); #227724=EDGE_CURVE('',#180337,#180340,#109355,.T.); #227725=EDGE_CURVE('',#180339,#180341,#109356,.T.); #227726=EDGE_CURVE('',#180342,#180343,#71653,.T.); #227727=EDGE_CURVE('',#180343,#180344,#109357,.T.); #227728=EDGE_CURVE('',#180345,#180344,#109358,.T.); #227729=EDGE_CURVE('',#180342,#180345,#109359,.T.); #227730=EDGE_CURVE('',#180346,#180342,#109360,.T.); #227731=EDGE_CURVE('',#180347,#180346,#71654,.T.); #227732=EDGE_CURVE('',#180343,#180347,#109361,.T.); #227733=EDGE_CURVE('',#180348,#180349,#109362,.T.); #227734=EDGE_CURVE('',#180348,#180350,#109363,.T.); #227735=EDGE_CURVE('',#180350,#180351,#109364,.T.); #227736=EDGE_CURVE('',#180349,#180351,#109365,.T.); #227737=EDGE_CURVE('',#180345,#180348,#109366,.T.); #227738=EDGE_CURVE('',#180344,#180350,#109367,.T.); #227739=EDGE_CURVE('',#180352,#180346,#109368,.T.); #227740=EDGE_CURVE('',#180352,#180353,#109369,.T.); #227741=EDGE_CURVE('',#180353,#180347,#109370,.T.); #227742=EDGE_CURVE('',#180349,#180352,#109371,.T.); #227743=EDGE_CURVE('',#180351,#180353,#109372,.T.); #227744=EDGE_CURVE('',#180354,#180355,#71655,.T.); #227745=EDGE_CURVE('',#180355,#180356,#109373,.T.); #227746=EDGE_CURVE('',#180357,#180356,#109374,.T.); #227747=EDGE_CURVE('',#180354,#180357,#109375,.T.); #227748=EDGE_CURVE('',#180358,#180354,#109376,.T.); #227749=EDGE_CURVE('',#180359,#180358,#71656,.T.); #227750=EDGE_CURVE('',#180355,#180359,#109377,.T.); #227751=EDGE_CURVE('',#180360,#180361,#109378,.T.); #227752=EDGE_CURVE('',#180360,#180362,#109379,.T.); #227753=EDGE_CURVE('',#180362,#180363,#109380,.T.); #227754=EDGE_CURVE('',#180361,#180363,#109381,.T.); #227755=EDGE_CURVE('',#180357,#180360,#109382,.T.); #227756=EDGE_CURVE('',#180356,#180362,#109383,.T.); #227757=EDGE_CURVE('',#180364,#180358,#109384,.T.); #227758=EDGE_CURVE('',#180364,#180365,#109385,.T.); #227759=EDGE_CURVE('',#180365,#180359,#109386,.T.); #227760=EDGE_CURVE('',#180361,#180364,#109387,.T.); #227761=EDGE_CURVE('',#180363,#180365,#109388,.T.); #227762=EDGE_CURVE('',#180366,#180367,#71657,.T.); #227763=EDGE_CURVE('',#180367,#180368,#109389,.T.); #227764=EDGE_CURVE('',#180369,#180368,#109390,.T.); #227765=EDGE_CURVE('',#180366,#180369,#109391,.T.); #227766=EDGE_CURVE('',#180370,#180366,#109392,.T.); #227767=EDGE_CURVE('',#180371,#180370,#71658,.T.); #227768=EDGE_CURVE('',#180367,#180371,#109393,.T.); #227769=EDGE_CURVE('',#180372,#180373,#109394,.T.); #227770=EDGE_CURVE('',#180372,#180374,#109395,.T.); #227771=EDGE_CURVE('',#180374,#180375,#109396,.T.); #227772=EDGE_CURVE('',#180373,#180375,#109397,.T.); #227773=EDGE_CURVE('',#180369,#180372,#109398,.T.); #227774=EDGE_CURVE('',#180368,#180374,#109399,.T.); #227775=EDGE_CURVE('',#180376,#180370,#109400,.T.); #227776=EDGE_CURVE('',#180376,#180377,#109401,.T.); #227777=EDGE_CURVE('',#180377,#180371,#109402,.T.); #227778=EDGE_CURVE('',#180373,#180376,#109403,.T.); #227779=EDGE_CURVE('',#180375,#180377,#109404,.T.); #227780=EDGE_CURVE('',#180378,#180379,#71659,.T.); #227781=EDGE_CURVE('',#180379,#180380,#109405,.T.); #227782=EDGE_CURVE('',#180381,#180380,#109406,.T.); #227783=EDGE_CURVE('',#180378,#180381,#109407,.T.); #227784=EDGE_CURVE('',#180382,#180378,#109408,.T.); #227785=EDGE_CURVE('',#180383,#180382,#71660,.T.); #227786=EDGE_CURVE('',#180379,#180383,#109409,.T.); #227787=EDGE_CURVE('',#180384,#180385,#109410,.T.); #227788=EDGE_CURVE('',#180384,#180386,#109411,.T.); #227789=EDGE_CURVE('',#180386,#180387,#109412,.T.); #227790=EDGE_CURVE('',#180385,#180387,#109413,.T.); #227791=EDGE_CURVE('',#180381,#180384,#109414,.T.); #227792=EDGE_CURVE('',#180380,#180386,#109415,.T.); #227793=EDGE_CURVE('',#180388,#180382,#109416,.T.); #227794=EDGE_CURVE('',#180388,#180389,#109417,.T.); #227795=EDGE_CURVE('',#180389,#180383,#109418,.T.); #227796=EDGE_CURVE('',#180385,#180388,#109419,.T.); #227797=EDGE_CURVE('',#180387,#180389,#109420,.T.); #227798=EDGE_CURVE('',#180390,#180391,#71661,.T.); #227799=EDGE_CURVE('',#180391,#180392,#109421,.T.); #227800=EDGE_CURVE('',#180393,#180392,#109422,.T.); #227801=EDGE_CURVE('',#180390,#180393,#109423,.T.); #227802=EDGE_CURVE('',#180394,#180390,#109424,.T.); #227803=EDGE_CURVE('',#180395,#180394,#71662,.T.); #227804=EDGE_CURVE('',#180391,#180395,#109425,.T.); #227805=EDGE_CURVE('',#180396,#180397,#109426,.T.); #227806=EDGE_CURVE('',#180396,#180398,#109427,.T.); #227807=EDGE_CURVE('',#180398,#180399,#109428,.T.); #227808=EDGE_CURVE('',#180397,#180399,#109429,.T.); #227809=EDGE_CURVE('',#180393,#180396,#109430,.T.); #227810=EDGE_CURVE('',#180392,#180398,#109431,.T.); #227811=EDGE_CURVE('',#180400,#180394,#109432,.T.); #227812=EDGE_CURVE('',#180400,#180401,#109433,.T.); #227813=EDGE_CURVE('',#180401,#180395,#109434,.T.); #227814=EDGE_CURVE('',#180397,#180400,#109435,.T.); #227815=EDGE_CURVE('',#180399,#180401,#109436,.T.); #227816=EDGE_CURVE('',#180402,#180403,#71663,.T.); #227817=EDGE_CURVE('',#180403,#180404,#109437,.T.); #227818=EDGE_CURVE('',#180405,#180404,#109438,.T.); #227819=EDGE_CURVE('',#180402,#180405,#109439,.T.); #227820=EDGE_CURVE('',#180406,#180402,#109440,.T.); #227821=EDGE_CURVE('',#180407,#180406,#71664,.T.); #227822=EDGE_CURVE('',#180403,#180407,#109441,.T.); #227823=EDGE_CURVE('',#180408,#180409,#109442,.T.); #227824=EDGE_CURVE('',#180408,#180410,#109443,.T.); #227825=EDGE_CURVE('',#180410,#180411,#109444,.T.); #227826=EDGE_CURVE('',#180409,#180411,#109445,.T.); #227827=EDGE_CURVE('',#180405,#180408,#109446,.T.); #227828=EDGE_CURVE('',#180404,#180410,#109447,.T.); #227829=EDGE_CURVE('',#180412,#180406,#109448,.T.); #227830=EDGE_CURVE('',#180412,#180413,#109449,.T.); #227831=EDGE_CURVE('',#180413,#180407,#109450,.T.); #227832=EDGE_CURVE('',#180409,#180412,#109451,.T.); #227833=EDGE_CURVE('',#180411,#180413,#109452,.T.); #227834=EDGE_CURVE('',#180414,#180415,#71665,.T.); #227835=EDGE_CURVE('',#180415,#180416,#109453,.T.); #227836=EDGE_CURVE('',#180417,#180416,#109454,.T.); #227837=EDGE_CURVE('',#180414,#180417,#109455,.T.); #227838=EDGE_CURVE('',#180418,#180414,#109456,.T.); #227839=EDGE_CURVE('',#180419,#180418,#71666,.T.); #227840=EDGE_CURVE('',#180415,#180419,#109457,.T.); #227841=EDGE_CURVE('',#180420,#180421,#109458,.T.); #227842=EDGE_CURVE('',#180420,#180422,#109459,.T.); #227843=EDGE_CURVE('',#180422,#180423,#109460,.T.); #227844=EDGE_CURVE('',#180421,#180423,#109461,.T.); #227845=EDGE_CURVE('',#180417,#180420,#109462,.T.); #227846=EDGE_CURVE('',#180416,#180422,#109463,.T.); #227847=EDGE_CURVE('',#180424,#180418,#109464,.T.); #227848=EDGE_CURVE('',#180424,#180425,#109465,.T.); #227849=EDGE_CURVE('',#180425,#180419,#109466,.T.); #227850=EDGE_CURVE('',#180421,#180424,#109467,.T.); #227851=EDGE_CURVE('',#180423,#180425,#109468,.T.); #227852=EDGE_CURVE('',#180426,#180427,#71667,.T.); #227853=EDGE_CURVE('',#180427,#180428,#109469,.T.); #227854=EDGE_CURVE('',#180429,#180428,#109470,.T.); #227855=EDGE_CURVE('',#180426,#180429,#109471,.T.); #227856=EDGE_CURVE('',#180430,#180426,#109472,.T.); #227857=EDGE_CURVE('',#180431,#180430,#71668,.T.); #227858=EDGE_CURVE('',#180427,#180431,#109473,.T.); #227859=EDGE_CURVE('',#180432,#180433,#109474,.T.); #227860=EDGE_CURVE('',#180432,#180434,#109475,.T.); #227861=EDGE_CURVE('',#180434,#180435,#109476,.T.); #227862=EDGE_CURVE('',#180433,#180435,#109477,.T.); #227863=EDGE_CURVE('',#180429,#180432,#109478,.T.); #227864=EDGE_CURVE('',#180428,#180434,#109479,.T.); #227865=EDGE_CURVE('',#180436,#180430,#109480,.T.); #227866=EDGE_CURVE('',#180436,#180437,#109481,.T.); #227867=EDGE_CURVE('',#180437,#180431,#109482,.T.); #227868=EDGE_CURVE('',#180433,#180436,#109483,.T.); #227869=EDGE_CURVE('',#180435,#180437,#109484,.T.); #227870=EDGE_CURVE('',#180438,#180439,#71669,.T.); #227871=EDGE_CURVE('',#180439,#180440,#109485,.T.); #227872=EDGE_CURVE('',#180441,#180440,#109486,.T.); #227873=EDGE_CURVE('',#180438,#180441,#109487,.T.); #227874=EDGE_CURVE('',#180442,#180438,#109488,.T.); #227875=EDGE_CURVE('',#180443,#180442,#71670,.T.); #227876=EDGE_CURVE('',#180439,#180443,#109489,.T.); #227877=EDGE_CURVE('',#180444,#180445,#109490,.T.); #227878=EDGE_CURVE('',#180444,#180446,#109491,.T.); #227879=EDGE_CURVE('',#180446,#180447,#109492,.T.); #227880=EDGE_CURVE('',#180445,#180447,#109493,.T.); #227881=EDGE_CURVE('',#180441,#180444,#109494,.T.); #227882=EDGE_CURVE('',#180440,#180446,#109495,.T.); #227883=EDGE_CURVE('',#180448,#180442,#109496,.T.); #227884=EDGE_CURVE('',#180448,#180449,#109497,.T.); #227885=EDGE_CURVE('',#180449,#180443,#109498,.T.); #227886=EDGE_CURVE('',#180445,#180448,#109499,.T.); #227887=EDGE_CURVE('',#180447,#180449,#109500,.T.); #227888=EDGE_CURVE('',#180450,#180451,#71671,.T.); #227889=EDGE_CURVE('',#180452,#180450,#109501,.T.); #227890=EDGE_CURVE('',#180452,#180453,#109502,.T.); #227891=EDGE_CURVE('',#180451,#180453,#109503,.T.); #227892=EDGE_CURVE('',#180454,#180455,#71672,.T.); #227893=EDGE_CURVE('',#180455,#180450,#109504,.T.); #227894=EDGE_CURVE('',#180451,#180454,#109505,.T.); #227895=EDGE_CURVE('',#180456,#180454,#109506,.T.); #227896=EDGE_CURVE('',#180457,#180456,#109507,.T.); #227897=EDGE_CURVE('',#180455,#180457,#109508,.T.); #227898=EDGE_CURVE('',#180458,#180457,#109509,.T.); #227899=EDGE_CURVE('',#180459,#180456,#109510,.T.); #227900=EDGE_CURVE('',#180458,#180459,#109511,.T.); #227901=EDGE_CURVE('',#180460,#180458,#109512,.T.); #227902=EDGE_CURVE('',#180461,#180459,#109513,.T.); #227903=EDGE_CURVE('',#180460,#180461,#109514,.T.); #227904=EDGE_CURVE('',#180452,#180460,#109515,.T.); #227905=EDGE_CURVE('',#180453,#180461,#109516,.T.); #227906=EDGE_CURVE('',#180462,#180463,#71673,.T.); #227907=EDGE_CURVE('',#180463,#180464,#109517,.T.); #227908=EDGE_CURVE('',#180465,#180464,#109518,.T.); #227909=EDGE_CURVE('',#180465,#180462,#109519,.T.); #227910=EDGE_CURVE('',#180466,#180467,#71674,.T.); #227911=EDGE_CURVE('',#180463,#180466,#109520,.T.); #227912=EDGE_CURVE('',#180467,#180462,#109521,.T.); #227913=EDGE_CURVE('',#180467,#180468,#109522,.T.); #227914=EDGE_CURVE('',#180468,#180469,#109523,.T.); #227915=EDGE_CURVE('',#180469,#180466,#109524,.T.); #227916=EDGE_CURVE('',#180470,#180468,#109525,.T.); #227917=EDGE_CURVE('',#180470,#180471,#109526,.T.); #227918=EDGE_CURVE('',#180471,#180469,#109527,.T.); #227919=EDGE_CURVE('',#180472,#180470,#109528,.T.); #227920=EDGE_CURVE('',#180472,#180473,#109529,.T.); #227921=EDGE_CURVE('',#180473,#180471,#109530,.T.); #227922=EDGE_CURVE('',#180465,#180472,#109531,.T.); #227923=EDGE_CURVE('',#180464,#180473,#109532,.T.); #227924=EDGE_CURVE('',#180474,#180475,#71675,.T.); #227925=EDGE_CURVE('',#180476,#180474,#109533,.T.); #227926=EDGE_CURVE('',#180476,#180477,#109534,.T.); #227927=EDGE_CURVE('',#180475,#180477,#109535,.T.); #227928=EDGE_CURVE('',#180478,#180479,#71676,.T.); #227929=EDGE_CURVE('',#180479,#180474,#109536,.T.); #227930=EDGE_CURVE('',#180475,#180478,#109537,.T.); #227931=EDGE_CURVE('',#180480,#180478,#109538,.T.); #227932=EDGE_CURVE('',#180481,#180480,#109539,.T.); #227933=EDGE_CURVE('',#180479,#180481,#109540,.T.); #227934=EDGE_CURVE('',#180482,#180481,#109541,.T.); #227935=EDGE_CURVE('',#180483,#180480,#109542,.T.); #227936=EDGE_CURVE('',#180482,#180483,#109543,.T.); #227937=EDGE_CURVE('',#180484,#180482,#109544,.T.); #227938=EDGE_CURVE('',#180485,#180483,#109545,.T.); #227939=EDGE_CURVE('',#180484,#180485,#109546,.T.); #227940=EDGE_CURVE('',#180476,#180484,#109547,.T.); #227941=EDGE_CURVE('',#180477,#180485,#109548,.T.); #227942=EDGE_CURVE('',#180486,#180487,#71677,.T.); #227943=EDGE_CURVE('',#180488,#180486,#109549,.T.); #227944=EDGE_CURVE('',#180488,#180489,#109550,.T.); #227945=EDGE_CURVE('',#180487,#180489,#109551,.T.); #227946=EDGE_CURVE('',#180490,#180491,#71678,.T.); #227947=EDGE_CURVE('',#180491,#180486,#109552,.T.); #227948=EDGE_CURVE('',#180487,#180490,#109553,.T.); #227949=EDGE_CURVE('',#180492,#180490,#109554,.T.); #227950=EDGE_CURVE('',#180493,#180492,#109555,.T.); #227951=EDGE_CURVE('',#180491,#180493,#109556,.T.); #227952=EDGE_CURVE('',#180494,#180493,#109557,.T.); #227953=EDGE_CURVE('',#180495,#180492,#109558,.T.); #227954=EDGE_CURVE('',#180494,#180495,#109559,.T.); #227955=EDGE_CURVE('',#180496,#180494,#109560,.T.); #227956=EDGE_CURVE('',#180497,#180495,#109561,.T.); #227957=EDGE_CURVE('',#180496,#180497,#109562,.T.); #227958=EDGE_CURVE('',#180488,#180496,#109563,.T.); #227959=EDGE_CURVE('',#180489,#180497,#109564,.T.); #227960=EDGE_CURVE('',#180498,#180499,#71679,.T.); #227961=EDGE_CURVE('',#180500,#180498,#109565,.T.); #227962=EDGE_CURVE('',#180500,#180501,#109566,.T.); #227963=EDGE_CURVE('',#180499,#180501,#109567,.T.); #227964=EDGE_CURVE('',#180502,#180503,#71680,.T.); #227965=EDGE_CURVE('',#180503,#180498,#109568,.T.); #227966=EDGE_CURVE('',#180499,#180502,#109569,.T.); #227967=EDGE_CURVE('',#180504,#180502,#109570,.T.); #227968=EDGE_CURVE('',#180505,#180504,#109571,.T.); #227969=EDGE_CURVE('',#180503,#180505,#109572,.T.); #227970=EDGE_CURVE('',#180506,#180505,#109573,.T.); #227971=EDGE_CURVE('',#180507,#180504,#109574,.T.); #227972=EDGE_CURVE('',#180506,#180507,#109575,.T.); #227973=EDGE_CURVE('',#180508,#180506,#109576,.T.); #227974=EDGE_CURVE('',#180509,#180507,#109577,.T.); #227975=EDGE_CURVE('',#180508,#180509,#109578,.T.); #227976=EDGE_CURVE('',#180500,#180508,#109579,.T.); #227977=EDGE_CURVE('',#180501,#180509,#109580,.T.); #227978=EDGE_CURVE('',#180510,#180511,#71681,.T.); #227979=EDGE_CURVE('',#180512,#180510,#109581,.T.); #227980=EDGE_CURVE('',#180512,#180513,#109582,.T.); #227981=EDGE_CURVE('',#180511,#180513,#109583,.T.); #227982=EDGE_CURVE('',#180514,#180515,#71682,.T.); #227983=EDGE_CURVE('',#180515,#180510,#109584,.T.); #227984=EDGE_CURVE('',#180511,#180514,#109585,.T.); #227985=EDGE_CURVE('',#180516,#180514,#109586,.T.); #227986=EDGE_CURVE('',#180517,#180516,#109587,.T.); #227987=EDGE_CURVE('',#180515,#180517,#109588,.T.); #227988=EDGE_CURVE('',#180518,#180517,#109589,.T.); #227989=EDGE_CURVE('',#180519,#180516,#109590,.T.); #227990=EDGE_CURVE('',#180518,#180519,#109591,.T.); #227991=EDGE_CURVE('',#180520,#180518,#109592,.T.); #227992=EDGE_CURVE('',#180521,#180519,#109593,.T.); #227993=EDGE_CURVE('',#180520,#180521,#109594,.T.); #227994=EDGE_CURVE('',#180512,#180520,#109595,.T.); #227995=EDGE_CURVE('',#180513,#180521,#109596,.T.); #227996=EDGE_CURVE('',#180522,#180523,#71683,.T.); #227997=EDGE_CURVE('',#180524,#180522,#109597,.T.); #227998=EDGE_CURVE('',#180524,#180525,#109598,.T.); #227999=EDGE_CURVE('',#180523,#180525,#109599,.T.); #228000=EDGE_CURVE('',#180526,#180527,#71684,.T.); #228001=EDGE_CURVE('',#180527,#180522,#109600,.T.); #228002=EDGE_CURVE('',#180523,#180526,#109601,.T.); #228003=EDGE_CURVE('',#180528,#180526,#109602,.T.); #228004=EDGE_CURVE('',#180529,#180528,#109603,.T.); #228005=EDGE_CURVE('',#180527,#180529,#109604,.T.); #228006=EDGE_CURVE('',#180530,#180529,#109605,.T.); #228007=EDGE_CURVE('',#180531,#180528,#109606,.T.); #228008=EDGE_CURVE('',#180530,#180531,#109607,.T.); #228009=EDGE_CURVE('',#180532,#180530,#109608,.T.); #228010=EDGE_CURVE('',#180533,#180531,#109609,.T.); #228011=EDGE_CURVE('',#180532,#180533,#109610,.T.); #228012=EDGE_CURVE('',#180524,#180532,#109611,.T.); #228013=EDGE_CURVE('',#180525,#180533,#109612,.T.); #228014=EDGE_CURVE('',#180534,#180535,#71685,.T.); #228015=EDGE_CURVE('',#180536,#180534,#109613,.T.); #228016=EDGE_CURVE('',#180536,#180537,#109614,.T.); #228017=EDGE_CURVE('',#180535,#180537,#109615,.T.); #228018=EDGE_CURVE('',#180538,#180539,#71686,.T.); #228019=EDGE_CURVE('',#180539,#180534,#109616,.T.); #228020=EDGE_CURVE('',#180535,#180538,#109617,.T.); #228021=EDGE_CURVE('',#180540,#180538,#109618,.T.); #228022=EDGE_CURVE('',#180541,#180540,#109619,.T.); #228023=EDGE_CURVE('',#180539,#180541,#109620,.T.); #228024=EDGE_CURVE('',#180542,#180541,#109621,.T.); #228025=EDGE_CURVE('',#180543,#180540,#109622,.T.); #228026=EDGE_CURVE('',#180542,#180543,#109623,.T.); #228027=EDGE_CURVE('',#180544,#180542,#109624,.T.); #228028=EDGE_CURVE('',#180545,#180543,#109625,.T.); #228029=EDGE_CURVE('',#180544,#180545,#109626,.T.); #228030=EDGE_CURVE('',#180536,#180544,#109627,.T.); #228031=EDGE_CURVE('',#180537,#180545,#109628,.T.); #228032=EDGE_CURVE('',#180546,#180547,#71687,.T.); #228033=EDGE_CURVE('',#180548,#180546,#109629,.T.); #228034=EDGE_CURVE('',#180548,#180549,#109630,.T.); #228035=EDGE_CURVE('',#180547,#180549,#109631,.T.); #228036=EDGE_CURVE('',#180550,#180551,#71688,.T.); #228037=EDGE_CURVE('',#180551,#180546,#109632,.T.); #228038=EDGE_CURVE('',#180547,#180550,#109633,.T.); #228039=EDGE_CURVE('',#180552,#180550,#109634,.T.); #228040=EDGE_CURVE('',#180553,#180552,#109635,.T.); #228041=EDGE_CURVE('',#180551,#180553,#109636,.T.); #228042=EDGE_CURVE('',#180554,#180553,#109637,.T.); #228043=EDGE_CURVE('',#180555,#180552,#109638,.T.); #228044=EDGE_CURVE('',#180554,#180555,#109639,.T.); #228045=EDGE_CURVE('',#180556,#180554,#109640,.T.); #228046=EDGE_CURVE('',#180557,#180555,#109641,.T.); #228047=EDGE_CURVE('',#180556,#180557,#109642,.T.); #228048=EDGE_CURVE('',#180548,#180556,#109643,.T.); #228049=EDGE_CURVE('',#180549,#180557,#109644,.T.); #228050=EDGE_CURVE('',#180558,#180559,#71689,.T.); #228051=EDGE_CURVE('',#180560,#180558,#109645,.T.); #228052=EDGE_CURVE('',#180560,#180561,#109646,.T.); #228053=EDGE_CURVE('',#180559,#180561,#109647,.T.); #228054=EDGE_CURVE('',#180562,#180563,#71690,.T.); #228055=EDGE_CURVE('',#180563,#180558,#109648,.T.); #228056=EDGE_CURVE('',#180559,#180562,#109649,.T.); #228057=EDGE_CURVE('',#180564,#180562,#109650,.T.); #228058=EDGE_CURVE('',#180565,#180564,#109651,.T.); #228059=EDGE_CURVE('',#180563,#180565,#109652,.T.); #228060=EDGE_CURVE('',#180566,#180565,#109653,.T.); #228061=EDGE_CURVE('',#180567,#180564,#109654,.T.); #228062=EDGE_CURVE('',#180566,#180567,#109655,.T.); #228063=EDGE_CURVE('',#180568,#180566,#109656,.T.); #228064=EDGE_CURVE('',#180569,#180567,#109657,.T.); #228065=EDGE_CURVE('',#180568,#180569,#109658,.T.); #228066=EDGE_CURVE('',#180560,#180568,#109659,.T.); #228067=EDGE_CURVE('',#180561,#180569,#109660,.T.); #228068=EDGE_CURVE('',#180570,#180571,#71691,.T.); #228069=EDGE_CURVE('',#180572,#180570,#109661,.T.); #228070=EDGE_CURVE('',#180572,#180573,#109662,.T.); #228071=EDGE_CURVE('',#180571,#180573,#109663,.T.); #228072=EDGE_CURVE('',#180574,#180575,#71692,.T.); #228073=EDGE_CURVE('',#180575,#180570,#109664,.T.); #228074=EDGE_CURVE('',#180571,#180574,#109665,.T.); #228075=EDGE_CURVE('',#180576,#180574,#109666,.T.); #228076=EDGE_CURVE('',#180577,#180576,#109667,.T.); #228077=EDGE_CURVE('',#180575,#180577,#109668,.T.); #228078=EDGE_CURVE('',#180578,#180577,#109669,.T.); #228079=EDGE_CURVE('',#180579,#180576,#109670,.T.); #228080=EDGE_CURVE('',#180578,#180579,#109671,.T.); #228081=EDGE_CURVE('',#180580,#180578,#109672,.T.); #228082=EDGE_CURVE('',#180581,#180579,#109673,.T.); #228083=EDGE_CURVE('',#180580,#180581,#109674,.T.); #228084=EDGE_CURVE('',#180572,#180580,#109675,.T.); #228085=EDGE_CURVE('',#180573,#180581,#109676,.T.); #228086=EDGE_CURVE('',#180582,#180583,#71693,.T.); #228087=EDGE_CURVE('',#180584,#180582,#109677,.T.); #228088=EDGE_CURVE('',#180584,#180585,#109678,.T.); #228089=EDGE_CURVE('',#180583,#180585,#109679,.T.); #228090=EDGE_CURVE('',#180586,#180587,#71694,.T.); #228091=EDGE_CURVE('',#180587,#180582,#109680,.T.); #228092=EDGE_CURVE('',#180583,#180586,#109681,.T.); #228093=EDGE_CURVE('',#180588,#180586,#109682,.T.); #228094=EDGE_CURVE('',#180589,#180588,#109683,.T.); #228095=EDGE_CURVE('',#180587,#180589,#109684,.T.); #228096=EDGE_CURVE('',#180590,#180589,#109685,.T.); #228097=EDGE_CURVE('',#180591,#180588,#109686,.T.); #228098=EDGE_CURVE('',#180590,#180591,#109687,.T.); #228099=EDGE_CURVE('',#180592,#180590,#109688,.T.); #228100=EDGE_CURVE('',#180593,#180591,#109689,.T.); #228101=EDGE_CURVE('',#180592,#180593,#109690,.T.); #228102=EDGE_CURVE('',#180584,#180592,#109691,.T.); #228103=EDGE_CURVE('',#180585,#180593,#109692,.T.); #228104=EDGE_CURVE('',#180594,#180595,#71695,.T.); #228105=EDGE_CURVE('',#180596,#180594,#109693,.T.); #228106=EDGE_CURVE('',#180596,#180597,#109694,.T.); #228107=EDGE_CURVE('',#180595,#180597,#109695,.T.); #228108=EDGE_CURVE('',#180598,#180599,#71696,.T.); #228109=EDGE_CURVE('',#180599,#180594,#109696,.T.); #228110=EDGE_CURVE('',#180595,#180598,#109697,.T.); #228111=EDGE_CURVE('',#180600,#180598,#109698,.T.); #228112=EDGE_CURVE('',#180601,#180600,#109699,.T.); #228113=EDGE_CURVE('',#180599,#180601,#109700,.T.); #228114=EDGE_CURVE('',#180602,#180601,#109701,.T.); #228115=EDGE_CURVE('',#180603,#180600,#109702,.T.); #228116=EDGE_CURVE('',#180602,#180603,#109703,.T.); #228117=EDGE_CURVE('',#180604,#180602,#109704,.T.); #228118=EDGE_CURVE('',#180605,#180603,#109705,.T.); #228119=EDGE_CURVE('',#180604,#180605,#109706,.T.); #228120=EDGE_CURVE('',#180596,#180604,#109707,.T.); #228121=EDGE_CURVE('',#180597,#180605,#109708,.T.); #228122=EDGE_CURVE('',#180606,#180607,#71697,.T.); #228123=EDGE_CURVE('',#180607,#180608,#109709,.T.); #228124=EDGE_CURVE('',#180609,#180608,#109710,.T.); #228125=EDGE_CURVE('',#180609,#180606,#109711,.T.); #228126=EDGE_CURVE('',#180610,#180611,#71698,.T.); #228127=EDGE_CURVE('',#180607,#180610,#109712,.T.); #228128=EDGE_CURVE('',#180611,#180606,#109713,.T.); #228129=EDGE_CURVE('',#180611,#180612,#109714,.T.); #228130=EDGE_CURVE('',#180612,#180613,#109715,.T.); #228131=EDGE_CURVE('',#180613,#180610,#109716,.T.); #228132=EDGE_CURVE('',#180614,#180612,#109717,.T.); #228133=EDGE_CURVE('',#180614,#180615,#109718,.T.); #228134=EDGE_CURVE('',#180615,#180613,#109719,.T.); #228135=EDGE_CURVE('',#180616,#180614,#109720,.T.); #228136=EDGE_CURVE('',#180616,#180617,#109721,.T.); #228137=EDGE_CURVE('',#180617,#180615,#109722,.T.); #228138=EDGE_CURVE('',#180609,#180616,#109723,.T.); #228139=EDGE_CURVE('',#180608,#180617,#109724,.T.); #228140=EDGE_CURVE('',#180618,#180619,#71699,.T.); #228141=EDGE_CURVE('',#180619,#180620,#109725,.T.); #228142=EDGE_CURVE('',#180621,#180620,#109726,.T.); #228143=EDGE_CURVE('',#180621,#180618,#109727,.T.); #228144=EDGE_CURVE('',#180622,#180623,#71700,.T.); #228145=EDGE_CURVE('',#180619,#180622,#109728,.T.); #228146=EDGE_CURVE('',#180623,#180618,#109729,.T.); #228147=EDGE_CURVE('',#180623,#180624,#109730,.T.); #228148=EDGE_CURVE('',#180624,#180625,#109731,.T.); #228149=EDGE_CURVE('',#180625,#180622,#109732,.T.); #228150=EDGE_CURVE('',#180626,#180624,#109733,.T.); #228151=EDGE_CURVE('',#180626,#180627,#109734,.T.); #228152=EDGE_CURVE('',#180627,#180625,#109735,.T.); #228153=EDGE_CURVE('',#180628,#180626,#109736,.T.); #228154=EDGE_CURVE('',#180628,#180629,#109737,.T.); #228155=EDGE_CURVE('',#180629,#180627,#109738,.T.); #228156=EDGE_CURVE('',#180621,#180628,#109739,.T.); #228157=EDGE_CURVE('',#180620,#180629,#109740,.T.); #228158=EDGE_CURVE('',#180630,#180631,#71701,.T.); #228159=EDGE_CURVE('',#180631,#180632,#109741,.T.); #228160=EDGE_CURVE('',#180633,#180632,#109742,.T.); #228161=EDGE_CURVE('',#180633,#180630,#109743,.T.); #228162=EDGE_CURVE('',#180634,#180635,#71702,.T.); #228163=EDGE_CURVE('',#180631,#180634,#109744,.T.); #228164=EDGE_CURVE('',#180635,#180630,#109745,.T.); #228165=EDGE_CURVE('',#180635,#180636,#109746,.T.); #228166=EDGE_CURVE('',#180636,#180637,#109747,.T.); #228167=EDGE_CURVE('',#180637,#180634,#109748,.T.); #228168=EDGE_CURVE('',#180638,#180636,#109749,.T.); #228169=EDGE_CURVE('',#180638,#180639,#109750,.T.); #228170=EDGE_CURVE('',#180639,#180637,#109751,.T.); #228171=EDGE_CURVE('',#180640,#180638,#109752,.T.); #228172=EDGE_CURVE('',#180640,#180641,#109753,.T.); #228173=EDGE_CURVE('',#180641,#180639,#109754,.T.); #228174=EDGE_CURVE('',#180633,#180640,#109755,.T.); #228175=EDGE_CURVE('',#180632,#180641,#109756,.T.); #228176=EDGE_CURVE('',#180642,#180643,#71703,.T.); #228177=EDGE_CURVE('',#180643,#180644,#109757,.T.); #228178=EDGE_CURVE('',#180645,#180644,#109758,.T.); #228179=EDGE_CURVE('',#180645,#180642,#109759,.T.); #228180=EDGE_CURVE('',#180646,#180647,#71704,.T.); #228181=EDGE_CURVE('',#180643,#180646,#109760,.T.); #228182=EDGE_CURVE('',#180647,#180642,#109761,.T.); #228183=EDGE_CURVE('',#180647,#180648,#109762,.T.); #228184=EDGE_CURVE('',#180648,#180649,#109763,.T.); #228185=EDGE_CURVE('',#180649,#180646,#109764,.T.); #228186=EDGE_CURVE('',#180650,#180648,#109765,.T.); #228187=EDGE_CURVE('',#180650,#180651,#109766,.T.); #228188=EDGE_CURVE('',#180651,#180649,#109767,.T.); #228189=EDGE_CURVE('',#180652,#180650,#109768,.T.); #228190=EDGE_CURVE('',#180652,#180653,#109769,.T.); #228191=EDGE_CURVE('',#180653,#180651,#109770,.T.); #228192=EDGE_CURVE('',#180645,#180652,#109771,.T.); #228193=EDGE_CURVE('',#180644,#180653,#109772,.T.); #228194=EDGE_CURVE('',#180654,#180655,#71705,.T.); #228195=EDGE_CURVE('',#180655,#180656,#109773,.T.); #228196=EDGE_CURVE('',#180657,#180656,#109774,.T.); #228197=EDGE_CURVE('',#180657,#180654,#109775,.T.); #228198=EDGE_CURVE('',#180658,#180659,#71706,.T.); #228199=EDGE_CURVE('',#180655,#180658,#109776,.T.); #228200=EDGE_CURVE('',#180659,#180654,#109777,.T.); #228201=EDGE_CURVE('',#180659,#180660,#109778,.T.); #228202=EDGE_CURVE('',#180660,#180661,#109779,.T.); #228203=EDGE_CURVE('',#180661,#180658,#109780,.T.); #228204=EDGE_CURVE('',#180662,#180660,#109781,.T.); #228205=EDGE_CURVE('',#180662,#180663,#109782,.T.); #228206=EDGE_CURVE('',#180663,#180661,#109783,.T.); #228207=EDGE_CURVE('',#180664,#180662,#109784,.T.); #228208=EDGE_CURVE('',#180664,#180665,#109785,.T.); #228209=EDGE_CURVE('',#180665,#180663,#109786,.T.); #228210=EDGE_CURVE('',#180657,#180664,#109787,.T.); #228211=EDGE_CURVE('',#180656,#180665,#109788,.T.); #228212=EDGE_CURVE('',#180666,#180667,#71707,.T.); #228213=EDGE_CURVE('',#180667,#180668,#109789,.T.); #228214=EDGE_CURVE('',#180669,#180668,#109790,.T.); #228215=EDGE_CURVE('',#180669,#180666,#109791,.T.); #228216=EDGE_CURVE('',#180670,#180671,#71708,.T.); #228217=EDGE_CURVE('',#180667,#180670,#109792,.T.); #228218=EDGE_CURVE('',#180671,#180666,#109793,.T.); #228219=EDGE_CURVE('',#180671,#180672,#109794,.T.); #228220=EDGE_CURVE('',#180672,#180673,#109795,.T.); #228221=EDGE_CURVE('',#180673,#180670,#109796,.T.); #228222=EDGE_CURVE('',#180674,#180672,#109797,.T.); #228223=EDGE_CURVE('',#180674,#180675,#109798,.T.); #228224=EDGE_CURVE('',#180675,#180673,#109799,.T.); #228225=EDGE_CURVE('',#180676,#180674,#109800,.T.); #228226=EDGE_CURVE('',#180676,#180677,#109801,.T.); #228227=EDGE_CURVE('',#180677,#180675,#109802,.T.); #228228=EDGE_CURVE('',#180669,#180676,#109803,.T.); #228229=EDGE_CURVE('',#180668,#180677,#109804,.T.); #228230=EDGE_CURVE('',#180678,#180679,#71709,.T.); #228231=EDGE_CURVE('',#180679,#180680,#109805,.T.); #228232=EDGE_CURVE('',#180681,#180680,#109806,.T.); #228233=EDGE_CURVE('',#180681,#180678,#109807,.T.); #228234=EDGE_CURVE('',#180682,#180683,#71710,.T.); #228235=EDGE_CURVE('',#180679,#180682,#109808,.T.); #228236=EDGE_CURVE('',#180683,#180678,#109809,.T.); #228237=EDGE_CURVE('',#180683,#180684,#109810,.T.); #228238=EDGE_CURVE('',#180684,#180685,#109811,.T.); #228239=EDGE_CURVE('',#180685,#180682,#109812,.T.); #228240=EDGE_CURVE('',#180686,#180684,#109813,.T.); #228241=EDGE_CURVE('',#180686,#180687,#109814,.T.); #228242=EDGE_CURVE('',#180687,#180685,#109815,.T.); #228243=EDGE_CURVE('',#180688,#180686,#109816,.T.); #228244=EDGE_CURVE('',#180688,#180689,#109817,.T.); #228245=EDGE_CURVE('',#180689,#180687,#109818,.T.); #228246=EDGE_CURVE('',#180681,#180688,#109819,.T.); #228247=EDGE_CURVE('',#180680,#180689,#109820,.T.); #228248=EDGE_CURVE('',#180690,#180691,#71711,.T.); #228249=EDGE_CURVE('',#180691,#180692,#109821,.T.); #228250=EDGE_CURVE('',#180693,#180692,#109822,.T.); #228251=EDGE_CURVE('',#180693,#180690,#109823,.T.); #228252=EDGE_CURVE('',#180694,#180695,#71712,.T.); #228253=EDGE_CURVE('',#180691,#180694,#109824,.T.); #228254=EDGE_CURVE('',#180695,#180690,#109825,.T.); #228255=EDGE_CURVE('',#180695,#180696,#109826,.T.); #228256=EDGE_CURVE('',#180696,#180697,#109827,.T.); #228257=EDGE_CURVE('',#180697,#180694,#109828,.T.); #228258=EDGE_CURVE('',#180698,#180696,#109829,.T.); #228259=EDGE_CURVE('',#180698,#180699,#109830,.T.); #228260=EDGE_CURVE('',#180699,#180697,#109831,.T.); #228261=EDGE_CURVE('',#180700,#180698,#109832,.T.); #228262=EDGE_CURVE('',#180700,#180701,#109833,.T.); #228263=EDGE_CURVE('',#180701,#180699,#109834,.T.); #228264=EDGE_CURVE('',#180693,#180700,#109835,.T.); #228265=EDGE_CURVE('',#180692,#180701,#109836,.T.); #228266=EDGE_CURVE('',#180702,#180703,#71713,.T.); #228267=EDGE_CURVE('',#180703,#180704,#109837,.T.); #228268=EDGE_CURVE('',#180705,#180704,#109838,.T.); #228269=EDGE_CURVE('',#180705,#180702,#109839,.T.); #228270=EDGE_CURVE('',#180706,#180707,#71714,.T.); #228271=EDGE_CURVE('',#180703,#180706,#109840,.T.); #228272=EDGE_CURVE('',#180707,#180702,#109841,.T.); #228273=EDGE_CURVE('',#180707,#180708,#109842,.T.); #228274=EDGE_CURVE('',#180708,#180709,#109843,.T.); #228275=EDGE_CURVE('',#180709,#180706,#109844,.T.); #228276=EDGE_CURVE('',#180710,#180708,#109845,.T.); #228277=EDGE_CURVE('',#180710,#180711,#109846,.T.); #228278=EDGE_CURVE('',#180711,#180709,#109847,.T.); #228279=EDGE_CURVE('',#180712,#180710,#109848,.T.); #228280=EDGE_CURVE('',#180712,#180713,#109849,.T.); #228281=EDGE_CURVE('',#180713,#180711,#109850,.T.); #228282=EDGE_CURVE('',#180705,#180712,#109851,.T.); #228283=EDGE_CURVE('',#180704,#180713,#109852,.T.); #228284=EDGE_CURVE('',#180714,#180715,#71715,.T.); #228285=EDGE_CURVE('',#180715,#180716,#109853,.T.); #228286=EDGE_CURVE('',#180717,#180716,#109854,.T.); #228287=EDGE_CURVE('',#180717,#180714,#109855,.T.); #228288=EDGE_CURVE('',#180718,#180719,#71716,.T.); #228289=EDGE_CURVE('',#180715,#180718,#109856,.T.); #228290=EDGE_CURVE('',#180719,#180714,#109857,.T.); #228291=EDGE_CURVE('',#180719,#180720,#109858,.T.); #228292=EDGE_CURVE('',#180720,#180721,#109859,.T.); #228293=EDGE_CURVE('',#180721,#180718,#109860,.T.); #228294=EDGE_CURVE('',#180722,#180720,#109861,.T.); #228295=EDGE_CURVE('',#180722,#180723,#109862,.T.); #228296=EDGE_CURVE('',#180723,#180721,#109863,.T.); #228297=EDGE_CURVE('',#180724,#180722,#109864,.T.); #228298=EDGE_CURVE('',#180724,#180725,#109865,.T.); #228299=EDGE_CURVE('',#180725,#180723,#109866,.T.); #228300=EDGE_CURVE('',#180717,#180724,#109867,.T.); #228301=EDGE_CURVE('',#180716,#180725,#109868,.T.); #228302=EDGE_CURVE('',#180726,#180727,#71717,.T.); #228303=EDGE_CURVE('',#180727,#180728,#109869,.T.); #228304=EDGE_CURVE('',#180729,#180728,#109870,.T.); #228305=EDGE_CURVE('',#180729,#180726,#109871,.T.); #228306=EDGE_CURVE('',#180730,#180731,#71718,.T.); #228307=EDGE_CURVE('',#180727,#180730,#109872,.T.); #228308=EDGE_CURVE('',#180731,#180726,#109873,.T.); #228309=EDGE_CURVE('',#180731,#180732,#109874,.T.); #228310=EDGE_CURVE('',#180732,#180733,#109875,.T.); #228311=EDGE_CURVE('',#180733,#180730,#109876,.T.); #228312=EDGE_CURVE('',#180734,#180732,#109877,.T.); #228313=EDGE_CURVE('',#180734,#180735,#109878,.T.); #228314=EDGE_CURVE('',#180735,#180733,#109879,.T.); #228315=EDGE_CURVE('',#180736,#180734,#109880,.T.); #228316=EDGE_CURVE('',#180736,#180737,#109881,.T.); #228317=EDGE_CURVE('',#180737,#180735,#109882,.T.); #228318=EDGE_CURVE('',#180729,#180736,#109883,.T.); #228319=EDGE_CURVE('',#180728,#180737,#109884,.T.); #228320=EDGE_CURVE('',#180738,#180739,#109885,.T.); #228321=EDGE_CURVE('',#180739,#180740,#109886,.T.); #228322=EDGE_CURVE('',#180741,#180740,#109887,.T.); #228323=EDGE_CURVE('',#180738,#180741,#109888,.T.); #228324=EDGE_CURVE('',#180742,#180738,#109889,.T.); #228325=EDGE_CURVE('',#180743,#180741,#109890,.T.); #228326=EDGE_CURVE('',#180742,#180743,#109891,.T.); #228327=EDGE_CURVE('',#180744,#180742,#109892,.T.); #228328=EDGE_CURVE('',#180745,#180743,#109893,.T.); #228329=EDGE_CURVE('',#180744,#180745,#109894,.T.); #228330=EDGE_CURVE('',#180739,#180744,#109895,.T.); #228331=EDGE_CURVE('',#180740,#180745,#109896,.T.); #228332=EDGE_CURVE('',#180746,#180747,#71719,.F.); #228333=EDGE_CURVE('',#180748,#180746,#71720,.F.); #228334=EDGE_CURVE('',#180747,#180748,#71721,.F.); #228335=EDGE_CURVE('',#180749,#180750,#71722,.F.); #228336=EDGE_CURVE('',#180751,#180749,#71723,.F.); #228337=EDGE_CURVE('',#180750,#180751,#71724,.F.); #228338=EDGE_CURVE('',#180752,#180753,#71725,.F.); #228339=EDGE_CURVE('',#180754,#180752,#71726,.F.); #228340=EDGE_CURVE('',#180753,#180754,#71727,.F.); #228341=EDGE_CURVE('',#180755,#180756,#71728,.F.); #228342=EDGE_CURVE('',#180757,#180755,#71729,.F.); #228343=EDGE_CURVE('',#180756,#180757,#71730,.F.); #228344=EDGE_CURVE('',#180758,#180759,#71731,.F.); #228345=EDGE_CURVE('',#180760,#180758,#71732,.F.); #228346=EDGE_CURVE('',#180759,#180760,#71733,.F.); #228347=EDGE_CURVE('',#180758,#180753,#109897,.T.); #228348=EDGE_CURVE('',#180754,#180760,#109898,.T.); #228349=EDGE_CURVE('',#180761,#180762,#71734,.F.); #228350=EDGE_CURVE('',#180763,#180761,#71735,.F.); #228351=EDGE_CURVE('',#180762,#180763,#71736,.F.); #228352=EDGE_CURVE('',#180764,#180765,#71737,.F.); #228353=EDGE_CURVE('',#180766,#180764,#71738,.F.); #228354=EDGE_CURVE('',#180765,#180766,#71739,.F.); #228355=EDGE_CURVE('',#180752,#180766,#109899,.T.); #228356=EDGE_CURVE('',#180764,#180754,#109900,.T.); #228357=EDGE_CURVE('',#180755,#180765,#109901,.T.); #228358=EDGE_CURVE('',#180766,#180757,#109902,.T.); #228359=EDGE_CURVE('',#180767,#180768,#71740,.F.); #228360=EDGE_CURVE('',#180769,#180767,#71741,.F.); #228361=EDGE_CURVE('',#180768,#180769,#71742,.F.); #228362=EDGE_CURVE('',#180760,#180769,#109903,.T.); #228363=EDGE_CURVE('',#180767,#180759,#109904,.T.); #228364=EDGE_CURVE('',#180765,#180768,#109905,.T.); #228365=EDGE_CURVE('',#180769,#180764,#109906,.T.); #228366=EDGE_CURVE('',#180761,#180767,#109907,.T.); #228367=EDGE_CURVE('',#180768,#180763,#109908,.T.); #228368=EDGE_CURVE('',#180756,#180762,#109909,.T.); #228369=EDGE_CURVE('',#180763,#180755,#109910,.T.); #228370=EDGE_CURVE('',#180762,#180750,#109911,.T.); #228371=EDGE_CURVE('',#180751,#180761,#109912,.T.); #228372=EDGE_CURVE('',#180749,#180758,#109913,.T.); #228373=EDGE_CURVE('',#180759,#180751,#109914,.T.); #228374=EDGE_CURVE('',#180747,#180756,#109915,.T.); #228375=EDGE_CURVE('',#180757,#180746,#109916,.T.); #228376=EDGE_CURVE('',#180746,#180752,#109917,.T.); #228377=EDGE_CURVE('',#180753,#180748,#109918,.T.); #228378=EDGE_CURVE('',#180750,#180747,#109919,.T.); #228379=EDGE_CURVE('',#180748,#180749,#109920,.T.); #228380=EDGE_CURVE('',#180770,#180771,#71743,.F.); #228381=EDGE_CURVE('',#180772,#180770,#71744,.F.); #228382=EDGE_CURVE('',#180771,#180772,#71745,.F.); #228383=EDGE_CURVE('',#180773,#180774,#71746,.F.); #228384=EDGE_CURVE('',#180775,#180773,#71747,.F.); #228385=EDGE_CURVE('',#180774,#180775,#71748,.F.); #228386=EDGE_CURVE('',#180776,#180777,#71749,.F.); #228387=EDGE_CURVE('',#180778,#180776,#71750,.F.); #228388=EDGE_CURVE('',#180777,#180778,#71751,.F.); #228389=EDGE_CURVE('',#180779,#180780,#71752,.F.); #228390=EDGE_CURVE('',#180781,#180779,#71753,.F.); #228391=EDGE_CURVE('',#180780,#180781,#71754,.F.); #228392=EDGE_CURVE('',#180782,#180783,#71755,.F.); #228393=EDGE_CURVE('',#180784,#180782,#71756,.F.); #228394=EDGE_CURVE('',#180783,#180784,#71757,.F.); #228395=EDGE_CURVE('',#180782,#180777,#109921,.T.); #228396=EDGE_CURVE('',#180778,#180784,#109922,.T.); #228397=EDGE_CURVE('',#180785,#180786,#71758,.F.); #228398=EDGE_CURVE('',#180787,#180785,#71759,.F.); #228399=EDGE_CURVE('',#180786,#180787,#71760,.F.); #228400=EDGE_CURVE('',#180788,#180789,#71761,.F.); #228401=EDGE_CURVE('',#180790,#180788,#71762,.F.); #228402=EDGE_CURVE('',#180789,#180790,#71763,.F.); #228403=EDGE_CURVE('',#180776,#180790,#109923,.T.); #228404=EDGE_CURVE('',#180788,#180778,#109924,.T.); #228405=EDGE_CURVE('',#180779,#180789,#109925,.T.); #228406=EDGE_CURVE('',#180790,#180781,#109926,.T.); #228407=EDGE_CURVE('',#180791,#180792,#71764,.F.); #228408=EDGE_CURVE('',#180793,#180791,#71765,.F.); #228409=EDGE_CURVE('',#180792,#180793,#71766,.F.); #228410=EDGE_CURVE('',#180791,#180783,#109927,.T.); #228411=EDGE_CURVE('',#180784,#180793,#109928,.T.); #228412=EDGE_CURVE('',#180789,#180792,#109929,.T.); #228413=EDGE_CURVE('',#180793,#180788,#109930,.T.); #228414=EDGE_CURVE('',#180785,#180791,#109931,.T.); #228415=EDGE_CURVE('',#180792,#180787,#109932,.T.); #228416=EDGE_CURVE('',#180780,#180786,#109933,.T.); #228417=EDGE_CURVE('',#180787,#180779,#109934,.T.); #228418=EDGE_CURVE('',#180786,#180774,#109935,.T.); #228419=EDGE_CURVE('',#180775,#180785,#109936,.T.); #228420=EDGE_CURVE('',#180773,#180782,#109937,.T.); #228421=EDGE_CURVE('',#180783,#180775,#109938,.T.); #228422=EDGE_CURVE('',#180771,#180780,#109939,.T.); #228423=EDGE_CURVE('',#180781,#180770,#109940,.T.); #228424=EDGE_CURVE('',#180770,#180776,#109941,.T.); #228425=EDGE_CURVE('',#180777,#180772,#109942,.T.); #228426=EDGE_CURVE('',#180774,#180771,#109943,.T.); #228427=EDGE_CURVE('',#180772,#180773,#109944,.T.); #228428=EDGE_CURVE('',#180794,#180795,#109945,.T.); #228429=EDGE_CURVE('',#180794,#180796,#109946,.T.); #228430=EDGE_CURVE('',#180797,#180796,#109947,.T.); #228431=EDGE_CURVE('',#180795,#180797,#109948,.T.); #228432=EDGE_CURVE('',#180798,#180795,#109949,.T.); #228433=EDGE_CURVE('',#180799,#180797,#109950,.T.); #228434=EDGE_CURVE('',#180798,#180799,#109951,.T.); #228435=EDGE_CURVE('',#180800,#180798,#109952,.T.); #228436=EDGE_CURVE('',#180801,#180799,#109953,.T.); #228437=EDGE_CURVE('',#180800,#180801,#109954,.T.); #228438=EDGE_CURVE('',#180794,#180800,#109955,.T.); #228439=EDGE_CURVE('',#180796,#180801,#109956,.T.); #228440=EDGE_CURVE('',#180802,#180803,#109957,.T.); #228441=EDGE_CURVE('',#180803,#180804,#109958,.T.); #228442=EDGE_CURVE('',#180804,#180805,#109959,.T.); #228443=EDGE_CURVE('',#180802,#180805,#109960,.T.); #228444=EDGE_CURVE('',#180803,#180806,#109961,.T.); #228445=EDGE_CURVE('',#180806,#180807,#109962,.T.); #228446=EDGE_CURVE('',#180807,#180804,#109963,.T.); #228447=EDGE_CURVE('',#180806,#180808,#109964,.T.); #228448=EDGE_CURVE('',#180808,#180809,#109965,.T.); #228449=EDGE_CURVE('',#180809,#180807,#109966,.T.); #228450=EDGE_CURVE('',#180805,#180809,#109967,.T.); #228451=EDGE_CURVE('',#180808,#180802,#109968,.T.); #228452=EDGE_CURVE('',#180810,#180805,#109969,.T.); #228453=EDGE_CURVE('',#180810,#180811,#109970,.T.); #228454=EDGE_CURVE('',#180811,#180809,#109971,.T.); #228455=EDGE_CURVE('',#180812,#180804,#109972,.T.); #228456=EDGE_CURVE('',#180812,#180810,#109973,.T.); #228457=EDGE_CURVE('',#180813,#180807,#109974,.T.); #228458=EDGE_CURVE('',#180813,#180812,#109975,.T.); #228459=EDGE_CURVE('',#180811,#180813,#109976,.T.); #228460=EDGE_CURVE('',#180814,#180815,#109977,.T.); #228461=EDGE_CURVE('',#180814,#180816,#109978,.T.); #228462=EDGE_CURVE('',#180817,#180816,#109979,.T.); #228463=EDGE_CURVE('',#180815,#180817,#109980,.T.); #228464=EDGE_CURVE('',#180818,#180815,#109981,.T.); #228465=EDGE_CURVE('',#180819,#180817,#109982,.T.); #228466=EDGE_CURVE('',#180818,#180819,#109983,.T.); #228467=EDGE_CURVE('',#180820,#180818,#109984,.T.); #228468=EDGE_CURVE('',#180821,#180819,#109985,.T.); #228469=EDGE_CURVE('',#180820,#180821,#109986,.T.); #228470=EDGE_CURVE('',#180814,#180820,#109987,.T.); #228471=EDGE_CURVE('',#180816,#180821,#109988,.T.); #228472=EDGE_CURVE('',#180822,#180823,#109989,.T.); #228473=EDGE_CURVE('',#180823,#180824,#109990,.T.); #228474=EDGE_CURVE('',#180825,#180824,#109991,.T.); #228475=EDGE_CURVE('',#180822,#180825,#109992,.T.); #228476=EDGE_CURVE('',#180826,#180822,#109993,.T.); #228477=EDGE_CURVE('',#180827,#180825,#109994,.T.); #228478=EDGE_CURVE('',#180826,#180827,#109995,.T.); #228479=EDGE_CURVE('',#180828,#180826,#109996,.T.); #228480=EDGE_CURVE('',#180829,#180827,#109997,.T.); #228481=EDGE_CURVE('',#180828,#180829,#109998,.T.); #228482=EDGE_CURVE('',#180823,#180828,#109999,.T.); #228483=EDGE_CURVE('',#180824,#180829,#110000,.T.); #228484=EDGE_CURVE('',#180830,#180831,#110001,.T.); #228485=EDGE_CURVE('',#180830,#180832,#110002,.T.); #228486=EDGE_CURVE('',#180833,#180832,#110003,.T.); #228487=EDGE_CURVE('',#180831,#180833,#110004,.T.); #228488=EDGE_CURVE('',#180831,#180834,#110005,.T.); #228489=EDGE_CURVE('',#180835,#180833,#110006,.T.); #228490=EDGE_CURVE('',#180834,#180835,#110007,.T.); #228491=EDGE_CURVE('',#180834,#180836,#110008,.T.); #228492=EDGE_CURVE('',#180837,#180835,#110009,.T.); #228493=EDGE_CURVE('',#180836,#180837,#110010,.T.); #228494=EDGE_CURVE('',#180836,#180830,#110011,.T.); #228495=EDGE_CURVE('',#180832,#180837,#110012,.T.); #228496=EDGE_CURVE('',#180838,#180839,#110013,.T.); #228497=EDGE_CURVE('',#180838,#180840,#110014,.T.); #228498=EDGE_CURVE('',#180841,#180840,#110015,.T.); #228499=EDGE_CURVE('',#180839,#180841,#110016,.T.); #228500=EDGE_CURVE('',#180839,#180842,#110017,.T.); #228501=EDGE_CURVE('',#180843,#180841,#110018,.T.); #228502=EDGE_CURVE('',#180842,#180843,#110019,.T.); #228503=EDGE_CURVE('',#180842,#180844,#110020,.T.); #228504=EDGE_CURVE('',#180845,#180843,#110021,.T.); #228505=EDGE_CURVE('',#180844,#180845,#110022,.T.); #228506=EDGE_CURVE('',#180838,#180844,#110023,.T.); #228507=EDGE_CURVE('',#180840,#180845,#110024,.T.); #228508=EDGE_CURVE('',#180846,#180847,#110025,.T.); #228509=EDGE_CURVE('',#180846,#180848,#110026,.T.); #228510=EDGE_CURVE('',#180849,#180848,#110027,.T.); #228511=EDGE_CURVE('',#180847,#180849,#110028,.T.); #228512=EDGE_CURVE('',#180850,#180847,#110029,.T.); #228513=EDGE_CURVE('',#180851,#180849,#110030,.T.); #228514=EDGE_CURVE('',#180850,#180851,#110031,.T.); #228515=EDGE_CURVE('',#180852,#180850,#110032,.T.); #228516=EDGE_CURVE('',#180853,#180851,#110033,.T.); #228517=EDGE_CURVE('',#180852,#180853,#110034,.T.); #228518=EDGE_CURVE('',#180846,#180852,#110035,.T.); #228519=EDGE_CURVE('',#180848,#180853,#110036,.T.); #228520=EDGE_CURVE('',#180854,#180854,#71767,.T.); #228521=EDGE_CURVE('',#180854,#180855,#110037,.T.); #228522=EDGE_CURVE('',#180855,#180855,#71768,.T.); #228523=EDGE_CURVE('',#180856,#180857,#110038,.T.); #228524=EDGE_CURVE('',#180857,#180858,#110039,.T.); #228525=EDGE_CURVE('',#180858,#180859,#110040,.T.); #228526=EDGE_CURVE('',#180856,#180859,#110041,.T.); #228527=EDGE_CURVE('',#180857,#180860,#110042,.T.); #228528=EDGE_CURVE('',#180860,#180861,#110043,.T.); #228529=EDGE_CURVE('',#180861,#180858,#110044,.T.); #228530=EDGE_CURVE('',#180860,#180862,#110045,.T.); #228531=EDGE_CURVE('',#180862,#180863,#110046,.T.); #228532=EDGE_CURVE('',#180863,#180861,#110047,.T.); #228533=EDGE_CURVE('',#180859,#180863,#110048,.T.); #228534=EDGE_CURVE('',#180862,#180856,#110049,.T.); #228535=EDGE_CURVE('',#180864,#180859,#110050,.T.); #228536=EDGE_CURVE('',#180865,#180864,#110051,.T.); #228537=EDGE_CURVE('',#180865,#180863,#110052,.T.); #228538=EDGE_CURVE('',#180866,#180858,#110053,.T.); #228539=EDGE_CURVE('',#180864,#180866,#110054,.T.); #228540=EDGE_CURVE('',#180867,#180865,#110055,.T.); #228541=EDGE_CURVE('',#180865,#180868,#110056,.T.); #228542=EDGE_CURVE('',#180868,#180869,#110057,.T.); #228543=EDGE_CURVE('',#180869,#180867,#110058,.T.); #228544=EDGE_CURVE('',#180870,#180868,#110059,.T.); #228545=EDGE_CURVE('',#180870,#180864,#110060,.T.); #228546=EDGE_CURVE('',#180866,#180867,#110061,.T.); #228547=EDGE_CURVE('',#180869,#180871,#110062,.T.); #228548=EDGE_CURVE('',#180871,#180866,#110063,.T.); #228549=EDGE_CURVE('',#180871,#180870,#110064,.T.); #228550=EDGE_CURVE('',#180867,#180861,#110065,.T.); #228551=EDGE_CURVE('',#180872,#180873,#71769,.T.); #228552=EDGE_CURVE('',#180873,#180874,#110066,.T.); #228553=EDGE_CURVE('',#180874,#180875,#71770,.T.); #228554=EDGE_CURVE('',#180875,#180876,#110067,.T.); #228555=EDGE_CURVE('',#180876,#180877,#110068,.T.); #228556=EDGE_CURVE('',#180877,#180878,#110069,.T.); #228557=EDGE_CURVE('',#180878,#180879,#71771,.T.); #228558=EDGE_CURVE('',#180879,#180880,#110070,.T.); #228559=EDGE_CURVE('',#180880,#180881,#71772,.T.); #228560=EDGE_CURVE('',#180881,#180882,#110071,.T.); #228561=EDGE_CURVE('',#180882,#180883,#110072,.T.); #228562=EDGE_CURVE('',#180883,#180872,#110073,.T.); #228563=EDGE_CURVE('',#180884,#180873,#110074,.T.); #228564=EDGE_CURVE('',#180885,#180884,#110075,.T.); #228565=EDGE_CURVE('',#180874,#180885,#110076,.T.); #228566=EDGE_CURVE('',#180886,#180885,#71773,.T.); #228567=EDGE_CURVE('',#180875,#180886,#110077,.T.); #228568=EDGE_CURVE('',#180887,#180886,#110078,.T.); #228569=EDGE_CURVE('',#180876,#180887,#110079,.T.); #228570=EDGE_CURVE('',#180888,#180887,#110080,.T.); #228571=EDGE_CURVE('',#180877,#180888,#110081,.T.); #228572=EDGE_CURVE('',#180889,#180888,#110082,.T.); #228573=EDGE_CURVE('',#180878,#180889,#110083,.T.); #228574=EDGE_CURVE('',#180890,#180889,#71774,.T.); #228575=EDGE_CURVE('',#180879,#180890,#110084,.T.); #228576=EDGE_CURVE('',#180891,#180890,#110085,.T.); #228577=EDGE_CURVE('',#180880,#180891,#110086,.T.); #228578=EDGE_CURVE('',#180892,#180891,#71775,.T.); #228579=EDGE_CURVE('',#180881,#180892,#110087,.T.); #228580=EDGE_CURVE('',#180893,#180892,#110088,.T.); #228581=EDGE_CURVE('',#180882,#180893,#110089,.T.); #228582=EDGE_CURVE('',#180894,#180893,#110090,.T.); #228583=EDGE_CURVE('',#180883,#180894,#110091,.T.); #228584=EDGE_CURVE('',#180895,#180894,#110092,.T.); #228585=EDGE_CURVE('',#180872,#180895,#110093,.T.); #228586=EDGE_CURVE('',#180884,#180895,#71776,.T.); #228587=EDGE_CURVE('',#180896,#180897,#71777,.T.); #228588=EDGE_CURVE('',#180898,#180896,#110094,.T.); #228589=EDGE_CURVE('',#180899,#180898,#110095,.T.); #228590=EDGE_CURVE('',#180900,#180899,#110096,.T.); #228591=EDGE_CURVE('',#180901,#180900,#71778,.T.); #228592=EDGE_CURVE('',#180902,#180901,#110097,.T.); #228593=EDGE_CURVE('',#180903,#180902,#71779,.T.); #228594=EDGE_CURVE('',#180904,#180903,#110098,.T.); #228595=EDGE_CURVE('',#180905,#180904,#110099,.T.); #228596=EDGE_CURVE('',#180906,#180905,#110100,.T.); #228597=EDGE_CURVE('',#180907,#180906,#71780,.T.); #228598=EDGE_CURVE('',#180897,#180907,#110101,.T.); #228599=EDGE_CURVE('',#180908,#180897,#110102,.T.); #228600=EDGE_CURVE('',#180907,#180909,#110103,.T.); #228601=EDGE_CURVE('',#180909,#180908,#110104,.T.); #228602=EDGE_CURVE('',#180906,#180910,#110105,.T.); #228603=EDGE_CURVE('',#180910,#180909,#71781,.T.); #228604=EDGE_CURVE('',#180905,#180911,#110106,.T.); #228605=EDGE_CURVE('',#180911,#180910,#110107,.T.); #228606=EDGE_CURVE('',#180904,#180912,#110108,.T.); #228607=EDGE_CURVE('',#180912,#180911,#110109,.T.); #228608=EDGE_CURVE('',#180903,#180913,#110110,.T.); #228609=EDGE_CURVE('',#180913,#180912,#110111,.T.); #228610=EDGE_CURVE('',#180902,#180914,#110112,.T.); #228611=EDGE_CURVE('',#180914,#180913,#71782,.T.); #228612=EDGE_CURVE('',#180901,#180915,#110113,.T.); #228613=EDGE_CURVE('',#180915,#180914,#110114,.T.); #228614=EDGE_CURVE('',#180900,#180916,#110115,.T.); #228615=EDGE_CURVE('',#180916,#180915,#71783,.T.); #228616=EDGE_CURVE('',#180899,#180917,#110116,.T.); #228617=EDGE_CURVE('',#180917,#180916,#110117,.T.); #228618=EDGE_CURVE('',#180898,#180918,#110118,.T.); #228619=EDGE_CURVE('',#180918,#180917,#110119,.T.); #228620=EDGE_CURVE('',#180896,#180919,#110120,.T.); #228621=EDGE_CURVE('',#180919,#180918,#110121,.T.); #228622=EDGE_CURVE('',#180908,#180919,#71784,.T.); #228623=EDGE_CURVE('',#180920,#180921,#71785,.T.); #228624=EDGE_CURVE('',#180921,#180922,#110122,.T.); #228625=EDGE_CURVE('',#180922,#180923,#71786,.T.); #228626=EDGE_CURVE('',#180923,#180924,#110123,.T.); #228627=EDGE_CURVE('',#180924,#180925,#110124,.T.); #228628=EDGE_CURVE('',#180925,#180926,#110125,.T.); #228629=EDGE_CURVE('',#180926,#180927,#71787,.T.); #228630=EDGE_CURVE('',#180927,#180928,#110126,.T.); #228631=EDGE_CURVE('',#180928,#180929,#71788,.T.); #228632=EDGE_CURVE('',#180929,#180930,#110127,.T.); #228633=EDGE_CURVE('',#180930,#180931,#110128,.T.); #228634=EDGE_CURVE('',#180931,#180920,#110129,.T.); #228635=EDGE_CURVE('',#180932,#180921,#110130,.T.); #228636=EDGE_CURVE('',#180933,#180932,#110131,.T.); #228637=EDGE_CURVE('',#180922,#180933,#110132,.T.); #228638=EDGE_CURVE('',#180934,#180933,#71789,.T.); #228639=EDGE_CURVE('',#180923,#180934,#110133,.T.); #228640=EDGE_CURVE('',#180935,#180934,#110134,.T.); #228641=EDGE_CURVE('',#180924,#180935,#110135,.T.); #228642=EDGE_CURVE('',#180936,#180935,#110136,.T.); #228643=EDGE_CURVE('',#180925,#180936,#110137,.T.); #228644=EDGE_CURVE('',#180937,#180936,#110138,.T.); #228645=EDGE_CURVE('',#180926,#180937,#110139,.T.); #228646=EDGE_CURVE('',#180938,#180937,#71790,.T.); #228647=EDGE_CURVE('',#180927,#180938,#110140,.T.); #228648=EDGE_CURVE('',#180939,#180938,#110141,.T.); #228649=EDGE_CURVE('',#180928,#180939,#110142,.T.); #228650=EDGE_CURVE('',#180940,#180939,#71791,.T.); #228651=EDGE_CURVE('',#180929,#180940,#110143,.T.); #228652=EDGE_CURVE('',#180941,#180940,#110144,.T.); #228653=EDGE_CURVE('',#180930,#180941,#110145,.T.); #228654=EDGE_CURVE('',#180942,#180941,#110146,.T.); #228655=EDGE_CURVE('',#180931,#180942,#110147,.T.); #228656=EDGE_CURVE('',#180943,#180942,#110148,.T.); #228657=EDGE_CURVE('',#180920,#180943,#110149,.T.); #228658=EDGE_CURVE('',#180932,#180943,#71792,.T.); #228659=EDGE_CURVE('',#180944,#180945,#71793,.T.); #228660=EDGE_CURVE('',#180945,#180946,#110150,.T.); #228661=EDGE_CURVE('',#180946,#180947,#71794,.T.); #228662=EDGE_CURVE('',#180947,#180948,#110151,.T.); #228663=EDGE_CURVE('',#180948,#180949,#110152,.T.); #228664=EDGE_CURVE('',#180949,#180950,#110153,.T.); #228665=EDGE_CURVE('',#180950,#180951,#71795,.T.); #228666=EDGE_CURVE('',#180951,#180952,#110154,.T.); #228667=EDGE_CURVE('',#180952,#180953,#71796,.T.); #228668=EDGE_CURVE('',#180953,#180954,#110155,.T.); #228669=EDGE_CURVE('',#180954,#180955,#110156,.T.); #228670=EDGE_CURVE('',#180955,#180944,#110157,.T.); #228671=EDGE_CURVE('',#180956,#180945,#110158,.T.); #228672=EDGE_CURVE('',#180957,#180956,#110159,.T.); #228673=EDGE_CURVE('',#180946,#180957,#110160,.T.); #228674=EDGE_CURVE('',#180958,#180957,#71797,.T.); #228675=EDGE_CURVE('',#180947,#180958,#110161,.T.); #228676=EDGE_CURVE('',#180959,#180958,#110162,.T.); #228677=EDGE_CURVE('',#180948,#180959,#110163,.T.); #228678=EDGE_CURVE('',#180960,#180959,#110164,.T.); #228679=EDGE_CURVE('',#180949,#180960,#110165,.T.); #228680=EDGE_CURVE('',#180961,#180960,#110166,.T.); #228681=EDGE_CURVE('',#180950,#180961,#110167,.T.); #228682=EDGE_CURVE('',#180962,#180961,#71798,.T.); #228683=EDGE_CURVE('',#180951,#180962,#110168,.T.); #228684=EDGE_CURVE('',#180963,#180962,#110169,.T.); #228685=EDGE_CURVE('',#180952,#180963,#110170,.T.); #228686=EDGE_CURVE('',#180964,#180963,#71799,.T.); #228687=EDGE_CURVE('',#180953,#180964,#110171,.T.); #228688=EDGE_CURVE('',#180965,#180964,#110172,.T.); #228689=EDGE_CURVE('',#180954,#180965,#110173,.T.); #228690=EDGE_CURVE('',#180966,#180965,#110174,.T.); #228691=EDGE_CURVE('',#180955,#180966,#110175,.T.); #228692=EDGE_CURVE('',#180967,#180966,#110176,.T.); #228693=EDGE_CURVE('',#180944,#180967,#110177,.T.); #228694=EDGE_CURVE('',#180956,#180967,#71800,.T.); #228695=EDGE_CURVE('',#180968,#180969,#71801,.T.); #228696=EDGE_CURVE('',#180969,#180970,#110178,.T.); #228697=EDGE_CURVE('',#180970,#180971,#71802,.T.); #228698=EDGE_CURVE('',#180971,#180972,#110179,.T.); #228699=EDGE_CURVE('',#180972,#180973,#110180,.T.); #228700=EDGE_CURVE('',#180973,#180974,#110181,.T.); #228701=EDGE_CURVE('',#180974,#180975,#71803,.T.); #228702=EDGE_CURVE('',#180975,#180976,#110182,.T.); #228703=EDGE_CURVE('',#180976,#180977,#71804,.T.); #228704=EDGE_CURVE('',#180977,#180978,#110183,.T.); #228705=EDGE_CURVE('',#180978,#180979,#110184,.T.); #228706=EDGE_CURVE('',#180979,#180968,#110185,.T.); #228707=EDGE_CURVE('',#180980,#180969,#110186,.T.); #228708=EDGE_CURVE('',#180981,#180980,#110187,.T.); #228709=EDGE_CURVE('',#180970,#180981,#110188,.T.); #228710=EDGE_CURVE('',#180982,#180981,#71805,.T.); #228711=EDGE_CURVE('',#180971,#180982,#110189,.T.); #228712=EDGE_CURVE('',#180983,#180982,#110190,.T.); #228713=EDGE_CURVE('',#180972,#180983,#110191,.T.); #228714=EDGE_CURVE('',#180984,#180983,#110192,.T.); #228715=EDGE_CURVE('',#180973,#180984,#110193,.T.); #228716=EDGE_CURVE('',#180985,#180984,#110194,.T.); #228717=EDGE_CURVE('',#180974,#180985,#110195,.T.); #228718=EDGE_CURVE('',#180986,#180985,#71806,.T.); #228719=EDGE_CURVE('',#180975,#180986,#110196,.T.); #228720=EDGE_CURVE('',#180987,#180986,#110197,.T.); #228721=EDGE_CURVE('',#180976,#180987,#110198,.T.); #228722=EDGE_CURVE('',#180988,#180987,#71807,.T.); #228723=EDGE_CURVE('',#180977,#180988,#110199,.T.); #228724=EDGE_CURVE('',#180989,#180988,#110200,.T.); #228725=EDGE_CURVE('',#180978,#180989,#110201,.T.); #228726=EDGE_CURVE('',#180990,#180989,#110202,.T.); #228727=EDGE_CURVE('',#180979,#180990,#110203,.T.); #228728=EDGE_CURVE('',#180991,#180990,#110204,.T.); #228729=EDGE_CURVE('',#180968,#180991,#110205,.T.); #228730=EDGE_CURVE('',#180980,#180991,#71808,.T.); #228731=EDGE_CURVE('',#180992,#180993,#71809,.T.); #228732=EDGE_CURVE('',#180994,#180992,#110206,.T.); #228733=EDGE_CURVE('',#180995,#180994,#110207,.T.); #228734=EDGE_CURVE('',#180996,#180995,#110208,.T.); #228735=EDGE_CURVE('',#180997,#180996,#71810,.T.); #228736=EDGE_CURVE('',#180998,#180997,#110209,.T.); #228737=EDGE_CURVE('',#180999,#180998,#71811,.T.); #228738=EDGE_CURVE('',#181000,#180999,#110210,.T.); #228739=EDGE_CURVE('',#181001,#181000,#110211,.T.); #228740=EDGE_CURVE('',#181002,#181001,#110212,.T.); #228741=EDGE_CURVE('',#181003,#181002,#71812,.T.); #228742=EDGE_CURVE('',#180993,#181003,#110213,.T.); #228743=EDGE_CURVE('',#181004,#180993,#110214,.T.); #228744=EDGE_CURVE('',#181003,#181005,#110215,.T.); #228745=EDGE_CURVE('',#181005,#181004,#110216,.T.); #228746=EDGE_CURVE('',#181002,#181006,#110217,.T.); #228747=EDGE_CURVE('',#181006,#181005,#71813,.T.); #228748=EDGE_CURVE('',#181001,#181007,#110218,.T.); #228749=EDGE_CURVE('',#181007,#181006,#110219,.T.); #228750=EDGE_CURVE('',#181000,#181008,#110220,.T.); #228751=EDGE_CURVE('',#181008,#181007,#110221,.T.); #228752=EDGE_CURVE('',#180999,#181009,#110222,.T.); #228753=EDGE_CURVE('',#181009,#181008,#110223,.T.); #228754=EDGE_CURVE('',#180998,#181010,#110224,.T.); #228755=EDGE_CURVE('',#181010,#181009,#71814,.T.); #228756=EDGE_CURVE('',#180997,#181011,#110225,.T.); #228757=EDGE_CURVE('',#181011,#181010,#110226,.T.); #228758=EDGE_CURVE('',#180996,#181012,#110227,.T.); #228759=EDGE_CURVE('',#181012,#181011,#71815,.T.); #228760=EDGE_CURVE('',#180995,#181013,#110228,.T.); #228761=EDGE_CURVE('',#181013,#181012,#110229,.T.); #228762=EDGE_CURVE('',#180994,#181014,#110230,.T.); #228763=EDGE_CURVE('',#181014,#181013,#110231,.T.); #228764=EDGE_CURVE('',#180992,#181015,#110232,.T.); #228765=EDGE_CURVE('',#181015,#181014,#110233,.T.); #228766=EDGE_CURVE('',#181004,#181015,#71816,.T.); #228767=EDGE_CURVE('',#181016,#181017,#71817,.T.); #228768=EDGE_CURVE('',#181018,#181016,#110234,.T.); #228769=EDGE_CURVE('',#181019,#181018,#110235,.T.); #228770=EDGE_CURVE('',#181020,#181019,#110236,.T.); #228771=EDGE_CURVE('',#181021,#181020,#71818,.T.); #228772=EDGE_CURVE('',#181022,#181021,#110237,.T.); #228773=EDGE_CURVE('',#181023,#181022,#71819,.T.); #228774=EDGE_CURVE('',#181024,#181023,#110238,.T.); #228775=EDGE_CURVE('',#181025,#181024,#110239,.T.); #228776=EDGE_CURVE('',#181026,#181025,#110240,.T.); #228777=EDGE_CURVE('',#181027,#181026,#71820,.T.); #228778=EDGE_CURVE('',#181017,#181027,#110241,.T.); #228779=EDGE_CURVE('',#181028,#181017,#110242,.T.); #228780=EDGE_CURVE('',#181027,#181029,#110243,.T.); #228781=EDGE_CURVE('',#181029,#181028,#110244,.T.); #228782=EDGE_CURVE('',#181026,#181030,#110245,.T.); #228783=EDGE_CURVE('',#181030,#181029,#71821,.T.); #228784=EDGE_CURVE('',#181025,#181031,#110246,.T.); #228785=EDGE_CURVE('',#181031,#181030,#110247,.T.); #228786=EDGE_CURVE('',#181024,#181032,#110248,.T.); #228787=EDGE_CURVE('',#181032,#181031,#110249,.T.); #228788=EDGE_CURVE('',#181023,#181033,#110250,.T.); #228789=EDGE_CURVE('',#181033,#181032,#110251,.T.); #228790=EDGE_CURVE('',#181022,#181034,#110252,.T.); #228791=EDGE_CURVE('',#181034,#181033,#71822,.T.); #228792=EDGE_CURVE('',#181021,#181035,#110253,.T.); #228793=EDGE_CURVE('',#181035,#181034,#110254,.T.); #228794=EDGE_CURVE('',#181020,#181036,#110255,.T.); #228795=EDGE_CURVE('',#181036,#181035,#71823,.T.); #228796=EDGE_CURVE('',#181019,#181037,#110256,.T.); #228797=EDGE_CURVE('',#181037,#181036,#110257,.T.); #228798=EDGE_CURVE('',#181018,#181038,#110258,.T.); #228799=EDGE_CURVE('',#181038,#181037,#110259,.T.); #228800=EDGE_CURVE('',#181016,#181039,#110260,.T.); #228801=EDGE_CURVE('',#181039,#181038,#110261,.T.); #228802=EDGE_CURVE('',#181028,#181039,#71824,.T.); #228803=EDGE_CURVE('',#181040,#181041,#71825,.T.); #228804=EDGE_CURVE('',#181042,#181040,#110262,.T.); #228805=EDGE_CURVE('',#181043,#181042,#110263,.T.); #228806=EDGE_CURVE('',#181044,#181043,#110264,.T.); #228807=EDGE_CURVE('',#181045,#181044,#71826,.T.); #228808=EDGE_CURVE('',#181046,#181045,#110265,.T.); #228809=EDGE_CURVE('',#181047,#181046,#71827,.T.); #228810=EDGE_CURVE('',#181048,#181047,#110266,.T.); #228811=EDGE_CURVE('',#181049,#181048,#110267,.T.); #228812=EDGE_CURVE('',#181050,#181049,#110268,.T.); #228813=EDGE_CURVE('',#181051,#181050,#71828,.T.); #228814=EDGE_CURVE('',#181041,#181051,#110269,.T.); #228815=EDGE_CURVE('',#181052,#181041,#110270,.T.); #228816=EDGE_CURVE('',#181051,#181053,#110271,.T.); #228817=EDGE_CURVE('',#181053,#181052,#110272,.T.); #228818=EDGE_CURVE('',#181050,#181054,#110273,.T.); #228819=EDGE_CURVE('',#181054,#181053,#71829,.T.); #228820=EDGE_CURVE('',#181049,#181055,#110274,.T.); #228821=EDGE_CURVE('',#181055,#181054,#110275,.T.); #228822=EDGE_CURVE('',#181048,#181056,#110276,.T.); #228823=EDGE_CURVE('',#181056,#181055,#110277,.T.); #228824=EDGE_CURVE('',#181047,#181057,#110278,.T.); #228825=EDGE_CURVE('',#181057,#181056,#110279,.T.); #228826=EDGE_CURVE('',#181046,#181058,#110280,.T.); #228827=EDGE_CURVE('',#181058,#181057,#71830,.T.); #228828=EDGE_CURVE('',#181045,#181059,#110281,.T.); #228829=EDGE_CURVE('',#181059,#181058,#110282,.T.); #228830=EDGE_CURVE('',#181044,#181060,#110283,.T.); #228831=EDGE_CURVE('',#181060,#181059,#71831,.T.); #228832=EDGE_CURVE('',#181043,#181061,#110284,.T.); #228833=EDGE_CURVE('',#181061,#181060,#110285,.T.); #228834=EDGE_CURVE('',#181042,#181062,#110286,.T.); #228835=EDGE_CURVE('',#181062,#181061,#110287,.T.); #228836=EDGE_CURVE('',#181040,#181063,#110288,.T.); #228837=EDGE_CURVE('',#181063,#181062,#110289,.T.); #228838=EDGE_CURVE('',#181052,#181063,#71832,.T.); #228839=EDGE_CURVE('',#181064,#181064,#71833,.T.); #228840=EDGE_CURVE('',#181064,#181065,#110290,.T.); #228841=EDGE_CURVE('',#181065,#181065,#71834,.T.); #228842=EDGE_CURVE('',#181066,#181067,#110291,.T.); #228843=EDGE_CURVE('',#181067,#181068,#110292,.T.); #228844=EDGE_CURVE('',#181068,#181069,#110293,.T.); #228845=EDGE_CURVE('',#181066,#181069,#110294,.T.); #228846=EDGE_CURVE('',#181067,#181070,#110295,.T.); #228847=EDGE_CURVE('',#181070,#181071,#110296,.T.); #228848=EDGE_CURVE('',#181071,#181068,#110297,.T.); #228849=EDGE_CURVE('',#181070,#181072,#110298,.T.); #228850=EDGE_CURVE('',#181072,#181073,#110299,.T.); #228851=EDGE_CURVE('',#181073,#181071,#110300,.T.); #228852=EDGE_CURVE('',#181069,#181073,#110301,.T.); #228853=EDGE_CURVE('',#181072,#181066,#110302,.T.); #228854=EDGE_CURVE('',#181074,#181069,#110303,.T.); #228855=EDGE_CURVE('',#181075,#181074,#110304,.T.); #228856=EDGE_CURVE('',#181075,#181073,#110305,.T.); #228857=EDGE_CURVE('',#181076,#181068,#110306,.T.); #228858=EDGE_CURVE('',#181074,#181076,#110307,.T.); #228859=EDGE_CURVE('',#181077,#181075,#110308,.T.); #228860=EDGE_CURVE('',#181075,#181078,#110309,.T.); #228861=EDGE_CURVE('',#181078,#181079,#110310,.T.); #228862=EDGE_CURVE('',#181079,#181077,#110311,.T.); #228863=EDGE_CURVE('',#181080,#181078,#110312,.T.); #228864=EDGE_CURVE('',#181080,#181074,#110313,.T.); #228865=EDGE_CURVE('',#181076,#181077,#110314,.T.); #228866=EDGE_CURVE('',#181079,#181081,#110315,.T.); #228867=EDGE_CURVE('',#181081,#181076,#110316,.T.); #228868=EDGE_CURVE('',#181081,#181080,#110317,.T.); #228869=EDGE_CURVE('',#181077,#181071,#110318,.T.); #228870=EDGE_CURVE('',#181082,#181083,#71835,.T.); #228871=EDGE_CURVE('',#181083,#181084,#110319,.T.); #228872=EDGE_CURVE('',#181084,#181085,#110320,.T.); #228873=EDGE_CURVE('',#181085,#181086,#110321,.T.); #228874=EDGE_CURVE('',#181086,#181087,#71836,.T.); #228875=EDGE_CURVE('',#181087,#181088,#110322,.T.); #228876=EDGE_CURVE('',#181088,#181089,#71837,.T.); #228877=EDGE_CURVE('',#181089,#181090,#110323,.T.); #228878=EDGE_CURVE('',#181090,#181091,#110324,.T.); #228879=EDGE_CURVE('',#181091,#181092,#110325,.T.); #228880=EDGE_CURVE('',#181092,#181093,#71838,.T.); #228881=EDGE_CURVE('',#181093,#181082,#110326,.T.); #228882=EDGE_CURVE('',#181094,#181083,#110327,.T.); #228883=EDGE_CURVE('',#181095,#181094,#110328,.T.); #228884=EDGE_CURVE('',#181095,#181084,#110329,.T.); #228885=EDGE_CURVE('',#181096,#181095,#110330,.T.); #228886=EDGE_CURVE('',#181096,#181085,#110331,.T.); #228887=EDGE_CURVE('',#181097,#181096,#110332,.T.); #228888=EDGE_CURVE('',#181097,#181086,#110333,.T.); #228889=EDGE_CURVE('',#181098,#181097,#71839,.T.); #228890=EDGE_CURVE('',#181098,#181087,#110334,.T.); #228891=EDGE_CURVE('',#181099,#181098,#110335,.T.); #228892=EDGE_CURVE('',#181099,#181088,#110336,.T.); #228893=EDGE_CURVE('',#181100,#181099,#71840,.T.); #228894=EDGE_CURVE('',#181100,#181089,#110337,.T.); #228895=EDGE_CURVE('',#181101,#181100,#110338,.T.); #228896=EDGE_CURVE('',#181101,#181090,#110339,.T.); #228897=EDGE_CURVE('',#181102,#181101,#110340,.T.); #228898=EDGE_CURVE('',#181102,#181091,#110341,.T.); #228899=EDGE_CURVE('',#181103,#181102,#110342,.T.); #228900=EDGE_CURVE('',#181103,#181092,#110343,.T.); #228901=EDGE_CURVE('',#181104,#181103,#71841,.T.); #228902=EDGE_CURVE('',#181104,#181093,#110344,.T.); #228903=EDGE_CURVE('',#181105,#181104,#110345,.T.); #228904=EDGE_CURVE('',#181082,#181105,#110346,.T.); #228905=EDGE_CURVE('',#181094,#181105,#71842,.T.); #228906=EDGE_CURVE('',#181106,#181107,#71843,.T.); #228907=EDGE_CURVE('',#181107,#181108,#110347,.T.); #228908=EDGE_CURVE('',#181108,#181109,#110348,.T.); #228909=EDGE_CURVE('',#181109,#181110,#110349,.T.); #228910=EDGE_CURVE('',#181110,#181111,#71844,.T.); #228911=EDGE_CURVE('',#181111,#181112,#110350,.T.); #228912=EDGE_CURVE('',#181112,#181113,#71845,.T.); #228913=EDGE_CURVE('',#181113,#181114,#110351,.T.); #228914=EDGE_CURVE('',#181114,#181115,#110352,.T.); #228915=EDGE_CURVE('',#181115,#181116,#110353,.T.); #228916=EDGE_CURVE('',#181116,#181117,#71846,.T.); #228917=EDGE_CURVE('',#181117,#181106,#110354,.T.); #228918=EDGE_CURVE('',#181118,#181107,#110355,.T.); #228919=EDGE_CURVE('',#181119,#181118,#110356,.T.); #228920=EDGE_CURVE('',#181119,#181108,#110357,.T.); #228921=EDGE_CURVE('',#181120,#181119,#110358,.T.); #228922=EDGE_CURVE('',#181120,#181109,#110359,.T.); #228923=EDGE_CURVE('',#181121,#181120,#110360,.T.); #228924=EDGE_CURVE('',#181121,#181110,#110361,.T.); #228925=EDGE_CURVE('',#181122,#181121,#71847,.T.); #228926=EDGE_CURVE('',#181122,#181111,#110362,.T.); #228927=EDGE_CURVE('',#181123,#181122,#110363,.T.); #228928=EDGE_CURVE('',#181123,#181112,#110364,.T.); #228929=EDGE_CURVE('',#181124,#181123,#71848,.T.); #228930=EDGE_CURVE('',#181124,#181113,#110365,.T.); #228931=EDGE_CURVE('',#181125,#181124,#110366,.T.); #228932=EDGE_CURVE('',#181125,#181114,#110367,.T.); #228933=EDGE_CURVE('',#181126,#181125,#110368,.T.); #228934=EDGE_CURVE('',#181126,#181115,#110369,.T.); #228935=EDGE_CURVE('',#181127,#181126,#110370,.T.); #228936=EDGE_CURVE('',#181127,#181116,#110371,.T.); #228937=EDGE_CURVE('',#181128,#181127,#71849,.T.); #228938=EDGE_CURVE('',#181128,#181117,#110372,.T.); #228939=EDGE_CURVE('',#181129,#181128,#110373,.T.); #228940=EDGE_CURVE('',#181106,#181129,#110374,.T.); #228941=EDGE_CURVE('',#181118,#181129,#71850,.T.); #228942=EDGE_CURVE('',#181130,#181131,#71851,.T.); #228943=EDGE_CURVE('',#181131,#181132,#110375,.T.); #228944=EDGE_CURVE('',#181132,#181133,#110376,.T.); #228945=EDGE_CURVE('',#181133,#181134,#110377,.T.); #228946=EDGE_CURVE('',#181134,#181135,#71852,.T.); #228947=EDGE_CURVE('',#181135,#181136,#110378,.T.); #228948=EDGE_CURVE('',#181136,#181137,#71853,.T.); #228949=EDGE_CURVE('',#181137,#181138,#110379,.T.); #228950=EDGE_CURVE('',#181138,#181139,#110380,.T.); #228951=EDGE_CURVE('',#181139,#181140,#110381,.T.); #228952=EDGE_CURVE('',#181140,#181141,#71854,.T.); #228953=EDGE_CURVE('',#181141,#181130,#110382,.T.); #228954=EDGE_CURVE('',#181142,#181131,#110383,.T.); #228955=EDGE_CURVE('',#181143,#181142,#110384,.T.); #228956=EDGE_CURVE('',#181143,#181132,#110385,.T.); #228957=EDGE_CURVE('',#181144,#181143,#110386,.T.); #228958=EDGE_CURVE('',#181144,#181133,#110387,.T.); #228959=EDGE_CURVE('',#181145,#181144,#110388,.T.); #228960=EDGE_CURVE('',#181145,#181134,#110389,.T.); #228961=EDGE_CURVE('',#181146,#181145,#71855,.T.); #228962=EDGE_CURVE('',#181146,#181135,#110390,.T.); #228963=EDGE_CURVE('',#181147,#181146,#110391,.T.); #228964=EDGE_CURVE('',#181147,#181136,#110392,.T.); #228965=EDGE_CURVE('',#181148,#181147,#71856,.T.); #228966=EDGE_CURVE('',#181148,#181137,#110393,.T.); #228967=EDGE_CURVE('',#181149,#181148,#110394,.T.); #228968=EDGE_CURVE('',#181149,#181138,#110395,.T.); #228969=EDGE_CURVE('',#181150,#181149,#110396,.T.); #228970=EDGE_CURVE('',#181150,#181139,#110397,.T.); #228971=EDGE_CURVE('',#181151,#181150,#110398,.T.); #228972=EDGE_CURVE('',#181151,#181140,#110399,.T.); #228973=EDGE_CURVE('',#181152,#181151,#71857,.T.); #228974=EDGE_CURVE('',#181152,#181141,#110400,.T.); #228975=EDGE_CURVE('',#181153,#181152,#110401,.T.); #228976=EDGE_CURVE('',#181130,#181153,#110402,.T.); #228977=EDGE_CURVE('',#181142,#181153,#71858,.T.); #228978=EDGE_CURVE('',#181154,#181155,#71859,.T.); #228979=EDGE_CURVE('',#181155,#181156,#110403,.T.); #228980=EDGE_CURVE('',#181156,#181157,#110404,.T.); #228981=EDGE_CURVE('',#181157,#181158,#110405,.T.); #228982=EDGE_CURVE('',#181158,#181159,#71860,.T.); #228983=EDGE_CURVE('',#181159,#181160,#110406,.T.); #228984=EDGE_CURVE('',#181160,#181161,#71861,.T.); #228985=EDGE_CURVE('',#181161,#181162,#110407,.T.); #228986=EDGE_CURVE('',#181162,#181163,#110408,.T.); #228987=EDGE_CURVE('',#181163,#181164,#110409,.T.); #228988=EDGE_CURVE('',#181164,#181165,#71862,.T.); #228989=EDGE_CURVE('',#181165,#181154,#110410,.T.); #228990=EDGE_CURVE('',#181166,#181155,#110411,.T.); #228991=EDGE_CURVE('',#181167,#181166,#110412,.T.); #228992=EDGE_CURVE('',#181167,#181156,#110413,.T.); #228993=EDGE_CURVE('',#181168,#181167,#110414,.T.); #228994=EDGE_CURVE('',#181168,#181157,#110415,.T.); #228995=EDGE_CURVE('',#181169,#181168,#110416,.T.); #228996=EDGE_CURVE('',#181169,#181158,#110417,.T.); #228997=EDGE_CURVE('',#181170,#181169,#71863,.T.); #228998=EDGE_CURVE('',#181170,#181159,#110418,.T.); #228999=EDGE_CURVE('',#181171,#181170,#110419,.T.); #229000=EDGE_CURVE('',#181171,#181160,#110420,.T.); #229001=EDGE_CURVE('',#181172,#181171,#71864,.T.); #229002=EDGE_CURVE('',#181172,#181161,#110421,.T.); #229003=EDGE_CURVE('',#181173,#181172,#110422,.T.); #229004=EDGE_CURVE('',#181173,#181162,#110423,.T.); #229005=EDGE_CURVE('',#181174,#181173,#110424,.T.); #229006=EDGE_CURVE('',#181174,#181163,#110425,.T.); #229007=EDGE_CURVE('',#181175,#181174,#110426,.T.); #229008=EDGE_CURVE('',#181175,#181164,#110427,.T.); #229009=EDGE_CURVE('',#181176,#181175,#71865,.T.); #229010=EDGE_CURVE('',#181176,#181165,#110428,.T.); #229011=EDGE_CURVE('',#181177,#181176,#110429,.T.); #229012=EDGE_CURVE('',#181154,#181177,#110430,.T.); #229013=EDGE_CURVE('',#181166,#181177,#71866,.T.); #229014=EDGE_CURVE('',#181178,#181179,#71867,.T.); #229015=EDGE_CURVE('',#181179,#181180,#110431,.T.); #229016=EDGE_CURVE('',#181180,#181181,#110432,.T.); #229017=EDGE_CURVE('',#181181,#181182,#110433,.T.); #229018=EDGE_CURVE('',#181182,#181183,#71868,.T.); #229019=EDGE_CURVE('',#181183,#181184,#110434,.T.); #229020=EDGE_CURVE('',#181184,#181185,#71869,.T.); #229021=EDGE_CURVE('',#181185,#181186,#110435,.T.); #229022=EDGE_CURVE('',#181186,#181187,#110436,.T.); #229023=EDGE_CURVE('',#181187,#181188,#110437,.T.); #229024=EDGE_CURVE('',#181188,#181189,#71870,.T.); #229025=EDGE_CURVE('',#181189,#181178,#110438,.T.); #229026=EDGE_CURVE('',#181190,#181179,#110439,.T.); #229027=EDGE_CURVE('',#181191,#181190,#110440,.T.); #229028=EDGE_CURVE('',#181191,#181180,#110441,.T.); #229029=EDGE_CURVE('',#181192,#181191,#110442,.T.); #229030=EDGE_CURVE('',#181192,#181181,#110443,.T.); #229031=EDGE_CURVE('',#181193,#181192,#110444,.T.); #229032=EDGE_CURVE('',#181193,#181182,#110445,.T.); #229033=EDGE_CURVE('',#181194,#181193,#71871,.T.); #229034=EDGE_CURVE('',#181194,#181183,#110446,.T.); #229035=EDGE_CURVE('',#181195,#181194,#110447,.T.); #229036=EDGE_CURVE('',#181195,#181184,#110448,.T.); #229037=EDGE_CURVE('',#181196,#181195,#71872,.T.); #229038=EDGE_CURVE('',#181196,#181185,#110449,.T.); #229039=EDGE_CURVE('',#181197,#181196,#110450,.T.); #229040=EDGE_CURVE('',#181197,#181186,#110451,.T.); #229041=EDGE_CURVE('',#181198,#181197,#110452,.T.); #229042=EDGE_CURVE('',#181198,#181187,#110453,.T.); #229043=EDGE_CURVE('',#181199,#181198,#110454,.T.); #229044=EDGE_CURVE('',#181199,#181188,#110455,.T.); #229045=EDGE_CURVE('',#181200,#181199,#71873,.T.); #229046=EDGE_CURVE('',#181200,#181189,#110456,.T.); #229047=EDGE_CURVE('',#181201,#181200,#110457,.T.); #229048=EDGE_CURVE('',#181178,#181201,#110458,.T.); #229049=EDGE_CURVE('',#181190,#181201,#71874,.T.); #229050=EDGE_CURVE('',#181202,#181203,#71875,.F.); #229051=EDGE_CURVE('',#181204,#181202,#71876,.F.); #229052=EDGE_CURVE('',#181203,#181204,#71877,.F.); #229053=EDGE_CURVE('',#181205,#181206,#71878,.F.); #229054=EDGE_CURVE('',#181207,#181205,#71879,.F.); #229055=EDGE_CURVE('',#181206,#181207,#71880,.F.); #229056=EDGE_CURVE('',#181208,#181209,#71881,.F.); #229057=EDGE_CURVE('',#181210,#181208,#71882,.F.); #229058=EDGE_CURVE('',#181209,#181210,#71883,.F.); #229059=EDGE_CURVE('',#181211,#181212,#71884,.F.); #229060=EDGE_CURVE('',#181213,#181211,#71885,.F.); #229061=EDGE_CURVE('',#181212,#181213,#71886,.F.); #229062=EDGE_CURVE('',#181214,#181215,#71887,.F.); #229063=EDGE_CURVE('',#181216,#181214,#71888,.F.); #229064=EDGE_CURVE('',#181215,#181216,#71889,.F.); #229065=EDGE_CURVE('',#181214,#181209,#110459,.T.); #229066=EDGE_CURVE('',#181210,#181216,#110460,.T.); #229067=EDGE_CURVE('',#181217,#181218,#71890,.F.); #229068=EDGE_CURVE('',#181219,#181217,#71891,.F.); #229069=EDGE_CURVE('',#181218,#181219,#71892,.F.); #229070=EDGE_CURVE('',#181220,#181221,#71893,.F.); #229071=EDGE_CURVE('',#181222,#181220,#71894,.F.); #229072=EDGE_CURVE('',#181221,#181222,#71895,.F.); #229073=EDGE_CURVE('',#181208,#181222,#110461,.T.); #229074=EDGE_CURVE('',#181220,#181210,#110462,.T.); #229075=EDGE_CURVE('',#181211,#181221,#110463,.T.); #229076=EDGE_CURVE('',#181222,#181213,#110464,.T.); #229077=EDGE_CURVE('',#181223,#181224,#71896,.F.); #229078=EDGE_CURVE('',#181225,#181223,#71897,.F.); #229079=EDGE_CURVE('',#181224,#181225,#71898,.F.); #229080=EDGE_CURVE('',#181216,#181225,#110465,.T.); #229081=EDGE_CURVE('',#181223,#181215,#110466,.T.); #229082=EDGE_CURVE('',#181221,#181224,#110467,.T.); #229083=EDGE_CURVE('',#181225,#181220,#110468,.T.); #229084=EDGE_CURVE('',#181217,#181223,#110469,.T.); #229085=EDGE_CURVE('',#181224,#181219,#110470,.T.); #229086=EDGE_CURVE('',#181212,#181218,#110471,.T.); #229087=EDGE_CURVE('',#181219,#181211,#110472,.T.); #229088=EDGE_CURVE('',#181218,#181206,#110473,.T.); #229089=EDGE_CURVE('',#181207,#181217,#110474,.T.); #229090=EDGE_CURVE('',#181205,#181214,#110475,.T.); #229091=EDGE_CURVE('',#181215,#181207,#110476,.T.); #229092=EDGE_CURVE('',#181203,#181212,#110477,.T.); #229093=EDGE_CURVE('',#181213,#181202,#110478,.T.); #229094=EDGE_CURVE('',#181202,#181208,#110479,.T.); #229095=EDGE_CURVE('',#181209,#181204,#110480,.T.); #229096=EDGE_CURVE('',#181206,#181203,#110481,.T.); #229097=EDGE_CURVE('',#181204,#181205,#110482,.T.); #229098=EDGE_CURVE('',#181226,#181227,#71899,.F.); #229099=EDGE_CURVE('',#181228,#181226,#71900,.F.); #229100=EDGE_CURVE('',#181227,#181228,#71901,.F.); #229101=EDGE_CURVE('',#181229,#181230,#71902,.F.); #229102=EDGE_CURVE('',#181231,#181229,#71903,.F.); #229103=EDGE_CURVE('',#181230,#181231,#71904,.F.); #229104=EDGE_CURVE('',#181232,#181233,#71905,.F.); #229105=EDGE_CURVE('',#181234,#181232,#71906,.F.); #229106=EDGE_CURVE('',#181233,#181234,#71907,.F.); #229107=EDGE_CURVE('',#181235,#181236,#71908,.F.); #229108=EDGE_CURVE('',#181237,#181235,#71909,.F.); #229109=EDGE_CURVE('',#181236,#181237,#71910,.F.); #229110=EDGE_CURVE('',#181238,#181239,#71911,.F.); #229111=EDGE_CURVE('',#181240,#181238,#71912,.F.); #229112=EDGE_CURVE('',#181239,#181240,#71913,.F.); #229113=EDGE_CURVE('',#181238,#181233,#110483,.T.); #229114=EDGE_CURVE('',#181234,#181240,#110484,.T.); #229115=EDGE_CURVE('',#181241,#181242,#71914,.F.); #229116=EDGE_CURVE('',#181243,#181241,#71915,.F.); #229117=EDGE_CURVE('',#181242,#181243,#71916,.F.); #229118=EDGE_CURVE('',#181244,#181245,#71917,.F.); #229119=EDGE_CURVE('',#181246,#181244,#71918,.F.); #229120=EDGE_CURVE('',#181245,#181246,#71919,.F.); #229121=EDGE_CURVE('',#181232,#181246,#110485,.T.); #229122=EDGE_CURVE('',#181244,#181234,#110486,.T.); #229123=EDGE_CURVE('',#181235,#181245,#110487,.T.); #229124=EDGE_CURVE('',#181246,#181237,#110488,.T.); #229125=EDGE_CURVE('',#181247,#181248,#71920,.F.); #229126=EDGE_CURVE('',#181249,#181247,#71921,.F.); #229127=EDGE_CURVE('',#181248,#181249,#71922,.F.); #229128=EDGE_CURVE('',#181247,#181239,#110489,.T.); #229129=EDGE_CURVE('',#181240,#181249,#110490,.T.); #229130=EDGE_CURVE('',#181245,#181248,#110491,.T.); #229131=EDGE_CURVE('',#181249,#181244,#110492,.T.); #229132=EDGE_CURVE('',#181241,#181247,#110493,.T.); #229133=EDGE_CURVE('',#181248,#181243,#110494,.T.); #229134=EDGE_CURVE('',#181236,#181242,#110495,.T.); #229135=EDGE_CURVE('',#181243,#181235,#110496,.T.); #229136=EDGE_CURVE('',#181242,#181230,#110497,.T.); #229137=EDGE_CURVE('',#181231,#181241,#110498,.T.); #229138=EDGE_CURVE('',#181229,#181238,#110499,.T.); #229139=EDGE_CURVE('',#181239,#181231,#110500,.T.); #229140=EDGE_CURVE('',#181227,#181236,#110501,.T.); #229141=EDGE_CURVE('',#181237,#181226,#110502,.T.); #229142=EDGE_CURVE('',#181226,#181232,#110503,.T.); #229143=EDGE_CURVE('',#181233,#181228,#110504,.T.); #229144=EDGE_CURVE('',#181230,#181227,#110505,.T.); #229145=EDGE_CURVE('',#181228,#181229,#110506,.T.); #229146=EDGE_CURVE('',#181250,#181251,#110507,.T.); #229147=EDGE_CURVE('',#181250,#181252,#110508,.T.); #229148=EDGE_CURVE('',#181253,#181252,#110509,.T.); #229149=EDGE_CURVE('',#181251,#181253,#110510,.T.); #229150=EDGE_CURVE('',#181254,#181251,#110511,.T.); #229151=EDGE_CURVE('',#181255,#181253,#110512,.T.); #229152=EDGE_CURVE('',#181254,#181255,#110513,.T.); #229153=EDGE_CURVE('',#181256,#181254,#110514,.T.); #229154=EDGE_CURVE('',#181257,#181255,#110515,.T.); #229155=EDGE_CURVE('',#181256,#181257,#110516,.T.); #229156=EDGE_CURVE('',#181250,#181256,#110517,.T.); #229157=EDGE_CURVE('',#181252,#181257,#110518,.T.); #229158=EDGE_CURVE('',#181258,#181258,#71923,.T.); #229159=EDGE_CURVE('',#181258,#181259,#110519,.T.); #229160=EDGE_CURVE('',#181259,#181259,#71924,.T.); #229161=EDGE_CURVE('',#181260,#181260,#71925,.T.); #229162=EDGE_CURVE('',#181260,#181261,#110520,.T.); #229163=EDGE_CURVE('',#181261,#181261,#71926,.T.); #229164=EDGE_CURVE('',#181262,#181263,#110521,.T.); #229165=EDGE_CURVE('',#181263,#181264,#110522,.T.); #229166=EDGE_CURVE('',#181265,#181264,#110523,.T.); #229167=EDGE_CURVE('',#181262,#181265,#110524,.T.); #229168=EDGE_CURVE('',#181266,#181262,#110525,.T.); #229169=EDGE_CURVE('',#181267,#181265,#110526,.T.); #229170=EDGE_CURVE('',#181266,#181267,#110527,.T.); #229171=EDGE_CURVE('',#181268,#181266,#110528,.T.); #229172=EDGE_CURVE('',#181269,#181267,#110529,.T.); #229173=EDGE_CURVE('',#181268,#181269,#110530,.T.); #229174=EDGE_CURVE('',#181270,#181268,#110531,.T.); #229175=EDGE_CURVE('',#181271,#181269,#110532,.T.); #229176=EDGE_CURVE('',#181270,#181271,#110533,.T.); #229177=EDGE_CURVE('',#181272,#181270,#110534,.T.); #229178=EDGE_CURVE('',#181273,#181271,#110535,.T.); #229179=EDGE_CURVE('',#181272,#181273,#110536,.T.); #229180=EDGE_CURVE('',#181274,#181272,#110537,.T.); #229181=EDGE_CURVE('',#181275,#181273,#110538,.T.); #229182=EDGE_CURVE('',#181274,#181275,#110539,.T.); #229183=EDGE_CURVE('',#181276,#181274,#110540,.T.); #229184=EDGE_CURVE('',#181277,#181275,#110541,.T.); #229185=EDGE_CURVE('',#181276,#181277,#110542,.T.); #229186=EDGE_CURVE('',#181278,#181276,#110543,.T.); #229187=EDGE_CURVE('',#181279,#181277,#110544,.T.); #229188=EDGE_CURVE('',#181278,#181279,#110545,.T.); #229189=EDGE_CURVE('',#181280,#181278,#110546,.T.); #229190=EDGE_CURVE('',#181281,#181279,#110547,.T.); #229191=EDGE_CURVE('',#181280,#181281,#110548,.T.); #229192=EDGE_CURVE('',#181282,#181280,#110549,.T.); #229193=EDGE_CURVE('',#181283,#181281,#110550,.T.); #229194=EDGE_CURVE('',#181282,#181283,#110551,.T.); #229195=EDGE_CURVE('',#181284,#181282,#110552,.T.); #229196=EDGE_CURVE('',#181285,#181283,#110553,.T.); #229197=EDGE_CURVE('',#181284,#181285,#110554,.T.); #229198=EDGE_CURVE('',#181263,#181284,#110555,.T.); #229199=EDGE_CURVE('',#181264,#181285,#110556,.T.); #229200=EDGE_CURVE('',#181286,#181286,#71927,.T.); #229201=EDGE_CURVE('',#181286,#181287,#110557,.T.); #229202=EDGE_CURVE('',#181287,#181287,#71928,.T.); #229203=EDGE_CURVE('',#181288,#181288,#71929,.T.); #229204=EDGE_CURVE('',#181288,#181289,#110558,.T.); #229205=EDGE_CURVE('',#181289,#181289,#71930,.T.); #229206=EDGE_CURVE('',#181290,#181291,#110559,.T.); #229207=EDGE_CURVE('',#181291,#181292,#110560,.T.); #229208=EDGE_CURVE('',#181293,#181292,#110561,.T.); #229209=EDGE_CURVE('',#181290,#181293,#110562,.T.); #229210=EDGE_CURVE('',#181294,#181290,#110563,.T.); #229211=EDGE_CURVE('',#181295,#181293,#110564,.T.); #229212=EDGE_CURVE('',#181294,#181295,#110565,.T.); #229213=EDGE_CURVE('',#181296,#181294,#110566,.T.); #229214=EDGE_CURVE('',#181297,#181295,#110567,.T.); #229215=EDGE_CURVE('',#181296,#181297,#110568,.T.); #229216=EDGE_CURVE('',#181298,#181296,#110569,.T.); #229217=EDGE_CURVE('',#181299,#181297,#110570,.T.); #229218=EDGE_CURVE('',#181298,#181299,#110571,.T.); #229219=EDGE_CURVE('',#181300,#181298,#110572,.T.); #229220=EDGE_CURVE('',#181301,#181299,#110573,.T.); #229221=EDGE_CURVE('',#181300,#181301,#110574,.T.); #229222=EDGE_CURVE('',#181302,#181300,#110575,.T.); #229223=EDGE_CURVE('',#181303,#181301,#110576,.T.); #229224=EDGE_CURVE('',#181302,#181303,#110577,.T.); #229225=EDGE_CURVE('',#181304,#181302,#110578,.T.); #229226=EDGE_CURVE('',#181305,#181303,#110579,.T.); #229227=EDGE_CURVE('',#181304,#181305,#110580,.T.); #229228=EDGE_CURVE('',#181306,#181304,#110581,.T.); #229229=EDGE_CURVE('',#181307,#181305,#110582,.T.); #229230=EDGE_CURVE('',#181306,#181307,#110583,.T.); #229231=EDGE_CURVE('',#181308,#181306,#110584,.T.); #229232=EDGE_CURVE('',#181309,#181307,#110585,.T.); #229233=EDGE_CURVE('',#181308,#181309,#110586,.T.); #229234=EDGE_CURVE('',#181310,#181308,#110587,.T.); #229235=EDGE_CURVE('',#181311,#181309,#110588,.T.); #229236=EDGE_CURVE('',#181310,#181311,#110589,.T.); #229237=EDGE_CURVE('',#181312,#181310,#110590,.T.); #229238=EDGE_CURVE('',#181313,#181311,#110591,.T.); #229239=EDGE_CURVE('',#181312,#181313,#110592,.T.); #229240=EDGE_CURVE('',#181291,#181312,#110593,.T.); #229241=EDGE_CURVE('',#181292,#181313,#110594,.T.); #229242=EDGE_CURVE('',#181314,#181314,#71931,.T.); #229243=EDGE_CURVE('',#181314,#181315,#110595,.T.); #229244=EDGE_CURVE('',#181315,#181315,#71932,.T.); #229245=EDGE_CURVE('',#181316,#181316,#71933,.T.); #229246=EDGE_CURVE('',#181316,#181317,#110596,.T.); #229247=EDGE_CURVE('',#181317,#181317,#71934,.T.); #229248=EDGE_CURVE('',#181318,#181319,#110597,.T.); #229249=EDGE_CURVE('',#181318,#181320,#110598,.T.); #229250=EDGE_CURVE('',#181321,#181320,#110599,.T.); #229251=EDGE_CURVE('',#181319,#181321,#110600,.T.); #229252=EDGE_CURVE('',#181319,#181322,#110601,.T.); #229253=EDGE_CURVE('',#181323,#181321,#110602,.T.); #229254=EDGE_CURVE('',#181322,#181323,#110603,.T.); #229255=EDGE_CURVE('',#181322,#181324,#110604,.T.); #229256=EDGE_CURVE('',#181325,#181323,#110605,.T.); #229257=EDGE_CURVE('',#181324,#181325,#110606,.T.); #229258=EDGE_CURVE('',#181324,#181326,#110607,.T.); #229259=EDGE_CURVE('',#181327,#181325,#110608,.T.); #229260=EDGE_CURVE('',#181326,#181327,#110609,.T.); #229261=EDGE_CURVE('',#181326,#181328,#110610,.T.); #229262=EDGE_CURVE('',#181329,#181327,#110611,.T.); #229263=EDGE_CURVE('',#181328,#181329,#110612,.T.); #229264=EDGE_CURVE('',#181328,#181330,#110613,.T.); #229265=EDGE_CURVE('',#181331,#181329,#110614,.T.); #229266=EDGE_CURVE('',#181330,#181331,#110615,.T.); #229267=EDGE_CURVE('',#181330,#181332,#110616,.T.); #229268=EDGE_CURVE('',#181333,#181331,#110617,.T.); #229269=EDGE_CURVE('',#181332,#181333,#110618,.T.); #229270=EDGE_CURVE('',#181332,#181334,#110619,.T.); #229271=EDGE_CURVE('',#181335,#181333,#110620,.T.); #229272=EDGE_CURVE('',#181334,#181335,#110621,.T.); #229273=EDGE_CURVE('',#181334,#181336,#110622,.T.); #229274=EDGE_CURVE('',#181337,#181335,#110623,.T.); #229275=EDGE_CURVE('',#181336,#181337,#110624,.T.); #229276=EDGE_CURVE('',#181336,#181338,#110625,.T.); #229277=EDGE_CURVE('',#181339,#181337,#110626,.T.); #229278=EDGE_CURVE('',#181338,#181339,#110627,.T.); #229279=EDGE_CURVE('',#181338,#181340,#110628,.T.); #229280=EDGE_CURVE('',#181341,#181339,#110629,.T.); #229281=EDGE_CURVE('',#181340,#181341,#110630,.T.); #229282=EDGE_CURVE('',#181340,#181318,#110631,.T.); #229283=EDGE_CURVE('',#181320,#181341,#110632,.T.); #229284=EDGE_CURVE('',#181342,#181343,#110633,.T.); #229285=EDGE_CURVE('',#181344,#181342,#110634,.T.); #229286=EDGE_CURVE('',#181345,#181344,#110635,.T.); #229287=EDGE_CURVE('',#181343,#181345,#110636,.T.); #229288=EDGE_CURVE('',#181346,#181342,#110637,.T.); #229289=EDGE_CURVE('',#181347,#181346,#110638,.T.); #229290=EDGE_CURVE('',#181347,#181344,#110639,.T.); #229291=EDGE_CURVE('',#181348,#181343,#110640,.T.); #229292=EDGE_CURVE('',#181346,#181348,#110641,.T.); #229293=EDGE_CURVE('',#181349,#181345,#110642,.T.); #229294=EDGE_CURVE('',#181348,#181349,#110643,.T.); #229295=EDGE_CURVE('',#181349,#181347,#110644,.T.); #229296=EDGE_CURVE('',#181350,#181350,#71935,.T.); #229297=EDGE_CURVE('',#181350,#181351,#110645,.T.); #229298=EDGE_CURVE('',#181351,#181351,#71936,.T.); #229299=EDGE_CURVE('',#181352,#181353,#71937,.T.); #229300=EDGE_CURVE('',#181354,#181353,#110646,.T.); #229301=EDGE_CURVE('',#181355,#181354,#110647,.F.); #229302=EDGE_CURVE('',#181352,#181355,#110648,.T.); #229303=EDGE_CURVE('',#181356,#181357,#71938,.T.); #229304=EDGE_CURVE('',#181357,#181350,#110649,.T.); #229305=EDGE_CURVE('',#181357,#181356,#71939,.T.); #229306=EDGE_CURVE('',#181358,#181355,#110650,.T.); #229307=EDGE_CURVE('',#181358,#181359,#110651,.T.); #229308=EDGE_CURVE('',#181352,#181359,#110652,.F.); #229309=EDGE_CURVE('',#181360,#181354,#110653,.F.); #229310=EDGE_CURVE('',#181360,#181358,#110654,.T.); #229311=EDGE_CURVE('',#181361,#181353,#110655,.F.); #229312=EDGE_CURVE('',#181360,#181361,#110656,.T.); #229313=EDGE_CURVE('',#181361,#181359,#71940,.F.); #229314=EDGE_CURVE('',#181362,#181362,#71941,.T.); #229315=EDGE_CURVE('',#181362,#181363,#110657,.T.); #229316=EDGE_CURVE('',#181363,#181363,#71942,.T.); #229317=EDGE_CURVE('',#181364,#181364,#71943,.T.); #229318=EDGE_CURVE('',#181363,#181365,#110658,.T.); #229319=EDGE_CURVE('',#181365,#181365,#71944,.T.); #229320=EDGE_CURVE('',#181366,#181367,#71945,.T.); #229321=EDGE_CURVE('',#181368,#181366,#110659,.T.); #229322=EDGE_CURVE('',#181369,#181368,#71946,.T.); #229323=EDGE_CURVE('',#181367,#181369,#110660,.T.); #229324=EDGE_CURVE('',#181370,#181371,#110661,.T.); #229325=EDGE_CURVE('',#181372,#181370,#71947,.T.); #229326=EDGE_CURVE('',#181372,#181373,#110662,.T.); #229327=EDGE_CURVE('',#181371,#181373,#71948,.T.); #229328=EDGE_CURVE('',#181374,#181375,#110663,.T.); #229329=EDGE_CURVE('',#181376,#181374,#71949,.T.); #229330=EDGE_CURVE('',#181377,#181376,#110664,.T.); #229331=EDGE_CURVE('',#181375,#181377,#71950,.T.); #229332=EDGE_CURVE('',#181364,#181378,#110665,.T.); #229333=EDGE_CURVE('',#181378,#181378,#71951,.T.); #229334=EDGE_CURVE('',#181378,#181379,#110666,.T.); #229335=EDGE_CURVE('',#181379,#181379,#71952,.T.); #229336=EDGE_CURVE('',#181379,#181356,#110667,.T.); #229337=EDGE_CURVE('',#181367,#181380,#8065,.T.); #229338=EDGE_CURVE('',#181369,#181381,#8066,.F.); #229339=EDGE_CURVE('',#181381,#181380,#110668,.T.); #229340=EDGE_CURVE('',#181377,#181382,#8067,.T.); #229341=EDGE_CURVE('',#181376,#181383,#8068,.F.); #229342=EDGE_CURVE('',#181383,#181382,#110669,.T.); #229343=EDGE_CURVE('',#181384,#181371,#8069,.T.); #229344=EDGE_CURVE('',#181384,#181385,#110670,.T.); #229345=EDGE_CURVE('',#181385,#181370,#8070,.F.); #229346=EDGE_CURVE('',#181386,#181366,#8071,.T.); #229347=EDGE_CURVE('',#181386,#181387,#110671,.T.); #229348=EDGE_CURVE('',#181387,#181368,#8072,.F.); #229349=EDGE_CURVE('',#181388,#181375,#8073,.T.); #229350=EDGE_CURVE('',#181388,#181389,#110672,.T.); #229351=EDGE_CURVE('',#181389,#181374,#8074,.F.); #229352=EDGE_CURVE('',#181373,#181390,#8075,.F.); #229353=EDGE_CURVE('',#181372,#181391,#8076,.T.); #229354=EDGE_CURVE('',#181391,#181390,#110673,.T.); #229355=EDGE_CURVE('',#181382,#181388,#71953,.T.); #229356=EDGE_CURVE('',#181389,#181383,#71954,.T.); #229357=EDGE_CURVE('',#181380,#181386,#71955,.T.); #229358=EDGE_CURVE('',#181387,#181381,#71956,.T.); #229359=EDGE_CURVE('',#181390,#181384,#71957,.T.); #229360=EDGE_CURVE('',#181385,#181391,#71958,.T.); #229361=EDGE_CURVE('',#181392,#181392,#71959,.T.); #229362=EDGE_CURVE('',#181392,#181365,#110674,.T.); #229363=EDGE_CURVE('',#181393,#181394,#110675,.T.); #229364=EDGE_CURVE('',#181394,#181395,#63641,.T.); #229365=EDGE_CURVE('',#181395,#181396,#110676,.T.); #229366=EDGE_CURVE('',#181393,#181396,#63642,.T.); #229367=EDGE_CURVE('',#181397,#181398,#71960,.T.); #229368=EDGE_CURVE('',#181395,#181398,#8077,.T.); #229369=EDGE_CURVE('',#181394,#181399,#71961,.T.); #229370=EDGE_CURVE('',#181399,#181400,#63643,.T.); #229371=EDGE_CURVE('',#181397,#181400,#8078,.T.); #229372=EDGE_CURVE('',#181401,#181400,#110677,.T.); #229373=EDGE_CURVE('',#181399,#181402,#110678,.T.); #229374=EDGE_CURVE('',#181402,#181401,#63644,.T.); #229375=EDGE_CURVE('',#181403,#181404,#71962,.T.); #229376=EDGE_CURVE('',#181401,#181404,#8079,.T.); #229377=EDGE_CURVE('',#181402,#181393,#71963,.T.); #229378=EDGE_CURVE('',#181403,#181396,#8080,.T.); #229379=EDGE_CURVE('',#181405,#181404,#110679,.T.); #229380=EDGE_CURVE('',#181406,#181405,#110680,.T.); #229381=EDGE_CURVE('',#181406,#181397,#110681,.T.); #229382=EDGE_CURVE('',#181407,#181403,#110682,.T.); #229383=EDGE_CURVE('',#181405,#181407,#71964,.T.); #229384=EDGE_CURVE('',#181408,#181406,#71965,.T.); #229385=EDGE_CURVE('',#181408,#181398,#110683,.T.); #229386=EDGE_CURVE('',#181407,#181408,#110684,.T.); #229387=EDGE_CURVE('',#181409,#181410,#71966,.T.); #229388=EDGE_CURVE('',#181409,#181411,#110685,.T.); #229389=EDGE_CURVE('',#181410,#181411,#110686,.T.); #229390=EDGE_CURVE('',#181412,#181413,#71967,.F.); #229391=EDGE_CURVE('',#181414,#181412,#110687,.F.); #229392=EDGE_CURVE('',#181415,#181414,#71968,.F.); #229393=EDGE_CURVE('',#181416,#181415,#110688,.T.); #229394=EDGE_CURVE('',#181417,#181416,#110689,.T.); #229395=EDGE_CURVE('',#181417,#181413,#110690,.F.); #229396=EDGE_CURVE('',#181418,#181419,#63645,.T.); #229397=EDGE_CURVE('',#181420,#181418,#110691,.T.); #229398=EDGE_CURVE('',#181419,#181420,#71969,.T.); #229399=EDGE_CURVE('',#181421,#181422,#63646,.T.); #229400=EDGE_CURVE('',#181423,#181421,#71970,.T.); #229401=EDGE_CURVE('',#181423,#181422,#110692,.T.); #229402=EDGE_CURVE('',#181424,#181425,#63647,.T.); #229403=EDGE_CURVE('',#181424,#181426,#110693,.T.); #229404=EDGE_CURVE('',#181426,#181425,#71971,.T.); #229405=EDGE_CURVE('',#181427,#181428,#63648,.T.); #229406=EDGE_CURVE('',#181427,#181429,#71972,.T.); #229407=EDGE_CURVE('',#181428,#181429,#110694,.T.); #229408=EDGE_CURVE('',#181430,#181431,#71973,.T.); #229409=EDGE_CURVE('',#181430,#181432,#110695,.T.); #229410=EDGE_CURVE('',#181432,#181431,#110696,.T.); #229411=EDGE_CURVE('',#181433,#181428,#110697,.T.); #229412=EDGE_CURVE('',#181434,#181433,#63649,.T.); #229413=EDGE_CURVE('',#181434,#181427,#110698,.T.); #229414=EDGE_CURVE('',#181409,#181435,#110699,.T.); #229415=EDGE_CURVE('',#181436,#181435,#71974,.T.); #229416=EDGE_CURVE('',#181431,#181436,#110700,.T.); #229417=EDGE_CURVE('',#181429,#181432,#110701,.T.); #229418=EDGE_CURVE('',#181437,#181434,#71975,.T.); #229419=EDGE_CURVE('',#181437,#181425,#110702,.T.); #229420=EDGE_CURVE('',#181411,#181426,#110703,.T.); #229421=EDGE_CURVE('',#181438,#181437,#63650,.T.); #229422=EDGE_CURVE('',#181438,#181424,#110704,.T.); #229423=EDGE_CURVE('',#181410,#181439,#110705,.T.); #229424=EDGE_CURVE('',#181435,#181439,#63651,.T.); #229425=EDGE_CURVE('',#181440,#181440,#71976,.T.); #229426=EDGE_CURVE('',#181441,#181417,#110706,.T.); #229427=EDGE_CURVE('',#181416,#181442,#110707,.T.); #229428=EDGE_CURVE('',#181442,#181441,#71977,.F.); #229429=EDGE_CURVE('',#181443,#181419,#110708,.T.); #229430=EDGE_CURVE('',#181444,#181443,#63652,.T.); #229431=EDGE_CURVE('',#181444,#181418,#110709,.T.); #229432=EDGE_CURVE('',#181413,#181445,#110710,.T.); #229433=EDGE_CURVE('',#181446,#181445,#63653,.T.); #229434=EDGE_CURVE('',#181412,#181446,#110711,.T.); #229435=EDGE_CURVE('',#181415,#181447,#110712,.T.); #229436=EDGE_CURVE('',#181439,#181447,#110713,.T.); #229437=EDGE_CURVE('',#181448,#181438,#110714,.T.); #229438=EDGE_CURVE('',#181448,#181422,#110715,.T.); #229439=EDGE_CURVE('',#181423,#181449,#110716,.T.); #229440=EDGE_CURVE('',#181442,#181449,#110717,.F.); #229441=EDGE_CURVE('',#181450,#181448,#63654,.T.); #229442=EDGE_CURVE('',#181421,#181450,#110718,.T.); #229443=EDGE_CURVE('',#181451,#181436,#63655,.T.); #229444=EDGE_CURVE('',#181430,#181451,#110719,.T.); #229445=EDGE_CURVE('',#181445,#181451,#110720,.T.); #229446=EDGE_CURVE('',#181452,#181441,#110721,.F.); #229447=EDGE_CURVE('',#181420,#181452,#110722,.T.); #229448=EDGE_CURVE('',#181433,#181444,#110723,.T.); #229449=EDGE_CURVE('',#181414,#181453,#110724,.T.); #229450=EDGE_CURVE('',#181447,#181453,#63656,.T.); #229451=EDGE_CURVE('',#181453,#181446,#71978,.T.); #229452=EDGE_CURVE('',#181454,#181455,#110725,.T.); #229453=EDGE_CURVE('',#181456,#181455,#71979,.T.); #229454=EDGE_CURVE('',#181456,#181457,#110726,.T.); #229455=EDGE_CURVE('',#181450,#181457,#71980,.T.); #229456=EDGE_CURVE('',#181458,#181443,#71981,.T.); #229457=EDGE_CURVE('',#181458,#181459,#110727,.T.); #229458=EDGE_CURVE('',#181459,#181460,#71982,.T.); #229459=EDGE_CURVE('',#181460,#181461,#110728,.T.); #229460=EDGE_CURVE('',#181457,#181461,#71983,.T.); #229461=EDGE_CURVE('',#181462,#181456,#71984,.T.); #229462=EDGE_CURVE('',#181462,#181463,#110729,.T.); #229463=EDGE_CURVE('',#181464,#181463,#3203,.F.); #229464=EDGE_CURVE('',#181464,#181465,#71985,.T.); #229465=EDGE_CURVE('',#181466,#181465,#3204,.F.); #229466=EDGE_CURVE('',#181466,#181467,#110730,.T.); #229467=EDGE_CURVE('',#181468,#181467,#71986,.T.); #229468=EDGE_CURVE('',#181468,#181469,#110731,.T.); #229469=EDGE_CURVE('',#181470,#181469,#3205,.F.); #229470=EDGE_CURVE('',#181470,#181471,#71987,.T.); #229471=EDGE_CURVE('',#181454,#181471,#3206,.F.); #229472=EDGE_CURVE('',#181452,#181472,#71988,.F.); #229473=EDGE_CURVE('',#181472,#181458,#110732,.T.); #229474=EDGE_CURVE('',#181459,#181473,#110733,.T.); #229475=EDGE_CURVE('',#181474,#181473,#71989,.F.); #229476=EDGE_CURVE('',#181460,#181474,#110734,.T.); #229477=EDGE_CURVE('',#181475,#181474,#110735,.F.); #229478=EDGE_CURVE('',#181476,#181475,#110736,.T.); #229479=EDGE_CURVE('',#181461,#181476,#110737,.T.); #229480=EDGE_CURVE('',#181477,#181475,#71990,.F.); #229481=EDGE_CURVE('',#181472,#181477,#110738,.T.); #229482=EDGE_CURVE('',#181472,#181476,#71991,.T.); #229483=EDGE_CURVE('',#181473,#181477,#110739,.F.); #229484=EDGE_CURVE('',#181478,#181478,#71992,.T.); #229485=EDGE_CURVE('',#181479,#181479,#71993,.T.); #229486=EDGE_CURVE('',#181480,#181480,#71994,.T.); #229487=EDGE_CURVE('',#181480,#181479,#110740,.T.); #229488=EDGE_CURVE('',#181481,#181481,#71995,.T.); #229489=EDGE_CURVE('',#181467,#181482,#110741,.T.); #229490=EDGE_CURVE('',#181483,#181482,#71996,.T.); #229491=EDGE_CURVE('',#181468,#181483,#110742,.T.); #229492=EDGE_CURVE('',#181484,#181462,#110743,.T.); #229493=EDGE_CURVE('',#181485,#181455,#110744,.T.); #229494=EDGE_CURVE('',#181486,#181485,#71997,.T.); #229495=EDGE_CURVE('',#181484,#181486,#71998,.T.); #229496=EDGE_CURVE('',#181478,#181486,#110745,.T.); #229497=EDGE_CURVE('',#181483,#181485,#71999,.T.); #229498=EDGE_CURVE('',#181484,#181482,#72000,.T.); #229499=EDGE_CURVE('',#181483,#181485,#110746,.T.); #229500=EDGE_CURVE('',#181482,#181484,#110747,.T.); #229501=EDGE_CURVE('',#181463,#181466,#110748,.T.); #229502=EDGE_CURVE('',#181465,#181464,#110749,.T.); #229503=EDGE_CURVE('',#181469,#181454,#110750,.T.); #229504=EDGE_CURVE('',#181471,#181470,#110751,.T.); #229505=EDGE_CURVE('',#181476,#181449,#72001,.F.); #229506=EDGE_CURVE('',#181481,#181440,#110752,.T.); #229507=EDGE_CURVE('',#181487,#181488,#110753,.T.); #229508=EDGE_CURVE('',#181488,#181489,#110754,.T.); #229509=EDGE_CURVE('',#181489,#181490,#110755,.T.); #229510=EDGE_CURVE('',#181490,#181487,#110756,.T.); #229511=EDGE_CURVE('',#181491,#181488,#72002,.T.); #229512=EDGE_CURVE('',#181492,#181491,#110757,.T.); #229513=EDGE_CURVE('',#181489,#181492,#72003,.T.); #229514=EDGE_CURVE('',#181493,#181494,#110758,.T.); #229515=EDGE_CURVE('',#181494,#181495,#110759,.T.); #229516=EDGE_CURVE('',#181495,#181496,#110760,.T.); #229517=EDGE_CURVE('',#181496,#181493,#110761,.T.); #229518=EDGE_CURVE('',#181497,#181494,#110762,.T.); #229519=EDGE_CURVE('',#181498,#181497,#110763,.T.); #229520=EDGE_CURVE('',#181495,#181498,#110764,.T.); #229521=EDGE_CURVE('',#181491,#181499,#110765,.T.); #229522=EDGE_CURVE('',#181499,#181500,#110766,.T.); #229523=EDGE_CURVE('',#181500,#181492,#110767,.T.); #229524=EDGE_CURVE('',#181500,#181490,#110768,.T.); #229525=EDGE_CURVE('',#181487,#181499,#110769,.T.); #229526=EDGE_CURVE('',#181497,#181501,#110770,.T.); #229527=EDGE_CURVE('',#181501,#181502,#110771,.T.); #229528=EDGE_CURVE('',#181502,#181498,#110772,.T.); #229529=EDGE_CURVE('',#181502,#181496,#72004,.T.); #229530=EDGE_CURVE('',#181493,#181501,#72005,.T.); #229531=EDGE_CURVE('',#181503,#181503,#72006,.T.); #229532=EDGE_CURVE('',#181503,#181504,#110773,.T.); #229533=EDGE_CURVE('',#181504,#181504,#72007,.T.); #229534=EDGE_CURVE('',#181505,#181506,#110774,.F.); #229535=EDGE_CURVE('',#181506,#181507,#72008,.F.); #229536=EDGE_CURVE('',#181507,#181508,#110775,.F.); #229537=EDGE_CURVE('',#181508,#181505,#72009,.F.); #229538=EDGE_CURVE('',#181509,#181509,#72010,.T.); #229539=EDGE_CURVE('',#181510,#181511,#72011,.T.); #229540=EDGE_CURVE('',#181511,#181510,#110776,.T.); #229541=EDGE_CURVE('',#181512,#181513,#72012,.T.); #229542=EDGE_CURVE('',#181513,#181512,#110777,.T.); #229543=EDGE_CURVE('',#181504,#181514,#110778,.T.); #229544=EDGE_CURVE('',#181514,#181514,#72013,.T.); #229545=EDGE_CURVE('',#181509,#181515,#110779,.T.); #229546=EDGE_CURVE('',#181515,#181515,#72014,.T.); #229547=EDGE_CURVE('',#181515,#181516,#110780,.T.); #229548=EDGE_CURVE('',#181516,#181516,#72015,.T.); #229549=EDGE_CURVE('',#181517,#181517,#72016,.T.); #229550=EDGE_CURVE('',#181517,#181518,#110781,.T.); #229551=EDGE_CURVE('',#181518,#181518,#72017,.T.); #229552=EDGE_CURVE('',#181519,#181520,#72018,.T.); #229553=EDGE_CURVE('',#181520,#181519,#72019,.T.); #229554=EDGE_CURVE('',#181521,#181521,#72020,.T.); #229555=EDGE_CURVE('',#181522,#181523,#110782,.T.); #229556=EDGE_CURVE('',#181523,#181522,#72021,.T.); #229557=EDGE_CURVE('',#181524,#181525,#110783,.T.); #229558=EDGE_CURVE('',#181525,#181524,#72022,.T.); #229559=EDGE_CURVE('',#181518,#181526,#110784,.T.); #229560=EDGE_CURVE('',#181526,#181526,#72023,.T.); #229561=EDGE_CURVE('',#181521,#181527,#110785,.T.); #229562=EDGE_CURVE('',#181527,#181527,#72024,.T.); #229563=EDGE_CURVE('',#181527,#181528,#110786,.T.); #229564=EDGE_CURVE('',#181528,#181528,#72025,.T.); #229565=EDGE_CURVE('',#181529,#181530,#110787,.T.); #229566=EDGE_CURVE('',#181530,#181524,#63657,.T.); #229567=EDGE_CURVE('',#181525,#181529,#63658,.T.); #229568=EDGE_CURVE('',#181530,#181529,#72026,.T.); #229569=EDGE_CURVE('',#181529,#181531,#110788,.T.); #229570=EDGE_CURVE('',#181532,#181531,#110789,.T.); #229571=EDGE_CURVE('',#181530,#181532,#110790,.T.); #229572=EDGE_CURVE('',#181513,#181531,#63659,.T.); #229573=EDGE_CURVE('',#181531,#181532,#72027,.T.); #229574=EDGE_CURVE('',#181512,#181532,#63660,.T.); #229575=EDGE_CURVE('',#181511,#181533,#63661,.T.); #229576=EDGE_CURVE('',#181533,#181534,#72028,.T.); #229577=EDGE_CURVE('',#181510,#181534,#63662,.T.); #229578=EDGE_CURVE('',#181534,#181533,#110791,.T.); #229579=EDGE_CURVE('',#181535,#181533,#110792,.T.); #229580=EDGE_CURVE('',#181536,#181535,#72029,.T.); #229581=EDGE_CURVE('',#181536,#181534,#110793,.T.); #229582=EDGE_CURVE('',#181535,#181536,#110794,.T.); #229583=EDGE_CURVE('',#181536,#181522,#63663,.T.); #229584=EDGE_CURVE('',#181523,#181535,#63664,.T.); #229585=EDGE_CURVE('',#181537,#181538,#110795,.T.); #229586=EDGE_CURVE('',#181538,#181539,#72030,.T.); #229587=EDGE_CURVE('',#181539,#181540,#110796,.T.); #229588=EDGE_CURVE('',#181540,#181537,#72031,.T.); #229589=EDGE_CURVE('',#181541,#181542,#72032,.T.); #229590=EDGE_CURVE('',#181542,#181537,#110797,.T.); #229591=EDGE_CURVE('',#181537,#181540,#72033,.T.); #229592=EDGE_CURVE('',#181540,#181541,#110798,.T.); #229593=EDGE_CURVE('',#181519,#181537,#110799,.T.); #229594=EDGE_CURVE('',#181520,#181540,#110800,.T.); #229595=EDGE_CURVE('',#181520,#181543,#110801,.T.); #229596=EDGE_CURVE('',#181543,#181539,#110802,.T.); #229597=EDGE_CURVE('',#181543,#181544,#72034,.T.); #229598=EDGE_CURVE('',#181544,#181538,#110803,.T.); #229599=EDGE_CURVE('',#181544,#181519,#110804,.T.); #229600=EDGE_CURVE('',#181545,#181520,#110805,.T.); #229601=EDGE_CURVE('',#181545,#181541,#110806,.T.); #229602=EDGE_CURVE('',#181520,#181540,#110807,.T.); #229603=EDGE_CURVE('',#181519,#181537,#110808,.T.); #229604=EDGE_CURVE('',#181519,#181546,#110809,.T.); #229605=EDGE_CURVE('',#181546,#181542,#110810,.T.); #229606=EDGE_CURVE('',#181546,#181545,#72035,.T.); #229607=EDGE_CURVE('',#181547,#181548,#110811,.T.); #229608=EDGE_CURVE('',#181548,#181549,#72036,.T.); #229609=EDGE_CURVE('',#181549,#181550,#110812,.T.); #229610=EDGE_CURVE('',#181550,#181547,#72037,.T.); #229611=EDGE_CURVE('',#181548,#181505,#110813,.T.); #229612=EDGE_CURVE('',#181549,#181508,#110814,.F.); #229613=EDGE_CURVE('',#181550,#181507,#110815,.T.); #229614=EDGE_CURVE('',#181547,#181506,#110816,.F.); #229615=EDGE_CURVE('',#181551,#181552,#72038,.T.); #229616=EDGE_CURVE('',#181553,#181551,#110817,.T.); #229617=EDGE_CURVE('',#181552,#181553,#110818,.T.); #229618=EDGE_CURVE('',#181554,#181555,#110819,.T.); #229619=EDGE_CURVE('',#181554,#181553,#110820,.T.); #229620=EDGE_CURVE('',#181551,#181556,#110821,.T.); #229621=EDGE_CURVE('',#181555,#181556,#110822,.T.); #229622=EDGE_CURVE('',#181557,#181551,#72039,.T.); #229623=EDGE_CURVE('',#181556,#181557,#110823,.T.); #229624=EDGE_CURVE('',#181558,#181557,#72040,.T.); #229625=EDGE_CURVE('',#181559,#181558,#110824,.T.); #229626=EDGE_CURVE('',#181557,#181559,#110825,.T.); #229627=EDGE_CURVE('',#181560,#181561,#110826,.T.); #229628=EDGE_CURVE('',#181560,#181559,#110827,.T.); #229629=EDGE_CURVE('',#181558,#181562,#110828,.T.); #229630=EDGE_CURVE('',#181561,#181562,#110829,.T.); #229631=EDGE_CURVE('',#181552,#181558,#72041,.T.); #229632=EDGE_CURVE('',#181562,#181552,#110830,.T.); #229633=EDGE_CURVE('',#181563,#181564,#110831,.T.); #229634=EDGE_CURVE('',#181565,#181563,#72042,.T.); #229635=EDGE_CURVE('',#181564,#181565,#110832,.T.); #229636=EDGE_CURVE('',#181565,#181566,#110833,.T.); #229637=EDGE_CURVE('',#181567,#181565,#72043,.T.); #229638=EDGE_CURVE('',#181566,#181567,#110834,.T.); #229639=EDGE_CURVE('',#181568,#181569,#110835,.T.); #229640=EDGE_CURVE('',#181568,#181566,#110836,.T.); #229641=EDGE_CURVE('',#181567,#181570,#110837,.T.); #229642=EDGE_CURVE('',#181569,#181570,#110838,.T.); #229643=EDGE_CURVE('',#181571,#181567,#72044,.T.); #229644=EDGE_CURVE('',#181570,#181571,#110839,.T.); #229645=EDGE_CURVE('',#181572,#181573,#110840,.T.); #229646=EDGE_CURVE('',#181572,#181574,#110841,.T.); #229647=EDGE_CURVE('',#181574,#181563,#110842,.T.); #229648=EDGE_CURVE('',#181573,#181564,#110843,.T.); #229649=EDGE_CURVE('',#181571,#181574,#110844,.T.); #229650=EDGE_CURVE('',#181563,#181571,#72045,.T.); #229651=EDGE_CURVE('',#181575,#181555,#110845,.T.); #229652=EDGE_CURVE('',#181576,#181575,#110846,.T.); #229653=EDGE_CURVE('',#181554,#181576,#110847,.T.); #229654=EDGE_CURVE('',#181577,#181573,#110848,.T.); #229655=EDGE_CURVE('',#181578,#181577,#110849,.T.); #229656=EDGE_CURVE('',#181572,#181578,#110850,.T.); #229657=EDGE_CURVE('',#181579,#181580,#110851,.T.); #229658=EDGE_CURVE('',#181579,#181575,#110852,.T.); #229659=EDGE_CURVE('',#181560,#181581,#110853,.T.); #229660=EDGE_CURVE('',#181580,#181581,#110854,.T.); #229661=EDGE_CURVE('',#181582,#181579,#110855,.T.); #229662=EDGE_CURVE('',#181582,#181576,#110856,.T.); #229663=EDGE_CURVE('',#181583,#181582,#110857,.T.); #229664=EDGE_CURVE('',#181583,#181584,#110858,.T.); #229665=EDGE_CURVE('',#181584,#181561,#110859,.T.); #229666=EDGE_CURVE('',#181580,#181583,#110860,.T.); #229667=EDGE_CURVE('',#181581,#181584,#110861,.T.); #229668=EDGE_CURVE('',#181585,#181586,#110862,.T.); #229669=EDGE_CURVE('',#181585,#181587,#110863,.T.); #229670=EDGE_CURVE('',#181587,#181588,#110864,.T.); #229671=EDGE_CURVE('',#181586,#181588,#110865,.T.); #229672=EDGE_CURVE('',#181588,#181569,#110866,.T.); #229673=EDGE_CURVE('',#181568,#181587,#110867,.T.); #229674=EDGE_CURVE('',#181589,#181585,#110868,.T.); #229675=EDGE_CURVE('',#181589,#181577,#110869,.T.); #229676=EDGE_CURVE('',#181590,#181589,#110870,.T.); #229677=EDGE_CURVE('',#181590,#181578,#110871,.T.); #229678=EDGE_CURVE('',#181586,#181590,#110872,.T.); #229679=EDGE_CURVE('',#181591,#181582,#110873,.T.); #229680=EDGE_CURVE('',#181591,#181592,#110874,.T.); #229681=EDGE_CURVE('',#181583,#181592,#110875,.T.); #229682=EDGE_CURVE('',#181593,#181590,#110876,.T.); #229683=EDGE_CURVE('',#181593,#181594,#110877,.T.); #229684=EDGE_CURVE('',#181586,#181594,#110878,.T.); #229685=EDGE_CURVE('',#181595,#181585,#110879,.T.); #229686=EDGE_CURVE('',#181595,#181596,#110880,.T.); #229687=EDGE_CURVE('',#181589,#181596,#110881,.T.); #229688=EDGE_CURVE('',#181597,#181591,#110882,.T.); #229689=EDGE_CURVE('',#181579,#181598,#110883,.T.); #229690=EDGE_CURVE('',#181598,#181599,#110884,.T.); #229691=EDGE_CURVE('',#181599,#181597,#110885,.T.); #229692=EDGE_CURVE('',#181600,#181580,#110886,.T.); #229693=EDGE_CURVE('',#181600,#181598,#110887,.T.); #229694=EDGE_CURVE('',#181601,#181600,#110888,.T.); #229695=EDGE_CURVE('',#181592,#181602,#110889,.T.); #229696=EDGE_CURVE('',#181602,#181601,#110890,.T.); #229697=EDGE_CURVE('',#181603,#181593,#110891,.T.); #229698=EDGE_CURVE('',#181596,#181604,#110892,.T.); #229699=EDGE_CURVE('',#181604,#181603,#110893,.T.); #229700=EDGE_CURVE('',#181605,#181595,#110894,.T.); #229701=EDGE_CURVE('',#181594,#181606,#110895,.T.); #229702=EDGE_CURVE('',#181606,#181605,#110896,.T.); #229703=EDGE_CURVE('',#181607,#181608,#110897,.T.); #229704=EDGE_CURVE('',#181608,#181609,#110898,.T.); #229705=EDGE_CURVE('',#181609,#181610,#110899,.T.); #229706=EDGE_CURVE('',#181610,#181607,#110900,.T.); #229707=EDGE_CURVE('',#181609,#181602,#110901,.T.); #229708=EDGE_CURVE('',#181608,#181601,#110902,.T.); #229709=EDGE_CURVE('',#181611,#181612,#110903,.T.); #229710=EDGE_CURVE('',#181612,#181613,#110904,.T.); #229711=EDGE_CURVE('',#181613,#181614,#110905,.T.); #229712=EDGE_CURVE('',#181614,#181611,#110906,.T.); #229713=EDGE_CURVE('',#181610,#181613,#110907,.T.); #229714=EDGE_CURVE('',#181612,#181615,#110908,.T.); #229715=EDGE_CURVE('',#181615,#181616,#72046,.T.); #229716=EDGE_CURVE('',#181616,#181607,#110909,.T.); #229717=EDGE_CURVE('',#181617,#181618,#110910,.T.); #229718=EDGE_CURVE('',#181618,#181619,#110911,.T.); #229719=EDGE_CURVE('',#181619,#181620,#110912,.T.); #229720=EDGE_CURVE('',#181620,#181617,#110913,.T.); #229721=EDGE_CURVE('',#181619,#181604,#110914,.T.); #229722=EDGE_CURVE('',#181618,#181603,#110915,.T.); #229723=EDGE_CURVE('',#181621,#181622,#110916,.T.); #229724=EDGE_CURVE('',#181622,#181623,#110917,.T.); #229725=EDGE_CURVE('',#181623,#181624,#110918,.T.); #229726=EDGE_CURVE('',#181624,#181621,#110919,.T.); #229727=EDGE_CURVE('',#181620,#181623,#110920,.T.); #229728=EDGE_CURVE('',#181622,#181625,#110921,.T.); #229729=EDGE_CURVE('',#181625,#181626,#72047,.T.); #229730=EDGE_CURVE('',#181626,#181627,#72048,.T.); #229731=EDGE_CURVE('',#181627,#181617,#110922,.T.); #229732=EDGE_CURVE('',#181628,#181628,#72049,.T.); #229733=EDGE_CURVE('',#181628,#181571,#110923,.T.); #229734=EDGE_CURVE('',#181629,#181629,#72050,.T.); #229735=EDGE_CURVE('',#181629,#181552,#110924,.T.); #229736=EDGE_CURVE('',#181621,#181606,#110925,.T.); #229737=EDGE_CURVE('',#181624,#181605,#110926,.T.); #229738=EDGE_CURVE('',#181625,#181630,#110927,.T.); #229739=EDGE_CURVE('',#181631,#181627,#110928,.T.); #229740=EDGE_CURVE('',#181630,#181631,#110929,.T.); #229741=EDGE_CURVE('',#181632,#181633,#110930,.T.); #229742=EDGE_CURVE('',#181634,#181632,#110931,.T.); #229743=EDGE_CURVE('',#181635,#181634,#110932,.T.); #229744=EDGE_CURVE('',#181636,#181635,#110933,.T.); #229745=EDGE_CURVE('',#181637,#181636,#110934,.T.); #229746=EDGE_CURVE('',#181637,#181638,#110935,.T.); #229747=EDGE_CURVE('',#181639,#181638,#110936,.T.); #229748=EDGE_CURVE('',#181633,#181639,#110937,.T.); #229749=EDGE_CURVE('',#181611,#181599,#110938,.T.); #229750=EDGE_CURVE('',#181614,#181597,#110939,.T.); #229751=EDGE_CURVE('',#181640,#181641,#110940,.T.); #229752=EDGE_CURVE('',#181642,#181640,#110941,.T.); #229753=EDGE_CURVE('',#181643,#181642,#110942,.T.); #229754=EDGE_CURVE('',#181644,#181643,#110943,.T.); #229755=EDGE_CURVE('',#181645,#181644,#110944,.T.); #229756=EDGE_CURVE('',#181645,#181646,#110945,.T.); #229757=EDGE_CURVE('',#181647,#181646,#110946,.T.); #229758=EDGE_CURVE('',#181641,#181647,#110947,.T.); #229759=EDGE_CURVE('',#181630,#181633,#72051,.T.); #229760=EDGE_CURVE('',#181632,#181631,#72052,.T.); #229761=EDGE_CURVE('',#181648,#181648,#72053,.T.); #229762=EDGE_CURVE('',#181636,#181645,#110948,.T.); #229763=EDGE_CURVE('',#181644,#181637,#110949,.T.); #229764=EDGE_CURVE('',#181615,#181649,#110950,.T.); #229765=EDGE_CURVE('',#181650,#181616,#110951,.T.); #229766=EDGE_CURVE('',#181649,#181650,#110952,.T.); #229767=EDGE_CURVE('',#181649,#181641,#72054,.T.); #229768=EDGE_CURVE('',#181640,#181650,#72055,.T.); #229769=EDGE_CURVE('',#181642,#181639,#72056,.T.); #229770=EDGE_CURVE('',#181634,#181647,#72057,.T.); #229771=EDGE_CURVE('',#181626,#181651,#110953,.T.); #229772=EDGE_CURVE('',#181651,#181652,#72058,.T.); #229773=EDGE_CURVE('',#181652,#181653,#72059,.T.); #229774=EDGE_CURVE('',#181653,#181651,#72060,.T.); #229775=EDGE_CURVE('',#181646,#181635,#110954,.T.); #229776=EDGE_CURVE('',#181638,#181643,#110955,.T.); #229777=EDGE_CURVE('',#181648,#181654,#110956,.T.); #229778=EDGE_CURVE('',#181654,#181654,#72061,.T.); #229779=EDGE_CURVE('',#181652,#181655,#110957,.T.); #229780=EDGE_CURVE('',#181656,#181655,#110958,.T.); #229781=EDGE_CURVE('',#181656,#181657,#110959,.T.); #229782=EDGE_CURVE('',#181658,#181657,#110960,.T.); #229783=EDGE_CURVE('',#181658,#181652,#110961,.T.); #229784=EDGE_CURVE('',#181657,#181659,#72062,.T.); #229785=EDGE_CURVE('',#181659,#181660,#110962,.T.); #229786=EDGE_CURVE('',#181660,#181658,#72063,.T.); #229787=EDGE_CURVE('',#181655,#181661,#72064,.T.); #229788=EDGE_CURVE('',#181661,#181662,#110963,.T.); #229789=EDGE_CURVE('',#181662,#181656,#72065,.T.); #229790=EDGE_CURVE('',#181661,#181653,#110964,.T.); #229791=EDGE_CURVE('',#181653,#181660,#110965,.T.); #229792=EDGE_CURVE('',#181659,#181662,#110966,.T.); #229793=EDGE_CURVE('',#181663,#181664,#110967,.T.); #229794=EDGE_CURVE('',#181664,#181665,#110968,.T.); #229795=EDGE_CURVE('',#181665,#181666,#110969,.T.); #229796=EDGE_CURVE('',#181666,#181663,#110970,.T.); #229797=EDGE_CURVE('',#181667,#181664,#72066,.T.); #229798=EDGE_CURVE('',#181668,#181667,#110971,.T.); #229799=EDGE_CURVE('',#181665,#181668,#72067,.T.); #229800=EDGE_CURVE('',#181669,#181670,#110972,.T.); #229801=EDGE_CURVE('',#181670,#181671,#110973,.T.); #229802=EDGE_CURVE('',#181671,#181672,#110974,.T.); #229803=EDGE_CURVE('',#181672,#181669,#110975,.T.); #229804=EDGE_CURVE('',#181673,#181670,#110976,.T.); #229805=EDGE_CURVE('',#181674,#181673,#110977,.T.); #229806=EDGE_CURVE('',#181671,#181674,#110978,.T.); #229807=EDGE_CURVE('',#181673,#181675,#110979,.T.); #229808=EDGE_CURVE('',#181675,#181676,#110980,.T.); #229809=EDGE_CURVE('',#181676,#181674,#110981,.T.); #229810=EDGE_CURVE('',#181676,#181672,#72068,.T.); #229811=EDGE_CURVE('',#181669,#181675,#72069,.T.); #229812=EDGE_CURVE('',#181667,#181677,#110982,.T.); #229813=EDGE_CURVE('',#181677,#181678,#110983,.T.); #229814=EDGE_CURVE('',#181678,#181668,#110984,.T.); #229815=EDGE_CURVE('',#181678,#181666,#110985,.T.); #229816=EDGE_CURVE('',#181663,#181677,#110986,.T.); #229817=EDGE_CURVE('',#181679,#181680,#110987,.T.); #229818=EDGE_CURVE('',#181679,#181681,#110988,.T.); #229819=EDGE_CURVE('',#181682,#181681,#110989,.T.); #229820=EDGE_CURVE('',#181680,#181682,#110990,.T.); #229821=EDGE_CURVE('',#181680,#181683,#110991,.T.); #229822=EDGE_CURVE('',#181684,#181682,#110992,.T.); #229823=EDGE_CURVE('',#181683,#181684,#110993,.T.); #229824=EDGE_CURVE('',#181683,#181685,#110994,.T.); #229825=EDGE_CURVE('',#181686,#181684,#110995,.T.); #229826=EDGE_CURVE('',#181685,#181686,#110996,.T.); #229827=EDGE_CURVE('',#181685,#181679,#110997,.T.); #229828=EDGE_CURVE('',#181681,#181686,#110998,.T.); #229829=EDGE_CURVE('',#181687,#181687,#72070,.T.); #229830=EDGE_CURVE('',#181687,#181688,#110999,.T.); #229831=EDGE_CURVE('',#181688,#181688,#72071,.T.); #229832=EDGE_CURVE('',#181689,#181690,#111000,.F.); #229833=EDGE_CURVE('',#181689,#181691,#111001,.T.); #229834=EDGE_CURVE('',#181692,#181691,#111002,.T.); #229835=EDGE_CURVE('',#181690,#181692,#111003,.T.); #229836=EDGE_CURVE('',#181690,#181693,#72072,.F.); #229837=EDGE_CURVE('',#181694,#181692,#72073,.T.); #229838=EDGE_CURVE('',#181693,#181694,#111004,.F.); #229839=EDGE_CURVE('',#181695,#181693,#111005,.T.); #229840=EDGE_CURVE('',#181696,#181694,#111006,.T.); #229841=EDGE_CURVE('',#181695,#181696,#111007,.F.); #229842=EDGE_CURVE('',#181695,#181689,#72074,.F.); #229843=EDGE_CURVE('',#181691,#181696,#72075,.T.); #229844=EDGE_CURVE('',#181697,#181698,#72076,.T.); #229845=EDGE_CURVE('',#181698,#181699,#63665,.T.); #229846=EDGE_CURVE('',#181700,#181699,#63666,.T.); #229847=EDGE_CURVE('',#181697,#181700,#63667,.T.); #229848=EDGE_CURVE('',#181701,#181702,#72077,.T.); #229849=EDGE_CURVE('',#181702,#181697,#72078,.T.); #229850=EDGE_CURVE('',#181703,#181700,#63668,.T.); #229851=EDGE_CURVE('',#181701,#181703,#63669,.T.); #229852=EDGE_CURVE('',#181704,#181701,#72079,.T.); #229853=EDGE_CURVE('',#181705,#181703,#63670,.T.); #229854=EDGE_CURVE('',#181704,#181705,#63671,.T.); #229855=EDGE_CURVE('',#181706,#181704,#72080,.T.); #229856=EDGE_CURVE('',#181707,#181705,#63672,.T.); #229857=EDGE_CURVE('',#181706,#181707,#63673,.T.); #229858=EDGE_CURVE('',#181708,#181706,#72081,.T.); #229859=EDGE_CURVE('',#181709,#181707,#63674,.T.); #229860=EDGE_CURVE('',#181708,#181709,#63675,.T.); #229861=EDGE_CURVE('',#181710,#181708,#72082,.T.); #229862=EDGE_CURVE('',#181711,#181709,#63676,.T.); #229863=EDGE_CURVE('',#181710,#181711,#63677,.T.); #229864=EDGE_CURVE('',#181712,#181710,#72083,.T.); #229865=EDGE_CURVE('',#181713,#181711,#63678,.T.); #229866=EDGE_CURVE('',#181712,#181713,#63679,.T.); #229867=EDGE_CURVE('',#181698,#181712,#72084,.T.); #229868=EDGE_CURVE('',#181699,#181713,#63680,.T.); #229869=EDGE_CURVE('',#181714,#181715,#111008,.T.); #229870=EDGE_CURVE('',#181715,#181716,#72085,.T.); #229871=EDGE_CURVE('',#181716,#181717,#111009,.T.); #229872=EDGE_CURVE('',#181717,#181718,#72086,.T.); #229873=EDGE_CURVE('',#181718,#181719,#111010,.T.); #229874=EDGE_CURVE('',#181719,#181720,#72087,.T.); #229875=EDGE_CURVE('',#181720,#181721,#111011,.T.); #229876=EDGE_CURVE('',#181721,#181714,#72088,.T.); #229877=EDGE_CURVE('',#181714,#181722,#111012,.T.); #229878=EDGE_CURVE('',#181722,#181723,#72089,.T.); #229879=EDGE_CURVE('',#181715,#181723,#111013,.T.); #229880=EDGE_CURVE('',#181723,#181724,#72090,.T.); #229881=EDGE_CURVE('',#181716,#181724,#111014,.T.); #229882=EDGE_CURVE('',#181724,#181725,#72091,.T.); #229883=EDGE_CURVE('',#181717,#181725,#111015,.T.); #229884=EDGE_CURVE('',#181725,#181726,#72092,.T.); #229885=EDGE_CURVE('',#181718,#181726,#111016,.T.); #229886=EDGE_CURVE('',#181726,#181727,#72093,.T.); #229887=EDGE_CURVE('',#181727,#181728,#72094,.T.); #229888=EDGE_CURVE('',#181719,#181728,#111017,.T.); #229889=EDGE_CURVE('',#181728,#181729,#72095,.T.); #229890=EDGE_CURVE('',#181720,#181729,#111018,.T.); #229891=EDGE_CURVE('',#181729,#181730,#72096,.T.); #229892=EDGE_CURVE('',#181721,#181730,#111019,.T.); #229893=EDGE_CURVE('',#181730,#181722,#72097,.T.); #229894=EDGE_CURVE('',#181731,#181731,#72098,.T.); #229895=EDGE_CURVE('',#181731,#181732,#111020,.T.); #229896=EDGE_CURVE('',#181732,#181732,#72099,.T.); #229897=EDGE_CURVE('',#181733,#181733,#72100,.T.); #229898=EDGE_CURVE('',#181733,#181731,#111021,.T.); #229899=EDGE_CURVE('',#181734,#181734,#72101,.T.); #229900=EDGE_CURVE('',#181727,#181735,#111022,.T.); #229901=EDGE_CURVE('',#181735,#181735,#72102,.T.); #229902=EDGE_CURVE('',#181702,#181734,#111023,.T.); #229903=EDGE_CURVE('',#181736,#181736,#72103,.T.); #229904=EDGE_CURVE('',#181736,#181737,#111024,.T.); #229905=EDGE_CURVE('',#181737,#181737,#72104,.T.); #229906=EDGE_CURVE('',#181738,#181738,#72105,.T.); #229907=EDGE_CURVE('',#181738,#181739,#111025,.T.); #229908=EDGE_CURVE('',#181739,#181739,#72106,.T.); #229909=EDGE_CURVE('',#181740,#181740,#72107,.T.); #229910=EDGE_CURVE('',#181740,#181741,#111026,.T.); #229911=EDGE_CURVE('',#181741,#181741,#72108,.T.); #229912=EDGE_CURVE('',#181742,#181742,#72109,.T.); #229913=EDGE_CURVE('',#181742,#181743,#111027,.T.); #229914=EDGE_CURVE('',#181743,#181743,#72110,.T.); #229915=ORIENTED_EDGE('',*,*,#181744,.F.); #229916=ORIENTED_EDGE('',*,*,#181745,.T.); #229917=ORIENTED_EDGE('',*,*,#181746,.F.); #229918=ORIENTED_EDGE('',*,*,#181747,.F.); #229919=ORIENTED_EDGE('',*,*,#181748,.F.); #229920=ORIENTED_EDGE('',*,*,#181749,.T.); #229921=ORIENTED_EDGE('',*,*,#181750,.F.); #229922=ORIENTED_EDGE('',*,*,#181751,.T.); #229923=ORIENTED_EDGE('',*,*,#181748,.T.); #229924=ORIENTED_EDGE('',*,*,#181752,.T.); #229925=ORIENTED_EDGE('',*,*,#181753,.T.); #229926=ORIENTED_EDGE('',*,*,#181754,.T.); #229927=ORIENTED_EDGE('',*,*,#181755,.T.); #229928=ORIENTED_EDGE('',*,*,#181756,.T.); #229929=ORIENTED_EDGE('',*,*,#181757,.T.); #229930=ORIENTED_EDGE('',*,*,#181758,.T.); #229931=ORIENTED_EDGE('',*,*,#181759,.T.); #229932=ORIENTED_EDGE('',*,*,#181760,.T.); #229933=ORIENTED_EDGE('',*,*,#181761,.T.); #229934=ORIENTED_EDGE('',*,*,#181762,.T.); #229935=ORIENTED_EDGE('',*,*,#181763,.T.); #229936=ORIENTED_EDGE('',*,*,#181749,.F.); #229937=ORIENTED_EDGE('',*,*,#181763,.F.); #229938=ORIENTED_EDGE('',*,*,#181764,.F.); #229939=ORIENTED_EDGE('',*,*,#181765,.T.); #229940=ORIENTED_EDGE('',*,*,#181766,.T.); #229941=ORIENTED_EDGE('',*,*,#181767,.T.); #229942=ORIENTED_EDGE('',*,*,#181768,.T.); #229943=ORIENTED_EDGE('',*,*,#181769,.T.); #229944=ORIENTED_EDGE('',*,*,#181770,.F.); #229945=ORIENTED_EDGE('',*,*,#181771,.T.); #229946=ORIENTED_EDGE('',*,*,#181772,.F.); #229947=ORIENTED_EDGE('',*,*,#181773,.T.); #229948=ORIENTED_EDGE('',*,*,#181774,.F.); #229949=ORIENTED_EDGE('',*,*,#181750,.T.); #229950=ORIENTED_EDGE('',*,*,#181765,.F.); #229951=ORIENTED_EDGE('',*,*,#181775,.T.); #229952=ORIENTED_EDGE('',*,*,#181776,.T.); #229953=ORIENTED_EDGE('',*,*,#181777,.T.); #229954=ORIENTED_EDGE('',*,*,#181778,.T.); #229955=ORIENTED_EDGE('',*,*,#181766,.F.); #229956=ORIENTED_EDGE('',*,*,#181779,.T.); #229957=ORIENTED_EDGE('',*,*,#181777,.F.); #229958=ORIENTED_EDGE('',*,*,#181780,.T.); #229959=ORIENTED_EDGE('',*,*,#181781,.T.); #229960=ORIENTED_EDGE('',*,*,#181782,.T.); #229961=ORIENTED_EDGE('',*,*,#181783,.T.); #229962=ORIENTED_EDGE('',*,*,#181784,.T.); #229963=ORIENTED_EDGE('',*,*,#181785,.T.); #229964=ORIENTED_EDGE('',*,*,#181786,.F.); #229965=ORIENTED_EDGE('',*,*,#181787,.T.); #229966=ORIENTED_EDGE('',*,*,#181788,.F.); #229967=ORIENTED_EDGE('',*,*,#181789,.T.); #229968=ORIENTED_EDGE('',*,*,#181790,.T.); #229969=ORIENTED_EDGE('',*,*,#181791,.T.); #229970=ORIENTED_EDGE('',*,*,#181792,.F.); #229971=ORIENTED_EDGE('',*,*,#181793,.F.); #229972=ORIENTED_EDGE('',*,*,#181794,.T.); #229973=ORIENTED_EDGE('',*,*,#181795,.F.); #229974=ORIENTED_EDGE('',*,*,#181796,.F.); #229975=ORIENTED_EDGE('',*,*,#181797,.F.); #229976=ORIENTED_EDGE('',*,*,#181798,.F.); #229977=ORIENTED_EDGE('',*,*,#181799,.F.); #229978=ORIENTED_EDGE('',*,*,#181800,.F.); #229979=ORIENTED_EDGE('',*,*,#181801,.F.); #229980=ORIENTED_EDGE('',*,*,#181802,.F.); #229981=ORIENTED_EDGE('',*,*,#181803,.F.); #229982=ORIENTED_EDGE('',*,*,#181804,.F.); #229983=ORIENTED_EDGE('',*,*,#181805,.F.); #229984=ORIENTED_EDGE('',*,*,#181806,.F.); #229985=ORIENTED_EDGE('',*,*,#181807,.F.); #229986=ORIENTED_EDGE('',*,*,#181808,.F.); #229987=ORIENTED_EDGE('',*,*,#181809,.F.); #229988=ORIENTED_EDGE('',*,*,#181810,.F.); #229989=ORIENTED_EDGE('',*,*,#181811,.F.); #229990=ORIENTED_EDGE('',*,*,#181812,.F.); #229991=ORIENTED_EDGE('',*,*,#181813,.F.); #229992=ORIENTED_EDGE('',*,*,#181814,.F.); #229993=ORIENTED_EDGE('',*,*,#181815,.F.); #229994=ORIENTED_EDGE('',*,*,#181816,.F.); #229995=ORIENTED_EDGE('',*,*,#181817,.F.); #229996=ORIENTED_EDGE('',*,*,#181818,.F.); #229997=ORIENTED_EDGE('',*,*,#181819,.F.); #229998=ORIENTED_EDGE('',*,*,#181820,.F.); #229999=ORIENTED_EDGE('',*,*,#181821,.F.); #230000=ORIENTED_EDGE('',*,*,#181822,.F.); #230001=ORIENTED_EDGE('',*,*,#181823,.F.); #230002=ORIENTED_EDGE('',*,*,#181824,.F.); #230003=ORIENTED_EDGE('',*,*,#181825,.F.); #230004=ORIENTED_EDGE('',*,*,#181826,.F.); #230005=ORIENTED_EDGE('',*,*,#181827,.F.); #230006=ORIENTED_EDGE('',*,*,#181828,.F.); #230007=ORIENTED_EDGE('',*,*,#181759,.F.); #230008=ORIENTED_EDGE('',*,*,#181829,.F.); #230009=ORIENTED_EDGE('',*,*,#181767,.F.); #230010=ORIENTED_EDGE('',*,*,#181778,.F.); #230011=ORIENTED_EDGE('',*,*,#181791,.F.); #230012=ORIENTED_EDGE('',*,*,#181830,.F.); #230013=ORIENTED_EDGE('',*,*,#181831,.F.); #230014=ORIENTED_EDGE('',*,*,#181832,.F.); #230015=ORIENTED_EDGE('',*,*,#181833,.F.); #230016=ORIENTED_EDGE('',*,*,#181834,.F.); #230017=ORIENTED_EDGE('',*,*,#181835,.F.); #230018=ORIENTED_EDGE('',*,*,#181836,.F.); #230019=ORIENTED_EDGE('',*,*,#181837,.F.); #230020=ORIENTED_EDGE('',*,*,#181838,.F.); #230021=ORIENTED_EDGE('',*,*,#181839,.F.); #230022=ORIENTED_EDGE('',*,*,#181840,.F.); #230023=ORIENTED_EDGE('',*,*,#181841,.F.); #230024=ORIENTED_EDGE('',*,*,#181842,.F.); #230025=ORIENTED_EDGE('',*,*,#181843,.F.); #230026=ORIENTED_EDGE('',*,*,#181844,.F.); #230027=ORIENTED_EDGE('',*,*,#181845,.F.); #230028=ORIENTED_EDGE('',*,*,#181846,.F.); #230029=ORIENTED_EDGE('',*,*,#181847,.F.); #230030=ORIENTED_EDGE('',*,*,#181848,.F.); #230031=ORIENTED_EDGE('',*,*,#181849,.F.); #230032=ORIENTED_EDGE('',*,*,#181850,.F.); #230033=ORIENTED_EDGE('',*,*,#181851,.F.); #230034=ORIENTED_EDGE('',*,*,#181852,.F.); #230035=ORIENTED_EDGE('',*,*,#181853,.F.); #230036=ORIENTED_EDGE('',*,*,#181854,.F.); #230037=ORIENTED_EDGE('',*,*,#181855,.F.); #230038=ORIENTED_EDGE('',*,*,#181856,.F.); #230039=ORIENTED_EDGE('',*,*,#181857,.F.); #230040=ORIENTED_EDGE('',*,*,#181858,.F.); #230041=ORIENTED_EDGE('',*,*,#181859,.F.); #230042=ORIENTED_EDGE('',*,*,#181860,.F.); #230043=ORIENTED_EDGE('',*,*,#181861,.F.); #230044=ORIENTED_EDGE('',*,*,#181862,.F.); #230045=ORIENTED_EDGE('',*,*,#181863,.F.); #230046=ORIENTED_EDGE('',*,*,#181864,.F.); #230047=ORIENTED_EDGE('',*,*,#181865,.F.); #230048=ORIENTED_EDGE('',*,*,#181866,.F.); #230049=ORIENTED_EDGE('',*,*,#181867,.F.); #230050=ORIENTED_EDGE('',*,*,#181868,.T.); #230051=ORIENTED_EDGE('',*,*,#181869,.T.); #230052=ORIENTED_EDGE('',*,*,#181792,.T.); #230053=ORIENTED_EDGE('',*,*,#181870,.T.); #230054=ORIENTED_EDGE('',*,*,#181871,.F.); #230055=ORIENTED_EDGE('',*,*,#181872,.F.); #230056=ORIENTED_EDGE('',*,*,#181868,.F.); #230057=ORIENTED_EDGE('',*,*,#181873,.F.); #230058=ORIENTED_EDGE('',*,*,#181874,.F.); #230059=ORIENTED_EDGE('',*,*,#181875,.F.); #230060=ORIENTED_EDGE('',*,*,#181876,.F.); #230061=ORIENTED_EDGE('',*,*,#181877,.F.); #230062=ORIENTED_EDGE('',*,*,#181878,.F.); #230063=ORIENTED_EDGE('',*,*,#181879,.F.); #230064=ORIENTED_EDGE('',*,*,#181880,.F.); #230065=ORIENTED_EDGE('',*,*,#181881,.F.); #230066=ORIENTED_EDGE('',*,*,#181882,.F.); #230067=ORIENTED_EDGE('',*,*,#181883,.F.); #230068=ORIENTED_EDGE('',*,*,#181884,.F.); #230069=ORIENTED_EDGE('',*,*,#181885,.F.); #230070=ORIENTED_EDGE('',*,*,#181886,.F.); #230071=ORIENTED_EDGE('',*,*,#181887,.F.); #230072=ORIENTED_EDGE('',*,*,#181888,.F.); #230073=ORIENTED_EDGE('',*,*,#181889,.F.); #230074=ORIENTED_EDGE('',*,*,#181890,.F.); #230075=ORIENTED_EDGE('',*,*,#181891,.F.); #230076=ORIENTED_EDGE('',*,*,#181892,.F.); #230077=ORIENTED_EDGE('',*,*,#181893,.F.); #230078=ORIENTED_EDGE('',*,*,#181894,.F.); #230079=ORIENTED_EDGE('',*,*,#181895,.F.); #230080=ORIENTED_EDGE('',*,*,#181896,.F.); #230081=ORIENTED_EDGE('',*,*,#181897,.F.); #230082=ORIENTED_EDGE('',*,*,#181898,.F.); #230083=ORIENTED_EDGE('',*,*,#181899,.F.); #230084=ORIENTED_EDGE('',*,*,#181900,.F.); #230085=ORIENTED_EDGE('',*,*,#181901,.F.); #230086=ORIENTED_EDGE('',*,*,#181902,.F.); #230087=ORIENTED_EDGE('',*,*,#181903,.F.); #230088=ORIENTED_EDGE('',*,*,#181904,.F.); #230089=ORIENTED_EDGE('',*,*,#181905,.F.); #230090=ORIENTED_EDGE('',*,*,#181906,.F.); #230091=ORIENTED_EDGE('',*,*,#181907,.F.); #230092=ORIENTED_EDGE('',*,*,#181908,.F.); #230093=ORIENTED_EDGE('',*,*,#181794,.F.); #230094=ORIENTED_EDGE('',*,*,#181909,.T.); #230095=ORIENTED_EDGE('',*,*,#181871,.T.); #230096=ORIENTED_EDGE('',*,*,#181910,.T.); #230097=ORIENTED_EDGE('',*,*,#181911,.F.); #230098=ORIENTED_EDGE('',*,*,#181795,.T.); #230099=ORIENTED_EDGE('',*,*,#181910,.F.); #230100=ORIENTED_EDGE('',*,*,#181888,.T.); #230101=ORIENTED_EDGE('',*,*,#181912,.T.); #230102=ORIENTED_EDGE('',*,*,#181796,.T.); #230103=ORIENTED_EDGE('',*,*,#181911,.T.); #230104=ORIENTED_EDGE('',*,*,#181887,.T.); #230105=ORIENTED_EDGE('',*,*,#181913,.F.); #230106=ORIENTED_EDGE('',*,*,#181797,.T.); #230107=ORIENTED_EDGE('',*,*,#181912,.F.); #230108=ORIENTED_EDGE('',*,*,#181886,.T.); #230109=ORIENTED_EDGE('',*,*,#181914,.T.); #230110=ORIENTED_EDGE('',*,*,#181798,.T.); #230111=ORIENTED_EDGE('',*,*,#181913,.T.); #230112=ORIENTED_EDGE('',*,*,#181885,.T.); #230113=ORIENTED_EDGE('',*,*,#181915,.F.); #230114=ORIENTED_EDGE('',*,*,#181799,.T.); #230115=ORIENTED_EDGE('',*,*,#181914,.F.); #230116=ORIENTED_EDGE('',*,*,#181884,.T.); #230117=ORIENTED_EDGE('',*,*,#181916,.T.); #230118=ORIENTED_EDGE('',*,*,#181800,.T.); #230119=ORIENTED_EDGE('',*,*,#181915,.T.); #230120=ORIENTED_EDGE('',*,*,#181883,.T.); #230121=ORIENTED_EDGE('',*,*,#181917,.F.); #230122=ORIENTED_EDGE('',*,*,#181801,.T.); #230123=ORIENTED_EDGE('',*,*,#181916,.F.); #230124=ORIENTED_EDGE('',*,*,#181882,.T.); #230125=ORIENTED_EDGE('',*,*,#181881,.T.); #230126=ORIENTED_EDGE('',*,*,#181918,.T.); #230127=ORIENTED_EDGE('',*,*,#181802,.T.); #230128=ORIENTED_EDGE('',*,*,#181917,.T.); #230129=ORIENTED_EDGE('',*,*,#181880,.T.); #230130=ORIENTED_EDGE('',*,*,#181919,.F.); #230131=ORIENTED_EDGE('',*,*,#181803,.T.); #230132=ORIENTED_EDGE('',*,*,#181918,.F.); #230133=ORIENTED_EDGE('',*,*,#181804,.T.); #230134=ORIENTED_EDGE('',*,*,#181919,.T.); #230135=ORIENTED_EDGE('',*,*,#181879,.T.); #230136=ORIENTED_EDGE('',*,*,#181920,.T.); #230137=ORIENTED_EDGE('',*,*,#181878,.T.); #230138=ORIENTED_EDGE('',*,*,#181921,.T.); #230139=ORIENTED_EDGE('',*,*,#181805,.T.); #230140=ORIENTED_EDGE('',*,*,#181920,.F.); #230141=ORIENTED_EDGE('',*,*,#181806,.T.); #230142=ORIENTED_EDGE('',*,*,#181921,.F.); #230143=ORIENTED_EDGE('',*,*,#181877,.T.); #230144=ORIENTED_EDGE('',*,*,#181922,.F.); #230145=ORIENTED_EDGE('',*,*,#181807,.T.); #230146=ORIENTED_EDGE('',*,*,#181922,.T.); #230147=ORIENTED_EDGE('',*,*,#181876,.T.); #230148=ORIENTED_EDGE('',*,*,#181923,.T.); #230149=ORIENTED_EDGE('',*,*,#181924,.T.); #230150=ORIENTED_EDGE('',*,*,#181925,.T.); #230151=ORIENTED_EDGE('',*,*,#181926,.T.); #230152=ORIENTED_EDGE('',*,*,#181927,.T.); #230153=ORIENTED_EDGE('',*,*,#181928,.T.); #230154=ORIENTED_EDGE('',*,*,#181929,.T.); #230155=ORIENTED_EDGE('',*,*,#181930,.T.); #230156=ORIENTED_EDGE('',*,*,#181931,.T.); #230157=ORIENTED_EDGE('',*,*,#181932,.T.); #230158=ORIENTED_EDGE('',*,*,#181933,.T.); #230159=ORIENTED_EDGE('',*,*,#181934,.T.); #230160=ORIENTED_EDGE('',*,*,#181935,.T.); #230161=ORIENTED_EDGE('',*,*,#181936,.F.); #230162=ORIENTED_EDGE('',*,*,#181937,.T.); #230163=ORIENTED_EDGE('',*,*,#181923,.F.); #230164=ORIENTED_EDGE('',*,*,#181875,.T.); #230165=ORIENTED_EDGE('',*,*,#181938,.T.); #230166=ORIENTED_EDGE('',*,*,#181861,.T.); #230167=ORIENTED_EDGE('',*,*,#181939,.F.); #230168=ORIENTED_EDGE('',*,*,#181940,.F.); #230169=ORIENTED_EDGE('',*,*,#181941,.T.); #230170=ORIENTED_EDGE('',*,*,#181924,.F.); #230171=ORIENTED_EDGE('',*,*,#181942,.T.); #230172=ORIENTED_EDGE('',*,*,#181940,.T.); #230173=ORIENTED_EDGE('',*,*,#181943,.T.); #230174=ORIENTED_EDGE('',*,*,#181857,.T.); #230175=ORIENTED_EDGE('',*,*,#181944,.F.); #230176=ORIENTED_EDGE('',*,*,#181945,.T.); #230177=ORIENTED_EDGE('',*,*,#181946,.T.); #230178=ORIENTED_EDGE('',*,*,#181947,.F.); #230179=ORIENTED_EDGE('',*,*,#181948,.T.); #230180=ORIENTED_EDGE('',*,*,#181949,.F.); #230181=ORIENTED_EDGE('',*,*,#181950,.T.); #230182=ORIENTED_EDGE('',*,*,#181951,.F.); #230183=ORIENTED_EDGE('',*,*,#181952,.T.); #230184=ORIENTED_EDGE('',*,*,#181953,.F.); #230185=ORIENTED_EDGE('',*,*,#181925,.F.); #230186=ORIENTED_EDGE('',*,*,#181941,.F.); #230187=ORIENTED_EDGE('',*,*,#181953,.T.); #230188=ORIENTED_EDGE('',*,*,#181954,.F.); #230189=ORIENTED_EDGE('',*,*,#181926,.F.); #230190=ORIENTED_EDGE('',*,*,#181954,.T.); #230191=ORIENTED_EDGE('',*,*,#181952,.F.); #230192=ORIENTED_EDGE('',*,*,#181955,.T.); #230193=ORIENTED_EDGE('',*,*,#181956,.F.); #230194=ORIENTED_EDGE('',*,*,#181927,.F.); #230195=ORIENTED_EDGE('',*,*,#181955,.F.); #230196=ORIENTED_EDGE('',*,*,#181951,.T.); #230197=ORIENTED_EDGE('',*,*,#181928,.F.); #230198=ORIENTED_EDGE('',*,*,#181956,.T.); #230199=ORIENTED_EDGE('',*,*,#181950,.F.); #230200=ORIENTED_EDGE('',*,*,#181957,.T.); #230201=ORIENTED_EDGE('',*,*,#181929,.F.); #230202=ORIENTED_EDGE('',*,*,#181957,.F.); #230203=ORIENTED_EDGE('',*,*,#181949,.T.); #230204=ORIENTED_EDGE('',*,*,#181958,.F.); #230205=ORIENTED_EDGE('',*,*,#181930,.F.); #230206=ORIENTED_EDGE('',*,*,#181958,.T.); #230207=ORIENTED_EDGE('',*,*,#181948,.F.); #230208=ORIENTED_EDGE('',*,*,#181959,.T.); #230209=ORIENTED_EDGE('',*,*,#181960,.F.); #230210=ORIENTED_EDGE('',*,*,#181931,.F.); #230211=ORIENTED_EDGE('',*,*,#181959,.F.); #230212=ORIENTED_EDGE('',*,*,#181947,.T.); #230213=ORIENTED_EDGE('',*,*,#181932,.F.); #230214=ORIENTED_EDGE('',*,*,#181960,.T.); #230215=ORIENTED_EDGE('',*,*,#181946,.F.); #230216=ORIENTED_EDGE('',*,*,#181961,.T.); #230217=ORIENTED_EDGE('',*,*,#181962,.F.); #230218=ORIENTED_EDGE('',*,*,#181963,.F.); #230219=ORIENTED_EDGE('',*,*,#181964,.F.); #230220=ORIENTED_EDGE('',*,*,#181965,.F.); #230221=ORIENTED_EDGE('',*,*,#181966,.F.); #230222=ORIENTED_EDGE('',*,*,#181933,.F.); #230223=ORIENTED_EDGE('',*,*,#181961,.F.); #230224=ORIENTED_EDGE('',*,*,#181945,.F.); #230225=ORIENTED_EDGE('',*,*,#181967,.F.); #230226=ORIENTED_EDGE('',*,*,#181968,.F.); #230227=ORIENTED_EDGE('',*,*,#181969,.F.); #230228=ORIENTED_EDGE('',*,*,#181970,.F.); #230229=ORIENTED_EDGE('',*,*,#181971,.F.); #230230=ORIENTED_EDGE('',*,*,#181972,.F.); #230231=ORIENTED_EDGE('',*,*,#181973,.F.); #230232=ORIENTED_EDGE('',*,*,#181974,.F.); #230233=ORIENTED_EDGE('',*,*,#181975,.F.); #230234=ORIENTED_EDGE('',*,*,#181976,.F.); #230235=ORIENTED_EDGE('',*,*,#181977,.F.); #230236=ORIENTED_EDGE('',*,*,#181978,.F.); #230237=ORIENTED_EDGE('',*,*,#181979,.F.); #230238=ORIENTED_EDGE('',*,*,#181980,.F.); #230239=ORIENTED_EDGE('',*,*,#181981,.F.); #230240=ORIENTED_EDGE('',*,*,#181982,.F.); #230241=ORIENTED_EDGE('',*,*,#181983,.F.); #230242=ORIENTED_EDGE('',*,*,#181984,.F.); #230243=ORIENTED_EDGE('',*,*,#181985,.F.); #230244=ORIENTED_EDGE('',*,*,#181986,.F.); #230245=ORIENTED_EDGE('',*,*,#181987,.F.); #230246=ORIENTED_EDGE('',*,*,#181988,.F.); #230247=ORIENTED_EDGE('',*,*,#181989,.F.); #230248=ORIENTED_EDGE('',*,*,#181780,.F.); #230249=ORIENTED_EDGE('',*,*,#181779,.F.); #230250=ORIENTED_EDGE('',*,*,#181776,.F.); #230251=ORIENTED_EDGE('',*,*,#181990,.F.); #230252=ORIENTED_EDGE('',*,*,#181761,.F.); #230253=ORIENTED_EDGE('',*,*,#181991,.F.); #230254=ORIENTED_EDGE('',*,*,#181992,.F.); #230255=ORIENTED_EDGE('',*,*,#181993,.F.); #230256=ORIENTED_EDGE('',*,*,#181994,.F.); #230257=ORIENTED_EDGE('',*,*,#181995,.F.); #230258=ORIENTED_EDGE('',*,*,#181996,.F.); #230259=ORIENTED_EDGE('',*,*,#181997,.F.); #230260=ORIENTED_EDGE('',*,*,#181998,.F.); #230261=ORIENTED_EDGE('',*,*,#181999,.F.); #230262=ORIENTED_EDGE('',*,*,#182000,.F.); #230263=ORIENTED_EDGE('',*,*,#182001,.F.); #230264=ORIENTED_EDGE('',*,*,#182002,.F.); #230265=ORIENTED_EDGE('',*,*,#182003,.F.); #230266=ORIENTED_EDGE('',*,*,#182004,.F.); #230267=ORIENTED_EDGE('',*,*,#182005,.F.); #230268=ORIENTED_EDGE('',*,*,#182006,.F.); #230269=ORIENTED_EDGE('',*,*,#182007,.F.); #230270=ORIENTED_EDGE('',*,*,#182008,.F.); #230271=ORIENTED_EDGE('',*,*,#182009,.F.); #230272=ORIENTED_EDGE('',*,*,#182010,.F.); #230273=ORIENTED_EDGE('',*,*,#182011,.F.); #230274=ORIENTED_EDGE('',*,*,#182012,.F.); #230275=ORIENTED_EDGE('',*,*,#182013,.F.); #230276=ORIENTED_EDGE('',*,*,#182014,.F.); #230277=ORIENTED_EDGE('',*,*,#182015,.F.); #230278=ORIENTED_EDGE('',*,*,#182016,.F.); #230279=ORIENTED_EDGE('',*,*,#182017,.F.); #230280=ORIENTED_EDGE('',*,*,#182018,.F.); #230281=ORIENTED_EDGE('',*,*,#182019,.F.); #230282=ORIENTED_EDGE('',*,*,#182020,.F.); #230283=ORIENTED_EDGE('',*,*,#182021,.F.); #230284=ORIENTED_EDGE('',*,*,#182022,.F.); #230285=ORIENTED_EDGE('',*,*,#182023,.F.); #230286=ORIENTED_EDGE('',*,*,#182024,.F.); #230287=ORIENTED_EDGE('',*,*,#182025,.F.); #230288=ORIENTED_EDGE('',*,*,#182026,.T.); #230289=ORIENTED_EDGE('',*,*,#181962,.T.); #230290=ORIENTED_EDGE('',*,*,#182027,.T.); #230291=ORIENTED_EDGE('',*,*,#182028,.F.); #230292=ORIENTED_EDGE('',*,*,#182029,.F.); #230293=ORIENTED_EDGE('',*,*,#182025,.T.); #230294=ORIENTED_EDGE('',*,*,#182030,.F.); #230295=ORIENTED_EDGE('',*,*,#182031,.F.); #230296=ORIENTED_EDGE('',*,*,#182032,.F.); #230297=ORIENTED_EDGE('',*,*,#182033,.F.); #230298=ORIENTED_EDGE('',*,*,#182034,.F.); #230299=ORIENTED_EDGE('',*,*,#182035,.F.); #230300=ORIENTED_EDGE('',*,*,#182036,.F.); #230301=ORIENTED_EDGE('',*,*,#182037,.F.); #230302=ORIENTED_EDGE('',*,*,#182038,.F.); #230303=ORIENTED_EDGE('',*,*,#182039,.F.); #230304=ORIENTED_EDGE('',*,*,#182040,.F.); #230305=ORIENTED_EDGE('',*,*,#182041,.F.); #230306=ORIENTED_EDGE('',*,*,#182042,.F.); #230307=ORIENTED_EDGE('',*,*,#181935,.F.); #230308=ORIENTED_EDGE('',*,*,#182043,.F.); #230309=ORIENTED_EDGE('',*,*,#182044,.F.); #230310=ORIENTED_EDGE('',*,*,#182045,.F.); #230311=ORIENTED_EDGE('',*,*,#182046,.F.); #230312=ORIENTED_EDGE('',*,*,#182047,.F.); #230313=ORIENTED_EDGE('',*,*,#182048,.F.); #230314=ORIENTED_EDGE('',*,*,#182049,.F.); #230315=ORIENTED_EDGE('',*,*,#182050,.F.); #230316=ORIENTED_EDGE('',*,*,#182051,.F.); #230317=ORIENTED_EDGE('',*,*,#182052,.F.); #230318=ORIENTED_EDGE('',*,*,#182053,.F.); #230319=ORIENTED_EDGE('',*,*,#182054,.F.); #230320=ORIENTED_EDGE('',*,*,#182055,.F.); #230321=ORIENTED_EDGE('',*,*,#182056,.F.); #230322=ORIENTED_EDGE('',*,*,#182057,.F.); #230323=ORIENTED_EDGE('',*,*,#182058,.F.); #230324=ORIENTED_EDGE('',*,*,#182059,.F.); #230325=ORIENTED_EDGE('',*,*,#182060,.F.); #230326=ORIENTED_EDGE('',*,*,#182061,.F.); #230327=ORIENTED_EDGE('',*,*,#182062,.F.); #230328=ORIENTED_EDGE('',*,*,#182063,.F.); #230329=ORIENTED_EDGE('',*,*,#182064,.F.); #230330=ORIENTED_EDGE('',*,*,#181964,.T.); #230331=ORIENTED_EDGE('',*,*,#182065,.T.); #230332=ORIENTED_EDGE('',*,*,#182028,.T.); #230333=ORIENTED_EDGE('',*,*,#182066,.T.); #230334=ORIENTED_EDGE('',*,*,#182044,.T.); #230335=ORIENTED_EDGE('',*,*,#182067,.F.); #230336=ORIENTED_EDGE('',*,*,#181965,.T.); #230337=ORIENTED_EDGE('',*,*,#182066,.F.); #230338=ORIENTED_EDGE('',*,*,#182043,.T.); #230339=ORIENTED_EDGE('',*,*,#181934,.F.); #230340=ORIENTED_EDGE('',*,*,#181966,.T.); #230341=ORIENTED_EDGE('',*,*,#182067,.T.); #230342=ORIENTED_EDGE('',*,*,#182029,.T.); #230343=ORIENTED_EDGE('',*,*,#182065,.F.); #230344=ORIENTED_EDGE('',*,*,#181963,.T.); #230345=ORIENTED_EDGE('',*,*,#182026,.F.); #230346=ORIENTED_EDGE('',*,*,#182042,.T.); #230347=ORIENTED_EDGE('',*,*,#182068,.T.); #230348=ORIENTED_EDGE('',*,*,#182008,.T.); #230349=ORIENTED_EDGE('',*,*,#181936,.T.); #230350=ORIENTED_EDGE('',*,*,#182041,.T.); #230351=ORIENTED_EDGE('',*,*,#182069,.F.); #230352=ORIENTED_EDGE('',*,*,#182009,.T.); #230353=ORIENTED_EDGE('',*,*,#182068,.F.); #230354=ORIENTED_EDGE('',*,*,#182010,.T.); #230355=ORIENTED_EDGE('',*,*,#182069,.T.); #230356=ORIENTED_EDGE('',*,*,#182040,.T.); #230357=ORIENTED_EDGE('',*,*,#182070,.F.); #230358=ORIENTED_EDGE('',*,*,#182070,.T.); #230359=ORIENTED_EDGE('',*,*,#182039,.T.); #230360=ORIENTED_EDGE('',*,*,#182071,.T.); #230361=ORIENTED_EDGE('',*,*,#182011,.T.); #230362=ORIENTED_EDGE('',*,*,#182012,.T.); #230363=ORIENTED_EDGE('',*,*,#182071,.F.); #230364=ORIENTED_EDGE('',*,*,#182038,.T.); #230365=ORIENTED_EDGE('',*,*,#182072,.F.); #230366=ORIENTED_EDGE('',*,*,#182013,.T.); #230367=ORIENTED_EDGE('',*,*,#182072,.T.); #230368=ORIENTED_EDGE('',*,*,#182037,.T.); #230369=ORIENTED_EDGE('',*,*,#182073,.T.); #230370=ORIENTED_EDGE('',*,*,#182014,.T.); #230371=ORIENTED_EDGE('',*,*,#182073,.F.); #230372=ORIENTED_EDGE('',*,*,#182036,.T.); #230373=ORIENTED_EDGE('',*,*,#182074,.F.); #230374=ORIENTED_EDGE('',*,*,#182015,.T.); #230375=ORIENTED_EDGE('',*,*,#182074,.T.); #230376=ORIENTED_EDGE('',*,*,#182035,.T.); #230377=ORIENTED_EDGE('',*,*,#182075,.T.); #230378=ORIENTED_EDGE('',*,*,#182016,.T.); #230379=ORIENTED_EDGE('',*,*,#182075,.F.); #230380=ORIENTED_EDGE('',*,*,#182034,.T.); #230381=ORIENTED_EDGE('',*,*,#182076,.F.); #230382=ORIENTED_EDGE('',*,*,#182017,.T.); #230383=ORIENTED_EDGE('',*,*,#182076,.T.); #230384=ORIENTED_EDGE('',*,*,#182033,.T.); #230385=ORIENTED_EDGE('',*,*,#182077,.T.); #230386=ORIENTED_EDGE('',*,*,#182018,.T.); #230387=ORIENTED_EDGE('',*,*,#182077,.F.); #230388=ORIENTED_EDGE('',*,*,#182032,.T.); #230389=ORIENTED_EDGE('',*,*,#182078,.F.); #230390=ORIENTED_EDGE('',*,*,#182019,.T.); #230391=ORIENTED_EDGE('',*,*,#182078,.T.); #230392=ORIENTED_EDGE('',*,*,#182031,.T.); #230393=ORIENTED_EDGE('',*,*,#182079,.T.); #230394=ORIENTED_EDGE('',*,*,#182020,.T.); #230395=ORIENTED_EDGE('',*,*,#182079,.F.); #230396=ORIENTED_EDGE('',*,*,#182030,.T.); #230397=ORIENTED_EDGE('',*,*,#182027,.F.); #230398=ORIENTED_EDGE('',*,*,#182080,.F.); #230399=ORIENTED_EDGE('',*,*,#182045,.T.); #230400=ORIENTED_EDGE('',*,*,#182081,.F.); #230401=ORIENTED_EDGE('',*,*,#182082,.F.); #230402=ORIENTED_EDGE('',*,*,#182083,.F.); #230403=ORIENTED_EDGE('',*,*,#182047,.T.); #230404=ORIENTED_EDGE('',*,*,#182046,.T.); #230405=ORIENTED_EDGE('',*,*,#182080,.T.); #230406=ORIENTED_EDGE('',*,*,#182048,.T.); #230407=ORIENTED_EDGE('',*,*,#182083,.T.); #230408=ORIENTED_EDGE('',*,*,#182084,.T.); #230409=ORIENTED_EDGE('',*,*,#182085,.F.); #230410=ORIENTED_EDGE('',*,*,#182049,.T.); #230411=ORIENTED_EDGE('',*,*,#182084,.F.); #230412=ORIENTED_EDGE('',*,*,#182086,.F.); #230413=ORIENTED_EDGE('',*,*,#182087,.F.); #230414=ORIENTED_EDGE('',*,*,#182051,.T.); #230415=ORIENTED_EDGE('',*,*,#182050,.T.); #230416=ORIENTED_EDGE('',*,*,#182085,.T.); #230417=ORIENTED_EDGE('',*,*,#182052,.T.); #230418=ORIENTED_EDGE('',*,*,#182087,.T.); #230419=ORIENTED_EDGE('',*,*,#182088,.T.); #230420=ORIENTED_EDGE('',*,*,#182089,.F.); #230421=ORIENTED_EDGE('',*,*,#182053,.T.); #230422=ORIENTED_EDGE('',*,*,#182088,.F.); #230423=ORIENTED_EDGE('',*,*,#182090,.F.); #230424=ORIENTED_EDGE('',*,*,#182091,.F.); #230425=ORIENTED_EDGE('',*,*,#182055,.T.); #230426=ORIENTED_EDGE('',*,*,#182054,.T.); #230427=ORIENTED_EDGE('',*,*,#182089,.T.); #230428=ORIENTED_EDGE('',*,*,#182056,.T.); #230429=ORIENTED_EDGE('',*,*,#182091,.T.); #230430=ORIENTED_EDGE('',*,*,#182092,.T.); #230431=ORIENTED_EDGE('',*,*,#182093,.F.); #230432=ORIENTED_EDGE('',*,*,#182057,.T.); #230433=ORIENTED_EDGE('',*,*,#182092,.F.); #230434=ORIENTED_EDGE('',*,*,#182094,.F.); #230435=ORIENTED_EDGE('',*,*,#182095,.F.); #230436=ORIENTED_EDGE('',*,*,#182059,.T.); #230437=ORIENTED_EDGE('',*,*,#182058,.T.); #230438=ORIENTED_EDGE('',*,*,#182093,.T.); #230439=ORIENTED_EDGE('',*,*,#182060,.T.); #230440=ORIENTED_EDGE('',*,*,#182095,.T.); #230441=ORIENTED_EDGE('',*,*,#182081,.T.); #230442=ORIENTED_EDGE('',*,*,#182096,.T.); #230443=ORIENTED_EDGE('',*,*,#182097,.F.); #230444=ORIENTED_EDGE('',*,*,#182098,.T.); #230445=ORIENTED_EDGE('',*,*,#182099,.T.); #230446=ORIENTED_EDGE('',*,*,#182100,.T.); #230447=ORIENTED_EDGE('',*,*,#182101,.T.); #230448=ORIENTED_EDGE('',*,*,#182102,.T.); #230449=ORIENTED_EDGE('',*,*,#182103,.T.); #230450=ORIENTED_EDGE('',*,*,#182104,.F.); #230451=ORIENTED_EDGE('',*,*,#182105,.T.); #230452=ORIENTED_EDGE('',*,*,#182106,.T.); #230453=ORIENTED_EDGE('',*,*,#182107,.T.); #230454=ORIENTED_EDGE('',*,*,#182094,.T.); #230455=ORIENTED_EDGE('',*,*,#182090,.T.); #230456=ORIENTED_EDGE('',*,*,#182086,.T.); #230457=ORIENTED_EDGE('',*,*,#182082,.T.); #230458=ORIENTED_EDGE('',*,*,#182107,.F.); #230459=ORIENTED_EDGE('',*,*,#182108,.T.); #230460=ORIENTED_EDGE('',*,*,#182109,.F.); #230461=ORIENTED_EDGE('',*,*,#182110,.T.); #230462=ORIENTED_EDGE('',*,*,#182111,.F.); #230463=ORIENTED_EDGE('',*,*,#182112,.T.); #230464=ORIENTED_EDGE('',*,*,#182113,.T.); #230465=ORIENTED_EDGE('',*,*,#182114,.T.); #230466=ORIENTED_EDGE('',*,*,#182115,.T.); #230467=ORIENTED_EDGE('',*,*,#182116,.T.); #230468=ORIENTED_EDGE('',*,*,#182117,.T.); #230469=ORIENTED_EDGE('',*,*,#182118,.T.); #230470=ORIENTED_EDGE('',*,*,#182119,.T.); #230471=ORIENTED_EDGE('',*,*,#182097,.T.); #230472=ORIENTED_EDGE('',*,*,#182120,.T.); #230473=ORIENTED_EDGE('',*,*,#182121,.T.); #230474=ORIENTED_EDGE('',*,*,#182122,.T.); #230475=ORIENTED_EDGE('',*,*,#182123,.F.); #230476=ORIENTED_EDGE('',*,*,#182124,.F.); #230477=ORIENTED_EDGE('',*,*,#182125,.F.); #230478=ORIENTED_EDGE('',*,*,#182126,.F.); #230479=ORIENTED_EDGE('',*,*,#182127,.F.); #230480=ORIENTED_EDGE('',*,*,#182128,.F.); #230481=ORIENTED_EDGE('',*,*,#182129,.F.); #230482=ORIENTED_EDGE('',*,*,#182130,.T.); #230483=ORIENTED_EDGE('',*,*,#182098,.F.); #230484=ORIENTED_EDGE('',*,*,#182130,.F.); #230485=ORIENTED_EDGE('',*,*,#182131,.T.); #230486=ORIENTED_EDGE('',*,*,#182132,.T.); #230487=ORIENTED_EDGE('',*,*,#182133,.T.); #230488=ORIENTED_EDGE('',*,*,#182134,.T.); #230489=ORIENTED_EDGE('',*,*,#182135,.F.); #230490=ORIENTED_EDGE('',*,*,#182136,.F.); #230491=ORIENTED_EDGE('',*,*,#182137,.F.); #230492=ORIENTED_EDGE('',*,*,#182138,.F.); #230493=ORIENTED_EDGE('',*,*,#182139,.F.); #230494=ORIENTED_EDGE('',*,*,#182140,.F.); #230495=ORIENTED_EDGE('',*,*,#182141,.T.); #230496=ORIENTED_EDGE('',*,*,#182142,.F.); #230497=ORIENTED_EDGE('',*,*,#182143,.F.); #230498=ORIENTED_EDGE('',*,*,#182120,.F.); #230499=ORIENTED_EDGE('',*,*,#182144,.T.); #230500=ORIENTED_EDGE('',*,*,#182145,.T.); #230501=ORIENTED_EDGE('',*,*,#182146,.T.); #230502=ORIENTED_EDGE('',*,*,#182141,.F.); #230503=ORIENTED_EDGE('',*,*,#182147,.F.); #230504=ORIENTED_EDGE('',*,*,#181744,.T.); #230505=ORIENTED_EDGE('',*,*,#182148,.F.); #230506=ORIENTED_EDGE('',*,*,#182149,.F.); #230507=ORIENTED_EDGE('',*,*,#182150,.F.); #230508=ORIENTED_EDGE('',*,*,#182151,.F.); #230509=ORIENTED_EDGE('',*,*,#182152,.F.); #230510=ORIENTED_EDGE('',*,*,#182153,.F.); #230511=ORIENTED_EDGE('',*,*,#182154,.F.); #230512=ORIENTED_EDGE('',*,*,#182155,.F.); #230513=ORIENTED_EDGE('',*,*,#182156,.T.); #230514=ORIENTED_EDGE('',*,*,#182157,.F.); #230515=ORIENTED_EDGE('',*,*,#182158,.F.); #230516=ORIENTED_EDGE('',*,*,#182159,.T.); #230517=ORIENTED_EDGE('',*,*,#182160,.T.); #230518=ORIENTED_EDGE('',*,*,#182159,.F.); #230519=ORIENTED_EDGE('',*,*,#182161,.F.); #230520=ORIENTED_EDGE('',*,*,#182162,.F.); #230521=ORIENTED_EDGE('',*,*,#182163,.F.); #230522=ORIENTED_EDGE('',*,*,#182164,.F.); #230523=ORIENTED_EDGE('',*,*,#182165,.F.); #230524=ORIENTED_EDGE('',*,*,#182166,.F.); #230525=ORIENTED_EDGE('',*,*,#182167,.F.); #230526=ORIENTED_EDGE('',*,*,#182168,.F.); #230527=ORIENTED_EDGE('',*,*,#182169,.T.); #230528=ORIENTED_EDGE('',*,*,#182170,.F.); #230529=ORIENTED_EDGE('',*,*,#182171,.F.); #230530=ORIENTED_EDGE('',*,*,#182172,.F.); #230531=ORIENTED_EDGE('',*,*,#182173,.F.); #230532=ORIENTED_EDGE('',*,*,#182174,.F.); #230533=ORIENTED_EDGE('',*,*,#182175,.F.); #230534=ORIENTED_EDGE('',*,*,#182176,.F.); #230535=ORIENTED_EDGE('',*,*,#182177,.F.); #230536=ORIENTED_EDGE('',*,*,#182178,.T.); #230537=ORIENTED_EDGE('',*,*,#182179,.F.); #230538=ORIENTED_EDGE('',*,*,#182180,.F.); #230539=ORIENTED_EDGE('',*,*,#182181,.F.); #230540=ORIENTED_EDGE('',*,*,#182182,.F.); #230541=ORIENTED_EDGE('',*,*,#182183,.F.); #230542=ORIENTED_EDGE('',*,*,#182184,.F.); #230543=ORIENTED_EDGE('',*,*,#182185,.T.); #230544=ORIENTED_EDGE('',*,*,#182186,.F.); #230545=ORIENTED_EDGE('',*,*,#182187,.F.); #230546=ORIENTED_EDGE('',*,*,#182188,.F.); #230547=ORIENTED_EDGE('',*,*,#182189,.F.); #230548=ORIENTED_EDGE('',*,*,#182190,.F.); #230549=ORIENTED_EDGE('',*,*,#182191,.F.); #230550=ORIENTED_EDGE('',*,*,#182192,.F.); #230551=ORIENTED_EDGE('',*,*,#182193,.F.); #230552=ORIENTED_EDGE('',*,*,#182142,.T.); #230553=ORIENTED_EDGE('',*,*,#182194,.F.); #230554=ORIENTED_EDGE('',*,*,#182195,.F.); #230555=ORIENTED_EDGE('',*,*,#182196,.F.); #230556=ORIENTED_EDGE('',*,*,#182197,.F.); #230557=ORIENTED_EDGE('',*,*,#182198,.F.); #230558=ORIENTED_EDGE('',*,*,#182199,.F.); #230559=ORIENTED_EDGE('',*,*,#182200,.F.); #230560=ORIENTED_EDGE('',*,*,#182201,.F.); #230561=ORIENTED_EDGE('',*,*,#182202,.T.); #230562=ORIENTED_EDGE('',*,*,#182203,.F.); #230563=ORIENTED_EDGE('',*,*,#182204,.F.); #230564=ORIENTED_EDGE('',*,*,#182205,.F.); #230565=ORIENTED_EDGE('',*,*,#182206,.F.); #230566=ORIENTED_EDGE('',*,*,#182207,.F.); #230567=ORIENTED_EDGE('',*,*,#182208,.F.); #230568=ORIENTED_EDGE('',*,*,#182209,.F.); #230569=ORIENTED_EDGE('',*,*,#182210,.F.); #230570=ORIENTED_EDGE('',*,*,#182211,.T.); #230571=ORIENTED_EDGE('',*,*,#182212,.F.); #230572=ORIENTED_EDGE('',*,*,#182213,.F.); #230573=ORIENTED_EDGE('',*,*,#182214,.F.); #230574=ORIENTED_EDGE('',*,*,#182215,.F.); #230575=ORIENTED_EDGE('',*,*,#182216,.F.); #230576=ORIENTED_EDGE('',*,*,#182217,.F.); #230577=ORIENTED_EDGE('',*,*,#182218,.F.); #230578=ORIENTED_EDGE('',*,*,#182219,.F.); #230579=ORIENTED_EDGE('',*,*,#182220,.T.); #230580=ORIENTED_EDGE('',*,*,#182221,.F.); #230581=ORIENTED_EDGE('',*,*,#182222,.F.); #230582=ORIENTED_EDGE('',*,*,#182223,.F.); #230583=ORIENTED_EDGE('',*,*,#182224,.F.); #230584=ORIENTED_EDGE('',*,*,#182225,.F.); #230585=ORIENTED_EDGE('',*,*,#182226,.F.); #230586=ORIENTED_EDGE('',*,*,#182227,.F.); #230587=ORIENTED_EDGE('',*,*,#182228,.F.); #230588=ORIENTED_EDGE('',*,*,#182229,.T.); #230589=ORIENTED_EDGE('',*,*,#182230,.F.); #230590=ORIENTED_EDGE('',*,*,#182231,.F.); #230591=ORIENTED_EDGE('',*,*,#182232,.F.); #230592=ORIENTED_EDGE('',*,*,#182233,.F.); #230593=ORIENTED_EDGE('',*,*,#182234,.F.); #230594=ORIENTED_EDGE('',*,*,#182235,.F.); #230595=ORIENTED_EDGE('',*,*,#182236,.F.); #230596=ORIENTED_EDGE('',*,*,#182237,.F.); #230597=ORIENTED_EDGE('',*,*,#182238,.T.); #230598=ORIENTED_EDGE('',*,*,#182202,.F.); #230599=ORIENTED_EDGE('',*,*,#182239,.F.); #230600=ORIENTED_EDGE('',*,*,#182185,.F.); #230601=ORIENTED_EDGE('',*,*,#182240,.F.); #230602=ORIENTED_EDGE('',*,*,#182169,.F.); #230603=ORIENTED_EDGE('',*,*,#182241,.F.); #230604=ORIENTED_EDGE('',*,*,#181745,.F.); #230605=ORIENTED_EDGE('',*,*,#182238,.F.); #230606=ORIENTED_EDGE('',*,*,#182242,.F.); #230607=ORIENTED_EDGE('',*,*,#182220,.F.); #230608=ORIENTED_EDGE('',*,*,#182243,.F.); #230609=ORIENTED_EDGE('',*,*,#182243,.T.); #230610=ORIENTED_EDGE('',*,*,#182244,.F.); #230611=ORIENTED_EDGE('',*,*,#182245,.F.); #230612=ORIENTED_EDGE('',*,*,#182245,.T.); #230613=ORIENTED_EDGE('',*,*,#182246,.F.); #230614=ORIENTED_EDGE('',*,*,#182247,.F.); #230615=ORIENTED_EDGE('',*,*,#182248,.F.); #230616=ORIENTED_EDGE('',*,*,#182249,.T.); #230617=ORIENTED_EDGE('',*,*,#182250,.F.); #230618=ORIENTED_EDGE('',*,*,#182203,.T.); #230619=ORIENTED_EDGE('',*,*,#182251,.T.); #230620=ORIENTED_EDGE('',*,*,#182204,.T.); #230621=ORIENTED_EDGE('',*,*,#182250,.T.); #230622=ORIENTED_EDGE('',*,*,#182252,.T.); #230623=ORIENTED_EDGE('',*,*,#182253,.T.); #230624=ORIENTED_EDGE('',*,*,#182205,.T.); #230625=ORIENTED_EDGE('',*,*,#182251,.F.); #230626=ORIENTED_EDGE('',*,*,#182254,.T.); #230627=ORIENTED_EDGE('',*,*,#182255,.T.); #230628=ORIENTED_EDGE('',*,*,#182206,.T.); #230629=ORIENTED_EDGE('',*,*,#182253,.F.); #230630=ORIENTED_EDGE('',*,*,#182256,.T.); #230631=ORIENTED_EDGE('',*,*,#182257,.T.); #230632=ORIENTED_EDGE('',*,*,#182207,.T.); #230633=ORIENTED_EDGE('',*,*,#182255,.F.); #230634=ORIENTED_EDGE('',*,*,#182258,.T.); #230635=ORIENTED_EDGE('',*,*,#182259,.T.); #230636=ORIENTED_EDGE('',*,*,#182208,.T.); #230637=ORIENTED_EDGE('',*,*,#182257,.F.); #230638=ORIENTED_EDGE('',*,*,#182260,.T.); #230639=ORIENTED_EDGE('',*,*,#182259,.F.); #230640=ORIENTED_EDGE('',*,*,#182261,.F.); #230641=ORIENTED_EDGE('',*,*,#182262,.T.); #230642=ORIENTED_EDGE('',*,*,#182209,.T.); #230643=ORIENTED_EDGE('',*,*,#182263,.T.); #230644=ORIENTED_EDGE('',*,*,#182210,.T.); #230645=ORIENTED_EDGE('',*,*,#182262,.F.); #230646=ORIENTED_EDGE('',*,*,#182264,.F.); #230647=ORIENTED_EDGE('',*,*,#182211,.F.); #230648=ORIENTED_EDGE('',*,*,#182263,.F.); #230649=ORIENTED_EDGE('',*,*,#182265,.F.); #230650=ORIENTED_EDGE('',*,*,#182266,.T.); #230651=ORIENTED_EDGE('',*,*,#182267,.F.); #230652=ORIENTED_EDGE('',*,*,#182268,.F.); #230653=ORIENTED_EDGE('',*,*,#182268,.T.); #230654=ORIENTED_EDGE('',*,*,#182269,.T.); #230655=ORIENTED_EDGE('',*,*,#182270,.F.); #230656=ORIENTED_EDGE('',*,*,#182212,.T.); #230657=ORIENTED_EDGE('',*,*,#182270,.T.); #230658=ORIENTED_EDGE('',*,*,#182271,.T.); #230659=ORIENTED_EDGE('',*,*,#182272,.F.); #230660=ORIENTED_EDGE('',*,*,#182213,.T.); #230661=ORIENTED_EDGE('',*,*,#182272,.T.); #230662=ORIENTED_EDGE('',*,*,#182273,.T.); #230663=ORIENTED_EDGE('',*,*,#182274,.F.); #230664=ORIENTED_EDGE('',*,*,#182214,.T.); #230665=ORIENTED_EDGE('',*,*,#182275,.F.); #230666=ORIENTED_EDGE('',*,*,#182215,.T.); #230667=ORIENTED_EDGE('',*,*,#182274,.T.); #230668=ORIENTED_EDGE('',*,*,#182276,.T.); #230669=ORIENTED_EDGE('',*,*,#182275,.T.); #230670=ORIENTED_EDGE('',*,*,#182277,.T.); #230671=ORIENTED_EDGE('',*,*,#182278,.F.); #230672=ORIENTED_EDGE('',*,*,#182279,.F.); #230673=ORIENTED_EDGE('',*,*,#182216,.T.); #230674=ORIENTED_EDGE('',*,*,#182280,.F.); #230675=ORIENTED_EDGE('',*,*,#182217,.T.); #230676=ORIENTED_EDGE('',*,*,#182279,.T.); #230677=ORIENTED_EDGE('',*,*,#182278,.T.); #230678=ORIENTED_EDGE('',*,*,#182281,.T.); #230679=ORIENTED_EDGE('',*,*,#182280,.T.); #230680=ORIENTED_EDGE('',*,*,#182282,.F.); #230681=ORIENTED_EDGE('',*,*,#182283,.F.); #230682=ORIENTED_EDGE('',*,*,#182218,.T.); #230683=ORIENTED_EDGE('',*,*,#182219,.T.); #230684=ORIENTED_EDGE('',*,*,#182283,.T.); #230685=ORIENTED_EDGE('',*,*,#182284,.F.); #230686=ORIENTED_EDGE('',*,*,#182246,.T.); #230687=ORIENTED_EDGE('',*,*,#182244,.T.); #230688=ORIENTED_EDGE('',*,*,#182101,.F.); #230689=ORIENTED_EDGE('',*,*,#182285,.T.); #230690=ORIENTED_EDGE('',*,*,#182286,.T.); #230691=ORIENTED_EDGE('',*,*,#182247,.T.); #230692=ORIENTED_EDGE('',*,*,#182284,.T.); #230693=ORIENTED_EDGE('',*,*,#182282,.T.); #230694=ORIENTED_EDGE('',*,*,#182281,.F.); #230695=ORIENTED_EDGE('',*,*,#182277,.F.); #230696=ORIENTED_EDGE('',*,*,#182276,.F.); #230697=ORIENTED_EDGE('',*,*,#182273,.F.); #230698=ORIENTED_EDGE('',*,*,#182271,.F.); #230699=ORIENTED_EDGE('',*,*,#182269,.F.); #230700=ORIENTED_EDGE('',*,*,#182267,.T.); #230701=ORIENTED_EDGE('',*,*,#182248,.T.); #230702=ORIENTED_EDGE('',*,*,#182286,.F.); #230703=ORIENTED_EDGE('',*,*,#182287,.T.); #230704=ORIENTED_EDGE('',*,*,#182100,.F.); #230705=ORIENTED_EDGE('',*,*,#182265,.T.); #230706=ORIENTED_EDGE('',*,*,#182264,.T.); #230707=ORIENTED_EDGE('',*,*,#182261,.T.); #230708=ORIENTED_EDGE('',*,*,#182260,.F.); #230709=ORIENTED_EDGE('',*,*,#182258,.F.); #230710=ORIENTED_EDGE('',*,*,#182256,.F.); #230711=ORIENTED_EDGE('',*,*,#182254,.F.); #230712=ORIENTED_EDGE('',*,*,#182252,.F.); #230713=ORIENTED_EDGE('',*,*,#182249,.F.); #230714=ORIENTED_EDGE('',*,*,#182287,.F.); #230715=ORIENTED_EDGE('',*,*,#182285,.F.); #230716=ORIENTED_EDGE('',*,*,#182266,.F.); #230717=ORIENTED_EDGE('',*,*,#182099,.F.); #230718=ORIENTED_EDGE('',*,*,#182146,.F.); #230719=ORIENTED_EDGE('',*,*,#182288,.T.); #230720=ORIENTED_EDGE('',*,*,#182289,.T.); #230721=ORIENTED_EDGE('',*,*,#181903,.T.); #230722=ORIENTED_EDGE('',*,*,#182290,.F.); #230723=ORIENTED_EDGE('',*,*,#181901,.T.); #230724=ORIENTED_EDGE('',*,*,#182291,.F.); #230725=ORIENTED_EDGE('',*,*,#182102,.F.); #230726=ORIENTED_EDGE('',*,*,#181900,.T.); #230727=ORIENTED_EDGE('',*,*,#182292,.F.); #230728=ORIENTED_EDGE('',*,*,#182291,.T.); #230729=ORIENTED_EDGE('',*,*,#182293,.F.); #230730=ORIENTED_EDGE('',*,*,#182103,.F.); #230731=ORIENTED_EDGE('',*,*,#182292,.T.); #230732=ORIENTED_EDGE('',*,*,#181899,.T.); #230733=ORIENTED_EDGE('',*,*,#182294,.F.); #230734=ORIENTED_EDGE('',*,*,#181897,.T.); #230735=ORIENTED_EDGE('',*,*,#182295,.F.); #230736=ORIENTED_EDGE('',*,*,#182106,.F.); #230737=ORIENTED_EDGE('',*,*,#182229,.F.); #230738=ORIENTED_EDGE('',*,*,#182296,.F.); #230739=ORIENTED_EDGE('',*,*,#182297,.F.); #230740=ORIENTED_EDGE('',*,*,#182293,.T.); #230741=ORIENTED_EDGE('',*,*,#182298,.F.); #230742=ORIENTED_EDGE('',*,*,#182299,.F.); #230743=ORIENTED_EDGE('',*,*,#182299,.T.); #230744=ORIENTED_EDGE('',*,*,#182300,.T.); #230745=ORIENTED_EDGE('',*,*,#182301,.F.); #230746=ORIENTED_EDGE('',*,*,#182230,.T.); #230747=ORIENTED_EDGE('',*,*,#182237,.T.); #230748=ORIENTED_EDGE('',*,*,#182302,.T.); #230749=ORIENTED_EDGE('',*,*,#182303,.F.); #230750=ORIENTED_EDGE('',*,*,#182304,.T.); #230751=ORIENTED_EDGE('',*,*,#182305,.T.); #230752=ORIENTED_EDGE('',*,*,#182242,.T.); #230753=ORIENTED_EDGE('',*,*,#182305,.F.); #230754=ORIENTED_EDGE('',*,*,#182306,.F.); #230755=ORIENTED_EDGE('',*,*,#182306,.T.); #230756=ORIENTED_EDGE('',*,*,#182304,.F.); #230757=ORIENTED_EDGE('',*,*,#182307,.F.); #230758=ORIENTED_EDGE('',*,*,#182308,.F.); #230759=ORIENTED_EDGE('',*,*,#182309,.T.); #230760=ORIENTED_EDGE('',*,*,#182310,.F.); #230761=ORIENTED_EDGE('',*,*,#182221,.T.); #230762=ORIENTED_EDGE('',*,*,#182311,.T.); #230763=ORIENTED_EDGE('',*,*,#182222,.T.); #230764=ORIENTED_EDGE('',*,*,#182310,.T.); #230765=ORIENTED_EDGE('',*,*,#182312,.T.); #230766=ORIENTED_EDGE('',*,*,#182313,.T.); #230767=ORIENTED_EDGE('',*,*,#182223,.T.); #230768=ORIENTED_EDGE('',*,*,#182311,.F.); #230769=ORIENTED_EDGE('',*,*,#182314,.T.); #230770=ORIENTED_EDGE('',*,*,#182315,.T.); #230771=ORIENTED_EDGE('',*,*,#182224,.T.); #230772=ORIENTED_EDGE('',*,*,#182313,.F.); #230773=ORIENTED_EDGE('',*,*,#182316,.T.); #230774=ORIENTED_EDGE('',*,*,#182317,.T.); #230775=ORIENTED_EDGE('',*,*,#182225,.T.); #230776=ORIENTED_EDGE('',*,*,#182315,.F.); #230777=ORIENTED_EDGE('',*,*,#182318,.T.); #230778=ORIENTED_EDGE('',*,*,#182319,.T.); #230779=ORIENTED_EDGE('',*,*,#182226,.T.); #230780=ORIENTED_EDGE('',*,*,#182317,.F.); #230781=ORIENTED_EDGE('',*,*,#182320,.T.); #230782=ORIENTED_EDGE('',*,*,#182319,.F.); #230783=ORIENTED_EDGE('',*,*,#182321,.F.); #230784=ORIENTED_EDGE('',*,*,#182322,.T.); #230785=ORIENTED_EDGE('',*,*,#182227,.T.); #230786=ORIENTED_EDGE('',*,*,#182296,.T.); #230787=ORIENTED_EDGE('',*,*,#182228,.T.); #230788=ORIENTED_EDGE('',*,*,#182322,.F.); #230789=ORIENTED_EDGE('',*,*,#182323,.F.); #230790=ORIENTED_EDGE('',*,*,#182104,.T.); #230791=ORIENTED_EDGE('',*,*,#182297,.T.); #230792=ORIENTED_EDGE('',*,*,#182323,.T.); #230793=ORIENTED_EDGE('',*,*,#182321,.T.); #230794=ORIENTED_EDGE('',*,*,#182320,.F.); #230795=ORIENTED_EDGE('',*,*,#182318,.F.); #230796=ORIENTED_EDGE('',*,*,#182316,.F.); #230797=ORIENTED_EDGE('',*,*,#182314,.F.); #230798=ORIENTED_EDGE('',*,*,#182312,.F.); #230799=ORIENTED_EDGE('',*,*,#182309,.F.); #230800=ORIENTED_EDGE('',*,*,#182324,.F.); #230801=ORIENTED_EDGE('',*,*,#182325,.F.); #230802=ORIENTED_EDGE('',*,*,#182105,.F.); #230803=ORIENTED_EDGE('',*,*,#182325,.T.); #230804=ORIENTED_EDGE('',*,*,#182326,.T.); #230805=ORIENTED_EDGE('',*,*,#182307,.T.); #230806=ORIENTED_EDGE('',*,*,#182303,.T.); #230807=ORIENTED_EDGE('',*,*,#182327,.T.); #230808=ORIENTED_EDGE('',*,*,#182328,.F.); #230809=ORIENTED_EDGE('',*,*,#182329,.F.); #230810=ORIENTED_EDGE('',*,*,#182330,.F.); #230811=ORIENTED_EDGE('',*,*,#182331,.F.); #230812=ORIENTED_EDGE('',*,*,#182332,.F.); #230813=ORIENTED_EDGE('',*,*,#182300,.F.); #230814=ORIENTED_EDGE('',*,*,#182298,.T.); #230815=ORIENTED_EDGE('',*,*,#182301,.T.); #230816=ORIENTED_EDGE('',*,*,#182332,.T.); #230817=ORIENTED_EDGE('',*,*,#182333,.F.); #230818=ORIENTED_EDGE('',*,*,#182231,.T.); #230819=ORIENTED_EDGE('',*,*,#182333,.T.); #230820=ORIENTED_EDGE('',*,*,#182331,.T.); #230821=ORIENTED_EDGE('',*,*,#182334,.F.); #230822=ORIENTED_EDGE('',*,*,#182232,.T.); #230823=ORIENTED_EDGE('',*,*,#182335,.F.); #230824=ORIENTED_EDGE('',*,*,#182233,.T.); #230825=ORIENTED_EDGE('',*,*,#182334,.T.); #230826=ORIENTED_EDGE('',*,*,#182330,.T.); #230827=ORIENTED_EDGE('',*,*,#182335,.T.); #230828=ORIENTED_EDGE('',*,*,#182329,.T.); #230829=ORIENTED_EDGE('',*,*,#182336,.T.); #230830=ORIENTED_EDGE('',*,*,#182234,.T.); #230831=ORIENTED_EDGE('',*,*,#182337,.F.); #230832=ORIENTED_EDGE('',*,*,#182235,.T.); #230833=ORIENTED_EDGE('',*,*,#182336,.F.); #230834=ORIENTED_EDGE('',*,*,#182328,.T.); #230835=ORIENTED_EDGE('',*,*,#182337,.T.); #230836=ORIENTED_EDGE('',*,*,#182327,.F.); #230837=ORIENTED_EDGE('',*,*,#182302,.F.); #230838=ORIENTED_EDGE('',*,*,#182236,.T.); #230839=ORIENTED_EDGE('',*,*,#182308,.T.); #230840=ORIENTED_EDGE('',*,*,#182326,.F.); #230841=ORIENTED_EDGE('',*,*,#182324,.T.); #230842=ORIENTED_EDGE('',*,*,#182338,.T.); #230843=ORIENTED_EDGE('',*,*,#182155,.T.); #230844=ORIENTED_EDGE('',*,*,#182339,.F.); #230845=ORIENTED_EDGE('',*,*,#182340,.F.); #230846=ORIENTED_EDGE('',*,*,#182156,.F.); #230847=ORIENTED_EDGE('',*,*,#182338,.F.); #230848=ORIENTED_EDGE('',*,*,#182341,.F.); #230849=ORIENTED_EDGE('',*,*,#182342,.T.); #230850=ORIENTED_EDGE('',*,*,#182343,.F.); #230851=ORIENTED_EDGE('',*,*,#182344,.F.); #230852=ORIENTED_EDGE('',*,*,#182344,.T.); #230853=ORIENTED_EDGE('',*,*,#182345,.T.); #230854=ORIENTED_EDGE('',*,*,#182346,.F.); #230855=ORIENTED_EDGE('',*,*,#182161,.T.); #230856=ORIENTED_EDGE('',*,*,#182158,.T.); #230857=ORIENTED_EDGE('',*,*,#182157,.T.); #230858=ORIENTED_EDGE('',*,*,#182346,.T.); #230859=ORIENTED_EDGE('',*,*,#182347,.T.); #230860=ORIENTED_EDGE('',*,*,#182348,.F.); #230861=ORIENTED_EDGE('',*,*,#182162,.T.); #230862=ORIENTED_EDGE('',*,*,#182349,.F.); #230863=ORIENTED_EDGE('',*,*,#182163,.T.); #230864=ORIENTED_EDGE('',*,*,#182348,.T.); #230865=ORIENTED_EDGE('',*,*,#182350,.T.); #230866=ORIENTED_EDGE('',*,*,#182351,.F.); #230867=ORIENTED_EDGE('',*,*,#182164,.T.); #230868=ORIENTED_EDGE('',*,*,#182349,.T.); #230869=ORIENTED_EDGE('',*,*,#182352,.T.); #230870=ORIENTED_EDGE('',*,*,#182351,.T.); #230871=ORIENTED_EDGE('',*,*,#182353,.T.); #230872=ORIENTED_EDGE('',*,*,#182354,.F.); #230873=ORIENTED_EDGE('',*,*,#182165,.T.); #230874=ORIENTED_EDGE('',*,*,#182355,.F.); #230875=ORIENTED_EDGE('',*,*,#182166,.T.); #230876=ORIENTED_EDGE('',*,*,#182354,.T.); #230877=ORIENTED_EDGE('',*,*,#182356,.T.); #230878=ORIENTED_EDGE('',*,*,#182355,.T.); #230879=ORIENTED_EDGE('',*,*,#182357,.F.); #230880=ORIENTED_EDGE('',*,*,#182358,.F.); #230881=ORIENTED_EDGE('',*,*,#182167,.T.); #230882=ORIENTED_EDGE('',*,*,#182168,.T.); #230883=ORIENTED_EDGE('',*,*,#182358,.T.); #230884=ORIENTED_EDGE('',*,*,#182359,.F.); #230885=ORIENTED_EDGE('',*,*,#182360,.T.); #230886=ORIENTED_EDGE('',*,*,#182361,.T.); #230887=ORIENTED_EDGE('',*,*,#182241,.T.); #230888=ORIENTED_EDGE('',*,*,#182361,.F.); #230889=ORIENTED_EDGE('',*,*,#182362,.F.); #230890=ORIENTED_EDGE('',*,*,#182362,.T.); #230891=ORIENTED_EDGE('',*,*,#182360,.F.); #230892=ORIENTED_EDGE('',*,*,#182363,.F.); #230893=ORIENTED_EDGE('',*,*,#182364,.F.); #230894=ORIENTED_EDGE('',*,*,#182365,.T.); #230895=ORIENTED_EDGE('',*,*,#182366,.F.); #230896=ORIENTED_EDGE('',*,*,#181746,.T.); #230897=ORIENTED_EDGE('',*,*,#182367,.T.); #230898=ORIENTED_EDGE('',*,*,#182149,.T.); #230899=ORIENTED_EDGE('',*,*,#182148,.T.); #230900=ORIENTED_EDGE('',*,*,#181747,.T.); #230901=ORIENTED_EDGE('',*,*,#182366,.T.); #230902=ORIENTED_EDGE('',*,*,#182368,.T.); #230903=ORIENTED_EDGE('',*,*,#182369,.T.); #230904=ORIENTED_EDGE('',*,*,#182150,.T.); #230905=ORIENTED_EDGE('',*,*,#182367,.F.); #230906=ORIENTED_EDGE('',*,*,#182370,.T.); #230907=ORIENTED_EDGE('',*,*,#182371,.T.); #230908=ORIENTED_EDGE('',*,*,#182151,.T.); #230909=ORIENTED_EDGE('',*,*,#182369,.F.); #230910=ORIENTED_EDGE('',*,*,#182372,.T.); #230911=ORIENTED_EDGE('',*,*,#182373,.T.); #230912=ORIENTED_EDGE('',*,*,#182152,.T.); #230913=ORIENTED_EDGE('',*,*,#182371,.F.); #230914=ORIENTED_EDGE('',*,*,#182374,.T.); #230915=ORIENTED_EDGE('',*,*,#182375,.T.); #230916=ORIENTED_EDGE('',*,*,#182153,.T.); #230917=ORIENTED_EDGE('',*,*,#182373,.F.); #230918=ORIENTED_EDGE('',*,*,#182376,.T.); #230919=ORIENTED_EDGE('',*,*,#182375,.F.); #230920=ORIENTED_EDGE('',*,*,#182377,.F.); #230921=ORIENTED_EDGE('',*,*,#182339,.T.); #230922=ORIENTED_EDGE('',*,*,#182154,.T.); #230923=ORIENTED_EDGE('',*,*,#182113,.F.); #230924=ORIENTED_EDGE('',*,*,#182341,.T.); #230925=ORIENTED_EDGE('',*,*,#182340,.T.); #230926=ORIENTED_EDGE('',*,*,#182377,.T.); #230927=ORIENTED_EDGE('',*,*,#182376,.F.); #230928=ORIENTED_EDGE('',*,*,#182374,.F.); #230929=ORIENTED_EDGE('',*,*,#182372,.F.); #230930=ORIENTED_EDGE('',*,*,#182370,.F.); #230931=ORIENTED_EDGE('',*,*,#182368,.F.); #230932=ORIENTED_EDGE('',*,*,#182365,.F.); #230933=ORIENTED_EDGE('',*,*,#182378,.F.); #230934=ORIENTED_EDGE('',*,*,#182379,.T.); #230935=ORIENTED_EDGE('',*,*,#182380,.T.); #230936=ORIENTED_EDGE('',*,*,#181939,.T.); #230937=ORIENTED_EDGE('',*,*,#181860,.T.); #230938=ORIENTED_EDGE('',*,*,#182111,.T.); #230939=ORIENTED_EDGE('',*,*,#182380,.F.); #230940=ORIENTED_EDGE('',*,*,#182110,.F.); #230941=ORIENTED_EDGE('',*,*,#182381,.F.); #230942=ORIENTED_EDGE('',*,*,#181942,.F.); #230943=ORIENTED_EDGE('',*,*,#182381,.T.); #230944=ORIENTED_EDGE('',*,*,#182109,.T.); #230945=ORIENTED_EDGE('',*,*,#181858,.T.); #230946=ORIENTED_EDGE('',*,*,#181943,.F.); #230947=ORIENTED_EDGE('',*,*,#182342,.F.); #230948=ORIENTED_EDGE('',*,*,#182112,.F.); #230949=ORIENTED_EDGE('',*,*,#181859,.T.); #230950=ORIENTED_EDGE('',*,*,#182108,.F.); #230951=ORIENTED_EDGE('',*,*,#182382,.T.); #230952=ORIENTED_EDGE('',*,*,#181895,.T.); #230953=ORIENTED_EDGE('',*,*,#182383,.F.); #230954=ORIENTED_EDGE('',*,*,#181893,.T.); #230955=ORIENTED_EDGE('',*,*,#182384,.F.); #230956=ORIENTED_EDGE('',*,*,#182115,.F.); #230957=ORIENTED_EDGE('',*,*,#181892,.T.); #230958=ORIENTED_EDGE('',*,*,#182385,.F.); #230959=ORIENTED_EDGE('',*,*,#182384,.T.); #230960=ORIENTED_EDGE('',*,*,#182386,.F.); #230961=ORIENTED_EDGE('',*,*,#182116,.F.); #230962=ORIENTED_EDGE('',*,*,#182385,.T.); #230963=ORIENTED_EDGE('',*,*,#181891,.T.); #230964=ORIENTED_EDGE('',*,*,#182387,.F.); #230965=ORIENTED_EDGE('',*,*,#181889,.T.); #230966=ORIENTED_EDGE('',*,*,#182388,.T.); #230967=ORIENTED_EDGE('',*,*,#182389,.F.); #230968=ORIENTED_EDGE('',*,*,#182119,.F.); #230969=ORIENTED_EDGE('',*,*,#182178,.F.); #230970=ORIENTED_EDGE('',*,*,#182390,.F.); #230971=ORIENTED_EDGE('',*,*,#182391,.T.); #230972=ORIENTED_EDGE('',*,*,#182392,.F.); #230973=ORIENTED_EDGE('',*,*,#182386,.T.); #230974=ORIENTED_EDGE('',*,*,#182393,.F.); #230975=ORIENTED_EDGE('',*,*,#182394,.T.); #230976=ORIENTED_EDGE('',*,*,#182395,.F.); #230977=ORIENTED_EDGE('',*,*,#182395,.T.); #230978=ORIENTED_EDGE('',*,*,#182396,.T.); #230979=ORIENTED_EDGE('',*,*,#182397,.F.); #230980=ORIENTED_EDGE('',*,*,#182179,.T.); #230981=ORIENTED_EDGE('',*,*,#182397,.T.); #230982=ORIENTED_EDGE('',*,*,#182398,.T.); #230983=ORIENTED_EDGE('',*,*,#182399,.F.); #230984=ORIENTED_EDGE('',*,*,#182180,.T.); #230985=ORIENTED_EDGE('',*,*,#182399,.T.); #230986=ORIENTED_EDGE('',*,*,#182400,.T.); #230987=ORIENTED_EDGE('',*,*,#182401,.F.); #230988=ORIENTED_EDGE('',*,*,#182181,.T.); #230989=ORIENTED_EDGE('',*,*,#182401,.T.); #230990=ORIENTED_EDGE('',*,*,#182402,.T.); #230991=ORIENTED_EDGE('',*,*,#182403,.F.); #230992=ORIENTED_EDGE('',*,*,#182182,.T.); #230993=ORIENTED_EDGE('',*,*,#182403,.T.); #230994=ORIENTED_EDGE('',*,*,#182404,.F.); #230995=ORIENTED_EDGE('',*,*,#182405,.F.); #230996=ORIENTED_EDGE('',*,*,#182183,.T.); #230997=ORIENTED_EDGE('',*,*,#182184,.T.); #230998=ORIENTED_EDGE('',*,*,#182405,.T.); #230999=ORIENTED_EDGE('',*,*,#182406,.F.); #231000=ORIENTED_EDGE('',*,*,#182407,.T.); #231001=ORIENTED_EDGE('',*,*,#182408,.F.); #231002=ORIENTED_EDGE('',*,*,#182240,.T.); #231003=ORIENTED_EDGE('',*,*,#182408,.T.); #231004=ORIENTED_EDGE('',*,*,#182409,.F.); #231005=ORIENTED_EDGE('',*,*,#182409,.T.); #231006=ORIENTED_EDGE('',*,*,#182407,.F.); #231007=ORIENTED_EDGE('',*,*,#182410,.F.); #231008=ORIENTED_EDGE('',*,*,#182411,.F.); #231009=ORIENTED_EDGE('',*,*,#182412,.T.); #231010=ORIENTED_EDGE('',*,*,#182413,.F.); #231011=ORIENTED_EDGE('',*,*,#182170,.T.); #231012=ORIENTED_EDGE('',*,*,#182413,.T.); #231013=ORIENTED_EDGE('',*,*,#182414,.T.); #231014=ORIENTED_EDGE('',*,*,#182415,.T.); #231015=ORIENTED_EDGE('',*,*,#182171,.T.); #231016=ORIENTED_EDGE('',*,*,#182415,.F.); #231017=ORIENTED_EDGE('',*,*,#182416,.T.); #231018=ORIENTED_EDGE('',*,*,#182417,.T.); #231019=ORIENTED_EDGE('',*,*,#182172,.T.); #231020=ORIENTED_EDGE('',*,*,#182417,.F.); #231021=ORIENTED_EDGE('',*,*,#182418,.T.); #231022=ORIENTED_EDGE('',*,*,#182419,.T.); #231023=ORIENTED_EDGE('',*,*,#182173,.T.); #231024=ORIENTED_EDGE('',*,*,#182420,.T.); #231025=ORIENTED_EDGE('',*,*,#182174,.T.); #231026=ORIENTED_EDGE('',*,*,#182419,.F.); #231027=ORIENTED_EDGE('',*,*,#182421,.T.); #231028=ORIENTED_EDGE('',*,*,#182420,.F.); #231029=ORIENTED_EDGE('',*,*,#182422,.T.); #231030=ORIENTED_EDGE('',*,*,#182423,.T.); #231031=ORIENTED_EDGE('',*,*,#182175,.T.); #231032=ORIENTED_EDGE('',*,*,#182423,.F.); #231033=ORIENTED_EDGE('',*,*,#182424,.T.); #231034=ORIENTED_EDGE('',*,*,#182425,.T.); #231035=ORIENTED_EDGE('',*,*,#182176,.T.); #231036=ORIENTED_EDGE('',*,*,#182390,.T.); #231037=ORIENTED_EDGE('',*,*,#182177,.T.); #231038=ORIENTED_EDGE('',*,*,#182425,.F.); #231039=ORIENTED_EDGE('',*,*,#182426,.F.); #231040=ORIENTED_EDGE('',*,*,#182117,.F.); #231041=ORIENTED_EDGE('',*,*,#182392,.T.); #231042=ORIENTED_EDGE('',*,*,#182391,.F.); #231043=ORIENTED_EDGE('',*,*,#182426,.T.); #231044=ORIENTED_EDGE('',*,*,#182424,.F.); #231045=ORIENTED_EDGE('',*,*,#182422,.F.); #231046=ORIENTED_EDGE('',*,*,#182421,.F.); #231047=ORIENTED_EDGE('',*,*,#182418,.F.); #231048=ORIENTED_EDGE('',*,*,#182416,.F.); #231049=ORIENTED_EDGE('',*,*,#182414,.F.); #231050=ORIENTED_EDGE('',*,*,#182412,.F.); #231051=ORIENTED_EDGE('',*,*,#182427,.F.); #231052=ORIENTED_EDGE('',*,*,#182428,.T.); #231053=ORIENTED_EDGE('',*,*,#182118,.F.); #231054=ORIENTED_EDGE('',*,*,#182428,.F.); #231055=ORIENTED_EDGE('',*,*,#182429,.F.); #231056=ORIENTED_EDGE('',*,*,#182410,.T.); #231057=ORIENTED_EDGE('',*,*,#182406,.T.); #231058=ORIENTED_EDGE('',*,*,#182404,.T.); #231059=ORIENTED_EDGE('',*,*,#182402,.F.); #231060=ORIENTED_EDGE('',*,*,#182400,.F.); #231061=ORIENTED_EDGE('',*,*,#182398,.F.); #231062=ORIENTED_EDGE('',*,*,#182396,.F.); #231063=ORIENTED_EDGE('',*,*,#182394,.F.); #231064=ORIENTED_EDGE('',*,*,#182393,.T.); #231065=ORIENTED_EDGE('',*,*,#182411,.T.); #231066=ORIENTED_EDGE('',*,*,#182429,.T.); #231067=ORIENTED_EDGE('',*,*,#182427,.T.); #231068=ORIENTED_EDGE('',*,*,#182430,.T.); #231069=ORIENTED_EDGE('',*,*,#182389,.T.); #231070=ORIENTED_EDGE('',*,*,#182431,.T.); #231071=ORIENTED_EDGE('',*,*,#182432,.F.); #231072=ORIENTED_EDGE('',*,*,#182144,.F.); #231073=ORIENTED_EDGE('',*,*,#182096,.F.); #231074=ORIENTED_EDGE('',*,*,#182430,.F.); #231075=ORIENTED_EDGE('',*,*,#182432,.T.); #231076=ORIENTED_EDGE('',*,*,#182431,.F.); #231077=ORIENTED_EDGE('',*,*,#182388,.F.); #231078=ORIENTED_EDGE('',*,*,#181904,.T.); #231079=ORIENTED_EDGE('',*,*,#182289,.F.); #231080=ORIENTED_EDGE('',*,*,#182433,.T.); #231081=ORIENTED_EDGE('',*,*,#182434,.T.); #231082=ORIENTED_EDGE('',*,*,#182435,.F.); #231083=ORIENTED_EDGE('',*,*,#182145,.F.); #231084=ORIENTED_EDGE('',*,*,#182434,.F.); #231085=ORIENTED_EDGE('',*,*,#182435,.T.); #231086=ORIENTED_EDGE('',*,*,#182433,.F.); #231087=ORIENTED_EDGE('',*,*,#182288,.F.); #231088=ORIENTED_EDGE('',*,*,#181890,.T.); #231089=ORIENTED_EDGE('',*,*,#182387,.T.); #231090=ORIENTED_EDGE('',*,*,#181894,.T.); #231091=ORIENTED_EDGE('',*,*,#182383,.T.); #231092=ORIENTED_EDGE('',*,*,#181896,.T.); #231093=ORIENTED_EDGE('',*,*,#182382,.F.); #231094=ORIENTED_EDGE('',*,*,#182295,.T.); #231095=ORIENTED_EDGE('',*,*,#182114,.F.); #231096=ORIENTED_EDGE('',*,*,#182379,.F.); #231097=ORIENTED_EDGE('',*,*,#182436,.T.); #231098=ORIENTED_EDGE('',*,*,#182363,.T.); #231099=ORIENTED_EDGE('',*,*,#182359,.T.); #231100=ORIENTED_EDGE('',*,*,#182357,.T.); #231101=ORIENTED_EDGE('',*,*,#182356,.F.); #231102=ORIENTED_EDGE('',*,*,#182353,.F.); #231103=ORIENTED_EDGE('',*,*,#182352,.F.); #231104=ORIENTED_EDGE('',*,*,#182350,.F.); #231105=ORIENTED_EDGE('',*,*,#182347,.F.); #231106=ORIENTED_EDGE('',*,*,#182345,.F.); #231107=ORIENTED_EDGE('',*,*,#182343,.T.); #231108=ORIENTED_EDGE('',*,*,#182364,.T.); #231109=ORIENTED_EDGE('',*,*,#182436,.F.); #231110=ORIENTED_EDGE('',*,*,#182378,.T.); #231111=ORIENTED_EDGE('',*,*,#181898,.T.); #231112=ORIENTED_EDGE('',*,*,#182294,.T.); #231113=ORIENTED_EDGE('',*,*,#181902,.T.); #231114=ORIENTED_EDGE('',*,*,#182290,.T.); #231115=ORIENTED_EDGE('',*,*,#182239,.T.); #231116=ORIENTED_EDGE('',*,*,#182437,.F.); #231117=ORIENTED_EDGE('',*,*,#182438,.F.); #231118=ORIENTED_EDGE('',*,*,#182186,.T.); #231119=ORIENTED_EDGE('',*,*,#182438,.T.); #231120=ORIENTED_EDGE('',*,*,#182439,.F.); #231121=ORIENTED_EDGE('',*,*,#182132,.F.); #231122=ORIENTED_EDGE('',*,*,#182440,.F.); #231123=ORIENTED_EDGE('',*,*,#182128,.T.); #231124=ORIENTED_EDGE('',*,*,#182441,.F.); #231125=ORIENTED_EDGE('',*,*,#182442,.T.); #231126=ORIENTED_EDGE('',*,*,#182187,.T.); #231127=ORIENTED_EDGE('',*,*,#182441,.T.); #231128=ORIENTED_EDGE('',*,*,#182127,.T.); #231129=ORIENTED_EDGE('',*,*,#182443,.T.); #231130=ORIENTED_EDGE('',*,*,#182188,.T.); #231131=ORIENTED_EDGE('',*,*,#182442,.F.); #231132=ORIENTED_EDGE('',*,*,#182126,.T.); #231133=ORIENTED_EDGE('',*,*,#182444,.T.); #231134=ORIENTED_EDGE('',*,*,#182189,.T.); #231135=ORIENTED_EDGE('',*,*,#182443,.F.); #231136=ORIENTED_EDGE('',*,*,#182125,.T.); #231137=ORIENTED_EDGE('',*,*,#182445,.T.); #231138=ORIENTED_EDGE('',*,*,#182190,.T.); #231139=ORIENTED_EDGE('',*,*,#182444,.F.); #231140=ORIENTED_EDGE('',*,*,#182124,.T.); #231141=ORIENTED_EDGE('',*,*,#182446,.T.); #231142=ORIENTED_EDGE('',*,*,#182191,.T.); #231143=ORIENTED_EDGE('',*,*,#182445,.F.); #231144=ORIENTED_EDGE('',*,*,#182123,.T.); #231145=ORIENTED_EDGE('',*,*,#182446,.F.); #231146=ORIENTED_EDGE('',*,*,#182122,.F.); #231147=ORIENTED_EDGE('',*,*,#182447,.T.); #231148=ORIENTED_EDGE('',*,*,#182192,.T.); #231149=ORIENTED_EDGE('',*,*,#182143,.T.); #231150=ORIENTED_EDGE('',*,*,#182193,.T.); #231151=ORIENTED_EDGE('',*,*,#182447,.F.); #231152=ORIENTED_EDGE('',*,*,#182121,.F.); #231153=ORIENTED_EDGE('',*,*,#182440,.T.); #231154=ORIENTED_EDGE('',*,*,#182131,.F.); #231155=ORIENTED_EDGE('',*,*,#182129,.T.); #231156=ORIENTED_EDGE('',*,*,#182201,.T.); #231157=ORIENTED_EDGE('',*,*,#182448,.T.); #231158=ORIENTED_EDGE('',*,*,#182449,.T.); #231159=ORIENTED_EDGE('',*,*,#182133,.F.); #231160=ORIENTED_EDGE('',*,*,#182439,.T.); #231161=ORIENTED_EDGE('',*,*,#182437,.T.); #231162=ORIENTED_EDGE('',*,*,#182450,.T.); #231163=ORIENTED_EDGE('',*,*,#182134,.F.); #231164=ORIENTED_EDGE('',*,*,#182449,.F.); #231165=ORIENTED_EDGE('',*,*,#182448,.F.); #231166=ORIENTED_EDGE('',*,*,#182200,.T.); #231167=ORIENTED_EDGE('',*,*,#182450,.F.); #231168=ORIENTED_EDGE('',*,*,#182199,.T.); #231169=ORIENTED_EDGE('',*,*,#182451,.F.); #231170=ORIENTED_EDGE('',*,*,#182135,.T.); #231171=ORIENTED_EDGE('',*,*,#182452,.T.); #231172=ORIENTED_EDGE('',*,*,#182136,.T.); #231173=ORIENTED_EDGE('',*,*,#182451,.T.); #231174=ORIENTED_EDGE('',*,*,#182198,.T.); #231175=ORIENTED_EDGE('',*,*,#182452,.F.); #231176=ORIENTED_EDGE('',*,*,#182197,.T.); #231177=ORIENTED_EDGE('',*,*,#182453,.T.); #231178=ORIENTED_EDGE('',*,*,#182137,.T.); #231179=ORIENTED_EDGE('',*,*,#182453,.F.); #231180=ORIENTED_EDGE('',*,*,#182196,.T.); #231181=ORIENTED_EDGE('',*,*,#182454,.T.); #231182=ORIENTED_EDGE('',*,*,#182138,.T.); #231183=ORIENTED_EDGE('',*,*,#182455,.T.); #231184=ORIENTED_EDGE('',*,*,#182139,.T.); #231185=ORIENTED_EDGE('',*,*,#182454,.F.); #231186=ORIENTED_EDGE('',*,*,#182195,.T.); #231187=ORIENTED_EDGE('',*,*,#182147,.T.); #231188=ORIENTED_EDGE('',*,*,#182140,.T.); #231189=ORIENTED_EDGE('',*,*,#182455,.F.); #231190=ORIENTED_EDGE('',*,*,#182194,.T.); #231191=ORIENTED_EDGE('',*,*,#182160,.F.); #231192=ORIENTED_EDGE('',*,*,#182021,.T.); #231193=ORIENTED_EDGE('',*,*,#182456,.T.); #231194=ORIENTED_EDGE('',*,*,#182061,.T.); #231195=ORIENTED_EDGE('',*,*,#182456,.F.); #231196=ORIENTED_EDGE('',*,*,#182023,.T.); #231197=ORIENTED_EDGE('',*,*,#182457,.T.); #231198=ORIENTED_EDGE('',*,*,#182062,.T.); #231199=ORIENTED_EDGE('',*,*,#182457,.F.); #231200=ORIENTED_EDGE('',*,*,#182024,.T.); #231201=ORIENTED_EDGE('',*,*,#182458,.T.); #231202=ORIENTED_EDGE('',*,*,#182063,.T.); #231203=ORIENTED_EDGE('',*,*,#182458,.F.); #231204=ORIENTED_EDGE('',*,*,#182022,.T.); #231205=ORIENTED_EDGE('',*,*,#182459,.T.); #231206=ORIENTED_EDGE('',*,*,#182064,.T.); #231207=ORIENTED_EDGE('',*,*,#182459,.F.); #231208=ORIENTED_EDGE('',*,*,#182007,.T.); #231209=ORIENTED_EDGE('',*,*,#182460,.F.); #231210=ORIENTED_EDGE('',*,*,#181808,.T.); #231211=ORIENTED_EDGE('',*,*,#181937,.F.); #231212=ORIENTED_EDGE('',*,*,#182461,.T.); #231213=ORIENTED_EDGE('',*,*,#182462,.T.); #231214=ORIENTED_EDGE('',*,*,#182463,.T.); #231215=ORIENTED_EDGE('',*,*,#182464,.T.); #231216=ORIENTED_EDGE('',*,*,#182465,.T.); #231217=ORIENTED_EDGE('',*,*,#182466,.T.); #231218=ORIENTED_EDGE('',*,*,#182467,.T.); #231219=ORIENTED_EDGE('',*,*,#182468,.T.); #231220=ORIENTED_EDGE('',*,*,#181809,.T.); #231221=ORIENTED_EDGE('',*,*,#182460,.T.); #231222=ORIENTED_EDGE('',*,*,#182006,.T.); #231223=ORIENTED_EDGE('',*,*,#182469,.T.); #231224=ORIENTED_EDGE('',*,*,#182470,.T.); #231225=ORIENTED_EDGE('',*,*,#182471,.F.); #231226=ORIENTED_EDGE('',*,*,#182472,.T.); #231227=ORIENTED_EDGE('',*,*,#182461,.F.); #231228=ORIENTED_EDGE('',*,*,#182473,.T.); #231229=ORIENTED_EDGE('',*,*,#182474,.T.); #231230=ORIENTED_EDGE('',*,*,#182475,.T.); #231231=ORIENTED_EDGE('',*,*,#182471,.T.); #231232=ORIENTED_EDGE('',*,*,#182476,.T.); #231233=ORIENTED_EDGE('',*,*,#182477,.T.); #231234=ORIENTED_EDGE('',*,*,#182004,.T.); #231235=ORIENTED_EDGE('',*,*,#182478,.T.); #231236=ORIENTED_EDGE('',*,*,#181813,.T.); #231237=ORIENTED_EDGE('',*,*,#182479,.T.); #231238=ORIENTED_EDGE('',*,*,#182480,.T.); #231239=ORIENTED_EDGE('',*,*,#182481,.T.); #231240=ORIENTED_EDGE('',*,*,#182482,.T.); #231241=ORIENTED_EDGE('',*,*,#182483,.T.); #231242=ORIENTED_EDGE('',*,*,#182474,.F.); #231243=ORIENTED_EDGE('',*,*,#182484,.T.); #231244=ORIENTED_EDGE('',*,*,#182463,.F.); #231245=ORIENTED_EDGE('',*,*,#182485,.T.); #231246=ORIENTED_EDGE('',*,*,#182475,.F.); #231247=ORIENTED_EDGE('',*,*,#182485,.F.); #231248=ORIENTED_EDGE('',*,*,#182462,.F.); #231249=ORIENTED_EDGE('',*,*,#182472,.F.); #231250=ORIENTED_EDGE('',*,*,#182484,.F.); #231251=ORIENTED_EDGE('',*,*,#182483,.F.); #231252=ORIENTED_EDGE('',*,*,#182486,.F.); #231253=ORIENTED_EDGE('',*,*,#182464,.F.); #231254=ORIENTED_EDGE('',*,*,#182482,.F.); #231255=ORIENTED_EDGE('',*,*,#182487,.T.); #231256=ORIENTED_EDGE('',*,*,#182465,.F.); #231257=ORIENTED_EDGE('',*,*,#182486,.T.); #231258=ORIENTED_EDGE('',*,*,#182481,.F.); #231259=ORIENTED_EDGE('',*,*,#182488,.F.); #231260=ORIENTED_EDGE('',*,*,#182466,.F.); #231261=ORIENTED_EDGE('',*,*,#182487,.F.); #231262=ORIENTED_EDGE('',*,*,#182480,.F.); #231263=ORIENTED_EDGE('',*,*,#182489,.T.); #231264=ORIENTED_EDGE('',*,*,#182467,.F.); #231265=ORIENTED_EDGE('',*,*,#182488,.T.); #231266=ORIENTED_EDGE('',*,*,#182490,.F.); #231267=ORIENTED_EDGE('',*,*,#181810,.T.); #231268=ORIENTED_EDGE('',*,*,#182468,.F.); #231269=ORIENTED_EDGE('',*,*,#182489,.F.); #231270=ORIENTED_EDGE('',*,*,#182479,.F.); #231271=ORIENTED_EDGE('',*,*,#181812,.T.); #231272=ORIENTED_EDGE('',*,*,#182490,.T.); #231273=ORIENTED_EDGE('',*,*,#181811,.T.); #231274=ORIENTED_EDGE('',*,*,#182003,.T.); #231275=ORIENTED_EDGE('',*,*,#182491,.F.); #231276=ORIENTED_EDGE('',*,*,#181814,.T.); #231277=ORIENTED_EDGE('',*,*,#182478,.F.); #231278=ORIENTED_EDGE('',*,*,#182492,.T.); #231279=ORIENTED_EDGE('',*,*,#182493,.T.); #231280=ORIENTED_EDGE('',*,*,#182494,.T.); #231281=ORIENTED_EDGE('',*,*,#182495,.T.); #231282=ORIENTED_EDGE('',*,*,#182496,.T.); #231283=ORIENTED_EDGE('',*,*,#182497,.T.); #231284=ORIENTED_EDGE('',*,*,#182498,.T.); #231285=ORIENTED_EDGE('',*,*,#182499,.T.); #231286=ORIENTED_EDGE('',*,*,#181815,.T.); #231287=ORIENTED_EDGE('',*,*,#182491,.T.); #231288=ORIENTED_EDGE('',*,*,#182002,.T.); #231289=ORIENTED_EDGE('',*,*,#182500,.T.); #231290=ORIENTED_EDGE('',*,*,#182501,.T.); #231291=ORIENTED_EDGE('',*,*,#182492,.F.); #231292=ORIENTED_EDGE('',*,*,#182502,.T.); #231293=ORIENTED_EDGE('',*,*,#182503,.F.); #231294=ORIENTED_EDGE('',*,*,#182504,.T.); #231295=ORIENTED_EDGE('',*,*,#182493,.F.); #231296=ORIENTED_EDGE('',*,*,#182504,.F.); #231297=ORIENTED_EDGE('',*,*,#182505,.T.); #231298=ORIENTED_EDGE('',*,*,#182506,.F.); #231299=ORIENTED_EDGE('',*,*,#182494,.F.); #231300=ORIENTED_EDGE('',*,*,#182506,.T.); #231301=ORIENTED_EDGE('',*,*,#182507,.F.); #231302=ORIENTED_EDGE('',*,*,#182508,.T.); #231303=ORIENTED_EDGE('',*,*,#182509,.F.); #231304=ORIENTED_EDGE('',*,*,#182495,.F.); #231305=ORIENTED_EDGE('',*,*,#182508,.F.); #231306=ORIENTED_EDGE('',*,*,#182510,.T.); #231307=ORIENTED_EDGE('',*,*,#182496,.F.); #231308=ORIENTED_EDGE('',*,*,#182509,.T.); #231309=ORIENTED_EDGE('',*,*,#182511,.F.); #231310=ORIENTED_EDGE('',*,*,#182512,.T.); #231311=ORIENTED_EDGE('',*,*,#182497,.F.); #231312=ORIENTED_EDGE('',*,*,#182512,.F.); #231313=ORIENTED_EDGE('',*,*,#182513,.T.); #231314=ORIENTED_EDGE('',*,*,#182514,.F.); #231315=ORIENTED_EDGE('',*,*,#182498,.F.); #231316=ORIENTED_EDGE('',*,*,#182514,.T.); #231317=ORIENTED_EDGE('',*,*,#182515,.F.); #231318=ORIENTED_EDGE('',*,*,#182516,.T.); #231319=ORIENTED_EDGE('',*,*,#182499,.F.); #231320=ORIENTED_EDGE('',*,*,#182516,.F.); #231321=ORIENTED_EDGE('',*,*,#182517,.F.); #231322=ORIENTED_EDGE('',*,*,#181816,.T.); #231323=ORIENTED_EDGE('',*,*,#182518,.T.); #231324=ORIENTED_EDGE('',*,*,#181817,.T.); #231325=ORIENTED_EDGE('',*,*,#182517,.T.); #231326=ORIENTED_EDGE('',*,*,#182515,.T.); #231327=ORIENTED_EDGE('',*,*,#182513,.F.); #231328=ORIENTED_EDGE('',*,*,#182511,.T.); #231329=ORIENTED_EDGE('',*,*,#182510,.F.); #231330=ORIENTED_EDGE('',*,*,#182507,.T.); #231331=ORIENTED_EDGE('',*,*,#182505,.F.); #231332=ORIENTED_EDGE('',*,*,#182503,.T.); #231333=ORIENTED_EDGE('',*,*,#182519,.F.); #231334=ORIENTED_EDGE('',*,*,#182520,.T.); #231335=ORIENTED_EDGE('',*,*,#182000,.T.); #231336=ORIENTED_EDGE('',*,*,#182521,.T.); #231337=ORIENTED_EDGE('',*,*,#181818,.T.); #231338=ORIENTED_EDGE('',*,*,#182518,.F.); #231339=ORIENTED_EDGE('',*,*,#181999,.T.); #231340=ORIENTED_EDGE('',*,*,#182521,.F.); #231341=ORIENTED_EDGE('',*,*,#181998,.T.); #231342=ORIENTED_EDGE('',*,*,#182522,.T.); #231343=ORIENTED_EDGE('',*,*,#182523,.T.); #231344=ORIENTED_EDGE('',*,*,#182524,.T.); #231345=ORIENTED_EDGE('',*,*,#182525,.T.); #231346=ORIENTED_EDGE('',*,*,#182526,.T.); #231347=ORIENTED_EDGE('',*,*,#182527,.F.); #231348=ORIENTED_EDGE('',*,*,#182528,.T.); #231349=ORIENTED_EDGE('',*,*,#182529,.F.); #231350=ORIENTED_EDGE('',*,*,#182530,.T.); #231351=ORIENTED_EDGE('',*,*,#182531,.T.); #231352=ORIENTED_EDGE('',*,*,#181819,.T.); #231353=ORIENTED_EDGE('',*,*,#182532,.F.); #231354=ORIENTED_EDGE('',*,*,#181820,.T.); #231355=ORIENTED_EDGE('',*,*,#182531,.F.); #231356=ORIENTED_EDGE('',*,*,#182533,.F.); #231357=ORIENTED_EDGE('',*,*,#182534,.T.); #231358=ORIENTED_EDGE('',*,*,#182535,.T.); #231359=ORIENTED_EDGE('',*,*,#182536,.T.); #231360=ORIENTED_EDGE('',*,*,#182537,.T.); #231361=ORIENTED_EDGE('',*,*,#182538,.T.); #231362=ORIENTED_EDGE('',*,*,#181996,.T.); #231363=ORIENTED_EDGE('',*,*,#182539,.T.); #231364=ORIENTED_EDGE('',*,*,#181821,.T.); #231365=ORIENTED_EDGE('',*,*,#182532,.T.); #231366=ORIENTED_EDGE('',*,*,#182540,.T.); #231367=ORIENTED_EDGE('',*,*,#182541,.T.); #231368=ORIENTED_EDGE('',*,*,#182542,.T.); #231369=ORIENTED_EDGE('',*,*,#182543,.T.); #231370=ORIENTED_EDGE('',*,*,#182534,.F.); #231371=ORIENTED_EDGE('',*,*,#182544,.T.); #231372=ORIENTED_EDGE('',*,*,#182526,.F.); #231373=ORIENTED_EDGE('',*,*,#182545,.T.); #231374=ORIENTED_EDGE('',*,*,#182535,.F.); #231375=ORIENTED_EDGE('',*,*,#182545,.F.); #231376=ORIENTED_EDGE('',*,*,#182525,.F.); #231377=ORIENTED_EDGE('',*,*,#182546,.F.); #231378=ORIENTED_EDGE('',*,*,#182536,.F.); #231379=ORIENTED_EDGE('',*,*,#182546,.T.); #231380=ORIENTED_EDGE('',*,*,#182524,.F.); #231381=ORIENTED_EDGE('',*,*,#182547,.T.); #231382=ORIENTED_EDGE('',*,*,#182548,.F.); #231383=ORIENTED_EDGE('',*,*,#182537,.F.); #231384=ORIENTED_EDGE('',*,*,#182547,.F.); #231385=ORIENTED_EDGE('',*,*,#182523,.F.); #231386=ORIENTED_EDGE('',*,*,#182538,.F.); #231387=ORIENTED_EDGE('',*,*,#182548,.T.); #231388=ORIENTED_EDGE('',*,*,#182522,.F.); #231389=ORIENTED_EDGE('',*,*,#181997,.T.); #231390=ORIENTED_EDGE('',*,*,#182544,.F.); #231391=ORIENTED_EDGE('',*,*,#182543,.F.); #231392=ORIENTED_EDGE('',*,*,#182549,.F.); #231393=ORIENTED_EDGE('',*,*,#182527,.T.); #231394=ORIENTED_EDGE('',*,*,#182542,.F.); #231395=ORIENTED_EDGE('',*,*,#182550,.T.); #231396=ORIENTED_EDGE('',*,*,#182528,.F.); #231397=ORIENTED_EDGE('',*,*,#182549,.T.); #231398=ORIENTED_EDGE('',*,*,#182541,.F.); #231399=ORIENTED_EDGE('',*,*,#182551,.F.); #231400=ORIENTED_EDGE('',*,*,#182529,.T.); #231401=ORIENTED_EDGE('',*,*,#182550,.F.); #231402=ORIENTED_EDGE('',*,*,#182540,.F.); #231403=ORIENTED_EDGE('',*,*,#182533,.T.); #231404=ORIENTED_EDGE('',*,*,#182530,.F.); #231405=ORIENTED_EDGE('',*,*,#182551,.T.); #231406=ORIENTED_EDGE('',*,*,#181995,.T.); #231407=ORIENTED_EDGE('',*,*,#182552,.F.); #231408=ORIENTED_EDGE('',*,*,#181822,.T.); #231409=ORIENTED_EDGE('',*,*,#182539,.F.); #231410=ORIENTED_EDGE('',*,*,#182553,.T.); #231411=ORIENTED_EDGE('',*,*,#182554,.T.); #231412=ORIENTED_EDGE('',*,*,#182555,.T.); #231413=ORIENTED_EDGE('',*,*,#182556,.T.); #231414=ORIENTED_EDGE('',*,*,#182557,.T.); #231415=ORIENTED_EDGE('',*,*,#182558,.T.); #231416=ORIENTED_EDGE('',*,*,#182559,.T.); #231417=ORIENTED_EDGE('',*,*,#182560,.T.); #231418=ORIENTED_EDGE('',*,*,#181823,.T.); #231419=ORIENTED_EDGE('',*,*,#182552,.T.); #231420=ORIENTED_EDGE('',*,*,#181994,.T.); #231421=ORIENTED_EDGE('',*,*,#182561,.T.); #231422=ORIENTED_EDGE('',*,*,#182562,.T.); #231423=ORIENTED_EDGE('',*,*,#182563,.F.); #231424=ORIENTED_EDGE('',*,*,#182564,.T.); #231425=ORIENTED_EDGE('',*,*,#182553,.F.); #231426=ORIENTED_EDGE('',*,*,#182565,.T.); #231427=ORIENTED_EDGE('',*,*,#182566,.T.); #231428=ORIENTED_EDGE('',*,*,#182567,.T.); #231429=ORIENTED_EDGE('',*,*,#182563,.T.); #231430=ORIENTED_EDGE('',*,*,#182568,.T.); #231431=ORIENTED_EDGE('',*,*,#182569,.T.); #231432=ORIENTED_EDGE('',*,*,#181992,.T.); #231433=ORIENTED_EDGE('',*,*,#182570,.T.); #231434=ORIENTED_EDGE('',*,*,#181827,.T.); #231435=ORIENTED_EDGE('',*,*,#182571,.T.); #231436=ORIENTED_EDGE('',*,*,#182572,.T.); #231437=ORIENTED_EDGE('',*,*,#182573,.T.); #231438=ORIENTED_EDGE('',*,*,#182574,.T.); #231439=ORIENTED_EDGE('',*,*,#182575,.T.); #231440=ORIENTED_EDGE('',*,*,#182566,.F.); #231441=ORIENTED_EDGE('',*,*,#182576,.T.); #231442=ORIENTED_EDGE('',*,*,#182555,.F.); #231443=ORIENTED_EDGE('',*,*,#182577,.T.); #231444=ORIENTED_EDGE('',*,*,#182567,.F.); #231445=ORIENTED_EDGE('',*,*,#182577,.F.); #231446=ORIENTED_EDGE('',*,*,#182554,.F.); #231447=ORIENTED_EDGE('',*,*,#182564,.F.); #231448=ORIENTED_EDGE('',*,*,#182576,.F.); #231449=ORIENTED_EDGE('',*,*,#182575,.F.); #231450=ORIENTED_EDGE('',*,*,#182578,.F.); #231451=ORIENTED_EDGE('',*,*,#182556,.F.); #231452=ORIENTED_EDGE('',*,*,#182574,.F.); #231453=ORIENTED_EDGE('',*,*,#182579,.T.); #231454=ORIENTED_EDGE('',*,*,#182557,.F.); #231455=ORIENTED_EDGE('',*,*,#182578,.T.); #231456=ORIENTED_EDGE('',*,*,#182573,.F.); #231457=ORIENTED_EDGE('',*,*,#182580,.F.); #231458=ORIENTED_EDGE('',*,*,#182558,.F.); #231459=ORIENTED_EDGE('',*,*,#182579,.F.); #231460=ORIENTED_EDGE('',*,*,#182572,.F.); #231461=ORIENTED_EDGE('',*,*,#182581,.T.); #231462=ORIENTED_EDGE('',*,*,#182559,.F.); #231463=ORIENTED_EDGE('',*,*,#182580,.T.); #231464=ORIENTED_EDGE('',*,*,#182582,.F.); #231465=ORIENTED_EDGE('',*,*,#181824,.T.); #231466=ORIENTED_EDGE('',*,*,#182560,.F.); #231467=ORIENTED_EDGE('',*,*,#182581,.F.); #231468=ORIENTED_EDGE('',*,*,#182571,.F.); #231469=ORIENTED_EDGE('',*,*,#181826,.T.); #231470=ORIENTED_EDGE('',*,*,#182582,.T.); #231471=ORIENTED_EDGE('',*,*,#181825,.T.); #231472=ORIENTED_EDGE('',*,*,#181991,.T.); #231473=ORIENTED_EDGE('',*,*,#181760,.F.); #231474=ORIENTED_EDGE('',*,*,#181828,.T.); #231475=ORIENTED_EDGE('',*,*,#182570,.F.); #231476=ORIENTED_EDGE('',*,*,#182569,.F.); #231477=ORIENTED_EDGE('',*,*,#182583,.T.); #231478=ORIENTED_EDGE('',*,*,#182561,.F.); #231479=ORIENTED_EDGE('',*,*,#181993,.T.); #231480=ORIENTED_EDGE('',*,*,#182583,.F.); #231481=ORIENTED_EDGE('',*,*,#182568,.F.); #231482=ORIENTED_EDGE('',*,*,#182565,.F.); #231483=ORIENTED_EDGE('',*,*,#182562,.F.); #231484=ORIENTED_EDGE('',*,*,#182500,.F.); #231485=ORIENTED_EDGE('',*,*,#182001,.T.); #231486=ORIENTED_EDGE('',*,*,#182520,.F.); #231487=ORIENTED_EDGE('',*,*,#182584,.T.); #231488=ORIENTED_EDGE('',*,*,#182502,.F.); #231489=ORIENTED_EDGE('',*,*,#182501,.F.); #231490=ORIENTED_EDGE('',*,*,#182584,.F.); #231491=ORIENTED_EDGE('',*,*,#182519,.T.); #231492=ORIENTED_EDGE('',*,*,#182477,.F.); #231493=ORIENTED_EDGE('',*,*,#182585,.T.); #231494=ORIENTED_EDGE('',*,*,#182469,.F.); #231495=ORIENTED_EDGE('',*,*,#182005,.T.); #231496=ORIENTED_EDGE('',*,*,#182585,.F.); #231497=ORIENTED_EDGE('',*,*,#182476,.F.); #231498=ORIENTED_EDGE('',*,*,#182473,.F.); #231499=ORIENTED_EDGE('',*,*,#182470,.F.); #231500=ORIENTED_EDGE('',*,*,#181762,.F.); #231501=ORIENTED_EDGE('',*,*,#181990,.T.); #231502=ORIENTED_EDGE('',*,*,#181775,.F.); #231503=ORIENTED_EDGE('',*,*,#181764,.T.); #231504=ORIENTED_EDGE('',*,*,#182586,.F.); #231505=ORIENTED_EDGE('',*,*,#182587,.T.); #231506=ORIENTED_EDGE('',*,*,#181781,.F.); #231507=ORIENTED_EDGE('',*,*,#181989,.T.); #231508=ORIENTED_EDGE('',*,*,#182588,.T.); #231509=ORIENTED_EDGE('',*,*,#182589,.T.); #231510=ORIENTED_EDGE('',*,*,#182590,.T.); #231511=ORIENTED_EDGE('',*,*,#182591,.T.); #231512=ORIENTED_EDGE('',*,*,#182586,.T.); #231513=ORIENTED_EDGE('',*,*,#181988,.T.); #231514=ORIENTED_EDGE('',*,*,#182592,.T.); #231515=ORIENTED_EDGE('',*,*,#181831,.T.); #231516=ORIENTED_EDGE('',*,*,#182593,.T.); #231517=ORIENTED_EDGE('',*,*,#182594,.T.); #231518=ORIENTED_EDGE('',*,*,#182595,.T.); #231519=ORIENTED_EDGE('',*,*,#182596,.T.); #231520=ORIENTED_EDGE('',*,*,#182597,.T.); #231521=ORIENTED_EDGE('',*,*,#182588,.F.); #231522=ORIENTED_EDGE('',*,*,#182598,.T.); #231523=ORIENTED_EDGE('',*,*,#181785,.F.); #231524=ORIENTED_EDGE('',*,*,#182599,.T.); #231525=ORIENTED_EDGE('',*,*,#182589,.F.); #231526=ORIENTED_EDGE('',*,*,#182599,.F.); #231527=ORIENTED_EDGE('',*,*,#181784,.F.); #231528=ORIENTED_EDGE('',*,*,#182600,.F.); #231529=ORIENTED_EDGE('',*,*,#182590,.F.); #231530=ORIENTED_EDGE('',*,*,#182600,.T.); #231531=ORIENTED_EDGE('',*,*,#181783,.F.); #231532=ORIENTED_EDGE('',*,*,#182601,.T.); #231533=ORIENTED_EDGE('',*,*,#182587,.F.); #231534=ORIENTED_EDGE('',*,*,#182591,.F.); #231535=ORIENTED_EDGE('',*,*,#182601,.F.); #231536=ORIENTED_EDGE('',*,*,#181782,.F.); #231537=ORIENTED_EDGE('',*,*,#182598,.F.); #231538=ORIENTED_EDGE('',*,*,#182597,.F.); #231539=ORIENTED_EDGE('',*,*,#182602,.F.); #231540=ORIENTED_EDGE('',*,*,#181786,.T.); #231541=ORIENTED_EDGE('',*,*,#182596,.F.); #231542=ORIENTED_EDGE('',*,*,#182603,.T.); #231543=ORIENTED_EDGE('',*,*,#181787,.F.); #231544=ORIENTED_EDGE('',*,*,#182602,.T.); #231545=ORIENTED_EDGE('',*,*,#182595,.F.); #231546=ORIENTED_EDGE('',*,*,#182604,.F.); #231547=ORIENTED_EDGE('',*,*,#181788,.T.); #231548=ORIENTED_EDGE('',*,*,#182603,.F.); #231549=ORIENTED_EDGE('',*,*,#182594,.F.); #231550=ORIENTED_EDGE('',*,*,#182605,.T.); #231551=ORIENTED_EDGE('',*,*,#181789,.F.); #231552=ORIENTED_EDGE('',*,*,#182604,.T.); #231553=ORIENTED_EDGE('',*,*,#182593,.F.); #231554=ORIENTED_EDGE('',*,*,#181830,.T.); #231555=ORIENTED_EDGE('',*,*,#181790,.F.); #231556=ORIENTED_EDGE('',*,*,#182605,.F.); #231557=ORIENTED_EDGE('',*,*,#181987,.T.); #231558=ORIENTED_EDGE('',*,*,#182606,.F.); #231559=ORIENTED_EDGE('',*,*,#181832,.T.); #231560=ORIENTED_EDGE('',*,*,#182592,.F.); #231561=ORIENTED_EDGE('',*,*,#182607,.T.); #231562=ORIENTED_EDGE('',*,*,#182608,.T.); #231563=ORIENTED_EDGE('',*,*,#182609,.T.); #231564=ORIENTED_EDGE('',*,*,#182610,.T.); #231565=ORIENTED_EDGE('',*,*,#182611,.T.); #231566=ORIENTED_EDGE('',*,*,#182612,.T.); #231567=ORIENTED_EDGE('',*,*,#182613,.T.); #231568=ORIENTED_EDGE('',*,*,#182614,.T.); #231569=ORIENTED_EDGE('',*,*,#181833,.T.); #231570=ORIENTED_EDGE('',*,*,#182606,.T.); #231571=ORIENTED_EDGE('',*,*,#181986,.T.); #231572=ORIENTED_EDGE('',*,*,#182615,.T.); #231573=ORIENTED_EDGE('',*,*,#182616,.T.); #231574=ORIENTED_EDGE('',*,*,#182617,.F.); #231575=ORIENTED_EDGE('',*,*,#182618,.T.); #231576=ORIENTED_EDGE('',*,*,#182607,.F.); #231577=ORIENTED_EDGE('',*,*,#182619,.T.); #231578=ORIENTED_EDGE('',*,*,#182620,.T.); #231579=ORIENTED_EDGE('',*,*,#182621,.T.); #231580=ORIENTED_EDGE('',*,*,#182617,.T.); #231581=ORIENTED_EDGE('',*,*,#182622,.T.); #231582=ORIENTED_EDGE('',*,*,#182623,.T.); #231583=ORIENTED_EDGE('',*,*,#181984,.T.); #231584=ORIENTED_EDGE('',*,*,#182624,.T.); #231585=ORIENTED_EDGE('',*,*,#181837,.T.); #231586=ORIENTED_EDGE('',*,*,#182625,.T.); #231587=ORIENTED_EDGE('',*,*,#182626,.T.); #231588=ORIENTED_EDGE('',*,*,#182627,.T.); #231589=ORIENTED_EDGE('',*,*,#182628,.T.); #231590=ORIENTED_EDGE('',*,*,#182629,.T.); #231591=ORIENTED_EDGE('',*,*,#182620,.F.); #231592=ORIENTED_EDGE('',*,*,#182630,.T.); #231593=ORIENTED_EDGE('',*,*,#182609,.F.); #231594=ORIENTED_EDGE('',*,*,#182631,.T.); #231595=ORIENTED_EDGE('',*,*,#182621,.F.); #231596=ORIENTED_EDGE('',*,*,#182631,.F.); #231597=ORIENTED_EDGE('',*,*,#182608,.F.); #231598=ORIENTED_EDGE('',*,*,#182618,.F.); #231599=ORIENTED_EDGE('',*,*,#182630,.F.); #231600=ORIENTED_EDGE('',*,*,#182629,.F.); #231601=ORIENTED_EDGE('',*,*,#182632,.F.); #231602=ORIENTED_EDGE('',*,*,#182610,.F.); #231603=ORIENTED_EDGE('',*,*,#182628,.F.); #231604=ORIENTED_EDGE('',*,*,#182633,.T.); #231605=ORIENTED_EDGE('',*,*,#182611,.F.); #231606=ORIENTED_EDGE('',*,*,#182632,.T.); #231607=ORIENTED_EDGE('',*,*,#182627,.F.); #231608=ORIENTED_EDGE('',*,*,#182634,.F.); #231609=ORIENTED_EDGE('',*,*,#182612,.F.); #231610=ORIENTED_EDGE('',*,*,#182633,.F.); #231611=ORIENTED_EDGE('',*,*,#182626,.F.); #231612=ORIENTED_EDGE('',*,*,#182635,.T.); #231613=ORIENTED_EDGE('',*,*,#182613,.F.); #231614=ORIENTED_EDGE('',*,*,#182634,.T.); #231615=ORIENTED_EDGE('',*,*,#182636,.F.); #231616=ORIENTED_EDGE('',*,*,#181834,.T.); #231617=ORIENTED_EDGE('',*,*,#182614,.F.); #231618=ORIENTED_EDGE('',*,*,#182635,.F.); #231619=ORIENTED_EDGE('',*,*,#182625,.F.); #231620=ORIENTED_EDGE('',*,*,#181836,.T.); #231621=ORIENTED_EDGE('',*,*,#182636,.T.); #231622=ORIENTED_EDGE('',*,*,#181835,.T.); #231623=ORIENTED_EDGE('',*,*,#181983,.T.); #231624=ORIENTED_EDGE('',*,*,#182637,.F.); #231625=ORIENTED_EDGE('',*,*,#181838,.T.); #231626=ORIENTED_EDGE('',*,*,#182624,.F.); #231627=ORIENTED_EDGE('',*,*,#182638,.T.); #231628=ORIENTED_EDGE('',*,*,#182639,.T.); #231629=ORIENTED_EDGE('',*,*,#182640,.T.); #231630=ORIENTED_EDGE('',*,*,#182641,.T.); #231631=ORIENTED_EDGE('',*,*,#182642,.T.); #231632=ORIENTED_EDGE('',*,*,#182643,.T.); #231633=ORIENTED_EDGE('',*,*,#182644,.T.); #231634=ORIENTED_EDGE('',*,*,#182645,.T.); #231635=ORIENTED_EDGE('',*,*,#181839,.T.); #231636=ORIENTED_EDGE('',*,*,#182637,.T.); #231637=ORIENTED_EDGE('',*,*,#181982,.T.); #231638=ORIENTED_EDGE('',*,*,#182646,.T.); #231639=ORIENTED_EDGE('',*,*,#182647,.T.); #231640=ORIENTED_EDGE('',*,*,#182638,.F.); #231641=ORIENTED_EDGE('',*,*,#182648,.T.); #231642=ORIENTED_EDGE('',*,*,#182649,.F.); #231643=ORIENTED_EDGE('',*,*,#182650,.T.); #231644=ORIENTED_EDGE('',*,*,#182639,.F.); #231645=ORIENTED_EDGE('',*,*,#182650,.F.); #231646=ORIENTED_EDGE('',*,*,#182651,.T.); #231647=ORIENTED_EDGE('',*,*,#182652,.F.); #231648=ORIENTED_EDGE('',*,*,#182640,.F.); #231649=ORIENTED_EDGE('',*,*,#182652,.T.); #231650=ORIENTED_EDGE('',*,*,#182653,.F.); #231651=ORIENTED_EDGE('',*,*,#182654,.T.); #231652=ORIENTED_EDGE('',*,*,#182655,.F.); #231653=ORIENTED_EDGE('',*,*,#182641,.F.); #231654=ORIENTED_EDGE('',*,*,#182654,.F.); #231655=ORIENTED_EDGE('',*,*,#182656,.T.); #231656=ORIENTED_EDGE('',*,*,#182642,.F.); #231657=ORIENTED_EDGE('',*,*,#182655,.T.); #231658=ORIENTED_EDGE('',*,*,#182657,.F.); #231659=ORIENTED_EDGE('',*,*,#182658,.T.); #231660=ORIENTED_EDGE('',*,*,#182643,.F.); #231661=ORIENTED_EDGE('',*,*,#182658,.F.); #231662=ORIENTED_EDGE('',*,*,#182659,.T.); #231663=ORIENTED_EDGE('',*,*,#182660,.F.); #231664=ORIENTED_EDGE('',*,*,#182644,.F.); #231665=ORIENTED_EDGE('',*,*,#182660,.T.); #231666=ORIENTED_EDGE('',*,*,#182661,.F.); #231667=ORIENTED_EDGE('',*,*,#182662,.T.); #231668=ORIENTED_EDGE('',*,*,#182645,.F.); #231669=ORIENTED_EDGE('',*,*,#182662,.F.); #231670=ORIENTED_EDGE('',*,*,#182663,.F.); #231671=ORIENTED_EDGE('',*,*,#181840,.T.); #231672=ORIENTED_EDGE('',*,*,#182664,.T.); #231673=ORIENTED_EDGE('',*,*,#181841,.T.); #231674=ORIENTED_EDGE('',*,*,#182663,.T.); #231675=ORIENTED_EDGE('',*,*,#182661,.T.); #231676=ORIENTED_EDGE('',*,*,#182659,.F.); #231677=ORIENTED_EDGE('',*,*,#182657,.T.); #231678=ORIENTED_EDGE('',*,*,#182656,.F.); #231679=ORIENTED_EDGE('',*,*,#182653,.T.); #231680=ORIENTED_EDGE('',*,*,#182651,.F.); #231681=ORIENTED_EDGE('',*,*,#182649,.T.); #231682=ORIENTED_EDGE('',*,*,#182665,.F.); #231683=ORIENTED_EDGE('',*,*,#182666,.T.); #231684=ORIENTED_EDGE('',*,*,#181980,.T.); #231685=ORIENTED_EDGE('',*,*,#182667,.T.); #231686=ORIENTED_EDGE('',*,*,#181842,.T.); #231687=ORIENTED_EDGE('',*,*,#182664,.F.); #231688=ORIENTED_EDGE('',*,*,#181979,.T.); #231689=ORIENTED_EDGE('',*,*,#182667,.F.); #231690=ORIENTED_EDGE('',*,*,#181978,.T.); #231691=ORIENTED_EDGE('',*,*,#182668,.T.); #231692=ORIENTED_EDGE('',*,*,#182669,.T.); #231693=ORIENTED_EDGE('',*,*,#182670,.T.); #231694=ORIENTED_EDGE('',*,*,#182671,.T.); #231695=ORIENTED_EDGE('',*,*,#182672,.T.); #231696=ORIENTED_EDGE('',*,*,#182673,.F.); #231697=ORIENTED_EDGE('',*,*,#182674,.T.); #231698=ORIENTED_EDGE('',*,*,#182675,.F.); #231699=ORIENTED_EDGE('',*,*,#182676,.T.); #231700=ORIENTED_EDGE('',*,*,#182677,.T.); #231701=ORIENTED_EDGE('',*,*,#181843,.T.); #231702=ORIENTED_EDGE('',*,*,#182678,.F.); #231703=ORIENTED_EDGE('',*,*,#181844,.T.); #231704=ORIENTED_EDGE('',*,*,#182677,.F.); #231705=ORIENTED_EDGE('',*,*,#182679,.F.); #231706=ORIENTED_EDGE('',*,*,#182680,.T.); #231707=ORIENTED_EDGE('',*,*,#182681,.T.); #231708=ORIENTED_EDGE('',*,*,#182682,.T.); #231709=ORIENTED_EDGE('',*,*,#182683,.T.); #231710=ORIENTED_EDGE('',*,*,#182684,.T.); #231711=ORIENTED_EDGE('',*,*,#181976,.T.); #231712=ORIENTED_EDGE('',*,*,#182685,.T.); #231713=ORIENTED_EDGE('',*,*,#181845,.T.); #231714=ORIENTED_EDGE('',*,*,#182678,.T.); #231715=ORIENTED_EDGE('',*,*,#182686,.T.); #231716=ORIENTED_EDGE('',*,*,#182687,.T.); #231717=ORIENTED_EDGE('',*,*,#182688,.T.); #231718=ORIENTED_EDGE('',*,*,#182689,.T.); #231719=ORIENTED_EDGE('',*,*,#182680,.F.); #231720=ORIENTED_EDGE('',*,*,#182690,.T.); #231721=ORIENTED_EDGE('',*,*,#182672,.F.); #231722=ORIENTED_EDGE('',*,*,#182691,.T.); #231723=ORIENTED_EDGE('',*,*,#182681,.F.); #231724=ORIENTED_EDGE('',*,*,#182691,.F.); #231725=ORIENTED_EDGE('',*,*,#182671,.F.); #231726=ORIENTED_EDGE('',*,*,#182692,.F.); #231727=ORIENTED_EDGE('',*,*,#182682,.F.); #231728=ORIENTED_EDGE('',*,*,#182692,.T.); #231729=ORIENTED_EDGE('',*,*,#182670,.F.); #231730=ORIENTED_EDGE('',*,*,#182693,.T.); #231731=ORIENTED_EDGE('',*,*,#182694,.F.); #231732=ORIENTED_EDGE('',*,*,#182683,.F.); #231733=ORIENTED_EDGE('',*,*,#182693,.F.); #231734=ORIENTED_EDGE('',*,*,#182669,.F.); #231735=ORIENTED_EDGE('',*,*,#182684,.F.); #231736=ORIENTED_EDGE('',*,*,#182694,.T.); #231737=ORIENTED_EDGE('',*,*,#182668,.F.); #231738=ORIENTED_EDGE('',*,*,#181977,.T.); #231739=ORIENTED_EDGE('',*,*,#182690,.F.); #231740=ORIENTED_EDGE('',*,*,#182689,.F.); #231741=ORIENTED_EDGE('',*,*,#182695,.F.); #231742=ORIENTED_EDGE('',*,*,#182673,.T.); #231743=ORIENTED_EDGE('',*,*,#182688,.F.); #231744=ORIENTED_EDGE('',*,*,#182696,.T.); #231745=ORIENTED_EDGE('',*,*,#182674,.F.); #231746=ORIENTED_EDGE('',*,*,#182695,.T.); #231747=ORIENTED_EDGE('',*,*,#182687,.F.); #231748=ORIENTED_EDGE('',*,*,#182697,.F.); #231749=ORIENTED_EDGE('',*,*,#182675,.T.); #231750=ORIENTED_EDGE('',*,*,#182696,.F.); #231751=ORIENTED_EDGE('',*,*,#182686,.F.); #231752=ORIENTED_EDGE('',*,*,#182679,.T.); #231753=ORIENTED_EDGE('',*,*,#182676,.F.); #231754=ORIENTED_EDGE('',*,*,#182697,.T.); #231755=ORIENTED_EDGE('',*,*,#181975,.T.); #231756=ORIENTED_EDGE('',*,*,#182698,.F.); #231757=ORIENTED_EDGE('',*,*,#181846,.T.); #231758=ORIENTED_EDGE('',*,*,#182685,.F.); #231759=ORIENTED_EDGE('',*,*,#182699,.T.); #231760=ORIENTED_EDGE('',*,*,#182700,.T.); #231761=ORIENTED_EDGE('',*,*,#182701,.T.); #231762=ORIENTED_EDGE('',*,*,#182702,.T.); #231763=ORIENTED_EDGE('',*,*,#182703,.T.); #231764=ORIENTED_EDGE('',*,*,#182704,.T.); #231765=ORIENTED_EDGE('',*,*,#182705,.T.); #231766=ORIENTED_EDGE('',*,*,#182706,.T.); #231767=ORIENTED_EDGE('',*,*,#181847,.T.); #231768=ORIENTED_EDGE('',*,*,#182698,.T.); #231769=ORIENTED_EDGE('',*,*,#181974,.T.); #231770=ORIENTED_EDGE('',*,*,#182707,.T.); #231771=ORIENTED_EDGE('',*,*,#182708,.T.); #231772=ORIENTED_EDGE('',*,*,#182709,.F.); #231773=ORIENTED_EDGE('',*,*,#182710,.T.); #231774=ORIENTED_EDGE('',*,*,#182699,.F.); #231775=ORIENTED_EDGE('',*,*,#182711,.T.); #231776=ORIENTED_EDGE('',*,*,#182712,.T.); #231777=ORIENTED_EDGE('',*,*,#182713,.T.); #231778=ORIENTED_EDGE('',*,*,#182709,.T.); #231779=ORIENTED_EDGE('',*,*,#182714,.T.); #231780=ORIENTED_EDGE('',*,*,#182715,.T.); #231781=ORIENTED_EDGE('',*,*,#181972,.T.); #231782=ORIENTED_EDGE('',*,*,#182716,.T.); #231783=ORIENTED_EDGE('',*,*,#181851,.T.); #231784=ORIENTED_EDGE('',*,*,#182717,.T.); #231785=ORIENTED_EDGE('',*,*,#182718,.T.); #231786=ORIENTED_EDGE('',*,*,#182719,.T.); #231787=ORIENTED_EDGE('',*,*,#182720,.T.); #231788=ORIENTED_EDGE('',*,*,#182721,.T.); #231789=ORIENTED_EDGE('',*,*,#182712,.F.); #231790=ORIENTED_EDGE('',*,*,#182722,.T.); #231791=ORIENTED_EDGE('',*,*,#182701,.F.); #231792=ORIENTED_EDGE('',*,*,#182723,.T.); #231793=ORIENTED_EDGE('',*,*,#182713,.F.); #231794=ORIENTED_EDGE('',*,*,#182723,.F.); #231795=ORIENTED_EDGE('',*,*,#182700,.F.); #231796=ORIENTED_EDGE('',*,*,#182710,.F.); #231797=ORIENTED_EDGE('',*,*,#182722,.F.); #231798=ORIENTED_EDGE('',*,*,#182721,.F.); #231799=ORIENTED_EDGE('',*,*,#182724,.F.); #231800=ORIENTED_EDGE('',*,*,#182702,.F.); #231801=ORIENTED_EDGE('',*,*,#182720,.F.); #231802=ORIENTED_EDGE('',*,*,#182725,.T.); #231803=ORIENTED_EDGE('',*,*,#182703,.F.); #231804=ORIENTED_EDGE('',*,*,#182724,.T.); #231805=ORIENTED_EDGE('',*,*,#182719,.F.); #231806=ORIENTED_EDGE('',*,*,#182726,.F.); #231807=ORIENTED_EDGE('',*,*,#182704,.F.); #231808=ORIENTED_EDGE('',*,*,#182725,.F.); #231809=ORIENTED_EDGE('',*,*,#182718,.F.); #231810=ORIENTED_EDGE('',*,*,#182727,.T.); #231811=ORIENTED_EDGE('',*,*,#182705,.F.); #231812=ORIENTED_EDGE('',*,*,#182726,.T.); #231813=ORIENTED_EDGE('',*,*,#182728,.F.); #231814=ORIENTED_EDGE('',*,*,#181848,.T.); #231815=ORIENTED_EDGE('',*,*,#182706,.F.); #231816=ORIENTED_EDGE('',*,*,#182727,.F.); #231817=ORIENTED_EDGE('',*,*,#182717,.F.); #231818=ORIENTED_EDGE('',*,*,#181850,.T.); #231819=ORIENTED_EDGE('',*,*,#182728,.T.); #231820=ORIENTED_EDGE('',*,*,#181849,.T.); #231821=ORIENTED_EDGE('',*,*,#181971,.T.); #231822=ORIENTED_EDGE('',*,*,#182729,.F.); #231823=ORIENTED_EDGE('',*,*,#181852,.T.); #231824=ORIENTED_EDGE('',*,*,#182716,.F.); #231825=ORIENTED_EDGE('',*,*,#182730,.T.); #231826=ORIENTED_EDGE('',*,*,#182731,.T.); #231827=ORIENTED_EDGE('',*,*,#182732,.T.); #231828=ORIENTED_EDGE('',*,*,#182733,.T.); #231829=ORIENTED_EDGE('',*,*,#182734,.T.); #231830=ORIENTED_EDGE('',*,*,#182735,.T.); #231831=ORIENTED_EDGE('',*,*,#182736,.T.); #231832=ORIENTED_EDGE('',*,*,#182737,.T.); #231833=ORIENTED_EDGE('',*,*,#181853,.T.); #231834=ORIENTED_EDGE('',*,*,#182729,.T.); #231835=ORIENTED_EDGE('',*,*,#181970,.T.); #231836=ORIENTED_EDGE('',*,*,#182738,.T.); #231837=ORIENTED_EDGE('',*,*,#182739,.T.); #231838=ORIENTED_EDGE('',*,*,#182730,.F.); #231839=ORIENTED_EDGE('',*,*,#182740,.T.); #231840=ORIENTED_EDGE('',*,*,#182741,.F.); #231841=ORIENTED_EDGE('',*,*,#182742,.T.); #231842=ORIENTED_EDGE('',*,*,#182731,.F.); #231843=ORIENTED_EDGE('',*,*,#182742,.F.); #231844=ORIENTED_EDGE('',*,*,#182743,.T.); #231845=ORIENTED_EDGE('',*,*,#182744,.F.); #231846=ORIENTED_EDGE('',*,*,#182732,.F.); #231847=ORIENTED_EDGE('',*,*,#182744,.T.); #231848=ORIENTED_EDGE('',*,*,#182745,.F.); #231849=ORIENTED_EDGE('',*,*,#182746,.T.); #231850=ORIENTED_EDGE('',*,*,#182747,.F.); #231851=ORIENTED_EDGE('',*,*,#182733,.F.); #231852=ORIENTED_EDGE('',*,*,#182746,.F.); #231853=ORIENTED_EDGE('',*,*,#182748,.T.); #231854=ORIENTED_EDGE('',*,*,#182734,.F.); #231855=ORIENTED_EDGE('',*,*,#182747,.T.); #231856=ORIENTED_EDGE('',*,*,#182749,.F.); #231857=ORIENTED_EDGE('',*,*,#182750,.T.); #231858=ORIENTED_EDGE('',*,*,#182735,.F.); #231859=ORIENTED_EDGE('',*,*,#182750,.F.); #231860=ORIENTED_EDGE('',*,*,#182751,.T.); #231861=ORIENTED_EDGE('',*,*,#182752,.F.); #231862=ORIENTED_EDGE('',*,*,#182736,.F.); #231863=ORIENTED_EDGE('',*,*,#182752,.T.); #231864=ORIENTED_EDGE('',*,*,#182753,.F.); #231865=ORIENTED_EDGE('',*,*,#182754,.T.); #231866=ORIENTED_EDGE('',*,*,#182737,.F.); #231867=ORIENTED_EDGE('',*,*,#182754,.F.); #231868=ORIENTED_EDGE('',*,*,#182755,.F.); #231869=ORIENTED_EDGE('',*,*,#181854,.T.); #231870=ORIENTED_EDGE('',*,*,#182756,.T.); #231871=ORIENTED_EDGE('',*,*,#181855,.T.); #231872=ORIENTED_EDGE('',*,*,#182755,.T.); #231873=ORIENTED_EDGE('',*,*,#182753,.T.); #231874=ORIENTED_EDGE('',*,*,#182751,.F.); #231875=ORIENTED_EDGE('',*,*,#182749,.T.); #231876=ORIENTED_EDGE('',*,*,#182748,.F.); #231877=ORIENTED_EDGE('',*,*,#182745,.T.); #231878=ORIENTED_EDGE('',*,*,#182743,.F.); #231879=ORIENTED_EDGE('',*,*,#182741,.T.); #231880=ORIENTED_EDGE('',*,*,#182757,.F.); #231881=ORIENTED_EDGE('',*,*,#182758,.T.); #231882=ORIENTED_EDGE('',*,*,#181968,.T.); #231883=ORIENTED_EDGE('',*,*,#181944,.T.); #231884=ORIENTED_EDGE('',*,*,#181856,.T.); #231885=ORIENTED_EDGE('',*,*,#182756,.F.); #231886=ORIENTED_EDGE('',*,*,#181967,.T.); #231887=ORIENTED_EDGE('',*,*,#182738,.F.); #231888=ORIENTED_EDGE('',*,*,#181969,.T.); #231889=ORIENTED_EDGE('',*,*,#182758,.F.); #231890=ORIENTED_EDGE('',*,*,#182759,.T.); #231891=ORIENTED_EDGE('',*,*,#182740,.F.); #231892=ORIENTED_EDGE('',*,*,#182739,.F.); #231893=ORIENTED_EDGE('',*,*,#182759,.F.); #231894=ORIENTED_EDGE('',*,*,#182757,.T.); #231895=ORIENTED_EDGE('',*,*,#182715,.F.); #231896=ORIENTED_EDGE('',*,*,#182760,.T.); #231897=ORIENTED_EDGE('',*,*,#182707,.F.); #231898=ORIENTED_EDGE('',*,*,#181973,.T.); #231899=ORIENTED_EDGE('',*,*,#182760,.F.); #231900=ORIENTED_EDGE('',*,*,#182714,.F.); #231901=ORIENTED_EDGE('',*,*,#182711,.F.); #231902=ORIENTED_EDGE('',*,*,#182708,.F.); #231903=ORIENTED_EDGE('',*,*,#182646,.F.); #231904=ORIENTED_EDGE('',*,*,#181981,.T.); #231905=ORIENTED_EDGE('',*,*,#182666,.F.); #231906=ORIENTED_EDGE('',*,*,#182761,.T.); #231907=ORIENTED_EDGE('',*,*,#182648,.F.); #231908=ORIENTED_EDGE('',*,*,#182647,.F.); #231909=ORIENTED_EDGE('',*,*,#182761,.F.); #231910=ORIENTED_EDGE('',*,*,#182665,.T.); #231911=ORIENTED_EDGE('',*,*,#182623,.F.); #231912=ORIENTED_EDGE('',*,*,#182762,.T.); #231913=ORIENTED_EDGE('',*,*,#182615,.F.); #231914=ORIENTED_EDGE('',*,*,#181985,.T.); #231915=ORIENTED_EDGE('',*,*,#182762,.F.); #231916=ORIENTED_EDGE('',*,*,#182622,.F.); #231917=ORIENTED_EDGE('',*,*,#182619,.F.); #231918=ORIENTED_EDGE('',*,*,#182616,.F.); #231919=ORIENTED_EDGE('',*,*,#181862,.T.); #231920=ORIENTED_EDGE('',*,*,#181938,.F.); #231921=ORIENTED_EDGE('',*,*,#181874,.T.); #231922=ORIENTED_EDGE('',*,*,#182763,.T.); #231923=ORIENTED_EDGE('',*,*,#181863,.T.); #231924=ORIENTED_EDGE('',*,*,#182763,.F.); #231925=ORIENTED_EDGE('',*,*,#181873,.T.); #231926=ORIENTED_EDGE('',*,*,#181870,.F.); #231927=ORIENTED_EDGE('',*,*,#181793,.T.); #231928=ORIENTED_EDGE('',*,*,#181869,.F.); #231929=ORIENTED_EDGE('',*,*,#181872,.T.); #231930=ORIENTED_EDGE('',*,*,#181909,.F.); #231931=ORIENTED_EDGE('',*,*,#181905,.T.); #231932=ORIENTED_EDGE('',*,*,#182764,.T.); #231933=ORIENTED_EDGE('',*,*,#181867,.T.); #231934=ORIENTED_EDGE('',*,*,#182764,.F.); #231935=ORIENTED_EDGE('',*,*,#181906,.T.); #231936=ORIENTED_EDGE('',*,*,#182765,.T.); #231937=ORIENTED_EDGE('',*,*,#181864,.T.); #231938=ORIENTED_EDGE('',*,*,#182765,.F.); #231939=ORIENTED_EDGE('',*,*,#181907,.T.); #231940=ORIENTED_EDGE('',*,*,#182766,.T.); #231941=ORIENTED_EDGE('',*,*,#181866,.T.); #231942=ORIENTED_EDGE('',*,*,#182766,.F.); #231943=ORIENTED_EDGE('',*,*,#181908,.T.); #231944=ORIENTED_EDGE('',*,*,#182767,.T.); #231945=ORIENTED_EDGE('',*,*,#181865,.T.); #231946=ORIENTED_EDGE('',*,*,#182767,.F.); #231947=ORIENTED_EDGE('',*,*,#181758,.F.); #231948=ORIENTED_EDGE('',*,*,#182768,.F.); #231949=ORIENTED_EDGE('',*,*,#181768,.F.); #231950=ORIENTED_EDGE('',*,*,#181829,.T.); #231951=ORIENTED_EDGE('',*,*,#181757,.F.); #231952=ORIENTED_EDGE('',*,*,#182769,.T.); #231953=ORIENTED_EDGE('',*,*,#181769,.F.); #231954=ORIENTED_EDGE('',*,*,#182768,.T.); #231955=ORIENTED_EDGE('',*,*,#181756,.F.); #231956=ORIENTED_EDGE('',*,*,#182770,.F.); #231957=ORIENTED_EDGE('',*,*,#181770,.T.); #231958=ORIENTED_EDGE('',*,*,#182769,.F.); #231959=ORIENTED_EDGE('',*,*,#181755,.F.); #231960=ORIENTED_EDGE('',*,*,#182771,.T.); #231961=ORIENTED_EDGE('',*,*,#181771,.F.); #231962=ORIENTED_EDGE('',*,*,#182770,.T.); #231963=ORIENTED_EDGE('',*,*,#182771,.F.); #231964=ORIENTED_EDGE('',*,*,#181754,.F.); #231965=ORIENTED_EDGE('',*,*,#182772,.F.); #231966=ORIENTED_EDGE('',*,*,#181772,.T.); #231967=ORIENTED_EDGE('',*,*,#181753,.F.); #231968=ORIENTED_EDGE('',*,*,#182773,.T.); #231969=ORIENTED_EDGE('',*,*,#181773,.F.); #231970=ORIENTED_EDGE('',*,*,#182772,.T.); #231971=ORIENTED_EDGE('',*,*,#181752,.F.); #231972=ORIENTED_EDGE('',*,*,#181751,.F.); #231973=ORIENTED_EDGE('',*,*,#181774,.T.); #231974=ORIENTED_EDGE('',*,*,#182773,.F.); #231975=ORIENTED_EDGE('',*,*,#182774,.F.); #231976=ORIENTED_EDGE('',*,*,#182775,.T.); #231977=ORIENTED_EDGE('',*,*,#182776,.F.); #231978=ORIENTED_EDGE('',*,*,#182777,.F.); #231979=ORIENTED_EDGE('',*,*,#182778,.T.); #231980=ORIENTED_EDGE('',*,*,#182779,.T.); #231981=ORIENTED_EDGE('',*,*,#182780,.F.); #231982=ORIENTED_EDGE('',*,*,#182774,.T.); #231983=ORIENTED_EDGE('',*,*,#182781,.F.); #231984=ORIENTED_EDGE('',*,*,#182779,.F.); #231985=ORIENTED_EDGE('',*,*,#182782,.T.); #231986=ORIENTED_EDGE('',*,*,#182783,.F.); #231987=ORIENTED_EDGE('',*,*,#182784,.T.); #231988=ORIENTED_EDGE('',*,*,#182785,.T.); #231989=ORIENTED_EDGE('',*,*,#182780,.T.); #231990=ORIENTED_EDGE('',*,*,#182785,.F.); #231991=ORIENTED_EDGE('',*,*,#182786,.F.); #231992=ORIENTED_EDGE('',*,*,#182787,.T.); #231993=ORIENTED_EDGE('',*,*,#182788,.F.); #231994=ORIENTED_EDGE('',*,*,#182789,.F.); #231995=ORIENTED_EDGE('',*,*,#182775,.F.); #231996=ORIENTED_EDGE('',*,*,#182777,.T.); #231997=ORIENTED_EDGE('',*,*,#182790,.T.); #231998=ORIENTED_EDGE('',*,*,#182791,.T.); #231999=ORIENTED_EDGE('',*,*,#182790,.F.); #232000=ORIENTED_EDGE('',*,*,#182776,.T.); #232001=ORIENTED_EDGE('',*,*,#182789,.T.); #232002=ORIENTED_EDGE('',*,*,#182792,.T.); #232003=ORIENTED_EDGE('',*,*,#182793,.T.); #232004=ORIENTED_EDGE('',*,*,#182794,.T.); #232005=ORIENTED_EDGE('',*,*,#182795,.T.); #232006=ORIENTED_EDGE('',*,*,#182796,.T.); #232007=ORIENTED_EDGE('',*,*,#182797,.T.); #232008=ORIENTED_EDGE('',*,*,#182798,.T.); #232009=ORIENTED_EDGE('',*,*,#182799,.T.); #232010=ORIENTED_EDGE('',*,*,#182800,.T.); #232011=ORIENTED_EDGE('',*,*,#182801,.T.); #232012=ORIENTED_EDGE('',*,*,#182802,.T.); #232013=ORIENTED_EDGE('',*,*,#182803,.T.); #232014=ORIENTED_EDGE('',*,*,#182804,.T.); #232015=ORIENTED_EDGE('',*,*,#182805,.T.); #232016=ORIENTED_EDGE('',*,*,#182806,.F.); #232017=ORIENTED_EDGE('',*,*,#182807,.F.); #232018=ORIENTED_EDGE('',*,*,#182808,.T.); #232019=ORIENTED_EDGE('',*,*,#182809,.F.); #232020=ORIENTED_EDGE('',*,*,#182797,.F.); #232021=ORIENTED_EDGE('',*,*,#182810,.F.); #232022=ORIENTED_EDGE('',*,*,#182811,.T.); #232023=ORIENTED_EDGE('',*,*,#182812,.F.); #232024=ORIENTED_EDGE('',*,*,#182813,.T.); #232025=ORIENTED_EDGE('',*,*,#182805,.F.); #232026=ORIENTED_EDGE('',*,*,#182811,.F.); #232027=ORIENTED_EDGE('',*,*,#182810,.T.); #232028=ORIENTED_EDGE('',*,*,#182796,.F.); #232029=ORIENTED_EDGE('',*,*,#182814,.T.); #232030=ORIENTED_EDGE('',*,*,#182812,.T.); #232031=ORIENTED_EDGE('',*,*,#182814,.F.); #232032=ORIENTED_EDGE('',*,*,#182795,.F.); #232033=ORIENTED_EDGE('',*,*,#182815,.T.); #232034=ORIENTED_EDGE('',*,*,#182816,.T.); #232035=ORIENTED_EDGE('',*,*,#182817,.F.); #232036=ORIENTED_EDGE('',*,*,#182818,.F.); #232037=ORIENTED_EDGE('',*,*,#182819,.T.); #232038=ORIENTED_EDGE('',*,*,#182820,.F.); #232039=ORIENTED_EDGE('',*,*,#182813,.F.); #232040=ORIENTED_EDGE('',*,*,#182820,.T.); #232041=ORIENTED_EDGE('',*,*,#182821,.F.); #232042=ORIENTED_EDGE('',*,*,#182822,.T.); #232043=ORIENTED_EDGE('',*,*,#182806,.T.); #232044=ORIENTED_EDGE('',*,*,#182823,.T.); #232045=ORIENTED_EDGE('',*,*,#182824,.F.); #232046=ORIENTED_EDGE('',*,*,#182825,.T.); #232047=ORIENTED_EDGE('',*,*,#182807,.T.); #232048=ORIENTED_EDGE('',*,*,#182822,.F.); #232049=ORIENTED_EDGE('',*,*,#182823,.F.); #232050=ORIENTED_EDGE('',*,*,#182821,.T.); #232051=ORIENTED_EDGE('',*,*,#182819,.F.); #232052=ORIENTED_EDGE('',*,*,#182826,.T.); #232053=ORIENTED_EDGE('',*,*,#182827,.T.); #232054=ORIENTED_EDGE('',*,*,#182827,.F.); #232055=ORIENTED_EDGE('',*,*,#182828,.F.); #232056=ORIENTED_EDGE('',*,*,#182829,.T.); #232057=ORIENTED_EDGE('',*,*,#182830,.T.); #232058=ORIENTED_EDGE('',*,*,#182824,.T.); #232059=ORIENTED_EDGE('',*,*,#182808,.F.); #232060=ORIENTED_EDGE('',*,*,#182825,.F.); #232061=ORIENTED_EDGE('',*,*,#182830,.F.); #232062=ORIENTED_EDGE('',*,*,#182831,.F.); #232063=ORIENTED_EDGE('',*,*,#182832,.T.); #232064=ORIENTED_EDGE('',*,*,#182833,.F.); #232065=ORIENTED_EDGE('',*,*,#182798,.F.); #232066=ORIENTED_EDGE('',*,*,#182809,.T.); #232067=ORIENTED_EDGE('',*,*,#182831,.T.); #232068=ORIENTED_EDGE('',*,*,#182829,.F.); #232069=ORIENTED_EDGE('',*,*,#182834,.F.); #232070=ORIENTED_EDGE('',*,*,#182835,.T.); #232071=ORIENTED_EDGE('',*,*,#182836,.F.); #232072=ORIENTED_EDGE('',*,*,#182837,.T.); #232073=ORIENTED_EDGE('',*,*,#182832,.F.); #232074=ORIENTED_EDGE('',*,*,#182838,.T.); #232075=ORIENTED_EDGE('',*,*,#182839,.F.); #232076=ORIENTED_EDGE('',*,*,#182837,.F.); #232077=ORIENTED_EDGE('',*,*,#182840,.T.); #232078=ORIENTED_EDGE('',*,*,#182799,.F.); #232079=ORIENTED_EDGE('',*,*,#182833,.T.); #232080=ORIENTED_EDGE('',*,*,#182839,.T.); #232081=ORIENTED_EDGE('',*,*,#182841,.F.); #232082=ORIENTED_EDGE('',*,*,#182842,.F.); #232083=ORIENTED_EDGE('',*,*,#182843,.T.); #232084=ORIENTED_EDGE('',*,*,#182844,.F.); #232085=ORIENTED_EDGE('',*,*,#182800,.F.); #232086=ORIENTED_EDGE('',*,*,#182840,.F.); #232087=ORIENTED_EDGE('',*,*,#182845,.T.); #232088=ORIENTED_EDGE('',*,*,#182846,.F.); #232089=ORIENTED_EDGE('',*,*,#182801,.F.); #232090=ORIENTED_EDGE('',*,*,#182844,.T.); #232091=ORIENTED_EDGE('',*,*,#182847,.T.); #232092=ORIENTED_EDGE('',*,*,#182848,.F.); #232093=ORIENTED_EDGE('',*,*,#182849,.F.); #232094=ORIENTED_EDGE('',*,*,#182850,.T.); #232095=ORIENTED_EDGE('',*,*,#182851,.F.); #232096=ORIENTED_EDGE('',*,*,#182852,.T.); #232097=ORIENTED_EDGE('',*,*,#182845,.F.); #232098=ORIENTED_EDGE('',*,*,#182853,.T.); #232099=ORIENTED_EDGE('',*,*,#182854,.F.); #232100=ORIENTED_EDGE('',*,*,#182852,.F.); #232101=ORIENTED_EDGE('',*,*,#182855,.T.); #232102=ORIENTED_EDGE('',*,*,#182802,.F.); #232103=ORIENTED_EDGE('',*,*,#182846,.T.); #232104=ORIENTED_EDGE('',*,*,#182854,.T.); #232105=ORIENTED_EDGE('',*,*,#182856,.F.); #232106=ORIENTED_EDGE('',*,*,#182857,.F.); #232107=ORIENTED_EDGE('',*,*,#182858,.T.); #232108=ORIENTED_EDGE('',*,*,#182859,.F.); #232109=ORIENTED_EDGE('',*,*,#182803,.F.); #232110=ORIENTED_EDGE('',*,*,#182855,.F.); #232111=ORIENTED_EDGE('',*,*,#182781,.T.); #232112=ORIENTED_EDGE('',*,*,#182778,.F.); #232113=ORIENTED_EDGE('',*,*,#182804,.F.); #232114=ORIENTED_EDGE('',*,*,#182859,.T.); #232115=ORIENTED_EDGE('',*,*,#182860,.T.); #232116=ORIENTED_EDGE('',*,*,#182861,.F.); #232117=ORIENTED_EDGE('',*,*,#182862,.F.); #232118=ORIENTED_EDGE('',*,*,#182863,.T.); #232119=ORIENTED_EDGE('',*,*,#182782,.F.); #232120=ORIENTED_EDGE('',*,*,#182864,.F.); #232121=ORIENTED_EDGE('',*,*,#182783,.T.); #232122=ORIENTED_EDGE('',*,*,#182863,.F.); #232123=ORIENTED_EDGE('',*,*,#182865,.T.); #232124=ORIENTED_EDGE('',*,*,#182866,.T.); #232125=ORIENTED_EDGE('',*,*,#182864,.T.); #232126=ORIENTED_EDGE('',*,*,#182867,.F.); #232127=ORIENTED_EDGE('',*,*,#182868,.T.); #232128=ORIENTED_EDGE('',*,*,#182786,.T.); #232129=ORIENTED_EDGE('',*,*,#182784,.F.); #232130=ORIENTED_EDGE('',*,*,#182787,.F.); #232131=ORIENTED_EDGE('',*,*,#182868,.F.); #232132=ORIENTED_EDGE('',*,*,#182869,.F.); #232133=ORIENTED_EDGE('',*,*,#182870,.F.); #232134=ORIENTED_EDGE('',*,*,#182871,.T.); #232135=ORIENTED_EDGE('',*,*,#182872,.F.); #232136=ORIENTED_EDGE('',*,*,#182792,.F.); #232137=ORIENTED_EDGE('',*,*,#182788,.T.); #232138=ORIENTED_EDGE('',*,*,#182870,.T.); #232139=ORIENTED_EDGE('',*,*,#182873,.F.); #232140=ORIENTED_EDGE('',*,*,#182874,.F.); #232141=ORIENTED_EDGE('',*,*,#182875,.T.); #232142=ORIENTED_EDGE('',*,*,#182876,.F.); #232143=ORIENTED_EDGE('',*,*,#182877,.T.); #232144=ORIENTED_EDGE('',*,*,#182871,.F.); #232145=ORIENTED_EDGE('',*,*,#182878,.T.); #232146=ORIENTED_EDGE('',*,*,#182879,.F.); #232147=ORIENTED_EDGE('',*,*,#182877,.F.); #232148=ORIENTED_EDGE('',*,*,#182880,.T.); #232149=ORIENTED_EDGE('',*,*,#182793,.F.); #232150=ORIENTED_EDGE('',*,*,#182872,.T.); #232151=ORIENTED_EDGE('',*,*,#182879,.T.); #232152=ORIENTED_EDGE('',*,*,#182881,.F.); #232153=ORIENTED_EDGE('',*,*,#182882,.F.); #232154=ORIENTED_EDGE('',*,*,#182883,.T.); #232155=ORIENTED_EDGE('',*,*,#182815,.F.); #232156=ORIENTED_EDGE('',*,*,#182794,.F.); #232157=ORIENTED_EDGE('',*,*,#182880,.F.); #232158=ORIENTED_EDGE('',*,*,#182883,.F.); #232159=ORIENTED_EDGE('',*,*,#182884,.F.); #232160=ORIENTED_EDGE('',*,*,#182885,.F.); #232161=ORIENTED_EDGE('',*,*,#182816,.F.); #232162=ORIENTED_EDGE('',*,*,#182886,.F.); #232163=ORIENTED_EDGE('',*,*,#182887,.F.); #232164=ORIENTED_EDGE('',*,*,#182817,.T.); #232165=ORIENTED_EDGE('',*,*,#182885,.T.); #232166=ORIENTED_EDGE('',*,*,#182888,.T.); #232167=ORIENTED_EDGE('',*,*,#182889,.F.); #232168=ORIENTED_EDGE('',*,*,#182890,.T.); #232169=ORIENTED_EDGE('',*,*,#182875,.F.); #232170=ORIENTED_EDGE('',*,*,#182891,.T.); #232171=ORIENTED_EDGE('',*,*,#182886,.T.); #232172=ORIENTED_EDGE('',*,*,#182889,.T.); #232173=ORIENTED_EDGE('',*,*,#182888,.F.); #232174=ORIENTED_EDGE('',*,*,#182884,.T.); #232175=ORIENTED_EDGE('',*,*,#182882,.T.); #232176=ORIENTED_EDGE('',*,*,#182892,.F.); #232177=ORIENTED_EDGE('',*,*,#182878,.F.); #232178=ORIENTED_EDGE('',*,*,#182876,.T.); #232179=ORIENTED_EDGE('',*,*,#182890,.F.); #232180=ORIENTED_EDGE('',*,*,#182892,.T.); #232181=ORIENTED_EDGE('',*,*,#182881,.T.); #232182=ORIENTED_EDGE('',*,*,#182893,.F.); #232183=ORIENTED_EDGE('',*,*,#182894,.F.); #232184=ORIENTED_EDGE('',*,*,#182895,.F.); #232185=ORIENTED_EDGE('',*,*,#182896,.F.); #232186=ORIENTED_EDGE('',*,*,#182826,.F.); #232187=ORIENTED_EDGE('',*,*,#182897,.F.); #232188=ORIENTED_EDGE('',*,*,#182891,.F.); #232189=ORIENTED_EDGE('',*,*,#182898,.F.); #232190=ORIENTED_EDGE('',*,*,#182865,.F.); #232191=ORIENTED_EDGE('',*,*,#182899,.F.); #232192=ORIENTED_EDGE('',*,*,#182850,.F.); #232193=ORIENTED_EDGE('',*,*,#182893,.T.); #232194=ORIENTED_EDGE('',*,*,#182900,.T.); #232195=ORIENTED_EDGE('',*,*,#182901,.F.); #232196=ORIENTED_EDGE('',*,*,#182902,.T.); #232197=ORIENTED_EDGE('',*,*,#182853,.F.); #232198=ORIENTED_EDGE('',*,*,#182851,.T.); #232199=ORIENTED_EDGE('',*,*,#182902,.F.); #232200=ORIENTED_EDGE('',*,*,#182903,.T.); #232201=ORIENTED_EDGE('',*,*,#182856,.T.); #232202=ORIENTED_EDGE('',*,*,#182901,.T.); #232203=ORIENTED_EDGE('',*,*,#182904,.F.); #232204=ORIENTED_EDGE('',*,*,#182905,.T.); #232205=ORIENTED_EDGE('',*,*,#182857,.T.); #232206=ORIENTED_EDGE('',*,*,#182903,.F.); #232207=ORIENTED_EDGE('',*,*,#182900,.F.); #232208=ORIENTED_EDGE('',*,*,#182906,.F.); #232209=ORIENTED_EDGE('',*,*,#182861,.T.); #232210=ORIENTED_EDGE('',*,*,#182907,.T.); #232211=ORIENTED_EDGE('',*,*,#182904,.T.); #232212=ORIENTED_EDGE('',*,*,#182858,.F.); #232213=ORIENTED_EDGE('',*,*,#182905,.F.); #232214=ORIENTED_EDGE('',*,*,#182907,.F.); #232215=ORIENTED_EDGE('',*,*,#182860,.F.); #232216=ORIENTED_EDGE('',*,*,#182899,.T.); #232217=ORIENTED_EDGE('',*,*,#182862,.T.); #232218=ORIENTED_EDGE('',*,*,#182906,.T.); #232219=ORIENTED_EDGE('',*,*,#182898,.T.); #232220=ORIENTED_EDGE('',*,*,#182874,.T.); #232221=ORIENTED_EDGE('',*,*,#182908,.T.); #232222=ORIENTED_EDGE('',*,*,#182866,.F.); #232223=ORIENTED_EDGE('',*,*,#182908,.F.); #232224=ORIENTED_EDGE('',*,*,#182873,.T.); #232225=ORIENTED_EDGE('',*,*,#182869,.T.); #232226=ORIENTED_EDGE('',*,*,#182867,.T.); #232227=ORIENTED_EDGE('',*,*,#182897,.T.); #232228=ORIENTED_EDGE('',*,*,#182818,.T.); #232229=ORIENTED_EDGE('',*,*,#182887,.T.); #232230=ORIENTED_EDGE('',*,*,#182896,.T.); #232231=ORIENTED_EDGE('',*,*,#182834,.T.); #232232=ORIENTED_EDGE('',*,*,#182828,.T.); #232233=ORIENTED_EDGE('',*,*,#182909,.F.); #232234=ORIENTED_EDGE('',*,*,#182910,.T.); #232235=ORIENTED_EDGE('',*,*,#182835,.F.); #232236=ORIENTED_EDGE('',*,*,#182895,.T.); #232237=ORIENTED_EDGE('',*,*,#182911,.T.); #232238=ORIENTED_EDGE('',*,*,#182909,.T.); #232239=ORIENTED_EDGE('',*,*,#182912,.F.); #232240=ORIENTED_EDGE('',*,*,#182913,.T.); #232241=ORIENTED_EDGE('',*,*,#182842,.T.); #232242=ORIENTED_EDGE('',*,*,#182914,.F.); #232243=ORIENTED_EDGE('',*,*,#182838,.F.); #232244=ORIENTED_EDGE('',*,*,#182836,.T.); #232245=ORIENTED_EDGE('',*,*,#182910,.F.); #232246=ORIENTED_EDGE('',*,*,#182914,.T.); #232247=ORIENTED_EDGE('',*,*,#182841,.T.); #232248=ORIENTED_EDGE('',*,*,#182843,.F.); #232249=ORIENTED_EDGE('',*,*,#182913,.F.); #232250=ORIENTED_EDGE('',*,*,#182915,.F.); #232251=ORIENTED_EDGE('',*,*,#182847,.F.); #232252=ORIENTED_EDGE('',*,*,#182911,.F.); #232253=ORIENTED_EDGE('',*,*,#182916,.F.); #232254=ORIENTED_EDGE('',*,*,#182848,.T.); #232255=ORIENTED_EDGE('',*,*,#182915,.T.); #232256=ORIENTED_EDGE('',*,*,#182912,.T.); #232257=ORIENTED_EDGE('',*,*,#182894,.T.); #232258=ORIENTED_EDGE('',*,*,#182849,.T.); #232259=ORIENTED_EDGE('',*,*,#182916,.T.); #232260=ORIENTED_EDGE('',*,*,#182791,.F.); #232261=ORIENTED_EDGE('',*,*,#182917,.T.); #232262=ORIENTED_EDGE('',*,*,#182918,.T.); #232263=ORIENTED_EDGE('',*,*,#182917,.F.); #232264=ORIENTED_EDGE('',*,*,#182918,.F.); #232265=ORIENTED_EDGE('',*,*,#182919,.F.); #232266=ORIENTED_EDGE('',*,*,#182920,.F.); #232267=ORIENTED_EDGE('',*,*,#182921,.F.); #232268=ORIENTED_EDGE('',*,*,#182922,.F.); #232269=ORIENTED_EDGE('',*,*,#182923,.F.); #232270=ORIENTED_EDGE('',*,*,#182924,.F.); #232271=ORIENTED_EDGE('',*,*,#182925,.F.); #232272=ORIENTED_EDGE('',*,*,#182926,.F.); #232273=ORIENTED_EDGE('',*,*,#182927,.F.); #232274=ORIENTED_EDGE('',*,*,#182928,.F.); #232275=ORIENTED_EDGE('',*,*,#182929,.F.); #232276=ORIENTED_EDGE('',*,*,#182930,.F.); #232277=ORIENTED_EDGE('',*,*,#182931,.F.); #232278=ORIENTED_EDGE('',*,*,#182932,.F.); #232279=ORIENTED_EDGE('',*,*,#182933,.T.); #232280=ORIENTED_EDGE('',*,*,#182934,.T.); #232281=ORIENTED_EDGE('',*,*,#182935,.T.); #232282=ORIENTED_EDGE('',*,*,#182936,.T.); #232283=ORIENTED_EDGE('',*,*,#182937,.T.); #232284=ORIENTED_EDGE('',*,*,#182920,.T.); #232285=ORIENTED_EDGE('',*,*,#182938,.F.); #232286=ORIENTED_EDGE('',*,*,#182939,.F.); #232287=ORIENTED_EDGE('',*,*,#182940,.T.); #232288=ORIENTED_EDGE('',*,*,#182941,.T.); #232289=ORIENTED_EDGE('',*,*,#182942,.T.); #232290=ORIENTED_EDGE('',*,*,#182943,.T.); #232291=ORIENTED_EDGE('',*,*,#182924,.T.); #232292=ORIENTED_EDGE('',*,*,#182944,.F.); #232293=ORIENTED_EDGE('',*,*,#182945,.F.); #232294=ORIENTED_EDGE('',*,*,#182946,.T.); #232295=ORIENTED_EDGE('',*,*,#182932,.T.); #232296=ORIENTED_EDGE('',*,*,#182947,.T.); #232297=ORIENTED_EDGE('',*,*,#182948,.F.); #232298=ORIENTED_EDGE('',*,*,#182949,.F.); #232299=ORIENTED_EDGE('',*,*,#182950,.F.); #232300=ORIENTED_EDGE('',*,*,#182951,.T.); #232301=ORIENTED_EDGE('',*,*,#182952,.F.); #232302=ORIENTED_EDGE('',*,*,#182953,.F.); #232303=ORIENTED_EDGE('',*,*,#182954,.F.); #232304=ORIENTED_EDGE('',*,*,#182955,.T.); #232305=ORIENTED_EDGE('',*,*,#182956,.F.); #232306=ORIENTED_EDGE('',*,*,#182957,.F.); #232307=ORIENTED_EDGE('',*,*,#182951,.F.); #232308=ORIENTED_EDGE('',*,*,#182958,.F.); #232309=ORIENTED_EDGE('',*,*,#182955,.F.); #232310=ORIENTED_EDGE('',*,*,#182953,.T.); #232311=ORIENTED_EDGE('',*,*,#182959,.F.); #232312=ORIENTED_EDGE('',*,*,#182960,.T.); #232313=ORIENTED_EDGE('',*,*,#182961,.T.); #232314=ORIENTED_EDGE('',*,*,#182962,.T.); #232315=ORIENTED_EDGE('',*,*,#182963,.T.); #232316=ORIENTED_EDGE('',*,*,#182949,.T.); #232317=ORIENTED_EDGE('',*,*,#182964,.T.); #232318=ORIENTED_EDGE('',*,*,#182965,.T.); #232319=ORIENTED_EDGE('',*,*,#182966,.T.); #232320=ORIENTED_EDGE('',*,*,#182967,.F.); #232321=ORIENTED_EDGE('',*,*,#182968,.F.); #232322=ORIENTED_EDGE('',*,*,#182952,.T.); #232323=ORIENTED_EDGE('',*,*,#182957,.T.); #232324=ORIENTED_EDGE('',*,*,#182954,.T.); #232325=ORIENTED_EDGE('',*,*,#182963,.F.); #232326=ORIENTED_EDGE('',*,*,#182969,.T.); #232327=ORIENTED_EDGE('',*,*,#182970,.T.); #232328=ORIENTED_EDGE('',*,*,#182971,.F.); #232329=ORIENTED_EDGE('',*,*,#182964,.F.); #232330=ORIENTED_EDGE('',*,*,#182972,.F.); #232331=ORIENTED_EDGE('',*,*,#182973,.T.); #232332=ORIENTED_EDGE('',*,*,#182974,.T.); #232333=ORIENTED_EDGE('',*,*,#182975,.T.); #232334=ORIENTED_EDGE('',*,*,#182972,.T.); #232335=ORIENTED_EDGE('',*,*,#182976,.T.); #232336=ORIENTED_EDGE('',*,*,#182977,.T.); #232337=ORIENTED_EDGE('',*,*,#182978,.F.); #232338=ORIENTED_EDGE('',*,*,#182979,.T.); #232339=ORIENTED_EDGE('',*,*,#182980,.F.); #232340=ORIENTED_EDGE('',*,*,#182981,.F.); #232341=ORIENTED_EDGE('',*,*,#182975,.F.); #232342=ORIENTED_EDGE('',*,*,#182982,.F.); #232343=ORIENTED_EDGE('',*,*,#182983,.F.); #232344=ORIENTED_EDGE('',*,*,#182984,.T.); #232345=ORIENTED_EDGE('',*,*,#182985,.F.); #232346=ORIENTED_EDGE('',*,*,#182976,.F.); #232347=ORIENTED_EDGE('',*,*,#182986,.F.); #232348=ORIENTED_EDGE('',*,*,#182987,.T.); #232349=ORIENTED_EDGE('',*,*,#182988,.T.); #232350=ORIENTED_EDGE('',*,*,#182989,.T.); #232351=ORIENTED_EDGE('',*,*,#182987,.F.); #232352=ORIENTED_EDGE('',*,*,#182990,.T.); #232353=ORIENTED_EDGE('',*,*,#182991,.F.); #232354=ORIENTED_EDGE('',*,*,#182935,.F.); #232355=ORIENTED_EDGE('',*,*,#182992,.T.); #232356=ORIENTED_EDGE('',*,*,#182921,.T.); #232357=ORIENTED_EDGE('',*,*,#182940,.F.); #232358=ORIENTED_EDGE('',*,*,#182993,.F.); #232359=ORIENTED_EDGE('',*,*,#182994,.F.); #232360=ORIENTED_EDGE('',*,*,#182995,.F.); #232361=ORIENTED_EDGE('',*,*,#182996,.T.); #232362=ORIENTED_EDGE('',*,*,#182997,.T.); #232363=ORIENTED_EDGE('',*,*,#182998,.T.); #232364=ORIENTED_EDGE('',*,*,#182998,.F.); #232365=ORIENTED_EDGE('',*,*,#182999,.F.); #232366=ORIENTED_EDGE('',*,*,#183000,.F.); #232367=ORIENTED_EDGE('',*,*,#182966,.F.); #232368=ORIENTED_EDGE('',*,*,#183001,.F.); #232369=ORIENTED_EDGE('',*,*,#183002,.T.); #232370=ORIENTED_EDGE('',*,*,#183003,.T.); #232371=ORIENTED_EDGE('',*,*,#183004,.T.); #232372=ORIENTED_EDGE('',*,*,#183005,.F.); #232373=ORIENTED_EDGE('',*,*,#183006,.T.); #232374=ORIENTED_EDGE('',*,*,#183007,.T.); #232375=ORIENTED_EDGE('',*,*,#183008,.T.); #232376=ORIENTED_EDGE('',*,*,#183008,.F.); #232377=ORIENTED_EDGE('',*,*,#183009,.F.); #232378=ORIENTED_EDGE('',*,*,#183010,.F.); #232379=ORIENTED_EDGE('',*,*,#183011,.T.); #232380=ORIENTED_EDGE('',*,*,#183012,.T.); #232381=ORIENTED_EDGE('',*,*,#183013,.T.); #232382=ORIENTED_EDGE('',*,*,#183014,.F.); #232383=ORIENTED_EDGE('',*,*,#183015,.T.); #232384=ORIENTED_EDGE('',*,*,#183016,.T.); #232385=ORIENTED_EDGE('',*,*,#183017,.T.); #232386=ORIENTED_EDGE('',*,*,#183015,.F.); #232387=ORIENTED_EDGE('',*,*,#183018,.T.); #232388=ORIENTED_EDGE('',*,*,#183019,.F.); #232389=ORIENTED_EDGE('',*,*,#183020,.F.); #232390=ORIENTED_EDGE('',*,*,#183021,.F.); #232391=ORIENTED_EDGE('',*,*,#183022,.F.); #232392=ORIENTED_EDGE('',*,*,#183023,.F.); #232393=ORIENTED_EDGE('',*,*,#183024,.F.); #232394=ORIENTED_EDGE('',*,*,#183025,.F.); #232395=ORIENTED_EDGE('',*,*,#183026,.T.); #232396=ORIENTED_EDGE('',*,*,#183027,.T.); #232397=ORIENTED_EDGE('',*,*,#183028,.T.); #232398=ORIENTED_EDGE('',*,*,#183028,.F.); #232399=ORIENTED_EDGE('',*,*,#183029,.F.); #232400=ORIENTED_EDGE('',*,*,#183030,.F.); #232401=ORIENTED_EDGE('',*,*,#183031,.T.); #232402=ORIENTED_EDGE('',*,*,#182978,.T.); #232403=ORIENTED_EDGE('',*,*,#183032,.T.); #232404=ORIENTED_EDGE('',*,*,#183033,.F.); #232405=ORIENTED_EDGE('',*,*,#183034,.T.); #232406=ORIENTED_EDGE('',*,*,#183035,.T.); #232407=ORIENTED_EDGE('',*,*,#183036,.T.); #232408=ORIENTED_EDGE('',*,*,#183034,.F.); #232409=ORIENTED_EDGE('',*,*,#183037,.T.); #232410=ORIENTED_EDGE('',*,*,#183038,.F.); #232411=ORIENTED_EDGE('',*,*,#183039,.F.); #232412=ORIENTED_EDGE('',*,*,#183040,.F.); #232413=ORIENTED_EDGE('',*,*,#183041,.F.); #232414=ORIENTED_EDGE('',*,*,#183042,.F.); #232415=ORIENTED_EDGE('',*,*,#183043,.F.); #232416=ORIENTED_EDGE('',*,*,#183044,.T.); #232417=ORIENTED_EDGE('',*,*,#183045,.T.); #232418=ORIENTED_EDGE('',*,*,#183046,.T.); #232419=ORIENTED_EDGE('',*,*,#183046,.F.); #232420=ORIENTED_EDGE('',*,*,#183047,.F.); #232421=ORIENTED_EDGE('',*,*,#183048,.F.); #232422=ORIENTED_EDGE('',*,*,#183049,.F.); #232423=ORIENTED_EDGE('',*,*,#183050,.F.); #232424=ORIENTED_EDGE('',*,*,#183051,.T.); #232425=ORIENTED_EDGE('',*,*,#183052,.T.); #232426=ORIENTED_EDGE('',*,*,#183053,.T.); #232427=ORIENTED_EDGE('',*,*,#182980,.T.); #232428=ORIENTED_EDGE('',*,*,#183054,.T.); #232429=ORIENTED_EDGE('',*,*,#183055,.T.); #232430=ORIENTED_EDGE('',*,*,#183056,.T.); #232431=ORIENTED_EDGE('',*,*,#183057,.T.); #232432=ORIENTED_EDGE('',*,*,#183030,.T.); #232433=ORIENTED_EDGE('',*,*,#183058,.F.); #232434=ORIENTED_EDGE('',*,*,#183059,.F.); #232435=ORIENTED_EDGE('',*,*,#182982,.T.); #232436=ORIENTED_EDGE('',*,*,#182974,.F.); #232437=ORIENTED_EDGE('',*,*,#183060,.T.); #232438=ORIENTED_EDGE('',*,*,#183061,.F.); #232439=ORIENTED_EDGE('',*,*,#183062,.T.); #232440=ORIENTED_EDGE('',*,*,#183063,.T.); #232441=ORIENTED_EDGE('',*,*,#183016,.F.); #232442=ORIENTED_EDGE('',*,*,#183024,.T.); #232443=ORIENTED_EDGE('',*,*,#183064,.F.); #232444=ORIENTED_EDGE('',*,*,#183065,.F.); #232445=ORIENTED_EDGE('',*,*,#183044,.F.); #232446=ORIENTED_EDGE('',*,*,#183066,.F.); #232447=ORIENTED_EDGE('',*,*,#182984,.F.); #232448=ORIENTED_EDGE('',*,*,#183067,.F.); #232449=ORIENTED_EDGE('',*,*,#183026,.F.); #232450=ORIENTED_EDGE('',*,*,#183068,.T.); #232451=ORIENTED_EDGE('',*,*,#183069,.F.); #232452=ORIENTED_EDGE('',*,*,#183070,.F.); #232453=ORIENTED_EDGE('',*,*,#183047,.T.); #232454=ORIENTED_EDGE('',*,*,#183045,.F.); #232455=ORIENTED_EDGE('',*,*,#183067,.T.); #232456=ORIENTED_EDGE('',*,*,#182983,.T.); #232457=ORIENTED_EDGE('',*,*,#183065,.T.); #232458=ORIENTED_EDGE('',*,*,#183071,.F.); #232459=ORIENTED_EDGE('',*,*,#183072,.T.); #232460=ORIENTED_EDGE('',*,*,#183070,.T.); #232461=ORIENTED_EDGE('',*,*,#183073,.T.); #232462=ORIENTED_EDGE('',*,*,#183074,.T.); #232463=ORIENTED_EDGE('',*,*,#183075,.F.); #232464=ORIENTED_EDGE('',*,*,#183058,.T.); #232465=ORIENTED_EDGE('',*,*,#183029,.T.); #232466=ORIENTED_EDGE('',*,*,#183027,.F.); #232467=ORIENTED_EDGE('',*,*,#183069,.T.); #232468=ORIENTED_EDGE('',*,*,#183076,.F.); #232469=ORIENTED_EDGE('',*,*,#183077,.F.); #232470=ORIENTED_EDGE('',*,*,#183078,.F.); #232471=ORIENTED_EDGE('',*,*,#183079,.F.); #232472=ORIENTED_EDGE('',*,*,#183080,.F.); #232473=ORIENTED_EDGE('',*,*,#183081,.F.); #232474=ORIENTED_EDGE('',*,*,#183073,.F.); #232475=ORIENTED_EDGE('',*,*,#183025,.T.); #232476=ORIENTED_EDGE('',*,*,#183032,.F.); #232477=ORIENTED_EDGE('',*,*,#182977,.F.); #232478=ORIENTED_EDGE('',*,*,#182985,.T.); #232479=ORIENTED_EDGE('',*,*,#183066,.T.); #232480=ORIENTED_EDGE('',*,*,#183043,.T.); #232481=ORIENTED_EDGE('',*,*,#183053,.F.); #232482=ORIENTED_EDGE('',*,*,#183082,.F.); #232483=ORIENTED_EDGE('',*,*,#183076,.T.); #232484=ORIENTED_EDGE('',*,*,#183068,.F.); #232485=ORIENTED_EDGE('',*,*,#183083,.F.); #232486=ORIENTED_EDGE('',*,*,#183077,.T.); #232487=ORIENTED_EDGE('',*,*,#183082,.T.); #232488=ORIENTED_EDGE('',*,*,#183052,.F.); #232489=ORIENTED_EDGE('',*,*,#183084,.F.); #232490=ORIENTED_EDGE('',*,*,#183078,.T.); #232491=ORIENTED_EDGE('',*,*,#183083,.T.); #232492=ORIENTED_EDGE('',*,*,#183051,.F.); #232493=ORIENTED_EDGE('',*,*,#183081,.T.); #232494=ORIENTED_EDGE('',*,*,#183085,.F.); #232495=ORIENTED_EDGE('',*,*,#183086,.T.); #232496=ORIENTED_EDGE('',*,*,#183035,.F.); #232497=ORIENTED_EDGE('',*,*,#183042,.T.); #232498=ORIENTED_EDGE('',*,*,#183087,.F.); #232499=ORIENTED_EDGE('',*,*,#183074,.F.); #232500=ORIENTED_EDGE('',*,*,#183080,.T.); #232501=ORIENTED_EDGE('',*,*,#183088,.T.); #232502=ORIENTED_EDGE('',*,*,#183089,.T.); #232503=ORIENTED_EDGE('',*,*,#183085,.T.); #232504=ORIENTED_EDGE('',*,*,#183090,.T.); #232505=ORIENTED_EDGE('',*,*,#183091,.T.); #232506=ORIENTED_EDGE('',*,*,#183092,.T.); #232507=ORIENTED_EDGE('',*,*,#183019,.T.); #232508=ORIENTED_EDGE('',*,*,#183093,.T.); #232509=ORIENTED_EDGE('',*,*,#183010,.T.); #232510=ORIENTED_EDGE('',*,*,#183094,.T.); #232511=ORIENTED_EDGE('',*,*,#183095,.F.); #232512=ORIENTED_EDGE('',*,*,#183006,.F.); #232513=ORIENTED_EDGE('',*,*,#183096,.T.); #232514=ORIENTED_EDGE('',*,*,#183097,.F.); #232515=ORIENTED_EDGE('',*,*,#183098,.F.); #232516=ORIENTED_EDGE('',*,*,#182996,.F.); #232517=ORIENTED_EDGE('',*,*,#183099,.F.); #232518=ORIENTED_EDGE('',*,*,#183100,.F.); #232519=ORIENTED_EDGE('',*,*,#183101,.F.); #232520=ORIENTED_EDGE('',*,*,#182995,.T.); #232521=ORIENTED_EDGE('',*,*,#183004,.F.); #232522=ORIENTED_EDGE('',*,*,#183102,.F.); #232523=ORIENTED_EDGE('',*,*,#183103,.T.); #232524=ORIENTED_EDGE('',*,*,#183096,.F.); #232525=ORIENTED_EDGE('',*,*,#183005,.T.); #232526=ORIENTED_EDGE('',*,*,#183013,.F.); #232527=ORIENTED_EDGE('',*,*,#183104,.F.); #232528=ORIENTED_EDGE('',*,*,#183105,.T.); #232529=ORIENTED_EDGE('',*,*,#183099,.T.); #232530=ORIENTED_EDGE('',*,*,#183009,.T.); #232531=ORIENTED_EDGE('',*,*,#183007,.F.); #232532=ORIENTED_EDGE('',*,*,#183098,.T.); #232533=ORIENTED_EDGE('',*,*,#183106,.T.); #232534=ORIENTED_EDGE('',*,*,#183107,.T.); #232535=ORIENTED_EDGE('',*,*,#183108,.F.); #232536=ORIENTED_EDGE('',*,*,#183094,.F.); #232537=ORIENTED_EDGE('',*,*,#183097,.T.); #232538=ORIENTED_EDGE('',*,*,#183103,.F.); #232539=ORIENTED_EDGE('',*,*,#183109,.F.); #232540=ORIENTED_EDGE('',*,*,#183110,.F.); #232541=ORIENTED_EDGE('',*,*,#183111,.F.); #232542=ORIENTED_EDGE('',*,*,#183112,.F.); #232543=ORIENTED_EDGE('',*,*,#183113,.F.); #232544=ORIENTED_EDGE('',*,*,#183106,.F.); #232545=ORIENTED_EDGE('',*,*,#183014,.T.); #232546=ORIENTED_EDGE('',*,*,#183114,.T.); #232547=ORIENTED_EDGE('',*,*,#183104,.T.); #232548=ORIENTED_EDGE('',*,*,#183012,.F.); #232549=ORIENTED_EDGE('',*,*,#183115,.T.); #232550=ORIENTED_EDGE('',*,*,#183090,.F.); #232551=ORIENTED_EDGE('',*,*,#183018,.F.); #232552=ORIENTED_EDGE('',*,*,#183017,.F.); #232553=ORIENTED_EDGE('',*,*,#183063,.F.); #232554=ORIENTED_EDGE('',*,*,#183116,.F.); #232555=ORIENTED_EDGE('',*,*,#183100,.T.); #232556=ORIENTED_EDGE('',*,*,#183105,.F.); #232557=ORIENTED_EDGE('',*,*,#183114,.F.); #232558=ORIENTED_EDGE('',*,*,#183117,.F.); #232559=ORIENTED_EDGE('',*,*,#183109,.T.); #232560=ORIENTED_EDGE('',*,*,#183102,.T.); #232561=ORIENTED_EDGE('',*,*,#183003,.F.); #232562=ORIENTED_EDGE('',*,*,#183118,.F.); #232563=ORIENTED_EDGE('',*,*,#183110,.T.); #232564=ORIENTED_EDGE('',*,*,#183117,.T.); #232565=ORIENTED_EDGE('',*,*,#183002,.F.); #232566=ORIENTED_EDGE('',*,*,#183101,.T.); #232567=ORIENTED_EDGE('',*,*,#183116,.T.); #232568=ORIENTED_EDGE('',*,*,#183062,.F.); #232569=ORIENTED_EDGE('',*,*,#183119,.F.); #232570=ORIENTED_EDGE('',*,*,#183120,.T.); #232571=ORIENTED_EDGE('',*,*,#182999,.T.); #232572=ORIENTED_EDGE('',*,*,#182997,.F.); #232573=ORIENTED_EDGE('',*,*,#183113,.T.); #232574=ORIENTED_EDGE('',*,*,#183121,.T.); #232575=ORIENTED_EDGE('',*,*,#183122,.T.); #232576=ORIENTED_EDGE('',*,*,#182988,.F.); #232577=ORIENTED_EDGE('',*,*,#182994,.T.); #232578=ORIENTED_EDGE('',*,*,#183123,.F.); #232579=ORIENTED_EDGE('',*,*,#183107,.F.); #232580=ORIENTED_EDGE('',*,*,#183112,.T.); #232581=ORIENTED_EDGE('',*,*,#183124,.T.); #232582=ORIENTED_EDGE('',*,*,#183125,.T.); #232583=ORIENTED_EDGE('',*,*,#183121,.F.); #232584=ORIENTED_EDGE('',*,*,#183126,.T.); #232585=ORIENTED_EDGE('',*,*,#183127,.T.); #232586=ORIENTED_EDGE('',*,*,#183128,.T.); #232587=ORIENTED_EDGE('',*,*,#182991,.T.); #232588=ORIENTED_EDGE('',*,*,#183129,.T.); #232589=ORIENTED_EDGE('',*,*,#183130,.T.); #232590=ORIENTED_EDGE('',*,*,#183131,.T.); #232591=ORIENTED_EDGE('',*,*,#183132,.F.); #232592=ORIENTED_EDGE('',*,*,#183133,.T.); #232593=ORIENTED_EDGE('',*,*,#183134,.F.); #232594=ORIENTED_EDGE('',*,*,#183135,.F.); #232595=ORIENTED_EDGE('',*,*,#183136,.F.); #232596=ORIENTED_EDGE('',*,*,#183137,.F.); #232597=ORIENTED_EDGE('',*,*,#183130,.F.); #232598=ORIENTED_EDGE('',*,*,#182986,.T.); #232599=ORIENTED_EDGE('',*,*,#183138,.F.); #232600=ORIENTED_EDGE('',*,*,#183139,.F.); #232601=ORIENTED_EDGE('',*,*,#183134,.T.); #232602=ORIENTED_EDGE('',*,*,#183140,.T.); #232603=ORIENTED_EDGE('',*,*,#183126,.F.); #232604=ORIENTED_EDGE('',*,*,#182990,.F.); #232605=ORIENTED_EDGE('',*,*,#182989,.F.); #232606=ORIENTED_EDGE('',*,*,#183122,.F.); #232607=ORIENTED_EDGE('',*,*,#183125,.F.); #232608=ORIENTED_EDGE('',*,*,#183141,.F.); #232609=ORIENTED_EDGE('',*,*,#183142,.T.); #232610=ORIENTED_EDGE('',*,*,#183138,.T.); #232611=ORIENTED_EDGE('',*,*,#183143,.F.); #232612=ORIENTED_EDGE('',*,*,#183135,.T.); #232613=ORIENTED_EDGE('',*,*,#183139,.T.); #232614=ORIENTED_EDGE('',*,*,#183142,.F.); #232615=ORIENTED_EDGE('',*,*,#183000,.T.); #232616=ORIENTED_EDGE('',*,*,#183120,.F.); #232617=ORIENTED_EDGE('',*,*,#183144,.F.); #232618=ORIENTED_EDGE('',*,*,#182967,.T.); #232619=ORIENTED_EDGE('',*,*,#183049,.T.); #232620=ORIENTED_EDGE('',*,*,#183145,.T.); #232621=ORIENTED_EDGE('',*,*,#183146,.F.); #232622=ORIENTED_EDGE('',*,*,#183131,.F.); #232623=ORIENTED_EDGE('',*,*,#183137,.T.); #232624=ORIENTED_EDGE('',*,*,#183147,.T.); #232625=ORIENTED_EDGE('',*,*,#183148,.T.); #232626=ORIENTED_EDGE('',*,*,#183149,.T.); #232627=ORIENTED_EDGE('',*,*,#183150,.T.); #232628=ORIENTED_EDGE('',*,*,#183151,.T.); #232629=ORIENTED_EDGE('',*,*,#183152,.T.); #232630=ORIENTED_EDGE('',*,*,#183038,.T.); #232631=ORIENTED_EDGE('',*,*,#183153,.T.); #232632=ORIENTED_EDGE('',*,*,#183154,.T.); #232633=ORIENTED_EDGE('',*,*,#182960,.F.); #232634=ORIENTED_EDGE('',*,*,#183155,.F.); #232635=ORIENTED_EDGE('',*,*,#183156,.T.); #232636=ORIENTED_EDGE('',*,*,#183157,.F.); #232637=ORIENTED_EDGE('',*,*,#183158,.F.); #232638=ORIENTED_EDGE('',*,*,#183159,.F.); #232639=ORIENTED_EDGE('',*,*,#182961,.F.); #232640=ORIENTED_EDGE('',*,*,#183154,.F.); #232641=ORIENTED_EDGE('',*,*,#183033,.T.); #232642=ORIENTED_EDGE('',*,*,#183160,.F.); #232643=ORIENTED_EDGE('',*,*,#183161,.F.); #232644=ORIENTED_EDGE('',*,*,#183157,.T.); #232645=ORIENTED_EDGE('',*,*,#183162,.T.); #232646=ORIENTED_EDGE('',*,*,#183150,.F.); #232647=ORIENTED_EDGE('',*,*,#183037,.F.); #232648=ORIENTED_EDGE('',*,*,#183036,.F.); #232649=ORIENTED_EDGE('',*,*,#183086,.F.); #232650=ORIENTED_EDGE('',*,*,#183089,.F.); #232651=ORIENTED_EDGE('',*,*,#183163,.F.); #232652=ORIENTED_EDGE('',*,*,#183164,.T.); #232653=ORIENTED_EDGE('',*,*,#183160,.T.); #232654=ORIENTED_EDGE('',*,*,#183165,.F.); #232655=ORIENTED_EDGE('',*,*,#183158,.T.); #232656=ORIENTED_EDGE('',*,*,#183161,.T.); #232657=ORIENTED_EDGE('',*,*,#183164,.F.); #232658=ORIENTED_EDGE('',*,*,#183048,.T.); #232659=ORIENTED_EDGE('',*,*,#183072,.F.); #232660=ORIENTED_EDGE('',*,*,#183166,.F.); #232661=ORIENTED_EDGE('',*,*,#183145,.F.); #232662=ORIENTED_EDGE('',*,*,#183001,.T.); #232663=ORIENTED_EDGE('',*,*,#182965,.F.); #232664=ORIENTED_EDGE('',*,*,#182971,.T.); #232665=ORIENTED_EDGE('',*,*,#183167,.T.); #232666=ORIENTED_EDGE('',*,*,#183168,.F.); #232667=ORIENTED_EDGE('',*,*,#183147,.F.); #232668=ORIENTED_EDGE('',*,*,#183136,.T.); #232669=ORIENTED_EDGE('',*,*,#183143,.T.); #232670=ORIENTED_EDGE('',*,*,#183141,.T.); #232671=ORIENTED_EDGE('',*,*,#183124,.F.); #232672=ORIENTED_EDGE('',*,*,#183111,.T.); #232673=ORIENTED_EDGE('',*,*,#183118,.T.); #232674=ORIENTED_EDGE('',*,*,#183050,.T.); #232675=ORIENTED_EDGE('',*,*,#183149,.F.); #232676=ORIENTED_EDGE('',*,*,#183169,.T.); #232677=ORIENTED_EDGE('',*,*,#183170,.T.); #232678=ORIENTED_EDGE('',*,*,#182969,.F.); #232679=ORIENTED_EDGE('',*,*,#182962,.F.); #232680=ORIENTED_EDGE('',*,*,#183159,.T.); #232681=ORIENTED_EDGE('',*,*,#183165,.T.); #232682=ORIENTED_EDGE('',*,*,#183163,.T.); #232683=ORIENTED_EDGE('',*,*,#183088,.F.); #232684=ORIENTED_EDGE('',*,*,#183079,.T.); #232685=ORIENTED_EDGE('',*,*,#183084,.T.); #232686=ORIENTED_EDGE('',*,*,#183148,.F.); #232687=ORIENTED_EDGE('',*,*,#183168,.T.); #232688=ORIENTED_EDGE('',*,*,#183171,.T.); #232689=ORIENTED_EDGE('',*,*,#183169,.F.); #232690=ORIENTED_EDGE('',*,*,#183171,.F.); #232691=ORIENTED_EDGE('',*,*,#183167,.F.); #232692=ORIENTED_EDGE('',*,*,#182970,.F.); #232693=ORIENTED_EDGE('',*,*,#183170,.F.); #232694=ORIENTED_EDGE('',*,*,#183172,.T.); #232695=ORIENTED_EDGE('',*,*,#183173,.T.); #232696=ORIENTED_EDGE('',*,*,#183021,.T.); #232697=ORIENTED_EDGE('',*,*,#183174,.T.); #232698=ORIENTED_EDGE('',*,*,#182948,.T.); #232699=ORIENTED_EDGE('',*,*,#183093,.F.); #232700=ORIENTED_EDGE('',*,*,#183175,.F.); #232701=ORIENTED_EDGE('',*,*,#182944,.T.); #232702=ORIENTED_EDGE('',*,*,#182923,.T.); #232703=ORIENTED_EDGE('',*,*,#183176,.F.); #232704=ORIENTED_EDGE('',*,*,#183177,.F.); #232705=ORIENTED_EDGE('',*,*,#183091,.F.); #232706=ORIENTED_EDGE('',*,*,#183115,.F.); #232707=ORIENTED_EDGE('',*,*,#183011,.F.); #232708=ORIENTED_EDGE('',*,*,#183178,.F.); #232709=ORIENTED_EDGE('',*,*,#183179,.T.); #232710=ORIENTED_EDGE('',*,*,#182930,.T.); #232711=ORIENTED_EDGE('',*,*,#182946,.F.); #232712=ORIENTED_EDGE('',*,*,#183180,.F.); #232713=ORIENTED_EDGE('',*,*,#183181,.T.); #232714=ORIENTED_EDGE('',*,*,#182926,.T.); #232715=ORIENTED_EDGE('',*,*,#183182,.F.); #232716=ORIENTED_EDGE('',*,*,#182927,.T.); #232717=ORIENTED_EDGE('',*,*,#183181,.F.); #232718=ORIENTED_EDGE('',*,*,#183183,.F.); #232719=ORIENTED_EDGE('',*,*,#183184,.T.); #232720=ORIENTED_EDGE('',*,*,#182941,.F.); #232721=ORIENTED_EDGE('',*,*,#183185,.T.); #232722=ORIENTED_EDGE('',*,*,#182928,.T.); #232723=ORIENTED_EDGE('',*,*,#183184,.F.); #232724=ORIENTED_EDGE('',*,*,#183186,.F.); #232725=ORIENTED_EDGE('',*,*,#183022,.T.); #232726=ORIENTED_EDGE('',*,*,#183173,.F.); #232727=ORIENTED_EDGE('',*,*,#183129,.F.); #232728=ORIENTED_EDGE('',*,*,#183187,.F.); #232729=ORIENTED_EDGE('',*,*,#182938,.T.); #232730=ORIENTED_EDGE('',*,*,#182919,.T.); #232731=ORIENTED_EDGE('',*,*,#183188,.F.); #232732=ORIENTED_EDGE('',*,*,#182933,.F.); #232733=ORIENTED_EDGE('',*,*,#183127,.F.); #232734=ORIENTED_EDGE('',*,*,#183140,.F.); #232735=ORIENTED_EDGE('',*,*,#183133,.F.); #232736=ORIENTED_EDGE('',*,*,#183020,.T.); #232737=ORIENTED_EDGE('',*,*,#183189,.T.); #232738=ORIENTED_EDGE('',*,*,#183177,.T.); #232739=ORIENTED_EDGE('',*,*,#183190,.T.); #232740=ORIENTED_EDGE('',*,*,#183191,.T.); #232741=ORIENTED_EDGE('',*,*,#183192,.T.); #232742=ORIENTED_EDGE('',*,*,#183193,.T.); #232743=ORIENTED_EDGE('',*,*,#183194,.T.); #232744=ORIENTED_EDGE('',*,*,#183195,.T.); #232745=ORIENTED_EDGE('',*,*,#183196,.T.); #232746=ORIENTED_EDGE('',*,*,#183197,.F.); #232747=ORIENTED_EDGE('',*,*,#183198,.F.); #232748=ORIENTED_EDGE('',*,*,#183199,.F.); #232749=ORIENTED_EDGE('',*,*,#183200,.T.); #232750=ORIENTED_EDGE('',*,*,#183201,.F.); #232751=ORIENTED_EDGE('',*,*,#183200,.F.); #232752=ORIENTED_EDGE('',*,*,#183202,.F.); #232753=ORIENTED_EDGE('',*,*,#183203,.T.); #232754=ORIENTED_EDGE('',*,*,#183193,.F.); #232755=ORIENTED_EDGE('',*,*,#182973,.F.); #232756=ORIENTED_EDGE('',*,*,#182981,.T.); #232757=ORIENTED_EDGE('',*,*,#183056,.F.); #232758=ORIENTED_EDGE('',*,*,#183204,.F.); #232759=ORIENTED_EDGE('',*,*,#183194,.F.); #232760=ORIENTED_EDGE('',*,*,#183203,.F.); #232761=ORIENTED_EDGE('',*,*,#183205,.F.); #232762=ORIENTED_EDGE('',*,*,#183060,.F.); #232763=ORIENTED_EDGE('',*,*,#183057,.F.); #232764=ORIENTED_EDGE('',*,*,#183206,.F.); #232765=ORIENTED_EDGE('',*,*,#183207,.T.); #232766=ORIENTED_EDGE('',*,*,#183208,.F.); #232767=ORIENTED_EDGE('',*,*,#183054,.F.); #232768=ORIENTED_EDGE('',*,*,#182979,.F.); #232769=ORIENTED_EDGE('',*,*,#183031,.F.); #232770=ORIENTED_EDGE('',*,*,#183209,.F.); #232771=ORIENTED_EDGE('',*,*,#183207,.F.); #232772=ORIENTED_EDGE('',*,*,#183210,.F.); #232773=ORIENTED_EDGE('',*,*,#183211,.T.); #232774=ORIENTED_EDGE('',*,*,#183212,.F.); #232775=ORIENTED_EDGE('',*,*,#183196,.F.); #232776=ORIENTED_EDGE('',*,*,#183213,.F.); #232777=ORIENTED_EDGE('',*,*,#183211,.F.); #232778=ORIENTED_EDGE('',*,*,#183214,.F.); #232779=ORIENTED_EDGE('',*,*,#183198,.T.); #232780=ORIENTED_EDGE('',*,*,#183215,.F.); #232781=ORIENTED_EDGE('',*,*,#183216,.F.); #232782=ORIENTED_EDGE('',*,*,#183217,.F.); #232783=ORIENTED_EDGE('',*,*,#183040,.T.); #232784=ORIENTED_EDGE('',*,*,#183153,.F.); #232785=ORIENTED_EDGE('',*,*,#183218,.F.); #232786=ORIENTED_EDGE('',*,*,#183216,.T.); #232787=ORIENTED_EDGE('',*,*,#183219,.F.); #232788=ORIENTED_EDGE('',*,*,#183151,.F.); #232789=ORIENTED_EDGE('',*,*,#183162,.F.); #232790=ORIENTED_EDGE('',*,*,#183156,.F.); #232791=ORIENTED_EDGE('',*,*,#182950,.T.); #232792=ORIENTED_EDGE('',*,*,#182968,.T.); #232793=ORIENTED_EDGE('',*,*,#183144,.T.); #232794=ORIENTED_EDGE('',*,*,#183119,.T.); #232795=ORIENTED_EDGE('',*,*,#183061,.T.); #232796=ORIENTED_EDGE('',*,*,#183205,.T.); #232797=ORIENTED_EDGE('',*,*,#183202,.T.); #232798=ORIENTED_EDGE('',*,*,#183199,.T.); #232799=ORIENTED_EDGE('',*,*,#183214,.T.); #232800=ORIENTED_EDGE('',*,*,#183210,.T.); #232801=ORIENTED_EDGE('',*,*,#183206,.T.); #232802=ORIENTED_EDGE('',*,*,#183059,.T.); #232803=ORIENTED_EDGE('',*,*,#183075,.T.); #232804=ORIENTED_EDGE('',*,*,#183087,.T.); #232805=ORIENTED_EDGE('',*,*,#183041,.T.); #232806=ORIENTED_EDGE('',*,*,#183217,.T.); #232807=ORIENTED_EDGE('',*,*,#183218,.T.); #232808=ORIENTED_EDGE('',*,*,#183155,.T.); #232809=ORIENTED_EDGE('',*,*,#182959,.T.); #232810=ORIENTED_EDGE('',*,*,#182956,.T.); #232811=ORIENTED_EDGE('',*,*,#182958,.T.); #232812=ORIENTED_EDGE('',*,*,#183175,.T.); #232813=ORIENTED_EDGE('',*,*,#183095,.T.); #232814=ORIENTED_EDGE('',*,*,#183108,.T.); #232815=ORIENTED_EDGE('',*,*,#183123,.T.); #232816=ORIENTED_EDGE('',*,*,#182993,.T.); #232817=ORIENTED_EDGE('',*,*,#182939,.T.); #232818=ORIENTED_EDGE('',*,*,#183187,.T.); #232819=ORIENTED_EDGE('',*,*,#183132,.T.); #232820=ORIENTED_EDGE('',*,*,#183146,.T.); #232821=ORIENTED_EDGE('',*,*,#183166,.T.); #232822=ORIENTED_EDGE('',*,*,#183071,.T.); #232823=ORIENTED_EDGE('',*,*,#183064,.T.); #232824=ORIENTED_EDGE('',*,*,#183023,.T.); #232825=ORIENTED_EDGE('',*,*,#183186,.T.); #232826=ORIENTED_EDGE('',*,*,#183183,.T.); #232827=ORIENTED_EDGE('',*,*,#183180,.T.); #232828=ORIENTED_EDGE('',*,*,#182945,.T.); #232829=ORIENTED_EDGE('',*,*,#183178,.T.); #232830=ORIENTED_EDGE('',*,*,#183220,.T.); #232831=ORIENTED_EDGE('',*,*,#183221,.T.); #232832=ORIENTED_EDGE('',*,*,#182942,.F.); #232833=ORIENTED_EDGE('',*,*,#183172,.F.); #232834=ORIENTED_EDGE('',*,*,#182947,.F.); #232835=ORIENTED_EDGE('',*,*,#182931,.T.); #232836=ORIENTED_EDGE('',*,*,#183179,.F.); #232837=ORIENTED_EDGE('',*,*,#183221,.F.); #232838=ORIENTED_EDGE('',*,*,#183222,.T.); #232839=ORIENTED_EDGE('',*,*,#183223,.F.); #232840=ORIENTED_EDGE('',*,*,#183152,.F.); #232841=ORIENTED_EDGE('',*,*,#183224,.F.); #232842=ORIENTED_EDGE('',*,*,#183222,.F.); #232843=ORIENTED_EDGE('',*,*,#183220,.F.); #232844=ORIENTED_EDGE('',*,*,#183182,.T.); #232845=ORIENTED_EDGE('',*,*,#182929,.T.); #232846=ORIENTED_EDGE('',*,*,#183185,.F.); #232847=ORIENTED_EDGE('',*,*,#183039,.T.); #232848=ORIENTED_EDGE('',*,*,#183223,.T.); #232849=ORIENTED_EDGE('',*,*,#183224,.T.); #232850=ORIENTED_EDGE('',*,*,#183219,.T.); #232851=ORIENTED_EDGE('',*,*,#183215,.T.); #232852=ORIENTED_EDGE('',*,*,#183209,.T.); #232853=ORIENTED_EDGE('',*,*,#183225,.T.); #232854=ORIENTED_EDGE('',*,*,#183208,.T.); #232855=ORIENTED_EDGE('',*,*,#183204,.T.); #232856=ORIENTED_EDGE('',*,*,#183226,.T.); #232857=ORIENTED_EDGE('',*,*,#183227,.T.); #232858=ORIENTED_EDGE('',*,*,#183174,.F.); #232859=ORIENTED_EDGE('',*,*,#183189,.F.); #232860=ORIENTED_EDGE('',*,*,#183092,.F.); #232861=ORIENTED_EDGE('',*,*,#183191,.F.); #232862=ORIENTED_EDGE('',*,*,#183228,.T.); #232863=ORIENTED_EDGE('',*,*,#183226,.F.); #232864=ORIENTED_EDGE('',*,*,#183055,.F.); #232865=ORIENTED_EDGE('',*,*,#183225,.F.); #232866=ORIENTED_EDGE('',*,*,#183195,.F.); #232867=ORIENTED_EDGE('',*,*,#183227,.F.); #232868=ORIENTED_EDGE('',*,*,#183228,.F.); #232869=ORIENTED_EDGE('',*,*,#183190,.F.); #232870=ORIENTED_EDGE('',*,*,#183176,.T.); #232871=ORIENTED_EDGE('',*,*,#182925,.T.); #232872=ORIENTED_EDGE('',*,*,#182943,.F.); #232873=ORIENTED_EDGE('',*,*,#182936,.F.); #232874=ORIENTED_EDGE('',*,*,#183128,.F.); #232875=ORIENTED_EDGE('',*,*,#182934,.F.); #232876=ORIENTED_EDGE('',*,*,#183188,.T.); #232877=ORIENTED_EDGE('',*,*,#182922,.T.); #232878=ORIENTED_EDGE('',*,*,#182992,.F.); #232879=ORIENTED_EDGE('',*,*,#182937,.F.); #232880=ORIENTED_EDGE('',*,*,#183229,.T.); #232881=ORIENTED_EDGE('',*,*,#183230,.F.); #232882=ORIENTED_EDGE('',*,*,#183192,.F.); #232883=ORIENTED_EDGE('',*,*,#183231,.F.); #232884=ORIENTED_EDGE('',*,*,#183229,.F.); #232885=ORIENTED_EDGE('',*,*,#183201,.T.); #232886=ORIENTED_EDGE('',*,*,#183230,.T.); #232887=ORIENTED_EDGE('',*,*,#183231,.T.); #232888=ORIENTED_EDGE('',*,*,#183212,.T.); #232889=ORIENTED_EDGE('',*,*,#183213,.T.); #232890=ORIENTED_EDGE('',*,*,#183197,.T.); #232891=ORIENTED_EDGE('',*,*,#183232,.F.); #232892=ORIENTED_EDGE('',*,*,#183233,.T.); #232893=ORIENTED_EDGE('',*,*,#183234,.T.); #232894=ORIENTED_EDGE('',*,*,#183235,.T.); #232895=ORIENTED_EDGE('',*,*,#183236,.F.); #232896=ORIENTED_EDGE('',*,*,#183235,.F.); #232897=ORIENTED_EDGE('',*,*,#183237,.T.); #232898=ORIENTED_EDGE('',*,*,#183238,.T.); #232899=ORIENTED_EDGE('',*,*,#183239,.T.); #232900=ORIENTED_EDGE('',*,*,#183240,.T.); #232901=ORIENTED_EDGE('',*,*,#183241,.F.); #232902=ORIENTED_EDGE('',*,*,#183238,.F.); #232903=ORIENTED_EDGE('',*,*,#183241,.T.); #232904=ORIENTED_EDGE('',*,*,#183242,.F.); #232905=ORIENTED_EDGE('',*,*,#183243,.F.); #232906=ORIENTED_EDGE('',*,*,#183244,.T.); #232907=ORIENTED_EDGE('',*,*,#183245,.T.); #232908=ORIENTED_EDGE('',*,*,#183246,.F.); #232909=ORIENTED_EDGE('',*,*,#183232,.T.); #232910=ORIENTED_EDGE('',*,*,#183236,.T.); #232911=ORIENTED_EDGE('',*,*,#183247,.T.); #232912=ORIENTED_EDGE('',*,*,#183248,.F.); #232913=ORIENTED_EDGE('',*,*,#183249,.T.); #232914=ORIENTED_EDGE('',*,*,#183250,.F.); #232915=ORIENTED_EDGE('',*,*,#183251,.T.); #232916=ORIENTED_EDGE('',*,*,#183252,.T.); #232917=ORIENTED_EDGE('',*,*,#183253,.T.); #232918=ORIENTED_EDGE('',*,*,#183254,.T.); #232919=ORIENTED_EDGE('',*,*,#183255,.T.); #232920=ORIENTED_EDGE('',*,*,#183256,.T.); #232921=ORIENTED_EDGE('',*,*,#183257,.T.); #232922=ORIENTED_EDGE('',*,*,#183233,.F.); #232923=ORIENTED_EDGE('',*,*,#183246,.T.); #232924=ORIENTED_EDGE('',*,*,#183258,.T.); #232925=ORIENTED_EDGE('',*,*,#183259,.T.); #232926=ORIENTED_EDGE('',*,*,#183260,.T.); #232927=ORIENTED_EDGE('',*,*,#183261,.T.); #232928=ORIENTED_EDGE('',*,*,#183262,.T.); #232929=ORIENTED_EDGE('',*,*,#183242,.T.); #232930=ORIENTED_EDGE('',*,*,#183240,.F.); #232931=ORIENTED_EDGE('',*,*,#183234,.F.); #232932=ORIENTED_EDGE('',*,*,#183257,.F.); #232933=ORIENTED_EDGE('',*,*,#183239,.F.); #232934=ORIENTED_EDGE('',*,*,#183237,.F.); #232935=ORIENTED_EDGE('',*,*,#183263,.T.); #232936=ORIENTED_EDGE('',*,*,#183264,.T.); #232937=ORIENTED_EDGE('',*,*,#183250,.T.); #232938=ORIENTED_EDGE('',*,*,#183265,.F.); #232939=ORIENTED_EDGE('',*,*,#183264,.F.); #232940=ORIENTED_EDGE('',*,*,#183266,.F.); #232941=ORIENTED_EDGE('',*,*,#183267,.T.); #232942=ORIENTED_EDGE('',*,*,#183251,.F.); #232943=ORIENTED_EDGE('',*,*,#183248,.T.); #232944=ORIENTED_EDGE('',*,*,#183268,.T.); #232945=ORIENTED_EDGE('',*,*,#183269,.T.); #232946=ORIENTED_EDGE('',*,*,#183270,.T.); #232947=ORIENTED_EDGE('',*,*,#183268,.F.); #232948=ORIENTED_EDGE('',*,*,#183247,.F.); #232949=ORIENTED_EDGE('',*,*,#183271,.T.); #232950=ORIENTED_EDGE('',*,*,#183272,.F.); #232951=ORIENTED_EDGE('',*,*,#183273,.F.); #232952=ORIENTED_EDGE('',*,*,#183274,.F.); #232953=ORIENTED_EDGE('',*,*,#183275,.F.); #232954=ORIENTED_EDGE('',*,*,#183244,.F.); #232955=ORIENTED_EDGE('',*,*,#183276,.F.); #232956=ORIENTED_EDGE('',*,*,#183277,.F.); #232957=ORIENTED_EDGE('',*,*,#183266,.T.); #232958=ORIENTED_EDGE('',*,*,#183263,.F.); #232959=ORIENTED_EDGE('',*,*,#183278,.T.); #232960=ORIENTED_EDGE('',*,*,#183269,.F.); #232961=ORIENTED_EDGE('',*,*,#183272,.T.); #232962=ORIENTED_EDGE('',*,*,#183279,.T.); #232963=ORIENTED_EDGE('',*,*,#183280,.T.); #232964=ORIENTED_EDGE('',*,*,#183281,.T.); #232965=ORIENTED_EDGE('',*,*,#183282,.T.); #232966=ORIENTED_EDGE('',*,*,#183283,.T.); #232967=ORIENTED_EDGE('',*,*,#183273,.T.); #232968=ORIENTED_EDGE('',*,*,#183284,.T.); #232969=ORIENTED_EDGE('',*,*,#183260,.F.); #232970=ORIENTED_EDGE('',*,*,#183285,.F.); #232971=ORIENTED_EDGE('',*,*,#183277,.T.); #232972=ORIENTED_EDGE('',*,*,#183286,.F.); #232973=ORIENTED_EDGE('',*,*,#183261,.F.); #232974=ORIENTED_EDGE('',*,*,#183284,.F.); #232975=ORIENTED_EDGE('',*,*,#183276,.T.); #232976=ORIENTED_EDGE('',*,*,#183243,.T.); #232977=ORIENTED_EDGE('',*,*,#183262,.F.); #232978=ORIENTED_EDGE('',*,*,#183286,.T.); #232979=ORIENTED_EDGE('',*,*,#183287,.T.); #232980=ORIENTED_EDGE('',*,*,#183253,.F.); #232981=ORIENTED_EDGE('',*,*,#183288,.F.); #232982=ORIENTED_EDGE('',*,*,#183282,.F.); #232983=ORIENTED_EDGE('',*,*,#183289,.T.); #232984=ORIENTED_EDGE('',*,*,#183254,.F.); #232985=ORIENTED_EDGE('',*,*,#183287,.F.); #232986=ORIENTED_EDGE('',*,*,#183281,.F.); #232987=ORIENTED_EDGE('',*,*,#183290,.T.); #232988=ORIENTED_EDGE('',*,*,#183255,.F.); #232989=ORIENTED_EDGE('',*,*,#183289,.F.); #232990=ORIENTED_EDGE('',*,*,#183280,.F.); #232991=ORIENTED_EDGE('',*,*,#183271,.F.); #232992=ORIENTED_EDGE('',*,*,#183256,.F.); #232993=ORIENTED_EDGE('',*,*,#183290,.F.); #232994=ORIENTED_EDGE('',*,*,#183279,.F.); #232995=ORIENTED_EDGE('',*,*,#183275,.T.); #232996=ORIENTED_EDGE('',*,*,#183291,.T.); #232997=ORIENTED_EDGE('',*,*,#183258,.F.); #232998=ORIENTED_EDGE('',*,*,#183245,.F.); #232999=ORIENTED_EDGE('',*,*,#183274,.T.); #233000=ORIENTED_EDGE('',*,*,#183285,.T.); #233001=ORIENTED_EDGE('',*,*,#183259,.F.); #233002=ORIENTED_EDGE('',*,*,#183291,.F.); #233003=ORIENTED_EDGE('',*,*,#183265,.T.); #233004=ORIENTED_EDGE('',*,*,#183249,.F.); #233005=ORIENTED_EDGE('',*,*,#183270,.F.); #233006=ORIENTED_EDGE('',*,*,#183278,.F.); #233007=ORIENTED_EDGE('',*,*,#183288,.T.); #233008=ORIENTED_EDGE('',*,*,#183252,.F.); #233009=ORIENTED_EDGE('',*,*,#183267,.F.); #233010=ORIENTED_EDGE('',*,*,#183283,.F.); #233011=ORIENTED_EDGE('',*,*,#183292,.T.); #233012=ORIENTED_EDGE('',*,*,#183293,.F.); #233013=ORIENTED_EDGE('',*,*,#183294,.T.); #233014=ORIENTED_EDGE('',*,*,#183295,.T.); #233015=ORIENTED_EDGE('',*,*,#183295,.F.); #233016=ORIENTED_EDGE('',*,*,#183296,.F.); #233017=ORIENTED_EDGE('',*,*,#183297,.T.); #233018=ORIENTED_EDGE('',*,*,#183298,.F.); #233019=ORIENTED_EDGE('',*,*,#183294,.F.); #233020=ORIENTED_EDGE('',*,*,#183299,.T.); #233021=ORIENTED_EDGE('',*,*,#183300,.T.); #233022=ORIENTED_EDGE('',*,*,#183301,.T.); #233023=ORIENTED_EDGE('',*,*,#183302,.T.); #233024=ORIENTED_EDGE('',*,*,#183303,.T.); #233025=ORIENTED_EDGE('',*,*,#183304,.T.); #233026=ORIENTED_EDGE('',*,*,#183305,.T.); #233027=ORIENTED_EDGE('',*,*,#183306,.F.); #233028=ORIENTED_EDGE('',*,*,#183307,.T.); #233029=ORIENTED_EDGE('',*,*,#183308,.T.); #233030=ORIENTED_EDGE('',*,*,#183309,.T.); #233031=ORIENTED_EDGE('',*,*,#183310,.T.); #233032=ORIENTED_EDGE('',*,*,#183296,.T.); #233033=ORIENTED_EDGE('',*,*,#183311,.T.); #233034=ORIENTED_EDGE('',*,*,#183312,.T.); #233035=ORIENTED_EDGE('',*,*,#183306,.T.); #233036=ORIENTED_EDGE('',*,*,#183313,.T.); #233037=ORIENTED_EDGE('',*,*,#183312,.F.); #233038=ORIENTED_EDGE('',*,*,#183314,.F.); #233039=ORIENTED_EDGE('',*,*,#183315,.T.); #233040=ORIENTED_EDGE('',*,*,#183307,.F.); #233041=ORIENTED_EDGE('',*,*,#183309,.F.); #233042=ORIENTED_EDGE('',*,*,#183316,.T.); #233043=ORIENTED_EDGE('',*,*,#183317,.F.); #233044=ORIENTED_EDGE('',*,*,#183318,.F.); #233045=ORIENTED_EDGE('',*,*,#183310,.F.); #233046=ORIENTED_EDGE('',*,*,#183318,.T.); #233047=ORIENTED_EDGE('',*,*,#183319,.F.); #233048=ORIENTED_EDGE('',*,*,#183297,.F.); #233049=ORIENTED_EDGE('',*,*,#183308,.F.); #233050=ORIENTED_EDGE('',*,*,#183315,.F.); #233051=ORIENTED_EDGE('',*,*,#183320,.F.); #233052=ORIENTED_EDGE('',*,*,#183316,.F.); #233053=ORIENTED_EDGE('',*,*,#183299,.F.); #233054=ORIENTED_EDGE('',*,*,#183293,.T.); #233055=ORIENTED_EDGE('',*,*,#183321,.F.); #233056=ORIENTED_EDGE('',*,*,#183322,.F.); #233057=ORIENTED_EDGE('',*,*,#183300,.F.); #233058=ORIENTED_EDGE('',*,*,#183322,.T.); #233059=ORIENTED_EDGE('',*,*,#183323,.F.); #233060=ORIENTED_EDGE('',*,*,#183324,.F.); #233061=ORIENTED_EDGE('',*,*,#183301,.F.); #233062=ORIENTED_EDGE('',*,*,#183324,.T.); #233063=ORIENTED_EDGE('',*,*,#183325,.F.); #233064=ORIENTED_EDGE('',*,*,#183326,.F.); #233065=ORIENTED_EDGE('',*,*,#183302,.F.); #233066=ORIENTED_EDGE('',*,*,#183326,.T.); #233067=ORIENTED_EDGE('',*,*,#183327,.F.); #233068=ORIENTED_EDGE('',*,*,#183328,.F.); #233069=ORIENTED_EDGE('',*,*,#183303,.F.); #233070=ORIENTED_EDGE('',*,*,#183328,.T.); #233071=ORIENTED_EDGE('',*,*,#183329,.F.); #233072=ORIENTED_EDGE('',*,*,#183330,.F.); #233073=ORIENTED_EDGE('',*,*,#183304,.F.); #233074=ORIENTED_EDGE('',*,*,#183330,.T.); #233075=ORIENTED_EDGE('',*,*,#183331,.F.); #233076=ORIENTED_EDGE('',*,*,#183332,.F.); #233077=ORIENTED_EDGE('',*,*,#183305,.F.); #233078=ORIENTED_EDGE('',*,*,#183332,.T.); #233079=ORIENTED_EDGE('',*,*,#183333,.F.); #233080=ORIENTED_EDGE('',*,*,#183313,.F.); #233081=ORIENTED_EDGE('',*,*,#183292,.F.); #233082=ORIENTED_EDGE('',*,*,#183298,.T.); #233083=ORIENTED_EDGE('',*,*,#183319,.T.); #233084=ORIENTED_EDGE('',*,*,#183317,.T.); #233085=ORIENTED_EDGE('',*,*,#183320,.T.); #233086=ORIENTED_EDGE('',*,*,#183314,.T.); #233087=ORIENTED_EDGE('',*,*,#183311,.F.); #233088=ORIENTED_EDGE('',*,*,#183333,.T.); #233089=ORIENTED_EDGE('',*,*,#183331,.T.); #233090=ORIENTED_EDGE('',*,*,#183329,.T.); #233091=ORIENTED_EDGE('',*,*,#183327,.T.); #233092=ORIENTED_EDGE('',*,*,#183325,.T.); #233093=ORIENTED_EDGE('',*,*,#183323,.T.); #233094=ORIENTED_EDGE('',*,*,#183321,.T.); #233095=ORIENTED_EDGE('',*,*,#183334,.T.); #233096=ORIENTED_EDGE('',*,*,#183335,.F.); #233097=ORIENTED_EDGE('',*,*,#183336,.F.); #233098=ORIENTED_EDGE('',*,*,#183337,.T.); #233099=ORIENTED_EDGE('',*,*,#183338,.T.); #233100=ORIENTED_EDGE('',*,*,#183339,.F.); #233101=ORIENTED_EDGE('',*,*,#183340,.T.); #233102=ORIENTED_EDGE('',*,*,#183341,.T.); #233103=ORIENTED_EDGE('',*,*,#183340,.F.); #233104=ORIENTED_EDGE('',*,*,#183342,.F.); #233105=ORIENTED_EDGE('',*,*,#183343,.T.); #233106=ORIENTED_EDGE('',*,*,#183341,.F.); #233107=ORIENTED_EDGE('',*,*,#183343,.F.); #233108=ORIENTED_EDGE('',*,*,#183344,.T.); #233109=ORIENTED_EDGE('',*,*,#183345,.T.); #233110=ORIENTED_EDGE('',*,*,#183346,.T.); #233111=ORIENTED_EDGE('',*,*,#183347,.T.); #233112=ORIENTED_EDGE('',*,*,#183346,.F.); #233113=ORIENTED_EDGE('',*,*,#183348,.T.); #233114=ORIENTED_EDGE('',*,*,#183349,.T.); #233115=ORIENTED_EDGE('',*,*,#183350,.T.); #233116=ORIENTED_EDGE('',*,*,#183351,.T.); #233117=ORIENTED_EDGE('',*,*,#183352,.T.); #233118=ORIENTED_EDGE('',*,*,#183349,.F.); #233119=ORIENTED_EDGE('',*,*,#183353,.T.); #233120=ORIENTED_EDGE('',*,*,#183351,.F.); #233121=ORIENTED_EDGE('',*,*,#183354,.T.); #233122=ORIENTED_EDGE('',*,*,#183355,.T.); #233123=ORIENTED_EDGE('',*,*,#183356,.T.); #233124=ORIENTED_EDGE('',*,*,#183357,.T.); #233125=ORIENTED_EDGE('',*,*,#183358,.T.); #233126=ORIENTED_EDGE('',*,*,#183355,.F.); #233127=ORIENTED_EDGE('',*,*,#183359,.T.); #233128=ORIENTED_EDGE('',*,*,#183357,.F.); #233129=ORIENTED_EDGE('',*,*,#183360,.T.); #233130=ORIENTED_EDGE('',*,*,#183361,.T.); #233131=ORIENTED_EDGE('',*,*,#183362,.T.); #233132=ORIENTED_EDGE('',*,*,#183363,.T.); #233133=ORIENTED_EDGE('',*,*,#183364,.T.); #233134=ORIENTED_EDGE('',*,*,#183361,.F.); #233135=ORIENTED_EDGE('',*,*,#183365,.T.); #233136=ORIENTED_EDGE('',*,*,#183365,.F.); #233137=ORIENTED_EDGE('',*,*,#183360,.F.); #233138=ORIENTED_EDGE('',*,*,#183359,.F.); #233139=ORIENTED_EDGE('',*,*,#183354,.F.); #233140=ORIENTED_EDGE('',*,*,#183353,.F.); #233141=ORIENTED_EDGE('',*,*,#183348,.F.); #233142=ORIENTED_EDGE('',*,*,#183345,.F.); #233143=ORIENTED_EDGE('',*,*,#183366,.F.); #233144=ORIENTED_EDGE('',*,*,#183367,.F.); #233145=ORIENTED_EDGE('',*,*,#183368,.F.); #233146=ORIENTED_EDGE('',*,*,#183369,.F.); #233147=ORIENTED_EDGE('',*,*,#183370,.F.); #233148=ORIENTED_EDGE('',*,*,#183371,.F.); #233149=ORIENTED_EDGE('',*,*,#183372,.F.); #233150=ORIENTED_EDGE('',*,*,#183373,.F.); #233151=ORIENTED_EDGE('',*,*,#183374,.F.); #233152=ORIENTED_EDGE('',*,*,#183375,.T.); #233153=ORIENTED_EDGE('',*,*,#183373,.T.); #233154=ORIENTED_EDGE('',*,*,#183376,.T.); #233155=ORIENTED_EDGE('',*,*,#183377,.T.); #233156=ORIENTED_EDGE('',*,*,#183376,.F.); #233157=ORIENTED_EDGE('',*,*,#183372,.T.); #233158=ORIENTED_EDGE('',*,*,#183378,.T.); #233159=ORIENTED_EDGE('',*,*,#183379,.T.); #233160=ORIENTED_EDGE('',*,*,#183380,.T.); #233161=ORIENTED_EDGE('',*,*,#183381,.T.); #233162=ORIENTED_EDGE('',*,*,#183378,.F.); #233163=ORIENTED_EDGE('',*,*,#183371,.T.); #233164=ORIENTED_EDGE('',*,*,#183380,.F.); #233165=ORIENTED_EDGE('',*,*,#183370,.T.); #233166=ORIENTED_EDGE('',*,*,#183382,.T.); #233167=ORIENTED_EDGE('',*,*,#183383,.T.); #233168=ORIENTED_EDGE('',*,*,#183384,.T.); #233169=ORIENTED_EDGE('',*,*,#183385,.T.); #233170=ORIENTED_EDGE('',*,*,#183382,.F.); #233171=ORIENTED_EDGE('',*,*,#183369,.T.); #233172=ORIENTED_EDGE('',*,*,#183384,.F.); #233173=ORIENTED_EDGE('',*,*,#183368,.T.); #233174=ORIENTED_EDGE('',*,*,#183386,.T.); #233175=ORIENTED_EDGE('',*,*,#183387,.T.); #233176=ORIENTED_EDGE('',*,*,#183388,.T.); #233177=ORIENTED_EDGE('',*,*,#183389,.T.); #233178=ORIENTED_EDGE('',*,*,#183386,.F.); #233179=ORIENTED_EDGE('',*,*,#183367,.T.); #233180=ORIENTED_EDGE('',*,*,#183390,.T.); #233181=ORIENTED_EDGE('',*,*,#183391,.T.); #233182=ORIENTED_EDGE('',*,*,#183392,.T.); #233183=ORIENTED_EDGE('',*,*,#183393,.T.); #233184=ORIENTED_EDGE('',*,*,#183392,.F.); #233185=ORIENTED_EDGE('',*,*,#183394,.T.); #233186=ORIENTED_EDGE('',*,*,#183395,.T.); #233187=ORIENTED_EDGE('',*,*,#183396,.T.); #233188=ORIENTED_EDGE('',*,*,#183397,.T.); #233189=ORIENTED_EDGE('',*,*,#183398,.T.); #233190=ORIENTED_EDGE('',*,*,#183395,.F.); #233191=ORIENTED_EDGE('',*,*,#183399,.T.); #233192=ORIENTED_EDGE('',*,*,#183397,.F.); #233193=ORIENTED_EDGE('',*,*,#183400,.T.); #233194=ORIENTED_EDGE('',*,*,#183401,.T.); #233195=ORIENTED_EDGE('',*,*,#183402,.T.); #233196=ORIENTED_EDGE('',*,*,#183403,.T.); #233197=ORIENTED_EDGE('',*,*,#183404,.T.); #233198=ORIENTED_EDGE('',*,*,#183401,.F.); #233199=ORIENTED_EDGE('',*,*,#183405,.T.); #233200=ORIENTED_EDGE('',*,*,#183403,.F.); #233201=ORIENTED_EDGE('',*,*,#183406,.T.); #233202=ORIENTED_EDGE('',*,*,#183407,.T.); #233203=ORIENTED_EDGE('',*,*,#183408,.T.); #233204=ORIENTED_EDGE('',*,*,#183409,.T.); #233205=ORIENTED_EDGE('',*,*,#183410,.T.); #233206=ORIENTED_EDGE('',*,*,#183407,.F.); #233207=ORIENTED_EDGE('',*,*,#183411,.T.); #233208=ORIENTED_EDGE('',*,*,#183411,.F.); #233209=ORIENTED_EDGE('',*,*,#183406,.F.); #233210=ORIENTED_EDGE('',*,*,#183405,.F.); #233211=ORIENTED_EDGE('',*,*,#183400,.F.); #233212=ORIENTED_EDGE('',*,*,#183399,.F.); #233213=ORIENTED_EDGE('',*,*,#183394,.F.); #233214=ORIENTED_EDGE('',*,*,#183391,.F.); #233215=ORIENTED_EDGE('',*,*,#183412,.F.); #233216=ORIENTED_EDGE('',*,*,#183413,.F.); #233217=ORIENTED_EDGE('',*,*,#183414,.F.); #233218=ORIENTED_EDGE('',*,*,#183415,.F.); #233219=ORIENTED_EDGE('',*,*,#183416,.F.); #233220=ORIENTED_EDGE('',*,*,#183417,.F.); #233221=ORIENTED_EDGE('',*,*,#183418,.F.); #233222=ORIENTED_EDGE('',*,*,#183419,.F.); #233223=ORIENTED_EDGE('',*,*,#183420,.F.); #233224=ORIENTED_EDGE('',*,*,#183421,.T.); #233225=ORIENTED_EDGE('',*,*,#183419,.T.); #233226=ORIENTED_EDGE('',*,*,#183422,.T.); #233227=ORIENTED_EDGE('',*,*,#183423,.T.); #233228=ORIENTED_EDGE('',*,*,#183422,.F.); #233229=ORIENTED_EDGE('',*,*,#183418,.T.); #233230=ORIENTED_EDGE('',*,*,#183424,.T.); #233231=ORIENTED_EDGE('',*,*,#183425,.T.); #233232=ORIENTED_EDGE('',*,*,#183426,.T.); #233233=ORIENTED_EDGE('',*,*,#183427,.T.); #233234=ORIENTED_EDGE('',*,*,#183424,.F.); #233235=ORIENTED_EDGE('',*,*,#183417,.T.); #233236=ORIENTED_EDGE('',*,*,#183426,.F.); #233237=ORIENTED_EDGE('',*,*,#183416,.T.); #233238=ORIENTED_EDGE('',*,*,#183428,.T.); #233239=ORIENTED_EDGE('',*,*,#183429,.T.); #233240=ORIENTED_EDGE('',*,*,#183430,.T.); #233241=ORIENTED_EDGE('',*,*,#183431,.T.); #233242=ORIENTED_EDGE('',*,*,#183428,.F.); #233243=ORIENTED_EDGE('',*,*,#183415,.T.); #233244=ORIENTED_EDGE('',*,*,#183430,.F.); #233245=ORIENTED_EDGE('',*,*,#183414,.T.); #233246=ORIENTED_EDGE('',*,*,#183432,.T.); #233247=ORIENTED_EDGE('',*,*,#183433,.T.); #233248=ORIENTED_EDGE('',*,*,#183434,.T.); #233249=ORIENTED_EDGE('',*,*,#183435,.T.); #233250=ORIENTED_EDGE('',*,*,#183432,.F.); #233251=ORIENTED_EDGE('',*,*,#183413,.T.); #233252=ORIENTED_EDGE('',*,*,#183436,.T.); #233253=ORIENTED_EDGE('',*,*,#183437,.T.); #233254=ORIENTED_EDGE('',*,*,#183438,.T.); #233255=ORIENTED_EDGE('',*,*,#183439,.T.); #233256=ORIENTED_EDGE('',*,*,#183438,.F.); #233257=ORIENTED_EDGE('',*,*,#183440,.T.); #233258=ORIENTED_EDGE('',*,*,#183441,.T.); #233259=ORIENTED_EDGE('',*,*,#183442,.T.); #233260=ORIENTED_EDGE('',*,*,#183443,.T.); #233261=ORIENTED_EDGE('',*,*,#183444,.T.); #233262=ORIENTED_EDGE('',*,*,#183441,.F.); #233263=ORIENTED_EDGE('',*,*,#183445,.T.); #233264=ORIENTED_EDGE('',*,*,#183443,.F.); #233265=ORIENTED_EDGE('',*,*,#183446,.T.); #233266=ORIENTED_EDGE('',*,*,#183447,.T.); #233267=ORIENTED_EDGE('',*,*,#183448,.T.); #233268=ORIENTED_EDGE('',*,*,#183449,.T.); #233269=ORIENTED_EDGE('',*,*,#183450,.T.); #233270=ORIENTED_EDGE('',*,*,#183447,.F.); #233271=ORIENTED_EDGE('',*,*,#183451,.T.); #233272=ORIENTED_EDGE('',*,*,#183449,.F.); #233273=ORIENTED_EDGE('',*,*,#183452,.T.); #233274=ORIENTED_EDGE('',*,*,#183453,.T.); #233275=ORIENTED_EDGE('',*,*,#183454,.T.); #233276=ORIENTED_EDGE('',*,*,#183455,.T.); #233277=ORIENTED_EDGE('',*,*,#183456,.T.); #233278=ORIENTED_EDGE('',*,*,#183453,.F.); #233279=ORIENTED_EDGE('',*,*,#183457,.T.); #233280=ORIENTED_EDGE('',*,*,#183457,.F.); #233281=ORIENTED_EDGE('',*,*,#183452,.F.); #233282=ORIENTED_EDGE('',*,*,#183451,.F.); #233283=ORIENTED_EDGE('',*,*,#183446,.F.); #233284=ORIENTED_EDGE('',*,*,#183445,.F.); #233285=ORIENTED_EDGE('',*,*,#183440,.F.); #233286=ORIENTED_EDGE('',*,*,#183437,.F.); #233287=ORIENTED_EDGE('',*,*,#183458,.F.); #233288=ORIENTED_EDGE('',*,*,#183459,.F.); #233289=ORIENTED_EDGE('',*,*,#183460,.F.); #233290=ORIENTED_EDGE('',*,*,#183461,.F.); #233291=ORIENTED_EDGE('',*,*,#183462,.F.); #233292=ORIENTED_EDGE('',*,*,#183463,.F.); #233293=ORIENTED_EDGE('',*,*,#183464,.F.); #233294=ORIENTED_EDGE('',*,*,#183465,.F.); #233295=ORIENTED_EDGE('',*,*,#183466,.F.); #233296=ORIENTED_EDGE('',*,*,#183467,.T.); #233297=ORIENTED_EDGE('',*,*,#183465,.T.); #233298=ORIENTED_EDGE('',*,*,#183468,.T.); #233299=ORIENTED_EDGE('',*,*,#183469,.T.); #233300=ORIENTED_EDGE('',*,*,#183468,.F.); #233301=ORIENTED_EDGE('',*,*,#183464,.T.); #233302=ORIENTED_EDGE('',*,*,#183470,.T.); #233303=ORIENTED_EDGE('',*,*,#183471,.T.); #233304=ORIENTED_EDGE('',*,*,#183472,.T.); #233305=ORIENTED_EDGE('',*,*,#183473,.T.); #233306=ORIENTED_EDGE('',*,*,#183470,.F.); #233307=ORIENTED_EDGE('',*,*,#183463,.T.); #233308=ORIENTED_EDGE('',*,*,#183472,.F.); #233309=ORIENTED_EDGE('',*,*,#183462,.T.); #233310=ORIENTED_EDGE('',*,*,#183474,.T.); #233311=ORIENTED_EDGE('',*,*,#183475,.T.); #233312=ORIENTED_EDGE('',*,*,#183476,.T.); #233313=ORIENTED_EDGE('',*,*,#183477,.T.); #233314=ORIENTED_EDGE('',*,*,#183474,.F.); #233315=ORIENTED_EDGE('',*,*,#183461,.T.); #233316=ORIENTED_EDGE('',*,*,#183476,.F.); #233317=ORIENTED_EDGE('',*,*,#183460,.T.); #233318=ORIENTED_EDGE('',*,*,#183478,.T.); #233319=ORIENTED_EDGE('',*,*,#183479,.T.); #233320=ORIENTED_EDGE('',*,*,#183480,.T.); #233321=ORIENTED_EDGE('',*,*,#183481,.T.); #233322=ORIENTED_EDGE('',*,*,#183478,.F.); #233323=ORIENTED_EDGE('',*,*,#183459,.T.); #233324=ORIENTED_EDGE('',*,*,#183482,.T.); #233325=ORIENTED_EDGE('',*,*,#183483,.T.); #233326=ORIENTED_EDGE('',*,*,#183484,.T.); #233327=ORIENTED_EDGE('',*,*,#183485,.T.); #233328=ORIENTED_EDGE('',*,*,#183484,.F.); #233329=ORIENTED_EDGE('',*,*,#183486,.T.); #233330=ORIENTED_EDGE('',*,*,#183487,.T.); #233331=ORIENTED_EDGE('',*,*,#183488,.T.); #233332=ORIENTED_EDGE('',*,*,#183489,.T.); #233333=ORIENTED_EDGE('',*,*,#183490,.T.); #233334=ORIENTED_EDGE('',*,*,#183487,.F.); #233335=ORIENTED_EDGE('',*,*,#183491,.T.); #233336=ORIENTED_EDGE('',*,*,#183489,.F.); #233337=ORIENTED_EDGE('',*,*,#183492,.T.); #233338=ORIENTED_EDGE('',*,*,#183493,.T.); #233339=ORIENTED_EDGE('',*,*,#183494,.T.); #233340=ORIENTED_EDGE('',*,*,#183495,.T.); #233341=ORIENTED_EDGE('',*,*,#183496,.T.); #233342=ORIENTED_EDGE('',*,*,#183493,.F.); #233343=ORIENTED_EDGE('',*,*,#183497,.T.); #233344=ORIENTED_EDGE('',*,*,#183495,.F.); #233345=ORIENTED_EDGE('',*,*,#183498,.T.); #233346=ORIENTED_EDGE('',*,*,#183499,.T.); #233347=ORIENTED_EDGE('',*,*,#183500,.T.); #233348=ORIENTED_EDGE('',*,*,#183501,.T.); #233349=ORIENTED_EDGE('',*,*,#183502,.T.); #233350=ORIENTED_EDGE('',*,*,#183499,.F.); #233351=ORIENTED_EDGE('',*,*,#183503,.T.); #233352=ORIENTED_EDGE('',*,*,#183503,.F.); #233353=ORIENTED_EDGE('',*,*,#183498,.F.); #233354=ORIENTED_EDGE('',*,*,#183497,.F.); #233355=ORIENTED_EDGE('',*,*,#183492,.F.); #233356=ORIENTED_EDGE('',*,*,#183491,.F.); #233357=ORIENTED_EDGE('',*,*,#183486,.F.); #233358=ORIENTED_EDGE('',*,*,#183483,.F.); #233359=ORIENTED_EDGE('',*,*,#183504,.F.); #233360=ORIENTED_EDGE('',*,*,#183505,.F.); #233361=ORIENTED_EDGE('',*,*,#183506,.F.); #233362=ORIENTED_EDGE('',*,*,#183507,.F.); #233363=ORIENTED_EDGE('',*,*,#183508,.F.); #233364=ORIENTED_EDGE('',*,*,#183509,.F.); #233365=ORIENTED_EDGE('',*,*,#183510,.F.); #233366=ORIENTED_EDGE('',*,*,#183511,.F.); #233367=ORIENTED_EDGE('',*,*,#183512,.F.); #233368=ORIENTED_EDGE('',*,*,#183513,.T.); #233369=ORIENTED_EDGE('',*,*,#183511,.T.); #233370=ORIENTED_EDGE('',*,*,#183514,.T.); #233371=ORIENTED_EDGE('',*,*,#183515,.T.); #233372=ORIENTED_EDGE('',*,*,#183514,.F.); #233373=ORIENTED_EDGE('',*,*,#183510,.T.); #233374=ORIENTED_EDGE('',*,*,#183516,.T.); #233375=ORIENTED_EDGE('',*,*,#183517,.T.); #233376=ORIENTED_EDGE('',*,*,#183518,.T.); #233377=ORIENTED_EDGE('',*,*,#183519,.T.); #233378=ORIENTED_EDGE('',*,*,#183516,.F.); #233379=ORIENTED_EDGE('',*,*,#183509,.T.); #233380=ORIENTED_EDGE('',*,*,#183518,.F.); #233381=ORIENTED_EDGE('',*,*,#183508,.T.); #233382=ORIENTED_EDGE('',*,*,#183520,.T.); #233383=ORIENTED_EDGE('',*,*,#183521,.T.); #233384=ORIENTED_EDGE('',*,*,#183522,.T.); #233385=ORIENTED_EDGE('',*,*,#183523,.T.); #233386=ORIENTED_EDGE('',*,*,#183520,.F.); #233387=ORIENTED_EDGE('',*,*,#183507,.T.); #233388=ORIENTED_EDGE('',*,*,#183522,.F.); #233389=ORIENTED_EDGE('',*,*,#183506,.T.); #233390=ORIENTED_EDGE('',*,*,#183524,.T.); #233391=ORIENTED_EDGE('',*,*,#183525,.T.); #233392=ORIENTED_EDGE('',*,*,#183526,.T.); #233393=ORIENTED_EDGE('',*,*,#183527,.T.); #233394=ORIENTED_EDGE('',*,*,#183524,.F.); #233395=ORIENTED_EDGE('',*,*,#183505,.T.); #233396=ORIENTED_EDGE('',*,*,#183358,.F.); #233397=ORIENTED_EDGE('',*,*,#183528,.T.); #233398=ORIENTED_EDGE('',*,*,#183490,.F.); #233399=ORIENTED_EDGE('',*,*,#183529,.T.); #233400=ORIENTED_EDGE('',*,*,#183362,.F.); #233401=ORIENTED_EDGE('',*,*,#183530,.F.); #233402=ORIENTED_EDGE('',*,*,#183488,.F.); #233403=ORIENTED_EDGE('',*,*,#183528,.F.); #233404=ORIENTED_EDGE('',*,*,#183352,.F.); #233405=ORIENTED_EDGE('',*,*,#183531,.T.); #233406=ORIENTED_EDGE('',*,*,#183496,.F.); #233407=ORIENTED_EDGE('',*,*,#183532,.T.); #233408=ORIENTED_EDGE('',*,*,#183350,.F.); #233409=ORIENTED_EDGE('',*,*,#183532,.F.); #233410=ORIENTED_EDGE('',*,*,#183500,.F.); #233411=ORIENTED_EDGE('',*,*,#183533,.F.); #233412=ORIENTED_EDGE('',*,*,#183431,.F.); #233413=ORIENTED_EDGE('',*,*,#183534,.T.); #233414=ORIENTED_EDGE('',*,*,#183473,.F.); #233415=ORIENTED_EDGE('',*,*,#183535,.T.); #233416=ORIENTED_EDGE('',*,*,#183433,.F.); #233417=ORIENTED_EDGE('',*,*,#183536,.F.); #233418=ORIENTED_EDGE('',*,*,#183471,.F.); #233419=ORIENTED_EDGE('',*,*,#183534,.F.); #233420=ORIENTED_EDGE('',*,*,#183427,.F.); #233421=ORIENTED_EDGE('',*,*,#183537,.T.); #233422=ORIENTED_EDGE('',*,*,#183477,.F.); #233423=ORIENTED_EDGE('',*,*,#183538,.T.); #233424=ORIENTED_EDGE('',*,*,#183425,.F.); #233425=ORIENTED_EDGE('',*,*,#183538,.F.); #233426=ORIENTED_EDGE('',*,*,#183479,.F.); #233427=ORIENTED_EDGE('',*,*,#183539,.F.); #233428=ORIENTED_EDGE('',*,*,#183398,.F.); #233429=ORIENTED_EDGE('',*,*,#183540,.T.); #233430=ORIENTED_EDGE('',*,*,#183450,.F.); #233431=ORIENTED_EDGE('',*,*,#183541,.T.); #233432=ORIENTED_EDGE('',*,*,#183402,.F.); #233433=ORIENTED_EDGE('',*,*,#183542,.F.); #233434=ORIENTED_EDGE('',*,*,#183448,.F.); #233435=ORIENTED_EDGE('',*,*,#183540,.F.); #233436=ORIENTED_EDGE('',*,*,#183404,.F.); #233437=ORIENTED_EDGE('',*,*,#183543,.T.); #233438=ORIENTED_EDGE('',*,*,#183444,.F.); #233439=ORIENTED_EDGE('',*,*,#183542,.T.); #233440=ORIENTED_EDGE('',*,*,#183408,.F.); #233441=ORIENTED_EDGE('',*,*,#183544,.F.); #233442=ORIENTED_EDGE('',*,*,#183442,.F.); #233443=ORIENTED_EDGE('',*,*,#183543,.F.); #233444=ORIENTED_EDGE('',*,*,#183435,.F.); #233445=ORIENTED_EDGE('',*,*,#183545,.T.); #233446=ORIENTED_EDGE('',*,*,#183469,.F.); #233447=ORIENTED_EDGE('',*,*,#183536,.T.); #233448=ORIENTED_EDGE('',*,*,#183410,.F.); #233449=ORIENTED_EDGE('',*,*,#183546,.T.); #233450=ORIENTED_EDGE('',*,*,#183439,.F.); #233451=ORIENTED_EDGE('',*,*,#183544,.T.); #233452=ORIENTED_EDGE('',*,*,#183393,.F.); #233453=ORIENTED_EDGE('',*,*,#183547,.T.); #233454=ORIENTED_EDGE('',*,*,#183456,.F.); #233455=ORIENTED_EDGE('',*,*,#183548,.T.); #233456=ORIENTED_EDGE('',*,*,#183396,.F.); #233457=ORIENTED_EDGE('',*,*,#183541,.F.); #233458=ORIENTED_EDGE('',*,*,#183454,.F.); #233459=ORIENTED_EDGE('',*,*,#183547,.F.); #233460=ORIENTED_EDGE('',*,*,#183423,.F.); #233461=ORIENTED_EDGE('',*,*,#183539,.T.); #233462=ORIENTED_EDGE('',*,*,#183481,.F.); #233463=ORIENTED_EDGE('',*,*,#183549,.T.); #233464=ORIENTED_EDGE('',*,*,#183385,.F.); #233465=ORIENTED_EDGE('',*,*,#183550,.T.); #233466=ORIENTED_EDGE('',*,*,#183519,.F.); #233467=ORIENTED_EDGE('',*,*,#183551,.T.); #233468=ORIENTED_EDGE('',*,*,#183387,.F.); #233469=ORIENTED_EDGE('',*,*,#183552,.F.); #233470=ORIENTED_EDGE('',*,*,#183517,.F.); #233471=ORIENTED_EDGE('',*,*,#183550,.F.); #233472=ORIENTED_EDGE('',*,*,#183381,.F.); #233473=ORIENTED_EDGE('',*,*,#183553,.T.); #233474=ORIENTED_EDGE('',*,*,#183523,.F.); #233475=ORIENTED_EDGE('',*,*,#183554,.T.); #233476=ORIENTED_EDGE('',*,*,#183383,.F.); #233477=ORIENTED_EDGE('',*,*,#183551,.F.); #233478=ORIENTED_EDGE('',*,*,#183521,.F.); #233479=ORIENTED_EDGE('',*,*,#183553,.F.); #233480=ORIENTED_EDGE('',*,*,#183364,.F.); #233481=ORIENTED_EDGE('',*,*,#183555,.T.); #233482=ORIENTED_EDGE('',*,*,#183485,.F.); #233483=ORIENTED_EDGE('',*,*,#183530,.T.); #233484=ORIENTED_EDGE('',*,*,#183389,.F.); #233485=ORIENTED_EDGE('',*,*,#183556,.T.); #233486=ORIENTED_EDGE('',*,*,#183515,.F.); #233487=ORIENTED_EDGE('',*,*,#183552,.T.); #233488=ORIENTED_EDGE('',*,*,#183377,.F.); #233489=ORIENTED_EDGE('',*,*,#183557,.T.); #233490=ORIENTED_EDGE('',*,*,#183527,.F.); #233491=ORIENTED_EDGE('',*,*,#183558,.T.); #233492=ORIENTED_EDGE('',*,*,#183379,.F.); #233493=ORIENTED_EDGE('',*,*,#183554,.F.); #233494=ORIENTED_EDGE('',*,*,#183525,.F.); #233495=ORIENTED_EDGE('',*,*,#183557,.F.); #233496=ORIENTED_EDGE('',*,*,#183347,.F.); #233497=ORIENTED_EDGE('',*,*,#183533,.T.); #233498=ORIENTED_EDGE('',*,*,#183502,.F.); #233499=ORIENTED_EDGE('',*,*,#183559,.T.); #233500=ORIENTED_EDGE('',*,*,#183429,.F.); #233501=ORIENTED_EDGE('',*,*,#183535,.F.); #233502=ORIENTED_EDGE('',*,*,#183475,.F.); #233503=ORIENTED_EDGE('',*,*,#183537,.F.); #233504=ORIENTED_EDGE('',*,*,#183356,.F.); #233505=ORIENTED_EDGE('',*,*,#183529,.F.); #233506=ORIENTED_EDGE('',*,*,#183494,.F.); #233507=ORIENTED_EDGE('',*,*,#183531,.F.); #233508=ORIENTED_EDGE('',*,*,#183560,.F.); #233509=ORIENTED_EDGE('',*,*,#183561,.T.); #233510=ORIENTED_EDGE('',*,*,#183562,.F.); #233511=ORIENTED_EDGE('',*,*,#183563,.F.); #233512=ORIENTED_EDGE('',*,*,#183564,.T.); #233513=ORIENTED_EDGE('',*,*,#183565,.T.); #233514=ORIENTED_EDGE('',*,*,#183560,.T.); #233515=ORIENTED_EDGE('',*,*,#183566,.T.); #233516=ORIENTED_EDGE('',*,*,#183567,.T.); #233517=ORIENTED_EDGE('',*,*,#183568,.F.); #233518=ORIENTED_EDGE('',*,*,#183569,.F.); #233519=ORIENTED_EDGE('',*,*,#183570,.F.); #233520=ORIENTED_EDGE('',*,*,#183571,.F.); #233521=ORIENTED_EDGE('',*,*,#183572,.F.); #233522=ORIENTED_EDGE('',*,*,#183573,.F.); #233523=ORIENTED_EDGE('',*,*,#183574,.F.); #233524=ORIENTED_EDGE('',*,*,#183575,.F.); #233525=ORIENTED_EDGE('',*,*,#183576,.F.); #233526=ORIENTED_EDGE('',*,*,#183577,.F.); #233527=ORIENTED_EDGE('',*,*,#183578,.F.); #233528=ORIENTED_EDGE('',*,*,#183579,.F.); #233529=ORIENTED_EDGE('',*,*,#183580,.F.); #233530=ORIENTED_EDGE('',*,*,#183581,.F.); #233531=ORIENTED_EDGE('',*,*,#183582,.F.); #233532=ORIENTED_EDGE('',*,*,#183583,.F.); #233533=ORIENTED_EDGE('',*,*,#183584,.F.); #233534=ORIENTED_EDGE('',*,*,#183585,.F.); #233535=ORIENTED_EDGE('',*,*,#183586,.F.); #233536=ORIENTED_EDGE('',*,*,#183587,.F.); #233537=ORIENTED_EDGE('',*,*,#183588,.F.); #233538=ORIENTED_EDGE('',*,*,#183589,.F.); #233539=ORIENTED_EDGE('',*,*,#183590,.F.); #233540=ORIENTED_EDGE('',*,*,#183591,.F.); #233541=ORIENTED_EDGE('',*,*,#183592,.F.); #233542=ORIENTED_EDGE('',*,*,#183593,.F.); #233543=ORIENTED_EDGE('',*,*,#183594,.F.); #233544=ORIENTED_EDGE('',*,*,#183595,.F.); #233545=ORIENTED_EDGE('',*,*,#183596,.F.); #233546=ORIENTED_EDGE('',*,*,#183597,.F.); #233547=ORIENTED_EDGE('',*,*,#183598,.F.); #233548=ORIENTED_EDGE('',*,*,#183599,.F.); #233549=ORIENTED_EDGE('',*,*,#183600,.F.); #233550=ORIENTED_EDGE('',*,*,#183601,.F.); #233551=ORIENTED_EDGE('',*,*,#183602,.F.); #233552=ORIENTED_EDGE('',*,*,#183603,.F.); #233553=ORIENTED_EDGE('',*,*,#183604,.F.); #233554=ORIENTED_EDGE('',*,*,#183605,.F.); #233555=ORIENTED_EDGE('',*,*,#183606,.F.); #233556=ORIENTED_EDGE('',*,*,#183607,.F.); #233557=ORIENTED_EDGE('',*,*,#183608,.F.); #233558=ORIENTED_EDGE('',*,*,#183609,.F.); #233559=ORIENTED_EDGE('',*,*,#183610,.F.); #233560=ORIENTED_EDGE('',*,*,#183611,.F.); #233561=ORIENTED_EDGE('',*,*,#183612,.F.); #233562=ORIENTED_EDGE('',*,*,#183613,.F.); #233563=ORIENTED_EDGE('',*,*,#183614,.F.); #233564=ORIENTED_EDGE('',*,*,#183615,.F.); #233565=ORIENTED_EDGE('',*,*,#183616,.F.); #233566=ORIENTED_EDGE('',*,*,#183617,.F.); #233567=ORIENTED_EDGE('',*,*,#183618,.F.); #233568=ORIENTED_EDGE('',*,*,#183619,.F.); #233569=ORIENTED_EDGE('',*,*,#183620,.F.); #233570=ORIENTED_EDGE('',*,*,#183621,.F.); #233571=ORIENTED_EDGE('',*,*,#183622,.F.); #233572=ORIENTED_EDGE('',*,*,#183623,.F.); #233573=ORIENTED_EDGE('',*,*,#183624,.F.); #233574=ORIENTED_EDGE('',*,*,#183625,.T.); #233575=ORIENTED_EDGE('',*,*,#183626,.T.); #233576=ORIENTED_EDGE('',*,*,#183627,.F.); #233577=ORIENTED_EDGE('',*,*,#183567,.F.); #233578=ORIENTED_EDGE('',*,*,#183628,.T.); #233579=ORIENTED_EDGE('',*,*,#183629,.T.); #233580=ORIENTED_EDGE('',*,*,#183625,.F.); #233581=ORIENTED_EDGE('',*,*,#183630,.F.); #233582=ORIENTED_EDGE('',*,*,#183631,.F.); #233583=ORIENTED_EDGE('',*,*,#183632,.F.); #233584=ORIENTED_EDGE('',*,*,#183633,.F.); #233585=ORIENTED_EDGE('',*,*,#183634,.F.); #233586=ORIENTED_EDGE('',*,*,#183635,.F.); #233587=ORIENTED_EDGE('',*,*,#183636,.F.); #233588=ORIENTED_EDGE('',*,*,#183637,.F.); #233589=ORIENTED_EDGE('',*,*,#183638,.F.); #233590=ORIENTED_EDGE('',*,*,#183639,.F.); #233591=ORIENTED_EDGE('',*,*,#183640,.F.); #233592=ORIENTED_EDGE('',*,*,#183641,.F.); #233593=ORIENTED_EDGE('',*,*,#183642,.F.); #233594=ORIENTED_EDGE('',*,*,#183643,.F.); #233595=ORIENTED_EDGE('',*,*,#183644,.F.); #233596=ORIENTED_EDGE('',*,*,#183645,.F.); #233597=ORIENTED_EDGE('',*,*,#183646,.F.); #233598=ORIENTED_EDGE('',*,*,#183647,.F.); #233599=ORIENTED_EDGE('',*,*,#183648,.F.); #233600=ORIENTED_EDGE('',*,*,#183649,.F.); #233601=ORIENTED_EDGE('',*,*,#183650,.F.); #233602=ORIENTED_EDGE('',*,*,#183651,.F.); #233603=ORIENTED_EDGE('',*,*,#183652,.F.); #233604=ORIENTED_EDGE('',*,*,#183653,.F.); #233605=ORIENTED_EDGE('',*,*,#183654,.F.); #233606=ORIENTED_EDGE('',*,*,#183655,.F.); #233607=ORIENTED_EDGE('',*,*,#183656,.F.); #233608=ORIENTED_EDGE('',*,*,#183657,.F.); #233609=ORIENTED_EDGE('',*,*,#183658,.F.); #233610=ORIENTED_EDGE('',*,*,#183659,.F.); #233611=ORIENTED_EDGE('',*,*,#183660,.F.); #233612=ORIENTED_EDGE('',*,*,#183661,.F.); #233613=ORIENTED_EDGE('',*,*,#183662,.F.); #233614=ORIENTED_EDGE('',*,*,#183663,.F.); #233615=ORIENTED_EDGE('',*,*,#183664,.F.); #233616=ORIENTED_EDGE('',*,*,#183665,.F.); #233617=ORIENTED_EDGE('',*,*,#183666,.F.); #233618=ORIENTED_EDGE('',*,*,#183667,.F.); #233619=ORIENTED_EDGE('',*,*,#183668,.F.); #233620=ORIENTED_EDGE('',*,*,#183669,.F.); #233621=ORIENTED_EDGE('',*,*,#183670,.F.); #233622=ORIENTED_EDGE('',*,*,#183671,.F.); #233623=ORIENTED_EDGE('',*,*,#183672,.F.); #233624=ORIENTED_EDGE('',*,*,#183673,.T.); #233625=ORIENTED_EDGE('',*,*,#183336,.T.); #233626=ORIENTED_EDGE('',*,*,#183561,.F.); #233627=ORIENTED_EDGE('',*,*,#183568,.T.); #233628=ORIENTED_EDGE('',*,*,#183334,.F.); #233629=ORIENTED_EDGE('',*,*,#183674,.F.); #233630=ORIENTED_EDGE('',*,*,#183675,.F.); #233631=ORIENTED_EDGE('',*,*,#183676,.T.); #233632=ORIENTED_EDGE('',*,*,#183677,.T.); #233633=ORIENTED_EDGE('',*,*,#183678,.T.); #233634=ORIENTED_EDGE('',*,*,#183679,.T.); #233635=ORIENTED_EDGE('',*,*,#183680,.T.); #233636=ORIENTED_EDGE('',*,*,#183681,.T.); #233637=ORIENTED_EDGE('',*,*,#183678,.F.); #233638=ORIENTED_EDGE('',*,*,#183682,.T.); #233639=ORIENTED_EDGE('',*,*,#183566,.F.); #233640=ORIENTED_EDGE('',*,*,#183565,.F.); #233641=ORIENTED_EDGE('',*,*,#183681,.F.); #233642=ORIENTED_EDGE('',*,*,#183683,.F.); #233643=ORIENTED_EDGE('',*,*,#183684,.F.); #233644=ORIENTED_EDGE('',*,*,#183685,.F.); #233645=ORIENTED_EDGE('',*,*,#183686,.F.); #233646=ORIENTED_EDGE('',*,*,#183687,.F.); #233647=ORIENTED_EDGE('',*,*,#183679,.F.); #233648=ORIENTED_EDGE('',*,*,#183682,.F.); #233649=ORIENTED_EDGE('',*,*,#183688,.T.); #233650=ORIENTED_EDGE('',*,*,#183689,.F.); #233651=ORIENTED_EDGE('',*,*,#183628,.F.); #233652=ORIENTED_EDGE('',*,*,#183677,.F.); #233653=ORIENTED_EDGE('',*,*,#183690,.F.); #233654=ORIENTED_EDGE('',*,*,#183688,.F.); #233655=ORIENTED_EDGE('',*,*,#183673,.F.); #233656=ORIENTED_EDGE('',*,*,#183627,.T.); #233657=ORIENTED_EDGE('',*,*,#183691,.F.); #233658=ORIENTED_EDGE('',*,*,#183692,.F.); #233659=ORIENTED_EDGE('',*,*,#183337,.F.); #233660=ORIENTED_EDGE('',*,*,#183692,.T.); #233661=ORIENTED_EDGE('',*,*,#183693,.F.); #233662=ORIENTED_EDGE('',*,*,#183338,.F.); #233663=ORIENTED_EDGE('',*,*,#183693,.T.); #233664=ORIENTED_EDGE('',*,*,#183691,.T.); #233665=ORIENTED_EDGE('',*,*,#183626,.F.); #233666=ORIENTED_EDGE('',*,*,#183629,.F.); #233667=ORIENTED_EDGE('',*,*,#183689,.T.); #233668=ORIENTED_EDGE('',*,*,#183690,.T.); #233669=ORIENTED_EDGE('',*,*,#183676,.F.); #233670=ORIENTED_EDGE('',*,*,#183687,.T.); #233671=ORIENTED_EDGE('',*,*,#183694,.F.); #233672=ORIENTED_EDGE('',*,*,#183695,.T.); #233673=ORIENTED_EDGE('',*,*,#183696,.F.); #233674=ORIENTED_EDGE('',*,*,#183697,.T.); #233675=ORIENTED_EDGE('',*,*,#183698,.F.); #233676=ORIENTED_EDGE('',*,*,#183699,.T.); #233677=ORIENTED_EDGE('',*,*,#183700,.F.); #233678=ORIENTED_EDGE('',*,*,#183674,.T.); #233679=ORIENTED_EDGE('',*,*,#183680,.F.); #233680=ORIENTED_EDGE('',*,*,#183701,.F.); #233681=ORIENTED_EDGE('',*,*,#183702,.F.); #233682=ORIENTED_EDGE('',*,*,#183683,.T.); #233683=ORIENTED_EDGE('',*,*,#183702,.T.); #233684=ORIENTED_EDGE('',*,*,#183703,.F.); #233685=ORIENTED_EDGE('',*,*,#183704,.F.); #233686=ORIENTED_EDGE('',*,*,#183705,.F.); #233687=ORIENTED_EDGE('',*,*,#183706,.F.); #233688=ORIENTED_EDGE('',*,*,#183707,.F.); #233689=ORIENTED_EDGE('',*,*,#183562,.T.); #233690=ORIENTED_EDGE('',*,*,#183335,.T.); #233691=ORIENTED_EDGE('',*,*,#183701,.T.); #233692=ORIENTED_EDGE('',*,*,#183564,.F.); #233693=ORIENTED_EDGE('',*,*,#183708,.F.); #233694=ORIENTED_EDGE('',*,*,#183703,.T.); #233695=ORIENTED_EDGE('',*,*,#183705,.T.); #233696=ORIENTED_EDGE('',*,*,#183709,.F.); #233697=ORIENTED_EDGE('',*,*,#183710,.T.); #233698=ORIENTED_EDGE('',*,*,#183684,.T.); #233699=ORIENTED_EDGE('',*,*,#183675,.T.); #233700=ORIENTED_EDGE('',*,*,#183711,.T.); #233701=ORIENTED_EDGE('',*,*,#183712,.T.); #233702=ORIENTED_EDGE('',*,*,#183713,.F.); #233703=ORIENTED_EDGE('',*,*,#183714,.F.); #233704=ORIENTED_EDGE('',*,*,#183706,.T.); #233705=ORIENTED_EDGE('',*,*,#183715,.F.); #233706=ORIENTED_EDGE('',*,*,#183716,.T.); #233707=ORIENTED_EDGE('',*,*,#183717,.T.); #233708=ORIENTED_EDGE('',*,*,#183716,.F.); #233709=ORIENTED_EDGE('',*,*,#183717,.F.); #233710=ORIENTED_EDGE('',*,*,#183718,.F.); #233711=ORIENTED_EDGE('',*,*,#183719,.F.); #233712=ORIENTED_EDGE('',*,*,#183720,.T.); #233713=ORIENTED_EDGE('',*,*,#183721,.T.); #233714=ORIENTED_EDGE('',*,*,#183720,.F.); #233715=ORIENTED_EDGE('',*,*,#183721,.F.); #233716=ORIENTED_EDGE('',*,*,#183722,.F.); #233717=ORIENTED_EDGE('',*,*,#183723,.F.); #233718=ORIENTED_EDGE('',*,*,#183724,.T.); #233719=ORIENTED_EDGE('',*,*,#183725,.T.); #233720=ORIENTED_EDGE('',*,*,#183724,.F.); #233721=ORIENTED_EDGE('',*,*,#183725,.F.); #233722=ORIENTED_EDGE('',*,*,#183726,.F.); #233723=ORIENTED_EDGE('',*,*,#183727,.F.); #233724=ORIENTED_EDGE('',*,*,#183728,.T.); #233725=ORIENTED_EDGE('',*,*,#183729,.T.); #233726=ORIENTED_EDGE('',*,*,#183728,.F.); #233727=ORIENTED_EDGE('',*,*,#183729,.F.); #233728=ORIENTED_EDGE('',*,*,#183730,.F.); #233729=ORIENTED_EDGE('',*,*,#183718,.T.); #233730=ORIENTED_EDGE('',*,*,#183731,.T.); #233731=ORIENTED_EDGE('',*,*,#183732,.T.); #233732=ORIENTED_EDGE('',*,*,#183731,.F.); #233733=ORIENTED_EDGE('',*,*,#183732,.F.); #233734=ORIENTED_EDGE('',*,*,#183730,.T.); #233735=ORIENTED_EDGE('',*,*,#183733,.T.); #233736=ORIENTED_EDGE('',*,*,#183734,.T.); #233737=ORIENTED_EDGE('',*,*,#183733,.F.); #233738=ORIENTED_EDGE('',*,*,#183734,.F.); #233739=ORIENTED_EDGE('',*,*,#183726,.T.); #233740=ORIENTED_EDGE('',*,*,#183735,.T.); #233741=ORIENTED_EDGE('',*,*,#183736,.T.); #233742=ORIENTED_EDGE('',*,*,#183735,.F.); #233743=ORIENTED_EDGE('',*,*,#183736,.F.); #233744=ORIENTED_EDGE('',*,*,#183722,.T.); #233745=ORIENTED_EDGE('',*,*,#183737,.T.); #233746=ORIENTED_EDGE('',*,*,#183738,.T.); #233747=ORIENTED_EDGE('',*,*,#183737,.F.); #233748=ORIENTED_EDGE('',*,*,#183738,.F.); #233749=ORIENTED_EDGE('',*,*,#183739,.T.); #233750=ORIENTED_EDGE('',*,*,#183342,.T.); #233751=ORIENTED_EDGE('',*,*,#183633,.T.); #233752=ORIENTED_EDGE('',*,*,#183740,.T.); #233753=ORIENTED_EDGE('',*,*,#183339,.T.); #233754=ORIENTED_EDGE('',*,*,#183740,.F.); #233755=ORIENTED_EDGE('',*,*,#183621,.T.); #233756=ORIENTED_EDGE('',*,*,#183615,.T.); #233757=ORIENTED_EDGE('',*,*,#183609,.T.); #233758=ORIENTED_EDGE('',*,*,#183603,.T.); #233759=ORIENTED_EDGE('',*,*,#183597,.T.); #233760=ORIENTED_EDGE('',*,*,#183591,.T.); #233761=ORIENTED_EDGE('',*,*,#183585,.T.); #233762=ORIENTED_EDGE('',*,*,#183579,.T.); #233763=ORIENTED_EDGE('',*,*,#183573,.T.); #233764=ORIENTED_EDGE('',*,*,#183669,.T.); #233765=ORIENTED_EDGE('',*,*,#183663,.T.); #233766=ORIENTED_EDGE('',*,*,#183657,.T.); #233767=ORIENTED_EDGE('',*,*,#183651,.T.); #233768=ORIENTED_EDGE('',*,*,#183645,.T.); #233769=ORIENTED_EDGE('',*,*,#183639,.T.); #233770=ORIENTED_EDGE('',*,*,#183741,.T.); #233771=ORIENTED_EDGE('',*,*,#183659,.T.); #233772=ORIENTED_EDGE('',*,*,#183742,.T.); #233773=ORIENTED_EDGE('',*,*,#183743,.T.); #233774=ORIENTED_EDGE('',*,*,#183744,.T.); #233775=ORIENTED_EDGE('',*,*,#183660,.T.); #233776=ORIENTED_EDGE('',*,*,#183741,.F.); #233777=ORIENTED_EDGE('',*,*,#183745,.T.); #233778=ORIENTED_EDGE('',*,*,#183746,.T.); #233779=ORIENTED_EDGE('',*,*,#183661,.T.); #233780=ORIENTED_EDGE('',*,*,#183744,.F.); #233781=ORIENTED_EDGE('',*,*,#183747,.T.); #233782=ORIENTED_EDGE('',*,*,#183742,.F.); #233783=ORIENTED_EDGE('',*,*,#183658,.T.); #233784=ORIENTED_EDGE('',*,*,#183662,.T.); #233785=ORIENTED_EDGE('',*,*,#183746,.F.); #233786=ORIENTED_EDGE('',*,*,#183748,.T.); #233787=ORIENTED_EDGE('',*,*,#183745,.F.); #233788=ORIENTED_EDGE('',*,*,#183749,.T.); #233789=ORIENTED_EDGE('',*,*,#183750,.F.); #233790=ORIENTED_EDGE('',*,*,#183751,.F.); #233791=ORIENTED_EDGE('',*,*,#183743,.F.); #233792=ORIENTED_EDGE('',*,*,#183752,.T.); #233793=ORIENTED_EDGE('',*,*,#183753,.F.); #233794=ORIENTED_EDGE('',*,*,#183749,.F.); #233795=ORIENTED_EDGE('',*,*,#183754,.T.); #233796=ORIENTED_EDGE('',*,*,#183755,.T.); #233797=ORIENTED_EDGE('',*,*,#183756,.T.); #233798=ORIENTED_EDGE('',*,*,#183753,.T.); #233799=ORIENTED_EDGE('',*,*,#183757,.T.); #233800=ORIENTED_EDGE('',*,*,#183758,.T.); #233801=ORIENTED_EDGE('',*,*,#183754,.F.); #233802=ORIENTED_EDGE('',*,*,#183759,.T.); #233803=ORIENTED_EDGE('',*,*,#183760,.T.); #233804=ORIENTED_EDGE('',*,*,#183761,.T.); #233805=ORIENTED_EDGE('',*,*,#183757,.F.); #233806=ORIENTED_EDGE('',*,*,#183762,.T.); #233807=ORIENTED_EDGE('',*,*,#183756,.F.); #233808=ORIENTED_EDGE('',*,*,#183763,.T.); #233809=ORIENTED_EDGE('',*,*,#183760,.F.); #233810=ORIENTED_EDGE('',*,*,#183750,.T.); #233811=ORIENTED_EDGE('',*,*,#183748,.F.); #233812=ORIENTED_EDGE('',*,*,#183764,.T.); #233813=ORIENTED_EDGE('',*,*,#183759,.F.); #233814=ORIENTED_EDGE('',*,*,#183752,.F.); #233815=ORIENTED_EDGE('',*,*,#183739,.F.); #233816=ORIENTED_EDGE('',*,*,#183765,.T.); #233817=ORIENTED_EDGE('',*,*,#183766,.F.); #233818=ORIENTED_EDGE('',*,*,#183767,.F.); #233819=ORIENTED_EDGE('',*,*,#183768,.F.); #233820=ORIENTED_EDGE('',*,*,#183769,.T.); #233821=ORIENTED_EDGE('',*,*,#183770,.F.); #233822=ORIENTED_EDGE('',*,*,#183769,.F.); #233823=ORIENTED_EDGE('',*,*,#183771,.F.); #233824=ORIENTED_EDGE('',*,*,#183772,.F.); #233825=ORIENTED_EDGE('',*,*,#183773,.F.); #233826=ORIENTED_EDGE('',*,*,#183765,.F.); #233827=ORIENTED_EDGE('',*,*,#183412,.T.); #233828=ORIENTED_EDGE('',*,*,#183390,.F.); #233829=ORIENTED_EDGE('',*,*,#183548,.F.); #233830=ORIENTED_EDGE('',*,*,#183455,.F.); #233831=ORIENTED_EDGE('',*,*,#183466,.T.); #233832=ORIENTED_EDGE('',*,*,#183467,.F.); #233833=ORIENTED_EDGE('',*,*,#183545,.F.); #233834=ORIENTED_EDGE('',*,*,#183434,.F.); #233835=ORIENTED_EDGE('',*,*,#183420,.T.); #233836=ORIENTED_EDGE('',*,*,#183421,.F.); #233837=ORIENTED_EDGE('',*,*,#183549,.F.); #233838=ORIENTED_EDGE('',*,*,#183480,.F.); #233839=ORIENTED_EDGE('',*,*,#183458,.T.); #233840=ORIENTED_EDGE('',*,*,#183436,.F.); #233841=ORIENTED_EDGE('',*,*,#183546,.F.); #233842=ORIENTED_EDGE('',*,*,#183409,.F.); #233843=ORIENTED_EDGE('',*,*,#183374,.T.); #233844=ORIENTED_EDGE('',*,*,#183375,.F.); #233845=ORIENTED_EDGE('',*,*,#183558,.F.); #233846=ORIENTED_EDGE('',*,*,#183526,.F.); #233847=ORIENTED_EDGE('',*,*,#183504,.T.); #233848=ORIENTED_EDGE('',*,*,#183482,.F.); #233849=ORIENTED_EDGE('',*,*,#183555,.F.); #233850=ORIENTED_EDGE('',*,*,#183363,.F.); #233851=ORIENTED_EDGE('',*,*,#183366,.T.); #233852=ORIENTED_EDGE('',*,*,#183344,.F.); #233853=ORIENTED_EDGE('',*,*,#183559,.F.); #233854=ORIENTED_EDGE('',*,*,#183501,.F.); #233855=ORIENTED_EDGE('',*,*,#183512,.T.); #233856=ORIENTED_EDGE('',*,*,#183513,.F.); #233857=ORIENTED_EDGE('',*,*,#183556,.F.); #233858=ORIENTED_EDGE('',*,*,#183388,.F.); #233859=ORIENTED_EDGE('',*,*,#183774,.F.); #233860=ORIENTED_EDGE('',*,*,#183775,.F.); #233861=ORIENTED_EDGE('',*,*,#183776,.F.); #233862=ORIENTED_EDGE('',*,*,#183777,.F.); #233863=ORIENTED_EDGE('',*,*,#183778,.F.); #233864=ORIENTED_EDGE('',*,*,#183779,.F.); #233865=ORIENTED_EDGE('',*,*,#183780,.F.); #233866=ORIENTED_EDGE('',*,*,#183781,.F.); #233867=ORIENTED_EDGE('',*,*,#183782,.F.); #233868=ORIENTED_EDGE('',*,*,#183783,.F.); #233869=ORIENTED_EDGE('',*,*,#183784,.F.); #233870=ORIENTED_EDGE('',*,*,#183785,.F.); #233871=ORIENTED_EDGE('',*,*,#183786,.F.); #233872=ORIENTED_EDGE('',*,*,#183787,.F.); #233873=ORIENTED_EDGE('',*,*,#183788,.F.); #233874=ORIENTED_EDGE('',*,*,#183789,.F.); #233875=ORIENTED_EDGE('',*,*,#183790,.F.); #233876=ORIENTED_EDGE('',*,*,#183791,.F.); #233877=ORIENTED_EDGE('',*,*,#183792,.F.); #233878=ORIENTED_EDGE('',*,*,#183793,.F.); #233879=ORIENTED_EDGE('',*,*,#183794,.F.); #233880=ORIENTED_EDGE('',*,*,#183795,.F.); #233881=ORIENTED_EDGE('',*,*,#183796,.F.); #233882=ORIENTED_EDGE('',*,*,#183797,.F.); #233883=ORIENTED_EDGE('',*,*,#183798,.F.); #233884=ORIENTED_EDGE('',*,*,#183799,.F.); #233885=ORIENTED_EDGE('',*,*,#183800,.F.); #233886=ORIENTED_EDGE('',*,*,#183801,.F.); #233887=ORIENTED_EDGE('',*,*,#183802,.F.); #233888=ORIENTED_EDGE('',*,*,#183803,.F.); #233889=ORIENTED_EDGE('',*,*,#183804,.F.); #233890=ORIENTED_EDGE('',*,*,#183805,.F.); #233891=ORIENTED_EDGE('',*,*,#183806,.F.); #233892=ORIENTED_EDGE('',*,*,#183807,.F.); #233893=ORIENTED_EDGE('',*,*,#183808,.F.); #233894=ORIENTED_EDGE('',*,*,#183809,.F.); #233895=ORIENTED_EDGE('',*,*,#183810,.F.); #233896=ORIENTED_EDGE('',*,*,#183811,.F.); #233897=ORIENTED_EDGE('',*,*,#183812,.F.); #233898=ORIENTED_EDGE('',*,*,#183813,.F.); #233899=ORIENTED_EDGE('',*,*,#183814,.F.); #233900=ORIENTED_EDGE('',*,*,#183815,.F.); #233901=ORIENTED_EDGE('',*,*,#183816,.F.); #233902=ORIENTED_EDGE('',*,*,#183817,.F.); #233903=ORIENTED_EDGE('',*,*,#183818,.F.); #233904=ORIENTED_EDGE('',*,*,#183819,.F.); #233905=ORIENTED_EDGE('',*,*,#183820,.F.); #233906=ORIENTED_EDGE('',*,*,#183821,.F.); #233907=ORIENTED_EDGE('',*,*,#183822,.F.); #233908=ORIENTED_EDGE('',*,*,#183823,.F.); #233909=ORIENTED_EDGE('',*,*,#183824,.F.); #233910=ORIENTED_EDGE('',*,*,#183825,.F.); #233911=ORIENTED_EDGE('',*,*,#183826,.F.); #233912=ORIENTED_EDGE('',*,*,#183827,.F.); #233913=ORIENTED_EDGE('',*,*,#183828,.F.); #233914=ORIENTED_EDGE('',*,*,#183829,.F.); #233915=ORIENTED_EDGE('',*,*,#183755,.F.); #233916=ORIENTED_EDGE('',*,*,#183758,.F.); #233917=ORIENTED_EDGE('',*,*,#183761,.F.); #233918=ORIENTED_EDGE('',*,*,#183763,.F.); #233919=ORIENTED_EDGE('',*,*,#183747,.F.); #233920=ORIENTED_EDGE('',*,*,#183751,.T.); #233921=ORIENTED_EDGE('',*,*,#183762,.F.); #233922=ORIENTED_EDGE('',*,*,#183764,.F.); #233923=ORIENTED_EDGE('',*,*,#183830,.T.); #233924=ORIENTED_EDGE('',*,*,#183665,.T.); #233925=ORIENTED_EDGE('',*,*,#183831,.T.); #233926=ORIENTED_EDGE('',*,*,#183832,.T.); #233927=ORIENTED_EDGE('',*,*,#183833,.T.); #233928=ORIENTED_EDGE('',*,*,#183666,.T.); #233929=ORIENTED_EDGE('',*,*,#183830,.F.); #233930=ORIENTED_EDGE('',*,*,#183834,.T.); #233931=ORIENTED_EDGE('',*,*,#183835,.T.); #233932=ORIENTED_EDGE('',*,*,#183667,.T.); #233933=ORIENTED_EDGE('',*,*,#183833,.F.); #233934=ORIENTED_EDGE('',*,*,#183836,.T.); #233935=ORIENTED_EDGE('',*,*,#183831,.F.); #233936=ORIENTED_EDGE('',*,*,#183664,.T.); #233937=ORIENTED_EDGE('',*,*,#183668,.T.); #233938=ORIENTED_EDGE('',*,*,#183835,.F.); #233939=ORIENTED_EDGE('',*,*,#183837,.T.); #233940=ORIENTED_EDGE('',*,*,#183834,.F.); #233941=ORIENTED_EDGE('',*,*,#183838,.T.); #233942=ORIENTED_EDGE('',*,*,#183839,.F.); #233943=ORIENTED_EDGE('',*,*,#183840,.F.); #233944=ORIENTED_EDGE('',*,*,#183832,.F.); #233945=ORIENTED_EDGE('',*,*,#183841,.T.); #233946=ORIENTED_EDGE('',*,*,#183842,.F.); #233947=ORIENTED_EDGE('',*,*,#183838,.F.); #233948=ORIENTED_EDGE('',*,*,#183843,.T.); #233949=ORIENTED_EDGE('',*,*,#183774,.T.); #233950=ORIENTED_EDGE('',*,*,#183844,.T.); #233951=ORIENTED_EDGE('',*,*,#183842,.T.); #233952=ORIENTED_EDGE('',*,*,#183845,.T.); #233953=ORIENTED_EDGE('',*,*,#183775,.T.); #233954=ORIENTED_EDGE('',*,*,#183843,.F.); #233955=ORIENTED_EDGE('',*,*,#183846,.T.); #233956=ORIENTED_EDGE('',*,*,#183847,.T.); #233957=ORIENTED_EDGE('',*,*,#183776,.T.); #233958=ORIENTED_EDGE('',*,*,#183845,.F.); #233959=ORIENTED_EDGE('',*,*,#183848,.T.); #233960=ORIENTED_EDGE('',*,*,#183844,.F.); #233961=ORIENTED_EDGE('',*,*,#183777,.T.); #233962=ORIENTED_EDGE('',*,*,#183847,.F.); #233963=ORIENTED_EDGE('',*,*,#183839,.T.); #233964=ORIENTED_EDGE('',*,*,#183837,.F.); #233965=ORIENTED_EDGE('',*,*,#183849,.T.); #233966=ORIENTED_EDGE('',*,*,#183846,.F.); #233967=ORIENTED_EDGE('',*,*,#183841,.F.); #233968=ORIENTED_EDGE('',*,*,#183836,.F.); #233969=ORIENTED_EDGE('',*,*,#183840,.T.); #233970=ORIENTED_EDGE('',*,*,#183848,.F.); #233971=ORIENTED_EDGE('',*,*,#183849,.F.); #233972=ORIENTED_EDGE('',*,*,#183850,.T.); #233973=ORIENTED_EDGE('',*,*,#183671,.T.); #233974=ORIENTED_EDGE('',*,*,#183851,.T.); #233975=ORIENTED_EDGE('',*,*,#183852,.T.); #233976=ORIENTED_EDGE('',*,*,#183853,.T.); #233977=ORIENTED_EDGE('',*,*,#183570,.T.); #233978=ORIENTED_EDGE('',*,*,#183569,.T.); #233979=ORIENTED_EDGE('',*,*,#183672,.T.); #233980=ORIENTED_EDGE('',*,*,#183850,.F.); #233981=ORIENTED_EDGE('',*,*,#183854,.T.); #233982=ORIENTED_EDGE('',*,*,#183855,.T.); #233983=ORIENTED_EDGE('',*,*,#183571,.T.); #233984=ORIENTED_EDGE('',*,*,#183853,.F.); #233985=ORIENTED_EDGE('',*,*,#183856,.T.); #233986=ORIENTED_EDGE('',*,*,#183851,.F.); #233987=ORIENTED_EDGE('',*,*,#183670,.T.); #233988=ORIENTED_EDGE('',*,*,#183572,.T.); #233989=ORIENTED_EDGE('',*,*,#183855,.F.); #233990=ORIENTED_EDGE('',*,*,#183857,.T.); #233991=ORIENTED_EDGE('',*,*,#183854,.F.); #233992=ORIENTED_EDGE('',*,*,#183858,.T.); #233993=ORIENTED_EDGE('',*,*,#183859,.F.); #233994=ORIENTED_EDGE('',*,*,#183860,.F.); #233995=ORIENTED_EDGE('',*,*,#183852,.F.); #233996=ORIENTED_EDGE('',*,*,#183861,.T.); #233997=ORIENTED_EDGE('',*,*,#183862,.F.); #233998=ORIENTED_EDGE('',*,*,#183858,.F.); #233999=ORIENTED_EDGE('',*,*,#183863,.T.); #234000=ORIENTED_EDGE('',*,*,#183826,.T.); #234001=ORIENTED_EDGE('',*,*,#183864,.T.); #234002=ORIENTED_EDGE('',*,*,#183862,.T.); #234003=ORIENTED_EDGE('',*,*,#183865,.T.); #234004=ORIENTED_EDGE('',*,*,#183827,.T.); #234005=ORIENTED_EDGE('',*,*,#183863,.F.); #234006=ORIENTED_EDGE('',*,*,#183866,.T.); #234007=ORIENTED_EDGE('',*,*,#183867,.T.); #234008=ORIENTED_EDGE('',*,*,#183828,.T.); #234009=ORIENTED_EDGE('',*,*,#183865,.F.); #234010=ORIENTED_EDGE('',*,*,#183868,.T.); #234011=ORIENTED_EDGE('',*,*,#183864,.F.); #234012=ORIENTED_EDGE('',*,*,#183829,.T.); #234013=ORIENTED_EDGE('',*,*,#183867,.F.); #234014=ORIENTED_EDGE('',*,*,#183859,.T.); #234015=ORIENTED_EDGE('',*,*,#183857,.F.); #234016=ORIENTED_EDGE('',*,*,#183869,.T.); #234017=ORIENTED_EDGE('',*,*,#183866,.F.); #234018=ORIENTED_EDGE('',*,*,#183861,.F.); #234019=ORIENTED_EDGE('',*,*,#183856,.F.); #234020=ORIENTED_EDGE('',*,*,#183860,.T.); #234021=ORIENTED_EDGE('',*,*,#183868,.F.); #234022=ORIENTED_EDGE('',*,*,#183869,.F.); #234023=ORIENTED_EDGE('',*,*,#183870,.T.); #234024=ORIENTED_EDGE('',*,*,#183575,.T.); #234025=ORIENTED_EDGE('',*,*,#183871,.T.); #234026=ORIENTED_EDGE('',*,*,#183872,.T.); #234027=ORIENTED_EDGE('',*,*,#183873,.T.); #234028=ORIENTED_EDGE('',*,*,#183576,.T.); #234029=ORIENTED_EDGE('',*,*,#183870,.F.); #234030=ORIENTED_EDGE('',*,*,#183874,.T.); #234031=ORIENTED_EDGE('',*,*,#183875,.T.); #234032=ORIENTED_EDGE('',*,*,#183577,.T.); #234033=ORIENTED_EDGE('',*,*,#183873,.F.); #234034=ORIENTED_EDGE('',*,*,#183876,.T.); #234035=ORIENTED_EDGE('',*,*,#183871,.F.); #234036=ORIENTED_EDGE('',*,*,#183574,.T.); #234037=ORIENTED_EDGE('',*,*,#183578,.T.); #234038=ORIENTED_EDGE('',*,*,#183875,.F.); #234039=ORIENTED_EDGE('',*,*,#183877,.T.); #234040=ORIENTED_EDGE('',*,*,#183874,.F.); #234041=ORIENTED_EDGE('',*,*,#183878,.T.); #234042=ORIENTED_EDGE('',*,*,#183879,.F.); #234043=ORIENTED_EDGE('',*,*,#183880,.F.); #234044=ORIENTED_EDGE('',*,*,#183872,.F.); #234045=ORIENTED_EDGE('',*,*,#183881,.T.); #234046=ORIENTED_EDGE('',*,*,#183882,.F.); #234047=ORIENTED_EDGE('',*,*,#183878,.F.); #234048=ORIENTED_EDGE('',*,*,#183883,.T.); #234049=ORIENTED_EDGE('',*,*,#183778,.T.); #234050=ORIENTED_EDGE('',*,*,#183884,.T.); #234051=ORIENTED_EDGE('',*,*,#183882,.T.); #234052=ORIENTED_EDGE('',*,*,#183885,.T.); #234053=ORIENTED_EDGE('',*,*,#183779,.T.); #234054=ORIENTED_EDGE('',*,*,#183883,.F.); #234055=ORIENTED_EDGE('',*,*,#183886,.T.); #234056=ORIENTED_EDGE('',*,*,#183887,.T.); #234057=ORIENTED_EDGE('',*,*,#183780,.T.); #234058=ORIENTED_EDGE('',*,*,#183885,.F.); #234059=ORIENTED_EDGE('',*,*,#183888,.T.); #234060=ORIENTED_EDGE('',*,*,#183884,.F.); #234061=ORIENTED_EDGE('',*,*,#183781,.T.); #234062=ORIENTED_EDGE('',*,*,#183887,.F.); #234063=ORIENTED_EDGE('',*,*,#183879,.T.); #234064=ORIENTED_EDGE('',*,*,#183877,.F.); #234065=ORIENTED_EDGE('',*,*,#183889,.T.); #234066=ORIENTED_EDGE('',*,*,#183886,.F.); #234067=ORIENTED_EDGE('',*,*,#183881,.F.); #234068=ORIENTED_EDGE('',*,*,#183876,.F.); #234069=ORIENTED_EDGE('',*,*,#183880,.T.); #234070=ORIENTED_EDGE('',*,*,#183888,.F.); #234071=ORIENTED_EDGE('',*,*,#183889,.F.); #234072=ORIENTED_EDGE('',*,*,#183890,.T.); #234073=ORIENTED_EDGE('',*,*,#183581,.T.); #234074=ORIENTED_EDGE('',*,*,#183891,.T.); #234075=ORIENTED_EDGE('',*,*,#183892,.T.); #234076=ORIENTED_EDGE('',*,*,#183893,.T.); #234077=ORIENTED_EDGE('',*,*,#183582,.T.); #234078=ORIENTED_EDGE('',*,*,#183890,.F.); #234079=ORIENTED_EDGE('',*,*,#183894,.T.); #234080=ORIENTED_EDGE('',*,*,#183895,.T.); #234081=ORIENTED_EDGE('',*,*,#183583,.T.); #234082=ORIENTED_EDGE('',*,*,#183893,.F.); #234083=ORIENTED_EDGE('',*,*,#183896,.T.); #234084=ORIENTED_EDGE('',*,*,#183891,.F.); #234085=ORIENTED_EDGE('',*,*,#183580,.T.); #234086=ORIENTED_EDGE('',*,*,#183584,.T.); #234087=ORIENTED_EDGE('',*,*,#183895,.F.); #234088=ORIENTED_EDGE('',*,*,#183897,.T.); #234089=ORIENTED_EDGE('',*,*,#183894,.F.); #234090=ORIENTED_EDGE('',*,*,#183898,.T.); #234091=ORIENTED_EDGE('',*,*,#183899,.F.); #234092=ORIENTED_EDGE('',*,*,#183900,.F.); #234093=ORIENTED_EDGE('',*,*,#183892,.F.); #234094=ORIENTED_EDGE('',*,*,#183901,.T.); #234095=ORIENTED_EDGE('',*,*,#183902,.F.); #234096=ORIENTED_EDGE('',*,*,#183898,.F.); #234097=ORIENTED_EDGE('',*,*,#183903,.T.); #234098=ORIENTED_EDGE('',*,*,#183822,.T.); #234099=ORIENTED_EDGE('',*,*,#183904,.T.); #234100=ORIENTED_EDGE('',*,*,#183902,.T.); #234101=ORIENTED_EDGE('',*,*,#183905,.T.); #234102=ORIENTED_EDGE('',*,*,#183823,.T.); #234103=ORIENTED_EDGE('',*,*,#183903,.F.); #234104=ORIENTED_EDGE('',*,*,#183906,.T.); #234105=ORIENTED_EDGE('',*,*,#183907,.T.); #234106=ORIENTED_EDGE('',*,*,#183824,.T.); #234107=ORIENTED_EDGE('',*,*,#183905,.F.); #234108=ORIENTED_EDGE('',*,*,#183908,.T.); #234109=ORIENTED_EDGE('',*,*,#183904,.F.); #234110=ORIENTED_EDGE('',*,*,#183825,.T.); #234111=ORIENTED_EDGE('',*,*,#183907,.F.); #234112=ORIENTED_EDGE('',*,*,#183899,.T.); #234113=ORIENTED_EDGE('',*,*,#183897,.F.); #234114=ORIENTED_EDGE('',*,*,#183909,.T.); #234115=ORIENTED_EDGE('',*,*,#183906,.F.); #234116=ORIENTED_EDGE('',*,*,#183901,.F.); #234117=ORIENTED_EDGE('',*,*,#183896,.F.); #234118=ORIENTED_EDGE('',*,*,#183900,.T.); #234119=ORIENTED_EDGE('',*,*,#183908,.F.); #234120=ORIENTED_EDGE('',*,*,#183909,.F.); #234121=ORIENTED_EDGE('',*,*,#183910,.T.); #234122=ORIENTED_EDGE('',*,*,#183587,.T.); #234123=ORIENTED_EDGE('',*,*,#183911,.T.); #234124=ORIENTED_EDGE('',*,*,#183912,.T.); #234125=ORIENTED_EDGE('',*,*,#183913,.T.); #234126=ORIENTED_EDGE('',*,*,#183588,.T.); #234127=ORIENTED_EDGE('',*,*,#183910,.F.); #234128=ORIENTED_EDGE('',*,*,#183914,.T.); #234129=ORIENTED_EDGE('',*,*,#183915,.T.); #234130=ORIENTED_EDGE('',*,*,#183589,.T.); #234131=ORIENTED_EDGE('',*,*,#183913,.F.); #234132=ORIENTED_EDGE('',*,*,#183916,.T.); #234133=ORIENTED_EDGE('',*,*,#183911,.F.); #234134=ORIENTED_EDGE('',*,*,#183586,.T.); #234135=ORIENTED_EDGE('',*,*,#183590,.T.); #234136=ORIENTED_EDGE('',*,*,#183915,.F.); #234137=ORIENTED_EDGE('',*,*,#183917,.T.); #234138=ORIENTED_EDGE('',*,*,#183914,.F.); #234139=ORIENTED_EDGE('',*,*,#183918,.T.); #234140=ORIENTED_EDGE('',*,*,#183919,.F.); #234141=ORIENTED_EDGE('',*,*,#183920,.F.); #234142=ORIENTED_EDGE('',*,*,#183912,.F.); #234143=ORIENTED_EDGE('',*,*,#183921,.T.); #234144=ORIENTED_EDGE('',*,*,#183922,.F.); #234145=ORIENTED_EDGE('',*,*,#183918,.F.); #234146=ORIENTED_EDGE('',*,*,#183923,.T.); #234147=ORIENTED_EDGE('',*,*,#183782,.T.); #234148=ORIENTED_EDGE('',*,*,#183924,.T.); #234149=ORIENTED_EDGE('',*,*,#183922,.T.); #234150=ORIENTED_EDGE('',*,*,#183925,.T.); #234151=ORIENTED_EDGE('',*,*,#183783,.T.); #234152=ORIENTED_EDGE('',*,*,#183923,.F.); #234153=ORIENTED_EDGE('',*,*,#183926,.T.); #234154=ORIENTED_EDGE('',*,*,#183927,.T.); #234155=ORIENTED_EDGE('',*,*,#183784,.T.); #234156=ORIENTED_EDGE('',*,*,#183925,.F.); #234157=ORIENTED_EDGE('',*,*,#183928,.T.); #234158=ORIENTED_EDGE('',*,*,#183924,.F.); #234159=ORIENTED_EDGE('',*,*,#183785,.T.); #234160=ORIENTED_EDGE('',*,*,#183927,.F.); #234161=ORIENTED_EDGE('',*,*,#183919,.T.); #234162=ORIENTED_EDGE('',*,*,#183917,.F.); #234163=ORIENTED_EDGE('',*,*,#183929,.T.); #234164=ORIENTED_EDGE('',*,*,#183926,.F.); #234165=ORIENTED_EDGE('',*,*,#183921,.F.); #234166=ORIENTED_EDGE('',*,*,#183916,.F.); #234167=ORIENTED_EDGE('',*,*,#183920,.T.); #234168=ORIENTED_EDGE('',*,*,#183928,.F.); #234169=ORIENTED_EDGE('',*,*,#183929,.F.); #234170=ORIENTED_EDGE('',*,*,#183930,.T.); #234171=ORIENTED_EDGE('',*,*,#183593,.T.); #234172=ORIENTED_EDGE('',*,*,#183931,.T.); #234173=ORIENTED_EDGE('',*,*,#183932,.T.); #234174=ORIENTED_EDGE('',*,*,#183933,.T.); #234175=ORIENTED_EDGE('',*,*,#183594,.T.); #234176=ORIENTED_EDGE('',*,*,#183930,.F.); #234177=ORIENTED_EDGE('',*,*,#183934,.T.); #234178=ORIENTED_EDGE('',*,*,#183935,.T.); #234179=ORIENTED_EDGE('',*,*,#183595,.T.); #234180=ORIENTED_EDGE('',*,*,#183933,.F.); #234181=ORIENTED_EDGE('',*,*,#183936,.T.); #234182=ORIENTED_EDGE('',*,*,#183931,.F.); #234183=ORIENTED_EDGE('',*,*,#183592,.T.); #234184=ORIENTED_EDGE('',*,*,#183596,.T.); #234185=ORIENTED_EDGE('',*,*,#183935,.F.); #234186=ORIENTED_EDGE('',*,*,#183937,.T.); #234187=ORIENTED_EDGE('',*,*,#183934,.F.); #234188=ORIENTED_EDGE('',*,*,#183938,.T.); #234189=ORIENTED_EDGE('',*,*,#183939,.F.); #234190=ORIENTED_EDGE('',*,*,#183940,.F.); #234191=ORIENTED_EDGE('',*,*,#183932,.F.); #234192=ORIENTED_EDGE('',*,*,#183941,.T.); #234193=ORIENTED_EDGE('',*,*,#183942,.F.); #234194=ORIENTED_EDGE('',*,*,#183938,.F.); #234195=ORIENTED_EDGE('',*,*,#183943,.T.); #234196=ORIENTED_EDGE('',*,*,#183818,.T.); #234197=ORIENTED_EDGE('',*,*,#183944,.T.); #234198=ORIENTED_EDGE('',*,*,#183942,.T.); #234199=ORIENTED_EDGE('',*,*,#183945,.T.); #234200=ORIENTED_EDGE('',*,*,#183819,.T.); #234201=ORIENTED_EDGE('',*,*,#183943,.F.); #234202=ORIENTED_EDGE('',*,*,#183946,.T.); #234203=ORIENTED_EDGE('',*,*,#183947,.T.); #234204=ORIENTED_EDGE('',*,*,#183820,.T.); #234205=ORIENTED_EDGE('',*,*,#183945,.F.); #234206=ORIENTED_EDGE('',*,*,#183948,.T.); #234207=ORIENTED_EDGE('',*,*,#183944,.F.); #234208=ORIENTED_EDGE('',*,*,#183821,.T.); #234209=ORIENTED_EDGE('',*,*,#183947,.F.); #234210=ORIENTED_EDGE('',*,*,#183939,.T.); #234211=ORIENTED_EDGE('',*,*,#183937,.F.); #234212=ORIENTED_EDGE('',*,*,#183949,.T.); #234213=ORIENTED_EDGE('',*,*,#183946,.F.); #234214=ORIENTED_EDGE('',*,*,#183941,.F.); #234215=ORIENTED_EDGE('',*,*,#183936,.F.); #234216=ORIENTED_EDGE('',*,*,#183940,.T.); #234217=ORIENTED_EDGE('',*,*,#183948,.F.); #234218=ORIENTED_EDGE('',*,*,#183949,.F.); #234219=ORIENTED_EDGE('',*,*,#183950,.T.); #234220=ORIENTED_EDGE('',*,*,#183599,.T.); #234221=ORIENTED_EDGE('',*,*,#183951,.T.); #234222=ORIENTED_EDGE('',*,*,#183952,.T.); #234223=ORIENTED_EDGE('',*,*,#183953,.T.); #234224=ORIENTED_EDGE('',*,*,#183600,.T.); #234225=ORIENTED_EDGE('',*,*,#183950,.F.); #234226=ORIENTED_EDGE('',*,*,#183954,.T.); #234227=ORIENTED_EDGE('',*,*,#183955,.T.); #234228=ORIENTED_EDGE('',*,*,#183601,.T.); #234229=ORIENTED_EDGE('',*,*,#183953,.F.); #234230=ORIENTED_EDGE('',*,*,#183956,.T.); #234231=ORIENTED_EDGE('',*,*,#183951,.F.); #234232=ORIENTED_EDGE('',*,*,#183598,.T.); #234233=ORIENTED_EDGE('',*,*,#183602,.T.); #234234=ORIENTED_EDGE('',*,*,#183955,.F.); #234235=ORIENTED_EDGE('',*,*,#183957,.T.); #234236=ORIENTED_EDGE('',*,*,#183954,.F.); #234237=ORIENTED_EDGE('',*,*,#183958,.T.); #234238=ORIENTED_EDGE('',*,*,#183959,.F.); #234239=ORIENTED_EDGE('',*,*,#183960,.F.); #234240=ORIENTED_EDGE('',*,*,#183952,.F.); #234241=ORIENTED_EDGE('',*,*,#183961,.T.); #234242=ORIENTED_EDGE('',*,*,#183962,.F.); #234243=ORIENTED_EDGE('',*,*,#183958,.F.); #234244=ORIENTED_EDGE('',*,*,#183963,.T.); #234245=ORIENTED_EDGE('',*,*,#183786,.T.); #234246=ORIENTED_EDGE('',*,*,#183964,.T.); #234247=ORIENTED_EDGE('',*,*,#183962,.T.); #234248=ORIENTED_EDGE('',*,*,#183965,.T.); #234249=ORIENTED_EDGE('',*,*,#183787,.T.); #234250=ORIENTED_EDGE('',*,*,#183963,.F.); #234251=ORIENTED_EDGE('',*,*,#183966,.T.); #234252=ORIENTED_EDGE('',*,*,#183967,.T.); #234253=ORIENTED_EDGE('',*,*,#183788,.T.); #234254=ORIENTED_EDGE('',*,*,#183965,.F.); #234255=ORIENTED_EDGE('',*,*,#183968,.T.); #234256=ORIENTED_EDGE('',*,*,#183964,.F.); #234257=ORIENTED_EDGE('',*,*,#183789,.T.); #234258=ORIENTED_EDGE('',*,*,#183967,.F.); #234259=ORIENTED_EDGE('',*,*,#183959,.T.); #234260=ORIENTED_EDGE('',*,*,#183957,.F.); #234261=ORIENTED_EDGE('',*,*,#183969,.T.); #234262=ORIENTED_EDGE('',*,*,#183966,.F.); #234263=ORIENTED_EDGE('',*,*,#183961,.F.); #234264=ORIENTED_EDGE('',*,*,#183956,.F.); #234265=ORIENTED_EDGE('',*,*,#183960,.T.); #234266=ORIENTED_EDGE('',*,*,#183968,.F.); #234267=ORIENTED_EDGE('',*,*,#183969,.F.); #234268=ORIENTED_EDGE('',*,*,#183970,.T.); #234269=ORIENTED_EDGE('',*,*,#183605,.T.); #234270=ORIENTED_EDGE('',*,*,#183971,.T.); #234271=ORIENTED_EDGE('',*,*,#183972,.T.); #234272=ORIENTED_EDGE('',*,*,#183973,.T.); #234273=ORIENTED_EDGE('',*,*,#183606,.T.); #234274=ORIENTED_EDGE('',*,*,#183970,.F.); #234275=ORIENTED_EDGE('',*,*,#183974,.T.); #234276=ORIENTED_EDGE('',*,*,#183975,.T.); #234277=ORIENTED_EDGE('',*,*,#183607,.T.); #234278=ORIENTED_EDGE('',*,*,#183973,.F.); #234279=ORIENTED_EDGE('',*,*,#183976,.T.); #234280=ORIENTED_EDGE('',*,*,#183971,.F.); #234281=ORIENTED_EDGE('',*,*,#183604,.T.); #234282=ORIENTED_EDGE('',*,*,#183608,.T.); #234283=ORIENTED_EDGE('',*,*,#183975,.F.); #234284=ORIENTED_EDGE('',*,*,#183977,.T.); #234285=ORIENTED_EDGE('',*,*,#183974,.F.); #234286=ORIENTED_EDGE('',*,*,#183978,.T.); #234287=ORIENTED_EDGE('',*,*,#183979,.F.); #234288=ORIENTED_EDGE('',*,*,#183980,.F.); #234289=ORIENTED_EDGE('',*,*,#183972,.F.); #234290=ORIENTED_EDGE('',*,*,#183981,.T.); #234291=ORIENTED_EDGE('',*,*,#183982,.F.); #234292=ORIENTED_EDGE('',*,*,#183978,.F.); #234293=ORIENTED_EDGE('',*,*,#183983,.T.); #234294=ORIENTED_EDGE('',*,*,#183814,.T.); #234295=ORIENTED_EDGE('',*,*,#183984,.T.); #234296=ORIENTED_EDGE('',*,*,#183982,.T.); #234297=ORIENTED_EDGE('',*,*,#183985,.T.); #234298=ORIENTED_EDGE('',*,*,#183815,.T.); #234299=ORIENTED_EDGE('',*,*,#183983,.F.); #234300=ORIENTED_EDGE('',*,*,#183986,.T.); #234301=ORIENTED_EDGE('',*,*,#183987,.T.); #234302=ORIENTED_EDGE('',*,*,#183816,.T.); #234303=ORIENTED_EDGE('',*,*,#183985,.F.); #234304=ORIENTED_EDGE('',*,*,#183988,.T.); #234305=ORIENTED_EDGE('',*,*,#183984,.F.); #234306=ORIENTED_EDGE('',*,*,#183817,.T.); #234307=ORIENTED_EDGE('',*,*,#183987,.F.); #234308=ORIENTED_EDGE('',*,*,#183979,.T.); #234309=ORIENTED_EDGE('',*,*,#183977,.F.); #234310=ORIENTED_EDGE('',*,*,#183989,.T.); #234311=ORIENTED_EDGE('',*,*,#183986,.F.); #234312=ORIENTED_EDGE('',*,*,#183981,.F.); #234313=ORIENTED_EDGE('',*,*,#183976,.F.); #234314=ORIENTED_EDGE('',*,*,#183980,.T.); #234315=ORIENTED_EDGE('',*,*,#183988,.F.); #234316=ORIENTED_EDGE('',*,*,#183989,.F.); #234317=ORIENTED_EDGE('',*,*,#183990,.T.); #234318=ORIENTED_EDGE('',*,*,#183611,.T.); #234319=ORIENTED_EDGE('',*,*,#183991,.T.); #234320=ORIENTED_EDGE('',*,*,#183992,.T.); #234321=ORIENTED_EDGE('',*,*,#183993,.T.); #234322=ORIENTED_EDGE('',*,*,#183612,.T.); #234323=ORIENTED_EDGE('',*,*,#183990,.F.); #234324=ORIENTED_EDGE('',*,*,#183994,.T.); #234325=ORIENTED_EDGE('',*,*,#183995,.T.); #234326=ORIENTED_EDGE('',*,*,#183613,.T.); #234327=ORIENTED_EDGE('',*,*,#183993,.F.); #234328=ORIENTED_EDGE('',*,*,#183996,.T.); #234329=ORIENTED_EDGE('',*,*,#183991,.F.); #234330=ORIENTED_EDGE('',*,*,#183610,.T.); #234331=ORIENTED_EDGE('',*,*,#183614,.T.); #234332=ORIENTED_EDGE('',*,*,#183995,.F.); #234333=ORIENTED_EDGE('',*,*,#183997,.T.); #234334=ORIENTED_EDGE('',*,*,#183994,.F.); #234335=ORIENTED_EDGE('',*,*,#183998,.T.); #234336=ORIENTED_EDGE('',*,*,#183999,.F.); #234337=ORIENTED_EDGE('',*,*,#184000,.F.); #234338=ORIENTED_EDGE('',*,*,#183992,.F.); #234339=ORIENTED_EDGE('',*,*,#184001,.T.); #234340=ORIENTED_EDGE('',*,*,#184002,.F.); #234341=ORIENTED_EDGE('',*,*,#183998,.F.); #234342=ORIENTED_EDGE('',*,*,#184003,.T.); #234343=ORIENTED_EDGE('',*,*,#183790,.T.); #234344=ORIENTED_EDGE('',*,*,#184004,.T.); #234345=ORIENTED_EDGE('',*,*,#184002,.T.); #234346=ORIENTED_EDGE('',*,*,#184005,.T.); #234347=ORIENTED_EDGE('',*,*,#183791,.T.); #234348=ORIENTED_EDGE('',*,*,#184003,.F.); #234349=ORIENTED_EDGE('',*,*,#184006,.T.); #234350=ORIENTED_EDGE('',*,*,#184007,.T.); #234351=ORIENTED_EDGE('',*,*,#183792,.T.); #234352=ORIENTED_EDGE('',*,*,#184005,.F.); #234353=ORIENTED_EDGE('',*,*,#184008,.T.); #234354=ORIENTED_EDGE('',*,*,#184004,.F.); #234355=ORIENTED_EDGE('',*,*,#183793,.T.); #234356=ORIENTED_EDGE('',*,*,#184007,.F.); #234357=ORIENTED_EDGE('',*,*,#183999,.T.); #234358=ORIENTED_EDGE('',*,*,#183997,.F.); #234359=ORIENTED_EDGE('',*,*,#184009,.T.); #234360=ORIENTED_EDGE('',*,*,#184006,.F.); #234361=ORIENTED_EDGE('',*,*,#184001,.F.); #234362=ORIENTED_EDGE('',*,*,#183996,.F.); #234363=ORIENTED_EDGE('',*,*,#184000,.T.); #234364=ORIENTED_EDGE('',*,*,#184008,.F.); #234365=ORIENTED_EDGE('',*,*,#184009,.F.); #234366=ORIENTED_EDGE('',*,*,#184010,.T.); #234367=ORIENTED_EDGE('',*,*,#183617,.T.); #234368=ORIENTED_EDGE('',*,*,#184011,.T.); #234369=ORIENTED_EDGE('',*,*,#184012,.T.); #234370=ORIENTED_EDGE('',*,*,#184013,.T.); #234371=ORIENTED_EDGE('',*,*,#183618,.T.); #234372=ORIENTED_EDGE('',*,*,#184010,.F.); #234373=ORIENTED_EDGE('',*,*,#184014,.T.); #234374=ORIENTED_EDGE('',*,*,#184015,.T.); #234375=ORIENTED_EDGE('',*,*,#183619,.T.); #234376=ORIENTED_EDGE('',*,*,#184013,.F.); #234377=ORIENTED_EDGE('',*,*,#184016,.T.); #234378=ORIENTED_EDGE('',*,*,#184011,.F.); #234379=ORIENTED_EDGE('',*,*,#183616,.T.); #234380=ORIENTED_EDGE('',*,*,#183620,.T.); #234381=ORIENTED_EDGE('',*,*,#184015,.F.); #234382=ORIENTED_EDGE('',*,*,#184017,.T.); #234383=ORIENTED_EDGE('',*,*,#184014,.F.); #234384=ORIENTED_EDGE('',*,*,#184018,.T.); #234385=ORIENTED_EDGE('',*,*,#184019,.F.); #234386=ORIENTED_EDGE('',*,*,#184020,.F.); #234387=ORIENTED_EDGE('',*,*,#184012,.F.); #234388=ORIENTED_EDGE('',*,*,#184021,.T.); #234389=ORIENTED_EDGE('',*,*,#184022,.F.); #234390=ORIENTED_EDGE('',*,*,#184018,.F.); #234391=ORIENTED_EDGE('',*,*,#184023,.T.); #234392=ORIENTED_EDGE('',*,*,#183810,.T.); #234393=ORIENTED_EDGE('',*,*,#184024,.T.); #234394=ORIENTED_EDGE('',*,*,#184022,.T.); #234395=ORIENTED_EDGE('',*,*,#184025,.T.); #234396=ORIENTED_EDGE('',*,*,#183811,.T.); #234397=ORIENTED_EDGE('',*,*,#184023,.F.); #234398=ORIENTED_EDGE('',*,*,#184026,.T.); #234399=ORIENTED_EDGE('',*,*,#184027,.T.); #234400=ORIENTED_EDGE('',*,*,#183812,.T.); #234401=ORIENTED_EDGE('',*,*,#184025,.F.); #234402=ORIENTED_EDGE('',*,*,#184028,.T.); #234403=ORIENTED_EDGE('',*,*,#184024,.F.); #234404=ORIENTED_EDGE('',*,*,#183813,.T.); #234405=ORIENTED_EDGE('',*,*,#184027,.F.); #234406=ORIENTED_EDGE('',*,*,#184019,.T.); #234407=ORIENTED_EDGE('',*,*,#184017,.F.); #234408=ORIENTED_EDGE('',*,*,#184029,.T.); #234409=ORIENTED_EDGE('',*,*,#184026,.F.); #234410=ORIENTED_EDGE('',*,*,#184021,.F.); #234411=ORIENTED_EDGE('',*,*,#184016,.F.); #234412=ORIENTED_EDGE('',*,*,#184020,.T.); #234413=ORIENTED_EDGE('',*,*,#184028,.F.); #234414=ORIENTED_EDGE('',*,*,#184029,.F.); #234415=ORIENTED_EDGE('',*,*,#184030,.T.); #234416=ORIENTED_EDGE('',*,*,#183623,.T.); #234417=ORIENTED_EDGE('',*,*,#184031,.T.); #234418=ORIENTED_EDGE('',*,*,#184032,.T.); #234419=ORIENTED_EDGE('',*,*,#184033,.T.); #234420=ORIENTED_EDGE('',*,*,#183630,.T.); #234421=ORIENTED_EDGE('',*,*,#183624,.T.); #234422=ORIENTED_EDGE('',*,*,#184030,.F.); #234423=ORIENTED_EDGE('',*,*,#184034,.T.); #234424=ORIENTED_EDGE('',*,*,#184035,.T.); #234425=ORIENTED_EDGE('',*,*,#183631,.T.); #234426=ORIENTED_EDGE('',*,*,#184033,.F.); #234427=ORIENTED_EDGE('',*,*,#184036,.T.); #234428=ORIENTED_EDGE('',*,*,#184031,.F.); #234429=ORIENTED_EDGE('',*,*,#183622,.T.); #234430=ORIENTED_EDGE('',*,*,#183632,.T.); #234431=ORIENTED_EDGE('',*,*,#184035,.F.); #234432=ORIENTED_EDGE('',*,*,#184037,.T.); #234433=ORIENTED_EDGE('',*,*,#184034,.F.); #234434=ORIENTED_EDGE('',*,*,#184038,.T.); #234435=ORIENTED_EDGE('',*,*,#184039,.F.); #234436=ORIENTED_EDGE('',*,*,#184040,.F.); #234437=ORIENTED_EDGE('',*,*,#184032,.F.); #234438=ORIENTED_EDGE('',*,*,#184041,.T.); #234439=ORIENTED_EDGE('',*,*,#184042,.F.); #234440=ORIENTED_EDGE('',*,*,#184038,.F.); #234441=ORIENTED_EDGE('',*,*,#184043,.T.); #234442=ORIENTED_EDGE('',*,*,#183772,.T.); #234443=ORIENTED_EDGE('',*,*,#184044,.T.); #234444=ORIENTED_EDGE('',*,*,#184042,.T.); #234445=ORIENTED_EDGE('',*,*,#184045,.T.); #234446=ORIENTED_EDGE('',*,*,#183766,.T.); #234447=ORIENTED_EDGE('',*,*,#183773,.T.); #234448=ORIENTED_EDGE('',*,*,#184043,.F.); #234449=ORIENTED_EDGE('',*,*,#184046,.T.); #234450=ORIENTED_EDGE('',*,*,#184047,.T.); #234451=ORIENTED_EDGE('',*,*,#183767,.T.); #234452=ORIENTED_EDGE('',*,*,#184045,.F.); #234453=ORIENTED_EDGE('',*,*,#184048,.T.); #234454=ORIENTED_EDGE('',*,*,#184044,.F.); #234455=ORIENTED_EDGE('',*,*,#183771,.T.); #234456=ORIENTED_EDGE('',*,*,#183768,.T.); #234457=ORIENTED_EDGE('',*,*,#184047,.F.); #234458=ORIENTED_EDGE('',*,*,#184039,.T.); #234459=ORIENTED_EDGE('',*,*,#184037,.F.); #234460=ORIENTED_EDGE('',*,*,#184049,.T.); #234461=ORIENTED_EDGE('',*,*,#184046,.F.); #234462=ORIENTED_EDGE('',*,*,#184041,.F.); #234463=ORIENTED_EDGE('',*,*,#184036,.F.); #234464=ORIENTED_EDGE('',*,*,#184040,.T.); #234465=ORIENTED_EDGE('',*,*,#184048,.F.); #234466=ORIENTED_EDGE('',*,*,#184049,.F.); #234467=ORIENTED_EDGE('',*,*,#184050,.T.); #234468=ORIENTED_EDGE('',*,*,#183635,.T.); #234469=ORIENTED_EDGE('',*,*,#184051,.T.); #234470=ORIENTED_EDGE('',*,*,#184052,.T.); #234471=ORIENTED_EDGE('',*,*,#184053,.T.); #234472=ORIENTED_EDGE('',*,*,#183636,.T.); #234473=ORIENTED_EDGE('',*,*,#184050,.F.); #234474=ORIENTED_EDGE('',*,*,#184054,.T.); #234475=ORIENTED_EDGE('',*,*,#184055,.T.); #234476=ORIENTED_EDGE('',*,*,#183637,.T.); #234477=ORIENTED_EDGE('',*,*,#184053,.F.); #234478=ORIENTED_EDGE('',*,*,#184056,.T.); #234479=ORIENTED_EDGE('',*,*,#184051,.F.); #234480=ORIENTED_EDGE('',*,*,#183634,.T.); #234481=ORIENTED_EDGE('',*,*,#183638,.T.); #234482=ORIENTED_EDGE('',*,*,#184055,.F.); #234483=ORIENTED_EDGE('',*,*,#184057,.T.); #234484=ORIENTED_EDGE('',*,*,#184054,.F.); #234485=ORIENTED_EDGE('',*,*,#184058,.T.); #234486=ORIENTED_EDGE('',*,*,#184059,.F.); #234487=ORIENTED_EDGE('',*,*,#184060,.F.); #234488=ORIENTED_EDGE('',*,*,#184052,.F.); #234489=ORIENTED_EDGE('',*,*,#184061,.T.); #234490=ORIENTED_EDGE('',*,*,#184062,.F.); #234491=ORIENTED_EDGE('',*,*,#184058,.F.); #234492=ORIENTED_EDGE('',*,*,#184063,.T.); #234493=ORIENTED_EDGE('',*,*,#183806,.T.); #234494=ORIENTED_EDGE('',*,*,#184064,.T.); #234495=ORIENTED_EDGE('',*,*,#184062,.T.); #234496=ORIENTED_EDGE('',*,*,#184065,.T.); #234497=ORIENTED_EDGE('',*,*,#183807,.T.); #234498=ORIENTED_EDGE('',*,*,#184063,.F.); #234499=ORIENTED_EDGE('',*,*,#184066,.T.); #234500=ORIENTED_EDGE('',*,*,#184067,.T.); #234501=ORIENTED_EDGE('',*,*,#183808,.T.); #234502=ORIENTED_EDGE('',*,*,#184065,.F.); #234503=ORIENTED_EDGE('',*,*,#184068,.T.); #234504=ORIENTED_EDGE('',*,*,#184064,.F.); #234505=ORIENTED_EDGE('',*,*,#183809,.T.); #234506=ORIENTED_EDGE('',*,*,#184067,.F.); #234507=ORIENTED_EDGE('',*,*,#184059,.T.); #234508=ORIENTED_EDGE('',*,*,#184057,.F.); #234509=ORIENTED_EDGE('',*,*,#184069,.T.); #234510=ORIENTED_EDGE('',*,*,#184066,.F.); #234511=ORIENTED_EDGE('',*,*,#184061,.F.); #234512=ORIENTED_EDGE('',*,*,#184056,.F.); #234513=ORIENTED_EDGE('',*,*,#184060,.T.); #234514=ORIENTED_EDGE('',*,*,#184068,.F.); #234515=ORIENTED_EDGE('',*,*,#184069,.F.); #234516=ORIENTED_EDGE('',*,*,#184070,.T.); #234517=ORIENTED_EDGE('',*,*,#183641,.T.); #234518=ORIENTED_EDGE('',*,*,#184071,.T.); #234519=ORIENTED_EDGE('',*,*,#184072,.T.); #234520=ORIENTED_EDGE('',*,*,#184073,.T.); #234521=ORIENTED_EDGE('',*,*,#183642,.T.); #234522=ORIENTED_EDGE('',*,*,#184070,.F.); #234523=ORIENTED_EDGE('',*,*,#184074,.T.); #234524=ORIENTED_EDGE('',*,*,#184075,.T.); #234525=ORIENTED_EDGE('',*,*,#183643,.T.); #234526=ORIENTED_EDGE('',*,*,#184073,.F.); #234527=ORIENTED_EDGE('',*,*,#184076,.T.); #234528=ORIENTED_EDGE('',*,*,#184071,.F.); #234529=ORIENTED_EDGE('',*,*,#183640,.T.); #234530=ORIENTED_EDGE('',*,*,#183644,.T.); #234531=ORIENTED_EDGE('',*,*,#184075,.F.); #234532=ORIENTED_EDGE('',*,*,#184077,.T.); #234533=ORIENTED_EDGE('',*,*,#184074,.F.); #234534=ORIENTED_EDGE('',*,*,#184078,.T.); #234535=ORIENTED_EDGE('',*,*,#184079,.F.); #234536=ORIENTED_EDGE('',*,*,#184080,.F.); #234537=ORIENTED_EDGE('',*,*,#184072,.F.); #234538=ORIENTED_EDGE('',*,*,#184081,.T.); #234539=ORIENTED_EDGE('',*,*,#184082,.F.); #234540=ORIENTED_EDGE('',*,*,#184078,.F.); #234541=ORIENTED_EDGE('',*,*,#184083,.T.); #234542=ORIENTED_EDGE('',*,*,#183794,.T.); #234543=ORIENTED_EDGE('',*,*,#184084,.T.); #234544=ORIENTED_EDGE('',*,*,#184082,.T.); #234545=ORIENTED_EDGE('',*,*,#184085,.T.); #234546=ORIENTED_EDGE('',*,*,#183795,.T.); #234547=ORIENTED_EDGE('',*,*,#184083,.F.); #234548=ORIENTED_EDGE('',*,*,#184086,.T.); #234549=ORIENTED_EDGE('',*,*,#184087,.T.); #234550=ORIENTED_EDGE('',*,*,#183796,.T.); #234551=ORIENTED_EDGE('',*,*,#184085,.F.); #234552=ORIENTED_EDGE('',*,*,#184088,.T.); #234553=ORIENTED_EDGE('',*,*,#184084,.F.); #234554=ORIENTED_EDGE('',*,*,#183797,.T.); #234555=ORIENTED_EDGE('',*,*,#184087,.F.); #234556=ORIENTED_EDGE('',*,*,#184079,.T.); #234557=ORIENTED_EDGE('',*,*,#184077,.F.); #234558=ORIENTED_EDGE('',*,*,#184089,.T.); #234559=ORIENTED_EDGE('',*,*,#184086,.F.); #234560=ORIENTED_EDGE('',*,*,#184081,.F.); #234561=ORIENTED_EDGE('',*,*,#184076,.F.); #234562=ORIENTED_EDGE('',*,*,#184080,.T.); #234563=ORIENTED_EDGE('',*,*,#184088,.F.); #234564=ORIENTED_EDGE('',*,*,#184089,.F.); #234565=ORIENTED_EDGE('',*,*,#184090,.T.); #234566=ORIENTED_EDGE('',*,*,#183647,.T.); #234567=ORIENTED_EDGE('',*,*,#184091,.T.); #234568=ORIENTED_EDGE('',*,*,#184092,.T.); #234569=ORIENTED_EDGE('',*,*,#184093,.T.); #234570=ORIENTED_EDGE('',*,*,#183648,.T.); #234571=ORIENTED_EDGE('',*,*,#184090,.F.); #234572=ORIENTED_EDGE('',*,*,#184094,.T.); #234573=ORIENTED_EDGE('',*,*,#184095,.T.); #234574=ORIENTED_EDGE('',*,*,#183649,.T.); #234575=ORIENTED_EDGE('',*,*,#184093,.F.); #234576=ORIENTED_EDGE('',*,*,#184096,.T.); #234577=ORIENTED_EDGE('',*,*,#184091,.F.); #234578=ORIENTED_EDGE('',*,*,#183646,.T.); #234579=ORIENTED_EDGE('',*,*,#183650,.T.); #234580=ORIENTED_EDGE('',*,*,#184095,.F.); #234581=ORIENTED_EDGE('',*,*,#184097,.T.); #234582=ORIENTED_EDGE('',*,*,#184094,.F.); #234583=ORIENTED_EDGE('',*,*,#184098,.T.); #234584=ORIENTED_EDGE('',*,*,#184099,.F.); #234585=ORIENTED_EDGE('',*,*,#184100,.F.); #234586=ORIENTED_EDGE('',*,*,#184092,.F.); #234587=ORIENTED_EDGE('',*,*,#184101,.T.); #234588=ORIENTED_EDGE('',*,*,#184102,.F.); #234589=ORIENTED_EDGE('',*,*,#184098,.F.); #234590=ORIENTED_EDGE('',*,*,#184103,.T.); #234591=ORIENTED_EDGE('',*,*,#183802,.T.); #234592=ORIENTED_EDGE('',*,*,#184104,.T.); #234593=ORIENTED_EDGE('',*,*,#184102,.T.); #234594=ORIENTED_EDGE('',*,*,#184105,.T.); #234595=ORIENTED_EDGE('',*,*,#183803,.T.); #234596=ORIENTED_EDGE('',*,*,#184103,.F.); #234597=ORIENTED_EDGE('',*,*,#184106,.T.); #234598=ORIENTED_EDGE('',*,*,#184107,.T.); #234599=ORIENTED_EDGE('',*,*,#183804,.T.); #234600=ORIENTED_EDGE('',*,*,#184105,.F.); #234601=ORIENTED_EDGE('',*,*,#184108,.T.); #234602=ORIENTED_EDGE('',*,*,#184104,.F.); #234603=ORIENTED_EDGE('',*,*,#183805,.T.); #234604=ORIENTED_EDGE('',*,*,#184107,.F.); #234605=ORIENTED_EDGE('',*,*,#184099,.T.); #234606=ORIENTED_EDGE('',*,*,#184097,.F.); #234607=ORIENTED_EDGE('',*,*,#184109,.T.); #234608=ORIENTED_EDGE('',*,*,#184106,.F.); #234609=ORIENTED_EDGE('',*,*,#184101,.F.); #234610=ORIENTED_EDGE('',*,*,#184096,.F.); #234611=ORIENTED_EDGE('',*,*,#184100,.T.); #234612=ORIENTED_EDGE('',*,*,#184108,.F.); #234613=ORIENTED_EDGE('',*,*,#184109,.F.); #234614=ORIENTED_EDGE('',*,*,#184110,.T.); #234615=ORIENTED_EDGE('',*,*,#183653,.T.); #234616=ORIENTED_EDGE('',*,*,#184111,.T.); #234617=ORIENTED_EDGE('',*,*,#184112,.T.); #234618=ORIENTED_EDGE('',*,*,#184113,.T.); #234619=ORIENTED_EDGE('',*,*,#183654,.T.); #234620=ORIENTED_EDGE('',*,*,#184110,.F.); #234621=ORIENTED_EDGE('',*,*,#184114,.T.); #234622=ORIENTED_EDGE('',*,*,#184115,.T.); #234623=ORIENTED_EDGE('',*,*,#183655,.T.); #234624=ORIENTED_EDGE('',*,*,#184113,.F.); #234625=ORIENTED_EDGE('',*,*,#184116,.T.); #234626=ORIENTED_EDGE('',*,*,#184111,.F.); #234627=ORIENTED_EDGE('',*,*,#183652,.T.); #234628=ORIENTED_EDGE('',*,*,#183656,.T.); #234629=ORIENTED_EDGE('',*,*,#184115,.F.); #234630=ORIENTED_EDGE('',*,*,#184117,.T.); #234631=ORIENTED_EDGE('',*,*,#184114,.F.); #234632=ORIENTED_EDGE('',*,*,#184118,.T.); #234633=ORIENTED_EDGE('',*,*,#184119,.F.); #234634=ORIENTED_EDGE('',*,*,#184120,.F.); #234635=ORIENTED_EDGE('',*,*,#184112,.F.); #234636=ORIENTED_EDGE('',*,*,#184121,.T.); #234637=ORIENTED_EDGE('',*,*,#184122,.F.); #234638=ORIENTED_EDGE('',*,*,#184118,.F.); #234639=ORIENTED_EDGE('',*,*,#184123,.T.); #234640=ORIENTED_EDGE('',*,*,#183798,.T.); #234641=ORIENTED_EDGE('',*,*,#184124,.T.); #234642=ORIENTED_EDGE('',*,*,#184122,.T.); #234643=ORIENTED_EDGE('',*,*,#184125,.T.); #234644=ORIENTED_EDGE('',*,*,#183799,.T.); #234645=ORIENTED_EDGE('',*,*,#184123,.F.); #234646=ORIENTED_EDGE('',*,*,#184126,.T.); #234647=ORIENTED_EDGE('',*,*,#184127,.T.); #234648=ORIENTED_EDGE('',*,*,#183800,.T.); #234649=ORIENTED_EDGE('',*,*,#184125,.F.); #234650=ORIENTED_EDGE('',*,*,#184128,.T.); #234651=ORIENTED_EDGE('',*,*,#184124,.F.); #234652=ORIENTED_EDGE('',*,*,#183801,.T.); #234653=ORIENTED_EDGE('',*,*,#184127,.F.); #234654=ORIENTED_EDGE('',*,*,#184119,.T.); #234655=ORIENTED_EDGE('',*,*,#184117,.F.); #234656=ORIENTED_EDGE('',*,*,#184129,.T.); #234657=ORIENTED_EDGE('',*,*,#184126,.F.); #234658=ORIENTED_EDGE('',*,*,#184121,.F.); #234659=ORIENTED_EDGE('',*,*,#184116,.F.); #234660=ORIENTED_EDGE('',*,*,#184120,.T.); #234661=ORIENTED_EDGE('',*,*,#184128,.F.); #234662=ORIENTED_EDGE('',*,*,#184129,.F.); #234663=ORIENTED_EDGE('',*,*,#183694,.T.); #234664=ORIENTED_EDGE('',*,*,#184130,.F.); #234665=ORIENTED_EDGE('',*,*,#183700,.T.); #234666=ORIENTED_EDGE('',*,*,#183711,.F.); #234667=ORIENTED_EDGE('',*,*,#184131,.F.); #234668=ORIENTED_EDGE('',*,*,#184132,.F.); #234669=ORIENTED_EDGE('',*,*,#183697,.F.); #234670=ORIENTED_EDGE('',*,*,#184133,.F.); #234671=ORIENTED_EDGE('',*,*,#184134,.F.); #234672=ORIENTED_EDGE('',*,*,#184135,.F.); #234673=ORIENTED_EDGE('',*,*,#183695,.F.); #234674=ORIENTED_EDGE('',*,*,#184136,.F.); #234675=ORIENTED_EDGE('',*,*,#183712,.F.); #234676=ORIENTED_EDGE('',*,*,#183699,.F.); #234677=ORIENTED_EDGE('',*,*,#184137,.F.); #234678=ORIENTED_EDGE('',*,*,#184138,.F.); #234679=ORIENTED_EDGE('',*,*,#183698,.T.); #234680=ORIENTED_EDGE('',*,*,#184139,.F.); #234681=ORIENTED_EDGE('',*,*,#183696,.T.); #234682=ORIENTED_EDGE('',*,*,#184140,.F.); #234683=ORIENTED_EDGE('',*,*,#183685,.T.); #234684=ORIENTED_EDGE('',*,*,#183710,.F.); #234685=ORIENTED_EDGE('',*,*,#184141,.T.); #234686=ORIENTED_EDGE('',*,*,#184142,.T.); #234687=ORIENTED_EDGE('',*,*,#184134,.T.); #234688=ORIENTED_EDGE('',*,*,#184143,.F.); #234689=ORIENTED_EDGE('',*,*,#184144,.T.); #234690=ORIENTED_EDGE('',*,*,#184145,.T.); #234691=ORIENTED_EDGE('',*,*,#184136,.T.); #234692=ORIENTED_EDGE('',*,*,#184130,.T.); #234693=ORIENTED_EDGE('',*,*,#183686,.T.); #234694=ORIENTED_EDGE('',*,*,#184142,.F.); #234695=ORIENTED_EDGE('',*,*,#184146,.F.); #234696=ORIENTED_EDGE('',*,*,#184143,.T.); #234697=ORIENTED_EDGE('',*,*,#184131,.T.); #234698=ORIENTED_EDGE('',*,*,#184147,.F.); #234699=ORIENTED_EDGE('',*,*,#184148,.T.); #234700=ORIENTED_EDGE('',*,*,#184149,.T.); #234701=ORIENTED_EDGE('',*,*,#184133,.T.); #234702=ORIENTED_EDGE('',*,*,#184140,.T.); #234703=ORIENTED_EDGE('',*,*,#184135,.T.); #234704=ORIENTED_EDGE('',*,*,#184145,.F.); #234705=ORIENTED_EDGE('',*,*,#184150,.F.); #234706=ORIENTED_EDGE('',*,*,#184147,.T.); #234707=ORIENTED_EDGE('',*,*,#184138,.T.); #234708=ORIENTED_EDGE('',*,*,#184151,.F.); #234709=ORIENTED_EDGE('',*,*,#184152,.T.); #234710=ORIENTED_EDGE('',*,*,#183713,.T.); #234711=ORIENTED_EDGE('',*,*,#184137,.T.); #234712=ORIENTED_EDGE('',*,*,#184139,.T.); #234713=ORIENTED_EDGE('',*,*,#184132,.T.); #234714=ORIENTED_EDGE('',*,*,#184149,.F.); #234715=ORIENTED_EDGE('',*,*,#184153,.F.); #234716=ORIENTED_EDGE('',*,*,#184151,.T.); #234717=ORIENTED_EDGE('',*,*,#183770,.T.); #234718=ORIENTED_EDGE('',*,*,#183707,.T.); #234719=ORIENTED_EDGE('',*,*,#183714,.T.); #234720=ORIENTED_EDGE('',*,*,#184152,.F.); #234721=ORIENTED_EDGE('',*,*,#184153,.T.); #234722=ORIENTED_EDGE('',*,*,#184148,.F.); #234723=ORIENTED_EDGE('',*,*,#184150,.T.); #234724=ORIENTED_EDGE('',*,*,#184144,.F.); #234725=ORIENTED_EDGE('',*,*,#184146,.T.); #234726=ORIENTED_EDGE('',*,*,#184141,.F.); #234727=ORIENTED_EDGE('',*,*,#183709,.T.); #234728=ORIENTED_EDGE('',*,*,#183704,.T.); #234729=ORIENTED_EDGE('',*,*,#183708,.T.); #234730=ORIENTED_EDGE('',*,*,#183563,.T.); #234731=ORIENTED_EDGE('',*,*,#183715,.T.); #234732=ORIENTED_EDGE('',*,*,#183719,.T.); #234733=ORIENTED_EDGE('',*,*,#183723,.T.); #234734=ORIENTED_EDGE('',*,*,#183727,.T.); #234735=ORIENTED_EDGE('',*,*,#184154,.T.); #234736=ORIENTED_EDGE('',*,*,#184155,.T.); #234737=ORIENTED_EDGE('',*,*,#184156,.T.); #234738=ORIENTED_EDGE('',*,*,#184155,.F.); #234739=ORIENTED_EDGE('',*,*,#184157,.F.); #234740=ORIENTED_EDGE('',*,*,#184158,.T.); #234741=ORIENTED_EDGE('',*,*,#184156,.F.); #234742=ORIENTED_EDGE('',*,*,#184158,.F.); #234743=ORIENTED_EDGE('',*,*,#184154,.F.); #234744=ORIENTED_EDGE('',*,*,#184159,.T.); #234745=ORIENTED_EDGE('',*,*,#184160,.T.); #234746=ORIENTED_EDGE('',*,*,#184161,.T.); #234747=ORIENTED_EDGE('',*,*,#184162,.T.); #234748=ORIENTED_EDGE('',*,*,#184163,.T.); #234749=ORIENTED_EDGE('',*,*,#184164,.T.); #234750=ORIENTED_EDGE('',*,*,#184157,.T.); #234751=ORIENTED_EDGE('',*,*,#184165,.F.); #234752=ORIENTED_EDGE('',*,*,#184166,.T.); #234753=ORIENTED_EDGE('',*,*,#184167,.F.); #234754=ORIENTED_EDGE('',*,*,#184166,.F.); #234755=ORIENTED_EDGE('',*,*,#184168,.F.); #234756=ORIENTED_EDGE('',*,*,#184169,.T.); #234757=ORIENTED_EDGE('',*,*,#184170,.F.); #234758=ORIENTED_EDGE('',*,*,#184169,.F.); #234759=ORIENTED_EDGE('',*,*,#184171,.F.); #234760=ORIENTED_EDGE('',*,*,#184172,.T.); #234761=ORIENTED_EDGE('',*,*,#184173,.F.); #234762=ORIENTED_EDGE('',*,*,#184172,.F.); #234763=ORIENTED_EDGE('',*,*,#184174,.F.); #234764=ORIENTED_EDGE('',*,*,#184175,.T.); #234765=ORIENTED_EDGE('',*,*,#184176,.F.); #234766=ORIENTED_EDGE('',*,*,#184175,.F.); #234767=ORIENTED_EDGE('',*,*,#184159,.F.); #234768=ORIENTED_EDGE('',*,*,#184177,.T.); #234769=ORIENTED_EDGE('',*,*,#184178,.T.); #234770=ORIENTED_EDGE('',*,*,#184177,.F.); #234771=ORIENTED_EDGE('',*,*,#184178,.F.); #234772=ORIENTED_EDGE('',*,*,#184171,.T.); #234773=ORIENTED_EDGE('',*,*,#184160,.F.); #234774=ORIENTED_EDGE('',*,*,#184179,.T.); #234775=ORIENTED_EDGE('',*,*,#184180,.T.); #234776=ORIENTED_EDGE('',*,*,#184179,.F.); #234777=ORIENTED_EDGE('',*,*,#184180,.F.); #234778=ORIENTED_EDGE('',*,*,#184174,.T.); #234779=ORIENTED_EDGE('',*,*,#184161,.F.); #234780=ORIENTED_EDGE('',*,*,#184181,.T.); #234781=ORIENTED_EDGE('',*,*,#184182,.T.); #234782=ORIENTED_EDGE('',*,*,#184181,.F.); #234783=ORIENTED_EDGE('',*,*,#184182,.F.); #234784=ORIENTED_EDGE('',*,*,#184165,.T.); #234785=ORIENTED_EDGE('',*,*,#184162,.F.); #234786=ORIENTED_EDGE('',*,*,#184183,.T.); #234787=ORIENTED_EDGE('',*,*,#184184,.T.); #234788=ORIENTED_EDGE('',*,*,#184183,.F.); #234789=ORIENTED_EDGE('',*,*,#184184,.F.); #234790=ORIENTED_EDGE('',*,*,#184168,.T.); #234791=ORIENTED_EDGE('',*,*,#184163,.F.); #234792=ORIENTED_EDGE('',*,*,#184185,.T.); #234793=ORIENTED_EDGE('',*,*,#184186,.T.); #234794=ORIENTED_EDGE('',*,*,#184185,.F.); #234795=ORIENTED_EDGE('',*,*,#184164,.F.); #234796=ORIENTED_EDGE('',*,*,#184187,.T.); #234797=ORIENTED_EDGE('',*,*,#184188,.T.); #234798=ORIENTED_EDGE('',*,*,#184187,.F.); #234799=ORIENTED_EDGE('',*,*,#184188,.F.); #234800=ORIENTED_EDGE('',*,*,#184167,.T.); #234801=ORIENTED_EDGE('',*,*,#184170,.T.); #234802=ORIENTED_EDGE('',*,*,#184173,.T.); #234803=ORIENTED_EDGE('',*,*,#184176,.T.); #234804=ORIENTED_EDGE('',*,*,#184186,.F.); #234805=ORIENTED_EDGE('',*,*,#184189,.F.); #234806=ORIENTED_EDGE('',*,*,#184190,.T.); #234807=ORIENTED_EDGE('',*,*,#184191,.T.); #234808=ORIENTED_EDGE('',*,*,#184192,.F.); #234809=ORIENTED_EDGE('',*,*,#184193,.T.); #234810=ORIENTED_EDGE('',*,*,#184194,.T.); #234811=ORIENTED_EDGE('',*,*,#184195,.T.); #234812=ORIENTED_EDGE('',*,*,#184194,.F.); #234813=ORIENTED_EDGE('',*,*,#184195,.F.); #234814=ORIENTED_EDGE('',*,*,#184196,.T.); #234815=ORIENTED_EDGE('',*,*,#184197,.T.); #234816=ORIENTED_EDGE('',*,*,#184196,.F.); #234817=ORIENTED_EDGE('',*,*,#184193,.F.); #234818=ORIENTED_EDGE('',*,*,#184198,.T.); #234819=ORIENTED_EDGE('',*,*,#184199,.T.); #234820=ORIENTED_EDGE('',*,*,#184200,.T.); #234821=ORIENTED_EDGE('',*,*,#184201,.T.); #234822=ORIENTED_EDGE('',*,*,#184197,.F.); #234823=ORIENTED_EDGE('',*,*,#184202,.F.); #234824=ORIENTED_EDGE('',*,*,#184203,.T.); #234825=ORIENTED_EDGE('',*,*,#184204,.F.); #234826=ORIENTED_EDGE('',*,*,#184205,.T.); #234827=ORIENTED_EDGE('',*,*,#184206,.T.); #234828=ORIENTED_EDGE('',*,*,#184207,.T.); #234829=ORIENTED_EDGE('',*,*,#184208,.T.); #234830=ORIENTED_EDGE('',*,*,#184209,.T.); #234831=ORIENTED_EDGE('',*,*,#184210,.T.); #234832=ORIENTED_EDGE('',*,*,#184208,.F.); #234833=ORIENTED_EDGE('',*,*,#184211,.T.); #234834=ORIENTED_EDGE('',*,*,#184212,.F.); #234835=ORIENTED_EDGE('',*,*,#184213,.F.); #234836=ORIENTED_EDGE('',*,*,#184214,.F.); #234837=ORIENTED_EDGE('',*,*,#184215,.F.); #234838=ORIENTED_EDGE('',*,*,#184216,.F.); #234839=ORIENTED_EDGE('',*,*,#184217,.F.); #234840=ORIENTED_EDGE('',*,*,#184218,.T.); #234841=ORIENTED_EDGE('',*,*,#184219,.T.); #234842=ORIENTED_EDGE('',*,*,#184220,.T.); #234843=ORIENTED_EDGE('',*,*,#184212,.T.); #234844=ORIENTED_EDGE('',*,*,#184221,.T.); #234845=ORIENTED_EDGE('',*,*,#184222,.T.); #234846=ORIENTED_EDGE('',*,*,#184223,.T.); #234847=ORIENTED_EDGE('',*,*,#184224,.T.); #234848=ORIENTED_EDGE('',*,*,#184206,.F.); #234849=ORIENTED_EDGE('',*,*,#184225,.F.); #234850=ORIENTED_EDGE('',*,*,#184226,.F.); #234851=ORIENTED_EDGE('',*,*,#184227,.T.); #234852=ORIENTED_EDGE('',*,*,#184228,.F.); #234853=ORIENTED_EDGE('',*,*,#184229,.F.); #234854=ORIENTED_EDGE('',*,*,#184222,.F.); #234855=ORIENTED_EDGE('',*,*,#184230,.T.); #234856=ORIENTED_EDGE('',*,*,#184231,.T.); #234857=ORIENTED_EDGE('',*,*,#184232,.F.); #234858=ORIENTED_EDGE('',*,*,#184233,.F.); #234859=ORIENTED_EDGE('',*,*,#184234,.T.); #234860=ORIENTED_EDGE('',*,*,#184216,.T.); #234861=ORIENTED_EDGE('',*,*,#184231,.F.); #234862=ORIENTED_EDGE('',*,*,#184215,.T.); #234863=ORIENTED_EDGE('',*,*,#184235,.F.); #234864=ORIENTED_EDGE('',*,*,#184227,.F.); #234865=ORIENTED_EDGE('',*,*,#184236,.F.); #234866=ORIENTED_EDGE('',*,*,#184226,.T.); #234867=ORIENTED_EDGE('',*,*,#184237,.T.); #234868=ORIENTED_EDGE('',*,*,#184238,.T.); #234869=ORIENTED_EDGE('',*,*,#184239,.F.); #234870=ORIENTED_EDGE('',*,*,#184236,.T.); #234871=ORIENTED_EDGE('',*,*,#184240,.F.); #234872=ORIENTED_EDGE('',*,*,#184237,.F.); #234873=ORIENTED_EDGE('',*,*,#184225,.T.); #234874=ORIENTED_EDGE('',*,*,#184205,.F.); #234875=ORIENTED_EDGE('',*,*,#184241,.T.); #234876=ORIENTED_EDGE('',*,*,#184223,.F.); #234877=ORIENTED_EDGE('',*,*,#184229,.T.); #234878=ORIENTED_EDGE('',*,*,#184242,.T.); #234879=ORIENTED_EDGE('',*,*,#184243,.F.); #234880=ORIENTED_EDGE('',*,*,#184244,.F.); #234881=ORIENTED_EDGE('',*,*,#184198,.F.); #234882=ORIENTED_EDGE('',*,*,#184245,.F.); #234883=ORIENTED_EDGE('',*,*,#184238,.F.); #234884=ORIENTED_EDGE('',*,*,#184240,.T.); #234885=ORIENTED_EDGE('',*,*,#184246,.F.); #234886=ORIENTED_EDGE('',*,*,#184247,.T.); #234887=ORIENTED_EDGE('',*,*,#184199,.F.); #234888=ORIENTED_EDGE('',*,*,#184247,.F.); #234889=ORIENTED_EDGE('',*,*,#184248,.F.); #234890=ORIENTED_EDGE('',*,*,#184249,.T.); #234891=ORIENTED_EDGE('',*,*,#184200,.F.); #234892=ORIENTED_EDGE('',*,*,#184249,.F.); #234893=ORIENTED_EDGE('',*,*,#184250,.F.); #234894=ORIENTED_EDGE('',*,*,#184251,.T.); #234895=ORIENTED_EDGE('',*,*,#184233,.T.); #234896=ORIENTED_EDGE('',*,*,#184252,.T.); #234897=ORIENTED_EDGE('',*,*,#184201,.F.); #234898=ORIENTED_EDGE('',*,*,#184252,.F.); #234899=ORIENTED_EDGE('',*,*,#184232,.T.); #234900=ORIENTED_EDGE('',*,*,#184239,.T.); #234901=ORIENTED_EDGE('',*,*,#184245,.T.); #234902=ORIENTED_EDGE('',*,*,#184230,.F.); #234903=ORIENTED_EDGE('',*,*,#184221,.F.); #234904=ORIENTED_EDGE('',*,*,#184253,.F.); #234905=ORIENTED_EDGE('',*,*,#184203,.F.); #234906=ORIENTED_EDGE('',*,*,#184204,.T.); #234907=ORIENTED_EDGE('',*,*,#184253,.T.); #234908=ORIENTED_EDGE('',*,*,#184224,.F.); #234909=ORIENTED_EDGE('',*,*,#184241,.F.); #234910=ORIENTED_EDGE('',*,*,#184254,.T.); #234911=ORIENTED_EDGE('',*,*,#184218,.F.); #234912=ORIENTED_EDGE('',*,*,#184211,.F.); #234913=ORIENTED_EDGE('',*,*,#184207,.F.); #234914=ORIENTED_EDGE('',*,*,#184210,.F.); #234915=ORIENTED_EDGE('',*,*,#184255,.T.); #234916=ORIENTED_EDGE('',*,*,#184219,.F.); #234917=ORIENTED_EDGE('',*,*,#184254,.F.); #234918=ORIENTED_EDGE('',*,*,#184256,.T.); #234919=ORIENTED_EDGE('',*,*,#184228,.T.); #234920=ORIENTED_EDGE('',*,*,#184235,.T.); #234921=ORIENTED_EDGE('',*,*,#184214,.T.); #234922=ORIENTED_EDGE('',*,*,#184257,.F.); #234923=ORIENTED_EDGE('',*,*,#184258,.F.); #234924=ORIENTED_EDGE('',*,*,#184259,.F.); #234925=ORIENTED_EDGE('',*,*,#184260,.F.); #234926=ORIENTED_EDGE('',*,*,#184261,.F.); #234927=ORIENTED_EDGE('',*,*,#184262,.F.); #234928=ORIENTED_EDGE('',*,*,#184263,.F.); #234929=ORIENTED_EDGE('',*,*,#184264,.F.); #234930=ORIENTED_EDGE('',*,*,#184265,.F.); #234931=ORIENTED_EDGE('',*,*,#184266,.F.); #234932=ORIENTED_EDGE('',*,*,#184242,.F.); #234933=ORIENTED_EDGE('',*,*,#184251,.F.); #234934=ORIENTED_EDGE('',*,*,#184267,.F.); #234935=ORIENTED_EDGE('',*,*,#184268,.T.); #234936=ORIENTED_EDGE('',*,*,#184257,.T.); #234937=ORIENTED_EDGE('',*,*,#184213,.T.); #234938=ORIENTED_EDGE('',*,*,#184220,.F.); #234939=ORIENTED_EDGE('',*,*,#184255,.F.); #234940=ORIENTED_EDGE('',*,*,#184209,.F.); #234941=ORIENTED_EDGE('',*,*,#184217,.T.); #234942=ORIENTED_EDGE('',*,*,#184234,.F.); #234943=ORIENTED_EDGE('',*,*,#184269,.F.); #234944=ORIENTED_EDGE('',*,*,#184270,.T.); #234945=ORIENTED_EDGE('',*,*,#184271,.F.); #234946=ORIENTED_EDGE('',*,*,#184272,.T.); #234947=ORIENTED_EDGE('',*,*,#184273,.T.); #234948=ORIENTED_EDGE('',*,*,#184274,.T.); #234949=ORIENTED_EDGE('',*,*,#184275,.F.); #234950=ORIENTED_EDGE('',*,*,#184276,.T.); #234951=ORIENTED_EDGE('',*,*,#184277,.F.); #234952=ORIENTED_EDGE('',*,*,#184278,.T.); #234953=ORIENTED_EDGE('',*,*,#184279,.T.); #234954=ORIENTED_EDGE('',*,*,#184280,.T.); #234955=ORIENTED_EDGE('',*,*,#184281,.F.); #234956=ORIENTED_EDGE('',*,*,#184282,.T.); #234957=ORIENTED_EDGE('',*,*,#184283,.F.); #234958=ORIENTED_EDGE('',*,*,#184284,.T.); #234959=ORIENTED_EDGE('',*,*,#184285,.T.); #234960=ORIENTED_EDGE('',*,*,#184286,.T.); #234961=ORIENTED_EDGE('',*,*,#184285,.F.); #234962=ORIENTED_EDGE('',*,*,#184287,.F.); #234963=ORIENTED_EDGE('',*,*,#184288,.F.); #234964=ORIENTED_EDGE('',*,*,#184289,.F.); #234965=ORIENTED_EDGE('',*,*,#184290,.F.); #234966=ORIENTED_EDGE('',*,*,#184291,.T.); #234967=ORIENTED_EDGE('',*,*,#184292,.F.); #234968=ORIENTED_EDGE('',*,*,#184293,.T.); #234969=ORIENTED_EDGE('',*,*,#184290,.T.); #234970=ORIENTED_EDGE('',*,*,#184294,.T.); #234971=ORIENTED_EDGE('',*,*,#184295,.T.); #234972=ORIENTED_EDGE('',*,*,#184296,.T.); #234973=ORIENTED_EDGE('',*,*,#184271,.T.); #234974=ORIENTED_EDGE('',*,*,#184297,.F.); #234975=ORIENTED_EDGE('',*,*,#184293,.F.); #234976=ORIENTED_EDGE('',*,*,#184298,.F.); #234977=ORIENTED_EDGE('',*,*,#184299,.T.); #234978=ORIENTED_EDGE('',*,*,#184294,.F.); #234979=ORIENTED_EDGE('',*,*,#184292,.T.); #234980=ORIENTED_EDGE('',*,*,#184300,.F.); #234981=ORIENTED_EDGE('',*,*,#184269,.T.); #234982=ORIENTED_EDGE('',*,*,#184301,.T.); #234983=ORIENTED_EDGE('',*,*,#184302,.T.); #234984=ORIENTED_EDGE('',*,*,#184298,.T.); #234985=ORIENTED_EDGE('',*,*,#184303,.F.); #234986=ORIENTED_EDGE('',*,*,#184304,.T.); #234987=ORIENTED_EDGE('',*,*,#184305,.F.); #234988=ORIENTED_EDGE('',*,*,#184306,.T.); #234989=ORIENTED_EDGE('',*,*,#184303,.T.); #234990=ORIENTED_EDGE('',*,*,#184307,.T.); #234991=ORIENTED_EDGE('',*,*,#184308,.T.); #234992=ORIENTED_EDGE('',*,*,#184309,.T.); #234993=ORIENTED_EDGE('',*,*,#184277,.T.); #234994=ORIENTED_EDGE('',*,*,#184310,.F.); #234995=ORIENTED_EDGE('',*,*,#184306,.F.); #234996=ORIENTED_EDGE('',*,*,#184311,.F.); #234997=ORIENTED_EDGE('',*,*,#184312,.T.); #234998=ORIENTED_EDGE('',*,*,#184307,.F.); #234999=ORIENTED_EDGE('',*,*,#184305,.T.); #235000=ORIENTED_EDGE('',*,*,#184313,.F.); #235001=ORIENTED_EDGE('',*,*,#184275,.T.); #235002=ORIENTED_EDGE('',*,*,#184314,.T.); #235003=ORIENTED_EDGE('',*,*,#184315,.T.); #235004=ORIENTED_EDGE('',*,*,#184311,.T.); #235005=ORIENTED_EDGE('',*,*,#184283,.T.); #235006=ORIENTED_EDGE('',*,*,#184316,.F.); #235007=ORIENTED_EDGE('',*,*,#184317,.T.); #235008=ORIENTED_EDGE('',*,*,#184318,.T.); #235009=ORIENTED_EDGE('',*,*,#184319,.T.); #235010=ORIENTED_EDGE('',*,*,#184320,.T.); #235011=ORIENTED_EDGE('',*,*,#184282,.F.); #235012=ORIENTED_EDGE('',*,*,#184321,.T.); #235013=ORIENTED_EDGE('',*,*,#184322,.F.); #235014=ORIENTED_EDGE('',*,*,#184316,.T.); #235015=ORIENTED_EDGE('',*,*,#184317,.F.); #235016=ORIENTED_EDGE('',*,*,#184322,.T.); #235017=ORIENTED_EDGE('',*,*,#184323,.F.); #235018=ORIENTED_EDGE('',*,*,#184324,.T.); #235019=ORIENTED_EDGE('',*,*,#184281,.T.); #235020=ORIENTED_EDGE('',*,*,#184325,.T.); #235021=ORIENTED_EDGE('',*,*,#184326,.T.); #235022=ORIENTED_EDGE('',*,*,#184327,.T.); #235023=ORIENTED_EDGE('',*,*,#184323,.T.); #235024=ORIENTED_EDGE('',*,*,#184321,.F.); #235025=ORIENTED_EDGE('',*,*,#184328,.F.); #235026=ORIENTED_EDGE('',*,*,#184329,.F.); #235027=ORIENTED_EDGE('',*,*,#184330,.F.); #235028=ORIENTED_EDGE('',*,*,#184331,.T.); #235029=ORIENTED_EDGE('',*,*,#184332,.F.); #235030=ORIENTED_EDGE('',*,*,#184331,.F.); #235031=ORIENTED_EDGE('',*,*,#184333,.F.); #235032=ORIENTED_EDGE('',*,*,#184334,.F.); #235033=ORIENTED_EDGE('',*,*,#184295,.F.); #235034=ORIENTED_EDGE('',*,*,#184299,.F.); #235035=ORIENTED_EDGE('',*,*,#184302,.F.); #235036=ORIENTED_EDGE('',*,*,#184335,.F.); #235037=ORIENTED_EDGE('',*,*,#184336,.F.); #235038=ORIENTED_EDGE('',*,*,#184337,.T.); #235039=ORIENTED_EDGE('',*,*,#184338,.F.); #235040=ORIENTED_EDGE('',*,*,#184339,.F.); #235041=ORIENTED_EDGE('',*,*,#184340,.F.); #235042=ORIENTED_EDGE('',*,*,#184341,.F.); #235043=ORIENTED_EDGE('',*,*,#184319,.F.); #235044=ORIENTED_EDGE('',*,*,#184342,.F.); #235045=ORIENTED_EDGE('',*,*,#184326,.F.); #235046=ORIENTED_EDGE('',*,*,#184343,.F.); #235047=ORIENTED_EDGE('',*,*,#184344,.F.); #235048=ORIENTED_EDGE('',*,*,#184337,.F.); #235049=ORIENTED_EDGE('',*,*,#184324,.F.); #235050=ORIENTED_EDGE('',*,*,#184327,.F.); #235051=ORIENTED_EDGE('',*,*,#184342,.T.); #235052=ORIENTED_EDGE('',*,*,#184318,.F.); #235053=ORIENTED_EDGE('',*,*,#184345,.F.); #235054=ORIENTED_EDGE('',*,*,#184346,.F.); #235055=ORIENTED_EDGE('',*,*,#184347,.F.); #235056=ORIENTED_EDGE('',*,*,#184348,.T.); #235057=ORIENTED_EDGE('',*,*,#184349,.F.); #235058=ORIENTED_EDGE('',*,*,#184348,.F.); #235059=ORIENTED_EDGE('',*,*,#184350,.F.); #235060=ORIENTED_EDGE('',*,*,#184351,.F.); #235061=ORIENTED_EDGE('',*,*,#184308,.F.); #235062=ORIENTED_EDGE('',*,*,#184312,.F.); #235063=ORIENTED_EDGE('',*,*,#184315,.F.); #235064=ORIENTED_EDGE('',*,*,#184352,.F.); #235065=ORIENTED_EDGE('',*,*,#184335,.T.); #235066=ORIENTED_EDGE('',*,*,#184301,.F.); #235067=ORIENTED_EDGE('',*,*,#184274,.F.); #235068=ORIENTED_EDGE('',*,*,#184353,.F.); #235069=ORIENTED_EDGE('',*,*,#184354,.F.); #235070=ORIENTED_EDGE('',*,*,#184355,.F.); #235071=ORIENTED_EDGE('',*,*,#184356,.F.); #235072=ORIENTED_EDGE('',*,*,#184357,.F.); #235073=ORIENTED_EDGE('',*,*,#184358,.T.); #235074=ORIENTED_EDGE('',*,*,#184338,.T.); #235075=ORIENTED_EDGE('',*,*,#184344,.T.); #235076=ORIENTED_EDGE('',*,*,#184359,.T.); #235077=ORIENTED_EDGE('',*,*,#184289,.T.); #235078=ORIENTED_EDGE('',*,*,#184360,.F.); #235079=ORIENTED_EDGE('',*,*,#184361,.F.); #235080=ORIENTED_EDGE('',*,*,#184362,.T.); #235081=ORIENTED_EDGE('',*,*,#184355,.T.); #235082=ORIENTED_EDGE('',*,*,#184343,.T.); #235083=ORIENTED_EDGE('',*,*,#184325,.F.); #235084=ORIENTED_EDGE('',*,*,#184286,.F.); #235085=ORIENTED_EDGE('',*,*,#184359,.F.); #235086=ORIENTED_EDGE('',*,*,#184363,.T.); #235087=ORIENTED_EDGE('',*,*,#184345,.T.); #235088=ORIENTED_EDGE('',*,*,#184349,.T.); #235089=ORIENTED_EDGE('',*,*,#184364,.T.); #235090=ORIENTED_EDGE('',*,*,#184365,.T.); #235091=ORIENTED_EDGE('',*,*,#184366,.F.); #235092=ORIENTED_EDGE('',*,*,#184367,.F.); #235093=ORIENTED_EDGE('',*,*,#184368,.T.); #235094=ORIENTED_EDGE('',*,*,#184369,.T.); #235095=ORIENTED_EDGE('',*,*,#184352,.T.); #235096=ORIENTED_EDGE('',*,*,#184314,.F.); #235097=ORIENTED_EDGE('',*,*,#184280,.F.); #235098=ORIENTED_EDGE('',*,*,#184364,.F.); #235099=ORIENTED_EDGE('',*,*,#184276,.F.); #235100=ORIENTED_EDGE('',*,*,#184313,.T.); #235101=ORIENTED_EDGE('',*,*,#184304,.F.); #235102=ORIENTED_EDGE('',*,*,#184310,.T.); #235103=ORIENTED_EDGE('',*,*,#184351,.T.); #235104=ORIENTED_EDGE('',*,*,#184370,.F.); #235105=ORIENTED_EDGE('',*,*,#184278,.F.); #235106=ORIENTED_EDGE('',*,*,#184309,.F.); #235107=ORIENTED_EDGE('',*,*,#184341,.T.); #235108=ORIENTED_EDGE('',*,*,#184371,.F.); #235109=ORIENTED_EDGE('',*,*,#184284,.F.); #235110=ORIENTED_EDGE('',*,*,#184320,.F.); #235111=ORIENTED_EDGE('',*,*,#184270,.F.); #235112=ORIENTED_EDGE('',*,*,#184300,.T.); #235113=ORIENTED_EDGE('',*,*,#184291,.F.); #235114=ORIENTED_EDGE('',*,*,#184297,.T.); #235115=ORIENTED_EDGE('',*,*,#184334,.T.); #235116=ORIENTED_EDGE('',*,*,#184372,.F.); #235117=ORIENTED_EDGE('',*,*,#184272,.F.); #235118=ORIENTED_EDGE('',*,*,#184296,.F.); #235119=ORIENTED_EDGE('',*,*,#184279,.F.); #235120=ORIENTED_EDGE('',*,*,#184373,.F.); #235121=ORIENTED_EDGE('',*,*,#184374,.F.); #235122=ORIENTED_EDGE('',*,*,#184365,.F.); #235123=ORIENTED_EDGE('',*,*,#184375,.F.); #235124=ORIENTED_EDGE('',*,*,#184369,.F.); #235125=ORIENTED_EDGE('',*,*,#184376,.F.); #235126=ORIENTED_EDGE('',*,*,#184377,.F.); #235127=ORIENTED_EDGE('',*,*,#184273,.F.); #235128=ORIENTED_EDGE('',*,*,#184378,.F.); #235129=ORIENTED_EDGE('',*,*,#184379,.F.); #235130=ORIENTED_EDGE('',*,*,#184380,.F.); #235131=ORIENTED_EDGE('',*,*,#184381,.F.); #235132=ORIENTED_EDGE('',*,*,#184382,.F.); #235133=ORIENTED_EDGE('',*,*,#184383,.F.); #235134=ORIENTED_EDGE('',*,*,#184384,.F.); #235135=ORIENTED_EDGE('',*,*,#184189,.T.); #235136=ORIENTED_EDGE('',*,*,#184385,.F.); #235137=ORIENTED_EDGE('',*,*,#184386,.T.); #235138=ORIENTED_EDGE('',*,*,#184361,.T.); #235139=ORIENTED_EDGE('',*,*,#184387,.T.); #235140=ORIENTED_EDGE('',*,*,#184388,.T.); #235141=ORIENTED_EDGE('',*,*,#184389,.T.); #235142=ORIENTED_EDGE('',*,*,#184390,.F.); #235143=ORIENTED_EDGE('',*,*,#184391,.T.); #235144=ORIENTED_EDGE('',*,*,#184367,.T.); #235145=ORIENTED_EDGE('',*,*,#184392,.T.); #235146=ORIENTED_EDGE('',*,*,#184393,.T.); #235147=ORIENTED_EDGE('',*,*,#184394,.T.); #235148=ORIENTED_EDGE('',*,*,#184395,.F.); #235149=ORIENTED_EDGE('',*,*,#184396,.T.); #235150=ORIENTED_EDGE('',*,*,#184397,.T.); #235151=ORIENTED_EDGE('',*,*,#184398,.F.); #235152=ORIENTED_EDGE('',*,*,#184256,.F.); #235153=ORIENTED_EDGE('',*,*,#184398,.T.); #235154=ORIENTED_EDGE('',*,*,#184399,.T.); #235155=ORIENTED_EDGE('',*,*,#184375,.T.); #235156=ORIENTED_EDGE('',*,*,#184400,.T.); #235157=ORIENTED_EDGE('',*,*,#184401,.T.); #235158=ORIENTED_EDGE('',*,*,#184394,.F.); #235159=ORIENTED_EDGE('',*,*,#184402,.T.); #235160=ORIENTED_EDGE('',*,*,#184346,.T.); #235161=ORIENTED_EDGE('',*,*,#184363,.F.); #235162=ORIENTED_EDGE('',*,*,#184368,.F.); #235163=ORIENTED_EDGE('',*,*,#184391,.F.); #235164=ORIENTED_EDGE('',*,*,#184403,.T.); #235165=ORIENTED_EDGE('',*,*,#184376,.T.); #235166=ORIENTED_EDGE('',*,*,#184354,.T.); #235167=ORIENTED_EDGE('',*,*,#184404,.T.); #235168=ORIENTED_EDGE('',*,*,#184389,.F.); #235169=ORIENTED_EDGE('',*,*,#184405,.T.); #235170=ORIENTED_EDGE('',*,*,#184339,.T.); #235171=ORIENTED_EDGE('',*,*,#184358,.F.); #235172=ORIENTED_EDGE('',*,*,#184362,.F.); #235173=ORIENTED_EDGE('',*,*,#184386,.F.); #235174=ORIENTED_EDGE('',*,*,#184406,.T.); #235175=ORIENTED_EDGE('',*,*,#184356,.T.); #235176=ORIENTED_EDGE('',*,*,#184381,.T.); #235177=ORIENTED_EDGE('',*,*,#184407,.T.); #235178=ORIENTED_EDGE('',*,*,#184191,.F.); #235179=ORIENTED_EDGE('',*,*,#184408,.T.); #235180=ORIENTED_EDGE('',*,*,#184329,.T.); #235181=ORIENTED_EDGE('',*,*,#184409,.F.); #235182=ORIENTED_EDGE('',*,*,#184410,.F.); #235183=ORIENTED_EDGE('',*,*,#184396,.F.); #235184=ORIENTED_EDGE('',*,*,#184411,.T.); #235185=ORIENTED_EDGE('',*,*,#184383,.T.); #235186=ORIENTED_EDGE('',*,*,#184332,.T.); #235187=ORIENTED_EDGE('',*,*,#184353,.T.); #235188=ORIENTED_EDGE('',*,*,#184380,.T.); #235189=ORIENTED_EDGE('',*,*,#184412,.F.); #235190=ORIENTED_EDGE('',*,*,#184397,.F.); #235191=ORIENTED_EDGE('',*,*,#184410,.T.); #235192=ORIENTED_EDGE('',*,*,#184382,.T.); #235193=ORIENTED_EDGE('',*,*,#184409,.T.); #235194=ORIENTED_EDGE('',*,*,#184328,.T.); #235195=ORIENTED_EDGE('',*,*,#184347,.T.); #235196=ORIENTED_EDGE('',*,*,#184402,.F.); #235197=ORIENTED_EDGE('',*,*,#184393,.F.); #235198=ORIENTED_EDGE('',*,*,#184413,.T.); #235199=ORIENTED_EDGE('',*,*,#184373,.T.); #235200=ORIENTED_EDGE('',*,*,#184370,.T.); #235201=ORIENTED_EDGE('',*,*,#184350,.T.); #235202=ORIENTED_EDGE('',*,*,#184374,.T.); #235203=ORIENTED_EDGE('',*,*,#184413,.F.); #235204=ORIENTED_EDGE('',*,*,#184392,.F.); #235205=ORIENTED_EDGE('',*,*,#184366,.T.); #235206=ORIENTED_EDGE('',*,*,#184202,.T.); #235207=ORIENTED_EDGE('',*,*,#184414,.T.); #235208=ORIENTED_EDGE('',*,*,#184400,.F.); #235209=ORIENTED_EDGE('',*,*,#184377,.T.); #235210=ORIENTED_EDGE('',*,*,#184403,.F.); #235211=ORIENTED_EDGE('',*,*,#184390,.T.); #235212=ORIENTED_EDGE('',*,*,#184404,.F.); #235213=ORIENTED_EDGE('',*,*,#184357,.T.); #235214=ORIENTED_EDGE('',*,*,#184406,.F.); #235215=ORIENTED_EDGE('',*,*,#184385,.T.); #235216=ORIENTED_EDGE('',*,*,#184192,.T.); #235217=ORIENTED_EDGE('',*,*,#184407,.F.); #235218=ORIENTED_EDGE('',*,*,#184384,.T.); #235219=ORIENTED_EDGE('',*,*,#184411,.F.); #235220=ORIENTED_EDGE('',*,*,#184395,.T.); #235221=ORIENTED_EDGE('',*,*,#184401,.F.); #235222=ORIENTED_EDGE('',*,*,#184414,.F.); #235223=ORIENTED_EDGE('',*,*,#184336,.T.); #235224=ORIENTED_EDGE('',*,*,#184405,.F.); #235225=ORIENTED_EDGE('',*,*,#184388,.F.); #235226=ORIENTED_EDGE('',*,*,#184415,.T.); #235227=ORIENTED_EDGE('',*,*,#184287,.T.); #235228=ORIENTED_EDGE('',*,*,#184371,.T.); #235229=ORIENTED_EDGE('',*,*,#184340,.T.); #235230=ORIENTED_EDGE('',*,*,#184288,.T.); #235231=ORIENTED_EDGE('',*,*,#184415,.F.); #235232=ORIENTED_EDGE('',*,*,#184387,.F.); #235233=ORIENTED_EDGE('',*,*,#184360,.T.); #235234=ORIENTED_EDGE('',*,*,#184330,.T.); #235235=ORIENTED_EDGE('',*,*,#184408,.F.); #235236=ORIENTED_EDGE('',*,*,#184190,.F.); #235237=ORIENTED_EDGE('',*,*,#184416,.T.); #235238=ORIENTED_EDGE('',*,*,#184378,.T.); #235239=ORIENTED_EDGE('',*,*,#184372,.T.); #235240=ORIENTED_EDGE('',*,*,#184333,.T.); #235241=ORIENTED_EDGE('',*,*,#184379,.T.); #235242=ORIENTED_EDGE('',*,*,#184416,.F.); #235243=ORIENTED_EDGE('',*,*,#184399,.F.); #235244=ORIENTED_EDGE('',*,*,#184412,.T.); #235245=ORIENTED_EDGE('',*,*,#184264,.T.); #235246=ORIENTED_EDGE('',*,*,#184417,.F.); #235247=ORIENTED_EDGE('',*,*,#184418,.F.); #235248=ORIENTED_EDGE('',*,*,#184419,.T.); #235249=ORIENTED_EDGE('',*,*,#184263,.T.); #235250=ORIENTED_EDGE('',*,*,#184420,.F.); #235251=ORIENTED_EDGE('',*,*,#184421,.F.); #235252=ORIENTED_EDGE('',*,*,#184417,.T.); #235253=ORIENTED_EDGE('',*,*,#184262,.T.); #235254=ORIENTED_EDGE('',*,*,#184422,.F.); #235255=ORIENTED_EDGE('',*,*,#184423,.F.); #235256=ORIENTED_EDGE('',*,*,#184420,.T.); #235257=ORIENTED_EDGE('',*,*,#184261,.T.); #235258=ORIENTED_EDGE('',*,*,#184424,.F.); #235259=ORIENTED_EDGE('',*,*,#184425,.F.); #235260=ORIENTED_EDGE('',*,*,#184422,.T.); #235261=ORIENTED_EDGE('',*,*,#184260,.T.); #235262=ORIENTED_EDGE('',*,*,#184426,.F.); #235263=ORIENTED_EDGE('',*,*,#184427,.F.); #235264=ORIENTED_EDGE('',*,*,#184424,.T.); #235265=ORIENTED_EDGE('',*,*,#184259,.T.); #235266=ORIENTED_EDGE('',*,*,#184428,.F.); #235267=ORIENTED_EDGE('',*,*,#184429,.F.); #235268=ORIENTED_EDGE('',*,*,#184426,.T.); #235269=ORIENTED_EDGE('',*,*,#184258,.T.); #235270=ORIENTED_EDGE('',*,*,#184268,.F.); #235271=ORIENTED_EDGE('',*,*,#184430,.F.); #235272=ORIENTED_EDGE('',*,*,#184428,.T.); #235273=ORIENTED_EDGE('',*,*,#184266,.T.); #235274=ORIENTED_EDGE('',*,*,#184431,.F.); #235275=ORIENTED_EDGE('',*,*,#184432,.F.); #235276=ORIENTED_EDGE('',*,*,#184243,.T.); #235277=ORIENTED_EDGE('',*,*,#184265,.T.); #235278=ORIENTED_EDGE('',*,*,#184419,.F.); #235279=ORIENTED_EDGE('',*,*,#184433,.F.); #235280=ORIENTED_EDGE('',*,*,#184431,.T.); #235281=ORIENTED_EDGE('',*,*,#184246,.T.); #235282=ORIENTED_EDGE('',*,*,#184244,.T.); #235283=ORIENTED_EDGE('',*,*,#184432,.T.); #235284=ORIENTED_EDGE('',*,*,#184433,.T.); #235285=ORIENTED_EDGE('',*,*,#184418,.T.); #235286=ORIENTED_EDGE('',*,*,#184421,.T.); #235287=ORIENTED_EDGE('',*,*,#184423,.T.); #235288=ORIENTED_EDGE('',*,*,#184425,.T.); #235289=ORIENTED_EDGE('',*,*,#184427,.T.); #235290=ORIENTED_EDGE('',*,*,#184429,.T.); #235291=ORIENTED_EDGE('',*,*,#184430,.T.); #235292=ORIENTED_EDGE('',*,*,#184267,.T.); #235293=ORIENTED_EDGE('',*,*,#184250,.T.); #235294=ORIENTED_EDGE('',*,*,#184248,.T.); #235295=ORIENTED_EDGE('',*,*,#184434,.F.); #235296=ORIENTED_EDGE('',*,*,#184435,.T.); #235297=ORIENTED_EDGE('',*,*,#184436,.F.); #235298=ORIENTED_EDGE('',*,*,#184435,.F.); #235299=ORIENTED_EDGE('',*,*,#184437,.F.); #235300=ORIENTED_EDGE('',*,*,#184438,.T.); #235301=ORIENTED_EDGE('',*,*,#184439,.F.); #235302=ORIENTED_EDGE('',*,*,#184438,.F.); #235303=ORIENTED_EDGE('',*,*,#184440,.F.); #235304=ORIENTED_EDGE('',*,*,#184441,.T.); #235305=ORIENTED_EDGE('',*,*,#184442,.F.); #235306=ORIENTED_EDGE('',*,*,#184441,.F.); #235307=ORIENTED_EDGE('',*,*,#184443,.F.); #235308=ORIENTED_EDGE('',*,*,#184444,.T.); #235309=ORIENTED_EDGE('',*,*,#184445,.F.); #235310=ORIENTED_EDGE('',*,*,#184444,.F.); #235311=ORIENTED_EDGE('',*,*,#184446,.F.); #235312=ORIENTED_EDGE('',*,*,#184447,.T.); #235313=ORIENTED_EDGE('',*,*,#184448,.F.); #235314=ORIENTED_EDGE('',*,*,#184447,.F.); #235315=ORIENTED_EDGE('',*,*,#184449,.F.); #235316=ORIENTED_EDGE('',*,*,#184450,.T.); #235317=ORIENTED_EDGE('',*,*,#184451,.F.); #235318=ORIENTED_EDGE('',*,*,#184450,.F.); #235319=ORIENTED_EDGE('',*,*,#184452,.F.); #235320=ORIENTED_EDGE('',*,*,#184453,.T.); #235321=ORIENTED_EDGE('',*,*,#184454,.F.); #235322=ORIENTED_EDGE('',*,*,#184453,.F.); #235323=ORIENTED_EDGE('',*,*,#184455,.F.); #235324=ORIENTED_EDGE('',*,*,#184456,.T.); #235325=ORIENTED_EDGE('',*,*,#184457,.F.); #235326=ORIENTED_EDGE('',*,*,#184456,.F.); #235327=ORIENTED_EDGE('',*,*,#184458,.F.); #235328=ORIENTED_EDGE('',*,*,#184459,.T.); #235329=ORIENTED_EDGE('',*,*,#184460,.F.); #235330=ORIENTED_EDGE('',*,*,#184459,.F.); #235331=ORIENTED_EDGE('',*,*,#184461,.F.); #235332=ORIENTED_EDGE('',*,*,#184462,.T.); #235333=ORIENTED_EDGE('',*,*,#184463,.F.); #235334=ORIENTED_EDGE('',*,*,#184462,.F.); #235335=ORIENTED_EDGE('',*,*,#184464,.F.); #235336=ORIENTED_EDGE('',*,*,#184465,.T.); #235337=ORIENTED_EDGE('',*,*,#184466,.F.); #235338=ORIENTED_EDGE('',*,*,#184465,.F.); #235339=ORIENTED_EDGE('',*,*,#184467,.F.); #235340=ORIENTED_EDGE('',*,*,#184468,.T.); #235341=ORIENTED_EDGE('',*,*,#184469,.F.); #235342=ORIENTED_EDGE('',*,*,#184468,.F.); #235343=ORIENTED_EDGE('',*,*,#184470,.F.); #235344=ORIENTED_EDGE('',*,*,#184471,.T.); #235345=ORIENTED_EDGE('',*,*,#184472,.F.); #235346=ORIENTED_EDGE('',*,*,#184471,.F.); #235347=ORIENTED_EDGE('',*,*,#184473,.F.); #235348=ORIENTED_EDGE('',*,*,#184474,.T.); #235349=ORIENTED_EDGE('',*,*,#184475,.F.); #235350=ORIENTED_EDGE('',*,*,#184474,.F.); #235351=ORIENTED_EDGE('',*,*,#184476,.F.); #235352=ORIENTED_EDGE('',*,*,#184477,.T.); #235353=ORIENTED_EDGE('',*,*,#184478,.F.); #235354=ORIENTED_EDGE('',*,*,#184477,.F.); #235355=ORIENTED_EDGE('',*,*,#184479,.F.); #235356=ORIENTED_EDGE('',*,*,#184480,.T.); #235357=ORIENTED_EDGE('',*,*,#184481,.F.); #235358=ORIENTED_EDGE('',*,*,#184480,.F.); #235359=ORIENTED_EDGE('',*,*,#184482,.F.); #235360=ORIENTED_EDGE('',*,*,#184483,.T.); #235361=ORIENTED_EDGE('',*,*,#184484,.F.); #235362=ORIENTED_EDGE('',*,*,#184483,.F.); #235363=ORIENTED_EDGE('',*,*,#184485,.F.); #235364=ORIENTED_EDGE('',*,*,#184486,.T.); #235365=ORIENTED_EDGE('',*,*,#184487,.F.); #235366=ORIENTED_EDGE('',*,*,#184486,.F.); #235367=ORIENTED_EDGE('',*,*,#184488,.F.); #235368=ORIENTED_EDGE('',*,*,#184489,.T.); #235369=ORIENTED_EDGE('',*,*,#184490,.F.); #235370=ORIENTED_EDGE('',*,*,#184489,.F.); #235371=ORIENTED_EDGE('',*,*,#184491,.F.); #235372=ORIENTED_EDGE('',*,*,#184492,.T.); #235373=ORIENTED_EDGE('',*,*,#184493,.F.); #235374=ORIENTED_EDGE('',*,*,#184492,.F.); #235375=ORIENTED_EDGE('',*,*,#184494,.F.); #235376=ORIENTED_EDGE('',*,*,#184495,.T.); #235377=ORIENTED_EDGE('',*,*,#184496,.F.); #235378=ORIENTED_EDGE('',*,*,#184495,.F.); #235379=ORIENTED_EDGE('',*,*,#184497,.F.); #235380=ORIENTED_EDGE('',*,*,#184498,.T.); #235381=ORIENTED_EDGE('',*,*,#184499,.F.); #235382=ORIENTED_EDGE('',*,*,#184498,.F.); #235383=ORIENTED_EDGE('',*,*,#184500,.F.); #235384=ORIENTED_EDGE('',*,*,#184501,.T.); #235385=ORIENTED_EDGE('',*,*,#184502,.F.); #235386=ORIENTED_EDGE('',*,*,#184501,.F.); #235387=ORIENTED_EDGE('',*,*,#184503,.F.); #235388=ORIENTED_EDGE('',*,*,#184504,.T.); #235389=ORIENTED_EDGE('',*,*,#184505,.F.); #235390=ORIENTED_EDGE('',*,*,#184504,.F.); #235391=ORIENTED_EDGE('',*,*,#184506,.F.); #235392=ORIENTED_EDGE('',*,*,#184507,.T.); #235393=ORIENTED_EDGE('',*,*,#184508,.F.); #235394=ORIENTED_EDGE('',*,*,#184507,.F.); #235395=ORIENTED_EDGE('',*,*,#184509,.F.); #235396=ORIENTED_EDGE('',*,*,#184510,.T.); #235397=ORIENTED_EDGE('',*,*,#184511,.F.); #235398=ORIENTED_EDGE('',*,*,#184510,.F.); #235399=ORIENTED_EDGE('',*,*,#184512,.F.); #235400=ORIENTED_EDGE('',*,*,#184513,.T.); #235401=ORIENTED_EDGE('',*,*,#184514,.F.); #235402=ORIENTED_EDGE('',*,*,#184513,.F.); #235403=ORIENTED_EDGE('',*,*,#184515,.F.); #235404=ORIENTED_EDGE('',*,*,#184516,.T.); #235405=ORIENTED_EDGE('',*,*,#184517,.F.); #235406=ORIENTED_EDGE('',*,*,#184516,.F.); #235407=ORIENTED_EDGE('',*,*,#184518,.F.); #235408=ORIENTED_EDGE('',*,*,#184519,.T.); #235409=ORIENTED_EDGE('',*,*,#184520,.F.); #235410=ORIENTED_EDGE('',*,*,#184519,.F.); #235411=ORIENTED_EDGE('',*,*,#184521,.F.); #235412=ORIENTED_EDGE('',*,*,#184522,.T.); #235413=ORIENTED_EDGE('',*,*,#184523,.F.); #235414=ORIENTED_EDGE('',*,*,#184522,.F.); #235415=ORIENTED_EDGE('',*,*,#184524,.F.); #235416=ORIENTED_EDGE('',*,*,#184525,.T.); #235417=ORIENTED_EDGE('',*,*,#184526,.F.); #235418=ORIENTED_EDGE('',*,*,#184525,.F.); #235419=ORIENTED_EDGE('',*,*,#184527,.F.); #235420=ORIENTED_EDGE('',*,*,#184528,.T.); #235421=ORIENTED_EDGE('',*,*,#184529,.F.); #235422=ORIENTED_EDGE('',*,*,#184528,.F.); #235423=ORIENTED_EDGE('',*,*,#184530,.F.); #235424=ORIENTED_EDGE('',*,*,#184531,.T.); #235425=ORIENTED_EDGE('',*,*,#184532,.F.); #235426=ORIENTED_EDGE('',*,*,#184531,.F.); #235427=ORIENTED_EDGE('',*,*,#184533,.F.); #235428=ORIENTED_EDGE('',*,*,#184534,.T.); #235429=ORIENTED_EDGE('',*,*,#184535,.F.); #235430=ORIENTED_EDGE('',*,*,#184534,.F.); #235431=ORIENTED_EDGE('',*,*,#184536,.F.); #235432=ORIENTED_EDGE('',*,*,#184537,.T.); #235433=ORIENTED_EDGE('',*,*,#184538,.F.); #235434=ORIENTED_EDGE('',*,*,#184537,.F.); #235435=ORIENTED_EDGE('',*,*,#184539,.F.); #235436=ORIENTED_EDGE('',*,*,#184540,.T.); #235437=ORIENTED_EDGE('',*,*,#184541,.F.); #235438=ORIENTED_EDGE('',*,*,#184540,.F.); #235439=ORIENTED_EDGE('',*,*,#184542,.F.); #235440=ORIENTED_EDGE('',*,*,#184543,.T.); #235441=ORIENTED_EDGE('',*,*,#184544,.F.); #235442=ORIENTED_EDGE('',*,*,#184543,.F.); #235443=ORIENTED_EDGE('',*,*,#184545,.F.); #235444=ORIENTED_EDGE('',*,*,#184546,.T.); #235445=ORIENTED_EDGE('',*,*,#184547,.F.); #235446=ORIENTED_EDGE('',*,*,#184546,.F.); #235447=ORIENTED_EDGE('',*,*,#184548,.F.); #235448=ORIENTED_EDGE('',*,*,#184549,.T.); #235449=ORIENTED_EDGE('',*,*,#184550,.F.); #235450=ORIENTED_EDGE('',*,*,#184549,.F.); #235451=ORIENTED_EDGE('',*,*,#184551,.F.); #235452=ORIENTED_EDGE('',*,*,#184552,.T.); #235453=ORIENTED_EDGE('',*,*,#184553,.F.); #235454=ORIENTED_EDGE('',*,*,#184552,.F.); #235455=ORIENTED_EDGE('',*,*,#184554,.F.); #235456=ORIENTED_EDGE('',*,*,#184555,.T.); #235457=ORIENTED_EDGE('',*,*,#184556,.F.); #235458=ORIENTED_EDGE('',*,*,#184555,.F.); #235459=ORIENTED_EDGE('',*,*,#184557,.F.); #235460=ORIENTED_EDGE('',*,*,#184558,.T.); #235461=ORIENTED_EDGE('',*,*,#184559,.F.); #235462=ORIENTED_EDGE('',*,*,#184558,.F.); #235463=ORIENTED_EDGE('',*,*,#184560,.F.); #235464=ORIENTED_EDGE('',*,*,#184561,.T.); #235465=ORIENTED_EDGE('',*,*,#184562,.F.); #235466=ORIENTED_EDGE('',*,*,#184561,.F.); #235467=ORIENTED_EDGE('',*,*,#184563,.F.); #235468=ORIENTED_EDGE('',*,*,#184564,.T.); #235469=ORIENTED_EDGE('',*,*,#184565,.F.); #235470=ORIENTED_EDGE('',*,*,#184564,.F.); #235471=ORIENTED_EDGE('',*,*,#184566,.F.); #235472=ORIENTED_EDGE('',*,*,#184567,.T.); #235473=ORIENTED_EDGE('',*,*,#184568,.F.); #235474=ORIENTED_EDGE('',*,*,#184567,.F.); #235475=ORIENTED_EDGE('',*,*,#184569,.F.); #235476=ORIENTED_EDGE('',*,*,#184570,.T.); #235477=ORIENTED_EDGE('',*,*,#184571,.F.); #235478=ORIENTED_EDGE('',*,*,#184570,.F.); #235479=ORIENTED_EDGE('',*,*,#184572,.F.); #235480=ORIENTED_EDGE('',*,*,#184573,.T.); #235481=ORIENTED_EDGE('',*,*,#184574,.F.); #235482=ORIENTED_EDGE('',*,*,#184573,.F.); #235483=ORIENTED_EDGE('',*,*,#184575,.F.); #235484=ORIENTED_EDGE('',*,*,#184576,.T.); #235485=ORIENTED_EDGE('',*,*,#184577,.F.); #235486=ORIENTED_EDGE('',*,*,#184576,.F.); #235487=ORIENTED_EDGE('',*,*,#184578,.F.); #235488=ORIENTED_EDGE('',*,*,#184579,.T.); #235489=ORIENTED_EDGE('',*,*,#184580,.F.); #235490=ORIENTED_EDGE('',*,*,#184579,.F.); #235491=ORIENTED_EDGE('',*,*,#184581,.F.); #235492=ORIENTED_EDGE('',*,*,#184582,.T.); #235493=ORIENTED_EDGE('',*,*,#184583,.F.); #235494=ORIENTED_EDGE('',*,*,#184582,.F.); #235495=ORIENTED_EDGE('',*,*,#184584,.F.); #235496=ORIENTED_EDGE('',*,*,#184585,.T.); #235497=ORIENTED_EDGE('',*,*,#184586,.F.); #235498=ORIENTED_EDGE('',*,*,#184585,.F.); #235499=ORIENTED_EDGE('',*,*,#184587,.F.); #235500=ORIENTED_EDGE('',*,*,#184588,.T.); #235501=ORIENTED_EDGE('',*,*,#184589,.F.); #235502=ORIENTED_EDGE('',*,*,#184588,.F.); #235503=ORIENTED_EDGE('',*,*,#184590,.F.); #235504=ORIENTED_EDGE('',*,*,#184591,.T.); #235505=ORIENTED_EDGE('',*,*,#184592,.F.); #235506=ORIENTED_EDGE('',*,*,#184591,.F.); #235507=ORIENTED_EDGE('',*,*,#184593,.F.); #235508=ORIENTED_EDGE('',*,*,#184594,.T.); #235509=ORIENTED_EDGE('',*,*,#184595,.F.); #235510=ORIENTED_EDGE('',*,*,#184594,.F.); #235511=ORIENTED_EDGE('',*,*,#184596,.F.); #235512=ORIENTED_EDGE('',*,*,#184597,.T.); #235513=ORIENTED_EDGE('',*,*,#184598,.F.); #235514=ORIENTED_EDGE('',*,*,#184597,.F.); #235515=ORIENTED_EDGE('',*,*,#184599,.F.); #235516=ORIENTED_EDGE('',*,*,#184600,.T.); #235517=ORIENTED_EDGE('',*,*,#184601,.F.); #235518=ORIENTED_EDGE('',*,*,#184600,.F.); #235519=ORIENTED_EDGE('',*,*,#184602,.F.); #235520=ORIENTED_EDGE('',*,*,#184603,.T.); #235521=ORIENTED_EDGE('',*,*,#184604,.F.); #235522=ORIENTED_EDGE('',*,*,#184603,.F.); #235523=ORIENTED_EDGE('',*,*,#184605,.F.); #235524=ORIENTED_EDGE('',*,*,#184606,.T.); #235525=ORIENTED_EDGE('',*,*,#184607,.F.); #235526=ORIENTED_EDGE('',*,*,#184606,.F.); #235527=ORIENTED_EDGE('',*,*,#184608,.F.); #235528=ORIENTED_EDGE('',*,*,#184609,.T.); #235529=ORIENTED_EDGE('',*,*,#184610,.F.); #235530=ORIENTED_EDGE('',*,*,#184609,.F.); #235531=ORIENTED_EDGE('',*,*,#184611,.F.); #235532=ORIENTED_EDGE('',*,*,#184612,.T.); #235533=ORIENTED_EDGE('',*,*,#184613,.F.); #235534=ORIENTED_EDGE('',*,*,#184612,.F.); #235535=ORIENTED_EDGE('',*,*,#184614,.F.); #235536=ORIENTED_EDGE('',*,*,#184615,.T.); #235537=ORIENTED_EDGE('',*,*,#184616,.F.); #235538=ORIENTED_EDGE('',*,*,#184615,.F.); #235539=ORIENTED_EDGE('',*,*,#184617,.F.); #235540=ORIENTED_EDGE('',*,*,#184618,.T.); #235541=ORIENTED_EDGE('',*,*,#184619,.F.); #235542=ORIENTED_EDGE('',*,*,#184618,.F.); #235543=ORIENTED_EDGE('',*,*,#184620,.F.); #235544=ORIENTED_EDGE('',*,*,#184621,.T.); #235545=ORIENTED_EDGE('',*,*,#184622,.F.); #235546=ORIENTED_EDGE('',*,*,#184621,.F.); #235547=ORIENTED_EDGE('',*,*,#184623,.F.); #235548=ORIENTED_EDGE('',*,*,#184624,.T.); #235549=ORIENTED_EDGE('',*,*,#184625,.F.); #235550=ORIENTED_EDGE('',*,*,#184624,.F.); #235551=ORIENTED_EDGE('',*,*,#184626,.F.); #235552=ORIENTED_EDGE('',*,*,#184627,.T.); #235553=ORIENTED_EDGE('',*,*,#184628,.F.); #235554=ORIENTED_EDGE('',*,*,#184627,.F.); #235555=ORIENTED_EDGE('',*,*,#184629,.F.); #235556=ORIENTED_EDGE('',*,*,#184630,.T.); #235557=ORIENTED_EDGE('',*,*,#184631,.F.); #235558=ORIENTED_EDGE('',*,*,#184630,.F.); #235559=ORIENTED_EDGE('',*,*,#184632,.F.); #235560=ORIENTED_EDGE('',*,*,#184633,.T.); #235561=ORIENTED_EDGE('',*,*,#184634,.F.); #235562=ORIENTED_EDGE('',*,*,#184633,.F.); #235563=ORIENTED_EDGE('',*,*,#184635,.F.); #235564=ORIENTED_EDGE('',*,*,#184636,.T.); #235565=ORIENTED_EDGE('',*,*,#184637,.F.); #235566=ORIENTED_EDGE('',*,*,#184636,.F.); #235567=ORIENTED_EDGE('',*,*,#184638,.F.); #235568=ORIENTED_EDGE('',*,*,#184639,.T.); #235569=ORIENTED_EDGE('',*,*,#184640,.F.); #235570=ORIENTED_EDGE('',*,*,#184639,.F.); #235571=ORIENTED_EDGE('',*,*,#184641,.F.); #235572=ORIENTED_EDGE('',*,*,#184642,.T.); #235573=ORIENTED_EDGE('',*,*,#184643,.F.); #235574=ORIENTED_EDGE('',*,*,#184642,.F.); #235575=ORIENTED_EDGE('',*,*,#184644,.F.); #235576=ORIENTED_EDGE('',*,*,#184645,.T.); #235577=ORIENTED_EDGE('',*,*,#184646,.F.); #235578=ORIENTED_EDGE('',*,*,#184645,.F.); #235579=ORIENTED_EDGE('',*,*,#184647,.F.); #235580=ORIENTED_EDGE('',*,*,#184648,.T.); #235581=ORIENTED_EDGE('',*,*,#184649,.F.); #235582=ORIENTED_EDGE('',*,*,#184648,.F.); #235583=ORIENTED_EDGE('',*,*,#184650,.F.); #235584=ORIENTED_EDGE('',*,*,#184651,.T.); #235585=ORIENTED_EDGE('',*,*,#184652,.F.); #235586=ORIENTED_EDGE('',*,*,#184651,.F.); #235587=ORIENTED_EDGE('',*,*,#184653,.F.); #235588=ORIENTED_EDGE('',*,*,#184654,.T.); #235589=ORIENTED_EDGE('',*,*,#184655,.F.); #235590=ORIENTED_EDGE('',*,*,#184654,.F.); #235591=ORIENTED_EDGE('',*,*,#184656,.F.); #235592=ORIENTED_EDGE('',*,*,#184657,.T.); #235593=ORIENTED_EDGE('',*,*,#184658,.F.); #235594=ORIENTED_EDGE('',*,*,#184657,.F.); #235595=ORIENTED_EDGE('',*,*,#184659,.F.); #235596=ORIENTED_EDGE('',*,*,#184660,.T.); #235597=ORIENTED_EDGE('',*,*,#184661,.F.); #235598=ORIENTED_EDGE('',*,*,#184660,.F.); #235599=ORIENTED_EDGE('',*,*,#184662,.F.); #235600=ORIENTED_EDGE('',*,*,#184663,.T.); #235601=ORIENTED_EDGE('',*,*,#184664,.F.); #235602=ORIENTED_EDGE('',*,*,#184663,.F.); #235603=ORIENTED_EDGE('',*,*,#184665,.F.); #235604=ORIENTED_EDGE('',*,*,#184666,.T.); #235605=ORIENTED_EDGE('',*,*,#184667,.F.); #235606=ORIENTED_EDGE('',*,*,#184666,.F.); #235607=ORIENTED_EDGE('',*,*,#184668,.F.); #235608=ORIENTED_EDGE('',*,*,#184669,.T.); #235609=ORIENTED_EDGE('',*,*,#184670,.F.); #235610=ORIENTED_EDGE('',*,*,#184669,.F.); #235611=ORIENTED_EDGE('',*,*,#184671,.F.); #235612=ORIENTED_EDGE('',*,*,#184672,.T.); #235613=ORIENTED_EDGE('',*,*,#184673,.F.); #235614=ORIENTED_EDGE('',*,*,#184672,.F.); #235615=ORIENTED_EDGE('',*,*,#184674,.F.); #235616=ORIENTED_EDGE('',*,*,#184675,.T.); #235617=ORIENTED_EDGE('',*,*,#184676,.F.); #235618=ORIENTED_EDGE('',*,*,#184675,.F.); #235619=ORIENTED_EDGE('',*,*,#184677,.F.); #235620=ORIENTED_EDGE('',*,*,#184678,.T.); #235621=ORIENTED_EDGE('',*,*,#184679,.F.); #235622=ORIENTED_EDGE('',*,*,#184678,.F.); #235623=ORIENTED_EDGE('',*,*,#184680,.F.); #235624=ORIENTED_EDGE('',*,*,#184681,.T.); #235625=ORIENTED_EDGE('',*,*,#184682,.F.); #235626=ORIENTED_EDGE('',*,*,#184681,.F.); #235627=ORIENTED_EDGE('',*,*,#184683,.F.); #235628=ORIENTED_EDGE('',*,*,#184684,.T.); #235629=ORIENTED_EDGE('',*,*,#184685,.F.); #235630=ORIENTED_EDGE('',*,*,#184684,.F.); #235631=ORIENTED_EDGE('',*,*,#184686,.F.); #235632=ORIENTED_EDGE('',*,*,#184687,.T.); #235633=ORIENTED_EDGE('',*,*,#184688,.F.); #235634=ORIENTED_EDGE('',*,*,#184687,.F.); #235635=ORIENTED_EDGE('',*,*,#184689,.F.); #235636=ORIENTED_EDGE('',*,*,#184690,.T.); #235637=ORIENTED_EDGE('',*,*,#184691,.F.); #235638=ORIENTED_EDGE('',*,*,#184690,.F.); #235639=ORIENTED_EDGE('',*,*,#184692,.F.); #235640=ORIENTED_EDGE('',*,*,#184693,.T.); #235641=ORIENTED_EDGE('',*,*,#184694,.F.); #235642=ORIENTED_EDGE('',*,*,#184693,.F.); #235643=ORIENTED_EDGE('',*,*,#184695,.F.); #235644=ORIENTED_EDGE('',*,*,#184696,.T.); #235645=ORIENTED_EDGE('',*,*,#184697,.F.); #235646=ORIENTED_EDGE('',*,*,#184696,.F.); #235647=ORIENTED_EDGE('',*,*,#184698,.F.); #235648=ORIENTED_EDGE('',*,*,#184699,.T.); #235649=ORIENTED_EDGE('',*,*,#184700,.F.); #235650=ORIENTED_EDGE('',*,*,#184699,.F.); #235651=ORIENTED_EDGE('',*,*,#184701,.F.); #235652=ORIENTED_EDGE('',*,*,#184702,.T.); #235653=ORIENTED_EDGE('',*,*,#184703,.F.); #235654=ORIENTED_EDGE('',*,*,#184702,.F.); #235655=ORIENTED_EDGE('',*,*,#184704,.F.); #235656=ORIENTED_EDGE('',*,*,#184705,.T.); #235657=ORIENTED_EDGE('',*,*,#184706,.F.); #235658=ORIENTED_EDGE('',*,*,#184705,.F.); #235659=ORIENTED_EDGE('',*,*,#184707,.F.); #235660=ORIENTED_EDGE('',*,*,#184708,.T.); #235661=ORIENTED_EDGE('',*,*,#184709,.F.); #235662=ORIENTED_EDGE('',*,*,#184708,.F.); #235663=ORIENTED_EDGE('',*,*,#184710,.F.); #235664=ORIENTED_EDGE('',*,*,#184711,.T.); #235665=ORIENTED_EDGE('',*,*,#184712,.F.); #235666=ORIENTED_EDGE('',*,*,#184711,.F.); #235667=ORIENTED_EDGE('',*,*,#184713,.F.); #235668=ORIENTED_EDGE('',*,*,#184714,.T.); #235669=ORIENTED_EDGE('',*,*,#184715,.F.); #235670=ORIENTED_EDGE('',*,*,#184714,.F.); #235671=ORIENTED_EDGE('',*,*,#184716,.F.); #235672=ORIENTED_EDGE('',*,*,#184717,.T.); #235673=ORIENTED_EDGE('',*,*,#184718,.F.); #235674=ORIENTED_EDGE('',*,*,#184717,.F.); #235675=ORIENTED_EDGE('',*,*,#184719,.F.); #235676=ORIENTED_EDGE('',*,*,#184720,.T.); #235677=ORIENTED_EDGE('',*,*,#184721,.F.); #235678=ORIENTED_EDGE('',*,*,#184720,.F.); #235679=ORIENTED_EDGE('',*,*,#184722,.F.); #235680=ORIENTED_EDGE('',*,*,#184723,.T.); #235681=ORIENTED_EDGE('',*,*,#184724,.F.); #235682=ORIENTED_EDGE('',*,*,#184723,.F.); #235683=ORIENTED_EDGE('',*,*,#184725,.F.); #235684=ORIENTED_EDGE('',*,*,#184726,.T.); #235685=ORIENTED_EDGE('',*,*,#184727,.F.); #235686=ORIENTED_EDGE('',*,*,#184726,.F.); #235687=ORIENTED_EDGE('',*,*,#184728,.F.); #235688=ORIENTED_EDGE('',*,*,#184729,.T.); #235689=ORIENTED_EDGE('',*,*,#184730,.F.); #235690=ORIENTED_EDGE('',*,*,#184729,.F.); #235691=ORIENTED_EDGE('',*,*,#184731,.F.); #235692=ORIENTED_EDGE('',*,*,#184732,.T.); #235693=ORIENTED_EDGE('',*,*,#184733,.F.); #235694=ORIENTED_EDGE('',*,*,#184732,.F.); #235695=ORIENTED_EDGE('',*,*,#184734,.F.); #235696=ORIENTED_EDGE('',*,*,#184735,.T.); #235697=ORIENTED_EDGE('',*,*,#184736,.F.); #235698=ORIENTED_EDGE('',*,*,#184735,.F.); #235699=ORIENTED_EDGE('',*,*,#184737,.F.); #235700=ORIENTED_EDGE('',*,*,#184738,.T.); #235701=ORIENTED_EDGE('',*,*,#184739,.F.); #235702=ORIENTED_EDGE('',*,*,#184738,.F.); #235703=ORIENTED_EDGE('',*,*,#184740,.F.); #235704=ORIENTED_EDGE('',*,*,#184741,.T.); #235705=ORIENTED_EDGE('',*,*,#184742,.F.); #235706=ORIENTED_EDGE('',*,*,#184741,.F.); #235707=ORIENTED_EDGE('',*,*,#184743,.F.); #235708=ORIENTED_EDGE('',*,*,#184744,.T.); #235709=ORIENTED_EDGE('',*,*,#184745,.F.); #235710=ORIENTED_EDGE('',*,*,#184744,.F.); #235711=ORIENTED_EDGE('',*,*,#184746,.F.); #235712=ORIENTED_EDGE('',*,*,#184747,.T.); #235713=ORIENTED_EDGE('',*,*,#184748,.F.); #235714=ORIENTED_EDGE('',*,*,#184747,.F.); #235715=ORIENTED_EDGE('',*,*,#184749,.F.); #235716=ORIENTED_EDGE('',*,*,#184750,.T.); #235717=ORIENTED_EDGE('',*,*,#184751,.F.); #235718=ORIENTED_EDGE('',*,*,#184750,.F.); #235719=ORIENTED_EDGE('',*,*,#184752,.F.); #235720=ORIENTED_EDGE('',*,*,#184753,.T.); #235721=ORIENTED_EDGE('',*,*,#184754,.F.); #235722=ORIENTED_EDGE('',*,*,#184753,.F.); #235723=ORIENTED_EDGE('',*,*,#184755,.F.); #235724=ORIENTED_EDGE('',*,*,#184756,.T.); #235725=ORIENTED_EDGE('',*,*,#184757,.F.); #235726=ORIENTED_EDGE('',*,*,#184756,.F.); #235727=ORIENTED_EDGE('',*,*,#184758,.F.); #235728=ORIENTED_EDGE('',*,*,#184759,.T.); #235729=ORIENTED_EDGE('',*,*,#184760,.F.); #235730=ORIENTED_EDGE('',*,*,#184759,.F.); #235731=ORIENTED_EDGE('',*,*,#184761,.F.); #235732=ORIENTED_EDGE('',*,*,#184762,.T.); #235733=ORIENTED_EDGE('',*,*,#184763,.F.); #235734=ORIENTED_EDGE('',*,*,#184762,.F.); #235735=ORIENTED_EDGE('',*,*,#184764,.F.); #235736=ORIENTED_EDGE('',*,*,#184765,.T.); #235737=ORIENTED_EDGE('',*,*,#184766,.F.); #235738=ORIENTED_EDGE('',*,*,#184765,.F.); #235739=ORIENTED_EDGE('',*,*,#184767,.F.); #235740=ORIENTED_EDGE('',*,*,#184768,.T.); #235741=ORIENTED_EDGE('',*,*,#184769,.F.); #235742=ORIENTED_EDGE('',*,*,#184768,.F.); #235743=ORIENTED_EDGE('',*,*,#184770,.F.); #235744=ORIENTED_EDGE('',*,*,#184771,.T.); #235745=ORIENTED_EDGE('',*,*,#184772,.F.); #235746=ORIENTED_EDGE('',*,*,#184771,.F.); #235747=ORIENTED_EDGE('',*,*,#184773,.F.); #235748=ORIENTED_EDGE('',*,*,#184774,.T.); #235749=ORIENTED_EDGE('',*,*,#184775,.F.); #235750=ORIENTED_EDGE('',*,*,#184774,.F.); #235751=ORIENTED_EDGE('',*,*,#184776,.F.); #235752=ORIENTED_EDGE('',*,*,#184777,.T.); #235753=ORIENTED_EDGE('',*,*,#184778,.F.); #235754=ORIENTED_EDGE('',*,*,#184777,.F.); #235755=ORIENTED_EDGE('',*,*,#184779,.F.); #235756=ORIENTED_EDGE('',*,*,#184780,.T.); #235757=ORIENTED_EDGE('',*,*,#184781,.F.); #235758=ORIENTED_EDGE('',*,*,#184780,.F.); #235759=ORIENTED_EDGE('',*,*,#184782,.F.); #235760=ORIENTED_EDGE('',*,*,#184783,.T.); #235761=ORIENTED_EDGE('',*,*,#184784,.F.); #235762=ORIENTED_EDGE('',*,*,#184783,.F.); #235763=ORIENTED_EDGE('',*,*,#184785,.F.); #235764=ORIENTED_EDGE('',*,*,#184786,.T.); #235765=ORIENTED_EDGE('',*,*,#184787,.F.); #235766=ORIENTED_EDGE('',*,*,#184786,.F.); #235767=ORIENTED_EDGE('',*,*,#184788,.F.); #235768=ORIENTED_EDGE('',*,*,#184789,.T.); #235769=ORIENTED_EDGE('',*,*,#184790,.F.); #235770=ORIENTED_EDGE('',*,*,#184789,.F.); #235771=ORIENTED_EDGE('',*,*,#184791,.F.); #235772=ORIENTED_EDGE('',*,*,#184792,.T.); #235773=ORIENTED_EDGE('',*,*,#184793,.F.); #235774=ORIENTED_EDGE('',*,*,#184792,.F.); #235775=ORIENTED_EDGE('',*,*,#184794,.F.); #235776=ORIENTED_EDGE('',*,*,#184795,.T.); #235777=ORIENTED_EDGE('',*,*,#184796,.F.); #235778=ORIENTED_EDGE('',*,*,#184795,.F.); #235779=ORIENTED_EDGE('',*,*,#184797,.F.); #235780=ORIENTED_EDGE('',*,*,#184798,.T.); #235781=ORIENTED_EDGE('',*,*,#184799,.F.); #235782=ORIENTED_EDGE('',*,*,#184798,.F.); #235783=ORIENTED_EDGE('',*,*,#184800,.F.); #235784=ORIENTED_EDGE('',*,*,#184801,.T.); #235785=ORIENTED_EDGE('',*,*,#184802,.F.); #235786=ORIENTED_EDGE('',*,*,#184801,.F.); #235787=ORIENTED_EDGE('',*,*,#184803,.F.); #235788=ORIENTED_EDGE('',*,*,#184804,.T.); #235789=ORIENTED_EDGE('',*,*,#184805,.F.); #235790=ORIENTED_EDGE('',*,*,#184804,.F.); #235791=ORIENTED_EDGE('',*,*,#184806,.F.); #235792=ORIENTED_EDGE('',*,*,#184807,.T.); #235793=ORIENTED_EDGE('',*,*,#184808,.F.); #235794=ORIENTED_EDGE('',*,*,#184807,.F.); #235795=ORIENTED_EDGE('',*,*,#184809,.F.); #235796=ORIENTED_EDGE('',*,*,#184810,.T.); #235797=ORIENTED_EDGE('',*,*,#184811,.F.); #235798=ORIENTED_EDGE('',*,*,#184810,.F.); #235799=ORIENTED_EDGE('',*,*,#184812,.F.); #235800=ORIENTED_EDGE('',*,*,#184813,.T.); #235801=ORIENTED_EDGE('',*,*,#184814,.F.); #235802=ORIENTED_EDGE('',*,*,#184813,.F.); #235803=ORIENTED_EDGE('',*,*,#184815,.F.); #235804=ORIENTED_EDGE('',*,*,#184816,.T.); #235805=ORIENTED_EDGE('',*,*,#184817,.F.); #235806=ORIENTED_EDGE('',*,*,#184816,.F.); #235807=ORIENTED_EDGE('',*,*,#184818,.F.); #235808=ORIENTED_EDGE('',*,*,#184819,.T.); #235809=ORIENTED_EDGE('',*,*,#184820,.F.); #235810=ORIENTED_EDGE('',*,*,#184819,.F.); #235811=ORIENTED_EDGE('',*,*,#184821,.F.); #235812=ORIENTED_EDGE('',*,*,#184822,.T.); #235813=ORIENTED_EDGE('',*,*,#184823,.F.); #235814=ORIENTED_EDGE('',*,*,#184822,.F.); #235815=ORIENTED_EDGE('',*,*,#184824,.F.); #235816=ORIENTED_EDGE('',*,*,#184825,.T.); #235817=ORIENTED_EDGE('',*,*,#184826,.F.); #235818=ORIENTED_EDGE('',*,*,#184825,.F.); #235819=ORIENTED_EDGE('',*,*,#184827,.F.); #235820=ORIENTED_EDGE('',*,*,#184828,.T.); #235821=ORIENTED_EDGE('',*,*,#184829,.F.); #235822=ORIENTED_EDGE('',*,*,#184828,.F.); #235823=ORIENTED_EDGE('',*,*,#184830,.F.); #235824=ORIENTED_EDGE('',*,*,#184831,.T.); #235825=ORIENTED_EDGE('',*,*,#184832,.F.); #235826=ORIENTED_EDGE('',*,*,#184831,.F.); #235827=ORIENTED_EDGE('',*,*,#184833,.F.); #235828=ORIENTED_EDGE('',*,*,#184834,.T.); #235829=ORIENTED_EDGE('',*,*,#184835,.F.); #235830=ORIENTED_EDGE('',*,*,#184834,.F.); #235831=ORIENTED_EDGE('',*,*,#184836,.F.); #235832=ORIENTED_EDGE('',*,*,#184837,.T.); #235833=ORIENTED_EDGE('',*,*,#184838,.F.); #235834=ORIENTED_EDGE('',*,*,#184837,.F.); #235835=ORIENTED_EDGE('',*,*,#184839,.F.); #235836=ORIENTED_EDGE('',*,*,#184840,.T.); #235837=ORIENTED_EDGE('',*,*,#184841,.F.); #235838=ORIENTED_EDGE('',*,*,#184840,.F.); #235839=ORIENTED_EDGE('',*,*,#184842,.F.); #235840=ORIENTED_EDGE('',*,*,#184843,.T.); #235841=ORIENTED_EDGE('',*,*,#184844,.F.); #235842=ORIENTED_EDGE('',*,*,#184843,.F.); #235843=ORIENTED_EDGE('',*,*,#184845,.F.); #235844=ORIENTED_EDGE('',*,*,#184846,.T.); #235845=ORIENTED_EDGE('',*,*,#184847,.F.); #235846=ORIENTED_EDGE('',*,*,#184846,.F.); #235847=ORIENTED_EDGE('',*,*,#184848,.F.); #235848=ORIENTED_EDGE('',*,*,#184849,.T.); #235849=ORIENTED_EDGE('',*,*,#184850,.F.); #235850=ORIENTED_EDGE('',*,*,#184849,.F.); #235851=ORIENTED_EDGE('',*,*,#184851,.F.); #235852=ORIENTED_EDGE('',*,*,#184852,.T.); #235853=ORIENTED_EDGE('',*,*,#184853,.F.); #235854=ORIENTED_EDGE('',*,*,#184852,.F.); #235855=ORIENTED_EDGE('',*,*,#184854,.F.); #235856=ORIENTED_EDGE('',*,*,#184855,.T.); #235857=ORIENTED_EDGE('',*,*,#184856,.F.); #235858=ORIENTED_EDGE('',*,*,#184855,.F.); #235859=ORIENTED_EDGE('',*,*,#184857,.F.); #235860=ORIENTED_EDGE('',*,*,#184858,.T.); #235861=ORIENTED_EDGE('',*,*,#184859,.F.); #235862=ORIENTED_EDGE('',*,*,#184858,.F.); #235863=ORIENTED_EDGE('',*,*,#184860,.F.); #235864=ORIENTED_EDGE('',*,*,#184861,.T.); #235865=ORIENTED_EDGE('',*,*,#184862,.F.); #235866=ORIENTED_EDGE('',*,*,#184861,.F.); #235867=ORIENTED_EDGE('',*,*,#184863,.F.); #235868=ORIENTED_EDGE('',*,*,#184864,.T.); #235869=ORIENTED_EDGE('',*,*,#184865,.F.); #235870=ORIENTED_EDGE('',*,*,#184864,.F.); #235871=ORIENTED_EDGE('',*,*,#184866,.F.); #235872=ORIENTED_EDGE('',*,*,#184867,.T.); #235873=ORIENTED_EDGE('',*,*,#184868,.F.); #235874=ORIENTED_EDGE('',*,*,#184867,.F.); #235875=ORIENTED_EDGE('',*,*,#184869,.F.); #235876=ORIENTED_EDGE('',*,*,#184870,.T.); #235877=ORIENTED_EDGE('',*,*,#184871,.F.); #235878=ORIENTED_EDGE('',*,*,#184870,.F.); #235879=ORIENTED_EDGE('',*,*,#184872,.F.); #235880=ORIENTED_EDGE('',*,*,#184873,.T.); #235881=ORIENTED_EDGE('',*,*,#184874,.F.); #235882=ORIENTED_EDGE('',*,*,#184873,.F.); #235883=ORIENTED_EDGE('',*,*,#184875,.F.); #235884=ORIENTED_EDGE('',*,*,#184876,.T.); #235885=ORIENTED_EDGE('',*,*,#184877,.F.); #235886=ORIENTED_EDGE('',*,*,#184876,.F.); #235887=ORIENTED_EDGE('',*,*,#184878,.F.); #235888=ORIENTED_EDGE('',*,*,#184879,.T.); #235889=ORIENTED_EDGE('',*,*,#184880,.F.); #235890=ORIENTED_EDGE('',*,*,#184879,.F.); #235891=ORIENTED_EDGE('',*,*,#184881,.F.); #235892=ORIENTED_EDGE('',*,*,#184882,.T.); #235893=ORIENTED_EDGE('',*,*,#184883,.F.); #235894=ORIENTED_EDGE('',*,*,#184882,.F.); #235895=ORIENTED_EDGE('',*,*,#184884,.F.); #235896=ORIENTED_EDGE('',*,*,#184885,.T.); #235897=ORIENTED_EDGE('',*,*,#184886,.F.); #235898=ORIENTED_EDGE('',*,*,#184885,.F.); #235899=ORIENTED_EDGE('',*,*,#184887,.F.); #235900=ORIENTED_EDGE('',*,*,#184888,.T.); #235901=ORIENTED_EDGE('',*,*,#184889,.F.); #235902=ORIENTED_EDGE('',*,*,#184888,.F.); #235903=ORIENTED_EDGE('',*,*,#184890,.F.); #235904=ORIENTED_EDGE('',*,*,#184891,.T.); #235905=ORIENTED_EDGE('',*,*,#184892,.F.); #235906=ORIENTED_EDGE('',*,*,#184891,.F.); #235907=ORIENTED_EDGE('',*,*,#184893,.F.); #235908=ORIENTED_EDGE('',*,*,#184894,.T.); #235909=ORIENTED_EDGE('',*,*,#184895,.F.); #235910=ORIENTED_EDGE('',*,*,#184894,.F.); #235911=ORIENTED_EDGE('',*,*,#184896,.F.); #235912=ORIENTED_EDGE('',*,*,#184897,.T.); #235913=ORIENTED_EDGE('',*,*,#184898,.F.); #235914=ORIENTED_EDGE('',*,*,#184897,.F.); #235915=ORIENTED_EDGE('',*,*,#184899,.F.); #235916=ORIENTED_EDGE('',*,*,#184900,.T.); #235917=ORIENTED_EDGE('',*,*,#184901,.F.); #235918=ORIENTED_EDGE('',*,*,#184900,.F.); #235919=ORIENTED_EDGE('',*,*,#184902,.F.); #235920=ORIENTED_EDGE('',*,*,#184903,.T.); #235921=ORIENTED_EDGE('',*,*,#184904,.F.); #235922=ORIENTED_EDGE('',*,*,#184903,.F.); #235923=ORIENTED_EDGE('',*,*,#184905,.F.); #235924=ORIENTED_EDGE('',*,*,#184906,.T.); #235925=ORIENTED_EDGE('',*,*,#184907,.F.); #235926=ORIENTED_EDGE('',*,*,#184906,.F.); #235927=ORIENTED_EDGE('',*,*,#184908,.F.); #235928=ORIENTED_EDGE('',*,*,#184909,.T.); #235929=ORIENTED_EDGE('',*,*,#184910,.F.); #235930=ORIENTED_EDGE('',*,*,#184909,.F.); #235931=ORIENTED_EDGE('',*,*,#184911,.F.); #235932=ORIENTED_EDGE('',*,*,#184912,.T.); #235933=ORIENTED_EDGE('',*,*,#184913,.F.); #235934=ORIENTED_EDGE('',*,*,#184912,.F.); #235935=ORIENTED_EDGE('',*,*,#184914,.F.); #235936=ORIENTED_EDGE('',*,*,#184915,.T.); #235937=ORIENTED_EDGE('',*,*,#184916,.F.); #235938=ORIENTED_EDGE('',*,*,#184915,.F.); #235939=ORIENTED_EDGE('',*,*,#184917,.F.); #235940=ORIENTED_EDGE('',*,*,#184918,.T.); #235941=ORIENTED_EDGE('',*,*,#184919,.F.); #235942=ORIENTED_EDGE('',*,*,#184918,.F.); #235943=ORIENTED_EDGE('',*,*,#184920,.F.); #235944=ORIENTED_EDGE('',*,*,#184921,.T.); #235945=ORIENTED_EDGE('',*,*,#184922,.F.); #235946=ORIENTED_EDGE('',*,*,#184921,.F.); #235947=ORIENTED_EDGE('',*,*,#184923,.F.); #235948=ORIENTED_EDGE('',*,*,#184924,.F.); #235949=ORIENTED_EDGE('',*,*,#184925,.F.); #235950=ORIENTED_EDGE('',*,*,#184926,.T.); #235951=ORIENTED_EDGE('',*,*,#184927,.F.); #235952=ORIENTED_EDGE('',*,*,#184926,.F.); #235953=ORIENTED_EDGE('',*,*,#184928,.F.); #235954=ORIENTED_EDGE('',*,*,#184929,.T.); #235955=ORIENTED_EDGE('',*,*,#184930,.F.); #235956=ORIENTED_EDGE('',*,*,#184929,.F.); #235957=ORIENTED_EDGE('',*,*,#184931,.F.); #235958=ORIENTED_EDGE('',*,*,#184932,.T.); #235959=ORIENTED_EDGE('',*,*,#184933,.F.); #235960=ORIENTED_EDGE('',*,*,#184932,.F.); #235961=ORIENTED_EDGE('',*,*,#184934,.F.); #235962=ORIENTED_EDGE('',*,*,#184924,.T.); #235963=ORIENTED_EDGE('',*,*,#184935,.F.); #235964=ORIENTED_EDGE('',*,*,#184936,.T.); #235965=ORIENTED_EDGE('',*,*,#184937,.F.); #235966=ORIENTED_EDGE('',*,*,#184936,.F.); #235967=ORIENTED_EDGE('',*,*,#184938,.F.); #235968=ORIENTED_EDGE('',*,*,#184939,.F.); #235969=ORIENTED_EDGE('',*,*,#184940,.F.); #235970=ORIENTED_EDGE('',*,*,#184941,.T.); #235971=ORIENTED_EDGE('',*,*,#184942,.F.); #235972=ORIENTED_EDGE('',*,*,#184941,.F.); #235973=ORIENTED_EDGE('',*,*,#184943,.F.); #235974=ORIENTED_EDGE('',*,*,#184944,.T.); #235975=ORIENTED_EDGE('',*,*,#184945,.F.); #235976=ORIENTED_EDGE('',*,*,#184944,.F.); #235977=ORIENTED_EDGE('',*,*,#184946,.F.); #235978=ORIENTED_EDGE('',*,*,#184947,.T.); #235979=ORIENTED_EDGE('',*,*,#184948,.F.); #235980=ORIENTED_EDGE('',*,*,#184947,.F.); #235981=ORIENTED_EDGE('',*,*,#184949,.F.); #235982=ORIENTED_EDGE('',*,*,#184939,.T.); #235983=ORIENTED_EDGE('',*,*,#184950,.F.); #235984=ORIENTED_EDGE('',*,*,#184951,.T.); #235985=ORIENTED_EDGE('',*,*,#184952,.F.); #235986=ORIENTED_EDGE('',*,*,#184951,.F.); #235987=ORIENTED_EDGE('',*,*,#184953,.F.); #235988=ORIENTED_EDGE('',*,*,#184954,.T.); #235989=ORIENTED_EDGE('',*,*,#184955,.F.); #235990=ORIENTED_EDGE('',*,*,#184954,.F.); #235991=ORIENTED_EDGE('',*,*,#184956,.F.); #235992=ORIENTED_EDGE('',*,*,#184957,.T.); #235993=ORIENTED_EDGE('',*,*,#184958,.F.); #235994=ORIENTED_EDGE('',*,*,#184957,.F.); #235995=ORIENTED_EDGE('',*,*,#184959,.F.); #235996=ORIENTED_EDGE('',*,*,#184960,.F.); #235997=ORIENTED_EDGE('',*,*,#184961,.F.); #235998=ORIENTED_EDGE('',*,*,#184962,.T.); #235999=ORIENTED_EDGE('',*,*,#184963,.F.); #236000=ORIENTED_EDGE('',*,*,#184962,.F.); #236001=ORIENTED_EDGE('',*,*,#184964,.F.); #236002=ORIENTED_EDGE('',*,*,#184965,.T.); #236003=ORIENTED_EDGE('',*,*,#184966,.F.); #236004=ORIENTED_EDGE('',*,*,#184965,.F.); #236005=ORIENTED_EDGE('',*,*,#184967,.F.); #236006=ORIENTED_EDGE('',*,*,#184968,.T.); #236007=ORIENTED_EDGE('',*,*,#184969,.F.); #236008=ORIENTED_EDGE('',*,*,#184968,.F.); #236009=ORIENTED_EDGE('',*,*,#184970,.F.); #236010=ORIENTED_EDGE('',*,*,#184960,.T.); #236011=ORIENTED_EDGE('',*,*,#184971,.F.); #236012=ORIENTED_EDGE('',*,*,#184972,.T.); #236013=ORIENTED_EDGE('',*,*,#184973,.F.); #236014=ORIENTED_EDGE('',*,*,#184972,.F.); #236015=ORIENTED_EDGE('',*,*,#184974,.F.); #236016=ORIENTED_EDGE('',*,*,#184975,.T.); #236017=ORIENTED_EDGE('',*,*,#184976,.F.); #236018=ORIENTED_EDGE('',*,*,#184975,.F.); #236019=ORIENTED_EDGE('',*,*,#184977,.F.); #236020=ORIENTED_EDGE('',*,*,#184978,.T.); #236021=ORIENTED_EDGE('',*,*,#184979,.F.); #236022=ORIENTED_EDGE('',*,*,#184978,.F.); #236023=ORIENTED_EDGE('',*,*,#184980,.F.); #236024=ORIENTED_EDGE('',*,*,#184981,.T.); #236025=ORIENTED_EDGE('',*,*,#184982,.F.); #236026=ORIENTED_EDGE('',*,*,#184981,.F.); #236027=ORIENTED_EDGE('',*,*,#184983,.F.); #236028=ORIENTED_EDGE('',*,*,#184984,.T.); #236029=ORIENTED_EDGE('',*,*,#184985,.F.); #236030=ORIENTED_EDGE('',*,*,#184984,.F.); #236031=ORIENTED_EDGE('',*,*,#184986,.F.); #236032=ORIENTED_EDGE('',*,*,#184987,.T.); #236033=ORIENTED_EDGE('',*,*,#184988,.F.); #236034=ORIENTED_EDGE('',*,*,#184987,.F.); #236035=ORIENTED_EDGE('',*,*,#184989,.F.); #236036=ORIENTED_EDGE('',*,*,#184990,.T.); #236037=ORIENTED_EDGE('',*,*,#184991,.F.); #236038=ORIENTED_EDGE('',*,*,#184990,.F.); #236039=ORIENTED_EDGE('',*,*,#184992,.F.); #236040=ORIENTED_EDGE('',*,*,#184993,.T.); #236041=ORIENTED_EDGE('',*,*,#184994,.F.); #236042=ORIENTED_EDGE('',*,*,#184993,.F.); #236043=ORIENTED_EDGE('',*,*,#184995,.F.); #236044=ORIENTED_EDGE('',*,*,#184996,.T.); #236045=ORIENTED_EDGE('',*,*,#184997,.F.); #236046=ORIENTED_EDGE('',*,*,#184996,.F.); #236047=ORIENTED_EDGE('',*,*,#184998,.F.); #236048=ORIENTED_EDGE('',*,*,#184999,.T.); #236049=ORIENTED_EDGE('',*,*,#185000,.F.); #236050=ORIENTED_EDGE('',*,*,#184999,.F.); #236051=ORIENTED_EDGE('',*,*,#185001,.F.); #236052=ORIENTED_EDGE('',*,*,#185002,.F.); #236053=ORIENTED_EDGE('',*,*,#185003,.F.); #236054=ORIENTED_EDGE('',*,*,#185004,.T.); #236055=ORIENTED_EDGE('',*,*,#185005,.F.); #236056=ORIENTED_EDGE('',*,*,#185004,.F.); #236057=ORIENTED_EDGE('',*,*,#185006,.F.); #236058=ORIENTED_EDGE('',*,*,#185007,.T.); #236059=ORIENTED_EDGE('',*,*,#185008,.F.); #236060=ORIENTED_EDGE('',*,*,#185007,.F.); #236061=ORIENTED_EDGE('',*,*,#185009,.F.); #236062=ORIENTED_EDGE('',*,*,#185010,.T.); #236063=ORIENTED_EDGE('',*,*,#185011,.F.); #236064=ORIENTED_EDGE('',*,*,#185010,.F.); #236065=ORIENTED_EDGE('',*,*,#185012,.F.); #236066=ORIENTED_EDGE('',*,*,#185002,.T.); #236067=ORIENTED_EDGE('',*,*,#185013,.F.); #236068=ORIENTED_EDGE('',*,*,#185014,.T.); #236069=ORIENTED_EDGE('',*,*,#185015,.F.); #236070=ORIENTED_EDGE('',*,*,#185014,.F.); #236071=ORIENTED_EDGE('',*,*,#185016,.F.); #236072=ORIENTED_EDGE('',*,*,#185017,.F.); #236073=ORIENTED_EDGE('',*,*,#185018,.F.); #236074=ORIENTED_EDGE('',*,*,#185019,.T.); #236075=ORIENTED_EDGE('',*,*,#185020,.F.); #236076=ORIENTED_EDGE('',*,*,#185019,.F.); #236077=ORIENTED_EDGE('',*,*,#185021,.F.); #236078=ORIENTED_EDGE('',*,*,#185022,.T.); #236079=ORIENTED_EDGE('',*,*,#185023,.F.); #236080=ORIENTED_EDGE('',*,*,#185022,.F.); #236081=ORIENTED_EDGE('',*,*,#185024,.F.); #236082=ORIENTED_EDGE('',*,*,#185025,.T.); #236083=ORIENTED_EDGE('',*,*,#185026,.F.); #236084=ORIENTED_EDGE('',*,*,#185025,.F.); #236085=ORIENTED_EDGE('',*,*,#185027,.F.); #236086=ORIENTED_EDGE('',*,*,#185017,.T.); #236087=ORIENTED_EDGE('',*,*,#185028,.F.); #236088=ORIENTED_EDGE('',*,*,#185029,.T.); #236089=ORIENTED_EDGE('',*,*,#185030,.F.); #236090=ORIENTED_EDGE('',*,*,#185029,.F.); #236091=ORIENTED_EDGE('',*,*,#185031,.F.); #236092=ORIENTED_EDGE('',*,*,#185032,.F.); #236093=ORIENTED_EDGE('',*,*,#185033,.F.); #236094=ORIENTED_EDGE('',*,*,#185034,.T.); #236095=ORIENTED_EDGE('',*,*,#185035,.F.); #236096=ORIENTED_EDGE('',*,*,#185034,.F.); #236097=ORIENTED_EDGE('',*,*,#185036,.F.); #236098=ORIENTED_EDGE('',*,*,#185037,.T.); #236099=ORIENTED_EDGE('',*,*,#185038,.F.); #236100=ORIENTED_EDGE('',*,*,#185037,.F.); #236101=ORIENTED_EDGE('',*,*,#185039,.F.); #236102=ORIENTED_EDGE('',*,*,#185040,.T.); #236103=ORIENTED_EDGE('',*,*,#185041,.F.); #236104=ORIENTED_EDGE('',*,*,#185040,.F.); #236105=ORIENTED_EDGE('',*,*,#185042,.F.); #236106=ORIENTED_EDGE('',*,*,#185032,.T.); #236107=ORIENTED_EDGE('',*,*,#185043,.F.); #236108=ORIENTED_EDGE('',*,*,#185044,.T.); #236109=ORIENTED_EDGE('',*,*,#185045,.F.); #236110=ORIENTED_EDGE('',*,*,#185044,.F.); #236111=ORIENTED_EDGE('',*,*,#185046,.F.); #236112=ORIENTED_EDGE('',*,*,#185047,.T.); #236113=ORIENTED_EDGE('',*,*,#185048,.F.); #236114=ORIENTED_EDGE('',*,*,#185047,.F.); #236115=ORIENTED_EDGE('',*,*,#185049,.F.); #236116=ORIENTED_EDGE('',*,*,#185050,.T.); #236117=ORIENTED_EDGE('',*,*,#185051,.F.); #236118=ORIENTED_EDGE('',*,*,#185050,.F.); #236119=ORIENTED_EDGE('',*,*,#185052,.F.); #236120=ORIENTED_EDGE('',*,*,#185053,.T.); #236121=ORIENTED_EDGE('',*,*,#185054,.F.); #236122=ORIENTED_EDGE('',*,*,#185053,.F.); #236123=ORIENTED_EDGE('',*,*,#185055,.F.); #236124=ORIENTED_EDGE('',*,*,#185056,.T.); #236125=ORIENTED_EDGE('',*,*,#185057,.F.); #236126=ORIENTED_EDGE('',*,*,#185056,.F.); #236127=ORIENTED_EDGE('',*,*,#185058,.F.); #236128=ORIENTED_EDGE('',*,*,#185059,.T.); #236129=ORIENTED_EDGE('',*,*,#185060,.F.); #236130=ORIENTED_EDGE('',*,*,#185059,.F.); #236131=ORIENTED_EDGE('',*,*,#185061,.F.); #236132=ORIENTED_EDGE('',*,*,#185062,.T.); #236133=ORIENTED_EDGE('',*,*,#185063,.F.); #236134=ORIENTED_EDGE('',*,*,#185062,.F.); #236135=ORIENTED_EDGE('',*,*,#185064,.F.); #236136=ORIENTED_EDGE('',*,*,#185065,.T.); #236137=ORIENTED_EDGE('',*,*,#185066,.F.); #236138=ORIENTED_EDGE('',*,*,#185065,.F.); #236139=ORIENTED_EDGE('',*,*,#185067,.F.); #236140=ORIENTED_EDGE('',*,*,#185068,.T.); #236141=ORIENTED_EDGE('',*,*,#185069,.F.); #236142=ORIENTED_EDGE('',*,*,#185068,.F.); #236143=ORIENTED_EDGE('',*,*,#185070,.F.); #236144=ORIENTED_EDGE('',*,*,#185071,.T.); #236145=ORIENTED_EDGE('',*,*,#185072,.F.); #236146=ORIENTED_EDGE('',*,*,#185071,.F.); #236147=ORIENTED_EDGE('',*,*,#185073,.F.); #236148=ORIENTED_EDGE('',*,*,#185074,.T.); #236149=ORIENTED_EDGE('',*,*,#185075,.F.); #236150=ORIENTED_EDGE('',*,*,#185074,.F.); #236151=ORIENTED_EDGE('',*,*,#185076,.F.); #236152=ORIENTED_EDGE('',*,*,#185077,.T.); #236153=ORIENTED_EDGE('',*,*,#185078,.F.); #236154=ORIENTED_EDGE('',*,*,#185077,.F.); #236155=ORIENTED_EDGE('',*,*,#185079,.F.); #236156=ORIENTED_EDGE('',*,*,#185080,.T.); #236157=ORIENTED_EDGE('',*,*,#185081,.F.); #236158=ORIENTED_EDGE('',*,*,#185080,.F.); #236159=ORIENTED_EDGE('',*,*,#185082,.F.); #236160=ORIENTED_EDGE('',*,*,#185083,.T.); #236161=ORIENTED_EDGE('',*,*,#185084,.F.); #236162=ORIENTED_EDGE('',*,*,#185083,.F.); #236163=ORIENTED_EDGE('',*,*,#185085,.F.); #236164=ORIENTED_EDGE('',*,*,#185086,.T.); #236165=ORIENTED_EDGE('',*,*,#185087,.F.); #236166=ORIENTED_EDGE('',*,*,#185086,.F.); #236167=ORIENTED_EDGE('',*,*,#185088,.F.); #236168=ORIENTED_EDGE('',*,*,#185089,.T.); #236169=ORIENTED_EDGE('',*,*,#185090,.F.); #236170=ORIENTED_EDGE('',*,*,#185089,.F.); #236171=ORIENTED_EDGE('',*,*,#185091,.F.); #236172=ORIENTED_EDGE('',*,*,#185092,.T.); #236173=ORIENTED_EDGE('',*,*,#185093,.F.); #236174=ORIENTED_EDGE('',*,*,#185092,.F.); #236175=ORIENTED_EDGE('',*,*,#185094,.F.); #236176=ORIENTED_EDGE('',*,*,#185095,.T.); #236177=ORIENTED_EDGE('',*,*,#185096,.F.); #236178=ORIENTED_EDGE('',*,*,#185095,.F.); #236179=ORIENTED_EDGE('',*,*,#185097,.F.); #236180=ORIENTED_EDGE('',*,*,#185098,.T.); #236181=ORIENTED_EDGE('',*,*,#185099,.F.); #236182=ORIENTED_EDGE('',*,*,#185098,.F.); #236183=ORIENTED_EDGE('',*,*,#185100,.F.); #236184=ORIENTED_EDGE('',*,*,#185101,.T.); #236185=ORIENTED_EDGE('',*,*,#185102,.F.); #236186=ORIENTED_EDGE('',*,*,#185101,.F.); #236187=ORIENTED_EDGE('',*,*,#185103,.F.); #236188=ORIENTED_EDGE('',*,*,#185104,.T.); #236189=ORIENTED_EDGE('',*,*,#185105,.F.); #236190=ORIENTED_EDGE('',*,*,#185104,.F.); #236191=ORIENTED_EDGE('',*,*,#185106,.F.); #236192=ORIENTED_EDGE('',*,*,#185107,.T.); #236193=ORIENTED_EDGE('',*,*,#185108,.F.); #236194=ORIENTED_EDGE('',*,*,#185107,.F.); #236195=ORIENTED_EDGE('',*,*,#185109,.F.); #236196=ORIENTED_EDGE('',*,*,#185110,.T.); #236197=ORIENTED_EDGE('',*,*,#185111,.F.); #236198=ORIENTED_EDGE('',*,*,#185110,.F.); #236199=ORIENTED_EDGE('',*,*,#185112,.F.); #236200=ORIENTED_EDGE('',*,*,#185113,.T.); #236201=ORIENTED_EDGE('',*,*,#185114,.F.); #236202=ORIENTED_EDGE('',*,*,#185113,.F.); #236203=ORIENTED_EDGE('',*,*,#185115,.F.); #236204=ORIENTED_EDGE('',*,*,#185116,.T.); #236205=ORIENTED_EDGE('',*,*,#185117,.F.); #236206=ORIENTED_EDGE('',*,*,#185116,.F.); #236207=ORIENTED_EDGE('',*,*,#185118,.F.); #236208=ORIENTED_EDGE('',*,*,#185119,.T.); #236209=ORIENTED_EDGE('',*,*,#185120,.F.); #236210=ORIENTED_EDGE('',*,*,#185119,.F.); #236211=ORIENTED_EDGE('',*,*,#185121,.F.); #236212=ORIENTED_EDGE('',*,*,#185122,.T.); #236213=ORIENTED_EDGE('',*,*,#185123,.F.); #236214=ORIENTED_EDGE('',*,*,#185122,.F.); #236215=ORIENTED_EDGE('',*,*,#185124,.F.); #236216=ORIENTED_EDGE('',*,*,#185125,.T.); #236217=ORIENTED_EDGE('',*,*,#185126,.F.); #236218=ORIENTED_EDGE('',*,*,#185125,.F.); #236219=ORIENTED_EDGE('',*,*,#185127,.F.); #236220=ORIENTED_EDGE('',*,*,#185128,.T.); #236221=ORIENTED_EDGE('',*,*,#185129,.F.); #236222=ORIENTED_EDGE('',*,*,#185128,.F.); #236223=ORIENTED_EDGE('',*,*,#185130,.F.); #236224=ORIENTED_EDGE('',*,*,#185131,.T.); #236225=ORIENTED_EDGE('',*,*,#185132,.F.); #236226=ORIENTED_EDGE('',*,*,#185131,.F.); #236227=ORIENTED_EDGE('',*,*,#185133,.F.); #236228=ORIENTED_EDGE('',*,*,#185134,.T.); #236229=ORIENTED_EDGE('',*,*,#185135,.F.); #236230=ORIENTED_EDGE('',*,*,#185134,.F.); #236231=ORIENTED_EDGE('',*,*,#185136,.F.); #236232=ORIENTED_EDGE('',*,*,#185137,.T.); #236233=ORIENTED_EDGE('',*,*,#185138,.F.); #236234=ORIENTED_EDGE('',*,*,#185137,.F.); #236235=ORIENTED_EDGE('',*,*,#185139,.F.); #236236=ORIENTED_EDGE('',*,*,#185140,.T.); #236237=ORIENTED_EDGE('',*,*,#185141,.F.); #236238=ORIENTED_EDGE('',*,*,#185140,.F.); #236239=ORIENTED_EDGE('',*,*,#185142,.F.); #236240=ORIENTED_EDGE('',*,*,#185143,.T.); #236241=ORIENTED_EDGE('',*,*,#185144,.F.); #236242=ORIENTED_EDGE('',*,*,#185143,.F.); #236243=ORIENTED_EDGE('',*,*,#185145,.F.); #236244=ORIENTED_EDGE('',*,*,#185146,.T.); #236245=ORIENTED_EDGE('',*,*,#185147,.F.); #236246=ORIENTED_EDGE('',*,*,#185146,.F.); #236247=ORIENTED_EDGE('',*,*,#185148,.F.); #236248=ORIENTED_EDGE('',*,*,#185149,.T.); #236249=ORIENTED_EDGE('',*,*,#185150,.F.); #236250=ORIENTED_EDGE('',*,*,#185149,.F.); #236251=ORIENTED_EDGE('',*,*,#185151,.F.); #236252=ORIENTED_EDGE('',*,*,#185152,.T.); #236253=ORIENTED_EDGE('',*,*,#185153,.F.); #236254=ORIENTED_EDGE('',*,*,#185152,.F.); #236255=ORIENTED_EDGE('',*,*,#185154,.F.); #236256=ORIENTED_EDGE('',*,*,#185155,.T.); #236257=ORIENTED_EDGE('',*,*,#185156,.F.); #236258=ORIENTED_EDGE('',*,*,#185155,.F.); #236259=ORIENTED_EDGE('',*,*,#185157,.F.); #236260=ORIENTED_EDGE('',*,*,#185158,.T.); #236261=ORIENTED_EDGE('',*,*,#185159,.F.); #236262=ORIENTED_EDGE('',*,*,#185158,.F.); #236263=ORIENTED_EDGE('',*,*,#185160,.F.); #236264=ORIENTED_EDGE('',*,*,#185161,.T.); #236265=ORIENTED_EDGE('',*,*,#185162,.F.); #236266=ORIENTED_EDGE('',*,*,#185161,.F.); #236267=ORIENTED_EDGE('',*,*,#185163,.F.); #236268=ORIENTED_EDGE('',*,*,#185164,.T.); #236269=ORIENTED_EDGE('',*,*,#185165,.F.); #236270=ORIENTED_EDGE('',*,*,#185164,.F.); #236271=ORIENTED_EDGE('',*,*,#185166,.F.); #236272=ORIENTED_EDGE('',*,*,#185167,.T.); #236273=ORIENTED_EDGE('',*,*,#185168,.F.); #236274=ORIENTED_EDGE('',*,*,#185167,.F.); #236275=ORIENTED_EDGE('',*,*,#185169,.F.); #236276=ORIENTED_EDGE('',*,*,#185170,.T.); #236277=ORIENTED_EDGE('',*,*,#185171,.F.); #236278=ORIENTED_EDGE('',*,*,#185170,.F.); #236279=ORIENTED_EDGE('',*,*,#185172,.F.); #236280=ORIENTED_EDGE('',*,*,#185173,.T.); #236281=ORIENTED_EDGE('',*,*,#185174,.F.); #236282=ORIENTED_EDGE('',*,*,#185173,.F.); #236283=ORIENTED_EDGE('',*,*,#185175,.F.); #236284=ORIENTED_EDGE('',*,*,#185176,.T.); #236285=ORIENTED_EDGE('',*,*,#185177,.F.); #236286=ORIENTED_EDGE('',*,*,#185176,.F.); #236287=ORIENTED_EDGE('',*,*,#185178,.F.); #236288=ORIENTED_EDGE('',*,*,#185179,.T.); #236289=ORIENTED_EDGE('',*,*,#185180,.F.); #236290=ORIENTED_EDGE('',*,*,#185179,.F.); #236291=ORIENTED_EDGE('',*,*,#185181,.F.); #236292=ORIENTED_EDGE('',*,*,#185182,.T.); #236293=ORIENTED_EDGE('',*,*,#185183,.F.); #236294=ORIENTED_EDGE('',*,*,#185182,.F.); #236295=ORIENTED_EDGE('',*,*,#185184,.F.); #236296=ORIENTED_EDGE('',*,*,#185185,.T.); #236297=ORIENTED_EDGE('',*,*,#185186,.F.); #236298=ORIENTED_EDGE('',*,*,#185185,.F.); #236299=ORIENTED_EDGE('',*,*,#185187,.F.); #236300=ORIENTED_EDGE('',*,*,#185188,.T.); #236301=ORIENTED_EDGE('',*,*,#185189,.F.); #236302=ORIENTED_EDGE('',*,*,#185188,.F.); #236303=ORIENTED_EDGE('',*,*,#185190,.F.); #236304=ORIENTED_EDGE('',*,*,#185191,.T.); #236305=ORIENTED_EDGE('',*,*,#185192,.F.); #236306=ORIENTED_EDGE('',*,*,#185191,.F.); #236307=ORIENTED_EDGE('',*,*,#185193,.F.); #236308=ORIENTED_EDGE('',*,*,#185194,.T.); #236309=ORIENTED_EDGE('',*,*,#185195,.F.); #236310=ORIENTED_EDGE('',*,*,#185194,.F.); #236311=ORIENTED_EDGE('',*,*,#185196,.F.); #236312=ORIENTED_EDGE('',*,*,#185197,.T.); #236313=ORIENTED_EDGE('',*,*,#185198,.F.); #236314=ORIENTED_EDGE('',*,*,#185197,.F.); #236315=ORIENTED_EDGE('',*,*,#185199,.F.); #236316=ORIENTED_EDGE('',*,*,#185200,.T.); #236317=ORIENTED_EDGE('',*,*,#185201,.F.); #236318=ORIENTED_EDGE('',*,*,#185200,.F.); #236319=ORIENTED_EDGE('',*,*,#185202,.F.); #236320=ORIENTED_EDGE('',*,*,#185203,.T.); #236321=ORIENTED_EDGE('',*,*,#185204,.F.); #236322=ORIENTED_EDGE('',*,*,#185203,.F.); #236323=ORIENTED_EDGE('',*,*,#185205,.F.); #236324=ORIENTED_EDGE('',*,*,#185206,.T.); #236325=ORIENTED_EDGE('',*,*,#185207,.F.); #236326=ORIENTED_EDGE('',*,*,#185206,.F.); #236327=ORIENTED_EDGE('',*,*,#185208,.F.); #236328=ORIENTED_EDGE('',*,*,#185209,.T.); #236329=ORIENTED_EDGE('',*,*,#185210,.F.); #236330=ORIENTED_EDGE('',*,*,#185209,.F.); #236331=ORIENTED_EDGE('',*,*,#185211,.F.); #236332=ORIENTED_EDGE('',*,*,#185212,.T.); #236333=ORIENTED_EDGE('',*,*,#185213,.F.); #236334=ORIENTED_EDGE('',*,*,#185212,.F.); #236335=ORIENTED_EDGE('',*,*,#185214,.F.); #236336=ORIENTED_EDGE('',*,*,#185215,.T.); #236337=ORIENTED_EDGE('',*,*,#185216,.F.); #236338=ORIENTED_EDGE('',*,*,#185215,.F.); #236339=ORIENTED_EDGE('',*,*,#185217,.F.); #236340=ORIENTED_EDGE('',*,*,#185218,.T.); #236341=ORIENTED_EDGE('',*,*,#185219,.F.); #236342=ORIENTED_EDGE('',*,*,#185218,.F.); #236343=ORIENTED_EDGE('',*,*,#185220,.F.); #236344=ORIENTED_EDGE('',*,*,#185221,.T.); #236345=ORIENTED_EDGE('',*,*,#185222,.F.); #236346=ORIENTED_EDGE('',*,*,#185221,.F.); #236347=ORIENTED_EDGE('',*,*,#185223,.F.); #236348=ORIENTED_EDGE('',*,*,#185224,.T.); #236349=ORIENTED_EDGE('',*,*,#185225,.F.); #236350=ORIENTED_EDGE('',*,*,#185224,.F.); #236351=ORIENTED_EDGE('',*,*,#185226,.F.); #236352=ORIENTED_EDGE('',*,*,#185227,.T.); #236353=ORIENTED_EDGE('',*,*,#185228,.F.); #236354=ORIENTED_EDGE('',*,*,#185227,.F.); #236355=ORIENTED_EDGE('',*,*,#185229,.F.); #236356=ORIENTED_EDGE('',*,*,#185230,.T.); #236357=ORIENTED_EDGE('',*,*,#185231,.F.); #236358=ORIENTED_EDGE('',*,*,#185230,.F.); #236359=ORIENTED_EDGE('',*,*,#185232,.F.); #236360=ORIENTED_EDGE('',*,*,#185233,.T.); #236361=ORIENTED_EDGE('',*,*,#185234,.F.); #236362=ORIENTED_EDGE('',*,*,#185233,.F.); #236363=ORIENTED_EDGE('',*,*,#185235,.F.); #236364=ORIENTED_EDGE('',*,*,#185236,.T.); #236365=ORIENTED_EDGE('',*,*,#185237,.F.); #236366=ORIENTED_EDGE('',*,*,#185236,.F.); #236367=ORIENTED_EDGE('',*,*,#185238,.F.); #236368=ORIENTED_EDGE('',*,*,#185239,.T.); #236369=ORIENTED_EDGE('',*,*,#185240,.F.); #236370=ORIENTED_EDGE('',*,*,#185239,.F.); #236371=ORIENTED_EDGE('',*,*,#185241,.F.); #236372=ORIENTED_EDGE('',*,*,#185242,.T.); #236373=ORIENTED_EDGE('',*,*,#185243,.F.); #236374=ORIENTED_EDGE('',*,*,#185242,.F.); #236375=ORIENTED_EDGE('',*,*,#185244,.F.); #236376=ORIENTED_EDGE('',*,*,#185245,.T.); #236377=ORIENTED_EDGE('',*,*,#185246,.F.); #236378=ORIENTED_EDGE('',*,*,#185245,.F.); #236379=ORIENTED_EDGE('',*,*,#185247,.F.); #236380=ORIENTED_EDGE('',*,*,#185248,.T.); #236381=ORIENTED_EDGE('',*,*,#185249,.F.); #236382=ORIENTED_EDGE('',*,*,#185248,.F.); #236383=ORIENTED_EDGE('',*,*,#185250,.F.); #236384=ORIENTED_EDGE('',*,*,#185251,.T.); #236385=ORIENTED_EDGE('',*,*,#185252,.F.); #236386=ORIENTED_EDGE('',*,*,#185251,.F.); #236387=ORIENTED_EDGE('',*,*,#185253,.F.); #236388=ORIENTED_EDGE('',*,*,#185254,.T.); #236389=ORIENTED_EDGE('',*,*,#185255,.F.); #236390=ORIENTED_EDGE('',*,*,#185254,.F.); #236391=ORIENTED_EDGE('',*,*,#185256,.F.); #236392=ORIENTED_EDGE('',*,*,#185257,.T.); #236393=ORIENTED_EDGE('',*,*,#185258,.F.); #236394=ORIENTED_EDGE('',*,*,#185257,.F.); #236395=ORIENTED_EDGE('',*,*,#185259,.F.); #236396=ORIENTED_EDGE('',*,*,#185260,.T.); #236397=ORIENTED_EDGE('',*,*,#185261,.F.); #236398=ORIENTED_EDGE('',*,*,#185260,.F.); #236399=ORIENTED_EDGE('',*,*,#185262,.F.); #236400=ORIENTED_EDGE('',*,*,#185263,.T.); #236401=ORIENTED_EDGE('',*,*,#185264,.F.); #236402=ORIENTED_EDGE('',*,*,#185263,.F.); #236403=ORIENTED_EDGE('',*,*,#185265,.F.); #236404=ORIENTED_EDGE('',*,*,#185266,.T.); #236405=ORIENTED_EDGE('',*,*,#185267,.F.); #236406=ORIENTED_EDGE('',*,*,#185266,.F.); #236407=ORIENTED_EDGE('',*,*,#185268,.F.); #236408=ORIENTED_EDGE('',*,*,#185269,.T.); #236409=ORIENTED_EDGE('',*,*,#185270,.F.); #236410=ORIENTED_EDGE('',*,*,#185269,.F.); #236411=ORIENTED_EDGE('',*,*,#185271,.F.); #236412=ORIENTED_EDGE('',*,*,#185272,.T.); #236413=ORIENTED_EDGE('',*,*,#185273,.F.); #236414=ORIENTED_EDGE('',*,*,#185272,.F.); #236415=ORIENTED_EDGE('',*,*,#185274,.F.); #236416=ORIENTED_EDGE('',*,*,#185275,.T.); #236417=ORIENTED_EDGE('',*,*,#185276,.F.); #236418=ORIENTED_EDGE('',*,*,#185275,.F.); #236419=ORIENTED_EDGE('',*,*,#185277,.F.); #236420=ORIENTED_EDGE('',*,*,#185278,.T.); #236421=ORIENTED_EDGE('',*,*,#185279,.F.); #236422=ORIENTED_EDGE('',*,*,#185278,.F.); #236423=ORIENTED_EDGE('',*,*,#185280,.F.); #236424=ORIENTED_EDGE('',*,*,#185281,.T.); #236425=ORIENTED_EDGE('',*,*,#185282,.F.); #236426=ORIENTED_EDGE('',*,*,#185281,.F.); #236427=ORIENTED_EDGE('',*,*,#185283,.F.); #236428=ORIENTED_EDGE('',*,*,#185284,.T.); #236429=ORIENTED_EDGE('',*,*,#185285,.F.); #236430=ORIENTED_EDGE('',*,*,#185284,.F.); #236431=ORIENTED_EDGE('',*,*,#185286,.F.); #236432=ORIENTED_EDGE('',*,*,#185287,.T.); #236433=ORIENTED_EDGE('',*,*,#185288,.F.); #236434=ORIENTED_EDGE('',*,*,#185287,.F.); #236435=ORIENTED_EDGE('',*,*,#185289,.F.); #236436=ORIENTED_EDGE('',*,*,#185290,.T.); #236437=ORIENTED_EDGE('',*,*,#185291,.F.); #236438=ORIENTED_EDGE('',*,*,#185290,.F.); #236439=ORIENTED_EDGE('',*,*,#185292,.F.); #236440=ORIENTED_EDGE('',*,*,#185293,.T.); #236441=ORIENTED_EDGE('',*,*,#185294,.F.); #236442=ORIENTED_EDGE('',*,*,#185293,.F.); #236443=ORIENTED_EDGE('',*,*,#185295,.F.); #236444=ORIENTED_EDGE('',*,*,#185296,.T.); #236445=ORIENTED_EDGE('',*,*,#185297,.F.); #236446=ORIENTED_EDGE('',*,*,#185296,.F.); #236447=ORIENTED_EDGE('',*,*,#185298,.F.); #236448=ORIENTED_EDGE('',*,*,#185299,.T.); #236449=ORIENTED_EDGE('',*,*,#185300,.F.); #236450=ORIENTED_EDGE('',*,*,#185299,.F.); #236451=ORIENTED_EDGE('',*,*,#185301,.F.); #236452=ORIENTED_EDGE('',*,*,#185302,.T.); #236453=ORIENTED_EDGE('',*,*,#185303,.F.); #236454=ORIENTED_EDGE('',*,*,#185302,.F.); #236455=ORIENTED_EDGE('',*,*,#185304,.F.); #236456=ORIENTED_EDGE('',*,*,#185305,.T.); #236457=ORIENTED_EDGE('',*,*,#185306,.F.); #236458=ORIENTED_EDGE('',*,*,#185305,.F.); #236459=ORIENTED_EDGE('',*,*,#185307,.F.); #236460=ORIENTED_EDGE('',*,*,#185308,.T.); #236461=ORIENTED_EDGE('',*,*,#185309,.F.); #236462=ORIENTED_EDGE('',*,*,#185308,.F.); #236463=ORIENTED_EDGE('',*,*,#185310,.F.); #236464=ORIENTED_EDGE('',*,*,#185311,.T.); #236465=ORIENTED_EDGE('',*,*,#185312,.F.); #236466=ORIENTED_EDGE('',*,*,#185311,.F.); #236467=ORIENTED_EDGE('',*,*,#185313,.F.); #236468=ORIENTED_EDGE('',*,*,#185314,.T.); #236469=ORIENTED_EDGE('',*,*,#185315,.F.); #236470=ORIENTED_EDGE('',*,*,#185314,.F.); #236471=ORIENTED_EDGE('',*,*,#185316,.F.); #236472=ORIENTED_EDGE('',*,*,#185317,.T.); #236473=ORIENTED_EDGE('',*,*,#185318,.F.); #236474=ORIENTED_EDGE('',*,*,#185317,.F.); #236475=ORIENTED_EDGE('',*,*,#185319,.F.); #236476=ORIENTED_EDGE('',*,*,#185320,.T.); #236477=ORIENTED_EDGE('',*,*,#185321,.F.); #236478=ORIENTED_EDGE('',*,*,#185320,.F.); #236479=ORIENTED_EDGE('',*,*,#185322,.F.); #236480=ORIENTED_EDGE('',*,*,#185323,.T.); #236481=ORIENTED_EDGE('',*,*,#185324,.F.); #236482=ORIENTED_EDGE('',*,*,#185323,.F.); #236483=ORIENTED_EDGE('',*,*,#185325,.F.); #236484=ORIENTED_EDGE('',*,*,#185326,.T.); #236485=ORIENTED_EDGE('',*,*,#185327,.F.); #236486=ORIENTED_EDGE('',*,*,#185326,.F.); #236487=ORIENTED_EDGE('',*,*,#185328,.F.); #236488=ORIENTED_EDGE('',*,*,#185329,.T.); #236489=ORIENTED_EDGE('',*,*,#185330,.F.); #236490=ORIENTED_EDGE('',*,*,#185329,.F.); #236491=ORIENTED_EDGE('',*,*,#185331,.F.); #236492=ORIENTED_EDGE('',*,*,#185332,.T.); #236493=ORIENTED_EDGE('',*,*,#185333,.F.); #236494=ORIENTED_EDGE('',*,*,#185332,.F.); #236495=ORIENTED_EDGE('',*,*,#185334,.F.); #236496=ORIENTED_EDGE('',*,*,#185335,.T.); #236497=ORIENTED_EDGE('',*,*,#185336,.F.); #236498=ORIENTED_EDGE('',*,*,#185335,.F.); #236499=ORIENTED_EDGE('',*,*,#185337,.F.); #236500=ORIENTED_EDGE('',*,*,#185338,.T.); #236501=ORIENTED_EDGE('',*,*,#185339,.F.); #236502=ORIENTED_EDGE('',*,*,#185338,.F.); #236503=ORIENTED_EDGE('',*,*,#185340,.F.); #236504=ORIENTED_EDGE('',*,*,#185341,.T.); #236505=ORIENTED_EDGE('',*,*,#185342,.F.); #236506=ORIENTED_EDGE('',*,*,#185341,.F.); #236507=ORIENTED_EDGE('',*,*,#185343,.F.); #236508=ORIENTED_EDGE('',*,*,#185344,.T.); #236509=ORIENTED_EDGE('',*,*,#185345,.F.); #236510=ORIENTED_EDGE('',*,*,#185344,.F.); #236511=ORIENTED_EDGE('',*,*,#185346,.F.); #236512=ORIENTED_EDGE('',*,*,#185347,.T.); #236513=ORIENTED_EDGE('',*,*,#185348,.F.); #236514=ORIENTED_EDGE('',*,*,#185347,.F.); #236515=ORIENTED_EDGE('',*,*,#185349,.F.); #236516=ORIENTED_EDGE('',*,*,#185350,.T.); #236517=ORIENTED_EDGE('',*,*,#185351,.F.); #236518=ORIENTED_EDGE('',*,*,#185350,.F.); #236519=ORIENTED_EDGE('',*,*,#185352,.F.); #236520=ORIENTED_EDGE('',*,*,#185353,.T.); #236521=ORIENTED_EDGE('',*,*,#185354,.F.); #236522=ORIENTED_EDGE('',*,*,#185353,.F.); #236523=ORIENTED_EDGE('',*,*,#185355,.F.); #236524=ORIENTED_EDGE('',*,*,#185356,.T.); #236525=ORIENTED_EDGE('',*,*,#185357,.F.); #236526=ORIENTED_EDGE('',*,*,#185356,.F.); #236527=ORIENTED_EDGE('',*,*,#185358,.F.); #236528=ORIENTED_EDGE('',*,*,#185359,.T.); #236529=ORIENTED_EDGE('',*,*,#185360,.F.); #236530=ORIENTED_EDGE('',*,*,#185359,.F.); #236531=ORIENTED_EDGE('',*,*,#185361,.F.); #236532=ORIENTED_EDGE('',*,*,#185362,.T.); #236533=ORIENTED_EDGE('',*,*,#185363,.F.); #236534=ORIENTED_EDGE('',*,*,#185362,.F.); #236535=ORIENTED_EDGE('',*,*,#185364,.F.); #236536=ORIENTED_EDGE('',*,*,#185365,.T.); #236537=ORIENTED_EDGE('',*,*,#185366,.F.); #236538=ORIENTED_EDGE('',*,*,#185365,.F.); #236539=ORIENTED_EDGE('',*,*,#185367,.F.); #236540=ORIENTED_EDGE('',*,*,#185368,.T.); #236541=ORIENTED_EDGE('',*,*,#185369,.F.); #236542=ORIENTED_EDGE('',*,*,#185368,.F.); #236543=ORIENTED_EDGE('',*,*,#185370,.F.); #236544=ORIENTED_EDGE('',*,*,#185371,.T.); #236545=ORIENTED_EDGE('',*,*,#185372,.F.); #236546=ORIENTED_EDGE('',*,*,#185371,.F.); #236547=ORIENTED_EDGE('',*,*,#185373,.F.); #236548=ORIENTED_EDGE('',*,*,#185374,.T.); #236549=ORIENTED_EDGE('',*,*,#185375,.F.); #236550=ORIENTED_EDGE('',*,*,#185374,.F.); #236551=ORIENTED_EDGE('',*,*,#185376,.F.); #236552=ORIENTED_EDGE('',*,*,#185377,.T.); #236553=ORIENTED_EDGE('',*,*,#185378,.F.); #236554=ORIENTED_EDGE('',*,*,#185377,.F.); #236555=ORIENTED_EDGE('',*,*,#185379,.F.); #236556=ORIENTED_EDGE('',*,*,#185380,.T.); #236557=ORIENTED_EDGE('',*,*,#185381,.F.); #236558=ORIENTED_EDGE('',*,*,#185380,.F.); #236559=ORIENTED_EDGE('',*,*,#185382,.F.); #236560=ORIENTED_EDGE('',*,*,#185383,.T.); #236561=ORIENTED_EDGE('',*,*,#185384,.F.); #236562=ORIENTED_EDGE('',*,*,#185383,.F.); #236563=ORIENTED_EDGE('',*,*,#185385,.F.); #236564=ORIENTED_EDGE('',*,*,#185386,.T.); #236565=ORIENTED_EDGE('',*,*,#185387,.F.); #236566=ORIENTED_EDGE('',*,*,#185386,.F.); #236567=ORIENTED_EDGE('',*,*,#185388,.F.); #236568=ORIENTED_EDGE('',*,*,#185389,.T.); #236569=ORIENTED_EDGE('',*,*,#185390,.F.); #236570=ORIENTED_EDGE('',*,*,#185389,.F.); #236571=ORIENTED_EDGE('',*,*,#185391,.F.); #236572=ORIENTED_EDGE('',*,*,#185392,.T.); #236573=ORIENTED_EDGE('',*,*,#185393,.F.); #236574=ORIENTED_EDGE('',*,*,#185392,.F.); #236575=ORIENTED_EDGE('',*,*,#185394,.F.); #236576=ORIENTED_EDGE('',*,*,#185395,.T.); #236577=ORIENTED_EDGE('',*,*,#185396,.F.); #236578=ORIENTED_EDGE('',*,*,#185395,.F.); #236579=ORIENTED_EDGE('',*,*,#185397,.F.); #236580=ORIENTED_EDGE('',*,*,#185398,.T.); #236581=ORIENTED_EDGE('',*,*,#185399,.F.); #236582=ORIENTED_EDGE('',*,*,#185398,.F.); #236583=ORIENTED_EDGE('',*,*,#185400,.F.); #236584=ORIENTED_EDGE('',*,*,#185401,.T.); #236585=ORIENTED_EDGE('',*,*,#185402,.F.); #236586=ORIENTED_EDGE('',*,*,#185401,.F.); #236587=ORIENTED_EDGE('',*,*,#185403,.F.); #236588=ORIENTED_EDGE('',*,*,#185404,.T.); #236589=ORIENTED_EDGE('',*,*,#185405,.F.); #236590=ORIENTED_EDGE('',*,*,#185404,.F.); #236591=ORIENTED_EDGE('',*,*,#185406,.F.); #236592=ORIENTED_EDGE('',*,*,#185407,.T.); #236593=ORIENTED_EDGE('',*,*,#185408,.F.); #236594=ORIENTED_EDGE('',*,*,#185407,.F.); #236595=ORIENTED_EDGE('',*,*,#185409,.F.); #236596=ORIENTED_EDGE('',*,*,#185410,.T.); #236597=ORIENTED_EDGE('',*,*,#185411,.F.); #236598=ORIENTED_EDGE('',*,*,#185410,.F.); #236599=ORIENTED_EDGE('',*,*,#185412,.F.); #236600=ORIENTED_EDGE('',*,*,#185413,.T.); #236601=ORIENTED_EDGE('',*,*,#185414,.F.); #236602=ORIENTED_EDGE('',*,*,#185413,.F.); #236603=ORIENTED_EDGE('',*,*,#185415,.F.); #236604=ORIENTED_EDGE('',*,*,#185416,.T.); #236605=ORIENTED_EDGE('',*,*,#185417,.F.); #236606=ORIENTED_EDGE('',*,*,#185416,.F.); #236607=ORIENTED_EDGE('',*,*,#185418,.F.); #236608=ORIENTED_EDGE('',*,*,#185419,.T.); #236609=ORIENTED_EDGE('',*,*,#185420,.F.); #236610=ORIENTED_EDGE('',*,*,#185419,.F.); #236611=ORIENTED_EDGE('',*,*,#185421,.F.); #236612=ORIENTED_EDGE('',*,*,#185422,.T.); #236613=ORIENTED_EDGE('',*,*,#185423,.F.); #236614=ORIENTED_EDGE('',*,*,#185422,.F.); #236615=ORIENTED_EDGE('',*,*,#185424,.F.); #236616=ORIENTED_EDGE('',*,*,#185425,.T.); #236617=ORIENTED_EDGE('',*,*,#185426,.F.); #236618=ORIENTED_EDGE('',*,*,#185425,.F.); #236619=ORIENTED_EDGE('',*,*,#185427,.F.); #236620=ORIENTED_EDGE('',*,*,#185428,.T.); #236621=ORIENTED_EDGE('',*,*,#185429,.F.); #236622=ORIENTED_EDGE('',*,*,#185428,.F.); #236623=ORIENTED_EDGE('',*,*,#185430,.F.); #236624=ORIENTED_EDGE('',*,*,#185431,.T.); #236625=ORIENTED_EDGE('',*,*,#185432,.F.); #236626=ORIENTED_EDGE('',*,*,#185431,.F.); #236627=ORIENTED_EDGE('',*,*,#185433,.F.); #236628=ORIENTED_EDGE('',*,*,#185434,.T.); #236629=ORIENTED_EDGE('',*,*,#185435,.F.); #236630=ORIENTED_EDGE('',*,*,#185434,.F.); #236631=ORIENTED_EDGE('',*,*,#185436,.F.); #236632=ORIENTED_EDGE('',*,*,#185437,.T.); #236633=ORIENTED_EDGE('',*,*,#185438,.F.); #236634=ORIENTED_EDGE('',*,*,#185437,.F.); #236635=ORIENTED_EDGE('',*,*,#185439,.F.); #236636=ORIENTED_EDGE('',*,*,#185440,.T.); #236637=ORIENTED_EDGE('',*,*,#185441,.T.); #236638=ORIENTED_EDGE('',*,*,#185440,.F.); #236639=ORIENTED_EDGE('',*,*,#185439,.T.); #236640=ORIENTED_EDGE('',*,*,#184434,.T.); #236641=ORIENTED_EDGE('',*,*,#184437,.T.); #236642=ORIENTED_EDGE('',*,*,#184442,.T.); #236643=ORIENTED_EDGE('',*,*,#184445,.T.); #236644=ORIENTED_EDGE('',*,*,#184448,.T.); #236645=ORIENTED_EDGE('',*,*,#184451,.T.); #236646=ORIENTED_EDGE('',*,*,#184454,.T.); #236647=ORIENTED_EDGE('',*,*,#184457,.T.); #236648=ORIENTED_EDGE('',*,*,#184460,.T.); #236649=ORIENTED_EDGE('',*,*,#184463,.T.); #236650=ORIENTED_EDGE('',*,*,#184466,.T.); #236651=ORIENTED_EDGE('',*,*,#184469,.T.); #236652=ORIENTED_EDGE('',*,*,#184472,.T.); #236653=ORIENTED_EDGE('',*,*,#184475,.T.); #236654=ORIENTED_EDGE('',*,*,#184478,.T.); #236655=ORIENTED_EDGE('',*,*,#184481,.T.); #236656=ORIENTED_EDGE('',*,*,#184484,.T.); #236657=ORIENTED_EDGE('',*,*,#184487,.T.); #236658=ORIENTED_EDGE('',*,*,#184490,.T.); #236659=ORIENTED_EDGE('',*,*,#184493,.T.); #236660=ORIENTED_EDGE('',*,*,#184496,.T.); #236661=ORIENTED_EDGE('',*,*,#184499,.T.); #236662=ORIENTED_EDGE('',*,*,#184502,.T.); #236663=ORIENTED_EDGE('',*,*,#184505,.T.); #236664=ORIENTED_EDGE('',*,*,#184508,.T.); #236665=ORIENTED_EDGE('',*,*,#184511,.T.); #236666=ORIENTED_EDGE('',*,*,#184514,.T.); #236667=ORIENTED_EDGE('',*,*,#184517,.T.); #236668=ORIENTED_EDGE('',*,*,#184520,.T.); #236669=ORIENTED_EDGE('',*,*,#184523,.T.); #236670=ORIENTED_EDGE('',*,*,#184526,.T.); #236671=ORIENTED_EDGE('',*,*,#184529,.T.); #236672=ORIENTED_EDGE('',*,*,#184532,.T.); #236673=ORIENTED_EDGE('',*,*,#184535,.T.); #236674=ORIENTED_EDGE('',*,*,#184538,.T.); #236675=ORIENTED_EDGE('',*,*,#184541,.T.); #236676=ORIENTED_EDGE('',*,*,#184544,.T.); #236677=ORIENTED_EDGE('',*,*,#184547,.T.); #236678=ORIENTED_EDGE('',*,*,#184550,.T.); #236679=ORIENTED_EDGE('',*,*,#184553,.T.); #236680=ORIENTED_EDGE('',*,*,#184556,.T.); #236681=ORIENTED_EDGE('',*,*,#184559,.T.); #236682=ORIENTED_EDGE('',*,*,#184562,.T.); #236683=ORIENTED_EDGE('',*,*,#184565,.T.); #236684=ORIENTED_EDGE('',*,*,#184568,.T.); #236685=ORIENTED_EDGE('',*,*,#184571,.T.); #236686=ORIENTED_EDGE('',*,*,#184574,.T.); #236687=ORIENTED_EDGE('',*,*,#184577,.T.); #236688=ORIENTED_EDGE('',*,*,#184580,.T.); #236689=ORIENTED_EDGE('',*,*,#184583,.T.); #236690=ORIENTED_EDGE('',*,*,#184586,.T.); #236691=ORIENTED_EDGE('',*,*,#184589,.T.); #236692=ORIENTED_EDGE('',*,*,#184592,.T.); #236693=ORIENTED_EDGE('',*,*,#184595,.T.); #236694=ORIENTED_EDGE('',*,*,#184598,.T.); #236695=ORIENTED_EDGE('',*,*,#184601,.T.); #236696=ORIENTED_EDGE('',*,*,#184604,.T.); #236697=ORIENTED_EDGE('',*,*,#184607,.T.); #236698=ORIENTED_EDGE('',*,*,#184610,.T.); #236699=ORIENTED_EDGE('',*,*,#184613,.T.); #236700=ORIENTED_EDGE('',*,*,#184616,.T.); #236701=ORIENTED_EDGE('',*,*,#184619,.T.); #236702=ORIENTED_EDGE('',*,*,#184622,.T.); #236703=ORIENTED_EDGE('',*,*,#184625,.T.); #236704=ORIENTED_EDGE('',*,*,#184628,.T.); #236705=ORIENTED_EDGE('',*,*,#184631,.T.); #236706=ORIENTED_EDGE('',*,*,#184634,.T.); #236707=ORIENTED_EDGE('',*,*,#184637,.T.); #236708=ORIENTED_EDGE('',*,*,#184640,.T.); #236709=ORIENTED_EDGE('',*,*,#184643,.T.); #236710=ORIENTED_EDGE('',*,*,#184646,.T.); #236711=ORIENTED_EDGE('',*,*,#184649,.T.); #236712=ORIENTED_EDGE('',*,*,#184652,.T.); #236713=ORIENTED_EDGE('',*,*,#184655,.T.); #236714=ORIENTED_EDGE('',*,*,#184658,.T.); #236715=ORIENTED_EDGE('',*,*,#184661,.T.); #236716=ORIENTED_EDGE('',*,*,#184664,.T.); #236717=ORIENTED_EDGE('',*,*,#184667,.T.); #236718=ORIENTED_EDGE('',*,*,#184670,.T.); #236719=ORIENTED_EDGE('',*,*,#184673,.T.); #236720=ORIENTED_EDGE('',*,*,#184676,.T.); #236721=ORIENTED_EDGE('',*,*,#184679,.T.); #236722=ORIENTED_EDGE('',*,*,#184682,.T.); #236723=ORIENTED_EDGE('',*,*,#184685,.T.); #236724=ORIENTED_EDGE('',*,*,#184688,.T.); #236725=ORIENTED_EDGE('',*,*,#184691,.T.); #236726=ORIENTED_EDGE('',*,*,#184694,.T.); #236727=ORIENTED_EDGE('',*,*,#184697,.T.); #236728=ORIENTED_EDGE('',*,*,#184700,.T.); #236729=ORIENTED_EDGE('',*,*,#184703,.T.); #236730=ORIENTED_EDGE('',*,*,#184706,.T.); #236731=ORIENTED_EDGE('',*,*,#184709,.T.); #236732=ORIENTED_EDGE('',*,*,#184712,.T.); #236733=ORIENTED_EDGE('',*,*,#184715,.T.); #236734=ORIENTED_EDGE('',*,*,#184718,.T.); #236735=ORIENTED_EDGE('',*,*,#184721,.T.); #236736=ORIENTED_EDGE('',*,*,#184724,.T.); #236737=ORIENTED_EDGE('',*,*,#184727,.T.); #236738=ORIENTED_EDGE('',*,*,#184730,.T.); #236739=ORIENTED_EDGE('',*,*,#184733,.T.); #236740=ORIENTED_EDGE('',*,*,#184736,.T.); #236741=ORIENTED_EDGE('',*,*,#184739,.T.); #236742=ORIENTED_EDGE('',*,*,#184742,.T.); #236743=ORIENTED_EDGE('',*,*,#184745,.T.); #236744=ORIENTED_EDGE('',*,*,#184748,.T.); #236745=ORIENTED_EDGE('',*,*,#184751,.T.); #236746=ORIENTED_EDGE('',*,*,#184754,.T.); #236747=ORIENTED_EDGE('',*,*,#184757,.T.); #236748=ORIENTED_EDGE('',*,*,#184760,.T.); #236749=ORIENTED_EDGE('',*,*,#184763,.T.); #236750=ORIENTED_EDGE('',*,*,#184766,.T.); #236751=ORIENTED_EDGE('',*,*,#184769,.T.); #236752=ORIENTED_EDGE('',*,*,#184772,.T.); #236753=ORIENTED_EDGE('',*,*,#184775,.T.); #236754=ORIENTED_EDGE('',*,*,#184778,.T.); #236755=ORIENTED_EDGE('',*,*,#184781,.T.); #236756=ORIENTED_EDGE('',*,*,#184784,.T.); #236757=ORIENTED_EDGE('',*,*,#184787,.T.); #236758=ORIENTED_EDGE('',*,*,#184790,.T.); #236759=ORIENTED_EDGE('',*,*,#184793,.T.); #236760=ORIENTED_EDGE('',*,*,#184796,.T.); #236761=ORIENTED_EDGE('',*,*,#184799,.T.); #236762=ORIENTED_EDGE('',*,*,#184802,.T.); #236763=ORIENTED_EDGE('',*,*,#184805,.T.); #236764=ORIENTED_EDGE('',*,*,#184808,.T.); #236765=ORIENTED_EDGE('',*,*,#184811,.T.); #236766=ORIENTED_EDGE('',*,*,#184814,.T.); #236767=ORIENTED_EDGE('',*,*,#184817,.T.); #236768=ORIENTED_EDGE('',*,*,#184820,.T.); #236769=ORIENTED_EDGE('',*,*,#184823,.T.); #236770=ORIENTED_EDGE('',*,*,#184826,.T.); #236771=ORIENTED_EDGE('',*,*,#184829,.T.); #236772=ORIENTED_EDGE('',*,*,#184832,.T.); #236773=ORIENTED_EDGE('',*,*,#184835,.T.); #236774=ORIENTED_EDGE('',*,*,#184838,.T.); #236775=ORIENTED_EDGE('',*,*,#184841,.T.); #236776=ORIENTED_EDGE('',*,*,#184844,.T.); #236777=ORIENTED_EDGE('',*,*,#184847,.T.); #236778=ORIENTED_EDGE('',*,*,#184850,.T.); #236779=ORIENTED_EDGE('',*,*,#184853,.T.); #236780=ORIENTED_EDGE('',*,*,#184856,.T.); #236781=ORIENTED_EDGE('',*,*,#184859,.T.); #236782=ORIENTED_EDGE('',*,*,#184862,.T.); #236783=ORIENTED_EDGE('',*,*,#184865,.T.); #236784=ORIENTED_EDGE('',*,*,#184868,.T.); #236785=ORIENTED_EDGE('',*,*,#184871,.T.); #236786=ORIENTED_EDGE('',*,*,#184874,.T.); #236787=ORIENTED_EDGE('',*,*,#184877,.T.); #236788=ORIENTED_EDGE('',*,*,#184880,.T.); #236789=ORIENTED_EDGE('',*,*,#184883,.T.); #236790=ORIENTED_EDGE('',*,*,#184886,.T.); #236791=ORIENTED_EDGE('',*,*,#184889,.T.); #236792=ORIENTED_EDGE('',*,*,#184892,.T.); #236793=ORIENTED_EDGE('',*,*,#184895,.T.); #236794=ORIENTED_EDGE('',*,*,#184898,.T.); #236795=ORIENTED_EDGE('',*,*,#184901,.T.); #236796=ORIENTED_EDGE('',*,*,#184904,.T.); #236797=ORIENTED_EDGE('',*,*,#184907,.T.); #236798=ORIENTED_EDGE('',*,*,#184910,.T.); #236799=ORIENTED_EDGE('',*,*,#184913,.T.); #236800=ORIENTED_EDGE('',*,*,#184916,.T.); #236801=ORIENTED_EDGE('',*,*,#184919,.T.); #236802=ORIENTED_EDGE('',*,*,#184922,.T.); #236803=ORIENTED_EDGE('',*,*,#184930,.T.); #236804=ORIENTED_EDGE('',*,*,#184933,.T.); #236805=ORIENTED_EDGE('',*,*,#184923,.T.); #236806=ORIENTED_EDGE('',*,*,#184927,.T.); #236807=ORIENTED_EDGE('',*,*,#184937,.T.); #236808=ORIENTED_EDGE('',*,*,#184945,.T.); #236809=ORIENTED_EDGE('',*,*,#184948,.T.); #236810=ORIENTED_EDGE('',*,*,#184938,.T.); #236811=ORIENTED_EDGE('',*,*,#184942,.T.); #236812=ORIENTED_EDGE('',*,*,#184952,.T.); #236813=ORIENTED_EDGE('',*,*,#184955,.T.); #236814=ORIENTED_EDGE('',*,*,#184958,.T.); #236815=ORIENTED_EDGE('',*,*,#184966,.T.); #236816=ORIENTED_EDGE('',*,*,#184969,.T.); #236817=ORIENTED_EDGE('',*,*,#184959,.T.); #236818=ORIENTED_EDGE('',*,*,#184963,.T.); #236819=ORIENTED_EDGE('',*,*,#184973,.T.); #236820=ORIENTED_EDGE('',*,*,#184976,.T.); #236821=ORIENTED_EDGE('',*,*,#184979,.T.); #236822=ORIENTED_EDGE('',*,*,#184982,.T.); #236823=ORIENTED_EDGE('',*,*,#184985,.T.); #236824=ORIENTED_EDGE('',*,*,#184988,.T.); #236825=ORIENTED_EDGE('',*,*,#184991,.T.); #236826=ORIENTED_EDGE('',*,*,#184994,.T.); #236827=ORIENTED_EDGE('',*,*,#184997,.T.); #236828=ORIENTED_EDGE('',*,*,#185000,.T.); #236829=ORIENTED_EDGE('',*,*,#185008,.T.); #236830=ORIENTED_EDGE('',*,*,#185011,.T.); #236831=ORIENTED_EDGE('',*,*,#185001,.T.); #236832=ORIENTED_EDGE('',*,*,#185005,.T.); #236833=ORIENTED_EDGE('',*,*,#185015,.T.); #236834=ORIENTED_EDGE('',*,*,#185023,.T.); #236835=ORIENTED_EDGE('',*,*,#185026,.T.); #236836=ORIENTED_EDGE('',*,*,#185016,.T.); #236837=ORIENTED_EDGE('',*,*,#185020,.T.); #236838=ORIENTED_EDGE('',*,*,#185030,.T.); #236839=ORIENTED_EDGE('',*,*,#185038,.T.); #236840=ORIENTED_EDGE('',*,*,#185041,.T.); #236841=ORIENTED_EDGE('',*,*,#185031,.T.); #236842=ORIENTED_EDGE('',*,*,#185035,.T.); #236843=ORIENTED_EDGE('',*,*,#185045,.T.); #236844=ORIENTED_EDGE('',*,*,#185048,.T.); #236845=ORIENTED_EDGE('',*,*,#185051,.T.); #236846=ORIENTED_EDGE('',*,*,#185054,.T.); #236847=ORIENTED_EDGE('',*,*,#185057,.T.); #236848=ORIENTED_EDGE('',*,*,#185060,.T.); #236849=ORIENTED_EDGE('',*,*,#185063,.T.); #236850=ORIENTED_EDGE('',*,*,#185066,.T.); #236851=ORIENTED_EDGE('',*,*,#185069,.T.); #236852=ORIENTED_EDGE('',*,*,#185072,.T.); #236853=ORIENTED_EDGE('',*,*,#185075,.T.); #236854=ORIENTED_EDGE('',*,*,#185078,.T.); #236855=ORIENTED_EDGE('',*,*,#185081,.T.); #236856=ORIENTED_EDGE('',*,*,#185084,.T.); #236857=ORIENTED_EDGE('',*,*,#185087,.T.); #236858=ORIENTED_EDGE('',*,*,#185090,.T.); #236859=ORIENTED_EDGE('',*,*,#185093,.T.); #236860=ORIENTED_EDGE('',*,*,#185096,.T.); #236861=ORIENTED_EDGE('',*,*,#185099,.T.); #236862=ORIENTED_EDGE('',*,*,#185102,.T.); #236863=ORIENTED_EDGE('',*,*,#185105,.T.); #236864=ORIENTED_EDGE('',*,*,#185108,.T.); #236865=ORIENTED_EDGE('',*,*,#185111,.T.); #236866=ORIENTED_EDGE('',*,*,#185114,.T.); #236867=ORIENTED_EDGE('',*,*,#185117,.T.); #236868=ORIENTED_EDGE('',*,*,#185120,.T.); #236869=ORIENTED_EDGE('',*,*,#185123,.T.); #236870=ORIENTED_EDGE('',*,*,#185126,.T.); #236871=ORIENTED_EDGE('',*,*,#185129,.T.); #236872=ORIENTED_EDGE('',*,*,#185132,.T.); #236873=ORIENTED_EDGE('',*,*,#185135,.T.); #236874=ORIENTED_EDGE('',*,*,#185138,.T.); #236875=ORIENTED_EDGE('',*,*,#185141,.T.); #236876=ORIENTED_EDGE('',*,*,#185144,.T.); #236877=ORIENTED_EDGE('',*,*,#185147,.T.); #236878=ORIENTED_EDGE('',*,*,#185150,.T.); #236879=ORIENTED_EDGE('',*,*,#185153,.T.); #236880=ORIENTED_EDGE('',*,*,#185156,.T.); #236881=ORIENTED_EDGE('',*,*,#185159,.T.); #236882=ORIENTED_EDGE('',*,*,#185162,.T.); #236883=ORIENTED_EDGE('',*,*,#185165,.T.); #236884=ORIENTED_EDGE('',*,*,#185168,.T.); #236885=ORIENTED_EDGE('',*,*,#185171,.T.); #236886=ORIENTED_EDGE('',*,*,#185174,.T.); #236887=ORIENTED_EDGE('',*,*,#185177,.T.); #236888=ORIENTED_EDGE('',*,*,#185180,.T.); #236889=ORIENTED_EDGE('',*,*,#185183,.T.); #236890=ORIENTED_EDGE('',*,*,#185186,.T.); #236891=ORIENTED_EDGE('',*,*,#185189,.T.); #236892=ORIENTED_EDGE('',*,*,#185192,.T.); #236893=ORIENTED_EDGE('',*,*,#185195,.T.); #236894=ORIENTED_EDGE('',*,*,#185198,.T.); #236895=ORIENTED_EDGE('',*,*,#185201,.T.); #236896=ORIENTED_EDGE('',*,*,#185204,.T.); #236897=ORIENTED_EDGE('',*,*,#185207,.T.); #236898=ORIENTED_EDGE('',*,*,#185210,.T.); #236899=ORIENTED_EDGE('',*,*,#185213,.T.); #236900=ORIENTED_EDGE('',*,*,#185216,.T.); #236901=ORIENTED_EDGE('',*,*,#185219,.T.); #236902=ORIENTED_EDGE('',*,*,#185222,.T.); #236903=ORIENTED_EDGE('',*,*,#185225,.T.); #236904=ORIENTED_EDGE('',*,*,#185228,.T.); #236905=ORIENTED_EDGE('',*,*,#185231,.T.); #236906=ORIENTED_EDGE('',*,*,#185234,.T.); #236907=ORIENTED_EDGE('',*,*,#185237,.T.); #236908=ORIENTED_EDGE('',*,*,#185240,.T.); #236909=ORIENTED_EDGE('',*,*,#185243,.T.); #236910=ORIENTED_EDGE('',*,*,#185246,.T.); #236911=ORIENTED_EDGE('',*,*,#185249,.T.); #236912=ORIENTED_EDGE('',*,*,#185252,.T.); #236913=ORIENTED_EDGE('',*,*,#185255,.T.); #236914=ORIENTED_EDGE('',*,*,#185258,.T.); #236915=ORIENTED_EDGE('',*,*,#185261,.T.); #236916=ORIENTED_EDGE('',*,*,#185264,.T.); #236917=ORIENTED_EDGE('',*,*,#185267,.T.); #236918=ORIENTED_EDGE('',*,*,#185270,.T.); #236919=ORIENTED_EDGE('',*,*,#185273,.T.); #236920=ORIENTED_EDGE('',*,*,#185276,.T.); #236921=ORIENTED_EDGE('',*,*,#185279,.T.); #236922=ORIENTED_EDGE('',*,*,#185282,.T.); #236923=ORIENTED_EDGE('',*,*,#185285,.T.); #236924=ORIENTED_EDGE('',*,*,#185288,.T.); #236925=ORIENTED_EDGE('',*,*,#185291,.T.); #236926=ORIENTED_EDGE('',*,*,#185294,.T.); #236927=ORIENTED_EDGE('',*,*,#185297,.T.); #236928=ORIENTED_EDGE('',*,*,#185300,.T.); #236929=ORIENTED_EDGE('',*,*,#185303,.T.); #236930=ORIENTED_EDGE('',*,*,#185306,.T.); #236931=ORIENTED_EDGE('',*,*,#185309,.T.); #236932=ORIENTED_EDGE('',*,*,#185312,.T.); #236933=ORIENTED_EDGE('',*,*,#185315,.T.); #236934=ORIENTED_EDGE('',*,*,#185318,.T.); #236935=ORIENTED_EDGE('',*,*,#185321,.T.); #236936=ORIENTED_EDGE('',*,*,#185324,.T.); #236937=ORIENTED_EDGE('',*,*,#185327,.T.); #236938=ORIENTED_EDGE('',*,*,#185330,.T.); #236939=ORIENTED_EDGE('',*,*,#185333,.T.); #236940=ORIENTED_EDGE('',*,*,#185336,.T.); #236941=ORIENTED_EDGE('',*,*,#185339,.T.); #236942=ORIENTED_EDGE('',*,*,#185342,.T.); #236943=ORIENTED_EDGE('',*,*,#185345,.T.); #236944=ORIENTED_EDGE('',*,*,#185348,.T.); #236945=ORIENTED_EDGE('',*,*,#185351,.T.); #236946=ORIENTED_EDGE('',*,*,#185354,.T.); #236947=ORIENTED_EDGE('',*,*,#185357,.T.); #236948=ORIENTED_EDGE('',*,*,#185360,.T.); #236949=ORIENTED_EDGE('',*,*,#185363,.T.); #236950=ORIENTED_EDGE('',*,*,#185366,.T.); #236951=ORIENTED_EDGE('',*,*,#185369,.T.); #236952=ORIENTED_EDGE('',*,*,#185372,.T.); #236953=ORIENTED_EDGE('',*,*,#185375,.T.); #236954=ORIENTED_EDGE('',*,*,#185378,.T.); #236955=ORIENTED_EDGE('',*,*,#185381,.T.); #236956=ORIENTED_EDGE('',*,*,#185384,.T.); #236957=ORIENTED_EDGE('',*,*,#185387,.T.); #236958=ORIENTED_EDGE('',*,*,#185390,.T.); #236959=ORIENTED_EDGE('',*,*,#185393,.T.); #236960=ORIENTED_EDGE('',*,*,#185396,.T.); #236961=ORIENTED_EDGE('',*,*,#185399,.T.); #236962=ORIENTED_EDGE('',*,*,#185402,.T.); #236963=ORIENTED_EDGE('',*,*,#185405,.T.); #236964=ORIENTED_EDGE('',*,*,#185408,.T.); #236965=ORIENTED_EDGE('',*,*,#185411,.T.); #236966=ORIENTED_EDGE('',*,*,#185414,.T.); #236967=ORIENTED_EDGE('',*,*,#185417,.T.); #236968=ORIENTED_EDGE('',*,*,#185420,.T.); #236969=ORIENTED_EDGE('',*,*,#185423,.T.); #236970=ORIENTED_EDGE('',*,*,#185426,.T.); #236971=ORIENTED_EDGE('',*,*,#185429,.T.); #236972=ORIENTED_EDGE('',*,*,#185432,.T.); #236973=ORIENTED_EDGE('',*,*,#185435,.T.); #236974=ORIENTED_EDGE('',*,*,#185438,.T.); #236975=ORIENTED_EDGE('',*,*,#185441,.F.); #236976=ORIENTED_EDGE('',*,*,#184436,.T.); #236977=ORIENTED_EDGE('',*,*,#184439,.T.); #236978=ORIENTED_EDGE('',*,*,#184440,.T.); #236979=ORIENTED_EDGE('',*,*,#184443,.T.); #236980=ORIENTED_EDGE('',*,*,#184446,.T.); #236981=ORIENTED_EDGE('',*,*,#184449,.T.); #236982=ORIENTED_EDGE('',*,*,#184452,.T.); #236983=ORIENTED_EDGE('',*,*,#184455,.T.); #236984=ORIENTED_EDGE('',*,*,#184458,.T.); #236985=ORIENTED_EDGE('',*,*,#184461,.T.); #236986=ORIENTED_EDGE('',*,*,#184464,.T.); #236987=ORIENTED_EDGE('',*,*,#184467,.T.); #236988=ORIENTED_EDGE('',*,*,#184470,.T.); #236989=ORIENTED_EDGE('',*,*,#184473,.T.); #236990=ORIENTED_EDGE('',*,*,#184476,.T.); #236991=ORIENTED_EDGE('',*,*,#184479,.T.); #236992=ORIENTED_EDGE('',*,*,#184482,.T.); #236993=ORIENTED_EDGE('',*,*,#184485,.T.); #236994=ORIENTED_EDGE('',*,*,#184488,.T.); #236995=ORIENTED_EDGE('',*,*,#184491,.T.); #236996=ORIENTED_EDGE('',*,*,#184494,.T.); #236997=ORIENTED_EDGE('',*,*,#184497,.T.); #236998=ORIENTED_EDGE('',*,*,#184500,.T.); #236999=ORIENTED_EDGE('',*,*,#184503,.T.); #237000=ORIENTED_EDGE('',*,*,#184506,.T.); #237001=ORIENTED_EDGE('',*,*,#184509,.T.); #237002=ORIENTED_EDGE('',*,*,#184512,.T.); #237003=ORIENTED_EDGE('',*,*,#184515,.T.); #237004=ORIENTED_EDGE('',*,*,#184518,.T.); #237005=ORIENTED_EDGE('',*,*,#184521,.T.); #237006=ORIENTED_EDGE('',*,*,#184524,.T.); #237007=ORIENTED_EDGE('',*,*,#184527,.T.); #237008=ORIENTED_EDGE('',*,*,#184530,.T.); #237009=ORIENTED_EDGE('',*,*,#184533,.T.); #237010=ORIENTED_EDGE('',*,*,#184536,.T.); #237011=ORIENTED_EDGE('',*,*,#184539,.T.); #237012=ORIENTED_EDGE('',*,*,#184542,.T.); #237013=ORIENTED_EDGE('',*,*,#184545,.T.); #237014=ORIENTED_EDGE('',*,*,#184548,.T.); #237015=ORIENTED_EDGE('',*,*,#184551,.T.); #237016=ORIENTED_EDGE('',*,*,#184554,.T.); #237017=ORIENTED_EDGE('',*,*,#184557,.T.); #237018=ORIENTED_EDGE('',*,*,#184560,.T.); #237019=ORIENTED_EDGE('',*,*,#184563,.T.); #237020=ORIENTED_EDGE('',*,*,#184566,.T.); #237021=ORIENTED_EDGE('',*,*,#184569,.T.); #237022=ORIENTED_EDGE('',*,*,#184572,.T.); #237023=ORIENTED_EDGE('',*,*,#184575,.T.); #237024=ORIENTED_EDGE('',*,*,#184578,.T.); #237025=ORIENTED_EDGE('',*,*,#184581,.T.); #237026=ORIENTED_EDGE('',*,*,#184584,.T.); #237027=ORIENTED_EDGE('',*,*,#184587,.T.); #237028=ORIENTED_EDGE('',*,*,#184590,.T.); #237029=ORIENTED_EDGE('',*,*,#184593,.T.); #237030=ORIENTED_EDGE('',*,*,#184596,.T.); #237031=ORIENTED_EDGE('',*,*,#184599,.T.); #237032=ORIENTED_EDGE('',*,*,#184602,.T.); #237033=ORIENTED_EDGE('',*,*,#184605,.T.); #237034=ORIENTED_EDGE('',*,*,#184608,.T.); #237035=ORIENTED_EDGE('',*,*,#184611,.T.); #237036=ORIENTED_EDGE('',*,*,#184614,.T.); #237037=ORIENTED_EDGE('',*,*,#184617,.T.); #237038=ORIENTED_EDGE('',*,*,#184620,.T.); #237039=ORIENTED_EDGE('',*,*,#184623,.T.); #237040=ORIENTED_EDGE('',*,*,#184626,.T.); #237041=ORIENTED_EDGE('',*,*,#184629,.T.); #237042=ORIENTED_EDGE('',*,*,#184632,.T.); #237043=ORIENTED_EDGE('',*,*,#184635,.T.); #237044=ORIENTED_EDGE('',*,*,#184638,.T.); #237045=ORIENTED_EDGE('',*,*,#184641,.T.); #237046=ORIENTED_EDGE('',*,*,#184644,.T.); #237047=ORIENTED_EDGE('',*,*,#184647,.T.); #237048=ORIENTED_EDGE('',*,*,#184650,.T.); #237049=ORIENTED_EDGE('',*,*,#184653,.T.); #237050=ORIENTED_EDGE('',*,*,#184656,.T.); #237051=ORIENTED_EDGE('',*,*,#184659,.T.); #237052=ORIENTED_EDGE('',*,*,#184662,.T.); #237053=ORIENTED_EDGE('',*,*,#184665,.T.); #237054=ORIENTED_EDGE('',*,*,#184668,.T.); #237055=ORIENTED_EDGE('',*,*,#184671,.T.); #237056=ORIENTED_EDGE('',*,*,#184674,.T.); #237057=ORIENTED_EDGE('',*,*,#184677,.T.); #237058=ORIENTED_EDGE('',*,*,#184680,.T.); #237059=ORIENTED_EDGE('',*,*,#184683,.T.); #237060=ORIENTED_EDGE('',*,*,#184686,.T.); #237061=ORIENTED_EDGE('',*,*,#184689,.T.); #237062=ORIENTED_EDGE('',*,*,#184692,.T.); #237063=ORIENTED_EDGE('',*,*,#184695,.T.); #237064=ORIENTED_EDGE('',*,*,#184698,.T.); #237065=ORIENTED_EDGE('',*,*,#184701,.T.); #237066=ORIENTED_EDGE('',*,*,#184704,.T.); #237067=ORIENTED_EDGE('',*,*,#184707,.T.); #237068=ORIENTED_EDGE('',*,*,#184710,.T.); #237069=ORIENTED_EDGE('',*,*,#184713,.T.); #237070=ORIENTED_EDGE('',*,*,#184716,.T.); #237071=ORIENTED_EDGE('',*,*,#184719,.T.); #237072=ORIENTED_EDGE('',*,*,#184722,.T.); #237073=ORIENTED_EDGE('',*,*,#184725,.T.); #237074=ORIENTED_EDGE('',*,*,#184728,.T.); #237075=ORIENTED_EDGE('',*,*,#184731,.T.); #237076=ORIENTED_EDGE('',*,*,#184734,.T.); #237077=ORIENTED_EDGE('',*,*,#184737,.T.); #237078=ORIENTED_EDGE('',*,*,#184740,.T.); #237079=ORIENTED_EDGE('',*,*,#184743,.T.); #237080=ORIENTED_EDGE('',*,*,#184746,.T.); #237081=ORIENTED_EDGE('',*,*,#184749,.T.); #237082=ORIENTED_EDGE('',*,*,#184752,.T.); #237083=ORIENTED_EDGE('',*,*,#184755,.T.); #237084=ORIENTED_EDGE('',*,*,#184758,.T.); #237085=ORIENTED_EDGE('',*,*,#184761,.T.); #237086=ORIENTED_EDGE('',*,*,#184764,.T.); #237087=ORIENTED_EDGE('',*,*,#184767,.T.); #237088=ORIENTED_EDGE('',*,*,#184770,.T.); #237089=ORIENTED_EDGE('',*,*,#184773,.T.); #237090=ORIENTED_EDGE('',*,*,#184776,.T.); #237091=ORIENTED_EDGE('',*,*,#184779,.T.); #237092=ORIENTED_EDGE('',*,*,#184782,.T.); #237093=ORIENTED_EDGE('',*,*,#184785,.T.); #237094=ORIENTED_EDGE('',*,*,#184788,.T.); #237095=ORIENTED_EDGE('',*,*,#184791,.T.); #237096=ORIENTED_EDGE('',*,*,#184794,.T.); #237097=ORIENTED_EDGE('',*,*,#184797,.T.); #237098=ORIENTED_EDGE('',*,*,#184800,.T.); #237099=ORIENTED_EDGE('',*,*,#184803,.T.); #237100=ORIENTED_EDGE('',*,*,#184806,.T.); #237101=ORIENTED_EDGE('',*,*,#184809,.T.); #237102=ORIENTED_EDGE('',*,*,#184812,.T.); #237103=ORIENTED_EDGE('',*,*,#184815,.T.); #237104=ORIENTED_EDGE('',*,*,#184818,.T.); #237105=ORIENTED_EDGE('',*,*,#184821,.T.); #237106=ORIENTED_EDGE('',*,*,#184824,.T.); #237107=ORIENTED_EDGE('',*,*,#184827,.T.); #237108=ORIENTED_EDGE('',*,*,#184830,.T.); #237109=ORIENTED_EDGE('',*,*,#184833,.T.); #237110=ORIENTED_EDGE('',*,*,#184836,.T.); #237111=ORIENTED_EDGE('',*,*,#184839,.T.); #237112=ORIENTED_EDGE('',*,*,#184842,.T.); #237113=ORIENTED_EDGE('',*,*,#184845,.T.); #237114=ORIENTED_EDGE('',*,*,#184848,.T.); #237115=ORIENTED_EDGE('',*,*,#184851,.T.); #237116=ORIENTED_EDGE('',*,*,#184854,.T.); #237117=ORIENTED_EDGE('',*,*,#184857,.T.); #237118=ORIENTED_EDGE('',*,*,#184860,.T.); #237119=ORIENTED_EDGE('',*,*,#184863,.T.); #237120=ORIENTED_EDGE('',*,*,#184866,.T.); #237121=ORIENTED_EDGE('',*,*,#184869,.T.); #237122=ORIENTED_EDGE('',*,*,#184872,.T.); #237123=ORIENTED_EDGE('',*,*,#184875,.T.); #237124=ORIENTED_EDGE('',*,*,#184878,.T.); #237125=ORIENTED_EDGE('',*,*,#184881,.T.); #237126=ORIENTED_EDGE('',*,*,#184884,.T.); #237127=ORIENTED_EDGE('',*,*,#184887,.T.); #237128=ORIENTED_EDGE('',*,*,#184890,.T.); #237129=ORIENTED_EDGE('',*,*,#184893,.T.); #237130=ORIENTED_EDGE('',*,*,#184896,.T.); #237131=ORIENTED_EDGE('',*,*,#184899,.T.); #237132=ORIENTED_EDGE('',*,*,#184902,.T.); #237133=ORIENTED_EDGE('',*,*,#184905,.T.); #237134=ORIENTED_EDGE('',*,*,#184908,.T.); #237135=ORIENTED_EDGE('',*,*,#184911,.T.); #237136=ORIENTED_EDGE('',*,*,#184914,.T.); #237137=ORIENTED_EDGE('',*,*,#184917,.T.); #237138=ORIENTED_EDGE('',*,*,#184920,.T.); #237139=ORIENTED_EDGE('',*,*,#184931,.T.); #237140=ORIENTED_EDGE('',*,*,#184928,.T.); #237141=ORIENTED_EDGE('',*,*,#184925,.T.); #237142=ORIENTED_EDGE('',*,*,#184934,.T.); #237143=ORIENTED_EDGE('',*,*,#184935,.T.); #237144=ORIENTED_EDGE('',*,*,#184946,.T.); #237145=ORIENTED_EDGE('',*,*,#184943,.T.); #237146=ORIENTED_EDGE('',*,*,#184940,.T.); #237147=ORIENTED_EDGE('',*,*,#184949,.T.); #237148=ORIENTED_EDGE('',*,*,#184950,.T.); #237149=ORIENTED_EDGE('',*,*,#184953,.T.); #237150=ORIENTED_EDGE('',*,*,#184956,.T.); #237151=ORIENTED_EDGE('',*,*,#184967,.T.); #237152=ORIENTED_EDGE('',*,*,#184964,.T.); #237153=ORIENTED_EDGE('',*,*,#184961,.T.); #237154=ORIENTED_EDGE('',*,*,#184970,.T.); #237155=ORIENTED_EDGE('',*,*,#184971,.T.); #237156=ORIENTED_EDGE('',*,*,#184974,.T.); #237157=ORIENTED_EDGE('',*,*,#184977,.T.); #237158=ORIENTED_EDGE('',*,*,#184980,.T.); #237159=ORIENTED_EDGE('',*,*,#184983,.T.); #237160=ORIENTED_EDGE('',*,*,#184986,.T.); #237161=ORIENTED_EDGE('',*,*,#184989,.T.); #237162=ORIENTED_EDGE('',*,*,#184992,.T.); #237163=ORIENTED_EDGE('',*,*,#184995,.T.); #237164=ORIENTED_EDGE('',*,*,#184998,.T.); #237165=ORIENTED_EDGE('',*,*,#185009,.T.); #237166=ORIENTED_EDGE('',*,*,#185006,.T.); #237167=ORIENTED_EDGE('',*,*,#185003,.T.); #237168=ORIENTED_EDGE('',*,*,#185012,.T.); #237169=ORIENTED_EDGE('',*,*,#185013,.T.); #237170=ORIENTED_EDGE('',*,*,#185024,.T.); #237171=ORIENTED_EDGE('',*,*,#185021,.T.); #237172=ORIENTED_EDGE('',*,*,#185018,.T.); #237173=ORIENTED_EDGE('',*,*,#185027,.T.); #237174=ORIENTED_EDGE('',*,*,#185028,.T.); #237175=ORIENTED_EDGE('',*,*,#185039,.T.); #237176=ORIENTED_EDGE('',*,*,#185036,.T.); #237177=ORIENTED_EDGE('',*,*,#185033,.T.); #237178=ORIENTED_EDGE('',*,*,#185042,.T.); #237179=ORIENTED_EDGE('',*,*,#185043,.T.); #237180=ORIENTED_EDGE('',*,*,#185046,.T.); #237181=ORIENTED_EDGE('',*,*,#185049,.T.); #237182=ORIENTED_EDGE('',*,*,#185052,.T.); #237183=ORIENTED_EDGE('',*,*,#185055,.T.); #237184=ORIENTED_EDGE('',*,*,#185058,.T.); #237185=ORIENTED_EDGE('',*,*,#185061,.T.); #237186=ORIENTED_EDGE('',*,*,#185064,.T.); #237187=ORIENTED_EDGE('',*,*,#185067,.T.); #237188=ORIENTED_EDGE('',*,*,#185070,.T.); #237189=ORIENTED_EDGE('',*,*,#185073,.T.); #237190=ORIENTED_EDGE('',*,*,#185076,.T.); #237191=ORIENTED_EDGE('',*,*,#185079,.T.); #237192=ORIENTED_EDGE('',*,*,#185082,.T.); #237193=ORIENTED_EDGE('',*,*,#185085,.T.); #237194=ORIENTED_EDGE('',*,*,#185088,.T.); #237195=ORIENTED_EDGE('',*,*,#185091,.T.); #237196=ORIENTED_EDGE('',*,*,#185094,.T.); #237197=ORIENTED_EDGE('',*,*,#185097,.T.); #237198=ORIENTED_EDGE('',*,*,#185100,.T.); #237199=ORIENTED_EDGE('',*,*,#185103,.T.); #237200=ORIENTED_EDGE('',*,*,#185106,.T.); #237201=ORIENTED_EDGE('',*,*,#185109,.T.); #237202=ORIENTED_EDGE('',*,*,#185112,.T.); #237203=ORIENTED_EDGE('',*,*,#185115,.T.); #237204=ORIENTED_EDGE('',*,*,#185118,.T.); #237205=ORIENTED_EDGE('',*,*,#185121,.T.); #237206=ORIENTED_EDGE('',*,*,#185124,.T.); #237207=ORIENTED_EDGE('',*,*,#185127,.T.); #237208=ORIENTED_EDGE('',*,*,#185130,.T.); #237209=ORIENTED_EDGE('',*,*,#185133,.T.); #237210=ORIENTED_EDGE('',*,*,#185136,.T.); #237211=ORIENTED_EDGE('',*,*,#185139,.T.); #237212=ORIENTED_EDGE('',*,*,#185142,.T.); #237213=ORIENTED_EDGE('',*,*,#185145,.T.); #237214=ORIENTED_EDGE('',*,*,#185148,.T.); #237215=ORIENTED_EDGE('',*,*,#185151,.T.); #237216=ORIENTED_EDGE('',*,*,#185154,.T.); #237217=ORIENTED_EDGE('',*,*,#185157,.T.); #237218=ORIENTED_EDGE('',*,*,#185160,.T.); #237219=ORIENTED_EDGE('',*,*,#185163,.T.); #237220=ORIENTED_EDGE('',*,*,#185166,.T.); #237221=ORIENTED_EDGE('',*,*,#185169,.T.); #237222=ORIENTED_EDGE('',*,*,#185172,.T.); #237223=ORIENTED_EDGE('',*,*,#185175,.T.); #237224=ORIENTED_EDGE('',*,*,#185178,.T.); #237225=ORIENTED_EDGE('',*,*,#185181,.T.); #237226=ORIENTED_EDGE('',*,*,#185184,.T.); #237227=ORIENTED_EDGE('',*,*,#185187,.T.); #237228=ORIENTED_EDGE('',*,*,#185190,.T.); #237229=ORIENTED_EDGE('',*,*,#185193,.T.); #237230=ORIENTED_EDGE('',*,*,#185196,.T.); #237231=ORIENTED_EDGE('',*,*,#185199,.T.); #237232=ORIENTED_EDGE('',*,*,#185202,.T.); #237233=ORIENTED_EDGE('',*,*,#185205,.T.); #237234=ORIENTED_EDGE('',*,*,#185208,.T.); #237235=ORIENTED_EDGE('',*,*,#185211,.T.); #237236=ORIENTED_EDGE('',*,*,#185214,.T.); #237237=ORIENTED_EDGE('',*,*,#185217,.T.); #237238=ORIENTED_EDGE('',*,*,#185220,.T.); #237239=ORIENTED_EDGE('',*,*,#185223,.T.); #237240=ORIENTED_EDGE('',*,*,#185226,.T.); #237241=ORIENTED_EDGE('',*,*,#185229,.T.); #237242=ORIENTED_EDGE('',*,*,#185232,.T.); #237243=ORIENTED_EDGE('',*,*,#185235,.T.); #237244=ORIENTED_EDGE('',*,*,#185238,.T.); #237245=ORIENTED_EDGE('',*,*,#185241,.T.); #237246=ORIENTED_EDGE('',*,*,#185244,.T.); #237247=ORIENTED_EDGE('',*,*,#185247,.T.); #237248=ORIENTED_EDGE('',*,*,#185250,.T.); #237249=ORIENTED_EDGE('',*,*,#185253,.T.); #237250=ORIENTED_EDGE('',*,*,#185256,.T.); #237251=ORIENTED_EDGE('',*,*,#185259,.T.); #237252=ORIENTED_EDGE('',*,*,#185262,.T.); #237253=ORIENTED_EDGE('',*,*,#185265,.T.); #237254=ORIENTED_EDGE('',*,*,#185268,.T.); #237255=ORIENTED_EDGE('',*,*,#185271,.T.); #237256=ORIENTED_EDGE('',*,*,#185274,.T.); #237257=ORIENTED_EDGE('',*,*,#185277,.T.); #237258=ORIENTED_EDGE('',*,*,#185280,.T.); #237259=ORIENTED_EDGE('',*,*,#185283,.T.); #237260=ORIENTED_EDGE('',*,*,#185286,.T.); #237261=ORIENTED_EDGE('',*,*,#185289,.T.); #237262=ORIENTED_EDGE('',*,*,#185292,.T.); #237263=ORIENTED_EDGE('',*,*,#185295,.T.); #237264=ORIENTED_EDGE('',*,*,#185298,.T.); #237265=ORIENTED_EDGE('',*,*,#185301,.T.); #237266=ORIENTED_EDGE('',*,*,#185304,.T.); #237267=ORIENTED_EDGE('',*,*,#185307,.T.); #237268=ORIENTED_EDGE('',*,*,#185310,.T.); #237269=ORIENTED_EDGE('',*,*,#185313,.T.); #237270=ORIENTED_EDGE('',*,*,#185316,.T.); #237271=ORIENTED_EDGE('',*,*,#185319,.T.); #237272=ORIENTED_EDGE('',*,*,#185322,.T.); #237273=ORIENTED_EDGE('',*,*,#185325,.T.); #237274=ORIENTED_EDGE('',*,*,#185328,.T.); #237275=ORIENTED_EDGE('',*,*,#185331,.T.); #237276=ORIENTED_EDGE('',*,*,#185334,.T.); #237277=ORIENTED_EDGE('',*,*,#185337,.T.); #237278=ORIENTED_EDGE('',*,*,#185340,.T.); #237279=ORIENTED_EDGE('',*,*,#185343,.T.); #237280=ORIENTED_EDGE('',*,*,#185346,.T.); #237281=ORIENTED_EDGE('',*,*,#185349,.T.); #237282=ORIENTED_EDGE('',*,*,#185352,.T.); #237283=ORIENTED_EDGE('',*,*,#185355,.T.); #237284=ORIENTED_EDGE('',*,*,#185358,.T.); #237285=ORIENTED_EDGE('',*,*,#185361,.T.); #237286=ORIENTED_EDGE('',*,*,#185364,.T.); #237287=ORIENTED_EDGE('',*,*,#185367,.T.); #237288=ORIENTED_EDGE('',*,*,#185370,.T.); #237289=ORIENTED_EDGE('',*,*,#185373,.T.); #237290=ORIENTED_EDGE('',*,*,#185376,.T.); #237291=ORIENTED_EDGE('',*,*,#185379,.T.); #237292=ORIENTED_EDGE('',*,*,#185382,.T.); #237293=ORIENTED_EDGE('',*,*,#185385,.T.); #237294=ORIENTED_EDGE('',*,*,#185388,.T.); #237295=ORIENTED_EDGE('',*,*,#185391,.T.); #237296=ORIENTED_EDGE('',*,*,#185394,.T.); #237297=ORIENTED_EDGE('',*,*,#185397,.T.); #237298=ORIENTED_EDGE('',*,*,#185400,.T.); #237299=ORIENTED_EDGE('',*,*,#185403,.T.); #237300=ORIENTED_EDGE('',*,*,#185406,.T.); #237301=ORIENTED_EDGE('',*,*,#185409,.T.); #237302=ORIENTED_EDGE('',*,*,#185412,.T.); #237303=ORIENTED_EDGE('',*,*,#185415,.T.); #237304=ORIENTED_EDGE('',*,*,#185418,.T.); #237305=ORIENTED_EDGE('',*,*,#185421,.T.); #237306=ORIENTED_EDGE('',*,*,#185424,.T.); #237307=ORIENTED_EDGE('',*,*,#185427,.T.); #237308=ORIENTED_EDGE('',*,*,#185430,.T.); #237309=ORIENTED_EDGE('',*,*,#185433,.T.); #237310=ORIENTED_EDGE('',*,*,#185436,.T.); #237311=ORIENTED_EDGE('',*,*,#185442,.F.); #237312=ORIENTED_EDGE('',*,*,#185443,.T.); #237313=ORIENTED_EDGE('',*,*,#185444,.F.); #237314=ORIENTED_EDGE('',*,*,#185443,.F.); #237315=ORIENTED_EDGE('',*,*,#185445,.F.); #237316=ORIENTED_EDGE('',*,*,#185446,.T.); #237317=ORIENTED_EDGE('',*,*,#185447,.T.); #237318=ORIENTED_EDGE('',*,*,#185446,.F.); #237319=ORIENTED_EDGE('',*,*,#185445,.T.); #237320=ORIENTED_EDGE('',*,*,#185442,.T.); #237321=ORIENTED_EDGE('',*,*,#185447,.F.); #237322=ORIENTED_EDGE('',*,*,#185444,.T.); #237323=ORIENTED_EDGE('',*,*,#185448,.F.); #237324=ORIENTED_EDGE('',*,*,#185449,.T.); #237325=ORIENTED_EDGE('',*,*,#185450,.F.); #237326=ORIENTED_EDGE('',*,*,#185449,.F.); #237327=ORIENTED_EDGE('',*,*,#185451,.F.); #237328=ORIENTED_EDGE('',*,*,#185452,.T.); #237329=ORIENTED_EDGE('',*,*,#185453,.T.); #237330=ORIENTED_EDGE('',*,*,#185452,.F.); #237331=ORIENTED_EDGE('',*,*,#185451,.T.); #237332=ORIENTED_EDGE('',*,*,#185448,.T.); #237333=ORIENTED_EDGE('',*,*,#185453,.F.); #237334=ORIENTED_EDGE('',*,*,#185450,.T.); #237335=ORIENTED_EDGE('',*,*,#185454,.F.); #237336=ORIENTED_EDGE('',*,*,#185455,.T.); #237337=ORIENTED_EDGE('',*,*,#185456,.F.); #237338=ORIENTED_EDGE('',*,*,#185455,.F.); #237339=ORIENTED_EDGE('',*,*,#185457,.F.); #237340=ORIENTED_EDGE('',*,*,#185458,.T.); #237341=ORIENTED_EDGE('',*,*,#185459,.T.); #237342=ORIENTED_EDGE('',*,*,#185458,.F.); #237343=ORIENTED_EDGE('',*,*,#185457,.T.); #237344=ORIENTED_EDGE('',*,*,#185454,.T.); #237345=ORIENTED_EDGE('',*,*,#185459,.F.); #237346=ORIENTED_EDGE('',*,*,#185456,.T.); #237347=ORIENTED_EDGE('',*,*,#185460,.F.); #237348=ORIENTED_EDGE('',*,*,#185461,.T.); #237349=ORIENTED_EDGE('',*,*,#185462,.F.); #237350=ORIENTED_EDGE('',*,*,#185461,.F.); #237351=ORIENTED_EDGE('',*,*,#185463,.F.); #237352=ORIENTED_EDGE('',*,*,#185464,.T.); #237353=ORIENTED_EDGE('',*,*,#185465,.T.); #237354=ORIENTED_EDGE('',*,*,#185464,.F.); #237355=ORIENTED_EDGE('',*,*,#185463,.T.); #237356=ORIENTED_EDGE('',*,*,#185460,.T.); #237357=ORIENTED_EDGE('',*,*,#185465,.F.); #237358=ORIENTED_EDGE('',*,*,#185462,.T.); #237359=ORIENTED_EDGE('',*,*,#185466,.F.); #237360=ORIENTED_EDGE('',*,*,#185467,.T.); #237361=ORIENTED_EDGE('',*,*,#185468,.F.); #237362=ORIENTED_EDGE('',*,*,#185467,.F.); #237363=ORIENTED_EDGE('',*,*,#185469,.F.); #237364=ORIENTED_EDGE('',*,*,#185470,.T.); #237365=ORIENTED_EDGE('',*,*,#185471,.T.); #237366=ORIENTED_EDGE('',*,*,#185470,.F.); #237367=ORIENTED_EDGE('',*,*,#185469,.T.); #237368=ORIENTED_EDGE('',*,*,#185466,.T.); #237369=ORIENTED_EDGE('',*,*,#185471,.F.); #237370=ORIENTED_EDGE('',*,*,#185468,.T.); #237371=ORIENTED_EDGE('',*,*,#185472,.F.); #237372=ORIENTED_EDGE('',*,*,#185473,.T.); #237373=ORIENTED_EDGE('',*,*,#185474,.F.); #237374=ORIENTED_EDGE('',*,*,#185473,.F.); #237375=ORIENTED_EDGE('',*,*,#185475,.F.); #237376=ORIENTED_EDGE('',*,*,#185476,.T.); #237377=ORIENTED_EDGE('',*,*,#185477,.T.); #237378=ORIENTED_EDGE('',*,*,#185476,.F.); #237379=ORIENTED_EDGE('',*,*,#185475,.T.); #237380=ORIENTED_EDGE('',*,*,#185472,.T.); #237381=ORIENTED_EDGE('',*,*,#185477,.F.); #237382=ORIENTED_EDGE('',*,*,#185474,.T.); #237383=ORIENTED_EDGE('',*,*,#185478,.F.); #237384=ORIENTED_EDGE('',*,*,#185479,.T.); #237385=ORIENTED_EDGE('',*,*,#185480,.F.); #237386=ORIENTED_EDGE('',*,*,#185479,.F.); #237387=ORIENTED_EDGE('',*,*,#185481,.F.); #237388=ORIENTED_EDGE('',*,*,#185482,.T.); #237389=ORIENTED_EDGE('',*,*,#185483,.T.); #237390=ORIENTED_EDGE('',*,*,#185482,.F.); #237391=ORIENTED_EDGE('',*,*,#185481,.T.); #237392=ORIENTED_EDGE('',*,*,#185478,.T.); #237393=ORIENTED_EDGE('',*,*,#185483,.F.); #237394=ORIENTED_EDGE('',*,*,#185480,.T.); #237395=ORIENTED_EDGE('',*,*,#185484,.F.); #237396=ORIENTED_EDGE('',*,*,#185485,.T.); #237397=ORIENTED_EDGE('',*,*,#185486,.F.); #237398=ORIENTED_EDGE('',*,*,#185485,.F.); #237399=ORIENTED_EDGE('',*,*,#185487,.F.); #237400=ORIENTED_EDGE('',*,*,#185488,.T.); #237401=ORIENTED_EDGE('',*,*,#185489,.T.); #237402=ORIENTED_EDGE('',*,*,#185488,.F.); #237403=ORIENTED_EDGE('',*,*,#185487,.T.); #237404=ORIENTED_EDGE('',*,*,#185484,.T.); #237405=ORIENTED_EDGE('',*,*,#185489,.F.); #237406=ORIENTED_EDGE('',*,*,#185486,.T.); #237407=ORIENTED_EDGE('',*,*,#185490,.F.); #237408=ORIENTED_EDGE('',*,*,#185491,.T.); #237409=ORIENTED_EDGE('',*,*,#185492,.F.); #237410=ORIENTED_EDGE('',*,*,#185491,.F.); #237411=ORIENTED_EDGE('',*,*,#185493,.F.); #237412=ORIENTED_EDGE('',*,*,#185494,.T.); #237413=ORIENTED_EDGE('',*,*,#185495,.T.); #237414=ORIENTED_EDGE('',*,*,#185494,.F.); #237415=ORIENTED_EDGE('',*,*,#185493,.T.); #237416=ORIENTED_EDGE('',*,*,#185490,.T.); #237417=ORIENTED_EDGE('',*,*,#185495,.F.); #237418=ORIENTED_EDGE('',*,*,#185492,.T.); #237419=ORIENTED_EDGE('',*,*,#185496,.F.); #237420=ORIENTED_EDGE('',*,*,#185497,.T.); #237421=ORIENTED_EDGE('',*,*,#185498,.F.); #237422=ORIENTED_EDGE('',*,*,#185497,.F.); #237423=ORIENTED_EDGE('',*,*,#185499,.F.); #237424=ORIENTED_EDGE('',*,*,#185500,.T.); #237425=ORIENTED_EDGE('',*,*,#185501,.T.); #237426=ORIENTED_EDGE('',*,*,#185500,.F.); #237427=ORIENTED_EDGE('',*,*,#185499,.T.); #237428=ORIENTED_EDGE('',*,*,#185496,.T.); #237429=ORIENTED_EDGE('',*,*,#185501,.F.); #237430=ORIENTED_EDGE('',*,*,#185498,.T.); #237431=ORIENTED_EDGE('',*,*,#185502,.F.); #237432=ORIENTED_EDGE('',*,*,#185503,.T.); #237433=ORIENTED_EDGE('',*,*,#185504,.F.); #237434=ORIENTED_EDGE('',*,*,#185503,.F.); #237435=ORIENTED_EDGE('',*,*,#185505,.F.); #237436=ORIENTED_EDGE('',*,*,#185506,.T.); #237437=ORIENTED_EDGE('',*,*,#185507,.T.); #237438=ORIENTED_EDGE('',*,*,#185506,.F.); #237439=ORIENTED_EDGE('',*,*,#185505,.T.); #237440=ORIENTED_EDGE('',*,*,#185502,.T.); #237441=ORIENTED_EDGE('',*,*,#185507,.F.); #237442=ORIENTED_EDGE('',*,*,#185504,.T.); #237443=ORIENTED_EDGE('',*,*,#185508,.F.); #237444=ORIENTED_EDGE('',*,*,#185509,.T.); #237445=ORIENTED_EDGE('',*,*,#185510,.F.); #237446=ORIENTED_EDGE('',*,*,#185509,.F.); #237447=ORIENTED_EDGE('',*,*,#185511,.F.); #237448=ORIENTED_EDGE('',*,*,#185512,.T.); #237449=ORIENTED_EDGE('',*,*,#185513,.T.); #237450=ORIENTED_EDGE('',*,*,#185512,.F.); #237451=ORIENTED_EDGE('',*,*,#185511,.T.); #237452=ORIENTED_EDGE('',*,*,#185508,.T.); #237453=ORIENTED_EDGE('',*,*,#185513,.F.); #237454=ORIENTED_EDGE('',*,*,#185510,.T.); #237455=ORIENTED_EDGE('',*,*,#185514,.F.); #237456=ORIENTED_EDGE('',*,*,#185515,.T.); #237457=ORIENTED_EDGE('',*,*,#185516,.F.); #237458=ORIENTED_EDGE('',*,*,#185515,.F.); #237459=ORIENTED_EDGE('',*,*,#185517,.F.); #237460=ORIENTED_EDGE('',*,*,#185518,.T.); #237461=ORIENTED_EDGE('',*,*,#185519,.T.); #237462=ORIENTED_EDGE('',*,*,#185518,.F.); #237463=ORIENTED_EDGE('',*,*,#185517,.T.); #237464=ORIENTED_EDGE('',*,*,#185514,.T.); #237465=ORIENTED_EDGE('',*,*,#185519,.F.); #237466=ORIENTED_EDGE('',*,*,#185516,.T.); #237467=ORIENTED_EDGE('',*,*,#185520,.F.); #237468=ORIENTED_EDGE('',*,*,#185521,.T.); #237469=ORIENTED_EDGE('',*,*,#185522,.F.); #237470=ORIENTED_EDGE('',*,*,#185521,.F.); #237471=ORIENTED_EDGE('',*,*,#185523,.F.); #237472=ORIENTED_EDGE('',*,*,#185524,.T.); #237473=ORIENTED_EDGE('',*,*,#185525,.T.); #237474=ORIENTED_EDGE('',*,*,#185524,.F.); #237475=ORIENTED_EDGE('',*,*,#185523,.T.); #237476=ORIENTED_EDGE('',*,*,#185520,.T.); #237477=ORIENTED_EDGE('',*,*,#185525,.F.); #237478=ORIENTED_EDGE('',*,*,#185522,.T.); #237479=ORIENTED_EDGE('',*,*,#185526,.F.); #237480=ORIENTED_EDGE('',*,*,#185527,.T.); #237481=ORIENTED_EDGE('',*,*,#185528,.F.); #237482=ORIENTED_EDGE('',*,*,#185527,.F.); #237483=ORIENTED_EDGE('',*,*,#185529,.F.); #237484=ORIENTED_EDGE('',*,*,#185530,.T.); #237485=ORIENTED_EDGE('',*,*,#185531,.T.); #237486=ORIENTED_EDGE('',*,*,#185530,.F.); #237487=ORIENTED_EDGE('',*,*,#185529,.T.); #237488=ORIENTED_EDGE('',*,*,#185526,.T.); #237489=ORIENTED_EDGE('',*,*,#185531,.F.); #237490=ORIENTED_EDGE('',*,*,#185528,.T.); #237491=ORIENTED_EDGE('',*,*,#185532,.F.); #237492=ORIENTED_EDGE('',*,*,#185533,.T.); #237493=ORIENTED_EDGE('',*,*,#185534,.F.); #237494=ORIENTED_EDGE('',*,*,#185533,.F.); #237495=ORIENTED_EDGE('',*,*,#185535,.F.); #237496=ORIENTED_EDGE('',*,*,#185536,.T.); #237497=ORIENTED_EDGE('',*,*,#185537,.T.); #237498=ORIENTED_EDGE('',*,*,#185536,.F.); #237499=ORIENTED_EDGE('',*,*,#185535,.T.); #237500=ORIENTED_EDGE('',*,*,#185532,.T.); #237501=ORIENTED_EDGE('',*,*,#185537,.F.); #237502=ORIENTED_EDGE('',*,*,#185534,.T.); #237503=ORIENTED_EDGE('',*,*,#185538,.F.); #237504=ORIENTED_EDGE('',*,*,#185539,.T.); #237505=ORIENTED_EDGE('',*,*,#185540,.F.); #237506=ORIENTED_EDGE('',*,*,#185539,.F.); #237507=ORIENTED_EDGE('',*,*,#185541,.F.); #237508=ORIENTED_EDGE('',*,*,#185542,.T.); #237509=ORIENTED_EDGE('',*,*,#185543,.T.); #237510=ORIENTED_EDGE('',*,*,#185542,.F.); #237511=ORIENTED_EDGE('',*,*,#185541,.T.); #237512=ORIENTED_EDGE('',*,*,#185538,.T.); #237513=ORIENTED_EDGE('',*,*,#185543,.F.); #237514=ORIENTED_EDGE('',*,*,#185540,.T.); #237515=ORIENTED_EDGE('',*,*,#185544,.F.); #237516=ORIENTED_EDGE('',*,*,#185545,.T.); #237517=ORIENTED_EDGE('',*,*,#185546,.F.); #237518=ORIENTED_EDGE('',*,*,#185545,.F.); #237519=ORIENTED_EDGE('',*,*,#185547,.F.); #237520=ORIENTED_EDGE('',*,*,#185548,.T.); #237521=ORIENTED_EDGE('',*,*,#185549,.T.); #237522=ORIENTED_EDGE('',*,*,#185548,.F.); #237523=ORIENTED_EDGE('',*,*,#185547,.T.); #237524=ORIENTED_EDGE('',*,*,#185544,.T.); #237525=ORIENTED_EDGE('',*,*,#185549,.F.); #237526=ORIENTED_EDGE('',*,*,#185546,.T.); #237527=ORIENTED_EDGE('',*,*,#185550,.F.); #237528=ORIENTED_EDGE('',*,*,#185551,.T.); #237529=ORIENTED_EDGE('',*,*,#185552,.F.); #237530=ORIENTED_EDGE('',*,*,#185551,.F.); #237531=ORIENTED_EDGE('',*,*,#185553,.F.); #237532=ORIENTED_EDGE('',*,*,#185554,.T.); #237533=ORIENTED_EDGE('',*,*,#185555,.T.); #237534=ORIENTED_EDGE('',*,*,#185554,.F.); #237535=ORIENTED_EDGE('',*,*,#185553,.T.); #237536=ORIENTED_EDGE('',*,*,#185550,.T.); #237537=ORIENTED_EDGE('',*,*,#185555,.F.); #237538=ORIENTED_EDGE('',*,*,#185552,.T.); #237539=ORIENTED_EDGE('',*,*,#185556,.F.); #237540=ORIENTED_EDGE('',*,*,#185557,.T.); #237541=ORIENTED_EDGE('',*,*,#185558,.F.); #237542=ORIENTED_EDGE('',*,*,#185557,.F.); #237543=ORIENTED_EDGE('',*,*,#185559,.F.); #237544=ORIENTED_EDGE('',*,*,#185560,.T.); #237545=ORIENTED_EDGE('',*,*,#185561,.T.); #237546=ORIENTED_EDGE('',*,*,#185560,.F.); #237547=ORIENTED_EDGE('',*,*,#185559,.T.); #237548=ORIENTED_EDGE('',*,*,#185556,.T.); #237549=ORIENTED_EDGE('',*,*,#185561,.F.); #237550=ORIENTED_EDGE('',*,*,#185558,.T.); #237551=ORIENTED_EDGE('',*,*,#185562,.F.); #237552=ORIENTED_EDGE('',*,*,#185563,.T.); #237553=ORIENTED_EDGE('',*,*,#185564,.F.); #237554=ORIENTED_EDGE('',*,*,#185563,.F.); #237555=ORIENTED_EDGE('',*,*,#185565,.F.); #237556=ORIENTED_EDGE('',*,*,#185566,.T.); #237557=ORIENTED_EDGE('',*,*,#185567,.T.); #237558=ORIENTED_EDGE('',*,*,#185566,.F.); #237559=ORIENTED_EDGE('',*,*,#185565,.T.); #237560=ORIENTED_EDGE('',*,*,#185562,.T.); #237561=ORIENTED_EDGE('',*,*,#185567,.F.); #237562=ORIENTED_EDGE('',*,*,#185564,.T.); #237563=ORIENTED_EDGE('',*,*,#185568,.F.); #237564=ORIENTED_EDGE('',*,*,#185569,.T.); #237565=ORIENTED_EDGE('',*,*,#185570,.F.); #237566=ORIENTED_EDGE('',*,*,#185569,.F.); #237567=ORIENTED_EDGE('',*,*,#185571,.F.); #237568=ORIENTED_EDGE('',*,*,#185572,.T.); #237569=ORIENTED_EDGE('',*,*,#185573,.T.); #237570=ORIENTED_EDGE('',*,*,#185572,.F.); #237571=ORIENTED_EDGE('',*,*,#185571,.T.); #237572=ORIENTED_EDGE('',*,*,#185568,.T.); #237573=ORIENTED_EDGE('',*,*,#185573,.F.); #237574=ORIENTED_EDGE('',*,*,#185570,.T.); #237575=ORIENTED_EDGE('',*,*,#185574,.F.); #237576=ORIENTED_EDGE('',*,*,#185575,.T.); #237577=ORIENTED_EDGE('',*,*,#185576,.F.); #237578=ORIENTED_EDGE('',*,*,#185575,.F.); #237579=ORIENTED_EDGE('',*,*,#185577,.F.); #237580=ORIENTED_EDGE('',*,*,#185578,.T.); #237581=ORIENTED_EDGE('',*,*,#185579,.T.); #237582=ORIENTED_EDGE('',*,*,#185578,.F.); #237583=ORIENTED_EDGE('',*,*,#185577,.T.); #237584=ORIENTED_EDGE('',*,*,#185574,.T.); #237585=ORIENTED_EDGE('',*,*,#185579,.F.); #237586=ORIENTED_EDGE('',*,*,#185576,.T.); #237587=ORIENTED_EDGE('',*,*,#185580,.F.); #237588=ORIENTED_EDGE('',*,*,#185581,.T.); #237589=ORIENTED_EDGE('',*,*,#185582,.F.); #237590=ORIENTED_EDGE('',*,*,#185581,.F.); #237591=ORIENTED_EDGE('',*,*,#185583,.F.); #237592=ORIENTED_EDGE('',*,*,#185584,.T.); #237593=ORIENTED_EDGE('',*,*,#185585,.T.); #237594=ORIENTED_EDGE('',*,*,#185584,.F.); #237595=ORIENTED_EDGE('',*,*,#185583,.T.); #237596=ORIENTED_EDGE('',*,*,#185580,.T.); #237597=ORIENTED_EDGE('',*,*,#185585,.F.); #237598=ORIENTED_EDGE('',*,*,#185582,.T.); #237599=ORIENTED_EDGE('',*,*,#185586,.F.); #237600=ORIENTED_EDGE('',*,*,#185587,.T.); #237601=ORIENTED_EDGE('',*,*,#185588,.F.); #237602=ORIENTED_EDGE('',*,*,#185587,.F.); #237603=ORIENTED_EDGE('',*,*,#185589,.F.); #237604=ORIENTED_EDGE('',*,*,#185590,.T.); #237605=ORIENTED_EDGE('',*,*,#185591,.T.); #237606=ORIENTED_EDGE('',*,*,#185590,.F.); #237607=ORIENTED_EDGE('',*,*,#185589,.T.); #237608=ORIENTED_EDGE('',*,*,#185586,.T.); #237609=ORIENTED_EDGE('',*,*,#185591,.F.); #237610=ORIENTED_EDGE('',*,*,#185588,.T.); #237611=ORIENTED_EDGE('',*,*,#185592,.F.); #237612=ORIENTED_EDGE('',*,*,#185593,.T.); #237613=ORIENTED_EDGE('',*,*,#185594,.F.); #237614=ORIENTED_EDGE('',*,*,#185593,.F.); #237615=ORIENTED_EDGE('',*,*,#185595,.F.); #237616=ORIENTED_EDGE('',*,*,#185596,.T.); #237617=ORIENTED_EDGE('',*,*,#185597,.T.); #237618=ORIENTED_EDGE('',*,*,#185596,.F.); #237619=ORIENTED_EDGE('',*,*,#185595,.T.); #237620=ORIENTED_EDGE('',*,*,#185592,.T.); #237621=ORIENTED_EDGE('',*,*,#185597,.F.); #237622=ORIENTED_EDGE('',*,*,#185594,.T.); #237623=ORIENTED_EDGE('',*,*,#185598,.F.); #237624=ORIENTED_EDGE('',*,*,#185599,.T.); #237625=ORIENTED_EDGE('',*,*,#185600,.F.); #237626=ORIENTED_EDGE('',*,*,#185599,.F.); #237627=ORIENTED_EDGE('',*,*,#185601,.F.); #237628=ORIENTED_EDGE('',*,*,#185602,.T.); #237629=ORIENTED_EDGE('',*,*,#185603,.T.); #237630=ORIENTED_EDGE('',*,*,#185602,.F.); #237631=ORIENTED_EDGE('',*,*,#185601,.T.); #237632=ORIENTED_EDGE('',*,*,#185598,.T.); #237633=ORIENTED_EDGE('',*,*,#185603,.F.); #237634=ORIENTED_EDGE('',*,*,#185600,.T.); #237635=ORIENTED_EDGE('',*,*,#185604,.F.); #237636=ORIENTED_EDGE('',*,*,#185605,.T.); #237637=ORIENTED_EDGE('',*,*,#185606,.F.); #237638=ORIENTED_EDGE('',*,*,#185605,.F.); #237639=ORIENTED_EDGE('',*,*,#185607,.F.); #237640=ORIENTED_EDGE('',*,*,#185608,.T.); #237641=ORIENTED_EDGE('',*,*,#185609,.T.); #237642=ORIENTED_EDGE('',*,*,#185608,.F.); #237643=ORIENTED_EDGE('',*,*,#185607,.T.); #237644=ORIENTED_EDGE('',*,*,#185604,.T.); #237645=ORIENTED_EDGE('',*,*,#185609,.F.); #237646=ORIENTED_EDGE('',*,*,#185606,.T.); #237647=ORIENTED_EDGE('',*,*,#185610,.F.); #237648=ORIENTED_EDGE('',*,*,#185611,.T.); #237649=ORIENTED_EDGE('',*,*,#185612,.F.); #237650=ORIENTED_EDGE('',*,*,#185611,.F.); #237651=ORIENTED_EDGE('',*,*,#185613,.F.); #237652=ORIENTED_EDGE('',*,*,#185614,.T.); #237653=ORIENTED_EDGE('',*,*,#185615,.T.); #237654=ORIENTED_EDGE('',*,*,#185614,.F.); #237655=ORIENTED_EDGE('',*,*,#185613,.T.); #237656=ORIENTED_EDGE('',*,*,#185610,.T.); #237657=ORIENTED_EDGE('',*,*,#185615,.F.); #237658=ORIENTED_EDGE('',*,*,#185612,.T.); #237659=ORIENTED_EDGE('',*,*,#185616,.F.); #237660=ORIENTED_EDGE('',*,*,#185617,.T.); #237661=ORIENTED_EDGE('',*,*,#185618,.F.); #237662=ORIENTED_EDGE('',*,*,#185617,.F.); #237663=ORIENTED_EDGE('',*,*,#185619,.F.); #237664=ORIENTED_EDGE('',*,*,#185620,.T.); #237665=ORIENTED_EDGE('',*,*,#185621,.T.); #237666=ORIENTED_EDGE('',*,*,#185620,.F.); #237667=ORIENTED_EDGE('',*,*,#185619,.T.); #237668=ORIENTED_EDGE('',*,*,#185616,.T.); #237669=ORIENTED_EDGE('',*,*,#185621,.F.); #237670=ORIENTED_EDGE('',*,*,#185618,.T.); #237671=ORIENTED_EDGE('',*,*,#185622,.F.); #237672=ORIENTED_EDGE('',*,*,#185623,.T.); #237673=ORIENTED_EDGE('',*,*,#185624,.F.); #237674=ORIENTED_EDGE('',*,*,#185623,.F.); #237675=ORIENTED_EDGE('',*,*,#185625,.F.); #237676=ORIENTED_EDGE('',*,*,#185626,.T.); #237677=ORIENTED_EDGE('',*,*,#185627,.T.); #237678=ORIENTED_EDGE('',*,*,#185626,.F.); #237679=ORIENTED_EDGE('',*,*,#185625,.T.); #237680=ORIENTED_EDGE('',*,*,#185622,.T.); #237681=ORIENTED_EDGE('',*,*,#185627,.F.); #237682=ORIENTED_EDGE('',*,*,#185624,.T.); #237683=ORIENTED_EDGE('',*,*,#185628,.F.); #237684=ORIENTED_EDGE('',*,*,#185629,.T.); #237685=ORIENTED_EDGE('',*,*,#185630,.F.); #237686=ORIENTED_EDGE('',*,*,#185629,.F.); #237687=ORIENTED_EDGE('',*,*,#185631,.F.); #237688=ORIENTED_EDGE('',*,*,#185632,.T.); #237689=ORIENTED_EDGE('',*,*,#185633,.T.); #237690=ORIENTED_EDGE('',*,*,#185632,.F.); #237691=ORIENTED_EDGE('',*,*,#185631,.T.); #237692=ORIENTED_EDGE('',*,*,#185628,.T.); #237693=ORIENTED_EDGE('',*,*,#185633,.F.); #237694=ORIENTED_EDGE('',*,*,#185630,.T.); #237695=ORIENTED_EDGE('',*,*,#185634,.F.); #237696=ORIENTED_EDGE('',*,*,#185635,.T.); #237697=ORIENTED_EDGE('',*,*,#185636,.F.); #237698=ORIENTED_EDGE('',*,*,#185635,.F.); #237699=ORIENTED_EDGE('',*,*,#185637,.F.); #237700=ORIENTED_EDGE('',*,*,#185638,.T.); #237701=ORIENTED_EDGE('',*,*,#185639,.T.); #237702=ORIENTED_EDGE('',*,*,#185638,.F.); #237703=ORIENTED_EDGE('',*,*,#185637,.T.); #237704=ORIENTED_EDGE('',*,*,#185634,.T.); #237705=ORIENTED_EDGE('',*,*,#185639,.F.); #237706=ORIENTED_EDGE('',*,*,#185636,.T.); #237707=ORIENTED_EDGE('',*,*,#185640,.F.); #237708=ORIENTED_EDGE('',*,*,#185641,.T.); #237709=ORIENTED_EDGE('',*,*,#185642,.F.); #237710=ORIENTED_EDGE('',*,*,#185641,.F.); #237711=ORIENTED_EDGE('',*,*,#185643,.F.); #237712=ORIENTED_EDGE('',*,*,#185644,.T.); #237713=ORIENTED_EDGE('',*,*,#185645,.T.); #237714=ORIENTED_EDGE('',*,*,#185644,.F.); #237715=ORIENTED_EDGE('',*,*,#185643,.T.); #237716=ORIENTED_EDGE('',*,*,#185640,.T.); #237717=ORIENTED_EDGE('',*,*,#185645,.F.); #237718=ORIENTED_EDGE('',*,*,#185642,.T.); #237719=ORIENTED_EDGE('',*,*,#185646,.F.); #237720=ORIENTED_EDGE('',*,*,#185647,.T.); #237721=ORIENTED_EDGE('',*,*,#185648,.F.); #237722=ORIENTED_EDGE('',*,*,#185647,.F.); #237723=ORIENTED_EDGE('',*,*,#185649,.F.); #237724=ORIENTED_EDGE('',*,*,#185650,.T.); #237725=ORIENTED_EDGE('',*,*,#185651,.T.); #237726=ORIENTED_EDGE('',*,*,#185650,.F.); #237727=ORIENTED_EDGE('',*,*,#185649,.T.); #237728=ORIENTED_EDGE('',*,*,#185646,.T.); #237729=ORIENTED_EDGE('',*,*,#185651,.F.); #237730=ORIENTED_EDGE('',*,*,#185648,.T.); #237731=ORIENTED_EDGE('',*,*,#185652,.F.); #237732=ORIENTED_EDGE('',*,*,#185653,.T.); #237733=ORIENTED_EDGE('',*,*,#185654,.F.); #237734=ORIENTED_EDGE('',*,*,#185653,.F.); #237735=ORIENTED_EDGE('',*,*,#185655,.F.); #237736=ORIENTED_EDGE('',*,*,#185656,.T.); #237737=ORIENTED_EDGE('',*,*,#185657,.T.); #237738=ORIENTED_EDGE('',*,*,#185656,.F.); #237739=ORIENTED_EDGE('',*,*,#185655,.T.); #237740=ORIENTED_EDGE('',*,*,#185652,.T.); #237741=ORIENTED_EDGE('',*,*,#185657,.F.); #237742=ORIENTED_EDGE('',*,*,#185654,.T.); #237743=ORIENTED_EDGE('',*,*,#185658,.F.); #237744=ORIENTED_EDGE('',*,*,#185659,.T.); #237745=ORIENTED_EDGE('',*,*,#185660,.F.); #237746=ORIENTED_EDGE('',*,*,#185659,.F.); #237747=ORIENTED_EDGE('',*,*,#185661,.F.); #237748=ORIENTED_EDGE('',*,*,#185662,.T.); #237749=ORIENTED_EDGE('',*,*,#185663,.T.); #237750=ORIENTED_EDGE('',*,*,#185662,.F.); #237751=ORIENTED_EDGE('',*,*,#185661,.T.); #237752=ORIENTED_EDGE('',*,*,#185658,.T.); #237753=ORIENTED_EDGE('',*,*,#185663,.F.); #237754=ORIENTED_EDGE('',*,*,#185660,.T.); #237755=ORIENTED_EDGE('',*,*,#185664,.F.); #237756=ORIENTED_EDGE('',*,*,#185665,.T.); #237757=ORIENTED_EDGE('',*,*,#185666,.F.); #237758=ORIENTED_EDGE('',*,*,#185665,.F.); #237759=ORIENTED_EDGE('',*,*,#185667,.F.); #237760=ORIENTED_EDGE('',*,*,#185668,.T.); #237761=ORIENTED_EDGE('',*,*,#185669,.T.); #237762=ORIENTED_EDGE('',*,*,#185668,.F.); #237763=ORIENTED_EDGE('',*,*,#185667,.T.); #237764=ORIENTED_EDGE('',*,*,#185664,.T.); #237765=ORIENTED_EDGE('',*,*,#185669,.F.); #237766=ORIENTED_EDGE('',*,*,#185666,.T.); #237767=ORIENTED_EDGE('',*,*,#185670,.F.); #237768=ORIENTED_EDGE('',*,*,#185671,.T.); #237769=ORIENTED_EDGE('',*,*,#185672,.F.); #237770=ORIENTED_EDGE('',*,*,#185671,.F.); #237771=ORIENTED_EDGE('',*,*,#185673,.F.); #237772=ORIENTED_EDGE('',*,*,#185674,.T.); #237773=ORIENTED_EDGE('',*,*,#185675,.T.); #237774=ORIENTED_EDGE('',*,*,#185674,.F.); #237775=ORIENTED_EDGE('',*,*,#185673,.T.); #237776=ORIENTED_EDGE('',*,*,#185670,.T.); #237777=ORIENTED_EDGE('',*,*,#185675,.F.); #237778=ORIENTED_EDGE('',*,*,#185672,.T.); #237779=ORIENTED_EDGE('',*,*,#185676,.F.); #237780=ORIENTED_EDGE('',*,*,#185677,.T.); #237781=ORIENTED_EDGE('',*,*,#185678,.F.); #237782=ORIENTED_EDGE('',*,*,#185677,.F.); #237783=ORIENTED_EDGE('',*,*,#185679,.F.); #237784=ORIENTED_EDGE('',*,*,#185680,.T.); #237785=ORIENTED_EDGE('',*,*,#185681,.T.); #237786=ORIENTED_EDGE('',*,*,#185680,.F.); #237787=ORIENTED_EDGE('',*,*,#185679,.T.); #237788=ORIENTED_EDGE('',*,*,#185676,.T.); #237789=ORIENTED_EDGE('',*,*,#185681,.F.); #237790=ORIENTED_EDGE('',*,*,#185678,.T.); #237791=ORIENTED_EDGE('',*,*,#185682,.F.); #237792=ORIENTED_EDGE('',*,*,#185683,.T.); #237793=ORIENTED_EDGE('',*,*,#185684,.F.); #237794=ORIENTED_EDGE('',*,*,#185683,.F.); #237795=ORIENTED_EDGE('',*,*,#185685,.F.); #237796=ORIENTED_EDGE('',*,*,#185686,.T.); #237797=ORIENTED_EDGE('',*,*,#185687,.T.); #237798=ORIENTED_EDGE('',*,*,#185686,.F.); #237799=ORIENTED_EDGE('',*,*,#185685,.T.); #237800=ORIENTED_EDGE('',*,*,#185682,.T.); #237801=ORIENTED_EDGE('',*,*,#185687,.F.); #237802=ORIENTED_EDGE('',*,*,#185684,.T.); #237803=ORIENTED_EDGE('',*,*,#185688,.F.); #237804=ORIENTED_EDGE('',*,*,#185689,.T.); #237805=ORIENTED_EDGE('',*,*,#185690,.F.); #237806=ORIENTED_EDGE('',*,*,#185689,.F.); #237807=ORIENTED_EDGE('',*,*,#185691,.F.); #237808=ORIENTED_EDGE('',*,*,#185692,.T.); #237809=ORIENTED_EDGE('',*,*,#185693,.T.); #237810=ORIENTED_EDGE('',*,*,#185692,.F.); #237811=ORIENTED_EDGE('',*,*,#185691,.T.); #237812=ORIENTED_EDGE('',*,*,#185688,.T.); #237813=ORIENTED_EDGE('',*,*,#185693,.F.); #237814=ORIENTED_EDGE('',*,*,#185690,.T.); #237815=ORIENTED_EDGE('',*,*,#185694,.F.); #237816=ORIENTED_EDGE('',*,*,#185695,.T.); #237817=ORIENTED_EDGE('',*,*,#185696,.F.); #237818=ORIENTED_EDGE('',*,*,#185695,.F.); #237819=ORIENTED_EDGE('',*,*,#185697,.F.); #237820=ORIENTED_EDGE('',*,*,#185698,.T.); #237821=ORIENTED_EDGE('',*,*,#185699,.T.); #237822=ORIENTED_EDGE('',*,*,#185698,.F.); #237823=ORIENTED_EDGE('',*,*,#185697,.T.); #237824=ORIENTED_EDGE('',*,*,#185694,.T.); #237825=ORIENTED_EDGE('',*,*,#185699,.F.); #237826=ORIENTED_EDGE('',*,*,#185696,.T.); #237827=ORIENTED_EDGE('',*,*,#185700,.F.); #237828=ORIENTED_EDGE('',*,*,#185701,.T.); #237829=ORIENTED_EDGE('',*,*,#185702,.F.); #237830=ORIENTED_EDGE('',*,*,#185701,.F.); #237831=ORIENTED_EDGE('',*,*,#185703,.F.); #237832=ORIENTED_EDGE('',*,*,#185704,.T.); #237833=ORIENTED_EDGE('',*,*,#185705,.T.); #237834=ORIENTED_EDGE('',*,*,#185704,.F.); #237835=ORIENTED_EDGE('',*,*,#185703,.T.); #237836=ORIENTED_EDGE('',*,*,#185700,.T.); #237837=ORIENTED_EDGE('',*,*,#185705,.F.); #237838=ORIENTED_EDGE('',*,*,#185702,.T.); #237839=ORIENTED_EDGE('',*,*,#185706,.F.); #237840=ORIENTED_EDGE('',*,*,#185707,.T.); #237841=ORIENTED_EDGE('',*,*,#185708,.F.); #237842=ORIENTED_EDGE('',*,*,#185707,.F.); #237843=ORIENTED_EDGE('',*,*,#185709,.F.); #237844=ORIENTED_EDGE('',*,*,#185710,.T.); #237845=ORIENTED_EDGE('',*,*,#185711,.T.); #237846=ORIENTED_EDGE('',*,*,#185710,.F.); #237847=ORIENTED_EDGE('',*,*,#185709,.T.); #237848=ORIENTED_EDGE('',*,*,#185706,.T.); #237849=ORIENTED_EDGE('',*,*,#185711,.F.); #237850=ORIENTED_EDGE('',*,*,#185708,.T.); #237851=ORIENTED_EDGE('',*,*,#185712,.F.); #237852=ORIENTED_EDGE('',*,*,#185713,.T.); #237853=ORIENTED_EDGE('',*,*,#185714,.F.); #237854=ORIENTED_EDGE('',*,*,#185713,.F.); #237855=ORIENTED_EDGE('',*,*,#185715,.F.); #237856=ORIENTED_EDGE('',*,*,#185716,.T.); #237857=ORIENTED_EDGE('',*,*,#185717,.T.); #237858=ORIENTED_EDGE('',*,*,#185716,.F.); #237859=ORIENTED_EDGE('',*,*,#185715,.T.); #237860=ORIENTED_EDGE('',*,*,#185712,.T.); #237861=ORIENTED_EDGE('',*,*,#185717,.F.); #237862=ORIENTED_EDGE('',*,*,#185714,.T.); #237863=ORIENTED_EDGE('',*,*,#185718,.F.); #237864=ORIENTED_EDGE('',*,*,#185719,.T.); #237865=ORIENTED_EDGE('',*,*,#185720,.F.); #237866=ORIENTED_EDGE('',*,*,#185719,.F.); #237867=ORIENTED_EDGE('',*,*,#185721,.F.); #237868=ORIENTED_EDGE('',*,*,#185722,.T.); #237869=ORIENTED_EDGE('',*,*,#185723,.T.); #237870=ORIENTED_EDGE('',*,*,#185722,.F.); #237871=ORIENTED_EDGE('',*,*,#185721,.T.); #237872=ORIENTED_EDGE('',*,*,#185718,.T.); #237873=ORIENTED_EDGE('',*,*,#185723,.F.); #237874=ORIENTED_EDGE('',*,*,#185720,.T.); #237875=ORIENTED_EDGE('',*,*,#185724,.F.); #237876=ORIENTED_EDGE('',*,*,#185725,.T.); #237877=ORIENTED_EDGE('',*,*,#185726,.F.); #237878=ORIENTED_EDGE('',*,*,#185725,.F.); #237879=ORIENTED_EDGE('',*,*,#185727,.F.); #237880=ORIENTED_EDGE('',*,*,#185728,.T.); #237881=ORIENTED_EDGE('',*,*,#185729,.T.); #237882=ORIENTED_EDGE('',*,*,#185728,.F.); #237883=ORIENTED_EDGE('',*,*,#185727,.T.); #237884=ORIENTED_EDGE('',*,*,#185724,.T.); #237885=ORIENTED_EDGE('',*,*,#185729,.F.); #237886=ORIENTED_EDGE('',*,*,#185726,.T.); #237887=ORIENTED_EDGE('',*,*,#185730,.F.); #237888=ORIENTED_EDGE('',*,*,#185731,.T.); #237889=ORIENTED_EDGE('',*,*,#185732,.F.); #237890=ORIENTED_EDGE('',*,*,#185731,.F.); #237891=ORIENTED_EDGE('',*,*,#185733,.F.); #237892=ORIENTED_EDGE('',*,*,#185734,.T.); #237893=ORIENTED_EDGE('',*,*,#185735,.T.); #237894=ORIENTED_EDGE('',*,*,#185734,.F.); #237895=ORIENTED_EDGE('',*,*,#185733,.T.); #237896=ORIENTED_EDGE('',*,*,#185730,.T.); #237897=ORIENTED_EDGE('',*,*,#185735,.F.); #237898=ORIENTED_EDGE('',*,*,#185732,.T.); #237899=ORIENTED_EDGE('',*,*,#185736,.F.); #237900=ORIENTED_EDGE('',*,*,#185737,.T.); #237901=ORIENTED_EDGE('',*,*,#185738,.F.); #237902=ORIENTED_EDGE('',*,*,#185737,.F.); #237903=ORIENTED_EDGE('',*,*,#185739,.F.); #237904=ORIENTED_EDGE('',*,*,#185740,.T.); #237905=ORIENTED_EDGE('',*,*,#185741,.T.); #237906=ORIENTED_EDGE('',*,*,#185740,.F.); #237907=ORIENTED_EDGE('',*,*,#185739,.T.); #237908=ORIENTED_EDGE('',*,*,#185736,.T.); #237909=ORIENTED_EDGE('',*,*,#185741,.F.); #237910=ORIENTED_EDGE('',*,*,#185738,.T.); #237911=ORIENTED_EDGE('',*,*,#185742,.F.); #237912=ORIENTED_EDGE('',*,*,#185743,.T.); #237913=ORIENTED_EDGE('',*,*,#185744,.F.); #237914=ORIENTED_EDGE('',*,*,#185743,.F.); #237915=ORIENTED_EDGE('',*,*,#185745,.F.); #237916=ORIENTED_EDGE('',*,*,#185746,.T.); #237917=ORIENTED_EDGE('',*,*,#185747,.T.); #237918=ORIENTED_EDGE('',*,*,#185746,.F.); #237919=ORIENTED_EDGE('',*,*,#185745,.T.); #237920=ORIENTED_EDGE('',*,*,#185742,.T.); #237921=ORIENTED_EDGE('',*,*,#185747,.F.); #237922=ORIENTED_EDGE('',*,*,#185744,.T.); #237923=ORIENTED_EDGE('',*,*,#185748,.F.); #237924=ORIENTED_EDGE('',*,*,#185749,.T.); #237925=ORIENTED_EDGE('',*,*,#185750,.F.); #237926=ORIENTED_EDGE('',*,*,#185749,.F.); #237927=ORIENTED_EDGE('',*,*,#185751,.F.); #237928=ORIENTED_EDGE('',*,*,#185752,.T.); #237929=ORIENTED_EDGE('',*,*,#185753,.T.); #237930=ORIENTED_EDGE('',*,*,#185752,.F.); #237931=ORIENTED_EDGE('',*,*,#185751,.T.); #237932=ORIENTED_EDGE('',*,*,#185748,.T.); #237933=ORIENTED_EDGE('',*,*,#185753,.F.); #237934=ORIENTED_EDGE('',*,*,#185750,.T.); #237935=ORIENTED_EDGE('',*,*,#185754,.F.); #237936=ORIENTED_EDGE('',*,*,#185755,.T.); #237937=ORIENTED_EDGE('',*,*,#185756,.F.); #237938=ORIENTED_EDGE('',*,*,#185755,.F.); #237939=ORIENTED_EDGE('',*,*,#185757,.F.); #237940=ORIENTED_EDGE('',*,*,#185758,.T.); #237941=ORIENTED_EDGE('',*,*,#185759,.T.); #237942=ORIENTED_EDGE('',*,*,#185758,.F.); #237943=ORIENTED_EDGE('',*,*,#185757,.T.); #237944=ORIENTED_EDGE('',*,*,#185754,.T.); #237945=ORIENTED_EDGE('',*,*,#185759,.F.); #237946=ORIENTED_EDGE('',*,*,#185756,.T.); #237947=ORIENTED_EDGE('',*,*,#185760,.F.); #237948=ORIENTED_EDGE('',*,*,#185761,.T.); #237949=ORIENTED_EDGE('',*,*,#185762,.F.); #237950=ORIENTED_EDGE('',*,*,#185761,.F.); #237951=ORIENTED_EDGE('',*,*,#185763,.F.); #237952=ORIENTED_EDGE('',*,*,#185764,.T.); #237953=ORIENTED_EDGE('',*,*,#185765,.T.); #237954=ORIENTED_EDGE('',*,*,#185764,.F.); #237955=ORIENTED_EDGE('',*,*,#185763,.T.); #237956=ORIENTED_EDGE('',*,*,#185760,.T.); #237957=ORIENTED_EDGE('',*,*,#185765,.F.); #237958=ORIENTED_EDGE('',*,*,#185762,.T.); #237959=ORIENTED_EDGE('',*,*,#185766,.F.); #237960=ORIENTED_EDGE('',*,*,#185767,.T.); #237961=ORIENTED_EDGE('',*,*,#185768,.F.); #237962=ORIENTED_EDGE('',*,*,#185767,.F.); #237963=ORIENTED_EDGE('',*,*,#185769,.F.); #237964=ORIENTED_EDGE('',*,*,#185770,.T.); #237965=ORIENTED_EDGE('',*,*,#185771,.T.); #237966=ORIENTED_EDGE('',*,*,#185770,.F.); #237967=ORIENTED_EDGE('',*,*,#185769,.T.); #237968=ORIENTED_EDGE('',*,*,#185766,.T.); #237969=ORIENTED_EDGE('',*,*,#185771,.F.); #237970=ORIENTED_EDGE('',*,*,#185768,.T.); #237971=ORIENTED_EDGE('',*,*,#185772,.F.); #237972=ORIENTED_EDGE('',*,*,#185773,.T.); #237973=ORIENTED_EDGE('',*,*,#185774,.F.); #237974=ORIENTED_EDGE('',*,*,#185773,.F.); #237975=ORIENTED_EDGE('',*,*,#185775,.F.); #237976=ORIENTED_EDGE('',*,*,#185776,.T.); #237977=ORIENTED_EDGE('',*,*,#185777,.T.); #237978=ORIENTED_EDGE('',*,*,#185776,.F.); #237979=ORIENTED_EDGE('',*,*,#185775,.T.); #237980=ORIENTED_EDGE('',*,*,#185772,.T.); #237981=ORIENTED_EDGE('',*,*,#185777,.F.); #237982=ORIENTED_EDGE('',*,*,#185774,.T.); #237983=ORIENTED_EDGE('',*,*,#185778,.F.); #237984=ORIENTED_EDGE('',*,*,#185779,.T.); #237985=ORIENTED_EDGE('',*,*,#185780,.F.); #237986=ORIENTED_EDGE('',*,*,#185779,.F.); #237987=ORIENTED_EDGE('',*,*,#185781,.F.); #237988=ORIENTED_EDGE('',*,*,#185782,.T.); #237989=ORIENTED_EDGE('',*,*,#185783,.T.); #237990=ORIENTED_EDGE('',*,*,#185782,.F.); #237991=ORIENTED_EDGE('',*,*,#185781,.T.); #237992=ORIENTED_EDGE('',*,*,#185778,.T.); #237993=ORIENTED_EDGE('',*,*,#185783,.F.); #237994=ORIENTED_EDGE('',*,*,#185780,.T.); #237995=ORIENTED_EDGE('',*,*,#185784,.F.); #237996=ORIENTED_EDGE('',*,*,#185785,.T.); #237997=ORIENTED_EDGE('',*,*,#185786,.F.); #237998=ORIENTED_EDGE('',*,*,#185785,.F.); #237999=ORIENTED_EDGE('',*,*,#185787,.F.); #238000=ORIENTED_EDGE('',*,*,#185788,.T.); #238001=ORIENTED_EDGE('',*,*,#185789,.T.); #238002=ORIENTED_EDGE('',*,*,#185788,.F.); #238003=ORIENTED_EDGE('',*,*,#185787,.T.); #238004=ORIENTED_EDGE('',*,*,#185784,.T.); #238005=ORIENTED_EDGE('',*,*,#185789,.F.); #238006=ORIENTED_EDGE('',*,*,#185786,.T.); #238007=ORIENTED_EDGE('',*,*,#185790,.F.); #238008=ORIENTED_EDGE('',*,*,#185791,.T.); #238009=ORIENTED_EDGE('',*,*,#185792,.F.); #238010=ORIENTED_EDGE('',*,*,#185791,.F.); #238011=ORIENTED_EDGE('',*,*,#185793,.F.); #238012=ORIENTED_EDGE('',*,*,#185794,.T.); #238013=ORIENTED_EDGE('',*,*,#185795,.T.); #238014=ORIENTED_EDGE('',*,*,#185794,.F.); #238015=ORIENTED_EDGE('',*,*,#185793,.T.); #238016=ORIENTED_EDGE('',*,*,#185790,.T.); #238017=ORIENTED_EDGE('',*,*,#185795,.F.); #238018=ORIENTED_EDGE('',*,*,#185792,.T.); #238019=ORIENTED_EDGE('',*,*,#185796,.F.); #238020=ORIENTED_EDGE('',*,*,#185797,.T.); #238021=ORIENTED_EDGE('',*,*,#185798,.F.); #238022=ORIENTED_EDGE('',*,*,#185797,.F.); #238023=ORIENTED_EDGE('',*,*,#185799,.F.); #238024=ORIENTED_EDGE('',*,*,#185800,.T.); #238025=ORIENTED_EDGE('',*,*,#185801,.T.); #238026=ORIENTED_EDGE('',*,*,#185800,.F.); #238027=ORIENTED_EDGE('',*,*,#185799,.T.); #238028=ORIENTED_EDGE('',*,*,#185796,.T.); #238029=ORIENTED_EDGE('',*,*,#185801,.F.); #238030=ORIENTED_EDGE('',*,*,#185798,.T.); #238031=ORIENTED_EDGE('',*,*,#185802,.F.); #238032=ORIENTED_EDGE('',*,*,#185803,.T.); #238033=ORIENTED_EDGE('',*,*,#185804,.F.); #238034=ORIENTED_EDGE('',*,*,#185803,.F.); #238035=ORIENTED_EDGE('',*,*,#185805,.F.); #238036=ORIENTED_EDGE('',*,*,#185806,.T.); #238037=ORIENTED_EDGE('',*,*,#185807,.T.); #238038=ORIENTED_EDGE('',*,*,#185806,.F.); #238039=ORIENTED_EDGE('',*,*,#185805,.T.); #238040=ORIENTED_EDGE('',*,*,#185802,.T.); #238041=ORIENTED_EDGE('',*,*,#185807,.F.); #238042=ORIENTED_EDGE('',*,*,#185804,.T.); #238043=ORIENTED_EDGE('',*,*,#185808,.F.); #238044=ORIENTED_EDGE('',*,*,#185809,.T.); #238045=ORIENTED_EDGE('',*,*,#185810,.F.); #238046=ORIENTED_EDGE('',*,*,#185809,.F.); #238047=ORIENTED_EDGE('',*,*,#185811,.F.); #238048=ORIENTED_EDGE('',*,*,#185812,.T.); #238049=ORIENTED_EDGE('',*,*,#185813,.T.); #238050=ORIENTED_EDGE('',*,*,#185812,.F.); #238051=ORIENTED_EDGE('',*,*,#185811,.T.); #238052=ORIENTED_EDGE('',*,*,#185808,.T.); #238053=ORIENTED_EDGE('',*,*,#185813,.F.); #238054=ORIENTED_EDGE('',*,*,#185810,.T.); #238055=ORIENTED_EDGE('',*,*,#185814,.F.); #238056=ORIENTED_EDGE('',*,*,#185815,.T.); #238057=ORIENTED_EDGE('',*,*,#185816,.F.); #238058=ORIENTED_EDGE('',*,*,#185815,.F.); #238059=ORIENTED_EDGE('',*,*,#185817,.F.); #238060=ORIENTED_EDGE('',*,*,#185818,.T.); #238061=ORIENTED_EDGE('',*,*,#185819,.T.); #238062=ORIENTED_EDGE('',*,*,#185818,.F.); #238063=ORIENTED_EDGE('',*,*,#185817,.T.); #238064=ORIENTED_EDGE('',*,*,#185814,.T.); #238065=ORIENTED_EDGE('',*,*,#185819,.F.); #238066=ORIENTED_EDGE('',*,*,#185816,.T.); #238067=ORIENTED_EDGE('',*,*,#185820,.F.); #238068=ORIENTED_EDGE('',*,*,#185821,.T.); #238069=ORIENTED_EDGE('',*,*,#185822,.F.); #238070=ORIENTED_EDGE('',*,*,#185821,.F.); #238071=ORIENTED_EDGE('',*,*,#185823,.F.); #238072=ORIENTED_EDGE('',*,*,#185824,.T.); #238073=ORIENTED_EDGE('',*,*,#185825,.T.); #238074=ORIENTED_EDGE('',*,*,#185824,.F.); #238075=ORIENTED_EDGE('',*,*,#185823,.T.); #238076=ORIENTED_EDGE('',*,*,#185820,.T.); #238077=ORIENTED_EDGE('',*,*,#185825,.F.); #238078=ORIENTED_EDGE('',*,*,#185822,.T.); #238079=ORIENTED_EDGE('',*,*,#185826,.F.); #238080=ORIENTED_EDGE('',*,*,#185827,.T.); #238081=ORIENTED_EDGE('',*,*,#185828,.F.); #238082=ORIENTED_EDGE('',*,*,#185827,.F.); #238083=ORIENTED_EDGE('',*,*,#185829,.F.); #238084=ORIENTED_EDGE('',*,*,#185830,.T.); #238085=ORIENTED_EDGE('',*,*,#185831,.T.); #238086=ORIENTED_EDGE('',*,*,#185830,.F.); #238087=ORIENTED_EDGE('',*,*,#185829,.T.); #238088=ORIENTED_EDGE('',*,*,#185826,.T.); #238089=ORIENTED_EDGE('',*,*,#185831,.F.); #238090=ORIENTED_EDGE('',*,*,#185828,.T.); #238091=ORIENTED_EDGE('',*,*,#185832,.F.); #238092=ORIENTED_EDGE('',*,*,#185833,.T.); #238093=ORIENTED_EDGE('',*,*,#185834,.F.); #238094=ORIENTED_EDGE('',*,*,#185833,.F.); #238095=ORIENTED_EDGE('',*,*,#185835,.F.); #238096=ORIENTED_EDGE('',*,*,#185836,.T.); #238097=ORIENTED_EDGE('',*,*,#185837,.T.); #238098=ORIENTED_EDGE('',*,*,#185836,.F.); #238099=ORIENTED_EDGE('',*,*,#185835,.T.); #238100=ORIENTED_EDGE('',*,*,#185832,.T.); #238101=ORIENTED_EDGE('',*,*,#185837,.F.); #238102=ORIENTED_EDGE('',*,*,#185834,.T.); #238103=ORIENTED_EDGE('',*,*,#185838,.F.); #238104=ORIENTED_EDGE('',*,*,#185839,.T.); #238105=ORIENTED_EDGE('',*,*,#185840,.F.); #238106=ORIENTED_EDGE('',*,*,#185839,.F.); #238107=ORIENTED_EDGE('',*,*,#185841,.F.); #238108=ORIENTED_EDGE('',*,*,#185842,.T.); #238109=ORIENTED_EDGE('',*,*,#185843,.T.); #238110=ORIENTED_EDGE('',*,*,#185842,.F.); #238111=ORIENTED_EDGE('',*,*,#185841,.T.); #238112=ORIENTED_EDGE('',*,*,#185838,.T.); #238113=ORIENTED_EDGE('',*,*,#185843,.F.); #238114=ORIENTED_EDGE('',*,*,#185840,.T.); #238115=ORIENTED_EDGE('',*,*,#185844,.F.); #238116=ORIENTED_EDGE('',*,*,#185845,.T.); #238117=ORIENTED_EDGE('',*,*,#185846,.F.); #238118=ORIENTED_EDGE('',*,*,#185845,.F.); #238119=ORIENTED_EDGE('',*,*,#185847,.F.); #238120=ORIENTED_EDGE('',*,*,#185848,.T.); #238121=ORIENTED_EDGE('',*,*,#185849,.T.); #238122=ORIENTED_EDGE('',*,*,#185848,.F.); #238123=ORIENTED_EDGE('',*,*,#185847,.T.); #238124=ORIENTED_EDGE('',*,*,#185844,.T.); #238125=ORIENTED_EDGE('',*,*,#185849,.F.); #238126=ORIENTED_EDGE('',*,*,#185846,.T.); #238127=ORIENTED_EDGE('',*,*,#185850,.F.); #238128=ORIENTED_EDGE('',*,*,#185851,.T.); #238129=ORIENTED_EDGE('',*,*,#185852,.F.); #238130=ORIENTED_EDGE('',*,*,#185851,.F.); #238131=ORIENTED_EDGE('',*,*,#185853,.F.); #238132=ORIENTED_EDGE('',*,*,#185854,.T.); #238133=ORIENTED_EDGE('',*,*,#185855,.T.); #238134=ORIENTED_EDGE('',*,*,#185854,.F.); #238135=ORIENTED_EDGE('',*,*,#185853,.T.); #238136=ORIENTED_EDGE('',*,*,#185850,.T.); #238137=ORIENTED_EDGE('',*,*,#185855,.F.); #238138=ORIENTED_EDGE('',*,*,#185852,.T.); #238139=ORIENTED_EDGE('',*,*,#185856,.F.); #238140=ORIENTED_EDGE('',*,*,#185857,.T.); #238141=ORIENTED_EDGE('',*,*,#185858,.F.); #238142=ORIENTED_EDGE('',*,*,#185857,.F.); #238143=ORIENTED_EDGE('',*,*,#185859,.F.); #238144=ORIENTED_EDGE('',*,*,#185860,.T.); #238145=ORIENTED_EDGE('',*,*,#185861,.T.); #238146=ORIENTED_EDGE('',*,*,#185860,.F.); #238147=ORIENTED_EDGE('',*,*,#185859,.T.); #238148=ORIENTED_EDGE('',*,*,#185856,.T.); #238149=ORIENTED_EDGE('',*,*,#185861,.F.); #238150=ORIENTED_EDGE('',*,*,#185858,.T.); #238151=ORIENTED_EDGE('',*,*,#185862,.F.); #238152=ORIENTED_EDGE('',*,*,#185863,.T.); #238153=ORIENTED_EDGE('',*,*,#185864,.F.); #238154=ORIENTED_EDGE('',*,*,#185863,.F.); #238155=ORIENTED_EDGE('',*,*,#185865,.F.); #238156=ORIENTED_EDGE('',*,*,#185866,.T.); #238157=ORIENTED_EDGE('',*,*,#185867,.T.); #238158=ORIENTED_EDGE('',*,*,#185866,.F.); #238159=ORIENTED_EDGE('',*,*,#185865,.T.); #238160=ORIENTED_EDGE('',*,*,#185862,.T.); #238161=ORIENTED_EDGE('',*,*,#185867,.F.); #238162=ORIENTED_EDGE('',*,*,#185864,.T.); #238163=ORIENTED_EDGE('',*,*,#185868,.F.); #238164=ORIENTED_EDGE('',*,*,#185869,.T.); #238165=ORIENTED_EDGE('',*,*,#185870,.F.); #238166=ORIENTED_EDGE('',*,*,#185869,.F.); #238167=ORIENTED_EDGE('',*,*,#185871,.F.); #238168=ORIENTED_EDGE('',*,*,#185872,.T.); #238169=ORIENTED_EDGE('',*,*,#185873,.T.); #238170=ORIENTED_EDGE('',*,*,#185872,.F.); #238171=ORIENTED_EDGE('',*,*,#185871,.T.); #238172=ORIENTED_EDGE('',*,*,#185868,.T.); #238173=ORIENTED_EDGE('',*,*,#185873,.F.); #238174=ORIENTED_EDGE('',*,*,#185870,.T.); #238175=ORIENTED_EDGE('',*,*,#185874,.F.); #238176=ORIENTED_EDGE('',*,*,#185875,.T.); #238177=ORIENTED_EDGE('',*,*,#185876,.F.); #238178=ORIENTED_EDGE('',*,*,#185875,.F.); #238179=ORIENTED_EDGE('',*,*,#185877,.F.); #238180=ORIENTED_EDGE('',*,*,#185878,.T.); #238181=ORIENTED_EDGE('',*,*,#185879,.T.); #238182=ORIENTED_EDGE('',*,*,#185878,.F.); #238183=ORIENTED_EDGE('',*,*,#185877,.T.); #238184=ORIENTED_EDGE('',*,*,#185874,.T.); #238185=ORIENTED_EDGE('',*,*,#185879,.F.); #238186=ORIENTED_EDGE('',*,*,#185876,.T.); #238187=ORIENTED_EDGE('',*,*,#185880,.F.); #238188=ORIENTED_EDGE('',*,*,#185881,.T.); #238189=ORIENTED_EDGE('',*,*,#185882,.F.); #238190=ORIENTED_EDGE('',*,*,#185881,.F.); #238191=ORIENTED_EDGE('',*,*,#185883,.F.); #238192=ORIENTED_EDGE('',*,*,#185884,.T.); #238193=ORIENTED_EDGE('',*,*,#185885,.T.); #238194=ORIENTED_EDGE('',*,*,#185884,.F.); #238195=ORIENTED_EDGE('',*,*,#185883,.T.); #238196=ORIENTED_EDGE('',*,*,#185880,.T.); #238197=ORIENTED_EDGE('',*,*,#185885,.F.); #238198=ORIENTED_EDGE('',*,*,#185882,.T.); #238199=ORIENTED_EDGE('',*,*,#185886,.F.); #238200=ORIENTED_EDGE('',*,*,#185887,.T.); #238201=ORIENTED_EDGE('',*,*,#185888,.F.); #238202=ORIENTED_EDGE('',*,*,#185887,.F.); #238203=ORIENTED_EDGE('',*,*,#185889,.F.); #238204=ORIENTED_EDGE('',*,*,#185890,.T.); #238205=ORIENTED_EDGE('',*,*,#185891,.T.); #238206=ORIENTED_EDGE('',*,*,#185890,.F.); #238207=ORIENTED_EDGE('',*,*,#185889,.T.); #238208=ORIENTED_EDGE('',*,*,#185886,.T.); #238209=ORIENTED_EDGE('',*,*,#185891,.F.); #238210=ORIENTED_EDGE('',*,*,#185888,.T.); #238211=ORIENTED_EDGE('',*,*,#185892,.F.); #238212=ORIENTED_EDGE('',*,*,#185893,.T.); #238213=ORIENTED_EDGE('',*,*,#185894,.F.); #238214=ORIENTED_EDGE('',*,*,#185893,.F.); #238215=ORIENTED_EDGE('',*,*,#185895,.F.); #238216=ORIENTED_EDGE('',*,*,#185896,.T.); #238217=ORIENTED_EDGE('',*,*,#185897,.T.); #238218=ORIENTED_EDGE('',*,*,#185896,.F.); #238219=ORIENTED_EDGE('',*,*,#185895,.T.); #238220=ORIENTED_EDGE('',*,*,#185892,.T.); #238221=ORIENTED_EDGE('',*,*,#185897,.F.); #238222=ORIENTED_EDGE('',*,*,#185894,.T.); #238223=ORIENTED_EDGE('',*,*,#185898,.F.); #238224=ORIENTED_EDGE('',*,*,#185899,.T.); #238225=ORIENTED_EDGE('',*,*,#185900,.F.); #238226=ORIENTED_EDGE('',*,*,#185899,.F.); #238227=ORIENTED_EDGE('',*,*,#185901,.F.); #238228=ORIENTED_EDGE('',*,*,#185902,.T.); #238229=ORIENTED_EDGE('',*,*,#185903,.T.); #238230=ORIENTED_EDGE('',*,*,#185902,.F.); #238231=ORIENTED_EDGE('',*,*,#185901,.T.); #238232=ORIENTED_EDGE('',*,*,#185898,.T.); #238233=ORIENTED_EDGE('',*,*,#185903,.F.); #238234=ORIENTED_EDGE('',*,*,#185900,.T.); #238235=ORIENTED_EDGE('',*,*,#185904,.F.); #238236=ORIENTED_EDGE('',*,*,#185905,.T.); #238237=ORIENTED_EDGE('',*,*,#185906,.F.); #238238=ORIENTED_EDGE('',*,*,#185905,.F.); #238239=ORIENTED_EDGE('',*,*,#185907,.F.); #238240=ORIENTED_EDGE('',*,*,#185908,.T.); #238241=ORIENTED_EDGE('',*,*,#185909,.T.); #238242=ORIENTED_EDGE('',*,*,#185908,.F.); #238243=ORIENTED_EDGE('',*,*,#185907,.T.); #238244=ORIENTED_EDGE('',*,*,#185904,.T.); #238245=ORIENTED_EDGE('',*,*,#185909,.F.); #238246=ORIENTED_EDGE('',*,*,#185906,.T.); #238247=ORIENTED_EDGE('',*,*,#185910,.F.); #238248=ORIENTED_EDGE('',*,*,#185911,.T.); #238249=ORIENTED_EDGE('',*,*,#185912,.F.); #238250=ORIENTED_EDGE('',*,*,#185911,.F.); #238251=ORIENTED_EDGE('',*,*,#185913,.F.); #238252=ORIENTED_EDGE('',*,*,#185914,.T.); #238253=ORIENTED_EDGE('',*,*,#185915,.T.); #238254=ORIENTED_EDGE('',*,*,#185914,.F.); #238255=ORIENTED_EDGE('',*,*,#185913,.T.); #238256=ORIENTED_EDGE('',*,*,#185910,.T.); #238257=ORIENTED_EDGE('',*,*,#185915,.F.); #238258=ORIENTED_EDGE('',*,*,#185912,.T.); #238259=ORIENTED_EDGE('',*,*,#185916,.F.); #238260=ORIENTED_EDGE('',*,*,#185917,.T.); #238261=ORIENTED_EDGE('',*,*,#185918,.F.); #238262=ORIENTED_EDGE('',*,*,#185917,.F.); #238263=ORIENTED_EDGE('',*,*,#185919,.F.); #238264=ORIENTED_EDGE('',*,*,#185920,.T.); #238265=ORIENTED_EDGE('',*,*,#185921,.T.); #238266=ORIENTED_EDGE('',*,*,#185920,.F.); #238267=ORIENTED_EDGE('',*,*,#185919,.T.); #238268=ORIENTED_EDGE('',*,*,#185916,.T.); #238269=ORIENTED_EDGE('',*,*,#185921,.F.); #238270=ORIENTED_EDGE('',*,*,#185918,.T.); #238271=ORIENTED_EDGE('',*,*,#185922,.F.); #238272=ORIENTED_EDGE('',*,*,#185923,.T.); #238273=ORIENTED_EDGE('',*,*,#185924,.F.); #238274=ORIENTED_EDGE('',*,*,#185923,.F.); #238275=ORIENTED_EDGE('',*,*,#185925,.F.); #238276=ORIENTED_EDGE('',*,*,#185926,.T.); #238277=ORIENTED_EDGE('',*,*,#185927,.T.); #238278=ORIENTED_EDGE('',*,*,#185926,.F.); #238279=ORIENTED_EDGE('',*,*,#185925,.T.); #238280=ORIENTED_EDGE('',*,*,#185922,.T.); #238281=ORIENTED_EDGE('',*,*,#185927,.F.); #238282=ORIENTED_EDGE('',*,*,#185924,.T.); #238283=ORIENTED_EDGE('',*,*,#185928,.F.); #238284=ORIENTED_EDGE('',*,*,#185929,.T.); #238285=ORIENTED_EDGE('',*,*,#185930,.F.); #238286=ORIENTED_EDGE('',*,*,#185929,.F.); #238287=ORIENTED_EDGE('',*,*,#185931,.F.); #238288=ORIENTED_EDGE('',*,*,#185932,.T.); #238289=ORIENTED_EDGE('',*,*,#185933,.T.); #238290=ORIENTED_EDGE('',*,*,#185932,.F.); #238291=ORIENTED_EDGE('',*,*,#185931,.T.); #238292=ORIENTED_EDGE('',*,*,#185928,.T.); #238293=ORIENTED_EDGE('',*,*,#185933,.F.); #238294=ORIENTED_EDGE('',*,*,#185930,.T.); #238295=ORIENTED_EDGE('',*,*,#185934,.F.); #238296=ORIENTED_EDGE('',*,*,#185935,.T.); #238297=ORIENTED_EDGE('',*,*,#185936,.F.); #238298=ORIENTED_EDGE('',*,*,#185935,.F.); #238299=ORIENTED_EDGE('',*,*,#185937,.F.); #238300=ORIENTED_EDGE('',*,*,#185938,.T.); #238301=ORIENTED_EDGE('',*,*,#185939,.T.); #238302=ORIENTED_EDGE('',*,*,#185938,.F.); #238303=ORIENTED_EDGE('',*,*,#185937,.T.); #238304=ORIENTED_EDGE('',*,*,#185934,.T.); #238305=ORIENTED_EDGE('',*,*,#185939,.F.); #238306=ORIENTED_EDGE('',*,*,#185936,.T.); #238307=ORIENTED_EDGE('',*,*,#185940,.F.); #238308=ORIENTED_EDGE('',*,*,#185941,.T.); #238309=ORIENTED_EDGE('',*,*,#185942,.F.); #238310=ORIENTED_EDGE('',*,*,#185941,.F.); #238311=ORIENTED_EDGE('',*,*,#185943,.F.); #238312=ORIENTED_EDGE('',*,*,#185944,.T.); #238313=ORIENTED_EDGE('',*,*,#185945,.T.); #238314=ORIENTED_EDGE('',*,*,#185944,.F.); #238315=ORIENTED_EDGE('',*,*,#185943,.T.); #238316=ORIENTED_EDGE('',*,*,#185940,.T.); #238317=ORIENTED_EDGE('',*,*,#185945,.F.); #238318=ORIENTED_EDGE('',*,*,#185942,.T.); #238319=ORIENTED_EDGE('',*,*,#185946,.F.); #238320=ORIENTED_EDGE('',*,*,#185947,.T.); #238321=ORIENTED_EDGE('',*,*,#185948,.F.); #238322=ORIENTED_EDGE('',*,*,#185947,.F.); #238323=ORIENTED_EDGE('',*,*,#185949,.F.); #238324=ORIENTED_EDGE('',*,*,#185950,.T.); #238325=ORIENTED_EDGE('',*,*,#185951,.T.); #238326=ORIENTED_EDGE('',*,*,#185950,.F.); #238327=ORIENTED_EDGE('',*,*,#185949,.T.); #238328=ORIENTED_EDGE('',*,*,#185946,.T.); #238329=ORIENTED_EDGE('',*,*,#185951,.F.); #238330=ORIENTED_EDGE('',*,*,#185948,.T.); #238331=ORIENTED_EDGE('',*,*,#185952,.F.); #238332=ORIENTED_EDGE('',*,*,#185953,.T.); #238333=ORIENTED_EDGE('',*,*,#185954,.F.); #238334=ORIENTED_EDGE('',*,*,#185953,.F.); #238335=ORIENTED_EDGE('',*,*,#185955,.F.); #238336=ORIENTED_EDGE('',*,*,#185956,.T.); #238337=ORIENTED_EDGE('',*,*,#185957,.T.); #238338=ORIENTED_EDGE('',*,*,#185956,.F.); #238339=ORIENTED_EDGE('',*,*,#185955,.T.); #238340=ORIENTED_EDGE('',*,*,#185952,.T.); #238341=ORIENTED_EDGE('',*,*,#185957,.F.); #238342=ORIENTED_EDGE('',*,*,#185954,.T.); #238343=ORIENTED_EDGE('',*,*,#185958,.F.); #238344=ORIENTED_EDGE('',*,*,#185959,.T.); #238345=ORIENTED_EDGE('',*,*,#185960,.F.); #238346=ORIENTED_EDGE('',*,*,#185959,.F.); #238347=ORIENTED_EDGE('',*,*,#185961,.F.); #238348=ORIENTED_EDGE('',*,*,#185962,.T.); #238349=ORIENTED_EDGE('',*,*,#185963,.T.); #238350=ORIENTED_EDGE('',*,*,#185962,.F.); #238351=ORIENTED_EDGE('',*,*,#185961,.T.); #238352=ORIENTED_EDGE('',*,*,#185958,.T.); #238353=ORIENTED_EDGE('',*,*,#185963,.F.); #238354=ORIENTED_EDGE('',*,*,#185960,.T.); #238355=ORIENTED_EDGE('',*,*,#185964,.F.); #238356=ORIENTED_EDGE('',*,*,#185965,.T.); #238357=ORIENTED_EDGE('',*,*,#185966,.F.); #238358=ORIENTED_EDGE('',*,*,#185965,.F.); #238359=ORIENTED_EDGE('',*,*,#185967,.F.); #238360=ORIENTED_EDGE('',*,*,#185968,.T.); #238361=ORIENTED_EDGE('',*,*,#185969,.T.); #238362=ORIENTED_EDGE('',*,*,#185968,.F.); #238363=ORIENTED_EDGE('',*,*,#185967,.T.); #238364=ORIENTED_EDGE('',*,*,#185964,.T.); #238365=ORIENTED_EDGE('',*,*,#185969,.F.); #238366=ORIENTED_EDGE('',*,*,#185966,.T.); #238367=ORIENTED_EDGE('',*,*,#185970,.F.); #238368=ORIENTED_EDGE('',*,*,#185971,.T.); #238369=ORIENTED_EDGE('',*,*,#185972,.F.); #238370=ORIENTED_EDGE('',*,*,#185971,.F.); #238371=ORIENTED_EDGE('',*,*,#185973,.F.); #238372=ORIENTED_EDGE('',*,*,#185974,.T.); #238373=ORIENTED_EDGE('',*,*,#185975,.T.); #238374=ORIENTED_EDGE('',*,*,#185974,.F.); #238375=ORIENTED_EDGE('',*,*,#185973,.T.); #238376=ORIENTED_EDGE('',*,*,#185970,.T.); #238377=ORIENTED_EDGE('',*,*,#185975,.F.); #238378=ORIENTED_EDGE('',*,*,#185972,.T.); #238379=ORIENTED_EDGE('',*,*,#185976,.F.); #238380=ORIENTED_EDGE('',*,*,#185977,.T.); #238381=ORIENTED_EDGE('',*,*,#185978,.F.); #238382=ORIENTED_EDGE('',*,*,#185977,.F.); #238383=ORIENTED_EDGE('',*,*,#185979,.F.); #238384=ORIENTED_EDGE('',*,*,#185980,.T.); #238385=ORIENTED_EDGE('',*,*,#185981,.T.); #238386=ORIENTED_EDGE('',*,*,#185980,.F.); #238387=ORIENTED_EDGE('',*,*,#185979,.T.); #238388=ORIENTED_EDGE('',*,*,#185976,.T.); #238389=ORIENTED_EDGE('',*,*,#185981,.F.); #238390=ORIENTED_EDGE('',*,*,#185978,.T.); #238391=ORIENTED_EDGE('',*,*,#185982,.F.); #238392=ORIENTED_EDGE('',*,*,#185983,.T.); #238393=ORIENTED_EDGE('',*,*,#185984,.F.); #238394=ORIENTED_EDGE('',*,*,#185983,.F.); #238395=ORIENTED_EDGE('',*,*,#185985,.F.); #238396=ORIENTED_EDGE('',*,*,#185986,.T.); #238397=ORIENTED_EDGE('',*,*,#185987,.T.); #238398=ORIENTED_EDGE('',*,*,#185986,.F.); #238399=ORIENTED_EDGE('',*,*,#185985,.T.); #238400=ORIENTED_EDGE('',*,*,#185982,.T.); #238401=ORIENTED_EDGE('',*,*,#185987,.F.); #238402=ORIENTED_EDGE('',*,*,#185984,.T.); #238403=ORIENTED_EDGE('',*,*,#185988,.F.); #238404=ORIENTED_EDGE('',*,*,#185989,.T.); #238405=ORIENTED_EDGE('',*,*,#185990,.F.); #238406=ORIENTED_EDGE('',*,*,#185989,.F.); #238407=ORIENTED_EDGE('',*,*,#185991,.F.); #238408=ORIENTED_EDGE('',*,*,#185992,.T.); #238409=ORIENTED_EDGE('',*,*,#185993,.T.); #238410=ORIENTED_EDGE('',*,*,#185992,.F.); #238411=ORIENTED_EDGE('',*,*,#185991,.T.); #238412=ORIENTED_EDGE('',*,*,#185988,.T.); #238413=ORIENTED_EDGE('',*,*,#185993,.F.); #238414=ORIENTED_EDGE('',*,*,#185990,.T.); #238415=ORIENTED_EDGE('',*,*,#185994,.F.); #238416=ORIENTED_EDGE('',*,*,#185995,.T.); #238417=ORIENTED_EDGE('',*,*,#185996,.F.); #238418=ORIENTED_EDGE('',*,*,#185995,.F.); #238419=ORIENTED_EDGE('',*,*,#185997,.F.); #238420=ORIENTED_EDGE('',*,*,#185998,.T.); #238421=ORIENTED_EDGE('',*,*,#185999,.T.); #238422=ORIENTED_EDGE('',*,*,#185998,.F.); #238423=ORIENTED_EDGE('',*,*,#185997,.T.); #238424=ORIENTED_EDGE('',*,*,#185994,.T.); #238425=ORIENTED_EDGE('',*,*,#185999,.F.); #238426=ORIENTED_EDGE('',*,*,#185996,.T.); #238427=ORIENTED_EDGE('',*,*,#186000,.F.); #238428=ORIENTED_EDGE('',*,*,#186001,.T.); #238429=ORIENTED_EDGE('',*,*,#186002,.F.); #238430=ORIENTED_EDGE('',*,*,#186001,.F.); #238431=ORIENTED_EDGE('',*,*,#186003,.F.); #238432=ORIENTED_EDGE('',*,*,#186004,.T.); #238433=ORIENTED_EDGE('',*,*,#186005,.T.); #238434=ORIENTED_EDGE('',*,*,#186004,.F.); #238435=ORIENTED_EDGE('',*,*,#186003,.T.); #238436=ORIENTED_EDGE('',*,*,#186000,.T.); #238437=ORIENTED_EDGE('',*,*,#186005,.F.); #238438=ORIENTED_EDGE('',*,*,#186002,.T.); #238439=ORIENTED_EDGE('',*,*,#186006,.F.); #238440=ORIENTED_EDGE('',*,*,#186007,.T.); #238441=ORIENTED_EDGE('',*,*,#186008,.F.); #238442=ORIENTED_EDGE('',*,*,#186007,.F.); #238443=ORIENTED_EDGE('',*,*,#186009,.F.); #238444=ORIENTED_EDGE('',*,*,#186010,.T.); #238445=ORIENTED_EDGE('',*,*,#186011,.T.); #238446=ORIENTED_EDGE('',*,*,#186010,.F.); #238447=ORIENTED_EDGE('',*,*,#186009,.T.); #238448=ORIENTED_EDGE('',*,*,#186006,.T.); #238449=ORIENTED_EDGE('',*,*,#186011,.F.); #238450=ORIENTED_EDGE('',*,*,#186008,.T.); #238451=ORIENTED_EDGE('',*,*,#186012,.F.); #238452=ORIENTED_EDGE('',*,*,#186013,.T.); #238453=ORIENTED_EDGE('',*,*,#186014,.F.); #238454=ORIENTED_EDGE('',*,*,#186013,.F.); #238455=ORIENTED_EDGE('',*,*,#186015,.F.); #238456=ORIENTED_EDGE('',*,*,#186016,.T.); #238457=ORIENTED_EDGE('',*,*,#186017,.T.); #238458=ORIENTED_EDGE('',*,*,#186016,.F.); #238459=ORIENTED_EDGE('',*,*,#186015,.T.); #238460=ORIENTED_EDGE('',*,*,#186012,.T.); #238461=ORIENTED_EDGE('',*,*,#186017,.F.); #238462=ORIENTED_EDGE('',*,*,#186014,.T.); #238463=ORIENTED_EDGE('',*,*,#186018,.F.); #238464=ORIENTED_EDGE('',*,*,#186019,.T.); #238465=ORIENTED_EDGE('',*,*,#186020,.F.); #238466=ORIENTED_EDGE('',*,*,#186019,.F.); #238467=ORIENTED_EDGE('',*,*,#186021,.F.); #238468=ORIENTED_EDGE('',*,*,#186022,.T.); #238469=ORIENTED_EDGE('',*,*,#186023,.T.); #238470=ORIENTED_EDGE('',*,*,#186022,.F.); #238471=ORIENTED_EDGE('',*,*,#186021,.T.); #238472=ORIENTED_EDGE('',*,*,#186018,.T.); #238473=ORIENTED_EDGE('',*,*,#186023,.F.); #238474=ORIENTED_EDGE('',*,*,#186020,.T.); #238475=ORIENTED_EDGE('',*,*,#186024,.F.); #238476=ORIENTED_EDGE('',*,*,#186025,.T.); #238477=ORIENTED_EDGE('',*,*,#186026,.F.); #238478=ORIENTED_EDGE('',*,*,#186025,.F.); #238479=ORIENTED_EDGE('',*,*,#186027,.F.); #238480=ORIENTED_EDGE('',*,*,#186028,.T.); #238481=ORIENTED_EDGE('',*,*,#186029,.T.); #238482=ORIENTED_EDGE('',*,*,#186028,.F.); #238483=ORIENTED_EDGE('',*,*,#186027,.T.); #238484=ORIENTED_EDGE('',*,*,#186024,.T.); #238485=ORIENTED_EDGE('',*,*,#186029,.F.); #238486=ORIENTED_EDGE('',*,*,#186026,.T.); #238487=ORIENTED_EDGE('',*,*,#186030,.F.); #238488=ORIENTED_EDGE('',*,*,#186031,.T.); #238489=ORIENTED_EDGE('',*,*,#186032,.F.); #238490=ORIENTED_EDGE('',*,*,#186031,.F.); #238491=ORIENTED_EDGE('',*,*,#186033,.F.); #238492=ORIENTED_EDGE('',*,*,#186034,.T.); #238493=ORIENTED_EDGE('',*,*,#186035,.T.); #238494=ORIENTED_EDGE('',*,*,#186034,.F.); #238495=ORIENTED_EDGE('',*,*,#186033,.T.); #238496=ORIENTED_EDGE('',*,*,#186030,.T.); #238497=ORIENTED_EDGE('',*,*,#186035,.F.); #238498=ORIENTED_EDGE('',*,*,#186032,.T.); #238499=ORIENTED_EDGE('',*,*,#186036,.F.); #238500=ORIENTED_EDGE('',*,*,#186037,.T.); #238501=ORIENTED_EDGE('',*,*,#186038,.F.); #238502=ORIENTED_EDGE('',*,*,#186037,.F.); #238503=ORIENTED_EDGE('',*,*,#186039,.F.); #238504=ORIENTED_EDGE('',*,*,#186040,.T.); #238505=ORIENTED_EDGE('',*,*,#186041,.T.); #238506=ORIENTED_EDGE('',*,*,#186040,.F.); #238507=ORIENTED_EDGE('',*,*,#186039,.T.); #238508=ORIENTED_EDGE('',*,*,#186036,.T.); #238509=ORIENTED_EDGE('',*,*,#186041,.F.); #238510=ORIENTED_EDGE('',*,*,#186038,.T.); #238511=ORIENTED_EDGE('',*,*,#186042,.F.); #238512=ORIENTED_EDGE('',*,*,#186043,.T.); #238513=ORIENTED_EDGE('',*,*,#186044,.F.); #238514=ORIENTED_EDGE('',*,*,#186043,.F.); #238515=ORIENTED_EDGE('',*,*,#186045,.F.); #238516=ORIENTED_EDGE('',*,*,#186046,.T.); #238517=ORIENTED_EDGE('',*,*,#186047,.T.); #238518=ORIENTED_EDGE('',*,*,#186046,.F.); #238519=ORIENTED_EDGE('',*,*,#186045,.T.); #238520=ORIENTED_EDGE('',*,*,#186042,.T.); #238521=ORIENTED_EDGE('',*,*,#186047,.F.); #238522=ORIENTED_EDGE('',*,*,#186044,.T.); #238523=ORIENTED_EDGE('',*,*,#186048,.F.); #238524=ORIENTED_EDGE('',*,*,#186049,.T.); #238525=ORIENTED_EDGE('',*,*,#186050,.F.); #238526=ORIENTED_EDGE('',*,*,#186049,.F.); #238527=ORIENTED_EDGE('',*,*,#186051,.F.); #238528=ORIENTED_EDGE('',*,*,#186052,.T.); #238529=ORIENTED_EDGE('',*,*,#186053,.T.); #238530=ORIENTED_EDGE('',*,*,#186052,.F.); #238531=ORIENTED_EDGE('',*,*,#186051,.T.); #238532=ORIENTED_EDGE('',*,*,#186048,.T.); #238533=ORIENTED_EDGE('',*,*,#186053,.F.); #238534=ORIENTED_EDGE('',*,*,#186050,.T.); #238535=ORIENTED_EDGE('',*,*,#186054,.F.); #238536=ORIENTED_EDGE('',*,*,#186055,.T.); #238537=ORIENTED_EDGE('',*,*,#186056,.F.); #238538=ORIENTED_EDGE('',*,*,#186055,.F.); #238539=ORIENTED_EDGE('',*,*,#186057,.F.); #238540=ORIENTED_EDGE('',*,*,#186058,.T.); #238541=ORIENTED_EDGE('',*,*,#186059,.T.); #238542=ORIENTED_EDGE('',*,*,#186058,.F.); #238543=ORIENTED_EDGE('',*,*,#186057,.T.); #238544=ORIENTED_EDGE('',*,*,#186054,.T.); #238545=ORIENTED_EDGE('',*,*,#186059,.F.); #238546=ORIENTED_EDGE('',*,*,#186056,.T.); #238547=ORIENTED_EDGE('',*,*,#186060,.F.); #238548=ORIENTED_EDGE('',*,*,#186061,.T.); #238549=ORIENTED_EDGE('',*,*,#186062,.F.); #238550=ORIENTED_EDGE('',*,*,#186061,.F.); #238551=ORIENTED_EDGE('',*,*,#186063,.F.); #238552=ORIENTED_EDGE('',*,*,#186064,.T.); #238553=ORIENTED_EDGE('',*,*,#186065,.T.); #238554=ORIENTED_EDGE('',*,*,#186064,.F.); #238555=ORIENTED_EDGE('',*,*,#186063,.T.); #238556=ORIENTED_EDGE('',*,*,#186060,.T.); #238557=ORIENTED_EDGE('',*,*,#186065,.F.); #238558=ORIENTED_EDGE('',*,*,#186062,.T.); #238559=ORIENTED_EDGE('',*,*,#186066,.F.); #238560=ORIENTED_EDGE('',*,*,#186067,.T.); #238561=ORIENTED_EDGE('',*,*,#186068,.F.); #238562=ORIENTED_EDGE('',*,*,#186067,.F.); #238563=ORIENTED_EDGE('',*,*,#186069,.F.); #238564=ORIENTED_EDGE('',*,*,#186070,.T.); #238565=ORIENTED_EDGE('',*,*,#186071,.T.); #238566=ORIENTED_EDGE('',*,*,#186070,.F.); #238567=ORIENTED_EDGE('',*,*,#186069,.T.); #238568=ORIENTED_EDGE('',*,*,#186066,.T.); #238569=ORIENTED_EDGE('',*,*,#186071,.F.); #238570=ORIENTED_EDGE('',*,*,#186068,.T.); #238571=ORIENTED_EDGE('',*,*,#186072,.F.); #238572=ORIENTED_EDGE('',*,*,#186073,.T.); #238573=ORIENTED_EDGE('',*,*,#186074,.F.); #238574=ORIENTED_EDGE('',*,*,#186073,.F.); #238575=ORIENTED_EDGE('',*,*,#186075,.F.); #238576=ORIENTED_EDGE('',*,*,#186076,.T.); #238577=ORIENTED_EDGE('',*,*,#186077,.T.); #238578=ORIENTED_EDGE('',*,*,#186076,.F.); #238579=ORIENTED_EDGE('',*,*,#186075,.T.); #238580=ORIENTED_EDGE('',*,*,#186072,.T.); #238581=ORIENTED_EDGE('',*,*,#186077,.F.); #238582=ORIENTED_EDGE('',*,*,#186074,.T.); #238583=ORIENTED_EDGE('',*,*,#186078,.F.); #238584=ORIENTED_EDGE('',*,*,#186079,.T.); #238585=ORIENTED_EDGE('',*,*,#186080,.F.); #238586=ORIENTED_EDGE('',*,*,#186079,.F.); #238587=ORIENTED_EDGE('',*,*,#186081,.F.); #238588=ORIENTED_EDGE('',*,*,#186082,.T.); #238589=ORIENTED_EDGE('',*,*,#186083,.T.); #238590=ORIENTED_EDGE('',*,*,#186082,.F.); #238591=ORIENTED_EDGE('',*,*,#186081,.T.); #238592=ORIENTED_EDGE('',*,*,#186078,.T.); #238593=ORIENTED_EDGE('',*,*,#186083,.F.); #238594=ORIENTED_EDGE('',*,*,#186080,.T.); #238595=ORIENTED_EDGE('',*,*,#186084,.F.); #238596=ORIENTED_EDGE('',*,*,#186085,.T.); #238597=ORIENTED_EDGE('',*,*,#186086,.F.); #238598=ORIENTED_EDGE('',*,*,#186085,.F.); #238599=ORIENTED_EDGE('',*,*,#186087,.F.); #238600=ORIENTED_EDGE('',*,*,#186088,.T.); #238601=ORIENTED_EDGE('',*,*,#186089,.T.); #238602=ORIENTED_EDGE('',*,*,#186088,.F.); #238603=ORIENTED_EDGE('',*,*,#186087,.T.); #238604=ORIENTED_EDGE('',*,*,#186084,.T.); #238605=ORIENTED_EDGE('',*,*,#186089,.F.); #238606=ORIENTED_EDGE('',*,*,#186086,.T.); #238607=ORIENTED_EDGE('',*,*,#186090,.F.); #238608=ORIENTED_EDGE('',*,*,#186091,.T.); #238609=ORIENTED_EDGE('',*,*,#186092,.F.); #238610=ORIENTED_EDGE('',*,*,#186091,.F.); #238611=ORIENTED_EDGE('',*,*,#186093,.F.); #238612=ORIENTED_EDGE('',*,*,#186094,.T.); #238613=ORIENTED_EDGE('',*,*,#186095,.T.); #238614=ORIENTED_EDGE('',*,*,#186094,.F.); #238615=ORIENTED_EDGE('',*,*,#186093,.T.); #238616=ORIENTED_EDGE('',*,*,#186090,.T.); #238617=ORIENTED_EDGE('',*,*,#186095,.F.); #238618=ORIENTED_EDGE('',*,*,#186092,.T.); #238619=ORIENTED_EDGE('',*,*,#186096,.F.); #238620=ORIENTED_EDGE('',*,*,#186097,.T.); #238621=ORIENTED_EDGE('',*,*,#186098,.F.); #238622=ORIENTED_EDGE('',*,*,#186097,.F.); #238623=ORIENTED_EDGE('',*,*,#186099,.F.); #238624=ORIENTED_EDGE('',*,*,#186100,.T.); #238625=ORIENTED_EDGE('',*,*,#186101,.T.); #238626=ORIENTED_EDGE('',*,*,#186100,.F.); #238627=ORIENTED_EDGE('',*,*,#186099,.T.); #238628=ORIENTED_EDGE('',*,*,#186096,.T.); #238629=ORIENTED_EDGE('',*,*,#186101,.F.); #238630=ORIENTED_EDGE('',*,*,#186098,.T.); #238631=ORIENTED_EDGE('',*,*,#186102,.F.); #238632=ORIENTED_EDGE('',*,*,#186103,.T.); #238633=ORIENTED_EDGE('',*,*,#186104,.F.); #238634=ORIENTED_EDGE('',*,*,#186103,.F.); #238635=ORIENTED_EDGE('',*,*,#186105,.F.); #238636=ORIENTED_EDGE('',*,*,#186106,.T.); #238637=ORIENTED_EDGE('',*,*,#186107,.T.); #238638=ORIENTED_EDGE('',*,*,#186106,.F.); #238639=ORIENTED_EDGE('',*,*,#186105,.T.); #238640=ORIENTED_EDGE('',*,*,#186102,.T.); #238641=ORIENTED_EDGE('',*,*,#186107,.F.); #238642=ORIENTED_EDGE('',*,*,#186104,.T.); #238643=ORIENTED_EDGE('',*,*,#186108,.F.); #238644=ORIENTED_EDGE('',*,*,#186109,.T.); #238645=ORIENTED_EDGE('',*,*,#186110,.F.); #238646=ORIENTED_EDGE('',*,*,#186109,.F.); #238647=ORIENTED_EDGE('',*,*,#186111,.F.); #238648=ORIENTED_EDGE('',*,*,#186112,.T.); #238649=ORIENTED_EDGE('',*,*,#186113,.T.); #238650=ORIENTED_EDGE('',*,*,#186112,.F.); #238651=ORIENTED_EDGE('',*,*,#186111,.T.); #238652=ORIENTED_EDGE('',*,*,#186108,.T.); #238653=ORIENTED_EDGE('',*,*,#186113,.F.); #238654=ORIENTED_EDGE('',*,*,#186110,.T.); #238655=ORIENTED_EDGE('',*,*,#186114,.F.); #238656=ORIENTED_EDGE('',*,*,#186115,.T.); #238657=ORIENTED_EDGE('',*,*,#186116,.F.); #238658=ORIENTED_EDGE('',*,*,#186115,.F.); #238659=ORIENTED_EDGE('',*,*,#186117,.F.); #238660=ORIENTED_EDGE('',*,*,#186118,.T.); #238661=ORIENTED_EDGE('',*,*,#186119,.T.); #238662=ORIENTED_EDGE('',*,*,#186118,.F.); #238663=ORIENTED_EDGE('',*,*,#186117,.T.); #238664=ORIENTED_EDGE('',*,*,#186114,.T.); #238665=ORIENTED_EDGE('',*,*,#186119,.F.); #238666=ORIENTED_EDGE('',*,*,#186116,.T.); #238667=ORIENTED_EDGE('',*,*,#186120,.F.); #238668=ORIENTED_EDGE('',*,*,#186121,.T.); #238669=ORIENTED_EDGE('',*,*,#186122,.F.); #238670=ORIENTED_EDGE('',*,*,#186121,.F.); #238671=ORIENTED_EDGE('',*,*,#186123,.F.); #238672=ORIENTED_EDGE('',*,*,#186124,.T.); #238673=ORIENTED_EDGE('',*,*,#186125,.T.); #238674=ORIENTED_EDGE('',*,*,#186124,.F.); #238675=ORIENTED_EDGE('',*,*,#186123,.T.); #238676=ORIENTED_EDGE('',*,*,#186120,.T.); #238677=ORIENTED_EDGE('',*,*,#186125,.F.); #238678=ORIENTED_EDGE('',*,*,#186122,.T.); #238679=ORIENTED_EDGE('',*,*,#186126,.F.); #238680=ORIENTED_EDGE('',*,*,#186127,.T.); #238681=ORIENTED_EDGE('',*,*,#186128,.F.); #238682=ORIENTED_EDGE('',*,*,#186127,.F.); #238683=ORIENTED_EDGE('',*,*,#186129,.F.); #238684=ORIENTED_EDGE('',*,*,#186130,.T.); #238685=ORIENTED_EDGE('',*,*,#186131,.T.); #238686=ORIENTED_EDGE('',*,*,#186130,.F.); #238687=ORIENTED_EDGE('',*,*,#186129,.T.); #238688=ORIENTED_EDGE('',*,*,#186126,.T.); #238689=ORIENTED_EDGE('',*,*,#186131,.F.); #238690=ORIENTED_EDGE('',*,*,#186128,.T.); #238691=ORIENTED_EDGE('',*,*,#186132,.F.); #238692=ORIENTED_EDGE('',*,*,#186133,.T.); #238693=ORIENTED_EDGE('',*,*,#186134,.F.); #238694=ORIENTED_EDGE('',*,*,#186133,.F.); #238695=ORIENTED_EDGE('',*,*,#186135,.F.); #238696=ORIENTED_EDGE('',*,*,#186136,.T.); #238697=ORIENTED_EDGE('',*,*,#186137,.T.); #238698=ORIENTED_EDGE('',*,*,#186136,.F.); #238699=ORIENTED_EDGE('',*,*,#186135,.T.); #238700=ORIENTED_EDGE('',*,*,#186132,.T.); #238701=ORIENTED_EDGE('',*,*,#186137,.F.); #238702=ORIENTED_EDGE('',*,*,#186134,.T.); #238703=ORIENTED_EDGE('',*,*,#186138,.F.); #238704=ORIENTED_EDGE('',*,*,#186139,.T.); #238705=ORIENTED_EDGE('',*,*,#186140,.F.); #238706=ORIENTED_EDGE('',*,*,#186139,.F.); #238707=ORIENTED_EDGE('',*,*,#186141,.F.); #238708=ORIENTED_EDGE('',*,*,#186142,.T.); #238709=ORIENTED_EDGE('',*,*,#186143,.T.); #238710=ORIENTED_EDGE('',*,*,#186142,.F.); #238711=ORIENTED_EDGE('',*,*,#186141,.T.); #238712=ORIENTED_EDGE('',*,*,#186138,.T.); #238713=ORIENTED_EDGE('',*,*,#186143,.F.); #238714=ORIENTED_EDGE('',*,*,#186140,.T.); #238715=ORIENTED_EDGE('',*,*,#186144,.F.); #238716=ORIENTED_EDGE('',*,*,#186145,.T.); #238717=ORIENTED_EDGE('',*,*,#186146,.F.); #238718=ORIENTED_EDGE('',*,*,#186145,.F.); #238719=ORIENTED_EDGE('',*,*,#186147,.F.); #238720=ORIENTED_EDGE('',*,*,#186148,.T.); #238721=ORIENTED_EDGE('',*,*,#186149,.T.); #238722=ORIENTED_EDGE('',*,*,#186148,.F.); #238723=ORIENTED_EDGE('',*,*,#186147,.T.); #238724=ORIENTED_EDGE('',*,*,#186144,.T.); #238725=ORIENTED_EDGE('',*,*,#186149,.F.); #238726=ORIENTED_EDGE('',*,*,#186146,.T.); #238727=ORIENTED_EDGE('',*,*,#186150,.F.); #238728=ORIENTED_EDGE('',*,*,#186151,.T.); #238729=ORIENTED_EDGE('',*,*,#186152,.F.); #238730=ORIENTED_EDGE('',*,*,#186151,.F.); #238731=ORIENTED_EDGE('',*,*,#186153,.F.); #238732=ORIENTED_EDGE('',*,*,#186154,.T.); #238733=ORIENTED_EDGE('',*,*,#186155,.T.); #238734=ORIENTED_EDGE('',*,*,#186154,.F.); #238735=ORIENTED_EDGE('',*,*,#186153,.T.); #238736=ORIENTED_EDGE('',*,*,#186150,.T.); #238737=ORIENTED_EDGE('',*,*,#186155,.F.); #238738=ORIENTED_EDGE('',*,*,#186152,.T.); #238739=ORIENTED_EDGE('',*,*,#186156,.F.); #238740=ORIENTED_EDGE('',*,*,#186157,.T.); #238741=ORIENTED_EDGE('',*,*,#186158,.F.); #238742=ORIENTED_EDGE('',*,*,#186157,.F.); #238743=ORIENTED_EDGE('',*,*,#186159,.F.); #238744=ORIENTED_EDGE('',*,*,#186160,.T.); #238745=ORIENTED_EDGE('',*,*,#186161,.T.); #238746=ORIENTED_EDGE('',*,*,#186160,.F.); #238747=ORIENTED_EDGE('',*,*,#186159,.T.); #238748=ORIENTED_EDGE('',*,*,#186156,.T.); #238749=ORIENTED_EDGE('',*,*,#186161,.F.); #238750=ORIENTED_EDGE('',*,*,#186158,.T.); #238751=ORIENTED_EDGE('',*,*,#186162,.F.); #238752=ORIENTED_EDGE('',*,*,#186163,.T.); #238753=ORIENTED_EDGE('',*,*,#186164,.F.); #238754=ORIENTED_EDGE('',*,*,#186163,.F.); #238755=ORIENTED_EDGE('',*,*,#186165,.F.); #238756=ORIENTED_EDGE('',*,*,#186166,.T.); #238757=ORIENTED_EDGE('',*,*,#186167,.T.); #238758=ORIENTED_EDGE('',*,*,#186166,.F.); #238759=ORIENTED_EDGE('',*,*,#186165,.T.); #238760=ORIENTED_EDGE('',*,*,#186162,.T.); #238761=ORIENTED_EDGE('',*,*,#186167,.F.); #238762=ORIENTED_EDGE('',*,*,#186164,.T.); #238763=ORIENTED_EDGE('',*,*,#186168,.F.); #238764=ORIENTED_EDGE('',*,*,#186169,.T.); #238765=ORIENTED_EDGE('',*,*,#186170,.F.); #238766=ORIENTED_EDGE('',*,*,#186169,.F.); #238767=ORIENTED_EDGE('',*,*,#186171,.F.); #238768=ORIENTED_EDGE('',*,*,#186172,.T.); #238769=ORIENTED_EDGE('',*,*,#186173,.T.); #238770=ORIENTED_EDGE('',*,*,#186172,.F.); #238771=ORIENTED_EDGE('',*,*,#186171,.T.); #238772=ORIENTED_EDGE('',*,*,#186168,.T.); #238773=ORIENTED_EDGE('',*,*,#186173,.F.); #238774=ORIENTED_EDGE('',*,*,#186170,.T.); #238775=ORIENTED_EDGE('',*,*,#186174,.F.); #238776=ORIENTED_EDGE('',*,*,#186175,.T.); #238777=ORIENTED_EDGE('',*,*,#186176,.F.); #238778=ORIENTED_EDGE('',*,*,#186175,.F.); #238779=ORIENTED_EDGE('',*,*,#186177,.F.); #238780=ORIENTED_EDGE('',*,*,#186178,.T.); #238781=ORIENTED_EDGE('',*,*,#186179,.T.); #238782=ORIENTED_EDGE('',*,*,#186178,.F.); #238783=ORIENTED_EDGE('',*,*,#186177,.T.); #238784=ORIENTED_EDGE('',*,*,#186174,.T.); #238785=ORIENTED_EDGE('',*,*,#186179,.F.); #238786=ORIENTED_EDGE('',*,*,#186176,.T.); #238787=ORIENTED_EDGE('',*,*,#186180,.F.); #238788=ORIENTED_EDGE('',*,*,#186181,.T.); #238789=ORIENTED_EDGE('',*,*,#186182,.F.); #238790=ORIENTED_EDGE('',*,*,#186181,.F.); #238791=ORIENTED_EDGE('',*,*,#186183,.F.); #238792=ORIENTED_EDGE('',*,*,#186184,.T.); #238793=ORIENTED_EDGE('',*,*,#186185,.T.); #238794=ORIENTED_EDGE('',*,*,#186184,.F.); #238795=ORIENTED_EDGE('',*,*,#186183,.T.); #238796=ORIENTED_EDGE('',*,*,#186180,.T.); #238797=ORIENTED_EDGE('',*,*,#186185,.F.); #238798=ORIENTED_EDGE('',*,*,#186182,.T.); #238799=ORIENTED_EDGE('',*,*,#186186,.F.); #238800=ORIENTED_EDGE('',*,*,#186187,.T.); #238801=ORIENTED_EDGE('',*,*,#186188,.F.); #238802=ORIENTED_EDGE('',*,*,#186187,.F.); #238803=ORIENTED_EDGE('',*,*,#186189,.F.); #238804=ORIENTED_EDGE('',*,*,#186190,.T.); #238805=ORIENTED_EDGE('',*,*,#186191,.T.); #238806=ORIENTED_EDGE('',*,*,#186190,.F.); #238807=ORIENTED_EDGE('',*,*,#186189,.T.); #238808=ORIENTED_EDGE('',*,*,#186186,.T.); #238809=ORIENTED_EDGE('',*,*,#186191,.F.); #238810=ORIENTED_EDGE('',*,*,#186188,.T.); #238811=ORIENTED_EDGE('',*,*,#186192,.F.); #238812=ORIENTED_EDGE('',*,*,#186193,.T.); #238813=ORIENTED_EDGE('',*,*,#186194,.F.); #238814=ORIENTED_EDGE('',*,*,#186193,.F.); #238815=ORIENTED_EDGE('',*,*,#186195,.F.); #238816=ORIENTED_EDGE('',*,*,#186196,.T.); #238817=ORIENTED_EDGE('',*,*,#186197,.T.); #238818=ORIENTED_EDGE('',*,*,#186196,.F.); #238819=ORIENTED_EDGE('',*,*,#186195,.T.); #238820=ORIENTED_EDGE('',*,*,#186192,.T.); #238821=ORIENTED_EDGE('',*,*,#186197,.F.); #238822=ORIENTED_EDGE('',*,*,#186194,.T.); #238823=ORIENTED_EDGE('',*,*,#186198,.F.); #238824=ORIENTED_EDGE('',*,*,#186199,.T.); #238825=ORIENTED_EDGE('',*,*,#186200,.F.); #238826=ORIENTED_EDGE('',*,*,#186199,.F.); #238827=ORIENTED_EDGE('',*,*,#186201,.F.); #238828=ORIENTED_EDGE('',*,*,#186202,.T.); #238829=ORIENTED_EDGE('',*,*,#186203,.T.); #238830=ORIENTED_EDGE('',*,*,#186202,.F.); #238831=ORIENTED_EDGE('',*,*,#186201,.T.); #238832=ORIENTED_EDGE('',*,*,#186198,.T.); #238833=ORIENTED_EDGE('',*,*,#186203,.F.); #238834=ORIENTED_EDGE('',*,*,#186200,.T.); #238835=ORIENTED_EDGE('',*,*,#186204,.F.); #238836=ORIENTED_EDGE('',*,*,#186205,.T.); #238837=ORIENTED_EDGE('',*,*,#186206,.F.); #238838=ORIENTED_EDGE('',*,*,#186205,.F.); #238839=ORIENTED_EDGE('',*,*,#186207,.F.); #238840=ORIENTED_EDGE('',*,*,#186208,.T.); #238841=ORIENTED_EDGE('',*,*,#186209,.T.); #238842=ORIENTED_EDGE('',*,*,#186208,.F.); #238843=ORIENTED_EDGE('',*,*,#186207,.T.); #238844=ORIENTED_EDGE('',*,*,#186204,.T.); #238845=ORIENTED_EDGE('',*,*,#186209,.F.); #238846=ORIENTED_EDGE('',*,*,#186206,.T.); #238847=ORIENTED_EDGE('',*,*,#186210,.F.); #238848=ORIENTED_EDGE('',*,*,#186211,.T.); #238849=ORIENTED_EDGE('',*,*,#186212,.F.); #238850=ORIENTED_EDGE('',*,*,#186211,.F.); #238851=ORIENTED_EDGE('',*,*,#186213,.F.); #238852=ORIENTED_EDGE('',*,*,#186214,.T.); #238853=ORIENTED_EDGE('',*,*,#186215,.T.); #238854=ORIENTED_EDGE('',*,*,#186214,.F.); #238855=ORIENTED_EDGE('',*,*,#186213,.T.); #238856=ORIENTED_EDGE('',*,*,#186210,.T.); #238857=ORIENTED_EDGE('',*,*,#186215,.F.); #238858=ORIENTED_EDGE('',*,*,#186212,.T.); #238859=ORIENTED_EDGE('',*,*,#186216,.F.); #238860=ORIENTED_EDGE('',*,*,#186217,.T.); #238861=ORIENTED_EDGE('',*,*,#186218,.F.); #238862=ORIENTED_EDGE('',*,*,#186217,.F.); #238863=ORIENTED_EDGE('',*,*,#186219,.F.); #238864=ORIENTED_EDGE('',*,*,#186220,.T.); #238865=ORIENTED_EDGE('',*,*,#186221,.T.); #238866=ORIENTED_EDGE('',*,*,#186220,.F.); #238867=ORIENTED_EDGE('',*,*,#186219,.T.); #238868=ORIENTED_EDGE('',*,*,#186216,.T.); #238869=ORIENTED_EDGE('',*,*,#186221,.F.); #238870=ORIENTED_EDGE('',*,*,#186218,.T.); #238871=ORIENTED_EDGE('',*,*,#186222,.F.); #238872=ORIENTED_EDGE('',*,*,#186223,.T.); #238873=ORIENTED_EDGE('',*,*,#186224,.F.); #238874=ORIENTED_EDGE('',*,*,#186223,.F.); #238875=ORIENTED_EDGE('',*,*,#186225,.F.); #238876=ORIENTED_EDGE('',*,*,#186226,.T.); #238877=ORIENTED_EDGE('',*,*,#186227,.T.); #238878=ORIENTED_EDGE('',*,*,#186226,.F.); #238879=ORIENTED_EDGE('',*,*,#186225,.T.); #238880=ORIENTED_EDGE('',*,*,#186222,.T.); #238881=ORIENTED_EDGE('',*,*,#186227,.F.); #238882=ORIENTED_EDGE('',*,*,#186224,.T.); #238883=ORIENTED_EDGE('',*,*,#186228,.F.); #238884=ORIENTED_EDGE('',*,*,#186229,.T.); #238885=ORIENTED_EDGE('',*,*,#186230,.F.); #238886=ORIENTED_EDGE('',*,*,#186229,.F.); #238887=ORIENTED_EDGE('',*,*,#186231,.F.); #238888=ORIENTED_EDGE('',*,*,#186232,.T.); #238889=ORIENTED_EDGE('',*,*,#186233,.T.); #238890=ORIENTED_EDGE('',*,*,#186232,.F.); #238891=ORIENTED_EDGE('',*,*,#186231,.T.); #238892=ORIENTED_EDGE('',*,*,#186228,.T.); #238893=ORIENTED_EDGE('',*,*,#186233,.F.); #238894=ORIENTED_EDGE('',*,*,#186230,.T.); #238895=ORIENTED_EDGE('',*,*,#186234,.T.); #238896=ORIENTED_EDGE('',*,*,#186235,.T.); #238897=ORIENTED_EDGE('',*,*,#186236,.F.); #238898=ORIENTED_EDGE('',*,*,#186237,.F.); #238899=ORIENTED_EDGE('',*,*,#186238,.F.); #238900=ORIENTED_EDGE('',*,*,#186237,.T.); #238901=ORIENTED_EDGE('',*,*,#186239,.F.); #238902=ORIENTED_EDGE('',*,*,#186240,.F.); #238903=ORIENTED_EDGE('',*,*,#186241,.T.); #238904=ORIENTED_EDGE('',*,*,#186240,.T.); #238905=ORIENTED_EDGE('',*,*,#186242,.F.); #238906=ORIENTED_EDGE('',*,*,#186243,.F.); #238907=ORIENTED_EDGE('',*,*,#186244,.F.); #238908=ORIENTED_EDGE('',*,*,#186243,.T.); #238909=ORIENTED_EDGE('',*,*,#186245,.F.); #238910=ORIENTED_EDGE('',*,*,#186235,.F.); #238911=ORIENTED_EDGE('',*,*,#186246,.F.); #238912=ORIENTED_EDGE('',*,*,#186247,.T.); #238913=ORIENTED_EDGE('',*,*,#186248,.F.); #238914=ORIENTED_EDGE('',*,*,#186249,.F.); #238915=ORIENTED_EDGE('',*,*,#186250,.T.); #238916=ORIENTED_EDGE('',*,*,#186249,.T.); #238917=ORIENTED_EDGE('',*,*,#186251,.F.); #238918=ORIENTED_EDGE('',*,*,#186252,.F.); #238919=ORIENTED_EDGE('',*,*,#186253,.F.); #238920=ORIENTED_EDGE('',*,*,#186252,.T.); #238921=ORIENTED_EDGE('',*,*,#186254,.F.); #238922=ORIENTED_EDGE('',*,*,#186255,.F.); #238923=ORIENTED_EDGE('',*,*,#186256,.T.); #238924=ORIENTED_EDGE('',*,*,#186255,.T.); #238925=ORIENTED_EDGE('',*,*,#186257,.F.); #238926=ORIENTED_EDGE('',*,*,#186247,.F.); #238927=ORIENTED_EDGE('',*,*,#186257,.T.); #238928=ORIENTED_EDGE('',*,*,#186254,.T.); #238929=ORIENTED_EDGE('',*,*,#186251,.T.); #238930=ORIENTED_EDGE('',*,*,#186248,.T.); #238931=ORIENTED_EDGE('',*,*,#186245,.T.); #238932=ORIENTED_EDGE('',*,*,#186242,.T.); #238933=ORIENTED_EDGE('',*,*,#186239,.T.); #238934=ORIENTED_EDGE('',*,*,#186236,.T.); #238935=ORIENTED_EDGE('',*,*,#186256,.F.); #238936=ORIENTED_EDGE('',*,*,#186246,.T.); #238937=ORIENTED_EDGE('',*,*,#186250,.F.); #238938=ORIENTED_EDGE('',*,*,#186253,.T.); #238939=ORIENTED_EDGE('',*,*,#186244,.T.); #238940=ORIENTED_EDGE('',*,*,#186234,.F.); #238941=ORIENTED_EDGE('',*,*,#186238,.T.); #238942=ORIENTED_EDGE('',*,*,#186241,.F.); #238943=ORIENTED_EDGE('',*,*,#186258,.F.); #238944=ORIENTED_EDGE('',*,*,#186259,.T.); #238945=ORIENTED_EDGE('',*,*,#186260,.F.); #238946=ORIENTED_EDGE('',*,*,#186259,.F.); #238947=ORIENTED_EDGE('',*,*,#186261,.F.); #238948=ORIENTED_EDGE('',*,*,#186262,.T.); #238949=ORIENTED_EDGE('',*,*,#186263,.T.); #238950=ORIENTED_EDGE('',*,*,#186262,.F.); #238951=ORIENTED_EDGE('',*,*,#186261,.T.); #238952=ORIENTED_EDGE('',*,*,#186258,.T.); #238953=ORIENTED_EDGE('',*,*,#186263,.F.); #238954=ORIENTED_EDGE('',*,*,#186260,.T.); #238955=ORIENTED_EDGE('',*,*,#186264,.T.); #238956=ORIENTED_EDGE('',*,*,#186265,.T.); #238957=ORIENTED_EDGE('',*,*,#186266,.F.); #238958=ORIENTED_EDGE('',*,*,#186267,.F.); #238959=ORIENTED_EDGE('',*,*,#186268,.F.); #238960=ORIENTED_EDGE('',*,*,#186267,.T.); #238961=ORIENTED_EDGE('',*,*,#186269,.F.); #238962=ORIENTED_EDGE('',*,*,#186270,.F.); #238963=ORIENTED_EDGE('',*,*,#186271,.T.); #238964=ORIENTED_EDGE('',*,*,#186270,.T.); #238965=ORIENTED_EDGE('',*,*,#186272,.F.); #238966=ORIENTED_EDGE('',*,*,#186273,.F.); #238967=ORIENTED_EDGE('',*,*,#186274,.F.); #238968=ORIENTED_EDGE('',*,*,#186273,.T.); #238969=ORIENTED_EDGE('',*,*,#186275,.F.); #238970=ORIENTED_EDGE('',*,*,#186265,.F.); #238971=ORIENTED_EDGE('',*,*,#186276,.F.); #238972=ORIENTED_EDGE('',*,*,#186277,.T.); #238973=ORIENTED_EDGE('',*,*,#186278,.F.); #238974=ORIENTED_EDGE('',*,*,#186279,.F.); #238975=ORIENTED_EDGE('',*,*,#186280,.T.); #238976=ORIENTED_EDGE('',*,*,#186279,.T.); #238977=ORIENTED_EDGE('',*,*,#186281,.F.); #238978=ORIENTED_EDGE('',*,*,#186282,.F.); #238979=ORIENTED_EDGE('',*,*,#186283,.F.); #238980=ORIENTED_EDGE('',*,*,#186282,.T.); #238981=ORIENTED_EDGE('',*,*,#186284,.F.); #238982=ORIENTED_EDGE('',*,*,#186285,.F.); #238983=ORIENTED_EDGE('',*,*,#186286,.T.); #238984=ORIENTED_EDGE('',*,*,#186285,.T.); #238985=ORIENTED_EDGE('',*,*,#186287,.F.); #238986=ORIENTED_EDGE('',*,*,#186277,.F.); #238987=ORIENTED_EDGE('',*,*,#186287,.T.); #238988=ORIENTED_EDGE('',*,*,#186284,.T.); #238989=ORIENTED_EDGE('',*,*,#186281,.T.); #238990=ORIENTED_EDGE('',*,*,#186278,.T.); #238991=ORIENTED_EDGE('',*,*,#186275,.T.); #238992=ORIENTED_EDGE('',*,*,#186272,.T.); #238993=ORIENTED_EDGE('',*,*,#186269,.T.); #238994=ORIENTED_EDGE('',*,*,#186266,.T.); #238995=ORIENTED_EDGE('',*,*,#186286,.F.); #238996=ORIENTED_EDGE('',*,*,#186276,.T.); #238997=ORIENTED_EDGE('',*,*,#186280,.F.); #238998=ORIENTED_EDGE('',*,*,#186283,.T.); #238999=ORIENTED_EDGE('',*,*,#186274,.T.); #239000=ORIENTED_EDGE('',*,*,#186264,.F.); #239001=ORIENTED_EDGE('',*,*,#186268,.T.); #239002=ORIENTED_EDGE('',*,*,#186271,.F.); #239003=ORIENTED_EDGE('',*,*,#186288,.F.); #239004=ORIENTED_EDGE('',*,*,#186289,.T.); #239005=ORIENTED_EDGE('',*,*,#186290,.F.); #239006=ORIENTED_EDGE('',*,*,#186289,.F.); #239007=ORIENTED_EDGE('',*,*,#186291,.F.); #239008=ORIENTED_EDGE('',*,*,#186292,.T.); #239009=ORIENTED_EDGE('',*,*,#186293,.T.); #239010=ORIENTED_EDGE('',*,*,#186292,.F.); #239011=ORIENTED_EDGE('',*,*,#186291,.T.); #239012=ORIENTED_EDGE('',*,*,#186288,.T.); #239013=ORIENTED_EDGE('',*,*,#186293,.F.); #239014=ORIENTED_EDGE('',*,*,#186290,.T.); #239015=ORIENTED_EDGE('',*,*,#186294,.T.); #239016=ORIENTED_EDGE('',*,*,#186295,.T.); #239017=ORIENTED_EDGE('',*,*,#186296,.F.); #239018=ORIENTED_EDGE('',*,*,#186297,.F.); #239019=ORIENTED_EDGE('',*,*,#186298,.F.); #239020=ORIENTED_EDGE('',*,*,#186297,.T.); #239021=ORIENTED_EDGE('',*,*,#186299,.F.); #239022=ORIENTED_EDGE('',*,*,#186300,.F.); #239023=ORIENTED_EDGE('',*,*,#186301,.T.); #239024=ORIENTED_EDGE('',*,*,#186300,.T.); #239025=ORIENTED_EDGE('',*,*,#186302,.F.); #239026=ORIENTED_EDGE('',*,*,#186303,.F.); #239027=ORIENTED_EDGE('',*,*,#186304,.F.); #239028=ORIENTED_EDGE('',*,*,#186303,.T.); #239029=ORIENTED_EDGE('',*,*,#186305,.F.); #239030=ORIENTED_EDGE('',*,*,#186295,.F.); #239031=ORIENTED_EDGE('',*,*,#186306,.F.); #239032=ORIENTED_EDGE('',*,*,#186307,.T.); #239033=ORIENTED_EDGE('',*,*,#186308,.F.); #239034=ORIENTED_EDGE('',*,*,#186309,.F.); #239035=ORIENTED_EDGE('',*,*,#186310,.T.); #239036=ORIENTED_EDGE('',*,*,#186309,.T.); #239037=ORIENTED_EDGE('',*,*,#186311,.F.); #239038=ORIENTED_EDGE('',*,*,#186312,.F.); #239039=ORIENTED_EDGE('',*,*,#186313,.F.); #239040=ORIENTED_EDGE('',*,*,#186312,.T.); #239041=ORIENTED_EDGE('',*,*,#186314,.F.); #239042=ORIENTED_EDGE('',*,*,#186315,.F.); #239043=ORIENTED_EDGE('',*,*,#186316,.T.); #239044=ORIENTED_EDGE('',*,*,#186315,.T.); #239045=ORIENTED_EDGE('',*,*,#186317,.F.); #239046=ORIENTED_EDGE('',*,*,#186307,.F.); #239047=ORIENTED_EDGE('',*,*,#186317,.T.); #239048=ORIENTED_EDGE('',*,*,#186314,.T.); #239049=ORIENTED_EDGE('',*,*,#186311,.T.); #239050=ORIENTED_EDGE('',*,*,#186308,.T.); #239051=ORIENTED_EDGE('',*,*,#186305,.T.); #239052=ORIENTED_EDGE('',*,*,#186302,.T.); #239053=ORIENTED_EDGE('',*,*,#186299,.T.); #239054=ORIENTED_EDGE('',*,*,#186296,.T.); #239055=ORIENTED_EDGE('',*,*,#186316,.F.); #239056=ORIENTED_EDGE('',*,*,#186306,.T.); #239057=ORIENTED_EDGE('',*,*,#186310,.F.); #239058=ORIENTED_EDGE('',*,*,#186313,.T.); #239059=ORIENTED_EDGE('',*,*,#186304,.T.); #239060=ORIENTED_EDGE('',*,*,#186294,.F.); #239061=ORIENTED_EDGE('',*,*,#186298,.T.); #239062=ORIENTED_EDGE('',*,*,#186301,.F.); #239063=ORIENTED_EDGE('',*,*,#186318,.F.); #239064=ORIENTED_EDGE('',*,*,#186319,.T.); #239065=ORIENTED_EDGE('',*,*,#186320,.F.); #239066=ORIENTED_EDGE('',*,*,#186319,.F.); #239067=ORIENTED_EDGE('',*,*,#186321,.F.); #239068=ORIENTED_EDGE('',*,*,#186322,.T.); #239069=ORIENTED_EDGE('',*,*,#186323,.T.); #239070=ORIENTED_EDGE('',*,*,#186322,.F.); #239071=ORIENTED_EDGE('',*,*,#186321,.T.); #239072=ORIENTED_EDGE('',*,*,#186318,.T.); #239073=ORIENTED_EDGE('',*,*,#186323,.F.); #239074=ORIENTED_EDGE('',*,*,#186320,.T.); #239075=ORIENTED_EDGE('',*,*,#186324,.F.); #239076=ORIENTED_EDGE('',*,*,#186325,.T.); #239077=ORIENTED_EDGE('',*,*,#186326,.F.); #239078=ORIENTED_EDGE('',*,*,#186325,.F.); #239079=ORIENTED_EDGE('',*,*,#186327,.F.); #239080=ORIENTED_EDGE('',*,*,#186328,.T.); #239081=ORIENTED_EDGE('',*,*,#186329,.T.); #239082=ORIENTED_EDGE('',*,*,#186328,.F.); #239083=ORIENTED_EDGE('',*,*,#186327,.T.); #239084=ORIENTED_EDGE('',*,*,#186324,.T.); #239085=ORIENTED_EDGE('',*,*,#186329,.F.); #239086=ORIENTED_EDGE('',*,*,#186326,.T.); #239087=ORIENTED_EDGE('',*,*,#186330,.F.); #239088=ORIENTED_EDGE('',*,*,#186331,.T.); #239089=ORIENTED_EDGE('',*,*,#186332,.F.); #239090=ORIENTED_EDGE('',*,*,#186331,.F.); #239091=ORIENTED_EDGE('',*,*,#186333,.F.); #239092=ORIENTED_EDGE('',*,*,#186334,.T.); #239093=ORIENTED_EDGE('',*,*,#186335,.T.); #239094=ORIENTED_EDGE('',*,*,#186334,.F.); #239095=ORIENTED_EDGE('',*,*,#186333,.T.); #239096=ORIENTED_EDGE('',*,*,#186330,.T.); #239097=ORIENTED_EDGE('',*,*,#186335,.F.); #239098=ORIENTED_EDGE('',*,*,#186332,.T.); #239099=ORIENTED_EDGE('',*,*,#186336,.F.); #239100=ORIENTED_EDGE('',*,*,#186337,.T.); #239101=ORIENTED_EDGE('',*,*,#186338,.F.); #239102=ORIENTED_EDGE('',*,*,#186337,.F.); #239103=ORIENTED_EDGE('',*,*,#186339,.F.); #239104=ORIENTED_EDGE('',*,*,#186340,.T.); #239105=ORIENTED_EDGE('',*,*,#186341,.T.); #239106=ORIENTED_EDGE('',*,*,#186340,.F.); #239107=ORIENTED_EDGE('',*,*,#186339,.T.); #239108=ORIENTED_EDGE('',*,*,#186336,.T.); #239109=ORIENTED_EDGE('',*,*,#186341,.F.); #239110=ORIENTED_EDGE('',*,*,#186338,.T.); #239111=ORIENTED_EDGE('',*,*,#186342,.F.); #239112=ORIENTED_EDGE('',*,*,#186343,.T.); #239113=ORIENTED_EDGE('',*,*,#186344,.F.); #239114=ORIENTED_EDGE('',*,*,#186343,.F.); #239115=ORIENTED_EDGE('',*,*,#186345,.F.); #239116=ORIENTED_EDGE('',*,*,#186346,.T.); #239117=ORIENTED_EDGE('',*,*,#186347,.T.); #239118=ORIENTED_EDGE('',*,*,#186346,.F.); #239119=ORIENTED_EDGE('',*,*,#186345,.T.); #239120=ORIENTED_EDGE('',*,*,#186342,.T.); #239121=ORIENTED_EDGE('',*,*,#186347,.F.); #239122=ORIENTED_EDGE('',*,*,#186344,.T.); #239123=ORIENTED_EDGE('',*,*,#186348,.F.); #239124=ORIENTED_EDGE('',*,*,#186349,.T.); #239125=ORIENTED_EDGE('',*,*,#186350,.F.); #239126=ORIENTED_EDGE('',*,*,#186349,.F.); #239127=ORIENTED_EDGE('',*,*,#186351,.F.); #239128=ORIENTED_EDGE('',*,*,#186352,.T.); #239129=ORIENTED_EDGE('',*,*,#186353,.T.); #239130=ORIENTED_EDGE('',*,*,#186352,.F.); #239131=ORIENTED_EDGE('',*,*,#186351,.T.); #239132=ORIENTED_EDGE('',*,*,#186348,.T.); #239133=ORIENTED_EDGE('',*,*,#186353,.F.); #239134=ORIENTED_EDGE('',*,*,#186350,.T.); #239135=ORIENTED_EDGE('',*,*,#186354,.F.); #239136=ORIENTED_EDGE('',*,*,#186355,.T.); #239137=ORIENTED_EDGE('',*,*,#186356,.F.); #239138=ORIENTED_EDGE('',*,*,#186355,.F.); #239139=ORIENTED_EDGE('',*,*,#186357,.F.); #239140=ORIENTED_EDGE('',*,*,#186358,.T.); #239141=ORIENTED_EDGE('',*,*,#186359,.T.); #239142=ORIENTED_EDGE('',*,*,#186358,.F.); #239143=ORIENTED_EDGE('',*,*,#186357,.T.); #239144=ORIENTED_EDGE('',*,*,#186354,.T.); #239145=ORIENTED_EDGE('',*,*,#186359,.F.); #239146=ORIENTED_EDGE('',*,*,#186356,.T.); #239147=ORIENTED_EDGE('',*,*,#186360,.F.); #239148=ORIENTED_EDGE('',*,*,#186361,.T.); #239149=ORIENTED_EDGE('',*,*,#186362,.F.); #239150=ORIENTED_EDGE('',*,*,#186361,.F.); #239151=ORIENTED_EDGE('',*,*,#186363,.F.); #239152=ORIENTED_EDGE('',*,*,#186364,.T.); #239153=ORIENTED_EDGE('',*,*,#186365,.T.); #239154=ORIENTED_EDGE('',*,*,#186364,.F.); #239155=ORIENTED_EDGE('',*,*,#186363,.T.); #239156=ORIENTED_EDGE('',*,*,#186360,.T.); #239157=ORIENTED_EDGE('',*,*,#186365,.F.); #239158=ORIENTED_EDGE('',*,*,#186362,.T.); #239159=ORIENTED_EDGE('',*,*,#186366,.F.); #239160=ORIENTED_EDGE('',*,*,#186367,.T.); #239161=ORIENTED_EDGE('',*,*,#186368,.F.); #239162=ORIENTED_EDGE('',*,*,#186367,.F.); #239163=ORIENTED_EDGE('',*,*,#186369,.F.); #239164=ORIENTED_EDGE('',*,*,#186370,.T.); #239165=ORIENTED_EDGE('',*,*,#186371,.T.); #239166=ORIENTED_EDGE('',*,*,#186370,.F.); #239167=ORIENTED_EDGE('',*,*,#186369,.T.); #239168=ORIENTED_EDGE('',*,*,#186366,.T.); #239169=ORIENTED_EDGE('',*,*,#186371,.F.); #239170=ORIENTED_EDGE('',*,*,#186368,.T.); #239171=ORIENTED_EDGE('',*,*,#186372,.F.); #239172=ORIENTED_EDGE('',*,*,#186373,.T.); #239173=ORIENTED_EDGE('',*,*,#186374,.F.); #239174=ORIENTED_EDGE('',*,*,#186373,.F.); #239175=ORIENTED_EDGE('',*,*,#186375,.F.); #239176=ORIENTED_EDGE('',*,*,#186376,.T.); #239177=ORIENTED_EDGE('',*,*,#186377,.T.); #239178=ORIENTED_EDGE('',*,*,#186376,.F.); #239179=ORIENTED_EDGE('',*,*,#186375,.T.); #239180=ORIENTED_EDGE('',*,*,#186372,.T.); #239181=ORIENTED_EDGE('',*,*,#186377,.F.); #239182=ORIENTED_EDGE('',*,*,#186374,.T.); #239183=ORIENTED_EDGE('',*,*,#186378,.T.); #239184=ORIENTED_EDGE('',*,*,#186379,.T.); #239185=ORIENTED_EDGE('',*,*,#186380,.F.); #239186=ORIENTED_EDGE('',*,*,#186381,.F.); #239187=ORIENTED_EDGE('',*,*,#186382,.F.); #239188=ORIENTED_EDGE('',*,*,#186381,.T.); #239189=ORIENTED_EDGE('',*,*,#186383,.F.); #239190=ORIENTED_EDGE('',*,*,#186384,.F.); #239191=ORIENTED_EDGE('',*,*,#186385,.T.); #239192=ORIENTED_EDGE('',*,*,#186384,.T.); #239193=ORIENTED_EDGE('',*,*,#186386,.F.); #239194=ORIENTED_EDGE('',*,*,#186387,.F.); #239195=ORIENTED_EDGE('',*,*,#186388,.F.); #239196=ORIENTED_EDGE('',*,*,#186387,.T.); #239197=ORIENTED_EDGE('',*,*,#186389,.F.); #239198=ORIENTED_EDGE('',*,*,#186379,.F.); #239199=ORIENTED_EDGE('',*,*,#186390,.F.); #239200=ORIENTED_EDGE('',*,*,#186391,.T.); #239201=ORIENTED_EDGE('',*,*,#186392,.F.); #239202=ORIENTED_EDGE('',*,*,#186393,.F.); #239203=ORIENTED_EDGE('',*,*,#186394,.T.); #239204=ORIENTED_EDGE('',*,*,#186393,.T.); #239205=ORIENTED_EDGE('',*,*,#186395,.F.); #239206=ORIENTED_EDGE('',*,*,#186396,.F.); #239207=ORIENTED_EDGE('',*,*,#186397,.F.); #239208=ORIENTED_EDGE('',*,*,#186396,.T.); #239209=ORIENTED_EDGE('',*,*,#186398,.F.); #239210=ORIENTED_EDGE('',*,*,#186399,.F.); #239211=ORIENTED_EDGE('',*,*,#186400,.T.); #239212=ORIENTED_EDGE('',*,*,#186399,.T.); #239213=ORIENTED_EDGE('',*,*,#186401,.F.); #239214=ORIENTED_EDGE('',*,*,#186391,.F.); #239215=ORIENTED_EDGE('',*,*,#186401,.T.); #239216=ORIENTED_EDGE('',*,*,#186398,.T.); #239217=ORIENTED_EDGE('',*,*,#186395,.T.); #239218=ORIENTED_EDGE('',*,*,#186392,.T.); #239219=ORIENTED_EDGE('',*,*,#186389,.T.); #239220=ORIENTED_EDGE('',*,*,#186386,.T.); #239221=ORIENTED_EDGE('',*,*,#186383,.T.); #239222=ORIENTED_EDGE('',*,*,#186380,.T.); #239223=ORIENTED_EDGE('',*,*,#186400,.F.); #239224=ORIENTED_EDGE('',*,*,#186390,.T.); #239225=ORIENTED_EDGE('',*,*,#186394,.F.); #239226=ORIENTED_EDGE('',*,*,#186397,.T.); #239227=ORIENTED_EDGE('',*,*,#186388,.T.); #239228=ORIENTED_EDGE('',*,*,#186378,.F.); #239229=ORIENTED_EDGE('',*,*,#186382,.T.); #239230=ORIENTED_EDGE('',*,*,#186385,.F.); #239231=ORIENTED_EDGE('',*,*,#186402,.F.); #239232=ORIENTED_EDGE('',*,*,#186403,.T.); #239233=ORIENTED_EDGE('',*,*,#186404,.F.); #239234=ORIENTED_EDGE('',*,*,#186403,.F.); #239235=ORIENTED_EDGE('',*,*,#186405,.F.); #239236=ORIENTED_EDGE('',*,*,#186406,.T.); #239237=ORIENTED_EDGE('',*,*,#186407,.T.); #239238=ORIENTED_EDGE('',*,*,#186406,.F.); #239239=ORIENTED_EDGE('',*,*,#186405,.T.); #239240=ORIENTED_EDGE('',*,*,#186402,.T.); #239241=ORIENTED_EDGE('',*,*,#186407,.F.); #239242=ORIENTED_EDGE('',*,*,#186404,.T.); #239243=ORIENTED_EDGE('',*,*,#186408,.F.); #239244=ORIENTED_EDGE('',*,*,#186409,.T.); #239245=ORIENTED_EDGE('',*,*,#186410,.F.); #239246=ORIENTED_EDGE('',*,*,#186409,.F.); #239247=ORIENTED_EDGE('',*,*,#186411,.F.); #239248=ORIENTED_EDGE('',*,*,#186412,.T.); #239249=ORIENTED_EDGE('',*,*,#186413,.T.); #239250=ORIENTED_EDGE('',*,*,#186412,.F.); #239251=ORIENTED_EDGE('',*,*,#186411,.T.); #239252=ORIENTED_EDGE('',*,*,#186408,.T.); #239253=ORIENTED_EDGE('',*,*,#186413,.F.); #239254=ORIENTED_EDGE('',*,*,#186410,.T.); #239255=ORIENTED_EDGE('',*,*,#186414,.F.); #239256=ORIENTED_EDGE('',*,*,#186415,.T.); #239257=ORIENTED_EDGE('',*,*,#186416,.F.); #239258=ORIENTED_EDGE('',*,*,#186415,.F.); #239259=ORIENTED_EDGE('',*,*,#186417,.F.); #239260=ORIENTED_EDGE('',*,*,#186418,.T.); #239261=ORIENTED_EDGE('',*,*,#186419,.T.); #239262=ORIENTED_EDGE('',*,*,#186418,.F.); #239263=ORIENTED_EDGE('',*,*,#186417,.T.); #239264=ORIENTED_EDGE('',*,*,#186414,.T.); #239265=ORIENTED_EDGE('',*,*,#186419,.F.); #239266=ORIENTED_EDGE('',*,*,#186416,.T.); #239267=ORIENTED_EDGE('',*,*,#186420,.T.); #239268=ORIENTED_EDGE('',*,*,#186421,.T.); #239269=ORIENTED_EDGE('',*,*,#186422,.F.); #239270=ORIENTED_EDGE('',*,*,#186423,.F.); #239271=ORIENTED_EDGE('',*,*,#186424,.F.); #239272=ORIENTED_EDGE('',*,*,#186423,.T.); #239273=ORIENTED_EDGE('',*,*,#186425,.F.); #239274=ORIENTED_EDGE('',*,*,#186426,.F.); #239275=ORIENTED_EDGE('',*,*,#186427,.T.); #239276=ORIENTED_EDGE('',*,*,#186426,.T.); #239277=ORIENTED_EDGE('',*,*,#186428,.F.); #239278=ORIENTED_EDGE('',*,*,#186429,.F.); #239279=ORIENTED_EDGE('',*,*,#186430,.F.); #239280=ORIENTED_EDGE('',*,*,#186429,.T.); #239281=ORIENTED_EDGE('',*,*,#186431,.F.); #239282=ORIENTED_EDGE('',*,*,#186421,.F.); #239283=ORIENTED_EDGE('',*,*,#186432,.F.); #239284=ORIENTED_EDGE('',*,*,#186433,.T.); #239285=ORIENTED_EDGE('',*,*,#186434,.F.); #239286=ORIENTED_EDGE('',*,*,#186435,.F.); #239287=ORIENTED_EDGE('',*,*,#186436,.T.); #239288=ORIENTED_EDGE('',*,*,#186435,.T.); #239289=ORIENTED_EDGE('',*,*,#186437,.F.); #239290=ORIENTED_EDGE('',*,*,#186438,.F.); #239291=ORIENTED_EDGE('',*,*,#186439,.F.); #239292=ORIENTED_EDGE('',*,*,#186438,.T.); #239293=ORIENTED_EDGE('',*,*,#186440,.F.); #239294=ORIENTED_EDGE('',*,*,#186441,.F.); #239295=ORIENTED_EDGE('',*,*,#186442,.T.); #239296=ORIENTED_EDGE('',*,*,#186441,.T.); #239297=ORIENTED_EDGE('',*,*,#186443,.F.); #239298=ORIENTED_EDGE('',*,*,#186433,.F.); #239299=ORIENTED_EDGE('',*,*,#186443,.T.); #239300=ORIENTED_EDGE('',*,*,#186440,.T.); #239301=ORIENTED_EDGE('',*,*,#186437,.T.); #239302=ORIENTED_EDGE('',*,*,#186434,.T.); #239303=ORIENTED_EDGE('',*,*,#186431,.T.); #239304=ORIENTED_EDGE('',*,*,#186428,.T.); #239305=ORIENTED_EDGE('',*,*,#186425,.T.); #239306=ORIENTED_EDGE('',*,*,#186422,.T.); #239307=ORIENTED_EDGE('',*,*,#186442,.F.); #239308=ORIENTED_EDGE('',*,*,#186432,.T.); #239309=ORIENTED_EDGE('',*,*,#186436,.F.); #239310=ORIENTED_EDGE('',*,*,#186439,.T.); #239311=ORIENTED_EDGE('',*,*,#186430,.T.); #239312=ORIENTED_EDGE('',*,*,#186420,.F.); #239313=ORIENTED_EDGE('',*,*,#186424,.T.); #239314=ORIENTED_EDGE('',*,*,#186427,.F.); #239315=ORIENTED_EDGE('',*,*,#186444,.F.); #239316=ORIENTED_EDGE('',*,*,#186445,.T.); #239317=ORIENTED_EDGE('',*,*,#186446,.F.); #239318=ORIENTED_EDGE('',*,*,#186445,.F.); #239319=ORIENTED_EDGE('',*,*,#186447,.F.); #239320=ORIENTED_EDGE('',*,*,#186448,.T.); #239321=ORIENTED_EDGE('',*,*,#186449,.T.); #239322=ORIENTED_EDGE('',*,*,#186448,.F.); #239323=ORIENTED_EDGE('',*,*,#186447,.T.); #239324=ORIENTED_EDGE('',*,*,#186444,.T.); #239325=ORIENTED_EDGE('',*,*,#186449,.F.); #239326=ORIENTED_EDGE('',*,*,#186446,.T.); #239327=ORIENTED_EDGE('',*,*,#186450,.T.); #239328=ORIENTED_EDGE('',*,*,#186451,.T.); #239329=ORIENTED_EDGE('',*,*,#186452,.F.); #239330=ORIENTED_EDGE('',*,*,#186453,.F.); #239331=ORIENTED_EDGE('',*,*,#186454,.F.); #239332=ORIENTED_EDGE('',*,*,#186453,.T.); #239333=ORIENTED_EDGE('',*,*,#186455,.F.); #239334=ORIENTED_EDGE('',*,*,#186456,.F.); #239335=ORIENTED_EDGE('',*,*,#186457,.T.); #239336=ORIENTED_EDGE('',*,*,#186456,.T.); #239337=ORIENTED_EDGE('',*,*,#186458,.F.); #239338=ORIENTED_EDGE('',*,*,#186459,.F.); #239339=ORIENTED_EDGE('',*,*,#186460,.F.); #239340=ORIENTED_EDGE('',*,*,#186459,.T.); #239341=ORIENTED_EDGE('',*,*,#186461,.F.); #239342=ORIENTED_EDGE('',*,*,#186451,.F.); #239343=ORIENTED_EDGE('',*,*,#186462,.F.); #239344=ORIENTED_EDGE('',*,*,#186463,.T.); #239345=ORIENTED_EDGE('',*,*,#186464,.F.); #239346=ORIENTED_EDGE('',*,*,#186465,.F.); #239347=ORIENTED_EDGE('',*,*,#186466,.T.); #239348=ORIENTED_EDGE('',*,*,#186465,.T.); #239349=ORIENTED_EDGE('',*,*,#186467,.F.); #239350=ORIENTED_EDGE('',*,*,#186468,.F.); #239351=ORIENTED_EDGE('',*,*,#186469,.F.); #239352=ORIENTED_EDGE('',*,*,#186468,.T.); #239353=ORIENTED_EDGE('',*,*,#186470,.F.); #239354=ORIENTED_EDGE('',*,*,#186471,.F.); #239355=ORIENTED_EDGE('',*,*,#186472,.T.); #239356=ORIENTED_EDGE('',*,*,#186471,.T.); #239357=ORIENTED_EDGE('',*,*,#186473,.F.); #239358=ORIENTED_EDGE('',*,*,#186463,.F.); #239359=ORIENTED_EDGE('',*,*,#186473,.T.); #239360=ORIENTED_EDGE('',*,*,#186470,.T.); #239361=ORIENTED_EDGE('',*,*,#186467,.T.); #239362=ORIENTED_EDGE('',*,*,#186464,.T.); #239363=ORIENTED_EDGE('',*,*,#186461,.T.); #239364=ORIENTED_EDGE('',*,*,#186458,.T.); #239365=ORIENTED_EDGE('',*,*,#186455,.T.); #239366=ORIENTED_EDGE('',*,*,#186452,.T.); #239367=ORIENTED_EDGE('',*,*,#186472,.F.); #239368=ORIENTED_EDGE('',*,*,#186462,.T.); #239369=ORIENTED_EDGE('',*,*,#186466,.F.); #239370=ORIENTED_EDGE('',*,*,#186469,.T.); #239371=ORIENTED_EDGE('',*,*,#186460,.T.); #239372=ORIENTED_EDGE('',*,*,#186450,.F.); #239373=ORIENTED_EDGE('',*,*,#186454,.T.); #239374=ORIENTED_EDGE('',*,*,#186457,.F.); #239375=ORIENTED_EDGE('',*,*,#186474,.F.); #239376=ORIENTED_EDGE('',*,*,#186475,.T.); #239377=ORIENTED_EDGE('',*,*,#186476,.F.); #239378=ORIENTED_EDGE('',*,*,#186475,.F.); #239379=ORIENTED_EDGE('',*,*,#186477,.F.); #239380=ORIENTED_EDGE('',*,*,#186478,.T.); #239381=ORIENTED_EDGE('',*,*,#186479,.T.); #239382=ORIENTED_EDGE('',*,*,#186478,.F.); #239383=ORIENTED_EDGE('',*,*,#186477,.T.); #239384=ORIENTED_EDGE('',*,*,#186474,.T.); #239385=ORIENTED_EDGE('',*,*,#186479,.F.); #239386=ORIENTED_EDGE('',*,*,#186476,.T.); #239387=ORIENTED_EDGE('',*,*,#186480,.F.); #239388=ORIENTED_EDGE('',*,*,#186481,.T.); #239389=ORIENTED_EDGE('',*,*,#186482,.F.); #239390=ORIENTED_EDGE('',*,*,#186481,.F.); #239391=ORIENTED_EDGE('',*,*,#186483,.F.); #239392=ORIENTED_EDGE('',*,*,#186484,.T.); #239393=ORIENTED_EDGE('',*,*,#186485,.T.); #239394=ORIENTED_EDGE('',*,*,#186484,.F.); #239395=ORIENTED_EDGE('',*,*,#186483,.T.); #239396=ORIENTED_EDGE('',*,*,#186480,.T.); #239397=ORIENTED_EDGE('',*,*,#186485,.F.); #239398=ORIENTED_EDGE('',*,*,#186482,.T.); #239399=ORIENTED_EDGE('',*,*,#186486,.F.); #239400=ORIENTED_EDGE('',*,*,#186487,.T.); #239401=ORIENTED_EDGE('',*,*,#186488,.F.); #239402=ORIENTED_EDGE('',*,*,#186487,.F.); #239403=ORIENTED_EDGE('',*,*,#186489,.F.); #239404=ORIENTED_EDGE('',*,*,#186490,.T.); #239405=ORIENTED_EDGE('',*,*,#186491,.T.); #239406=ORIENTED_EDGE('',*,*,#186490,.F.); #239407=ORIENTED_EDGE('',*,*,#186489,.T.); #239408=ORIENTED_EDGE('',*,*,#186486,.T.); #239409=ORIENTED_EDGE('',*,*,#186491,.F.); #239410=ORIENTED_EDGE('',*,*,#186488,.T.); #239411=ORIENTED_EDGE('',*,*,#186492,.F.); #239412=ORIENTED_EDGE('',*,*,#186493,.T.); #239413=ORIENTED_EDGE('',*,*,#186494,.F.); #239414=ORIENTED_EDGE('',*,*,#186493,.F.); #239415=ORIENTED_EDGE('',*,*,#186495,.F.); #239416=ORIENTED_EDGE('',*,*,#186496,.T.); #239417=ORIENTED_EDGE('',*,*,#186497,.T.); #239418=ORIENTED_EDGE('',*,*,#186496,.F.); #239419=ORIENTED_EDGE('',*,*,#186495,.T.); #239420=ORIENTED_EDGE('',*,*,#186492,.T.); #239421=ORIENTED_EDGE('',*,*,#186497,.F.); #239422=ORIENTED_EDGE('',*,*,#186494,.T.); #239423=ORIENTED_EDGE('',*,*,#186498,.F.); #239424=ORIENTED_EDGE('',*,*,#186499,.T.); #239425=ORIENTED_EDGE('',*,*,#186500,.F.); #239426=ORIENTED_EDGE('',*,*,#186499,.F.); #239427=ORIENTED_EDGE('',*,*,#186501,.F.); #239428=ORIENTED_EDGE('',*,*,#186502,.T.); #239429=ORIENTED_EDGE('',*,*,#186503,.T.); #239430=ORIENTED_EDGE('',*,*,#186502,.F.); #239431=ORIENTED_EDGE('',*,*,#186501,.T.); #239432=ORIENTED_EDGE('',*,*,#186498,.T.); #239433=ORIENTED_EDGE('',*,*,#186503,.F.); #239434=ORIENTED_EDGE('',*,*,#186500,.T.); #239435=ORIENTED_EDGE('',*,*,#186504,.F.); #239436=ORIENTED_EDGE('',*,*,#186505,.T.); #239437=ORIENTED_EDGE('',*,*,#186506,.F.); #239438=ORIENTED_EDGE('',*,*,#186505,.F.); #239439=ORIENTED_EDGE('',*,*,#186507,.F.); #239440=ORIENTED_EDGE('',*,*,#186508,.T.); #239441=ORIENTED_EDGE('',*,*,#186509,.T.); #239442=ORIENTED_EDGE('',*,*,#186508,.F.); #239443=ORIENTED_EDGE('',*,*,#186507,.T.); #239444=ORIENTED_EDGE('',*,*,#186504,.T.); #239445=ORIENTED_EDGE('',*,*,#186509,.F.); #239446=ORIENTED_EDGE('',*,*,#186506,.T.); #239447=ORIENTED_EDGE('',*,*,#186510,.F.); #239448=ORIENTED_EDGE('',*,*,#186511,.T.); #239449=ORIENTED_EDGE('',*,*,#186512,.F.); #239450=ORIENTED_EDGE('',*,*,#186511,.F.); #239451=ORIENTED_EDGE('',*,*,#186513,.F.); #239452=ORIENTED_EDGE('',*,*,#186514,.T.); #239453=ORIENTED_EDGE('',*,*,#186515,.T.); #239454=ORIENTED_EDGE('',*,*,#186514,.F.); #239455=ORIENTED_EDGE('',*,*,#186513,.T.); #239456=ORIENTED_EDGE('',*,*,#186510,.T.); #239457=ORIENTED_EDGE('',*,*,#186515,.F.); #239458=ORIENTED_EDGE('',*,*,#186512,.T.); #239459=ORIENTED_EDGE('',*,*,#186516,.F.); #239460=ORIENTED_EDGE('',*,*,#186517,.T.); #239461=ORIENTED_EDGE('',*,*,#186518,.F.); #239462=ORIENTED_EDGE('',*,*,#186517,.F.); #239463=ORIENTED_EDGE('',*,*,#186519,.F.); #239464=ORIENTED_EDGE('',*,*,#186520,.T.); #239465=ORIENTED_EDGE('',*,*,#186521,.T.); #239466=ORIENTED_EDGE('',*,*,#186520,.F.); #239467=ORIENTED_EDGE('',*,*,#186519,.T.); #239468=ORIENTED_EDGE('',*,*,#186516,.T.); #239469=ORIENTED_EDGE('',*,*,#186521,.F.); #239470=ORIENTED_EDGE('',*,*,#186518,.T.); #239471=ORIENTED_EDGE('',*,*,#186522,.F.); #239472=ORIENTED_EDGE('',*,*,#186523,.T.); #239473=ORIENTED_EDGE('',*,*,#186524,.F.); #239474=ORIENTED_EDGE('',*,*,#186523,.F.); #239475=ORIENTED_EDGE('',*,*,#186525,.F.); #239476=ORIENTED_EDGE('',*,*,#186526,.T.); #239477=ORIENTED_EDGE('',*,*,#186527,.T.); #239478=ORIENTED_EDGE('',*,*,#186526,.F.); #239479=ORIENTED_EDGE('',*,*,#186525,.T.); #239480=ORIENTED_EDGE('',*,*,#186522,.T.); #239481=ORIENTED_EDGE('',*,*,#186527,.F.); #239482=ORIENTED_EDGE('',*,*,#186524,.T.); #239483=ORIENTED_EDGE('',*,*,#186528,.F.); #239484=ORIENTED_EDGE('',*,*,#186529,.T.); #239485=ORIENTED_EDGE('',*,*,#186530,.F.); #239486=ORIENTED_EDGE('',*,*,#186529,.F.); #239487=ORIENTED_EDGE('',*,*,#186531,.F.); #239488=ORIENTED_EDGE('',*,*,#186532,.T.); #239489=ORIENTED_EDGE('',*,*,#186533,.T.); #239490=ORIENTED_EDGE('',*,*,#186532,.F.); #239491=ORIENTED_EDGE('',*,*,#186531,.T.); #239492=ORIENTED_EDGE('',*,*,#186528,.T.); #239493=ORIENTED_EDGE('',*,*,#186533,.F.); #239494=ORIENTED_EDGE('',*,*,#186530,.T.); #239495=ORIENTED_EDGE('',*,*,#186534,.F.); #239496=ORIENTED_EDGE('',*,*,#186535,.T.); #239497=ORIENTED_EDGE('',*,*,#186536,.F.); #239498=ORIENTED_EDGE('',*,*,#186535,.F.); #239499=ORIENTED_EDGE('',*,*,#186537,.F.); #239500=ORIENTED_EDGE('',*,*,#186538,.T.); #239501=ORIENTED_EDGE('',*,*,#186539,.T.); #239502=ORIENTED_EDGE('',*,*,#186538,.F.); #239503=ORIENTED_EDGE('',*,*,#186537,.T.); #239504=ORIENTED_EDGE('',*,*,#186534,.T.); #239505=ORIENTED_EDGE('',*,*,#186539,.F.); #239506=ORIENTED_EDGE('',*,*,#186536,.T.); #239507=ORIENTED_EDGE('',*,*,#186540,.F.); #239508=ORIENTED_EDGE('',*,*,#186541,.T.); #239509=ORIENTED_EDGE('',*,*,#186542,.F.); #239510=ORIENTED_EDGE('',*,*,#186541,.F.); #239511=ORIENTED_EDGE('',*,*,#186543,.F.); #239512=ORIENTED_EDGE('',*,*,#186544,.T.); #239513=ORIENTED_EDGE('',*,*,#186545,.T.); #239514=ORIENTED_EDGE('',*,*,#186544,.F.); #239515=ORIENTED_EDGE('',*,*,#186543,.T.); #239516=ORIENTED_EDGE('',*,*,#186540,.T.); #239517=ORIENTED_EDGE('',*,*,#186545,.F.); #239518=ORIENTED_EDGE('',*,*,#186542,.T.); #239519=ORIENTED_EDGE('',*,*,#186546,.F.); #239520=ORIENTED_EDGE('',*,*,#186547,.T.); #239521=ORIENTED_EDGE('',*,*,#186548,.F.); #239522=ORIENTED_EDGE('',*,*,#186547,.F.); #239523=ORIENTED_EDGE('',*,*,#186549,.F.); #239524=ORIENTED_EDGE('',*,*,#186550,.T.); #239525=ORIENTED_EDGE('',*,*,#186551,.T.); #239526=ORIENTED_EDGE('',*,*,#186550,.F.); #239527=ORIENTED_EDGE('',*,*,#186549,.T.); #239528=ORIENTED_EDGE('',*,*,#186546,.T.); #239529=ORIENTED_EDGE('',*,*,#186551,.F.); #239530=ORIENTED_EDGE('',*,*,#186548,.T.); #239531=ORIENTED_EDGE('',*,*,#186552,.F.); #239532=ORIENTED_EDGE('',*,*,#186553,.T.); #239533=ORIENTED_EDGE('',*,*,#186554,.F.); #239534=ORIENTED_EDGE('',*,*,#186553,.F.); #239535=ORIENTED_EDGE('',*,*,#186555,.F.); #239536=ORIENTED_EDGE('',*,*,#186556,.T.); #239537=ORIENTED_EDGE('',*,*,#186557,.T.); #239538=ORIENTED_EDGE('',*,*,#186556,.F.); #239539=ORIENTED_EDGE('',*,*,#186555,.T.); #239540=ORIENTED_EDGE('',*,*,#186552,.T.); #239541=ORIENTED_EDGE('',*,*,#186557,.F.); #239542=ORIENTED_EDGE('',*,*,#186554,.T.); #239543=ORIENTED_EDGE('',*,*,#186558,.F.); #239544=ORIENTED_EDGE('',*,*,#186559,.T.); #239545=ORIENTED_EDGE('',*,*,#186560,.F.); #239546=ORIENTED_EDGE('',*,*,#186559,.F.); #239547=ORIENTED_EDGE('',*,*,#186561,.F.); #239548=ORIENTED_EDGE('',*,*,#186562,.T.); #239549=ORIENTED_EDGE('',*,*,#186563,.T.); #239550=ORIENTED_EDGE('',*,*,#186562,.F.); #239551=ORIENTED_EDGE('',*,*,#186561,.T.); #239552=ORIENTED_EDGE('',*,*,#186558,.T.); #239553=ORIENTED_EDGE('',*,*,#186563,.F.); #239554=ORIENTED_EDGE('',*,*,#186560,.T.); #239555=ORIENTED_EDGE('',*,*,#186564,.F.); #239556=ORIENTED_EDGE('',*,*,#186565,.T.); #239557=ORIENTED_EDGE('',*,*,#186566,.F.); #239558=ORIENTED_EDGE('',*,*,#186565,.F.); #239559=ORIENTED_EDGE('',*,*,#186567,.F.); #239560=ORIENTED_EDGE('',*,*,#186568,.T.); #239561=ORIENTED_EDGE('',*,*,#186569,.T.); #239562=ORIENTED_EDGE('',*,*,#186568,.F.); #239563=ORIENTED_EDGE('',*,*,#186567,.T.); #239564=ORIENTED_EDGE('',*,*,#186564,.T.); #239565=ORIENTED_EDGE('',*,*,#186569,.F.); #239566=ORIENTED_EDGE('',*,*,#186566,.T.); #239567=ORIENTED_EDGE('',*,*,#186570,.F.); #239568=ORIENTED_EDGE('',*,*,#186571,.T.); #239569=ORIENTED_EDGE('',*,*,#186572,.F.); #239570=ORIENTED_EDGE('',*,*,#186571,.F.); #239571=ORIENTED_EDGE('',*,*,#186573,.F.); #239572=ORIENTED_EDGE('',*,*,#186574,.T.); #239573=ORIENTED_EDGE('',*,*,#186575,.T.); #239574=ORIENTED_EDGE('',*,*,#186574,.F.); #239575=ORIENTED_EDGE('',*,*,#186573,.T.); #239576=ORIENTED_EDGE('',*,*,#186570,.T.); #239577=ORIENTED_EDGE('',*,*,#186575,.F.); #239578=ORIENTED_EDGE('',*,*,#186572,.T.); #239579=ORIENTED_EDGE('',*,*,#186576,.F.); #239580=ORIENTED_EDGE('',*,*,#186577,.T.); #239581=ORIENTED_EDGE('',*,*,#186578,.F.); #239582=ORIENTED_EDGE('',*,*,#186577,.F.); #239583=ORIENTED_EDGE('',*,*,#186579,.F.); #239584=ORIENTED_EDGE('',*,*,#186580,.T.); #239585=ORIENTED_EDGE('',*,*,#186581,.T.); #239586=ORIENTED_EDGE('',*,*,#186580,.F.); #239587=ORIENTED_EDGE('',*,*,#186579,.T.); #239588=ORIENTED_EDGE('',*,*,#186576,.T.); #239589=ORIENTED_EDGE('',*,*,#186581,.F.); #239590=ORIENTED_EDGE('',*,*,#186578,.T.); #239591=ORIENTED_EDGE('',*,*,#186582,.F.); #239592=ORIENTED_EDGE('',*,*,#186583,.T.); #239593=ORIENTED_EDGE('',*,*,#186584,.F.); #239594=ORIENTED_EDGE('',*,*,#186583,.F.); #239595=ORIENTED_EDGE('',*,*,#186585,.F.); #239596=ORIENTED_EDGE('',*,*,#186586,.T.); #239597=ORIENTED_EDGE('',*,*,#186587,.T.); #239598=ORIENTED_EDGE('',*,*,#186586,.F.); #239599=ORIENTED_EDGE('',*,*,#186585,.T.); #239600=ORIENTED_EDGE('',*,*,#186582,.T.); #239601=ORIENTED_EDGE('',*,*,#186587,.F.); #239602=ORIENTED_EDGE('',*,*,#186584,.T.); #239603=ORIENTED_EDGE('',*,*,#186588,.F.); #239604=ORIENTED_EDGE('',*,*,#186589,.T.); #239605=ORIENTED_EDGE('',*,*,#186590,.F.); #239606=ORIENTED_EDGE('',*,*,#186589,.F.); #239607=ORIENTED_EDGE('',*,*,#186591,.F.); #239608=ORIENTED_EDGE('',*,*,#186592,.T.); #239609=ORIENTED_EDGE('',*,*,#186593,.T.); #239610=ORIENTED_EDGE('',*,*,#186592,.F.); #239611=ORIENTED_EDGE('',*,*,#186591,.T.); #239612=ORIENTED_EDGE('',*,*,#186588,.T.); #239613=ORIENTED_EDGE('',*,*,#186593,.F.); #239614=ORIENTED_EDGE('',*,*,#186590,.T.); #239615=ORIENTED_EDGE('',*,*,#186594,.F.); #239616=ORIENTED_EDGE('',*,*,#186595,.T.); #239617=ORIENTED_EDGE('',*,*,#186596,.F.); #239618=ORIENTED_EDGE('',*,*,#186595,.F.); #239619=ORIENTED_EDGE('',*,*,#186597,.F.); #239620=ORIENTED_EDGE('',*,*,#186598,.T.); #239621=ORIENTED_EDGE('',*,*,#186599,.T.); #239622=ORIENTED_EDGE('',*,*,#186598,.F.); #239623=ORIENTED_EDGE('',*,*,#186597,.T.); #239624=ORIENTED_EDGE('',*,*,#186594,.T.); #239625=ORIENTED_EDGE('',*,*,#186599,.F.); #239626=ORIENTED_EDGE('',*,*,#186596,.T.); #239627=ORIENTED_EDGE('',*,*,#186600,.F.); #239628=ORIENTED_EDGE('',*,*,#186601,.T.); #239629=ORIENTED_EDGE('',*,*,#186602,.F.); #239630=ORIENTED_EDGE('',*,*,#186601,.F.); #239631=ORIENTED_EDGE('',*,*,#186603,.F.); #239632=ORIENTED_EDGE('',*,*,#186604,.T.); #239633=ORIENTED_EDGE('',*,*,#186605,.T.); #239634=ORIENTED_EDGE('',*,*,#186604,.F.); #239635=ORIENTED_EDGE('',*,*,#186603,.T.); #239636=ORIENTED_EDGE('',*,*,#186600,.T.); #239637=ORIENTED_EDGE('',*,*,#186605,.F.); #239638=ORIENTED_EDGE('',*,*,#186602,.T.); #239639=ORIENTED_EDGE('',*,*,#186606,.F.); #239640=ORIENTED_EDGE('',*,*,#186607,.T.); #239641=ORIENTED_EDGE('',*,*,#186608,.F.); #239642=ORIENTED_EDGE('',*,*,#186607,.F.); #239643=ORIENTED_EDGE('',*,*,#186609,.F.); #239644=ORIENTED_EDGE('',*,*,#186610,.T.); #239645=ORIENTED_EDGE('',*,*,#186611,.T.); #239646=ORIENTED_EDGE('',*,*,#186610,.F.); #239647=ORIENTED_EDGE('',*,*,#186609,.T.); #239648=ORIENTED_EDGE('',*,*,#186606,.T.); #239649=ORIENTED_EDGE('',*,*,#186611,.F.); #239650=ORIENTED_EDGE('',*,*,#186608,.T.); #239651=ORIENTED_EDGE('',*,*,#186612,.F.); #239652=ORIENTED_EDGE('',*,*,#186613,.T.); #239653=ORIENTED_EDGE('',*,*,#186614,.F.); #239654=ORIENTED_EDGE('',*,*,#186613,.F.); #239655=ORIENTED_EDGE('',*,*,#186615,.F.); #239656=ORIENTED_EDGE('',*,*,#186616,.T.); #239657=ORIENTED_EDGE('',*,*,#186617,.T.); #239658=ORIENTED_EDGE('',*,*,#186616,.F.); #239659=ORIENTED_EDGE('',*,*,#186615,.T.); #239660=ORIENTED_EDGE('',*,*,#186612,.T.); #239661=ORIENTED_EDGE('',*,*,#186617,.F.); #239662=ORIENTED_EDGE('',*,*,#186614,.T.); #239663=ORIENTED_EDGE('',*,*,#186618,.F.); #239664=ORIENTED_EDGE('',*,*,#186619,.T.); #239665=ORIENTED_EDGE('',*,*,#186620,.F.); #239666=ORIENTED_EDGE('',*,*,#186619,.F.); #239667=ORIENTED_EDGE('',*,*,#186621,.F.); #239668=ORIENTED_EDGE('',*,*,#186622,.T.); #239669=ORIENTED_EDGE('',*,*,#186623,.T.); #239670=ORIENTED_EDGE('',*,*,#186622,.F.); #239671=ORIENTED_EDGE('',*,*,#186621,.T.); #239672=ORIENTED_EDGE('',*,*,#186618,.T.); #239673=ORIENTED_EDGE('',*,*,#186623,.F.); #239674=ORIENTED_EDGE('',*,*,#186620,.T.); #239675=ORIENTED_EDGE('',*,*,#186624,.F.); #239676=ORIENTED_EDGE('',*,*,#186625,.T.); #239677=ORIENTED_EDGE('',*,*,#186626,.F.); #239678=ORIENTED_EDGE('',*,*,#186625,.F.); #239679=ORIENTED_EDGE('',*,*,#186627,.F.); #239680=ORIENTED_EDGE('',*,*,#186628,.T.); #239681=ORIENTED_EDGE('',*,*,#186629,.T.); #239682=ORIENTED_EDGE('',*,*,#186628,.F.); #239683=ORIENTED_EDGE('',*,*,#186627,.T.); #239684=ORIENTED_EDGE('',*,*,#186624,.T.); #239685=ORIENTED_EDGE('',*,*,#186629,.F.); #239686=ORIENTED_EDGE('',*,*,#186626,.T.); #239687=ORIENTED_EDGE('',*,*,#186630,.F.); #239688=ORIENTED_EDGE('',*,*,#186631,.T.); #239689=ORIENTED_EDGE('',*,*,#186632,.F.); #239690=ORIENTED_EDGE('',*,*,#186631,.F.); #239691=ORIENTED_EDGE('',*,*,#186633,.F.); #239692=ORIENTED_EDGE('',*,*,#186634,.T.); #239693=ORIENTED_EDGE('',*,*,#186635,.T.); #239694=ORIENTED_EDGE('',*,*,#186634,.F.); #239695=ORIENTED_EDGE('',*,*,#186633,.T.); #239696=ORIENTED_EDGE('',*,*,#186630,.T.); #239697=ORIENTED_EDGE('',*,*,#186635,.F.); #239698=ORIENTED_EDGE('',*,*,#186632,.T.); #239699=ORIENTED_EDGE('',*,*,#186636,.F.); #239700=ORIENTED_EDGE('',*,*,#186637,.T.); #239701=ORIENTED_EDGE('',*,*,#186638,.F.); #239702=ORIENTED_EDGE('',*,*,#186637,.F.); #239703=ORIENTED_EDGE('',*,*,#186639,.F.); #239704=ORIENTED_EDGE('',*,*,#186640,.T.); #239705=ORIENTED_EDGE('',*,*,#186641,.T.); #239706=ORIENTED_EDGE('',*,*,#186640,.F.); #239707=ORIENTED_EDGE('',*,*,#186639,.T.); #239708=ORIENTED_EDGE('',*,*,#186636,.T.); #239709=ORIENTED_EDGE('',*,*,#186641,.F.); #239710=ORIENTED_EDGE('',*,*,#186638,.T.); #239711=ORIENTED_EDGE('',*,*,#186642,.F.); #239712=ORIENTED_EDGE('',*,*,#186643,.T.); #239713=ORIENTED_EDGE('',*,*,#186644,.F.); #239714=ORIENTED_EDGE('',*,*,#186643,.F.); #239715=ORIENTED_EDGE('',*,*,#186645,.F.); #239716=ORIENTED_EDGE('',*,*,#186646,.T.); #239717=ORIENTED_EDGE('',*,*,#186647,.T.); #239718=ORIENTED_EDGE('',*,*,#186646,.F.); #239719=ORIENTED_EDGE('',*,*,#186645,.T.); #239720=ORIENTED_EDGE('',*,*,#186642,.T.); #239721=ORIENTED_EDGE('',*,*,#186647,.F.); #239722=ORIENTED_EDGE('',*,*,#186644,.T.); #239723=ORIENTED_EDGE('',*,*,#186648,.F.); #239724=ORIENTED_EDGE('',*,*,#186649,.T.); #239725=ORIENTED_EDGE('',*,*,#186650,.F.); #239726=ORIENTED_EDGE('',*,*,#186649,.F.); #239727=ORIENTED_EDGE('',*,*,#186651,.F.); #239728=ORIENTED_EDGE('',*,*,#186652,.T.); #239729=ORIENTED_EDGE('',*,*,#186653,.T.); #239730=ORIENTED_EDGE('',*,*,#186652,.F.); #239731=ORIENTED_EDGE('',*,*,#186651,.T.); #239732=ORIENTED_EDGE('',*,*,#186648,.T.); #239733=ORIENTED_EDGE('',*,*,#186653,.F.); #239734=ORIENTED_EDGE('',*,*,#186650,.T.); #239735=ORIENTED_EDGE('',*,*,#186654,.F.); #239736=ORIENTED_EDGE('',*,*,#186655,.T.); #239737=ORIENTED_EDGE('',*,*,#186656,.F.); #239738=ORIENTED_EDGE('',*,*,#186655,.F.); #239739=ORIENTED_EDGE('',*,*,#186657,.F.); #239740=ORIENTED_EDGE('',*,*,#186658,.T.); #239741=ORIENTED_EDGE('',*,*,#186659,.T.); #239742=ORIENTED_EDGE('',*,*,#186658,.F.); #239743=ORIENTED_EDGE('',*,*,#186657,.T.); #239744=ORIENTED_EDGE('',*,*,#186654,.T.); #239745=ORIENTED_EDGE('',*,*,#186659,.F.); #239746=ORIENTED_EDGE('',*,*,#186656,.T.); #239747=ORIENTED_EDGE('',*,*,#186660,.F.); #239748=ORIENTED_EDGE('',*,*,#186661,.T.); #239749=ORIENTED_EDGE('',*,*,#186662,.F.); #239750=ORIENTED_EDGE('',*,*,#186661,.F.); #239751=ORIENTED_EDGE('',*,*,#186663,.F.); #239752=ORIENTED_EDGE('',*,*,#186664,.T.); #239753=ORIENTED_EDGE('',*,*,#186665,.T.); #239754=ORIENTED_EDGE('',*,*,#186664,.F.); #239755=ORIENTED_EDGE('',*,*,#186663,.T.); #239756=ORIENTED_EDGE('',*,*,#186660,.T.); #239757=ORIENTED_EDGE('',*,*,#186665,.F.); #239758=ORIENTED_EDGE('',*,*,#186662,.T.); #239759=ORIENTED_EDGE('',*,*,#186666,.F.); #239760=ORIENTED_EDGE('',*,*,#186667,.T.); #239761=ORIENTED_EDGE('',*,*,#186668,.F.); #239762=ORIENTED_EDGE('',*,*,#186667,.F.); #239763=ORIENTED_EDGE('',*,*,#186669,.F.); #239764=ORIENTED_EDGE('',*,*,#186670,.T.); #239765=ORIENTED_EDGE('',*,*,#186671,.T.); #239766=ORIENTED_EDGE('',*,*,#186670,.F.); #239767=ORIENTED_EDGE('',*,*,#186669,.T.); #239768=ORIENTED_EDGE('',*,*,#186666,.T.); #239769=ORIENTED_EDGE('',*,*,#186671,.F.); #239770=ORIENTED_EDGE('',*,*,#186668,.T.); #239771=ORIENTED_EDGE('',*,*,#186672,.F.); #239772=ORIENTED_EDGE('',*,*,#186673,.T.); #239773=ORIENTED_EDGE('',*,*,#186674,.F.); #239774=ORIENTED_EDGE('',*,*,#186673,.F.); #239775=ORIENTED_EDGE('',*,*,#186675,.F.); #239776=ORIENTED_EDGE('',*,*,#186676,.T.); #239777=ORIENTED_EDGE('',*,*,#186677,.T.); #239778=ORIENTED_EDGE('',*,*,#186676,.F.); #239779=ORIENTED_EDGE('',*,*,#186675,.T.); #239780=ORIENTED_EDGE('',*,*,#186672,.T.); #239781=ORIENTED_EDGE('',*,*,#186677,.F.); #239782=ORIENTED_EDGE('',*,*,#186674,.T.); #239783=ORIENTED_EDGE('',*,*,#186678,.F.); #239784=ORIENTED_EDGE('',*,*,#186679,.T.); #239785=ORIENTED_EDGE('',*,*,#186680,.F.); #239786=ORIENTED_EDGE('',*,*,#186679,.F.); #239787=ORIENTED_EDGE('',*,*,#186681,.F.); #239788=ORIENTED_EDGE('',*,*,#186682,.T.); #239789=ORIENTED_EDGE('',*,*,#186683,.T.); #239790=ORIENTED_EDGE('',*,*,#186682,.F.); #239791=ORIENTED_EDGE('',*,*,#186681,.T.); #239792=ORIENTED_EDGE('',*,*,#186678,.T.); #239793=ORIENTED_EDGE('',*,*,#186683,.F.); #239794=ORIENTED_EDGE('',*,*,#186680,.T.); #239795=ORIENTED_EDGE('',*,*,#186684,.F.); #239796=ORIENTED_EDGE('',*,*,#186685,.T.); #239797=ORIENTED_EDGE('',*,*,#186686,.F.); #239798=ORIENTED_EDGE('',*,*,#186685,.F.); #239799=ORIENTED_EDGE('',*,*,#186687,.F.); #239800=ORIENTED_EDGE('',*,*,#186688,.T.); #239801=ORIENTED_EDGE('',*,*,#186689,.T.); #239802=ORIENTED_EDGE('',*,*,#186688,.F.); #239803=ORIENTED_EDGE('',*,*,#186687,.T.); #239804=ORIENTED_EDGE('',*,*,#186684,.T.); #239805=ORIENTED_EDGE('',*,*,#186689,.F.); #239806=ORIENTED_EDGE('',*,*,#186686,.T.); #239807=ORIENTED_EDGE('',*,*,#186690,.F.); #239808=ORIENTED_EDGE('',*,*,#186691,.T.); #239809=ORIENTED_EDGE('',*,*,#186692,.F.); #239810=ORIENTED_EDGE('',*,*,#186691,.F.); #239811=ORIENTED_EDGE('',*,*,#186693,.F.); #239812=ORIENTED_EDGE('',*,*,#186694,.T.); #239813=ORIENTED_EDGE('',*,*,#186695,.T.); #239814=ORIENTED_EDGE('',*,*,#186694,.F.); #239815=ORIENTED_EDGE('',*,*,#186693,.T.); #239816=ORIENTED_EDGE('',*,*,#186690,.T.); #239817=ORIENTED_EDGE('',*,*,#186695,.F.); #239818=ORIENTED_EDGE('',*,*,#186692,.T.); #239819=ORIENTED_EDGE('',*,*,#186696,.F.); #239820=ORIENTED_EDGE('',*,*,#186697,.T.); #239821=ORIENTED_EDGE('',*,*,#186698,.F.); #239822=ORIENTED_EDGE('',*,*,#186697,.F.); #239823=ORIENTED_EDGE('',*,*,#186699,.F.); #239824=ORIENTED_EDGE('',*,*,#186700,.T.); #239825=ORIENTED_EDGE('',*,*,#186701,.T.); #239826=ORIENTED_EDGE('',*,*,#186700,.F.); #239827=ORIENTED_EDGE('',*,*,#186699,.T.); #239828=ORIENTED_EDGE('',*,*,#186696,.T.); #239829=ORIENTED_EDGE('',*,*,#186701,.F.); #239830=ORIENTED_EDGE('',*,*,#186698,.T.); #239831=ORIENTED_EDGE('',*,*,#186702,.F.); #239832=ORIENTED_EDGE('',*,*,#186703,.T.); #239833=ORIENTED_EDGE('',*,*,#186704,.F.); #239834=ORIENTED_EDGE('',*,*,#186703,.F.); #239835=ORIENTED_EDGE('',*,*,#186705,.F.); #239836=ORIENTED_EDGE('',*,*,#186706,.T.); #239837=ORIENTED_EDGE('',*,*,#186707,.T.); #239838=ORIENTED_EDGE('',*,*,#186706,.F.); #239839=ORIENTED_EDGE('',*,*,#186705,.T.); #239840=ORIENTED_EDGE('',*,*,#186702,.T.); #239841=ORIENTED_EDGE('',*,*,#186707,.F.); #239842=ORIENTED_EDGE('',*,*,#186704,.T.); #239843=ORIENTED_EDGE('',*,*,#186708,.F.); #239844=ORIENTED_EDGE('',*,*,#186709,.T.); #239845=ORIENTED_EDGE('',*,*,#186710,.F.); #239846=ORIENTED_EDGE('',*,*,#186709,.F.); #239847=ORIENTED_EDGE('',*,*,#186711,.F.); #239848=ORIENTED_EDGE('',*,*,#186712,.T.); #239849=ORIENTED_EDGE('',*,*,#186713,.T.); #239850=ORIENTED_EDGE('',*,*,#186712,.F.); #239851=ORIENTED_EDGE('',*,*,#186711,.T.); #239852=ORIENTED_EDGE('',*,*,#186708,.T.); #239853=ORIENTED_EDGE('',*,*,#186713,.F.); #239854=ORIENTED_EDGE('',*,*,#186710,.T.); #239855=ORIENTED_EDGE('',*,*,#186714,.F.); #239856=ORIENTED_EDGE('',*,*,#186715,.T.); #239857=ORIENTED_EDGE('',*,*,#186716,.F.); #239858=ORIENTED_EDGE('',*,*,#186715,.F.); #239859=ORIENTED_EDGE('',*,*,#186717,.F.); #239860=ORIENTED_EDGE('',*,*,#186718,.T.); #239861=ORIENTED_EDGE('',*,*,#186719,.T.); #239862=ORIENTED_EDGE('',*,*,#186718,.F.); #239863=ORIENTED_EDGE('',*,*,#186717,.T.); #239864=ORIENTED_EDGE('',*,*,#186714,.T.); #239865=ORIENTED_EDGE('',*,*,#186719,.F.); #239866=ORIENTED_EDGE('',*,*,#186716,.T.); #239867=ORIENTED_EDGE('',*,*,#186720,.F.); #239868=ORIENTED_EDGE('',*,*,#186721,.T.); #239869=ORIENTED_EDGE('',*,*,#186722,.F.); #239870=ORIENTED_EDGE('',*,*,#186721,.F.); #239871=ORIENTED_EDGE('',*,*,#186723,.F.); #239872=ORIENTED_EDGE('',*,*,#186724,.T.); #239873=ORIENTED_EDGE('',*,*,#186725,.T.); #239874=ORIENTED_EDGE('',*,*,#186724,.F.); #239875=ORIENTED_EDGE('',*,*,#186723,.T.); #239876=ORIENTED_EDGE('',*,*,#186720,.T.); #239877=ORIENTED_EDGE('',*,*,#186725,.F.); #239878=ORIENTED_EDGE('',*,*,#186722,.T.); #239879=ORIENTED_EDGE('',*,*,#186726,.F.); #239880=ORIENTED_EDGE('',*,*,#186727,.T.); #239881=ORIENTED_EDGE('',*,*,#186728,.F.); #239882=ORIENTED_EDGE('',*,*,#186727,.F.); #239883=ORIENTED_EDGE('',*,*,#186729,.F.); #239884=ORIENTED_EDGE('',*,*,#186730,.T.); #239885=ORIENTED_EDGE('',*,*,#186731,.T.); #239886=ORIENTED_EDGE('',*,*,#186730,.F.); #239887=ORIENTED_EDGE('',*,*,#186729,.T.); #239888=ORIENTED_EDGE('',*,*,#186726,.T.); #239889=ORIENTED_EDGE('',*,*,#186731,.F.); #239890=ORIENTED_EDGE('',*,*,#186728,.T.); #239891=ORIENTED_EDGE('',*,*,#186732,.F.); #239892=ORIENTED_EDGE('',*,*,#186733,.T.); #239893=ORIENTED_EDGE('',*,*,#186734,.F.); #239894=ORIENTED_EDGE('',*,*,#186733,.F.); #239895=ORIENTED_EDGE('',*,*,#186735,.F.); #239896=ORIENTED_EDGE('',*,*,#186736,.T.); #239897=ORIENTED_EDGE('',*,*,#186737,.T.); #239898=ORIENTED_EDGE('',*,*,#186736,.F.); #239899=ORIENTED_EDGE('',*,*,#186735,.T.); #239900=ORIENTED_EDGE('',*,*,#186732,.T.); #239901=ORIENTED_EDGE('',*,*,#186737,.F.); #239902=ORIENTED_EDGE('',*,*,#186734,.T.); #239903=ORIENTED_EDGE('',*,*,#186738,.F.); #239904=ORIENTED_EDGE('',*,*,#186739,.T.); #239905=ORIENTED_EDGE('',*,*,#186740,.F.); #239906=ORIENTED_EDGE('',*,*,#186739,.F.); #239907=ORIENTED_EDGE('',*,*,#186741,.F.); #239908=ORIENTED_EDGE('',*,*,#186742,.T.); #239909=ORIENTED_EDGE('',*,*,#186743,.T.); #239910=ORIENTED_EDGE('',*,*,#186742,.F.); #239911=ORIENTED_EDGE('',*,*,#186741,.T.); #239912=ORIENTED_EDGE('',*,*,#186738,.T.); #239913=ORIENTED_EDGE('',*,*,#186743,.F.); #239914=ORIENTED_EDGE('',*,*,#186740,.T.); #239915=ORIENTED_EDGE('',*,*,#186744,.F.); #239916=ORIENTED_EDGE('',*,*,#186745,.T.); #239917=ORIENTED_EDGE('',*,*,#186746,.F.); #239918=ORIENTED_EDGE('',*,*,#186745,.F.); #239919=ORIENTED_EDGE('',*,*,#186747,.F.); #239920=ORIENTED_EDGE('',*,*,#186748,.T.); #239921=ORIENTED_EDGE('',*,*,#186749,.T.); #239922=ORIENTED_EDGE('',*,*,#186748,.F.); #239923=ORIENTED_EDGE('',*,*,#186747,.T.); #239924=ORIENTED_EDGE('',*,*,#186744,.T.); #239925=ORIENTED_EDGE('',*,*,#186749,.F.); #239926=ORIENTED_EDGE('',*,*,#186746,.T.); #239927=ORIENTED_EDGE('',*,*,#186750,.F.); #239928=ORIENTED_EDGE('',*,*,#186751,.T.); #239929=ORIENTED_EDGE('',*,*,#186752,.F.); #239930=ORIENTED_EDGE('',*,*,#186751,.F.); #239931=ORIENTED_EDGE('',*,*,#186753,.F.); #239932=ORIENTED_EDGE('',*,*,#186754,.T.); #239933=ORIENTED_EDGE('',*,*,#186755,.T.); #239934=ORIENTED_EDGE('',*,*,#186754,.F.); #239935=ORIENTED_EDGE('',*,*,#186753,.T.); #239936=ORIENTED_EDGE('',*,*,#186750,.T.); #239937=ORIENTED_EDGE('',*,*,#186755,.F.); #239938=ORIENTED_EDGE('',*,*,#186752,.T.); #239939=ORIENTED_EDGE('',*,*,#186756,.F.); #239940=ORIENTED_EDGE('',*,*,#186757,.T.); #239941=ORIENTED_EDGE('',*,*,#186758,.F.); #239942=ORIENTED_EDGE('',*,*,#186757,.F.); #239943=ORIENTED_EDGE('',*,*,#186759,.F.); #239944=ORIENTED_EDGE('',*,*,#186760,.T.); #239945=ORIENTED_EDGE('',*,*,#186761,.T.); #239946=ORIENTED_EDGE('',*,*,#186760,.F.); #239947=ORIENTED_EDGE('',*,*,#186759,.T.); #239948=ORIENTED_EDGE('',*,*,#186756,.T.); #239949=ORIENTED_EDGE('',*,*,#186761,.F.); #239950=ORIENTED_EDGE('',*,*,#186758,.T.); #239951=ORIENTED_EDGE('',*,*,#186762,.F.); #239952=ORIENTED_EDGE('',*,*,#186763,.T.); #239953=ORIENTED_EDGE('',*,*,#186764,.F.); #239954=ORIENTED_EDGE('',*,*,#186763,.F.); #239955=ORIENTED_EDGE('',*,*,#186765,.F.); #239956=ORIENTED_EDGE('',*,*,#186766,.T.); #239957=ORIENTED_EDGE('',*,*,#186767,.T.); #239958=ORIENTED_EDGE('',*,*,#186766,.F.); #239959=ORIENTED_EDGE('',*,*,#186765,.T.); #239960=ORIENTED_EDGE('',*,*,#186762,.T.); #239961=ORIENTED_EDGE('',*,*,#186767,.F.); #239962=ORIENTED_EDGE('',*,*,#186764,.T.); #239963=ORIENTED_EDGE('',*,*,#186768,.F.); #239964=ORIENTED_EDGE('',*,*,#186769,.T.); #239965=ORIENTED_EDGE('',*,*,#186770,.F.); #239966=ORIENTED_EDGE('',*,*,#186769,.F.); #239967=ORIENTED_EDGE('',*,*,#186771,.F.); #239968=ORIENTED_EDGE('',*,*,#186772,.T.); #239969=ORIENTED_EDGE('',*,*,#186773,.T.); #239970=ORIENTED_EDGE('',*,*,#186772,.F.); #239971=ORIENTED_EDGE('',*,*,#186771,.T.); #239972=ORIENTED_EDGE('',*,*,#186768,.T.); #239973=ORIENTED_EDGE('',*,*,#186773,.F.); #239974=ORIENTED_EDGE('',*,*,#186770,.T.); #239975=ORIENTED_EDGE('',*,*,#186774,.F.); #239976=ORIENTED_EDGE('',*,*,#186775,.T.); #239977=ORIENTED_EDGE('',*,*,#186776,.F.); #239978=ORIENTED_EDGE('',*,*,#186775,.F.); #239979=ORIENTED_EDGE('',*,*,#186777,.F.); #239980=ORIENTED_EDGE('',*,*,#186778,.T.); #239981=ORIENTED_EDGE('',*,*,#186779,.T.); #239982=ORIENTED_EDGE('',*,*,#186778,.F.); #239983=ORIENTED_EDGE('',*,*,#186777,.T.); #239984=ORIENTED_EDGE('',*,*,#186774,.T.); #239985=ORIENTED_EDGE('',*,*,#186779,.F.); #239986=ORIENTED_EDGE('',*,*,#186776,.T.); #239987=ORIENTED_EDGE('',*,*,#186780,.F.); #239988=ORIENTED_EDGE('',*,*,#186781,.T.); #239989=ORIENTED_EDGE('',*,*,#186782,.F.); #239990=ORIENTED_EDGE('',*,*,#186781,.F.); #239991=ORIENTED_EDGE('',*,*,#186783,.F.); #239992=ORIENTED_EDGE('',*,*,#186784,.T.); #239993=ORIENTED_EDGE('',*,*,#186785,.T.); #239994=ORIENTED_EDGE('',*,*,#186784,.F.); #239995=ORIENTED_EDGE('',*,*,#186783,.T.); #239996=ORIENTED_EDGE('',*,*,#186780,.T.); #239997=ORIENTED_EDGE('',*,*,#186785,.F.); #239998=ORIENTED_EDGE('',*,*,#186782,.T.); #239999=ORIENTED_EDGE('',*,*,#186786,.F.); #240000=ORIENTED_EDGE('',*,*,#186787,.T.); #240001=ORIENTED_EDGE('',*,*,#186788,.F.); #240002=ORIENTED_EDGE('',*,*,#186787,.F.); #240003=ORIENTED_EDGE('',*,*,#186789,.F.); #240004=ORIENTED_EDGE('',*,*,#186790,.T.); #240005=ORIENTED_EDGE('',*,*,#186791,.T.); #240006=ORIENTED_EDGE('',*,*,#186790,.F.); #240007=ORIENTED_EDGE('',*,*,#186789,.T.); #240008=ORIENTED_EDGE('',*,*,#186786,.T.); #240009=ORIENTED_EDGE('',*,*,#186791,.F.); #240010=ORIENTED_EDGE('',*,*,#186788,.T.); #240011=ORIENTED_EDGE('',*,*,#186792,.F.); #240012=ORIENTED_EDGE('',*,*,#186793,.T.); #240013=ORIENTED_EDGE('',*,*,#186794,.F.); #240014=ORIENTED_EDGE('',*,*,#186793,.F.); #240015=ORIENTED_EDGE('',*,*,#186795,.F.); #240016=ORIENTED_EDGE('',*,*,#186796,.T.); #240017=ORIENTED_EDGE('',*,*,#186797,.T.); #240018=ORIENTED_EDGE('',*,*,#186796,.F.); #240019=ORIENTED_EDGE('',*,*,#186795,.T.); #240020=ORIENTED_EDGE('',*,*,#186792,.T.); #240021=ORIENTED_EDGE('',*,*,#186797,.F.); #240022=ORIENTED_EDGE('',*,*,#186794,.T.); #240023=ORIENTED_EDGE('',*,*,#186798,.F.); #240024=ORIENTED_EDGE('',*,*,#186799,.T.); #240025=ORIENTED_EDGE('',*,*,#186800,.F.); #240026=ORIENTED_EDGE('',*,*,#186799,.F.); #240027=ORIENTED_EDGE('',*,*,#186801,.F.); #240028=ORIENTED_EDGE('',*,*,#186802,.T.); #240029=ORIENTED_EDGE('',*,*,#186803,.T.); #240030=ORIENTED_EDGE('',*,*,#186802,.F.); #240031=ORIENTED_EDGE('',*,*,#186801,.T.); #240032=ORIENTED_EDGE('',*,*,#186798,.T.); #240033=ORIENTED_EDGE('',*,*,#186803,.F.); #240034=ORIENTED_EDGE('',*,*,#186800,.T.); #240035=ORIENTED_EDGE('',*,*,#186804,.F.); #240036=ORIENTED_EDGE('',*,*,#186805,.T.); #240037=ORIENTED_EDGE('',*,*,#186806,.F.); #240038=ORIENTED_EDGE('',*,*,#186805,.F.); #240039=ORIENTED_EDGE('',*,*,#186807,.F.); #240040=ORIENTED_EDGE('',*,*,#186808,.T.); #240041=ORIENTED_EDGE('',*,*,#186809,.T.); #240042=ORIENTED_EDGE('',*,*,#186808,.F.); #240043=ORIENTED_EDGE('',*,*,#186807,.T.); #240044=ORIENTED_EDGE('',*,*,#186804,.T.); #240045=ORIENTED_EDGE('',*,*,#186809,.F.); #240046=ORIENTED_EDGE('',*,*,#186806,.T.); #240047=ORIENTED_EDGE('',*,*,#186810,.F.); #240048=ORIENTED_EDGE('',*,*,#186811,.T.); #240049=ORIENTED_EDGE('',*,*,#186812,.F.); #240050=ORIENTED_EDGE('',*,*,#186811,.F.); #240051=ORIENTED_EDGE('',*,*,#186813,.F.); #240052=ORIENTED_EDGE('',*,*,#186814,.T.); #240053=ORIENTED_EDGE('',*,*,#186815,.T.); #240054=ORIENTED_EDGE('',*,*,#186814,.F.); #240055=ORIENTED_EDGE('',*,*,#186813,.T.); #240056=ORIENTED_EDGE('',*,*,#186810,.T.); #240057=ORIENTED_EDGE('',*,*,#186815,.F.); #240058=ORIENTED_EDGE('',*,*,#186812,.T.); #240059=ORIENTED_EDGE('',*,*,#186816,.F.); #240060=ORIENTED_EDGE('',*,*,#186817,.T.); #240061=ORIENTED_EDGE('',*,*,#186818,.F.); #240062=ORIENTED_EDGE('',*,*,#186817,.F.); #240063=ORIENTED_EDGE('',*,*,#186819,.F.); #240064=ORIENTED_EDGE('',*,*,#186820,.T.); #240065=ORIENTED_EDGE('',*,*,#186821,.T.); #240066=ORIENTED_EDGE('',*,*,#186820,.F.); #240067=ORIENTED_EDGE('',*,*,#186819,.T.); #240068=ORIENTED_EDGE('',*,*,#186816,.T.); #240069=ORIENTED_EDGE('',*,*,#186821,.F.); #240070=ORIENTED_EDGE('',*,*,#186818,.T.); #240071=ORIENTED_EDGE('',*,*,#186822,.F.); #240072=ORIENTED_EDGE('',*,*,#186823,.T.); #240073=ORIENTED_EDGE('',*,*,#186824,.F.); #240074=ORIENTED_EDGE('',*,*,#186823,.F.); #240075=ORIENTED_EDGE('',*,*,#186825,.F.); #240076=ORIENTED_EDGE('',*,*,#186826,.T.); #240077=ORIENTED_EDGE('',*,*,#186827,.T.); #240078=ORIENTED_EDGE('',*,*,#186826,.F.); #240079=ORIENTED_EDGE('',*,*,#186825,.T.); #240080=ORIENTED_EDGE('',*,*,#186822,.T.); #240081=ORIENTED_EDGE('',*,*,#186827,.F.); #240082=ORIENTED_EDGE('',*,*,#186824,.T.); #240083=ORIENTED_EDGE('',*,*,#186828,.F.); #240084=ORIENTED_EDGE('',*,*,#186829,.T.); #240085=ORIENTED_EDGE('',*,*,#186830,.F.); #240086=ORIENTED_EDGE('',*,*,#186829,.F.); #240087=ORIENTED_EDGE('',*,*,#186831,.F.); #240088=ORIENTED_EDGE('',*,*,#186832,.T.); #240089=ORIENTED_EDGE('',*,*,#186833,.T.); #240090=ORIENTED_EDGE('',*,*,#186832,.F.); #240091=ORIENTED_EDGE('',*,*,#186831,.T.); #240092=ORIENTED_EDGE('',*,*,#186828,.T.); #240093=ORIENTED_EDGE('',*,*,#186833,.F.); #240094=ORIENTED_EDGE('',*,*,#186830,.T.); #240095=ORIENTED_EDGE('',*,*,#186834,.F.); #240096=ORIENTED_EDGE('',*,*,#186835,.T.); #240097=ORIENTED_EDGE('',*,*,#186836,.F.); #240098=ORIENTED_EDGE('',*,*,#186835,.F.); #240099=ORIENTED_EDGE('',*,*,#186837,.F.); #240100=ORIENTED_EDGE('',*,*,#186838,.T.); #240101=ORIENTED_EDGE('',*,*,#186839,.T.); #240102=ORIENTED_EDGE('',*,*,#186838,.F.); #240103=ORIENTED_EDGE('',*,*,#186837,.T.); #240104=ORIENTED_EDGE('',*,*,#186834,.T.); #240105=ORIENTED_EDGE('',*,*,#186839,.F.); #240106=ORIENTED_EDGE('',*,*,#186836,.T.); #240107=ORIENTED_EDGE('',*,*,#186840,.F.); #240108=ORIENTED_EDGE('',*,*,#186841,.T.); #240109=ORIENTED_EDGE('',*,*,#186842,.F.); #240110=ORIENTED_EDGE('',*,*,#186841,.F.); #240111=ORIENTED_EDGE('',*,*,#186843,.F.); #240112=ORIENTED_EDGE('',*,*,#186844,.T.); #240113=ORIENTED_EDGE('',*,*,#186845,.T.); #240114=ORIENTED_EDGE('',*,*,#186844,.F.); #240115=ORIENTED_EDGE('',*,*,#186843,.T.); #240116=ORIENTED_EDGE('',*,*,#186840,.T.); #240117=ORIENTED_EDGE('',*,*,#186845,.F.); #240118=ORIENTED_EDGE('',*,*,#186842,.T.); #240119=ORIENTED_EDGE('',*,*,#186846,.F.); #240120=ORIENTED_EDGE('',*,*,#186847,.T.); #240121=ORIENTED_EDGE('',*,*,#186848,.F.); #240122=ORIENTED_EDGE('',*,*,#186847,.F.); #240123=ORIENTED_EDGE('',*,*,#186849,.F.); #240124=ORIENTED_EDGE('',*,*,#186850,.T.); #240125=ORIENTED_EDGE('',*,*,#186851,.T.); #240126=ORIENTED_EDGE('',*,*,#186850,.F.); #240127=ORIENTED_EDGE('',*,*,#186849,.T.); #240128=ORIENTED_EDGE('',*,*,#186846,.T.); #240129=ORIENTED_EDGE('',*,*,#186851,.F.); #240130=ORIENTED_EDGE('',*,*,#186848,.T.); #240131=ORIENTED_EDGE('',*,*,#186852,.F.); #240132=ORIENTED_EDGE('',*,*,#186853,.T.); #240133=ORIENTED_EDGE('',*,*,#186854,.F.); #240134=ORIENTED_EDGE('',*,*,#186853,.F.); #240135=ORIENTED_EDGE('',*,*,#186855,.F.); #240136=ORIENTED_EDGE('',*,*,#186856,.T.); #240137=ORIENTED_EDGE('',*,*,#186857,.T.); #240138=ORIENTED_EDGE('',*,*,#186856,.F.); #240139=ORIENTED_EDGE('',*,*,#186855,.T.); #240140=ORIENTED_EDGE('',*,*,#186852,.T.); #240141=ORIENTED_EDGE('',*,*,#186857,.F.); #240142=ORIENTED_EDGE('',*,*,#186854,.T.); #240143=ORIENTED_EDGE('',*,*,#186858,.F.); #240144=ORIENTED_EDGE('',*,*,#186859,.T.); #240145=ORIENTED_EDGE('',*,*,#186860,.F.); #240146=ORIENTED_EDGE('',*,*,#186859,.F.); #240147=ORIENTED_EDGE('',*,*,#186861,.F.); #240148=ORIENTED_EDGE('',*,*,#186862,.T.); #240149=ORIENTED_EDGE('',*,*,#186863,.T.); #240150=ORIENTED_EDGE('',*,*,#186862,.F.); #240151=ORIENTED_EDGE('',*,*,#186861,.T.); #240152=ORIENTED_EDGE('',*,*,#186858,.T.); #240153=ORIENTED_EDGE('',*,*,#186863,.F.); #240154=ORIENTED_EDGE('',*,*,#186860,.T.); #240155=ORIENTED_EDGE('',*,*,#186864,.F.); #240156=ORIENTED_EDGE('',*,*,#186865,.T.); #240157=ORIENTED_EDGE('',*,*,#186866,.F.); #240158=ORIENTED_EDGE('',*,*,#186865,.F.); #240159=ORIENTED_EDGE('',*,*,#186867,.F.); #240160=ORIENTED_EDGE('',*,*,#186868,.T.); #240161=ORIENTED_EDGE('',*,*,#186869,.T.); #240162=ORIENTED_EDGE('',*,*,#186868,.F.); #240163=ORIENTED_EDGE('',*,*,#186867,.T.); #240164=ORIENTED_EDGE('',*,*,#186864,.T.); #240165=ORIENTED_EDGE('',*,*,#186869,.F.); #240166=ORIENTED_EDGE('',*,*,#186866,.T.); #240167=ORIENTED_EDGE('',*,*,#186870,.F.); #240168=ORIENTED_EDGE('',*,*,#186871,.T.); #240169=ORIENTED_EDGE('',*,*,#186872,.F.); #240170=ORIENTED_EDGE('',*,*,#186871,.F.); #240171=ORIENTED_EDGE('',*,*,#186873,.F.); #240172=ORIENTED_EDGE('',*,*,#186874,.T.); #240173=ORIENTED_EDGE('',*,*,#186875,.T.); #240174=ORIENTED_EDGE('',*,*,#186874,.F.); #240175=ORIENTED_EDGE('',*,*,#186873,.T.); #240176=ORIENTED_EDGE('',*,*,#186870,.T.); #240177=ORIENTED_EDGE('',*,*,#186875,.F.); #240178=ORIENTED_EDGE('',*,*,#186872,.T.); #240179=ORIENTED_EDGE('',*,*,#186876,.F.); #240180=ORIENTED_EDGE('',*,*,#186877,.T.); #240181=ORIENTED_EDGE('',*,*,#186878,.F.); #240182=ORIENTED_EDGE('',*,*,#186877,.F.); #240183=ORIENTED_EDGE('',*,*,#186879,.F.); #240184=ORIENTED_EDGE('',*,*,#186880,.T.); #240185=ORIENTED_EDGE('',*,*,#186881,.T.); #240186=ORIENTED_EDGE('',*,*,#186880,.F.); #240187=ORIENTED_EDGE('',*,*,#186879,.T.); #240188=ORIENTED_EDGE('',*,*,#186876,.T.); #240189=ORIENTED_EDGE('',*,*,#186881,.F.); #240190=ORIENTED_EDGE('',*,*,#186878,.T.); #240191=ORIENTED_EDGE('',*,*,#186882,.F.); #240192=ORIENTED_EDGE('',*,*,#186883,.T.); #240193=ORIENTED_EDGE('',*,*,#186884,.F.); #240194=ORIENTED_EDGE('',*,*,#186883,.F.); #240195=ORIENTED_EDGE('',*,*,#186885,.F.); #240196=ORIENTED_EDGE('',*,*,#186886,.T.); #240197=ORIENTED_EDGE('',*,*,#186887,.T.); #240198=ORIENTED_EDGE('',*,*,#186886,.F.); #240199=ORIENTED_EDGE('',*,*,#186885,.T.); #240200=ORIENTED_EDGE('',*,*,#186882,.T.); #240201=ORIENTED_EDGE('',*,*,#186887,.F.); #240202=ORIENTED_EDGE('',*,*,#186884,.T.); #240203=ORIENTED_EDGE('',*,*,#186888,.F.); #240204=ORIENTED_EDGE('',*,*,#186889,.T.); #240205=ORIENTED_EDGE('',*,*,#186890,.F.); #240206=ORIENTED_EDGE('',*,*,#186889,.F.); #240207=ORIENTED_EDGE('',*,*,#186891,.F.); #240208=ORIENTED_EDGE('',*,*,#186892,.T.); #240209=ORIENTED_EDGE('',*,*,#186893,.T.); #240210=ORIENTED_EDGE('',*,*,#186892,.F.); #240211=ORIENTED_EDGE('',*,*,#186891,.T.); #240212=ORIENTED_EDGE('',*,*,#186888,.T.); #240213=ORIENTED_EDGE('',*,*,#186893,.F.); #240214=ORIENTED_EDGE('',*,*,#186890,.T.); #240215=ORIENTED_EDGE('',*,*,#186894,.F.); #240216=ORIENTED_EDGE('',*,*,#186895,.T.); #240217=ORIENTED_EDGE('',*,*,#186896,.F.); #240218=ORIENTED_EDGE('',*,*,#186895,.F.); #240219=ORIENTED_EDGE('',*,*,#186897,.F.); #240220=ORIENTED_EDGE('',*,*,#186898,.T.); #240221=ORIENTED_EDGE('',*,*,#186899,.T.); #240222=ORIENTED_EDGE('',*,*,#186898,.F.); #240223=ORIENTED_EDGE('',*,*,#186897,.T.); #240224=ORIENTED_EDGE('',*,*,#186894,.T.); #240225=ORIENTED_EDGE('',*,*,#186899,.F.); #240226=ORIENTED_EDGE('',*,*,#186896,.T.); #240227=ORIENTED_EDGE('',*,*,#186900,.F.); #240228=ORIENTED_EDGE('',*,*,#186901,.T.); #240229=ORIENTED_EDGE('',*,*,#186902,.F.); #240230=ORIENTED_EDGE('',*,*,#186901,.F.); #240231=ORIENTED_EDGE('',*,*,#186903,.F.); #240232=ORIENTED_EDGE('',*,*,#186904,.T.); #240233=ORIENTED_EDGE('',*,*,#186905,.T.); #240234=ORIENTED_EDGE('',*,*,#186904,.F.); #240235=ORIENTED_EDGE('',*,*,#186903,.T.); #240236=ORIENTED_EDGE('',*,*,#186900,.T.); #240237=ORIENTED_EDGE('',*,*,#186905,.F.); #240238=ORIENTED_EDGE('',*,*,#186902,.T.); #240239=ORIENTED_EDGE('',*,*,#186906,.F.); #240240=ORIENTED_EDGE('',*,*,#186907,.T.); #240241=ORIENTED_EDGE('',*,*,#186908,.F.); #240242=ORIENTED_EDGE('',*,*,#186907,.F.); #240243=ORIENTED_EDGE('',*,*,#186909,.F.); #240244=ORIENTED_EDGE('',*,*,#186910,.T.); #240245=ORIENTED_EDGE('',*,*,#186911,.T.); #240246=ORIENTED_EDGE('',*,*,#186910,.F.); #240247=ORIENTED_EDGE('',*,*,#186909,.T.); #240248=ORIENTED_EDGE('',*,*,#186906,.T.); #240249=ORIENTED_EDGE('',*,*,#186911,.F.); #240250=ORIENTED_EDGE('',*,*,#186908,.T.); #240251=ORIENTED_EDGE('',*,*,#186912,.F.); #240252=ORIENTED_EDGE('',*,*,#186913,.T.); #240253=ORIENTED_EDGE('',*,*,#186914,.F.); #240254=ORIENTED_EDGE('',*,*,#186913,.F.); #240255=ORIENTED_EDGE('',*,*,#186915,.F.); #240256=ORIENTED_EDGE('',*,*,#186916,.T.); #240257=ORIENTED_EDGE('',*,*,#186917,.T.); #240258=ORIENTED_EDGE('',*,*,#186916,.F.); #240259=ORIENTED_EDGE('',*,*,#186915,.T.); #240260=ORIENTED_EDGE('',*,*,#186912,.T.); #240261=ORIENTED_EDGE('',*,*,#186917,.F.); #240262=ORIENTED_EDGE('',*,*,#186914,.T.); #240263=ORIENTED_EDGE('',*,*,#186918,.F.); #240264=ORIENTED_EDGE('',*,*,#186919,.T.); #240265=ORIENTED_EDGE('',*,*,#186920,.F.); #240266=ORIENTED_EDGE('',*,*,#186919,.F.); #240267=ORIENTED_EDGE('',*,*,#186921,.F.); #240268=ORIENTED_EDGE('',*,*,#186922,.T.); #240269=ORIENTED_EDGE('',*,*,#186923,.T.); #240270=ORIENTED_EDGE('',*,*,#186922,.F.); #240271=ORIENTED_EDGE('',*,*,#186921,.T.); #240272=ORIENTED_EDGE('',*,*,#186918,.T.); #240273=ORIENTED_EDGE('',*,*,#186923,.F.); #240274=ORIENTED_EDGE('',*,*,#186920,.T.); #240275=ORIENTED_EDGE('',*,*,#186924,.F.); #240276=ORIENTED_EDGE('',*,*,#186925,.T.); #240277=ORIENTED_EDGE('',*,*,#186926,.F.); #240278=ORIENTED_EDGE('',*,*,#186925,.F.); #240279=ORIENTED_EDGE('',*,*,#186927,.F.); #240280=ORIENTED_EDGE('',*,*,#186928,.T.); #240281=ORIENTED_EDGE('',*,*,#186929,.T.); #240282=ORIENTED_EDGE('',*,*,#186928,.F.); #240283=ORIENTED_EDGE('',*,*,#186927,.T.); #240284=ORIENTED_EDGE('',*,*,#186924,.T.); #240285=ORIENTED_EDGE('',*,*,#186929,.F.); #240286=ORIENTED_EDGE('',*,*,#186926,.T.); #240287=ORIENTED_EDGE('',*,*,#186930,.F.); #240288=ORIENTED_EDGE('',*,*,#186931,.T.); #240289=ORIENTED_EDGE('',*,*,#186932,.F.); #240290=ORIENTED_EDGE('',*,*,#186931,.F.); #240291=ORIENTED_EDGE('',*,*,#186933,.F.); #240292=ORIENTED_EDGE('',*,*,#186934,.T.); #240293=ORIENTED_EDGE('',*,*,#186935,.T.); #240294=ORIENTED_EDGE('',*,*,#186934,.F.); #240295=ORIENTED_EDGE('',*,*,#186933,.T.); #240296=ORIENTED_EDGE('',*,*,#186930,.T.); #240297=ORIENTED_EDGE('',*,*,#186935,.F.); #240298=ORIENTED_EDGE('',*,*,#186932,.T.); #240299=ORIENTED_EDGE('',*,*,#186936,.F.); #240300=ORIENTED_EDGE('',*,*,#186937,.T.); #240301=ORIENTED_EDGE('',*,*,#186938,.F.); #240302=ORIENTED_EDGE('',*,*,#186937,.F.); #240303=ORIENTED_EDGE('',*,*,#186939,.F.); #240304=ORIENTED_EDGE('',*,*,#186940,.T.); #240305=ORIENTED_EDGE('',*,*,#186941,.T.); #240306=ORIENTED_EDGE('',*,*,#186940,.F.); #240307=ORIENTED_EDGE('',*,*,#186939,.T.); #240308=ORIENTED_EDGE('',*,*,#186936,.T.); #240309=ORIENTED_EDGE('',*,*,#186941,.F.); #240310=ORIENTED_EDGE('',*,*,#186938,.T.); #240311=ORIENTED_EDGE('',*,*,#186942,.F.); #240312=ORIENTED_EDGE('',*,*,#186943,.T.); #240313=ORIENTED_EDGE('',*,*,#186944,.F.); #240314=ORIENTED_EDGE('',*,*,#186943,.F.); #240315=ORIENTED_EDGE('',*,*,#186945,.F.); #240316=ORIENTED_EDGE('',*,*,#186946,.T.); #240317=ORIENTED_EDGE('',*,*,#186947,.T.); #240318=ORIENTED_EDGE('',*,*,#186946,.F.); #240319=ORIENTED_EDGE('',*,*,#186945,.T.); #240320=ORIENTED_EDGE('',*,*,#186942,.T.); #240321=ORIENTED_EDGE('',*,*,#186947,.F.); #240322=ORIENTED_EDGE('',*,*,#186944,.T.); #240323=ORIENTED_EDGE('',*,*,#186948,.F.); #240324=ORIENTED_EDGE('',*,*,#186949,.T.); #240325=ORIENTED_EDGE('',*,*,#186950,.F.); #240326=ORIENTED_EDGE('',*,*,#186949,.F.); #240327=ORIENTED_EDGE('',*,*,#186951,.F.); #240328=ORIENTED_EDGE('',*,*,#186952,.T.); #240329=ORIENTED_EDGE('',*,*,#186953,.T.); #240330=ORIENTED_EDGE('',*,*,#186952,.F.); #240331=ORIENTED_EDGE('',*,*,#186951,.T.); #240332=ORIENTED_EDGE('',*,*,#186948,.T.); #240333=ORIENTED_EDGE('',*,*,#186953,.F.); #240334=ORIENTED_EDGE('',*,*,#186950,.T.); #240335=ORIENTED_EDGE('',*,*,#186954,.F.); #240336=ORIENTED_EDGE('',*,*,#186955,.T.); #240337=ORIENTED_EDGE('',*,*,#186956,.F.); #240338=ORIENTED_EDGE('',*,*,#186955,.F.); #240339=ORIENTED_EDGE('',*,*,#186957,.F.); #240340=ORIENTED_EDGE('',*,*,#186958,.T.); #240341=ORIENTED_EDGE('',*,*,#186959,.T.); #240342=ORIENTED_EDGE('',*,*,#186958,.F.); #240343=ORIENTED_EDGE('',*,*,#186957,.T.); #240344=ORIENTED_EDGE('',*,*,#186954,.T.); #240345=ORIENTED_EDGE('',*,*,#186959,.F.); #240346=ORIENTED_EDGE('',*,*,#186956,.T.); #240347=ORIENTED_EDGE('',*,*,#186960,.F.); #240348=ORIENTED_EDGE('',*,*,#186961,.T.); #240349=ORIENTED_EDGE('',*,*,#186962,.F.); #240350=ORIENTED_EDGE('',*,*,#186961,.F.); #240351=ORIENTED_EDGE('',*,*,#186963,.F.); #240352=ORIENTED_EDGE('',*,*,#186964,.T.); #240353=ORIENTED_EDGE('',*,*,#186965,.T.); #240354=ORIENTED_EDGE('',*,*,#186964,.F.); #240355=ORIENTED_EDGE('',*,*,#186963,.T.); #240356=ORIENTED_EDGE('',*,*,#186960,.T.); #240357=ORIENTED_EDGE('',*,*,#186965,.F.); #240358=ORIENTED_EDGE('',*,*,#186962,.T.); #240359=ORIENTED_EDGE('',*,*,#186966,.F.); #240360=ORIENTED_EDGE('',*,*,#186967,.T.); #240361=ORIENTED_EDGE('',*,*,#186968,.F.); #240362=ORIENTED_EDGE('',*,*,#186967,.F.); #240363=ORIENTED_EDGE('',*,*,#186969,.F.); #240364=ORIENTED_EDGE('',*,*,#186970,.T.); #240365=ORIENTED_EDGE('',*,*,#186971,.T.); #240366=ORIENTED_EDGE('',*,*,#186970,.F.); #240367=ORIENTED_EDGE('',*,*,#186969,.T.); #240368=ORIENTED_EDGE('',*,*,#186966,.T.); #240369=ORIENTED_EDGE('',*,*,#186971,.F.); #240370=ORIENTED_EDGE('',*,*,#186968,.T.); #240371=ORIENTED_EDGE('',*,*,#186972,.F.); #240372=ORIENTED_EDGE('',*,*,#186973,.T.); #240373=ORIENTED_EDGE('',*,*,#186974,.F.); #240374=ORIENTED_EDGE('',*,*,#186973,.F.); #240375=ORIENTED_EDGE('',*,*,#186975,.F.); #240376=ORIENTED_EDGE('',*,*,#186976,.T.); #240377=ORIENTED_EDGE('',*,*,#186977,.T.); #240378=ORIENTED_EDGE('',*,*,#186976,.F.); #240379=ORIENTED_EDGE('',*,*,#186975,.T.); #240380=ORIENTED_EDGE('',*,*,#186972,.T.); #240381=ORIENTED_EDGE('',*,*,#186977,.F.); #240382=ORIENTED_EDGE('',*,*,#186974,.T.); #240383=ORIENTED_EDGE('',*,*,#186978,.F.); #240384=ORIENTED_EDGE('',*,*,#186979,.T.); #240385=ORIENTED_EDGE('',*,*,#186980,.F.); #240386=ORIENTED_EDGE('',*,*,#186979,.F.); #240387=ORIENTED_EDGE('',*,*,#186981,.F.); #240388=ORIENTED_EDGE('',*,*,#186982,.T.); #240389=ORIENTED_EDGE('',*,*,#186983,.T.); #240390=ORIENTED_EDGE('',*,*,#186982,.F.); #240391=ORIENTED_EDGE('',*,*,#186981,.T.); #240392=ORIENTED_EDGE('',*,*,#186978,.T.); #240393=ORIENTED_EDGE('',*,*,#186983,.F.); #240394=ORIENTED_EDGE('',*,*,#186980,.T.); #240395=ORIENTED_EDGE('',*,*,#186984,.F.); #240396=ORIENTED_EDGE('',*,*,#186985,.T.); #240397=ORIENTED_EDGE('',*,*,#186986,.F.); #240398=ORIENTED_EDGE('',*,*,#186985,.F.); #240399=ORIENTED_EDGE('',*,*,#186987,.F.); #240400=ORIENTED_EDGE('',*,*,#186988,.T.); #240401=ORIENTED_EDGE('',*,*,#186989,.T.); #240402=ORIENTED_EDGE('',*,*,#186988,.F.); #240403=ORIENTED_EDGE('',*,*,#186987,.T.); #240404=ORIENTED_EDGE('',*,*,#186984,.T.); #240405=ORIENTED_EDGE('',*,*,#186989,.F.); #240406=ORIENTED_EDGE('',*,*,#186986,.T.); #240407=ORIENTED_EDGE('',*,*,#186990,.F.); #240408=ORIENTED_EDGE('',*,*,#186991,.T.); #240409=ORIENTED_EDGE('',*,*,#186992,.F.); #240410=ORIENTED_EDGE('',*,*,#186991,.F.); #240411=ORIENTED_EDGE('',*,*,#186993,.F.); #240412=ORIENTED_EDGE('',*,*,#186994,.T.); #240413=ORIENTED_EDGE('',*,*,#186995,.T.); #240414=ORIENTED_EDGE('',*,*,#186994,.F.); #240415=ORIENTED_EDGE('',*,*,#186993,.T.); #240416=ORIENTED_EDGE('',*,*,#186990,.T.); #240417=ORIENTED_EDGE('',*,*,#186995,.F.); #240418=ORIENTED_EDGE('',*,*,#186992,.T.); #240419=ORIENTED_EDGE('',*,*,#186996,.F.); #240420=ORIENTED_EDGE('',*,*,#186997,.T.); #240421=ORIENTED_EDGE('',*,*,#186998,.F.); #240422=ORIENTED_EDGE('',*,*,#186997,.F.); #240423=ORIENTED_EDGE('',*,*,#186999,.F.); #240424=ORIENTED_EDGE('',*,*,#187000,.T.); #240425=ORIENTED_EDGE('',*,*,#187001,.T.); #240426=ORIENTED_EDGE('',*,*,#187000,.F.); #240427=ORIENTED_EDGE('',*,*,#186999,.T.); #240428=ORIENTED_EDGE('',*,*,#186996,.T.); #240429=ORIENTED_EDGE('',*,*,#187001,.F.); #240430=ORIENTED_EDGE('',*,*,#186998,.T.); #240431=ORIENTED_EDGE('',*,*,#187002,.F.); #240432=ORIENTED_EDGE('',*,*,#187003,.T.); #240433=ORIENTED_EDGE('',*,*,#187004,.F.); #240434=ORIENTED_EDGE('',*,*,#187003,.F.); #240435=ORIENTED_EDGE('',*,*,#187005,.F.); #240436=ORIENTED_EDGE('',*,*,#187006,.T.); #240437=ORIENTED_EDGE('',*,*,#187007,.T.); #240438=ORIENTED_EDGE('',*,*,#187006,.F.); #240439=ORIENTED_EDGE('',*,*,#187005,.T.); #240440=ORIENTED_EDGE('',*,*,#187002,.T.); #240441=ORIENTED_EDGE('',*,*,#187007,.F.); #240442=ORIENTED_EDGE('',*,*,#187004,.T.); #240443=ORIENTED_EDGE('',*,*,#187008,.F.); #240444=ORIENTED_EDGE('',*,*,#187009,.T.); #240445=ORIENTED_EDGE('',*,*,#187010,.F.); #240446=ORIENTED_EDGE('',*,*,#187009,.F.); #240447=ORIENTED_EDGE('',*,*,#187011,.F.); #240448=ORIENTED_EDGE('',*,*,#187012,.T.); #240449=ORIENTED_EDGE('',*,*,#187013,.T.); #240450=ORIENTED_EDGE('',*,*,#187012,.F.); #240451=ORIENTED_EDGE('',*,*,#187011,.T.); #240452=ORIENTED_EDGE('',*,*,#187008,.T.); #240453=ORIENTED_EDGE('',*,*,#187013,.F.); #240454=ORIENTED_EDGE('',*,*,#187010,.T.); #240455=ORIENTED_EDGE('',*,*,#187014,.F.); #240456=ORIENTED_EDGE('',*,*,#187015,.T.); #240457=ORIENTED_EDGE('',*,*,#187016,.F.); #240458=ORIENTED_EDGE('',*,*,#187015,.F.); #240459=ORIENTED_EDGE('',*,*,#187017,.F.); #240460=ORIENTED_EDGE('',*,*,#187018,.T.); #240461=ORIENTED_EDGE('',*,*,#187019,.T.); #240462=ORIENTED_EDGE('',*,*,#187018,.F.); #240463=ORIENTED_EDGE('',*,*,#187017,.T.); #240464=ORIENTED_EDGE('',*,*,#187014,.T.); #240465=ORIENTED_EDGE('',*,*,#187019,.F.); #240466=ORIENTED_EDGE('',*,*,#187016,.T.); #240467=ORIENTED_EDGE('',*,*,#187020,.F.); #240468=ORIENTED_EDGE('',*,*,#187021,.T.); #240469=ORIENTED_EDGE('',*,*,#187022,.F.); #240470=ORIENTED_EDGE('',*,*,#187021,.F.); #240471=ORIENTED_EDGE('',*,*,#187023,.F.); #240472=ORIENTED_EDGE('',*,*,#187024,.T.); #240473=ORIENTED_EDGE('',*,*,#187025,.T.); #240474=ORIENTED_EDGE('',*,*,#187024,.F.); #240475=ORIENTED_EDGE('',*,*,#187023,.T.); #240476=ORIENTED_EDGE('',*,*,#187020,.T.); #240477=ORIENTED_EDGE('',*,*,#187025,.F.); #240478=ORIENTED_EDGE('',*,*,#187022,.T.); #240479=ORIENTED_EDGE('',*,*,#187026,.F.); #240480=ORIENTED_EDGE('',*,*,#187027,.T.); #240481=ORIENTED_EDGE('',*,*,#187028,.F.); #240482=ORIENTED_EDGE('',*,*,#187027,.F.); #240483=ORIENTED_EDGE('',*,*,#187029,.F.); #240484=ORIENTED_EDGE('',*,*,#187030,.T.); #240485=ORIENTED_EDGE('',*,*,#187031,.T.); #240486=ORIENTED_EDGE('',*,*,#187030,.F.); #240487=ORIENTED_EDGE('',*,*,#187029,.T.); #240488=ORIENTED_EDGE('',*,*,#187026,.T.); #240489=ORIENTED_EDGE('',*,*,#187031,.F.); #240490=ORIENTED_EDGE('',*,*,#187028,.T.); #240491=ORIENTED_EDGE('',*,*,#187032,.F.); #240492=ORIENTED_EDGE('',*,*,#187033,.T.); #240493=ORIENTED_EDGE('',*,*,#187034,.F.); #240494=ORIENTED_EDGE('',*,*,#187033,.F.); #240495=ORIENTED_EDGE('',*,*,#187035,.F.); #240496=ORIENTED_EDGE('',*,*,#187036,.T.); #240497=ORIENTED_EDGE('',*,*,#187037,.T.); #240498=ORIENTED_EDGE('',*,*,#187036,.F.); #240499=ORIENTED_EDGE('',*,*,#187035,.T.); #240500=ORIENTED_EDGE('',*,*,#187032,.T.); #240501=ORIENTED_EDGE('',*,*,#187037,.F.); #240502=ORIENTED_EDGE('',*,*,#187034,.T.); #240503=ORIENTED_EDGE('',*,*,#187038,.F.); #240504=ORIENTED_EDGE('',*,*,#187039,.T.); #240505=ORIENTED_EDGE('',*,*,#187040,.F.); #240506=ORIENTED_EDGE('',*,*,#187039,.F.); #240507=ORIENTED_EDGE('',*,*,#187041,.F.); #240508=ORIENTED_EDGE('',*,*,#187042,.T.); #240509=ORIENTED_EDGE('',*,*,#187043,.T.); #240510=ORIENTED_EDGE('',*,*,#187042,.F.); #240511=ORIENTED_EDGE('',*,*,#187041,.T.); #240512=ORIENTED_EDGE('',*,*,#187038,.T.); #240513=ORIENTED_EDGE('',*,*,#187043,.F.); #240514=ORIENTED_EDGE('',*,*,#187040,.T.); #240515=ORIENTED_EDGE('',*,*,#187044,.F.); #240516=ORIENTED_EDGE('',*,*,#187045,.T.); #240517=ORIENTED_EDGE('',*,*,#187046,.F.); #240518=ORIENTED_EDGE('',*,*,#187045,.F.); #240519=ORIENTED_EDGE('',*,*,#187047,.F.); #240520=ORIENTED_EDGE('',*,*,#187048,.T.); #240521=ORIENTED_EDGE('',*,*,#187049,.T.); #240522=ORIENTED_EDGE('',*,*,#187048,.F.); #240523=ORIENTED_EDGE('',*,*,#187047,.T.); #240524=ORIENTED_EDGE('',*,*,#187044,.T.); #240525=ORIENTED_EDGE('',*,*,#187049,.F.); #240526=ORIENTED_EDGE('',*,*,#187046,.T.); #240527=ORIENTED_EDGE('',*,*,#187050,.F.); #240528=ORIENTED_EDGE('',*,*,#187051,.T.); #240529=ORIENTED_EDGE('',*,*,#187052,.F.); #240530=ORIENTED_EDGE('',*,*,#187051,.F.); #240531=ORIENTED_EDGE('',*,*,#187053,.F.); #240532=ORIENTED_EDGE('',*,*,#187054,.T.); #240533=ORIENTED_EDGE('',*,*,#187055,.T.); #240534=ORIENTED_EDGE('',*,*,#187054,.F.); #240535=ORIENTED_EDGE('',*,*,#187053,.T.); #240536=ORIENTED_EDGE('',*,*,#187050,.T.); #240537=ORIENTED_EDGE('',*,*,#187055,.F.); #240538=ORIENTED_EDGE('',*,*,#187052,.T.); #240539=ORIENTED_EDGE('',*,*,#187056,.F.); #240540=ORIENTED_EDGE('',*,*,#187057,.T.); #240541=ORIENTED_EDGE('',*,*,#187058,.F.); #240542=ORIENTED_EDGE('',*,*,#187057,.F.); #240543=ORIENTED_EDGE('',*,*,#187059,.F.); #240544=ORIENTED_EDGE('',*,*,#187060,.T.); #240545=ORIENTED_EDGE('',*,*,#187061,.T.); #240546=ORIENTED_EDGE('',*,*,#187060,.F.); #240547=ORIENTED_EDGE('',*,*,#187059,.T.); #240548=ORIENTED_EDGE('',*,*,#187056,.T.); #240549=ORIENTED_EDGE('',*,*,#187061,.F.); #240550=ORIENTED_EDGE('',*,*,#187058,.T.); #240551=ORIENTED_EDGE('',*,*,#187062,.F.); #240552=ORIENTED_EDGE('',*,*,#187063,.T.); #240553=ORIENTED_EDGE('',*,*,#187064,.F.); #240554=ORIENTED_EDGE('',*,*,#187063,.F.); #240555=ORIENTED_EDGE('',*,*,#187065,.F.); #240556=ORIENTED_EDGE('',*,*,#187066,.T.); #240557=ORIENTED_EDGE('',*,*,#187067,.T.); #240558=ORIENTED_EDGE('',*,*,#187066,.F.); #240559=ORIENTED_EDGE('',*,*,#187065,.T.); #240560=ORIENTED_EDGE('',*,*,#187062,.T.); #240561=ORIENTED_EDGE('',*,*,#187067,.F.); #240562=ORIENTED_EDGE('',*,*,#187064,.T.); #240563=ORIENTED_EDGE('',*,*,#187068,.F.); #240564=ORIENTED_EDGE('',*,*,#187069,.T.); #240565=ORIENTED_EDGE('',*,*,#187070,.F.); #240566=ORIENTED_EDGE('',*,*,#187069,.F.); #240567=ORIENTED_EDGE('',*,*,#187071,.F.); #240568=ORIENTED_EDGE('',*,*,#187072,.T.); #240569=ORIENTED_EDGE('',*,*,#187073,.T.); #240570=ORIENTED_EDGE('',*,*,#187072,.F.); #240571=ORIENTED_EDGE('',*,*,#187071,.T.); #240572=ORIENTED_EDGE('',*,*,#187068,.T.); #240573=ORIENTED_EDGE('',*,*,#187073,.F.); #240574=ORIENTED_EDGE('',*,*,#187070,.T.); #240575=ORIENTED_EDGE('',*,*,#187074,.F.); #240576=ORIENTED_EDGE('',*,*,#187075,.T.); #240577=ORIENTED_EDGE('',*,*,#187076,.F.); #240578=ORIENTED_EDGE('',*,*,#187075,.F.); #240579=ORIENTED_EDGE('',*,*,#187077,.F.); #240580=ORIENTED_EDGE('',*,*,#187078,.T.); #240581=ORIENTED_EDGE('',*,*,#187079,.T.); #240582=ORIENTED_EDGE('',*,*,#187078,.F.); #240583=ORIENTED_EDGE('',*,*,#187077,.T.); #240584=ORIENTED_EDGE('',*,*,#187074,.T.); #240585=ORIENTED_EDGE('',*,*,#187079,.F.); #240586=ORIENTED_EDGE('',*,*,#187076,.T.); #240587=ORIENTED_EDGE('',*,*,#187080,.F.); #240588=ORIENTED_EDGE('',*,*,#187081,.T.); #240589=ORIENTED_EDGE('',*,*,#187082,.F.); #240590=ORIENTED_EDGE('',*,*,#187081,.F.); #240591=ORIENTED_EDGE('',*,*,#187083,.F.); #240592=ORIENTED_EDGE('',*,*,#187084,.T.); #240593=ORIENTED_EDGE('',*,*,#187085,.T.); #240594=ORIENTED_EDGE('',*,*,#187084,.F.); #240595=ORIENTED_EDGE('',*,*,#187083,.T.); #240596=ORIENTED_EDGE('',*,*,#187080,.T.); #240597=ORIENTED_EDGE('',*,*,#187085,.F.); #240598=ORIENTED_EDGE('',*,*,#187082,.T.); #240599=ORIENTED_EDGE('',*,*,#187086,.F.); #240600=ORIENTED_EDGE('',*,*,#187087,.T.); #240601=ORIENTED_EDGE('',*,*,#187088,.F.); #240602=ORIENTED_EDGE('',*,*,#187087,.F.); #240603=ORIENTED_EDGE('',*,*,#187089,.F.); #240604=ORIENTED_EDGE('',*,*,#187090,.T.); #240605=ORIENTED_EDGE('',*,*,#187091,.T.); #240606=ORIENTED_EDGE('',*,*,#187090,.F.); #240607=ORIENTED_EDGE('',*,*,#187089,.T.); #240608=ORIENTED_EDGE('',*,*,#187086,.T.); #240609=ORIENTED_EDGE('',*,*,#187091,.F.); #240610=ORIENTED_EDGE('',*,*,#187088,.T.); #240611=ORIENTED_EDGE('',*,*,#187092,.F.); #240612=ORIENTED_EDGE('',*,*,#187093,.T.); #240613=ORIENTED_EDGE('',*,*,#187094,.F.); #240614=ORIENTED_EDGE('',*,*,#187093,.F.); #240615=ORIENTED_EDGE('',*,*,#187095,.F.); #240616=ORIENTED_EDGE('',*,*,#187096,.T.); #240617=ORIENTED_EDGE('',*,*,#187097,.T.); #240618=ORIENTED_EDGE('',*,*,#187096,.F.); #240619=ORIENTED_EDGE('',*,*,#187095,.T.); #240620=ORIENTED_EDGE('',*,*,#187092,.T.); #240621=ORIENTED_EDGE('',*,*,#187097,.F.); #240622=ORIENTED_EDGE('',*,*,#187094,.T.); #240623=ORIENTED_EDGE('',*,*,#187098,.F.); #240624=ORIENTED_EDGE('',*,*,#187099,.T.); #240625=ORIENTED_EDGE('',*,*,#187100,.F.); #240626=ORIENTED_EDGE('',*,*,#187099,.F.); #240627=ORIENTED_EDGE('',*,*,#187101,.F.); #240628=ORIENTED_EDGE('',*,*,#187102,.T.); #240629=ORIENTED_EDGE('',*,*,#187103,.T.); #240630=ORIENTED_EDGE('',*,*,#187102,.F.); #240631=ORIENTED_EDGE('',*,*,#187101,.T.); #240632=ORIENTED_EDGE('',*,*,#187098,.T.); #240633=ORIENTED_EDGE('',*,*,#187103,.F.); #240634=ORIENTED_EDGE('',*,*,#187100,.T.); #240635=ORIENTED_EDGE('',*,*,#187104,.F.); #240636=ORIENTED_EDGE('',*,*,#187105,.T.); #240637=ORIENTED_EDGE('',*,*,#187106,.F.); #240638=ORIENTED_EDGE('',*,*,#187105,.F.); #240639=ORIENTED_EDGE('',*,*,#187107,.F.); #240640=ORIENTED_EDGE('',*,*,#187108,.T.); #240641=ORIENTED_EDGE('',*,*,#187109,.T.); #240642=ORIENTED_EDGE('',*,*,#187108,.F.); #240643=ORIENTED_EDGE('',*,*,#187107,.T.); #240644=ORIENTED_EDGE('',*,*,#187104,.T.); #240645=ORIENTED_EDGE('',*,*,#187109,.F.); #240646=ORIENTED_EDGE('',*,*,#187106,.T.); #240647=ORIENTED_EDGE('',*,*,#187110,.F.); #240648=ORIENTED_EDGE('',*,*,#187111,.T.); #240649=ORIENTED_EDGE('',*,*,#187112,.F.); #240650=ORIENTED_EDGE('',*,*,#187111,.F.); #240651=ORIENTED_EDGE('',*,*,#187113,.F.); #240652=ORIENTED_EDGE('',*,*,#187114,.T.); #240653=ORIENTED_EDGE('',*,*,#187115,.T.); #240654=ORIENTED_EDGE('',*,*,#187114,.F.); #240655=ORIENTED_EDGE('',*,*,#187113,.T.); #240656=ORIENTED_EDGE('',*,*,#187110,.T.); #240657=ORIENTED_EDGE('',*,*,#187115,.F.); #240658=ORIENTED_EDGE('',*,*,#187112,.T.); #240659=ORIENTED_EDGE('',*,*,#187116,.F.); #240660=ORIENTED_EDGE('',*,*,#187117,.T.); #240661=ORIENTED_EDGE('',*,*,#187118,.F.); #240662=ORIENTED_EDGE('',*,*,#187117,.F.); #240663=ORIENTED_EDGE('',*,*,#187119,.F.); #240664=ORIENTED_EDGE('',*,*,#187120,.T.); #240665=ORIENTED_EDGE('',*,*,#187121,.T.); #240666=ORIENTED_EDGE('',*,*,#187120,.F.); #240667=ORIENTED_EDGE('',*,*,#187119,.T.); #240668=ORIENTED_EDGE('',*,*,#187116,.T.); #240669=ORIENTED_EDGE('',*,*,#187121,.F.); #240670=ORIENTED_EDGE('',*,*,#187118,.T.); #240671=ORIENTED_EDGE('',*,*,#187122,.F.); #240672=ORIENTED_EDGE('',*,*,#187123,.T.); #240673=ORIENTED_EDGE('',*,*,#187124,.F.); #240674=ORIENTED_EDGE('',*,*,#187123,.F.); #240675=ORIENTED_EDGE('',*,*,#187125,.F.); #240676=ORIENTED_EDGE('',*,*,#187126,.T.); #240677=ORIENTED_EDGE('',*,*,#187127,.T.); #240678=ORIENTED_EDGE('',*,*,#187126,.F.); #240679=ORIENTED_EDGE('',*,*,#187125,.T.); #240680=ORIENTED_EDGE('',*,*,#187122,.T.); #240681=ORIENTED_EDGE('',*,*,#187127,.F.); #240682=ORIENTED_EDGE('',*,*,#187124,.T.); #240683=ORIENTED_EDGE('',*,*,#187128,.F.); #240684=ORIENTED_EDGE('',*,*,#187129,.T.); #240685=ORIENTED_EDGE('',*,*,#187130,.F.); #240686=ORIENTED_EDGE('',*,*,#187129,.F.); #240687=ORIENTED_EDGE('',*,*,#187131,.F.); #240688=ORIENTED_EDGE('',*,*,#187132,.T.); #240689=ORIENTED_EDGE('',*,*,#187133,.T.); #240690=ORIENTED_EDGE('',*,*,#187132,.F.); #240691=ORIENTED_EDGE('',*,*,#187131,.T.); #240692=ORIENTED_EDGE('',*,*,#187128,.T.); #240693=ORIENTED_EDGE('',*,*,#187133,.F.); #240694=ORIENTED_EDGE('',*,*,#187130,.T.); #240695=ORIENTED_EDGE('',*,*,#187134,.F.); #240696=ORIENTED_EDGE('',*,*,#187135,.T.); #240697=ORIENTED_EDGE('',*,*,#187136,.F.); #240698=ORIENTED_EDGE('',*,*,#187135,.F.); #240699=ORIENTED_EDGE('',*,*,#187137,.F.); #240700=ORIENTED_EDGE('',*,*,#187138,.T.); #240701=ORIENTED_EDGE('',*,*,#187139,.T.); #240702=ORIENTED_EDGE('',*,*,#187138,.F.); #240703=ORIENTED_EDGE('',*,*,#187137,.T.); #240704=ORIENTED_EDGE('',*,*,#187134,.T.); #240705=ORIENTED_EDGE('',*,*,#187139,.F.); #240706=ORIENTED_EDGE('',*,*,#187136,.T.); #240707=ORIENTED_EDGE('',*,*,#187140,.F.); #240708=ORIENTED_EDGE('',*,*,#187141,.T.); #240709=ORIENTED_EDGE('',*,*,#187142,.F.); #240710=ORIENTED_EDGE('',*,*,#187141,.F.); #240711=ORIENTED_EDGE('',*,*,#187143,.F.); #240712=ORIENTED_EDGE('',*,*,#187144,.T.); #240713=ORIENTED_EDGE('',*,*,#187145,.T.); #240714=ORIENTED_EDGE('',*,*,#187144,.F.); #240715=ORIENTED_EDGE('',*,*,#187143,.T.); #240716=ORIENTED_EDGE('',*,*,#187140,.T.); #240717=ORIENTED_EDGE('',*,*,#187145,.F.); #240718=ORIENTED_EDGE('',*,*,#187142,.T.); #240719=ORIENTED_EDGE('',*,*,#187146,.F.); #240720=ORIENTED_EDGE('',*,*,#187147,.T.); #240721=ORIENTED_EDGE('',*,*,#187148,.F.); #240722=ORIENTED_EDGE('',*,*,#187147,.F.); #240723=ORIENTED_EDGE('',*,*,#187149,.F.); #240724=ORIENTED_EDGE('',*,*,#187150,.T.); #240725=ORIENTED_EDGE('',*,*,#187151,.T.); #240726=ORIENTED_EDGE('',*,*,#187150,.F.); #240727=ORIENTED_EDGE('',*,*,#187149,.T.); #240728=ORIENTED_EDGE('',*,*,#187146,.T.); #240729=ORIENTED_EDGE('',*,*,#187151,.F.); #240730=ORIENTED_EDGE('',*,*,#187148,.T.); #240731=ORIENTED_EDGE('',*,*,#187152,.F.); #240732=ORIENTED_EDGE('',*,*,#187153,.T.); #240733=ORIENTED_EDGE('',*,*,#187154,.F.); #240734=ORIENTED_EDGE('',*,*,#187153,.F.); #240735=ORIENTED_EDGE('',*,*,#187155,.F.); #240736=ORIENTED_EDGE('',*,*,#187156,.T.); #240737=ORIENTED_EDGE('',*,*,#187157,.T.); #240738=ORIENTED_EDGE('',*,*,#187156,.F.); #240739=ORIENTED_EDGE('',*,*,#187155,.T.); #240740=ORIENTED_EDGE('',*,*,#187152,.T.); #240741=ORIENTED_EDGE('',*,*,#187157,.F.); #240742=ORIENTED_EDGE('',*,*,#187154,.T.); #240743=ORIENTED_EDGE('',*,*,#187158,.F.); #240744=ORIENTED_EDGE('',*,*,#187159,.T.); #240745=ORIENTED_EDGE('',*,*,#187160,.F.); #240746=ORIENTED_EDGE('',*,*,#187159,.F.); #240747=ORIENTED_EDGE('',*,*,#187161,.F.); #240748=ORIENTED_EDGE('',*,*,#187162,.T.); #240749=ORIENTED_EDGE('',*,*,#187163,.T.); #240750=ORIENTED_EDGE('',*,*,#187162,.F.); #240751=ORIENTED_EDGE('',*,*,#187161,.T.); #240752=ORIENTED_EDGE('',*,*,#187158,.T.); #240753=ORIENTED_EDGE('',*,*,#187163,.F.); #240754=ORIENTED_EDGE('',*,*,#187160,.T.); #240755=ORIENTED_EDGE('',*,*,#187164,.F.); #240756=ORIENTED_EDGE('',*,*,#187165,.T.); #240757=ORIENTED_EDGE('',*,*,#187166,.F.); #240758=ORIENTED_EDGE('',*,*,#187165,.F.); #240759=ORIENTED_EDGE('',*,*,#187167,.F.); #240760=ORIENTED_EDGE('',*,*,#187168,.T.); #240761=ORIENTED_EDGE('',*,*,#187169,.T.); #240762=ORIENTED_EDGE('',*,*,#187168,.F.); #240763=ORIENTED_EDGE('',*,*,#187167,.T.); #240764=ORIENTED_EDGE('',*,*,#187164,.T.); #240765=ORIENTED_EDGE('',*,*,#187169,.F.); #240766=ORIENTED_EDGE('',*,*,#187166,.T.); #240767=ORIENTED_EDGE('',*,*,#187170,.F.); #240768=ORIENTED_EDGE('',*,*,#187171,.T.); #240769=ORIENTED_EDGE('',*,*,#187172,.F.); #240770=ORIENTED_EDGE('',*,*,#187171,.F.); #240771=ORIENTED_EDGE('',*,*,#187173,.F.); #240772=ORIENTED_EDGE('',*,*,#187174,.T.); #240773=ORIENTED_EDGE('',*,*,#187175,.T.); #240774=ORIENTED_EDGE('',*,*,#187174,.F.); #240775=ORIENTED_EDGE('',*,*,#187173,.T.); #240776=ORIENTED_EDGE('',*,*,#187170,.T.); #240777=ORIENTED_EDGE('',*,*,#187175,.F.); #240778=ORIENTED_EDGE('',*,*,#187172,.T.); #240779=ORIENTED_EDGE('',*,*,#187176,.F.); #240780=ORIENTED_EDGE('',*,*,#187177,.T.); #240781=ORIENTED_EDGE('',*,*,#187178,.F.); #240782=ORIENTED_EDGE('',*,*,#187177,.F.); #240783=ORIENTED_EDGE('',*,*,#187179,.F.); #240784=ORIENTED_EDGE('',*,*,#187180,.T.); #240785=ORIENTED_EDGE('',*,*,#187181,.T.); #240786=ORIENTED_EDGE('',*,*,#187180,.F.); #240787=ORIENTED_EDGE('',*,*,#187179,.T.); #240788=ORIENTED_EDGE('',*,*,#187176,.T.); #240789=ORIENTED_EDGE('',*,*,#187181,.F.); #240790=ORIENTED_EDGE('',*,*,#187178,.T.); #240791=ORIENTED_EDGE('',*,*,#187182,.F.); #240792=ORIENTED_EDGE('',*,*,#187183,.T.); #240793=ORIENTED_EDGE('',*,*,#187184,.F.); #240794=ORIENTED_EDGE('',*,*,#187183,.F.); #240795=ORIENTED_EDGE('',*,*,#187185,.F.); #240796=ORIENTED_EDGE('',*,*,#187186,.T.); #240797=ORIENTED_EDGE('',*,*,#187187,.T.); #240798=ORIENTED_EDGE('',*,*,#187186,.F.); #240799=ORIENTED_EDGE('',*,*,#187185,.T.); #240800=ORIENTED_EDGE('',*,*,#187182,.T.); #240801=ORIENTED_EDGE('',*,*,#187187,.F.); #240802=ORIENTED_EDGE('',*,*,#187184,.T.); #240803=ORIENTED_EDGE('',*,*,#187188,.F.); #240804=ORIENTED_EDGE('',*,*,#187189,.T.); #240805=ORIENTED_EDGE('',*,*,#187190,.F.); #240806=ORIENTED_EDGE('',*,*,#187189,.F.); #240807=ORIENTED_EDGE('',*,*,#187191,.F.); #240808=ORIENTED_EDGE('',*,*,#187192,.T.); #240809=ORIENTED_EDGE('',*,*,#187193,.T.); #240810=ORIENTED_EDGE('',*,*,#187192,.F.); #240811=ORIENTED_EDGE('',*,*,#187191,.T.); #240812=ORIENTED_EDGE('',*,*,#187188,.T.); #240813=ORIENTED_EDGE('',*,*,#187193,.F.); #240814=ORIENTED_EDGE('',*,*,#187190,.T.); #240815=ORIENTED_EDGE('',*,*,#187194,.F.); #240816=ORIENTED_EDGE('',*,*,#187195,.T.); #240817=ORIENTED_EDGE('',*,*,#187196,.F.); #240818=ORIENTED_EDGE('',*,*,#187195,.F.); #240819=ORIENTED_EDGE('',*,*,#187197,.F.); #240820=ORIENTED_EDGE('',*,*,#187198,.T.); #240821=ORIENTED_EDGE('',*,*,#187199,.T.); #240822=ORIENTED_EDGE('',*,*,#187198,.F.); #240823=ORIENTED_EDGE('',*,*,#187197,.T.); #240824=ORIENTED_EDGE('',*,*,#187194,.T.); #240825=ORIENTED_EDGE('',*,*,#187199,.F.); #240826=ORIENTED_EDGE('',*,*,#187196,.T.); #240827=ORIENTED_EDGE('',*,*,#187200,.F.); #240828=ORIENTED_EDGE('',*,*,#187201,.T.); #240829=ORIENTED_EDGE('',*,*,#187202,.F.); #240830=ORIENTED_EDGE('',*,*,#187201,.F.); #240831=ORIENTED_EDGE('',*,*,#187203,.F.); #240832=ORIENTED_EDGE('',*,*,#187204,.T.); #240833=ORIENTED_EDGE('',*,*,#187205,.T.); #240834=ORIENTED_EDGE('',*,*,#187204,.F.); #240835=ORIENTED_EDGE('',*,*,#187203,.T.); #240836=ORIENTED_EDGE('',*,*,#187200,.T.); #240837=ORIENTED_EDGE('',*,*,#187205,.F.); #240838=ORIENTED_EDGE('',*,*,#187202,.T.); #240839=ORIENTED_EDGE('',*,*,#187206,.F.); #240840=ORIENTED_EDGE('',*,*,#187207,.T.); #240841=ORIENTED_EDGE('',*,*,#187208,.F.); #240842=ORIENTED_EDGE('',*,*,#187207,.F.); #240843=ORIENTED_EDGE('',*,*,#187209,.F.); #240844=ORIENTED_EDGE('',*,*,#187210,.T.); #240845=ORIENTED_EDGE('',*,*,#187211,.T.); #240846=ORIENTED_EDGE('',*,*,#187210,.F.); #240847=ORIENTED_EDGE('',*,*,#187209,.T.); #240848=ORIENTED_EDGE('',*,*,#187206,.T.); #240849=ORIENTED_EDGE('',*,*,#187211,.F.); #240850=ORIENTED_EDGE('',*,*,#187208,.T.); #240851=ORIENTED_EDGE('',*,*,#187212,.F.); #240852=ORIENTED_EDGE('',*,*,#187213,.T.); #240853=ORIENTED_EDGE('',*,*,#187214,.F.); #240854=ORIENTED_EDGE('',*,*,#187213,.F.); #240855=ORIENTED_EDGE('',*,*,#187215,.F.); #240856=ORIENTED_EDGE('',*,*,#187216,.T.); #240857=ORIENTED_EDGE('',*,*,#187217,.T.); #240858=ORIENTED_EDGE('',*,*,#187216,.F.); #240859=ORIENTED_EDGE('',*,*,#187215,.T.); #240860=ORIENTED_EDGE('',*,*,#187212,.T.); #240861=ORIENTED_EDGE('',*,*,#187217,.F.); #240862=ORIENTED_EDGE('',*,*,#187214,.T.); #240863=ORIENTED_EDGE('',*,*,#187218,.F.); #240864=ORIENTED_EDGE('',*,*,#187219,.T.); #240865=ORIENTED_EDGE('',*,*,#187220,.F.); #240866=ORIENTED_EDGE('',*,*,#187219,.F.); #240867=ORIENTED_EDGE('',*,*,#187221,.F.); #240868=ORIENTED_EDGE('',*,*,#187222,.T.); #240869=ORIENTED_EDGE('',*,*,#187223,.T.); #240870=ORIENTED_EDGE('',*,*,#187222,.F.); #240871=ORIENTED_EDGE('',*,*,#187221,.T.); #240872=ORIENTED_EDGE('',*,*,#187218,.T.); #240873=ORIENTED_EDGE('',*,*,#187223,.F.); #240874=ORIENTED_EDGE('',*,*,#187220,.T.); #240875=ORIENTED_EDGE('',*,*,#187224,.F.); #240876=ORIENTED_EDGE('',*,*,#187225,.T.); #240877=ORIENTED_EDGE('',*,*,#187226,.F.); #240878=ORIENTED_EDGE('',*,*,#187225,.F.); #240879=ORIENTED_EDGE('',*,*,#187227,.F.); #240880=ORIENTED_EDGE('',*,*,#187228,.T.); #240881=ORIENTED_EDGE('',*,*,#187229,.T.); #240882=ORIENTED_EDGE('',*,*,#187228,.F.); #240883=ORIENTED_EDGE('',*,*,#187227,.T.); #240884=ORIENTED_EDGE('',*,*,#187224,.T.); #240885=ORIENTED_EDGE('',*,*,#187229,.F.); #240886=ORIENTED_EDGE('',*,*,#187226,.T.); #240887=ORIENTED_EDGE('',*,*,#187230,.F.); #240888=ORIENTED_EDGE('',*,*,#187231,.T.); #240889=ORIENTED_EDGE('',*,*,#187232,.F.); #240890=ORIENTED_EDGE('',*,*,#187231,.F.); #240891=ORIENTED_EDGE('',*,*,#187233,.F.); #240892=ORIENTED_EDGE('',*,*,#187234,.T.); #240893=ORIENTED_EDGE('',*,*,#187235,.T.); #240894=ORIENTED_EDGE('',*,*,#187234,.F.); #240895=ORIENTED_EDGE('',*,*,#187233,.T.); #240896=ORIENTED_EDGE('',*,*,#187230,.T.); #240897=ORIENTED_EDGE('',*,*,#187235,.F.); #240898=ORIENTED_EDGE('',*,*,#187232,.T.); #240899=ORIENTED_EDGE('',*,*,#187236,.F.); #240900=ORIENTED_EDGE('',*,*,#187237,.T.); #240901=ORIENTED_EDGE('',*,*,#187238,.F.); #240902=ORIENTED_EDGE('',*,*,#187237,.F.); #240903=ORIENTED_EDGE('',*,*,#187239,.F.); #240904=ORIENTED_EDGE('',*,*,#187240,.T.); #240905=ORIENTED_EDGE('',*,*,#187241,.T.); #240906=ORIENTED_EDGE('',*,*,#187240,.F.); #240907=ORIENTED_EDGE('',*,*,#187239,.T.); #240908=ORIENTED_EDGE('',*,*,#187236,.T.); #240909=ORIENTED_EDGE('',*,*,#187241,.F.); #240910=ORIENTED_EDGE('',*,*,#187238,.T.); #240911=ORIENTED_EDGE('',*,*,#187242,.F.); #240912=ORIENTED_EDGE('',*,*,#187243,.T.); #240913=ORIENTED_EDGE('',*,*,#187244,.F.); #240914=ORIENTED_EDGE('',*,*,#187243,.F.); #240915=ORIENTED_EDGE('',*,*,#187245,.F.); #240916=ORIENTED_EDGE('',*,*,#187246,.T.); #240917=ORIENTED_EDGE('',*,*,#187247,.T.); #240918=ORIENTED_EDGE('',*,*,#187246,.F.); #240919=ORIENTED_EDGE('',*,*,#187245,.T.); #240920=ORIENTED_EDGE('',*,*,#187242,.T.); #240921=ORIENTED_EDGE('',*,*,#187247,.F.); #240922=ORIENTED_EDGE('',*,*,#187244,.T.); #240923=ORIENTED_EDGE('',*,*,#187248,.F.); #240924=ORIENTED_EDGE('',*,*,#187249,.T.); #240925=ORIENTED_EDGE('',*,*,#187250,.F.); #240926=ORIENTED_EDGE('',*,*,#187249,.F.); #240927=ORIENTED_EDGE('',*,*,#187251,.F.); #240928=ORIENTED_EDGE('',*,*,#187252,.T.); #240929=ORIENTED_EDGE('',*,*,#187253,.T.); #240930=ORIENTED_EDGE('',*,*,#187252,.F.); #240931=ORIENTED_EDGE('',*,*,#187251,.T.); #240932=ORIENTED_EDGE('',*,*,#187248,.T.); #240933=ORIENTED_EDGE('',*,*,#187253,.F.); #240934=ORIENTED_EDGE('',*,*,#187250,.T.); #240935=ORIENTED_EDGE('',*,*,#187254,.F.); #240936=ORIENTED_EDGE('',*,*,#187255,.T.); #240937=ORIENTED_EDGE('',*,*,#187256,.F.); #240938=ORIENTED_EDGE('',*,*,#187255,.F.); #240939=ORIENTED_EDGE('',*,*,#187257,.F.); #240940=ORIENTED_EDGE('',*,*,#187258,.T.); #240941=ORIENTED_EDGE('',*,*,#187259,.T.); #240942=ORIENTED_EDGE('',*,*,#187258,.F.); #240943=ORIENTED_EDGE('',*,*,#187257,.T.); #240944=ORIENTED_EDGE('',*,*,#187254,.T.); #240945=ORIENTED_EDGE('',*,*,#187259,.F.); #240946=ORIENTED_EDGE('',*,*,#187256,.T.); #240947=ORIENTED_EDGE('',*,*,#187260,.F.); #240948=ORIENTED_EDGE('',*,*,#187261,.T.); #240949=ORIENTED_EDGE('',*,*,#187262,.F.); #240950=ORIENTED_EDGE('',*,*,#187261,.F.); #240951=ORIENTED_EDGE('',*,*,#187263,.F.); #240952=ORIENTED_EDGE('',*,*,#187264,.T.); #240953=ORIENTED_EDGE('',*,*,#187265,.T.); #240954=ORIENTED_EDGE('',*,*,#187264,.F.); #240955=ORIENTED_EDGE('',*,*,#187263,.T.); #240956=ORIENTED_EDGE('',*,*,#187260,.T.); #240957=ORIENTED_EDGE('',*,*,#187265,.F.); #240958=ORIENTED_EDGE('',*,*,#187262,.T.); #240959=ORIENTED_EDGE('',*,*,#187266,.F.); #240960=ORIENTED_EDGE('',*,*,#187267,.T.); #240961=ORIENTED_EDGE('',*,*,#187268,.F.); #240962=ORIENTED_EDGE('',*,*,#187267,.F.); #240963=ORIENTED_EDGE('',*,*,#187269,.F.); #240964=ORIENTED_EDGE('',*,*,#187270,.T.); #240965=ORIENTED_EDGE('',*,*,#187271,.T.); #240966=ORIENTED_EDGE('',*,*,#187270,.F.); #240967=ORIENTED_EDGE('',*,*,#187269,.T.); #240968=ORIENTED_EDGE('',*,*,#187266,.T.); #240969=ORIENTED_EDGE('',*,*,#187271,.F.); #240970=ORIENTED_EDGE('',*,*,#187268,.T.); #240971=ORIENTED_EDGE('',*,*,#187272,.F.); #240972=ORIENTED_EDGE('',*,*,#187273,.T.); #240973=ORIENTED_EDGE('',*,*,#187274,.F.); #240974=ORIENTED_EDGE('',*,*,#187273,.F.); #240975=ORIENTED_EDGE('',*,*,#187275,.F.); #240976=ORIENTED_EDGE('',*,*,#187276,.T.); #240977=ORIENTED_EDGE('',*,*,#187277,.T.); #240978=ORIENTED_EDGE('',*,*,#187276,.F.); #240979=ORIENTED_EDGE('',*,*,#187275,.T.); #240980=ORIENTED_EDGE('',*,*,#187272,.T.); #240981=ORIENTED_EDGE('',*,*,#187277,.F.); #240982=ORIENTED_EDGE('',*,*,#187274,.T.); #240983=ORIENTED_EDGE('',*,*,#187278,.F.); #240984=ORIENTED_EDGE('',*,*,#187279,.T.); #240985=ORIENTED_EDGE('',*,*,#187280,.F.); #240986=ORIENTED_EDGE('',*,*,#187279,.F.); #240987=ORIENTED_EDGE('',*,*,#187281,.F.); #240988=ORIENTED_EDGE('',*,*,#187282,.T.); #240989=ORIENTED_EDGE('',*,*,#187283,.T.); #240990=ORIENTED_EDGE('',*,*,#187282,.F.); #240991=ORIENTED_EDGE('',*,*,#187281,.T.); #240992=ORIENTED_EDGE('',*,*,#187278,.T.); #240993=ORIENTED_EDGE('',*,*,#187283,.F.); #240994=ORIENTED_EDGE('',*,*,#187280,.T.); #240995=ORIENTED_EDGE('',*,*,#187284,.F.); #240996=ORIENTED_EDGE('',*,*,#187285,.T.); #240997=ORIENTED_EDGE('',*,*,#187286,.F.); #240998=ORIENTED_EDGE('',*,*,#187285,.F.); #240999=ORIENTED_EDGE('',*,*,#187287,.F.); #241000=ORIENTED_EDGE('',*,*,#187288,.T.); #241001=ORIENTED_EDGE('',*,*,#187289,.T.); #241002=ORIENTED_EDGE('',*,*,#187288,.F.); #241003=ORIENTED_EDGE('',*,*,#187287,.T.); #241004=ORIENTED_EDGE('',*,*,#187284,.T.); #241005=ORIENTED_EDGE('',*,*,#187289,.F.); #241006=ORIENTED_EDGE('',*,*,#187286,.T.); #241007=ORIENTED_EDGE('',*,*,#187290,.F.); #241008=ORIENTED_EDGE('',*,*,#187291,.T.); #241009=ORIENTED_EDGE('',*,*,#187292,.F.); #241010=ORIENTED_EDGE('',*,*,#187291,.F.); #241011=ORIENTED_EDGE('',*,*,#187293,.F.); #241012=ORIENTED_EDGE('',*,*,#187294,.T.); #241013=ORIENTED_EDGE('',*,*,#187295,.T.); #241014=ORIENTED_EDGE('',*,*,#187294,.F.); #241015=ORIENTED_EDGE('',*,*,#187293,.T.); #241016=ORIENTED_EDGE('',*,*,#187290,.T.); #241017=ORIENTED_EDGE('',*,*,#187295,.F.); #241018=ORIENTED_EDGE('',*,*,#187292,.T.); #241019=ORIENTED_EDGE('',*,*,#187296,.F.); #241020=ORIENTED_EDGE('',*,*,#187297,.T.); #241021=ORIENTED_EDGE('',*,*,#187298,.F.); #241022=ORIENTED_EDGE('',*,*,#187297,.F.); #241023=ORIENTED_EDGE('',*,*,#187299,.F.); #241024=ORIENTED_EDGE('',*,*,#187300,.T.); #241025=ORIENTED_EDGE('',*,*,#187301,.T.); #241026=ORIENTED_EDGE('',*,*,#187300,.F.); #241027=ORIENTED_EDGE('',*,*,#187299,.T.); #241028=ORIENTED_EDGE('',*,*,#187296,.T.); #241029=ORIENTED_EDGE('',*,*,#187301,.F.); #241030=ORIENTED_EDGE('',*,*,#187298,.T.); #241031=ORIENTED_EDGE('',*,*,#187302,.F.); #241032=ORIENTED_EDGE('',*,*,#187303,.T.); #241033=ORIENTED_EDGE('',*,*,#187304,.F.); #241034=ORIENTED_EDGE('',*,*,#187303,.F.); #241035=ORIENTED_EDGE('',*,*,#187305,.F.); #241036=ORIENTED_EDGE('',*,*,#187306,.T.); #241037=ORIENTED_EDGE('',*,*,#187307,.T.); #241038=ORIENTED_EDGE('',*,*,#187306,.F.); #241039=ORIENTED_EDGE('',*,*,#187305,.T.); #241040=ORIENTED_EDGE('',*,*,#187302,.T.); #241041=ORIENTED_EDGE('',*,*,#187307,.F.); #241042=ORIENTED_EDGE('',*,*,#187304,.T.); #241043=ORIENTED_EDGE('',*,*,#187308,.F.); #241044=ORIENTED_EDGE('',*,*,#187309,.T.); #241045=ORIENTED_EDGE('',*,*,#187310,.F.); #241046=ORIENTED_EDGE('',*,*,#187309,.F.); #241047=ORIENTED_EDGE('',*,*,#187311,.F.); #241048=ORIENTED_EDGE('',*,*,#187312,.T.); #241049=ORIENTED_EDGE('',*,*,#187313,.T.); #241050=ORIENTED_EDGE('',*,*,#187312,.F.); #241051=ORIENTED_EDGE('',*,*,#187311,.T.); #241052=ORIENTED_EDGE('',*,*,#187308,.T.); #241053=ORIENTED_EDGE('',*,*,#187313,.F.); #241054=ORIENTED_EDGE('',*,*,#187310,.T.); #241055=ORIENTED_EDGE('',*,*,#187314,.F.); #241056=ORIENTED_EDGE('',*,*,#187315,.T.); #241057=ORIENTED_EDGE('',*,*,#187316,.F.); #241058=ORIENTED_EDGE('',*,*,#187315,.F.); #241059=ORIENTED_EDGE('',*,*,#187317,.F.); #241060=ORIENTED_EDGE('',*,*,#187318,.T.); #241061=ORIENTED_EDGE('',*,*,#187319,.T.); #241062=ORIENTED_EDGE('',*,*,#187318,.F.); #241063=ORIENTED_EDGE('',*,*,#187317,.T.); #241064=ORIENTED_EDGE('',*,*,#187314,.T.); #241065=ORIENTED_EDGE('',*,*,#187319,.F.); #241066=ORIENTED_EDGE('',*,*,#187316,.T.); #241067=ORIENTED_EDGE('',*,*,#187320,.F.); #241068=ORIENTED_EDGE('',*,*,#187321,.T.); #241069=ORIENTED_EDGE('',*,*,#187322,.F.); #241070=ORIENTED_EDGE('',*,*,#187321,.F.); #241071=ORIENTED_EDGE('',*,*,#187323,.F.); #241072=ORIENTED_EDGE('',*,*,#187324,.T.); #241073=ORIENTED_EDGE('',*,*,#187325,.T.); #241074=ORIENTED_EDGE('',*,*,#187324,.F.); #241075=ORIENTED_EDGE('',*,*,#187323,.T.); #241076=ORIENTED_EDGE('',*,*,#187320,.T.); #241077=ORIENTED_EDGE('',*,*,#187325,.F.); #241078=ORIENTED_EDGE('',*,*,#187322,.T.); #241079=ORIENTED_EDGE('',*,*,#187326,.F.); #241080=ORIENTED_EDGE('',*,*,#187327,.T.); #241081=ORIENTED_EDGE('',*,*,#187328,.F.); #241082=ORIENTED_EDGE('',*,*,#187327,.F.); #241083=ORIENTED_EDGE('',*,*,#187329,.F.); #241084=ORIENTED_EDGE('',*,*,#187330,.T.); #241085=ORIENTED_EDGE('',*,*,#187331,.T.); #241086=ORIENTED_EDGE('',*,*,#187330,.F.); #241087=ORIENTED_EDGE('',*,*,#187329,.T.); #241088=ORIENTED_EDGE('',*,*,#187326,.T.); #241089=ORIENTED_EDGE('',*,*,#187331,.F.); #241090=ORIENTED_EDGE('',*,*,#187328,.T.); #241091=ORIENTED_EDGE('',*,*,#187332,.F.); #241092=ORIENTED_EDGE('',*,*,#187333,.T.); #241093=ORIENTED_EDGE('',*,*,#187334,.F.); #241094=ORIENTED_EDGE('',*,*,#187333,.F.); #241095=ORIENTED_EDGE('',*,*,#187335,.F.); #241096=ORIENTED_EDGE('',*,*,#187336,.T.); #241097=ORIENTED_EDGE('',*,*,#187337,.T.); #241098=ORIENTED_EDGE('',*,*,#187336,.F.); #241099=ORIENTED_EDGE('',*,*,#187335,.T.); #241100=ORIENTED_EDGE('',*,*,#187332,.T.); #241101=ORIENTED_EDGE('',*,*,#187337,.F.); #241102=ORIENTED_EDGE('',*,*,#187334,.T.); #241103=ORIENTED_EDGE('',*,*,#187338,.F.); #241104=ORIENTED_EDGE('',*,*,#187339,.T.); #241105=ORIENTED_EDGE('',*,*,#187340,.F.); #241106=ORIENTED_EDGE('',*,*,#187339,.F.); #241107=ORIENTED_EDGE('',*,*,#187341,.F.); #241108=ORIENTED_EDGE('',*,*,#187342,.T.); #241109=ORIENTED_EDGE('',*,*,#187343,.T.); #241110=ORIENTED_EDGE('',*,*,#187342,.F.); #241111=ORIENTED_EDGE('',*,*,#187341,.T.); #241112=ORIENTED_EDGE('',*,*,#187338,.T.); #241113=ORIENTED_EDGE('',*,*,#187343,.F.); #241114=ORIENTED_EDGE('',*,*,#187340,.T.); #241115=ORIENTED_EDGE('',*,*,#187344,.F.); #241116=ORIENTED_EDGE('',*,*,#187345,.T.); #241117=ORIENTED_EDGE('',*,*,#187346,.F.); #241118=ORIENTED_EDGE('',*,*,#187345,.F.); #241119=ORIENTED_EDGE('',*,*,#187347,.F.); #241120=ORIENTED_EDGE('',*,*,#187348,.T.); #241121=ORIENTED_EDGE('',*,*,#187349,.T.); #241122=ORIENTED_EDGE('',*,*,#187348,.F.); #241123=ORIENTED_EDGE('',*,*,#187347,.T.); #241124=ORIENTED_EDGE('',*,*,#187344,.T.); #241125=ORIENTED_EDGE('',*,*,#187349,.F.); #241126=ORIENTED_EDGE('',*,*,#187346,.T.); #241127=ORIENTED_EDGE('',*,*,#187350,.F.); #241128=ORIENTED_EDGE('',*,*,#187351,.T.); #241129=ORIENTED_EDGE('',*,*,#187352,.F.); #241130=ORIENTED_EDGE('',*,*,#187351,.F.); #241131=ORIENTED_EDGE('',*,*,#187353,.F.); #241132=ORIENTED_EDGE('',*,*,#187354,.T.); #241133=ORIENTED_EDGE('',*,*,#187355,.T.); #241134=ORIENTED_EDGE('',*,*,#187354,.F.); #241135=ORIENTED_EDGE('',*,*,#187353,.T.); #241136=ORIENTED_EDGE('',*,*,#187350,.T.); #241137=ORIENTED_EDGE('',*,*,#187355,.F.); #241138=ORIENTED_EDGE('',*,*,#187352,.T.); #241139=ORIENTED_EDGE('',*,*,#187356,.F.); #241140=ORIENTED_EDGE('',*,*,#187357,.T.); #241141=ORIENTED_EDGE('',*,*,#187358,.F.); #241142=ORIENTED_EDGE('',*,*,#187357,.F.); #241143=ORIENTED_EDGE('',*,*,#187359,.F.); #241144=ORIENTED_EDGE('',*,*,#187360,.T.); #241145=ORIENTED_EDGE('',*,*,#187361,.T.); #241146=ORIENTED_EDGE('',*,*,#187360,.F.); #241147=ORIENTED_EDGE('',*,*,#187359,.T.); #241148=ORIENTED_EDGE('',*,*,#187356,.T.); #241149=ORIENTED_EDGE('',*,*,#187361,.F.); #241150=ORIENTED_EDGE('',*,*,#187358,.T.); #241151=ORIENTED_EDGE('',*,*,#187362,.F.); #241152=ORIENTED_EDGE('',*,*,#187363,.T.); #241153=ORIENTED_EDGE('',*,*,#187364,.F.); #241154=ORIENTED_EDGE('',*,*,#187363,.F.); #241155=ORIENTED_EDGE('',*,*,#187365,.F.); #241156=ORIENTED_EDGE('',*,*,#187366,.T.); #241157=ORIENTED_EDGE('',*,*,#187367,.T.); #241158=ORIENTED_EDGE('',*,*,#187366,.F.); #241159=ORIENTED_EDGE('',*,*,#187365,.T.); #241160=ORIENTED_EDGE('',*,*,#187362,.T.); #241161=ORIENTED_EDGE('',*,*,#187367,.F.); #241162=ORIENTED_EDGE('',*,*,#187364,.T.); #241163=ORIENTED_EDGE('',*,*,#187368,.F.); #241164=ORIENTED_EDGE('',*,*,#187369,.T.); #241165=ORIENTED_EDGE('',*,*,#187370,.F.); #241166=ORIENTED_EDGE('',*,*,#187369,.F.); #241167=ORIENTED_EDGE('',*,*,#187371,.F.); #241168=ORIENTED_EDGE('',*,*,#187372,.T.); #241169=ORIENTED_EDGE('',*,*,#187373,.T.); #241170=ORIENTED_EDGE('',*,*,#187372,.F.); #241171=ORIENTED_EDGE('',*,*,#187371,.T.); #241172=ORIENTED_EDGE('',*,*,#187368,.T.); #241173=ORIENTED_EDGE('',*,*,#187373,.F.); #241174=ORIENTED_EDGE('',*,*,#187370,.T.); #241175=ORIENTED_EDGE('',*,*,#187374,.F.); #241176=ORIENTED_EDGE('',*,*,#187375,.T.); #241177=ORIENTED_EDGE('',*,*,#187376,.F.); #241178=ORIENTED_EDGE('',*,*,#187375,.F.); #241179=ORIENTED_EDGE('',*,*,#187377,.F.); #241180=ORIENTED_EDGE('',*,*,#187378,.T.); #241181=ORIENTED_EDGE('',*,*,#187379,.T.); #241182=ORIENTED_EDGE('',*,*,#187378,.F.); #241183=ORIENTED_EDGE('',*,*,#187377,.T.); #241184=ORIENTED_EDGE('',*,*,#187374,.T.); #241185=ORIENTED_EDGE('',*,*,#187379,.F.); #241186=ORIENTED_EDGE('',*,*,#187376,.T.); #241187=ORIENTED_EDGE('',*,*,#187380,.F.); #241188=ORIENTED_EDGE('',*,*,#187381,.T.); #241189=ORIENTED_EDGE('',*,*,#187382,.F.); #241190=ORIENTED_EDGE('',*,*,#187381,.F.); #241191=ORIENTED_EDGE('',*,*,#187383,.F.); #241192=ORIENTED_EDGE('',*,*,#187384,.T.); #241193=ORIENTED_EDGE('',*,*,#187385,.T.); #241194=ORIENTED_EDGE('',*,*,#187384,.F.); #241195=ORIENTED_EDGE('',*,*,#187383,.T.); #241196=ORIENTED_EDGE('',*,*,#187380,.T.); #241197=ORIENTED_EDGE('',*,*,#187385,.F.); #241198=ORIENTED_EDGE('',*,*,#187382,.T.); #241199=ORIENTED_EDGE('',*,*,#187386,.F.); #241200=ORIENTED_EDGE('',*,*,#187387,.T.); #241201=ORIENTED_EDGE('',*,*,#187388,.F.); #241202=ORIENTED_EDGE('',*,*,#187387,.F.); #241203=ORIENTED_EDGE('',*,*,#187389,.F.); #241204=ORIENTED_EDGE('',*,*,#187390,.T.); #241205=ORIENTED_EDGE('',*,*,#187391,.T.); #241206=ORIENTED_EDGE('',*,*,#187390,.F.); #241207=ORIENTED_EDGE('',*,*,#187389,.T.); #241208=ORIENTED_EDGE('',*,*,#187386,.T.); #241209=ORIENTED_EDGE('',*,*,#187391,.F.); #241210=ORIENTED_EDGE('',*,*,#187388,.T.); #241211=ORIENTED_EDGE('',*,*,#187392,.F.); #241212=ORIENTED_EDGE('',*,*,#187393,.T.); #241213=ORIENTED_EDGE('',*,*,#187394,.F.); #241214=ORIENTED_EDGE('',*,*,#187393,.F.); #241215=ORIENTED_EDGE('',*,*,#187395,.F.); #241216=ORIENTED_EDGE('',*,*,#187396,.T.); #241217=ORIENTED_EDGE('',*,*,#187397,.T.); #241218=ORIENTED_EDGE('',*,*,#187396,.F.); #241219=ORIENTED_EDGE('',*,*,#187395,.T.); #241220=ORIENTED_EDGE('',*,*,#187392,.T.); #241221=ORIENTED_EDGE('',*,*,#187397,.F.); #241222=ORIENTED_EDGE('',*,*,#187394,.T.); #241223=ORIENTED_EDGE('',*,*,#187398,.F.); #241224=ORIENTED_EDGE('',*,*,#187399,.T.); #241225=ORIENTED_EDGE('',*,*,#187400,.F.); #241226=ORIENTED_EDGE('',*,*,#187399,.F.); #241227=ORIENTED_EDGE('',*,*,#187401,.F.); #241228=ORIENTED_EDGE('',*,*,#187402,.T.); #241229=ORIENTED_EDGE('',*,*,#187403,.T.); #241230=ORIENTED_EDGE('',*,*,#187402,.F.); #241231=ORIENTED_EDGE('',*,*,#187401,.T.); #241232=ORIENTED_EDGE('',*,*,#187398,.T.); #241233=ORIENTED_EDGE('',*,*,#187403,.F.); #241234=ORIENTED_EDGE('',*,*,#187400,.T.); #241235=ORIENTED_EDGE('',*,*,#187404,.F.); #241236=ORIENTED_EDGE('',*,*,#187405,.T.); #241237=ORIENTED_EDGE('',*,*,#187406,.F.); #241238=ORIENTED_EDGE('',*,*,#187405,.F.); #241239=ORIENTED_EDGE('',*,*,#187407,.F.); #241240=ORIENTED_EDGE('',*,*,#187408,.T.); #241241=ORIENTED_EDGE('',*,*,#187409,.T.); #241242=ORIENTED_EDGE('',*,*,#187408,.F.); #241243=ORIENTED_EDGE('',*,*,#187407,.T.); #241244=ORIENTED_EDGE('',*,*,#187404,.T.); #241245=ORIENTED_EDGE('',*,*,#187409,.F.); #241246=ORIENTED_EDGE('',*,*,#187406,.T.); #241247=ORIENTED_EDGE('',*,*,#187410,.F.); #241248=ORIENTED_EDGE('',*,*,#187411,.T.); #241249=ORIENTED_EDGE('',*,*,#187412,.F.); #241250=ORIENTED_EDGE('',*,*,#187411,.F.); #241251=ORIENTED_EDGE('',*,*,#187413,.F.); #241252=ORIENTED_EDGE('',*,*,#187414,.T.); #241253=ORIENTED_EDGE('',*,*,#187415,.T.); #241254=ORIENTED_EDGE('',*,*,#187414,.F.); #241255=ORIENTED_EDGE('',*,*,#187413,.T.); #241256=ORIENTED_EDGE('',*,*,#187410,.T.); #241257=ORIENTED_EDGE('',*,*,#187415,.F.); #241258=ORIENTED_EDGE('',*,*,#187412,.T.); #241259=ORIENTED_EDGE('',*,*,#187416,.F.); #241260=ORIENTED_EDGE('',*,*,#187417,.T.); #241261=ORIENTED_EDGE('',*,*,#187418,.F.); #241262=ORIENTED_EDGE('',*,*,#187417,.F.); #241263=ORIENTED_EDGE('',*,*,#187419,.F.); #241264=ORIENTED_EDGE('',*,*,#187420,.T.); #241265=ORIENTED_EDGE('',*,*,#187421,.T.); #241266=ORIENTED_EDGE('',*,*,#187420,.F.); #241267=ORIENTED_EDGE('',*,*,#187419,.T.); #241268=ORIENTED_EDGE('',*,*,#187416,.T.); #241269=ORIENTED_EDGE('',*,*,#187421,.F.); #241270=ORIENTED_EDGE('',*,*,#187418,.T.); #241271=ORIENTED_EDGE('',*,*,#187422,.F.); #241272=ORIENTED_EDGE('',*,*,#187423,.T.); #241273=ORIENTED_EDGE('',*,*,#187424,.F.); #241274=ORIENTED_EDGE('',*,*,#187423,.F.); #241275=ORIENTED_EDGE('',*,*,#187425,.F.); #241276=ORIENTED_EDGE('',*,*,#187426,.T.); #241277=ORIENTED_EDGE('',*,*,#187427,.T.); #241278=ORIENTED_EDGE('',*,*,#187426,.F.); #241279=ORIENTED_EDGE('',*,*,#187425,.T.); #241280=ORIENTED_EDGE('',*,*,#187422,.T.); #241281=ORIENTED_EDGE('',*,*,#187427,.F.); #241282=ORIENTED_EDGE('',*,*,#187424,.T.); #241283=ORIENTED_EDGE('',*,*,#187428,.F.); #241284=ORIENTED_EDGE('',*,*,#187429,.T.); #241285=ORIENTED_EDGE('',*,*,#187430,.F.); #241286=ORIENTED_EDGE('',*,*,#187429,.F.); #241287=ORIENTED_EDGE('',*,*,#187431,.F.); #241288=ORIENTED_EDGE('',*,*,#187432,.T.); #241289=ORIENTED_EDGE('',*,*,#187433,.T.); #241290=ORIENTED_EDGE('',*,*,#187432,.F.); #241291=ORIENTED_EDGE('',*,*,#187431,.T.); #241292=ORIENTED_EDGE('',*,*,#187428,.T.); #241293=ORIENTED_EDGE('',*,*,#187433,.F.); #241294=ORIENTED_EDGE('',*,*,#187430,.T.); #241295=ORIENTED_EDGE('',*,*,#187434,.F.); #241296=ORIENTED_EDGE('',*,*,#187435,.T.); #241297=ORIENTED_EDGE('',*,*,#187436,.F.); #241298=ORIENTED_EDGE('',*,*,#187435,.F.); #241299=ORIENTED_EDGE('',*,*,#187437,.F.); #241300=ORIENTED_EDGE('',*,*,#187438,.T.); #241301=ORIENTED_EDGE('',*,*,#187439,.T.); #241302=ORIENTED_EDGE('',*,*,#187438,.F.); #241303=ORIENTED_EDGE('',*,*,#187437,.T.); #241304=ORIENTED_EDGE('',*,*,#187434,.T.); #241305=ORIENTED_EDGE('',*,*,#187439,.F.); #241306=ORIENTED_EDGE('',*,*,#187436,.T.); #241307=ORIENTED_EDGE('',*,*,#187440,.F.); #241308=ORIENTED_EDGE('',*,*,#187441,.T.); #241309=ORIENTED_EDGE('',*,*,#187442,.F.); #241310=ORIENTED_EDGE('',*,*,#187441,.F.); #241311=ORIENTED_EDGE('',*,*,#187443,.T.); #241312=ORIENTED_EDGE('',*,*,#187444,.T.); #241313=ORIENTED_EDGE('',*,*,#187445,.F.); #241314=ORIENTED_EDGE('',*,*,#187446,.F.); #241315=ORIENTED_EDGE('',*,*,#187447,.T.); #241316=ORIENTED_EDGE('',*,*,#187446,.T.); #241317=ORIENTED_EDGE('',*,*,#187448,.F.); #241318=ORIENTED_EDGE('',*,*,#187449,.F.); #241319=ORIENTED_EDGE('',*,*,#187450,.T.); #241320=ORIENTED_EDGE('',*,*,#187449,.T.); #241321=ORIENTED_EDGE('',*,*,#187451,.F.); #241322=ORIENTED_EDGE('',*,*,#187444,.F.); #241323=ORIENTED_EDGE('',*,*,#187451,.T.); #241324=ORIENTED_EDGE('',*,*,#187448,.T.); #241325=ORIENTED_EDGE('',*,*,#187445,.T.); #241326=ORIENTED_EDGE('',*,*,#187442,.T.); #241327=ORIENTED_EDGE('',*,*,#187450,.F.); #241328=ORIENTED_EDGE('',*,*,#187443,.F.); #241329=ORIENTED_EDGE('',*,*,#187447,.F.); #241330=ORIENTED_EDGE('',*,*,#187440,.T.); #241331=ORIENTED_EDGE('',*,*,#187452,.T.); #241332=ORIENTED_EDGE('',*,*,#187453,.T.); #241333=ORIENTED_EDGE('',*,*,#187454,.F.); #241334=ORIENTED_EDGE('',*,*,#187455,.F.); #241335=ORIENTED_EDGE('',*,*,#187456,.T.); #241336=ORIENTED_EDGE('',*,*,#187455,.T.); #241337=ORIENTED_EDGE('',*,*,#187457,.F.); #241338=ORIENTED_EDGE('',*,*,#187458,.F.); #241339=ORIENTED_EDGE('',*,*,#187459,.T.); #241340=ORIENTED_EDGE('',*,*,#187458,.T.); #241341=ORIENTED_EDGE('',*,*,#187460,.F.); #241342=ORIENTED_EDGE('',*,*,#187461,.F.); #241343=ORIENTED_EDGE('',*,*,#187462,.T.); #241344=ORIENTED_EDGE('',*,*,#187461,.T.); #241345=ORIENTED_EDGE('',*,*,#187463,.F.); #241346=ORIENTED_EDGE('',*,*,#187453,.F.); #241347=ORIENTED_EDGE('',*,*,#187463,.T.); #241348=ORIENTED_EDGE('',*,*,#187460,.T.); #241349=ORIENTED_EDGE('',*,*,#187457,.T.); #241350=ORIENTED_EDGE('',*,*,#187454,.T.); #241351=ORIENTED_EDGE('',*,*,#187462,.F.); #241352=ORIENTED_EDGE('',*,*,#187452,.F.); #241353=ORIENTED_EDGE('',*,*,#187456,.F.); #241354=ORIENTED_EDGE('',*,*,#187459,.F.); #241355=ORIENTED_EDGE('',*,*,#187464,.T.); #241356=ORIENTED_EDGE('',*,*,#187465,.T.); #241357=ORIENTED_EDGE('',*,*,#187466,.F.); #241358=ORIENTED_EDGE('',*,*,#187467,.F.); #241359=ORIENTED_EDGE('',*,*,#187468,.T.); #241360=ORIENTED_EDGE('',*,*,#187467,.T.); #241361=ORIENTED_EDGE('',*,*,#187469,.F.); #241362=ORIENTED_EDGE('',*,*,#187470,.F.); #241363=ORIENTED_EDGE('',*,*,#187471,.T.); #241364=ORIENTED_EDGE('',*,*,#187470,.T.); #241365=ORIENTED_EDGE('',*,*,#187472,.F.); #241366=ORIENTED_EDGE('',*,*,#187473,.F.); #241367=ORIENTED_EDGE('',*,*,#187474,.T.); #241368=ORIENTED_EDGE('',*,*,#187473,.T.); #241369=ORIENTED_EDGE('',*,*,#187475,.F.); #241370=ORIENTED_EDGE('',*,*,#187465,.F.); #241371=ORIENTED_EDGE('',*,*,#187475,.T.); #241372=ORIENTED_EDGE('',*,*,#187472,.T.); #241373=ORIENTED_EDGE('',*,*,#187469,.T.); #241374=ORIENTED_EDGE('',*,*,#187466,.T.); #241375=ORIENTED_EDGE('',*,*,#187474,.F.); #241376=ORIENTED_EDGE('',*,*,#187464,.F.); #241377=ORIENTED_EDGE('',*,*,#187468,.F.); #241378=ORIENTED_EDGE('',*,*,#187471,.F.); #241379=ORIENTED_EDGE('',*,*,#187476,.T.); #241380=ORIENTED_EDGE('',*,*,#187477,.T.); #241381=ORIENTED_EDGE('',*,*,#187478,.F.); #241382=ORIENTED_EDGE('',*,*,#187479,.F.); #241383=ORIENTED_EDGE('',*,*,#187480,.T.); #241384=ORIENTED_EDGE('',*,*,#187479,.T.); #241385=ORIENTED_EDGE('',*,*,#187481,.F.); #241386=ORIENTED_EDGE('',*,*,#187482,.F.); #241387=ORIENTED_EDGE('',*,*,#187483,.T.); #241388=ORIENTED_EDGE('',*,*,#187482,.T.); #241389=ORIENTED_EDGE('',*,*,#187484,.F.); #241390=ORIENTED_EDGE('',*,*,#187485,.F.); #241391=ORIENTED_EDGE('',*,*,#187486,.T.); #241392=ORIENTED_EDGE('',*,*,#187485,.T.); #241393=ORIENTED_EDGE('',*,*,#187487,.F.); #241394=ORIENTED_EDGE('',*,*,#187477,.F.); #241395=ORIENTED_EDGE('',*,*,#187487,.T.); #241396=ORIENTED_EDGE('',*,*,#187484,.T.); #241397=ORIENTED_EDGE('',*,*,#187481,.T.); #241398=ORIENTED_EDGE('',*,*,#187478,.T.); #241399=ORIENTED_EDGE('',*,*,#187486,.F.); #241400=ORIENTED_EDGE('',*,*,#187476,.F.); #241401=ORIENTED_EDGE('',*,*,#187480,.F.); #241402=ORIENTED_EDGE('',*,*,#187483,.F.); #241403=ORIENTED_EDGE('',*,*,#187488,.T.); #241404=ORIENTED_EDGE('',*,*,#187489,.T.); #241405=ORIENTED_EDGE('',*,*,#187490,.F.); #241406=ORIENTED_EDGE('',*,*,#187491,.F.); #241407=ORIENTED_EDGE('',*,*,#187492,.T.); #241408=ORIENTED_EDGE('',*,*,#187491,.T.); #241409=ORIENTED_EDGE('',*,*,#187493,.F.); #241410=ORIENTED_EDGE('',*,*,#187494,.F.); #241411=ORIENTED_EDGE('',*,*,#187495,.T.); #241412=ORIENTED_EDGE('',*,*,#187494,.T.); #241413=ORIENTED_EDGE('',*,*,#187496,.F.); #241414=ORIENTED_EDGE('',*,*,#187497,.F.); #241415=ORIENTED_EDGE('',*,*,#187498,.T.); #241416=ORIENTED_EDGE('',*,*,#187497,.T.); #241417=ORIENTED_EDGE('',*,*,#187499,.F.); #241418=ORIENTED_EDGE('',*,*,#187489,.F.); #241419=ORIENTED_EDGE('',*,*,#187499,.T.); #241420=ORIENTED_EDGE('',*,*,#187496,.T.); #241421=ORIENTED_EDGE('',*,*,#187493,.T.); #241422=ORIENTED_EDGE('',*,*,#187490,.T.); #241423=ORIENTED_EDGE('',*,*,#187498,.F.); #241424=ORIENTED_EDGE('',*,*,#187488,.F.); #241425=ORIENTED_EDGE('',*,*,#187492,.F.); #241426=ORIENTED_EDGE('',*,*,#187495,.F.); #241427=ORIENTED_EDGE('',*,*,#187500,.T.); #241428=ORIENTED_EDGE('',*,*,#187501,.T.); #241429=ORIENTED_EDGE('',*,*,#187502,.F.); #241430=ORIENTED_EDGE('',*,*,#187503,.F.); #241431=ORIENTED_EDGE('',*,*,#187504,.T.); #241432=ORIENTED_EDGE('',*,*,#187503,.T.); #241433=ORIENTED_EDGE('',*,*,#187505,.F.); #241434=ORIENTED_EDGE('',*,*,#187506,.F.); #241435=ORIENTED_EDGE('',*,*,#187507,.T.); #241436=ORIENTED_EDGE('',*,*,#187506,.T.); #241437=ORIENTED_EDGE('',*,*,#187508,.F.); #241438=ORIENTED_EDGE('',*,*,#187509,.F.); #241439=ORIENTED_EDGE('',*,*,#187510,.T.); #241440=ORIENTED_EDGE('',*,*,#187509,.T.); #241441=ORIENTED_EDGE('',*,*,#187511,.F.); #241442=ORIENTED_EDGE('',*,*,#187501,.F.); #241443=ORIENTED_EDGE('',*,*,#187511,.T.); #241444=ORIENTED_EDGE('',*,*,#187508,.T.); #241445=ORIENTED_EDGE('',*,*,#187505,.T.); #241446=ORIENTED_EDGE('',*,*,#187502,.T.); #241447=ORIENTED_EDGE('',*,*,#187510,.F.); #241448=ORIENTED_EDGE('',*,*,#187500,.F.); #241449=ORIENTED_EDGE('',*,*,#187504,.F.); #241450=ORIENTED_EDGE('',*,*,#187507,.F.); #241451=ORIENTED_EDGE('',*,*,#187512,.T.); #241452=ORIENTED_EDGE('',*,*,#187513,.T.); #241453=ORIENTED_EDGE('',*,*,#187514,.F.); #241454=ORIENTED_EDGE('',*,*,#187515,.F.); #241455=ORIENTED_EDGE('',*,*,#187516,.T.); #241456=ORIENTED_EDGE('',*,*,#187515,.T.); #241457=ORIENTED_EDGE('',*,*,#187517,.F.); #241458=ORIENTED_EDGE('',*,*,#187518,.F.); #241459=ORIENTED_EDGE('',*,*,#187519,.T.); #241460=ORIENTED_EDGE('',*,*,#187518,.T.); #241461=ORIENTED_EDGE('',*,*,#187520,.F.); #241462=ORIENTED_EDGE('',*,*,#187521,.F.); #241463=ORIENTED_EDGE('',*,*,#187522,.T.); #241464=ORIENTED_EDGE('',*,*,#187521,.T.); #241465=ORIENTED_EDGE('',*,*,#187523,.F.); #241466=ORIENTED_EDGE('',*,*,#187513,.F.); #241467=ORIENTED_EDGE('',*,*,#187523,.T.); #241468=ORIENTED_EDGE('',*,*,#187520,.T.); #241469=ORIENTED_EDGE('',*,*,#187517,.T.); #241470=ORIENTED_EDGE('',*,*,#187514,.T.); #241471=ORIENTED_EDGE('',*,*,#187522,.F.); #241472=ORIENTED_EDGE('',*,*,#187512,.F.); #241473=ORIENTED_EDGE('',*,*,#187516,.F.); #241474=ORIENTED_EDGE('',*,*,#187519,.F.); #241475=ORIENTED_EDGE('',*,*,#187524,.T.); #241476=ORIENTED_EDGE('',*,*,#187525,.T.); #241477=ORIENTED_EDGE('',*,*,#187526,.F.); #241478=ORIENTED_EDGE('',*,*,#187527,.F.); #241479=ORIENTED_EDGE('',*,*,#187528,.T.); #241480=ORIENTED_EDGE('',*,*,#187527,.T.); #241481=ORIENTED_EDGE('',*,*,#187529,.F.); #241482=ORIENTED_EDGE('',*,*,#187530,.F.); #241483=ORIENTED_EDGE('',*,*,#187531,.T.); #241484=ORIENTED_EDGE('',*,*,#187530,.T.); #241485=ORIENTED_EDGE('',*,*,#187532,.F.); #241486=ORIENTED_EDGE('',*,*,#187533,.F.); #241487=ORIENTED_EDGE('',*,*,#187534,.T.); #241488=ORIENTED_EDGE('',*,*,#187533,.T.); #241489=ORIENTED_EDGE('',*,*,#187535,.F.); #241490=ORIENTED_EDGE('',*,*,#187525,.F.); #241491=ORIENTED_EDGE('',*,*,#187535,.T.); #241492=ORIENTED_EDGE('',*,*,#187532,.T.); #241493=ORIENTED_EDGE('',*,*,#187529,.T.); #241494=ORIENTED_EDGE('',*,*,#187526,.T.); #241495=ORIENTED_EDGE('',*,*,#187534,.F.); #241496=ORIENTED_EDGE('',*,*,#187524,.F.); #241497=ORIENTED_EDGE('',*,*,#187528,.F.); #241498=ORIENTED_EDGE('',*,*,#187531,.F.); #241499=ORIENTED_EDGE('',*,*,#187536,.T.); #241500=ORIENTED_EDGE('',*,*,#187537,.T.); #241501=ORIENTED_EDGE('',*,*,#187538,.F.); #241502=ORIENTED_EDGE('',*,*,#187539,.F.); #241503=ORIENTED_EDGE('',*,*,#187540,.T.); #241504=ORIENTED_EDGE('',*,*,#187539,.T.); #241505=ORIENTED_EDGE('',*,*,#187541,.F.); #241506=ORIENTED_EDGE('',*,*,#187542,.F.); #241507=ORIENTED_EDGE('',*,*,#187543,.T.); #241508=ORIENTED_EDGE('',*,*,#187542,.T.); #241509=ORIENTED_EDGE('',*,*,#187544,.F.); #241510=ORIENTED_EDGE('',*,*,#187545,.F.); #241511=ORIENTED_EDGE('',*,*,#187546,.T.); #241512=ORIENTED_EDGE('',*,*,#187545,.T.); #241513=ORIENTED_EDGE('',*,*,#187547,.F.); #241514=ORIENTED_EDGE('',*,*,#187537,.F.); #241515=ORIENTED_EDGE('',*,*,#187547,.T.); #241516=ORIENTED_EDGE('',*,*,#187544,.T.); #241517=ORIENTED_EDGE('',*,*,#187541,.T.); #241518=ORIENTED_EDGE('',*,*,#187538,.T.); #241519=ORIENTED_EDGE('',*,*,#187546,.F.); #241520=ORIENTED_EDGE('',*,*,#187536,.F.); #241521=ORIENTED_EDGE('',*,*,#187540,.F.); #241522=ORIENTED_EDGE('',*,*,#187543,.F.); #241523=ORIENTED_EDGE('',*,*,#187548,.T.); #241524=ORIENTED_EDGE('',*,*,#187549,.T.); #241525=ORIENTED_EDGE('',*,*,#187550,.F.); #241526=ORIENTED_EDGE('',*,*,#187551,.F.); #241527=ORIENTED_EDGE('',*,*,#187552,.T.); #241528=ORIENTED_EDGE('',*,*,#187551,.T.); #241529=ORIENTED_EDGE('',*,*,#187553,.F.); #241530=ORIENTED_EDGE('',*,*,#187554,.F.); #241531=ORIENTED_EDGE('',*,*,#187555,.T.); #241532=ORIENTED_EDGE('',*,*,#187554,.T.); #241533=ORIENTED_EDGE('',*,*,#187556,.F.); #241534=ORIENTED_EDGE('',*,*,#187557,.F.); #241535=ORIENTED_EDGE('',*,*,#187558,.T.); #241536=ORIENTED_EDGE('',*,*,#187557,.T.); #241537=ORIENTED_EDGE('',*,*,#187559,.F.); #241538=ORIENTED_EDGE('',*,*,#187549,.F.); #241539=ORIENTED_EDGE('',*,*,#187559,.T.); #241540=ORIENTED_EDGE('',*,*,#187556,.T.); #241541=ORIENTED_EDGE('',*,*,#187553,.T.); #241542=ORIENTED_EDGE('',*,*,#187550,.T.); #241543=ORIENTED_EDGE('',*,*,#187558,.F.); #241544=ORIENTED_EDGE('',*,*,#187548,.F.); #241545=ORIENTED_EDGE('',*,*,#187552,.F.); #241546=ORIENTED_EDGE('',*,*,#187555,.F.); #241547=ORIENTED_EDGE('',*,*,#187560,.T.); #241548=ORIENTED_EDGE('',*,*,#187561,.T.); #241549=ORIENTED_EDGE('',*,*,#187562,.F.); #241550=ORIENTED_EDGE('',*,*,#187563,.F.); #241551=ORIENTED_EDGE('',*,*,#187564,.T.); #241552=ORIENTED_EDGE('',*,*,#187563,.T.); #241553=ORIENTED_EDGE('',*,*,#187565,.F.); #241554=ORIENTED_EDGE('',*,*,#187566,.F.); #241555=ORIENTED_EDGE('',*,*,#187567,.T.); #241556=ORIENTED_EDGE('',*,*,#187566,.T.); #241557=ORIENTED_EDGE('',*,*,#187568,.F.); #241558=ORIENTED_EDGE('',*,*,#187569,.F.); #241559=ORIENTED_EDGE('',*,*,#187570,.T.); #241560=ORIENTED_EDGE('',*,*,#187569,.T.); #241561=ORIENTED_EDGE('',*,*,#187571,.F.); #241562=ORIENTED_EDGE('',*,*,#187561,.F.); #241563=ORIENTED_EDGE('',*,*,#187571,.T.); #241564=ORIENTED_EDGE('',*,*,#187568,.T.); #241565=ORIENTED_EDGE('',*,*,#187565,.T.); #241566=ORIENTED_EDGE('',*,*,#187562,.T.); #241567=ORIENTED_EDGE('',*,*,#187570,.F.); #241568=ORIENTED_EDGE('',*,*,#187560,.F.); #241569=ORIENTED_EDGE('',*,*,#187564,.F.); #241570=ORIENTED_EDGE('',*,*,#187567,.F.); #241571=ORIENTED_EDGE('',*,*,#187572,.F.); #241572=ORIENTED_EDGE('',*,*,#187573,.T.); #241573=ORIENTED_EDGE('',*,*,#187574,.F.); #241574=ORIENTED_EDGE('',*,*,#187573,.F.); #241575=ORIENTED_EDGE('',*,*,#187575,.T.); #241576=ORIENTED_EDGE('',*,*,#187576,.T.); #241577=ORIENTED_EDGE('',*,*,#187577,.F.); #241578=ORIENTED_EDGE('',*,*,#187578,.F.); #241579=ORIENTED_EDGE('',*,*,#187579,.T.); #241580=ORIENTED_EDGE('',*,*,#187578,.T.); #241581=ORIENTED_EDGE('',*,*,#187580,.F.); #241582=ORIENTED_EDGE('',*,*,#187581,.F.); #241583=ORIENTED_EDGE('',*,*,#187582,.T.); #241584=ORIENTED_EDGE('',*,*,#187581,.T.); #241585=ORIENTED_EDGE('',*,*,#187583,.F.); #241586=ORIENTED_EDGE('',*,*,#187576,.F.); #241587=ORIENTED_EDGE('',*,*,#187583,.T.); #241588=ORIENTED_EDGE('',*,*,#187580,.T.); #241589=ORIENTED_EDGE('',*,*,#187577,.T.); #241590=ORIENTED_EDGE('',*,*,#187574,.T.); #241591=ORIENTED_EDGE('',*,*,#187582,.F.); #241592=ORIENTED_EDGE('',*,*,#187575,.F.); #241593=ORIENTED_EDGE('',*,*,#187579,.F.); #241594=ORIENTED_EDGE('',*,*,#187572,.T.); #241595=ORIENTED_EDGE('',*,*,#187584,.F.); #241596=ORIENTED_EDGE('',*,*,#187585,.T.); #241597=ORIENTED_EDGE('',*,*,#187586,.F.); #241598=ORIENTED_EDGE('',*,*,#187585,.F.); #241599=ORIENTED_EDGE('',*,*,#187587,.F.); #241600=ORIENTED_EDGE('',*,*,#187588,.T.); #241601=ORIENTED_EDGE('',*,*,#187589,.F.); #241602=ORIENTED_EDGE('',*,*,#187588,.F.); #241603=ORIENTED_EDGE('',*,*,#187590,.T.); #241604=ORIENTED_EDGE('',*,*,#187591,.T.); #241605=ORIENTED_EDGE('',*,*,#187592,.F.); #241606=ORIENTED_EDGE('',*,*,#187593,.F.); #241607=ORIENTED_EDGE('',*,*,#187594,.T.); #241608=ORIENTED_EDGE('',*,*,#187593,.T.); #241609=ORIENTED_EDGE('',*,*,#187595,.F.); #241610=ORIENTED_EDGE('',*,*,#187596,.F.); #241611=ORIENTED_EDGE('',*,*,#187597,.T.); #241612=ORIENTED_EDGE('',*,*,#187596,.T.); #241613=ORIENTED_EDGE('',*,*,#187598,.F.); #241614=ORIENTED_EDGE('',*,*,#187599,.F.); #241615=ORIENTED_EDGE('',*,*,#187600,.T.); #241616=ORIENTED_EDGE('',*,*,#187599,.T.); #241617=ORIENTED_EDGE('',*,*,#187601,.F.); #241618=ORIENTED_EDGE('',*,*,#187602,.F.); #241619=ORIENTED_EDGE('',*,*,#187603,.T.); #241620=ORIENTED_EDGE('',*,*,#187602,.T.); #241621=ORIENTED_EDGE('',*,*,#187604,.F.); #241622=ORIENTED_EDGE('',*,*,#187605,.F.); #241623=ORIENTED_EDGE('',*,*,#187606,.T.); #241624=ORIENTED_EDGE('',*,*,#187605,.T.); #241625=ORIENTED_EDGE('',*,*,#187607,.F.); #241626=ORIENTED_EDGE('',*,*,#187608,.F.); #241627=ORIENTED_EDGE('',*,*,#187609,.T.); #241628=ORIENTED_EDGE('',*,*,#187608,.T.); #241629=ORIENTED_EDGE('',*,*,#187610,.F.); #241630=ORIENTED_EDGE('',*,*,#187611,.F.); #241631=ORIENTED_EDGE('',*,*,#187612,.T.); #241632=ORIENTED_EDGE('',*,*,#187611,.T.); #241633=ORIENTED_EDGE('',*,*,#187613,.F.); #241634=ORIENTED_EDGE('',*,*,#187591,.F.); #241635=ORIENTED_EDGE('',*,*,#187613,.T.); #241636=ORIENTED_EDGE('',*,*,#187610,.T.); #241637=ORIENTED_EDGE('',*,*,#187607,.T.); #241638=ORIENTED_EDGE('',*,*,#187604,.T.); #241639=ORIENTED_EDGE('',*,*,#187601,.T.); #241640=ORIENTED_EDGE('',*,*,#187598,.T.); #241641=ORIENTED_EDGE('',*,*,#187595,.T.); #241642=ORIENTED_EDGE('',*,*,#187592,.T.); #241643=ORIENTED_EDGE('',*,*,#187586,.T.); #241644=ORIENTED_EDGE('',*,*,#187589,.T.); #241645=ORIENTED_EDGE('',*,*,#187612,.F.); #241646=ORIENTED_EDGE('',*,*,#187590,.F.); #241647=ORIENTED_EDGE('',*,*,#187594,.F.); #241648=ORIENTED_EDGE('',*,*,#187597,.F.); #241649=ORIENTED_EDGE('',*,*,#187600,.F.); #241650=ORIENTED_EDGE('',*,*,#187603,.F.); #241651=ORIENTED_EDGE('',*,*,#187606,.F.); #241652=ORIENTED_EDGE('',*,*,#187609,.F.); #241653=ORIENTED_EDGE('',*,*,#187584,.T.); #241654=ORIENTED_EDGE('',*,*,#187587,.T.); #241655=ORIENTED_EDGE('',*,*,#187614,.F.); #241656=ORIENTED_EDGE('',*,*,#187615,.T.); #241657=ORIENTED_EDGE('',*,*,#187616,.F.); #241658=ORIENTED_EDGE('',*,*,#187615,.F.); #241659=ORIENTED_EDGE('',*,*,#187617,.T.); #241660=ORIENTED_EDGE('',*,*,#187618,.T.); #241661=ORIENTED_EDGE('',*,*,#187619,.F.); #241662=ORIENTED_EDGE('',*,*,#187620,.F.); #241663=ORIENTED_EDGE('',*,*,#187621,.T.); #241664=ORIENTED_EDGE('',*,*,#187620,.T.); #241665=ORIENTED_EDGE('',*,*,#187622,.F.); #241666=ORIENTED_EDGE('',*,*,#187623,.F.); #241667=ORIENTED_EDGE('',*,*,#187624,.T.); #241668=ORIENTED_EDGE('',*,*,#187623,.T.); #241669=ORIENTED_EDGE('',*,*,#187625,.F.); #241670=ORIENTED_EDGE('',*,*,#187618,.F.); #241671=ORIENTED_EDGE('',*,*,#187625,.T.); #241672=ORIENTED_EDGE('',*,*,#187622,.T.); #241673=ORIENTED_EDGE('',*,*,#187619,.T.); #241674=ORIENTED_EDGE('',*,*,#187616,.T.); #241675=ORIENTED_EDGE('',*,*,#187624,.F.); #241676=ORIENTED_EDGE('',*,*,#187617,.F.); #241677=ORIENTED_EDGE('',*,*,#187621,.F.); #241678=ORIENTED_EDGE('',*,*,#187614,.T.); #241679=ORIENTED_EDGE('',*,*,#187626,.F.); #241680=ORIENTED_EDGE('',*,*,#187627,.T.); #241681=ORIENTED_EDGE('',*,*,#187628,.F.); #241682=ORIENTED_EDGE('',*,*,#187627,.F.); #241683=ORIENTED_EDGE('',*,*,#187629,.T.); #241684=ORIENTED_EDGE('',*,*,#187630,.T.); #241685=ORIENTED_EDGE('',*,*,#187631,.F.); #241686=ORIENTED_EDGE('',*,*,#187632,.F.); #241687=ORIENTED_EDGE('',*,*,#187633,.T.); #241688=ORIENTED_EDGE('',*,*,#187632,.T.); #241689=ORIENTED_EDGE('',*,*,#187634,.F.); #241690=ORIENTED_EDGE('',*,*,#187635,.F.); #241691=ORIENTED_EDGE('',*,*,#187636,.T.); #241692=ORIENTED_EDGE('',*,*,#187635,.T.); #241693=ORIENTED_EDGE('',*,*,#187637,.F.); #241694=ORIENTED_EDGE('',*,*,#187630,.F.); #241695=ORIENTED_EDGE('',*,*,#187637,.T.); #241696=ORIENTED_EDGE('',*,*,#187634,.T.); #241697=ORIENTED_EDGE('',*,*,#187631,.T.); #241698=ORIENTED_EDGE('',*,*,#187628,.T.); #241699=ORIENTED_EDGE('',*,*,#187636,.F.); #241700=ORIENTED_EDGE('',*,*,#187629,.F.); #241701=ORIENTED_EDGE('',*,*,#187633,.F.); #241702=ORIENTED_EDGE('',*,*,#187626,.T.); #241703=ORIENTED_EDGE('',*,*,#187638,.F.); #241704=ORIENTED_EDGE('',*,*,#187639,.T.); #241705=ORIENTED_EDGE('',*,*,#187640,.F.); #241706=ORIENTED_EDGE('',*,*,#187639,.F.); #241707=ORIENTED_EDGE('',*,*,#187641,.T.); #241708=ORIENTED_EDGE('',*,*,#187642,.T.); #241709=ORIENTED_EDGE('',*,*,#187643,.F.); #241710=ORIENTED_EDGE('',*,*,#187644,.F.); #241711=ORIENTED_EDGE('',*,*,#187645,.T.); #241712=ORIENTED_EDGE('',*,*,#187644,.T.); #241713=ORIENTED_EDGE('',*,*,#187646,.F.); #241714=ORIENTED_EDGE('',*,*,#187647,.F.); #241715=ORIENTED_EDGE('',*,*,#187648,.T.); #241716=ORIENTED_EDGE('',*,*,#187647,.T.); #241717=ORIENTED_EDGE('',*,*,#187649,.F.); #241718=ORIENTED_EDGE('',*,*,#187650,.F.); #241719=ORIENTED_EDGE('',*,*,#187651,.T.); #241720=ORIENTED_EDGE('',*,*,#187650,.T.); #241721=ORIENTED_EDGE('',*,*,#187652,.F.); #241722=ORIENTED_EDGE('',*,*,#187653,.F.); #241723=ORIENTED_EDGE('',*,*,#187654,.T.); #241724=ORIENTED_EDGE('',*,*,#187653,.T.); #241725=ORIENTED_EDGE('',*,*,#187655,.F.); #241726=ORIENTED_EDGE('',*,*,#187656,.F.); #241727=ORIENTED_EDGE('',*,*,#187657,.T.); #241728=ORIENTED_EDGE('',*,*,#187656,.T.); #241729=ORIENTED_EDGE('',*,*,#187658,.F.); #241730=ORIENTED_EDGE('',*,*,#187659,.F.); #241731=ORIENTED_EDGE('',*,*,#187660,.T.); #241732=ORIENTED_EDGE('',*,*,#187659,.T.); #241733=ORIENTED_EDGE('',*,*,#187661,.F.); #241734=ORIENTED_EDGE('',*,*,#187662,.F.); #241735=ORIENTED_EDGE('',*,*,#187663,.T.); #241736=ORIENTED_EDGE('',*,*,#187662,.T.); #241737=ORIENTED_EDGE('',*,*,#187664,.F.); #241738=ORIENTED_EDGE('',*,*,#187665,.F.); #241739=ORIENTED_EDGE('',*,*,#187666,.T.); #241740=ORIENTED_EDGE('',*,*,#187665,.T.); #241741=ORIENTED_EDGE('',*,*,#187667,.F.); #241742=ORIENTED_EDGE('',*,*,#187668,.F.); #241743=ORIENTED_EDGE('',*,*,#187669,.T.); #241744=ORIENTED_EDGE('',*,*,#187668,.T.); #241745=ORIENTED_EDGE('',*,*,#187670,.F.); #241746=ORIENTED_EDGE('',*,*,#187671,.F.); #241747=ORIENTED_EDGE('',*,*,#187672,.T.); #241748=ORIENTED_EDGE('',*,*,#187671,.T.); #241749=ORIENTED_EDGE('',*,*,#187673,.F.); #241750=ORIENTED_EDGE('',*,*,#187674,.F.); #241751=ORIENTED_EDGE('',*,*,#187675,.T.); #241752=ORIENTED_EDGE('',*,*,#187674,.T.); #241753=ORIENTED_EDGE('',*,*,#187676,.F.); #241754=ORIENTED_EDGE('',*,*,#187677,.F.); #241755=ORIENTED_EDGE('',*,*,#187678,.T.); #241756=ORIENTED_EDGE('',*,*,#187677,.T.); #241757=ORIENTED_EDGE('',*,*,#187679,.F.); #241758=ORIENTED_EDGE('',*,*,#187642,.F.); #241759=ORIENTED_EDGE('',*,*,#187679,.T.); #241760=ORIENTED_EDGE('',*,*,#187676,.T.); #241761=ORIENTED_EDGE('',*,*,#187673,.T.); #241762=ORIENTED_EDGE('',*,*,#187670,.T.); #241763=ORIENTED_EDGE('',*,*,#187667,.T.); #241764=ORIENTED_EDGE('',*,*,#187664,.T.); #241765=ORIENTED_EDGE('',*,*,#187661,.T.); #241766=ORIENTED_EDGE('',*,*,#187658,.T.); #241767=ORIENTED_EDGE('',*,*,#187655,.T.); #241768=ORIENTED_EDGE('',*,*,#187652,.T.); #241769=ORIENTED_EDGE('',*,*,#187649,.T.); #241770=ORIENTED_EDGE('',*,*,#187646,.T.); #241771=ORIENTED_EDGE('',*,*,#187643,.T.); #241772=ORIENTED_EDGE('',*,*,#187640,.T.); #241773=ORIENTED_EDGE('',*,*,#187678,.F.); #241774=ORIENTED_EDGE('',*,*,#187641,.F.); #241775=ORIENTED_EDGE('',*,*,#187645,.F.); #241776=ORIENTED_EDGE('',*,*,#187648,.F.); #241777=ORIENTED_EDGE('',*,*,#187651,.F.); #241778=ORIENTED_EDGE('',*,*,#187654,.F.); #241779=ORIENTED_EDGE('',*,*,#187657,.F.); #241780=ORIENTED_EDGE('',*,*,#187660,.F.); #241781=ORIENTED_EDGE('',*,*,#187663,.F.); #241782=ORIENTED_EDGE('',*,*,#187666,.F.); #241783=ORIENTED_EDGE('',*,*,#187669,.F.); #241784=ORIENTED_EDGE('',*,*,#187672,.F.); #241785=ORIENTED_EDGE('',*,*,#187675,.F.); #241786=ORIENTED_EDGE('',*,*,#187638,.T.); #241787=ORIENTED_EDGE('',*,*,#187680,.F.); #241788=ORIENTED_EDGE('',*,*,#187681,.T.); #241789=ORIENTED_EDGE('',*,*,#187682,.F.); #241790=ORIENTED_EDGE('',*,*,#187681,.F.); #241791=ORIENTED_EDGE('',*,*,#187683,.T.); #241792=ORIENTED_EDGE('',*,*,#187684,.T.); #241793=ORIENTED_EDGE('',*,*,#187685,.F.); #241794=ORIENTED_EDGE('',*,*,#187686,.F.); #241795=ORIENTED_EDGE('',*,*,#187687,.T.); #241796=ORIENTED_EDGE('',*,*,#187686,.T.); #241797=ORIENTED_EDGE('',*,*,#187688,.F.); #241798=ORIENTED_EDGE('',*,*,#187689,.F.); #241799=ORIENTED_EDGE('',*,*,#187690,.T.); #241800=ORIENTED_EDGE('',*,*,#187689,.T.); #241801=ORIENTED_EDGE('',*,*,#187691,.F.); #241802=ORIENTED_EDGE('',*,*,#187692,.F.); #241803=ORIENTED_EDGE('',*,*,#187693,.T.); #241804=ORIENTED_EDGE('',*,*,#187692,.T.); #241805=ORIENTED_EDGE('',*,*,#187694,.F.); #241806=ORIENTED_EDGE('',*,*,#187695,.F.); #241807=ORIENTED_EDGE('',*,*,#187696,.T.); #241808=ORIENTED_EDGE('',*,*,#187695,.T.); #241809=ORIENTED_EDGE('',*,*,#187697,.F.); #241810=ORIENTED_EDGE('',*,*,#187698,.F.); #241811=ORIENTED_EDGE('',*,*,#187699,.T.); #241812=ORIENTED_EDGE('',*,*,#187698,.T.); #241813=ORIENTED_EDGE('',*,*,#187700,.F.); #241814=ORIENTED_EDGE('',*,*,#187701,.F.); #241815=ORIENTED_EDGE('',*,*,#187702,.T.); #241816=ORIENTED_EDGE('',*,*,#187701,.T.); #241817=ORIENTED_EDGE('',*,*,#187703,.F.); #241818=ORIENTED_EDGE('',*,*,#187704,.F.); #241819=ORIENTED_EDGE('',*,*,#187705,.T.); #241820=ORIENTED_EDGE('',*,*,#187704,.T.); #241821=ORIENTED_EDGE('',*,*,#187706,.F.); #241822=ORIENTED_EDGE('',*,*,#187707,.F.); #241823=ORIENTED_EDGE('',*,*,#187708,.T.); #241824=ORIENTED_EDGE('',*,*,#187707,.T.); #241825=ORIENTED_EDGE('',*,*,#187709,.F.); #241826=ORIENTED_EDGE('',*,*,#187710,.F.); #241827=ORIENTED_EDGE('',*,*,#187711,.T.); #241828=ORIENTED_EDGE('',*,*,#187710,.T.); #241829=ORIENTED_EDGE('',*,*,#187712,.F.); #241830=ORIENTED_EDGE('',*,*,#187684,.F.); #241831=ORIENTED_EDGE('',*,*,#187712,.T.); #241832=ORIENTED_EDGE('',*,*,#187709,.T.); #241833=ORIENTED_EDGE('',*,*,#187706,.T.); #241834=ORIENTED_EDGE('',*,*,#187703,.T.); #241835=ORIENTED_EDGE('',*,*,#187700,.T.); #241836=ORIENTED_EDGE('',*,*,#187697,.T.); #241837=ORIENTED_EDGE('',*,*,#187694,.T.); #241838=ORIENTED_EDGE('',*,*,#187691,.T.); #241839=ORIENTED_EDGE('',*,*,#187688,.T.); #241840=ORIENTED_EDGE('',*,*,#187685,.T.); #241841=ORIENTED_EDGE('',*,*,#187682,.T.); #241842=ORIENTED_EDGE('',*,*,#187711,.F.); #241843=ORIENTED_EDGE('',*,*,#187683,.F.); #241844=ORIENTED_EDGE('',*,*,#187687,.F.); #241845=ORIENTED_EDGE('',*,*,#187690,.F.); #241846=ORIENTED_EDGE('',*,*,#187693,.F.); #241847=ORIENTED_EDGE('',*,*,#187696,.F.); #241848=ORIENTED_EDGE('',*,*,#187699,.F.); #241849=ORIENTED_EDGE('',*,*,#187702,.F.); #241850=ORIENTED_EDGE('',*,*,#187705,.F.); #241851=ORIENTED_EDGE('',*,*,#187708,.F.); #241852=ORIENTED_EDGE('',*,*,#187680,.T.); #241853=ORIENTED_EDGE('',*,*,#187713,.T.); #241854=ORIENTED_EDGE('',*,*,#187714,.T.); #241855=ORIENTED_EDGE('',*,*,#187715,.F.); #241856=ORIENTED_EDGE('',*,*,#187716,.F.); #241857=ORIENTED_EDGE('',*,*,#187717,.T.); #241858=ORIENTED_EDGE('',*,*,#187716,.T.); #241859=ORIENTED_EDGE('',*,*,#187718,.F.); #241860=ORIENTED_EDGE('',*,*,#187719,.F.); #241861=ORIENTED_EDGE('',*,*,#187720,.T.); #241862=ORIENTED_EDGE('',*,*,#187719,.T.); #241863=ORIENTED_EDGE('',*,*,#187721,.F.); #241864=ORIENTED_EDGE('',*,*,#187722,.F.); #241865=ORIENTED_EDGE('',*,*,#187723,.T.); #241866=ORIENTED_EDGE('',*,*,#187722,.T.); #241867=ORIENTED_EDGE('',*,*,#187724,.F.); #241868=ORIENTED_EDGE('',*,*,#187725,.F.); #241869=ORIENTED_EDGE('',*,*,#187726,.T.); #241870=ORIENTED_EDGE('',*,*,#187725,.T.); #241871=ORIENTED_EDGE('',*,*,#187727,.F.); #241872=ORIENTED_EDGE('',*,*,#187728,.F.); #241873=ORIENTED_EDGE('',*,*,#187729,.T.); #241874=ORIENTED_EDGE('',*,*,#187728,.T.); #241875=ORIENTED_EDGE('',*,*,#187730,.F.); #241876=ORIENTED_EDGE('',*,*,#187731,.F.); #241877=ORIENTED_EDGE('',*,*,#187732,.T.); #241878=ORIENTED_EDGE('',*,*,#187731,.T.); #241879=ORIENTED_EDGE('',*,*,#187733,.F.); #241880=ORIENTED_EDGE('',*,*,#187734,.F.); #241881=ORIENTED_EDGE('',*,*,#187735,.T.); #241882=ORIENTED_EDGE('',*,*,#187734,.T.); #241883=ORIENTED_EDGE('',*,*,#187736,.F.); #241884=ORIENTED_EDGE('',*,*,#187737,.F.); #241885=ORIENTED_EDGE('',*,*,#187738,.T.); #241886=ORIENTED_EDGE('',*,*,#187737,.T.); #241887=ORIENTED_EDGE('',*,*,#187739,.F.); #241888=ORIENTED_EDGE('',*,*,#187740,.F.); #241889=ORIENTED_EDGE('',*,*,#187741,.T.); #241890=ORIENTED_EDGE('',*,*,#187740,.T.); #241891=ORIENTED_EDGE('',*,*,#187742,.F.); #241892=ORIENTED_EDGE('',*,*,#187743,.F.); #241893=ORIENTED_EDGE('',*,*,#187744,.T.); #241894=ORIENTED_EDGE('',*,*,#187743,.T.); #241895=ORIENTED_EDGE('',*,*,#187745,.F.); #241896=ORIENTED_EDGE('',*,*,#187746,.F.); #241897=ORIENTED_EDGE('',*,*,#187747,.T.); #241898=ORIENTED_EDGE('',*,*,#187746,.T.); #241899=ORIENTED_EDGE('',*,*,#187748,.F.); #241900=ORIENTED_EDGE('',*,*,#187749,.F.); #241901=ORIENTED_EDGE('',*,*,#187750,.T.); #241902=ORIENTED_EDGE('',*,*,#187749,.T.); #241903=ORIENTED_EDGE('',*,*,#187751,.F.); #241904=ORIENTED_EDGE('',*,*,#187752,.F.); #241905=ORIENTED_EDGE('',*,*,#187753,.T.); #241906=ORIENTED_EDGE('',*,*,#187752,.T.); #241907=ORIENTED_EDGE('',*,*,#187754,.F.); #241908=ORIENTED_EDGE('',*,*,#187755,.F.); #241909=ORIENTED_EDGE('',*,*,#187756,.T.); #241910=ORIENTED_EDGE('',*,*,#187755,.T.); #241911=ORIENTED_EDGE('',*,*,#187757,.F.); #241912=ORIENTED_EDGE('',*,*,#187758,.F.); #241913=ORIENTED_EDGE('',*,*,#187759,.T.); #241914=ORIENTED_EDGE('',*,*,#187758,.T.); #241915=ORIENTED_EDGE('',*,*,#187760,.F.); #241916=ORIENTED_EDGE('',*,*,#187761,.F.); #241917=ORIENTED_EDGE('',*,*,#187762,.T.); #241918=ORIENTED_EDGE('',*,*,#187761,.T.); #241919=ORIENTED_EDGE('',*,*,#187763,.F.); #241920=ORIENTED_EDGE('',*,*,#187764,.F.); #241921=ORIENTED_EDGE('',*,*,#187765,.T.); #241922=ORIENTED_EDGE('',*,*,#187764,.T.); #241923=ORIENTED_EDGE('',*,*,#187766,.F.); #241924=ORIENTED_EDGE('',*,*,#187767,.F.); #241925=ORIENTED_EDGE('',*,*,#187768,.T.); #241926=ORIENTED_EDGE('',*,*,#187767,.T.); #241927=ORIENTED_EDGE('',*,*,#187769,.F.); #241928=ORIENTED_EDGE('',*,*,#187770,.F.); #241929=ORIENTED_EDGE('',*,*,#187771,.T.); #241930=ORIENTED_EDGE('',*,*,#187770,.T.); #241931=ORIENTED_EDGE('',*,*,#187772,.F.); #241932=ORIENTED_EDGE('',*,*,#187714,.F.); #241933=ORIENTED_EDGE('',*,*,#187772,.T.); #241934=ORIENTED_EDGE('',*,*,#187769,.T.); #241935=ORIENTED_EDGE('',*,*,#187766,.T.); #241936=ORIENTED_EDGE('',*,*,#187763,.T.); #241937=ORIENTED_EDGE('',*,*,#187760,.T.); #241938=ORIENTED_EDGE('',*,*,#187757,.T.); #241939=ORIENTED_EDGE('',*,*,#187754,.T.); #241940=ORIENTED_EDGE('',*,*,#187751,.T.); #241941=ORIENTED_EDGE('',*,*,#187748,.T.); #241942=ORIENTED_EDGE('',*,*,#187745,.T.); #241943=ORIENTED_EDGE('',*,*,#187742,.T.); #241944=ORIENTED_EDGE('',*,*,#187739,.T.); #241945=ORIENTED_EDGE('',*,*,#187736,.T.); #241946=ORIENTED_EDGE('',*,*,#187733,.T.); #241947=ORIENTED_EDGE('',*,*,#187730,.T.); #241948=ORIENTED_EDGE('',*,*,#187727,.T.); #241949=ORIENTED_EDGE('',*,*,#187724,.T.); #241950=ORIENTED_EDGE('',*,*,#187721,.T.); #241951=ORIENTED_EDGE('',*,*,#187718,.T.); #241952=ORIENTED_EDGE('',*,*,#187715,.T.); #241953=ORIENTED_EDGE('',*,*,#187771,.F.); #241954=ORIENTED_EDGE('',*,*,#187713,.F.); #241955=ORIENTED_EDGE('',*,*,#187717,.F.); #241956=ORIENTED_EDGE('',*,*,#187720,.F.); #241957=ORIENTED_EDGE('',*,*,#187723,.F.); #241958=ORIENTED_EDGE('',*,*,#187726,.F.); #241959=ORIENTED_EDGE('',*,*,#187729,.F.); #241960=ORIENTED_EDGE('',*,*,#187732,.F.); #241961=ORIENTED_EDGE('',*,*,#187735,.F.); #241962=ORIENTED_EDGE('',*,*,#187738,.F.); #241963=ORIENTED_EDGE('',*,*,#187741,.F.); #241964=ORIENTED_EDGE('',*,*,#187744,.F.); #241965=ORIENTED_EDGE('',*,*,#187747,.F.); #241966=ORIENTED_EDGE('',*,*,#187750,.F.); #241967=ORIENTED_EDGE('',*,*,#187753,.F.); #241968=ORIENTED_EDGE('',*,*,#187756,.F.); #241969=ORIENTED_EDGE('',*,*,#187759,.F.); #241970=ORIENTED_EDGE('',*,*,#187762,.F.); #241971=ORIENTED_EDGE('',*,*,#187765,.F.); #241972=ORIENTED_EDGE('',*,*,#187768,.F.); #241973=ORIENTED_EDGE('',*,*,#187773,.T.); #241974=ORIENTED_EDGE('',*,*,#187774,.T.); #241975=ORIENTED_EDGE('',*,*,#187775,.F.); #241976=ORIENTED_EDGE('',*,*,#187776,.F.); #241977=ORIENTED_EDGE('',*,*,#187777,.T.); #241978=ORIENTED_EDGE('',*,*,#187776,.T.); #241979=ORIENTED_EDGE('',*,*,#187778,.F.); #241980=ORIENTED_EDGE('',*,*,#187779,.F.); #241981=ORIENTED_EDGE('',*,*,#187780,.T.); #241982=ORIENTED_EDGE('',*,*,#187779,.T.); #241983=ORIENTED_EDGE('',*,*,#187781,.F.); #241984=ORIENTED_EDGE('',*,*,#187782,.F.); #241985=ORIENTED_EDGE('',*,*,#187783,.T.); #241986=ORIENTED_EDGE('',*,*,#187782,.T.); #241987=ORIENTED_EDGE('',*,*,#187784,.F.); #241988=ORIENTED_EDGE('',*,*,#187785,.F.); #241989=ORIENTED_EDGE('',*,*,#187786,.T.); #241990=ORIENTED_EDGE('',*,*,#187785,.T.); #241991=ORIENTED_EDGE('',*,*,#187787,.F.); #241992=ORIENTED_EDGE('',*,*,#187788,.F.); #241993=ORIENTED_EDGE('',*,*,#187789,.T.); #241994=ORIENTED_EDGE('',*,*,#187788,.T.); #241995=ORIENTED_EDGE('',*,*,#187790,.F.); #241996=ORIENTED_EDGE('',*,*,#187791,.F.); #241997=ORIENTED_EDGE('',*,*,#187792,.T.); #241998=ORIENTED_EDGE('',*,*,#187791,.T.); #241999=ORIENTED_EDGE('',*,*,#187793,.F.); #242000=ORIENTED_EDGE('',*,*,#187794,.F.); #242001=ORIENTED_EDGE('',*,*,#187795,.T.); #242002=ORIENTED_EDGE('',*,*,#187794,.T.); #242003=ORIENTED_EDGE('',*,*,#187796,.F.); #242004=ORIENTED_EDGE('',*,*,#187797,.F.); #242005=ORIENTED_EDGE('',*,*,#187798,.T.); #242006=ORIENTED_EDGE('',*,*,#187797,.T.); #242007=ORIENTED_EDGE('',*,*,#187799,.F.); #242008=ORIENTED_EDGE('',*,*,#187800,.F.); #242009=ORIENTED_EDGE('',*,*,#187801,.T.); #242010=ORIENTED_EDGE('',*,*,#187800,.T.); #242011=ORIENTED_EDGE('',*,*,#187802,.F.); #242012=ORIENTED_EDGE('',*,*,#187803,.F.); #242013=ORIENTED_EDGE('',*,*,#187804,.T.); #242014=ORIENTED_EDGE('',*,*,#187803,.T.); #242015=ORIENTED_EDGE('',*,*,#187805,.F.); #242016=ORIENTED_EDGE('',*,*,#187806,.F.); #242017=ORIENTED_EDGE('',*,*,#187807,.T.); #242018=ORIENTED_EDGE('',*,*,#187806,.T.); #242019=ORIENTED_EDGE('',*,*,#187808,.F.); #242020=ORIENTED_EDGE('',*,*,#187809,.F.); #242021=ORIENTED_EDGE('',*,*,#187810,.T.); #242022=ORIENTED_EDGE('',*,*,#187809,.T.); #242023=ORIENTED_EDGE('',*,*,#187811,.F.); #242024=ORIENTED_EDGE('',*,*,#187812,.F.); #242025=ORIENTED_EDGE('',*,*,#187813,.T.); #242026=ORIENTED_EDGE('',*,*,#187812,.T.); #242027=ORIENTED_EDGE('',*,*,#187814,.F.); #242028=ORIENTED_EDGE('',*,*,#187815,.F.); #242029=ORIENTED_EDGE('',*,*,#187816,.T.); #242030=ORIENTED_EDGE('',*,*,#187815,.T.); #242031=ORIENTED_EDGE('',*,*,#187817,.F.); #242032=ORIENTED_EDGE('',*,*,#187818,.F.); #242033=ORIENTED_EDGE('',*,*,#187819,.T.); #242034=ORIENTED_EDGE('',*,*,#187818,.T.); #242035=ORIENTED_EDGE('',*,*,#187820,.F.); #242036=ORIENTED_EDGE('',*,*,#187821,.F.); #242037=ORIENTED_EDGE('',*,*,#187822,.T.); #242038=ORIENTED_EDGE('',*,*,#187821,.T.); #242039=ORIENTED_EDGE('',*,*,#187823,.F.); #242040=ORIENTED_EDGE('',*,*,#187824,.F.); #242041=ORIENTED_EDGE('',*,*,#187825,.T.); #242042=ORIENTED_EDGE('',*,*,#187824,.T.); #242043=ORIENTED_EDGE('',*,*,#187826,.F.); #242044=ORIENTED_EDGE('',*,*,#187827,.F.); #242045=ORIENTED_EDGE('',*,*,#187828,.T.); #242046=ORIENTED_EDGE('',*,*,#187827,.T.); #242047=ORIENTED_EDGE('',*,*,#187829,.F.); #242048=ORIENTED_EDGE('',*,*,#187830,.F.); #242049=ORIENTED_EDGE('',*,*,#187831,.T.); #242050=ORIENTED_EDGE('',*,*,#187830,.T.); #242051=ORIENTED_EDGE('',*,*,#187832,.F.); #242052=ORIENTED_EDGE('',*,*,#187833,.F.); #242053=ORIENTED_EDGE('',*,*,#187834,.T.); #242054=ORIENTED_EDGE('',*,*,#187833,.T.); #242055=ORIENTED_EDGE('',*,*,#187835,.F.); #242056=ORIENTED_EDGE('',*,*,#187836,.F.); #242057=ORIENTED_EDGE('',*,*,#187837,.T.); #242058=ORIENTED_EDGE('',*,*,#187836,.T.); #242059=ORIENTED_EDGE('',*,*,#187838,.F.); #242060=ORIENTED_EDGE('',*,*,#187839,.F.); #242061=ORIENTED_EDGE('',*,*,#187840,.T.); #242062=ORIENTED_EDGE('',*,*,#187839,.T.); #242063=ORIENTED_EDGE('',*,*,#187841,.F.); #242064=ORIENTED_EDGE('',*,*,#187842,.F.); #242065=ORIENTED_EDGE('',*,*,#187843,.T.); #242066=ORIENTED_EDGE('',*,*,#187842,.T.); #242067=ORIENTED_EDGE('',*,*,#187844,.F.); #242068=ORIENTED_EDGE('',*,*,#187845,.F.); #242069=ORIENTED_EDGE('',*,*,#187846,.T.); #242070=ORIENTED_EDGE('',*,*,#187845,.T.); #242071=ORIENTED_EDGE('',*,*,#187847,.F.); #242072=ORIENTED_EDGE('',*,*,#187848,.F.); #242073=ORIENTED_EDGE('',*,*,#187849,.T.); #242074=ORIENTED_EDGE('',*,*,#187848,.T.); #242075=ORIENTED_EDGE('',*,*,#187850,.F.); #242076=ORIENTED_EDGE('',*,*,#187851,.F.); #242077=ORIENTED_EDGE('',*,*,#187852,.T.); #242078=ORIENTED_EDGE('',*,*,#187851,.T.); #242079=ORIENTED_EDGE('',*,*,#187853,.F.); #242080=ORIENTED_EDGE('',*,*,#187854,.F.); #242081=ORIENTED_EDGE('',*,*,#187855,.T.); #242082=ORIENTED_EDGE('',*,*,#187854,.T.); #242083=ORIENTED_EDGE('',*,*,#187856,.F.); #242084=ORIENTED_EDGE('',*,*,#187857,.F.); #242085=ORIENTED_EDGE('',*,*,#187858,.T.); #242086=ORIENTED_EDGE('',*,*,#187857,.T.); #242087=ORIENTED_EDGE('',*,*,#187859,.F.); #242088=ORIENTED_EDGE('',*,*,#187860,.F.); #242089=ORIENTED_EDGE('',*,*,#187861,.T.); #242090=ORIENTED_EDGE('',*,*,#187860,.T.); #242091=ORIENTED_EDGE('',*,*,#187862,.F.); #242092=ORIENTED_EDGE('',*,*,#187863,.F.); #242093=ORIENTED_EDGE('',*,*,#187864,.T.); #242094=ORIENTED_EDGE('',*,*,#187863,.T.); #242095=ORIENTED_EDGE('',*,*,#187865,.F.); #242096=ORIENTED_EDGE('',*,*,#187866,.F.); #242097=ORIENTED_EDGE('',*,*,#187867,.T.); #242098=ORIENTED_EDGE('',*,*,#187866,.T.); #242099=ORIENTED_EDGE('',*,*,#187868,.F.); #242100=ORIENTED_EDGE('',*,*,#187869,.F.); #242101=ORIENTED_EDGE('',*,*,#187870,.T.); #242102=ORIENTED_EDGE('',*,*,#187869,.T.); #242103=ORIENTED_EDGE('',*,*,#187871,.F.); #242104=ORIENTED_EDGE('',*,*,#187872,.F.); #242105=ORIENTED_EDGE('',*,*,#187873,.T.); #242106=ORIENTED_EDGE('',*,*,#187872,.T.); #242107=ORIENTED_EDGE('',*,*,#187874,.F.); #242108=ORIENTED_EDGE('',*,*,#187875,.F.); #242109=ORIENTED_EDGE('',*,*,#187876,.T.); #242110=ORIENTED_EDGE('',*,*,#187875,.T.); #242111=ORIENTED_EDGE('',*,*,#187877,.F.); #242112=ORIENTED_EDGE('',*,*,#187878,.F.); #242113=ORIENTED_EDGE('',*,*,#187879,.T.); #242114=ORIENTED_EDGE('',*,*,#187878,.T.); #242115=ORIENTED_EDGE('',*,*,#187880,.F.); #242116=ORIENTED_EDGE('',*,*,#187774,.F.); #242117=ORIENTED_EDGE('',*,*,#187880,.T.); #242118=ORIENTED_EDGE('',*,*,#187877,.T.); #242119=ORIENTED_EDGE('',*,*,#187874,.T.); #242120=ORIENTED_EDGE('',*,*,#187871,.T.); #242121=ORIENTED_EDGE('',*,*,#187868,.T.); #242122=ORIENTED_EDGE('',*,*,#187865,.T.); #242123=ORIENTED_EDGE('',*,*,#187862,.T.); #242124=ORIENTED_EDGE('',*,*,#187859,.T.); #242125=ORIENTED_EDGE('',*,*,#187856,.T.); #242126=ORIENTED_EDGE('',*,*,#187853,.T.); #242127=ORIENTED_EDGE('',*,*,#187850,.T.); #242128=ORIENTED_EDGE('',*,*,#187847,.T.); #242129=ORIENTED_EDGE('',*,*,#187844,.T.); #242130=ORIENTED_EDGE('',*,*,#187841,.T.); #242131=ORIENTED_EDGE('',*,*,#187838,.T.); #242132=ORIENTED_EDGE('',*,*,#187835,.T.); #242133=ORIENTED_EDGE('',*,*,#187832,.T.); #242134=ORIENTED_EDGE('',*,*,#187829,.T.); #242135=ORIENTED_EDGE('',*,*,#187826,.T.); #242136=ORIENTED_EDGE('',*,*,#187823,.T.); #242137=ORIENTED_EDGE('',*,*,#187820,.T.); #242138=ORIENTED_EDGE('',*,*,#187817,.T.); #242139=ORIENTED_EDGE('',*,*,#187814,.T.); #242140=ORIENTED_EDGE('',*,*,#187811,.T.); #242141=ORIENTED_EDGE('',*,*,#187808,.T.); #242142=ORIENTED_EDGE('',*,*,#187805,.T.); #242143=ORIENTED_EDGE('',*,*,#187802,.T.); #242144=ORIENTED_EDGE('',*,*,#187799,.T.); #242145=ORIENTED_EDGE('',*,*,#187796,.T.); #242146=ORIENTED_EDGE('',*,*,#187793,.T.); #242147=ORIENTED_EDGE('',*,*,#187790,.T.); #242148=ORIENTED_EDGE('',*,*,#187787,.T.); #242149=ORIENTED_EDGE('',*,*,#187784,.T.); #242150=ORIENTED_EDGE('',*,*,#187781,.T.); #242151=ORIENTED_EDGE('',*,*,#187778,.T.); #242152=ORIENTED_EDGE('',*,*,#187775,.T.); #242153=ORIENTED_EDGE('',*,*,#187879,.F.); #242154=ORIENTED_EDGE('',*,*,#187773,.F.); #242155=ORIENTED_EDGE('',*,*,#187777,.F.); #242156=ORIENTED_EDGE('',*,*,#187780,.F.); #242157=ORIENTED_EDGE('',*,*,#187783,.F.); #242158=ORIENTED_EDGE('',*,*,#187786,.F.); #242159=ORIENTED_EDGE('',*,*,#187789,.F.); #242160=ORIENTED_EDGE('',*,*,#187792,.F.); #242161=ORIENTED_EDGE('',*,*,#187795,.F.); #242162=ORIENTED_EDGE('',*,*,#187798,.F.); #242163=ORIENTED_EDGE('',*,*,#187801,.F.); #242164=ORIENTED_EDGE('',*,*,#187804,.F.); #242165=ORIENTED_EDGE('',*,*,#187807,.F.); #242166=ORIENTED_EDGE('',*,*,#187810,.F.); #242167=ORIENTED_EDGE('',*,*,#187813,.F.); #242168=ORIENTED_EDGE('',*,*,#187816,.F.); #242169=ORIENTED_EDGE('',*,*,#187819,.F.); #242170=ORIENTED_EDGE('',*,*,#187822,.F.); #242171=ORIENTED_EDGE('',*,*,#187825,.F.); #242172=ORIENTED_EDGE('',*,*,#187828,.F.); #242173=ORIENTED_EDGE('',*,*,#187831,.F.); #242174=ORIENTED_EDGE('',*,*,#187834,.F.); #242175=ORIENTED_EDGE('',*,*,#187837,.F.); #242176=ORIENTED_EDGE('',*,*,#187840,.F.); #242177=ORIENTED_EDGE('',*,*,#187843,.F.); #242178=ORIENTED_EDGE('',*,*,#187846,.F.); #242179=ORIENTED_EDGE('',*,*,#187849,.F.); #242180=ORIENTED_EDGE('',*,*,#187852,.F.); #242181=ORIENTED_EDGE('',*,*,#187855,.F.); #242182=ORIENTED_EDGE('',*,*,#187858,.F.); #242183=ORIENTED_EDGE('',*,*,#187861,.F.); #242184=ORIENTED_EDGE('',*,*,#187864,.F.); #242185=ORIENTED_EDGE('',*,*,#187867,.F.); #242186=ORIENTED_EDGE('',*,*,#187870,.F.); #242187=ORIENTED_EDGE('',*,*,#187873,.F.); #242188=ORIENTED_EDGE('',*,*,#187876,.F.); #242189=ORIENTED_EDGE('',*,*,#187881,.T.); #242190=ORIENTED_EDGE('',*,*,#187882,.T.); #242191=ORIENTED_EDGE('',*,*,#187883,.F.); #242192=ORIENTED_EDGE('',*,*,#187884,.F.); #242193=ORIENTED_EDGE('',*,*,#187885,.T.); #242194=ORIENTED_EDGE('',*,*,#187884,.T.); #242195=ORIENTED_EDGE('',*,*,#187886,.F.); #242196=ORIENTED_EDGE('',*,*,#187887,.F.); #242197=ORIENTED_EDGE('',*,*,#187888,.T.); #242198=ORIENTED_EDGE('',*,*,#187887,.T.); #242199=ORIENTED_EDGE('',*,*,#187889,.F.); #242200=ORIENTED_EDGE('',*,*,#187890,.F.); #242201=ORIENTED_EDGE('',*,*,#187891,.T.); #242202=ORIENTED_EDGE('',*,*,#187890,.T.); #242203=ORIENTED_EDGE('',*,*,#187892,.F.); #242204=ORIENTED_EDGE('',*,*,#187893,.F.); #242205=ORIENTED_EDGE('',*,*,#187894,.T.); #242206=ORIENTED_EDGE('',*,*,#187893,.T.); #242207=ORIENTED_EDGE('',*,*,#187895,.F.); #242208=ORIENTED_EDGE('',*,*,#187896,.F.); #242209=ORIENTED_EDGE('',*,*,#187897,.T.); #242210=ORIENTED_EDGE('',*,*,#187896,.T.); #242211=ORIENTED_EDGE('',*,*,#187898,.F.); #242212=ORIENTED_EDGE('',*,*,#187899,.F.); #242213=ORIENTED_EDGE('',*,*,#187900,.T.); #242214=ORIENTED_EDGE('',*,*,#187899,.T.); #242215=ORIENTED_EDGE('',*,*,#187901,.F.); #242216=ORIENTED_EDGE('',*,*,#187902,.F.); #242217=ORIENTED_EDGE('',*,*,#187903,.T.); #242218=ORIENTED_EDGE('',*,*,#187902,.T.); #242219=ORIENTED_EDGE('',*,*,#187904,.F.); #242220=ORIENTED_EDGE('',*,*,#187905,.F.); #242221=ORIENTED_EDGE('',*,*,#187906,.T.); #242222=ORIENTED_EDGE('',*,*,#187905,.T.); #242223=ORIENTED_EDGE('',*,*,#187907,.F.); #242224=ORIENTED_EDGE('',*,*,#187908,.F.); #242225=ORIENTED_EDGE('',*,*,#187909,.T.); #242226=ORIENTED_EDGE('',*,*,#187908,.T.); #242227=ORIENTED_EDGE('',*,*,#187910,.F.); #242228=ORIENTED_EDGE('',*,*,#187911,.F.); #242229=ORIENTED_EDGE('',*,*,#187912,.T.); #242230=ORIENTED_EDGE('',*,*,#187911,.T.); #242231=ORIENTED_EDGE('',*,*,#187913,.F.); #242232=ORIENTED_EDGE('',*,*,#187914,.F.); #242233=ORIENTED_EDGE('',*,*,#187915,.T.); #242234=ORIENTED_EDGE('',*,*,#187914,.T.); #242235=ORIENTED_EDGE('',*,*,#187916,.F.); #242236=ORIENTED_EDGE('',*,*,#187917,.F.); #242237=ORIENTED_EDGE('',*,*,#187918,.T.); #242238=ORIENTED_EDGE('',*,*,#187917,.T.); #242239=ORIENTED_EDGE('',*,*,#187919,.F.); #242240=ORIENTED_EDGE('',*,*,#187920,.F.); #242241=ORIENTED_EDGE('',*,*,#187921,.T.); #242242=ORIENTED_EDGE('',*,*,#187920,.T.); #242243=ORIENTED_EDGE('',*,*,#187922,.F.); #242244=ORIENTED_EDGE('',*,*,#187923,.F.); #242245=ORIENTED_EDGE('',*,*,#187924,.T.); #242246=ORIENTED_EDGE('',*,*,#187923,.T.); #242247=ORIENTED_EDGE('',*,*,#187925,.F.); #242248=ORIENTED_EDGE('',*,*,#187926,.F.); #242249=ORIENTED_EDGE('',*,*,#187927,.T.); #242250=ORIENTED_EDGE('',*,*,#187926,.T.); #242251=ORIENTED_EDGE('',*,*,#187928,.F.); #242252=ORIENTED_EDGE('',*,*,#187929,.F.); #242253=ORIENTED_EDGE('',*,*,#187930,.T.); #242254=ORIENTED_EDGE('',*,*,#187929,.T.); #242255=ORIENTED_EDGE('',*,*,#187931,.F.); #242256=ORIENTED_EDGE('',*,*,#187932,.F.); #242257=ORIENTED_EDGE('',*,*,#187933,.T.); #242258=ORIENTED_EDGE('',*,*,#187932,.T.); #242259=ORIENTED_EDGE('',*,*,#187934,.F.); #242260=ORIENTED_EDGE('',*,*,#187935,.F.); #242261=ORIENTED_EDGE('',*,*,#187936,.T.); #242262=ORIENTED_EDGE('',*,*,#187935,.T.); #242263=ORIENTED_EDGE('',*,*,#187937,.F.); #242264=ORIENTED_EDGE('',*,*,#187938,.F.); #242265=ORIENTED_EDGE('',*,*,#187939,.T.); #242266=ORIENTED_EDGE('',*,*,#187938,.T.); #242267=ORIENTED_EDGE('',*,*,#187940,.F.); #242268=ORIENTED_EDGE('',*,*,#187941,.F.); #242269=ORIENTED_EDGE('',*,*,#187942,.T.); #242270=ORIENTED_EDGE('',*,*,#187941,.T.); #242271=ORIENTED_EDGE('',*,*,#187943,.F.); #242272=ORIENTED_EDGE('',*,*,#187944,.F.); #242273=ORIENTED_EDGE('',*,*,#187945,.T.); #242274=ORIENTED_EDGE('',*,*,#187944,.T.); #242275=ORIENTED_EDGE('',*,*,#187946,.F.); #242276=ORIENTED_EDGE('',*,*,#187947,.F.); #242277=ORIENTED_EDGE('',*,*,#187948,.T.); #242278=ORIENTED_EDGE('',*,*,#187947,.T.); #242279=ORIENTED_EDGE('',*,*,#187949,.F.); #242280=ORIENTED_EDGE('',*,*,#187950,.F.); #242281=ORIENTED_EDGE('',*,*,#187951,.T.); #242282=ORIENTED_EDGE('',*,*,#187950,.T.); #242283=ORIENTED_EDGE('',*,*,#187952,.F.); #242284=ORIENTED_EDGE('',*,*,#187953,.F.); #242285=ORIENTED_EDGE('',*,*,#187954,.T.); #242286=ORIENTED_EDGE('',*,*,#187953,.T.); #242287=ORIENTED_EDGE('',*,*,#187955,.F.); #242288=ORIENTED_EDGE('',*,*,#187956,.F.); #242289=ORIENTED_EDGE('',*,*,#187957,.T.); #242290=ORIENTED_EDGE('',*,*,#187956,.T.); #242291=ORIENTED_EDGE('',*,*,#187958,.F.); #242292=ORIENTED_EDGE('',*,*,#187959,.F.); #242293=ORIENTED_EDGE('',*,*,#187960,.T.); #242294=ORIENTED_EDGE('',*,*,#187959,.T.); #242295=ORIENTED_EDGE('',*,*,#187961,.F.); #242296=ORIENTED_EDGE('',*,*,#187962,.F.); #242297=ORIENTED_EDGE('',*,*,#187963,.T.); #242298=ORIENTED_EDGE('',*,*,#187962,.T.); #242299=ORIENTED_EDGE('',*,*,#187964,.F.); #242300=ORIENTED_EDGE('',*,*,#187965,.F.); #242301=ORIENTED_EDGE('',*,*,#187966,.T.); #242302=ORIENTED_EDGE('',*,*,#187965,.T.); #242303=ORIENTED_EDGE('',*,*,#187967,.F.); #242304=ORIENTED_EDGE('',*,*,#187968,.F.); #242305=ORIENTED_EDGE('',*,*,#187969,.T.); #242306=ORIENTED_EDGE('',*,*,#187968,.T.); #242307=ORIENTED_EDGE('',*,*,#187970,.F.); #242308=ORIENTED_EDGE('',*,*,#187971,.F.); #242309=ORIENTED_EDGE('',*,*,#187972,.T.); #242310=ORIENTED_EDGE('',*,*,#187971,.T.); #242311=ORIENTED_EDGE('',*,*,#187973,.F.); #242312=ORIENTED_EDGE('',*,*,#187974,.F.); #242313=ORIENTED_EDGE('',*,*,#187975,.T.); #242314=ORIENTED_EDGE('',*,*,#187974,.T.); #242315=ORIENTED_EDGE('',*,*,#187976,.F.); #242316=ORIENTED_EDGE('',*,*,#187977,.F.); #242317=ORIENTED_EDGE('',*,*,#187978,.T.); #242318=ORIENTED_EDGE('',*,*,#187977,.T.); #242319=ORIENTED_EDGE('',*,*,#187979,.F.); #242320=ORIENTED_EDGE('',*,*,#187980,.F.); #242321=ORIENTED_EDGE('',*,*,#187981,.T.); #242322=ORIENTED_EDGE('',*,*,#187980,.T.); #242323=ORIENTED_EDGE('',*,*,#187982,.F.); #242324=ORIENTED_EDGE('',*,*,#187983,.F.); #242325=ORIENTED_EDGE('',*,*,#187984,.T.); #242326=ORIENTED_EDGE('',*,*,#187983,.T.); #242327=ORIENTED_EDGE('',*,*,#187985,.F.); #242328=ORIENTED_EDGE('',*,*,#187986,.F.); #242329=ORIENTED_EDGE('',*,*,#187987,.T.); #242330=ORIENTED_EDGE('',*,*,#187986,.T.); #242331=ORIENTED_EDGE('',*,*,#187988,.F.); #242332=ORIENTED_EDGE('',*,*,#187882,.F.); #242333=ORIENTED_EDGE('',*,*,#187988,.T.); #242334=ORIENTED_EDGE('',*,*,#187985,.T.); #242335=ORIENTED_EDGE('',*,*,#187982,.T.); #242336=ORIENTED_EDGE('',*,*,#187979,.T.); #242337=ORIENTED_EDGE('',*,*,#187976,.T.); #242338=ORIENTED_EDGE('',*,*,#187973,.T.); #242339=ORIENTED_EDGE('',*,*,#187970,.T.); #242340=ORIENTED_EDGE('',*,*,#187967,.T.); #242341=ORIENTED_EDGE('',*,*,#187964,.T.); #242342=ORIENTED_EDGE('',*,*,#187961,.T.); #242343=ORIENTED_EDGE('',*,*,#187958,.T.); #242344=ORIENTED_EDGE('',*,*,#187955,.T.); #242345=ORIENTED_EDGE('',*,*,#187952,.T.); #242346=ORIENTED_EDGE('',*,*,#187949,.T.); #242347=ORIENTED_EDGE('',*,*,#187946,.T.); #242348=ORIENTED_EDGE('',*,*,#187943,.T.); #242349=ORIENTED_EDGE('',*,*,#187940,.T.); #242350=ORIENTED_EDGE('',*,*,#187937,.T.); #242351=ORIENTED_EDGE('',*,*,#187934,.T.); #242352=ORIENTED_EDGE('',*,*,#187931,.T.); #242353=ORIENTED_EDGE('',*,*,#187928,.T.); #242354=ORIENTED_EDGE('',*,*,#187925,.T.); #242355=ORIENTED_EDGE('',*,*,#187922,.T.); #242356=ORIENTED_EDGE('',*,*,#187919,.T.); #242357=ORIENTED_EDGE('',*,*,#187916,.T.); #242358=ORIENTED_EDGE('',*,*,#187913,.T.); #242359=ORIENTED_EDGE('',*,*,#187910,.T.); #242360=ORIENTED_EDGE('',*,*,#187907,.T.); #242361=ORIENTED_EDGE('',*,*,#187904,.T.); #242362=ORIENTED_EDGE('',*,*,#187901,.T.); #242363=ORIENTED_EDGE('',*,*,#187898,.T.); #242364=ORIENTED_EDGE('',*,*,#187895,.T.); #242365=ORIENTED_EDGE('',*,*,#187892,.T.); #242366=ORIENTED_EDGE('',*,*,#187889,.T.); #242367=ORIENTED_EDGE('',*,*,#187886,.T.); #242368=ORIENTED_EDGE('',*,*,#187883,.T.); #242369=ORIENTED_EDGE('',*,*,#187987,.F.); #242370=ORIENTED_EDGE('',*,*,#187881,.F.); #242371=ORIENTED_EDGE('',*,*,#187885,.F.); #242372=ORIENTED_EDGE('',*,*,#187888,.F.); #242373=ORIENTED_EDGE('',*,*,#187891,.F.); #242374=ORIENTED_EDGE('',*,*,#187894,.F.); #242375=ORIENTED_EDGE('',*,*,#187897,.F.); #242376=ORIENTED_EDGE('',*,*,#187900,.F.); #242377=ORIENTED_EDGE('',*,*,#187903,.F.); #242378=ORIENTED_EDGE('',*,*,#187906,.F.); #242379=ORIENTED_EDGE('',*,*,#187909,.F.); #242380=ORIENTED_EDGE('',*,*,#187912,.F.); #242381=ORIENTED_EDGE('',*,*,#187915,.F.); #242382=ORIENTED_EDGE('',*,*,#187918,.F.); #242383=ORIENTED_EDGE('',*,*,#187921,.F.); #242384=ORIENTED_EDGE('',*,*,#187924,.F.); #242385=ORIENTED_EDGE('',*,*,#187927,.F.); #242386=ORIENTED_EDGE('',*,*,#187930,.F.); #242387=ORIENTED_EDGE('',*,*,#187933,.F.); #242388=ORIENTED_EDGE('',*,*,#187936,.F.); #242389=ORIENTED_EDGE('',*,*,#187939,.F.); #242390=ORIENTED_EDGE('',*,*,#187942,.F.); #242391=ORIENTED_EDGE('',*,*,#187945,.F.); #242392=ORIENTED_EDGE('',*,*,#187948,.F.); #242393=ORIENTED_EDGE('',*,*,#187951,.F.); #242394=ORIENTED_EDGE('',*,*,#187954,.F.); #242395=ORIENTED_EDGE('',*,*,#187957,.F.); #242396=ORIENTED_EDGE('',*,*,#187960,.F.); #242397=ORIENTED_EDGE('',*,*,#187963,.F.); #242398=ORIENTED_EDGE('',*,*,#187966,.F.); #242399=ORIENTED_EDGE('',*,*,#187969,.F.); #242400=ORIENTED_EDGE('',*,*,#187972,.F.); #242401=ORIENTED_EDGE('',*,*,#187975,.F.); #242402=ORIENTED_EDGE('',*,*,#187978,.F.); #242403=ORIENTED_EDGE('',*,*,#187981,.F.); #242404=ORIENTED_EDGE('',*,*,#187984,.F.); #242405=ORIENTED_EDGE('',*,*,#187989,.F.); #242406=ORIENTED_EDGE('',*,*,#187990,.T.); #242407=ORIENTED_EDGE('',*,*,#187991,.F.); #242408=ORIENTED_EDGE('',*,*,#187990,.F.); #242409=ORIENTED_EDGE('',*,*,#187992,.T.); #242410=ORIENTED_EDGE('',*,*,#187993,.T.); #242411=ORIENTED_EDGE('',*,*,#187994,.F.); #242412=ORIENTED_EDGE('',*,*,#187995,.F.); #242413=ORIENTED_EDGE('',*,*,#187996,.T.); #242414=ORIENTED_EDGE('',*,*,#187995,.T.); #242415=ORIENTED_EDGE('',*,*,#187997,.F.); #242416=ORIENTED_EDGE('',*,*,#187998,.F.); #242417=ORIENTED_EDGE('',*,*,#187999,.T.); #242418=ORIENTED_EDGE('',*,*,#187998,.T.); #242419=ORIENTED_EDGE('',*,*,#188000,.F.); #242420=ORIENTED_EDGE('',*,*,#188001,.F.); #242421=ORIENTED_EDGE('',*,*,#188002,.T.); #242422=ORIENTED_EDGE('',*,*,#188001,.T.); #242423=ORIENTED_EDGE('',*,*,#188003,.F.); #242424=ORIENTED_EDGE('',*,*,#188004,.F.); #242425=ORIENTED_EDGE('',*,*,#188005,.T.); #242426=ORIENTED_EDGE('',*,*,#188004,.T.); #242427=ORIENTED_EDGE('',*,*,#188006,.F.); #242428=ORIENTED_EDGE('',*,*,#188007,.F.); #242429=ORIENTED_EDGE('',*,*,#188008,.T.); #242430=ORIENTED_EDGE('',*,*,#188007,.T.); #242431=ORIENTED_EDGE('',*,*,#188009,.F.); #242432=ORIENTED_EDGE('',*,*,#188010,.F.); #242433=ORIENTED_EDGE('',*,*,#188011,.T.); #242434=ORIENTED_EDGE('',*,*,#188010,.T.); #242435=ORIENTED_EDGE('',*,*,#188012,.F.); #242436=ORIENTED_EDGE('',*,*,#188013,.F.); #242437=ORIENTED_EDGE('',*,*,#188014,.T.); #242438=ORIENTED_EDGE('',*,*,#188013,.T.); #242439=ORIENTED_EDGE('',*,*,#188015,.F.); #242440=ORIENTED_EDGE('',*,*,#188016,.F.); #242441=ORIENTED_EDGE('',*,*,#188017,.T.); #242442=ORIENTED_EDGE('',*,*,#188016,.T.); #242443=ORIENTED_EDGE('',*,*,#188018,.F.); #242444=ORIENTED_EDGE('',*,*,#188019,.F.); #242445=ORIENTED_EDGE('',*,*,#188020,.T.); #242446=ORIENTED_EDGE('',*,*,#188019,.T.); #242447=ORIENTED_EDGE('',*,*,#188021,.F.); #242448=ORIENTED_EDGE('',*,*,#188022,.F.); #242449=ORIENTED_EDGE('',*,*,#188023,.T.); #242450=ORIENTED_EDGE('',*,*,#188022,.T.); #242451=ORIENTED_EDGE('',*,*,#188024,.F.); #242452=ORIENTED_EDGE('',*,*,#188025,.F.); #242453=ORIENTED_EDGE('',*,*,#188026,.T.); #242454=ORIENTED_EDGE('',*,*,#188025,.T.); #242455=ORIENTED_EDGE('',*,*,#188027,.F.); #242456=ORIENTED_EDGE('',*,*,#188028,.F.); #242457=ORIENTED_EDGE('',*,*,#188029,.T.); #242458=ORIENTED_EDGE('',*,*,#188028,.T.); #242459=ORIENTED_EDGE('',*,*,#188030,.F.); #242460=ORIENTED_EDGE('',*,*,#188031,.F.); #242461=ORIENTED_EDGE('',*,*,#188032,.T.); #242462=ORIENTED_EDGE('',*,*,#188031,.T.); #242463=ORIENTED_EDGE('',*,*,#188033,.F.); #242464=ORIENTED_EDGE('',*,*,#188034,.F.); #242465=ORIENTED_EDGE('',*,*,#188035,.T.); #242466=ORIENTED_EDGE('',*,*,#188034,.T.); #242467=ORIENTED_EDGE('',*,*,#188036,.F.); #242468=ORIENTED_EDGE('',*,*,#188037,.F.); #242469=ORIENTED_EDGE('',*,*,#188038,.T.); #242470=ORIENTED_EDGE('',*,*,#188037,.T.); #242471=ORIENTED_EDGE('',*,*,#188039,.F.); #242472=ORIENTED_EDGE('',*,*,#188040,.F.); #242473=ORIENTED_EDGE('',*,*,#188041,.T.); #242474=ORIENTED_EDGE('',*,*,#188040,.T.); #242475=ORIENTED_EDGE('',*,*,#188042,.F.); #242476=ORIENTED_EDGE('',*,*,#188043,.F.); #242477=ORIENTED_EDGE('',*,*,#188044,.T.); #242478=ORIENTED_EDGE('',*,*,#188043,.T.); #242479=ORIENTED_EDGE('',*,*,#188045,.F.); #242480=ORIENTED_EDGE('',*,*,#188046,.F.); #242481=ORIENTED_EDGE('',*,*,#188047,.T.); #242482=ORIENTED_EDGE('',*,*,#188046,.T.); #242483=ORIENTED_EDGE('',*,*,#188048,.F.); #242484=ORIENTED_EDGE('',*,*,#188049,.F.); #242485=ORIENTED_EDGE('',*,*,#188050,.T.); #242486=ORIENTED_EDGE('',*,*,#188049,.T.); #242487=ORIENTED_EDGE('',*,*,#188051,.F.); #242488=ORIENTED_EDGE('',*,*,#188052,.F.); #242489=ORIENTED_EDGE('',*,*,#188053,.T.); #242490=ORIENTED_EDGE('',*,*,#188052,.T.); #242491=ORIENTED_EDGE('',*,*,#188054,.F.); #242492=ORIENTED_EDGE('',*,*,#188055,.F.); #242493=ORIENTED_EDGE('',*,*,#188056,.T.); #242494=ORIENTED_EDGE('',*,*,#188055,.T.); #242495=ORIENTED_EDGE('',*,*,#188057,.F.); #242496=ORIENTED_EDGE('',*,*,#187993,.F.); #242497=ORIENTED_EDGE('',*,*,#188057,.T.); #242498=ORIENTED_EDGE('',*,*,#188054,.T.); #242499=ORIENTED_EDGE('',*,*,#188051,.T.); #242500=ORIENTED_EDGE('',*,*,#188048,.T.); #242501=ORIENTED_EDGE('',*,*,#188045,.T.); #242502=ORIENTED_EDGE('',*,*,#188042,.T.); #242503=ORIENTED_EDGE('',*,*,#188039,.T.); #242504=ORIENTED_EDGE('',*,*,#188036,.T.); #242505=ORIENTED_EDGE('',*,*,#188033,.T.); #242506=ORIENTED_EDGE('',*,*,#188030,.T.); #242507=ORIENTED_EDGE('',*,*,#188027,.T.); #242508=ORIENTED_EDGE('',*,*,#188024,.T.); #242509=ORIENTED_EDGE('',*,*,#188021,.T.); #242510=ORIENTED_EDGE('',*,*,#188018,.T.); #242511=ORIENTED_EDGE('',*,*,#188015,.T.); #242512=ORIENTED_EDGE('',*,*,#188012,.T.); #242513=ORIENTED_EDGE('',*,*,#188009,.T.); #242514=ORIENTED_EDGE('',*,*,#188006,.T.); #242515=ORIENTED_EDGE('',*,*,#188003,.T.); #242516=ORIENTED_EDGE('',*,*,#188000,.T.); #242517=ORIENTED_EDGE('',*,*,#187997,.T.); #242518=ORIENTED_EDGE('',*,*,#187994,.T.); #242519=ORIENTED_EDGE('',*,*,#187991,.T.); #242520=ORIENTED_EDGE('',*,*,#188056,.F.); #242521=ORIENTED_EDGE('',*,*,#187992,.F.); #242522=ORIENTED_EDGE('',*,*,#187996,.F.); #242523=ORIENTED_EDGE('',*,*,#187999,.F.); #242524=ORIENTED_EDGE('',*,*,#188002,.F.); #242525=ORIENTED_EDGE('',*,*,#188005,.F.); #242526=ORIENTED_EDGE('',*,*,#188008,.F.); #242527=ORIENTED_EDGE('',*,*,#188011,.F.); #242528=ORIENTED_EDGE('',*,*,#188014,.F.); #242529=ORIENTED_EDGE('',*,*,#188017,.F.); #242530=ORIENTED_EDGE('',*,*,#188020,.F.); #242531=ORIENTED_EDGE('',*,*,#188023,.F.); #242532=ORIENTED_EDGE('',*,*,#188026,.F.); #242533=ORIENTED_EDGE('',*,*,#188029,.F.); #242534=ORIENTED_EDGE('',*,*,#188032,.F.); #242535=ORIENTED_EDGE('',*,*,#188035,.F.); #242536=ORIENTED_EDGE('',*,*,#188038,.F.); #242537=ORIENTED_EDGE('',*,*,#188041,.F.); #242538=ORIENTED_EDGE('',*,*,#188044,.F.); #242539=ORIENTED_EDGE('',*,*,#188047,.F.); #242540=ORIENTED_EDGE('',*,*,#188050,.F.); #242541=ORIENTED_EDGE('',*,*,#188053,.F.); #242542=ORIENTED_EDGE('',*,*,#187989,.T.); #242543=ORIENTED_EDGE('',*,*,#188058,.F.); #242544=ORIENTED_EDGE('',*,*,#188059,.T.); #242545=ORIENTED_EDGE('',*,*,#188060,.F.); #242546=ORIENTED_EDGE('',*,*,#188059,.F.); #242547=ORIENTED_EDGE('',*,*,#188061,.T.); #242548=ORIENTED_EDGE('',*,*,#188062,.T.); #242549=ORIENTED_EDGE('',*,*,#188063,.F.); #242550=ORIENTED_EDGE('',*,*,#188064,.F.); #242551=ORIENTED_EDGE('',*,*,#188065,.T.); #242552=ORIENTED_EDGE('',*,*,#188064,.T.); #242553=ORIENTED_EDGE('',*,*,#188066,.F.); #242554=ORIENTED_EDGE('',*,*,#188067,.F.); #242555=ORIENTED_EDGE('',*,*,#188068,.T.); #242556=ORIENTED_EDGE('',*,*,#188067,.T.); #242557=ORIENTED_EDGE('',*,*,#188069,.F.); #242558=ORIENTED_EDGE('',*,*,#188070,.F.); #242559=ORIENTED_EDGE('',*,*,#188071,.T.); #242560=ORIENTED_EDGE('',*,*,#188070,.T.); #242561=ORIENTED_EDGE('',*,*,#188072,.F.); #242562=ORIENTED_EDGE('',*,*,#188073,.F.); #242563=ORIENTED_EDGE('',*,*,#188074,.T.); #242564=ORIENTED_EDGE('',*,*,#188073,.T.); #242565=ORIENTED_EDGE('',*,*,#188075,.F.); #242566=ORIENTED_EDGE('',*,*,#188076,.F.); #242567=ORIENTED_EDGE('',*,*,#188077,.T.); #242568=ORIENTED_EDGE('',*,*,#188076,.T.); #242569=ORIENTED_EDGE('',*,*,#188078,.F.); #242570=ORIENTED_EDGE('',*,*,#188079,.F.); #242571=ORIENTED_EDGE('',*,*,#188080,.T.); #242572=ORIENTED_EDGE('',*,*,#188079,.T.); #242573=ORIENTED_EDGE('',*,*,#188081,.F.); #242574=ORIENTED_EDGE('',*,*,#188082,.F.); #242575=ORIENTED_EDGE('',*,*,#188083,.T.); #242576=ORIENTED_EDGE('',*,*,#188082,.T.); #242577=ORIENTED_EDGE('',*,*,#188084,.F.); #242578=ORIENTED_EDGE('',*,*,#188085,.F.); #242579=ORIENTED_EDGE('',*,*,#188086,.T.); #242580=ORIENTED_EDGE('',*,*,#188085,.T.); #242581=ORIENTED_EDGE('',*,*,#188087,.F.); #242582=ORIENTED_EDGE('',*,*,#188088,.F.); #242583=ORIENTED_EDGE('',*,*,#188089,.T.); #242584=ORIENTED_EDGE('',*,*,#188088,.T.); #242585=ORIENTED_EDGE('',*,*,#188090,.F.); #242586=ORIENTED_EDGE('',*,*,#188091,.F.); #242587=ORIENTED_EDGE('',*,*,#188092,.T.); #242588=ORIENTED_EDGE('',*,*,#188091,.T.); #242589=ORIENTED_EDGE('',*,*,#188093,.F.); #242590=ORIENTED_EDGE('',*,*,#188094,.F.); #242591=ORIENTED_EDGE('',*,*,#188095,.T.); #242592=ORIENTED_EDGE('',*,*,#188094,.T.); #242593=ORIENTED_EDGE('',*,*,#188096,.F.); #242594=ORIENTED_EDGE('',*,*,#188097,.F.); #242595=ORIENTED_EDGE('',*,*,#188098,.T.); #242596=ORIENTED_EDGE('',*,*,#188097,.T.); #242597=ORIENTED_EDGE('',*,*,#188099,.F.); #242598=ORIENTED_EDGE('',*,*,#188100,.F.); #242599=ORIENTED_EDGE('',*,*,#188101,.T.); #242600=ORIENTED_EDGE('',*,*,#188100,.T.); #242601=ORIENTED_EDGE('',*,*,#188102,.F.); #242602=ORIENTED_EDGE('',*,*,#188103,.F.); #242603=ORIENTED_EDGE('',*,*,#188104,.T.); #242604=ORIENTED_EDGE('',*,*,#188103,.T.); #242605=ORIENTED_EDGE('',*,*,#188105,.F.); #242606=ORIENTED_EDGE('',*,*,#188106,.F.); #242607=ORIENTED_EDGE('',*,*,#188107,.T.); #242608=ORIENTED_EDGE('',*,*,#188106,.T.); #242609=ORIENTED_EDGE('',*,*,#188108,.F.); #242610=ORIENTED_EDGE('',*,*,#188062,.F.); #242611=ORIENTED_EDGE('',*,*,#188108,.T.); #242612=ORIENTED_EDGE('',*,*,#188105,.T.); #242613=ORIENTED_EDGE('',*,*,#188102,.T.); #242614=ORIENTED_EDGE('',*,*,#188099,.T.); #242615=ORIENTED_EDGE('',*,*,#188096,.T.); #242616=ORIENTED_EDGE('',*,*,#188093,.T.); #242617=ORIENTED_EDGE('',*,*,#188090,.T.); #242618=ORIENTED_EDGE('',*,*,#188087,.T.); #242619=ORIENTED_EDGE('',*,*,#188084,.T.); #242620=ORIENTED_EDGE('',*,*,#188081,.T.); #242621=ORIENTED_EDGE('',*,*,#188078,.T.); #242622=ORIENTED_EDGE('',*,*,#188075,.T.); #242623=ORIENTED_EDGE('',*,*,#188072,.T.); #242624=ORIENTED_EDGE('',*,*,#188069,.T.); #242625=ORIENTED_EDGE('',*,*,#188066,.T.); #242626=ORIENTED_EDGE('',*,*,#188063,.T.); #242627=ORIENTED_EDGE('',*,*,#188060,.T.); #242628=ORIENTED_EDGE('',*,*,#188107,.F.); #242629=ORIENTED_EDGE('',*,*,#188061,.F.); #242630=ORIENTED_EDGE('',*,*,#188065,.F.); #242631=ORIENTED_EDGE('',*,*,#188068,.F.); #242632=ORIENTED_EDGE('',*,*,#188071,.F.); #242633=ORIENTED_EDGE('',*,*,#188074,.F.); #242634=ORIENTED_EDGE('',*,*,#188077,.F.); #242635=ORIENTED_EDGE('',*,*,#188080,.F.); #242636=ORIENTED_EDGE('',*,*,#188083,.F.); #242637=ORIENTED_EDGE('',*,*,#188086,.F.); #242638=ORIENTED_EDGE('',*,*,#188089,.F.); #242639=ORIENTED_EDGE('',*,*,#188092,.F.); #242640=ORIENTED_EDGE('',*,*,#188095,.F.); #242641=ORIENTED_EDGE('',*,*,#188098,.F.); #242642=ORIENTED_EDGE('',*,*,#188101,.F.); #242643=ORIENTED_EDGE('',*,*,#188104,.F.); #242644=ORIENTED_EDGE('',*,*,#188058,.T.); #242645=ORIENTED_EDGE('',*,*,#188109,.F.); #242646=ORIENTED_EDGE('',*,*,#188110,.T.); #242647=ORIENTED_EDGE('',*,*,#188111,.F.); #242648=ORIENTED_EDGE('',*,*,#188110,.F.); #242649=ORIENTED_EDGE('',*,*,#188112,.T.); #242650=ORIENTED_EDGE('',*,*,#188113,.T.); #242651=ORIENTED_EDGE('',*,*,#188114,.F.); #242652=ORIENTED_EDGE('',*,*,#188115,.F.); #242653=ORIENTED_EDGE('',*,*,#188116,.T.); #242654=ORIENTED_EDGE('',*,*,#188115,.T.); #242655=ORIENTED_EDGE('',*,*,#188117,.F.); #242656=ORIENTED_EDGE('',*,*,#188118,.F.); #242657=ORIENTED_EDGE('',*,*,#188119,.T.); #242658=ORIENTED_EDGE('',*,*,#188118,.T.); #242659=ORIENTED_EDGE('',*,*,#188120,.F.); #242660=ORIENTED_EDGE('',*,*,#188121,.F.); #242661=ORIENTED_EDGE('',*,*,#188122,.T.); #242662=ORIENTED_EDGE('',*,*,#188121,.T.); #242663=ORIENTED_EDGE('',*,*,#188123,.F.); #242664=ORIENTED_EDGE('',*,*,#188124,.F.); #242665=ORIENTED_EDGE('',*,*,#188125,.T.); #242666=ORIENTED_EDGE('',*,*,#188124,.T.); #242667=ORIENTED_EDGE('',*,*,#188126,.F.); #242668=ORIENTED_EDGE('',*,*,#188127,.F.); #242669=ORIENTED_EDGE('',*,*,#188128,.T.); #242670=ORIENTED_EDGE('',*,*,#188127,.T.); #242671=ORIENTED_EDGE('',*,*,#188129,.F.); #242672=ORIENTED_EDGE('',*,*,#188130,.F.); #242673=ORIENTED_EDGE('',*,*,#188131,.T.); #242674=ORIENTED_EDGE('',*,*,#188130,.T.); #242675=ORIENTED_EDGE('',*,*,#188132,.F.); #242676=ORIENTED_EDGE('',*,*,#188133,.F.); #242677=ORIENTED_EDGE('',*,*,#188134,.T.); #242678=ORIENTED_EDGE('',*,*,#188133,.T.); #242679=ORIENTED_EDGE('',*,*,#188135,.F.); #242680=ORIENTED_EDGE('',*,*,#188136,.F.); #242681=ORIENTED_EDGE('',*,*,#188137,.T.); #242682=ORIENTED_EDGE('',*,*,#188136,.T.); #242683=ORIENTED_EDGE('',*,*,#188138,.F.); #242684=ORIENTED_EDGE('',*,*,#188139,.F.); #242685=ORIENTED_EDGE('',*,*,#188140,.T.); #242686=ORIENTED_EDGE('',*,*,#188139,.T.); #242687=ORIENTED_EDGE('',*,*,#188141,.F.); #242688=ORIENTED_EDGE('',*,*,#188142,.F.); #242689=ORIENTED_EDGE('',*,*,#188143,.T.); #242690=ORIENTED_EDGE('',*,*,#188142,.T.); #242691=ORIENTED_EDGE('',*,*,#188144,.F.); #242692=ORIENTED_EDGE('',*,*,#188145,.F.); #242693=ORIENTED_EDGE('',*,*,#188146,.T.); #242694=ORIENTED_EDGE('',*,*,#188145,.T.); #242695=ORIENTED_EDGE('',*,*,#188147,.F.); #242696=ORIENTED_EDGE('',*,*,#188113,.F.); #242697=ORIENTED_EDGE('',*,*,#188147,.T.); #242698=ORIENTED_EDGE('',*,*,#188144,.T.); #242699=ORIENTED_EDGE('',*,*,#188141,.T.); #242700=ORIENTED_EDGE('',*,*,#188138,.T.); #242701=ORIENTED_EDGE('',*,*,#188135,.T.); #242702=ORIENTED_EDGE('',*,*,#188132,.T.); #242703=ORIENTED_EDGE('',*,*,#188129,.T.); #242704=ORIENTED_EDGE('',*,*,#188126,.T.); #242705=ORIENTED_EDGE('',*,*,#188123,.T.); #242706=ORIENTED_EDGE('',*,*,#188120,.T.); #242707=ORIENTED_EDGE('',*,*,#188117,.T.); #242708=ORIENTED_EDGE('',*,*,#188114,.T.); #242709=ORIENTED_EDGE('',*,*,#188111,.T.); #242710=ORIENTED_EDGE('',*,*,#188146,.F.); #242711=ORIENTED_EDGE('',*,*,#188112,.F.); #242712=ORIENTED_EDGE('',*,*,#188116,.F.); #242713=ORIENTED_EDGE('',*,*,#188119,.F.); #242714=ORIENTED_EDGE('',*,*,#188122,.F.); #242715=ORIENTED_EDGE('',*,*,#188125,.F.); #242716=ORIENTED_EDGE('',*,*,#188128,.F.); #242717=ORIENTED_EDGE('',*,*,#188131,.F.); #242718=ORIENTED_EDGE('',*,*,#188134,.F.); #242719=ORIENTED_EDGE('',*,*,#188137,.F.); #242720=ORIENTED_EDGE('',*,*,#188140,.F.); #242721=ORIENTED_EDGE('',*,*,#188143,.F.); #242722=ORIENTED_EDGE('',*,*,#188109,.T.); #242723=ORIENTED_EDGE('',*,*,#188148,.F.); #242724=ORIENTED_EDGE('',*,*,#188149,.T.); #242725=ORIENTED_EDGE('',*,*,#188150,.F.); #242726=ORIENTED_EDGE('',*,*,#188149,.F.); #242727=ORIENTED_EDGE('',*,*,#188151,.T.); #242728=ORIENTED_EDGE('',*,*,#188152,.T.); #242729=ORIENTED_EDGE('',*,*,#188153,.F.); #242730=ORIENTED_EDGE('',*,*,#188154,.F.); #242731=ORIENTED_EDGE('',*,*,#188155,.T.); #242732=ORIENTED_EDGE('',*,*,#188154,.T.); #242733=ORIENTED_EDGE('',*,*,#188156,.F.); #242734=ORIENTED_EDGE('',*,*,#188157,.F.); #242735=ORIENTED_EDGE('',*,*,#188158,.T.); #242736=ORIENTED_EDGE('',*,*,#188157,.T.); #242737=ORIENTED_EDGE('',*,*,#188159,.F.); #242738=ORIENTED_EDGE('',*,*,#188160,.F.); #242739=ORIENTED_EDGE('',*,*,#188161,.T.); #242740=ORIENTED_EDGE('',*,*,#188160,.T.); #242741=ORIENTED_EDGE('',*,*,#188162,.F.); #242742=ORIENTED_EDGE('',*,*,#188163,.F.); #242743=ORIENTED_EDGE('',*,*,#188164,.T.); #242744=ORIENTED_EDGE('',*,*,#188163,.T.); #242745=ORIENTED_EDGE('',*,*,#188165,.F.); #242746=ORIENTED_EDGE('',*,*,#188166,.F.); #242747=ORIENTED_EDGE('',*,*,#188167,.T.); #242748=ORIENTED_EDGE('',*,*,#188166,.T.); #242749=ORIENTED_EDGE('',*,*,#188168,.F.); #242750=ORIENTED_EDGE('',*,*,#188169,.F.); #242751=ORIENTED_EDGE('',*,*,#188170,.T.); #242752=ORIENTED_EDGE('',*,*,#188169,.T.); #242753=ORIENTED_EDGE('',*,*,#188171,.F.); #242754=ORIENTED_EDGE('',*,*,#188172,.F.); #242755=ORIENTED_EDGE('',*,*,#188173,.T.); #242756=ORIENTED_EDGE('',*,*,#188172,.T.); #242757=ORIENTED_EDGE('',*,*,#188174,.F.); #242758=ORIENTED_EDGE('',*,*,#188175,.F.); #242759=ORIENTED_EDGE('',*,*,#188176,.T.); #242760=ORIENTED_EDGE('',*,*,#188175,.T.); #242761=ORIENTED_EDGE('',*,*,#188177,.F.); #242762=ORIENTED_EDGE('',*,*,#188178,.F.); #242763=ORIENTED_EDGE('',*,*,#188179,.T.); #242764=ORIENTED_EDGE('',*,*,#188178,.T.); #242765=ORIENTED_EDGE('',*,*,#188180,.F.); #242766=ORIENTED_EDGE('',*,*,#188181,.F.); #242767=ORIENTED_EDGE('',*,*,#188182,.T.); #242768=ORIENTED_EDGE('',*,*,#188181,.T.); #242769=ORIENTED_EDGE('',*,*,#188183,.F.); #242770=ORIENTED_EDGE('',*,*,#188184,.F.); #242771=ORIENTED_EDGE('',*,*,#188185,.T.); #242772=ORIENTED_EDGE('',*,*,#188184,.T.); #242773=ORIENTED_EDGE('',*,*,#188186,.F.); #242774=ORIENTED_EDGE('',*,*,#188187,.F.); #242775=ORIENTED_EDGE('',*,*,#188188,.T.); #242776=ORIENTED_EDGE('',*,*,#188187,.T.); #242777=ORIENTED_EDGE('',*,*,#188189,.F.); #242778=ORIENTED_EDGE('',*,*,#188190,.F.); #242779=ORIENTED_EDGE('',*,*,#188191,.T.); #242780=ORIENTED_EDGE('',*,*,#188190,.T.); #242781=ORIENTED_EDGE('',*,*,#188192,.F.); #242782=ORIENTED_EDGE('',*,*,#188152,.F.); #242783=ORIENTED_EDGE('',*,*,#188192,.T.); #242784=ORIENTED_EDGE('',*,*,#188189,.T.); #242785=ORIENTED_EDGE('',*,*,#188186,.T.); #242786=ORIENTED_EDGE('',*,*,#188183,.T.); #242787=ORIENTED_EDGE('',*,*,#188180,.T.); #242788=ORIENTED_EDGE('',*,*,#188177,.T.); #242789=ORIENTED_EDGE('',*,*,#188174,.T.); #242790=ORIENTED_EDGE('',*,*,#188171,.T.); #242791=ORIENTED_EDGE('',*,*,#188168,.T.); #242792=ORIENTED_EDGE('',*,*,#188165,.T.); #242793=ORIENTED_EDGE('',*,*,#188162,.T.); #242794=ORIENTED_EDGE('',*,*,#188159,.T.); #242795=ORIENTED_EDGE('',*,*,#188156,.T.); #242796=ORIENTED_EDGE('',*,*,#188153,.T.); #242797=ORIENTED_EDGE('',*,*,#188150,.T.); #242798=ORIENTED_EDGE('',*,*,#188191,.F.); #242799=ORIENTED_EDGE('',*,*,#188151,.F.); #242800=ORIENTED_EDGE('',*,*,#188155,.F.); #242801=ORIENTED_EDGE('',*,*,#188158,.F.); #242802=ORIENTED_EDGE('',*,*,#188161,.F.); #242803=ORIENTED_EDGE('',*,*,#188164,.F.); #242804=ORIENTED_EDGE('',*,*,#188167,.F.); #242805=ORIENTED_EDGE('',*,*,#188170,.F.); #242806=ORIENTED_EDGE('',*,*,#188173,.F.); #242807=ORIENTED_EDGE('',*,*,#188176,.F.); #242808=ORIENTED_EDGE('',*,*,#188179,.F.); #242809=ORIENTED_EDGE('',*,*,#188182,.F.); #242810=ORIENTED_EDGE('',*,*,#188185,.F.); #242811=ORIENTED_EDGE('',*,*,#188188,.F.); #242812=ORIENTED_EDGE('',*,*,#188148,.T.); #242813=ORIENTED_EDGE('',*,*,#188193,.F.); #242814=ORIENTED_EDGE('',*,*,#188194,.T.); #242815=ORIENTED_EDGE('',*,*,#188195,.F.); #242816=ORIENTED_EDGE('',*,*,#188194,.F.); #242817=ORIENTED_EDGE('',*,*,#188196,.F.); #242818=ORIENTED_EDGE('',*,*,#188197,.T.); #242819=ORIENTED_EDGE('',*,*,#188198,.F.); #242820=ORIENTED_EDGE('',*,*,#188197,.F.); #242821=ORIENTED_EDGE('',*,*,#188199,.T.); #242822=ORIENTED_EDGE('',*,*,#188200,.T.); #242823=ORIENTED_EDGE('',*,*,#188201,.F.); #242824=ORIENTED_EDGE('',*,*,#188202,.F.); #242825=ORIENTED_EDGE('',*,*,#188203,.T.); #242826=ORIENTED_EDGE('',*,*,#188202,.T.); #242827=ORIENTED_EDGE('',*,*,#188204,.F.); #242828=ORIENTED_EDGE('',*,*,#188205,.F.); #242829=ORIENTED_EDGE('',*,*,#188206,.T.); #242830=ORIENTED_EDGE('',*,*,#188205,.T.); #242831=ORIENTED_EDGE('',*,*,#188207,.F.); #242832=ORIENTED_EDGE('',*,*,#188208,.F.); #242833=ORIENTED_EDGE('',*,*,#188209,.T.); #242834=ORIENTED_EDGE('',*,*,#188208,.T.); #242835=ORIENTED_EDGE('',*,*,#188210,.F.); #242836=ORIENTED_EDGE('',*,*,#188211,.F.); #242837=ORIENTED_EDGE('',*,*,#188212,.T.); #242838=ORIENTED_EDGE('',*,*,#188211,.T.); #242839=ORIENTED_EDGE('',*,*,#188213,.F.); #242840=ORIENTED_EDGE('',*,*,#188214,.F.); #242841=ORIENTED_EDGE('',*,*,#188215,.T.); #242842=ORIENTED_EDGE('',*,*,#188214,.T.); #242843=ORIENTED_EDGE('',*,*,#188216,.F.); #242844=ORIENTED_EDGE('',*,*,#188217,.F.); #242845=ORIENTED_EDGE('',*,*,#188218,.T.); #242846=ORIENTED_EDGE('',*,*,#188217,.T.); #242847=ORIENTED_EDGE('',*,*,#188219,.F.); #242848=ORIENTED_EDGE('',*,*,#188220,.F.); #242849=ORIENTED_EDGE('',*,*,#188221,.T.); #242850=ORIENTED_EDGE('',*,*,#188220,.T.); #242851=ORIENTED_EDGE('',*,*,#188222,.F.); #242852=ORIENTED_EDGE('',*,*,#188200,.F.); #242853=ORIENTED_EDGE('',*,*,#188222,.T.); #242854=ORIENTED_EDGE('',*,*,#188219,.T.); #242855=ORIENTED_EDGE('',*,*,#188216,.T.); #242856=ORIENTED_EDGE('',*,*,#188213,.T.); #242857=ORIENTED_EDGE('',*,*,#188210,.T.); #242858=ORIENTED_EDGE('',*,*,#188207,.T.); #242859=ORIENTED_EDGE('',*,*,#188204,.T.); #242860=ORIENTED_EDGE('',*,*,#188201,.T.); #242861=ORIENTED_EDGE('',*,*,#188195,.T.); #242862=ORIENTED_EDGE('',*,*,#188198,.T.); #242863=ORIENTED_EDGE('',*,*,#188221,.F.); #242864=ORIENTED_EDGE('',*,*,#188199,.F.); #242865=ORIENTED_EDGE('',*,*,#188203,.F.); #242866=ORIENTED_EDGE('',*,*,#188206,.F.); #242867=ORIENTED_EDGE('',*,*,#188209,.F.); #242868=ORIENTED_EDGE('',*,*,#188212,.F.); #242869=ORIENTED_EDGE('',*,*,#188215,.F.); #242870=ORIENTED_EDGE('',*,*,#188218,.F.); #242871=ORIENTED_EDGE('',*,*,#188193,.T.); #242872=ORIENTED_EDGE('',*,*,#188196,.T.); #242873=ORIENTED_EDGE('',*,*,#188223,.F.); #242874=ORIENTED_EDGE('',*,*,#188224,.T.); #242875=ORIENTED_EDGE('',*,*,#188225,.F.); #242876=ORIENTED_EDGE('',*,*,#188224,.F.); #242877=ORIENTED_EDGE('',*,*,#188226,.T.); #242878=ORIENTED_EDGE('',*,*,#188227,.T.); #242879=ORIENTED_EDGE('',*,*,#188228,.F.); #242880=ORIENTED_EDGE('',*,*,#188229,.F.); #242881=ORIENTED_EDGE('',*,*,#188230,.T.); #242882=ORIENTED_EDGE('',*,*,#188229,.T.); #242883=ORIENTED_EDGE('',*,*,#188231,.F.); #242884=ORIENTED_EDGE('',*,*,#188232,.F.); #242885=ORIENTED_EDGE('',*,*,#188233,.T.); #242886=ORIENTED_EDGE('',*,*,#188232,.T.); #242887=ORIENTED_EDGE('',*,*,#188234,.F.); #242888=ORIENTED_EDGE('',*,*,#188235,.F.); #242889=ORIENTED_EDGE('',*,*,#188236,.T.); #242890=ORIENTED_EDGE('',*,*,#188235,.T.); #242891=ORIENTED_EDGE('',*,*,#188237,.F.); #242892=ORIENTED_EDGE('',*,*,#188238,.F.); #242893=ORIENTED_EDGE('',*,*,#188239,.T.); #242894=ORIENTED_EDGE('',*,*,#188238,.T.); #242895=ORIENTED_EDGE('',*,*,#188240,.F.); #242896=ORIENTED_EDGE('',*,*,#188241,.F.); #242897=ORIENTED_EDGE('',*,*,#188242,.T.); #242898=ORIENTED_EDGE('',*,*,#188241,.T.); #242899=ORIENTED_EDGE('',*,*,#188243,.F.); #242900=ORIENTED_EDGE('',*,*,#188244,.F.); #242901=ORIENTED_EDGE('',*,*,#188245,.T.); #242902=ORIENTED_EDGE('',*,*,#188244,.T.); #242903=ORIENTED_EDGE('',*,*,#188246,.F.); #242904=ORIENTED_EDGE('',*,*,#188247,.F.); #242905=ORIENTED_EDGE('',*,*,#188248,.T.); #242906=ORIENTED_EDGE('',*,*,#188247,.T.); #242907=ORIENTED_EDGE('',*,*,#188249,.F.); #242908=ORIENTED_EDGE('',*,*,#188250,.F.); #242909=ORIENTED_EDGE('',*,*,#188251,.T.); #242910=ORIENTED_EDGE('',*,*,#188250,.T.); #242911=ORIENTED_EDGE('',*,*,#188252,.F.); #242912=ORIENTED_EDGE('',*,*,#188253,.F.); #242913=ORIENTED_EDGE('',*,*,#188254,.T.); #242914=ORIENTED_EDGE('',*,*,#188253,.T.); #242915=ORIENTED_EDGE('',*,*,#188255,.F.); #242916=ORIENTED_EDGE('',*,*,#188256,.F.); #242917=ORIENTED_EDGE('',*,*,#188257,.T.); #242918=ORIENTED_EDGE('',*,*,#188256,.T.); #242919=ORIENTED_EDGE('',*,*,#188258,.F.); #242920=ORIENTED_EDGE('',*,*,#188259,.F.); #242921=ORIENTED_EDGE('',*,*,#188260,.T.); #242922=ORIENTED_EDGE('',*,*,#188259,.T.); #242923=ORIENTED_EDGE('',*,*,#188261,.F.); #242924=ORIENTED_EDGE('',*,*,#188262,.F.); #242925=ORIENTED_EDGE('',*,*,#188263,.T.); #242926=ORIENTED_EDGE('',*,*,#188262,.T.); #242927=ORIENTED_EDGE('',*,*,#188264,.F.); #242928=ORIENTED_EDGE('',*,*,#188265,.F.); #242929=ORIENTED_EDGE('',*,*,#188266,.T.); #242930=ORIENTED_EDGE('',*,*,#188265,.T.); #242931=ORIENTED_EDGE('',*,*,#188267,.F.); #242932=ORIENTED_EDGE('',*,*,#188268,.F.); #242933=ORIENTED_EDGE('',*,*,#188269,.T.); #242934=ORIENTED_EDGE('',*,*,#188268,.T.); #242935=ORIENTED_EDGE('',*,*,#188270,.F.); #242936=ORIENTED_EDGE('',*,*,#188271,.F.); #242937=ORIENTED_EDGE('',*,*,#188272,.T.); #242938=ORIENTED_EDGE('',*,*,#188271,.T.); #242939=ORIENTED_EDGE('',*,*,#188273,.F.); #242940=ORIENTED_EDGE('',*,*,#188227,.F.); #242941=ORIENTED_EDGE('',*,*,#188273,.T.); #242942=ORIENTED_EDGE('',*,*,#188270,.T.); #242943=ORIENTED_EDGE('',*,*,#188267,.T.); #242944=ORIENTED_EDGE('',*,*,#188264,.T.); #242945=ORIENTED_EDGE('',*,*,#188261,.T.); #242946=ORIENTED_EDGE('',*,*,#188258,.T.); #242947=ORIENTED_EDGE('',*,*,#188255,.T.); #242948=ORIENTED_EDGE('',*,*,#188252,.T.); #242949=ORIENTED_EDGE('',*,*,#188249,.T.); #242950=ORIENTED_EDGE('',*,*,#188246,.T.); #242951=ORIENTED_EDGE('',*,*,#188243,.T.); #242952=ORIENTED_EDGE('',*,*,#188240,.T.); #242953=ORIENTED_EDGE('',*,*,#188237,.T.); #242954=ORIENTED_EDGE('',*,*,#188234,.T.); #242955=ORIENTED_EDGE('',*,*,#188231,.T.); #242956=ORIENTED_EDGE('',*,*,#188228,.T.); #242957=ORIENTED_EDGE('',*,*,#188225,.T.); #242958=ORIENTED_EDGE('',*,*,#188272,.F.); #242959=ORIENTED_EDGE('',*,*,#188226,.F.); #242960=ORIENTED_EDGE('',*,*,#188230,.F.); #242961=ORIENTED_EDGE('',*,*,#188233,.F.); #242962=ORIENTED_EDGE('',*,*,#188236,.F.); #242963=ORIENTED_EDGE('',*,*,#188239,.F.); #242964=ORIENTED_EDGE('',*,*,#188242,.F.); #242965=ORIENTED_EDGE('',*,*,#188245,.F.); #242966=ORIENTED_EDGE('',*,*,#188248,.F.); #242967=ORIENTED_EDGE('',*,*,#188251,.F.); #242968=ORIENTED_EDGE('',*,*,#188254,.F.); #242969=ORIENTED_EDGE('',*,*,#188257,.F.); #242970=ORIENTED_EDGE('',*,*,#188260,.F.); #242971=ORIENTED_EDGE('',*,*,#188263,.F.); #242972=ORIENTED_EDGE('',*,*,#188266,.F.); #242973=ORIENTED_EDGE('',*,*,#188269,.F.); #242974=ORIENTED_EDGE('',*,*,#188223,.T.); #242975=ORIENTED_EDGE('',*,*,#188274,.F.); #242976=ORIENTED_EDGE('',*,*,#188275,.T.); #242977=ORIENTED_EDGE('',*,*,#188276,.F.); #242978=ORIENTED_EDGE('',*,*,#188275,.F.); #242979=ORIENTED_EDGE('',*,*,#188277,.T.); #242980=ORIENTED_EDGE('',*,*,#188278,.T.); #242981=ORIENTED_EDGE('',*,*,#188279,.F.); #242982=ORIENTED_EDGE('',*,*,#188280,.F.); #242983=ORIENTED_EDGE('',*,*,#188281,.T.); #242984=ORIENTED_EDGE('',*,*,#188280,.T.); #242985=ORIENTED_EDGE('',*,*,#188282,.F.); #242986=ORIENTED_EDGE('',*,*,#188283,.F.); #242987=ORIENTED_EDGE('',*,*,#188284,.T.); #242988=ORIENTED_EDGE('',*,*,#188283,.T.); #242989=ORIENTED_EDGE('',*,*,#188285,.F.); #242990=ORIENTED_EDGE('',*,*,#188286,.F.); #242991=ORIENTED_EDGE('',*,*,#188287,.T.); #242992=ORIENTED_EDGE('',*,*,#188286,.T.); #242993=ORIENTED_EDGE('',*,*,#188288,.F.); #242994=ORIENTED_EDGE('',*,*,#188289,.F.); #242995=ORIENTED_EDGE('',*,*,#188290,.T.); #242996=ORIENTED_EDGE('',*,*,#188289,.T.); #242997=ORIENTED_EDGE('',*,*,#188291,.F.); #242998=ORIENTED_EDGE('',*,*,#188292,.F.); #242999=ORIENTED_EDGE('',*,*,#188293,.T.); #243000=ORIENTED_EDGE('',*,*,#188292,.T.); #243001=ORIENTED_EDGE('',*,*,#188294,.F.); #243002=ORIENTED_EDGE('',*,*,#188295,.F.); #243003=ORIENTED_EDGE('',*,*,#188296,.T.); #243004=ORIENTED_EDGE('',*,*,#188295,.T.); #243005=ORIENTED_EDGE('',*,*,#188297,.F.); #243006=ORIENTED_EDGE('',*,*,#188298,.F.); #243007=ORIENTED_EDGE('',*,*,#188299,.T.); #243008=ORIENTED_EDGE('',*,*,#188298,.T.); #243009=ORIENTED_EDGE('',*,*,#188300,.F.); #243010=ORIENTED_EDGE('',*,*,#188301,.F.); #243011=ORIENTED_EDGE('',*,*,#188302,.T.); #243012=ORIENTED_EDGE('',*,*,#188301,.T.); #243013=ORIENTED_EDGE('',*,*,#188303,.F.); #243014=ORIENTED_EDGE('',*,*,#188304,.F.); #243015=ORIENTED_EDGE('',*,*,#188305,.T.); #243016=ORIENTED_EDGE('',*,*,#188304,.T.); #243017=ORIENTED_EDGE('',*,*,#188306,.F.); #243018=ORIENTED_EDGE('',*,*,#188307,.F.); #243019=ORIENTED_EDGE('',*,*,#188308,.T.); #243020=ORIENTED_EDGE('',*,*,#188307,.T.); #243021=ORIENTED_EDGE('',*,*,#188309,.F.); #243022=ORIENTED_EDGE('',*,*,#188310,.F.); #243023=ORIENTED_EDGE('',*,*,#188311,.T.); #243024=ORIENTED_EDGE('',*,*,#188310,.T.); #243025=ORIENTED_EDGE('',*,*,#188312,.F.); #243026=ORIENTED_EDGE('',*,*,#188313,.F.); #243027=ORIENTED_EDGE('',*,*,#188314,.T.); #243028=ORIENTED_EDGE('',*,*,#188313,.T.); #243029=ORIENTED_EDGE('',*,*,#188315,.F.); #243030=ORIENTED_EDGE('',*,*,#188316,.F.); #243031=ORIENTED_EDGE('',*,*,#188317,.T.); #243032=ORIENTED_EDGE('',*,*,#188316,.T.); #243033=ORIENTED_EDGE('',*,*,#188318,.F.); #243034=ORIENTED_EDGE('',*,*,#188319,.F.); #243035=ORIENTED_EDGE('',*,*,#188320,.T.); #243036=ORIENTED_EDGE('',*,*,#188319,.T.); #243037=ORIENTED_EDGE('',*,*,#188321,.F.); #243038=ORIENTED_EDGE('',*,*,#188322,.F.); #243039=ORIENTED_EDGE('',*,*,#188323,.T.); #243040=ORIENTED_EDGE('',*,*,#188322,.T.); #243041=ORIENTED_EDGE('',*,*,#188324,.F.); #243042=ORIENTED_EDGE('',*,*,#188325,.F.); #243043=ORIENTED_EDGE('',*,*,#188326,.T.); #243044=ORIENTED_EDGE('',*,*,#188325,.T.); #243045=ORIENTED_EDGE('',*,*,#188327,.F.); #243046=ORIENTED_EDGE('',*,*,#188328,.F.); #243047=ORIENTED_EDGE('',*,*,#188329,.T.); #243048=ORIENTED_EDGE('',*,*,#188328,.T.); #243049=ORIENTED_EDGE('',*,*,#188330,.F.); #243050=ORIENTED_EDGE('',*,*,#188331,.F.); #243051=ORIENTED_EDGE('',*,*,#188332,.T.); #243052=ORIENTED_EDGE('',*,*,#188331,.T.); #243053=ORIENTED_EDGE('',*,*,#188333,.F.); #243054=ORIENTED_EDGE('',*,*,#188334,.F.); #243055=ORIENTED_EDGE('',*,*,#188335,.T.); #243056=ORIENTED_EDGE('',*,*,#188334,.T.); #243057=ORIENTED_EDGE('',*,*,#188336,.F.); #243058=ORIENTED_EDGE('',*,*,#188337,.F.); #243059=ORIENTED_EDGE('',*,*,#188338,.T.); #243060=ORIENTED_EDGE('',*,*,#188337,.T.); #243061=ORIENTED_EDGE('',*,*,#188339,.F.); #243062=ORIENTED_EDGE('',*,*,#188340,.F.); #243063=ORIENTED_EDGE('',*,*,#188341,.T.); #243064=ORIENTED_EDGE('',*,*,#188340,.T.); #243065=ORIENTED_EDGE('',*,*,#188342,.F.); #243066=ORIENTED_EDGE('',*,*,#188278,.F.); #243067=ORIENTED_EDGE('',*,*,#188342,.T.); #243068=ORIENTED_EDGE('',*,*,#188339,.T.); #243069=ORIENTED_EDGE('',*,*,#188336,.T.); #243070=ORIENTED_EDGE('',*,*,#188333,.T.); #243071=ORIENTED_EDGE('',*,*,#188330,.T.); #243072=ORIENTED_EDGE('',*,*,#188327,.T.); #243073=ORIENTED_EDGE('',*,*,#188324,.T.); #243074=ORIENTED_EDGE('',*,*,#188321,.T.); #243075=ORIENTED_EDGE('',*,*,#188318,.T.); #243076=ORIENTED_EDGE('',*,*,#188315,.T.); #243077=ORIENTED_EDGE('',*,*,#188312,.T.); #243078=ORIENTED_EDGE('',*,*,#188309,.T.); #243079=ORIENTED_EDGE('',*,*,#188306,.T.); #243080=ORIENTED_EDGE('',*,*,#188303,.T.); #243081=ORIENTED_EDGE('',*,*,#188300,.T.); #243082=ORIENTED_EDGE('',*,*,#188297,.T.); #243083=ORIENTED_EDGE('',*,*,#188294,.T.); #243084=ORIENTED_EDGE('',*,*,#188291,.T.); #243085=ORIENTED_EDGE('',*,*,#188288,.T.); #243086=ORIENTED_EDGE('',*,*,#188285,.T.); #243087=ORIENTED_EDGE('',*,*,#188282,.T.); #243088=ORIENTED_EDGE('',*,*,#188279,.T.); #243089=ORIENTED_EDGE('',*,*,#188276,.T.); #243090=ORIENTED_EDGE('',*,*,#188341,.F.); #243091=ORIENTED_EDGE('',*,*,#188277,.F.); #243092=ORIENTED_EDGE('',*,*,#188281,.F.); #243093=ORIENTED_EDGE('',*,*,#188284,.F.); #243094=ORIENTED_EDGE('',*,*,#188287,.F.); #243095=ORIENTED_EDGE('',*,*,#188290,.F.); #243096=ORIENTED_EDGE('',*,*,#188293,.F.); #243097=ORIENTED_EDGE('',*,*,#188296,.F.); #243098=ORIENTED_EDGE('',*,*,#188299,.F.); #243099=ORIENTED_EDGE('',*,*,#188302,.F.); #243100=ORIENTED_EDGE('',*,*,#188305,.F.); #243101=ORIENTED_EDGE('',*,*,#188308,.F.); #243102=ORIENTED_EDGE('',*,*,#188311,.F.); #243103=ORIENTED_EDGE('',*,*,#188314,.F.); #243104=ORIENTED_EDGE('',*,*,#188317,.F.); #243105=ORIENTED_EDGE('',*,*,#188320,.F.); #243106=ORIENTED_EDGE('',*,*,#188323,.F.); #243107=ORIENTED_EDGE('',*,*,#188326,.F.); #243108=ORIENTED_EDGE('',*,*,#188329,.F.); #243109=ORIENTED_EDGE('',*,*,#188332,.F.); #243110=ORIENTED_EDGE('',*,*,#188335,.F.); #243111=ORIENTED_EDGE('',*,*,#188338,.F.); #243112=ORIENTED_EDGE('',*,*,#188274,.T.); #243113=ORIENTED_EDGE('',*,*,#188343,.T.); #243114=ORIENTED_EDGE('',*,*,#188344,.T.); #243115=ORIENTED_EDGE('',*,*,#188345,.F.); #243116=ORIENTED_EDGE('',*,*,#188346,.F.); #243117=ORIENTED_EDGE('',*,*,#188347,.T.); #243118=ORIENTED_EDGE('',*,*,#188346,.T.); #243119=ORIENTED_EDGE('',*,*,#188348,.F.); #243120=ORIENTED_EDGE('',*,*,#188349,.F.); #243121=ORIENTED_EDGE('',*,*,#188350,.T.); #243122=ORIENTED_EDGE('',*,*,#188349,.T.); #243123=ORIENTED_EDGE('',*,*,#188351,.F.); #243124=ORIENTED_EDGE('',*,*,#188352,.F.); #243125=ORIENTED_EDGE('',*,*,#188353,.T.); #243126=ORIENTED_EDGE('',*,*,#188352,.T.); #243127=ORIENTED_EDGE('',*,*,#188354,.F.); #243128=ORIENTED_EDGE('',*,*,#188355,.F.); #243129=ORIENTED_EDGE('',*,*,#188356,.T.); #243130=ORIENTED_EDGE('',*,*,#188355,.T.); #243131=ORIENTED_EDGE('',*,*,#188357,.F.); #243132=ORIENTED_EDGE('',*,*,#188358,.F.); #243133=ORIENTED_EDGE('',*,*,#188359,.T.); #243134=ORIENTED_EDGE('',*,*,#188358,.T.); #243135=ORIENTED_EDGE('',*,*,#188360,.F.); #243136=ORIENTED_EDGE('',*,*,#188361,.F.); #243137=ORIENTED_EDGE('',*,*,#188362,.T.); #243138=ORIENTED_EDGE('',*,*,#188361,.T.); #243139=ORIENTED_EDGE('',*,*,#188363,.F.); #243140=ORIENTED_EDGE('',*,*,#188364,.F.); #243141=ORIENTED_EDGE('',*,*,#188365,.T.); #243142=ORIENTED_EDGE('',*,*,#188364,.T.); #243143=ORIENTED_EDGE('',*,*,#188366,.F.); #243144=ORIENTED_EDGE('',*,*,#188367,.F.); #243145=ORIENTED_EDGE('',*,*,#188368,.T.); #243146=ORIENTED_EDGE('',*,*,#188367,.T.); #243147=ORIENTED_EDGE('',*,*,#188369,.F.); #243148=ORIENTED_EDGE('',*,*,#188370,.F.); #243149=ORIENTED_EDGE('',*,*,#188371,.T.); #243150=ORIENTED_EDGE('',*,*,#188370,.T.); #243151=ORIENTED_EDGE('',*,*,#188372,.F.); #243152=ORIENTED_EDGE('',*,*,#188373,.F.); #243153=ORIENTED_EDGE('',*,*,#188374,.T.); #243154=ORIENTED_EDGE('',*,*,#188373,.T.); #243155=ORIENTED_EDGE('',*,*,#188375,.F.); #243156=ORIENTED_EDGE('',*,*,#188376,.F.); #243157=ORIENTED_EDGE('',*,*,#188377,.T.); #243158=ORIENTED_EDGE('',*,*,#188376,.T.); #243159=ORIENTED_EDGE('',*,*,#188378,.F.); #243160=ORIENTED_EDGE('',*,*,#188379,.F.); #243161=ORIENTED_EDGE('',*,*,#188380,.T.); #243162=ORIENTED_EDGE('',*,*,#188379,.T.); #243163=ORIENTED_EDGE('',*,*,#188381,.F.); #243164=ORIENTED_EDGE('',*,*,#188382,.F.); #243165=ORIENTED_EDGE('',*,*,#188383,.T.); #243166=ORIENTED_EDGE('',*,*,#188382,.T.); #243167=ORIENTED_EDGE('',*,*,#188384,.F.); #243168=ORIENTED_EDGE('',*,*,#188385,.F.); #243169=ORIENTED_EDGE('',*,*,#188386,.T.); #243170=ORIENTED_EDGE('',*,*,#188385,.T.); #243171=ORIENTED_EDGE('',*,*,#188387,.F.); #243172=ORIENTED_EDGE('',*,*,#188388,.F.); #243173=ORIENTED_EDGE('',*,*,#188389,.T.); #243174=ORIENTED_EDGE('',*,*,#188388,.T.); #243175=ORIENTED_EDGE('',*,*,#188390,.F.); #243176=ORIENTED_EDGE('',*,*,#188391,.F.); #243177=ORIENTED_EDGE('',*,*,#188392,.T.); #243178=ORIENTED_EDGE('',*,*,#188391,.T.); #243179=ORIENTED_EDGE('',*,*,#188393,.F.); #243180=ORIENTED_EDGE('',*,*,#188394,.F.); #243181=ORIENTED_EDGE('',*,*,#188395,.T.); #243182=ORIENTED_EDGE('',*,*,#188394,.T.); #243183=ORIENTED_EDGE('',*,*,#188396,.F.); #243184=ORIENTED_EDGE('',*,*,#188397,.F.); #243185=ORIENTED_EDGE('',*,*,#188398,.T.); #243186=ORIENTED_EDGE('',*,*,#188397,.T.); #243187=ORIENTED_EDGE('',*,*,#188399,.F.); #243188=ORIENTED_EDGE('',*,*,#188400,.F.); #243189=ORIENTED_EDGE('',*,*,#188401,.T.); #243190=ORIENTED_EDGE('',*,*,#188400,.T.); #243191=ORIENTED_EDGE('',*,*,#188402,.F.); #243192=ORIENTED_EDGE('',*,*,#188403,.F.); #243193=ORIENTED_EDGE('',*,*,#188404,.T.); #243194=ORIENTED_EDGE('',*,*,#188403,.T.); #243195=ORIENTED_EDGE('',*,*,#188405,.F.); #243196=ORIENTED_EDGE('',*,*,#188406,.F.); #243197=ORIENTED_EDGE('',*,*,#188407,.T.); #243198=ORIENTED_EDGE('',*,*,#188406,.T.); #243199=ORIENTED_EDGE('',*,*,#188408,.F.); #243200=ORIENTED_EDGE('',*,*,#188409,.F.); #243201=ORIENTED_EDGE('',*,*,#188410,.T.); #243202=ORIENTED_EDGE('',*,*,#188409,.T.); #243203=ORIENTED_EDGE('',*,*,#188411,.F.); #243204=ORIENTED_EDGE('',*,*,#188412,.F.); #243205=ORIENTED_EDGE('',*,*,#188413,.T.); #243206=ORIENTED_EDGE('',*,*,#188412,.T.); #243207=ORIENTED_EDGE('',*,*,#188414,.F.); #243208=ORIENTED_EDGE('',*,*,#188415,.F.); #243209=ORIENTED_EDGE('',*,*,#188416,.T.); #243210=ORIENTED_EDGE('',*,*,#188415,.T.); #243211=ORIENTED_EDGE('',*,*,#188417,.F.); #243212=ORIENTED_EDGE('',*,*,#188418,.F.); #243213=ORIENTED_EDGE('',*,*,#188419,.T.); #243214=ORIENTED_EDGE('',*,*,#188418,.T.); #243215=ORIENTED_EDGE('',*,*,#188420,.F.); #243216=ORIENTED_EDGE('',*,*,#188344,.F.); #243217=ORIENTED_EDGE('',*,*,#188420,.T.); #243218=ORIENTED_EDGE('',*,*,#188417,.T.); #243219=ORIENTED_EDGE('',*,*,#188414,.T.); #243220=ORIENTED_EDGE('',*,*,#188411,.T.); #243221=ORIENTED_EDGE('',*,*,#188408,.T.); #243222=ORIENTED_EDGE('',*,*,#188405,.T.); #243223=ORIENTED_EDGE('',*,*,#188402,.T.); #243224=ORIENTED_EDGE('',*,*,#188399,.T.); #243225=ORIENTED_EDGE('',*,*,#188396,.T.); #243226=ORIENTED_EDGE('',*,*,#188393,.T.); #243227=ORIENTED_EDGE('',*,*,#188390,.T.); #243228=ORIENTED_EDGE('',*,*,#188387,.T.); #243229=ORIENTED_EDGE('',*,*,#188384,.T.); #243230=ORIENTED_EDGE('',*,*,#188381,.T.); #243231=ORIENTED_EDGE('',*,*,#188378,.T.); #243232=ORIENTED_EDGE('',*,*,#188375,.T.); #243233=ORIENTED_EDGE('',*,*,#188372,.T.); #243234=ORIENTED_EDGE('',*,*,#188369,.T.); #243235=ORIENTED_EDGE('',*,*,#188366,.T.); #243236=ORIENTED_EDGE('',*,*,#188363,.T.); #243237=ORIENTED_EDGE('',*,*,#188360,.T.); #243238=ORIENTED_EDGE('',*,*,#188357,.T.); #243239=ORIENTED_EDGE('',*,*,#188354,.T.); #243240=ORIENTED_EDGE('',*,*,#188351,.T.); #243241=ORIENTED_EDGE('',*,*,#188348,.T.); #243242=ORIENTED_EDGE('',*,*,#188345,.T.); #243243=ORIENTED_EDGE('',*,*,#188419,.F.); #243244=ORIENTED_EDGE('',*,*,#188343,.F.); #243245=ORIENTED_EDGE('',*,*,#188347,.F.); #243246=ORIENTED_EDGE('',*,*,#188350,.F.); #243247=ORIENTED_EDGE('',*,*,#188353,.F.); #243248=ORIENTED_EDGE('',*,*,#188356,.F.); #243249=ORIENTED_EDGE('',*,*,#188359,.F.); #243250=ORIENTED_EDGE('',*,*,#188362,.F.); #243251=ORIENTED_EDGE('',*,*,#188365,.F.); #243252=ORIENTED_EDGE('',*,*,#188368,.F.); #243253=ORIENTED_EDGE('',*,*,#188371,.F.); #243254=ORIENTED_EDGE('',*,*,#188374,.F.); #243255=ORIENTED_EDGE('',*,*,#188377,.F.); #243256=ORIENTED_EDGE('',*,*,#188380,.F.); #243257=ORIENTED_EDGE('',*,*,#188383,.F.); #243258=ORIENTED_EDGE('',*,*,#188386,.F.); #243259=ORIENTED_EDGE('',*,*,#188389,.F.); #243260=ORIENTED_EDGE('',*,*,#188392,.F.); #243261=ORIENTED_EDGE('',*,*,#188395,.F.); #243262=ORIENTED_EDGE('',*,*,#188398,.F.); #243263=ORIENTED_EDGE('',*,*,#188401,.F.); #243264=ORIENTED_EDGE('',*,*,#188404,.F.); #243265=ORIENTED_EDGE('',*,*,#188407,.F.); #243266=ORIENTED_EDGE('',*,*,#188410,.F.); #243267=ORIENTED_EDGE('',*,*,#188413,.F.); #243268=ORIENTED_EDGE('',*,*,#188416,.F.); #243269=ORIENTED_EDGE('',*,*,#188421,.F.); #243270=ORIENTED_EDGE('',*,*,#188422,.T.); #243271=ORIENTED_EDGE('',*,*,#188423,.F.); #243272=ORIENTED_EDGE('',*,*,#188422,.F.); #243273=ORIENTED_EDGE('',*,*,#188424,.T.); #243274=ORIENTED_EDGE('',*,*,#188425,.T.); #243275=ORIENTED_EDGE('',*,*,#188426,.F.); #243276=ORIENTED_EDGE('',*,*,#188427,.F.); #243277=ORIENTED_EDGE('',*,*,#188428,.T.); #243278=ORIENTED_EDGE('',*,*,#188427,.T.); #243279=ORIENTED_EDGE('',*,*,#188429,.F.); #243280=ORIENTED_EDGE('',*,*,#188430,.F.); #243281=ORIENTED_EDGE('',*,*,#188431,.T.); #243282=ORIENTED_EDGE('',*,*,#188430,.T.); #243283=ORIENTED_EDGE('',*,*,#188432,.F.); #243284=ORIENTED_EDGE('',*,*,#188433,.F.); #243285=ORIENTED_EDGE('',*,*,#188434,.T.); #243286=ORIENTED_EDGE('',*,*,#188433,.T.); #243287=ORIENTED_EDGE('',*,*,#188435,.F.); #243288=ORIENTED_EDGE('',*,*,#188436,.F.); #243289=ORIENTED_EDGE('',*,*,#188437,.T.); #243290=ORIENTED_EDGE('',*,*,#188436,.T.); #243291=ORIENTED_EDGE('',*,*,#188438,.F.); #243292=ORIENTED_EDGE('',*,*,#188439,.F.); #243293=ORIENTED_EDGE('',*,*,#188440,.T.); #243294=ORIENTED_EDGE('',*,*,#188439,.T.); #243295=ORIENTED_EDGE('',*,*,#188441,.F.); #243296=ORIENTED_EDGE('',*,*,#188442,.F.); #243297=ORIENTED_EDGE('',*,*,#188443,.T.); #243298=ORIENTED_EDGE('',*,*,#188442,.T.); #243299=ORIENTED_EDGE('',*,*,#188444,.F.); #243300=ORIENTED_EDGE('',*,*,#188445,.F.); #243301=ORIENTED_EDGE('',*,*,#188446,.T.); #243302=ORIENTED_EDGE('',*,*,#188445,.T.); #243303=ORIENTED_EDGE('',*,*,#188447,.F.); #243304=ORIENTED_EDGE('',*,*,#188448,.F.); #243305=ORIENTED_EDGE('',*,*,#188449,.T.); #243306=ORIENTED_EDGE('',*,*,#188448,.T.); #243307=ORIENTED_EDGE('',*,*,#188450,.F.); #243308=ORIENTED_EDGE('',*,*,#188451,.F.); #243309=ORIENTED_EDGE('',*,*,#188452,.T.); #243310=ORIENTED_EDGE('',*,*,#188451,.T.); #243311=ORIENTED_EDGE('',*,*,#188453,.F.); #243312=ORIENTED_EDGE('',*,*,#188454,.F.); #243313=ORIENTED_EDGE('',*,*,#188455,.T.); #243314=ORIENTED_EDGE('',*,*,#188454,.T.); #243315=ORIENTED_EDGE('',*,*,#188456,.F.); #243316=ORIENTED_EDGE('',*,*,#188457,.F.); #243317=ORIENTED_EDGE('',*,*,#188458,.T.); #243318=ORIENTED_EDGE('',*,*,#188457,.T.); #243319=ORIENTED_EDGE('',*,*,#188459,.F.); #243320=ORIENTED_EDGE('',*,*,#188460,.F.); #243321=ORIENTED_EDGE('',*,*,#188461,.T.); #243322=ORIENTED_EDGE('',*,*,#188460,.T.); #243323=ORIENTED_EDGE('',*,*,#188462,.F.); #243324=ORIENTED_EDGE('',*,*,#188463,.F.); #243325=ORIENTED_EDGE('',*,*,#188464,.T.); #243326=ORIENTED_EDGE('',*,*,#188463,.T.); #243327=ORIENTED_EDGE('',*,*,#188465,.F.); #243328=ORIENTED_EDGE('',*,*,#188466,.F.); #243329=ORIENTED_EDGE('',*,*,#188467,.T.); #243330=ORIENTED_EDGE('',*,*,#188466,.T.); #243331=ORIENTED_EDGE('',*,*,#188468,.F.); #243332=ORIENTED_EDGE('',*,*,#188469,.F.); #243333=ORIENTED_EDGE('',*,*,#188470,.T.); #243334=ORIENTED_EDGE('',*,*,#188469,.T.); #243335=ORIENTED_EDGE('',*,*,#188471,.F.); #243336=ORIENTED_EDGE('',*,*,#188472,.F.); #243337=ORIENTED_EDGE('',*,*,#188473,.T.); #243338=ORIENTED_EDGE('',*,*,#188472,.T.); #243339=ORIENTED_EDGE('',*,*,#188474,.F.); #243340=ORIENTED_EDGE('',*,*,#188475,.F.); #243341=ORIENTED_EDGE('',*,*,#188476,.T.); #243342=ORIENTED_EDGE('',*,*,#188475,.T.); #243343=ORIENTED_EDGE('',*,*,#188477,.F.); #243344=ORIENTED_EDGE('',*,*,#188478,.F.); #243345=ORIENTED_EDGE('',*,*,#188479,.T.); #243346=ORIENTED_EDGE('',*,*,#188478,.T.); #243347=ORIENTED_EDGE('',*,*,#188480,.F.); #243348=ORIENTED_EDGE('',*,*,#188481,.F.); #243349=ORIENTED_EDGE('',*,*,#188482,.T.); #243350=ORIENTED_EDGE('',*,*,#188481,.T.); #243351=ORIENTED_EDGE('',*,*,#188483,.F.); #243352=ORIENTED_EDGE('',*,*,#188484,.F.); #243353=ORIENTED_EDGE('',*,*,#188485,.T.); #243354=ORIENTED_EDGE('',*,*,#188484,.T.); #243355=ORIENTED_EDGE('',*,*,#188486,.F.); #243356=ORIENTED_EDGE('',*,*,#188487,.F.); #243357=ORIENTED_EDGE('',*,*,#188488,.T.); #243358=ORIENTED_EDGE('',*,*,#188487,.T.); #243359=ORIENTED_EDGE('',*,*,#188489,.F.); #243360=ORIENTED_EDGE('',*,*,#188490,.F.); #243361=ORIENTED_EDGE('',*,*,#188491,.T.); #243362=ORIENTED_EDGE('',*,*,#188490,.T.); #243363=ORIENTED_EDGE('',*,*,#188492,.F.); #243364=ORIENTED_EDGE('',*,*,#188493,.F.); #243365=ORIENTED_EDGE('',*,*,#188494,.T.); #243366=ORIENTED_EDGE('',*,*,#188493,.T.); #243367=ORIENTED_EDGE('',*,*,#188495,.F.); #243368=ORIENTED_EDGE('',*,*,#188425,.F.); #243369=ORIENTED_EDGE('',*,*,#188495,.T.); #243370=ORIENTED_EDGE('',*,*,#188492,.T.); #243371=ORIENTED_EDGE('',*,*,#188489,.T.); #243372=ORIENTED_EDGE('',*,*,#188486,.T.); #243373=ORIENTED_EDGE('',*,*,#188483,.T.); #243374=ORIENTED_EDGE('',*,*,#188480,.T.); #243375=ORIENTED_EDGE('',*,*,#188477,.T.); #243376=ORIENTED_EDGE('',*,*,#188474,.T.); #243377=ORIENTED_EDGE('',*,*,#188471,.T.); #243378=ORIENTED_EDGE('',*,*,#188468,.T.); #243379=ORIENTED_EDGE('',*,*,#188465,.T.); #243380=ORIENTED_EDGE('',*,*,#188462,.T.); #243381=ORIENTED_EDGE('',*,*,#188459,.T.); #243382=ORIENTED_EDGE('',*,*,#188456,.T.); #243383=ORIENTED_EDGE('',*,*,#188453,.T.); #243384=ORIENTED_EDGE('',*,*,#188450,.T.); #243385=ORIENTED_EDGE('',*,*,#188447,.T.); #243386=ORIENTED_EDGE('',*,*,#188444,.T.); #243387=ORIENTED_EDGE('',*,*,#188441,.T.); #243388=ORIENTED_EDGE('',*,*,#188438,.T.); #243389=ORIENTED_EDGE('',*,*,#188435,.T.); #243390=ORIENTED_EDGE('',*,*,#188432,.T.); #243391=ORIENTED_EDGE('',*,*,#188429,.T.); #243392=ORIENTED_EDGE('',*,*,#188426,.T.); #243393=ORIENTED_EDGE('',*,*,#188423,.T.); #243394=ORIENTED_EDGE('',*,*,#188494,.F.); #243395=ORIENTED_EDGE('',*,*,#188424,.F.); #243396=ORIENTED_EDGE('',*,*,#188428,.F.); #243397=ORIENTED_EDGE('',*,*,#188431,.F.); #243398=ORIENTED_EDGE('',*,*,#188434,.F.); #243399=ORIENTED_EDGE('',*,*,#188437,.F.); #243400=ORIENTED_EDGE('',*,*,#188440,.F.); #243401=ORIENTED_EDGE('',*,*,#188443,.F.); #243402=ORIENTED_EDGE('',*,*,#188446,.F.); #243403=ORIENTED_EDGE('',*,*,#188449,.F.); #243404=ORIENTED_EDGE('',*,*,#188452,.F.); #243405=ORIENTED_EDGE('',*,*,#188455,.F.); #243406=ORIENTED_EDGE('',*,*,#188458,.F.); #243407=ORIENTED_EDGE('',*,*,#188461,.F.); #243408=ORIENTED_EDGE('',*,*,#188464,.F.); #243409=ORIENTED_EDGE('',*,*,#188467,.F.); #243410=ORIENTED_EDGE('',*,*,#188470,.F.); #243411=ORIENTED_EDGE('',*,*,#188473,.F.); #243412=ORIENTED_EDGE('',*,*,#188476,.F.); #243413=ORIENTED_EDGE('',*,*,#188479,.F.); #243414=ORIENTED_EDGE('',*,*,#188482,.F.); #243415=ORIENTED_EDGE('',*,*,#188485,.F.); #243416=ORIENTED_EDGE('',*,*,#188488,.F.); #243417=ORIENTED_EDGE('',*,*,#188491,.F.); #243418=ORIENTED_EDGE('',*,*,#188421,.T.); #243419=ORIENTED_EDGE('',*,*,#188496,.F.); #243420=ORIENTED_EDGE('',*,*,#188497,.T.); #243421=ORIENTED_EDGE('',*,*,#188498,.F.); #243422=ORIENTED_EDGE('',*,*,#188497,.F.); #243423=ORIENTED_EDGE('',*,*,#188499,.T.); #243424=ORIENTED_EDGE('',*,*,#188500,.T.); #243425=ORIENTED_EDGE('',*,*,#188501,.F.); #243426=ORIENTED_EDGE('',*,*,#188502,.F.); #243427=ORIENTED_EDGE('',*,*,#188503,.T.); #243428=ORIENTED_EDGE('',*,*,#188502,.T.); #243429=ORIENTED_EDGE('',*,*,#188504,.F.); #243430=ORIENTED_EDGE('',*,*,#188505,.F.); #243431=ORIENTED_EDGE('',*,*,#188506,.T.); #243432=ORIENTED_EDGE('',*,*,#188505,.T.); #243433=ORIENTED_EDGE('',*,*,#188507,.F.); #243434=ORIENTED_EDGE('',*,*,#188508,.F.); #243435=ORIENTED_EDGE('',*,*,#188509,.T.); #243436=ORIENTED_EDGE('',*,*,#188508,.T.); #243437=ORIENTED_EDGE('',*,*,#188510,.F.); #243438=ORIENTED_EDGE('',*,*,#188511,.F.); #243439=ORIENTED_EDGE('',*,*,#188512,.T.); #243440=ORIENTED_EDGE('',*,*,#188511,.T.); #243441=ORIENTED_EDGE('',*,*,#188513,.F.); #243442=ORIENTED_EDGE('',*,*,#188514,.F.); #243443=ORIENTED_EDGE('',*,*,#188515,.T.); #243444=ORIENTED_EDGE('',*,*,#188514,.T.); #243445=ORIENTED_EDGE('',*,*,#188516,.F.); #243446=ORIENTED_EDGE('',*,*,#188517,.F.); #243447=ORIENTED_EDGE('',*,*,#188518,.T.); #243448=ORIENTED_EDGE('',*,*,#188517,.T.); #243449=ORIENTED_EDGE('',*,*,#188519,.F.); #243450=ORIENTED_EDGE('',*,*,#188520,.F.); #243451=ORIENTED_EDGE('',*,*,#188521,.T.); #243452=ORIENTED_EDGE('',*,*,#188520,.T.); #243453=ORIENTED_EDGE('',*,*,#188522,.F.); #243454=ORIENTED_EDGE('',*,*,#188523,.F.); #243455=ORIENTED_EDGE('',*,*,#188524,.T.); #243456=ORIENTED_EDGE('',*,*,#188523,.T.); #243457=ORIENTED_EDGE('',*,*,#188525,.F.); #243458=ORIENTED_EDGE('',*,*,#188526,.F.); #243459=ORIENTED_EDGE('',*,*,#188527,.T.); #243460=ORIENTED_EDGE('',*,*,#188526,.T.); #243461=ORIENTED_EDGE('',*,*,#188528,.F.); #243462=ORIENTED_EDGE('',*,*,#188529,.F.); #243463=ORIENTED_EDGE('',*,*,#188530,.T.); #243464=ORIENTED_EDGE('',*,*,#188529,.T.); #243465=ORIENTED_EDGE('',*,*,#188531,.F.); #243466=ORIENTED_EDGE('',*,*,#188500,.F.); #243467=ORIENTED_EDGE('',*,*,#188531,.T.); #243468=ORIENTED_EDGE('',*,*,#188528,.T.); #243469=ORIENTED_EDGE('',*,*,#188525,.T.); #243470=ORIENTED_EDGE('',*,*,#188522,.T.); #243471=ORIENTED_EDGE('',*,*,#188519,.T.); #243472=ORIENTED_EDGE('',*,*,#188516,.T.); #243473=ORIENTED_EDGE('',*,*,#188513,.T.); #243474=ORIENTED_EDGE('',*,*,#188510,.T.); #243475=ORIENTED_EDGE('',*,*,#188507,.T.); #243476=ORIENTED_EDGE('',*,*,#188504,.T.); #243477=ORIENTED_EDGE('',*,*,#188501,.T.); #243478=ORIENTED_EDGE('',*,*,#188498,.T.); #243479=ORIENTED_EDGE('',*,*,#188530,.F.); #243480=ORIENTED_EDGE('',*,*,#188499,.F.); #243481=ORIENTED_EDGE('',*,*,#188503,.F.); #243482=ORIENTED_EDGE('',*,*,#188506,.F.); #243483=ORIENTED_EDGE('',*,*,#188509,.F.); #243484=ORIENTED_EDGE('',*,*,#188512,.F.); #243485=ORIENTED_EDGE('',*,*,#188515,.F.); #243486=ORIENTED_EDGE('',*,*,#188518,.F.); #243487=ORIENTED_EDGE('',*,*,#188521,.F.); #243488=ORIENTED_EDGE('',*,*,#188524,.F.); #243489=ORIENTED_EDGE('',*,*,#188527,.F.); #243490=ORIENTED_EDGE('',*,*,#188496,.T.); #243491=ORIENTED_EDGE('',*,*,#188532,.T.); #243492=ORIENTED_EDGE('',*,*,#188533,.T.); #243493=ORIENTED_EDGE('',*,*,#188534,.F.); #243494=ORIENTED_EDGE('',*,*,#188535,.F.); #243495=ORIENTED_EDGE('',*,*,#188536,.T.); #243496=ORIENTED_EDGE('',*,*,#188535,.T.); #243497=ORIENTED_EDGE('',*,*,#188537,.F.); #243498=ORIENTED_EDGE('',*,*,#188538,.F.); #243499=ORIENTED_EDGE('',*,*,#188539,.T.); #243500=ORIENTED_EDGE('',*,*,#188538,.T.); #243501=ORIENTED_EDGE('',*,*,#188540,.F.); #243502=ORIENTED_EDGE('',*,*,#188541,.F.); #243503=ORIENTED_EDGE('',*,*,#188542,.T.); #243504=ORIENTED_EDGE('',*,*,#188541,.T.); #243505=ORIENTED_EDGE('',*,*,#188543,.F.); #243506=ORIENTED_EDGE('',*,*,#188544,.F.); #243507=ORIENTED_EDGE('',*,*,#188545,.T.); #243508=ORIENTED_EDGE('',*,*,#188544,.T.); #243509=ORIENTED_EDGE('',*,*,#188546,.F.); #243510=ORIENTED_EDGE('',*,*,#188547,.F.); #243511=ORIENTED_EDGE('',*,*,#188548,.T.); #243512=ORIENTED_EDGE('',*,*,#188547,.T.); #243513=ORIENTED_EDGE('',*,*,#188549,.F.); #243514=ORIENTED_EDGE('',*,*,#188550,.F.); #243515=ORIENTED_EDGE('',*,*,#188551,.T.); #243516=ORIENTED_EDGE('',*,*,#188550,.T.); #243517=ORIENTED_EDGE('',*,*,#188552,.F.); #243518=ORIENTED_EDGE('',*,*,#188553,.F.); #243519=ORIENTED_EDGE('',*,*,#188554,.T.); #243520=ORIENTED_EDGE('',*,*,#188553,.T.); #243521=ORIENTED_EDGE('',*,*,#188555,.F.); #243522=ORIENTED_EDGE('',*,*,#188556,.F.); #243523=ORIENTED_EDGE('',*,*,#188557,.T.); #243524=ORIENTED_EDGE('',*,*,#188556,.T.); #243525=ORIENTED_EDGE('',*,*,#188558,.F.); #243526=ORIENTED_EDGE('',*,*,#188559,.F.); #243527=ORIENTED_EDGE('',*,*,#188560,.T.); #243528=ORIENTED_EDGE('',*,*,#188559,.T.); #243529=ORIENTED_EDGE('',*,*,#188561,.F.); #243530=ORIENTED_EDGE('',*,*,#188562,.F.); #243531=ORIENTED_EDGE('',*,*,#188563,.T.); #243532=ORIENTED_EDGE('',*,*,#188562,.T.); #243533=ORIENTED_EDGE('',*,*,#188564,.F.); #243534=ORIENTED_EDGE('',*,*,#188565,.F.); #243535=ORIENTED_EDGE('',*,*,#188566,.T.); #243536=ORIENTED_EDGE('',*,*,#188565,.T.); #243537=ORIENTED_EDGE('',*,*,#188567,.F.); #243538=ORIENTED_EDGE('',*,*,#188568,.F.); #243539=ORIENTED_EDGE('',*,*,#188569,.T.); #243540=ORIENTED_EDGE('',*,*,#188568,.T.); #243541=ORIENTED_EDGE('',*,*,#188570,.F.); #243542=ORIENTED_EDGE('',*,*,#188571,.F.); #243543=ORIENTED_EDGE('',*,*,#188572,.T.); #243544=ORIENTED_EDGE('',*,*,#188571,.T.); #243545=ORIENTED_EDGE('',*,*,#188573,.F.); #243546=ORIENTED_EDGE('',*,*,#188574,.F.); #243547=ORIENTED_EDGE('',*,*,#188575,.T.); #243548=ORIENTED_EDGE('',*,*,#188574,.T.); #243549=ORIENTED_EDGE('',*,*,#188576,.F.); #243550=ORIENTED_EDGE('',*,*,#188577,.F.); #243551=ORIENTED_EDGE('',*,*,#188578,.T.); #243552=ORIENTED_EDGE('',*,*,#188577,.T.); #243553=ORIENTED_EDGE('',*,*,#188579,.F.); #243554=ORIENTED_EDGE('',*,*,#188580,.F.); #243555=ORIENTED_EDGE('',*,*,#188581,.T.); #243556=ORIENTED_EDGE('',*,*,#188580,.T.); #243557=ORIENTED_EDGE('',*,*,#188582,.F.); #243558=ORIENTED_EDGE('',*,*,#188583,.F.); #243559=ORIENTED_EDGE('',*,*,#188584,.T.); #243560=ORIENTED_EDGE('',*,*,#188583,.T.); #243561=ORIENTED_EDGE('',*,*,#188585,.F.); #243562=ORIENTED_EDGE('',*,*,#188586,.F.); #243563=ORIENTED_EDGE('',*,*,#188587,.T.); #243564=ORIENTED_EDGE('',*,*,#188586,.T.); #243565=ORIENTED_EDGE('',*,*,#188588,.F.); #243566=ORIENTED_EDGE('',*,*,#188589,.F.); #243567=ORIENTED_EDGE('',*,*,#188590,.T.); #243568=ORIENTED_EDGE('',*,*,#188589,.T.); #243569=ORIENTED_EDGE('',*,*,#188591,.F.); #243570=ORIENTED_EDGE('',*,*,#188592,.F.); #243571=ORIENTED_EDGE('',*,*,#188593,.T.); #243572=ORIENTED_EDGE('',*,*,#188592,.T.); #243573=ORIENTED_EDGE('',*,*,#188594,.F.); #243574=ORIENTED_EDGE('',*,*,#188595,.F.); #243575=ORIENTED_EDGE('',*,*,#188596,.T.); #243576=ORIENTED_EDGE('',*,*,#188595,.T.); #243577=ORIENTED_EDGE('',*,*,#188597,.F.); #243578=ORIENTED_EDGE('',*,*,#188598,.F.); #243579=ORIENTED_EDGE('',*,*,#188599,.T.); #243580=ORIENTED_EDGE('',*,*,#188598,.T.); #243581=ORIENTED_EDGE('',*,*,#188600,.F.); #243582=ORIENTED_EDGE('',*,*,#188601,.F.); #243583=ORIENTED_EDGE('',*,*,#188602,.T.); #243584=ORIENTED_EDGE('',*,*,#188601,.T.); #243585=ORIENTED_EDGE('',*,*,#188603,.F.); #243586=ORIENTED_EDGE('',*,*,#188604,.F.); #243587=ORIENTED_EDGE('',*,*,#188605,.T.); #243588=ORIENTED_EDGE('',*,*,#188604,.T.); #243589=ORIENTED_EDGE('',*,*,#188606,.F.); #243590=ORIENTED_EDGE('',*,*,#188607,.F.); #243591=ORIENTED_EDGE('',*,*,#188608,.T.); #243592=ORIENTED_EDGE('',*,*,#188607,.T.); #243593=ORIENTED_EDGE('',*,*,#188609,.F.); #243594=ORIENTED_EDGE('',*,*,#188610,.F.); #243595=ORIENTED_EDGE('',*,*,#188611,.T.); #243596=ORIENTED_EDGE('',*,*,#188610,.T.); #243597=ORIENTED_EDGE('',*,*,#188612,.F.); #243598=ORIENTED_EDGE('',*,*,#188613,.F.); #243599=ORIENTED_EDGE('',*,*,#188614,.T.); #243600=ORIENTED_EDGE('',*,*,#188613,.T.); #243601=ORIENTED_EDGE('',*,*,#188615,.F.); #243602=ORIENTED_EDGE('',*,*,#188616,.F.); #243603=ORIENTED_EDGE('',*,*,#188617,.T.); #243604=ORIENTED_EDGE('',*,*,#188616,.T.); #243605=ORIENTED_EDGE('',*,*,#188618,.F.); #243606=ORIENTED_EDGE('',*,*,#188619,.F.); #243607=ORIENTED_EDGE('',*,*,#188620,.T.); #243608=ORIENTED_EDGE('',*,*,#188619,.T.); #243609=ORIENTED_EDGE('',*,*,#188621,.F.); #243610=ORIENTED_EDGE('',*,*,#188622,.F.); #243611=ORIENTED_EDGE('',*,*,#188623,.T.); #243612=ORIENTED_EDGE('',*,*,#188622,.T.); #243613=ORIENTED_EDGE('',*,*,#188624,.F.); #243614=ORIENTED_EDGE('',*,*,#188625,.F.); #243615=ORIENTED_EDGE('',*,*,#188626,.T.); #243616=ORIENTED_EDGE('',*,*,#188625,.T.); #243617=ORIENTED_EDGE('',*,*,#188627,.F.); #243618=ORIENTED_EDGE('',*,*,#188628,.F.); #243619=ORIENTED_EDGE('',*,*,#188629,.T.); #243620=ORIENTED_EDGE('',*,*,#188628,.T.); #243621=ORIENTED_EDGE('',*,*,#188630,.F.); #243622=ORIENTED_EDGE('',*,*,#188631,.F.); #243623=ORIENTED_EDGE('',*,*,#188632,.T.); #243624=ORIENTED_EDGE('',*,*,#188631,.T.); #243625=ORIENTED_EDGE('',*,*,#188633,.F.); #243626=ORIENTED_EDGE('',*,*,#188634,.F.); #243627=ORIENTED_EDGE('',*,*,#188635,.T.); #243628=ORIENTED_EDGE('',*,*,#188634,.T.); #243629=ORIENTED_EDGE('',*,*,#188636,.F.); #243630=ORIENTED_EDGE('',*,*,#188637,.F.); #243631=ORIENTED_EDGE('',*,*,#188638,.T.); #243632=ORIENTED_EDGE('',*,*,#188637,.T.); #243633=ORIENTED_EDGE('',*,*,#188639,.F.); #243634=ORIENTED_EDGE('',*,*,#188640,.F.); #243635=ORIENTED_EDGE('',*,*,#188641,.T.); #243636=ORIENTED_EDGE('',*,*,#188640,.T.); #243637=ORIENTED_EDGE('',*,*,#188642,.F.); #243638=ORIENTED_EDGE('',*,*,#188643,.F.); #243639=ORIENTED_EDGE('',*,*,#188644,.T.); #243640=ORIENTED_EDGE('',*,*,#188643,.T.); #243641=ORIENTED_EDGE('',*,*,#188645,.F.); #243642=ORIENTED_EDGE('',*,*,#188533,.F.); #243643=ORIENTED_EDGE('',*,*,#188645,.T.); #243644=ORIENTED_EDGE('',*,*,#188642,.T.); #243645=ORIENTED_EDGE('',*,*,#188639,.T.); #243646=ORIENTED_EDGE('',*,*,#188636,.T.); #243647=ORIENTED_EDGE('',*,*,#188633,.T.); #243648=ORIENTED_EDGE('',*,*,#188630,.T.); #243649=ORIENTED_EDGE('',*,*,#188627,.T.); #243650=ORIENTED_EDGE('',*,*,#188624,.T.); #243651=ORIENTED_EDGE('',*,*,#188621,.T.); #243652=ORIENTED_EDGE('',*,*,#188618,.T.); #243653=ORIENTED_EDGE('',*,*,#188615,.T.); #243654=ORIENTED_EDGE('',*,*,#188612,.T.); #243655=ORIENTED_EDGE('',*,*,#188609,.T.); #243656=ORIENTED_EDGE('',*,*,#188606,.T.); #243657=ORIENTED_EDGE('',*,*,#188603,.T.); #243658=ORIENTED_EDGE('',*,*,#188600,.T.); #243659=ORIENTED_EDGE('',*,*,#188597,.T.); #243660=ORIENTED_EDGE('',*,*,#188594,.T.); #243661=ORIENTED_EDGE('',*,*,#188591,.T.); #243662=ORIENTED_EDGE('',*,*,#188588,.T.); #243663=ORIENTED_EDGE('',*,*,#188585,.T.); #243664=ORIENTED_EDGE('',*,*,#188582,.T.); #243665=ORIENTED_EDGE('',*,*,#188579,.T.); #243666=ORIENTED_EDGE('',*,*,#188576,.T.); #243667=ORIENTED_EDGE('',*,*,#188573,.T.); #243668=ORIENTED_EDGE('',*,*,#188570,.T.); #243669=ORIENTED_EDGE('',*,*,#188567,.T.); #243670=ORIENTED_EDGE('',*,*,#188564,.T.); #243671=ORIENTED_EDGE('',*,*,#188561,.T.); #243672=ORIENTED_EDGE('',*,*,#188558,.T.); #243673=ORIENTED_EDGE('',*,*,#188555,.T.); #243674=ORIENTED_EDGE('',*,*,#188552,.T.); #243675=ORIENTED_EDGE('',*,*,#188549,.T.); #243676=ORIENTED_EDGE('',*,*,#188546,.T.); #243677=ORIENTED_EDGE('',*,*,#188543,.T.); #243678=ORIENTED_EDGE('',*,*,#188540,.T.); #243679=ORIENTED_EDGE('',*,*,#188537,.T.); #243680=ORIENTED_EDGE('',*,*,#188534,.T.); #243681=ORIENTED_EDGE('',*,*,#188644,.F.); #243682=ORIENTED_EDGE('',*,*,#188532,.F.); #243683=ORIENTED_EDGE('',*,*,#188536,.F.); #243684=ORIENTED_EDGE('',*,*,#188539,.F.); #243685=ORIENTED_EDGE('',*,*,#188542,.F.); #243686=ORIENTED_EDGE('',*,*,#188545,.F.); #243687=ORIENTED_EDGE('',*,*,#188548,.F.); #243688=ORIENTED_EDGE('',*,*,#188551,.F.); #243689=ORIENTED_EDGE('',*,*,#188554,.F.); #243690=ORIENTED_EDGE('',*,*,#188557,.F.); #243691=ORIENTED_EDGE('',*,*,#188560,.F.); #243692=ORIENTED_EDGE('',*,*,#188563,.F.); #243693=ORIENTED_EDGE('',*,*,#188566,.F.); #243694=ORIENTED_EDGE('',*,*,#188569,.F.); #243695=ORIENTED_EDGE('',*,*,#188572,.F.); #243696=ORIENTED_EDGE('',*,*,#188575,.F.); #243697=ORIENTED_EDGE('',*,*,#188578,.F.); #243698=ORIENTED_EDGE('',*,*,#188581,.F.); #243699=ORIENTED_EDGE('',*,*,#188584,.F.); #243700=ORIENTED_EDGE('',*,*,#188587,.F.); #243701=ORIENTED_EDGE('',*,*,#188590,.F.); #243702=ORIENTED_EDGE('',*,*,#188593,.F.); #243703=ORIENTED_EDGE('',*,*,#188596,.F.); #243704=ORIENTED_EDGE('',*,*,#188599,.F.); #243705=ORIENTED_EDGE('',*,*,#188602,.F.); #243706=ORIENTED_EDGE('',*,*,#188605,.F.); #243707=ORIENTED_EDGE('',*,*,#188608,.F.); #243708=ORIENTED_EDGE('',*,*,#188611,.F.); #243709=ORIENTED_EDGE('',*,*,#188614,.F.); #243710=ORIENTED_EDGE('',*,*,#188617,.F.); #243711=ORIENTED_EDGE('',*,*,#188620,.F.); #243712=ORIENTED_EDGE('',*,*,#188623,.F.); #243713=ORIENTED_EDGE('',*,*,#188626,.F.); #243714=ORIENTED_EDGE('',*,*,#188629,.F.); #243715=ORIENTED_EDGE('',*,*,#188632,.F.); #243716=ORIENTED_EDGE('',*,*,#188635,.F.); #243717=ORIENTED_EDGE('',*,*,#188638,.F.); #243718=ORIENTED_EDGE('',*,*,#188641,.F.); #243719=ORIENTED_EDGE('',*,*,#188646,.T.); #243720=ORIENTED_EDGE('',*,*,#188647,.T.); #243721=ORIENTED_EDGE('',*,*,#188648,.F.); #243722=ORIENTED_EDGE('',*,*,#188649,.F.); #243723=ORIENTED_EDGE('',*,*,#188650,.T.); #243724=ORIENTED_EDGE('',*,*,#188649,.T.); #243725=ORIENTED_EDGE('',*,*,#188651,.F.); #243726=ORIENTED_EDGE('',*,*,#188652,.F.); #243727=ORIENTED_EDGE('',*,*,#188653,.T.); #243728=ORIENTED_EDGE('',*,*,#188652,.T.); #243729=ORIENTED_EDGE('',*,*,#188654,.F.); #243730=ORIENTED_EDGE('',*,*,#188655,.F.); #243731=ORIENTED_EDGE('',*,*,#188656,.T.); #243732=ORIENTED_EDGE('',*,*,#188655,.T.); #243733=ORIENTED_EDGE('',*,*,#188657,.F.); #243734=ORIENTED_EDGE('',*,*,#188658,.F.); #243735=ORIENTED_EDGE('',*,*,#188659,.T.); #243736=ORIENTED_EDGE('',*,*,#188658,.T.); #243737=ORIENTED_EDGE('',*,*,#188660,.F.); #243738=ORIENTED_EDGE('',*,*,#188661,.F.); #243739=ORIENTED_EDGE('',*,*,#188662,.T.); #243740=ORIENTED_EDGE('',*,*,#188661,.T.); #243741=ORIENTED_EDGE('',*,*,#188663,.F.); #243742=ORIENTED_EDGE('',*,*,#188664,.F.); #243743=ORIENTED_EDGE('',*,*,#188665,.T.); #243744=ORIENTED_EDGE('',*,*,#188664,.T.); #243745=ORIENTED_EDGE('',*,*,#188666,.F.); #243746=ORIENTED_EDGE('',*,*,#188667,.F.); #243747=ORIENTED_EDGE('',*,*,#188668,.T.); #243748=ORIENTED_EDGE('',*,*,#188667,.T.); #243749=ORIENTED_EDGE('',*,*,#188669,.F.); #243750=ORIENTED_EDGE('',*,*,#188670,.F.); #243751=ORIENTED_EDGE('',*,*,#188671,.T.); #243752=ORIENTED_EDGE('',*,*,#188670,.T.); #243753=ORIENTED_EDGE('',*,*,#188672,.F.); #243754=ORIENTED_EDGE('',*,*,#188673,.F.); #243755=ORIENTED_EDGE('',*,*,#188674,.T.); #243756=ORIENTED_EDGE('',*,*,#188673,.T.); #243757=ORIENTED_EDGE('',*,*,#188675,.F.); #243758=ORIENTED_EDGE('',*,*,#188676,.F.); #243759=ORIENTED_EDGE('',*,*,#188677,.T.); #243760=ORIENTED_EDGE('',*,*,#188676,.T.); #243761=ORIENTED_EDGE('',*,*,#188678,.F.); #243762=ORIENTED_EDGE('',*,*,#188679,.F.); #243763=ORIENTED_EDGE('',*,*,#188680,.T.); #243764=ORIENTED_EDGE('',*,*,#188679,.T.); #243765=ORIENTED_EDGE('',*,*,#188681,.F.); #243766=ORIENTED_EDGE('',*,*,#188682,.F.); #243767=ORIENTED_EDGE('',*,*,#188683,.T.); #243768=ORIENTED_EDGE('',*,*,#188682,.T.); #243769=ORIENTED_EDGE('',*,*,#188684,.F.); #243770=ORIENTED_EDGE('',*,*,#188685,.F.); #243771=ORIENTED_EDGE('',*,*,#188686,.T.); #243772=ORIENTED_EDGE('',*,*,#188685,.T.); #243773=ORIENTED_EDGE('',*,*,#188687,.F.); #243774=ORIENTED_EDGE('',*,*,#188688,.F.); #243775=ORIENTED_EDGE('',*,*,#188689,.T.); #243776=ORIENTED_EDGE('',*,*,#188688,.T.); #243777=ORIENTED_EDGE('',*,*,#188690,.F.); #243778=ORIENTED_EDGE('',*,*,#188691,.F.); #243779=ORIENTED_EDGE('',*,*,#188692,.T.); #243780=ORIENTED_EDGE('',*,*,#188691,.T.); #243781=ORIENTED_EDGE('',*,*,#188693,.F.); #243782=ORIENTED_EDGE('',*,*,#188694,.F.); #243783=ORIENTED_EDGE('',*,*,#188695,.T.); #243784=ORIENTED_EDGE('',*,*,#188694,.T.); #243785=ORIENTED_EDGE('',*,*,#188696,.F.); #243786=ORIENTED_EDGE('',*,*,#188697,.F.); #243787=ORIENTED_EDGE('',*,*,#188698,.T.); #243788=ORIENTED_EDGE('',*,*,#188697,.T.); #243789=ORIENTED_EDGE('',*,*,#188699,.F.); #243790=ORIENTED_EDGE('',*,*,#188700,.F.); #243791=ORIENTED_EDGE('',*,*,#188701,.T.); #243792=ORIENTED_EDGE('',*,*,#188700,.T.); #243793=ORIENTED_EDGE('',*,*,#188702,.F.); #243794=ORIENTED_EDGE('',*,*,#188703,.F.); #243795=ORIENTED_EDGE('',*,*,#188704,.T.); #243796=ORIENTED_EDGE('',*,*,#188703,.T.); #243797=ORIENTED_EDGE('',*,*,#188705,.F.); #243798=ORIENTED_EDGE('',*,*,#188706,.F.); #243799=ORIENTED_EDGE('',*,*,#188707,.T.); #243800=ORIENTED_EDGE('',*,*,#188706,.T.); #243801=ORIENTED_EDGE('',*,*,#188708,.F.); #243802=ORIENTED_EDGE('',*,*,#188709,.F.); #243803=ORIENTED_EDGE('',*,*,#188710,.T.); #243804=ORIENTED_EDGE('',*,*,#188709,.T.); #243805=ORIENTED_EDGE('',*,*,#188711,.F.); #243806=ORIENTED_EDGE('',*,*,#188712,.F.); #243807=ORIENTED_EDGE('',*,*,#188713,.T.); #243808=ORIENTED_EDGE('',*,*,#188712,.T.); #243809=ORIENTED_EDGE('',*,*,#188714,.F.); #243810=ORIENTED_EDGE('',*,*,#188715,.F.); #243811=ORIENTED_EDGE('',*,*,#188716,.T.); #243812=ORIENTED_EDGE('',*,*,#188715,.T.); #243813=ORIENTED_EDGE('',*,*,#188717,.F.); #243814=ORIENTED_EDGE('',*,*,#188718,.F.); #243815=ORIENTED_EDGE('',*,*,#188719,.T.); #243816=ORIENTED_EDGE('',*,*,#188718,.T.); #243817=ORIENTED_EDGE('',*,*,#188720,.F.); #243818=ORIENTED_EDGE('',*,*,#188721,.F.); #243819=ORIENTED_EDGE('',*,*,#188722,.T.); #243820=ORIENTED_EDGE('',*,*,#188721,.T.); #243821=ORIENTED_EDGE('',*,*,#188723,.F.); #243822=ORIENTED_EDGE('',*,*,#188724,.F.); #243823=ORIENTED_EDGE('',*,*,#188725,.T.); #243824=ORIENTED_EDGE('',*,*,#188724,.T.); #243825=ORIENTED_EDGE('',*,*,#188726,.F.); #243826=ORIENTED_EDGE('',*,*,#188727,.F.); #243827=ORIENTED_EDGE('',*,*,#188728,.T.); #243828=ORIENTED_EDGE('',*,*,#188727,.T.); #243829=ORIENTED_EDGE('',*,*,#188729,.F.); #243830=ORIENTED_EDGE('',*,*,#188730,.F.); #243831=ORIENTED_EDGE('',*,*,#188731,.T.); #243832=ORIENTED_EDGE('',*,*,#188730,.T.); #243833=ORIENTED_EDGE('',*,*,#188732,.F.); #243834=ORIENTED_EDGE('',*,*,#188733,.F.); #243835=ORIENTED_EDGE('',*,*,#188734,.T.); #243836=ORIENTED_EDGE('',*,*,#188733,.T.); #243837=ORIENTED_EDGE('',*,*,#188735,.F.); #243838=ORIENTED_EDGE('',*,*,#188736,.F.); #243839=ORIENTED_EDGE('',*,*,#188737,.T.); #243840=ORIENTED_EDGE('',*,*,#188736,.T.); #243841=ORIENTED_EDGE('',*,*,#188738,.F.); #243842=ORIENTED_EDGE('',*,*,#188739,.F.); #243843=ORIENTED_EDGE('',*,*,#188740,.T.); #243844=ORIENTED_EDGE('',*,*,#188739,.T.); #243845=ORIENTED_EDGE('',*,*,#188741,.F.); #243846=ORIENTED_EDGE('',*,*,#188742,.F.); #243847=ORIENTED_EDGE('',*,*,#188743,.T.); #243848=ORIENTED_EDGE('',*,*,#188742,.T.); #243849=ORIENTED_EDGE('',*,*,#188744,.F.); #243850=ORIENTED_EDGE('',*,*,#188745,.F.); #243851=ORIENTED_EDGE('',*,*,#188746,.T.); #243852=ORIENTED_EDGE('',*,*,#188745,.T.); #243853=ORIENTED_EDGE('',*,*,#188747,.F.); #243854=ORIENTED_EDGE('',*,*,#188748,.F.); #243855=ORIENTED_EDGE('',*,*,#188749,.T.); #243856=ORIENTED_EDGE('',*,*,#188748,.T.); #243857=ORIENTED_EDGE('',*,*,#188750,.F.); #243858=ORIENTED_EDGE('',*,*,#188751,.F.); #243859=ORIENTED_EDGE('',*,*,#188752,.T.); #243860=ORIENTED_EDGE('',*,*,#188751,.T.); #243861=ORIENTED_EDGE('',*,*,#188753,.F.); #243862=ORIENTED_EDGE('',*,*,#188754,.F.); #243863=ORIENTED_EDGE('',*,*,#188755,.T.); #243864=ORIENTED_EDGE('',*,*,#188754,.T.); #243865=ORIENTED_EDGE('',*,*,#188756,.F.); #243866=ORIENTED_EDGE('',*,*,#188757,.F.); #243867=ORIENTED_EDGE('',*,*,#188758,.T.); #243868=ORIENTED_EDGE('',*,*,#188757,.T.); #243869=ORIENTED_EDGE('',*,*,#188759,.F.); #243870=ORIENTED_EDGE('',*,*,#188647,.F.); #243871=ORIENTED_EDGE('',*,*,#188759,.T.); #243872=ORIENTED_EDGE('',*,*,#188756,.T.); #243873=ORIENTED_EDGE('',*,*,#188753,.T.); #243874=ORIENTED_EDGE('',*,*,#188750,.T.); #243875=ORIENTED_EDGE('',*,*,#188747,.T.); #243876=ORIENTED_EDGE('',*,*,#188744,.T.); #243877=ORIENTED_EDGE('',*,*,#188741,.T.); #243878=ORIENTED_EDGE('',*,*,#188738,.T.); #243879=ORIENTED_EDGE('',*,*,#188735,.T.); #243880=ORIENTED_EDGE('',*,*,#188732,.T.); #243881=ORIENTED_EDGE('',*,*,#188729,.T.); #243882=ORIENTED_EDGE('',*,*,#188726,.T.); #243883=ORIENTED_EDGE('',*,*,#188723,.T.); #243884=ORIENTED_EDGE('',*,*,#188720,.T.); #243885=ORIENTED_EDGE('',*,*,#188717,.T.); #243886=ORIENTED_EDGE('',*,*,#188714,.T.); #243887=ORIENTED_EDGE('',*,*,#188711,.T.); #243888=ORIENTED_EDGE('',*,*,#188708,.T.); #243889=ORIENTED_EDGE('',*,*,#188705,.T.); #243890=ORIENTED_EDGE('',*,*,#188702,.T.); #243891=ORIENTED_EDGE('',*,*,#188699,.T.); #243892=ORIENTED_EDGE('',*,*,#188696,.T.); #243893=ORIENTED_EDGE('',*,*,#188693,.T.); #243894=ORIENTED_EDGE('',*,*,#188690,.T.); #243895=ORIENTED_EDGE('',*,*,#188687,.T.); #243896=ORIENTED_EDGE('',*,*,#188684,.T.); #243897=ORIENTED_EDGE('',*,*,#188681,.T.); #243898=ORIENTED_EDGE('',*,*,#188678,.T.); #243899=ORIENTED_EDGE('',*,*,#188675,.T.); #243900=ORIENTED_EDGE('',*,*,#188672,.T.); #243901=ORIENTED_EDGE('',*,*,#188669,.T.); #243902=ORIENTED_EDGE('',*,*,#188666,.T.); #243903=ORIENTED_EDGE('',*,*,#188663,.T.); #243904=ORIENTED_EDGE('',*,*,#188660,.T.); #243905=ORIENTED_EDGE('',*,*,#188657,.T.); #243906=ORIENTED_EDGE('',*,*,#188654,.T.); #243907=ORIENTED_EDGE('',*,*,#188651,.T.); #243908=ORIENTED_EDGE('',*,*,#188648,.T.); #243909=ORIENTED_EDGE('',*,*,#188758,.F.); #243910=ORIENTED_EDGE('',*,*,#188646,.F.); #243911=ORIENTED_EDGE('',*,*,#188650,.F.); #243912=ORIENTED_EDGE('',*,*,#188653,.F.); #243913=ORIENTED_EDGE('',*,*,#188656,.F.); #243914=ORIENTED_EDGE('',*,*,#188659,.F.); #243915=ORIENTED_EDGE('',*,*,#188662,.F.); #243916=ORIENTED_EDGE('',*,*,#188665,.F.); #243917=ORIENTED_EDGE('',*,*,#188668,.F.); #243918=ORIENTED_EDGE('',*,*,#188671,.F.); #243919=ORIENTED_EDGE('',*,*,#188674,.F.); #243920=ORIENTED_EDGE('',*,*,#188677,.F.); #243921=ORIENTED_EDGE('',*,*,#188680,.F.); #243922=ORIENTED_EDGE('',*,*,#188683,.F.); #243923=ORIENTED_EDGE('',*,*,#188686,.F.); #243924=ORIENTED_EDGE('',*,*,#188689,.F.); #243925=ORIENTED_EDGE('',*,*,#188692,.F.); #243926=ORIENTED_EDGE('',*,*,#188695,.F.); #243927=ORIENTED_EDGE('',*,*,#188698,.F.); #243928=ORIENTED_EDGE('',*,*,#188701,.F.); #243929=ORIENTED_EDGE('',*,*,#188704,.F.); #243930=ORIENTED_EDGE('',*,*,#188707,.F.); #243931=ORIENTED_EDGE('',*,*,#188710,.F.); #243932=ORIENTED_EDGE('',*,*,#188713,.F.); #243933=ORIENTED_EDGE('',*,*,#188716,.F.); #243934=ORIENTED_EDGE('',*,*,#188719,.F.); #243935=ORIENTED_EDGE('',*,*,#188722,.F.); #243936=ORIENTED_EDGE('',*,*,#188725,.F.); #243937=ORIENTED_EDGE('',*,*,#188728,.F.); #243938=ORIENTED_EDGE('',*,*,#188731,.F.); #243939=ORIENTED_EDGE('',*,*,#188734,.F.); #243940=ORIENTED_EDGE('',*,*,#188737,.F.); #243941=ORIENTED_EDGE('',*,*,#188740,.F.); #243942=ORIENTED_EDGE('',*,*,#188743,.F.); #243943=ORIENTED_EDGE('',*,*,#188746,.F.); #243944=ORIENTED_EDGE('',*,*,#188749,.F.); #243945=ORIENTED_EDGE('',*,*,#188752,.F.); #243946=ORIENTED_EDGE('',*,*,#188755,.F.); #243947=ORIENTED_EDGE('',*,*,#188760,.T.); #243948=ORIENTED_EDGE('',*,*,#188761,.T.); #243949=ORIENTED_EDGE('',*,*,#188762,.F.); #243950=ORIENTED_EDGE('',*,*,#188763,.F.); #243951=ORIENTED_EDGE('',*,*,#188764,.T.); #243952=ORIENTED_EDGE('',*,*,#188763,.T.); #243953=ORIENTED_EDGE('',*,*,#188765,.F.); #243954=ORIENTED_EDGE('',*,*,#188766,.F.); #243955=ORIENTED_EDGE('',*,*,#188767,.T.); #243956=ORIENTED_EDGE('',*,*,#188766,.T.); #243957=ORIENTED_EDGE('',*,*,#188768,.F.); #243958=ORIENTED_EDGE('',*,*,#188769,.F.); #243959=ORIENTED_EDGE('',*,*,#188770,.T.); #243960=ORIENTED_EDGE('',*,*,#188769,.T.); #243961=ORIENTED_EDGE('',*,*,#188771,.F.); #243962=ORIENTED_EDGE('',*,*,#188772,.F.); #243963=ORIENTED_EDGE('',*,*,#188773,.T.); #243964=ORIENTED_EDGE('',*,*,#188772,.T.); #243965=ORIENTED_EDGE('',*,*,#188774,.F.); #243966=ORIENTED_EDGE('',*,*,#188775,.F.); #243967=ORIENTED_EDGE('',*,*,#188776,.T.); #243968=ORIENTED_EDGE('',*,*,#188775,.T.); #243969=ORIENTED_EDGE('',*,*,#188777,.F.); #243970=ORIENTED_EDGE('',*,*,#188778,.F.); #243971=ORIENTED_EDGE('',*,*,#188779,.T.); #243972=ORIENTED_EDGE('',*,*,#188778,.T.); #243973=ORIENTED_EDGE('',*,*,#188780,.F.); #243974=ORIENTED_EDGE('',*,*,#188781,.F.); #243975=ORIENTED_EDGE('',*,*,#188782,.T.); #243976=ORIENTED_EDGE('',*,*,#188781,.T.); #243977=ORIENTED_EDGE('',*,*,#188783,.F.); #243978=ORIENTED_EDGE('',*,*,#188784,.F.); #243979=ORIENTED_EDGE('',*,*,#188785,.T.); #243980=ORIENTED_EDGE('',*,*,#188784,.T.); #243981=ORIENTED_EDGE('',*,*,#188786,.F.); #243982=ORIENTED_EDGE('',*,*,#188787,.F.); #243983=ORIENTED_EDGE('',*,*,#188788,.T.); #243984=ORIENTED_EDGE('',*,*,#188787,.T.); #243985=ORIENTED_EDGE('',*,*,#188789,.F.); #243986=ORIENTED_EDGE('',*,*,#188790,.F.); #243987=ORIENTED_EDGE('',*,*,#188791,.T.); #243988=ORIENTED_EDGE('',*,*,#188790,.T.); #243989=ORIENTED_EDGE('',*,*,#188792,.F.); #243990=ORIENTED_EDGE('',*,*,#188793,.F.); #243991=ORIENTED_EDGE('',*,*,#188794,.T.); #243992=ORIENTED_EDGE('',*,*,#188793,.T.); #243993=ORIENTED_EDGE('',*,*,#188795,.F.); #243994=ORIENTED_EDGE('',*,*,#188796,.F.); #243995=ORIENTED_EDGE('',*,*,#188797,.T.); #243996=ORIENTED_EDGE('',*,*,#188796,.T.); #243997=ORIENTED_EDGE('',*,*,#188798,.F.); #243998=ORIENTED_EDGE('',*,*,#188799,.F.); #243999=ORIENTED_EDGE('',*,*,#188800,.T.); #244000=ORIENTED_EDGE('',*,*,#188799,.T.); #244001=ORIENTED_EDGE('',*,*,#188801,.F.); #244002=ORIENTED_EDGE('',*,*,#188802,.F.); #244003=ORIENTED_EDGE('',*,*,#188803,.T.); #244004=ORIENTED_EDGE('',*,*,#188802,.T.); #244005=ORIENTED_EDGE('',*,*,#188804,.F.); #244006=ORIENTED_EDGE('',*,*,#188805,.F.); #244007=ORIENTED_EDGE('',*,*,#188806,.T.); #244008=ORIENTED_EDGE('',*,*,#188805,.T.); #244009=ORIENTED_EDGE('',*,*,#188807,.F.); #244010=ORIENTED_EDGE('',*,*,#188808,.F.); #244011=ORIENTED_EDGE('',*,*,#188809,.T.); #244012=ORIENTED_EDGE('',*,*,#188808,.T.); #244013=ORIENTED_EDGE('',*,*,#188810,.F.); #244014=ORIENTED_EDGE('',*,*,#188811,.F.); #244015=ORIENTED_EDGE('',*,*,#188812,.T.); #244016=ORIENTED_EDGE('',*,*,#188811,.T.); #244017=ORIENTED_EDGE('',*,*,#188813,.F.); #244018=ORIENTED_EDGE('',*,*,#188814,.F.); #244019=ORIENTED_EDGE('',*,*,#188815,.T.); #244020=ORIENTED_EDGE('',*,*,#188814,.T.); #244021=ORIENTED_EDGE('',*,*,#188816,.F.); #244022=ORIENTED_EDGE('',*,*,#188817,.F.); #244023=ORIENTED_EDGE('',*,*,#188818,.T.); #244024=ORIENTED_EDGE('',*,*,#188817,.T.); #244025=ORIENTED_EDGE('',*,*,#188819,.F.); #244026=ORIENTED_EDGE('',*,*,#188761,.F.); #244027=ORIENTED_EDGE('',*,*,#188819,.T.); #244028=ORIENTED_EDGE('',*,*,#188816,.T.); #244029=ORIENTED_EDGE('',*,*,#188813,.T.); #244030=ORIENTED_EDGE('',*,*,#188810,.T.); #244031=ORIENTED_EDGE('',*,*,#188807,.T.); #244032=ORIENTED_EDGE('',*,*,#188804,.T.); #244033=ORIENTED_EDGE('',*,*,#188801,.T.); #244034=ORIENTED_EDGE('',*,*,#188798,.T.); #244035=ORIENTED_EDGE('',*,*,#188795,.T.); #244036=ORIENTED_EDGE('',*,*,#188792,.T.); #244037=ORIENTED_EDGE('',*,*,#188789,.T.); #244038=ORIENTED_EDGE('',*,*,#188786,.T.); #244039=ORIENTED_EDGE('',*,*,#188783,.T.); #244040=ORIENTED_EDGE('',*,*,#188780,.T.); #244041=ORIENTED_EDGE('',*,*,#188777,.T.); #244042=ORIENTED_EDGE('',*,*,#188774,.T.); #244043=ORIENTED_EDGE('',*,*,#188771,.T.); #244044=ORIENTED_EDGE('',*,*,#188768,.T.); #244045=ORIENTED_EDGE('',*,*,#188765,.T.); #244046=ORIENTED_EDGE('',*,*,#188762,.T.); #244047=ORIENTED_EDGE('',*,*,#188818,.F.); #244048=ORIENTED_EDGE('',*,*,#188760,.F.); #244049=ORIENTED_EDGE('',*,*,#188764,.F.); #244050=ORIENTED_EDGE('',*,*,#188767,.F.); #244051=ORIENTED_EDGE('',*,*,#188770,.F.); #244052=ORIENTED_EDGE('',*,*,#188773,.F.); #244053=ORIENTED_EDGE('',*,*,#188776,.F.); #244054=ORIENTED_EDGE('',*,*,#188779,.F.); #244055=ORIENTED_EDGE('',*,*,#188782,.F.); #244056=ORIENTED_EDGE('',*,*,#188785,.F.); #244057=ORIENTED_EDGE('',*,*,#188788,.F.); #244058=ORIENTED_EDGE('',*,*,#188791,.F.); #244059=ORIENTED_EDGE('',*,*,#188794,.F.); #244060=ORIENTED_EDGE('',*,*,#188797,.F.); #244061=ORIENTED_EDGE('',*,*,#188800,.F.); #244062=ORIENTED_EDGE('',*,*,#188803,.F.); #244063=ORIENTED_EDGE('',*,*,#188806,.F.); #244064=ORIENTED_EDGE('',*,*,#188809,.F.); #244065=ORIENTED_EDGE('',*,*,#188812,.F.); #244066=ORIENTED_EDGE('',*,*,#188815,.F.); #244067=ORIENTED_EDGE('',*,*,#188820,.F.); #244068=ORIENTED_EDGE('',*,*,#188821,.T.); #244069=ORIENTED_EDGE('',*,*,#188822,.F.); #244070=ORIENTED_EDGE('',*,*,#188821,.F.); #244071=ORIENTED_EDGE('',*,*,#188823,.T.); #244072=ORIENTED_EDGE('',*,*,#188824,.T.); #244073=ORIENTED_EDGE('',*,*,#188825,.F.); #244074=ORIENTED_EDGE('',*,*,#188826,.F.); #244075=ORIENTED_EDGE('',*,*,#188827,.T.); #244076=ORIENTED_EDGE('',*,*,#188826,.T.); #244077=ORIENTED_EDGE('',*,*,#188828,.F.); #244078=ORIENTED_EDGE('',*,*,#188829,.F.); #244079=ORIENTED_EDGE('',*,*,#188830,.T.); #244080=ORIENTED_EDGE('',*,*,#188829,.T.); #244081=ORIENTED_EDGE('',*,*,#188831,.F.); #244082=ORIENTED_EDGE('',*,*,#188832,.F.); #244083=ORIENTED_EDGE('',*,*,#188833,.T.); #244084=ORIENTED_EDGE('',*,*,#188832,.T.); #244085=ORIENTED_EDGE('',*,*,#188834,.F.); #244086=ORIENTED_EDGE('',*,*,#188835,.F.); #244087=ORIENTED_EDGE('',*,*,#188836,.T.); #244088=ORIENTED_EDGE('',*,*,#188835,.T.); #244089=ORIENTED_EDGE('',*,*,#188837,.F.); #244090=ORIENTED_EDGE('',*,*,#188838,.F.); #244091=ORIENTED_EDGE('',*,*,#188839,.T.); #244092=ORIENTED_EDGE('',*,*,#188838,.T.); #244093=ORIENTED_EDGE('',*,*,#188840,.F.); #244094=ORIENTED_EDGE('',*,*,#188841,.F.); #244095=ORIENTED_EDGE('',*,*,#188842,.T.); #244096=ORIENTED_EDGE('',*,*,#188841,.T.); #244097=ORIENTED_EDGE('',*,*,#188843,.F.); #244098=ORIENTED_EDGE('',*,*,#188844,.F.); #244099=ORIENTED_EDGE('',*,*,#188845,.T.); #244100=ORIENTED_EDGE('',*,*,#188844,.T.); #244101=ORIENTED_EDGE('',*,*,#188846,.F.); #244102=ORIENTED_EDGE('',*,*,#188847,.F.); #244103=ORIENTED_EDGE('',*,*,#188848,.T.); #244104=ORIENTED_EDGE('',*,*,#188847,.T.); #244105=ORIENTED_EDGE('',*,*,#188849,.F.); #244106=ORIENTED_EDGE('',*,*,#188850,.F.); #244107=ORIENTED_EDGE('',*,*,#188851,.T.); #244108=ORIENTED_EDGE('',*,*,#188850,.T.); #244109=ORIENTED_EDGE('',*,*,#188852,.F.); #244110=ORIENTED_EDGE('',*,*,#188853,.F.); #244111=ORIENTED_EDGE('',*,*,#188854,.T.); #244112=ORIENTED_EDGE('',*,*,#188853,.T.); #244113=ORIENTED_EDGE('',*,*,#188855,.F.); #244114=ORIENTED_EDGE('',*,*,#188856,.F.); #244115=ORIENTED_EDGE('',*,*,#188857,.T.); #244116=ORIENTED_EDGE('',*,*,#188856,.T.); #244117=ORIENTED_EDGE('',*,*,#188858,.F.); #244118=ORIENTED_EDGE('',*,*,#188859,.F.); #244119=ORIENTED_EDGE('',*,*,#188860,.T.); #244120=ORIENTED_EDGE('',*,*,#188859,.T.); #244121=ORIENTED_EDGE('',*,*,#188861,.F.); #244122=ORIENTED_EDGE('',*,*,#188862,.F.); #244123=ORIENTED_EDGE('',*,*,#188863,.T.); #244124=ORIENTED_EDGE('',*,*,#188862,.T.); #244125=ORIENTED_EDGE('',*,*,#188864,.F.); #244126=ORIENTED_EDGE('',*,*,#188865,.F.); #244127=ORIENTED_EDGE('',*,*,#188866,.T.); #244128=ORIENTED_EDGE('',*,*,#188865,.T.); #244129=ORIENTED_EDGE('',*,*,#188867,.F.); #244130=ORIENTED_EDGE('',*,*,#188868,.F.); #244131=ORIENTED_EDGE('',*,*,#188869,.T.); #244132=ORIENTED_EDGE('',*,*,#188868,.T.); #244133=ORIENTED_EDGE('',*,*,#188870,.F.); #244134=ORIENTED_EDGE('',*,*,#188871,.F.); #244135=ORIENTED_EDGE('',*,*,#188872,.T.); #244136=ORIENTED_EDGE('',*,*,#188871,.T.); #244137=ORIENTED_EDGE('',*,*,#188873,.F.); #244138=ORIENTED_EDGE('',*,*,#188874,.F.); #244139=ORIENTED_EDGE('',*,*,#188875,.T.); #244140=ORIENTED_EDGE('',*,*,#188874,.T.); #244141=ORIENTED_EDGE('',*,*,#188876,.F.); #244142=ORIENTED_EDGE('',*,*,#188877,.F.); #244143=ORIENTED_EDGE('',*,*,#188878,.T.); #244144=ORIENTED_EDGE('',*,*,#188877,.T.); #244145=ORIENTED_EDGE('',*,*,#188879,.F.); #244146=ORIENTED_EDGE('',*,*,#188880,.F.); #244147=ORIENTED_EDGE('',*,*,#188881,.T.); #244148=ORIENTED_EDGE('',*,*,#188880,.T.); #244149=ORIENTED_EDGE('',*,*,#188882,.F.); #244150=ORIENTED_EDGE('',*,*,#188883,.F.); #244151=ORIENTED_EDGE('',*,*,#188884,.T.); #244152=ORIENTED_EDGE('',*,*,#188883,.T.); #244153=ORIENTED_EDGE('',*,*,#188885,.F.); #244154=ORIENTED_EDGE('',*,*,#188824,.F.); #244155=ORIENTED_EDGE('',*,*,#188885,.T.); #244156=ORIENTED_EDGE('',*,*,#188882,.T.); #244157=ORIENTED_EDGE('',*,*,#188879,.T.); #244158=ORIENTED_EDGE('',*,*,#188876,.T.); #244159=ORIENTED_EDGE('',*,*,#188873,.T.); #244160=ORIENTED_EDGE('',*,*,#188870,.T.); #244161=ORIENTED_EDGE('',*,*,#188867,.T.); #244162=ORIENTED_EDGE('',*,*,#188864,.T.); #244163=ORIENTED_EDGE('',*,*,#188861,.T.); #244164=ORIENTED_EDGE('',*,*,#188858,.T.); #244165=ORIENTED_EDGE('',*,*,#188855,.T.); #244166=ORIENTED_EDGE('',*,*,#188852,.T.); #244167=ORIENTED_EDGE('',*,*,#188849,.T.); #244168=ORIENTED_EDGE('',*,*,#188846,.T.); #244169=ORIENTED_EDGE('',*,*,#188843,.T.); #244170=ORIENTED_EDGE('',*,*,#188840,.T.); #244171=ORIENTED_EDGE('',*,*,#188837,.T.); #244172=ORIENTED_EDGE('',*,*,#188834,.T.); #244173=ORIENTED_EDGE('',*,*,#188831,.T.); #244174=ORIENTED_EDGE('',*,*,#188828,.T.); #244175=ORIENTED_EDGE('',*,*,#188825,.T.); #244176=ORIENTED_EDGE('',*,*,#188822,.T.); #244177=ORIENTED_EDGE('',*,*,#188884,.F.); #244178=ORIENTED_EDGE('',*,*,#188823,.F.); #244179=ORIENTED_EDGE('',*,*,#188827,.F.); #244180=ORIENTED_EDGE('',*,*,#188830,.F.); #244181=ORIENTED_EDGE('',*,*,#188833,.F.); #244182=ORIENTED_EDGE('',*,*,#188836,.F.); #244183=ORIENTED_EDGE('',*,*,#188839,.F.); #244184=ORIENTED_EDGE('',*,*,#188842,.F.); #244185=ORIENTED_EDGE('',*,*,#188845,.F.); #244186=ORIENTED_EDGE('',*,*,#188848,.F.); #244187=ORIENTED_EDGE('',*,*,#188851,.F.); #244188=ORIENTED_EDGE('',*,*,#188854,.F.); #244189=ORIENTED_EDGE('',*,*,#188857,.F.); #244190=ORIENTED_EDGE('',*,*,#188860,.F.); #244191=ORIENTED_EDGE('',*,*,#188863,.F.); #244192=ORIENTED_EDGE('',*,*,#188866,.F.); #244193=ORIENTED_EDGE('',*,*,#188869,.F.); #244194=ORIENTED_EDGE('',*,*,#188872,.F.); #244195=ORIENTED_EDGE('',*,*,#188875,.F.); #244196=ORIENTED_EDGE('',*,*,#188878,.F.); #244197=ORIENTED_EDGE('',*,*,#188881,.F.); #244198=ORIENTED_EDGE('',*,*,#188820,.T.); #244199=ORIENTED_EDGE('',*,*,#188886,.F.); #244200=ORIENTED_EDGE('',*,*,#188887,.T.); #244201=ORIENTED_EDGE('',*,*,#188888,.F.); #244202=ORIENTED_EDGE('',*,*,#188887,.F.); #244203=ORIENTED_EDGE('',*,*,#188889,.T.); #244204=ORIENTED_EDGE('',*,*,#188890,.T.); #244205=ORIENTED_EDGE('',*,*,#188891,.F.); #244206=ORIENTED_EDGE('',*,*,#188892,.F.); #244207=ORIENTED_EDGE('',*,*,#188893,.T.); #244208=ORIENTED_EDGE('',*,*,#188892,.T.); #244209=ORIENTED_EDGE('',*,*,#188894,.F.); #244210=ORIENTED_EDGE('',*,*,#188895,.F.); #244211=ORIENTED_EDGE('',*,*,#188896,.T.); #244212=ORIENTED_EDGE('',*,*,#188895,.T.); #244213=ORIENTED_EDGE('',*,*,#188897,.F.); #244214=ORIENTED_EDGE('',*,*,#188898,.F.); #244215=ORIENTED_EDGE('',*,*,#188899,.T.); #244216=ORIENTED_EDGE('',*,*,#188898,.T.); #244217=ORIENTED_EDGE('',*,*,#188900,.F.); #244218=ORIENTED_EDGE('',*,*,#188901,.F.); #244219=ORIENTED_EDGE('',*,*,#188902,.T.); #244220=ORIENTED_EDGE('',*,*,#188901,.T.); #244221=ORIENTED_EDGE('',*,*,#188903,.F.); #244222=ORIENTED_EDGE('',*,*,#188904,.F.); #244223=ORIENTED_EDGE('',*,*,#188905,.T.); #244224=ORIENTED_EDGE('',*,*,#188904,.T.); #244225=ORIENTED_EDGE('',*,*,#188906,.F.); #244226=ORIENTED_EDGE('',*,*,#188907,.F.); #244227=ORIENTED_EDGE('',*,*,#188908,.T.); #244228=ORIENTED_EDGE('',*,*,#188907,.T.); #244229=ORIENTED_EDGE('',*,*,#188909,.F.); #244230=ORIENTED_EDGE('',*,*,#188910,.F.); #244231=ORIENTED_EDGE('',*,*,#188911,.T.); #244232=ORIENTED_EDGE('',*,*,#188910,.T.); #244233=ORIENTED_EDGE('',*,*,#188912,.F.); #244234=ORIENTED_EDGE('',*,*,#188913,.F.); #244235=ORIENTED_EDGE('',*,*,#188914,.T.); #244236=ORIENTED_EDGE('',*,*,#188913,.T.); #244237=ORIENTED_EDGE('',*,*,#188915,.F.); #244238=ORIENTED_EDGE('',*,*,#188916,.F.); #244239=ORIENTED_EDGE('',*,*,#188917,.T.); #244240=ORIENTED_EDGE('',*,*,#188916,.T.); #244241=ORIENTED_EDGE('',*,*,#188918,.F.); #244242=ORIENTED_EDGE('',*,*,#188919,.F.); #244243=ORIENTED_EDGE('',*,*,#188920,.T.); #244244=ORIENTED_EDGE('',*,*,#188919,.T.); #244245=ORIENTED_EDGE('',*,*,#188921,.F.); #244246=ORIENTED_EDGE('',*,*,#188922,.F.); #244247=ORIENTED_EDGE('',*,*,#188923,.T.); #244248=ORIENTED_EDGE('',*,*,#188922,.T.); #244249=ORIENTED_EDGE('',*,*,#188924,.F.); #244250=ORIENTED_EDGE('',*,*,#188925,.F.); #244251=ORIENTED_EDGE('',*,*,#188926,.T.); #244252=ORIENTED_EDGE('',*,*,#188925,.T.); #244253=ORIENTED_EDGE('',*,*,#188927,.F.); #244254=ORIENTED_EDGE('',*,*,#188928,.F.); #244255=ORIENTED_EDGE('',*,*,#188929,.T.); #244256=ORIENTED_EDGE('',*,*,#188928,.T.); #244257=ORIENTED_EDGE('',*,*,#188930,.F.); #244258=ORIENTED_EDGE('',*,*,#188931,.F.); #244259=ORIENTED_EDGE('',*,*,#188932,.T.); #244260=ORIENTED_EDGE('',*,*,#188931,.T.); #244261=ORIENTED_EDGE('',*,*,#188933,.F.); #244262=ORIENTED_EDGE('',*,*,#188934,.F.); #244263=ORIENTED_EDGE('',*,*,#188935,.T.); #244264=ORIENTED_EDGE('',*,*,#188934,.T.); #244265=ORIENTED_EDGE('',*,*,#188936,.F.); #244266=ORIENTED_EDGE('',*,*,#188890,.F.); #244267=ORIENTED_EDGE('',*,*,#188936,.T.); #244268=ORIENTED_EDGE('',*,*,#188933,.T.); #244269=ORIENTED_EDGE('',*,*,#188930,.T.); #244270=ORIENTED_EDGE('',*,*,#188927,.T.); #244271=ORIENTED_EDGE('',*,*,#188924,.T.); #244272=ORIENTED_EDGE('',*,*,#188921,.T.); #244273=ORIENTED_EDGE('',*,*,#188918,.T.); #244274=ORIENTED_EDGE('',*,*,#188915,.T.); #244275=ORIENTED_EDGE('',*,*,#188912,.T.); #244276=ORIENTED_EDGE('',*,*,#188909,.T.); #244277=ORIENTED_EDGE('',*,*,#188906,.T.); #244278=ORIENTED_EDGE('',*,*,#188903,.T.); #244279=ORIENTED_EDGE('',*,*,#188900,.T.); #244280=ORIENTED_EDGE('',*,*,#188897,.T.); #244281=ORIENTED_EDGE('',*,*,#188894,.T.); #244282=ORIENTED_EDGE('',*,*,#188891,.T.); #244283=ORIENTED_EDGE('',*,*,#188888,.T.); #244284=ORIENTED_EDGE('',*,*,#188935,.F.); #244285=ORIENTED_EDGE('',*,*,#188889,.F.); #244286=ORIENTED_EDGE('',*,*,#188893,.F.); #244287=ORIENTED_EDGE('',*,*,#188896,.F.); #244288=ORIENTED_EDGE('',*,*,#188899,.F.); #244289=ORIENTED_EDGE('',*,*,#188902,.F.); #244290=ORIENTED_EDGE('',*,*,#188905,.F.); #244291=ORIENTED_EDGE('',*,*,#188908,.F.); #244292=ORIENTED_EDGE('',*,*,#188911,.F.); #244293=ORIENTED_EDGE('',*,*,#188914,.F.); #244294=ORIENTED_EDGE('',*,*,#188917,.F.); #244295=ORIENTED_EDGE('',*,*,#188920,.F.); #244296=ORIENTED_EDGE('',*,*,#188923,.F.); #244297=ORIENTED_EDGE('',*,*,#188926,.F.); #244298=ORIENTED_EDGE('',*,*,#188929,.F.); #244299=ORIENTED_EDGE('',*,*,#188932,.F.); #244300=ORIENTED_EDGE('',*,*,#188886,.T.); #244301=ORIENTED_EDGE('',*,*,#188937,.F.); #244302=ORIENTED_EDGE('',*,*,#188938,.T.); #244303=ORIENTED_EDGE('',*,*,#188939,.F.); #244304=ORIENTED_EDGE('',*,*,#188938,.F.); #244305=ORIENTED_EDGE('',*,*,#188940,.T.); #244306=ORIENTED_EDGE('',*,*,#188941,.T.); #244307=ORIENTED_EDGE('',*,*,#188942,.F.); #244308=ORIENTED_EDGE('',*,*,#188943,.F.); #244309=ORIENTED_EDGE('',*,*,#188944,.T.); #244310=ORIENTED_EDGE('',*,*,#188943,.T.); #244311=ORIENTED_EDGE('',*,*,#188945,.F.); #244312=ORIENTED_EDGE('',*,*,#188946,.F.); #244313=ORIENTED_EDGE('',*,*,#188947,.T.); #244314=ORIENTED_EDGE('',*,*,#188946,.T.); #244315=ORIENTED_EDGE('',*,*,#188948,.F.); #244316=ORIENTED_EDGE('',*,*,#188949,.F.); #244317=ORIENTED_EDGE('',*,*,#188950,.T.); #244318=ORIENTED_EDGE('',*,*,#188949,.T.); #244319=ORIENTED_EDGE('',*,*,#188951,.F.); #244320=ORIENTED_EDGE('',*,*,#188952,.F.); #244321=ORIENTED_EDGE('',*,*,#188953,.T.); #244322=ORIENTED_EDGE('',*,*,#188952,.T.); #244323=ORIENTED_EDGE('',*,*,#188954,.F.); #244324=ORIENTED_EDGE('',*,*,#188955,.F.); #244325=ORIENTED_EDGE('',*,*,#188956,.T.); #244326=ORIENTED_EDGE('',*,*,#188955,.T.); #244327=ORIENTED_EDGE('',*,*,#188957,.F.); #244328=ORIENTED_EDGE('',*,*,#188958,.F.); #244329=ORIENTED_EDGE('',*,*,#188959,.T.); #244330=ORIENTED_EDGE('',*,*,#188958,.T.); #244331=ORIENTED_EDGE('',*,*,#188960,.F.); #244332=ORIENTED_EDGE('',*,*,#188961,.F.); #244333=ORIENTED_EDGE('',*,*,#188962,.T.); #244334=ORIENTED_EDGE('',*,*,#188961,.T.); #244335=ORIENTED_EDGE('',*,*,#188963,.F.); #244336=ORIENTED_EDGE('',*,*,#188964,.F.); #244337=ORIENTED_EDGE('',*,*,#188965,.T.); #244338=ORIENTED_EDGE('',*,*,#188964,.T.); #244339=ORIENTED_EDGE('',*,*,#188966,.F.); #244340=ORIENTED_EDGE('',*,*,#188967,.F.); #244341=ORIENTED_EDGE('',*,*,#188968,.T.); #244342=ORIENTED_EDGE('',*,*,#188967,.T.); #244343=ORIENTED_EDGE('',*,*,#188969,.F.); #244344=ORIENTED_EDGE('',*,*,#188970,.F.); #244345=ORIENTED_EDGE('',*,*,#188971,.T.); #244346=ORIENTED_EDGE('',*,*,#188970,.T.); #244347=ORIENTED_EDGE('',*,*,#188972,.F.); #244348=ORIENTED_EDGE('',*,*,#188973,.F.); #244349=ORIENTED_EDGE('',*,*,#188974,.T.); #244350=ORIENTED_EDGE('',*,*,#188973,.T.); #244351=ORIENTED_EDGE('',*,*,#188975,.F.); #244352=ORIENTED_EDGE('',*,*,#188976,.F.); #244353=ORIENTED_EDGE('',*,*,#188977,.T.); #244354=ORIENTED_EDGE('',*,*,#188976,.T.); #244355=ORIENTED_EDGE('',*,*,#188978,.F.); #244356=ORIENTED_EDGE('',*,*,#188941,.F.); #244357=ORIENTED_EDGE('',*,*,#188978,.T.); #244358=ORIENTED_EDGE('',*,*,#188975,.T.); #244359=ORIENTED_EDGE('',*,*,#188972,.T.); #244360=ORIENTED_EDGE('',*,*,#188969,.T.); #244361=ORIENTED_EDGE('',*,*,#188966,.T.); #244362=ORIENTED_EDGE('',*,*,#188963,.T.); #244363=ORIENTED_EDGE('',*,*,#188960,.T.); #244364=ORIENTED_EDGE('',*,*,#188957,.T.); #244365=ORIENTED_EDGE('',*,*,#188954,.T.); #244366=ORIENTED_EDGE('',*,*,#188951,.T.); #244367=ORIENTED_EDGE('',*,*,#188948,.T.); #244368=ORIENTED_EDGE('',*,*,#188945,.T.); #244369=ORIENTED_EDGE('',*,*,#188942,.T.); #244370=ORIENTED_EDGE('',*,*,#188939,.T.); #244371=ORIENTED_EDGE('',*,*,#188977,.F.); #244372=ORIENTED_EDGE('',*,*,#188940,.F.); #244373=ORIENTED_EDGE('',*,*,#188944,.F.); #244374=ORIENTED_EDGE('',*,*,#188947,.F.); #244375=ORIENTED_EDGE('',*,*,#188950,.F.); #244376=ORIENTED_EDGE('',*,*,#188953,.F.); #244377=ORIENTED_EDGE('',*,*,#188956,.F.); #244378=ORIENTED_EDGE('',*,*,#188959,.F.); #244379=ORIENTED_EDGE('',*,*,#188962,.F.); #244380=ORIENTED_EDGE('',*,*,#188965,.F.); #244381=ORIENTED_EDGE('',*,*,#188968,.F.); #244382=ORIENTED_EDGE('',*,*,#188971,.F.); #244383=ORIENTED_EDGE('',*,*,#188974,.F.); #244384=ORIENTED_EDGE('',*,*,#188937,.T.); #244385=ORIENTED_EDGE('',*,*,#188979,.F.); #244386=ORIENTED_EDGE('',*,*,#188980,.T.); #244387=ORIENTED_EDGE('',*,*,#188981,.F.); #244388=ORIENTED_EDGE('',*,*,#188980,.F.); #244389=ORIENTED_EDGE('',*,*,#188982,.T.); #244390=ORIENTED_EDGE('',*,*,#188983,.T.); #244391=ORIENTED_EDGE('',*,*,#188984,.F.); #244392=ORIENTED_EDGE('',*,*,#188985,.F.); #244393=ORIENTED_EDGE('',*,*,#188986,.T.); #244394=ORIENTED_EDGE('',*,*,#188985,.T.); #244395=ORIENTED_EDGE('',*,*,#188987,.F.); #244396=ORIENTED_EDGE('',*,*,#188988,.F.); #244397=ORIENTED_EDGE('',*,*,#188989,.T.); #244398=ORIENTED_EDGE('',*,*,#188988,.T.); #244399=ORIENTED_EDGE('',*,*,#188990,.F.); #244400=ORIENTED_EDGE('',*,*,#188991,.F.); #244401=ORIENTED_EDGE('',*,*,#188992,.T.); #244402=ORIENTED_EDGE('',*,*,#188991,.T.); #244403=ORIENTED_EDGE('',*,*,#188993,.F.); #244404=ORIENTED_EDGE('',*,*,#188994,.F.); #244405=ORIENTED_EDGE('',*,*,#188995,.T.); #244406=ORIENTED_EDGE('',*,*,#188994,.T.); #244407=ORIENTED_EDGE('',*,*,#188996,.F.); #244408=ORIENTED_EDGE('',*,*,#188997,.F.); #244409=ORIENTED_EDGE('',*,*,#188998,.T.); #244410=ORIENTED_EDGE('',*,*,#188997,.T.); #244411=ORIENTED_EDGE('',*,*,#188999,.F.); #244412=ORIENTED_EDGE('',*,*,#189000,.F.); #244413=ORIENTED_EDGE('',*,*,#189001,.T.); #244414=ORIENTED_EDGE('',*,*,#189000,.T.); #244415=ORIENTED_EDGE('',*,*,#189002,.F.); #244416=ORIENTED_EDGE('',*,*,#189003,.F.); #244417=ORIENTED_EDGE('',*,*,#189004,.T.); #244418=ORIENTED_EDGE('',*,*,#189003,.T.); #244419=ORIENTED_EDGE('',*,*,#189005,.F.); #244420=ORIENTED_EDGE('',*,*,#189006,.F.); #244421=ORIENTED_EDGE('',*,*,#189007,.T.); #244422=ORIENTED_EDGE('',*,*,#189006,.T.); #244423=ORIENTED_EDGE('',*,*,#189008,.F.); #244424=ORIENTED_EDGE('',*,*,#189009,.F.); #244425=ORIENTED_EDGE('',*,*,#189010,.T.); #244426=ORIENTED_EDGE('',*,*,#189009,.T.); #244427=ORIENTED_EDGE('',*,*,#189011,.F.); #244428=ORIENTED_EDGE('',*,*,#189012,.F.); #244429=ORIENTED_EDGE('',*,*,#189013,.T.); #244430=ORIENTED_EDGE('',*,*,#189012,.T.); #244431=ORIENTED_EDGE('',*,*,#189014,.F.); #244432=ORIENTED_EDGE('',*,*,#189015,.F.); #244433=ORIENTED_EDGE('',*,*,#189016,.T.); #244434=ORIENTED_EDGE('',*,*,#189015,.T.); #244435=ORIENTED_EDGE('',*,*,#189017,.F.); #244436=ORIENTED_EDGE('',*,*,#189018,.F.); #244437=ORIENTED_EDGE('',*,*,#189019,.T.); #244438=ORIENTED_EDGE('',*,*,#189018,.T.); #244439=ORIENTED_EDGE('',*,*,#189020,.F.); #244440=ORIENTED_EDGE('',*,*,#189021,.F.); #244441=ORIENTED_EDGE('',*,*,#189022,.T.); #244442=ORIENTED_EDGE('',*,*,#189021,.T.); #244443=ORIENTED_EDGE('',*,*,#189023,.F.); #244444=ORIENTED_EDGE('',*,*,#189024,.F.); #244445=ORIENTED_EDGE('',*,*,#189025,.T.); #244446=ORIENTED_EDGE('',*,*,#189024,.T.); #244447=ORIENTED_EDGE('',*,*,#189026,.F.); #244448=ORIENTED_EDGE('',*,*,#189027,.F.); #244449=ORIENTED_EDGE('',*,*,#189028,.T.); #244450=ORIENTED_EDGE('',*,*,#189027,.T.); #244451=ORIENTED_EDGE('',*,*,#189029,.F.); #244452=ORIENTED_EDGE('',*,*,#189030,.F.); #244453=ORIENTED_EDGE('',*,*,#189031,.T.); #244454=ORIENTED_EDGE('',*,*,#189030,.T.); #244455=ORIENTED_EDGE('',*,*,#189032,.F.); #244456=ORIENTED_EDGE('',*,*,#189033,.F.); #244457=ORIENTED_EDGE('',*,*,#189034,.T.); #244458=ORIENTED_EDGE('',*,*,#189033,.T.); #244459=ORIENTED_EDGE('',*,*,#189035,.F.); #244460=ORIENTED_EDGE('',*,*,#188983,.F.); #244461=ORIENTED_EDGE('',*,*,#189035,.T.); #244462=ORIENTED_EDGE('',*,*,#189032,.T.); #244463=ORIENTED_EDGE('',*,*,#189029,.T.); #244464=ORIENTED_EDGE('',*,*,#189026,.T.); #244465=ORIENTED_EDGE('',*,*,#189023,.T.); #244466=ORIENTED_EDGE('',*,*,#189020,.T.); #244467=ORIENTED_EDGE('',*,*,#189017,.T.); #244468=ORIENTED_EDGE('',*,*,#189014,.T.); #244469=ORIENTED_EDGE('',*,*,#189011,.T.); #244470=ORIENTED_EDGE('',*,*,#189008,.T.); #244471=ORIENTED_EDGE('',*,*,#189005,.T.); #244472=ORIENTED_EDGE('',*,*,#189002,.T.); #244473=ORIENTED_EDGE('',*,*,#188999,.T.); #244474=ORIENTED_EDGE('',*,*,#188996,.T.); #244475=ORIENTED_EDGE('',*,*,#188993,.T.); #244476=ORIENTED_EDGE('',*,*,#188990,.T.); #244477=ORIENTED_EDGE('',*,*,#188987,.T.); #244478=ORIENTED_EDGE('',*,*,#188984,.T.); #244479=ORIENTED_EDGE('',*,*,#188981,.T.); #244480=ORIENTED_EDGE('',*,*,#189034,.F.); #244481=ORIENTED_EDGE('',*,*,#188982,.F.); #244482=ORIENTED_EDGE('',*,*,#188986,.F.); #244483=ORIENTED_EDGE('',*,*,#188989,.F.); #244484=ORIENTED_EDGE('',*,*,#188992,.F.); #244485=ORIENTED_EDGE('',*,*,#188995,.F.); #244486=ORIENTED_EDGE('',*,*,#188998,.F.); #244487=ORIENTED_EDGE('',*,*,#189001,.F.); #244488=ORIENTED_EDGE('',*,*,#189004,.F.); #244489=ORIENTED_EDGE('',*,*,#189007,.F.); #244490=ORIENTED_EDGE('',*,*,#189010,.F.); #244491=ORIENTED_EDGE('',*,*,#189013,.F.); #244492=ORIENTED_EDGE('',*,*,#189016,.F.); #244493=ORIENTED_EDGE('',*,*,#189019,.F.); #244494=ORIENTED_EDGE('',*,*,#189022,.F.); #244495=ORIENTED_EDGE('',*,*,#189025,.F.); #244496=ORIENTED_EDGE('',*,*,#189028,.F.); #244497=ORIENTED_EDGE('',*,*,#189031,.F.); #244498=ORIENTED_EDGE('',*,*,#188979,.T.); #244499=ORIENTED_EDGE('',*,*,#189036,.F.); #244500=ORIENTED_EDGE('',*,*,#189037,.T.); #244501=ORIENTED_EDGE('',*,*,#189038,.F.); #244502=ORIENTED_EDGE('',*,*,#189037,.F.); #244503=ORIENTED_EDGE('',*,*,#189039,.T.); #244504=ORIENTED_EDGE('',*,*,#189040,.T.); #244505=ORIENTED_EDGE('',*,*,#189041,.F.); #244506=ORIENTED_EDGE('',*,*,#189042,.F.); #244507=ORIENTED_EDGE('',*,*,#189043,.T.); #244508=ORIENTED_EDGE('',*,*,#189042,.T.); #244509=ORIENTED_EDGE('',*,*,#189044,.F.); #244510=ORIENTED_EDGE('',*,*,#189045,.F.); #244511=ORIENTED_EDGE('',*,*,#189046,.T.); #244512=ORIENTED_EDGE('',*,*,#189045,.T.); #244513=ORIENTED_EDGE('',*,*,#189047,.F.); #244514=ORIENTED_EDGE('',*,*,#189048,.F.); #244515=ORIENTED_EDGE('',*,*,#189049,.T.); #244516=ORIENTED_EDGE('',*,*,#189048,.T.); #244517=ORIENTED_EDGE('',*,*,#189050,.F.); #244518=ORIENTED_EDGE('',*,*,#189051,.F.); #244519=ORIENTED_EDGE('',*,*,#189052,.T.); #244520=ORIENTED_EDGE('',*,*,#189051,.T.); #244521=ORIENTED_EDGE('',*,*,#189053,.F.); #244522=ORIENTED_EDGE('',*,*,#189054,.F.); #244523=ORIENTED_EDGE('',*,*,#189055,.T.); #244524=ORIENTED_EDGE('',*,*,#189054,.T.); #244525=ORIENTED_EDGE('',*,*,#189056,.F.); #244526=ORIENTED_EDGE('',*,*,#189057,.F.); #244527=ORIENTED_EDGE('',*,*,#189058,.T.); #244528=ORIENTED_EDGE('',*,*,#189057,.T.); #244529=ORIENTED_EDGE('',*,*,#189059,.F.); #244530=ORIENTED_EDGE('',*,*,#189060,.F.); #244531=ORIENTED_EDGE('',*,*,#189061,.T.); #244532=ORIENTED_EDGE('',*,*,#189060,.T.); #244533=ORIENTED_EDGE('',*,*,#189062,.F.); #244534=ORIENTED_EDGE('',*,*,#189063,.F.); #244535=ORIENTED_EDGE('',*,*,#189064,.T.); #244536=ORIENTED_EDGE('',*,*,#189063,.T.); #244537=ORIENTED_EDGE('',*,*,#189065,.F.); #244538=ORIENTED_EDGE('',*,*,#189066,.F.); #244539=ORIENTED_EDGE('',*,*,#189067,.T.); #244540=ORIENTED_EDGE('',*,*,#189066,.T.); #244541=ORIENTED_EDGE('',*,*,#189068,.F.); #244542=ORIENTED_EDGE('',*,*,#189069,.F.); #244543=ORIENTED_EDGE('',*,*,#189070,.T.); #244544=ORIENTED_EDGE('',*,*,#189069,.T.); #244545=ORIENTED_EDGE('',*,*,#189071,.F.); #244546=ORIENTED_EDGE('',*,*,#189072,.F.); #244547=ORIENTED_EDGE('',*,*,#189073,.T.); #244548=ORIENTED_EDGE('',*,*,#189072,.T.); #244549=ORIENTED_EDGE('',*,*,#189074,.F.); #244550=ORIENTED_EDGE('',*,*,#189075,.F.); #244551=ORIENTED_EDGE('',*,*,#189076,.T.); #244552=ORIENTED_EDGE('',*,*,#189075,.T.); #244553=ORIENTED_EDGE('',*,*,#189077,.F.); #244554=ORIENTED_EDGE('',*,*,#189040,.F.); #244555=ORIENTED_EDGE('',*,*,#189077,.T.); #244556=ORIENTED_EDGE('',*,*,#189074,.T.); #244557=ORIENTED_EDGE('',*,*,#189071,.T.); #244558=ORIENTED_EDGE('',*,*,#189068,.T.); #244559=ORIENTED_EDGE('',*,*,#189065,.T.); #244560=ORIENTED_EDGE('',*,*,#189062,.T.); #244561=ORIENTED_EDGE('',*,*,#189059,.T.); #244562=ORIENTED_EDGE('',*,*,#189056,.T.); #244563=ORIENTED_EDGE('',*,*,#189053,.T.); #244564=ORIENTED_EDGE('',*,*,#189050,.T.); #244565=ORIENTED_EDGE('',*,*,#189047,.T.); #244566=ORIENTED_EDGE('',*,*,#189044,.T.); #244567=ORIENTED_EDGE('',*,*,#189041,.T.); #244568=ORIENTED_EDGE('',*,*,#189038,.T.); #244569=ORIENTED_EDGE('',*,*,#189076,.F.); #244570=ORIENTED_EDGE('',*,*,#189039,.F.); #244571=ORIENTED_EDGE('',*,*,#189043,.F.); #244572=ORIENTED_EDGE('',*,*,#189046,.F.); #244573=ORIENTED_EDGE('',*,*,#189049,.F.); #244574=ORIENTED_EDGE('',*,*,#189052,.F.); #244575=ORIENTED_EDGE('',*,*,#189055,.F.); #244576=ORIENTED_EDGE('',*,*,#189058,.F.); #244577=ORIENTED_EDGE('',*,*,#189061,.F.); #244578=ORIENTED_EDGE('',*,*,#189064,.F.); #244579=ORIENTED_EDGE('',*,*,#189067,.F.); #244580=ORIENTED_EDGE('',*,*,#189070,.F.); #244581=ORIENTED_EDGE('',*,*,#189073,.F.); #244582=ORIENTED_EDGE('',*,*,#189036,.T.); #244583=ORIENTED_EDGE('',*,*,#189078,.F.); #244584=ORIENTED_EDGE('',*,*,#189079,.T.); #244585=ORIENTED_EDGE('',*,*,#189080,.F.); #244586=ORIENTED_EDGE('',*,*,#189079,.F.); #244587=ORIENTED_EDGE('',*,*,#189081,.T.); #244588=ORIENTED_EDGE('',*,*,#189082,.T.); #244589=ORIENTED_EDGE('',*,*,#189083,.F.); #244590=ORIENTED_EDGE('',*,*,#189084,.F.); #244591=ORIENTED_EDGE('',*,*,#189085,.T.); #244592=ORIENTED_EDGE('',*,*,#189084,.T.); #244593=ORIENTED_EDGE('',*,*,#189086,.F.); #244594=ORIENTED_EDGE('',*,*,#189087,.F.); #244595=ORIENTED_EDGE('',*,*,#189088,.T.); #244596=ORIENTED_EDGE('',*,*,#189087,.T.); #244597=ORIENTED_EDGE('',*,*,#189089,.F.); #244598=ORIENTED_EDGE('',*,*,#189090,.F.); #244599=ORIENTED_EDGE('',*,*,#189091,.T.); #244600=ORIENTED_EDGE('',*,*,#189090,.T.); #244601=ORIENTED_EDGE('',*,*,#189092,.F.); #244602=ORIENTED_EDGE('',*,*,#189093,.F.); #244603=ORIENTED_EDGE('',*,*,#189094,.T.); #244604=ORIENTED_EDGE('',*,*,#189093,.T.); #244605=ORIENTED_EDGE('',*,*,#189095,.F.); #244606=ORIENTED_EDGE('',*,*,#189096,.F.); #244607=ORIENTED_EDGE('',*,*,#189097,.T.); #244608=ORIENTED_EDGE('',*,*,#189096,.T.); #244609=ORIENTED_EDGE('',*,*,#189098,.F.); #244610=ORIENTED_EDGE('',*,*,#189099,.F.); #244611=ORIENTED_EDGE('',*,*,#189100,.T.); #244612=ORIENTED_EDGE('',*,*,#189099,.T.); #244613=ORIENTED_EDGE('',*,*,#189101,.F.); #244614=ORIENTED_EDGE('',*,*,#189102,.F.); #244615=ORIENTED_EDGE('',*,*,#189103,.T.); #244616=ORIENTED_EDGE('',*,*,#189102,.T.); #244617=ORIENTED_EDGE('',*,*,#189104,.F.); #244618=ORIENTED_EDGE('',*,*,#189105,.F.); #244619=ORIENTED_EDGE('',*,*,#189106,.T.); #244620=ORIENTED_EDGE('',*,*,#189105,.T.); #244621=ORIENTED_EDGE('',*,*,#189107,.F.); #244622=ORIENTED_EDGE('',*,*,#189108,.F.); #244623=ORIENTED_EDGE('',*,*,#189109,.T.); #244624=ORIENTED_EDGE('',*,*,#189108,.T.); #244625=ORIENTED_EDGE('',*,*,#189110,.F.); #244626=ORIENTED_EDGE('',*,*,#189111,.F.); #244627=ORIENTED_EDGE('',*,*,#189112,.T.); #244628=ORIENTED_EDGE('',*,*,#189111,.T.); #244629=ORIENTED_EDGE('',*,*,#189113,.F.); #244630=ORIENTED_EDGE('',*,*,#189114,.F.); #244631=ORIENTED_EDGE('',*,*,#189115,.T.); #244632=ORIENTED_EDGE('',*,*,#189114,.T.); #244633=ORIENTED_EDGE('',*,*,#189116,.F.); #244634=ORIENTED_EDGE('',*,*,#189117,.F.); #244635=ORIENTED_EDGE('',*,*,#189118,.T.); #244636=ORIENTED_EDGE('',*,*,#189117,.T.); #244637=ORIENTED_EDGE('',*,*,#189119,.F.); #244638=ORIENTED_EDGE('',*,*,#189120,.F.); #244639=ORIENTED_EDGE('',*,*,#189121,.T.); #244640=ORIENTED_EDGE('',*,*,#189120,.T.); #244641=ORIENTED_EDGE('',*,*,#189122,.F.); #244642=ORIENTED_EDGE('',*,*,#189123,.F.); #244643=ORIENTED_EDGE('',*,*,#189124,.T.); #244644=ORIENTED_EDGE('',*,*,#189123,.T.); #244645=ORIENTED_EDGE('',*,*,#189125,.F.); #244646=ORIENTED_EDGE('',*,*,#189126,.F.); #244647=ORIENTED_EDGE('',*,*,#189127,.T.); #244648=ORIENTED_EDGE('',*,*,#189126,.T.); #244649=ORIENTED_EDGE('',*,*,#189128,.F.); #244650=ORIENTED_EDGE('',*,*,#189129,.F.); #244651=ORIENTED_EDGE('',*,*,#189130,.T.); #244652=ORIENTED_EDGE('',*,*,#189129,.T.); #244653=ORIENTED_EDGE('',*,*,#189131,.F.); #244654=ORIENTED_EDGE('',*,*,#189132,.F.); #244655=ORIENTED_EDGE('',*,*,#189133,.T.); #244656=ORIENTED_EDGE('',*,*,#189132,.T.); #244657=ORIENTED_EDGE('',*,*,#189134,.F.); #244658=ORIENTED_EDGE('',*,*,#189082,.F.); #244659=ORIENTED_EDGE('',*,*,#189134,.T.); #244660=ORIENTED_EDGE('',*,*,#189131,.T.); #244661=ORIENTED_EDGE('',*,*,#189128,.T.); #244662=ORIENTED_EDGE('',*,*,#189125,.T.); #244663=ORIENTED_EDGE('',*,*,#189122,.T.); #244664=ORIENTED_EDGE('',*,*,#189119,.T.); #244665=ORIENTED_EDGE('',*,*,#189116,.T.); #244666=ORIENTED_EDGE('',*,*,#189113,.T.); #244667=ORIENTED_EDGE('',*,*,#189110,.T.); #244668=ORIENTED_EDGE('',*,*,#189107,.T.); #244669=ORIENTED_EDGE('',*,*,#189104,.T.); #244670=ORIENTED_EDGE('',*,*,#189101,.T.); #244671=ORIENTED_EDGE('',*,*,#189098,.T.); #244672=ORIENTED_EDGE('',*,*,#189095,.T.); #244673=ORIENTED_EDGE('',*,*,#189092,.T.); #244674=ORIENTED_EDGE('',*,*,#189089,.T.); #244675=ORIENTED_EDGE('',*,*,#189086,.T.); #244676=ORIENTED_EDGE('',*,*,#189083,.T.); #244677=ORIENTED_EDGE('',*,*,#189080,.T.); #244678=ORIENTED_EDGE('',*,*,#189133,.F.); #244679=ORIENTED_EDGE('',*,*,#189081,.F.); #244680=ORIENTED_EDGE('',*,*,#189085,.F.); #244681=ORIENTED_EDGE('',*,*,#189088,.F.); #244682=ORIENTED_EDGE('',*,*,#189091,.F.); #244683=ORIENTED_EDGE('',*,*,#189094,.F.); #244684=ORIENTED_EDGE('',*,*,#189097,.F.); #244685=ORIENTED_EDGE('',*,*,#189100,.F.); #244686=ORIENTED_EDGE('',*,*,#189103,.F.); #244687=ORIENTED_EDGE('',*,*,#189106,.F.); #244688=ORIENTED_EDGE('',*,*,#189109,.F.); #244689=ORIENTED_EDGE('',*,*,#189112,.F.); #244690=ORIENTED_EDGE('',*,*,#189115,.F.); #244691=ORIENTED_EDGE('',*,*,#189118,.F.); #244692=ORIENTED_EDGE('',*,*,#189121,.F.); #244693=ORIENTED_EDGE('',*,*,#189124,.F.); #244694=ORIENTED_EDGE('',*,*,#189127,.F.); #244695=ORIENTED_EDGE('',*,*,#189130,.F.); #244696=ORIENTED_EDGE('',*,*,#189078,.T.); #244697=ORIENTED_EDGE('',*,*,#189135,.F.); #244698=ORIENTED_EDGE('',*,*,#189136,.T.); #244699=ORIENTED_EDGE('',*,*,#189137,.F.); #244700=ORIENTED_EDGE('',*,*,#189136,.F.); #244701=ORIENTED_EDGE('',*,*,#189138,.T.); #244702=ORIENTED_EDGE('',*,*,#189139,.T.); #244703=ORIENTED_EDGE('',*,*,#189140,.F.); #244704=ORIENTED_EDGE('',*,*,#189141,.F.); #244705=ORIENTED_EDGE('',*,*,#189142,.T.); #244706=ORIENTED_EDGE('',*,*,#189141,.T.); #244707=ORIENTED_EDGE('',*,*,#189143,.F.); #244708=ORIENTED_EDGE('',*,*,#189144,.F.); #244709=ORIENTED_EDGE('',*,*,#189145,.T.); #244710=ORIENTED_EDGE('',*,*,#189144,.T.); #244711=ORIENTED_EDGE('',*,*,#189146,.F.); #244712=ORIENTED_EDGE('',*,*,#189147,.F.); #244713=ORIENTED_EDGE('',*,*,#189148,.T.); #244714=ORIENTED_EDGE('',*,*,#189147,.T.); #244715=ORIENTED_EDGE('',*,*,#189149,.F.); #244716=ORIENTED_EDGE('',*,*,#189150,.F.); #244717=ORIENTED_EDGE('',*,*,#189151,.T.); #244718=ORIENTED_EDGE('',*,*,#189150,.T.); #244719=ORIENTED_EDGE('',*,*,#189152,.F.); #244720=ORIENTED_EDGE('',*,*,#189153,.F.); #244721=ORIENTED_EDGE('',*,*,#189154,.T.); #244722=ORIENTED_EDGE('',*,*,#189153,.T.); #244723=ORIENTED_EDGE('',*,*,#189155,.F.); #244724=ORIENTED_EDGE('',*,*,#189156,.F.); #244725=ORIENTED_EDGE('',*,*,#189157,.T.); #244726=ORIENTED_EDGE('',*,*,#189156,.T.); #244727=ORIENTED_EDGE('',*,*,#189158,.F.); #244728=ORIENTED_EDGE('',*,*,#189159,.F.); #244729=ORIENTED_EDGE('',*,*,#189160,.T.); #244730=ORIENTED_EDGE('',*,*,#189159,.T.); #244731=ORIENTED_EDGE('',*,*,#189161,.F.); #244732=ORIENTED_EDGE('',*,*,#189162,.F.); #244733=ORIENTED_EDGE('',*,*,#189163,.T.); #244734=ORIENTED_EDGE('',*,*,#189162,.T.); #244735=ORIENTED_EDGE('',*,*,#189164,.F.); #244736=ORIENTED_EDGE('',*,*,#189165,.F.); #244737=ORIENTED_EDGE('',*,*,#189166,.T.); #244738=ORIENTED_EDGE('',*,*,#189165,.T.); #244739=ORIENTED_EDGE('',*,*,#189167,.F.); #244740=ORIENTED_EDGE('',*,*,#189168,.F.); #244741=ORIENTED_EDGE('',*,*,#189169,.T.); #244742=ORIENTED_EDGE('',*,*,#189168,.T.); #244743=ORIENTED_EDGE('',*,*,#189170,.F.); #244744=ORIENTED_EDGE('',*,*,#189139,.F.); #244745=ORIENTED_EDGE('',*,*,#189170,.T.); #244746=ORIENTED_EDGE('',*,*,#189167,.T.); #244747=ORIENTED_EDGE('',*,*,#189164,.T.); #244748=ORIENTED_EDGE('',*,*,#189161,.T.); #244749=ORIENTED_EDGE('',*,*,#189158,.T.); #244750=ORIENTED_EDGE('',*,*,#189155,.T.); #244751=ORIENTED_EDGE('',*,*,#189152,.T.); #244752=ORIENTED_EDGE('',*,*,#189149,.T.); #244753=ORIENTED_EDGE('',*,*,#189146,.T.); #244754=ORIENTED_EDGE('',*,*,#189143,.T.); #244755=ORIENTED_EDGE('',*,*,#189140,.T.); #244756=ORIENTED_EDGE('',*,*,#189137,.T.); #244757=ORIENTED_EDGE('',*,*,#189169,.F.); #244758=ORIENTED_EDGE('',*,*,#189138,.F.); #244759=ORIENTED_EDGE('',*,*,#189142,.F.); #244760=ORIENTED_EDGE('',*,*,#189145,.F.); #244761=ORIENTED_EDGE('',*,*,#189148,.F.); #244762=ORIENTED_EDGE('',*,*,#189151,.F.); #244763=ORIENTED_EDGE('',*,*,#189154,.F.); #244764=ORIENTED_EDGE('',*,*,#189157,.F.); #244765=ORIENTED_EDGE('',*,*,#189160,.F.); #244766=ORIENTED_EDGE('',*,*,#189163,.F.); #244767=ORIENTED_EDGE('',*,*,#189166,.F.); #244768=ORIENTED_EDGE('',*,*,#189135,.T.); #244769=ORIENTED_EDGE('',*,*,#189171,.F.); #244770=ORIENTED_EDGE('',*,*,#189172,.T.); #244771=ORIENTED_EDGE('',*,*,#189173,.F.); #244772=ORIENTED_EDGE('',*,*,#189172,.F.); #244773=ORIENTED_EDGE('',*,*,#189174,.T.); #244774=ORIENTED_EDGE('',*,*,#189175,.T.); #244775=ORIENTED_EDGE('',*,*,#189176,.F.); #244776=ORIENTED_EDGE('',*,*,#189177,.F.); #244777=ORIENTED_EDGE('',*,*,#189178,.T.); #244778=ORIENTED_EDGE('',*,*,#189177,.T.); #244779=ORIENTED_EDGE('',*,*,#189179,.F.); #244780=ORIENTED_EDGE('',*,*,#189180,.F.); #244781=ORIENTED_EDGE('',*,*,#189181,.T.); #244782=ORIENTED_EDGE('',*,*,#189180,.T.); #244783=ORIENTED_EDGE('',*,*,#189182,.F.); #244784=ORIENTED_EDGE('',*,*,#189183,.F.); #244785=ORIENTED_EDGE('',*,*,#189184,.T.); #244786=ORIENTED_EDGE('',*,*,#189183,.T.); #244787=ORIENTED_EDGE('',*,*,#189185,.F.); #244788=ORIENTED_EDGE('',*,*,#189186,.F.); #244789=ORIENTED_EDGE('',*,*,#189187,.T.); #244790=ORIENTED_EDGE('',*,*,#189186,.T.); #244791=ORIENTED_EDGE('',*,*,#189188,.F.); #244792=ORIENTED_EDGE('',*,*,#189189,.F.); #244793=ORIENTED_EDGE('',*,*,#189190,.T.); #244794=ORIENTED_EDGE('',*,*,#189189,.T.); #244795=ORIENTED_EDGE('',*,*,#189191,.F.); #244796=ORIENTED_EDGE('',*,*,#189192,.F.); #244797=ORIENTED_EDGE('',*,*,#189193,.T.); #244798=ORIENTED_EDGE('',*,*,#189192,.T.); #244799=ORIENTED_EDGE('',*,*,#189194,.F.); #244800=ORIENTED_EDGE('',*,*,#189195,.F.); #244801=ORIENTED_EDGE('',*,*,#189196,.T.); #244802=ORIENTED_EDGE('',*,*,#189195,.T.); #244803=ORIENTED_EDGE('',*,*,#189197,.F.); #244804=ORIENTED_EDGE('',*,*,#189198,.F.); #244805=ORIENTED_EDGE('',*,*,#189199,.T.); #244806=ORIENTED_EDGE('',*,*,#189198,.T.); #244807=ORIENTED_EDGE('',*,*,#189200,.F.); #244808=ORIENTED_EDGE('',*,*,#189201,.F.); #244809=ORIENTED_EDGE('',*,*,#189202,.T.); #244810=ORIENTED_EDGE('',*,*,#189201,.T.); #244811=ORIENTED_EDGE('',*,*,#189203,.F.); #244812=ORIENTED_EDGE('',*,*,#189204,.F.); #244813=ORIENTED_EDGE('',*,*,#189205,.T.); #244814=ORIENTED_EDGE('',*,*,#189204,.T.); #244815=ORIENTED_EDGE('',*,*,#189206,.F.); #244816=ORIENTED_EDGE('',*,*,#189175,.F.); #244817=ORIENTED_EDGE('',*,*,#189206,.T.); #244818=ORIENTED_EDGE('',*,*,#189203,.T.); #244819=ORIENTED_EDGE('',*,*,#189200,.T.); #244820=ORIENTED_EDGE('',*,*,#189197,.T.); #244821=ORIENTED_EDGE('',*,*,#189194,.T.); #244822=ORIENTED_EDGE('',*,*,#189191,.T.); #244823=ORIENTED_EDGE('',*,*,#189188,.T.); #244824=ORIENTED_EDGE('',*,*,#189185,.T.); #244825=ORIENTED_EDGE('',*,*,#189182,.T.); #244826=ORIENTED_EDGE('',*,*,#189179,.T.); #244827=ORIENTED_EDGE('',*,*,#189176,.T.); #244828=ORIENTED_EDGE('',*,*,#189173,.T.); #244829=ORIENTED_EDGE('',*,*,#189205,.F.); #244830=ORIENTED_EDGE('',*,*,#189174,.F.); #244831=ORIENTED_EDGE('',*,*,#189178,.F.); #244832=ORIENTED_EDGE('',*,*,#189181,.F.); #244833=ORIENTED_EDGE('',*,*,#189184,.F.); #244834=ORIENTED_EDGE('',*,*,#189187,.F.); #244835=ORIENTED_EDGE('',*,*,#189190,.F.); #244836=ORIENTED_EDGE('',*,*,#189193,.F.); #244837=ORIENTED_EDGE('',*,*,#189196,.F.); #244838=ORIENTED_EDGE('',*,*,#189199,.F.); #244839=ORIENTED_EDGE('',*,*,#189202,.F.); #244840=ORIENTED_EDGE('',*,*,#189171,.T.); #244841=ORIENTED_EDGE('',*,*,#189207,.F.); #244842=ORIENTED_EDGE('',*,*,#189208,.T.); #244843=ORIENTED_EDGE('',*,*,#189209,.F.); #244844=ORIENTED_EDGE('',*,*,#189208,.F.); #244845=ORIENTED_EDGE('',*,*,#189210,.T.); #244846=ORIENTED_EDGE('',*,*,#189211,.T.); #244847=ORIENTED_EDGE('',*,*,#189212,.F.); #244848=ORIENTED_EDGE('',*,*,#189213,.F.); #244849=ORIENTED_EDGE('',*,*,#189214,.T.); #244850=ORIENTED_EDGE('',*,*,#189213,.T.); #244851=ORIENTED_EDGE('',*,*,#189215,.F.); #244852=ORIENTED_EDGE('',*,*,#189216,.F.); #244853=ORIENTED_EDGE('',*,*,#189217,.T.); #244854=ORIENTED_EDGE('',*,*,#189216,.T.); #244855=ORIENTED_EDGE('',*,*,#189218,.F.); #244856=ORIENTED_EDGE('',*,*,#189219,.F.); #244857=ORIENTED_EDGE('',*,*,#189220,.T.); #244858=ORIENTED_EDGE('',*,*,#189219,.T.); #244859=ORIENTED_EDGE('',*,*,#189221,.F.); #244860=ORIENTED_EDGE('',*,*,#189222,.F.); #244861=ORIENTED_EDGE('',*,*,#189223,.T.); #244862=ORIENTED_EDGE('',*,*,#189222,.T.); #244863=ORIENTED_EDGE('',*,*,#189224,.F.); #244864=ORIENTED_EDGE('',*,*,#189225,.F.); #244865=ORIENTED_EDGE('',*,*,#189226,.T.); #244866=ORIENTED_EDGE('',*,*,#189225,.T.); #244867=ORIENTED_EDGE('',*,*,#189227,.F.); #244868=ORIENTED_EDGE('',*,*,#189228,.F.); #244869=ORIENTED_EDGE('',*,*,#189229,.T.); #244870=ORIENTED_EDGE('',*,*,#189228,.T.); #244871=ORIENTED_EDGE('',*,*,#189230,.F.); #244872=ORIENTED_EDGE('',*,*,#189231,.F.); #244873=ORIENTED_EDGE('',*,*,#189232,.T.); #244874=ORIENTED_EDGE('',*,*,#189231,.T.); #244875=ORIENTED_EDGE('',*,*,#189233,.F.); #244876=ORIENTED_EDGE('',*,*,#189234,.F.); #244877=ORIENTED_EDGE('',*,*,#189235,.T.); #244878=ORIENTED_EDGE('',*,*,#189234,.T.); #244879=ORIENTED_EDGE('',*,*,#189236,.F.); #244880=ORIENTED_EDGE('',*,*,#189237,.F.); #244881=ORIENTED_EDGE('',*,*,#189238,.T.); #244882=ORIENTED_EDGE('',*,*,#189237,.T.); #244883=ORIENTED_EDGE('',*,*,#189239,.F.); #244884=ORIENTED_EDGE('',*,*,#189240,.F.); #244885=ORIENTED_EDGE('',*,*,#189241,.T.); #244886=ORIENTED_EDGE('',*,*,#189240,.T.); #244887=ORIENTED_EDGE('',*,*,#189242,.F.); #244888=ORIENTED_EDGE('',*,*,#189243,.F.); #244889=ORIENTED_EDGE('',*,*,#189244,.T.); #244890=ORIENTED_EDGE('',*,*,#189243,.T.); #244891=ORIENTED_EDGE('',*,*,#189245,.F.); #244892=ORIENTED_EDGE('',*,*,#189246,.F.); #244893=ORIENTED_EDGE('',*,*,#189247,.T.); #244894=ORIENTED_EDGE('',*,*,#189246,.T.); #244895=ORIENTED_EDGE('',*,*,#189248,.F.); #244896=ORIENTED_EDGE('',*,*,#189249,.F.); #244897=ORIENTED_EDGE('',*,*,#189250,.T.); #244898=ORIENTED_EDGE('',*,*,#189249,.T.); #244899=ORIENTED_EDGE('',*,*,#189251,.F.); #244900=ORIENTED_EDGE('',*,*,#189252,.F.); #244901=ORIENTED_EDGE('',*,*,#189253,.T.); #244902=ORIENTED_EDGE('',*,*,#189252,.T.); #244903=ORIENTED_EDGE('',*,*,#189254,.F.); #244904=ORIENTED_EDGE('',*,*,#189255,.F.); #244905=ORIENTED_EDGE('',*,*,#189256,.T.); #244906=ORIENTED_EDGE('',*,*,#189255,.T.); #244907=ORIENTED_EDGE('',*,*,#189257,.F.); #244908=ORIENTED_EDGE('',*,*,#189258,.F.); #244909=ORIENTED_EDGE('',*,*,#189259,.T.); #244910=ORIENTED_EDGE('',*,*,#189258,.T.); #244911=ORIENTED_EDGE('',*,*,#189260,.F.); #244912=ORIENTED_EDGE('',*,*,#189261,.F.); #244913=ORIENTED_EDGE('',*,*,#189262,.T.); #244914=ORIENTED_EDGE('',*,*,#189261,.T.); #244915=ORIENTED_EDGE('',*,*,#189263,.F.); #244916=ORIENTED_EDGE('',*,*,#189264,.F.); #244917=ORIENTED_EDGE('',*,*,#189265,.T.); #244918=ORIENTED_EDGE('',*,*,#189264,.T.); #244919=ORIENTED_EDGE('',*,*,#189266,.F.); #244920=ORIENTED_EDGE('',*,*,#189267,.F.); #244921=ORIENTED_EDGE('',*,*,#189268,.T.); #244922=ORIENTED_EDGE('',*,*,#189267,.T.); #244923=ORIENTED_EDGE('',*,*,#189269,.F.); #244924=ORIENTED_EDGE('',*,*,#189270,.F.); #244925=ORIENTED_EDGE('',*,*,#189271,.T.); #244926=ORIENTED_EDGE('',*,*,#189270,.T.); #244927=ORIENTED_EDGE('',*,*,#189272,.F.); #244928=ORIENTED_EDGE('',*,*,#189273,.F.); #244929=ORIENTED_EDGE('',*,*,#189274,.T.); #244930=ORIENTED_EDGE('',*,*,#189273,.T.); #244931=ORIENTED_EDGE('',*,*,#189275,.F.); #244932=ORIENTED_EDGE('',*,*,#189276,.F.); #244933=ORIENTED_EDGE('',*,*,#189277,.T.); #244934=ORIENTED_EDGE('',*,*,#189276,.T.); #244935=ORIENTED_EDGE('',*,*,#189278,.F.); #244936=ORIENTED_EDGE('',*,*,#189211,.F.); #244937=ORIENTED_EDGE('',*,*,#189278,.T.); #244938=ORIENTED_EDGE('',*,*,#189275,.T.); #244939=ORIENTED_EDGE('',*,*,#189272,.T.); #244940=ORIENTED_EDGE('',*,*,#189269,.T.); #244941=ORIENTED_EDGE('',*,*,#189266,.T.); #244942=ORIENTED_EDGE('',*,*,#189263,.T.); #244943=ORIENTED_EDGE('',*,*,#189260,.T.); #244944=ORIENTED_EDGE('',*,*,#189257,.T.); #244945=ORIENTED_EDGE('',*,*,#189254,.T.); #244946=ORIENTED_EDGE('',*,*,#189251,.T.); #244947=ORIENTED_EDGE('',*,*,#189248,.T.); #244948=ORIENTED_EDGE('',*,*,#189245,.T.); #244949=ORIENTED_EDGE('',*,*,#189242,.T.); #244950=ORIENTED_EDGE('',*,*,#189239,.T.); #244951=ORIENTED_EDGE('',*,*,#189236,.T.); #244952=ORIENTED_EDGE('',*,*,#189233,.T.); #244953=ORIENTED_EDGE('',*,*,#189230,.T.); #244954=ORIENTED_EDGE('',*,*,#189227,.T.); #244955=ORIENTED_EDGE('',*,*,#189224,.T.); #244956=ORIENTED_EDGE('',*,*,#189221,.T.); #244957=ORIENTED_EDGE('',*,*,#189218,.T.); #244958=ORIENTED_EDGE('',*,*,#189215,.T.); #244959=ORIENTED_EDGE('',*,*,#189212,.T.); #244960=ORIENTED_EDGE('',*,*,#189209,.T.); #244961=ORIENTED_EDGE('',*,*,#189277,.F.); #244962=ORIENTED_EDGE('',*,*,#189210,.F.); #244963=ORIENTED_EDGE('',*,*,#189214,.F.); #244964=ORIENTED_EDGE('',*,*,#189217,.F.); #244965=ORIENTED_EDGE('',*,*,#189220,.F.); #244966=ORIENTED_EDGE('',*,*,#189223,.F.); #244967=ORIENTED_EDGE('',*,*,#189226,.F.); #244968=ORIENTED_EDGE('',*,*,#189229,.F.); #244969=ORIENTED_EDGE('',*,*,#189232,.F.); #244970=ORIENTED_EDGE('',*,*,#189235,.F.); #244971=ORIENTED_EDGE('',*,*,#189238,.F.); #244972=ORIENTED_EDGE('',*,*,#189241,.F.); #244973=ORIENTED_EDGE('',*,*,#189244,.F.); #244974=ORIENTED_EDGE('',*,*,#189247,.F.); #244975=ORIENTED_EDGE('',*,*,#189250,.F.); #244976=ORIENTED_EDGE('',*,*,#189253,.F.); #244977=ORIENTED_EDGE('',*,*,#189256,.F.); #244978=ORIENTED_EDGE('',*,*,#189259,.F.); #244979=ORIENTED_EDGE('',*,*,#189262,.F.); #244980=ORIENTED_EDGE('',*,*,#189265,.F.); #244981=ORIENTED_EDGE('',*,*,#189268,.F.); #244982=ORIENTED_EDGE('',*,*,#189271,.F.); #244983=ORIENTED_EDGE('',*,*,#189274,.F.); #244984=ORIENTED_EDGE('',*,*,#189207,.T.); #244985=ORIENTED_EDGE('',*,*,#189279,.F.); #244986=ORIENTED_EDGE('',*,*,#189280,.T.); #244987=ORIENTED_EDGE('',*,*,#189281,.F.); #244988=ORIENTED_EDGE('',*,*,#189280,.F.); #244989=ORIENTED_EDGE('',*,*,#189282,.T.); #244990=ORIENTED_EDGE('',*,*,#189283,.T.); #244991=ORIENTED_EDGE('',*,*,#189284,.F.); #244992=ORIENTED_EDGE('',*,*,#189285,.F.); #244993=ORIENTED_EDGE('',*,*,#189286,.T.); #244994=ORIENTED_EDGE('',*,*,#189285,.T.); #244995=ORIENTED_EDGE('',*,*,#189287,.F.); #244996=ORIENTED_EDGE('',*,*,#189288,.F.); #244997=ORIENTED_EDGE('',*,*,#189289,.T.); #244998=ORIENTED_EDGE('',*,*,#189288,.T.); #244999=ORIENTED_EDGE('',*,*,#189290,.F.); #245000=ORIENTED_EDGE('',*,*,#189291,.F.); #245001=ORIENTED_EDGE('',*,*,#189292,.T.); #245002=ORIENTED_EDGE('',*,*,#189291,.T.); #245003=ORIENTED_EDGE('',*,*,#189293,.F.); #245004=ORIENTED_EDGE('',*,*,#189294,.F.); #245005=ORIENTED_EDGE('',*,*,#189295,.T.); #245006=ORIENTED_EDGE('',*,*,#189294,.T.); #245007=ORIENTED_EDGE('',*,*,#189296,.F.); #245008=ORIENTED_EDGE('',*,*,#189297,.F.); #245009=ORIENTED_EDGE('',*,*,#189298,.T.); #245010=ORIENTED_EDGE('',*,*,#189297,.T.); #245011=ORIENTED_EDGE('',*,*,#189299,.F.); #245012=ORIENTED_EDGE('',*,*,#189300,.F.); #245013=ORIENTED_EDGE('',*,*,#189301,.T.); #245014=ORIENTED_EDGE('',*,*,#189300,.T.); #245015=ORIENTED_EDGE('',*,*,#189302,.F.); #245016=ORIENTED_EDGE('',*,*,#189303,.F.); #245017=ORIENTED_EDGE('',*,*,#189304,.T.); #245018=ORIENTED_EDGE('',*,*,#189303,.T.); #245019=ORIENTED_EDGE('',*,*,#189305,.F.); #245020=ORIENTED_EDGE('',*,*,#189306,.F.); #245021=ORIENTED_EDGE('',*,*,#189307,.T.); #245022=ORIENTED_EDGE('',*,*,#189306,.T.); #245023=ORIENTED_EDGE('',*,*,#189308,.F.); #245024=ORIENTED_EDGE('',*,*,#189309,.F.); #245025=ORIENTED_EDGE('',*,*,#189310,.T.); #245026=ORIENTED_EDGE('',*,*,#189309,.T.); #245027=ORIENTED_EDGE('',*,*,#189311,.F.); #245028=ORIENTED_EDGE('',*,*,#189312,.F.); #245029=ORIENTED_EDGE('',*,*,#189313,.T.); #245030=ORIENTED_EDGE('',*,*,#189312,.T.); #245031=ORIENTED_EDGE('',*,*,#189314,.F.); #245032=ORIENTED_EDGE('',*,*,#189315,.F.); #245033=ORIENTED_EDGE('',*,*,#189316,.T.); #245034=ORIENTED_EDGE('',*,*,#189315,.T.); #245035=ORIENTED_EDGE('',*,*,#189317,.F.); #245036=ORIENTED_EDGE('',*,*,#189318,.F.); #245037=ORIENTED_EDGE('',*,*,#189319,.T.); #245038=ORIENTED_EDGE('',*,*,#189318,.T.); #245039=ORIENTED_EDGE('',*,*,#189320,.F.); #245040=ORIENTED_EDGE('',*,*,#189321,.F.); #245041=ORIENTED_EDGE('',*,*,#189322,.T.); #245042=ORIENTED_EDGE('',*,*,#189321,.T.); #245043=ORIENTED_EDGE('',*,*,#189323,.F.); #245044=ORIENTED_EDGE('',*,*,#189324,.F.); #245045=ORIENTED_EDGE('',*,*,#189325,.T.); #245046=ORIENTED_EDGE('',*,*,#189324,.T.); #245047=ORIENTED_EDGE('',*,*,#189326,.F.); #245048=ORIENTED_EDGE('',*,*,#189327,.F.); #245049=ORIENTED_EDGE('',*,*,#189328,.T.); #245050=ORIENTED_EDGE('',*,*,#189327,.T.); #245051=ORIENTED_EDGE('',*,*,#189329,.F.); #245052=ORIENTED_EDGE('',*,*,#189330,.F.); #245053=ORIENTED_EDGE('',*,*,#189331,.T.); #245054=ORIENTED_EDGE('',*,*,#189330,.T.); #245055=ORIENTED_EDGE('',*,*,#189332,.F.); #245056=ORIENTED_EDGE('',*,*,#189283,.F.); #245057=ORIENTED_EDGE('',*,*,#189332,.T.); #245058=ORIENTED_EDGE('',*,*,#189329,.T.); #245059=ORIENTED_EDGE('',*,*,#189326,.T.); #245060=ORIENTED_EDGE('',*,*,#189323,.T.); #245061=ORIENTED_EDGE('',*,*,#189320,.T.); #245062=ORIENTED_EDGE('',*,*,#189317,.T.); #245063=ORIENTED_EDGE('',*,*,#189314,.T.); #245064=ORIENTED_EDGE('',*,*,#189311,.T.); #245065=ORIENTED_EDGE('',*,*,#189308,.T.); #245066=ORIENTED_EDGE('',*,*,#189305,.T.); #245067=ORIENTED_EDGE('',*,*,#189302,.T.); #245068=ORIENTED_EDGE('',*,*,#189299,.T.); #245069=ORIENTED_EDGE('',*,*,#189296,.T.); #245070=ORIENTED_EDGE('',*,*,#189293,.T.); #245071=ORIENTED_EDGE('',*,*,#189290,.T.); #245072=ORIENTED_EDGE('',*,*,#189287,.T.); #245073=ORIENTED_EDGE('',*,*,#189284,.T.); #245074=ORIENTED_EDGE('',*,*,#189281,.T.); #245075=ORIENTED_EDGE('',*,*,#189331,.F.); #245076=ORIENTED_EDGE('',*,*,#189282,.F.); #245077=ORIENTED_EDGE('',*,*,#189286,.F.); #245078=ORIENTED_EDGE('',*,*,#189289,.F.); #245079=ORIENTED_EDGE('',*,*,#189292,.F.); #245080=ORIENTED_EDGE('',*,*,#189295,.F.); #245081=ORIENTED_EDGE('',*,*,#189298,.F.); #245082=ORIENTED_EDGE('',*,*,#189301,.F.); #245083=ORIENTED_EDGE('',*,*,#189304,.F.); #245084=ORIENTED_EDGE('',*,*,#189307,.F.); #245085=ORIENTED_EDGE('',*,*,#189310,.F.); #245086=ORIENTED_EDGE('',*,*,#189313,.F.); #245087=ORIENTED_EDGE('',*,*,#189316,.F.); #245088=ORIENTED_EDGE('',*,*,#189319,.F.); #245089=ORIENTED_EDGE('',*,*,#189322,.F.); #245090=ORIENTED_EDGE('',*,*,#189325,.F.); #245091=ORIENTED_EDGE('',*,*,#189328,.F.); #245092=ORIENTED_EDGE('',*,*,#189279,.T.); #245093=ORIENTED_EDGE('',*,*,#189333,.F.); #245094=ORIENTED_EDGE('',*,*,#189334,.T.); #245095=ORIENTED_EDGE('',*,*,#189335,.F.); #245096=ORIENTED_EDGE('',*,*,#189334,.F.); #245097=ORIENTED_EDGE('',*,*,#189336,.T.); #245098=ORIENTED_EDGE('',*,*,#189337,.T.); #245099=ORIENTED_EDGE('',*,*,#189338,.F.); #245100=ORIENTED_EDGE('',*,*,#189339,.F.); #245101=ORIENTED_EDGE('',*,*,#189340,.T.); #245102=ORIENTED_EDGE('',*,*,#189339,.T.); #245103=ORIENTED_EDGE('',*,*,#189341,.F.); #245104=ORIENTED_EDGE('',*,*,#189342,.F.); #245105=ORIENTED_EDGE('',*,*,#189343,.T.); #245106=ORIENTED_EDGE('',*,*,#189342,.T.); #245107=ORIENTED_EDGE('',*,*,#189344,.F.); #245108=ORIENTED_EDGE('',*,*,#189345,.F.); #245109=ORIENTED_EDGE('',*,*,#189346,.T.); #245110=ORIENTED_EDGE('',*,*,#189345,.T.); #245111=ORIENTED_EDGE('',*,*,#189347,.F.); #245112=ORIENTED_EDGE('',*,*,#189348,.F.); #245113=ORIENTED_EDGE('',*,*,#189349,.T.); #245114=ORIENTED_EDGE('',*,*,#189348,.T.); #245115=ORIENTED_EDGE('',*,*,#189350,.F.); #245116=ORIENTED_EDGE('',*,*,#189351,.F.); #245117=ORIENTED_EDGE('',*,*,#189352,.T.); #245118=ORIENTED_EDGE('',*,*,#189351,.T.); #245119=ORIENTED_EDGE('',*,*,#189353,.F.); #245120=ORIENTED_EDGE('',*,*,#189354,.F.); #245121=ORIENTED_EDGE('',*,*,#189355,.T.); #245122=ORIENTED_EDGE('',*,*,#189354,.T.); #245123=ORIENTED_EDGE('',*,*,#189356,.F.); #245124=ORIENTED_EDGE('',*,*,#189357,.F.); #245125=ORIENTED_EDGE('',*,*,#189358,.T.); #245126=ORIENTED_EDGE('',*,*,#189357,.T.); #245127=ORIENTED_EDGE('',*,*,#189359,.F.); #245128=ORIENTED_EDGE('',*,*,#189360,.F.); #245129=ORIENTED_EDGE('',*,*,#189361,.T.); #245130=ORIENTED_EDGE('',*,*,#189360,.T.); #245131=ORIENTED_EDGE('',*,*,#189362,.F.); #245132=ORIENTED_EDGE('',*,*,#189363,.F.); #245133=ORIENTED_EDGE('',*,*,#189364,.T.); #245134=ORIENTED_EDGE('',*,*,#189363,.T.); #245135=ORIENTED_EDGE('',*,*,#189365,.F.); #245136=ORIENTED_EDGE('',*,*,#189366,.F.); #245137=ORIENTED_EDGE('',*,*,#189367,.T.); #245138=ORIENTED_EDGE('',*,*,#189366,.T.); #245139=ORIENTED_EDGE('',*,*,#189368,.F.); #245140=ORIENTED_EDGE('',*,*,#189369,.F.); #245141=ORIENTED_EDGE('',*,*,#189370,.T.); #245142=ORIENTED_EDGE('',*,*,#189369,.T.); #245143=ORIENTED_EDGE('',*,*,#189371,.F.); #245144=ORIENTED_EDGE('',*,*,#189372,.F.); #245145=ORIENTED_EDGE('',*,*,#189373,.T.); #245146=ORIENTED_EDGE('',*,*,#189372,.T.); #245147=ORIENTED_EDGE('',*,*,#189374,.F.); #245148=ORIENTED_EDGE('',*,*,#189375,.F.); #245149=ORIENTED_EDGE('',*,*,#189376,.T.); #245150=ORIENTED_EDGE('',*,*,#189375,.T.); #245151=ORIENTED_EDGE('',*,*,#189377,.F.); #245152=ORIENTED_EDGE('',*,*,#189378,.F.); #245153=ORIENTED_EDGE('',*,*,#189379,.T.); #245154=ORIENTED_EDGE('',*,*,#189378,.T.); #245155=ORIENTED_EDGE('',*,*,#189380,.F.); #245156=ORIENTED_EDGE('',*,*,#189381,.F.); #245157=ORIENTED_EDGE('',*,*,#189382,.T.); #245158=ORIENTED_EDGE('',*,*,#189381,.T.); #245159=ORIENTED_EDGE('',*,*,#189383,.F.); #245160=ORIENTED_EDGE('',*,*,#189384,.F.); #245161=ORIENTED_EDGE('',*,*,#189385,.T.); #245162=ORIENTED_EDGE('',*,*,#189384,.T.); #245163=ORIENTED_EDGE('',*,*,#189386,.F.); #245164=ORIENTED_EDGE('',*,*,#189387,.F.); #245165=ORIENTED_EDGE('',*,*,#189388,.T.); #245166=ORIENTED_EDGE('',*,*,#189387,.T.); #245167=ORIENTED_EDGE('',*,*,#189389,.F.); #245168=ORIENTED_EDGE('',*,*,#189390,.F.); #245169=ORIENTED_EDGE('',*,*,#189391,.T.); #245170=ORIENTED_EDGE('',*,*,#189390,.T.); #245171=ORIENTED_EDGE('',*,*,#189392,.F.); #245172=ORIENTED_EDGE('',*,*,#189393,.F.); #245173=ORIENTED_EDGE('',*,*,#189394,.T.); #245174=ORIENTED_EDGE('',*,*,#189393,.T.); #245175=ORIENTED_EDGE('',*,*,#189395,.F.); #245176=ORIENTED_EDGE('',*,*,#189396,.F.); #245177=ORIENTED_EDGE('',*,*,#189397,.T.); #245178=ORIENTED_EDGE('',*,*,#189396,.T.); #245179=ORIENTED_EDGE('',*,*,#189398,.F.); #245180=ORIENTED_EDGE('',*,*,#189399,.F.); #245181=ORIENTED_EDGE('',*,*,#189400,.T.); #245182=ORIENTED_EDGE('',*,*,#189399,.T.); #245183=ORIENTED_EDGE('',*,*,#189401,.F.); #245184=ORIENTED_EDGE('',*,*,#189402,.F.); #245185=ORIENTED_EDGE('',*,*,#189403,.T.); #245186=ORIENTED_EDGE('',*,*,#189402,.T.); #245187=ORIENTED_EDGE('',*,*,#189404,.F.); #245188=ORIENTED_EDGE('',*,*,#189337,.F.); #245189=ORIENTED_EDGE('',*,*,#189404,.T.); #245190=ORIENTED_EDGE('',*,*,#189401,.T.); #245191=ORIENTED_EDGE('',*,*,#189398,.T.); #245192=ORIENTED_EDGE('',*,*,#189395,.T.); #245193=ORIENTED_EDGE('',*,*,#189392,.T.); #245194=ORIENTED_EDGE('',*,*,#189389,.T.); #245195=ORIENTED_EDGE('',*,*,#189386,.T.); #245196=ORIENTED_EDGE('',*,*,#189383,.T.); #245197=ORIENTED_EDGE('',*,*,#189380,.T.); #245198=ORIENTED_EDGE('',*,*,#189377,.T.); #245199=ORIENTED_EDGE('',*,*,#189374,.T.); #245200=ORIENTED_EDGE('',*,*,#189371,.T.); #245201=ORIENTED_EDGE('',*,*,#189368,.T.); #245202=ORIENTED_EDGE('',*,*,#189365,.T.); #245203=ORIENTED_EDGE('',*,*,#189362,.T.); #245204=ORIENTED_EDGE('',*,*,#189359,.T.); #245205=ORIENTED_EDGE('',*,*,#189356,.T.); #245206=ORIENTED_EDGE('',*,*,#189353,.T.); #245207=ORIENTED_EDGE('',*,*,#189350,.T.); #245208=ORIENTED_EDGE('',*,*,#189347,.T.); #245209=ORIENTED_EDGE('',*,*,#189344,.T.); #245210=ORIENTED_EDGE('',*,*,#189341,.T.); #245211=ORIENTED_EDGE('',*,*,#189338,.T.); #245212=ORIENTED_EDGE('',*,*,#189335,.T.); #245213=ORIENTED_EDGE('',*,*,#189403,.F.); #245214=ORIENTED_EDGE('',*,*,#189336,.F.); #245215=ORIENTED_EDGE('',*,*,#189340,.F.); #245216=ORIENTED_EDGE('',*,*,#189343,.F.); #245217=ORIENTED_EDGE('',*,*,#189346,.F.); #245218=ORIENTED_EDGE('',*,*,#189349,.F.); #245219=ORIENTED_EDGE('',*,*,#189352,.F.); #245220=ORIENTED_EDGE('',*,*,#189355,.F.); #245221=ORIENTED_EDGE('',*,*,#189358,.F.); #245222=ORIENTED_EDGE('',*,*,#189361,.F.); #245223=ORIENTED_EDGE('',*,*,#189364,.F.); #245224=ORIENTED_EDGE('',*,*,#189367,.F.); #245225=ORIENTED_EDGE('',*,*,#189370,.F.); #245226=ORIENTED_EDGE('',*,*,#189373,.F.); #245227=ORIENTED_EDGE('',*,*,#189376,.F.); #245228=ORIENTED_EDGE('',*,*,#189379,.F.); #245229=ORIENTED_EDGE('',*,*,#189382,.F.); #245230=ORIENTED_EDGE('',*,*,#189385,.F.); #245231=ORIENTED_EDGE('',*,*,#189388,.F.); #245232=ORIENTED_EDGE('',*,*,#189391,.F.); #245233=ORIENTED_EDGE('',*,*,#189394,.F.); #245234=ORIENTED_EDGE('',*,*,#189397,.F.); #245235=ORIENTED_EDGE('',*,*,#189400,.F.); #245236=ORIENTED_EDGE('',*,*,#189333,.T.); #245237=ORIENTED_EDGE('',*,*,#189405,.T.); #245238=ORIENTED_EDGE('',*,*,#189406,.T.); #245239=ORIENTED_EDGE('',*,*,#189407,.F.); #245240=ORIENTED_EDGE('',*,*,#189408,.F.); #245241=ORIENTED_EDGE('',*,*,#189409,.T.); #245242=ORIENTED_EDGE('',*,*,#189408,.T.); #245243=ORIENTED_EDGE('',*,*,#189410,.F.); #245244=ORIENTED_EDGE('',*,*,#189411,.F.); #245245=ORIENTED_EDGE('',*,*,#189412,.T.); #245246=ORIENTED_EDGE('',*,*,#189411,.T.); #245247=ORIENTED_EDGE('',*,*,#189413,.F.); #245248=ORIENTED_EDGE('',*,*,#189414,.F.); #245249=ORIENTED_EDGE('',*,*,#189415,.T.); #245250=ORIENTED_EDGE('',*,*,#189414,.T.); #245251=ORIENTED_EDGE('',*,*,#189416,.F.); #245252=ORIENTED_EDGE('',*,*,#189417,.F.); #245253=ORIENTED_EDGE('',*,*,#189418,.T.); #245254=ORIENTED_EDGE('',*,*,#189417,.T.); #245255=ORIENTED_EDGE('',*,*,#189419,.F.); #245256=ORIENTED_EDGE('',*,*,#189420,.F.); #245257=ORIENTED_EDGE('',*,*,#189421,.T.); #245258=ORIENTED_EDGE('',*,*,#189420,.T.); #245259=ORIENTED_EDGE('',*,*,#189422,.F.); #245260=ORIENTED_EDGE('',*,*,#189423,.F.); #245261=ORIENTED_EDGE('',*,*,#189424,.T.); #245262=ORIENTED_EDGE('',*,*,#189423,.T.); #245263=ORIENTED_EDGE('',*,*,#189425,.F.); #245264=ORIENTED_EDGE('',*,*,#189426,.F.); #245265=ORIENTED_EDGE('',*,*,#189427,.T.); #245266=ORIENTED_EDGE('',*,*,#189426,.T.); #245267=ORIENTED_EDGE('',*,*,#189428,.F.); #245268=ORIENTED_EDGE('',*,*,#189429,.F.); #245269=ORIENTED_EDGE('',*,*,#189430,.T.); #245270=ORIENTED_EDGE('',*,*,#189429,.T.); #245271=ORIENTED_EDGE('',*,*,#189431,.F.); #245272=ORIENTED_EDGE('',*,*,#189432,.F.); #245273=ORIENTED_EDGE('',*,*,#189433,.T.); #245274=ORIENTED_EDGE('',*,*,#189432,.T.); #245275=ORIENTED_EDGE('',*,*,#189434,.F.); #245276=ORIENTED_EDGE('',*,*,#189435,.F.); #245277=ORIENTED_EDGE('',*,*,#189436,.T.); #245278=ORIENTED_EDGE('',*,*,#189435,.T.); #245279=ORIENTED_EDGE('',*,*,#189437,.F.); #245280=ORIENTED_EDGE('',*,*,#189438,.F.); #245281=ORIENTED_EDGE('',*,*,#189439,.T.); #245282=ORIENTED_EDGE('',*,*,#189438,.T.); #245283=ORIENTED_EDGE('',*,*,#189440,.F.); #245284=ORIENTED_EDGE('',*,*,#189441,.F.); #245285=ORIENTED_EDGE('',*,*,#189442,.T.); #245286=ORIENTED_EDGE('',*,*,#189441,.T.); #245287=ORIENTED_EDGE('',*,*,#189443,.F.); #245288=ORIENTED_EDGE('',*,*,#189444,.F.); #245289=ORIENTED_EDGE('',*,*,#189445,.T.); #245290=ORIENTED_EDGE('',*,*,#189444,.T.); #245291=ORIENTED_EDGE('',*,*,#189446,.F.); #245292=ORIENTED_EDGE('',*,*,#189447,.F.); #245293=ORIENTED_EDGE('',*,*,#189448,.T.); #245294=ORIENTED_EDGE('',*,*,#189447,.T.); #245295=ORIENTED_EDGE('',*,*,#189449,.F.); #245296=ORIENTED_EDGE('',*,*,#189450,.F.); #245297=ORIENTED_EDGE('',*,*,#189451,.T.); #245298=ORIENTED_EDGE('',*,*,#189450,.T.); #245299=ORIENTED_EDGE('',*,*,#189452,.F.); #245300=ORIENTED_EDGE('',*,*,#189453,.F.); #245301=ORIENTED_EDGE('',*,*,#189454,.T.); #245302=ORIENTED_EDGE('',*,*,#189453,.T.); #245303=ORIENTED_EDGE('',*,*,#189455,.F.); #245304=ORIENTED_EDGE('',*,*,#189456,.F.); #245305=ORIENTED_EDGE('',*,*,#189457,.T.); #245306=ORIENTED_EDGE('',*,*,#189456,.T.); #245307=ORIENTED_EDGE('',*,*,#189458,.F.); #245308=ORIENTED_EDGE('',*,*,#189459,.F.); #245309=ORIENTED_EDGE('',*,*,#189460,.T.); #245310=ORIENTED_EDGE('',*,*,#189459,.T.); #245311=ORIENTED_EDGE('',*,*,#189461,.F.); #245312=ORIENTED_EDGE('',*,*,#189462,.F.); #245313=ORIENTED_EDGE('',*,*,#189463,.T.); #245314=ORIENTED_EDGE('',*,*,#189462,.T.); #245315=ORIENTED_EDGE('',*,*,#189464,.F.); #245316=ORIENTED_EDGE('',*,*,#189465,.F.); #245317=ORIENTED_EDGE('',*,*,#189466,.T.); #245318=ORIENTED_EDGE('',*,*,#189465,.T.); #245319=ORIENTED_EDGE('',*,*,#189467,.F.); #245320=ORIENTED_EDGE('',*,*,#189468,.F.); #245321=ORIENTED_EDGE('',*,*,#189469,.T.); #245322=ORIENTED_EDGE('',*,*,#189468,.T.); #245323=ORIENTED_EDGE('',*,*,#189470,.F.); #245324=ORIENTED_EDGE('',*,*,#189471,.F.); #245325=ORIENTED_EDGE('',*,*,#189472,.T.); #245326=ORIENTED_EDGE('',*,*,#189471,.T.); #245327=ORIENTED_EDGE('',*,*,#189473,.F.); #245328=ORIENTED_EDGE('',*,*,#189474,.F.); #245329=ORIENTED_EDGE('',*,*,#189475,.T.); #245330=ORIENTED_EDGE('',*,*,#189474,.T.); #245331=ORIENTED_EDGE('',*,*,#189476,.F.); #245332=ORIENTED_EDGE('',*,*,#189406,.F.); #245333=ORIENTED_EDGE('',*,*,#189476,.T.); #245334=ORIENTED_EDGE('',*,*,#189473,.T.); #245335=ORIENTED_EDGE('',*,*,#189470,.T.); #245336=ORIENTED_EDGE('',*,*,#189467,.T.); #245337=ORIENTED_EDGE('',*,*,#189464,.T.); #245338=ORIENTED_EDGE('',*,*,#189461,.T.); #245339=ORIENTED_EDGE('',*,*,#189458,.T.); #245340=ORIENTED_EDGE('',*,*,#189455,.T.); #245341=ORIENTED_EDGE('',*,*,#189452,.T.); #245342=ORIENTED_EDGE('',*,*,#189449,.T.); #245343=ORIENTED_EDGE('',*,*,#189446,.T.); #245344=ORIENTED_EDGE('',*,*,#189443,.T.); #245345=ORIENTED_EDGE('',*,*,#189440,.T.); #245346=ORIENTED_EDGE('',*,*,#189437,.T.); #245347=ORIENTED_EDGE('',*,*,#189434,.T.); #245348=ORIENTED_EDGE('',*,*,#189431,.T.); #245349=ORIENTED_EDGE('',*,*,#189428,.T.); #245350=ORIENTED_EDGE('',*,*,#189425,.T.); #245351=ORIENTED_EDGE('',*,*,#189422,.T.); #245352=ORIENTED_EDGE('',*,*,#189419,.T.); #245353=ORIENTED_EDGE('',*,*,#189416,.T.); #245354=ORIENTED_EDGE('',*,*,#189413,.T.); #245355=ORIENTED_EDGE('',*,*,#189410,.T.); #245356=ORIENTED_EDGE('',*,*,#189407,.T.); #245357=ORIENTED_EDGE('',*,*,#189475,.F.); #245358=ORIENTED_EDGE('',*,*,#189405,.F.); #245359=ORIENTED_EDGE('',*,*,#189409,.F.); #245360=ORIENTED_EDGE('',*,*,#189412,.F.); #245361=ORIENTED_EDGE('',*,*,#189415,.F.); #245362=ORIENTED_EDGE('',*,*,#189418,.F.); #245363=ORIENTED_EDGE('',*,*,#189421,.F.); #245364=ORIENTED_EDGE('',*,*,#189424,.F.); #245365=ORIENTED_EDGE('',*,*,#189427,.F.); #245366=ORIENTED_EDGE('',*,*,#189430,.F.); #245367=ORIENTED_EDGE('',*,*,#189433,.F.); #245368=ORIENTED_EDGE('',*,*,#189436,.F.); #245369=ORIENTED_EDGE('',*,*,#189439,.F.); #245370=ORIENTED_EDGE('',*,*,#189442,.F.); #245371=ORIENTED_EDGE('',*,*,#189445,.F.); #245372=ORIENTED_EDGE('',*,*,#189448,.F.); #245373=ORIENTED_EDGE('',*,*,#189451,.F.); #245374=ORIENTED_EDGE('',*,*,#189454,.F.); #245375=ORIENTED_EDGE('',*,*,#189457,.F.); #245376=ORIENTED_EDGE('',*,*,#189460,.F.); #245377=ORIENTED_EDGE('',*,*,#189463,.F.); #245378=ORIENTED_EDGE('',*,*,#189466,.F.); #245379=ORIENTED_EDGE('',*,*,#189469,.F.); #245380=ORIENTED_EDGE('',*,*,#189472,.F.); #245381=ORIENTED_EDGE('',*,*,#189477,.F.); #245382=ORIENTED_EDGE('',*,*,#189478,.T.); #245383=ORIENTED_EDGE('',*,*,#189479,.F.); #245384=ORIENTED_EDGE('',*,*,#189478,.F.); #245385=ORIENTED_EDGE('',*,*,#189480,.T.); #245386=ORIENTED_EDGE('',*,*,#189481,.T.); #245387=ORIENTED_EDGE('',*,*,#189482,.F.); #245388=ORIENTED_EDGE('',*,*,#189483,.F.); #245389=ORIENTED_EDGE('',*,*,#189484,.T.); #245390=ORIENTED_EDGE('',*,*,#189483,.T.); #245391=ORIENTED_EDGE('',*,*,#189485,.F.); #245392=ORIENTED_EDGE('',*,*,#189486,.F.); #245393=ORIENTED_EDGE('',*,*,#189487,.T.); #245394=ORIENTED_EDGE('',*,*,#189486,.T.); #245395=ORIENTED_EDGE('',*,*,#189488,.F.); #245396=ORIENTED_EDGE('',*,*,#189489,.F.); #245397=ORIENTED_EDGE('',*,*,#189490,.T.); #245398=ORIENTED_EDGE('',*,*,#189489,.T.); #245399=ORIENTED_EDGE('',*,*,#189491,.F.); #245400=ORIENTED_EDGE('',*,*,#189481,.F.); #245401=ORIENTED_EDGE('',*,*,#189491,.T.); #245402=ORIENTED_EDGE('',*,*,#189488,.T.); #245403=ORIENTED_EDGE('',*,*,#189485,.T.); #245404=ORIENTED_EDGE('',*,*,#189482,.T.); #245405=ORIENTED_EDGE('',*,*,#189479,.T.); #245406=ORIENTED_EDGE('',*,*,#189490,.F.); #245407=ORIENTED_EDGE('',*,*,#189480,.F.); #245408=ORIENTED_EDGE('',*,*,#189484,.F.); #245409=ORIENTED_EDGE('',*,*,#189487,.F.); #245410=ORIENTED_EDGE('',*,*,#189477,.T.); #245411=ORIENTED_EDGE('',*,*,#189492,.F.); #245412=ORIENTED_EDGE('',*,*,#189493,.T.); #245413=ORIENTED_EDGE('',*,*,#189494,.F.); #245414=ORIENTED_EDGE('',*,*,#189493,.F.); #245415=ORIENTED_EDGE('',*,*,#189495,.T.); #245416=ORIENTED_EDGE('',*,*,#189496,.T.); #245417=ORIENTED_EDGE('',*,*,#189497,.F.); #245418=ORIENTED_EDGE('',*,*,#189498,.F.); #245419=ORIENTED_EDGE('',*,*,#189499,.T.); #245420=ORIENTED_EDGE('',*,*,#189498,.T.); #245421=ORIENTED_EDGE('',*,*,#189500,.F.); #245422=ORIENTED_EDGE('',*,*,#189501,.F.); #245423=ORIENTED_EDGE('',*,*,#189502,.T.); #245424=ORIENTED_EDGE('',*,*,#189501,.T.); #245425=ORIENTED_EDGE('',*,*,#189503,.F.); #245426=ORIENTED_EDGE('',*,*,#189504,.F.); #245427=ORIENTED_EDGE('',*,*,#189505,.T.); #245428=ORIENTED_EDGE('',*,*,#189504,.T.); #245429=ORIENTED_EDGE('',*,*,#189506,.F.); #245430=ORIENTED_EDGE('',*,*,#189507,.F.); #245431=ORIENTED_EDGE('',*,*,#189508,.T.); #245432=ORIENTED_EDGE('',*,*,#189507,.T.); #245433=ORIENTED_EDGE('',*,*,#189509,.F.); #245434=ORIENTED_EDGE('',*,*,#189510,.F.); #245435=ORIENTED_EDGE('',*,*,#189511,.T.); #245436=ORIENTED_EDGE('',*,*,#189510,.T.); #245437=ORIENTED_EDGE('',*,*,#189512,.F.); #245438=ORIENTED_EDGE('',*,*,#189513,.F.); #245439=ORIENTED_EDGE('',*,*,#189514,.T.); #245440=ORIENTED_EDGE('',*,*,#189513,.T.); #245441=ORIENTED_EDGE('',*,*,#189515,.F.); #245442=ORIENTED_EDGE('',*,*,#189516,.F.); #245443=ORIENTED_EDGE('',*,*,#189517,.T.); #245444=ORIENTED_EDGE('',*,*,#189516,.T.); #245445=ORIENTED_EDGE('',*,*,#189518,.F.); #245446=ORIENTED_EDGE('',*,*,#189519,.F.); #245447=ORIENTED_EDGE('',*,*,#189520,.T.); #245448=ORIENTED_EDGE('',*,*,#189519,.T.); #245449=ORIENTED_EDGE('',*,*,#189521,.F.); #245450=ORIENTED_EDGE('',*,*,#189522,.F.); #245451=ORIENTED_EDGE('',*,*,#189523,.T.); #245452=ORIENTED_EDGE('',*,*,#189522,.T.); #245453=ORIENTED_EDGE('',*,*,#189524,.F.); #245454=ORIENTED_EDGE('',*,*,#189525,.F.); #245455=ORIENTED_EDGE('',*,*,#189526,.T.); #245456=ORIENTED_EDGE('',*,*,#189525,.T.); #245457=ORIENTED_EDGE('',*,*,#189527,.F.); #245458=ORIENTED_EDGE('',*,*,#189528,.F.); #245459=ORIENTED_EDGE('',*,*,#189529,.T.); #245460=ORIENTED_EDGE('',*,*,#189528,.T.); #245461=ORIENTED_EDGE('',*,*,#189530,.F.); #245462=ORIENTED_EDGE('',*,*,#189531,.F.); #245463=ORIENTED_EDGE('',*,*,#189532,.T.); #245464=ORIENTED_EDGE('',*,*,#189531,.T.); #245465=ORIENTED_EDGE('',*,*,#189533,.F.); #245466=ORIENTED_EDGE('',*,*,#189534,.F.); #245467=ORIENTED_EDGE('',*,*,#189535,.T.); #245468=ORIENTED_EDGE('',*,*,#189534,.T.); #245469=ORIENTED_EDGE('',*,*,#189536,.F.); #245470=ORIENTED_EDGE('',*,*,#189537,.F.); #245471=ORIENTED_EDGE('',*,*,#189538,.T.); #245472=ORIENTED_EDGE('',*,*,#189537,.T.); #245473=ORIENTED_EDGE('',*,*,#189539,.F.); #245474=ORIENTED_EDGE('',*,*,#189540,.F.); #245475=ORIENTED_EDGE('',*,*,#189541,.T.); #245476=ORIENTED_EDGE('',*,*,#189540,.T.); #245477=ORIENTED_EDGE('',*,*,#189542,.F.); #245478=ORIENTED_EDGE('',*,*,#189543,.F.); #245479=ORIENTED_EDGE('',*,*,#189544,.T.); #245480=ORIENTED_EDGE('',*,*,#189543,.T.); #245481=ORIENTED_EDGE('',*,*,#189545,.F.); #245482=ORIENTED_EDGE('',*,*,#189546,.F.); #245483=ORIENTED_EDGE('',*,*,#189547,.T.); #245484=ORIENTED_EDGE('',*,*,#189546,.T.); #245485=ORIENTED_EDGE('',*,*,#189548,.F.); #245486=ORIENTED_EDGE('',*,*,#189549,.F.); #245487=ORIENTED_EDGE('',*,*,#189550,.T.); #245488=ORIENTED_EDGE('',*,*,#189549,.T.); #245489=ORIENTED_EDGE('',*,*,#189551,.F.); #245490=ORIENTED_EDGE('',*,*,#189552,.F.); #245491=ORIENTED_EDGE('',*,*,#189553,.T.); #245492=ORIENTED_EDGE('',*,*,#189552,.T.); #245493=ORIENTED_EDGE('',*,*,#189554,.F.); #245494=ORIENTED_EDGE('',*,*,#189555,.F.); #245495=ORIENTED_EDGE('',*,*,#189556,.T.); #245496=ORIENTED_EDGE('',*,*,#189555,.T.); #245497=ORIENTED_EDGE('',*,*,#189557,.F.); #245498=ORIENTED_EDGE('',*,*,#189558,.F.); #245499=ORIENTED_EDGE('',*,*,#189559,.T.); #245500=ORIENTED_EDGE('',*,*,#189558,.T.); #245501=ORIENTED_EDGE('',*,*,#189560,.F.); #245502=ORIENTED_EDGE('',*,*,#189561,.F.); #245503=ORIENTED_EDGE('',*,*,#189562,.T.); #245504=ORIENTED_EDGE('',*,*,#189561,.T.); #245505=ORIENTED_EDGE('',*,*,#189563,.F.); #245506=ORIENTED_EDGE('',*,*,#189564,.F.); #245507=ORIENTED_EDGE('',*,*,#189565,.T.); #245508=ORIENTED_EDGE('',*,*,#189564,.T.); #245509=ORIENTED_EDGE('',*,*,#189566,.F.); #245510=ORIENTED_EDGE('',*,*,#189496,.F.); #245511=ORIENTED_EDGE('',*,*,#189566,.T.); #245512=ORIENTED_EDGE('',*,*,#189563,.T.); #245513=ORIENTED_EDGE('',*,*,#189560,.T.); #245514=ORIENTED_EDGE('',*,*,#189557,.T.); #245515=ORIENTED_EDGE('',*,*,#189554,.T.); #245516=ORIENTED_EDGE('',*,*,#189551,.T.); #245517=ORIENTED_EDGE('',*,*,#189548,.T.); #245518=ORIENTED_EDGE('',*,*,#189545,.T.); #245519=ORIENTED_EDGE('',*,*,#189542,.T.); #245520=ORIENTED_EDGE('',*,*,#189539,.T.); #245521=ORIENTED_EDGE('',*,*,#189536,.T.); #245522=ORIENTED_EDGE('',*,*,#189533,.T.); #245523=ORIENTED_EDGE('',*,*,#189530,.T.); #245524=ORIENTED_EDGE('',*,*,#189527,.T.); #245525=ORIENTED_EDGE('',*,*,#189524,.T.); #245526=ORIENTED_EDGE('',*,*,#189521,.T.); #245527=ORIENTED_EDGE('',*,*,#189518,.T.); #245528=ORIENTED_EDGE('',*,*,#189515,.T.); #245529=ORIENTED_EDGE('',*,*,#189512,.T.); #245530=ORIENTED_EDGE('',*,*,#189509,.T.); #245531=ORIENTED_EDGE('',*,*,#189506,.T.); #245532=ORIENTED_EDGE('',*,*,#189503,.T.); #245533=ORIENTED_EDGE('',*,*,#189500,.T.); #245534=ORIENTED_EDGE('',*,*,#189497,.T.); #245535=ORIENTED_EDGE('',*,*,#189494,.T.); #245536=ORIENTED_EDGE('',*,*,#189565,.F.); #245537=ORIENTED_EDGE('',*,*,#189495,.F.); #245538=ORIENTED_EDGE('',*,*,#189499,.F.); #245539=ORIENTED_EDGE('',*,*,#189502,.F.); #245540=ORIENTED_EDGE('',*,*,#189505,.F.); #245541=ORIENTED_EDGE('',*,*,#189508,.F.); #245542=ORIENTED_EDGE('',*,*,#189511,.F.); #245543=ORIENTED_EDGE('',*,*,#189514,.F.); #245544=ORIENTED_EDGE('',*,*,#189517,.F.); #245545=ORIENTED_EDGE('',*,*,#189520,.F.); #245546=ORIENTED_EDGE('',*,*,#189523,.F.); #245547=ORIENTED_EDGE('',*,*,#189526,.F.); #245548=ORIENTED_EDGE('',*,*,#189529,.F.); #245549=ORIENTED_EDGE('',*,*,#189532,.F.); #245550=ORIENTED_EDGE('',*,*,#189535,.F.); #245551=ORIENTED_EDGE('',*,*,#189538,.F.); #245552=ORIENTED_EDGE('',*,*,#189541,.F.); #245553=ORIENTED_EDGE('',*,*,#189544,.F.); #245554=ORIENTED_EDGE('',*,*,#189547,.F.); #245555=ORIENTED_EDGE('',*,*,#189550,.F.); #245556=ORIENTED_EDGE('',*,*,#189553,.F.); #245557=ORIENTED_EDGE('',*,*,#189556,.F.); #245558=ORIENTED_EDGE('',*,*,#189559,.F.); #245559=ORIENTED_EDGE('',*,*,#189562,.F.); #245560=ORIENTED_EDGE('',*,*,#189492,.T.); #245561=ORIENTED_EDGE('',*,*,#189567,.F.); #245562=ORIENTED_EDGE('',*,*,#189568,.T.); #245563=ORIENTED_EDGE('',*,*,#189569,.F.); #245564=ORIENTED_EDGE('',*,*,#189568,.F.); #245565=ORIENTED_EDGE('',*,*,#189570,.T.); #245566=ORIENTED_EDGE('',*,*,#189571,.T.); #245567=ORIENTED_EDGE('',*,*,#189572,.F.); #245568=ORIENTED_EDGE('',*,*,#189573,.F.); #245569=ORIENTED_EDGE('',*,*,#189574,.T.); #245570=ORIENTED_EDGE('',*,*,#189573,.T.); #245571=ORIENTED_EDGE('',*,*,#189575,.F.); #245572=ORIENTED_EDGE('',*,*,#189576,.F.); #245573=ORIENTED_EDGE('',*,*,#189577,.T.); #245574=ORIENTED_EDGE('',*,*,#189576,.T.); #245575=ORIENTED_EDGE('',*,*,#189578,.F.); #245576=ORIENTED_EDGE('',*,*,#189579,.F.); #245577=ORIENTED_EDGE('',*,*,#189580,.T.); #245578=ORIENTED_EDGE('',*,*,#189579,.T.); #245579=ORIENTED_EDGE('',*,*,#189581,.F.); #245580=ORIENTED_EDGE('',*,*,#189582,.F.); #245581=ORIENTED_EDGE('',*,*,#189583,.T.); #245582=ORIENTED_EDGE('',*,*,#189582,.T.); #245583=ORIENTED_EDGE('',*,*,#189584,.F.); #245584=ORIENTED_EDGE('',*,*,#189585,.F.); #245585=ORIENTED_EDGE('',*,*,#189586,.T.); #245586=ORIENTED_EDGE('',*,*,#189585,.T.); #245587=ORIENTED_EDGE('',*,*,#189587,.F.); #245588=ORIENTED_EDGE('',*,*,#189588,.F.); #245589=ORIENTED_EDGE('',*,*,#189589,.T.); #245590=ORIENTED_EDGE('',*,*,#189588,.T.); #245591=ORIENTED_EDGE('',*,*,#189590,.F.); #245592=ORIENTED_EDGE('',*,*,#189591,.F.); #245593=ORIENTED_EDGE('',*,*,#189592,.T.); #245594=ORIENTED_EDGE('',*,*,#189591,.T.); #245595=ORIENTED_EDGE('',*,*,#189593,.F.); #245596=ORIENTED_EDGE('',*,*,#189594,.F.); #245597=ORIENTED_EDGE('',*,*,#189595,.T.); #245598=ORIENTED_EDGE('',*,*,#189594,.T.); #245599=ORIENTED_EDGE('',*,*,#189596,.F.); #245600=ORIENTED_EDGE('',*,*,#189597,.F.); #245601=ORIENTED_EDGE('',*,*,#189598,.T.); #245602=ORIENTED_EDGE('',*,*,#189597,.T.); #245603=ORIENTED_EDGE('',*,*,#189599,.F.); #245604=ORIENTED_EDGE('',*,*,#189600,.F.); #245605=ORIENTED_EDGE('',*,*,#189601,.T.); #245606=ORIENTED_EDGE('',*,*,#189600,.T.); #245607=ORIENTED_EDGE('',*,*,#189602,.F.); #245608=ORIENTED_EDGE('',*,*,#189603,.F.); #245609=ORIENTED_EDGE('',*,*,#189604,.T.); #245610=ORIENTED_EDGE('',*,*,#189603,.T.); #245611=ORIENTED_EDGE('',*,*,#189605,.F.); #245612=ORIENTED_EDGE('',*,*,#189606,.F.); #245613=ORIENTED_EDGE('',*,*,#189607,.T.); #245614=ORIENTED_EDGE('',*,*,#189606,.T.); #245615=ORIENTED_EDGE('',*,*,#189608,.F.); #245616=ORIENTED_EDGE('',*,*,#189609,.F.); #245617=ORIENTED_EDGE('',*,*,#189610,.T.); #245618=ORIENTED_EDGE('',*,*,#189609,.T.); #245619=ORIENTED_EDGE('',*,*,#189611,.F.); #245620=ORIENTED_EDGE('',*,*,#189612,.F.); #245621=ORIENTED_EDGE('',*,*,#189613,.T.); #245622=ORIENTED_EDGE('',*,*,#189612,.T.); #245623=ORIENTED_EDGE('',*,*,#189614,.F.); #245624=ORIENTED_EDGE('',*,*,#189571,.F.); #245625=ORIENTED_EDGE('',*,*,#189614,.T.); #245626=ORIENTED_EDGE('',*,*,#189611,.T.); #245627=ORIENTED_EDGE('',*,*,#189608,.T.); #245628=ORIENTED_EDGE('',*,*,#189605,.T.); #245629=ORIENTED_EDGE('',*,*,#189602,.T.); #245630=ORIENTED_EDGE('',*,*,#189599,.T.); #245631=ORIENTED_EDGE('',*,*,#189596,.T.); #245632=ORIENTED_EDGE('',*,*,#189593,.T.); #245633=ORIENTED_EDGE('',*,*,#189590,.T.); #245634=ORIENTED_EDGE('',*,*,#189587,.T.); #245635=ORIENTED_EDGE('',*,*,#189584,.T.); #245636=ORIENTED_EDGE('',*,*,#189581,.T.); #245637=ORIENTED_EDGE('',*,*,#189578,.T.); #245638=ORIENTED_EDGE('',*,*,#189575,.T.); #245639=ORIENTED_EDGE('',*,*,#189572,.T.); #245640=ORIENTED_EDGE('',*,*,#189569,.T.); #245641=ORIENTED_EDGE('',*,*,#189613,.F.); #245642=ORIENTED_EDGE('',*,*,#189570,.F.); #245643=ORIENTED_EDGE('',*,*,#189574,.F.); #245644=ORIENTED_EDGE('',*,*,#189577,.F.); #245645=ORIENTED_EDGE('',*,*,#189580,.F.); #245646=ORIENTED_EDGE('',*,*,#189583,.F.); #245647=ORIENTED_EDGE('',*,*,#189586,.F.); #245648=ORIENTED_EDGE('',*,*,#189589,.F.); #245649=ORIENTED_EDGE('',*,*,#189592,.F.); #245650=ORIENTED_EDGE('',*,*,#189595,.F.); #245651=ORIENTED_EDGE('',*,*,#189598,.F.); #245652=ORIENTED_EDGE('',*,*,#189601,.F.); #245653=ORIENTED_EDGE('',*,*,#189604,.F.); #245654=ORIENTED_EDGE('',*,*,#189607,.F.); #245655=ORIENTED_EDGE('',*,*,#189610,.F.); #245656=ORIENTED_EDGE('',*,*,#189567,.T.); #245657=ORIENTED_EDGE('',*,*,#189615,.F.); #245658=ORIENTED_EDGE('',*,*,#189616,.T.); #245659=ORIENTED_EDGE('',*,*,#189617,.F.); #245660=ORIENTED_EDGE('',*,*,#189616,.F.); #245661=ORIENTED_EDGE('',*,*,#189618,.T.); #245662=ORIENTED_EDGE('',*,*,#189619,.T.); #245663=ORIENTED_EDGE('',*,*,#189620,.F.); #245664=ORIENTED_EDGE('',*,*,#189621,.F.); #245665=ORIENTED_EDGE('',*,*,#189622,.T.); #245666=ORIENTED_EDGE('',*,*,#189621,.T.); #245667=ORIENTED_EDGE('',*,*,#189623,.F.); #245668=ORIENTED_EDGE('',*,*,#189624,.F.); #245669=ORIENTED_EDGE('',*,*,#189625,.T.); #245670=ORIENTED_EDGE('',*,*,#189624,.T.); #245671=ORIENTED_EDGE('',*,*,#189626,.F.); #245672=ORIENTED_EDGE('',*,*,#189627,.F.); #245673=ORIENTED_EDGE('',*,*,#189628,.T.); #245674=ORIENTED_EDGE('',*,*,#189627,.T.); #245675=ORIENTED_EDGE('',*,*,#189629,.F.); #245676=ORIENTED_EDGE('',*,*,#189630,.F.); #245677=ORIENTED_EDGE('',*,*,#189631,.T.); #245678=ORIENTED_EDGE('',*,*,#189630,.T.); #245679=ORIENTED_EDGE('',*,*,#189632,.F.); #245680=ORIENTED_EDGE('',*,*,#189633,.F.); #245681=ORIENTED_EDGE('',*,*,#189634,.T.); #245682=ORIENTED_EDGE('',*,*,#189633,.T.); #245683=ORIENTED_EDGE('',*,*,#189635,.F.); #245684=ORIENTED_EDGE('',*,*,#189636,.F.); #245685=ORIENTED_EDGE('',*,*,#189637,.T.); #245686=ORIENTED_EDGE('',*,*,#189636,.T.); #245687=ORIENTED_EDGE('',*,*,#189638,.F.); #245688=ORIENTED_EDGE('',*,*,#189639,.F.); #245689=ORIENTED_EDGE('',*,*,#189640,.T.); #245690=ORIENTED_EDGE('',*,*,#189639,.T.); #245691=ORIENTED_EDGE('',*,*,#189641,.F.); #245692=ORIENTED_EDGE('',*,*,#189642,.F.); #245693=ORIENTED_EDGE('',*,*,#189643,.T.); #245694=ORIENTED_EDGE('',*,*,#189642,.T.); #245695=ORIENTED_EDGE('',*,*,#189644,.F.); #245696=ORIENTED_EDGE('',*,*,#189645,.F.); #245697=ORIENTED_EDGE('',*,*,#189646,.T.); #245698=ORIENTED_EDGE('',*,*,#189645,.T.); #245699=ORIENTED_EDGE('',*,*,#189647,.F.); #245700=ORIENTED_EDGE('',*,*,#189648,.F.); #245701=ORIENTED_EDGE('',*,*,#189649,.T.); #245702=ORIENTED_EDGE('',*,*,#189648,.T.); #245703=ORIENTED_EDGE('',*,*,#189650,.F.); #245704=ORIENTED_EDGE('',*,*,#189651,.F.); #245705=ORIENTED_EDGE('',*,*,#189652,.T.); #245706=ORIENTED_EDGE('',*,*,#189651,.T.); #245707=ORIENTED_EDGE('',*,*,#189653,.F.); #245708=ORIENTED_EDGE('',*,*,#189654,.F.); #245709=ORIENTED_EDGE('',*,*,#189655,.T.); #245710=ORIENTED_EDGE('',*,*,#189654,.T.); #245711=ORIENTED_EDGE('',*,*,#189656,.F.); #245712=ORIENTED_EDGE('',*,*,#189657,.F.); #245713=ORIENTED_EDGE('',*,*,#189658,.T.); #245714=ORIENTED_EDGE('',*,*,#189657,.T.); #245715=ORIENTED_EDGE('',*,*,#189659,.F.); #245716=ORIENTED_EDGE('',*,*,#189660,.F.); #245717=ORIENTED_EDGE('',*,*,#189661,.T.); #245718=ORIENTED_EDGE('',*,*,#189660,.T.); #245719=ORIENTED_EDGE('',*,*,#189662,.F.); #245720=ORIENTED_EDGE('',*,*,#189663,.F.); #245721=ORIENTED_EDGE('',*,*,#189664,.T.); #245722=ORIENTED_EDGE('',*,*,#189663,.T.); #245723=ORIENTED_EDGE('',*,*,#189665,.F.); #245724=ORIENTED_EDGE('',*,*,#189666,.F.); #245725=ORIENTED_EDGE('',*,*,#189667,.T.); #245726=ORIENTED_EDGE('',*,*,#189666,.T.); #245727=ORIENTED_EDGE('',*,*,#189668,.F.); #245728=ORIENTED_EDGE('',*,*,#189669,.F.); #245729=ORIENTED_EDGE('',*,*,#189670,.T.); #245730=ORIENTED_EDGE('',*,*,#189669,.T.); #245731=ORIENTED_EDGE('',*,*,#189671,.F.); #245732=ORIENTED_EDGE('',*,*,#189672,.F.); #245733=ORIENTED_EDGE('',*,*,#189673,.T.); #245734=ORIENTED_EDGE('',*,*,#189672,.T.); #245735=ORIENTED_EDGE('',*,*,#189674,.F.); #245736=ORIENTED_EDGE('',*,*,#189675,.F.); #245737=ORIENTED_EDGE('',*,*,#189676,.T.); #245738=ORIENTED_EDGE('',*,*,#189675,.T.); #245739=ORIENTED_EDGE('',*,*,#189677,.F.); #245740=ORIENTED_EDGE('',*,*,#189678,.F.); #245741=ORIENTED_EDGE('',*,*,#189679,.T.); #245742=ORIENTED_EDGE('',*,*,#189678,.T.); #245743=ORIENTED_EDGE('',*,*,#189680,.F.); #245744=ORIENTED_EDGE('',*,*,#189681,.F.); #245745=ORIENTED_EDGE('',*,*,#189682,.T.); #245746=ORIENTED_EDGE('',*,*,#189681,.T.); #245747=ORIENTED_EDGE('',*,*,#189683,.F.); #245748=ORIENTED_EDGE('',*,*,#189684,.F.); #245749=ORIENTED_EDGE('',*,*,#189685,.T.); #245750=ORIENTED_EDGE('',*,*,#189684,.T.); #245751=ORIENTED_EDGE('',*,*,#189686,.F.); #245752=ORIENTED_EDGE('',*,*,#189687,.F.); #245753=ORIENTED_EDGE('',*,*,#189688,.T.); #245754=ORIENTED_EDGE('',*,*,#189687,.T.); #245755=ORIENTED_EDGE('',*,*,#189689,.F.); #245756=ORIENTED_EDGE('',*,*,#189690,.F.); #245757=ORIENTED_EDGE('',*,*,#189691,.T.); #245758=ORIENTED_EDGE('',*,*,#189690,.T.); #245759=ORIENTED_EDGE('',*,*,#189692,.F.); #245760=ORIENTED_EDGE('',*,*,#189693,.F.); #245761=ORIENTED_EDGE('',*,*,#189694,.T.); #245762=ORIENTED_EDGE('',*,*,#189693,.T.); #245763=ORIENTED_EDGE('',*,*,#189695,.F.); #245764=ORIENTED_EDGE('',*,*,#189696,.F.); #245765=ORIENTED_EDGE('',*,*,#189697,.T.); #245766=ORIENTED_EDGE('',*,*,#189696,.T.); #245767=ORIENTED_EDGE('',*,*,#189698,.F.); #245768=ORIENTED_EDGE('',*,*,#189699,.F.); #245769=ORIENTED_EDGE('',*,*,#189700,.T.); #245770=ORIENTED_EDGE('',*,*,#189699,.T.); #245771=ORIENTED_EDGE('',*,*,#189701,.F.); #245772=ORIENTED_EDGE('',*,*,#189702,.F.); #245773=ORIENTED_EDGE('',*,*,#189703,.T.); #245774=ORIENTED_EDGE('',*,*,#189702,.T.); #245775=ORIENTED_EDGE('',*,*,#189704,.F.); #245776=ORIENTED_EDGE('',*,*,#189705,.F.); #245777=ORIENTED_EDGE('',*,*,#189706,.T.); #245778=ORIENTED_EDGE('',*,*,#189705,.T.); #245779=ORIENTED_EDGE('',*,*,#189707,.F.); #245780=ORIENTED_EDGE('',*,*,#189708,.F.); #245781=ORIENTED_EDGE('',*,*,#189709,.T.); #245782=ORIENTED_EDGE('',*,*,#189708,.T.); #245783=ORIENTED_EDGE('',*,*,#189710,.F.); #245784=ORIENTED_EDGE('',*,*,#189711,.F.); #245785=ORIENTED_EDGE('',*,*,#189712,.T.); #245786=ORIENTED_EDGE('',*,*,#189711,.T.); #245787=ORIENTED_EDGE('',*,*,#189713,.F.); #245788=ORIENTED_EDGE('',*,*,#189714,.F.); #245789=ORIENTED_EDGE('',*,*,#189715,.T.); #245790=ORIENTED_EDGE('',*,*,#189714,.T.); #245791=ORIENTED_EDGE('',*,*,#189716,.F.); #245792=ORIENTED_EDGE('',*,*,#189717,.F.); #245793=ORIENTED_EDGE('',*,*,#189718,.T.); #245794=ORIENTED_EDGE('',*,*,#189717,.T.); #245795=ORIENTED_EDGE('',*,*,#189719,.F.); #245796=ORIENTED_EDGE('',*,*,#189720,.F.); #245797=ORIENTED_EDGE('',*,*,#189721,.T.); #245798=ORIENTED_EDGE('',*,*,#189720,.T.); #245799=ORIENTED_EDGE('',*,*,#189722,.F.); #245800=ORIENTED_EDGE('',*,*,#189723,.F.); #245801=ORIENTED_EDGE('',*,*,#189724,.T.); #245802=ORIENTED_EDGE('',*,*,#189723,.T.); #245803=ORIENTED_EDGE('',*,*,#189725,.F.); #245804=ORIENTED_EDGE('',*,*,#189726,.F.); #245805=ORIENTED_EDGE('',*,*,#189727,.T.); #245806=ORIENTED_EDGE('',*,*,#189726,.T.); #245807=ORIENTED_EDGE('',*,*,#189728,.F.); #245808=ORIENTED_EDGE('',*,*,#189729,.F.); #245809=ORIENTED_EDGE('',*,*,#189730,.T.); #245810=ORIENTED_EDGE('',*,*,#189729,.T.); #245811=ORIENTED_EDGE('',*,*,#189731,.F.); #245812=ORIENTED_EDGE('',*,*,#189732,.F.); #245813=ORIENTED_EDGE('',*,*,#189733,.T.); #245814=ORIENTED_EDGE('',*,*,#189732,.T.); #245815=ORIENTED_EDGE('',*,*,#189734,.F.); #245816=ORIENTED_EDGE('',*,*,#189735,.F.); #245817=ORIENTED_EDGE('',*,*,#189736,.T.); #245818=ORIENTED_EDGE('',*,*,#189735,.T.); #245819=ORIENTED_EDGE('',*,*,#189737,.F.); #245820=ORIENTED_EDGE('',*,*,#189738,.F.); #245821=ORIENTED_EDGE('',*,*,#189739,.T.); #245822=ORIENTED_EDGE('',*,*,#189738,.T.); #245823=ORIENTED_EDGE('',*,*,#189740,.F.); #245824=ORIENTED_EDGE('',*,*,#189741,.F.); #245825=ORIENTED_EDGE('',*,*,#189742,.T.); #245826=ORIENTED_EDGE('',*,*,#189741,.T.); #245827=ORIENTED_EDGE('',*,*,#189743,.F.); #245828=ORIENTED_EDGE('',*,*,#189744,.F.); #245829=ORIENTED_EDGE('',*,*,#189745,.T.); #245830=ORIENTED_EDGE('',*,*,#189744,.T.); #245831=ORIENTED_EDGE('',*,*,#189746,.F.); #245832=ORIENTED_EDGE('',*,*,#189619,.F.); #245833=ORIENTED_EDGE('',*,*,#189746,.T.); #245834=ORIENTED_EDGE('',*,*,#189743,.T.); #245835=ORIENTED_EDGE('',*,*,#189740,.T.); #245836=ORIENTED_EDGE('',*,*,#189737,.T.); #245837=ORIENTED_EDGE('',*,*,#189734,.T.); #245838=ORIENTED_EDGE('',*,*,#189731,.T.); #245839=ORIENTED_EDGE('',*,*,#189728,.T.); #245840=ORIENTED_EDGE('',*,*,#189725,.T.); #245841=ORIENTED_EDGE('',*,*,#189722,.T.); #245842=ORIENTED_EDGE('',*,*,#189719,.T.); #245843=ORIENTED_EDGE('',*,*,#189716,.T.); #245844=ORIENTED_EDGE('',*,*,#189713,.T.); #245845=ORIENTED_EDGE('',*,*,#189710,.T.); #245846=ORIENTED_EDGE('',*,*,#189707,.T.); #245847=ORIENTED_EDGE('',*,*,#189704,.T.); #245848=ORIENTED_EDGE('',*,*,#189701,.T.); #245849=ORIENTED_EDGE('',*,*,#189698,.T.); #245850=ORIENTED_EDGE('',*,*,#189695,.T.); #245851=ORIENTED_EDGE('',*,*,#189692,.T.); #245852=ORIENTED_EDGE('',*,*,#189689,.T.); #245853=ORIENTED_EDGE('',*,*,#189686,.T.); #245854=ORIENTED_EDGE('',*,*,#189683,.T.); #245855=ORIENTED_EDGE('',*,*,#189680,.T.); #245856=ORIENTED_EDGE('',*,*,#189677,.T.); #245857=ORIENTED_EDGE('',*,*,#189674,.T.); #245858=ORIENTED_EDGE('',*,*,#189671,.T.); #245859=ORIENTED_EDGE('',*,*,#189668,.T.); #245860=ORIENTED_EDGE('',*,*,#189665,.T.); #245861=ORIENTED_EDGE('',*,*,#189662,.T.); #245862=ORIENTED_EDGE('',*,*,#189659,.T.); #245863=ORIENTED_EDGE('',*,*,#189656,.T.); #245864=ORIENTED_EDGE('',*,*,#189653,.T.); #245865=ORIENTED_EDGE('',*,*,#189650,.T.); #245866=ORIENTED_EDGE('',*,*,#189647,.T.); #245867=ORIENTED_EDGE('',*,*,#189644,.T.); #245868=ORIENTED_EDGE('',*,*,#189641,.T.); #245869=ORIENTED_EDGE('',*,*,#189638,.T.); #245870=ORIENTED_EDGE('',*,*,#189635,.T.); #245871=ORIENTED_EDGE('',*,*,#189632,.T.); #245872=ORIENTED_EDGE('',*,*,#189629,.T.); #245873=ORIENTED_EDGE('',*,*,#189626,.T.); #245874=ORIENTED_EDGE('',*,*,#189623,.T.); #245875=ORIENTED_EDGE('',*,*,#189620,.T.); #245876=ORIENTED_EDGE('',*,*,#189617,.T.); #245877=ORIENTED_EDGE('',*,*,#189745,.F.); #245878=ORIENTED_EDGE('',*,*,#189618,.F.); #245879=ORIENTED_EDGE('',*,*,#189622,.F.); #245880=ORIENTED_EDGE('',*,*,#189625,.F.); #245881=ORIENTED_EDGE('',*,*,#189628,.F.); #245882=ORIENTED_EDGE('',*,*,#189631,.F.); #245883=ORIENTED_EDGE('',*,*,#189634,.F.); #245884=ORIENTED_EDGE('',*,*,#189637,.F.); #245885=ORIENTED_EDGE('',*,*,#189640,.F.); #245886=ORIENTED_EDGE('',*,*,#189643,.F.); #245887=ORIENTED_EDGE('',*,*,#189646,.F.); #245888=ORIENTED_EDGE('',*,*,#189649,.F.); #245889=ORIENTED_EDGE('',*,*,#189652,.F.); #245890=ORIENTED_EDGE('',*,*,#189655,.F.); #245891=ORIENTED_EDGE('',*,*,#189658,.F.); #245892=ORIENTED_EDGE('',*,*,#189661,.F.); #245893=ORIENTED_EDGE('',*,*,#189664,.F.); #245894=ORIENTED_EDGE('',*,*,#189667,.F.); #245895=ORIENTED_EDGE('',*,*,#189670,.F.); #245896=ORIENTED_EDGE('',*,*,#189673,.F.); #245897=ORIENTED_EDGE('',*,*,#189676,.F.); #245898=ORIENTED_EDGE('',*,*,#189679,.F.); #245899=ORIENTED_EDGE('',*,*,#189682,.F.); #245900=ORIENTED_EDGE('',*,*,#189685,.F.); #245901=ORIENTED_EDGE('',*,*,#189688,.F.); #245902=ORIENTED_EDGE('',*,*,#189691,.F.); #245903=ORIENTED_EDGE('',*,*,#189694,.F.); #245904=ORIENTED_EDGE('',*,*,#189697,.F.); #245905=ORIENTED_EDGE('',*,*,#189700,.F.); #245906=ORIENTED_EDGE('',*,*,#189703,.F.); #245907=ORIENTED_EDGE('',*,*,#189706,.F.); #245908=ORIENTED_EDGE('',*,*,#189709,.F.); #245909=ORIENTED_EDGE('',*,*,#189712,.F.); #245910=ORIENTED_EDGE('',*,*,#189715,.F.); #245911=ORIENTED_EDGE('',*,*,#189718,.F.); #245912=ORIENTED_EDGE('',*,*,#189721,.F.); #245913=ORIENTED_EDGE('',*,*,#189724,.F.); #245914=ORIENTED_EDGE('',*,*,#189727,.F.); #245915=ORIENTED_EDGE('',*,*,#189730,.F.); #245916=ORIENTED_EDGE('',*,*,#189733,.F.); #245917=ORIENTED_EDGE('',*,*,#189736,.F.); #245918=ORIENTED_EDGE('',*,*,#189739,.F.); #245919=ORIENTED_EDGE('',*,*,#189742,.F.); #245920=ORIENTED_EDGE('',*,*,#189615,.T.); #245921=ORIENTED_EDGE('',*,*,#189747,.F.); #245922=ORIENTED_EDGE('',*,*,#189748,.T.); #245923=ORIENTED_EDGE('',*,*,#189749,.F.); #245924=ORIENTED_EDGE('',*,*,#189748,.F.); #245925=ORIENTED_EDGE('',*,*,#189750,.T.); #245926=ORIENTED_EDGE('',*,*,#189751,.T.); #245927=ORIENTED_EDGE('',*,*,#189752,.F.); #245928=ORIENTED_EDGE('',*,*,#189753,.F.); #245929=ORIENTED_EDGE('',*,*,#189754,.T.); #245930=ORIENTED_EDGE('',*,*,#189753,.T.); #245931=ORIENTED_EDGE('',*,*,#189755,.F.); #245932=ORIENTED_EDGE('',*,*,#189756,.F.); #245933=ORIENTED_EDGE('',*,*,#189757,.T.); #245934=ORIENTED_EDGE('',*,*,#189756,.T.); #245935=ORIENTED_EDGE('',*,*,#189758,.F.); #245936=ORIENTED_EDGE('',*,*,#189759,.F.); #245937=ORIENTED_EDGE('',*,*,#189760,.T.); #245938=ORIENTED_EDGE('',*,*,#189759,.T.); #245939=ORIENTED_EDGE('',*,*,#189761,.F.); #245940=ORIENTED_EDGE('',*,*,#189762,.F.); #245941=ORIENTED_EDGE('',*,*,#189763,.T.); #245942=ORIENTED_EDGE('',*,*,#189762,.T.); #245943=ORIENTED_EDGE('',*,*,#189764,.F.); #245944=ORIENTED_EDGE('',*,*,#189765,.F.); #245945=ORIENTED_EDGE('',*,*,#189766,.T.); #245946=ORIENTED_EDGE('',*,*,#189765,.T.); #245947=ORIENTED_EDGE('',*,*,#189767,.F.); #245948=ORIENTED_EDGE('',*,*,#189768,.F.); #245949=ORIENTED_EDGE('',*,*,#189769,.T.); #245950=ORIENTED_EDGE('',*,*,#189768,.T.); #245951=ORIENTED_EDGE('',*,*,#189770,.F.); #245952=ORIENTED_EDGE('',*,*,#189771,.F.); #245953=ORIENTED_EDGE('',*,*,#189772,.T.); #245954=ORIENTED_EDGE('',*,*,#189771,.T.); #245955=ORIENTED_EDGE('',*,*,#189773,.F.); #245956=ORIENTED_EDGE('',*,*,#189774,.F.); #245957=ORIENTED_EDGE('',*,*,#189775,.T.); #245958=ORIENTED_EDGE('',*,*,#189774,.T.); #245959=ORIENTED_EDGE('',*,*,#189776,.F.); #245960=ORIENTED_EDGE('',*,*,#189777,.F.); #245961=ORIENTED_EDGE('',*,*,#189778,.T.); #245962=ORIENTED_EDGE('',*,*,#189777,.T.); #245963=ORIENTED_EDGE('',*,*,#189779,.F.); #245964=ORIENTED_EDGE('',*,*,#189780,.F.); #245965=ORIENTED_EDGE('',*,*,#189781,.T.); #245966=ORIENTED_EDGE('',*,*,#189780,.T.); #245967=ORIENTED_EDGE('',*,*,#189782,.F.); #245968=ORIENTED_EDGE('',*,*,#189783,.F.); #245969=ORIENTED_EDGE('',*,*,#189784,.T.); #245970=ORIENTED_EDGE('',*,*,#189783,.T.); #245971=ORIENTED_EDGE('',*,*,#189785,.F.); #245972=ORIENTED_EDGE('',*,*,#189786,.F.); #245973=ORIENTED_EDGE('',*,*,#189787,.T.); #245974=ORIENTED_EDGE('',*,*,#189786,.T.); #245975=ORIENTED_EDGE('',*,*,#189788,.F.); #245976=ORIENTED_EDGE('',*,*,#189789,.F.); #245977=ORIENTED_EDGE('',*,*,#189790,.T.); #245978=ORIENTED_EDGE('',*,*,#189789,.T.); #245979=ORIENTED_EDGE('',*,*,#189791,.F.); #245980=ORIENTED_EDGE('',*,*,#189792,.F.); #245981=ORIENTED_EDGE('',*,*,#189793,.T.); #245982=ORIENTED_EDGE('',*,*,#189792,.T.); #245983=ORIENTED_EDGE('',*,*,#189794,.F.); #245984=ORIENTED_EDGE('',*,*,#189795,.F.); #245985=ORIENTED_EDGE('',*,*,#189796,.T.); #245986=ORIENTED_EDGE('',*,*,#189795,.T.); #245987=ORIENTED_EDGE('',*,*,#189797,.F.); #245988=ORIENTED_EDGE('',*,*,#189798,.F.); #245989=ORIENTED_EDGE('',*,*,#189799,.T.); #245990=ORIENTED_EDGE('',*,*,#189798,.T.); #245991=ORIENTED_EDGE('',*,*,#189800,.F.); #245992=ORIENTED_EDGE('',*,*,#189801,.F.); #245993=ORIENTED_EDGE('',*,*,#189802,.T.); #245994=ORIENTED_EDGE('',*,*,#189801,.T.); #245995=ORIENTED_EDGE('',*,*,#189803,.F.); #245996=ORIENTED_EDGE('',*,*,#189804,.F.); #245997=ORIENTED_EDGE('',*,*,#189805,.T.); #245998=ORIENTED_EDGE('',*,*,#189804,.T.); #245999=ORIENTED_EDGE('',*,*,#189806,.F.); #246000=ORIENTED_EDGE('',*,*,#189807,.F.); #246001=ORIENTED_EDGE('',*,*,#189808,.T.); #246002=ORIENTED_EDGE('',*,*,#189807,.T.); #246003=ORIENTED_EDGE('',*,*,#189809,.F.); #246004=ORIENTED_EDGE('',*,*,#189810,.F.); #246005=ORIENTED_EDGE('',*,*,#189811,.T.); #246006=ORIENTED_EDGE('',*,*,#189810,.T.); #246007=ORIENTED_EDGE('',*,*,#189812,.F.); #246008=ORIENTED_EDGE('',*,*,#189751,.F.); #246009=ORIENTED_EDGE('',*,*,#189812,.T.); #246010=ORIENTED_EDGE('',*,*,#189809,.T.); #246011=ORIENTED_EDGE('',*,*,#189806,.T.); #246012=ORIENTED_EDGE('',*,*,#189803,.T.); #246013=ORIENTED_EDGE('',*,*,#189800,.T.); #246014=ORIENTED_EDGE('',*,*,#189797,.T.); #246015=ORIENTED_EDGE('',*,*,#189794,.T.); #246016=ORIENTED_EDGE('',*,*,#189791,.T.); #246017=ORIENTED_EDGE('',*,*,#189788,.T.); #246018=ORIENTED_EDGE('',*,*,#189785,.T.); #246019=ORIENTED_EDGE('',*,*,#189782,.T.); #246020=ORIENTED_EDGE('',*,*,#189779,.T.); #246021=ORIENTED_EDGE('',*,*,#189776,.T.); #246022=ORIENTED_EDGE('',*,*,#189773,.T.); #246023=ORIENTED_EDGE('',*,*,#189770,.T.); #246024=ORIENTED_EDGE('',*,*,#189767,.T.); #246025=ORIENTED_EDGE('',*,*,#189764,.T.); #246026=ORIENTED_EDGE('',*,*,#189761,.T.); #246027=ORIENTED_EDGE('',*,*,#189758,.T.); #246028=ORIENTED_EDGE('',*,*,#189755,.T.); #246029=ORIENTED_EDGE('',*,*,#189752,.T.); #246030=ORIENTED_EDGE('',*,*,#189749,.T.); #246031=ORIENTED_EDGE('',*,*,#189811,.F.); #246032=ORIENTED_EDGE('',*,*,#189750,.F.); #246033=ORIENTED_EDGE('',*,*,#189754,.F.); #246034=ORIENTED_EDGE('',*,*,#189757,.F.); #246035=ORIENTED_EDGE('',*,*,#189760,.F.); #246036=ORIENTED_EDGE('',*,*,#189763,.F.); #246037=ORIENTED_EDGE('',*,*,#189766,.F.); #246038=ORIENTED_EDGE('',*,*,#189769,.F.); #246039=ORIENTED_EDGE('',*,*,#189772,.F.); #246040=ORIENTED_EDGE('',*,*,#189775,.F.); #246041=ORIENTED_EDGE('',*,*,#189778,.F.); #246042=ORIENTED_EDGE('',*,*,#189781,.F.); #246043=ORIENTED_EDGE('',*,*,#189784,.F.); #246044=ORIENTED_EDGE('',*,*,#189787,.F.); #246045=ORIENTED_EDGE('',*,*,#189790,.F.); #246046=ORIENTED_EDGE('',*,*,#189793,.F.); #246047=ORIENTED_EDGE('',*,*,#189796,.F.); #246048=ORIENTED_EDGE('',*,*,#189799,.F.); #246049=ORIENTED_EDGE('',*,*,#189802,.F.); #246050=ORIENTED_EDGE('',*,*,#189805,.F.); #246051=ORIENTED_EDGE('',*,*,#189808,.F.); #246052=ORIENTED_EDGE('',*,*,#189747,.T.); #246053=ORIENTED_EDGE('',*,*,#189813,.F.); #246054=ORIENTED_EDGE('',*,*,#189814,.T.); #246055=ORIENTED_EDGE('',*,*,#189815,.F.); #246056=ORIENTED_EDGE('',*,*,#189814,.F.); #246057=ORIENTED_EDGE('',*,*,#189816,.T.); #246058=ORIENTED_EDGE('',*,*,#189817,.T.); #246059=ORIENTED_EDGE('',*,*,#189818,.F.); #246060=ORIENTED_EDGE('',*,*,#189819,.F.); #246061=ORIENTED_EDGE('',*,*,#189820,.T.); #246062=ORIENTED_EDGE('',*,*,#189819,.T.); #246063=ORIENTED_EDGE('',*,*,#189821,.F.); #246064=ORIENTED_EDGE('',*,*,#189822,.F.); #246065=ORIENTED_EDGE('',*,*,#189823,.T.); #246066=ORIENTED_EDGE('',*,*,#189822,.T.); #246067=ORIENTED_EDGE('',*,*,#189824,.F.); #246068=ORIENTED_EDGE('',*,*,#189825,.F.); #246069=ORIENTED_EDGE('',*,*,#189826,.T.); #246070=ORIENTED_EDGE('',*,*,#189825,.T.); #246071=ORIENTED_EDGE('',*,*,#189827,.F.); #246072=ORIENTED_EDGE('',*,*,#189817,.F.); #246073=ORIENTED_EDGE('',*,*,#189827,.T.); #246074=ORIENTED_EDGE('',*,*,#189824,.T.); #246075=ORIENTED_EDGE('',*,*,#189821,.T.); #246076=ORIENTED_EDGE('',*,*,#189818,.T.); #246077=ORIENTED_EDGE('',*,*,#189815,.T.); #246078=ORIENTED_EDGE('',*,*,#189826,.F.); #246079=ORIENTED_EDGE('',*,*,#189816,.F.); #246080=ORIENTED_EDGE('',*,*,#189820,.F.); #246081=ORIENTED_EDGE('',*,*,#189823,.F.); #246082=ORIENTED_EDGE('',*,*,#189813,.T.); #246083=ORIENTED_EDGE('',*,*,#189828,.F.); #246084=ORIENTED_EDGE('',*,*,#189829,.T.); #246085=ORIENTED_EDGE('',*,*,#189830,.F.); #246086=ORIENTED_EDGE('',*,*,#189829,.F.); #246087=ORIENTED_EDGE('',*,*,#189831,.T.); #246088=ORIENTED_EDGE('',*,*,#189832,.T.); #246089=ORIENTED_EDGE('',*,*,#189833,.F.); #246090=ORIENTED_EDGE('',*,*,#189834,.F.); #246091=ORIENTED_EDGE('',*,*,#189835,.T.); #246092=ORIENTED_EDGE('',*,*,#189834,.T.); #246093=ORIENTED_EDGE('',*,*,#189836,.F.); #246094=ORIENTED_EDGE('',*,*,#189837,.F.); #246095=ORIENTED_EDGE('',*,*,#189838,.T.); #246096=ORIENTED_EDGE('',*,*,#189837,.T.); #246097=ORIENTED_EDGE('',*,*,#189839,.F.); #246098=ORIENTED_EDGE('',*,*,#189840,.F.); #246099=ORIENTED_EDGE('',*,*,#189841,.T.); #246100=ORIENTED_EDGE('',*,*,#189840,.T.); #246101=ORIENTED_EDGE('',*,*,#189842,.F.); #246102=ORIENTED_EDGE('',*,*,#189832,.F.); #246103=ORIENTED_EDGE('',*,*,#189842,.T.); #246104=ORIENTED_EDGE('',*,*,#189839,.T.); #246105=ORIENTED_EDGE('',*,*,#189836,.T.); #246106=ORIENTED_EDGE('',*,*,#189833,.T.); #246107=ORIENTED_EDGE('',*,*,#189830,.T.); #246108=ORIENTED_EDGE('',*,*,#189841,.F.); #246109=ORIENTED_EDGE('',*,*,#189831,.F.); #246110=ORIENTED_EDGE('',*,*,#189835,.F.); #246111=ORIENTED_EDGE('',*,*,#189838,.F.); #246112=ORIENTED_EDGE('',*,*,#189828,.T.); #246113=ORIENTED_EDGE('',*,*,#189843,.F.); #246114=ORIENTED_EDGE('',*,*,#189844,.T.); #246115=ORIENTED_EDGE('',*,*,#189845,.F.); #246116=ORIENTED_EDGE('',*,*,#189844,.F.); #246117=ORIENTED_EDGE('',*,*,#189846,.T.); #246118=ORIENTED_EDGE('',*,*,#189847,.T.); #246119=ORIENTED_EDGE('',*,*,#189848,.F.); #246120=ORIENTED_EDGE('',*,*,#189849,.F.); #246121=ORIENTED_EDGE('',*,*,#189850,.T.); #246122=ORIENTED_EDGE('',*,*,#189849,.T.); #246123=ORIENTED_EDGE('',*,*,#189851,.F.); #246124=ORIENTED_EDGE('',*,*,#189852,.F.); #246125=ORIENTED_EDGE('',*,*,#189853,.T.); #246126=ORIENTED_EDGE('',*,*,#189852,.T.); #246127=ORIENTED_EDGE('',*,*,#189854,.F.); #246128=ORIENTED_EDGE('',*,*,#189855,.F.); #246129=ORIENTED_EDGE('',*,*,#189856,.T.); #246130=ORIENTED_EDGE('',*,*,#189855,.T.); #246131=ORIENTED_EDGE('',*,*,#189857,.F.); #246132=ORIENTED_EDGE('',*,*,#189858,.F.); #246133=ORIENTED_EDGE('',*,*,#189859,.T.); #246134=ORIENTED_EDGE('',*,*,#189858,.T.); #246135=ORIENTED_EDGE('',*,*,#189860,.F.); #246136=ORIENTED_EDGE('',*,*,#189861,.F.); #246137=ORIENTED_EDGE('',*,*,#189862,.T.); #246138=ORIENTED_EDGE('',*,*,#189861,.T.); #246139=ORIENTED_EDGE('',*,*,#189863,.F.); #246140=ORIENTED_EDGE('',*,*,#189864,.F.); #246141=ORIENTED_EDGE('',*,*,#189865,.T.); #246142=ORIENTED_EDGE('',*,*,#189864,.T.); #246143=ORIENTED_EDGE('',*,*,#189866,.F.); #246144=ORIENTED_EDGE('',*,*,#189867,.F.); #246145=ORIENTED_EDGE('',*,*,#189868,.T.); #246146=ORIENTED_EDGE('',*,*,#189867,.T.); #246147=ORIENTED_EDGE('',*,*,#189869,.F.); #246148=ORIENTED_EDGE('',*,*,#189870,.F.); #246149=ORIENTED_EDGE('',*,*,#189871,.T.); #246150=ORIENTED_EDGE('',*,*,#189870,.T.); #246151=ORIENTED_EDGE('',*,*,#189872,.F.); #246152=ORIENTED_EDGE('',*,*,#189873,.F.); #246153=ORIENTED_EDGE('',*,*,#189874,.T.); #246154=ORIENTED_EDGE('',*,*,#189873,.T.); #246155=ORIENTED_EDGE('',*,*,#189875,.F.); #246156=ORIENTED_EDGE('',*,*,#189876,.F.); #246157=ORIENTED_EDGE('',*,*,#189877,.T.); #246158=ORIENTED_EDGE('',*,*,#189876,.T.); #246159=ORIENTED_EDGE('',*,*,#189878,.F.); #246160=ORIENTED_EDGE('',*,*,#189879,.F.); #246161=ORIENTED_EDGE('',*,*,#189880,.T.); #246162=ORIENTED_EDGE('',*,*,#189879,.T.); #246163=ORIENTED_EDGE('',*,*,#189881,.F.); #246164=ORIENTED_EDGE('',*,*,#189882,.F.); #246165=ORIENTED_EDGE('',*,*,#189883,.T.); #246166=ORIENTED_EDGE('',*,*,#189882,.T.); #246167=ORIENTED_EDGE('',*,*,#189884,.F.); #246168=ORIENTED_EDGE('',*,*,#189885,.F.); #246169=ORIENTED_EDGE('',*,*,#189886,.T.); #246170=ORIENTED_EDGE('',*,*,#189885,.T.); #246171=ORIENTED_EDGE('',*,*,#189887,.F.); #246172=ORIENTED_EDGE('',*,*,#189847,.F.); #246173=ORIENTED_EDGE('',*,*,#189887,.T.); #246174=ORIENTED_EDGE('',*,*,#189884,.T.); #246175=ORIENTED_EDGE('',*,*,#189881,.T.); #246176=ORIENTED_EDGE('',*,*,#189878,.T.); #246177=ORIENTED_EDGE('',*,*,#189875,.T.); #246178=ORIENTED_EDGE('',*,*,#189872,.T.); #246179=ORIENTED_EDGE('',*,*,#189869,.T.); #246180=ORIENTED_EDGE('',*,*,#189866,.T.); #246181=ORIENTED_EDGE('',*,*,#189863,.T.); #246182=ORIENTED_EDGE('',*,*,#189860,.T.); #246183=ORIENTED_EDGE('',*,*,#189857,.T.); #246184=ORIENTED_EDGE('',*,*,#189854,.T.); #246185=ORIENTED_EDGE('',*,*,#189851,.T.); #246186=ORIENTED_EDGE('',*,*,#189848,.T.); #246187=ORIENTED_EDGE('',*,*,#189845,.T.); #246188=ORIENTED_EDGE('',*,*,#189886,.F.); #246189=ORIENTED_EDGE('',*,*,#189846,.F.); #246190=ORIENTED_EDGE('',*,*,#189850,.F.); #246191=ORIENTED_EDGE('',*,*,#189853,.F.); #246192=ORIENTED_EDGE('',*,*,#189856,.F.); #246193=ORIENTED_EDGE('',*,*,#189859,.F.); #246194=ORIENTED_EDGE('',*,*,#189862,.F.); #246195=ORIENTED_EDGE('',*,*,#189865,.F.); #246196=ORIENTED_EDGE('',*,*,#189868,.F.); #246197=ORIENTED_EDGE('',*,*,#189871,.F.); #246198=ORIENTED_EDGE('',*,*,#189874,.F.); #246199=ORIENTED_EDGE('',*,*,#189877,.F.); #246200=ORIENTED_EDGE('',*,*,#189880,.F.); #246201=ORIENTED_EDGE('',*,*,#189883,.F.); #246202=ORIENTED_EDGE('',*,*,#189843,.T.); #246203=ORIENTED_EDGE('',*,*,#189888,.F.); #246204=ORIENTED_EDGE('',*,*,#189889,.T.); #246205=ORIENTED_EDGE('',*,*,#189890,.F.); #246206=ORIENTED_EDGE('',*,*,#189889,.F.); #246207=ORIENTED_EDGE('',*,*,#189891,.T.); #246208=ORIENTED_EDGE('',*,*,#189892,.T.); #246209=ORIENTED_EDGE('',*,*,#189893,.F.); #246210=ORIENTED_EDGE('',*,*,#189894,.F.); #246211=ORIENTED_EDGE('',*,*,#189895,.T.); #246212=ORIENTED_EDGE('',*,*,#189894,.T.); #246213=ORIENTED_EDGE('',*,*,#189896,.F.); #246214=ORIENTED_EDGE('',*,*,#189897,.F.); #246215=ORIENTED_EDGE('',*,*,#189898,.T.); #246216=ORIENTED_EDGE('',*,*,#189897,.T.); #246217=ORIENTED_EDGE('',*,*,#189899,.F.); #246218=ORIENTED_EDGE('',*,*,#189892,.F.); #246219=ORIENTED_EDGE('',*,*,#189899,.T.); #246220=ORIENTED_EDGE('',*,*,#189896,.T.); #246221=ORIENTED_EDGE('',*,*,#189893,.T.); #246222=ORIENTED_EDGE('',*,*,#189890,.T.); #246223=ORIENTED_EDGE('',*,*,#189898,.F.); #246224=ORIENTED_EDGE('',*,*,#189891,.F.); #246225=ORIENTED_EDGE('',*,*,#189895,.F.); #246226=ORIENTED_EDGE('',*,*,#189888,.T.); #246227=ORIENTED_EDGE('',*,*,#189900,.F.); #246228=ORIENTED_EDGE('',*,*,#189901,.T.); #246229=ORIENTED_EDGE('',*,*,#189902,.F.); #246230=ORIENTED_EDGE('',*,*,#189901,.F.); #246231=ORIENTED_EDGE('',*,*,#189903,.F.); #246232=ORIENTED_EDGE('',*,*,#189904,.T.); #246233=ORIENTED_EDGE('',*,*,#189905,.F.); #246234=ORIENTED_EDGE('',*,*,#189904,.F.); #246235=ORIENTED_EDGE('',*,*,#189906,.T.); #246236=ORIENTED_EDGE('',*,*,#189907,.T.); #246237=ORIENTED_EDGE('',*,*,#189908,.F.); #246238=ORIENTED_EDGE('',*,*,#189909,.F.); #246239=ORIENTED_EDGE('',*,*,#189910,.T.); #246240=ORIENTED_EDGE('',*,*,#189909,.T.); #246241=ORIENTED_EDGE('',*,*,#189911,.F.); #246242=ORIENTED_EDGE('',*,*,#189912,.F.); #246243=ORIENTED_EDGE('',*,*,#189913,.T.); #246244=ORIENTED_EDGE('',*,*,#189912,.T.); #246245=ORIENTED_EDGE('',*,*,#189914,.F.); #246246=ORIENTED_EDGE('',*,*,#189915,.F.); #246247=ORIENTED_EDGE('',*,*,#189916,.T.); #246248=ORIENTED_EDGE('',*,*,#189915,.T.); #246249=ORIENTED_EDGE('',*,*,#189917,.F.); #246250=ORIENTED_EDGE('',*,*,#189918,.F.); #246251=ORIENTED_EDGE('',*,*,#189919,.T.); #246252=ORIENTED_EDGE('',*,*,#189918,.T.); #246253=ORIENTED_EDGE('',*,*,#189920,.F.); #246254=ORIENTED_EDGE('',*,*,#189921,.F.); #246255=ORIENTED_EDGE('',*,*,#189922,.T.); #246256=ORIENTED_EDGE('',*,*,#189921,.T.); #246257=ORIENTED_EDGE('',*,*,#189923,.F.); #246258=ORIENTED_EDGE('',*,*,#189924,.F.); #246259=ORIENTED_EDGE('',*,*,#189925,.T.); #246260=ORIENTED_EDGE('',*,*,#189924,.T.); #246261=ORIENTED_EDGE('',*,*,#189926,.F.); #246262=ORIENTED_EDGE('',*,*,#189907,.F.); #246263=ORIENTED_EDGE('',*,*,#189926,.T.); #246264=ORIENTED_EDGE('',*,*,#189923,.T.); #246265=ORIENTED_EDGE('',*,*,#189920,.T.); #246266=ORIENTED_EDGE('',*,*,#189917,.T.); #246267=ORIENTED_EDGE('',*,*,#189914,.T.); #246268=ORIENTED_EDGE('',*,*,#189911,.T.); #246269=ORIENTED_EDGE('',*,*,#189908,.T.); #246270=ORIENTED_EDGE('',*,*,#189902,.T.); #246271=ORIENTED_EDGE('',*,*,#189905,.T.); #246272=ORIENTED_EDGE('',*,*,#189925,.F.); #246273=ORIENTED_EDGE('',*,*,#189906,.F.); #246274=ORIENTED_EDGE('',*,*,#189910,.F.); #246275=ORIENTED_EDGE('',*,*,#189913,.F.); #246276=ORIENTED_EDGE('',*,*,#189916,.F.); #246277=ORIENTED_EDGE('',*,*,#189919,.F.); #246278=ORIENTED_EDGE('',*,*,#189922,.F.); #246279=ORIENTED_EDGE('',*,*,#189900,.T.); #246280=ORIENTED_EDGE('',*,*,#189903,.T.); #246281=ORIENTED_EDGE('',*,*,#189927,.F.); #246282=ORIENTED_EDGE('',*,*,#189928,.T.); #246283=ORIENTED_EDGE('',*,*,#189929,.F.); #246284=ORIENTED_EDGE('',*,*,#189928,.F.); #246285=ORIENTED_EDGE('',*,*,#189930,.T.); #246286=ORIENTED_EDGE('',*,*,#189931,.T.); #246287=ORIENTED_EDGE('',*,*,#189932,.F.); #246288=ORIENTED_EDGE('',*,*,#189933,.F.); #246289=ORIENTED_EDGE('',*,*,#189934,.T.); #246290=ORIENTED_EDGE('',*,*,#189933,.T.); #246291=ORIENTED_EDGE('',*,*,#189935,.F.); #246292=ORIENTED_EDGE('',*,*,#189936,.F.); #246293=ORIENTED_EDGE('',*,*,#189937,.T.); #246294=ORIENTED_EDGE('',*,*,#189936,.T.); #246295=ORIENTED_EDGE('',*,*,#189938,.F.); #246296=ORIENTED_EDGE('',*,*,#189931,.F.); #246297=ORIENTED_EDGE('',*,*,#189938,.T.); #246298=ORIENTED_EDGE('',*,*,#189935,.T.); #246299=ORIENTED_EDGE('',*,*,#189932,.T.); #246300=ORIENTED_EDGE('',*,*,#189929,.T.); #246301=ORIENTED_EDGE('',*,*,#189937,.F.); #246302=ORIENTED_EDGE('',*,*,#189930,.F.); #246303=ORIENTED_EDGE('',*,*,#189934,.F.); #246304=ORIENTED_EDGE('',*,*,#189927,.T.); #246305=ORIENTED_EDGE('',*,*,#189939,.F.); #246306=ORIENTED_EDGE('',*,*,#189940,.T.); #246307=ORIENTED_EDGE('',*,*,#189941,.F.); #246308=ORIENTED_EDGE('',*,*,#189940,.F.); #246309=ORIENTED_EDGE('',*,*,#189942,.T.); #246310=ORIENTED_EDGE('',*,*,#189943,.T.); #246311=ORIENTED_EDGE('',*,*,#189944,.F.); #246312=ORIENTED_EDGE('',*,*,#189945,.F.); #246313=ORIENTED_EDGE('',*,*,#189946,.T.); #246314=ORIENTED_EDGE('',*,*,#189945,.T.); #246315=ORIENTED_EDGE('',*,*,#189947,.F.); #246316=ORIENTED_EDGE('',*,*,#189948,.F.); #246317=ORIENTED_EDGE('',*,*,#189949,.T.); #246318=ORIENTED_EDGE('',*,*,#189948,.T.); #246319=ORIENTED_EDGE('',*,*,#189950,.F.); #246320=ORIENTED_EDGE('',*,*,#189951,.F.); #246321=ORIENTED_EDGE('',*,*,#189952,.T.); #246322=ORIENTED_EDGE('',*,*,#189951,.T.); #246323=ORIENTED_EDGE('',*,*,#189953,.F.); #246324=ORIENTED_EDGE('',*,*,#189943,.F.); #246325=ORIENTED_EDGE('',*,*,#189953,.T.); #246326=ORIENTED_EDGE('',*,*,#189950,.T.); #246327=ORIENTED_EDGE('',*,*,#189947,.T.); #246328=ORIENTED_EDGE('',*,*,#189944,.T.); #246329=ORIENTED_EDGE('',*,*,#189941,.T.); #246330=ORIENTED_EDGE('',*,*,#189952,.F.); #246331=ORIENTED_EDGE('',*,*,#189942,.F.); #246332=ORIENTED_EDGE('',*,*,#189946,.F.); #246333=ORIENTED_EDGE('',*,*,#189949,.F.); #246334=ORIENTED_EDGE('',*,*,#189939,.T.); #246335=ORIENTED_EDGE('',*,*,#189954,.F.); #246336=ORIENTED_EDGE('',*,*,#189955,.T.); #246337=ORIENTED_EDGE('',*,*,#189956,.F.); #246338=ORIENTED_EDGE('',*,*,#189955,.F.); #246339=ORIENTED_EDGE('',*,*,#189957,.T.); #246340=ORIENTED_EDGE('',*,*,#189958,.T.); #246341=ORIENTED_EDGE('',*,*,#189959,.F.); #246342=ORIENTED_EDGE('',*,*,#189960,.F.); #246343=ORIENTED_EDGE('',*,*,#189961,.T.); #246344=ORIENTED_EDGE('',*,*,#189960,.T.); #246345=ORIENTED_EDGE('',*,*,#189962,.F.); #246346=ORIENTED_EDGE('',*,*,#189963,.F.); #246347=ORIENTED_EDGE('',*,*,#189964,.T.); #246348=ORIENTED_EDGE('',*,*,#189963,.T.); #246349=ORIENTED_EDGE('',*,*,#189965,.F.); #246350=ORIENTED_EDGE('',*,*,#189966,.F.); #246351=ORIENTED_EDGE('',*,*,#189967,.T.); #246352=ORIENTED_EDGE('',*,*,#189966,.T.); #246353=ORIENTED_EDGE('',*,*,#189968,.F.); #246354=ORIENTED_EDGE('',*,*,#189969,.F.); #246355=ORIENTED_EDGE('',*,*,#189970,.T.); #246356=ORIENTED_EDGE('',*,*,#189969,.T.); #246357=ORIENTED_EDGE('',*,*,#189971,.F.); #246358=ORIENTED_EDGE('',*,*,#189972,.F.); #246359=ORIENTED_EDGE('',*,*,#189973,.T.); #246360=ORIENTED_EDGE('',*,*,#189972,.T.); #246361=ORIENTED_EDGE('',*,*,#189974,.F.); #246362=ORIENTED_EDGE('',*,*,#189975,.F.); #246363=ORIENTED_EDGE('',*,*,#189976,.T.); #246364=ORIENTED_EDGE('',*,*,#189975,.T.); #246365=ORIENTED_EDGE('',*,*,#189977,.F.); #246366=ORIENTED_EDGE('',*,*,#189978,.F.); #246367=ORIENTED_EDGE('',*,*,#189979,.T.); #246368=ORIENTED_EDGE('',*,*,#189978,.T.); #246369=ORIENTED_EDGE('',*,*,#189980,.F.); #246370=ORIENTED_EDGE('',*,*,#189981,.F.); #246371=ORIENTED_EDGE('',*,*,#189982,.T.); #246372=ORIENTED_EDGE('',*,*,#189981,.T.); #246373=ORIENTED_EDGE('',*,*,#189983,.F.); #246374=ORIENTED_EDGE('',*,*,#189984,.F.); #246375=ORIENTED_EDGE('',*,*,#189985,.T.); #246376=ORIENTED_EDGE('',*,*,#189984,.T.); #246377=ORIENTED_EDGE('',*,*,#189986,.F.); #246378=ORIENTED_EDGE('',*,*,#189987,.F.); #246379=ORIENTED_EDGE('',*,*,#189988,.T.); #246380=ORIENTED_EDGE('',*,*,#189987,.T.); #246381=ORIENTED_EDGE('',*,*,#189989,.F.); #246382=ORIENTED_EDGE('',*,*,#189990,.F.); #246383=ORIENTED_EDGE('',*,*,#189991,.T.); #246384=ORIENTED_EDGE('',*,*,#189990,.T.); #246385=ORIENTED_EDGE('',*,*,#189992,.F.); #246386=ORIENTED_EDGE('',*,*,#189993,.F.); #246387=ORIENTED_EDGE('',*,*,#189994,.T.); #246388=ORIENTED_EDGE('',*,*,#189993,.T.); #246389=ORIENTED_EDGE('',*,*,#189995,.F.); #246390=ORIENTED_EDGE('',*,*,#189996,.F.); #246391=ORIENTED_EDGE('',*,*,#189997,.T.); #246392=ORIENTED_EDGE('',*,*,#189996,.T.); #246393=ORIENTED_EDGE('',*,*,#189998,.F.); #246394=ORIENTED_EDGE('',*,*,#189999,.F.); #246395=ORIENTED_EDGE('',*,*,#190000,.T.); #246396=ORIENTED_EDGE('',*,*,#189999,.T.); #246397=ORIENTED_EDGE('',*,*,#190001,.F.); #246398=ORIENTED_EDGE('',*,*,#190002,.F.); #246399=ORIENTED_EDGE('',*,*,#190003,.T.); #246400=ORIENTED_EDGE('',*,*,#190002,.T.); #246401=ORIENTED_EDGE('',*,*,#190004,.F.); #246402=ORIENTED_EDGE('',*,*,#190005,.F.); #246403=ORIENTED_EDGE('',*,*,#190006,.T.); #246404=ORIENTED_EDGE('',*,*,#190005,.T.); #246405=ORIENTED_EDGE('',*,*,#190007,.F.); #246406=ORIENTED_EDGE('',*,*,#190008,.F.); #246407=ORIENTED_EDGE('',*,*,#190009,.T.); #246408=ORIENTED_EDGE('',*,*,#190008,.T.); #246409=ORIENTED_EDGE('',*,*,#190010,.F.); #246410=ORIENTED_EDGE('',*,*,#190011,.F.); #246411=ORIENTED_EDGE('',*,*,#190012,.T.); #246412=ORIENTED_EDGE('',*,*,#190011,.T.); #246413=ORIENTED_EDGE('',*,*,#190013,.F.); #246414=ORIENTED_EDGE('',*,*,#190014,.F.); #246415=ORIENTED_EDGE('',*,*,#190015,.T.); #246416=ORIENTED_EDGE('',*,*,#190014,.T.); #246417=ORIENTED_EDGE('',*,*,#190016,.F.); #246418=ORIENTED_EDGE('',*,*,#190017,.F.); #246419=ORIENTED_EDGE('',*,*,#190018,.T.); #246420=ORIENTED_EDGE('',*,*,#190017,.T.); #246421=ORIENTED_EDGE('',*,*,#190019,.F.); #246422=ORIENTED_EDGE('',*,*,#190020,.F.); #246423=ORIENTED_EDGE('',*,*,#190021,.T.); #246424=ORIENTED_EDGE('',*,*,#190020,.T.); #246425=ORIENTED_EDGE('',*,*,#190022,.F.); #246426=ORIENTED_EDGE('',*,*,#190023,.F.); #246427=ORIENTED_EDGE('',*,*,#190024,.T.); #246428=ORIENTED_EDGE('',*,*,#190023,.T.); #246429=ORIENTED_EDGE('',*,*,#190025,.F.); #246430=ORIENTED_EDGE('',*,*,#190026,.F.); #246431=ORIENTED_EDGE('',*,*,#190027,.T.); #246432=ORIENTED_EDGE('',*,*,#190026,.T.); #246433=ORIENTED_EDGE('',*,*,#190028,.F.); #246434=ORIENTED_EDGE('',*,*,#190029,.F.); #246435=ORIENTED_EDGE('',*,*,#190030,.T.); #246436=ORIENTED_EDGE('',*,*,#190029,.T.); #246437=ORIENTED_EDGE('',*,*,#190031,.F.); #246438=ORIENTED_EDGE('',*,*,#190032,.F.); #246439=ORIENTED_EDGE('',*,*,#190033,.T.); #246440=ORIENTED_EDGE('',*,*,#190032,.T.); #246441=ORIENTED_EDGE('',*,*,#190034,.F.); #246442=ORIENTED_EDGE('',*,*,#190035,.F.); #246443=ORIENTED_EDGE('',*,*,#190036,.T.); #246444=ORIENTED_EDGE('',*,*,#190035,.T.); #246445=ORIENTED_EDGE('',*,*,#190037,.F.); #246446=ORIENTED_EDGE('',*,*,#190038,.F.); #246447=ORIENTED_EDGE('',*,*,#190039,.T.); #246448=ORIENTED_EDGE('',*,*,#190038,.T.); #246449=ORIENTED_EDGE('',*,*,#190040,.F.); #246450=ORIENTED_EDGE('',*,*,#190041,.F.); #246451=ORIENTED_EDGE('',*,*,#190042,.T.); #246452=ORIENTED_EDGE('',*,*,#190041,.T.); #246453=ORIENTED_EDGE('',*,*,#190043,.F.); #246454=ORIENTED_EDGE('',*,*,#190044,.F.); #246455=ORIENTED_EDGE('',*,*,#190045,.T.); #246456=ORIENTED_EDGE('',*,*,#190044,.T.); #246457=ORIENTED_EDGE('',*,*,#190046,.F.); #246458=ORIENTED_EDGE('',*,*,#190047,.F.); #246459=ORIENTED_EDGE('',*,*,#190048,.T.); #246460=ORIENTED_EDGE('',*,*,#190047,.T.); #246461=ORIENTED_EDGE('',*,*,#190049,.F.); #246462=ORIENTED_EDGE('',*,*,#190050,.F.); #246463=ORIENTED_EDGE('',*,*,#190051,.T.); #246464=ORIENTED_EDGE('',*,*,#190050,.T.); #246465=ORIENTED_EDGE('',*,*,#190052,.F.); #246466=ORIENTED_EDGE('',*,*,#190053,.F.); #246467=ORIENTED_EDGE('',*,*,#190054,.T.); #246468=ORIENTED_EDGE('',*,*,#190053,.T.); #246469=ORIENTED_EDGE('',*,*,#190055,.F.); #246470=ORIENTED_EDGE('',*,*,#190056,.F.); #246471=ORIENTED_EDGE('',*,*,#190057,.T.); #246472=ORIENTED_EDGE('',*,*,#190056,.T.); #246473=ORIENTED_EDGE('',*,*,#190058,.F.); #246474=ORIENTED_EDGE('',*,*,#190059,.F.); #246475=ORIENTED_EDGE('',*,*,#190060,.T.); #246476=ORIENTED_EDGE('',*,*,#190059,.T.); #246477=ORIENTED_EDGE('',*,*,#190061,.F.); #246478=ORIENTED_EDGE('',*,*,#190062,.F.); #246479=ORIENTED_EDGE('',*,*,#190063,.T.); #246480=ORIENTED_EDGE('',*,*,#190062,.T.); #246481=ORIENTED_EDGE('',*,*,#190064,.F.); #246482=ORIENTED_EDGE('',*,*,#190065,.F.); #246483=ORIENTED_EDGE('',*,*,#190066,.T.); #246484=ORIENTED_EDGE('',*,*,#190065,.T.); #246485=ORIENTED_EDGE('',*,*,#190067,.F.); #246486=ORIENTED_EDGE('',*,*,#190068,.F.); #246487=ORIENTED_EDGE('',*,*,#190069,.T.); #246488=ORIENTED_EDGE('',*,*,#190068,.T.); #246489=ORIENTED_EDGE('',*,*,#190070,.F.); #246490=ORIENTED_EDGE('',*,*,#190071,.F.); #246491=ORIENTED_EDGE('',*,*,#190072,.T.); #246492=ORIENTED_EDGE('',*,*,#190071,.T.); #246493=ORIENTED_EDGE('',*,*,#190073,.F.); #246494=ORIENTED_EDGE('',*,*,#190074,.F.); #246495=ORIENTED_EDGE('',*,*,#190075,.T.); #246496=ORIENTED_EDGE('',*,*,#190074,.T.); #246497=ORIENTED_EDGE('',*,*,#190076,.F.); #246498=ORIENTED_EDGE('',*,*,#190077,.F.); #246499=ORIENTED_EDGE('',*,*,#190078,.T.); #246500=ORIENTED_EDGE('',*,*,#190077,.T.); #246501=ORIENTED_EDGE('',*,*,#190079,.F.); #246502=ORIENTED_EDGE('',*,*,#190080,.F.); #246503=ORIENTED_EDGE('',*,*,#190081,.T.); #246504=ORIENTED_EDGE('',*,*,#190080,.T.); #246505=ORIENTED_EDGE('',*,*,#190082,.F.); #246506=ORIENTED_EDGE('',*,*,#190083,.F.); #246507=ORIENTED_EDGE('',*,*,#190084,.T.); #246508=ORIENTED_EDGE('',*,*,#190083,.T.); #246509=ORIENTED_EDGE('',*,*,#190085,.F.); #246510=ORIENTED_EDGE('',*,*,#189958,.F.); #246511=ORIENTED_EDGE('',*,*,#190085,.T.); #246512=ORIENTED_EDGE('',*,*,#190082,.T.); #246513=ORIENTED_EDGE('',*,*,#190079,.T.); #246514=ORIENTED_EDGE('',*,*,#190076,.T.); #246515=ORIENTED_EDGE('',*,*,#190073,.T.); #246516=ORIENTED_EDGE('',*,*,#190070,.T.); #246517=ORIENTED_EDGE('',*,*,#190067,.T.); #246518=ORIENTED_EDGE('',*,*,#190064,.T.); #246519=ORIENTED_EDGE('',*,*,#190061,.T.); #246520=ORIENTED_EDGE('',*,*,#190058,.T.); #246521=ORIENTED_EDGE('',*,*,#190055,.T.); #246522=ORIENTED_EDGE('',*,*,#190052,.T.); #246523=ORIENTED_EDGE('',*,*,#190049,.T.); #246524=ORIENTED_EDGE('',*,*,#190046,.T.); #246525=ORIENTED_EDGE('',*,*,#190043,.T.); #246526=ORIENTED_EDGE('',*,*,#190040,.T.); #246527=ORIENTED_EDGE('',*,*,#190037,.T.); #246528=ORIENTED_EDGE('',*,*,#190034,.T.); #246529=ORIENTED_EDGE('',*,*,#190031,.T.); #246530=ORIENTED_EDGE('',*,*,#190028,.T.); #246531=ORIENTED_EDGE('',*,*,#190025,.T.); #246532=ORIENTED_EDGE('',*,*,#190022,.T.); #246533=ORIENTED_EDGE('',*,*,#190019,.T.); #246534=ORIENTED_EDGE('',*,*,#190016,.T.); #246535=ORIENTED_EDGE('',*,*,#190013,.T.); #246536=ORIENTED_EDGE('',*,*,#190010,.T.); #246537=ORIENTED_EDGE('',*,*,#190007,.T.); #246538=ORIENTED_EDGE('',*,*,#190004,.T.); #246539=ORIENTED_EDGE('',*,*,#190001,.T.); #246540=ORIENTED_EDGE('',*,*,#189998,.T.); #246541=ORIENTED_EDGE('',*,*,#189995,.T.); #246542=ORIENTED_EDGE('',*,*,#189992,.T.); #246543=ORIENTED_EDGE('',*,*,#189989,.T.); #246544=ORIENTED_EDGE('',*,*,#189986,.T.); #246545=ORIENTED_EDGE('',*,*,#189983,.T.); #246546=ORIENTED_EDGE('',*,*,#189980,.T.); #246547=ORIENTED_EDGE('',*,*,#189977,.T.); #246548=ORIENTED_EDGE('',*,*,#189974,.T.); #246549=ORIENTED_EDGE('',*,*,#189971,.T.); #246550=ORIENTED_EDGE('',*,*,#189968,.T.); #246551=ORIENTED_EDGE('',*,*,#189965,.T.); #246552=ORIENTED_EDGE('',*,*,#189962,.T.); #246553=ORIENTED_EDGE('',*,*,#189959,.T.); #246554=ORIENTED_EDGE('',*,*,#189956,.T.); #246555=ORIENTED_EDGE('',*,*,#190084,.F.); #246556=ORIENTED_EDGE('',*,*,#189957,.F.); #246557=ORIENTED_EDGE('',*,*,#189961,.F.); #246558=ORIENTED_EDGE('',*,*,#189964,.F.); #246559=ORIENTED_EDGE('',*,*,#189967,.F.); #246560=ORIENTED_EDGE('',*,*,#189970,.F.); #246561=ORIENTED_EDGE('',*,*,#189973,.F.); #246562=ORIENTED_EDGE('',*,*,#189976,.F.); #246563=ORIENTED_EDGE('',*,*,#189979,.F.); #246564=ORIENTED_EDGE('',*,*,#189982,.F.); #246565=ORIENTED_EDGE('',*,*,#189985,.F.); #246566=ORIENTED_EDGE('',*,*,#189988,.F.); #246567=ORIENTED_EDGE('',*,*,#189991,.F.); #246568=ORIENTED_EDGE('',*,*,#189994,.F.); #246569=ORIENTED_EDGE('',*,*,#189997,.F.); #246570=ORIENTED_EDGE('',*,*,#190000,.F.); #246571=ORIENTED_EDGE('',*,*,#190003,.F.); #246572=ORIENTED_EDGE('',*,*,#190006,.F.); #246573=ORIENTED_EDGE('',*,*,#190009,.F.); #246574=ORIENTED_EDGE('',*,*,#190012,.F.); #246575=ORIENTED_EDGE('',*,*,#190015,.F.); #246576=ORIENTED_EDGE('',*,*,#190018,.F.); #246577=ORIENTED_EDGE('',*,*,#190021,.F.); #246578=ORIENTED_EDGE('',*,*,#190024,.F.); #246579=ORIENTED_EDGE('',*,*,#190027,.F.); #246580=ORIENTED_EDGE('',*,*,#190030,.F.); #246581=ORIENTED_EDGE('',*,*,#190033,.F.); #246582=ORIENTED_EDGE('',*,*,#190036,.F.); #246583=ORIENTED_EDGE('',*,*,#190039,.F.); #246584=ORIENTED_EDGE('',*,*,#190042,.F.); #246585=ORIENTED_EDGE('',*,*,#190045,.F.); #246586=ORIENTED_EDGE('',*,*,#190048,.F.); #246587=ORIENTED_EDGE('',*,*,#190051,.F.); #246588=ORIENTED_EDGE('',*,*,#190054,.F.); #246589=ORIENTED_EDGE('',*,*,#190057,.F.); #246590=ORIENTED_EDGE('',*,*,#190060,.F.); #246591=ORIENTED_EDGE('',*,*,#190063,.F.); #246592=ORIENTED_EDGE('',*,*,#190066,.F.); #246593=ORIENTED_EDGE('',*,*,#190069,.F.); #246594=ORIENTED_EDGE('',*,*,#190072,.F.); #246595=ORIENTED_EDGE('',*,*,#190075,.F.); #246596=ORIENTED_EDGE('',*,*,#190078,.F.); #246597=ORIENTED_EDGE('',*,*,#190081,.F.); #246598=ORIENTED_EDGE('',*,*,#189954,.T.); #246599=ORIENTED_EDGE('',*,*,#190086,.F.); #246600=ORIENTED_EDGE('',*,*,#190087,.T.); #246601=ORIENTED_EDGE('',*,*,#190088,.F.); #246602=ORIENTED_EDGE('',*,*,#190087,.F.); #246603=ORIENTED_EDGE('',*,*,#190089,.T.); #246604=ORIENTED_EDGE('',*,*,#190090,.T.); #246605=ORIENTED_EDGE('',*,*,#190091,.F.); #246606=ORIENTED_EDGE('',*,*,#190092,.F.); #246607=ORIENTED_EDGE('',*,*,#190093,.T.); #246608=ORIENTED_EDGE('',*,*,#190092,.T.); #246609=ORIENTED_EDGE('',*,*,#190094,.F.); #246610=ORIENTED_EDGE('',*,*,#190095,.F.); #246611=ORIENTED_EDGE('',*,*,#190096,.T.); #246612=ORIENTED_EDGE('',*,*,#190095,.T.); #246613=ORIENTED_EDGE('',*,*,#190097,.F.); #246614=ORIENTED_EDGE('',*,*,#190098,.F.); #246615=ORIENTED_EDGE('',*,*,#190099,.T.); #246616=ORIENTED_EDGE('',*,*,#190098,.T.); #246617=ORIENTED_EDGE('',*,*,#190100,.F.); #246618=ORIENTED_EDGE('',*,*,#190101,.F.); #246619=ORIENTED_EDGE('',*,*,#190102,.T.); #246620=ORIENTED_EDGE('',*,*,#190101,.T.); #246621=ORIENTED_EDGE('',*,*,#190103,.F.); #246622=ORIENTED_EDGE('',*,*,#190104,.F.); #246623=ORIENTED_EDGE('',*,*,#190105,.T.); #246624=ORIENTED_EDGE('',*,*,#190104,.T.); #246625=ORIENTED_EDGE('',*,*,#190106,.F.); #246626=ORIENTED_EDGE('',*,*,#190107,.F.); #246627=ORIENTED_EDGE('',*,*,#190108,.T.); #246628=ORIENTED_EDGE('',*,*,#190107,.T.); #246629=ORIENTED_EDGE('',*,*,#190109,.F.); #246630=ORIENTED_EDGE('',*,*,#190110,.F.); #246631=ORIENTED_EDGE('',*,*,#190111,.T.); #246632=ORIENTED_EDGE('',*,*,#190110,.T.); #246633=ORIENTED_EDGE('',*,*,#190112,.F.); #246634=ORIENTED_EDGE('',*,*,#190113,.F.); #246635=ORIENTED_EDGE('',*,*,#190114,.T.); #246636=ORIENTED_EDGE('',*,*,#190113,.T.); #246637=ORIENTED_EDGE('',*,*,#190115,.F.); #246638=ORIENTED_EDGE('',*,*,#190116,.F.); #246639=ORIENTED_EDGE('',*,*,#190117,.T.); #246640=ORIENTED_EDGE('',*,*,#190116,.T.); #246641=ORIENTED_EDGE('',*,*,#190118,.F.); #246642=ORIENTED_EDGE('',*,*,#190119,.F.); #246643=ORIENTED_EDGE('',*,*,#190120,.T.); #246644=ORIENTED_EDGE('',*,*,#190119,.T.); #246645=ORIENTED_EDGE('',*,*,#190121,.F.); #246646=ORIENTED_EDGE('',*,*,#190122,.F.); #246647=ORIENTED_EDGE('',*,*,#190123,.T.); #246648=ORIENTED_EDGE('',*,*,#190122,.T.); #246649=ORIENTED_EDGE('',*,*,#190124,.F.); #246650=ORIENTED_EDGE('',*,*,#190125,.F.); #246651=ORIENTED_EDGE('',*,*,#190126,.T.); #246652=ORIENTED_EDGE('',*,*,#190125,.T.); #246653=ORIENTED_EDGE('',*,*,#190127,.F.); #246654=ORIENTED_EDGE('',*,*,#190128,.F.); #246655=ORIENTED_EDGE('',*,*,#190129,.T.); #246656=ORIENTED_EDGE('',*,*,#190128,.T.); #246657=ORIENTED_EDGE('',*,*,#190130,.F.); #246658=ORIENTED_EDGE('',*,*,#190131,.F.); #246659=ORIENTED_EDGE('',*,*,#190132,.T.); #246660=ORIENTED_EDGE('',*,*,#190131,.T.); #246661=ORIENTED_EDGE('',*,*,#190133,.F.); #246662=ORIENTED_EDGE('',*,*,#190134,.F.); #246663=ORIENTED_EDGE('',*,*,#190135,.T.); #246664=ORIENTED_EDGE('',*,*,#190134,.T.); #246665=ORIENTED_EDGE('',*,*,#190136,.F.); #246666=ORIENTED_EDGE('',*,*,#190137,.F.); #246667=ORIENTED_EDGE('',*,*,#190138,.T.); #246668=ORIENTED_EDGE('',*,*,#190137,.T.); #246669=ORIENTED_EDGE('',*,*,#190139,.F.); #246670=ORIENTED_EDGE('',*,*,#190140,.F.); #246671=ORIENTED_EDGE('',*,*,#190141,.T.); #246672=ORIENTED_EDGE('',*,*,#190140,.T.); #246673=ORIENTED_EDGE('',*,*,#190142,.F.); #246674=ORIENTED_EDGE('',*,*,#190143,.F.); #246675=ORIENTED_EDGE('',*,*,#190144,.T.); #246676=ORIENTED_EDGE('',*,*,#190143,.T.); #246677=ORIENTED_EDGE('',*,*,#190145,.F.); #246678=ORIENTED_EDGE('',*,*,#190146,.F.); #246679=ORIENTED_EDGE('',*,*,#190147,.T.); #246680=ORIENTED_EDGE('',*,*,#190146,.T.); #246681=ORIENTED_EDGE('',*,*,#190148,.F.); #246682=ORIENTED_EDGE('',*,*,#190149,.F.); #246683=ORIENTED_EDGE('',*,*,#190150,.T.); #246684=ORIENTED_EDGE('',*,*,#190149,.T.); #246685=ORIENTED_EDGE('',*,*,#190151,.F.); #246686=ORIENTED_EDGE('',*,*,#190090,.F.); #246687=ORIENTED_EDGE('',*,*,#190151,.T.); #246688=ORIENTED_EDGE('',*,*,#190148,.T.); #246689=ORIENTED_EDGE('',*,*,#190145,.T.); #246690=ORIENTED_EDGE('',*,*,#190142,.T.); #246691=ORIENTED_EDGE('',*,*,#190139,.T.); #246692=ORIENTED_EDGE('',*,*,#190136,.T.); #246693=ORIENTED_EDGE('',*,*,#190133,.T.); #246694=ORIENTED_EDGE('',*,*,#190130,.T.); #246695=ORIENTED_EDGE('',*,*,#190127,.T.); #246696=ORIENTED_EDGE('',*,*,#190124,.T.); #246697=ORIENTED_EDGE('',*,*,#190121,.T.); #246698=ORIENTED_EDGE('',*,*,#190118,.T.); #246699=ORIENTED_EDGE('',*,*,#190115,.T.); #246700=ORIENTED_EDGE('',*,*,#190112,.T.); #246701=ORIENTED_EDGE('',*,*,#190109,.T.); #246702=ORIENTED_EDGE('',*,*,#190106,.T.); #246703=ORIENTED_EDGE('',*,*,#190103,.T.); #246704=ORIENTED_EDGE('',*,*,#190100,.T.); #246705=ORIENTED_EDGE('',*,*,#190097,.T.); #246706=ORIENTED_EDGE('',*,*,#190094,.T.); #246707=ORIENTED_EDGE('',*,*,#190091,.T.); #246708=ORIENTED_EDGE('',*,*,#190088,.T.); #246709=ORIENTED_EDGE('',*,*,#190150,.F.); #246710=ORIENTED_EDGE('',*,*,#190089,.F.); #246711=ORIENTED_EDGE('',*,*,#190093,.F.); #246712=ORIENTED_EDGE('',*,*,#190096,.F.); #246713=ORIENTED_EDGE('',*,*,#190099,.F.); #246714=ORIENTED_EDGE('',*,*,#190102,.F.); #246715=ORIENTED_EDGE('',*,*,#190105,.F.); #246716=ORIENTED_EDGE('',*,*,#190108,.F.); #246717=ORIENTED_EDGE('',*,*,#190111,.F.); #246718=ORIENTED_EDGE('',*,*,#190114,.F.); #246719=ORIENTED_EDGE('',*,*,#190117,.F.); #246720=ORIENTED_EDGE('',*,*,#190120,.F.); #246721=ORIENTED_EDGE('',*,*,#190123,.F.); #246722=ORIENTED_EDGE('',*,*,#190126,.F.); #246723=ORIENTED_EDGE('',*,*,#190129,.F.); #246724=ORIENTED_EDGE('',*,*,#190132,.F.); #246725=ORIENTED_EDGE('',*,*,#190135,.F.); #246726=ORIENTED_EDGE('',*,*,#190138,.F.); #246727=ORIENTED_EDGE('',*,*,#190141,.F.); #246728=ORIENTED_EDGE('',*,*,#190144,.F.); #246729=ORIENTED_EDGE('',*,*,#190147,.F.); #246730=ORIENTED_EDGE('',*,*,#190086,.T.); #246731=ORIENTED_EDGE('',*,*,#190152,.T.); #246732=ORIENTED_EDGE('',*,*,#190153,.T.); #246733=ORIENTED_EDGE('',*,*,#190154,.F.); #246734=ORIENTED_EDGE('',*,*,#190155,.F.); #246735=ORIENTED_EDGE('',*,*,#190156,.T.); #246736=ORIENTED_EDGE('',*,*,#190155,.T.); #246737=ORIENTED_EDGE('',*,*,#190157,.F.); #246738=ORIENTED_EDGE('',*,*,#190158,.F.); #246739=ORIENTED_EDGE('',*,*,#190159,.T.); #246740=ORIENTED_EDGE('',*,*,#190158,.T.); #246741=ORIENTED_EDGE('',*,*,#190160,.F.); #246742=ORIENTED_EDGE('',*,*,#190161,.F.); #246743=ORIENTED_EDGE('',*,*,#190162,.T.); #246744=ORIENTED_EDGE('',*,*,#190161,.T.); #246745=ORIENTED_EDGE('',*,*,#190163,.F.); #246746=ORIENTED_EDGE('',*,*,#190164,.F.); #246747=ORIENTED_EDGE('',*,*,#190165,.T.); #246748=ORIENTED_EDGE('',*,*,#190164,.T.); #246749=ORIENTED_EDGE('',*,*,#190166,.F.); #246750=ORIENTED_EDGE('',*,*,#190167,.F.); #246751=ORIENTED_EDGE('',*,*,#190168,.T.); #246752=ORIENTED_EDGE('',*,*,#190167,.T.); #246753=ORIENTED_EDGE('',*,*,#190169,.F.); #246754=ORIENTED_EDGE('',*,*,#190170,.F.); #246755=ORIENTED_EDGE('',*,*,#190171,.T.); #246756=ORIENTED_EDGE('',*,*,#190170,.T.); #246757=ORIENTED_EDGE('',*,*,#190172,.F.); #246758=ORIENTED_EDGE('',*,*,#190173,.F.); #246759=ORIENTED_EDGE('',*,*,#190174,.T.); #246760=ORIENTED_EDGE('',*,*,#190173,.T.); #246761=ORIENTED_EDGE('',*,*,#190175,.F.); #246762=ORIENTED_EDGE('',*,*,#190176,.F.); #246763=ORIENTED_EDGE('',*,*,#190177,.T.); #246764=ORIENTED_EDGE('',*,*,#190176,.T.); #246765=ORIENTED_EDGE('',*,*,#190178,.F.); #246766=ORIENTED_EDGE('',*,*,#190179,.F.); #246767=ORIENTED_EDGE('',*,*,#190180,.T.); #246768=ORIENTED_EDGE('',*,*,#190179,.T.); #246769=ORIENTED_EDGE('',*,*,#190181,.F.); #246770=ORIENTED_EDGE('',*,*,#190182,.F.); #246771=ORIENTED_EDGE('',*,*,#190183,.T.); #246772=ORIENTED_EDGE('',*,*,#190182,.T.); #246773=ORIENTED_EDGE('',*,*,#190184,.F.); #246774=ORIENTED_EDGE('',*,*,#190185,.F.); #246775=ORIENTED_EDGE('',*,*,#190186,.T.); #246776=ORIENTED_EDGE('',*,*,#190185,.T.); #246777=ORIENTED_EDGE('',*,*,#190187,.F.); #246778=ORIENTED_EDGE('',*,*,#190153,.F.); #246779=ORIENTED_EDGE('',*,*,#190187,.T.); #246780=ORIENTED_EDGE('',*,*,#190184,.T.); #246781=ORIENTED_EDGE('',*,*,#190181,.T.); #246782=ORIENTED_EDGE('',*,*,#190178,.T.); #246783=ORIENTED_EDGE('',*,*,#190175,.T.); #246784=ORIENTED_EDGE('',*,*,#190172,.T.); #246785=ORIENTED_EDGE('',*,*,#190169,.T.); #246786=ORIENTED_EDGE('',*,*,#190166,.T.); #246787=ORIENTED_EDGE('',*,*,#190163,.T.); #246788=ORIENTED_EDGE('',*,*,#190160,.T.); #246789=ORIENTED_EDGE('',*,*,#190157,.T.); #246790=ORIENTED_EDGE('',*,*,#190154,.T.); #246791=ORIENTED_EDGE('',*,*,#190186,.F.); #246792=ORIENTED_EDGE('',*,*,#190152,.F.); #246793=ORIENTED_EDGE('',*,*,#190156,.F.); #246794=ORIENTED_EDGE('',*,*,#190159,.F.); #246795=ORIENTED_EDGE('',*,*,#190162,.F.); #246796=ORIENTED_EDGE('',*,*,#190165,.F.); #246797=ORIENTED_EDGE('',*,*,#190168,.F.); #246798=ORIENTED_EDGE('',*,*,#190171,.F.); #246799=ORIENTED_EDGE('',*,*,#190174,.F.); #246800=ORIENTED_EDGE('',*,*,#190177,.F.); #246801=ORIENTED_EDGE('',*,*,#190180,.F.); #246802=ORIENTED_EDGE('',*,*,#190183,.F.); #246803=ORIENTED_EDGE('',*,*,#190188,.T.); #246804=ORIENTED_EDGE('',*,*,#190189,.T.); #246805=ORIENTED_EDGE('',*,*,#190190,.F.); #246806=ORIENTED_EDGE('',*,*,#190191,.F.); #246807=ORIENTED_EDGE('',*,*,#190192,.T.); #246808=ORIENTED_EDGE('',*,*,#190191,.T.); #246809=ORIENTED_EDGE('',*,*,#190193,.F.); #246810=ORIENTED_EDGE('',*,*,#190194,.F.); #246811=ORIENTED_EDGE('',*,*,#190195,.T.); #246812=ORIENTED_EDGE('',*,*,#190194,.T.); #246813=ORIENTED_EDGE('',*,*,#190196,.F.); #246814=ORIENTED_EDGE('',*,*,#190197,.F.); #246815=ORIENTED_EDGE('',*,*,#190198,.T.); #246816=ORIENTED_EDGE('',*,*,#190197,.T.); #246817=ORIENTED_EDGE('',*,*,#190199,.F.); #246818=ORIENTED_EDGE('',*,*,#190200,.F.); #246819=ORIENTED_EDGE('',*,*,#190201,.T.); #246820=ORIENTED_EDGE('',*,*,#190200,.T.); #246821=ORIENTED_EDGE('',*,*,#190202,.F.); #246822=ORIENTED_EDGE('',*,*,#190203,.F.); #246823=ORIENTED_EDGE('',*,*,#190204,.T.); #246824=ORIENTED_EDGE('',*,*,#190203,.T.); #246825=ORIENTED_EDGE('',*,*,#190205,.F.); #246826=ORIENTED_EDGE('',*,*,#190206,.F.); #246827=ORIENTED_EDGE('',*,*,#190207,.T.); #246828=ORIENTED_EDGE('',*,*,#190206,.T.); #246829=ORIENTED_EDGE('',*,*,#190208,.F.); #246830=ORIENTED_EDGE('',*,*,#190209,.F.); #246831=ORIENTED_EDGE('',*,*,#190210,.T.); #246832=ORIENTED_EDGE('',*,*,#190209,.T.); #246833=ORIENTED_EDGE('',*,*,#190211,.F.); #246834=ORIENTED_EDGE('',*,*,#190212,.F.); #246835=ORIENTED_EDGE('',*,*,#190213,.T.); #246836=ORIENTED_EDGE('',*,*,#190212,.T.); #246837=ORIENTED_EDGE('',*,*,#190214,.F.); #246838=ORIENTED_EDGE('',*,*,#190215,.F.); #246839=ORIENTED_EDGE('',*,*,#190216,.T.); #246840=ORIENTED_EDGE('',*,*,#190215,.T.); #246841=ORIENTED_EDGE('',*,*,#190217,.F.); #246842=ORIENTED_EDGE('',*,*,#190218,.F.); #246843=ORIENTED_EDGE('',*,*,#190219,.T.); #246844=ORIENTED_EDGE('',*,*,#190218,.T.); #246845=ORIENTED_EDGE('',*,*,#190220,.F.); #246846=ORIENTED_EDGE('',*,*,#190221,.F.); #246847=ORIENTED_EDGE('',*,*,#190222,.T.); #246848=ORIENTED_EDGE('',*,*,#190221,.T.); #246849=ORIENTED_EDGE('',*,*,#190223,.F.); #246850=ORIENTED_EDGE('',*,*,#190189,.F.); #246851=ORIENTED_EDGE('',*,*,#190223,.T.); #246852=ORIENTED_EDGE('',*,*,#190220,.T.); #246853=ORIENTED_EDGE('',*,*,#190217,.T.); #246854=ORIENTED_EDGE('',*,*,#190214,.T.); #246855=ORIENTED_EDGE('',*,*,#190211,.T.); #246856=ORIENTED_EDGE('',*,*,#190208,.T.); #246857=ORIENTED_EDGE('',*,*,#190205,.T.); #246858=ORIENTED_EDGE('',*,*,#190202,.T.); #246859=ORIENTED_EDGE('',*,*,#190199,.T.); #246860=ORIENTED_EDGE('',*,*,#190196,.T.); #246861=ORIENTED_EDGE('',*,*,#190193,.T.); #246862=ORIENTED_EDGE('',*,*,#190190,.T.); #246863=ORIENTED_EDGE('',*,*,#190222,.F.); #246864=ORIENTED_EDGE('',*,*,#190188,.F.); #246865=ORIENTED_EDGE('',*,*,#190192,.F.); #246866=ORIENTED_EDGE('',*,*,#190195,.F.); #246867=ORIENTED_EDGE('',*,*,#190198,.F.); #246868=ORIENTED_EDGE('',*,*,#190201,.F.); #246869=ORIENTED_EDGE('',*,*,#190204,.F.); #246870=ORIENTED_EDGE('',*,*,#190207,.F.); #246871=ORIENTED_EDGE('',*,*,#190210,.F.); #246872=ORIENTED_EDGE('',*,*,#190213,.F.); #246873=ORIENTED_EDGE('',*,*,#190216,.F.); #246874=ORIENTED_EDGE('',*,*,#190219,.F.); #246875=ORIENTED_EDGE('',*,*,#190224,.F.); #246876=ORIENTED_EDGE('',*,*,#190225,.T.); #246877=ORIENTED_EDGE('',*,*,#190226,.F.); #246878=ORIENTED_EDGE('',*,*,#190225,.F.); #246879=ORIENTED_EDGE('',*,*,#190227,.T.); #246880=ORIENTED_EDGE('',*,*,#190228,.T.); #246881=ORIENTED_EDGE('',*,*,#190229,.F.); #246882=ORIENTED_EDGE('',*,*,#190230,.F.); #246883=ORIENTED_EDGE('',*,*,#190231,.T.); #246884=ORIENTED_EDGE('',*,*,#190230,.T.); #246885=ORIENTED_EDGE('',*,*,#190232,.F.); #246886=ORIENTED_EDGE('',*,*,#190233,.F.); #246887=ORIENTED_EDGE('',*,*,#190234,.T.); #246888=ORIENTED_EDGE('',*,*,#190233,.T.); #246889=ORIENTED_EDGE('',*,*,#190235,.F.); #246890=ORIENTED_EDGE('',*,*,#190236,.F.); #246891=ORIENTED_EDGE('',*,*,#190237,.T.); #246892=ORIENTED_EDGE('',*,*,#190236,.T.); #246893=ORIENTED_EDGE('',*,*,#190238,.F.); #246894=ORIENTED_EDGE('',*,*,#190239,.F.); #246895=ORIENTED_EDGE('',*,*,#190240,.T.); #246896=ORIENTED_EDGE('',*,*,#190239,.T.); #246897=ORIENTED_EDGE('',*,*,#190241,.F.); #246898=ORIENTED_EDGE('',*,*,#190242,.F.); #246899=ORIENTED_EDGE('',*,*,#190243,.T.); #246900=ORIENTED_EDGE('',*,*,#190242,.T.); #246901=ORIENTED_EDGE('',*,*,#190244,.F.); #246902=ORIENTED_EDGE('',*,*,#190245,.F.); #246903=ORIENTED_EDGE('',*,*,#190246,.T.); #246904=ORIENTED_EDGE('',*,*,#190245,.T.); #246905=ORIENTED_EDGE('',*,*,#190247,.F.); #246906=ORIENTED_EDGE('',*,*,#190248,.F.); #246907=ORIENTED_EDGE('',*,*,#190249,.T.); #246908=ORIENTED_EDGE('',*,*,#190248,.T.); #246909=ORIENTED_EDGE('',*,*,#190250,.F.); #246910=ORIENTED_EDGE('',*,*,#190251,.F.); #246911=ORIENTED_EDGE('',*,*,#190252,.T.); #246912=ORIENTED_EDGE('',*,*,#190251,.T.); #246913=ORIENTED_EDGE('',*,*,#190253,.F.); #246914=ORIENTED_EDGE('',*,*,#190254,.F.); #246915=ORIENTED_EDGE('',*,*,#190255,.T.); #246916=ORIENTED_EDGE('',*,*,#190254,.T.); #246917=ORIENTED_EDGE('',*,*,#190256,.F.); #246918=ORIENTED_EDGE('',*,*,#190257,.F.); #246919=ORIENTED_EDGE('',*,*,#190258,.T.); #246920=ORIENTED_EDGE('',*,*,#190257,.T.); #246921=ORIENTED_EDGE('',*,*,#190259,.F.); #246922=ORIENTED_EDGE('',*,*,#190260,.F.); #246923=ORIENTED_EDGE('',*,*,#190261,.T.); #246924=ORIENTED_EDGE('',*,*,#190260,.T.); #246925=ORIENTED_EDGE('',*,*,#190262,.F.); #246926=ORIENTED_EDGE('',*,*,#190263,.F.); #246927=ORIENTED_EDGE('',*,*,#190264,.T.); #246928=ORIENTED_EDGE('',*,*,#190263,.T.); #246929=ORIENTED_EDGE('',*,*,#190265,.F.); #246930=ORIENTED_EDGE('',*,*,#190266,.F.); #246931=ORIENTED_EDGE('',*,*,#190267,.T.); #246932=ORIENTED_EDGE('',*,*,#190266,.T.); #246933=ORIENTED_EDGE('',*,*,#190268,.F.); #246934=ORIENTED_EDGE('',*,*,#190269,.F.); #246935=ORIENTED_EDGE('',*,*,#190270,.T.); #246936=ORIENTED_EDGE('',*,*,#190269,.T.); #246937=ORIENTED_EDGE('',*,*,#190271,.F.); #246938=ORIENTED_EDGE('',*,*,#190272,.F.); #246939=ORIENTED_EDGE('',*,*,#190273,.T.); #246940=ORIENTED_EDGE('',*,*,#190272,.T.); #246941=ORIENTED_EDGE('',*,*,#190274,.F.); #246942=ORIENTED_EDGE('',*,*,#190275,.F.); #246943=ORIENTED_EDGE('',*,*,#190276,.T.); #246944=ORIENTED_EDGE('',*,*,#190275,.T.); #246945=ORIENTED_EDGE('',*,*,#190277,.F.); #246946=ORIENTED_EDGE('',*,*,#190228,.F.); #246947=ORIENTED_EDGE('',*,*,#190277,.T.); #246948=ORIENTED_EDGE('',*,*,#190274,.T.); #246949=ORIENTED_EDGE('',*,*,#190271,.T.); #246950=ORIENTED_EDGE('',*,*,#190268,.T.); #246951=ORIENTED_EDGE('',*,*,#190265,.T.); #246952=ORIENTED_EDGE('',*,*,#190262,.T.); #246953=ORIENTED_EDGE('',*,*,#190259,.T.); #246954=ORIENTED_EDGE('',*,*,#190256,.T.); #246955=ORIENTED_EDGE('',*,*,#190253,.T.); #246956=ORIENTED_EDGE('',*,*,#190250,.T.); #246957=ORIENTED_EDGE('',*,*,#190247,.T.); #246958=ORIENTED_EDGE('',*,*,#190244,.T.); #246959=ORIENTED_EDGE('',*,*,#190241,.T.); #246960=ORIENTED_EDGE('',*,*,#190238,.T.); #246961=ORIENTED_EDGE('',*,*,#190235,.T.); #246962=ORIENTED_EDGE('',*,*,#190232,.T.); #246963=ORIENTED_EDGE('',*,*,#190229,.T.); #246964=ORIENTED_EDGE('',*,*,#190226,.T.); #246965=ORIENTED_EDGE('',*,*,#190276,.F.); #246966=ORIENTED_EDGE('',*,*,#190227,.F.); #246967=ORIENTED_EDGE('',*,*,#190231,.F.); #246968=ORIENTED_EDGE('',*,*,#190234,.F.); #246969=ORIENTED_EDGE('',*,*,#190237,.F.); #246970=ORIENTED_EDGE('',*,*,#190240,.F.); #246971=ORIENTED_EDGE('',*,*,#190243,.F.); #246972=ORIENTED_EDGE('',*,*,#190246,.F.); #246973=ORIENTED_EDGE('',*,*,#190249,.F.); #246974=ORIENTED_EDGE('',*,*,#190252,.F.); #246975=ORIENTED_EDGE('',*,*,#190255,.F.); #246976=ORIENTED_EDGE('',*,*,#190258,.F.); #246977=ORIENTED_EDGE('',*,*,#190261,.F.); #246978=ORIENTED_EDGE('',*,*,#190264,.F.); #246979=ORIENTED_EDGE('',*,*,#190267,.F.); #246980=ORIENTED_EDGE('',*,*,#190270,.F.); #246981=ORIENTED_EDGE('',*,*,#190273,.F.); #246982=ORIENTED_EDGE('',*,*,#190224,.T.); #246983=ORIENTED_EDGE('',*,*,#190278,.F.); #246984=ORIENTED_EDGE('',*,*,#190279,.T.); #246985=ORIENTED_EDGE('',*,*,#190280,.F.); #246986=ORIENTED_EDGE('',*,*,#190279,.F.); #246987=ORIENTED_EDGE('',*,*,#190281,.T.); #246988=ORIENTED_EDGE('',*,*,#190282,.T.); #246989=ORIENTED_EDGE('',*,*,#190283,.F.); #246990=ORIENTED_EDGE('',*,*,#190284,.F.); #246991=ORIENTED_EDGE('',*,*,#190285,.T.); #246992=ORIENTED_EDGE('',*,*,#190284,.T.); #246993=ORIENTED_EDGE('',*,*,#190286,.F.); #246994=ORIENTED_EDGE('',*,*,#190287,.F.); #246995=ORIENTED_EDGE('',*,*,#190288,.T.); #246996=ORIENTED_EDGE('',*,*,#190287,.T.); #246997=ORIENTED_EDGE('',*,*,#190289,.F.); #246998=ORIENTED_EDGE('',*,*,#190290,.F.); #246999=ORIENTED_EDGE('',*,*,#190291,.T.); #247000=ORIENTED_EDGE('',*,*,#190290,.T.); #247001=ORIENTED_EDGE('',*,*,#190292,.F.); #247002=ORIENTED_EDGE('',*,*,#190293,.F.); #247003=ORIENTED_EDGE('',*,*,#190294,.T.); #247004=ORIENTED_EDGE('',*,*,#190293,.T.); #247005=ORIENTED_EDGE('',*,*,#190295,.F.); #247006=ORIENTED_EDGE('',*,*,#190296,.F.); #247007=ORIENTED_EDGE('',*,*,#190297,.T.); #247008=ORIENTED_EDGE('',*,*,#190296,.T.); #247009=ORIENTED_EDGE('',*,*,#190298,.F.); #247010=ORIENTED_EDGE('',*,*,#190299,.F.); #247011=ORIENTED_EDGE('',*,*,#190300,.T.); #247012=ORIENTED_EDGE('',*,*,#190299,.T.); #247013=ORIENTED_EDGE('',*,*,#190301,.F.); #247014=ORIENTED_EDGE('',*,*,#190302,.F.); #247015=ORIENTED_EDGE('',*,*,#190303,.T.); #247016=ORIENTED_EDGE('',*,*,#190302,.T.); #247017=ORIENTED_EDGE('',*,*,#190304,.F.); #247018=ORIENTED_EDGE('',*,*,#190305,.F.); #247019=ORIENTED_EDGE('',*,*,#190306,.T.); #247020=ORIENTED_EDGE('',*,*,#190305,.T.); #247021=ORIENTED_EDGE('',*,*,#190307,.F.); #247022=ORIENTED_EDGE('',*,*,#190308,.F.); #247023=ORIENTED_EDGE('',*,*,#190309,.T.); #247024=ORIENTED_EDGE('',*,*,#190308,.T.); #247025=ORIENTED_EDGE('',*,*,#190310,.F.); #247026=ORIENTED_EDGE('',*,*,#190311,.F.); #247027=ORIENTED_EDGE('',*,*,#190312,.T.); #247028=ORIENTED_EDGE('',*,*,#190311,.T.); #247029=ORIENTED_EDGE('',*,*,#190313,.F.); #247030=ORIENTED_EDGE('',*,*,#190314,.F.); #247031=ORIENTED_EDGE('',*,*,#190315,.T.); #247032=ORIENTED_EDGE('',*,*,#190314,.T.); #247033=ORIENTED_EDGE('',*,*,#190316,.F.); #247034=ORIENTED_EDGE('',*,*,#190317,.F.); #247035=ORIENTED_EDGE('',*,*,#190318,.T.); #247036=ORIENTED_EDGE('',*,*,#190317,.T.); #247037=ORIENTED_EDGE('',*,*,#190319,.F.); #247038=ORIENTED_EDGE('',*,*,#190320,.F.); #247039=ORIENTED_EDGE('',*,*,#190321,.T.); #247040=ORIENTED_EDGE('',*,*,#190320,.T.); #247041=ORIENTED_EDGE('',*,*,#190322,.F.); #247042=ORIENTED_EDGE('',*,*,#190323,.F.); #247043=ORIENTED_EDGE('',*,*,#190324,.T.); #247044=ORIENTED_EDGE('',*,*,#190323,.T.); #247045=ORIENTED_EDGE('',*,*,#190325,.F.); #247046=ORIENTED_EDGE('',*,*,#190326,.F.); #247047=ORIENTED_EDGE('',*,*,#190327,.T.); #247048=ORIENTED_EDGE('',*,*,#190326,.T.); #247049=ORIENTED_EDGE('',*,*,#190328,.F.); #247050=ORIENTED_EDGE('',*,*,#190329,.F.); #247051=ORIENTED_EDGE('',*,*,#190330,.T.); #247052=ORIENTED_EDGE('',*,*,#190329,.T.); #247053=ORIENTED_EDGE('',*,*,#190331,.F.); #247054=ORIENTED_EDGE('',*,*,#190332,.F.); #247055=ORIENTED_EDGE('',*,*,#190333,.T.); #247056=ORIENTED_EDGE('',*,*,#190332,.T.); #247057=ORIENTED_EDGE('',*,*,#190334,.F.); #247058=ORIENTED_EDGE('',*,*,#190335,.F.); #247059=ORIENTED_EDGE('',*,*,#190336,.T.); #247060=ORIENTED_EDGE('',*,*,#190335,.T.); #247061=ORIENTED_EDGE('',*,*,#190337,.F.); #247062=ORIENTED_EDGE('',*,*,#190338,.F.); #247063=ORIENTED_EDGE('',*,*,#190339,.T.); #247064=ORIENTED_EDGE('',*,*,#190338,.T.); #247065=ORIENTED_EDGE('',*,*,#190340,.F.); #247066=ORIENTED_EDGE('',*,*,#190341,.F.); #247067=ORIENTED_EDGE('',*,*,#190342,.T.); #247068=ORIENTED_EDGE('',*,*,#190341,.T.); #247069=ORIENTED_EDGE('',*,*,#190343,.F.); #247070=ORIENTED_EDGE('',*,*,#190344,.F.); #247071=ORIENTED_EDGE('',*,*,#190345,.T.); #247072=ORIENTED_EDGE('',*,*,#190344,.T.); #247073=ORIENTED_EDGE('',*,*,#190346,.F.); #247074=ORIENTED_EDGE('',*,*,#190282,.F.); #247075=ORIENTED_EDGE('',*,*,#190346,.T.); #247076=ORIENTED_EDGE('',*,*,#190343,.T.); #247077=ORIENTED_EDGE('',*,*,#190340,.T.); #247078=ORIENTED_EDGE('',*,*,#190337,.T.); #247079=ORIENTED_EDGE('',*,*,#190334,.T.); #247080=ORIENTED_EDGE('',*,*,#190331,.T.); #247081=ORIENTED_EDGE('',*,*,#190328,.T.); #247082=ORIENTED_EDGE('',*,*,#190325,.T.); #247083=ORIENTED_EDGE('',*,*,#190322,.T.); #247084=ORIENTED_EDGE('',*,*,#190319,.T.); #247085=ORIENTED_EDGE('',*,*,#190316,.T.); #247086=ORIENTED_EDGE('',*,*,#190313,.T.); #247087=ORIENTED_EDGE('',*,*,#190310,.T.); #247088=ORIENTED_EDGE('',*,*,#190307,.T.); #247089=ORIENTED_EDGE('',*,*,#190304,.T.); #247090=ORIENTED_EDGE('',*,*,#190301,.T.); #247091=ORIENTED_EDGE('',*,*,#190298,.T.); #247092=ORIENTED_EDGE('',*,*,#190295,.T.); #247093=ORIENTED_EDGE('',*,*,#190292,.T.); #247094=ORIENTED_EDGE('',*,*,#190289,.T.); #247095=ORIENTED_EDGE('',*,*,#190286,.T.); #247096=ORIENTED_EDGE('',*,*,#190283,.T.); #247097=ORIENTED_EDGE('',*,*,#190280,.T.); #247098=ORIENTED_EDGE('',*,*,#190345,.F.); #247099=ORIENTED_EDGE('',*,*,#190281,.F.); #247100=ORIENTED_EDGE('',*,*,#190285,.F.); #247101=ORIENTED_EDGE('',*,*,#190288,.F.); #247102=ORIENTED_EDGE('',*,*,#190291,.F.); #247103=ORIENTED_EDGE('',*,*,#190294,.F.); #247104=ORIENTED_EDGE('',*,*,#190297,.F.); #247105=ORIENTED_EDGE('',*,*,#190300,.F.); #247106=ORIENTED_EDGE('',*,*,#190303,.F.); #247107=ORIENTED_EDGE('',*,*,#190306,.F.); #247108=ORIENTED_EDGE('',*,*,#190309,.F.); #247109=ORIENTED_EDGE('',*,*,#190312,.F.); #247110=ORIENTED_EDGE('',*,*,#190315,.F.); #247111=ORIENTED_EDGE('',*,*,#190318,.F.); #247112=ORIENTED_EDGE('',*,*,#190321,.F.); #247113=ORIENTED_EDGE('',*,*,#190324,.F.); #247114=ORIENTED_EDGE('',*,*,#190327,.F.); #247115=ORIENTED_EDGE('',*,*,#190330,.F.); #247116=ORIENTED_EDGE('',*,*,#190333,.F.); #247117=ORIENTED_EDGE('',*,*,#190336,.F.); #247118=ORIENTED_EDGE('',*,*,#190339,.F.); #247119=ORIENTED_EDGE('',*,*,#190342,.F.); #247120=ORIENTED_EDGE('',*,*,#190278,.T.); #247121=ORIENTED_EDGE('',*,*,#190347,.F.); #247122=ORIENTED_EDGE('',*,*,#190348,.T.); #247123=ORIENTED_EDGE('',*,*,#190349,.F.); #247124=ORIENTED_EDGE('',*,*,#190348,.F.); #247125=ORIENTED_EDGE('',*,*,#190350,.T.); #247126=ORIENTED_EDGE('',*,*,#190351,.T.); #247127=ORIENTED_EDGE('',*,*,#190352,.F.); #247128=ORIENTED_EDGE('',*,*,#190353,.F.); #247129=ORIENTED_EDGE('',*,*,#190354,.T.); #247130=ORIENTED_EDGE('',*,*,#190353,.T.); #247131=ORIENTED_EDGE('',*,*,#190355,.F.); #247132=ORIENTED_EDGE('',*,*,#190356,.F.); #247133=ORIENTED_EDGE('',*,*,#190357,.T.); #247134=ORIENTED_EDGE('',*,*,#190356,.T.); #247135=ORIENTED_EDGE('',*,*,#190358,.F.); #247136=ORIENTED_EDGE('',*,*,#190359,.F.); #247137=ORIENTED_EDGE('',*,*,#190360,.T.); #247138=ORIENTED_EDGE('',*,*,#190359,.T.); #247139=ORIENTED_EDGE('',*,*,#190361,.F.); #247140=ORIENTED_EDGE('',*,*,#190362,.F.); #247141=ORIENTED_EDGE('',*,*,#190363,.T.); #247142=ORIENTED_EDGE('',*,*,#190362,.T.); #247143=ORIENTED_EDGE('',*,*,#190364,.F.); #247144=ORIENTED_EDGE('',*,*,#190365,.F.); #247145=ORIENTED_EDGE('',*,*,#190366,.T.); #247146=ORIENTED_EDGE('',*,*,#190365,.T.); #247147=ORIENTED_EDGE('',*,*,#190367,.F.); #247148=ORIENTED_EDGE('',*,*,#190368,.F.); #247149=ORIENTED_EDGE('',*,*,#190369,.T.); #247150=ORIENTED_EDGE('',*,*,#190368,.T.); #247151=ORIENTED_EDGE('',*,*,#190370,.F.); #247152=ORIENTED_EDGE('',*,*,#190371,.F.); #247153=ORIENTED_EDGE('',*,*,#190372,.T.); #247154=ORIENTED_EDGE('',*,*,#190371,.T.); #247155=ORIENTED_EDGE('',*,*,#190373,.F.); #247156=ORIENTED_EDGE('',*,*,#190374,.F.); #247157=ORIENTED_EDGE('',*,*,#190375,.T.); #247158=ORIENTED_EDGE('',*,*,#190374,.T.); #247159=ORIENTED_EDGE('',*,*,#190376,.F.); #247160=ORIENTED_EDGE('',*,*,#190377,.F.); #247161=ORIENTED_EDGE('',*,*,#190378,.T.); #247162=ORIENTED_EDGE('',*,*,#190377,.T.); #247163=ORIENTED_EDGE('',*,*,#190379,.F.); #247164=ORIENTED_EDGE('',*,*,#190380,.F.); #247165=ORIENTED_EDGE('',*,*,#190381,.T.); #247166=ORIENTED_EDGE('',*,*,#190380,.T.); #247167=ORIENTED_EDGE('',*,*,#190382,.F.); #247168=ORIENTED_EDGE('',*,*,#190383,.F.); #247169=ORIENTED_EDGE('',*,*,#190384,.T.); #247170=ORIENTED_EDGE('',*,*,#190383,.T.); #247171=ORIENTED_EDGE('',*,*,#190385,.F.); #247172=ORIENTED_EDGE('',*,*,#190351,.F.); #247173=ORIENTED_EDGE('',*,*,#190385,.T.); #247174=ORIENTED_EDGE('',*,*,#190382,.T.); #247175=ORIENTED_EDGE('',*,*,#190379,.T.); #247176=ORIENTED_EDGE('',*,*,#190376,.T.); #247177=ORIENTED_EDGE('',*,*,#190373,.T.); #247178=ORIENTED_EDGE('',*,*,#190370,.T.); #247179=ORIENTED_EDGE('',*,*,#190367,.T.); #247180=ORIENTED_EDGE('',*,*,#190364,.T.); #247181=ORIENTED_EDGE('',*,*,#190361,.T.); #247182=ORIENTED_EDGE('',*,*,#190358,.T.); #247183=ORIENTED_EDGE('',*,*,#190355,.T.); #247184=ORIENTED_EDGE('',*,*,#190352,.T.); #247185=ORIENTED_EDGE('',*,*,#190349,.T.); #247186=ORIENTED_EDGE('',*,*,#190384,.F.); #247187=ORIENTED_EDGE('',*,*,#190350,.F.); #247188=ORIENTED_EDGE('',*,*,#190354,.F.); #247189=ORIENTED_EDGE('',*,*,#190357,.F.); #247190=ORIENTED_EDGE('',*,*,#190360,.F.); #247191=ORIENTED_EDGE('',*,*,#190363,.F.); #247192=ORIENTED_EDGE('',*,*,#190366,.F.); #247193=ORIENTED_EDGE('',*,*,#190369,.F.); #247194=ORIENTED_EDGE('',*,*,#190372,.F.); #247195=ORIENTED_EDGE('',*,*,#190375,.F.); #247196=ORIENTED_EDGE('',*,*,#190378,.F.); #247197=ORIENTED_EDGE('',*,*,#190381,.F.); #247198=ORIENTED_EDGE('',*,*,#190347,.T.); #247199=ORIENTED_EDGE('',*,*,#190386,.T.); #247200=ORIENTED_EDGE('',*,*,#190387,.T.); #247201=ORIENTED_EDGE('',*,*,#190388,.F.); #247202=ORIENTED_EDGE('',*,*,#190389,.F.); #247203=ORIENTED_EDGE('',*,*,#190390,.T.); #247204=ORIENTED_EDGE('',*,*,#190389,.T.); #247205=ORIENTED_EDGE('',*,*,#190391,.F.); #247206=ORIENTED_EDGE('',*,*,#190392,.F.); #247207=ORIENTED_EDGE('',*,*,#190393,.T.); #247208=ORIENTED_EDGE('',*,*,#190392,.T.); #247209=ORIENTED_EDGE('',*,*,#190394,.F.); #247210=ORIENTED_EDGE('',*,*,#190395,.F.); #247211=ORIENTED_EDGE('',*,*,#190396,.T.); #247212=ORIENTED_EDGE('',*,*,#190395,.T.); #247213=ORIENTED_EDGE('',*,*,#190397,.F.); #247214=ORIENTED_EDGE('',*,*,#190398,.F.); #247215=ORIENTED_EDGE('',*,*,#190399,.T.); #247216=ORIENTED_EDGE('',*,*,#190398,.T.); #247217=ORIENTED_EDGE('',*,*,#190400,.F.); #247218=ORIENTED_EDGE('',*,*,#190401,.F.); #247219=ORIENTED_EDGE('',*,*,#190402,.T.); #247220=ORIENTED_EDGE('',*,*,#190401,.T.); #247221=ORIENTED_EDGE('',*,*,#190403,.F.); #247222=ORIENTED_EDGE('',*,*,#190404,.F.); #247223=ORIENTED_EDGE('',*,*,#190405,.T.); #247224=ORIENTED_EDGE('',*,*,#190404,.T.); #247225=ORIENTED_EDGE('',*,*,#190406,.F.); #247226=ORIENTED_EDGE('',*,*,#190407,.F.); #247227=ORIENTED_EDGE('',*,*,#190408,.T.); #247228=ORIENTED_EDGE('',*,*,#190407,.T.); #247229=ORIENTED_EDGE('',*,*,#190409,.F.); #247230=ORIENTED_EDGE('',*,*,#190410,.F.); #247231=ORIENTED_EDGE('',*,*,#190411,.T.); #247232=ORIENTED_EDGE('',*,*,#190410,.T.); #247233=ORIENTED_EDGE('',*,*,#190412,.F.); #247234=ORIENTED_EDGE('',*,*,#190413,.F.); #247235=ORIENTED_EDGE('',*,*,#190414,.T.); #247236=ORIENTED_EDGE('',*,*,#190413,.T.); #247237=ORIENTED_EDGE('',*,*,#190415,.F.); #247238=ORIENTED_EDGE('',*,*,#190416,.F.); #247239=ORIENTED_EDGE('',*,*,#190417,.T.); #247240=ORIENTED_EDGE('',*,*,#190416,.T.); #247241=ORIENTED_EDGE('',*,*,#190418,.F.); #247242=ORIENTED_EDGE('',*,*,#190419,.F.); #247243=ORIENTED_EDGE('',*,*,#190420,.T.); #247244=ORIENTED_EDGE('',*,*,#190419,.T.); #247245=ORIENTED_EDGE('',*,*,#190421,.F.); #247246=ORIENTED_EDGE('',*,*,#190422,.F.); #247247=ORIENTED_EDGE('',*,*,#190423,.T.); #247248=ORIENTED_EDGE('',*,*,#190422,.T.); #247249=ORIENTED_EDGE('',*,*,#190424,.F.); #247250=ORIENTED_EDGE('',*,*,#190425,.F.); #247251=ORIENTED_EDGE('',*,*,#190426,.T.); #247252=ORIENTED_EDGE('',*,*,#190425,.T.); #247253=ORIENTED_EDGE('',*,*,#190427,.F.); #247254=ORIENTED_EDGE('',*,*,#190428,.F.); #247255=ORIENTED_EDGE('',*,*,#190429,.T.); #247256=ORIENTED_EDGE('',*,*,#190428,.T.); #247257=ORIENTED_EDGE('',*,*,#190430,.F.); #247258=ORIENTED_EDGE('',*,*,#190431,.F.); #247259=ORIENTED_EDGE('',*,*,#190432,.T.); #247260=ORIENTED_EDGE('',*,*,#190431,.T.); #247261=ORIENTED_EDGE('',*,*,#190433,.F.); #247262=ORIENTED_EDGE('',*,*,#190434,.F.); #247263=ORIENTED_EDGE('',*,*,#190435,.T.); #247264=ORIENTED_EDGE('',*,*,#190434,.T.); #247265=ORIENTED_EDGE('',*,*,#190436,.F.); #247266=ORIENTED_EDGE('',*,*,#190437,.F.); #247267=ORIENTED_EDGE('',*,*,#190438,.T.); #247268=ORIENTED_EDGE('',*,*,#190437,.T.); #247269=ORIENTED_EDGE('',*,*,#190439,.F.); #247270=ORIENTED_EDGE('',*,*,#190440,.F.); #247271=ORIENTED_EDGE('',*,*,#190441,.T.); #247272=ORIENTED_EDGE('',*,*,#190440,.T.); #247273=ORIENTED_EDGE('',*,*,#190442,.F.); #247274=ORIENTED_EDGE('',*,*,#190443,.F.); #247275=ORIENTED_EDGE('',*,*,#190444,.T.); #247276=ORIENTED_EDGE('',*,*,#190443,.T.); #247277=ORIENTED_EDGE('',*,*,#190445,.F.); #247278=ORIENTED_EDGE('',*,*,#190446,.F.); #247279=ORIENTED_EDGE('',*,*,#190447,.T.); #247280=ORIENTED_EDGE('',*,*,#190446,.T.); #247281=ORIENTED_EDGE('',*,*,#190448,.F.); #247282=ORIENTED_EDGE('',*,*,#190449,.F.); #247283=ORIENTED_EDGE('',*,*,#190450,.T.); #247284=ORIENTED_EDGE('',*,*,#190449,.T.); #247285=ORIENTED_EDGE('',*,*,#190451,.F.); #247286=ORIENTED_EDGE('',*,*,#190452,.F.); #247287=ORIENTED_EDGE('',*,*,#190453,.T.); #247288=ORIENTED_EDGE('',*,*,#190452,.T.); #247289=ORIENTED_EDGE('',*,*,#190454,.F.); #247290=ORIENTED_EDGE('',*,*,#190455,.F.); #247291=ORIENTED_EDGE('',*,*,#190456,.T.); #247292=ORIENTED_EDGE('',*,*,#190455,.T.); #247293=ORIENTED_EDGE('',*,*,#190457,.F.); #247294=ORIENTED_EDGE('',*,*,#190458,.F.); #247295=ORIENTED_EDGE('',*,*,#190459,.T.); #247296=ORIENTED_EDGE('',*,*,#190458,.T.); #247297=ORIENTED_EDGE('',*,*,#190460,.F.); #247298=ORIENTED_EDGE('',*,*,#190461,.F.); #247299=ORIENTED_EDGE('',*,*,#190462,.T.); #247300=ORIENTED_EDGE('',*,*,#190461,.T.); #247301=ORIENTED_EDGE('',*,*,#190463,.F.); #247302=ORIENTED_EDGE('',*,*,#190464,.F.); #247303=ORIENTED_EDGE('',*,*,#190465,.T.); #247304=ORIENTED_EDGE('',*,*,#190464,.T.); #247305=ORIENTED_EDGE('',*,*,#190466,.F.); #247306=ORIENTED_EDGE('',*,*,#190467,.F.); #247307=ORIENTED_EDGE('',*,*,#190468,.T.); #247308=ORIENTED_EDGE('',*,*,#190467,.T.); #247309=ORIENTED_EDGE('',*,*,#190469,.F.); #247310=ORIENTED_EDGE('',*,*,#190470,.F.); #247311=ORIENTED_EDGE('',*,*,#190471,.T.); #247312=ORIENTED_EDGE('',*,*,#190470,.T.); #247313=ORIENTED_EDGE('',*,*,#190472,.F.); #247314=ORIENTED_EDGE('',*,*,#190473,.F.); #247315=ORIENTED_EDGE('',*,*,#190474,.T.); #247316=ORIENTED_EDGE('',*,*,#190473,.T.); #247317=ORIENTED_EDGE('',*,*,#190475,.F.); #247318=ORIENTED_EDGE('',*,*,#190476,.F.); #247319=ORIENTED_EDGE('',*,*,#190477,.T.); #247320=ORIENTED_EDGE('',*,*,#190476,.T.); #247321=ORIENTED_EDGE('',*,*,#190478,.F.); #247322=ORIENTED_EDGE('',*,*,#190479,.F.); #247323=ORIENTED_EDGE('',*,*,#190480,.T.); #247324=ORIENTED_EDGE('',*,*,#190479,.T.); #247325=ORIENTED_EDGE('',*,*,#190481,.F.); #247326=ORIENTED_EDGE('',*,*,#190482,.F.); #247327=ORIENTED_EDGE('',*,*,#190483,.T.); #247328=ORIENTED_EDGE('',*,*,#190482,.T.); #247329=ORIENTED_EDGE('',*,*,#190484,.F.); #247330=ORIENTED_EDGE('',*,*,#190485,.F.); #247331=ORIENTED_EDGE('',*,*,#190486,.T.); #247332=ORIENTED_EDGE('',*,*,#190485,.T.); #247333=ORIENTED_EDGE('',*,*,#190487,.F.); #247334=ORIENTED_EDGE('',*,*,#190488,.F.); #247335=ORIENTED_EDGE('',*,*,#190489,.T.); #247336=ORIENTED_EDGE('',*,*,#190488,.T.); #247337=ORIENTED_EDGE('',*,*,#190490,.F.); #247338=ORIENTED_EDGE('',*,*,#190491,.F.); #247339=ORIENTED_EDGE('',*,*,#190492,.T.); #247340=ORIENTED_EDGE('',*,*,#190491,.T.); #247341=ORIENTED_EDGE('',*,*,#190493,.F.); #247342=ORIENTED_EDGE('',*,*,#190387,.F.); #247343=ORIENTED_EDGE('',*,*,#190493,.T.); #247344=ORIENTED_EDGE('',*,*,#190490,.T.); #247345=ORIENTED_EDGE('',*,*,#190487,.T.); #247346=ORIENTED_EDGE('',*,*,#190484,.T.); #247347=ORIENTED_EDGE('',*,*,#190481,.T.); #247348=ORIENTED_EDGE('',*,*,#190478,.T.); #247349=ORIENTED_EDGE('',*,*,#190475,.T.); #247350=ORIENTED_EDGE('',*,*,#190472,.T.); #247351=ORIENTED_EDGE('',*,*,#190469,.T.); #247352=ORIENTED_EDGE('',*,*,#190466,.T.); #247353=ORIENTED_EDGE('',*,*,#190463,.T.); #247354=ORIENTED_EDGE('',*,*,#190460,.T.); #247355=ORIENTED_EDGE('',*,*,#190457,.T.); #247356=ORIENTED_EDGE('',*,*,#190454,.T.); #247357=ORIENTED_EDGE('',*,*,#190451,.T.); #247358=ORIENTED_EDGE('',*,*,#190448,.T.); #247359=ORIENTED_EDGE('',*,*,#190445,.T.); #247360=ORIENTED_EDGE('',*,*,#190442,.T.); #247361=ORIENTED_EDGE('',*,*,#190439,.T.); #247362=ORIENTED_EDGE('',*,*,#190436,.T.); #247363=ORIENTED_EDGE('',*,*,#190433,.T.); #247364=ORIENTED_EDGE('',*,*,#190430,.T.); #247365=ORIENTED_EDGE('',*,*,#190427,.T.); #247366=ORIENTED_EDGE('',*,*,#190424,.T.); #247367=ORIENTED_EDGE('',*,*,#190421,.T.); #247368=ORIENTED_EDGE('',*,*,#190418,.T.); #247369=ORIENTED_EDGE('',*,*,#190415,.T.); #247370=ORIENTED_EDGE('',*,*,#190412,.T.); #247371=ORIENTED_EDGE('',*,*,#190409,.T.); #247372=ORIENTED_EDGE('',*,*,#190406,.T.); #247373=ORIENTED_EDGE('',*,*,#190403,.T.); #247374=ORIENTED_EDGE('',*,*,#190400,.T.); #247375=ORIENTED_EDGE('',*,*,#190397,.T.); #247376=ORIENTED_EDGE('',*,*,#190394,.T.); #247377=ORIENTED_EDGE('',*,*,#190391,.T.); #247378=ORIENTED_EDGE('',*,*,#190388,.T.); #247379=ORIENTED_EDGE('',*,*,#190492,.F.); #247380=ORIENTED_EDGE('',*,*,#190386,.F.); #247381=ORIENTED_EDGE('',*,*,#190390,.F.); #247382=ORIENTED_EDGE('',*,*,#190393,.F.); #247383=ORIENTED_EDGE('',*,*,#190396,.F.); #247384=ORIENTED_EDGE('',*,*,#190399,.F.); #247385=ORIENTED_EDGE('',*,*,#190402,.F.); #247386=ORIENTED_EDGE('',*,*,#190405,.F.); #247387=ORIENTED_EDGE('',*,*,#190408,.F.); #247388=ORIENTED_EDGE('',*,*,#190411,.F.); #247389=ORIENTED_EDGE('',*,*,#190414,.F.); #247390=ORIENTED_EDGE('',*,*,#190417,.F.); #247391=ORIENTED_EDGE('',*,*,#190420,.F.); #247392=ORIENTED_EDGE('',*,*,#190423,.F.); #247393=ORIENTED_EDGE('',*,*,#190426,.F.); #247394=ORIENTED_EDGE('',*,*,#190429,.F.); #247395=ORIENTED_EDGE('',*,*,#190432,.F.); #247396=ORIENTED_EDGE('',*,*,#190435,.F.); #247397=ORIENTED_EDGE('',*,*,#190438,.F.); #247398=ORIENTED_EDGE('',*,*,#190441,.F.); #247399=ORIENTED_EDGE('',*,*,#190444,.F.); #247400=ORIENTED_EDGE('',*,*,#190447,.F.); #247401=ORIENTED_EDGE('',*,*,#190450,.F.); #247402=ORIENTED_EDGE('',*,*,#190453,.F.); #247403=ORIENTED_EDGE('',*,*,#190456,.F.); #247404=ORIENTED_EDGE('',*,*,#190459,.F.); #247405=ORIENTED_EDGE('',*,*,#190462,.F.); #247406=ORIENTED_EDGE('',*,*,#190465,.F.); #247407=ORIENTED_EDGE('',*,*,#190468,.F.); #247408=ORIENTED_EDGE('',*,*,#190471,.F.); #247409=ORIENTED_EDGE('',*,*,#190474,.F.); #247410=ORIENTED_EDGE('',*,*,#190477,.F.); #247411=ORIENTED_EDGE('',*,*,#190480,.F.); #247412=ORIENTED_EDGE('',*,*,#190483,.F.); #247413=ORIENTED_EDGE('',*,*,#190486,.F.); #247414=ORIENTED_EDGE('',*,*,#190489,.F.); #247415=ORIENTED_EDGE('',*,*,#190494,.F.); #247416=ORIENTED_EDGE('',*,*,#190495,.T.); #247417=ORIENTED_EDGE('',*,*,#190496,.F.); #247418=ORIENTED_EDGE('',*,*,#190495,.F.); #247419=ORIENTED_EDGE('',*,*,#190497,.T.); #247420=ORIENTED_EDGE('',*,*,#190498,.T.); #247421=ORIENTED_EDGE('',*,*,#190499,.F.); #247422=ORIENTED_EDGE('',*,*,#190500,.F.); #247423=ORIENTED_EDGE('',*,*,#190501,.T.); #247424=ORIENTED_EDGE('',*,*,#190500,.T.); #247425=ORIENTED_EDGE('',*,*,#190502,.F.); #247426=ORIENTED_EDGE('',*,*,#190503,.F.); #247427=ORIENTED_EDGE('',*,*,#190504,.T.); #247428=ORIENTED_EDGE('',*,*,#190503,.T.); #247429=ORIENTED_EDGE('',*,*,#190505,.F.); #247430=ORIENTED_EDGE('',*,*,#190506,.F.); #247431=ORIENTED_EDGE('',*,*,#190507,.T.); #247432=ORIENTED_EDGE('',*,*,#190506,.T.); #247433=ORIENTED_EDGE('',*,*,#190508,.F.); #247434=ORIENTED_EDGE('',*,*,#190509,.F.); #247435=ORIENTED_EDGE('',*,*,#190510,.T.); #247436=ORIENTED_EDGE('',*,*,#190509,.T.); #247437=ORIENTED_EDGE('',*,*,#190511,.F.); #247438=ORIENTED_EDGE('',*,*,#190512,.F.); #247439=ORIENTED_EDGE('',*,*,#190513,.T.); #247440=ORIENTED_EDGE('',*,*,#190512,.T.); #247441=ORIENTED_EDGE('',*,*,#190514,.F.); #247442=ORIENTED_EDGE('',*,*,#190515,.F.); #247443=ORIENTED_EDGE('',*,*,#190516,.T.); #247444=ORIENTED_EDGE('',*,*,#190515,.T.); #247445=ORIENTED_EDGE('',*,*,#190517,.F.); #247446=ORIENTED_EDGE('',*,*,#190518,.F.); #247447=ORIENTED_EDGE('',*,*,#190519,.T.); #247448=ORIENTED_EDGE('',*,*,#190518,.T.); #247449=ORIENTED_EDGE('',*,*,#190520,.F.); #247450=ORIENTED_EDGE('',*,*,#190521,.F.); #247451=ORIENTED_EDGE('',*,*,#190522,.T.); #247452=ORIENTED_EDGE('',*,*,#190521,.T.); #247453=ORIENTED_EDGE('',*,*,#190523,.F.); #247454=ORIENTED_EDGE('',*,*,#190524,.F.); #247455=ORIENTED_EDGE('',*,*,#190525,.T.); #247456=ORIENTED_EDGE('',*,*,#190524,.T.); #247457=ORIENTED_EDGE('',*,*,#190526,.F.); #247458=ORIENTED_EDGE('',*,*,#190498,.F.); #247459=ORIENTED_EDGE('',*,*,#190526,.T.); #247460=ORIENTED_EDGE('',*,*,#190523,.T.); #247461=ORIENTED_EDGE('',*,*,#190520,.T.); #247462=ORIENTED_EDGE('',*,*,#190517,.T.); #247463=ORIENTED_EDGE('',*,*,#190514,.T.); #247464=ORIENTED_EDGE('',*,*,#190511,.T.); #247465=ORIENTED_EDGE('',*,*,#190508,.T.); #247466=ORIENTED_EDGE('',*,*,#190505,.T.); #247467=ORIENTED_EDGE('',*,*,#190502,.T.); #247468=ORIENTED_EDGE('',*,*,#190499,.T.); #247469=ORIENTED_EDGE('',*,*,#190496,.T.); #247470=ORIENTED_EDGE('',*,*,#190525,.F.); #247471=ORIENTED_EDGE('',*,*,#190497,.F.); #247472=ORIENTED_EDGE('',*,*,#190501,.F.); #247473=ORIENTED_EDGE('',*,*,#190504,.F.); #247474=ORIENTED_EDGE('',*,*,#190507,.F.); #247475=ORIENTED_EDGE('',*,*,#190510,.F.); #247476=ORIENTED_EDGE('',*,*,#190513,.F.); #247477=ORIENTED_EDGE('',*,*,#190516,.F.); #247478=ORIENTED_EDGE('',*,*,#190519,.F.); #247479=ORIENTED_EDGE('',*,*,#190522,.F.); #247480=ORIENTED_EDGE('',*,*,#190494,.T.); #247481=ORIENTED_EDGE('',*,*,#190527,.F.); #247482=ORIENTED_EDGE('',*,*,#190528,.T.); #247483=ORIENTED_EDGE('',*,*,#190529,.F.); #247484=ORIENTED_EDGE('',*,*,#190528,.F.); #247485=ORIENTED_EDGE('',*,*,#190530,.F.); #247486=ORIENTED_EDGE('',*,*,#190531,.T.); #247487=ORIENTED_EDGE('',*,*,#190532,.F.); #247488=ORIENTED_EDGE('',*,*,#190531,.F.); #247489=ORIENTED_EDGE('',*,*,#190533,.T.); #247490=ORIENTED_EDGE('',*,*,#190534,.T.); #247491=ORIENTED_EDGE('',*,*,#190535,.F.); #247492=ORIENTED_EDGE('',*,*,#190536,.F.); #247493=ORIENTED_EDGE('',*,*,#190537,.T.); #247494=ORIENTED_EDGE('',*,*,#190536,.T.); #247495=ORIENTED_EDGE('',*,*,#190538,.F.); #247496=ORIENTED_EDGE('',*,*,#190539,.F.); #247497=ORIENTED_EDGE('',*,*,#190540,.T.); #247498=ORIENTED_EDGE('',*,*,#190539,.T.); #247499=ORIENTED_EDGE('',*,*,#190541,.F.); #247500=ORIENTED_EDGE('',*,*,#190542,.F.); #247501=ORIENTED_EDGE('',*,*,#190543,.T.); #247502=ORIENTED_EDGE('',*,*,#190542,.T.); #247503=ORIENTED_EDGE('',*,*,#190544,.F.); #247504=ORIENTED_EDGE('',*,*,#190545,.F.); #247505=ORIENTED_EDGE('',*,*,#190546,.T.); #247506=ORIENTED_EDGE('',*,*,#190545,.T.); #247507=ORIENTED_EDGE('',*,*,#190547,.F.); #247508=ORIENTED_EDGE('',*,*,#190548,.F.); #247509=ORIENTED_EDGE('',*,*,#190549,.T.); #247510=ORIENTED_EDGE('',*,*,#190548,.T.); #247511=ORIENTED_EDGE('',*,*,#190550,.F.); #247512=ORIENTED_EDGE('',*,*,#190551,.F.); #247513=ORIENTED_EDGE('',*,*,#190552,.T.); #247514=ORIENTED_EDGE('',*,*,#190551,.T.); #247515=ORIENTED_EDGE('',*,*,#190553,.F.); #247516=ORIENTED_EDGE('',*,*,#190554,.F.); #247517=ORIENTED_EDGE('',*,*,#190555,.T.); #247518=ORIENTED_EDGE('',*,*,#190554,.T.); #247519=ORIENTED_EDGE('',*,*,#190556,.F.); #247520=ORIENTED_EDGE('',*,*,#190557,.F.); #247521=ORIENTED_EDGE('',*,*,#190558,.T.); #247522=ORIENTED_EDGE('',*,*,#190557,.T.); #247523=ORIENTED_EDGE('',*,*,#190559,.F.); #247524=ORIENTED_EDGE('',*,*,#190560,.F.); #247525=ORIENTED_EDGE('',*,*,#190561,.T.); #247526=ORIENTED_EDGE('',*,*,#190560,.T.); #247527=ORIENTED_EDGE('',*,*,#190562,.F.); #247528=ORIENTED_EDGE('',*,*,#190563,.F.); #247529=ORIENTED_EDGE('',*,*,#190564,.T.); #247530=ORIENTED_EDGE('',*,*,#190563,.T.); #247531=ORIENTED_EDGE('',*,*,#190565,.F.); #247532=ORIENTED_EDGE('',*,*,#190566,.F.); #247533=ORIENTED_EDGE('',*,*,#190567,.T.); #247534=ORIENTED_EDGE('',*,*,#190566,.T.); #247535=ORIENTED_EDGE('',*,*,#190568,.F.); #247536=ORIENTED_EDGE('',*,*,#190569,.F.); #247537=ORIENTED_EDGE('',*,*,#190570,.T.); #247538=ORIENTED_EDGE('',*,*,#190569,.T.); #247539=ORIENTED_EDGE('',*,*,#190571,.F.); #247540=ORIENTED_EDGE('',*,*,#190572,.F.); #247541=ORIENTED_EDGE('',*,*,#190573,.T.); #247542=ORIENTED_EDGE('',*,*,#190572,.T.); #247543=ORIENTED_EDGE('',*,*,#190574,.F.); #247544=ORIENTED_EDGE('',*,*,#190575,.F.); #247545=ORIENTED_EDGE('',*,*,#190576,.T.); #247546=ORIENTED_EDGE('',*,*,#190575,.T.); #247547=ORIENTED_EDGE('',*,*,#190577,.F.); #247548=ORIENTED_EDGE('',*,*,#190578,.F.); #247549=ORIENTED_EDGE('',*,*,#190579,.T.); #247550=ORIENTED_EDGE('',*,*,#190578,.T.); #247551=ORIENTED_EDGE('',*,*,#190580,.F.); #247552=ORIENTED_EDGE('',*,*,#190581,.F.); #247553=ORIENTED_EDGE('',*,*,#190582,.T.); #247554=ORIENTED_EDGE('',*,*,#190581,.T.); #247555=ORIENTED_EDGE('',*,*,#190583,.F.); #247556=ORIENTED_EDGE('',*,*,#190584,.F.); #247557=ORIENTED_EDGE('',*,*,#190585,.T.); #247558=ORIENTED_EDGE('',*,*,#190584,.T.); #247559=ORIENTED_EDGE('',*,*,#190586,.F.); #247560=ORIENTED_EDGE('',*,*,#190587,.F.); #247561=ORIENTED_EDGE('',*,*,#190588,.T.); #247562=ORIENTED_EDGE('',*,*,#190587,.T.); #247563=ORIENTED_EDGE('',*,*,#190589,.F.); #247564=ORIENTED_EDGE('',*,*,#190590,.F.); #247565=ORIENTED_EDGE('',*,*,#190591,.T.); #247566=ORIENTED_EDGE('',*,*,#190590,.T.); #247567=ORIENTED_EDGE('',*,*,#190592,.F.); #247568=ORIENTED_EDGE('',*,*,#190593,.F.); #247569=ORIENTED_EDGE('',*,*,#190594,.T.); #247570=ORIENTED_EDGE('',*,*,#190593,.T.); #247571=ORIENTED_EDGE('',*,*,#190595,.F.); #247572=ORIENTED_EDGE('',*,*,#190534,.F.); #247573=ORIENTED_EDGE('',*,*,#190595,.T.); #247574=ORIENTED_EDGE('',*,*,#190592,.T.); #247575=ORIENTED_EDGE('',*,*,#190589,.T.); #247576=ORIENTED_EDGE('',*,*,#190586,.T.); #247577=ORIENTED_EDGE('',*,*,#190583,.T.); #247578=ORIENTED_EDGE('',*,*,#190580,.T.); #247579=ORIENTED_EDGE('',*,*,#190577,.T.); #247580=ORIENTED_EDGE('',*,*,#190574,.T.); #247581=ORIENTED_EDGE('',*,*,#190571,.T.); #247582=ORIENTED_EDGE('',*,*,#190568,.T.); #247583=ORIENTED_EDGE('',*,*,#190565,.T.); #247584=ORIENTED_EDGE('',*,*,#190562,.T.); #247585=ORIENTED_EDGE('',*,*,#190559,.T.); #247586=ORIENTED_EDGE('',*,*,#190556,.T.); #247587=ORIENTED_EDGE('',*,*,#190553,.T.); #247588=ORIENTED_EDGE('',*,*,#190550,.T.); #247589=ORIENTED_EDGE('',*,*,#190547,.T.); #247590=ORIENTED_EDGE('',*,*,#190544,.T.); #247591=ORIENTED_EDGE('',*,*,#190541,.T.); #247592=ORIENTED_EDGE('',*,*,#190538,.T.); #247593=ORIENTED_EDGE('',*,*,#190535,.T.); #247594=ORIENTED_EDGE('',*,*,#190529,.T.); #247595=ORIENTED_EDGE('',*,*,#190532,.T.); #247596=ORIENTED_EDGE('',*,*,#190594,.F.); #247597=ORIENTED_EDGE('',*,*,#190533,.F.); #247598=ORIENTED_EDGE('',*,*,#190537,.F.); #247599=ORIENTED_EDGE('',*,*,#190540,.F.); #247600=ORIENTED_EDGE('',*,*,#190543,.F.); #247601=ORIENTED_EDGE('',*,*,#190546,.F.); #247602=ORIENTED_EDGE('',*,*,#190549,.F.); #247603=ORIENTED_EDGE('',*,*,#190552,.F.); #247604=ORIENTED_EDGE('',*,*,#190555,.F.); #247605=ORIENTED_EDGE('',*,*,#190558,.F.); #247606=ORIENTED_EDGE('',*,*,#190561,.F.); #247607=ORIENTED_EDGE('',*,*,#190564,.F.); #247608=ORIENTED_EDGE('',*,*,#190567,.F.); #247609=ORIENTED_EDGE('',*,*,#190570,.F.); #247610=ORIENTED_EDGE('',*,*,#190573,.F.); #247611=ORIENTED_EDGE('',*,*,#190576,.F.); #247612=ORIENTED_EDGE('',*,*,#190579,.F.); #247613=ORIENTED_EDGE('',*,*,#190582,.F.); #247614=ORIENTED_EDGE('',*,*,#190585,.F.); #247615=ORIENTED_EDGE('',*,*,#190588,.F.); #247616=ORIENTED_EDGE('',*,*,#190591,.F.); #247617=ORIENTED_EDGE('',*,*,#190527,.T.); #247618=ORIENTED_EDGE('',*,*,#190530,.T.); #247619=ORIENTED_EDGE('',*,*,#190596,.T.); #247620=ORIENTED_EDGE('',*,*,#190597,.T.); #247621=ORIENTED_EDGE('',*,*,#190598,.F.); #247622=ORIENTED_EDGE('',*,*,#190599,.F.); #247623=ORIENTED_EDGE('',*,*,#190600,.T.); #247624=ORIENTED_EDGE('',*,*,#190599,.T.); #247625=ORIENTED_EDGE('',*,*,#190601,.F.); #247626=ORIENTED_EDGE('',*,*,#190602,.F.); #247627=ORIENTED_EDGE('',*,*,#190603,.T.); #247628=ORIENTED_EDGE('',*,*,#190602,.T.); #247629=ORIENTED_EDGE('',*,*,#190604,.F.); #247630=ORIENTED_EDGE('',*,*,#190605,.F.); #247631=ORIENTED_EDGE('',*,*,#190606,.T.); #247632=ORIENTED_EDGE('',*,*,#190605,.T.); #247633=ORIENTED_EDGE('',*,*,#190607,.F.); #247634=ORIENTED_EDGE('',*,*,#190608,.F.); #247635=ORIENTED_EDGE('',*,*,#190609,.T.); #247636=ORIENTED_EDGE('',*,*,#190608,.T.); #247637=ORIENTED_EDGE('',*,*,#190610,.F.); #247638=ORIENTED_EDGE('',*,*,#190611,.F.); #247639=ORIENTED_EDGE('',*,*,#190612,.T.); #247640=ORIENTED_EDGE('',*,*,#190611,.T.); #247641=ORIENTED_EDGE('',*,*,#190613,.F.); #247642=ORIENTED_EDGE('',*,*,#190614,.F.); #247643=ORIENTED_EDGE('',*,*,#190615,.T.); #247644=ORIENTED_EDGE('',*,*,#190614,.T.); #247645=ORIENTED_EDGE('',*,*,#190616,.F.); #247646=ORIENTED_EDGE('',*,*,#190617,.F.); #247647=ORIENTED_EDGE('',*,*,#190618,.T.); #247648=ORIENTED_EDGE('',*,*,#190617,.T.); #247649=ORIENTED_EDGE('',*,*,#190619,.F.); #247650=ORIENTED_EDGE('',*,*,#190620,.F.); #247651=ORIENTED_EDGE('',*,*,#190621,.T.); #247652=ORIENTED_EDGE('',*,*,#190620,.T.); #247653=ORIENTED_EDGE('',*,*,#190622,.F.); #247654=ORIENTED_EDGE('',*,*,#190623,.F.); #247655=ORIENTED_EDGE('',*,*,#190624,.T.); #247656=ORIENTED_EDGE('',*,*,#190623,.T.); #247657=ORIENTED_EDGE('',*,*,#190625,.F.); #247658=ORIENTED_EDGE('',*,*,#190626,.F.); #247659=ORIENTED_EDGE('',*,*,#190627,.T.); #247660=ORIENTED_EDGE('',*,*,#190626,.T.); #247661=ORIENTED_EDGE('',*,*,#190628,.F.); #247662=ORIENTED_EDGE('',*,*,#190629,.F.); #247663=ORIENTED_EDGE('',*,*,#190630,.T.); #247664=ORIENTED_EDGE('',*,*,#190629,.T.); #247665=ORIENTED_EDGE('',*,*,#190631,.F.); #247666=ORIENTED_EDGE('',*,*,#190632,.F.); #247667=ORIENTED_EDGE('',*,*,#190633,.T.); #247668=ORIENTED_EDGE('',*,*,#190632,.T.); #247669=ORIENTED_EDGE('',*,*,#190634,.F.); #247670=ORIENTED_EDGE('',*,*,#190635,.F.); #247671=ORIENTED_EDGE('',*,*,#190636,.T.); #247672=ORIENTED_EDGE('',*,*,#190635,.T.); #247673=ORIENTED_EDGE('',*,*,#190637,.F.); #247674=ORIENTED_EDGE('',*,*,#190597,.F.); #247675=ORIENTED_EDGE('',*,*,#190637,.T.); #247676=ORIENTED_EDGE('',*,*,#190634,.T.); #247677=ORIENTED_EDGE('',*,*,#190631,.T.); #247678=ORIENTED_EDGE('',*,*,#190628,.T.); #247679=ORIENTED_EDGE('',*,*,#190625,.T.); #247680=ORIENTED_EDGE('',*,*,#190622,.T.); #247681=ORIENTED_EDGE('',*,*,#190619,.T.); #247682=ORIENTED_EDGE('',*,*,#190616,.T.); #247683=ORIENTED_EDGE('',*,*,#190613,.T.); #247684=ORIENTED_EDGE('',*,*,#190610,.T.); #247685=ORIENTED_EDGE('',*,*,#190607,.T.); #247686=ORIENTED_EDGE('',*,*,#190604,.T.); #247687=ORIENTED_EDGE('',*,*,#190601,.T.); #247688=ORIENTED_EDGE('',*,*,#190598,.T.); #247689=ORIENTED_EDGE('',*,*,#190636,.F.); #247690=ORIENTED_EDGE('',*,*,#190596,.F.); #247691=ORIENTED_EDGE('',*,*,#190600,.F.); #247692=ORIENTED_EDGE('',*,*,#190603,.F.); #247693=ORIENTED_EDGE('',*,*,#190606,.F.); #247694=ORIENTED_EDGE('',*,*,#190609,.F.); #247695=ORIENTED_EDGE('',*,*,#190612,.F.); #247696=ORIENTED_EDGE('',*,*,#190615,.F.); #247697=ORIENTED_EDGE('',*,*,#190618,.F.); #247698=ORIENTED_EDGE('',*,*,#190621,.F.); #247699=ORIENTED_EDGE('',*,*,#190624,.F.); #247700=ORIENTED_EDGE('',*,*,#190627,.F.); #247701=ORIENTED_EDGE('',*,*,#190630,.F.); #247702=ORIENTED_EDGE('',*,*,#190633,.F.); #247703=ORIENTED_EDGE('',*,*,#190638,.F.); #247704=ORIENTED_EDGE('',*,*,#190639,.T.); #247705=ORIENTED_EDGE('',*,*,#190640,.F.); #247706=ORIENTED_EDGE('',*,*,#190639,.F.); #247707=ORIENTED_EDGE('',*,*,#190641,.F.); #247708=ORIENTED_EDGE('',*,*,#190642,.T.); #247709=ORIENTED_EDGE('',*,*,#190643,.F.); #247710=ORIENTED_EDGE('',*,*,#190642,.F.); #247711=ORIENTED_EDGE('',*,*,#190644,.F.); #247712=ORIENTED_EDGE('',*,*,#190645,.T.); #247713=ORIENTED_EDGE('',*,*,#190646,.F.); #247714=ORIENTED_EDGE('',*,*,#190645,.F.); #247715=ORIENTED_EDGE('',*,*,#190647,.F.); #247716=ORIENTED_EDGE('',*,*,#190648,.T.); #247717=ORIENTED_EDGE('',*,*,#190649,.F.); #247718=ORIENTED_EDGE('',*,*,#190648,.F.); #247719=ORIENTED_EDGE('',*,*,#190650,.F.); #247720=ORIENTED_EDGE('',*,*,#190651,.T.); #247721=ORIENTED_EDGE('',*,*,#190652,.F.); #247722=ORIENTED_EDGE('',*,*,#190651,.F.); #247723=ORIENTED_EDGE('',*,*,#190653,.F.); #247724=ORIENTED_EDGE('',*,*,#190654,.T.); #247725=ORIENTED_EDGE('',*,*,#190655,.F.); #247726=ORIENTED_EDGE('',*,*,#190654,.F.); #247727=ORIENTED_EDGE('',*,*,#190656,.F.); #247728=ORIENTED_EDGE('',*,*,#190657,.T.); #247729=ORIENTED_EDGE('',*,*,#190658,.F.); #247730=ORIENTED_EDGE('',*,*,#190657,.F.); #247731=ORIENTED_EDGE('',*,*,#190659,.F.); #247732=ORIENTED_EDGE('',*,*,#190660,.T.); #247733=ORIENTED_EDGE('',*,*,#190661,.F.); #247734=ORIENTED_EDGE('',*,*,#190660,.F.); #247735=ORIENTED_EDGE('',*,*,#190662,.F.); #247736=ORIENTED_EDGE('',*,*,#190663,.T.); #247737=ORIENTED_EDGE('',*,*,#190664,.F.); #247738=ORIENTED_EDGE('',*,*,#190663,.F.); #247739=ORIENTED_EDGE('',*,*,#190665,.F.); #247740=ORIENTED_EDGE('',*,*,#190666,.T.); #247741=ORIENTED_EDGE('',*,*,#190667,.F.); #247742=ORIENTED_EDGE('',*,*,#190666,.F.); #247743=ORIENTED_EDGE('',*,*,#190668,.F.); #247744=ORIENTED_EDGE('',*,*,#190669,.T.); #247745=ORIENTED_EDGE('',*,*,#190670,.F.); #247746=ORIENTED_EDGE('',*,*,#190669,.F.); #247747=ORIENTED_EDGE('',*,*,#190671,.T.); #247748=ORIENTED_EDGE('',*,*,#190672,.T.); #247749=ORIENTED_EDGE('',*,*,#190673,.F.); #247750=ORIENTED_EDGE('',*,*,#190674,.F.); #247751=ORIENTED_EDGE('',*,*,#190675,.T.); #247752=ORIENTED_EDGE('',*,*,#190674,.T.); #247753=ORIENTED_EDGE('',*,*,#190676,.F.); #247754=ORIENTED_EDGE('',*,*,#190677,.F.); #247755=ORIENTED_EDGE('',*,*,#190678,.T.); #247756=ORIENTED_EDGE('',*,*,#190677,.T.); #247757=ORIENTED_EDGE('',*,*,#190679,.F.); #247758=ORIENTED_EDGE('',*,*,#190680,.F.); #247759=ORIENTED_EDGE('',*,*,#190681,.T.); #247760=ORIENTED_EDGE('',*,*,#190680,.T.); #247761=ORIENTED_EDGE('',*,*,#190682,.F.); #247762=ORIENTED_EDGE('',*,*,#190683,.F.); #247763=ORIENTED_EDGE('',*,*,#190684,.T.); #247764=ORIENTED_EDGE('',*,*,#190683,.T.); #247765=ORIENTED_EDGE('',*,*,#190685,.F.); #247766=ORIENTED_EDGE('',*,*,#190686,.F.); #247767=ORIENTED_EDGE('',*,*,#190687,.T.); #247768=ORIENTED_EDGE('',*,*,#190686,.T.); #247769=ORIENTED_EDGE('',*,*,#190688,.F.); #247770=ORIENTED_EDGE('',*,*,#190689,.F.); #247771=ORIENTED_EDGE('',*,*,#190690,.T.); #247772=ORIENTED_EDGE('',*,*,#190689,.T.); #247773=ORIENTED_EDGE('',*,*,#190691,.F.); #247774=ORIENTED_EDGE('',*,*,#190692,.F.); #247775=ORIENTED_EDGE('',*,*,#190693,.T.); #247776=ORIENTED_EDGE('',*,*,#190692,.T.); #247777=ORIENTED_EDGE('',*,*,#190694,.F.); #247778=ORIENTED_EDGE('',*,*,#190695,.F.); #247779=ORIENTED_EDGE('',*,*,#190696,.T.); #247780=ORIENTED_EDGE('',*,*,#190695,.T.); #247781=ORIENTED_EDGE('',*,*,#190697,.F.); #247782=ORIENTED_EDGE('',*,*,#190698,.F.); #247783=ORIENTED_EDGE('',*,*,#190699,.T.); #247784=ORIENTED_EDGE('',*,*,#190698,.T.); #247785=ORIENTED_EDGE('',*,*,#190700,.F.); #247786=ORIENTED_EDGE('',*,*,#190701,.F.); #247787=ORIENTED_EDGE('',*,*,#190702,.T.); #247788=ORIENTED_EDGE('',*,*,#190701,.T.); #247789=ORIENTED_EDGE('',*,*,#190703,.F.); #247790=ORIENTED_EDGE('',*,*,#190704,.F.); #247791=ORIENTED_EDGE('',*,*,#190705,.T.); #247792=ORIENTED_EDGE('',*,*,#190704,.T.); #247793=ORIENTED_EDGE('',*,*,#190706,.F.); #247794=ORIENTED_EDGE('',*,*,#190707,.F.); #247795=ORIENTED_EDGE('',*,*,#190708,.T.); #247796=ORIENTED_EDGE('',*,*,#190707,.T.); #247797=ORIENTED_EDGE('',*,*,#190709,.F.); #247798=ORIENTED_EDGE('',*,*,#190710,.F.); #247799=ORIENTED_EDGE('',*,*,#190711,.T.); #247800=ORIENTED_EDGE('',*,*,#190710,.T.); #247801=ORIENTED_EDGE('',*,*,#190712,.F.); #247802=ORIENTED_EDGE('',*,*,#190713,.F.); #247803=ORIENTED_EDGE('',*,*,#190714,.T.); #247804=ORIENTED_EDGE('',*,*,#190713,.T.); #247805=ORIENTED_EDGE('',*,*,#190715,.F.); #247806=ORIENTED_EDGE('',*,*,#190716,.F.); #247807=ORIENTED_EDGE('',*,*,#190717,.T.); #247808=ORIENTED_EDGE('',*,*,#190716,.T.); #247809=ORIENTED_EDGE('',*,*,#190718,.F.); #247810=ORIENTED_EDGE('',*,*,#190719,.F.); #247811=ORIENTED_EDGE('',*,*,#190720,.T.); #247812=ORIENTED_EDGE('',*,*,#190719,.T.); #247813=ORIENTED_EDGE('',*,*,#190721,.F.); #247814=ORIENTED_EDGE('',*,*,#190722,.F.); #247815=ORIENTED_EDGE('',*,*,#190723,.T.); #247816=ORIENTED_EDGE('',*,*,#190722,.T.); #247817=ORIENTED_EDGE('',*,*,#190724,.F.); #247818=ORIENTED_EDGE('',*,*,#190725,.F.); #247819=ORIENTED_EDGE('',*,*,#190726,.T.); #247820=ORIENTED_EDGE('',*,*,#190725,.T.); #247821=ORIENTED_EDGE('',*,*,#190727,.F.); #247822=ORIENTED_EDGE('',*,*,#190728,.F.); #247823=ORIENTED_EDGE('',*,*,#190729,.T.); #247824=ORIENTED_EDGE('',*,*,#190728,.T.); #247825=ORIENTED_EDGE('',*,*,#190730,.F.); #247826=ORIENTED_EDGE('',*,*,#190731,.F.); #247827=ORIENTED_EDGE('',*,*,#190732,.T.); #247828=ORIENTED_EDGE('',*,*,#190731,.T.); #247829=ORIENTED_EDGE('',*,*,#190733,.F.); #247830=ORIENTED_EDGE('',*,*,#190734,.F.); #247831=ORIENTED_EDGE('',*,*,#190735,.T.); #247832=ORIENTED_EDGE('',*,*,#190734,.T.); #247833=ORIENTED_EDGE('',*,*,#190736,.F.); #247834=ORIENTED_EDGE('',*,*,#190737,.F.); #247835=ORIENTED_EDGE('',*,*,#190738,.T.); #247836=ORIENTED_EDGE('',*,*,#190737,.T.); #247837=ORIENTED_EDGE('',*,*,#190739,.F.); #247838=ORIENTED_EDGE('',*,*,#190740,.F.); #247839=ORIENTED_EDGE('',*,*,#190741,.T.); #247840=ORIENTED_EDGE('',*,*,#190740,.T.); #247841=ORIENTED_EDGE('',*,*,#190742,.F.); #247842=ORIENTED_EDGE('',*,*,#190743,.F.); #247843=ORIENTED_EDGE('',*,*,#190744,.T.); #247844=ORIENTED_EDGE('',*,*,#190743,.T.); #247845=ORIENTED_EDGE('',*,*,#190745,.F.); #247846=ORIENTED_EDGE('',*,*,#190746,.F.); #247847=ORIENTED_EDGE('',*,*,#190747,.T.); #247848=ORIENTED_EDGE('',*,*,#190746,.T.); #247849=ORIENTED_EDGE('',*,*,#190748,.F.); #247850=ORIENTED_EDGE('',*,*,#190749,.F.); #247851=ORIENTED_EDGE('',*,*,#190750,.T.); #247852=ORIENTED_EDGE('',*,*,#190749,.T.); #247853=ORIENTED_EDGE('',*,*,#190751,.F.); #247854=ORIENTED_EDGE('',*,*,#190752,.F.); #247855=ORIENTED_EDGE('',*,*,#190753,.T.); #247856=ORIENTED_EDGE('',*,*,#190752,.T.); #247857=ORIENTED_EDGE('',*,*,#190754,.F.); #247858=ORIENTED_EDGE('',*,*,#190755,.F.); #247859=ORIENTED_EDGE('',*,*,#190756,.T.); #247860=ORIENTED_EDGE('',*,*,#190755,.T.); #247861=ORIENTED_EDGE('',*,*,#190757,.F.); #247862=ORIENTED_EDGE('',*,*,#190758,.F.); #247863=ORIENTED_EDGE('',*,*,#190759,.T.); #247864=ORIENTED_EDGE('',*,*,#190758,.T.); #247865=ORIENTED_EDGE('',*,*,#190760,.F.); #247866=ORIENTED_EDGE('',*,*,#190761,.F.); #247867=ORIENTED_EDGE('',*,*,#190762,.T.); #247868=ORIENTED_EDGE('',*,*,#190761,.T.); #247869=ORIENTED_EDGE('',*,*,#190763,.F.); #247870=ORIENTED_EDGE('',*,*,#190764,.F.); #247871=ORIENTED_EDGE('',*,*,#190765,.T.); #247872=ORIENTED_EDGE('',*,*,#190764,.T.); #247873=ORIENTED_EDGE('',*,*,#190766,.F.); #247874=ORIENTED_EDGE('',*,*,#190767,.F.); #247875=ORIENTED_EDGE('',*,*,#190768,.T.); #247876=ORIENTED_EDGE('',*,*,#190767,.T.); #247877=ORIENTED_EDGE('',*,*,#190769,.F.); #247878=ORIENTED_EDGE('',*,*,#190770,.F.); #247879=ORIENTED_EDGE('',*,*,#190771,.T.); #247880=ORIENTED_EDGE('',*,*,#190770,.T.); #247881=ORIENTED_EDGE('',*,*,#190772,.F.); #247882=ORIENTED_EDGE('',*,*,#190773,.F.); #247883=ORIENTED_EDGE('',*,*,#190774,.T.); #247884=ORIENTED_EDGE('',*,*,#190773,.T.); #247885=ORIENTED_EDGE('',*,*,#190775,.F.); #247886=ORIENTED_EDGE('',*,*,#190776,.F.); #247887=ORIENTED_EDGE('',*,*,#190777,.T.); #247888=ORIENTED_EDGE('',*,*,#190776,.T.); #247889=ORIENTED_EDGE('',*,*,#190778,.F.); #247890=ORIENTED_EDGE('',*,*,#190779,.F.); #247891=ORIENTED_EDGE('',*,*,#190780,.T.); #247892=ORIENTED_EDGE('',*,*,#190779,.T.); #247893=ORIENTED_EDGE('',*,*,#190781,.F.); #247894=ORIENTED_EDGE('',*,*,#190782,.F.); #247895=ORIENTED_EDGE('',*,*,#190783,.T.); #247896=ORIENTED_EDGE('',*,*,#190782,.T.); #247897=ORIENTED_EDGE('',*,*,#190784,.F.); #247898=ORIENTED_EDGE('',*,*,#190785,.F.); #247899=ORIENTED_EDGE('',*,*,#190786,.T.); #247900=ORIENTED_EDGE('',*,*,#190785,.T.); #247901=ORIENTED_EDGE('',*,*,#190787,.F.); #247902=ORIENTED_EDGE('',*,*,#190788,.F.); #247903=ORIENTED_EDGE('',*,*,#190789,.T.); #247904=ORIENTED_EDGE('',*,*,#190788,.T.); #247905=ORIENTED_EDGE('',*,*,#190790,.F.); #247906=ORIENTED_EDGE('',*,*,#190791,.F.); #247907=ORIENTED_EDGE('',*,*,#190792,.T.); #247908=ORIENTED_EDGE('',*,*,#190791,.T.); #247909=ORIENTED_EDGE('',*,*,#190793,.F.); #247910=ORIENTED_EDGE('',*,*,#190794,.F.); #247911=ORIENTED_EDGE('',*,*,#190795,.T.); #247912=ORIENTED_EDGE('',*,*,#190794,.T.); #247913=ORIENTED_EDGE('',*,*,#190796,.F.); #247914=ORIENTED_EDGE('',*,*,#190797,.F.); #247915=ORIENTED_EDGE('',*,*,#190798,.T.); #247916=ORIENTED_EDGE('',*,*,#190797,.T.); #247917=ORIENTED_EDGE('',*,*,#190799,.F.); #247918=ORIENTED_EDGE('',*,*,#190800,.F.); #247919=ORIENTED_EDGE('',*,*,#190801,.T.); #247920=ORIENTED_EDGE('',*,*,#190800,.T.); #247921=ORIENTED_EDGE('',*,*,#190802,.F.); #247922=ORIENTED_EDGE('',*,*,#190803,.F.); #247923=ORIENTED_EDGE('',*,*,#190804,.T.); #247924=ORIENTED_EDGE('',*,*,#190803,.T.); #247925=ORIENTED_EDGE('',*,*,#190805,.F.); #247926=ORIENTED_EDGE('',*,*,#190806,.F.); #247927=ORIENTED_EDGE('',*,*,#190807,.T.); #247928=ORIENTED_EDGE('',*,*,#190806,.T.); #247929=ORIENTED_EDGE('',*,*,#190808,.F.); #247930=ORIENTED_EDGE('',*,*,#190809,.F.); #247931=ORIENTED_EDGE('',*,*,#190810,.T.); #247932=ORIENTED_EDGE('',*,*,#190809,.T.); #247933=ORIENTED_EDGE('',*,*,#190811,.F.); #247934=ORIENTED_EDGE('',*,*,#190812,.F.); #247935=ORIENTED_EDGE('',*,*,#190813,.T.); #247936=ORIENTED_EDGE('',*,*,#190812,.T.); #247937=ORIENTED_EDGE('',*,*,#190814,.F.); #247938=ORIENTED_EDGE('',*,*,#190815,.F.); #247939=ORIENTED_EDGE('',*,*,#190816,.T.); #247940=ORIENTED_EDGE('',*,*,#190815,.T.); #247941=ORIENTED_EDGE('',*,*,#190817,.F.); #247942=ORIENTED_EDGE('',*,*,#190818,.F.); #247943=ORIENTED_EDGE('',*,*,#190819,.T.); #247944=ORIENTED_EDGE('',*,*,#190818,.T.); #247945=ORIENTED_EDGE('',*,*,#190820,.F.); #247946=ORIENTED_EDGE('',*,*,#190821,.F.); #247947=ORIENTED_EDGE('',*,*,#190822,.T.); #247948=ORIENTED_EDGE('',*,*,#190821,.T.); #247949=ORIENTED_EDGE('',*,*,#190823,.F.); #247950=ORIENTED_EDGE('',*,*,#190824,.F.); #247951=ORIENTED_EDGE('',*,*,#190825,.T.); #247952=ORIENTED_EDGE('',*,*,#190824,.T.); #247953=ORIENTED_EDGE('',*,*,#190826,.F.); #247954=ORIENTED_EDGE('',*,*,#190827,.F.); #247955=ORIENTED_EDGE('',*,*,#190828,.T.); #247956=ORIENTED_EDGE('',*,*,#190827,.T.); #247957=ORIENTED_EDGE('',*,*,#190829,.F.); #247958=ORIENTED_EDGE('',*,*,#190830,.F.); #247959=ORIENTED_EDGE('',*,*,#190831,.T.); #247960=ORIENTED_EDGE('',*,*,#190830,.T.); #247961=ORIENTED_EDGE('',*,*,#190832,.F.); #247962=ORIENTED_EDGE('',*,*,#190833,.F.); #247963=ORIENTED_EDGE('',*,*,#190834,.T.); #247964=ORIENTED_EDGE('',*,*,#190833,.T.); #247965=ORIENTED_EDGE('',*,*,#190835,.F.); #247966=ORIENTED_EDGE('',*,*,#190836,.F.); #247967=ORIENTED_EDGE('',*,*,#190837,.T.); #247968=ORIENTED_EDGE('',*,*,#190836,.T.); #247969=ORIENTED_EDGE('',*,*,#190838,.F.); #247970=ORIENTED_EDGE('',*,*,#190839,.F.); #247971=ORIENTED_EDGE('',*,*,#190840,.T.); #247972=ORIENTED_EDGE('',*,*,#190839,.T.); #247973=ORIENTED_EDGE('',*,*,#190841,.F.); #247974=ORIENTED_EDGE('',*,*,#190842,.F.); #247975=ORIENTED_EDGE('',*,*,#190843,.T.); #247976=ORIENTED_EDGE('',*,*,#190842,.T.); #247977=ORIENTED_EDGE('',*,*,#190844,.F.); #247978=ORIENTED_EDGE('',*,*,#190845,.F.); #247979=ORIENTED_EDGE('',*,*,#190846,.T.); #247980=ORIENTED_EDGE('',*,*,#190845,.T.); #247981=ORIENTED_EDGE('',*,*,#190847,.F.); #247982=ORIENTED_EDGE('',*,*,#190848,.F.); #247983=ORIENTED_EDGE('',*,*,#190849,.T.); #247984=ORIENTED_EDGE('',*,*,#190848,.T.); #247985=ORIENTED_EDGE('',*,*,#190850,.F.); #247986=ORIENTED_EDGE('',*,*,#190851,.F.); #247987=ORIENTED_EDGE('',*,*,#190852,.T.); #247988=ORIENTED_EDGE('',*,*,#190851,.T.); #247989=ORIENTED_EDGE('',*,*,#190853,.F.); #247990=ORIENTED_EDGE('',*,*,#190854,.F.); #247991=ORIENTED_EDGE('',*,*,#190855,.T.); #247992=ORIENTED_EDGE('',*,*,#190854,.T.); #247993=ORIENTED_EDGE('',*,*,#190856,.F.); #247994=ORIENTED_EDGE('',*,*,#190857,.F.); #247995=ORIENTED_EDGE('',*,*,#190858,.T.); #247996=ORIENTED_EDGE('',*,*,#190857,.T.); #247997=ORIENTED_EDGE('',*,*,#190859,.F.); #247998=ORIENTED_EDGE('',*,*,#190860,.F.); #247999=ORIENTED_EDGE('',*,*,#190861,.T.); #248000=ORIENTED_EDGE('',*,*,#190860,.T.); #248001=ORIENTED_EDGE('',*,*,#190862,.F.); #248002=ORIENTED_EDGE('',*,*,#190863,.F.); #248003=ORIENTED_EDGE('',*,*,#190864,.T.); #248004=ORIENTED_EDGE('',*,*,#190863,.T.); #248005=ORIENTED_EDGE('',*,*,#190865,.F.); #248006=ORIENTED_EDGE('',*,*,#190866,.F.); #248007=ORIENTED_EDGE('',*,*,#190867,.T.); #248008=ORIENTED_EDGE('',*,*,#190866,.T.); #248009=ORIENTED_EDGE('',*,*,#190868,.F.); #248010=ORIENTED_EDGE('',*,*,#190869,.F.); #248011=ORIENTED_EDGE('',*,*,#190870,.T.); #248012=ORIENTED_EDGE('',*,*,#190869,.T.); #248013=ORIENTED_EDGE('',*,*,#190871,.F.); #248014=ORIENTED_EDGE('',*,*,#190872,.F.); #248015=ORIENTED_EDGE('',*,*,#190873,.T.); #248016=ORIENTED_EDGE('',*,*,#190872,.T.); #248017=ORIENTED_EDGE('',*,*,#190874,.F.); #248018=ORIENTED_EDGE('',*,*,#190875,.F.); #248019=ORIENTED_EDGE('',*,*,#190876,.T.); #248020=ORIENTED_EDGE('',*,*,#190875,.T.); #248021=ORIENTED_EDGE('',*,*,#190877,.F.); #248022=ORIENTED_EDGE('',*,*,#190878,.F.); #248023=ORIENTED_EDGE('',*,*,#190879,.T.); #248024=ORIENTED_EDGE('',*,*,#190878,.T.); #248025=ORIENTED_EDGE('',*,*,#190880,.F.); #248026=ORIENTED_EDGE('',*,*,#190881,.F.); #248027=ORIENTED_EDGE('',*,*,#190882,.T.); #248028=ORIENTED_EDGE('',*,*,#190881,.T.); #248029=ORIENTED_EDGE('',*,*,#190883,.F.); #248030=ORIENTED_EDGE('',*,*,#190884,.F.); #248031=ORIENTED_EDGE('',*,*,#190885,.T.); #248032=ORIENTED_EDGE('',*,*,#190884,.T.); #248033=ORIENTED_EDGE('',*,*,#190886,.F.); #248034=ORIENTED_EDGE('',*,*,#190887,.F.); #248035=ORIENTED_EDGE('',*,*,#190888,.T.); #248036=ORIENTED_EDGE('',*,*,#190887,.T.); #248037=ORIENTED_EDGE('',*,*,#190889,.F.); #248038=ORIENTED_EDGE('',*,*,#190890,.F.); #248039=ORIENTED_EDGE('',*,*,#190891,.T.); #248040=ORIENTED_EDGE('',*,*,#190890,.T.); #248041=ORIENTED_EDGE('',*,*,#190892,.F.); #248042=ORIENTED_EDGE('',*,*,#190893,.F.); #248043=ORIENTED_EDGE('',*,*,#190894,.T.); #248044=ORIENTED_EDGE('',*,*,#190893,.T.); #248045=ORIENTED_EDGE('',*,*,#190895,.F.); #248046=ORIENTED_EDGE('',*,*,#190896,.F.); #248047=ORIENTED_EDGE('',*,*,#190897,.T.); #248048=ORIENTED_EDGE('',*,*,#190896,.T.); #248049=ORIENTED_EDGE('',*,*,#190898,.F.); #248050=ORIENTED_EDGE('',*,*,#190899,.F.); #248051=ORIENTED_EDGE('',*,*,#190900,.T.); #248052=ORIENTED_EDGE('',*,*,#190899,.T.); #248053=ORIENTED_EDGE('',*,*,#190901,.F.); #248054=ORIENTED_EDGE('',*,*,#190902,.F.); #248055=ORIENTED_EDGE('',*,*,#190903,.T.); #248056=ORIENTED_EDGE('',*,*,#190902,.T.); #248057=ORIENTED_EDGE('',*,*,#190904,.F.); #248058=ORIENTED_EDGE('',*,*,#190905,.F.); #248059=ORIENTED_EDGE('',*,*,#190906,.T.); #248060=ORIENTED_EDGE('',*,*,#190905,.T.); #248061=ORIENTED_EDGE('',*,*,#190907,.F.); #248062=ORIENTED_EDGE('',*,*,#190908,.F.); #248063=ORIENTED_EDGE('',*,*,#190909,.T.); #248064=ORIENTED_EDGE('',*,*,#190908,.T.); #248065=ORIENTED_EDGE('',*,*,#190910,.F.); #248066=ORIENTED_EDGE('',*,*,#190911,.F.); #248067=ORIENTED_EDGE('',*,*,#190912,.T.); #248068=ORIENTED_EDGE('',*,*,#190911,.T.); #248069=ORIENTED_EDGE('',*,*,#190913,.F.); #248070=ORIENTED_EDGE('',*,*,#190914,.F.); #248071=ORIENTED_EDGE('',*,*,#190915,.T.); #248072=ORIENTED_EDGE('',*,*,#190914,.T.); #248073=ORIENTED_EDGE('',*,*,#190916,.F.); #248074=ORIENTED_EDGE('',*,*,#190917,.F.); #248075=ORIENTED_EDGE('',*,*,#190918,.T.); #248076=ORIENTED_EDGE('',*,*,#190917,.T.); #248077=ORIENTED_EDGE('',*,*,#190919,.F.); #248078=ORIENTED_EDGE('',*,*,#190920,.F.); #248079=ORIENTED_EDGE('',*,*,#190921,.T.); #248080=ORIENTED_EDGE('',*,*,#190920,.T.); #248081=ORIENTED_EDGE('',*,*,#190922,.F.); #248082=ORIENTED_EDGE('',*,*,#190923,.F.); #248083=ORIENTED_EDGE('',*,*,#190924,.T.); #248084=ORIENTED_EDGE('',*,*,#190923,.T.); #248085=ORIENTED_EDGE('',*,*,#190925,.F.); #248086=ORIENTED_EDGE('',*,*,#190926,.F.); #248087=ORIENTED_EDGE('',*,*,#190927,.T.); #248088=ORIENTED_EDGE('',*,*,#190926,.T.); #248089=ORIENTED_EDGE('',*,*,#190928,.F.); #248090=ORIENTED_EDGE('',*,*,#190929,.F.); #248091=ORIENTED_EDGE('',*,*,#190930,.T.); #248092=ORIENTED_EDGE('',*,*,#190929,.T.); #248093=ORIENTED_EDGE('',*,*,#190931,.F.); #248094=ORIENTED_EDGE('',*,*,#190932,.F.); #248095=ORIENTED_EDGE('',*,*,#190933,.T.); #248096=ORIENTED_EDGE('',*,*,#190932,.T.); #248097=ORIENTED_EDGE('',*,*,#190934,.F.); #248098=ORIENTED_EDGE('',*,*,#190935,.F.); #248099=ORIENTED_EDGE('',*,*,#190936,.T.); #248100=ORIENTED_EDGE('',*,*,#190935,.T.); #248101=ORIENTED_EDGE('',*,*,#190937,.F.); #248102=ORIENTED_EDGE('',*,*,#190938,.F.); #248103=ORIENTED_EDGE('',*,*,#190939,.T.); #248104=ORIENTED_EDGE('',*,*,#190938,.T.); #248105=ORIENTED_EDGE('',*,*,#190940,.F.); #248106=ORIENTED_EDGE('',*,*,#190941,.F.); #248107=ORIENTED_EDGE('',*,*,#190942,.T.); #248108=ORIENTED_EDGE('',*,*,#190941,.T.); #248109=ORIENTED_EDGE('',*,*,#190943,.F.); #248110=ORIENTED_EDGE('',*,*,#190944,.F.); #248111=ORIENTED_EDGE('',*,*,#190945,.T.); #248112=ORIENTED_EDGE('',*,*,#190944,.T.); #248113=ORIENTED_EDGE('',*,*,#190946,.F.); #248114=ORIENTED_EDGE('',*,*,#190947,.F.); #248115=ORIENTED_EDGE('',*,*,#190948,.T.); #248116=ORIENTED_EDGE('',*,*,#190947,.T.); #248117=ORIENTED_EDGE('',*,*,#190949,.F.); #248118=ORIENTED_EDGE('',*,*,#190950,.F.); #248119=ORIENTED_EDGE('',*,*,#190951,.T.); #248120=ORIENTED_EDGE('',*,*,#190950,.T.); #248121=ORIENTED_EDGE('',*,*,#190952,.F.); #248122=ORIENTED_EDGE('',*,*,#190953,.F.); #248123=ORIENTED_EDGE('',*,*,#190954,.T.); #248124=ORIENTED_EDGE('',*,*,#190953,.T.); #248125=ORIENTED_EDGE('',*,*,#190955,.F.); #248126=ORIENTED_EDGE('',*,*,#190956,.F.); #248127=ORIENTED_EDGE('',*,*,#190957,.T.); #248128=ORIENTED_EDGE('',*,*,#190956,.T.); #248129=ORIENTED_EDGE('',*,*,#190958,.F.); #248130=ORIENTED_EDGE('',*,*,#190959,.F.); #248131=ORIENTED_EDGE('',*,*,#190960,.T.); #248132=ORIENTED_EDGE('',*,*,#190959,.T.); #248133=ORIENTED_EDGE('',*,*,#190961,.F.); #248134=ORIENTED_EDGE('',*,*,#190962,.F.); #248135=ORIENTED_EDGE('',*,*,#190963,.T.); #248136=ORIENTED_EDGE('',*,*,#190962,.T.); #248137=ORIENTED_EDGE('',*,*,#190964,.F.); #248138=ORIENTED_EDGE('',*,*,#190965,.F.); #248139=ORIENTED_EDGE('',*,*,#190966,.T.); #248140=ORIENTED_EDGE('',*,*,#190965,.T.); #248141=ORIENTED_EDGE('',*,*,#190967,.F.); #248142=ORIENTED_EDGE('',*,*,#190968,.F.); #248143=ORIENTED_EDGE('',*,*,#190969,.T.); #248144=ORIENTED_EDGE('',*,*,#190968,.T.); #248145=ORIENTED_EDGE('',*,*,#190970,.F.); #248146=ORIENTED_EDGE('',*,*,#190971,.F.); #248147=ORIENTED_EDGE('',*,*,#190972,.T.); #248148=ORIENTED_EDGE('',*,*,#190971,.T.); #248149=ORIENTED_EDGE('',*,*,#190973,.F.); #248150=ORIENTED_EDGE('',*,*,#190974,.F.); #248151=ORIENTED_EDGE('',*,*,#190975,.T.); #248152=ORIENTED_EDGE('',*,*,#190974,.T.); #248153=ORIENTED_EDGE('',*,*,#190976,.F.); #248154=ORIENTED_EDGE('',*,*,#190977,.F.); #248155=ORIENTED_EDGE('',*,*,#190978,.T.); #248156=ORIENTED_EDGE('',*,*,#190977,.T.); #248157=ORIENTED_EDGE('',*,*,#190979,.F.); #248158=ORIENTED_EDGE('',*,*,#190980,.F.); #248159=ORIENTED_EDGE('',*,*,#190981,.T.); #248160=ORIENTED_EDGE('',*,*,#190980,.T.); #248161=ORIENTED_EDGE('',*,*,#190982,.F.); #248162=ORIENTED_EDGE('',*,*,#190983,.F.); #248163=ORIENTED_EDGE('',*,*,#190984,.T.); #248164=ORIENTED_EDGE('',*,*,#190983,.T.); #248165=ORIENTED_EDGE('',*,*,#190985,.F.); #248166=ORIENTED_EDGE('',*,*,#190986,.F.); #248167=ORIENTED_EDGE('',*,*,#190987,.T.); #248168=ORIENTED_EDGE('',*,*,#190986,.T.); #248169=ORIENTED_EDGE('',*,*,#190988,.F.); #248170=ORIENTED_EDGE('',*,*,#190989,.F.); #248171=ORIENTED_EDGE('',*,*,#190990,.T.); #248172=ORIENTED_EDGE('',*,*,#190989,.T.); #248173=ORIENTED_EDGE('',*,*,#190991,.F.); #248174=ORIENTED_EDGE('',*,*,#190992,.F.); #248175=ORIENTED_EDGE('',*,*,#190993,.T.); #248176=ORIENTED_EDGE('',*,*,#190992,.T.); #248177=ORIENTED_EDGE('',*,*,#190994,.F.); #248178=ORIENTED_EDGE('',*,*,#190995,.F.); #248179=ORIENTED_EDGE('',*,*,#190996,.T.); #248180=ORIENTED_EDGE('',*,*,#190995,.T.); #248181=ORIENTED_EDGE('',*,*,#190997,.F.); #248182=ORIENTED_EDGE('',*,*,#190998,.F.); #248183=ORIENTED_EDGE('',*,*,#190999,.T.); #248184=ORIENTED_EDGE('',*,*,#190998,.T.); #248185=ORIENTED_EDGE('',*,*,#191000,.F.); #248186=ORIENTED_EDGE('',*,*,#191001,.F.); #248187=ORIENTED_EDGE('',*,*,#191002,.T.); #248188=ORIENTED_EDGE('',*,*,#191001,.T.); #248189=ORIENTED_EDGE('',*,*,#191003,.F.); #248190=ORIENTED_EDGE('',*,*,#191004,.F.); #248191=ORIENTED_EDGE('',*,*,#191005,.T.); #248192=ORIENTED_EDGE('',*,*,#191004,.T.); #248193=ORIENTED_EDGE('',*,*,#191006,.F.); #248194=ORIENTED_EDGE('',*,*,#191007,.F.); #248195=ORIENTED_EDGE('',*,*,#191008,.T.); #248196=ORIENTED_EDGE('',*,*,#191007,.T.); #248197=ORIENTED_EDGE('',*,*,#191009,.F.); #248198=ORIENTED_EDGE('',*,*,#191010,.F.); #248199=ORIENTED_EDGE('',*,*,#191011,.T.); #248200=ORIENTED_EDGE('',*,*,#191010,.T.); #248201=ORIENTED_EDGE('',*,*,#191012,.F.); #248202=ORIENTED_EDGE('',*,*,#191013,.F.); #248203=ORIENTED_EDGE('',*,*,#191014,.T.); #248204=ORIENTED_EDGE('',*,*,#191013,.T.); #248205=ORIENTED_EDGE('',*,*,#191015,.F.); #248206=ORIENTED_EDGE('',*,*,#191016,.F.); #248207=ORIENTED_EDGE('',*,*,#191017,.T.); #248208=ORIENTED_EDGE('',*,*,#191016,.T.); #248209=ORIENTED_EDGE('',*,*,#191018,.F.); #248210=ORIENTED_EDGE('',*,*,#191019,.F.); #248211=ORIENTED_EDGE('',*,*,#191020,.T.); #248212=ORIENTED_EDGE('',*,*,#191019,.T.); #248213=ORIENTED_EDGE('',*,*,#191021,.F.); #248214=ORIENTED_EDGE('',*,*,#191022,.F.); #248215=ORIENTED_EDGE('',*,*,#191023,.T.); #248216=ORIENTED_EDGE('',*,*,#191022,.T.); #248217=ORIENTED_EDGE('',*,*,#191024,.F.); #248218=ORIENTED_EDGE('',*,*,#191025,.F.); #248219=ORIENTED_EDGE('',*,*,#191026,.T.); #248220=ORIENTED_EDGE('',*,*,#191025,.T.); #248221=ORIENTED_EDGE('',*,*,#191027,.F.); #248222=ORIENTED_EDGE('',*,*,#191028,.F.); #248223=ORIENTED_EDGE('',*,*,#191029,.T.); #248224=ORIENTED_EDGE('',*,*,#191028,.T.); #248225=ORIENTED_EDGE('',*,*,#191030,.F.); #248226=ORIENTED_EDGE('',*,*,#191031,.F.); #248227=ORIENTED_EDGE('',*,*,#191032,.T.); #248228=ORIENTED_EDGE('',*,*,#191031,.T.); #248229=ORIENTED_EDGE('',*,*,#191033,.F.); #248230=ORIENTED_EDGE('',*,*,#191034,.F.); #248231=ORIENTED_EDGE('',*,*,#191035,.T.); #248232=ORIENTED_EDGE('',*,*,#191034,.T.); #248233=ORIENTED_EDGE('',*,*,#191036,.F.); #248234=ORIENTED_EDGE('',*,*,#191037,.F.); #248235=ORIENTED_EDGE('',*,*,#191038,.T.); #248236=ORIENTED_EDGE('',*,*,#191037,.T.); #248237=ORIENTED_EDGE('',*,*,#191039,.F.); #248238=ORIENTED_EDGE('',*,*,#191040,.F.); #248239=ORIENTED_EDGE('',*,*,#191041,.T.); #248240=ORIENTED_EDGE('',*,*,#191040,.T.); #248241=ORIENTED_EDGE('',*,*,#191042,.F.); #248242=ORIENTED_EDGE('',*,*,#191043,.F.); #248243=ORIENTED_EDGE('',*,*,#191044,.T.); #248244=ORIENTED_EDGE('',*,*,#191043,.T.); #248245=ORIENTED_EDGE('',*,*,#191045,.F.); #248246=ORIENTED_EDGE('',*,*,#191046,.F.); #248247=ORIENTED_EDGE('',*,*,#191047,.T.); #248248=ORIENTED_EDGE('',*,*,#191046,.T.); #248249=ORIENTED_EDGE('',*,*,#191048,.F.); #248250=ORIENTED_EDGE('',*,*,#191049,.F.); #248251=ORIENTED_EDGE('',*,*,#191050,.T.); #248252=ORIENTED_EDGE('',*,*,#191049,.T.); #248253=ORIENTED_EDGE('',*,*,#191051,.F.); #248254=ORIENTED_EDGE('',*,*,#191052,.F.); #248255=ORIENTED_EDGE('',*,*,#191053,.T.); #248256=ORIENTED_EDGE('',*,*,#191052,.T.); #248257=ORIENTED_EDGE('',*,*,#191054,.F.); #248258=ORIENTED_EDGE('',*,*,#191055,.F.); #248259=ORIENTED_EDGE('',*,*,#191056,.T.); #248260=ORIENTED_EDGE('',*,*,#191055,.T.); #248261=ORIENTED_EDGE('',*,*,#191057,.F.); #248262=ORIENTED_EDGE('',*,*,#191058,.F.); #248263=ORIENTED_EDGE('',*,*,#191059,.T.); #248264=ORIENTED_EDGE('',*,*,#191058,.T.); #248265=ORIENTED_EDGE('',*,*,#191060,.F.); #248266=ORIENTED_EDGE('',*,*,#191061,.F.); #248267=ORIENTED_EDGE('',*,*,#191062,.T.); #248268=ORIENTED_EDGE('',*,*,#191061,.T.); #248269=ORIENTED_EDGE('',*,*,#191063,.F.); #248270=ORIENTED_EDGE('',*,*,#191064,.F.); #248271=ORIENTED_EDGE('',*,*,#191065,.T.); #248272=ORIENTED_EDGE('',*,*,#191064,.T.); #248273=ORIENTED_EDGE('',*,*,#191066,.F.); #248274=ORIENTED_EDGE('',*,*,#191067,.F.); #248275=ORIENTED_EDGE('',*,*,#191068,.T.); #248276=ORIENTED_EDGE('',*,*,#191067,.T.); #248277=ORIENTED_EDGE('',*,*,#191069,.F.); #248278=ORIENTED_EDGE('',*,*,#190672,.F.); #248279=ORIENTED_EDGE('',*,*,#191069,.T.); #248280=ORIENTED_EDGE('',*,*,#191066,.T.); #248281=ORIENTED_EDGE('',*,*,#191063,.T.); #248282=ORIENTED_EDGE('',*,*,#191060,.T.); #248283=ORIENTED_EDGE('',*,*,#191057,.T.); #248284=ORIENTED_EDGE('',*,*,#191054,.T.); #248285=ORIENTED_EDGE('',*,*,#191051,.T.); #248286=ORIENTED_EDGE('',*,*,#191048,.T.); #248287=ORIENTED_EDGE('',*,*,#191045,.T.); #248288=ORIENTED_EDGE('',*,*,#191042,.T.); #248289=ORIENTED_EDGE('',*,*,#191039,.T.); #248290=ORIENTED_EDGE('',*,*,#191036,.T.); #248291=ORIENTED_EDGE('',*,*,#191033,.T.); #248292=ORIENTED_EDGE('',*,*,#191030,.T.); #248293=ORIENTED_EDGE('',*,*,#191027,.T.); #248294=ORIENTED_EDGE('',*,*,#191024,.T.); #248295=ORIENTED_EDGE('',*,*,#191021,.T.); #248296=ORIENTED_EDGE('',*,*,#191018,.T.); #248297=ORIENTED_EDGE('',*,*,#191015,.T.); #248298=ORIENTED_EDGE('',*,*,#191012,.T.); #248299=ORIENTED_EDGE('',*,*,#191009,.T.); #248300=ORIENTED_EDGE('',*,*,#191006,.T.); #248301=ORIENTED_EDGE('',*,*,#191003,.T.); #248302=ORIENTED_EDGE('',*,*,#191000,.T.); #248303=ORIENTED_EDGE('',*,*,#190997,.T.); #248304=ORIENTED_EDGE('',*,*,#190994,.T.); #248305=ORIENTED_EDGE('',*,*,#190991,.T.); #248306=ORIENTED_EDGE('',*,*,#190988,.T.); #248307=ORIENTED_EDGE('',*,*,#190985,.T.); #248308=ORIENTED_EDGE('',*,*,#190982,.T.); #248309=ORIENTED_EDGE('',*,*,#190979,.T.); #248310=ORIENTED_EDGE('',*,*,#190976,.T.); #248311=ORIENTED_EDGE('',*,*,#190973,.T.); #248312=ORIENTED_EDGE('',*,*,#190970,.T.); #248313=ORIENTED_EDGE('',*,*,#190967,.T.); #248314=ORIENTED_EDGE('',*,*,#190964,.T.); #248315=ORIENTED_EDGE('',*,*,#190961,.T.); #248316=ORIENTED_EDGE('',*,*,#190958,.T.); #248317=ORIENTED_EDGE('',*,*,#190955,.T.); #248318=ORIENTED_EDGE('',*,*,#190952,.T.); #248319=ORIENTED_EDGE('',*,*,#190949,.T.); #248320=ORIENTED_EDGE('',*,*,#190946,.T.); #248321=ORIENTED_EDGE('',*,*,#190943,.T.); #248322=ORIENTED_EDGE('',*,*,#190940,.T.); #248323=ORIENTED_EDGE('',*,*,#190937,.T.); #248324=ORIENTED_EDGE('',*,*,#190934,.T.); #248325=ORIENTED_EDGE('',*,*,#190931,.T.); #248326=ORIENTED_EDGE('',*,*,#190928,.T.); #248327=ORIENTED_EDGE('',*,*,#190925,.T.); #248328=ORIENTED_EDGE('',*,*,#190922,.T.); #248329=ORIENTED_EDGE('',*,*,#190919,.T.); #248330=ORIENTED_EDGE('',*,*,#190916,.T.); #248331=ORIENTED_EDGE('',*,*,#190913,.T.); #248332=ORIENTED_EDGE('',*,*,#190910,.T.); #248333=ORIENTED_EDGE('',*,*,#190907,.T.); #248334=ORIENTED_EDGE('',*,*,#190904,.T.); #248335=ORIENTED_EDGE('',*,*,#190901,.T.); #248336=ORIENTED_EDGE('',*,*,#190898,.T.); #248337=ORIENTED_EDGE('',*,*,#190895,.T.); #248338=ORIENTED_EDGE('',*,*,#190892,.T.); #248339=ORIENTED_EDGE('',*,*,#190889,.T.); #248340=ORIENTED_EDGE('',*,*,#190886,.T.); #248341=ORIENTED_EDGE('',*,*,#190883,.T.); #248342=ORIENTED_EDGE('',*,*,#190880,.T.); #248343=ORIENTED_EDGE('',*,*,#190877,.T.); #248344=ORIENTED_EDGE('',*,*,#190874,.T.); #248345=ORIENTED_EDGE('',*,*,#190871,.T.); #248346=ORIENTED_EDGE('',*,*,#190868,.T.); #248347=ORIENTED_EDGE('',*,*,#190865,.T.); #248348=ORIENTED_EDGE('',*,*,#190862,.T.); #248349=ORIENTED_EDGE('',*,*,#190859,.T.); #248350=ORIENTED_EDGE('',*,*,#190856,.T.); #248351=ORIENTED_EDGE('',*,*,#190853,.T.); #248352=ORIENTED_EDGE('',*,*,#190850,.T.); #248353=ORIENTED_EDGE('',*,*,#190847,.T.); #248354=ORIENTED_EDGE('',*,*,#190844,.T.); #248355=ORIENTED_EDGE('',*,*,#190841,.T.); #248356=ORIENTED_EDGE('',*,*,#190838,.T.); #248357=ORIENTED_EDGE('',*,*,#190835,.T.); #248358=ORIENTED_EDGE('',*,*,#190832,.T.); #248359=ORIENTED_EDGE('',*,*,#190829,.T.); #248360=ORIENTED_EDGE('',*,*,#190826,.T.); #248361=ORIENTED_EDGE('',*,*,#190823,.T.); #248362=ORIENTED_EDGE('',*,*,#190820,.T.); #248363=ORIENTED_EDGE('',*,*,#190817,.T.); #248364=ORIENTED_EDGE('',*,*,#190814,.T.); #248365=ORIENTED_EDGE('',*,*,#190811,.T.); #248366=ORIENTED_EDGE('',*,*,#190808,.T.); #248367=ORIENTED_EDGE('',*,*,#190805,.T.); #248368=ORIENTED_EDGE('',*,*,#190802,.T.); #248369=ORIENTED_EDGE('',*,*,#190799,.T.); #248370=ORIENTED_EDGE('',*,*,#190796,.T.); #248371=ORIENTED_EDGE('',*,*,#190793,.T.); #248372=ORIENTED_EDGE('',*,*,#190790,.T.); #248373=ORIENTED_EDGE('',*,*,#190787,.T.); #248374=ORIENTED_EDGE('',*,*,#190784,.T.); #248375=ORIENTED_EDGE('',*,*,#190781,.T.); #248376=ORIENTED_EDGE('',*,*,#190778,.T.); #248377=ORIENTED_EDGE('',*,*,#190775,.T.); #248378=ORIENTED_EDGE('',*,*,#190772,.T.); #248379=ORIENTED_EDGE('',*,*,#190769,.T.); #248380=ORIENTED_EDGE('',*,*,#190766,.T.); #248381=ORIENTED_EDGE('',*,*,#190763,.T.); #248382=ORIENTED_EDGE('',*,*,#190760,.T.); #248383=ORIENTED_EDGE('',*,*,#190757,.T.); #248384=ORIENTED_EDGE('',*,*,#190754,.T.); #248385=ORIENTED_EDGE('',*,*,#190751,.T.); #248386=ORIENTED_EDGE('',*,*,#190748,.T.); #248387=ORIENTED_EDGE('',*,*,#190745,.T.); #248388=ORIENTED_EDGE('',*,*,#190742,.T.); #248389=ORIENTED_EDGE('',*,*,#190739,.T.); #248390=ORIENTED_EDGE('',*,*,#190736,.T.); #248391=ORIENTED_EDGE('',*,*,#190733,.T.); #248392=ORIENTED_EDGE('',*,*,#190730,.T.); #248393=ORIENTED_EDGE('',*,*,#190727,.T.); #248394=ORIENTED_EDGE('',*,*,#190724,.T.); #248395=ORIENTED_EDGE('',*,*,#190721,.T.); #248396=ORIENTED_EDGE('',*,*,#190718,.T.); #248397=ORIENTED_EDGE('',*,*,#190715,.T.); #248398=ORIENTED_EDGE('',*,*,#190712,.T.); #248399=ORIENTED_EDGE('',*,*,#190709,.T.); #248400=ORIENTED_EDGE('',*,*,#190706,.T.); #248401=ORIENTED_EDGE('',*,*,#190703,.T.); #248402=ORIENTED_EDGE('',*,*,#190700,.T.); #248403=ORIENTED_EDGE('',*,*,#190697,.T.); #248404=ORIENTED_EDGE('',*,*,#190694,.T.); #248405=ORIENTED_EDGE('',*,*,#190691,.T.); #248406=ORIENTED_EDGE('',*,*,#190688,.T.); #248407=ORIENTED_EDGE('',*,*,#190685,.T.); #248408=ORIENTED_EDGE('',*,*,#190682,.T.); #248409=ORIENTED_EDGE('',*,*,#190679,.T.); #248410=ORIENTED_EDGE('',*,*,#190676,.T.); #248411=ORIENTED_EDGE('',*,*,#190673,.T.); #248412=ORIENTED_EDGE('',*,*,#190640,.T.); #248413=ORIENTED_EDGE('',*,*,#190643,.T.); #248414=ORIENTED_EDGE('',*,*,#190646,.T.); #248415=ORIENTED_EDGE('',*,*,#190649,.T.); #248416=ORIENTED_EDGE('',*,*,#190652,.T.); #248417=ORIENTED_EDGE('',*,*,#190655,.T.); #248418=ORIENTED_EDGE('',*,*,#190658,.T.); #248419=ORIENTED_EDGE('',*,*,#190661,.T.); #248420=ORIENTED_EDGE('',*,*,#190664,.T.); #248421=ORIENTED_EDGE('',*,*,#190667,.T.); #248422=ORIENTED_EDGE('',*,*,#190670,.T.); #248423=ORIENTED_EDGE('',*,*,#191068,.F.); #248424=ORIENTED_EDGE('',*,*,#190671,.F.); #248425=ORIENTED_EDGE('',*,*,#190675,.F.); #248426=ORIENTED_EDGE('',*,*,#190678,.F.); #248427=ORIENTED_EDGE('',*,*,#190681,.F.); #248428=ORIENTED_EDGE('',*,*,#190684,.F.); #248429=ORIENTED_EDGE('',*,*,#190687,.F.); #248430=ORIENTED_EDGE('',*,*,#190690,.F.); #248431=ORIENTED_EDGE('',*,*,#190693,.F.); #248432=ORIENTED_EDGE('',*,*,#190696,.F.); #248433=ORIENTED_EDGE('',*,*,#190699,.F.); #248434=ORIENTED_EDGE('',*,*,#190702,.F.); #248435=ORIENTED_EDGE('',*,*,#190705,.F.); #248436=ORIENTED_EDGE('',*,*,#190708,.F.); #248437=ORIENTED_EDGE('',*,*,#190711,.F.); #248438=ORIENTED_EDGE('',*,*,#190714,.F.); #248439=ORIENTED_EDGE('',*,*,#190717,.F.); #248440=ORIENTED_EDGE('',*,*,#190720,.F.); #248441=ORIENTED_EDGE('',*,*,#190723,.F.); #248442=ORIENTED_EDGE('',*,*,#190726,.F.); #248443=ORIENTED_EDGE('',*,*,#190729,.F.); #248444=ORIENTED_EDGE('',*,*,#190732,.F.); #248445=ORIENTED_EDGE('',*,*,#190735,.F.); #248446=ORIENTED_EDGE('',*,*,#190738,.F.); #248447=ORIENTED_EDGE('',*,*,#190741,.F.); #248448=ORIENTED_EDGE('',*,*,#190744,.F.); #248449=ORIENTED_EDGE('',*,*,#190747,.F.); #248450=ORIENTED_EDGE('',*,*,#190750,.F.); #248451=ORIENTED_EDGE('',*,*,#190753,.F.); #248452=ORIENTED_EDGE('',*,*,#190756,.F.); #248453=ORIENTED_EDGE('',*,*,#190759,.F.); #248454=ORIENTED_EDGE('',*,*,#190762,.F.); #248455=ORIENTED_EDGE('',*,*,#190765,.F.); #248456=ORIENTED_EDGE('',*,*,#190768,.F.); #248457=ORIENTED_EDGE('',*,*,#190771,.F.); #248458=ORIENTED_EDGE('',*,*,#190774,.F.); #248459=ORIENTED_EDGE('',*,*,#190777,.F.); #248460=ORIENTED_EDGE('',*,*,#190780,.F.); #248461=ORIENTED_EDGE('',*,*,#190783,.F.); #248462=ORIENTED_EDGE('',*,*,#190786,.F.); #248463=ORIENTED_EDGE('',*,*,#190789,.F.); #248464=ORIENTED_EDGE('',*,*,#190792,.F.); #248465=ORIENTED_EDGE('',*,*,#190795,.F.); #248466=ORIENTED_EDGE('',*,*,#190798,.F.); #248467=ORIENTED_EDGE('',*,*,#190801,.F.); #248468=ORIENTED_EDGE('',*,*,#190804,.F.); #248469=ORIENTED_EDGE('',*,*,#190807,.F.); #248470=ORIENTED_EDGE('',*,*,#190810,.F.); #248471=ORIENTED_EDGE('',*,*,#190813,.F.); #248472=ORIENTED_EDGE('',*,*,#190816,.F.); #248473=ORIENTED_EDGE('',*,*,#190819,.F.); #248474=ORIENTED_EDGE('',*,*,#190822,.F.); #248475=ORIENTED_EDGE('',*,*,#190825,.F.); #248476=ORIENTED_EDGE('',*,*,#190828,.F.); #248477=ORIENTED_EDGE('',*,*,#190831,.F.); #248478=ORIENTED_EDGE('',*,*,#190834,.F.); #248479=ORIENTED_EDGE('',*,*,#190837,.F.); #248480=ORIENTED_EDGE('',*,*,#190840,.F.); #248481=ORIENTED_EDGE('',*,*,#190843,.F.); #248482=ORIENTED_EDGE('',*,*,#190846,.F.); #248483=ORIENTED_EDGE('',*,*,#190849,.F.); #248484=ORIENTED_EDGE('',*,*,#190852,.F.); #248485=ORIENTED_EDGE('',*,*,#190855,.F.); #248486=ORIENTED_EDGE('',*,*,#190858,.F.); #248487=ORIENTED_EDGE('',*,*,#190861,.F.); #248488=ORIENTED_EDGE('',*,*,#190864,.F.); #248489=ORIENTED_EDGE('',*,*,#190867,.F.); #248490=ORIENTED_EDGE('',*,*,#190870,.F.); #248491=ORIENTED_EDGE('',*,*,#190873,.F.); #248492=ORIENTED_EDGE('',*,*,#190876,.F.); #248493=ORIENTED_EDGE('',*,*,#190879,.F.); #248494=ORIENTED_EDGE('',*,*,#190882,.F.); #248495=ORIENTED_EDGE('',*,*,#190885,.F.); #248496=ORIENTED_EDGE('',*,*,#190888,.F.); #248497=ORIENTED_EDGE('',*,*,#190891,.F.); #248498=ORIENTED_EDGE('',*,*,#190894,.F.); #248499=ORIENTED_EDGE('',*,*,#190897,.F.); #248500=ORIENTED_EDGE('',*,*,#190900,.F.); #248501=ORIENTED_EDGE('',*,*,#190903,.F.); #248502=ORIENTED_EDGE('',*,*,#190906,.F.); #248503=ORIENTED_EDGE('',*,*,#190909,.F.); #248504=ORIENTED_EDGE('',*,*,#190912,.F.); #248505=ORIENTED_EDGE('',*,*,#190915,.F.); #248506=ORIENTED_EDGE('',*,*,#190918,.F.); #248507=ORIENTED_EDGE('',*,*,#190921,.F.); #248508=ORIENTED_EDGE('',*,*,#190924,.F.); #248509=ORIENTED_EDGE('',*,*,#190927,.F.); #248510=ORIENTED_EDGE('',*,*,#190930,.F.); #248511=ORIENTED_EDGE('',*,*,#190933,.F.); #248512=ORIENTED_EDGE('',*,*,#190936,.F.); #248513=ORIENTED_EDGE('',*,*,#190939,.F.); #248514=ORIENTED_EDGE('',*,*,#190942,.F.); #248515=ORIENTED_EDGE('',*,*,#190945,.F.); #248516=ORIENTED_EDGE('',*,*,#190948,.F.); #248517=ORIENTED_EDGE('',*,*,#190951,.F.); #248518=ORIENTED_EDGE('',*,*,#190954,.F.); #248519=ORIENTED_EDGE('',*,*,#190957,.F.); #248520=ORIENTED_EDGE('',*,*,#190960,.F.); #248521=ORIENTED_EDGE('',*,*,#190963,.F.); #248522=ORIENTED_EDGE('',*,*,#190966,.F.); #248523=ORIENTED_EDGE('',*,*,#190969,.F.); #248524=ORIENTED_EDGE('',*,*,#190972,.F.); #248525=ORIENTED_EDGE('',*,*,#190975,.F.); #248526=ORIENTED_EDGE('',*,*,#190978,.F.); #248527=ORIENTED_EDGE('',*,*,#190981,.F.); #248528=ORIENTED_EDGE('',*,*,#190984,.F.); #248529=ORIENTED_EDGE('',*,*,#190987,.F.); #248530=ORIENTED_EDGE('',*,*,#190990,.F.); #248531=ORIENTED_EDGE('',*,*,#190993,.F.); #248532=ORIENTED_EDGE('',*,*,#190996,.F.); #248533=ORIENTED_EDGE('',*,*,#190999,.F.); #248534=ORIENTED_EDGE('',*,*,#191002,.F.); #248535=ORIENTED_EDGE('',*,*,#191005,.F.); #248536=ORIENTED_EDGE('',*,*,#191008,.F.); #248537=ORIENTED_EDGE('',*,*,#191011,.F.); #248538=ORIENTED_EDGE('',*,*,#191014,.F.); #248539=ORIENTED_EDGE('',*,*,#191017,.F.); #248540=ORIENTED_EDGE('',*,*,#191020,.F.); #248541=ORIENTED_EDGE('',*,*,#191023,.F.); #248542=ORIENTED_EDGE('',*,*,#191026,.F.); #248543=ORIENTED_EDGE('',*,*,#191029,.F.); #248544=ORIENTED_EDGE('',*,*,#191032,.F.); #248545=ORIENTED_EDGE('',*,*,#191035,.F.); #248546=ORIENTED_EDGE('',*,*,#191038,.F.); #248547=ORIENTED_EDGE('',*,*,#191041,.F.); #248548=ORIENTED_EDGE('',*,*,#191044,.F.); #248549=ORIENTED_EDGE('',*,*,#191047,.F.); #248550=ORIENTED_EDGE('',*,*,#191050,.F.); #248551=ORIENTED_EDGE('',*,*,#191053,.F.); #248552=ORIENTED_EDGE('',*,*,#191056,.F.); #248553=ORIENTED_EDGE('',*,*,#191059,.F.); #248554=ORIENTED_EDGE('',*,*,#191062,.F.); #248555=ORIENTED_EDGE('',*,*,#191065,.F.); #248556=ORIENTED_EDGE('',*,*,#190638,.T.); #248557=ORIENTED_EDGE('',*,*,#190641,.T.); #248558=ORIENTED_EDGE('',*,*,#190644,.T.); #248559=ORIENTED_EDGE('',*,*,#190647,.T.); #248560=ORIENTED_EDGE('',*,*,#190650,.T.); #248561=ORIENTED_EDGE('',*,*,#190653,.T.); #248562=ORIENTED_EDGE('',*,*,#190656,.T.); #248563=ORIENTED_EDGE('',*,*,#190659,.T.); #248564=ORIENTED_EDGE('',*,*,#190662,.T.); #248565=ORIENTED_EDGE('',*,*,#190665,.T.); #248566=ORIENTED_EDGE('',*,*,#190668,.T.); #248567=ORIENTED_EDGE('',*,*,#191070,.F.); #248568=ORIENTED_EDGE('',*,*,#191071,.T.); #248569=ORIENTED_EDGE('',*,*,#191072,.F.); #248570=ORIENTED_EDGE('',*,*,#191071,.F.); #248571=ORIENTED_EDGE('',*,*,#191073,.T.); #248572=ORIENTED_EDGE('',*,*,#191074,.T.); #248573=ORIENTED_EDGE('',*,*,#191075,.F.); #248574=ORIENTED_EDGE('',*,*,#191076,.F.); #248575=ORIENTED_EDGE('',*,*,#191077,.T.); #248576=ORIENTED_EDGE('',*,*,#191076,.T.); #248577=ORIENTED_EDGE('',*,*,#191078,.F.); #248578=ORIENTED_EDGE('',*,*,#191079,.F.); #248579=ORIENTED_EDGE('',*,*,#191080,.T.); #248580=ORIENTED_EDGE('',*,*,#191079,.T.); #248581=ORIENTED_EDGE('',*,*,#191081,.F.); #248582=ORIENTED_EDGE('',*,*,#191074,.F.); #248583=ORIENTED_EDGE('',*,*,#191081,.T.); #248584=ORIENTED_EDGE('',*,*,#191078,.T.); #248585=ORIENTED_EDGE('',*,*,#191075,.T.); #248586=ORIENTED_EDGE('',*,*,#191072,.T.); #248587=ORIENTED_EDGE('',*,*,#191080,.F.); #248588=ORIENTED_EDGE('',*,*,#191073,.F.); #248589=ORIENTED_EDGE('',*,*,#191077,.F.); #248590=ORIENTED_EDGE('',*,*,#191070,.T.); #248591=ORIENTED_EDGE('',*,*,#191082,.T.); #248592=ORIENTED_EDGE('',*,*,#191083,.T.); #248593=ORIENTED_EDGE('',*,*,#191084,.F.); #248594=ORIENTED_EDGE('',*,*,#191085,.F.); #248595=ORIENTED_EDGE('',*,*,#191086,.T.); #248596=ORIENTED_EDGE('',*,*,#191085,.T.); #248597=ORIENTED_EDGE('',*,*,#191087,.F.); #248598=ORIENTED_EDGE('',*,*,#191088,.F.); #248599=ORIENTED_EDGE('',*,*,#191089,.T.); #248600=ORIENTED_EDGE('',*,*,#191088,.T.); #248601=ORIENTED_EDGE('',*,*,#191090,.F.); #248602=ORIENTED_EDGE('',*,*,#191091,.F.); #248603=ORIENTED_EDGE('',*,*,#191092,.T.); #248604=ORIENTED_EDGE('',*,*,#191091,.T.); #248605=ORIENTED_EDGE('',*,*,#191093,.F.); #248606=ORIENTED_EDGE('',*,*,#191094,.F.); #248607=ORIENTED_EDGE('',*,*,#191095,.T.); #248608=ORIENTED_EDGE('',*,*,#191094,.T.); #248609=ORIENTED_EDGE('',*,*,#191096,.F.); #248610=ORIENTED_EDGE('',*,*,#191097,.F.); #248611=ORIENTED_EDGE('',*,*,#191098,.T.); #248612=ORIENTED_EDGE('',*,*,#191097,.T.); #248613=ORIENTED_EDGE('',*,*,#191099,.F.); #248614=ORIENTED_EDGE('',*,*,#191100,.F.); #248615=ORIENTED_EDGE('',*,*,#191101,.T.); #248616=ORIENTED_EDGE('',*,*,#191100,.T.); #248617=ORIENTED_EDGE('',*,*,#191102,.F.); #248618=ORIENTED_EDGE('',*,*,#191103,.F.); #248619=ORIENTED_EDGE('',*,*,#191104,.T.); #248620=ORIENTED_EDGE('',*,*,#191103,.T.); #248621=ORIENTED_EDGE('',*,*,#191105,.F.); #248622=ORIENTED_EDGE('',*,*,#191106,.F.); #248623=ORIENTED_EDGE('',*,*,#191107,.T.); #248624=ORIENTED_EDGE('',*,*,#191106,.T.); #248625=ORIENTED_EDGE('',*,*,#191108,.F.); #248626=ORIENTED_EDGE('',*,*,#191109,.F.); #248627=ORIENTED_EDGE('',*,*,#191110,.T.); #248628=ORIENTED_EDGE('',*,*,#191109,.T.); #248629=ORIENTED_EDGE('',*,*,#191111,.F.); #248630=ORIENTED_EDGE('',*,*,#191112,.F.); #248631=ORIENTED_EDGE('',*,*,#191113,.T.); #248632=ORIENTED_EDGE('',*,*,#191112,.T.); #248633=ORIENTED_EDGE('',*,*,#191114,.F.); #248634=ORIENTED_EDGE('',*,*,#191115,.F.); #248635=ORIENTED_EDGE('',*,*,#191116,.T.); #248636=ORIENTED_EDGE('',*,*,#191115,.T.); #248637=ORIENTED_EDGE('',*,*,#191117,.F.); #248638=ORIENTED_EDGE('',*,*,#191118,.F.); #248639=ORIENTED_EDGE('',*,*,#191119,.T.); #248640=ORIENTED_EDGE('',*,*,#191118,.T.); #248641=ORIENTED_EDGE('',*,*,#191120,.F.); #248642=ORIENTED_EDGE('',*,*,#191121,.F.); #248643=ORIENTED_EDGE('',*,*,#191122,.T.); #248644=ORIENTED_EDGE('',*,*,#191121,.T.); #248645=ORIENTED_EDGE('',*,*,#191123,.F.); #248646=ORIENTED_EDGE('',*,*,#191124,.F.); #248647=ORIENTED_EDGE('',*,*,#191125,.T.); #248648=ORIENTED_EDGE('',*,*,#191124,.T.); #248649=ORIENTED_EDGE('',*,*,#191126,.F.); #248650=ORIENTED_EDGE('',*,*,#191127,.F.); #248651=ORIENTED_EDGE('',*,*,#191128,.T.); #248652=ORIENTED_EDGE('',*,*,#191127,.T.); #248653=ORIENTED_EDGE('',*,*,#191129,.F.); #248654=ORIENTED_EDGE('',*,*,#191130,.F.); #248655=ORIENTED_EDGE('',*,*,#191131,.T.); #248656=ORIENTED_EDGE('',*,*,#191130,.T.); #248657=ORIENTED_EDGE('',*,*,#191132,.F.); #248658=ORIENTED_EDGE('',*,*,#191133,.F.); #248659=ORIENTED_EDGE('',*,*,#191134,.T.); #248660=ORIENTED_EDGE('',*,*,#191133,.T.); #248661=ORIENTED_EDGE('',*,*,#191135,.F.); #248662=ORIENTED_EDGE('',*,*,#191136,.F.); #248663=ORIENTED_EDGE('',*,*,#191137,.T.); #248664=ORIENTED_EDGE('',*,*,#191136,.T.); #248665=ORIENTED_EDGE('',*,*,#191138,.F.); #248666=ORIENTED_EDGE('',*,*,#191139,.F.); #248667=ORIENTED_EDGE('',*,*,#191140,.T.); #248668=ORIENTED_EDGE('',*,*,#191139,.T.); #248669=ORIENTED_EDGE('',*,*,#191141,.F.); #248670=ORIENTED_EDGE('',*,*,#191083,.F.); #248671=ORIENTED_EDGE('',*,*,#191141,.T.); #248672=ORIENTED_EDGE('',*,*,#191138,.T.); #248673=ORIENTED_EDGE('',*,*,#191135,.T.); #248674=ORIENTED_EDGE('',*,*,#191132,.T.); #248675=ORIENTED_EDGE('',*,*,#191129,.T.); #248676=ORIENTED_EDGE('',*,*,#191126,.T.); #248677=ORIENTED_EDGE('',*,*,#191123,.T.); #248678=ORIENTED_EDGE('',*,*,#191120,.T.); #248679=ORIENTED_EDGE('',*,*,#191117,.T.); #248680=ORIENTED_EDGE('',*,*,#191114,.T.); #248681=ORIENTED_EDGE('',*,*,#191111,.T.); #248682=ORIENTED_EDGE('',*,*,#191108,.T.); #248683=ORIENTED_EDGE('',*,*,#191105,.T.); #248684=ORIENTED_EDGE('',*,*,#191102,.T.); #248685=ORIENTED_EDGE('',*,*,#191099,.T.); #248686=ORIENTED_EDGE('',*,*,#191096,.T.); #248687=ORIENTED_EDGE('',*,*,#191093,.T.); #248688=ORIENTED_EDGE('',*,*,#191090,.T.); #248689=ORIENTED_EDGE('',*,*,#191087,.T.); #248690=ORIENTED_EDGE('',*,*,#191084,.T.); #248691=ORIENTED_EDGE('',*,*,#191140,.F.); #248692=ORIENTED_EDGE('',*,*,#191082,.F.); #248693=ORIENTED_EDGE('',*,*,#191086,.F.); #248694=ORIENTED_EDGE('',*,*,#191089,.F.); #248695=ORIENTED_EDGE('',*,*,#191092,.F.); #248696=ORIENTED_EDGE('',*,*,#191095,.F.); #248697=ORIENTED_EDGE('',*,*,#191098,.F.); #248698=ORIENTED_EDGE('',*,*,#191101,.F.); #248699=ORIENTED_EDGE('',*,*,#191104,.F.); #248700=ORIENTED_EDGE('',*,*,#191107,.F.); #248701=ORIENTED_EDGE('',*,*,#191110,.F.); #248702=ORIENTED_EDGE('',*,*,#191113,.F.); #248703=ORIENTED_EDGE('',*,*,#191116,.F.); #248704=ORIENTED_EDGE('',*,*,#191119,.F.); #248705=ORIENTED_EDGE('',*,*,#191122,.F.); #248706=ORIENTED_EDGE('',*,*,#191125,.F.); #248707=ORIENTED_EDGE('',*,*,#191128,.F.); #248708=ORIENTED_EDGE('',*,*,#191131,.F.); #248709=ORIENTED_EDGE('',*,*,#191134,.F.); #248710=ORIENTED_EDGE('',*,*,#191137,.F.); #248711=ORIENTED_EDGE('',*,*,#191142,.T.); #248712=ORIENTED_EDGE('',*,*,#191143,.T.); #248713=ORIENTED_EDGE('',*,*,#191144,.F.); #248714=ORIENTED_EDGE('',*,*,#191145,.F.); #248715=ORIENTED_EDGE('',*,*,#191146,.T.); #248716=ORIENTED_EDGE('',*,*,#191145,.T.); #248717=ORIENTED_EDGE('',*,*,#191147,.F.); #248718=ORIENTED_EDGE('',*,*,#191148,.F.); #248719=ORIENTED_EDGE('',*,*,#191149,.T.); #248720=ORIENTED_EDGE('',*,*,#191148,.T.); #248721=ORIENTED_EDGE('',*,*,#191150,.F.); #248722=ORIENTED_EDGE('',*,*,#191151,.F.); #248723=ORIENTED_EDGE('',*,*,#191152,.T.); #248724=ORIENTED_EDGE('',*,*,#191151,.T.); #248725=ORIENTED_EDGE('',*,*,#191153,.F.); #248726=ORIENTED_EDGE('',*,*,#191154,.F.); #248727=ORIENTED_EDGE('',*,*,#191155,.T.); #248728=ORIENTED_EDGE('',*,*,#191154,.T.); #248729=ORIENTED_EDGE('',*,*,#191156,.F.); #248730=ORIENTED_EDGE('',*,*,#191157,.F.); #248731=ORIENTED_EDGE('',*,*,#191158,.T.); #248732=ORIENTED_EDGE('',*,*,#191157,.T.); #248733=ORIENTED_EDGE('',*,*,#191159,.F.); #248734=ORIENTED_EDGE('',*,*,#191160,.F.); #248735=ORIENTED_EDGE('',*,*,#191161,.T.); #248736=ORIENTED_EDGE('',*,*,#191160,.T.); #248737=ORIENTED_EDGE('',*,*,#191162,.F.); #248738=ORIENTED_EDGE('',*,*,#191163,.F.); #248739=ORIENTED_EDGE('',*,*,#191164,.T.); #248740=ORIENTED_EDGE('',*,*,#191163,.T.); #248741=ORIENTED_EDGE('',*,*,#191165,.F.); #248742=ORIENTED_EDGE('',*,*,#191166,.F.); #248743=ORIENTED_EDGE('',*,*,#191167,.T.); #248744=ORIENTED_EDGE('',*,*,#191166,.T.); #248745=ORIENTED_EDGE('',*,*,#191168,.F.); #248746=ORIENTED_EDGE('',*,*,#191169,.F.); #248747=ORIENTED_EDGE('',*,*,#191170,.T.); #248748=ORIENTED_EDGE('',*,*,#191169,.T.); #248749=ORIENTED_EDGE('',*,*,#191171,.F.); #248750=ORIENTED_EDGE('',*,*,#191172,.F.); #248751=ORIENTED_EDGE('',*,*,#191173,.T.); #248752=ORIENTED_EDGE('',*,*,#191172,.T.); #248753=ORIENTED_EDGE('',*,*,#191174,.F.); #248754=ORIENTED_EDGE('',*,*,#191175,.F.); #248755=ORIENTED_EDGE('',*,*,#191176,.T.); #248756=ORIENTED_EDGE('',*,*,#191175,.T.); #248757=ORIENTED_EDGE('',*,*,#191177,.F.); #248758=ORIENTED_EDGE('',*,*,#191178,.F.); #248759=ORIENTED_EDGE('',*,*,#191179,.T.); #248760=ORIENTED_EDGE('',*,*,#191178,.T.); #248761=ORIENTED_EDGE('',*,*,#191180,.F.); #248762=ORIENTED_EDGE('',*,*,#191181,.F.); #248763=ORIENTED_EDGE('',*,*,#191182,.T.); #248764=ORIENTED_EDGE('',*,*,#191181,.T.); #248765=ORIENTED_EDGE('',*,*,#191183,.F.); #248766=ORIENTED_EDGE('',*,*,#191184,.F.); #248767=ORIENTED_EDGE('',*,*,#191185,.T.); #248768=ORIENTED_EDGE('',*,*,#191184,.T.); #248769=ORIENTED_EDGE('',*,*,#191186,.F.); #248770=ORIENTED_EDGE('',*,*,#191187,.F.); #248771=ORIENTED_EDGE('',*,*,#191188,.T.); #248772=ORIENTED_EDGE('',*,*,#191187,.T.); #248773=ORIENTED_EDGE('',*,*,#191189,.F.); #248774=ORIENTED_EDGE('',*,*,#191190,.F.); #248775=ORIENTED_EDGE('',*,*,#191191,.T.); #248776=ORIENTED_EDGE('',*,*,#191190,.T.); #248777=ORIENTED_EDGE('',*,*,#191192,.F.); #248778=ORIENTED_EDGE('',*,*,#191193,.F.); #248779=ORIENTED_EDGE('',*,*,#191194,.T.); #248780=ORIENTED_EDGE('',*,*,#191193,.T.); #248781=ORIENTED_EDGE('',*,*,#191195,.F.); #248782=ORIENTED_EDGE('',*,*,#191196,.F.); #248783=ORIENTED_EDGE('',*,*,#191197,.T.); #248784=ORIENTED_EDGE('',*,*,#191196,.T.); #248785=ORIENTED_EDGE('',*,*,#191198,.F.); #248786=ORIENTED_EDGE('',*,*,#191199,.F.); #248787=ORIENTED_EDGE('',*,*,#191200,.T.); #248788=ORIENTED_EDGE('',*,*,#191199,.T.); #248789=ORIENTED_EDGE('',*,*,#191201,.F.); #248790=ORIENTED_EDGE('',*,*,#191202,.F.); #248791=ORIENTED_EDGE('',*,*,#191203,.T.); #248792=ORIENTED_EDGE('',*,*,#191202,.T.); #248793=ORIENTED_EDGE('',*,*,#191204,.F.); #248794=ORIENTED_EDGE('',*,*,#191143,.F.); #248795=ORIENTED_EDGE('',*,*,#191204,.T.); #248796=ORIENTED_EDGE('',*,*,#191201,.T.); #248797=ORIENTED_EDGE('',*,*,#191198,.T.); #248798=ORIENTED_EDGE('',*,*,#191195,.T.); #248799=ORIENTED_EDGE('',*,*,#191192,.T.); #248800=ORIENTED_EDGE('',*,*,#191189,.T.); #248801=ORIENTED_EDGE('',*,*,#191186,.T.); #248802=ORIENTED_EDGE('',*,*,#191183,.T.); #248803=ORIENTED_EDGE('',*,*,#191180,.T.); #248804=ORIENTED_EDGE('',*,*,#191177,.T.); #248805=ORIENTED_EDGE('',*,*,#191174,.T.); #248806=ORIENTED_EDGE('',*,*,#191171,.T.); #248807=ORIENTED_EDGE('',*,*,#191168,.T.); #248808=ORIENTED_EDGE('',*,*,#191165,.T.); #248809=ORIENTED_EDGE('',*,*,#191162,.T.); #248810=ORIENTED_EDGE('',*,*,#191159,.T.); #248811=ORIENTED_EDGE('',*,*,#191156,.T.); #248812=ORIENTED_EDGE('',*,*,#191153,.T.); #248813=ORIENTED_EDGE('',*,*,#191150,.T.); #248814=ORIENTED_EDGE('',*,*,#191147,.T.); #248815=ORIENTED_EDGE('',*,*,#191144,.T.); #248816=ORIENTED_EDGE('',*,*,#191203,.F.); #248817=ORIENTED_EDGE('',*,*,#191142,.F.); #248818=ORIENTED_EDGE('',*,*,#191146,.F.); #248819=ORIENTED_EDGE('',*,*,#191149,.F.); #248820=ORIENTED_EDGE('',*,*,#191152,.F.); #248821=ORIENTED_EDGE('',*,*,#191155,.F.); #248822=ORIENTED_EDGE('',*,*,#191158,.F.); #248823=ORIENTED_EDGE('',*,*,#191161,.F.); #248824=ORIENTED_EDGE('',*,*,#191164,.F.); #248825=ORIENTED_EDGE('',*,*,#191167,.F.); #248826=ORIENTED_EDGE('',*,*,#191170,.F.); #248827=ORIENTED_EDGE('',*,*,#191173,.F.); #248828=ORIENTED_EDGE('',*,*,#191176,.F.); #248829=ORIENTED_EDGE('',*,*,#191179,.F.); #248830=ORIENTED_EDGE('',*,*,#191182,.F.); #248831=ORIENTED_EDGE('',*,*,#191185,.F.); #248832=ORIENTED_EDGE('',*,*,#191188,.F.); #248833=ORIENTED_EDGE('',*,*,#191191,.F.); #248834=ORIENTED_EDGE('',*,*,#191194,.F.); #248835=ORIENTED_EDGE('',*,*,#191197,.F.); #248836=ORIENTED_EDGE('',*,*,#191200,.F.); #248837=ORIENTED_EDGE('',*,*,#191205,.T.); #248838=ORIENTED_EDGE('',*,*,#191206,.T.); #248839=ORIENTED_EDGE('',*,*,#191207,.F.); #248840=ORIENTED_EDGE('',*,*,#191208,.F.); #248841=ORIENTED_EDGE('',*,*,#191209,.T.); #248842=ORIENTED_EDGE('',*,*,#191208,.T.); #248843=ORIENTED_EDGE('',*,*,#191210,.F.); #248844=ORIENTED_EDGE('',*,*,#191211,.F.); #248845=ORIENTED_EDGE('',*,*,#191212,.T.); #248846=ORIENTED_EDGE('',*,*,#191211,.T.); #248847=ORIENTED_EDGE('',*,*,#191213,.F.); #248848=ORIENTED_EDGE('',*,*,#191214,.F.); #248849=ORIENTED_EDGE('',*,*,#191215,.T.); #248850=ORIENTED_EDGE('',*,*,#191214,.T.); #248851=ORIENTED_EDGE('',*,*,#191216,.F.); #248852=ORIENTED_EDGE('',*,*,#191217,.F.); #248853=ORIENTED_EDGE('',*,*,#191218,.T.); #248854=ORIENTED_EDGE('',*,*,#191217,.T.); #248855=ORIENTED_EDGE('',*,*,#191219,.F.); #248856=ORIENTED_EDGE('',*,*,#191220,.F.); #248857=ORIENTED_EDGE('',*,*,#191221,.T.); #248858=ORIENTED_EDGE('',*,*,#191220,.T.); #248859=ORIENTED_EDGE('',*,*,#191222,.F.); #248860=ORIENTED_EDGE('',*,*,#191223,.F.); #248861=ORIENTED_EDGE('',*,*,#191224,.T.); #248862=ORIENTED_EDGE('',*,*,#191223,.T.); #248863=ORIENTED_EDGE('',*,*,#191225,.F.); #248864=ORIENTED_EDGE('',*,*,#191226,.F.); #248865=ORIENTED_EDGE('',*,*,#191227,.T.); #248866=ORIENTED_EDGE('',*,*,#191226,.T.); #248867=ORIENTED_EDGE('',*,*,#191228,.F.); #248868=ORIENTED_EDGE('',*,*,#191229,.F.); #248869=ORIENTED_EDGE('',*,*,#191230,.T.); #248870=ORIENTED_EDGE('',*,*,#191229,.T.); #248871=ORIENTED_EDGE('',*,*,#191231,.F.); #248872=ORIENTED_EDGE('',*,*,#191232,.F.); #248873=ORIENTED_EDGE('',*,*,#191233,.T.); #248874=ORIENTED_EDGE('',*,*,#191232,.T.); #248875=ORIENTED_EDGE('',*,*,#191234,.F.); #248876=ORIENTED_EDGE('',*,*,#191235,.F.); #248877=ORIENTED_EDGE('',*,*,#191236,.T.); #248878=ORIENTED_EDGE('',*,*,#191235,.T.); #248879=ORIENTED_EDGE('',*,*,#191237,.F.); #248880=ORIENTED_EDGE('',*,*,#191238,.F.); #248881=ORIENTED_EDGE('',*,*,#191239,.T.); #248882=ORIENTED_EDGE('',*,*,#191238,.T.); #248883=ORIENTED_EDGE('',*,*,#191240,.F.); #248884=ORIENTED_EDGE('',*,*,#191241,.F.); #248885=ORIENTED_EDGE('',*,*,#191242,.T.); #248886=ORIENTED_EDGE('',*,*,#191241,.T.); #248887=ORIENTED_EDGE('',*,*,#191243,.F.); #248888=ORIENTED_EDGE('',*,*,#191244,.F.); #248889=ORIENTED_EDGE('',*,*,#191245,.T.); #248890=ORIENTED_EDGE('',*,*,#191244,.T.); #248891=ORIENTED_EDGE('',*,*,#191246,.F.); #248892=ORIENTED_EDGE('',*,*,#191247,.F.); #248893=ORIENTED_EDGE('',*,*,#191248,.T.); #248894=ORIENTED_EDGE('',*,*,#191247,.T.); #248895=ORIENTED_EDGE('',*,*,#191249,.F.); #248896=ORIENTED_EDGE('',*,*,#191250,.F.); #248897=ORIENTED_EDGE('',*,*,#191251,.T.); #248898=ORIENTED_EDGE('',*,*,#191250,.T.); #248899=ORIENTED_EDGE('',*,*,#191252,.F.); #248900=ORIENTED_EDGE('',*,*,#191253,.F.); #248901=ORIENTED_EDGE('',*,*,#191254,.T.); #248902=ORIENTED_EDGE('',*,*,#191253,.T.); #248903=ORIENTED_EDGE('',*,*,#191255,.F.); #248904=ORIENTED_EDGE('',*,*,#191256,.F.); #248905=ORIENTED_EDGE('',*,*,#191257,.T.); #248906=ORIENTED_EDGE('',*,*,#191256,.T.); #248907=ORIENTED_EDGE('',*,*,#191258,.F.); #248908=ORIENTED_EDGE('',*,*,#191259,.F.); #248909=ORIENTED_EDGE('',*,*,#191260,.T.); #248910=ORIENTED_EDGE('',*,*,#191259,.T.); #248911=ORIENTED_EDGE('',*,*,#191261,.F.); #248912=ORIENTED_EDGE('',*,*,#191262,.F.); #248913=ORIENTED_EDGE('',*,*,#191263,.T.); #248914=ORIENTED_EDGE('',*,*,#191262,.T.); #248915=ORIENTED_EDGE('',*,*,#191264,.F.); #248916=ORIENTED_EDGE('',*,*,#191206,.F.); #248917=ORIENTED_EDGE('',*,*,#191264,.T.); #248918=ORIENTED_EDGE('',*,*,#191261,.T.); #248919=ORIENTED_EDGE('',*,*,#191258,.T.); #248920=ORIENTED_EDGE('',*,*,#191255,.T.); #248921=ORIENTED_EDGE('',*,*,#191252,.T.); #248922=ORIENTED_EDGE('',*,*,#191249,.T.); #248923=ORIENTED_EDGE('',*,*,#191246,.T.); #248924=ORIENTED_EDGE('',*,*,#191243,.T.); #248925=ORIENTED_EDGE('',*,*,#191240,.T.); #248926=ORIENTED_EDGE('',*,*,#191237,.T.); #248927=ORIENTED_EDGE('',*,*,#191234,.T.); #248928=ORIENTED_EDGE('',*,*,#191231,.T.); #248929=ORIENTED_EDGE('',*,*,#191228,.T.); #248930=ORIENTED_EDGE('',*,*,#191225,.T.); #248931=ORIENTED_EDGE('',*,*,#191222,.T.); #248932=ORIENTED_EDGE('',*,*,#191219,.T.); #248933=ORIENTED_EDGE('',*,*,#191216,.T.); #248934=ORIENTED_EDGE('',*,*,#191213,.T.); #248935=ORIENTED_EDGE('',*,*,#191210,.T.); #248936=ORIENTED_EDGE('',*,*,#191207,.T.); #248937=ORIENTED_EDGE('',*,*,#191263,.F.); #248938=ORIENTED_EDGE('',*,*,#191205,.F.); #248939=ORIENTED_EDGE('',*,*,#191209,.F.); #248940=ORIENTED_EDGE('',*,*,#191212,.F.); #248941=ORIENTED_EDGE('',*,*,#191215,.F.); #248942=ORIENTED_EDGE('',*,*,#191218,.F.); #248943=ORIENTED_EDGE('',*,*,#191221,.F.); #248944=ORIENTED_EDGE('',*,*,#191224,.F.); #248945=ORIENTED_EDGE('',*,*,#191227,.F.); #248946=ORIENTED_EDGE('',*,*,#191230,.F.); #248947=ORIENTED_EDGE('',*,*,#191233,.F.); #248948=ORIENTED_EDGE('',*,*,#191236,.F.); #248949=ORIENTED_EDGE('',*,*,#191239,.F.); #248950=ORIENTED_EDGE('',*,*,#191242,.F.); #248951=ORIENTED_EDGE('',*,*,#191245,.F.); #248952=ORIENTED_EDGE('',*,*,#191248,.F.); #248953=ORIENTED_EDGE('',*,*,#191251,.F.); #248954=ORIENTED_EDGE('',*,*,#191254,.F.); #248955=ORIENTED_EDGE('',*,*,#191257,.F.); #248956=ORIENTED_EDGE('',*,*,#191260,.F.); #248957=ORIENTED_EDGE('',*,*,#191265,.T.); #248958=ORIENTED_EDGE('',*,*,#191266,.T.); #248959=ORIENTED_EDGE('',*,*,#191267,.F.); #248960=ORIENTED_EDGE('',*,*,#191268,.F.); #248961=ORIENTED_EDGE('',*,*,#191269,.T.); #248962=ORIENTED_EDGE('',*,*,#191268,.T.); #248963=ORIENTED_EDGE('',*,*,#191270,.F.); #248964=ORIENTED_EDGE('',*,*,#191271,.F.); #248965=ORIENTED_EDGE('',*,*,#191272,.T.); #248966=ORIENTED_EDGE('',*,*,#191271,.T.); #248967=ORIENTED_EDGE('',*,*,#191273,.F.); #248968=ORIENTED_EDGE('',*,*,#191274,.F.); #248969=ORIENTED_EDGE('',*,*,#191275,.T.); #248970=ORIENTED_EDGE('',*,*,#191274,.T.); #248971=ORIENTED_EDGE('',*,*,#191276,.F.); #248972=ORIENTED_EDGE('',*,*,#191277,.F.); #248973=ORIENTED_EDGE('',*,*,#191278,.T.); #248974=ORIENTED_EDGE('',*,*,#191277,.T.); #248975=ORIENTED_EDGE('',*,*,#191279,.F.); #248976=ORIENTED_EDGE('',*,*,#191280,.F.); #248977=ORIENTED_EDGE('',*,*,#191281,.T.); #248978=ORIENTED_EDGE('',*,*,#191280,.T.); #248979=ORIENTED_EDGE('',*,*,#191282,.F.); #248980=ORIENTED_EDGE('',*,*,#191283,.F.); #248981=ORIENTED_EDGE('',*,*,#191284,.T.); #248982=ORIENTED_EDGE('',*,*,#191283,.T.); #248983=ORIENTED_EDGE('',*,*,#191285,.F.); #248984=ORIENTED_EDGE('',*,*,#191286,.F.); #248985=ORIENTED_EDGE('',*,*,#191287,.T.); #248986=ORIENTED_EDGE('',*,*,#191286,.T.); #248987=ORIENTED_EDGE('',*,*,#191288,.F.); #248988=ORIENTED_EDGE('',*,*,#191289,.F.); #248989=ORIENTED_EDGE('',*,*,#191290,.T.); #248990=ORIENTED_EDGE('',*,*,#191289,.T.); #248991=ORIENTED_EDGE('',*,*,#191291,.F.); #248992=ORIENTED_EDGE('',*,*,#191292,.F.); #248993=ORIENTED_EDGE('',*,*,#191293,.T.); #248994=ORIENTED_EDGE('',*,*,#191292,.T.); #248995=ORIENTED_EDGE('',*,*,#191294,.F.); #248996=ORIENTED_EDGE('',*,*,#191295,.F.); #248997=ORIENTED_EDGE('',*,*,#191296,.T.); #248998=ORIENTED_EDGE('',*,*,#191295,.T.); #248999=ORIENTED_EDGE('',*,*,#191297,.F.); #249000=ORIENTED_EDGE('',*,*,#191298,.F.); #249001=ORIENTED_EDGE('',*,*,#191299,.T.); #249002=ORIENTED_EDGE('',*,*,#191298,.T.); #249003=ORIENTED_EDGE('',*,*,#191300,.F.); #249004=ORIENTED_EDGE('',*,*,#191301,.F.); #249005=ORIENTED_EDGE('',*,*,#191302,.T.); #249006=ORIENTED_EDGE('',*,*,#191301,.T.); #249007=ORIENTED_EDGE('',*,*,#191303,.F.); #249008=ORIENTED_EDGE('',*,*,#191304,.F.); #249009=ORIENTED_EDGE('',*,*,#191305,.T.); #249010=ORIENTED_EDGE('',*,*,#191304,.T.); #249011=ORIENTED_EDGE('',*,*,#191306,.F.); #249012=ORIENTED_EDGE('',*,*,#191307,.F.); #249013=ORIENTED_EDGE('',*,*,#191308,.T.); #249014=ORIENTED_EDGE('',*,*,#191307,.T.); #249015=ORIENTED_EDGE('',*,*,#191309,.F.); #249016=ORIENTED_EDGE('',*,*,#191310,.F.); #249017=ORIENTED_EDGE('',*,*,#191311,.T.); #249018=ORIENTED_EDGE('',*,*,#191310,.T.); #249019=ORIENTED_EDGE('',*,*,#191312,.F.); #249020=ORIENTED_EDGE('',*,*,#191313,.F.); #249021=ORIENTED_EDGE('',*,*,#191314,.T.); #249022=ORIENTED_EDGE('',*,*,#191313,.T.); #249023=ORIENTED_EDGE('',*,*,#191315,.F.); #249024=ORIENTED_EDGE('',*,*,#191316,.F.); #249025=ORIENTED_EDGE('',*,*,#191317,.T.); #249026=ORIENTED_EDGE('',*,*,#191316,.T.); #249027=ORIENTED_EDGE('',*,*,#191318,.F.); #249028=ORIENTED_EDGE('',*,*,#191319,.F.); #249029=ORIENTED_EDGE('',*,*,#191320,.T.); #249030=ORIENTED_EDGE('',*,*,#191319,.T.); #249031=ORIENTED_EDGE('',*,*,#191321,.F.); #249032=ORIENTED_EDGE('',*,*,#191322,.F.); #249033=ORIENTED_EDGE('',*,*,#191323,.T.); #249034=ORIENTED_EDGE('',*,*,#191322,.T.); #249035=ORIENTED_EDGE('',*,*,#191324,.F.); #249036=ORIENTED_EDGE('',*,*,#191325,.F.); #249037=ORIENTED_EDGE('',*,*,#191326,.T.); #249038=ORIENTED_EDGE('',*,*,#191325,.T.); #249039=ORIENTED_EDGE('',*,*,#191327,.F.); #249040=ORIENTED_EDGE('',*,*,#191266,.F.); #249041=ORIENTED_EDGE('',*,*,#191327,.T.); #249042=ORIENTED_EDGE('',*,*,#191324,.T.); #249043=ORIENTED_EDGE('',*,*,#191321,.T.); #249044=ORIENTED_EDGE('',*,*,#191318,.T.); #249045=ORIENTED_EDGE('',*,*,#191315,.T.); #249046=ORIENTED_EDGE('',*,*,#191312,.T.); #249047=ORIENTED_EDGE('',*,*,#191309,.T.); #249048=ORIENTED_EDGE('',*,*,#191306,.T.); #249049=ORIENTED_EDGE('',*,*,#191303,.T.); #249050=ORIENTED_EDGE('',*,*,#191300,.T.); #249051=ORIENTED_EDGE('',*,*,#191297,.T.); #249052=ORIENTED_EDGE('',*,*,#191294,.T.); #249053=ORIENTED_EDGE('',*,*,#191291,.T.); #249054=ORIENTED_EDGE('',*,*,#191288,.T.); #249055=ORIENTED_EDGE('',*,*,#191285,.T.); #249056=ORIENTED_EDGE('',*,*,#191282,.T.); #249057=ORIENTED_EDGE('',*,*,#191279,.T.); #249058=ORIENTED_EDGE('',*,*,#191276,.T.); #249059=ORIENTED_EDGE('',*,*,#191273,.T.); #249060=ORIENTED_EDGE('',*,*,#191270,.T.); #249061=ORIENTED_EDGE('',*,*,#191267,.T.); #249062=ORIENTED_EDGE('',*,*,#191326,.F.); #249063=ORIENTED_EDGE('',*,*,#191265,.F.); #249064=ORIENTED_EDGE('',*,*,#191269,.F.); #249065=ORIENTED_EDGE('',*,*,#191272,.F.); #249066=ORIENTED_EDGE('',*,*,#191275,.F.); #249067=ORIENTED_EDGE('',*,*,#191278,.F.); #249068=ORIENTED_EDGE('',*,*,#191281,.F.); #249069=ORIENTED_EDGE('',*,*,#191284,.F.); #249070=ORIENTED_EDGE('',*,*,#191287,.F.); #249071=ORIENTED_EDGE('',*,*,#191290,.F.); #249072=ORIENTED_EDGE('',*,*,#191293,.F.); #249073=ORIENTED_EDGE('',*,*,#191296,.F.); #249074=ORIENTED_EDGE('',*,*,#191299,.F.); #249075=ORIENTED_EDGE('',*,*,#191302,.F.); #249076=ORIENTED_EDGE('',*,*,#191305,.F.); #249077=ORIENTED_EDGE('',*,*,#191308,.F.); #249078=ORIENTED_EDGE('',*,*,#191311,.F.); #249079=ORIENTED_EDGE('',*,*,#191314,.F.); #249080=ORIENTED_EDGE('',*,*,#191317,.F.); #249081=ORIENTED_EDGE('',*,*,#191320,.F.); #249082=ORIENTED_EDGE('',*,*,#191323,.F.); #249083=ORIENTED_EDGE('',*,*,#191328,.F.); #249084=ORIENTED_EDGE('',*,*,#191329,.T.); #249085=ORIENTED_EDGE('',*,*,#191330,.F.); #249086=ORIENTED_EDGE('',*,*,#191329,.F.); #249087=ORIENTED_EDGE('',*,*,#191331,.F.); #249088=ORIENTED_EDGE('',*,*,#191332,.T.); #249089=ORIENTED_EDGE('',*,*,#191333,.F.); #249090=ORIENTED_EDGE('',*,*,#191332,.F.); #249091=ORIENTED_EDGE('',*,*,#191334,.F.); #249092=ORIENTED_EDGE('',*,*,#191335,.T.); #249093=ORIENTED_EDGE('',*,*,#191336,.F.); #249094=ORIENTED_EDGE('',*,*,#191335,.F.); #249095=ORIENTED_EDGE('',*,*,#191337,.T.); #249096=ORIENTED_EDGE('',*,*,#191338,.T.); #249097=ORIENTED_EDGE('',*,*,#191339,.F.); #249098=ORIENTED_EDGE('',*,*,#191340,.F.); #249099=ORIENTED_EDGE('',*,*,#191341,.T.); #249100=ORIENTED_EDGE('',*,*,#191340,.T.); #249101=ORIENTED_EDGE('',*,*,#191342,.F.); #249102=ORIENTED_EDGE('',*,*,#191343,.F.); #249103=ORIENTED_EDGE('',*,*,#191344,.T.); #249104=ORIENTED_EDGE('',*,*,#191343,.T.); #249105=ORIENTED_EDGE('',*,*,#191345,.F.); #249106=ORIENTED_EDGE('',*,*,#191346,.F.); #249107=ORIENTED_EDGE('',*,*,#191347,.T.); #249108=ORIENTED_EDGE('',*,*,#191346,.T.); #249109=ORIENTED_EDGE('',*,*,#191348,.F.); #249110=ORIENTED_EDGE('',*,*,#191349,.F.); #249111=ORIENTED_EDGE('',*,*,#191350,.T.); #249112=ORIENTED_EDGE('',*,*,#191349,.T.); #249113=ORIENTED_EDGE('',*,*,#191351,.F.); #249114=ORIENTED_EDGE('',*,*,#191352,.F.); #249115=ORIENTED_EDGE('',*,*,#191353,.T.); #249116=ORIENTED_EDGE('',*,*,#191352,.T.); #249117=ORIENTED_EDGE('',*,*,#191354,.F.); #249118=ORIENTED_EDGE('',*,*,#191355,.F.); #249119=ORIENTED_EDGE('',*,*,#191356,.T.); #249120=ORIENTED_EDGE('',*,*,#191355,.T.); #249121=ORIENTED_EDGE('',*,*,#191357,.F.); #249122=ORIENTED_EDGE('',*,*,#191358,.F.); #249123=ORIENTED_EDGE('',*,*,#191359,.T.); #249124=ORIENTED_EDGE('',*,*,#191358,.T.); #249125=ORIENTED_EDGE('',*,*,#191360,.F.); #249126=ORIENTED_EDGE('',*,*,#191361,.F.); #249127=ORIENTED_EDGE('',*,*,#191362,.T.); #249128=ORIENTED_EDGE('',*,*,#191361,.T.); #249129=ORIENTED_EDGE('',*,*,#191363,.F.); #249130=ORIENTED_EDGE('',*,*,#191364,.F.); #249131=ORIENTED_EDGE('',*,*,#191365,.T.); #249132=ORIENTED_EDGE('',*,*,#191364,.T.); #249133=ORIENTED_EDGE('',*,*,#191366,.F.); #249134=ORIENTED_EDGE('',*,*,#191367,.F.); #249135=ORIENTED_EDGE('',*,*,#191368,.T.); #249136=ORIENTED_EDGE('',*,*,#191367,.T.); #249137=ORIENTED_EDGE('',*,*,#191369,.F.); #249138=ORIENTED_EDGE('',*,*,#191370,.F.); #249139=ORIENTED_EDGE('',*,*,#191371,.T.); #249140=ORIENTED_EDGE('',*,*,#191370,.T.); #249141=ORIENTED_EDGE('',*,*,#191372,.F.); #249142=ORIENTED_EDGE('',*,*,#191373,.F.); #249143=ORIENTED_EDGE('',*,*,#191374,.T.); #249144=ORIENTED_EDGE('',*,*,#191373,.T.); #249145=ORIENTED_EDGE('',*,*,#191375,.F.); #249146=ORIENTED_EDGE('',*,*,#191376,.F.); #249147=ORIENTED_EDGE('',*,*,#191377,.T.); #249148=ORIENTED_EDGE('',*,*,#191376,.T.); #249149=ORIENTED_EDGE('',*,*,#191378,.F.); #249150=ORIENTED_EDGE('',*,*,#191379,.F.); #249151=ORIENTED_EDGE('',*,*,#191380,.T.); #249152=ORIENTED_EDGE('',*,*,#191379,.T.); #249153=ORIENTED_EDGE('',*,*,#191381,.F.); #249154=ORIENTED_EDGE('',*,*,#191382,.F.); #249155=ORIENTED_EDGE('',*,*,#191383,.T.); #249156=ORIENTED_EDGE('',*,*,#191382,.T.); #249157=ORIENTED_EDGE('',*,*,#191384,.F.); #249158=ORIENTED_EDGE('',*,*,#191385,.F.); #249159=ORIENTED_EDGE('',*,*,#191386,.T.); #249160=ORIENTED_EDGE('',*,*,#191385,.T.); #249161=ORIENTED_EDGE('',*,*,#191387,.F.); #249162=ORIENTED_EDGE('',*,*,#191388,.F.); #249163=ORIENTED_EDGE('',*,*,#191389,.T.); #249164=ORIENTED_EDGE('',*,*,#191388,.T.); #249165=ORIENTED_EDGE('',*,*,#191390,.F.); #249166=ORIENTED_EDGE('',*,*,#191391,.F.); #249167=ORIENTED_EDGE('',*,*,#191392,.T.); #249168=ORIENTED_EDGE('',*,*,#191391,.T.); #249169=ORIENTED_EDGE('',*,*,#191393,.F.); #249170=ORIENTED_EDGE('',*,*,#191394,.F.); #249171=ORIENTED_EDGE('',*,*,#191395,.T.); #249172=ORIENTED_EDGE('',*,*,#191394,.T.); #249173=ORIENTED_EDGE('',*,*,#191396,.F.); #249174=ORIENTED_EDGE('',*,*,#191397,.F.); #249175=ORIENTED_EDGE('',*,*,#191398,.T.); #249176=ORIENTED_EDGE('',*,*,#191397,.T.); #249177=ORIENTED_EDGE('',*,*,#191399,.F.); #249178=ORIENTED_EDGE('',*,*,#191400,.F.); #249179=ORIENTED_EDGE('',*,*,#191401,.T.); #249180=ORIENTED_EDGE('',*,*,#191400,.T.); #249181=ORIENTED_EDGE('',*,*,#191402,.F.); #249182=ORIENTED_EDGE('',*,*,#191403,.F.); #249183=ORIENTED_EDGE('',*,*,#191404,.T.); #249184=ORIENTED_EDGE('',*,*,#191403,.T.); #249185=ORIENTED_EDGE('',*,*,#191405,.F.); #249186=ORIENTED_EDGE('',*,*,#191406,.F.); #249187=ORIENTED_EDGE('',*,*,#191407,.T.); #249188=ORIENTED_EDGE('',*,*,#191406,.T.); #249189=ORIENTED_EDGE('',*,*,#191408,.F.); #249190=ORIENTED_EDGE('',*,*,#191409,.F.); #249191=ORIENTED_EDGE('',*,*,#191410,.T.); #249192=ORIENTED_EDGE('',*,*,#191409,.T.); #249193=ORIENTED_EDGE('',*,*,#191411,.F.); #249194=ORIENTED_EDGE('',*,*,#191412,.F.); #249195=ORIENTED_EDGE('',*,*,#191413,.T.); #249196=ORIENTED_EDGE('',*,*,#191412,.T.); #249197=ORIENTED_EDGE('',*,*,#191414,.F.); #249198=ORIENTED_EDGE('',*,*,#191415,.F.); #249199=ORIENTED_EDGE('',*,*,#191416,.T.); #249200=ORIENTED_EDGE('',*,*,#191415,.T.); #249201=ORIENTED_EDGE('',*,*,#191417,.F.); #249202=ORIENTED_EDGE('',*,*,#191418,.F.); #249203=ORIENTED_EDGE('',*,*,#191419,.T.); #249204=ORIENTED_EDGE('',*,*,#191418,.T.); #249205=ORIENTED_EDGE('',*,*,#191420,.F.); #249206=ORIENTED_EDGE('',*,*,#191421,.F.); #249207=ORIENTED_EDGE('',*,*,#191422,.T.); #249208=ORIENTED_EDGE('',*,*,#191421,.T.); #249209=ORIENTED_EDGE('',*,*,#191423,.F.); #249210=ORIENTED_EDGE('',*,*,#191424,.F.); #249211=ORIENTED_EDGE('',*,*,#191425,.T.); #249212=ORIENTED_EDGE('',*,*,#191424,.T.); #249213=ORIENTED_EDGE('',*,*,#191426,.F.); #249214=ORIENTED_EDGE('',*,*,#191427,.F.); #249215=ORIENTED_EDGE('',*,*,#191428,.T.); #249216=ORIENTED_EDGE('',*,*,#191427,.T.); #249217=ORIENTED_EDGE('',*,*,#191429,.F.); #249218=ORIENTED_EDGE('',*,*,#191430,.F.); #249219=ORIENTED_EDGE('',*,*,#191431,.T.); #249220=ORIENTED_EDGE('',*,*,#191430,.T.); #249221=ORIENTED_EDGE('',*,*,#191432,.F.); #249222=ORIENTED_EDGE('',*,*,#191433,.F.); #249223=ORIENTED_EDGE('',*,*,#191434,.T.); #249224=ORIENTED_EDGE('',*,*,#191433,.T.); #249225=ORIENTED_EDGE('',*,*,#191435,.F.); #249226=ORIENTED_EDGE('',*,*,#191436,.F.); #249227=ORIENTED_EDGE('',*,*,#191437,.T.); #249228=ORIENTED_EDGE('',*,*,#191436,.T.); #249229=ORIENTED_EDGE('',*,*,#191438,.F.); #249230=ORIENTED_EDGE('',*,*,#191439,.F.); #249231=ORIENTED_EDGE('',*,*,#191440,.T.); #249232=ORIENTED_EDGE('',*,*,#191439,.T.); #249233=ORIENTED_EDGE('',*,*,#191441,.F.); #249234=ORIENTED_EDGE('',*,*,#191442,.F.); #249235=ORIENTED_EDGE('',*,*,#191443,.T.); #249236=ORIENTED_EDGE('',*,*,#191442,.T.); #249237=ORIENTED_EDGE('',*,*,#191444,.F.); #249238=ORIENTED_EDGE('',*,*,#191445,.F.); #249239=ORIENTED_EDGE('',*,*,#191446,.T.); #249240=ORIENTED_EDGE('',*,*,#191445,.T.); #249241=ORIENTED_EDGE('',*,*,#191447,.F.); #249242=ORIENTED_EDGE('',*,*,#191448,.F.); #249243=ORIENTED_EDGE('',*,*,#191449,.T.); #249244=ORIENTED_EDGE('',*,*,#191448,.T.); #249245=ORIENTED_EDGE('',*,*,#191450,.F.); #249246=ORIENTED_EDGE('',*,*,#191451,.F.); #249247=ORIENTED_EDGE('',*,*,#191452,.T.); #249248=ORIENTED_EDGE('',*,*,#191451,.T.); #249249=ORIENTED_EDGE('',*,*,#191453,.F.); #249250=ORIENTED_EDGE('',*,*,#191454,.F.); #249251=ORIENTED_EDGE('',*,*,#191455,.T.); #249252=ORIENTED_EDGE('',*,*,#191454,.T.); #249253=ORIENTED_EDGE('',*,*,#191456,.F.); #249254=ORIENTED_EDGE('',*,*,#191457,.F.); #249255=ORIENTED_EDGE('',*,*,#191458,.T.); #249256=ORIENTED_EDGE('',*,*,#191457,.T.); #249257=ORIENTED_EDGE('',*,*,#191459,.F.); #249258=ORIENTED_EDGE('',*,*,#191460,.F.); #249259=ORIENTED_EDGE('',*,*,#191461,.T.); #249260=ORIENTED_EDGE('',*,*,#191460,.T.); #249261=ORIENTED_EDGE('',*,*,#191462,.F.); #249262=ORIENTED_EDGE('',*,*,#191463,.F.); #249263=ORIENTED_EDGE('',*,*,#191464,.T.); #249264=ORIENTED_EDGE('',*,*,#191463,.T.); #249265=ORIENTED_EDGE('',*,*,#191465,.F.); #249266=ORIENTED_EDGE('',*,*,#191466,.F.); #249267=ORIENTED_EDGE('',*,*,#191467,.T.); #249268=ORIENTED_EDGE('',*,*,#191466,.T.); #249269=ORIENTED_EDGE('',*,*,#191468,.F.); #249270=ORIENTED_EDGE('',*,*,#191469,.F.); #249271=ORIENTED_EDGE('',*,*,#191470,.T.); #249272=ORIENTED_EDGE('',*,*,#191469,.T.); #249273=ORIENTED_EDGE('',*,*,#191471,.F.); #249274=ORIENTED_EDGE('',*,*,#191472,.F.); #249275=ORIENTED_EDGE('',*,*,#191473,.T.); #249276=ORIENTED_EDGE('',*,*,#191472,.T.); #249277=ORIENTED_EDGE('',*,*,#191474,.F.); #249278=ORIENTED_EDGE('',*,*,#191475,.F.); #249279=ORIENTED_EDGE('',*,*,#191476,.T.); #249280=ORIENTED_EDGE('',*,*,#191475,.T.); #249281=ORIENTED_EDGE('',*,*,#191477,.F.); #249282=ORIENTED_EDGE('',*,*,#191478,.F.); #249283=ORIENTED_EDGE('',*,*,#191479,.T.); #249284=ORIENTED_EDGE('',*,*,#191478,.T.); #249285=ORIENTED_EDGE('',*,*,#191480,.F.); #249286=ORIENTED_EDGE('',*,*,#191481,.F.); #249287=ORIENTED_EDGE('',*,*,#191482,.T.); #249288=ORIENTED_EDGE('',*,*,#191481,.T.); #249289=ORIENTED_EDGE('',*,*,#191483,.F.); #249290=ORIENTED_EDGE('',*,*,#191484,.F.); #249291=ORIENTED_EDGE('',*,*,#191485,.T.); #249292=ORIENTED_EDGE('',*,*,#191484,.T.); #249293=ORIENTED_EDGE('',*,*,#191486,.F.); #249294=ORIENTED_EDGE('',*,*,#191487,.F.); #249295=ORIENTED_EDGE('',*,*,#191488,.T.); #249296=ORIENTED_EDGE('',*,*,#191487,.T.); #249297=ORIENTED_EDGE('',*,*,#191489,.F.); #249298=ORIENTED_EDGE('',*,*,#191490,.F.); #249299=ORIENTED_EDGE('',*,*,#191491,.T.); #249300=ORIENTED_EDGE('',*,*,#191490,.T.); #249301=ORIENTED_EDGE('',*,*,#191492,.F.); #249302=ORIENTED_EDGE('',*,*,#191493,.F.); #249303=ORIENTED_EDGE('',*,*,#191494,.T.); #249304=ORIENTED_EDGE('',*,*,#191493,.T.); #249305=ORIENTED_EDGE('',*,*,#191495,.F.); #249306=ORIENTED_EDGE('',*,*,#191496,.F.); #249307=ORIENTED_EDGE('',*,*,#191497,.T.); #249308=ORIENTED_EDGE('',*,*,#191496,.T.); #249309=ORIENTED_EDGE('',*,*,#191498,.F.); #249310=ORIENTED_EDGE('',*,*,#191499,.F.); #249311=ORIENTED_EDGE('',*,*,#191500,.T.); #249312=ORIENTED_EDGE('',*,*,#191499,.T.); #249313=ORIENTED_EDGE('',*,*,#191501,.F.); #249314=ORIENTED_EDGE('',*,*,#191502,.F.); #249315=ORIENTED_EDGE('',*,*,#191503,.T.); #249316=ORIENTED_EDGE('',*,*,#191502,.T.); #249317=ORIENTED_EDGE('',*,*,#191504,.F.); #249318=ORIENTED_EDGE('',*,*,#191505,.F.); #249319=ORIENTED_EDGE('',*,*,#191506,.T.); #249320=ORIENTED_EDGE('',*,*,#191505,.T.); #249321=ORIENTED_EDGE('',*,*,#191507,.F.); #249322=ORIENTED_EDGE('',*,*,#191508,.F.); #249323=ORIENTED_EDGE('',*,*,#191509,.T.); #249324=ORIENTED_EDGE('',*,*,#191508,.T.); #249325=ORIENTED_EDGE('',*,*,#191510,.F.); #249326=ORIENTED_EDGE('',*,*,#191511,.F.); #249327=ORIENTED_EDGE('',*,*,#191512,.T.); #249328=ORIENTED_EDGE('',*,*,#191511,.T.); #249329=ORIENTED_EDGE('',*,*,#191513,.F.); #249330=ORIENTED_EDGE('',*,*,#191514,.F.); #249331=ORIENTED_EDGE('',*,*,#191515,.T.); #249332=ORIENTED_EDGE('',*,*,#191514,.T.); #249333=ORIENTED_EDGE('',*,*,#191516,.F.); #249334=ORIENTED_EDGE('',*,*,#191517,.F.); #249335=ORIENTED_EDGE('',*,*,#191518,.T.); #249336=ORIENTED_EDGE('',*,*,#191517,.T.); #249337=ORIENTED_EDGE('',*,*,#191519,.F.); #249338=ORIENTED_EDGE('',*,*,#191520,.F.); #249339=ORIENTED_EDGE('',*,*,#191521,.T.); #249340=ORIENTED_EDGE('',*,*,#191520,.T.); #249341=ORIENTED_EDGE('',*,*,#191522,.F.); #249342=ORIENTED_EDGE('',*,*,#191523,.F.); #249343=ORIENTED_EDGE('',*,*,#191524,.T.); #249344=ORIENTED_EDGE('',*,*,#191523,.T.); #249345=ORIENTED_EDGE('',*,*,#191525,.F.); #249346=ORIENTED_EDGE('',*,*,#191526,.F.); #249347=ORIENTED_EDGE('',*,*,#191527,.T.); #249348=ORIENTED_EDGE('',*,*,#191526,.T.); #249349=ORIENTED_EDGE('',*,*,#191528,.F.); #249350=ORIENTED_EDGE('',*,*,#191529,.F.); #249351=ORIENTED_EDGE('',*,*,#191530,.T.); #249352=ORIENTED_EDGE('',*,*,#191529,.T.); #249353=ORIENTED_EDGE('',*,*,#191531,.F.); #249354=ORIENTED_EDGE('',*,*,#191532,.F.); #249355=ORIENTED_EDGE('',*,*,#191533,.T.); #249356=ORIENTED_EDGE('',*,*,#191532,.T.); #249357=ORIENTED_EDGE('',*,*,#191534,.F.); #249358=ORIENTED_EDGE('',*,*,#191535,.F.); #249359=ORIENTED_EDGE('',*,*,#191536,.T.); #249360=ORIENTED_EDGE('',*,*,#191535,.T.); #249361=ORIENTED_EDGE('',*,*,#191537,.F.); #249362=ORIENTED_EDGE('',*,*,#191538,.F.); #249363=ORIENTED_EDGE('',*,*,#191539,.T.); #249364=ORIENTED_EDGE('',*,*,#191538,.T.); #249365=ORIENTED_EDGE('',*,*,#191540,.F.); #249366=ORIENTED_EDGE('',*,*,#191541,.F.); #249367=ORIENTED_EDGE('',*,*,#191542,.T.); #249368=ORIENTED_EDGE('',*,*,#191541,.T.); #249369=ORIENTED_EDGE('',*,*,#191543,.F.); #249370=ORIENTED_EDGE('',*,*,#191544,.F.); #249371=ORIENTED_EDGE('',*,*,#191545,.T.); #249372=ORIENTED_EDGE('',*,*,#191544,.T.); #249373=ORIENTED_EDGE('',*,*,#191546,.F.); #249374=ORIENTED_EDGE('',*,*,#191547,.F.); #249375=ORIENTED_EDGE('',*,*,#191548,.T.); #249376=ORIENTED_EDGE('',*,*,#191547,.T.); #249377=ORIENTED_EDGE('',*,*,#191549,.F.); #249378=ORIENTED_EDGE('',*,*,#191550,.F.); #249379=ORIENTED_EDGE('',*,*,#191551,.T.); #249380=ORIENTED_EDGE('',*,*,#191550,.T.); #249381=ORIENTED_EDGE('',*,*,#191552,.F.); #249382=ORIENTED_EDGE('',*,*,#191553,.F.); #249383=ORIENTED_EDGE('',*,*,#191554,.T.); #249384=ORIENTED_EDGE('',*,*,#191553,.T.); #249385=ORIENTED_EDGE('',*,*,#191555,.F.); #249386=ORIENTED_EDGE('',*,*,#191556,.F.); #249387=ORIENTED_EDGE('',*,*,#191557,.T.); #249388=ORIENTED_EDGE('',*,*,#191556,.T.); #249389=ORIENTED_EDGE('',*,*,#191558,.F.); #249390=ORIENTED_EDGE('',*,*,#191559,.F.); #249391=ORIENTED_EDGE('',*,*,#191560,.T.); #249392=ORIENTED_EDGE('',*,*,#191559,.T.); #249393=ORIENTED_EDGE('',*,*,#191561,.F.); #249394=ORIENTED_EDGE('',*,*,#191562,.F.); #249395=ORIENTED_EDGE('',*,*,#191563,.T.); #249396=ORIENTED_EDGE('',*,*,#191562,.T.); #249397=ORIENTED_EDGE('',*,*,#191564,.F.); #249398=ORIENTED_EDGE('',*,*,#191565,.F.); #249399=ORIENTED_EDGE('',*,*,#191566,.T.); #249400=ORIENTED_EDGE('',*,*,#191565,.T.); #249401=ORIENTED_EDGE('',*,*,#191567,.F.); #249402=ORIENTED_EDGE('',*,*,#191568,.F.); #249403=ORIENTED_EDGE('',*,*,#191569,.T.); #249404=ORIENTED_EDGE('',*,*,#191568,.T.); #249405=ORIENTED_EDGE('',*,*,#191570,.F.); #249406=ORIENTED_EDGE('',*,*,#191571,.F.); #249407=ORIENTED_EDGE('',*,*,#191572,.T.); #249408=ORIENTED_EDGE('',*,*,#191571,.T.); #249409=ORIENTED_EDGE('',*,*,#191573,.F.); #249410=ORIENTED_EDGE('',*,*,#191574,.F.); #249411=ORIENTED_EDGE('',*,*,#191575,.T.); #249412=ORIENTED_EDGE('',*,*,#191574,.T.); #249413=ORIENTED_EDGE('',*,*,#191576,.F.); #249414=ORIENTED_EDGE('',*,*,#191577,.F.); #249415=ORIENTED_EDGE('',*,*,#191578,.T.); #249416=ORIENTED_EDGE('',*,*,#191577,.T.); #249417=ORIENTED_EDGE('',*,*,#191579,.F.); #249418=ORIENTED_EDGE('',*,*,#191580,.F.); #249419=ORIENTED_EDGE('',*,*,#191581,.T.); #249420=ORIENTED_EDGE('',*,*,#191580,.T.); #249421=ORIENTED_EDGE('',*,*,#191582,.F.); #249422=ORIENTED_EDGE('',*,*,#191583,.F.); #249423=ORIENTED_EDGE('',*,*,#191584,.T.); #249424=ORIENTED_EDGE('',*,*,#191583,.T.); #249425=ORIENTED_EDGE('',*,*,#191585,.F.); #249426=ORIENTED_EDGE('',*,*,#191586,.F.); #249427=ORIENTED_EDGE('',*,*,#191587,.T.); #249428=ORIENTED_EDGE('',*,*,#191586,.T.); #249429=ORIENTED_EDGE('',*,*,#191588,.F.); #249430=ORIENTED_EDGE('',*,*,#191589,.F.); #249431=ORIENTED_EDGE('',*,*,#191590,.T.); #249432=ORIENTED_EDGE('',*,*,#191589,.T.); #249433=ORIENTED_EDGE('',*,*,#191591,.F.); #249434=ORIENTED_EDGE('',*,*,#191592,.F.); #249435=ORIENTED_EDGE('',*,*,#191593,.T.); #249436=ORIENTED_EDGE('',*,*,#191592,.T.); #249437=ORIENTED_EDGE('',*,*,#191594,.F.); #249438=ORIENTED_EDGE('',*,*,#191595,.F.); #249439=ORIENTED_EDGE('',*,*,#191596,.T.); #249440=ORIENTED_EDGE('',*,*,#191595,.T.); #249441=ORIENTED_EDGE('',*,*,#191597,.F.); #249442=ORIENTED_EDGE('',*,*,#191598,.F.); #249443=ORIENTED_EDGE('',*,*,#191599,.T.); #249444=ORIENTED_EDGE('',*,*,#191598,.T.); #249445=ORIENTED_EDGE('',*,*,#191600,.F.); #249446=ORIENTED_EDGE('',*,*,#191601,.F.); #249447=ORIENTED_EDGE('',*,*,#191602,.T.); #249448=ORIENTED_EDGE('',*,*,#191601,.T.); #249449=ORIENTED_EDGE('',*,*,#191603,.F.); #249450=ORIENTED_EDGE('',*,*,#191604,.F.); #249451=ORIENTED_EDGE('',*,*,#191605,.T.); #249452=ORIENTED_EDGE('',*,*,#191604,.T.); #249453=ORIENTED_EDGE('',*,*,#191606,.F.); #249454=ORIENTED_EDGE('',*,*,#191338,.F.); #249455=ORIENTED_EDGE('',*,*,#191606,.T.); #249456=ORIENTED_EDGE('',*,*,#191603,.T.); #249457=ORIENTED_EDGE('',*,*,#191600,.T.); #249458=ORIENTED_EDGE('',*,*,#191597,.T.); #249459=ORIENTED_EDGE('',*,*,#191594,.T.); #249460=ORIENTED_EDGE('',*,*,#191591,.T.); #249461=ORIENTED_EDGE('',*,*,#191588,.T.); #249462=ORIENTED_EDGE('',*,*,#191585,.T.); #249463=ORIENTED_EDGE('',*,*,#191582,.T.); #249464=ORIENTED_EDGE('',*,*,#191579,.T.); #249465=ORIENTED_EDGE('',*,*,#191576,.T.); #249466=ORIENTED_EDGE('',*,*,#191573,.T.); #249467=ORIENTED_EDGE('',*,*,#191570,.T.); #249468=ORIENTED_EDGE('',*,*,#191567,.T.); #249469=ORIENTED_EDGE('',*,*,#191564,.T.); #249470=ORIENTED_EDGE('',*,*,#191561,.T.); #249471=ORIENTED_EDGE('',*,*,#191558,.T.); #249472=ORIENTED_EDGE('',*,*,#191555,.T.); #249473=ORIENTED_EDGE('',*,*,#191552,.T.); #249474=ORIENTED_EDGE('',*,*,#191549,.T.); #249475=ORIENTED_EDGE('',*,*,#191546,.T.); #249476=ORIENTED_EDGE('',*,*,#191543,.T.); #249477=ORIENTED_EDGE('',*,*,#191540,.T.); #249478=ORIENTED_EDGE('',*,*,#191537,.T.); #249479=ORIENTED_EDGE('',*,*,#191534,.T.); #249480=ORIENTED_EDGE('',*,*,#191531,.T.); #249481=ORIENTED_EDGE('',*,*,#191528,.T.); #249482=ORIENTED_EDGE('',*,*,#191525,.T.); #249483=ORIENTED_EDGE('',*,*,#191522,.T.); #249484=ORIENTED_EDGE('',*,*,#191519,.T.); #249485=ORIENTED_EDGE('',*,*,#191516,.T.); #249486=ORIENTED_EDGE('',*,*,#191513,.T.); #249487=ORIENTED_EDGE('',*,*,#191510,.T.); #249488=ORIENTED_EDGE('',*,*,#191507,.T.); #249489=ORIENTED_EDGE('',*,*,#191504,.T.); #249490=ORIENTED_EDGE('',*,*,#191501,.T.); #249491=ORIENTED_EDGE('',*,*,#191498,.T.); #249492=ORIENTED_EDGE('',*,*,#191495,.T.); #249493=ORIENTED_EDGE('',*,*,#191492,.T.); #249494=ORIENTED_EDGE('',*,*,#191489,.T.); #249495=ORIENTED_EDGE('',*,*,#191486,.T.); #249496=ORIENTED_EDGE('',*,*,#191483,.T.); #249497=ORIENTED_EDGE('',*,*,#191480,.T.); #249498=ORIENTED_EDGE('',*,*,#191477,.T.); #249499=ORIENTED_EDGE('',*,*,#191474,.T.); #249500=ORIENTED_EDGE('',*,*,#191471,.T.); #249501=ORIENTED_EDGE('',*,*,#191468,.T.); #249502=ORIENTED_EDGE('',*,*,#191465,.T.); #249503=ORIENTED_EDGE('',*,*,#191462,.T.); #249504=ORIENTED_EDGE('',*,*,#191459,.T.); #249505=ORIENTED_EDGE('',*,*,#191456,.T.); #249506=ORIENTED_EDGE('',*,*,#191453,.T.); #249507=ORIENTED_EDGE('',*,*,#191450,.T.); #249508=ORIENTED_EDGE('',*,*,#191447,.T.); #249509=ORIENTED_EDGE('',*,*,#191444,.T.); #249510=ORIENTED_EDGE('',*,*,#191441,.T.); #249511=ORIENTED_EDGE('',*,*,#191438,.T.); #249512=ORIENTED_EDGE('',*,*,#191435,.T.); #249513=ORIENTED_EDGE('',*,*,#191432,.T.); #249514=ORIENTED_EDGE('',*,*,#191429,.T.); #249515=ORIENTED_EDGE('',*,*,#191426,.T.); #249516=ORIENTED_EDGE('',*,*,#191423,.T.); #249517=ORIENTED_EDGE('',*,*,#191420,.T.); #249518=ORIENTED_EDGE('',*,*,#191417,.T.); #249519=ORIENTED_EDGE('',*,*,#191414,.T.); #249520=ORIENTED_EDGE('',*,*,#191411,.T.); #249521=ORIENTED_EDGE('',*,*,#191408,.T.); #249522=ORIENTED_EDGE('',*,*,#191405,.T.); #249523=ORIENTED_EDGE('',*,*,#191402,.T.); #249524=ORIENTED_EDGE('',*,*,#191399,.T.); #249525=ORIENTED_EDGE('',*,*,#191396,.T.); #249526=ORIENTED_EDGE('',*,*,#191393,.T.); #249527=ORIENTED_EDGE('',*,*,#191390,.T.); #249528=ORIENTED_EDGE('',*,*,#191387,.T.); #249529=ORIENTED_EDGE('',*,*,#191384,.T.); #249530=ORIENTED_EDGE('',*,*,#191381,.T.); #249531=ORIENTED_EDGE('',*,*,#191378,.T.); #249532=ORIENTED_EDGE('',*,*,#191375,.T.); #249533=ORIENTED_EDGE('',*,*,#191372,.T.); #249534=ORIENTED_EDGE('',*,*,#191369,.T.); #249535=ORIENTED_EDGE('',*,*,#191366,.T.); #249536=ORIENTED_EDGE('',*,*,#191363,.T.); #249537=ORIENTED_EDGE('',*,*,#191360,.T.); #249538=ORIENTED_EDGE('',*,*,#191357,.T.); #249539=ORIENTED_EDGE('',*,*,#191354,.T.); #249540=ORIENTED_EDGE('',*,*,#191351,.T.); #249541=ORIENTED_EDGE('',*,*,#191348,.T.); #249542=ORIENTED_EDGE('',*,*,#191345,.T.); #249543=ORIENTED_EDGE('',*,*,#191342,.T.); #249544=ORIENTED_EDGE('',*,*,#191339,.T.); #249545=ORIENTED_EDGE('',*,*,#191330,.T.); #249546=ORIENTED_EDGE('',*,*,#191333,.T.); #249547=ORIENTED_EDGE('',*,*,#191336,.T.); #249548=ORIENTED_EDGE('',*,*,#191605,.F.); #249549=ORIENTED_EDGE('',*,*,#191337,.F.); #249550=ORIENTED_EDGE('',*,*,#191341,.F.); #249551=ORIENTED_EDGE('',*,*,#191344,.F.); #249552=ORIENTED_EDGE('',*,*,#191347,.F.); #249553=ORIENTED_EDGE('',*,*,#191350,.F.); #249554=ORIENTED_EDGE('',*,*,#191353,.F.); #249555=ORIENTED_EDGE('',*,*,#191356,.F.); #249556=ORIENTED_EDGE('',*,*,#191359,.F.); #249557=ORIENTED_EDGE('',*,*,#191362,.F.); #249558=ORIENTED_EDGE('',*,*,#191365,.F.); #249559=ORIENTED_EDGE('',*,*,#191368,.F.); #249560=ORIENTED_EDGE('',*,*,#191371,.F.); #249561=ORIENTED_EDGE('',*,*,#191374,.F.); #249562=ORIENTED_EDGE('',*,*,#191377,.F.); #249563=ORIENTED_EDGE('',*,*,#191380,.F.); #249564=ORIENTED_EDGE('',*,*,#191383,.F.); #249565=ORIENTED_EDGE('',*,*,#191386,.F.); #249566=ORIENTED_EDGE('',*,*,#191389,.F.); #249567=ORIENTED_EDGE('',*,*,#191392,.F.); #249568=ORIENTED_EDGE('',*,*,#191395,.F.); #249569=ORIENTED_EDGE('',*,*,#191398,.F.); #249570=ORIENTED_EDGE('',*,*,#191401,.F.); #249571=ORIENTED_EDGE('',*,*,#191404,.F.); #249572=ORIENTED_EDGE('',*,*,#191407,.F.); #249573=ORIENTED_EDGE('',*,*,#191410,.F.); #249574=ORIENTED_EDGE('',*,*,#191413,.F.); #249575=ORIENTED_EDGE('',*,*,#191416,.F.); #249576=ORIENTED_EDGE('',*,*,#191419,.F.); #249577=ORIENTED_EDGE('',*,*,#191422,.F.); #249578=ORIENTED_EDGE('',*,*,#191425,.F.); #249579=ORIENTED_EDGE('',*,*,#191428,.F.); #249580=ORIENTED_EDGE('',*,*,#191431,.F.); #249581=ORIENTED_EDGE('',*,*,#191434,.F.); #249582=ORIENTED_EDGE('',*,*,#191437,.F.); #249583=ORIENTED_EDGE('',*,*,#191440,.F.); #249584=ORIENTED_EDGE('',*,*,#191443,.F.); #249585=ORIENTED_EDGE('',*,*,#191446,.F.); #249586=ORIENTED_EDGE('',*,*,#191449,.F.); #249587=ORIENTED_EDGE('',*,*,#191452,.F.); #249588=ORIENTED_EDGE('',*,*,#191455,.F.); #249589=ORIENTED_EDGE('',*,*,#191458,.F.); #249590=ORIENTED_EDGE('',*,*,#191461,.F.); #249591=ORIENTED_EDGE('',*,*,#191464,.F.); #249592=ORIENTED_EDGE('',*,*,#191467,.F.); #249593=ORIENTED_EDGE('',*,*,#191470,.F.); #249594=ORIENTED_EDGE('',*,*,#191473,.F.); #249595=ORIENTED_EDGE('',*,*,#191476,.F.); #249596=ORIENTED_EDGE('',*,*,#191479,.F.); #249597=ORIENTED_EDGE('',*,*,#191482,.F.); #249598=ORIENTED_EDGE('',*,*,#191485,.F.); #249599=ORIENTED_EDGE('',*,*,#191488,.F.); #249600=ORIENTED_EDGE('',*,*,#191491,.F.); #249601=ORIENTED_EDGE('',*,*,#191494,.F.); #249602=ORIENTED_EDGE('',*,*,#191497,.F.); #249603=ORIENTED_EDGE('',*,*,#191500,.F.); #249604=ORIENTED_EDGE('',*,*,#191503,.F.); #249605=ORIENTED_EDGE('',*,*,#191506,.F.); #249606=ORIENTED_EDGE('',*,*,#191509,.F.); #249607=ORIENTED_EDGE('',*,*,#191512,.F.); #249608=ORIENTED_EDGE('',*,*,#191515,.F.); #249609=ORIENTED_EDGE('',*,*,#191518,.F.); #249610=ORIENTED_EDGE('',*,*,#191521,.F.); #249611=ORIENTED_EDGE('',*,*,#191524,.F.); #249612=ORIENTED_EDGE('',*,*,#191527,.F.); #249613=ORIENTED_EDGE('',*,*,#191530,.F.); #249614=ORIENTED_EDGE('',*,*,#191533,.F.); #249615=ORIENTED_EDGE('',*,*,#191536,.F.); #249616=ORIENTED_EDGE('',*,*,#191539,.F.); #249617=ORIENTED_EDGE('',*,*,#191542,.F.); #249618=ORIENTED_EDGE('',*,*,#191545,.F.); #249619=ORIENTED_EDGE('',*,*,#191548,.F.); #249620=ORIENTED_EDGE('',*,*,#191551,.F.); #249621=ORIENTED_EDGE('',*,*,#191554,.F.); #249622=ORIENTED_EDGE('',*,*,#191557,.F.); #249623=ORIENTED_EDGE('',*,*,#191560,.F.); #249624=ORIENTED_EDGE('',*,*,#191563,.F.); #249625=ORIENTED_EDGE('',*,*,#191566,.F.); #249626=ORIENTED_EDGE('',*,*,#191569,.F.); #249627=ORIENTED_EDGE('',*,*,#191572,.F.); #249628=ORIENTED_EDGE('',*,*,#191575,.F.); #249629=ORIENTED_EDGE('',*,*,#191578,.F.); #249630=ORIENTED_EDGE('',*,*,#191581,.F.); #249631=ORIENTED_EDGE('',*,*,#191584,.F.); #249632=ORIENTED_EDGE('',*,*,#191587,.F.); #249633=ORIENTED_EDGE('',*,*,#191590,.F.); #249634=ORIENTED_EDGE('',*,*,#191593,.F.); #249635=ORIENTED_EDGE('',*,*,#191596,.F.); #249636=ORIENTED_EDGE('',*,*,#191599,.F.); #249637=ORIENTED_EDGE('',*,*,#191602,.F.); #249638=ORIENTED_EDGE('',*,*,#191328,.T.); #249639=ORIENTED_EDGE('',*,*,#191331,.T.); #249640=ORIENTED_EDGE('',*,*,#191334,.T.); #249641=ORIENTED_EDGE('',*,*,#191607,.T.); #249642=ORIENTED_EDGE('',*,*,#191608,.T.); #249643=ORIENTED_EDGE('',*,*,#191609,.F.); #249644=ORIENTED_EDGE('',*,*,#191610,.F.); #249645=ORIENTED_EDGE('',*,*,#191611,.T.); #249646=ORIENTED_EDGE('',*,*,#191610,.T.); #249647=ORIENTED_EDGE('',*,*,#191612,.F.); #249648=ORIENTED_EDGE('',*,*,#191613,.F.); #249649=ORIENTED_EDGE('',*,*,#191614,.T.); #249650=ORIENTED_EDGE('',*,*,#191613,.T.); #249651=ORIENTED_EDGE('',*,*,#191615,.F.); #249652=ORIENTED_EDGE('',*,*,#191616,.F.); #249653=ORIENTED_EDGE('',*,*,#191617,.T.); #249654=ORIENTED_EDGE('',*,*,#191616,.T.); #249655=ORIENTED_EDGE('',*,*,#191618,.F.); #249656=ORIENTED_EDGE('',*,*,#191619,.F.); #249657=ORIENTED_EDGE('',*,*,#191620,.T.); #249658=ORIENTED_EDGE('',*,*,#191619,.T.); #249659=ORIENTED_EDGE('',*,*,#191621,.F.); #249660=ORIENTED_EDGE('',*,*,#191622,.F.); #249661=ORIENTED_EDGE('',*,*,#191623,.T.); #249662=ORIENTED_EDGE('',*,*,#191622,.T.); #249663=ORIENTED_EDGE('',*,*,#191624,.F.); #249664=ORIENTED_EDGE('',*,*,#191625,.F.); #249665=ORIENTED_EDGE('',*,*,#191626,.T.); #249666=ORIENTED_EDGE('',*,*,#191625,.T.); #249667=ORIENTED_EDGE('',*,*,#191627,.F.); #249668=ORIENTED_EDGE('',*,*,#191628,.F.); #249669=ORIENTED_EDGE('',*,*,#191629,.T.); #249670=ORIENTED_EDGE('',*,*,#191628,.T.); #249671=ORIENTED_EDGE('',*,*,#191630,.F.); #249672=ORIENTED_EDGE('',*,*,#191631,.F.); #249673=ORIENTED_EDGE('',*,*,#191632,.T.); #249674=ORIENTED_EDGE('',*,*,#191631,.T.); #249675=ORIENTED_EDGE('',*,*,#191633,.F.); #249676=ORIENTED_EDGE('',*,*,#191634,.F.); #249677=ORIENTED_EDGE('',*,*,#191635,.T.); #249678=ORIENTED_EDGE('',*,*,#191634,.T.); #249679=ORIENTED_EDGE('',*,*,#191636,.F.); #249680=ORIENTED_EDGE('',*,*,#191637,.F.); #249681=ORIENTED_EDGE('',*,*,#191638,.T.); #249682=ORIENTED_EDGE('',*,*,#191637,.T.); #249683=ORIENTED_EDGE('',*,*,#191639,.F.); #249684=ORIENTED_EDGE('',*,*,#191640,.F.); #249685=ORIENTED_EDGE('',*,*,#191641,.T.); #249686=ORIENTED_EDGE('',*,*,#191640,.T.); #249687=ORIENTED_EDGE('',*,*,#191642,.F.); #249688=ORIENTED_EDGE('',*,*,#191643,.F.); #249689=ORIENTED_EDGE('',*,*,#191644,.T.); #249690=ORIENTED_EDGE('',*,*,#191643,.T.); #249691=ORIENTED_EDGE('',*,*,#191645,.F.); #249692=ORIENTED_EDGE('',*,*,#191646,.F.); #249693=ORIENTED_EDGE('',*,*,#191647,.T.); #249694=ORIENTED_EDGE('',*,*,#191646,.T.); #249695=ORIENTED_EDGE('',*,*,#191648,.F.); #249696=ORIENTED_EDGE('',*,*,#191649,.F.); #249697=ORIENTED_EDGE('',*,*,#191650,.T.); #249698=ORIENTED_EDGE('',*,*,#191649,.T.); #249699=ORIENTED_EDGE('',*,*,#191651,.F.); #249700=ORIENTED_EDGE('',*,*,#191652,.F.); #249701=ORIENTED_EDGE('',*,*,#191653,.T.); #249702=ORIENTED_EDGE('',*,*,#191652,.T.); #249703=ORIENTED_EDGE('',*,*,#191654,.F.); #249704=ORIENTED_EDGE('',*,*,#191655,.F.); #249705=ORIENTED_EDGE('',*,*,#191656,.T.); #249706=ORIENTED_EDGE('',*,*,#191655,.T.); #249707=ORIENTED_EDGE('',*,*,#191657,.F.); #249708=ORIENTED_EDGE('',*,*,#191658,.F.); #249709=ORIENTED_EDGE('',*,*,#191659,.T.); #249710=ORIENTED_EDGE('',*,*,#191658,.T.); #249711=ORIENTED_EDGE('',*,*,#191660,.F.); #249712=ORIENTED_EDGE('',*,*,#191661,.F.); #249713=ORIENTED_EDGE('',*,*,#191662,.T.); #249714=ORIENTED_EDGE('',*,*,#191661,.T.); #249715=ORIENTED_EDGE('',*,*,#191663,.F.); #249716=ORIENTED_EDGE('',*,*,#191664,.F.); #249717=ORIENTED_EDGE('',*,*,#191665,.T.); #249718=ORIENTED_EDGE('',*,*,#191664,.T.); #249719=ORIENTED_EDGE('',*,*,#191666,.F.); #249720=ORIENTED_EDGE('',*,*,#191667,.F.); #249721=ORIENTED_EDGE('',*,*,#191668,.T.); #249722=ORIENTED_EDGE('',*,*,#191667,.T.); #249723=ORIENTED_EDGE('',*,*,#191669,.F.); #249724=ORIENTED_EDGE('',*,*,#191670,.F.); #249725=ORIENTED_EDGE('',*,*,#191671,.T.); #249726=ORIENTED_EDGE('',*,*,#191670,.T.); #249727=ORIENTED_EDGE('',*,*,#191672,.F.); #249728=ORIENTED_EDGE('',*,*,#191673,.F.); #249729=ORIENTED_EDGE('',*,*,#191674,.T.); #249730=ORIENTED_EDGE('',*,*,#191673,.T.); #249731=ORIENTED_EDGE('',*,*,#191675,.F.); #249732=ORIENTED_EDGE('',*,*,#191676,.F.); #249733=ORIENTED_EDGE('',*,*,#191677,.T.); #249734=ORIENTED_EDGE('',*,*,#191676,.T.); #249735=ORIENTED_EDGE('',*,*,#191678,.F.); #249736=ORIENTED_EDGE('',*,*,#191679,.F.); #249737=ORIENTED_EDGE('',*,*,#191680,.T.); #249738=ORIENTED_EDGE('',*,*,#191679,.T.); #249739=ORIENTED_EDGE('',*,*,#191681,.F.); #249740=ORIENTED_EDGE('',*,*,#191682,.F.); #249741=ORIENTED_EDGE('',*,*,#191683,.T.); #249742=ORIENTED_EDGE('',*,*,#191682,.T.); #249743=ORIENTED_EDGE('',*,*,#191684,.F.); #249744=ORIENTED_EDGE('',*,*,#191685,.F.); #249745=ORIENTED_EDGE('',*,*,#191686,.T.); #249746=ORIENTED_EDGE('',*,*,#191685,.T.); #249747=ORIENTED_EDGE('',*,*,#191687,.F.); #249748=ORIENTED_EDGE('',*,*,#191688,.F.); #249749=ORIENTED_EDGE('',*,*,#191689,.T.); #249750=ORIENTED_EDGE('',*,*,#191688,.T.); #249751=ORIENTED_EDGE('',*,*,#191690,.F.); #249752=ORIENTED_EDGE('',*,*,#191691,.F.); #249753=ORIENTED_EDGE('',*,*,#191692,.T.); #249754=ORIENTED_EDGE('',*,*,#191691,.T.); #249755=ORIENTED_EDGE('',*,*,#191693,.F.); #249756=ORIENTED_EDGE('',*,*,#191694,.F.); #249757=ORIENTED_EDGE('',*,*,#191695,.T.); #249758=ORIENTED_EDGE('',*,*,#191694,.T.); #249759=ORIENTED_EDGE('',*,*,#191696,.F.); #249760=ORIENTED_EDGE('',*,*,#191697,.F.); #249761=ORIENTED_EDGE('',*,*,#191698,.T.); #249762=ORIENTED_EDGE('',*,*,#191697,.T.); #249763=ORIENTED_EDGE('',*,*,#191699,.F.); #249764=ORIENTED_EDGE('',*,*,#191700,.F.); #249765=ORIENTED_EDGE('',*,*,#191701,.T.); #249766=ORIENTED_EDGE('',*,*,#191700,.T.); #249767=ORIENTED_EDGE('',*,*,#191702,.F.); #249768=ORIENTED_EDGE('',*,*,#191703,.F.); #249769=ORIENTED_EDGE('',*,*,#191704,.T.); #249770=ORIENTED_EDGE('',*,*,#191703,.T.); #249771=ORIENTED_EDGE('',*,*,#191705,.F.); #249772=ORIENTED_EDGE('',*,*,#191706,.F.); #249773=ORIENTED_EDGE('',*,*,#191707,.T.); #249774=ORIENTED_EDGE('',*,*,#191706,.T.); #249775=ORIENTED_EDGE('',*,*,#191708,.F.); #249776=ORIENTED_EDGE('',*,*,#191608,.F.); #249777=ORIENTED_EDGE('',*,*,#191708,.T.); #249778=ORIENTED_EDGE('',*,*,#191705,.T.); #249779=ORIENTED_EDGE('',*,*,#191702,.T.); #249780=ORIENTED_EDGE('',*,*,#191699,.T.); #249781=ORIENTED_EDGE('',*,*,#191696,.T.); #249782=ORIENTED_EDGE('',*,*,#191693,.T.); #249783=ORIENTED_EDGE('',*,*,#191690,.T.); #249784=ORIENTED_EDGE('',*,*,#191687,.T.); #249785=ORIENTED_EDGE('',*,*,#191684,.T.); #249786=ORIENTED_EDGE('',*,*,#191681,.T.); #249787=ORIENTED_EDGE('',*,*,#191678,.T.); #249788=ORIENTED_EDGE('',*,*,#191675,.T.); #249789=ORIENTED_EDGE('',*,*,#191672,.T.); #249790=ORIENTED_EDGE('',*,*,#191669,.T.); #249791=ORIENTED_EDGE('',*,*,#191666,.T.); #249792=ORIENTED_EDGE('',*,*,#191663,.T.); #249793=ORIENTED_EDGE('',*,*,#191660,.T.); #249794=ORIENTED_EDGE('',*,*,#191657,.T.); #249795=ORIENTED_EDGE('',*,*,#191654,.T.); #249796=ORIENTED_EDGE('',*,*,#191651,.T.); #249797=ORIENTED_EDGE('',*,*,#191648,.T.); #249798=ORIENTED_EDGE('',*,*,#191645,.T.); #249799=ORIENTED_EDGE('',*,*,#191642,.T.); #249800=ORIENTED_EDGE('',*,*,#191639,.T.); #249801=ORIENTED_EDGE('',*,*,#191636,.T.); #249802=ORIENTED_EDGE('',*,*,#191633,.T.); #249803=ORIENTED_EDGE('',*,*,#191630,.T.); #249804=ORIENTED_EDGE('',*,*,#191627,.T.); #249805=ORIENTED_EDGE('',*,*,#191624,.T.); #249806=ORIENTED_EDGE('',*,*,#191621,.T.); #249807=ORIENTED_EDGE('',*,*,#191618,.T.); #249808=ORIENTED_EDGE('',*,*,#191615,.T.); #249809=ORIENTED_EDGE('',*,*,#191612,.T.); #249810=ORIENTED_EDGE('',*,*,#191609,.T.); #249811=ORIENTED_EDGE('',*,*,#191707,.F.); #249812=ORIENTED_EDGE('',*,*,#191607,.F.); #249813=ORIENTED_EDGE('',*,*,#191611,.F.); #249814=ORIENTED_EDGE('',*,*,#191614,.F.); #249815=ORIENTED_EDGE('',*,*,#191617,.F.); #249816=ORIENTED_EDGE('',*,*,#191620,.F.); #249817=ORIENTED_EDGE('',*,*,#191623,.F.); #249818=ORIENTED_EDGE('',*,*,#191626,.F.); #249819=ORIENTED_EDGE('',*,*,#191629,.F.); #249820=ORIENTED_EDGE('',*,*,#191632,.F.); #249821=ORIENTED_EDGE('',*,*,#191635,.F.); #249822=ORIENTED_EDGE('',*,*,#191638,.F.); #249823=ORIENTED_EDGE('',*,*,#191641,.F.); #249824=ORIENTED_EDGE('',*,*,#191644,.F.); #249825=ORIENTED_EDGE('',*,*,#191647,.F.); #249826=ORIENTED_EDGE('',*,*,#191650,.F.); #249827=ORIENTED_EDGE('',*,*,#191653,.F.); #249828=ORIENTED_EDGE('',*,*,#191656,.F.); #249829=ORIENTED_EDGE('',*,*,#191659,.F.); #249830=ORIENTED_EDGE('',*,*,#191662,.F.); #249831=ORIENTED_EDGE('',*,*,#191665,.F.); #249832=ORIENTED_EDGE('',*,*,#191668,.F.); #249833=ORIENTED_EDGE('',*,*,#191671,.F.); #249834=ORIENTED_EDGE('',*,*,#191674,.F.); #249835=ORIENTED_EDGE('',*,*,#191677,.F.); #249836=ORIENTED_EDGE('',*,*,#191680,.F.); #249837=ORIENTED_EDGE('',*,*,#191683,.F.); #249838=ORIENTED_EDGE('',*,*,#191686,.F.); #249839=ORIENTED_EDGE('',*,*,#191689,.F.); #249840=ORIENTED_EDGE('',*,*,#191692,.F.); #249841=ORIENTED_EDGE('',*,*,#191695,.F.); #249842=ORIENTED_EDGE('',*,*,#191698,.F.); #249843=ORIENTED_EDGE('',*,*,#191701,.F.); #249844=ORIENTED_EDGE('',*,*,#191704,.F.); #249845=ORIENTED_EDGE('',*,*,#191709,.T.); #249846=ORIENTED_EDGE('',*,*,#191710,.T.); #249847=ORIENTED_EDGE('',*,*,#191711,.F.); #249848=ORIENTED_EDGE('',*,*,#191712,.F.); #249849=ORIENTED_EDGE('',*,*,#191713,.T.); #249850=ORIENTED_EDGE('',*,*,#191712,.T.); #249851=ORIENTED_EDGE('',*,*,#191714,.F.); #249852=ORIENTED_EDGE('',*,*,#191715,.F.); #249853=ORIENTED_EDGE('',*,*,#191716,.T.); #249854=ORIENTED_EDGE('',*,*,#191715,.T.); #249855=ORIENTED_EDGE('',*,*,#191717,.F.); #249856=ORIENTED_EDGE('',*,*,#191718,.F.); #249857=ORIENTED_EDGE('',*,*,#191719,.T.); #249858=ORIENTED_EDGE('',*,*,#191718,.T.); #249859=ORIENTED_EDGE('',*,*,#191720,.F.); #249860=ORIENTED_EDGE('',*,*,#191721,.F.); #249861=ORIENTED_EDGE('',*,*,#191722,.T.); #249862=ORIENTED_EDGE('',*,*,#191721,.T.); #249863=ORIENTED_EDGE('',*,*,#191723,.F.); #249864=ORIENTED_EDGE('',*,*,#191724,.F.); #249865=ORIENTED_EDGE('',*,*,#191725,.T.); #249866=ORIENTED_EDGE('',*,*,#191724,.T.); #249867=ORIENTED_EDGE('',*,*,#191726,.F.); #249868=ORIENTED_EDGE('',*,*,#191727,.F.); #249869=ORIENTED_EDGE('',*,*,#191728,.T.); #249870=ORIENTED_EDGE('',*,*,#191727,.T.); #249871=ORIENTED_EDGE('',*,*,#191729,.F.); #249872=ORIENTED_EDGE('',*,*,#191730,.F.); #249873=ORIENTED_EDGE('',*,*,#191731,.T.); #249874=ORIENTED_EDGE('',*,*,#191730,.T.); #249875=ORIENTED_EDGE('',*,*,#191732,.F.); #249876=ORIENTED_EDGE('',*,*,#191733,.F.); #249877=ORIENTED_EDGE('',*,*,#191734,.T.); #249878=ORIENTED_EDGE('',*,*,#191733,.T.); #249879=ORIENTED_EDGE('',*,*,#191735,.F.); #249880=ORIENTED_EDGE('',*,*,#191736,.F.); #249881=ORIENTED_EDGE('',*,*,#191737,.T.); #249882=ORIENTED_EDGE('',*,*,#191736,.T.); #249883=ORIENTED_EDGE('',*,*,#191738,.F.); #249884=ORIENTED_EDGE('',*,*,#191739,.F.); #249885=ORIENTED_EDGE('',*,*,#191740,.T.); #249886=ORIENTED_EDGE('',*,*,#191739,.T.); #249887=ORIENTED_EDGE('',*,*,#191741,.F.); #249888=ORIENTED_EDGE('',*,*,#191742,.F.); #249889=ORIENTED_EDGE('',*,*,#191743,.T.); #249890=ORIENTED_EDGE('',*,*,#191742,.T.); #249891=ORIENTED_EDGE('',*,*,#191744,.F.); #249892=ORIENTED_EDGE('',*,*,#191745,.F.); #249893=ORIENTED_EDGE('',*,*,#191746,.T.); #249894=ORIENTED_EDGE('',*,*,#191745,.T.); #249895=ORIENTED_EDGE('',*,*,#191747,.F.); #249896=ORIENTED_EDGE('',*,*,#191748,.F.); #249897=ORIENTED_EDGE('',*,*,#191749,.T.); #249898=ORIENTED_EDGE('',*,*,#191748,.T.); #249899=ORIENTED_EDGE('',*,*,#191750,.F.); #249900=ORIENTED_EDGE('',*,*,#191751,.F.); #249901=ORIENTED_EDGE('',*,*,#191752,.T.); #249902=ORIENTED_EDGE('',*,*,#191751,.T.); #249903=ORIENTED_EDGE('',*,*,#191753,.F.); #249904=ORIENTED_EDGE('',*,*,#191754,.F.); #249905=ORIENTED_EDGE('',*,*,#191755,.T.); #249906=ORIENTED_EDGE('',*,*,#191754,.T.); #249907=ORIENTED_EDGE('',*,*,#191756,.F.); #249908=ORIENTED_EDGE('',*,*,#191757,.F.); #249909=ORIENTED_EDGE('',*,*,#191758,.T.); #249910=ORIENTED_EDGE('',*,*,#191757,.T.); #249911=ORIENTED_EDGE('',*,*,#191759,.F.); #249912=ORIENTED_EDGE('',*,*,#191760,.F.); #249913=ORIENTED_EDGE('',*,*,#191761,.T.); #249914=ORIENTED_EDGE('',*,*,#191760,.T.); #249915=ORIENTED_EDGE('',*,*,#191762,.F.); #249916=ORIENTED_EDGE('',*,*,#191763,.F.); #249917=ORIENTED_EDGE('',*,*,#191764,.T.); #249918=ORIENTED_EDGE('',*,*,#191763,.T.); #249919=ORIENTED_EDGE('',*,*,#191765,.F.); #249920=ORIENTED_EDGE('',*,*,#191766,.F.); #249921=ORIENTED_EDGE('',*,*,#191767,.T.); #249922=ORIENTED_EDGE('',*,*,#191766,.T.); #249923=ORIENTED_EDGE('',*,*,#191768,.F.); #249924=ORIENTED_EDGE('',*,*,#191710,.F.); #249925=ORIENTED_EDGE('',*,*,#191768,.T.); #249926=ORIENTED_EDGE('',*,*,#191765,.T.); #249927=ORIENTED_EDGE('',*,*,#191762,.T.); #249928=ORIENTED_EDGE('',*,*,#191759,.T.); #249929=ORIENTED_EDGE('',*,*,#191756,.T.); #249930=ORIENTED_EDGE('',*,*,#191753,.T.); #249931=ORIENTED_EDGE('',*,*,#191750,.T.); #249932=ORIENTED_EDGE('',*,*,#191747,.T.); #249933=ORIENTED_EDGE('',*,*,#191744,.T.); #249934=ORIENTED_EDGE('',*,*,#191741,.T.); #249935=ORIENTED_EDGE('',*,*,#191738,.T.); #249936=ORIENTED_EDGE('',*,*,#191735,.T.); #249937=ORIENTED_EDGE('',*,*,#191732,.T.); #249938=ORIENTED_EDGE('',*,*,#191729,.T.); #249939=ORIENTED_EDGE('',*,*,#191726,.T.); #249940=ORIENTED_EDGE('',*,*,#191723,.T.); #249941=ORIENTED_EDGE('',*,*,#191720,.T.); #249942=ORIENTED_EDGE('',*,*,#191717,.T.); #249943=ORIENTED_EDGE('',*,*,#191714,.T.); #249944=ORIENTED_EDGE('',*,*,#191711,.T.); #249945=ORIENTED_EDGE('',*,*,#191767,.F.); #249946=ORIENTED_EDGE('',*,*,#191709,.F.); #249947=ORIENTED_EDGE('',*,*,#191713,.F.); #249948=ORIENTED_EDGE('',*,*,#191716,.F.); #249949=ORIENTED_EDGE('',*,*,#191719,.F.); #249950=ORIENTED_EDGE('',*,*,#191722,.F.); #249951=ORIENTED_EDGE('',*,*,#191725,.F.); #249952=ORIENTED_EDGE('',*,*,#191728,.F.); #249953=ORIENTED_EDGE('',*,*,#191731,.F.); #249954=ORIENTED_EDGE('',*,*,#191734,.F.); #249955=ORIENTED_EDGE('',*,*,#191737,.F.); #249956=ORIENTED_EDGE('',*,*,#191740,.F.); #249957=ORIENTED_EDGE('',*,*,#191743,.F.); #249958=ORIENTED_EDGE('',*,*,#191746,.F.); #249959=ORIENTED_EDGE('',*,*,#191749,.F.); #249960=ORIENTED_EDGE('',*,*,#191752,.F.); #249961=ORIENTED_EDGE('',*,*,#191755,.F.); #249962=ORIENTED_EDGE('',*,*,#191758,.F.); #249963=ORIENTED_EDGE('',*,*,#191761,.F.); #249964=ORIENTED_EDGE('',*,*,#191764,.F.); #249965=ORIENTED_EDGE('',*,*,#191769,.T.); #249966=ORIENTED_EDGE('',*,*,#191770,.T.); #249967=ORIENTED_EDGE('',*,*,#191771,.F.); #249968=ORIENTED_EDGE('',*,*,#191772,.F.); #249969=ORIENTED_EDGE('',*,*,#191773,.T.); #249970=ORIENTED_EDGE('',*,*,#191772,.T.); #249971=ORIENTED_EDGE('',*,*,#191774,.F.); #249972=ORIENTED_EDGE('',*,*,#191775,.F.); #249973=ORIENTED_EDGE('',*,*,#191776,.T.); #249974=ORIENTED_EDGE('',*,*,#191775,.T.); #249975=ORIENTED_EDGE('',*,*,#191777,.F.); #249976=ORIENTED_EDGE('',*,*,#191778,.F.); #249977=ORIENTED_EDGE('',*,*,#191779,.T.); #249978=ORIENTED_EDGE('',*,*,#191778,.T.); #249979=ORIENTED_EDGE('',*,*,#191780,.F.); #249980=ORIENTED_EDGE('',*,*,#191781,.F.); #249981=ORIENTED_EDGE('',*,*,#191782,.T.); #249982=ORIENTED_EDGE('',*,*,#191781,.T.); #249983=ORIENTED_EDGE('',*,*,#191783,.F.); #249984=ORIENTED_EDGE('',*,*,#191784,.F.); #249985=ORIENTED_EDGE('',*,*,#191785,.T.); #249986=ORIENTED_EDGE('',*,*,#191784,.T.); #249987=ORIENTED_EDGE('',*,*,#191786,.F.); #249988=ORIENTED_EDGE('',*,*,#191787,.F.); #249989=ORIENTED_EDGE('',*,*,#191788,.T.); #249990=ORIENTED_EDGE('',*,*,#191787,.T.); #249991=ORIENTED_EDGE('',*,*,#191789,.F.); #249992=ORIENTED_EDGE('',*,*,#191790,.F.); #249993=ORIENTED_EDGE('',*,*,#191791,.T.); #249994=ORIENTED_EDGE('',*,*,#191790,.T.); #249995=ORIENTED_EDGE('',*,*,#191792,.F.); #249996=ORIENTED_EDGE('',*,*,#191793,.F.); #249997=ORIENTED_EDGE('',*,*,#191794,.T.); #249998=ORIENTED_EDGE('',*,*,#191793,.T.); #249999=ORIENTED_EDGE('',*,*,#191795,.F.); #250000=ORIENTED_EDGE('',*,*,#191796,.F.); #250001=ORIENTED_EDGE('',*,*,#191797,.T.); #250002=ORIENTED_EDGE('',*,*,#191796,.T.); #250003=ORIENTED_EDGE('',*,*,#191798,.F.); #250004=ORIENTED_EDGE('',*,*,#191799,.F.); #250005=ORIENTED_EDGE('',*,*,#191800,.T.); #250006=ORIENTED_EDGE('',*,*,#191799,.T.); #250007=ORIENTED_EDGE('',*,*,#191801,.F.); #250008=ORIENTED_EDGE('',*,*,#191802,.F.); #250009=ORIENTED_EDGE('',*,*,#191803,.T.); #250010=ORIENTED_EDGE('',*,*,#191802,.T.); #250011=ORIENTED_EDGE('',*,*,#191804,.F.); #250012=ORIENTED_EDGE('',*,*,#191805,.F.); #250013=ORIENTED_EDGE('',*,*,#191806,.T.); #250014=ORIENTED_EDGE('',*,*,#191805,.T.); #250015=ORIENTED_EDGE('',*,*,#191807,.F.); #250016=ORIENTED_EDGE('',*,*,#191808,.F.); #250017=ORIENTED_EDGE('',*,*,#191809,.T.); #250018=ORIENTED_EDGE('',*,*,#191808,.T.); #250019=ORIENTED_EDGE('',*,*,#191810,.F.); #250020=ORIENTED_EDGE('',*,*,#191811,.F.); #250021=ORIENTED_EDGE('',*,*,#191812,.T.); #250022=ORIENTED_EDGE('',*,*,#191811,.T.); #250023=ORIENTED_EDGE('',*,*,#191813,.F.); #250024=ORIENTED_EDGE('',*,*,#191814,.F.); #250025=ORIENTED_EDGE('',*,*,#191815,.T.); #250026=ORIENTED_EDGE('',*,*,#191814,.T.); #250027=ORIENTED_EDGE('',*,*,#191816,.F.); #250028=ORIENTED_EDGE('',*,*,#191817,.F.); #250029=ORIENTED_EDGE('',*,*,#191818,.T.); #250030=ORIENTED_EDGE('',*,*,#191817,.T.); #250031=ORIENTED_EDGE('',*,*,#191819,.F.); #250032=ORIENTED_EDGE('',*,*,#191820,.F.); #250033=ORIENTED_EDGE('',*,*,#191821,.T.); #250034=ORIENTED_EDGE('',*,*,#191820,.T.); #250035=ORIENTED_EDGE('',*,*,#191822,.F.); #250036=ORIENTED_EDGE('',*,*,#191823,.F.); #250037=ORIENTED_EDGE('',*,*,#191824,.T.); #250038=ORIENTED_EDGE('',*,*,#191823,.T.); #250039=ORIENTED_EDGE('',*,*,#191825,.F.); #250040=ORIENTED_EDGE('',*,*,#191826,.F.); #250041=ORIENTED_EDGE('',*,*,#191827,.T.); #250042=ORIENTED_EDGE('',*,*,#191826,.T.); #250043=ORIENTED_EDGE('',*,*,#191828,.F.); #250044=ORIENTED_EDGE('',*,*,#191829,.F.); #250045=ORIENTED_EDGE('',*,*,#191830,.T.); #250046=ORIENTED_EDGE('',*,*,#191829,.T.); #250047=ORIENTED_EDGE('',*,*,#191831,.F.); #250048=ORIENTED_EDGE('',*,*,#191770,.F.); #250049=ORIENTED_EDGE('',*,*,#191831,.T.); #250050=ORIENTED_EDGE('',*,*,#191828,.T.); #250051=ORIENTED_EDGE('',*,*,#191825,.T.); #250052=ORIENTED_EDGE('',*,*,#191822,.T.); #250053=ORIENTED_EDGE('',*,*,#191819,.T.); #250054=ORIENTED_EDGE('',*,*,#191816,.T.); #250055=ORIENTED_EDGE('',*,*,#191813,.T.); #250056=ORIENTED_EDGE('',*,*,#191810,.T.); #250057=ORIENTED_EDGE('',*,*,#191807,.T.); #250058=ORIENTED_EDGE('',*,*,#191804,.T.); #250059=ORIENTED_EDGE('',*,*,#191801,.T.); #250060=ORIENTED_EDGE('',*,*,#191798,.T.); #250061=ORIENTED_EDGE('',*,*,#191795,.T.); #250062=ORIENTED_EDGE('',*,*,#191792,.T.); #250063=ORIENTED_EDGE('',*,*,#191789,.T.); #250064=ORIENTED_EDGE('',*,*,#191786,.T.); #250065=ORIENTED_EDGE('',*,*,#191783,.T.); #250066=ORIENTED_EDGE('',*,*,#191780,.T.); #250067=ORIENTED_EDGE('',*,*,#191777,.T.); #250068=ORIENTED_EDGE('',*,*,#191774,.T.); #250069=ORIENTED_EDGE('',*,*,#191771,.T.); #250070=ORIENTED_EDGE('',*,*,#191830,.F.); #250071=ORIENTED_EDGE('',*,*,#191769,.F.); #250072=ORIENTED_EDGE('',*,*,#191773,.F.); #250073=ORIENTED_EDGE('',*,*,#191776,.F.); #250074=ORIENTED_EDGE('',*,*,#191779,.F.); #250075=ORIENTED_EDGE('',*,*,#191782,.F.); #250076=ORIENTED_EDGE('',*,*,#191785,.F.); #250077=ORIENTED_EDGE('',*,*,#191788,.F.); #250078=ORIENTED_EDGE('',*,*,#191791,.F.); #250079=ORIENTED_EDGE('',*,*,#191794,.F.); #250080=ORIENTED_EDGE('',*,*,#191797,.F.); #250081=ORIENTED_EDGE('',*,*,#191800,.F.); #250082=ORIENTED_EDGE('',*,*,#191803,.F.); #250083=ORIENTED_EDGE('',*,*,#191806,.F.); #250084=ORIENTED_EDGE('',*,*,#191809,.F.); #250085=ORIENTED_EDGE('',*,*,#191812,.F.); #250086=ORIENTED_EDGE('',*,*,#191815,.F.); #250087=ORIENTED_EDGE('',*,*,#191818,.F.); #250088=ORIENTED_EDGE('',*,*,#191821,.F.); #250089=ORIENTED_EDGE('',*,*,#191824,.F.); #250090=ORIENTED_EDGE('',*,*,#191827,.F.); #250091=ORIENTED_EDGE('',*,*,#191832,.F.); #250092=ORIENTED_EDGE('',*,*,#191833,.T.); #250093=ORIENTED_EDGE('',*,*,#191834,.F.); #250094=ORIENTED_EDGE('',*,*,#191833,.F.); #250095=ORIENTED_EDGE('',*,*,#191835,.F.); #250096=ORIENTED_EDGE('',*,*,#191836,.F.); #250097=ORIENTED_EDGE('',*,*,#191837,.F.); #250098=ORIENTED_EDGE('',*,*,#191838,.T.); #250099=ORIENTED_EDGE('',*,*,#191839,.F.); #250100=ORIENTED_EDGE('',*,*,#191838,.F.); #250101=ORIENTED_EDGE('',*,*,#191840,.F.); #250102=ORIENTED_EDGE('',*,*,#191841,.T.); #250103=ORIENTED_EDGE('',*,*,#191842,.F.); #250104=ORIENTED_EDGE('',*,*,#191841,.F.); #250105=ORIENTED_EDGE('',*,*,#191843,.F.); #250106=ORIENTED_EDGE('',*,*,#191844,.T.); #250107=ORIENTED_EDGE('',*,*,#191845,.F.); #250108=ORIENTED_EDGE('',*,*,#191844,.F.); #250109=ORIENTED_EDGE('',*,*,#191846,.F.); #250110=ORIENTED_EDGE('',*,*,#191836,.T.); #250111=ORIENTED_EDGE('',*,*,#191847,.F.); #250112=ORIENTED_EDGE('',*,*,#191848,.T.); #250113=ORIENTED_EDGE('',*,*,#191849,.F.); #250114=ORIENTED_EDGE('',*,*,#191848,.F.); #250115=ORIENTED_EDGE('',*,*,#191850,.F.); #250116=ORIENTED_EDGE('',*,*,#191851,.T.); #250117=ORIENTED_EDGE('',*,*,#191852,.F.); #250118=ORIENTED_EDGE('',*,*,#191851,.F.); #250119=ORIENTED_EDGE('',*,*,#191853,.F.); #250120=ORIENTED_EDGE('',*,*,#191854,.T.); #250121=ORIENTED_EDGE('',*,*,#191855,.F.); #250122=ORIENTED_EDGE('',*,*,#191854,.F.); #250123=ORIENTED_EDGE('',*,*,#191856,.F.); #250124=ORIENTED_EDGE('',*,*,#191857,.T.); #250125=ORIENTED_EDGE('',*,*,#191858,.F.); #250126=ORIENTED_EDGE('',*,*,#191857,.F.); #250127=ORIENTED_EDGE('',*,*,#191859,.F.); #250128=ORIENTED_EDGE('',*,*,#191860,.T.); #250129=ORIENTED_EDGE('',*,*,#191861,.F.); #250130=ORIENTED_EDGE('',*,*,#191860,.F.); #250131=ORIENTED_EDGE('',*,*,#191862,.F.); #250132=ORIENTED_EDGE('',*,*,#191863,.T.); #250133=ORIENTED_EDGE('',*,*,#191864,.F.); #250134=ORIENTED_EDGE('',*,*,#191863,.F.); #250135=ORIENTED_EDGE('',*,*,#191865,.F.); #250136=ORIENTED_EDGE('',*,*,#191866,.T.); #250137=ORIENTED_EDGE('',*,*,#191867,.F.); #250138=ORIENTED_EDGE('',*,*,#191866,.F.); #250139=ORIENTED_EDGE('',*,*,#191868,.F.); #250140=ORIENTED_EDGE('',*,*,#191869,.T.); #250141=ORIENTED_EDGE('',*,*,#191870,.F.); #250142=ORIENTED_EDGE('',*,*,#191869,.F.); #250143=ORIENTED_EDGE('',*,*,#191871,.F.); #250144=ORIENTED_EDGE('',*,*,#191872,.T.); #250145=ORIENTED_EDGE('',*,*,#191873,.F.); #250146=ORIENTED_EDGE('',*,*,#191872,.F.); #250147=ORIENTED_EDGE('',*,*,#191874,.T.); #250148=ORIENTED_EDGE('',*,*,#191875,.T.); #250149=ORIENTED_EDGE('',*,*,#191876,.F.); #250150=ORIENTED_EDGE('',*,*,#191877,.F.); #250151=ORIENTED_EDGE('',*,*,#191878,.T.); #250152=ORIENTED_EDGE('',*,*,#191877,.T.); #250153=ORIENTED_EDGE('',*,*,#191879,.F.); #250154=ORIENTED_EDGE('',*,*,#191880,.F.); #250155=ORIENTED_EDGE('',*,*,#191881,.T.); #250156=ORIENTED_EDGE('',*,*,#191880,.T.); #250157=ORIENTED_EDGE('',*,*,#191882,.F.); #250158=ORIENTED_EDGE('',*,*,#191883,.F.); #250159=ORIENTED_EDGE('',*,*,#191884,.T.); #250160=ORIENTED_EDGE('',*,*,#191883,.T.); #250161=ORIENTED_EDGE('',*,*,#191885,.F.); #250162=ORIENTED_EDGE('',*,*,#191886,.F.); #250163=ORIENTED_EDGE('',*,*,#191887,.T.); #250164=ORIENTED_EDGE('',*,*,#191886,.T.); #250165=ORIENTED_EDGE('',*,*,#191888,.F.); #250166=ORIENTED_EDGE('',*,*,#191889,.F.); #250167=ORIENTED_EDGE('',*,*,#191890,.T.); #250168=ORIENTED_EDGE('',*,*,#191889,.T.); #250169=ORIENTED_EDGE('',*,*,#191891,.F.); #250170=ORIENTED_EDGE('',*,*,#191892,.F.); #250171=ORIENTED_EDGE('',*,*,#191893,.T.); #250172=ORIENTED_EDGE('',*,*,#191892,.T.); #250173=ORIENTED_EDGE('',*,*,#191894,.F.); #250174=ORIENTED_EDGE('',*,*,#191895,.F.); #250175=ORIENTED_EDGE('',*,*,#191896,.T.); #250176=ORIENTED_EDGE('',*,*,#191895,.T.); #250177=ORIENTED_EDGE('',*,*,#191897,.F.); #250178=ORIENTED_EDGE('',*,*,#191898,.F.); #250179=ORIENTED_EDGE('',*,*,#191899,.T.); #250180=ORIENTED_EDGE('',*,*,#191898,.T.); #250181=ORIENTED_EDGE('',*,*,#191900,.F.); #250182=ORIENTED_EDGE('',*,*,#191901,.F.); #250183=ORIENTED_EDGE('',*,*,#191902,.T.); #250184=ORIENTED_EDGE('',*,*,#191901,.T.); #250185=ORIENTED_EDGE('',*,*,#191903,.F.); #250186=ORIENTED_EDGE('',*,*,#191904,.F.); #250187=ORIENTED_EDGE('',*,*,#191905,.T.); #250188=ORIENTED_EDGE('',*,*,#191904,.T.); #250189=ORIENTED_EDGE('',*,*,#191906,.F.); #250190=ORIENTED_EDGE('',*,*,#191907,.F.); #250191=ORIENTED_EDGE('',*,*,#191908,.T.); #250192=ORIENTED_EDGE('',*,*,#191907,.T.); #250193=ORIENTED_EDGE('',*,*,#191909,.F.); #250194=ORIENTED_EDGE('',*,*,#191910,.F.); #250195=ORIENTED_EDGE('',*,*,#191911,.T.); #250196=ORIENTED_EDGE('',*,*,#191910,.T.); #250197=ORIENTED_EDGE('',*,*,#191912,.F.); #250198=ORIENTED_EDGE('',*,*,#191913,.F.); #250199=ORIENTED_EDGE('',*,*,#191914,.T.); #250200=ORIENTED_EDGE('',*,*,#191913,.T.); #250201=ORIENTED_EDGE('',*,*,#191915,.F.); #250202=ORIENTED_EDGE('',*,*,#191916,.F.); #250203=ORIENTED_EDGE('',*,*,#191917,.T.); #250204=ORIENTED_EDGE('',*,*,#191916,.T.); #250205=ORIENTED_EDGE('',*,*,#191918,.F.); #250206=ORIENTED_EDGE('',*,*,#191919,.F.); #250207=ORIENTED_EDGE('',*,*,#191920,.T.); #250208=ORIENTED_EDGE('',*,*,#191919,.T.); #250209=ORIENTED_EDGE('',*,*,#191921,.F.); #250210=ORIENTED_EDGE('',*,*,#191875,.F.); #250211=ORIENTED_EDGE('',*,*,#191922,.T.); #250212=ORIENTED_EDGE('',*,*,#191923,.T.); #250213=ORIENTED_EDGE('',*,*,#191924,.F.); #250214=ORIENTED_EDGE('',*,*,#191925,.F.); #250215=ORIENTED_EDGE('',*,*,#191926,.T.); #250216=ORIENTED_EDGE('',*,*,#191925,.T.); #250217=ORIENTED_EDGE('',*,*,#191927,.F.); #250218=ORIENTED_EDGE('',*,*,#191928,.F.); #250219=ORIENTED_EDGE('',*,*,#191929,.T.); #250220=ORIENTED_EDGE('',*,*,#191928,.T.); #250221=ORIENTED_EDGE('',*,*,#191930,.F.); #250222=ORIENTED_EDGE('',*,*,#191931,.F.); #250223=ORIENTED_EDGE('',*,*,#191932,.T.); #250224=ORIENTED_EDGE('',*,*,#191931,.T.); #250225=ORIENTED_EDGE('',*,*,#191933,.F.); #250226=ORIENTED_EDGE('',*,*,#191934,.F.); #250227=ORIENTED_EDGE('',*,*,#191935,.T.); #250228=ORIENTED_EDGE('',*,*,#191934,.T.); #250229=ORIENTED_EDGE('',*,*,#191936,.F.); #250230=ORIENTED_EDGE('',*,*,#191937,.F.); #250231=ORIENTED_EDGE('',*,*,#191938,.T.); #250232=ORIENTED_EDGE('',*,*,#191937,.T.); #250233=ORIENTED_EDGE('',*,*,#191939,.F.); #250234=ORIENTED_EDGE('',*,*,#191940,.F.); #250235=ORIENTED_EDGE('',*,*,#191941,.T.); #250236=ORIENTED_EDGE('',*,*,#191940,.T.); #250237=ORIENTED_EDGE('',*,*,#191942,.F.); #250238=ORIENTED_EDGE('',*,*,#191943,.F.); #250239=ORIENTED_EDGE('',*,*,#191944,.T.); #250240=ORIENTED_EDGE('',*,*,#191943,.T.); #250241=ORIENTED_EDGE('',*,*,#191945,.F.); #250242=ORIENTED_EDGE('',*,*,#191946,.F.); #250243=ORIENTED_EDGE('',*,*,#191947,.T.); #250244=ORIENTED_EDGE('',*,*,#191946,.T.); #250245=ORIENTED_EDGE('',*,*,#191948,.F.); #250246=ORIENTED_EDGE('',*,*,#191949,.F.); #250247=ORIENTED_EDGE('',*,*,#191950,.T.); #250248=ORIENTED_EDGE('',*,*,#191949,.T.); #250249=ORIENTED_EDGE('',*,*,#191951,.F.); #250250=ORIENTED_EDGE('',*,*,#191952,.F.); #250251=ORIENTED_EDGE('',*,*,#191953,.T.); #250252=ORIENTED_EDGE('',*,*,#191952,.T.); #250253=ORIENTED_EDGE('',*,*,#191954,.F.); #250254=ORIENTED_EDGE('',*,*,#191955,.F.); #250255=ORIENTED_EDGE('',*,*,#191956,.T.); #250256=ORIENTED_EDGE('',*,*,#191955,.T.); #250257=ORIENTED_EDGE('',*,*,#191957,.F.); #250258=ORIENTED_EDGE('',*,*,#191958,.F.); #250259=ORIENTED_EDGE('',*,*,#191959,.T.); #250260=ORIENTED_EDGE('',*,*,#191958,.T.); #250261=ORIENTED_EDGE('',*,*,#191960,.F.); #250262=ORIENTED_EDGE('',*,*,#191961,.F.); #250263=ORIENTED_EDGE('',*,*,#191962,.T.); #250264=ORIENTED_EDGE('',*,*,#191961,.T.); #250265=ORIENTED_EDGE('',*,*,#191963,.F.); #250266=ORIENTED_EDGE('',*,*,#191964,.F.); #250267=ORIENTED_EDGE('',*,*,#191965,.T.); #250268=ORIENTED_EDGE('',*,*,#191964,.T.); #250269=ORIENTED_EDGE('',*,*,#191966,.F.); #250270=ORIENTED_EDGE('',*,*,#191967,.F.); #250271=ORIENTED_EDGE('',*,*,#191968,.T.); #250272=ORIENTED_EDGE('',*,*,#191967,.T.); #250273=ORIENTED_EDGE('',*,*,#191969,.F.); #250274=ORIENTED_EDGE('',*,*,#191970,.F.); #250275=ORIENTED_EDGE('',*,*,#191971,.T.); #250276=ORIENTED_EDGE('',*,*,#191970,.T.); #250277=ORIENTED_EDGE('',*,*,#191972,.F.); #250278=ORIENTED_EDGE('',*,*,#191973,.F.); #250279=ORIENTED_EDGE('',*,*,#191974,.T.); #250280=ORIENTED_EDGE('',*,*,#191973,.T.); #250281=ORIENTED_EDGE('',*,*,#191975,.F.); #250282=ORIENTED_EDGE('',*,*,#191976,.F.); #250283=ORIENTED_EDGE('',*,*,#191977,.T.); #250284=ORIENTED_EDGE('',*,*,#191976,.T.); #250285=ORIENTED_EDGE('',*,*,#191978,.F.); #250286=ORIENTED_EDGE('',*,*,#191979,.F.); #250287=ORIENTED_EDGE('',*,*,#191980,.T.); #250288=ORIENTED_EDGE('',*,*,#191979,.T.); #250289=ORIENTED_EDGE('',*,*,#191981,.F.); #250290=ORIENTED_EDGE('',*,*,#191982,.F.); #250291=ORIENTED_EDGE('',*,*,#191983,.T.); #250292=ORIENTED_EDGE('',*,*,#191982,.T.); #250293=ORIENTED_EDGE('',*,*,#191984,.F.); #250294=ORIENTED_EDGE('',*,*,#191985,.F.); #250295=ORIENTED_EDGE('',*,*,#191986,.T.); #250296=ORIENTED_EDGE('',*,*,#191985,.T.); #250297=ORIENTED_EDGE('',*,*,#191987,.F.); #250298=ORIENTED_EDGE('',*,*,#191988,.F.); #250299=ORIENTED_EDGE('',*,*,#191989,.T.); #250300=ORIENTED_EDGE('',*,*,#191988,.T.); #250301=ORIENTED_EDGE('',*,*,#191990,.F.); #250302=ORIENTED_EDGE('',*,*,#191991,.F.); #250303=ORIENTED_EDGE('',*,*,#191992,.T.); #250304=ORIENTED_EDGE('',*,*,#191991,.T.); #250305=ORIENTED_EDGE('',*,*,#191993,.F.); #250306=ORIENTED_EDGE('',*,*,#191994,.F.); #250307=ORIENTED_EDGE('',*,*,#191995,.T.); #250308=ORIENTED_EDGE('',*,*,#191994,.T.); #250309=ORIENTED_EDGE('',*,*,#191996,.F.); #250310=ORIENTED_EDGE('',*,*,#191997,.F.); #250311=ORIENTED_EDGE('',*,*,#191998,.T.); #250312=ORIENTED_EDGE('',*,*,#191997,.T.); #250313=ORIENTED_EDGE('',*,*,#191999,.F.); #250314=ORIENTED_EDGE('',*,*,#192000,.F.); #250315=ORIENTED_EDGE('',*,*,#192001,.T.); #250316=ORIENTED_EDGE('',*,*,#192000,.T.); #250317=ORIENTED_EDGE('',*,*,#192002,.F.); #250318=ORIENTED_EDGE('',*,*,#192003,.F.); #250319=ORIENTED_EDGE('',*,*,#192004,.T.); #250320=ORIENTED_EDGE('',*,*,#192003,.T.); #250321=ORIENTED_EDGE('',*,*,#192005,.F.); #250322=ORIENTED_EDGE('',*,*,#192006,.F.); #250323=ORIENTED_EDGE('',*,*,#192007,.T.); #250324=ORIENTED_EDGE('',*,*,#192006,.T.); #250325=ORIENTED_EDGE('',*,*,#192008,.F.); #250326=ORIENTED_EDGE('',*,*,#192009,.F.); #250327=ORIENTED_EDGE('',*,*,#192010,.T.); #250328=ORIENTED_EDGE('',*,*,#192009,.T.); #250329=ORIENTED_EDGE('',*,*,#192011,.F.); #250330=ORIENTED_EDGE('',*,*,#192012,.F.); #250331=ORIENTED_EDGE('',*,*,#192013,.T.); #250332=ORIENTED_EDGE('',*,*,#192012,.T.); #250333=ORIENTED_EDGE('',*,*,#192014,.F.); #250334=ORIENTED_EDGE('',*,*,#192015,.F.); #250335=ORIENTED_EDGE('',*,*,#192016,.T.); #250336=ORIENTED_EDGE('',*,*,#192015,.T.); #250337=ORIENTED_EDGE('',*,*,#192017,.F.); #250338=ORIENTED_EDGE('',*,*,#192018,.F.); #250339=ORIENTED_EDGE('',*,*,#192019,.T.); #250340=ORIENTED_EDGE('',*,*,#192018,.T.); #250341=ORIENTED_EDGE('',*,*,#192020,.F.); #250342=ORIENTED_EDGE('',*,*,#192021,.F.); #250343=ORIENTED_EDGE('',*,*,#192022,.T.); #250344=ORIENTED_EDGE('',*,*,#192021,.T.); #250345=ORIENTED_EDGE('',*,*,#192023,.F.); #250346=ORIENTED_EDGE('',*,*,#192024,.F.); #250347=ORIENTED_EDGE('',*,*,#192025,.T.); #250348=ORIENTED_EDGE('',*,*,#192024,.T.); #250349=ORIENTED_EDGE('',*,*,#192026,.F.); #250350=ORIENTED_EDGE('',*,*,#192027,.F.); #250351=ORIENTED_EDGE('',*,*,#192028,.T.); #250352=ORIENTED_EDGE('',*,*,#192027,.T.); #250353=ORIENTED_EDGE('',*,*,#192029,.F.); #250354=ORIENTED_EDGE('',*,*,#192030,.F.); #250355=ORIENTED_EDGE('',*,*,#192031,.T.); #250356=ORIENTED_EDGE('',*,*,#192030,.T.); #250357=ORIENTED_EDGE('',*,*,#192032,.F.); #250358=ORIENTED_EDGE('',*,*,#192033,.F.); #250359=ORIENTED_EDGE('',*,*,#192034,.T.); #250360=ORIENTED_EDGE('',*,*,#192033,.T.); #250361=ORIENTED_EDGE('',*,*,#192035,.F.); #250362=ORIENTED_EDGE('',*,*,#192036,.F.); #250363=ORIENTED_EDGE('',*,*,#192037,.T.); #250364=ORIENTED_EDGE('',*,*,#192036,.T.); #250365=ORIENTED_EDGE('',*,*,#192038,.F.); #250366=ORIENTED_EDGE('',*,*,#192039,.F.); #250367=ORIENTED_EDGE('',*,*,#192040,.T.); #250368=ORIENTED_EDGE('',*,*,#192039,.T.); #250369=ORIENTED_EDGE('',*,*,#192041,.F.); #250370=ORIENTED_EDGE('',*,*,#192042,.F.); #250371=ORIENTED_EDGE('',*,*,#192043,.T.); #250372=ORIENTED_EDGE('',*,*,#192042,.T.); #250373=ORIENTED_EDGE('',*,*,#192044,.F.); #250374=ORIENTED_EDGE('',*,*,#192045,.F.); #250375=ORIENTED_EDGE('',*,*,#192046,.T.); #250376=ORIENTED_EDGE('',*,*,#192045,.T.); #250377=ORIENTED_EDGE('',*,*,#192047,.F.); #250378=ORIENTED_EDGE('',*,*,#192048,.F.); #250379=ORIENTED_EDGE('',*,*,#192049,.T.); #250380=ORIENTED_EDGE('',*,*,#192048,.T.); #250381=ORIENTED_EDGE('',*,*,#192050,.F.); #250382=ORIENTED_EDGE('',*,*,#192051,.F.); #250383=ORIENTED_EDGE('',*,*,#192052,.T.); #250384=ORIENTED_EDGE('',*,*,#192051,.T.); #250385=ORIENTED_EDGE('',*,*,#192053,.F.); #250386=ORIENTED_EDGE('',*,*,#192054,.F.); #250387=ORIENTED_EDGE('',*,*,#192055,.T.); #250388=ORIENTED_EDGE('',*,*,#192054,.T.); #250389=ORIENTED_EDGE('',*,*,#192056,.F.); #250390=ORIENTED_EDGE('',*,*,#192057,.F.); #250391=ORIENTED_EDGE('',*,*,#192058,.T.); #250392=ORIENTED_EDGE('',*,*,#192057,.T.); #250393=ORIENTED_EDGE('',*,*,#192059,.F.); #250394=ORIENTED_EDGE('',*,*,#192060,.F.); #250395=ORIENTED_EDGE('',*,*,#192061,.T.); #250396=ORIENTED_EDGE('',*,*,#192060,.T.); #250397=ORIENTED_EDGE('',*,*,#192062,.F.); #250398=ORIENTED_EDGE('',*,*,#192063,.F.); #250399=ORIENTED_EDGE('',*,*,#192064,.T.); #250400=ORIENTED_EDGE('',*,*,#192063,.T.); #250401=ORIENTED_EDGE('',*,*,#192065,.F.); #250402=ORIENTED_EDGE('',*,*,#192066,.F.); #250403=ORIENTED_EDGE('',*,*,#192067,.T.); #250404=ORIENTED_EDGE('',*,*,#192066,.T.); #250405=ORIENTED_EDGE('',*,*,#192068,.F.); #250406=ORIENTED_EDGE('',*,*,#192069,.F.); #250407=ORIENTED_EDGE('',*,*,#192070,.T.); #250408=ORIENTED_EDGE('',*,*,#192069,.T.); #250409=ORIENTED_EDGE('',*,*,#192071,.F.); #250410=ORIENTED_EDGE('',*,*,#192072,.F.); #250411=ORIENTED_EDGE('',*,*,#192073,.T.); #250412=ORIENTED_EDGE('',*,*,#192072,.T.); #250413=ORIENTED_EDGE('',*,*,#192074,.F.); #250414=ORIENTED_EDGE('',*,*,#192075,.F.); #250415=ORIENTED_EDGE('',*,*,#192076,.T.); #250416=ORIENTED_EDGE('',*,*,#192075,.T.); #250417=ORIENTED_EDGE('',*,*,#192077,.F.); #250418=ORIENTED_EDGE('',*,*,#192078,.F.); #250419=ORIENTED_EDGE('',*,*,#192079,.T.); #250420=ORIENTED_EDGE('',*,*,#192078,.T.); #250421=ORIENTED_EDGE('',*,*,#192080,.F.); #250422=ORIENTED_EDGE('',*,*,#192081,.F.); #250423=ORIENTED_EDGE('',*,*,#192082,.T.); #250424=ORIENTED_EDGE('',*,*,#192081,.T.); #250425=ORIENTED_EDGE('',*,*,#192083,.F.); #250426=ORIENTED_EDGE('',*,*,#192084,.F.); #250427=ORIENTED_EDGE('',*,*,#192085,.T.); #250428=ORIENTED_EDGE('',*,*,#192084,.T.); #250429=ORIENTED_EDGE('',*,*,#192086,.F.); #250430=ORIENTED_EDGE('',*,*,#192087,.F.); #250431=ORIENTED_EDGE('',*,*,#192088,.T.); #250432=ORIENTED_EDGE('',*,*,#192087,.T.); #250433=ORIENTED_EDGE('',*,*,#192089,.F.); #250434=ORIENTED_EDGE('',*,*,#192090,.F.); #250435=ORIENTED_EDGE('',*,*,#192091,.T.); #250436=ORIENTED_EDGE('',*,*,#192090,.T.); #250437=ORIENTED_EDGE('',*,*,#192092,.F.); #250438=ORIENTED_EDGE('',*,*,#192093,.F.); #250439=ORIENTED_EDGE('',*,*,#192094,.T.); #250440=ORIENTED_EDGE('',*,*,#192093,.T.); #250441=ORIENTED_EDGE('',*,*,#192095,.F.); #250442=ORIENTED_EDGE('',*,*,#192096,.F.); #250443=ORIENTED_EDGE('',*,*,#192097,.T.); #250444=ORIENTED_EDGE('',*,*,#192096,.T.); #250445=ORIENTED_EDGE('',*,*,#192098,.F.); #250446=ORIENTED_EDGE('',*,*,#192099,.F.); #250447=ORIENTED_EDGE('',*,*,#192100,.T.); #250448=ORIENTED_EDGE('',*,*,#192099,.T.); #250449=ORIENTED_EDGE('',*,*,#192101,.F.); #250450=ORIENTED_EDGE('',*,*,#192102,.F.); #250451=ORIENTED_EDGE('',*,*,#192103,.T.); #250452=ORIENTED_EDGE('',*,*,#192102,.T.); #250453=ORIENTED_EDGE('',*,*,#192104,.F.); #250454=ORIENTED_EDGE('',*,*,#192105,.F.); #250455=ORIENTED_EDGE('',*,*,#192106,.T.); #250456=ORIENTED_EDGE('',*,*,#192105,.T.); #250457=ORIENTED_EDGE('',*,*,#192107,.F.); #250458=ORIENTED_EDGE('',*,*,#192108,.F.); #250459=ORIENTED_EDGE('',*,*,#192109,.T.); #250460=ORIENTED_EDGE('',*,*,#192108,.T.); #250461=ORIENTED_EDGE('',*,*,#192110,.F.); #250462=ORIENTED_EDGE('',*,*,#192111,.F.); #250463=ORIENTED_EDGE('',*,*,#192112,.T.); #250464=ORIENTED_EDGE('',*,*,#192111,.T.); #250465=ORIENTED_EDGE('',*,*,#192113,.F.); #250466=ORIENTED_EDGE('',*,*,#192114,.F.); #250467=ORIENTED_EDGE('',*,*,#192115,.T.); #250468=ORIENTED_EDGE('',*,*,#192114,.T.); #250469=ORIENTED_EDGE('',*,*,#192116,.F.); #250470=ORIENTED_EDGE('',*,*,#192117,.F.); #250471=ORIENTED_EDGE('',*,*,#192118,.T.); #250472=ORIENTED_EDGE('',*,*,#192117,.T.); #250473=ORIENTED_EDGE('',*,*,#192119,.F.); #250474=ORIENTED_EDGE('',*,*,#192120,.F.); #250475=ORIENTED_EDGE('',*,*,#192121,.T.); #250476=ORIENTED_EDGE('',*,*,#192120,.T.); #250477=ORIENTED_EDGE('',*,*,#192122,.F.); #250478=ORIENTED_EDGE('',*,*,#192123,.F.); #250479=ORIENTED_EDGE('',*,*,#192124,.T.); #250480=ORIENTED_EDGE('',*,*,#192123,.T.); #250481=ORIENTED_EDGE('',*,*,#192125,.F.); #250482=ORIENTED_EDGE('',*,*,#192126,.F.); #250483=ORIENTED_EDGE('',*,*,#192127,.T.); #250484=ORIENTED_EDGE('',*,*,#192126,.T.); #250485=ORIENTED_EDGE('',*,*,#192128,.F.); #250486=ORIENTED_EDGE('',*,*,#192129,.F.); #250487=ORIENTED_EDGE('',*,*,#192130,.T.); #250488=ORIENTED_EDGE('',*,*,#192129,.T.); #250489=ORIENTED_EDGE('',*,*,#192131,.F.); #250490=ORIENTED_EDGE('',*,*,#192132,.F.); #250491=ORIENTED_EDGE('',*,*,#192133,.T.); #250492=ORIENTED_EDGE('',*,*,#192132,.T.); #250493=ORIENTED_EDGE('',*,*,#192134,.F.); #250494=ORIENTED_EDGE('',*,*,#192135,.F.); #250495=ORIENTED_EDGE('',*,*,#192136,.T.); #250496=ORIENTED_EDGE('',*,*,#192135,.T.); #250497=ORIENTED_EDGE('',*,*,#192137,.F.); #250498=ORIENTED_EDGE('',*,*,#192138,.F.); #250499=ORIENTED_EDGE('',*,*,#192139,.T.); #250500=ORIENTED_EDGE('',*,*,#192138,.T.); #250501=ORIENTED_EDGE('',*,*,#192140,.F.); #250502=ORIENTED_EDGE('',*,*,#192141,.F.); #250503=ORIENTED_EDGE('',*,*,#192142,.T.); #250504=ORIENTED_EDGE('',*,*,#192141,.T.); #250505=ORIENTED_EDGE('',*,*,#192143,.F.); #250506=ORIENTED_EDGE('',*,*,#192144,.F.); #250507=ORIENTED_EDGE('',*,*,#192145,.T.); #250508=ORIENTED_EDGE('',*,*,#192144,.T.); #250509=ORIENTED_EDGE('',*,*,#192146,.F.); #250510=ORIENTED_EDGE('',*,*,#192147,.F.); #250511=ORIENTED_EDGE('',*,*,#192148,.T.); #250512=ORIENTED_EDGE('',*,*,#192147,.T.); #250513=ORIENTED_EDGE('',*,*,#192149,.F.); #250514=ORIENTED_EDGE('',*,*,#192150,.F.); #250515=ORIENTED_EDGE('',*,*,#192151,.T.); #250516=ORIENTED_EDGE('',*,*,#192150,.T.); #250517=ORIENTED_EDGE('',*,*,#192152,.F.); #250518=ORIENTED_EDGE('',*,*,#192153,.F.); #250519=ORIENTED_EDGE('',*,*,#192154,.T.); #250520=ORIENTED_EDGE('',*,*,#192153,.T.); #250521=ORIENTED_EDGE('',*,*,#192155,.F.); #250522=ORIENTED_EDGE('',*,*,#192156,.F.); #250523=ORIENTED_EDGE('',*,*,#192157,.T.); #250524=ORIENTED_EDGE('',*,*,#192156,.T.); #250525=ORIENTED_EDGE('',*,*,#192158,.F.); #250526=ORIENTED_EDGE('',*,*,#192159,.F.); #250527=ORIENTED_EDGE('',*,*,#192160,.T.); #250528=ORIENTED_EDGE('',*,*,#192159,.T.); #250529=ORIENTED_EDGE('',*,*,#192161,.F.); #250530=ORIENTED_EDGE('',*,*,#192162,.F.); #250531=ORIENTED_EDGE('',*,*,#192163,.T.); #250532=ORIENTED_EDGE('',*,*,#192162,.T.); #250533=ORIENTED_EDGE('',*,*,#192164,.F.); #250534=ORIENTED_EDGE('',*,*,#192165,.F.); #250535=ORIENTED_EDGE('',*,*,#192166,.T.); #250536=ORIENTED_EDGE('',*,*,#192165,.T.); #250537=ORIENTED_EDGE('',*,*,#192167,.F.); #250538=ORIENTED_EDGE('',*,*,#192168,.F.); #250539=ORIENTED_EDGE('',*,*,#192169,.T.); #250540=ORIENTED_EDGE('',*,*,#192168,.T.); #250541=ORIENTED_EDGE('',*,*,#192170,.F.); #250542=ORIENTED_EDGE('',*,*,#192171,.F.); #250543=ORIENTED_EDGE('',*,*,#192172,.T.); #250544=ORIENTED_EDGE('',*,*,#192171,.T.); #250545=ORIENTED_EDGE('',*,*,#192173,.F.); #250546=ORIENTED_EDGE('',*,*,#192174,.F.); #250547=ORIENTED_EDGE('',*,*,#192175,.T.); #250548=ORIENTED_EDGE('',*,*,#192174,.T.); #250549=ORIENTED_EDGE('',*,*,#192176,.F.); #250550=ORIENTED_EDGE('',*,*,#192177,.F.); #250551=ORIENTED_EDGE('',*,*,#192178,.T.); #250552=ORIENTED_EDGE('',*,*,#192177,.T.); #250553=ORIENTED_EDGE('',*,*,#192179,.F.); #250554=ORIENTED_EDGE('',*,*,#192180,.F.); #250555=ORIENTED_EDGE('',*,*,#192181,.T.); #250556=ORIENTED_EDGE('',*,*,#192180,.T.); #250557=ORIENTED_EDGE('',*,*,#192182,.F.); #250558=ORIENTED_EDGE('',*,*,#192183,.F.); #250559=ORIENTED_EDGE('',*,*,#192184,.T.); #250560=ORIENTED_EDGE('',*,*,#192183,.T.); #250561=ORIENTED_EDGE('',*,*,#192185,.F.); #250562=ORIENTED_EDGE('',*,*,#192186,.F.); #250563=ORIENTED_EDGE('',*,*,#192187,.T.); #250564=ORIENTED_EDGE('',*,*,#192186,.T.); #250565=ORIENTED_EDGE('',*,*,#192188,.F.); #250566=ORIENTED_EDGE('',*,*,#192189,.F.); #250567=ORIENTED_EDGE('',*,*,#192190,.T.); #250568=ORIENTED_EDGE('',*,*,#192189,.T.); #250569=ORIENTED_EDGE('',*,*,#192191,.F.); #250570=ORIENTED_EDGE('',*,*,#192192,.F.); #250571=ORIENTED_EDGE('',*,*,#192193,.T.); #250572=ORIENTED_EDGE('',*,*,#192192,.T.); #250573=ORIENTED_EDGE('',*,*,#192194,.F.); #250574=ORIENTED_EDGE('',*,*,#192195,.F.); #250575=ORIENTED_EDGE('',*,*,#192196,.T.); #250576=ORIENTED_EDGE('',*,*,#192195,.T.); #250577=ORIENTED_EDGE('',*,*,#192197,.F.); #250578=ORIENTED_EDGE('',*,*,#192198,.F.); #250579=ORIENTED_EDGE('',*,*,#192199,.T.); #250580=ORIENTED_EDGE('',*,*,#192198,.T.); #250581=ORIENTED_EDGE('',*,*,#192200,.F.); #250582=ORIENTED_EDGE('',*,*,#192201,.F.); #250583=ORIENTED_EDGE('',*,*,#192202,.T.); #250584=ORIENTED_EDGE('',*,*,#192201,.T.); #250585=ORIENTED_EDGE('',*,*,#192203,.F.); #250586=ORIENTED_EDGE('',*,*,#192204,.F.); #250587=ORIENTED_EDGE('',*,*,#192205,.T.); #250588=ORIENTED_EDGE('',*,*,#192204,.T.); #250589=ORIENTED_EDGE('',*,*,#192206,.F.); #250590=ORIENTED_EDGE('',*,*,#192207,.F.); #250591=ORIENTED_EDGE('',*,*,#192208,.T.); #250592=ORIENTED_EDGE('',*,*,#192207,.T.); #250593=ORIENTED_EDGE('',*,*,#192209,.F.); #250594=ORIENTED_EDGE('',*,*,#192210,.F.); #250595=ORIENTED_EDGE('',*,*,#192211,.T.); #250596=ORIENTED_EDGE('',*,*,#192210,.T.); #250597=ORIENTED_EDGE('',*,*,#192212,.F.); #250598=ORIENTED_EDGE('',*,*,#192213,.F.); #250599=ORIENTED_EDGE('',*,*,#192214,.T.); #250600=ORIENTED_EDGE('',*,*,#192213,.T.); #250601=ORIENTED_EDGE('',*,*,#192215,.F.); #250602=ORIENTED_EDGE('',*,*,#192216,.F.); #250603=ORIENTED_EDGE('',*,*,#192217,.T.); #250604=ORIENTED_EDGE('',*,*,#192216,.T.); #250605=ORIENTED_EDGE('',*,*,#192218,.F.); #250606=ORIENTED_EDGE('',*,*,#192219,.F.); #250607=ORIENTED_EDGE('',*,*,#192220,.T.); #250608=ORIENTED_EDGE('',*,*,#192219,.T.); #250609=ORIENTED_EDGE('',*,*,#192221,.F.); #250610=ORIENTED_EDGE('',*,*,#192222,.F.); #250611=ORIENTED_EDGE('',*,*,#192223,.T.); #250612=ORIENTED_EDGE('',*,*,#192222,.T.); #250613=ORIENTED_EDGE('',*,*,#192224,.F.); #250614=ORIENTED_EDGE('',*,*,#192225,.F.); #250615=ORIENTED_EDGE('',*,*,#192226,.T.); #250616=ORIENTED_EDGE('',*,*,#192225,.T.); #250617=ORIENTED_EDGE('',*,*,#192227,.F.); #250618=ORIENTED_EDGE('',*,*,#192228,.F.); #250619=ORIENTED_EDGE('',*,*,#192229,.T.); #250620=ORIENTED_EDGE('',*,*,#192228,.T.); #250621=ORIENTED_EDGE('',*,*,#192230,.F.); #250622=ORIENTED_EDGE('',*,*,#192231,.F.); #250623=ORIENTED_EDGE('',*,*,#192232,.T.); #250624=ORIENTED_EDGE('',*,*,#192231,.T.); #250625=ORIENTED_EDGE('',*,*,#192233,.F.); #250626=ORIENTED_EDGE('',*,*,#192234,.F.); #250627=ORIENTED_EDGE('',*,*,#192235,.T.); #250628=ORIENTED_EDGE('',*,*,#192234,.T.); #250629=ORIENTED_EDGE('',*,*,#192236,.F.); #250630=ORIENTED_EDGE('',*,*,#192237,.F.); #250631=ORIENTED_EDGE('',*,*,#192238,.T.); #250632=ORIENTED_EDGE('',*,*,#192237,.T.); #250633=ORIENTED_EDGE('',*,*,#192239,.F.); #250634=ORIENTED_EDGE('',*,*,#192240,.F.); #250635=ORIENTED_EDGE('',*,*,#192241,.T.); #250636=ORIENTED_EDGE('',*,*,#192240,.T.); #250637=ORIENTED_EDGE('',*,*,#192242,.F.); #250638=ORIENTED_EDGE('',*,*,#192243,.F.); #250639=ORIENTED_EDGE('',*,*,#192244,.T.); #250640=ORIENTED_EDGE('',*,*,#192243,.T.); #250641=ORIENTED_EDGE('',*,*,#192245,.F.); #250642=ORIENTED_EDGE('',*,*,#192246,.F.); #250643=ORIENTED_EDGE('',*,*,#192247,.T.); #250644=ORIENTED_EDGE('',*,*,#192246,.T.); #250645=ORIENTED_EDGE('',*,*,#192248,.F.); #250646=ORIENTED_EDGE('',*,*,#192249,.F.); #250647=ORIENTED_EDGE('',*,*,#192250,.T.); #250648=ORIENTED_EDGE('',*,*,#192249,.T.); #250649=ORIENTED_EDGE('',*,*,#192251,.F.); #250650=ORIENTED_EDGE('',*,*,#192252,.F.); #250651=ORIENTED_EDGE('',*,*,#192253,.T.); #250652=ORIENTED_EDGE('',*,*,#192252,.T.); #250653=ORIENTED_EDGE('',*,*,#192254,.F.); #250654=ORIENTED_EDGE('',*,*,#192255,.F.); #250655=ORIENTED_EDGE('',*,*,#192256,.T.); #250656=ORIENTED_EDGE('',*,*,#192255,.T.); #250657=ORIENTED_EDGE('',*,*,#192257,.F.); #250658=ORIENTED_EDGE('',*,*,#192258,.F.); #250659=ORIENTED_EDGE('',*,*,#192259,.T.); #250660=ORIENTED_EDGE('',*,*,#192258,.T.); #250661=ORIENTED_EDGE('',*,*,#192260,.F.); #250662=ORIENTED_EDGE('',*,*,#192261,.F.); #250663=ORIENTED_EDGE('',*,*,#192262,.T.); #250664=ORIENTED_EDGE('',*,*,#192261,.T.); #250665=ORIENTED_EDGE('',*,*,#192263,.F.); #250666=ORIENTED_EDGE('',*,*,#192264,.F.); #250667=ORIENTED_EDGE('',*,*,#192265,.T.); #250668=ORIENTED_EDGE('',*,*,#192264,.T.); #250669=ORIENTED_EDGE('',*,*,#192266,.F.); #250670=ORIENTED_EDGE('',*,*,#192267,.F.); #250671=ORIENTED_EDGE('',*,*,#192268,.T.); #250672=ORIENTED_EDGE('',*,*,#192267,.T.); #250673=ORIENTED_EDGE('',*,*,#192269,.F.); #250674=ORIENTED_EDGE('',*,*,#192270,.F.); #250675=ORIENTED_EDGE('',*,*,#192271,.T.); #250676=ORIENTED_EDGE('',*,*,#192270,.T.); #250677=ORIENTED_EDGE('',*,*,#192272,.F.); #250678=ORIENTED_EDGE('',*,*,#192273,.F.); #250679=ORIENTED_EDGE('',*,*,#192274,.T.); #250680=ORIENTED_EDGE('',*,*,#192273,.T.); #250681=ORIENTED_EDGE('',*,*,#192275,.F.); #250682=ORIENTED_EDGE('',*,*,#192276,.F.); #250683=ORIENTED_EDGE('',*,*,#192277,.T.); #250684=ORIENTED_EDGE('',*,*,#192276,.T.); #250685=ORIENTED_EDGE('',*,*,#192278,.F.); #250686=ORIENTED_EDGE('',*,*,#192279,.F.); #250687=ORIENTED_EDGE('',*,*,#192280,.T.); #250688=ORIENTED_EDGE('',*,*,#192279,.T.); #250689=ORIENTED_EDGE('',*,*,#192281,.F.); #250690=ORIENTED_EDGE('',*,*,#192282,.F.); #250691=ORIENTED_EDGE('',*,*,#192283,.T.); #250692=ORIENTED_EDGE('',*,*,#192282,.T.); #250693=ORIENTED_EDGE('',*,*,#192284,.F.); #250694=ORIENTED_EDGE('',*,*,#192285,.F.); #250695=ORIENTED_EDGE('',*,*,#192286,.T.); #250696=ORIENTED_EDGE('',*,*,#192285,.T.); #250697=ORIENTED_EDGE('',*,*,#192287,.F.); #250698=ORIENTED_EDGE('',*,*,#192288,.F.); #250699=ORIENTED_EDGE('',*,*,#192289,.T.); #250700=ORIENTED_EDGE('',*,*,#192288,.T.); #250701=ORIENTED_EDGE('',*,*,#192290,.F.); #250702=ORIENTED_EDGE('',*,*,#192291,.F.); #250703=ORIENTED_EDGE('',*,*,#192292,.T.); #250704=ORIENTED_EDGE('',*,*,#192291,.T.); #250705=ORIENTED_EDGE('',*,*,#192293,.F.); #250706=ORIENTED_EDGE('',*,*,#192294,.F.); #250707=ORIENTED_EDGE('',*,*,#192295,.T.); #250708=ORIENTED_EDGE('',*,*,#192294,.T.); #250709=ORIENTED_EDGE('',*,*,#192296,.F.); #250710=ORIENTED_EDGE('',*,*,#192297,.F.); #250711=ORIENTED_EDGE('',*,*,#192298,.T.); #250712=ORIENTED_EDGE('',*,*,#192297,.T.); #250713=ORIENTED_EDGE('',*,*,#192299,.F.); #250714=ORIENTED_EDGE('',*,*,#192300,.F.); #250715=ORIENTED_EDGE('',*,*,#192301,.T.); #250716=ORIENTED_EDGE('',*,*,#192300,.T.); #250717=ORIENTED_EDGE('',*,*,#192302,.F.); #250718=ORIENTED_EDGE('',*,*,#192303,.F.); #250719=ORIENTED_EDGE('',*,*,#192304,.T.); #250720=ORIENTED_EDGE('',*,*,#192303,.T.); #250721=ORIENTED_EDGE('',*,*,#192305,.F.); #250722=ORIENTED_EDGE('',*,*,#192306,.F.); #250723=ORIENTED_EDGE('',*,*,#192307,.T.); #250724=ORIENTED_EDGE('',*,*,#192306,.T.); #250725=ORIENTED_EDGE('',*,*,#192308,.F.); #250726=ORIENTED_EDGE('',*,*,#192309,.F.); #250727=ORIENTED_EDGE('',*,*,#192310,.T.); #250728=ORIENTED_EDGE('',*,*,#192309,.T.); #250729=ORIENTED_EDGE('',*,*,#192311,.F.); #250730=ORIENTED_EDGE('',*,*,#192312,.F.); #250731=ORIENTED_EDGE('',*,*,#192313,.T.); #250732=ORIENTED_EDGE('',*,*,#192312,.T.); #250733=ORIENTED_EDGE('',*,*,#192314,.F.); #250734=ORIENTED_EDGE('',*,*,#192315,.F.); #250735=ORIENTED_EDGE('',*,*,#192316,.T.); #250736=ORIENTED_EDGE('',*,*,#192315,.T.); #250737=ORIENTED_EDGE('',*,*,#192317,.F.); #250738=ORIENTED_EDGE('',*,*,#192318,.F.); #250739=ORIENTED_EDGE('',*,*,#192319,.T.); #250740=ORIENTED_EDGE('',*,*,#192318,.T.); #250741=ORIENTED_EDGE('',*,*,#192320,.F.); #250742=ORIENTED_EDGE('',*,*,#192321,.F.); #250743=ORIENTED_EDGE('',*,*,#192322,.T.); #250744=ORIENTED_EDGE('',*,*,#192321,.T.); #250745=ORIENTED_EDGE('',*,*,#192323,.F.); #250746=ORIENTED_EDGE('',*,*,#192324,.F.); #250747=ORIENTED_EDGE('',*,*,#192325,.T.); #250748=ORIENTED_EDGE('',*,*,#192324,.T.); #250749=ORIENTED_EDGE('',*,*,#192326,.F.); #250750=ORIENTED_EDGE('',*,*,#192327,.F.); #250751=ORIENTED_EDGE('',*,*,#192328,.T.); #250752=ORIENTED_EDGE('',*,*,#192327,.T.); #250753=ORIENTED_EDGE('',*,*,#192329,.F.); #250754=ORIENTED_EDGE('',*,*,#192330,.F.); #250755=ORIENTED_EDGE('',*,*,#192331,.T.); #250756=ORIENTED_EDGE('',*,*,#192330,.T.); #250757=ORIENTED_EDGE('',*,*,#192332,.F.); #250758=ORIENTED_EDGE('',*,*,#192333,.F.); #250759=ORIENTED_EDGE('',*,*,#192334,.T.); #250760=ORIENTED_EDGE('',*,*,#192333,.T.); #250761=ORIENTED_EDGE('',*,*,#192335,.F.); #250762=ORIENTED_EDGE('',*,*,#192336,.F.); #250763=ORIENTED_EDGE('',*,*,#192337,.T.); #250764=ORIENTED_EDGE('',*,*,#192336,.T.); #250765=ORIENTED_EDGE('',*,*,#192338,.F.); #250766=ORIENTED_EDGE('',*,*,#192339,.F.); #250767=ORIENTED_EDGE('',*,*,#192340,.T.); #250768=ORIENTED_EDGE('',*,*,#192339,.T.); #250769=ORIENTED_EDGE('',*,*,#192341,.F.); #250770=ORIENTED_EDGE('',*,*,#192342,.F.); #250771=ORIENTED_EDGE('',*,*,#192343,.T.); #250772=ORIENTED_EDGE('',*,*,#192342,.T.); #250773=ORIENTED_EDGE('',*,*,#192344,.F.); #250774=ORIENTED_EDGE('',*,*,#192345,.F.); #250775=ORIENTED_EDGE('',*,*,#192346,.T.); #250776=ORIENTED_EDGE('',*,*,#192345,.T.); #250777=ORIENTED_EDGE('',*,*,#192347,.F.); #250778=ORIENTED_EDGE('',*,*,#192348,.F.); #250779=ORIENTED_EDGE('',*,*,#192349,.T.); #250780=ORIENTED_EDGE('',*,*,#192348,.T.); #250781=ORIENTED_EDGE('',*,*,#192350,.F.); #250782=ORIENTED_EDGE('',*,*,#192351,.F.); #250783=ORIENTED_EDGE('',*,*,#192352,.T.); #250784=ORIENTED_EDGE('',*,*,#192351,.T.); #250785=ORIENTED_EDGE('',*,*,#192353,.F.); #250786=ORIENTED_EDGE('',*,*,#192354,.F.); #250787=ORIENTED_EDGE('',*,*,#192355,.T.); #250788=ORIENTED_EDGE('',*,*,#192354,.T.); #250789=ORIENTED_EDGE('',*,*,#192356,.F.); #250790=ORIENTED_EDGE('',*,*,#192357,.F.); #250791=ORIENTED_EDGE('',*,*,#192358,.T.); #250792=ORIENTED_EDGE('',*,*,#192357,.T.); #250793=ORIENTED_EDGE('',*,*,#192359,.F.); #250794=ORIENTED_EDGE('',*,*,#192360,.F.); #250795=ORIENTED_EDGE('',*,*,#192361,.T.); #250796=ORIENTED_EDGE('',*,*,#192360,.T.); #250797=ORIENTED_EDGE('',*,*,#192362,.F.); #250798=ORIENTED_EDGE('',*,*,#192363,.F.); #250799=ORIENTED_EDGE('',*,*,#192364,.T.); #250800=ORIENTED_EDGE('',*,*,#192363,.T.); #250801=ORIENTED_EDGE('',*,*,#192365,.F.); #250802=ORIENTED_EDGE('',*,*,#192366,.F.); #250803=ORIENTED_EDGE('',*,*,#192367,.T.); #250804=ORIENTED_EDGE('',*,*,#192366,.T.); #250805=ORIENTED_EDGE('',*,*,#192368,.F.); #250806=ORIENTED_EDGE('',*,*,#192369,.F.); #250807=ORIENTED_EDGE('',*,*,#192370,.T.); #250808=ORIENTED_EDGE('',*,*,#192369,.T.); #250809=ORIENTED_EDGE('',*,*,#192371,.F.); #250810=ORIENTED_EDGE('',*,*,#192372,.F.); #250811=ORIENTED_EDGE('',*,*,#192373,.T.); #250812=ORIENTED_EDGE('',*,*,#192372,.T.); #250813=ORIENTED_EDGE('',*,*,#192374,.F.); #250814=ORIENTED_EDGE('',*,*,#192375,.F.); #250815=ORIENTED_EDGE('',*,*,#192376,.T.); #250816=ORIENTED_EDGE('',*,*,#192375,.T.); #250817=ORIENTED_EDGE('',*,*,#192377,.F.); #250818=ORIENTED_EDGE('',*,*,#192378,.F.); #250819=ORIENTED_EDGE('',*,*,#192379,.T.); #250820=ORIENTED_EDGE('',*,*,#192378,.T.); #250821=ORIENTED_EDGE('',*,*,#192380,.F.); #250822=ORIENTED_EDGE('',*,*,#192381,.F.); #250823=ORIENTED_EDGE('',*,*,#192382,.T.); #250824=ORIENTED_EDGE('',*,*,#192381,.T.); #250825=ORIENTED_EDGE('',*,*,#192383,.F.); #250826=ORIENTED_EDGE('',*,*,#192384,.F.); #250827=ORIENTED_EDGE('',*,*,#192385,.T.); #250828=ORIENTED_EDGE('',*,*,#192384,.T.); #250829=ORIENTED_EDGE('',*,*,#192386,.F.); #250830=ORIENTED_EDGE('',*,*,#192387,.F.); #250831=ORIENTED_EDGE('',*,*,#192388,.T.); #250832=ORIENTED_EDGE('',*,*,#192387,.T.); #250833=ORIENTED_EDGE('',*,*,#192389,.F.); #250834=ORIENTED_EDGE('',*,*,#192390,.F.); #250835=ORIENTED_EDGE('',*,*,#192391,.T.); #250836=ORIENTED_EDGE('',*,*,#192390,.T.); #250837=ORIENTED_EDGE('',*,*,#192392,.F.); #250838=ORIENTED_EDGE('',*,*,#192393,.F.); #250839=ORIENTED_EDGE('',*,*,#192394,.T.); #250840=ORIENTED_EDGE('',*,*,#192393,.T.); #250841=ORIENTED_EDGE('',*,*,#192395,.F.); #250842=ORIENTED_EDGE('',*,*,#192396,.F.); #250843=ORIENTED_EDGE('',*,*,#192397,.T.); #250844=ORIENTED_EDGE('',*,*,#192396,.T.); #250845=ORIENTED_EDGE('',*,*,#192398,.F.); #250846=ORIENTED_EDGE('',*,*,#192399,.F.); #250847=ORIENTED_EDGE('',*,*,#192400,.T.); #250848=ORIENTED_EDGE('',*,*,#192399,.T.); #250849=ORIENTED_EDGE('',*,*,#192401,.F.); #250850=ORIENTED_EDGE('',*,*,#192402,.F.); #250851=ORIENTED_EDGE('',*,*,#192403,.T.); #250852=ORIENTED_EDGE('',*,*,#192402,.T.); #250853=ORIENTED_EDGE('',*,*,#192404,.F.); #250854=ORIENTED_EDGE('',*,*,#192405,.F.); #250855=ORIENTED_EDGE('',*,*,#192406,.T.); #250856=ORIENTED_EDGE('',*,*,#192405,.T.); #250857=ORIENTED_EDGE('',*,*,#192407,.F.); #250858=ORIENTED_EDGE('',*,*,#192408,.F.); #250859=ORIENTED_EDGE('',*,*,#192409,.T.); #250860=ORIENTED_EDGE('',*,*,#192408,.T.); #250861=ORIENTED_EDGE('',*,*,#192410,.F.); #250862=ORIENTED_EDGE('',*,*,#192411,.F.); #250863=ORIENTED_EDGE('',*,*,#192412,.T.); #250864=ORIENTED_EDGE('',*,*,#192411,.T.); #250865=ORIENTED_EDGE('',*,*,#192413,.F.); #250866=ORIENTED_EDGE('',*,*,#192414,.F.); #250867=ORIENTED_EDGE('',*,*,#192415,.T.); #250868=ORIENTED_EDGE('',*,*,#192414,.T.); #250869=ORIENTED_EDGE('',*,*,#192416,.F.); #250870=ORIENTED_EDGE('',*,*,#192417,.F.); #250871=ORIENTED_EDGE('',*,*,#192418,.T.); #250872=ORIENTED_EDGE('',*,*,#192417,.T.); #250873=ORIENTED_EDGE('',*,*,#192419,.F.); #250874=ORIENTED_EDGE('',*,*,#192420,.F.); #250875=ORIENTED_EDGE('',*,*,#192421,.T.); #250876=ORIENTED_EDGE('',*,*,#192420,.T.); #250877=ORIENTED_EDGE('',*,*,#192422,.F.); #250878=ORIENTED_EDGE('',*,*,#192423,.F.); #250879=ORIENTED_EDGE('',*,*,#192424,.T.); #250880=ORIENTED_EDGE('',*,*,#192423,.T.); #250881=ORIENTED_EDGE('',*,*,#192425,.F.); #250882=ORIENTED_EDGE('',*,*,#192426,.F.); #250883=ORIENTED_EDGE('',*,*,#192427,.T.); #250884=ORIENTED_EDGE('',*,*,#192426,.T.); #250885=ORIENTED_EDGE('',*,*,#192428,.F.); #250886=ORIENTED_EDGE('',*,*,#192429,.F.); #250887=ORIENTED_EDGE('',*,*,#192430,.T.); #250888=ORIENTED_EDGE('',*,*,#192429,.T.); #250889=ORIENTED_EDGE('',*,*,#192431,.F.); #250890=ORIENTED_EDGE('',*,*,#192432,.F.); #250891=ORIENTED_EDGE('',*,*,#192433,.T.); #250892=ORIENTED_EDGE('',*,*,#192432,.T.); #250893=ORIENTED_EDGE('',*,*,#192434,.F.); #250894=ORIENTED_EDGE('',*,*,#192435,.F.); #250895=ORIENTED_EDGE('',*,*,#192436,.T.); #250896=ORIENTED_EDGE('',*,*,#192435,.T.); #250897=ORIENTED_EDGE('',*,*,#192437,.F.); #250898=ORIENTED_EDGE('',*,*,#192438,.F.); #250899=ORIENTED_EDGE('',*,*,#192439,.T.); #250900=ORIENTED_EDGE('',*,*,#192438,.T.); #250901=ORIENTED_EDGE('',*,*,#192440,.F.); #250902=ORIENTED_EDGE('',*,*,#192441,.F.); #250903=ORIENTED_EDGE('',*,*,#192442,.T.); #250904=ORIENTED_EDGE('',*,*,#192441,.T.); #250905=ORIENTED_EDGE('',*,*,#192443,.F.); #250906=ORIENTED_EDGE('',*,*,#192444,.F.); #250907=ORIENTED_EDGE('',*,*,#192445,.T.); #250908=ORIENTED_EDGE('',*,*,#192444,.T.); #250909=ORIENTED_EDGE('',*,*,#192446,.F.); #250910=ORIENTED_EDGE('',*,*,#192447,.F.); #250911=ORIENTED_EDGE('',*,*,#192448,.T.); #250912=ORIENTED_EDGE('',*,*,#192447,.T.); #250913=ORIENTED_EDGE('',*,*,#192449,.F.); #250914=ORIENTED_EDGE('',*,*,#192450,.F.); #250915=ORIENTED_EDGE('',*,*,#192451,.T.); #250916=ORIENTED_EDGE('',*,*,#192450,.T.); #250917=ORIENTED_EDGE('',*,*,#192452,.F.); #250918=ORIENTED_EDGE('',*,*,#192453,.F.); #250919=ORIENTED_EDGE('',*,*,#192454,.T.); #250920=ORIENTED_EDGE('',*,*,#192453,.T.); #250921=ORIENTED_EDGE('',*,*,#192455,.F.); #250922=ORIENTED_EDGE('',*,*,#192456,.F.); #250923=ORIENTED_EDGE('',*,*,#192457,.T.); #250924=ORIENTED_EDGE('',*,*,#192456,.T.); #250925=ORIENTED_EDGE('',*,*,#192458,.F.); #250926=ORIENTED_EDGE('',*,*,#192459,.F.); #250927=ORIENTED_EDGE('',*,*,#192460,.T.); #250928=ORIENTED_EDGE('',*,*,#192459,.T.); #250929=ORIENTED_EDGE('',*,*,#192461,.F.); #250930=ORIENTED_EDGE('',*,*,#192462,.F.); #250931=ORIENTED_EDGE('',*,*,#192463,.T.); #250932=ORIENTED_EDGE('',*,*,#192462,.T.); #250933=ORIENTED_EDGE('',*,*,#192464,.F.); #250934=ORIENTED_EDGE('',*,*,#192465,.F.); #250935=ORIENTED_EDGE('',*,*,#192466,.T.); #250936=ORIENTED_EDGE('',*,*,#192465,.T.); #250937=ORIENTED_EDGE('',*,*,#192467,.F.); #250938=ORIENTED_EDGE('',*,*,#192468,.F.); #250939=ORIENTED_EDGE('',*,*,#192469,.T.); #250940=ORIENTED_EDGE('',*,*,#192468,.T.); #250941=ORIENTED_EDGE('',*,*,#192470,.F.); #250942=ORIENTED_EDGE('',*,*,#192471,.F.); #250943=ORIENTED_EDGE('',*,*,#192472,.T.); #250944=ORIENTED_EDGE('',*,*,#192471,.T.); #250945=ORIENTED_EDGE('',*,*,#192473,.F.); #250946=ORIENTED_EDGE('',*,*,#192474,.F.); #250947=ORIENTED_EDGE('',*,*,#192475,.T.); #250948=ORIENTED_EDGE('',*,*,#192474,.T.); #250949=ORIENTED_EDGE('',*,*,#192476,.F.); #250950=ORIENTED_EDGE('',*,*,#192477,.F.); #250951=ORIENTED_EDGE('',*,*,#192478,.T.); #250952=ORIENTED_EDGE('',*,*,#192477,.T.); #250953=ORIENTED_EDGE('',*,*,#192479,.F.); #250954=ORIENTED_EDGE('',*,*,#192480,.F.); #250955=ORIENTED_EDGE('',*,*,#192481,.T.); #250956=ORIENTED_EDGE('',*,*,#192480,.T.); #250957=ORIENTED_EDGE('',*,*,#192482,.F.); #250958=ORIENTED_EDGE('',*,*,#192483,.F.); #250959=ORIENTED_EDGE('',*,*,#192484,.T.); #250960=ORIENTED_EDGE('',*,*,#192483,.T.); #250961=ORIENTED_EDGE('',*,*,#192485,.F.); #250962=ORIENTED_EDGE('',*,*,#192486,.F.); #250963=ORIENTED_EDGE('',*,*,#192487,.T.); #250964=ORIENTED_EDGE('',*,*,#192486,.T.); #250965=ORIENTED_EDGE('',*,*,#192488,.F.); #250966=ORIENTED_EDGE('',*,*,#192489,.F.); #250967=ORIENTED_EDGE('',*,*,#192490,.T.); #250968=ORIENTED_EDGE('',*,*,#192489,.T.); #250969=ORIENTED_EDGE('',*,*,#192491,.F.); #250970=ORIENTED_EDGE('',*,*,#192492,.F.); #250971=ORIENTED_EDGE('',*,*,#192493,.T.); #250972=ORIENTED_EDGE('',*,*,#192492,.T.); #250973=ORIENTED_EDGE('',*,*,#192494,.F.); #250974=ORIENTED_EDGE('',*,*,#192495,.F.); #250975=ORIENTED_EDGE('',*,*,#192496,.T.); #250976=ORIENTED_EDGE('',*,*,#192495,.T.); #250977=ORIENTED_EDGE('',*,*,#192497,.F.); #250978=ORIENTED_EDGE('',*,*,#192498,.F.); #250979=ORIENTED_EDGE('',*,*,#192499,.T.); #250980=ORIENTED_EDGE('',*,*,#192498,.T.); #250981=ORIENTED_EDGE('',*,*,#192500,.F.); #250982=ORIENTED_EDGE('',*,*,#192501,.F.); #250983=ORIENTED_EDGE('',*,*,#192502,.T.); #250984=ORIENTED_EDGE('',*,*,#192501,.T.); #250985=ORIENTED_EDGE('',*,*,#192503,.F.); #250986=ORIENTED_EDGE('',*,*,#192504,.F.); #250987=ORIENTED_EDGE('',*,*,#192505,.T.); #250988=ORIENTED_EDGE('',*,*,#192504,.T.); #250989=ORIENTED_EDGE('',*,*,#192506,.F.); #250990=ORIENTED_EDGE('',*,*,#192507,.F.); #250991=ORIENTED_EDGE('',*,*,#192508,.T.); #250992=ORIENTED_EDGE('',*,*,#192507,.T.); #250993=ORIENTED_EDGE('',*,*,#192509,.F.); #250994=ORIENTED_EDGE('',*,*,#192510,.F.); #250995=ORIENTED_EDGE('',*,*,#192511,.T.); #250996=ORIENTED_EDGE('',*,*,#192510,.T.); #250997=ORIENTED_EDGE('',*,*,#192512,.F.); #250998=ORIENTED_EDGE('',*,*,#192513,.F.); #250999=ORIENTED_EDGE('',*,*,#192514,.T.); #251000=ORIENTED_EDGE('',*,*,#192513,.T.); #251001=ORIENTED_EDGE('',*,*,#192515,.F.); #251002=ORIENTED_EDGE('',*,*,#192516,.F.); #251003=ORIENTED_EDGE('',*,*,#192517,.T.); #251004=ORIENTED_EDGE('',*,*,#192516,.T.); #251005=ORIENTED_EDGE('',*,*,#192518,.F.); #251006=ORIENTED_EDGE('',*,*,#192519,.F.); #251007=ORIENTED_EDGE('',*,*,#192520,.T.); #251008=ORIENTED_EDGE('',*,*,#192519,.T.); #251009=ORIENTED_EDGE('',*,*,#192521,.F.); #251010=ORIENTED_EDGE('',*,*,#192522,.F.); #251011=ORIENTED_EDGE('',*,*,#192523,.T.); #251012=ORIENTED_EDGE('',*,*,#192522,.T.); #251013=ORIENTED_EDGE('',*,*,#192524,.F.); #251014=ORIENTED_EDGE('',*,*,#192525,.F.); #251015=ORIENTED_EDGE('',*,*,#192526,.T.); #251016=ORIENTED_EDGE('',*,*,#192525,.T.); #251017=ORIENTED_EDGE('',*,*,#192527,.F.); #251018=ORIENTED_EDGE('',*,*,#192528,.F.); #251019=ORIENTED_EDGE('',*,*,#192529,.T.); #251020=ORIENTED_EDGE('',*,*,#192528,.T.); #251021=ORIENTED_EDGE('',*,*,#192530,.F.); #251022=ORIENTED_EDGE('',*,*,#192531,.F.); #251023=ORIENTED_EDGE('',*,*,#192532,.T.); #251024=ORIENTED_EDGE('',*,*,#192531,.T.); #251025=ORIENTED_EDGE('',*,*,#192533,.F.); #251026=ORIENTED_EDGE('',*,*,#192534,.F.); #251027=ORIENTED_EDGE('',*,*,#192535,.T.); #251028=ORIENTED_EDGE('',*,*,#192534,.T.); #251029=ORIENTED_EDGE('',*,*,#192536,.F.); #251030=ORIENTED_EDGE('',*,*,#192537,.F.); #251031=ORIENTED_EDGE('',*,*,#192538,.T.); #251032=ORIENTED_EDGE('',*,*,#192537,.T.); #251033=ORIENTED_EDGE('',*,*,#192539,.F.); #251034=ORIENTED_EDGE('',*,*,#192540,.F.); #251035=ORIENTED_EDGE('',*,*,#192541,.T.); #251036=ORIENTED_EDGE('',*,*,#192540,.T.); #251037=ORIENTED_EDGE('',*,*,#192542,.F.); #251038=ORIENTED_EDGE('',*,*,#192543,.F.); #251039=ORIENTED_EDGE('',*,*,#192544,.T.); #251040=ORIENTED_EDGE('',*,*,#192543,.T.); #251041=ORIENTED_EDGE('',*,*,#192545,.F.); #251042=ORIENTED_EDGE('',*,*,#192546,.F.); #251043=ORIENTED_EDGE('',*,*,#192547,.T.); #251044=ORIENTED_EDGE('',*,*,#192546,.T.); #251045=ORIENTED_EDGE('',*,*,#192548,.F.); #251046=ORIENTED_EDGE('',*,*,#192549,.F.); #251047=ORIENTED_EDGE('',*,*,#192550,.T.); #251048=ORIENTED_EDGE('',*,*,#192549,.T.); #251049=ORIENTED_EDGE('',*,*,#192551,.F.); #251050=ORIENTED_EDGE('',*,*,#192552,.F.); #251051=ORIENTED_EDGE('',*,*,#192553,.T.); #251052=ORIENTED_EDGE('',*,*,#192552,.T.); #251053=ORIENTED_EDGE('',*,*,#192554,.F.); #251054=ORIENTED_EDGE('',*,*,#191923,.F.); #251055=ORIENTED_EDGE('',*,*,#192554,.T.); #251056=ORIENTED_EDGE('',*,*,#192551,.T.); #251057=ORIENTED_EDGE('',*,*,#192548,.T.); #251058=ORIENTED_EDGE('',*,*,#192545,.T.); #251059=ORIENTED_EDGE('',*,*,#192542,.T.); #251060=ORIENTED_EDGE('',*,*,#192539,.T.); #251061=ORIENTED_EDGE('',*,*,#192536,.T.); #251062=ORIENTED_EDGE('',*,*,#192533,.T.); #251063=ORIENTED_EDGE('',*,*,#192530,.T.); #251064=ORIENTED_EDGE('',*,*,#192527,.T.); #251065=ORIENTED_EDGE('',*,*,#192524,.T.); #251066=ORIENTED_EDGE('',*,*,#192521,.T.); #251067=ORIENTED_EDGE('',*,*,#192518,.T.); #251068=ORIENTED_EDGE('',*,*,#192515,.T.); #251069=ORIENTED_EDGE('',*,*,#192512,.T.); #251070=ORIENTED_EDGE('',*,*,#192509,.T.); #251071=ORIENTED_EDGE('',*,*,#192506,.T.); #251072=ORIENTED_EDGE('',*,*,#192503,.T.); #251073=ORIENTED_EDGE('',*,*,#192500,.T.); #251074=ORIENTED_EDGE('',*,*,#192497,.T.); #251075=ORIENTED_EDGE('',*,*,#192494,.T.); #251076=ORIENTED_EDGE('',*,*,#192491,.T.); #251077=ORIENTED_EDGE('',*,*,#192488,.T.); #251078=ORIENTED_EDGE('',*,*,#192485,.T.); #251079=ORIENTED_EDGE('',*,*,#192482,.T.); #251080=ORIENTED_EDGE('',*,*,#192479,.T.); #251081=ORIENTED_EDGE('',*,*,#192476,.T.); #251082=ORIENTED_EDGE('',*,*,#192473,.T.); #251083=ORIENTED_EDGE('',*,*,#192470,.T.); #251084=ORIENTED_EDGE('',*,*,#192467,.T.); #251085=ORIENTED_EDGE('',*,*,#192464,.T.); #251086=ORIENTED_EDGE('',*,*,#192461,.T.); #251087=ORIENTED_EDGE('',*,*,#192458,.T.); #251088=ORIENTED_EDGE('',*,*,#192455,.T.); #251089=ORIENTED_EDGE('',*,*,#192452,.T.); #251090=ORIENTED_EDGE('',*,*,#192449,.T.); #251091=ORIENTED_EDGE('',*,*,#192446,.T.); #251092=ORIENTED_EDGE('',*,*,#192443,.T.); #251093=ORIENTED_EDGE('',*,*,#192440,.T.); #251094=ORIENTED_EDGE('',*,*,#192437,.T.); #251095=ORIENTED_EDGE('',*,*,#192434,.T.); #251096=ORIENTED_EDGE('',*,*,#192431,.T.); #251097=ORIENTED_EDGE('',*,*,#192428,.T.); #251098=ORIENTED_EDGE('',*,*,#192425,.T.); #251099=ORIENTED_EDGE('',*,*,#192422,.T.); #251100=ORIENTED_EDGE('',*,*,#192419,.T.); #251101=ORIENTED_EDGE('',*,*,#192416,.T.); #251102=ORIENTED_EDGE('',*,*,#192413,.T.); #251103=ORIENTED_EDGE('',*,*,#192410,.T.); #251104=ORIENTED_EDGE('',*,*,#192407,.T.); #251105=ORIENTED_EDGE('',*,*,#192404,.T.); #251106=ORIENTED_EDGE('',*,*,#192401,.T.); #251107=ORIENTED_EDGE('',*,*,#192398,.T.); #251108=ORIENTED_EDGE('',*,*,#192395,.T.); #251109=ORIENTED_EDGE('',*,*,#192392,.T.); #251110=ORIENTED_EDGE('',*,*,#192389,.T.); #251111=ORIENTED_EDGE('',*,*,#192386,.T.); #251112=ORIENTED_EDGE('',*,*,#192383,.T.); #251113=ORIENTED_EDGE('',*,*,#192380,.T.); #251114=ORIENTED_EDGE('',*,*,#192377,.T.); #251115=ORIENTED_EDGE('',*,*,#192374,.T.); #251116=ORIENTED_EDGE('',*,*,#192371,.T.); #251117=ORIENTED_EDGE('',*,*,#192368,.T.); #251118=ORIENTED_EDGE('',*,*,#192365,.T.); #251119=ORIENTED_EDGE('',*,*,#192362,.T.); #251120=ORIENTED_EDGE('',*,*,#192359,.T.); #251121=ORIENTED_EDGE('',*,*,#192356,.T.); #251122=ORIENTED_EDGE('',*,*,#192353,.T.); #251123=ORIENTED_EDGE('',*,*,#192350,.T.); #251124=ORIENTED_EDGE('',*,*,#192347,.T.); #251125=ORIENTED_EDGE('',*,*,#192344,.T.); #251126=ORIENTED_EDGE('',*,*,#192341,.T.); #251127=ORIENTED_EDGE('',*,*,#192338,.T.); #251128=ORIENTED_EDGE('',*,*,#192335,.T.); #251129=ORIENTED_EDGE('',*,*,#192332,.T.); #251130=ORIENTED_EDGE('',*,*,#192329,.T.); #251131=ORIENTED_EDGE('',*,*,#192326,.T.); #251132=ORIENTED_EDGE('',*,*,#192323,.T.); #251133=ORIENTED_EDGE('',*,*,#192320,.T.); #251134=ORIENTED_EDGE('',*,*,#192317,.T.); #251135=ORIENTED_EDGE('',*,*,#192314,.T.); #251136=ORIENTED_EDGE('',*,*,#192311,.T.); #251137=ORIENTED_EDGE('',*,*,#192308,.T.); #251138=ORIENTED_EDGE('',*,*,#192305,.T.); #251139=ORIENTED_EDGE('',*,*,#192302,.T.); #251140=ORIENTED_EDGE('',*,*,#192299,.T.); #251141=ORIENTED_EDGE('',*,*,#192296,.T.); #251142=ORIENTED_EDGE('',*,*,#192293,.T.); #251143=ORIENTED_EDGE('',*,*,#192290,.T.); #251144=ORIENTED_EDGE('',*,*,#192287,.T.); #251145=ORIENTED_EDGE('',*,*,#192284,.T.); #251146=ORIENTED_EDGE('',*,*,#192281,.T.); #251147=ORIENTED_EDGE('',*,*,#192278,.T.); #251148=ORIENTED_EDGE('',*,*,#192275,.T.); #251149=ORIENTED_EDGE('',*,*,#192272,.T.); #251150=ORIENTED_EDGE('',*,*,#192269,.T.); #251151=ORIENTED_EDGE('',*,*,#192266,.T.); #251152=ORIENTED_EDGE('',*,*,#192263,.T.); #251153=ORIENTED_EDGE('',*,*,#192260,.T.); #251154=ORIENTED_EDGE('',*,*,#192257,.T.); #251155=ORIENTED_EDGE('',*,*,#192254,.T.); #251156=ORIENTED_EDGE('',*,*,#192251,.T.); #251157=ORIENTED_EDGE('',*,*,#192248,.T.); #251158=ORIENTED_EDGE('',*,*,#192245,.T.); #251159=ORIENTED_EDGE('',*,*,#192242,.T.); #251160=ORIENTED_EDGE('',*,*,#192239,.T.); #251161=ORIENTED_EDGE('',*,*,#192236,.T.); #251162=ORIENTED_EDGE('',*,*,#192233,.T.); #251163=ORIENTED_EDGE('',*,*,#192230,.T.); #251164=ORIENTED_EDGE('',*,*,#192227,.T.); #251165=ORIENTED_EDGE('',*,*,#192224,.T.); #251166=ORIENTED_EDGE('',*,*,#192221,.T.); #251167=ORIENTED_EDGE('',*,*,#192218,.T.); #251168=ORIENTED_EDGE('',*,*,#192215,.T.); #251169=ORIENTED_EDGE('',*,*,#192212,.T.); #251170=ORIENTED_EDGE('',*,*,#192209,.T.); #251171=ORIENTED_EDGE('',*,*,#192206,.T.); #251172=ORIENTED_EDGE('',*,*,#192203,.T.); #251173=ORIENTED_EDGE('',*,*,#192200,.T.); #251174=ORIENTED_EDGE('',*,*,#192197,.T.); #251175=ORIENTED_EDGE('',*,*,#192194,.T.); #251176=ORIENTED_EDGE('',*,*,#192191,.T.); #251177=ORIENTED_EDGE('',*,*,#192188,.T.); #251178=ORIENTED_EDGE('',*,*,#192185,.T.); #251179=ORIENTED_EDGE('',*,*,#192182,.T.); #251180=ORIENTED_EDGE('',*,*,#192179,.T.); #251181=ORIENTED_EDGE('',*,*,#192176,.T.); #251182=ORIENTED_EDGE('',*,*,#192173,.T.); #251183=ORIENTED_EDGE('',*,*,#192170,.T.); #251184=ORIENTED_EDGE('',*,*,#192167,.T.); #251185=ORIENTED_EDGE('',*,*,#192164,.T.); #251186=ORIENTED_EDGE('',*,*,#192161,.T.); #251187=ORIENTED_EDGE('',*,*,#192158,.T.); #251188=ORIENTED_EDGE('',*,*,#192155,.T.); #251189=ORIENTED_EDGE('',*,*,#192152,.T.); #251190=ORIENTED_EDGE('',*,*,#192149,.T.); #251191=ORIENTED_EDGE('',*,*,#192146,.T.); #251192=ORIENTED_EDGE('',*,*,#192143,.T.); #251193=ORIENTED_EDGE('',*,*,#192140,.T.); #251194=ORIENTED_EDGE('',*,*,#192137,.T.); #251195=ORIENTED_EDGE('',*,*,#192134,.T.); #251196=ORIENTED_EDGE('',*,*,#192131,.T.); #251197=ORIENTED_EDGE('',*,*,#192128,.T.); #251198=ORIENTED_EDGE('',*,*,#192125,.T.); #251199=ORIENTED_EDGE('',*,*,#192122,.T.); #251200=ORIENTED_EDGE('',*,*,#192119,.T.); #251201=ORIENTED_EDGE('',*,*,#192116,.T.); #251202=ORIENTED_EDGE('',*,*,#192113,.T.); #251203=ORIENTED_EDGE('',*,*,#192110,.T.); #251204=ORIENTED_EDGE('',*,*,#192107,.T.); #251205=ORIENTED_EDGE('',*,*,#192104,.T.); #251206=ORIENTED_EDGE('',*,*,#192101,.T.); #251207=ORIENTED_EDGE('',*,*,#192098,.T.); #251208=ORIENTED_EDGE('',*,*,#192095,.T.); #251209=ORIENTED_EDGE('',*,*,#192092,.T.); #251210=ORIENTED_EDGE('',*,*,#192089,.T.); #251211=ORIENTED_EDGE('',*,*,#192086,.T.); #251212=ORIENTED_EDGE('',*,*,#192083,.T.); #251213=ORIENTED_EDGE('',*,*,#192080,.T.); #251214=ORIENTED_EDGE('',*,*,#192077,.T.); #251215=ORIENTED_EDGE('',*,*,#192074,.T.); #251216=ORIENTED_EDGE('',*,*,#192071,.T.); #251217=ORIENTED_EDGE('',*,*,#192068,.T.); #251218=ORIENTED_EDGE('',*,*,#192065,.T.); #251219=ORIENTED_EDGE('',*,*,#192062,.T.); #251220=ORIENTED_EDGE('',*,*,#192059,.T.); #251221=ORIENTED_EDGE('',*,*,#192056,.T.); #251222=ORIENTED_EDGE('',*,*,#192053,.T.); #251223=ORIENTED_EDGE('',*,*,#192050,.T.); #251224=ORIENTED_EDGE('',*,*,#192047,.T.); #251225=ORIENTED_EDGE('',*,*,#192044,.T.); #251226=ORIENTED_EDGE('',*,*,#192041,.T.); #251227=ORIENTED_EDGE('',*,*,#192038,.T.); #251228=ORIENTED_EDGE('',*,*,#192035,.T.); #251229=ORIENTED_EDGE('',*,*,#192032,.T.); #251230=ORIENTED_EDGE('',*,*,#192029,.T.); #251231=ORIENTED_EDGE('',*,*,#192026,.T.); #251232=ORIENTED_EDGE('',*,*,#192023,.T.); #251233=ORIENTED_EDGE('',*,*,#192020,.T.); #251234=ORIENTED_EDGE('',*,*,#192017,.T.); #251235=ORIENTED_EDGE('',*,*,#192014,.T.); #251236=ORIENTED_EDGE('',*,*,#192011,.T.); #251237=ORIENTED_EDGE('',*,*,#192008,.T.); #251238=ORIENTED_EDGE('',*,*,#192005,.T.); #251239=ORIENTED_EDGE('',*,*,#192002,.T.); #251240=ORIENTED_EDGE('',*,*,#191999,.T.); #251241=ORIENTED_EDGE('',*,*,#191996,.T.); #251242=ORIENTED_EDGE('',*,*,#191993,.T.); #251243=ORIENTED_EDGE('',*,*,#191990,.T.); #251244=ORIENTED_EDGE('',*,*,#191987,.T.); #251245=ORIENTED_EDGE('',*,*,#191984,.T.); #251246=ORIENTED_EDGE('',*,*,#191981,.T.); #251247=ORIENTED_EDGE('',*,*,#191978,.T.); #251248=ORIENTED_EDGE('',*,*,#191975,.T.); #251249=ORIENTED_EDGE('',*,*,#191972,.T.); #251250=ORIENTED_EDGE('',*,*,#191969,.T.); #251251=ORIENTED_EDGE('',*,*,#191966,.T.); #251252=ORIENTED_EDGE('',*,*,#191963,.T.); #251253=ORIENTED_EDGE('',*,*,#191960,.T.); #251254=ORIENTED_EDGE('',*,*,#191957,.T.); #251255=ORIENTED_EDGE('',*,*,#191954,.T.); #251256=ORIENTED_EDGE('',*,*,#191951,.T.); #251257=ORIENTED_EDGE('',*,*,#191948,.T.); #251258=ORIENTED_EDGE('',*,*,#191945,.T.); #251259=ORIENTED_EDGE('',*,*,#191942,.T.); #251260=ORIENTED_EDGE('',*,*,#191939,.T.); #251261=ORIENTED_EDGE('',*,*,#191936,.T.); #251262=ORIENTED_EDGE('',*,*,#191933,.T.); #251263=ORIENTED_EDGE('',*,*,#191930,.T.); #251264=ORIENTED_EDGE('',*,*,#191927,.T.); #251265=ORIENTED_EDGE('',*,*,#191924,.T.); #251266=ORIENTED_EDGE('',*,*,#191834,.T.); #251267=ORIENTED_EDGE('',*,*,#191842,.T.); #251268=ORIENTED_EDGE('',*,*,#191845,.T.); #251269=ORIENTED_EDGE('',*,*,#191835,.T.); #251270=ORIENTED_EDGE('',*,*,#191839,.T.); #251271=ORIENTED_EDGE('',*,*,#191849,.T.); #251272=ORIENTED_EDGE('',*,*,#191852,.T.); #251273=ORIENTED_EDGE('',*,*,#191855,.T.); #251274=ORIENTED_EDGE('',*,*,#191858,.T.); #251275=ORIENTED_EDGE('',*,*,#191861,.T.); #251276=ORIENTED_EDGE('',*,*,#191864,.T.); #251277=ORIENTED_EDGE('',*,*,#191867,.T.); #251278=ORIENTED_EDGE('',*,*,#191870,.T.); #251279=ORIENTED_EDGE('',*,*,#191873,.T.); #251280=ORIENTED_EDGE('',*,*,#191921,.T.); #251281=ORIENTED_EDGE('',*,*,#191918,.T.); #251282=ORIENTED_EDGE('',*,*,#191915,.T.); #251283=ORIENTED_EDGE('',*,*,#191912,.T.); #251284=ORIENTED_EDGE('',*,*,#191909,.T.); #251285=ORIENTED_EDGE('',*,*,#191906,.T.); #251286=ORIENTED_EDGE('',*,*,#191903,.T.); #251287=ORIENTED_EDGE('',*,*,#191900,.T.); #251288=ORIENTED_EDGE('',*,*,#191897,.T.); #251289=ORIENTED_EDGE('',*,*,#191894,.T.); #251290=ORIENTED_EDGE('',*,*,#191891,.T.); #251291=ORIENTED_EDGE('',*,*,#191888,.T.); #251292=ORIENTED_EDGE('',*,*,#191885,.T.); #251293=ORIENTED_EDGE('',*,*,#191882,.T.); #251294=ORIENTED_EDGE('',*,*,#191879,.T.); #251295=ORIENTED_EDGE('',*,*,#191876,.T.); #251296=ORIENTED_EDGE('',*,*,#192553,.F.); #251297=ORIENTED_EDGE('',*,*,#191922,.F.); #251298=ORIENTED_EDGE('',*,*,#191926,.F.); #251299=ORIENTED_EDGE('',*,*,#191929,.F.); #251300=ORIENTED_EDGE('',*,*,#191932,.F.); #251301=ORIENTED_EDGE('',*,*,#191935,.F.); #251302=ORIENTED_EDGE('',*,*,#191938,.F.); #251303=ORIENTED_EDGE('',*,*,#191941,.F.); #251304=ORIENTED_EDGE('',*,*,#191944,.F.); #251305=ORIENTED_EDGE('',*,*,#191947,.F.); #251306=ORIENTED_EDGE('',*,*,#191950,.F.); #251307=ORIENTED_EDGE('',*,*,#191953,.F.); #251308=ORIENTED_EDGE('',*,*,#191956,.F.); #251309=ORIENTED_EDGE('',*,*,#191959,.F.); #251310=ORIENTED_EDGE('',*,*,#191962,.F.); #251311=ORIENTED_EDGE('',*,*,#191965,.F.); #251312=ORIENTED_EDGE('',*,*,#191968,.F.); #251313=ORIENTED_EDGE('',*,*,#191971,.F.); #251314=ORIENTED_EDGE('',*,*,#191974,.F.); #251315=ORIENTED_EDGE('',*,*,#191977,.F.); #251316=ORIENTED_EDGE('',*,*,#191980,.F.); #251317=ORIENTED_EDGE('',*,*,#191983,.F.); #251318=ORIENTED_EDGE('',*,*,#191986,.F.); #251319=ORIENTED_EDGE('',*,*,#191989,.F.); #251320=ORIENTED_EDGE('',*,*,#191992,.F.); #251321=ORIENTED_EDGE('',*,*,#191995,.F.); #251322=ORIENTED_EDGE('',*,*,#191998,.F.); #251323=ORIENTED_EDGE('',*,*,#192001,.F.); #251324=ORIENTED_EDGE('',*,*,#192004,.F.); #251325=ORIENTED_EDGE('',*,*,#192007,.F.); #251326=ORIENTED_EDGE('',*,*,#192010,.F.); #251327=ORIENTED_EDGE('',*,*,#192013,.F.); #251328=ORIENTED_EDGE('',*,*,#192016,.F.); #251329=ORIENTED_EDGE('',*,*,#192019,.F.); #251330=ORIENTED_EDGE('',*,*,#192022,.F.); #251331=ORIENTED_EDGE('',*,*,#192025,.F.); #251332=ORIENTED_EDGE('',*,*,#192028,.F.); #251333=ORIENTED_EDGE('',*,*,#192031,.F.); #251334=ORIENTED_EDGE('',*,*,#192034,.F.); #251335=ORIENTED_EDGE('',*,*,#192037,.F.); #251336=ORIENTED_EDGE('',*,*,#192040,.F.); #251337=ORIENTED_EDGE('',*,*,#192043,.F.); #251338=ORIENTED_EDGE('',*,*,#192046,.F.); #251339=ORIENTED_EDGE('',*,*,#192049,.F.); #251340=ORIENTED_EDGE('',*,*,#192052,.F.); #251341=ORIENTED_EDGE('',*,*,#192055,.F.); #251342=ORIENTED_EDGE('',*,*,#192058,.F.); #251343=ORIENTED_EDGE('',*,*,#192061,.F.); #251344=ORIENTED_EDGE('',*,*,#192064,.F.); #251345=ORIENTED_EDGE('',*,*,#192067,.F.); #251346=ORIENTED_EDGE('',*,*,#192070,.F.); #251347=ORIENTED_EDGE('',*,*,#192073,.F.); #251348=ORIENTED_EDGE('',*,*,#192076,.F.); #251349=ORIENTED_EDGE('',*,*,#192079,.F.); #251350=ORIENTED_EDGE('',*,*,#192082,.F.); #251351=ORIENTED_EDGE('',*,*,#192085,.F.); #251352=ORIENTED_EDGE('',*,*,#192088,.F.); #251353=ORIENTED_EDGE('',*,*,#192091,.F.); #251354=ORIENTED_EDGE('',*,*,#192094,.F.); #251355=ORIENTED_EDGE('',*,*,#192097,.F.); #251356=ORIENTED_EDGE('',*,*,#192100,.F.); #251357=ORIENTED_EDGE('',*,*,#192103,.F.); #251358=ORIENTED_EDGE('',*,*,#192106,.F.); #251359=ORIENTED_EDGE('',*,*,#192109,.F.); #251360=ORIENTED_EDGE('',*,*,#192112,.F.); #251361=ORIENTED_EDGE('',*,*,#192115,.F.); #251362=ORIENTED_EDGE('',*,*,#192118,.F.); #251363=ORIENTED_EDGE('',*,*,#192121,.F.); #251364=ORIENTED_EDGE('',*,*,#192124,.F.); #251365=ORIENTED_EDGE('',*,*,#192127,.F.); #251366=ORIENTED_EDGE('',*,*,#192130,.F.); #251367=ORIENTED_EDGE('',*,*,#192133,.F.); #251368=ORIENTED_EDGE('',*,*,#192136,.F.); #251369=ORIENTED_EDGE('',*,*,#192139,.F.); #251370=ORIENTED_EDGE('',*,*,#192142,.F.); #251371=ORIENTED_EDGE('',*,*,#192145,.F.); #251372=ORIENTED_EDGE('',*,*,#192148,.F.); #251373=ORIENTED_EDGE('',*,*,#192151,.F.); #251374=ORIENTED_EDGE('',*,*,#192154,.F.); #251375=ORIENTED_EDGE('',*,*,#192157,.F.); #251376=ORIENTED_EDGE('',*,*,#192160,.F.); #251377=ORIENTED_EDGE('',*,*,#192163,.F.); #251378=ORIENTED_EDGE('',*,*,#192166,.F.); #251379=ORIENTED_EDGE('',*,*,#192169,.F.); #251380=ORIENTED_EDGE('',*,*,#192172,.F.); #251381=ORIENTED_EDGE('',*,*,#192175,.F.); #251382=ORIENTED_EDGE('',*,*,#192178,.F.); #251383=ORIENTED_EDGE('',*,*,#192181,.F.); #251384=ORIENTED_EDGE('',*,*,#192184,.F.); #251385=ORIENTED_EDGE('',*,*,#192187,.F.); #251386=ORIENTED_EDGE('',*,*,#192190,.F.); #251387=ORIENTED_EDGE('',*,*,#192193,.F.); #251388=ORIENTED_EDGE('',*,*,#192196,.F.); #251389=ORIENTED_EDGE('',*,*,#192199,.F.); #251390=ORIENTED_EDGE('',*,*,#192202,.F.); #251391=ORIENTED_EDGE('',*,*,#192205,.F.); #251392=ORIENTED_EDGE('',*,*,#192208,.F.); #251393=ORIENTED_EDGE('',*,*,#192211,.F.); #251394=ORIENTED_EDGE('',*,*,#192214,.F.); #251395=ORIENTED_EDGE('',*,*,#192217,.F.); #251396=ORIENTED_EDGE('',*,*,#192220,.F.); #251397=ORIENTED_EDGE('',*,*,#192223,.F.); #251398=ORIENTED_EDGE('',*,*,#192226,.F.); #251399=ORIENTED_EDGE('',*,*,#192229,.F.); #251400=ORIENTED_EDGE('',*,*,#192232,.F.); #251401=ORIENTED_EDGE('',*,*,#192235,.F.); #251402=ORIENTED_EDGE('',*,*,#192238,.F.); #251403=ORIENTED_EDGE('',*,*,#192241,.F.); #251404=ORIENTED_EDGE('',*,*,#192244,.F.); #251405=ORIENTED_EDGE('',*,*,#192247,.F.); #251406=ORIENTED_EDGE('',*,*,#192250,.F.); #251407=ORIENTED_EDGE('',*,*,#192253,.F.); #251408=ORIENTED_EDGE('',*,*,#192256,.F.); #251409=ORIENTED_EDGE('',*,*,#192259,.F.); #251410=ORIENTED_EDGE('',*,*,#192262,.F.); #251411=ORIENTED_EDGE('',*,*,#192265,.F.); #251412=ORIENTED_EDGE('',*,*,#192268,.F.); #251413=ORIENTED_EDGE('',*,*,#192271,.F.); #251414=ORIENTED_EDGE('',*,*,#192274,.F.); #251415=ORIENTED_EDGE('',*,*,#192277,.F.); #251416=ORIENTED_EDGE('',*,*,#192280,.F.); #251417=ORIENTED_EDGE('',*,*,#192283,.F.); #251418=ORIENTED_EDGE('',*,*,#192286,.F.); #251419=ORIENTED_EDGE('',*,*,#192289,.F.); #251420=ORIENTED_EDGE('',*,*,#192292,.F.); #251421=ORIENTED_EDGE('',*,*,#192295,.F.); #251422=ORIENTED_EDGE('',*,*,#192298,.F.); #251423=ORIENTED_EDGE('',*,*,#192301,.F.); #251424=ORIENTED_EDGE('',*,*,#192304,.F.); #251425=ORIENTED_EDGE('',*,*,#192307,.F.); #251426=ORIENTED_EDGE('',*,*,#192310,.F.); #251427=ORIENTED_EDGE('',*,*,#192313,.F.); #251428=ORIENTED_EDGE('',*,*,#192316,.F.); #251429=ORIENTED_EDGE('',*,*,#192319,.F.); #251430=ORIENTED_EDGE('',*,*,#192322,.F.); #251431=ORIENTED_EDGE('',*,*,#192325,.F.); #251432=ORIENTED_EDGE('',*,*,#192328,.F.); #251433=ORIENTED_EDGE('',*,*,#192331,.F.); #251434=ORIENTED_EDGE('',*,*,#192334,.F.); #251435=ORIENTED_EDGE('',*,*,#192337,.F.); #251436=ORIENTED_EDGE('',*,*,#192340,.F.); #251437=ORIENTED_EDGE('',*,*,#192343,.F.); #251438=ORIENTED_EDGE('',*,*,#192346,.F.); #251439=ORIENTED_EDGE('',*,*,#192349,.F.); #251440=ORIENTED_EDGE('',*,*,#192352,.F.); #251441=ORIENTED_EDGE('',*,*,#192355,.F.); #251442=ORIENTED_EDGE('',*,*,#192358,.F.); #251443=ORIENTED_EDGE('',*,*,#192361,.F.); #251444=ORIENTED_EDGE('',*,*,#192364,.F.); #251445=ORIENTED_EDGE('',*,*,#192367,.F.); #251446=ORIENTED_EDGE('',*,*,#192370,.F.); #251447=ORIENTED_EDGE('',*,*,#192373,.F.); #251448=ORIENTED_EDGE('',*,*,#192376,.F.); #251449=ORIENTED_EDGE('',*,*,#192379,.F.); #251450=ORIENTED_EDGE('',*,*,#192382,.F.); #251451=ORIENTED_EDGE('',*,*,#192385,.F.); #251452=ORIENTED_EDGE('',*,*,#192388,.F.); #251453=ORIENTED_EDGE('',*,*,#192391,.F.); #251454=ORIENTED_EDGE('',*,*,#192394,.F.); #251455=ORIENTED_EDGE('',*,*,#192397,.F.); #251456=ORIENTED_EDGE('',*,*,#192400,.F.); #251457=ORIENTED_EDGE('',*,*,#192403,.F.); #251458=ORIENTED_EDGE('',*,*,#192406,.F.); #251459=ORIENTED_EDGE('',*,*,#192409,.F.); #251460=ORIENTED_EDGE('',*,*,#192412,.F.); #251461=ORIENTED_EDGE('',*,*,#192415,.F.); #251462=ORIENTED_EDGE('',*,*,#192418,.F.); #251463=ORIENTED_EDGE('',*,*,#192421,.F.); #251464=ORIENTED_EDGE('',*,*,#192424,.F.); #251465=ORIENTED_EDGE('',*,*,#192427,.F.); #251466=ORIENTED_EDGE('',*,*,#192430,.F.); #251467=ORIENTED_EDGE('',*,*,#192433,.F.); #251468=ORIENTED_EDGE('',*,*,#192436,.F.); #251469=ORIENTED_EDGE('',*,*,#192439,.F.); #251470=ORIENTED_EDGE('',*,*,#192442,.F.); #251471=ORIENTED_EDGE('',*,*,#192445,.F.); #251472=ORIENTED_EDGE('',*,*,#192448,.F.); #251473=ORIENTED_EDGE('',*,*,#192451,.F.); #251474=ORIENTED_EDGE('',*,*,#192454,.F.); #251475=ORIENTED_EDGE('',*,*,#192457,.F.); #251476=ORIENTED_EDGE('',*,*,#192460,.F.); #251477=ORIENTED_EDGE('',*,*,#192463,.F.); #251478=ORIENTED_EDGE('',*,*,#192466,.F.); #251479=ORIENTED_EDGE('',*,*,#192469,.F.); #251480=ORIENTED_EDGE('',*,*,#192472,.F.); #251481=ORIENTED_EDGE('',*,*,#192475,.F.); #251482=ORIENTED_EDGE('',*,*,#192478,.F.); #251483=ORIENTED_EDGE('',*,*,#192481,.F.); #251484=ORIENTED_EDGE('',*,*,#192484,.F.); #251485=ORIENTED_EDGE('',*,*,#192487,.F.); #251486=ORIENTED_EDGE('',*,*,#192490,.F.); #251487=ORIENTED_EDGE('',*,*,#192493,.F.); #251488=ORIENTED_EDGE('',*,*,#192496,.F.); #251489=ORIENTED_EDGE('',*,*,#192499,.F.); #251490=ORIENTED_EDGE('',*,*,#192502,.F.); #251491=ORIENTED_EDGE('',*,*,#192505,.F.); #251492=ORIENTED_EDGE('',*,*,#192508,.F.); #251493=ORIENTED_EDGE('',*,*,#192511,.F.); #251494=ORIENTED_EDGE('',*,*,#192514,.F.); #251495=ORIENTED_EDGE('',*,*,#192517,.F.); #251496=ORIENTED_EDGE('',*,*,#192520,.F.); #251497=ORIENTED_EDGE('',*,*,#192523,.F.); #251498=ORIENTED_EDGE('',*,*,#192526,.F.); #251499=ORIENTED_EDGE('',*,*,#192529,.F.); #251500=ORIENTED_EDGE('',*,*,#192532,.F.); #251501=ORIENTED_EDGE('',*,*,#192535,.F.); #251502=ORIENTED_EDGE('',*,*,#192538,.F.); #251503=ORIENTED_EDGE('',*,*,#192541,.F.); #251504=ORIENTED_EDGE('',*,*,#192544,.F.); #251505=ORIENTED_EDGE('',*,*,#192547,.F.); #251506=ORIENTED_EDGE('',*,*,#192550,.F.); #251507=ORIENTED_EDGE('',*,*,#191832,.T.); #251508=ORIENTED_EDGE('',*,*,#191843,.T.); #251509=ORIENTED_EDGE('',*,*,#191840,.T.); #251510=ORIENTED_EDGE('',*,*,#191837,.T.); #251511=ORIENTED_EDGE('',*,*,#191846,.T.); #251512=ORIENTED_EDGE('',*,*,#191847,.T.); #251513=ORIENTED_EDGE('',*,*,#191850,.T.); #251514=ORIENTED_EDGE('',*,*,#191853,.T.); #251515=ORIENTED_EDGE('',*,*,#191856,.T.); #251516=ORIENTED_EDGE('',*,*,#191859,.T.); #251517=ORIENTED_EDGE('',*,*,#191862,.T.); #251518=ORIENTED_EDGE('',*,*,#191865,.T.); #251519=ORIENTED_EDGE('',*,*,#191868,.T.); #251520=ORIENTED_EDGE('',*,*,#191871,.T.); #251521=ORIENTED_EDGE('',*,*,#191920,.F.); #251522=ORIENTED_EDGE('',*,*,#191874,.F.); #251523=ORIENTED_EDGE('',*,*,#191878,.F.); #251524=ORIENTED_EDGE('',*,*,#191881,.F.); #251525=ORIENTED_EDGE('',*,*,#191884,.F.); #251526=ORIENTED_EDGE('',*,*,#191887,.F.); #251527=ORIENTED_EDGE('',*,*,#191890,.F.); #251528=ORIENTED_EDGE('',*,*,#191893,.F.); #251529=ORIENTED_EDGE('',*,*,#191896,.F.); #251530=ORIENTED_EDGE('',*,*,#191899,.F.); #251531=ORIENTED_EDGE('',*,*,#191902,.F.); #251532=ORIENTED_EDGE('',*,*,#191905,.F.); #251533=ORIENTED_EDGE('',*,*,#191908,.F.); #251534=ORIENTED_EDGE('',*,*,#191911,.F.); #251535=ORIENTED_EDGE('',*,*,#191914,.F.); #251536=ORIENTED_EDGE('',*,*,#191917,.F.); #251537=ORIENTED_EDGE('',*,*,#192555,.T.); #251538=ORIENTED_EDGE('',*,*,#192556,.T.); #251539=ORIENTED_EDGE('',*,*,#192557,.F.); #251540=ORIENTED_EDGE('',*,*,#192558,.F.); #251541=ORIENTED_EDGE('',*,*,#192559,.T.); #251542=ORIENTED_EDGE('',*,*,#192558,.T.); #251543=ORIENTED_EDGE('',*,*,#192560,.F.); #251544=ORIENTED_EDGE('',*,*,#192561,.F.); #251545=ORIENTED_EDGE('',*,*,#192562,.T.); #251546=ORIENTED_EDGE('',*,*,#192561,.T.); #251547=ORIENTED_EDGE('',*,*,#192563,.F.); #251548=ORIENTED_EDGE('',*,*,#192564,.F.); #251549=ORIENTED_EDGE('',*,*,#192565,.T.); #251550=ORIENTED_EDGE('',*,*,#192564,.T.); #251551=ORIENTED_EDGE('',*,*,#192566,.F.); #251552=ORIENTED_EDGE('',*,*,#192567,.F.); #251553=ORIENTED_EDGE('',*,*,#192568,.T.); #251554=ORIENTED_EDGE('',*,*,#192567,.T.); #251555=ORIENTED_EDGE('',*,*,#192569,.F.); #251556=ORIENTED_EDGE('',*,*,#192570,.F.); #251557=ORIENTED_EDGE('',*,*,#192571,.T.); #251558=ORIENTED_EDGE('',*,*,#192570,.T.); #251559=ORIENTED_EDGE('',*,*,#192572,.F.); #251560=ORIENTED_EDGE('',*,*,#192573,.F.); #251561=ORIENTED_EDGE('',*,*,#192574,.T.); #251562=ORIENTED_EDGE('',*,*,#192573,.T.); #251563=ORIENTED_EDGE('',*,*,#192575,.F.); #251564=ORIENTED_EDGE('',*,*,#192576,.F.); #251565=ORIENTED_EDGE('',*,*,#192577,.T.); #251566=ORIENTED_EDGE('',*,*,#192576,.T.); #251567=ORIENTED_EDGE('',*,*,#192578,.F.); #251568=ORIENTED_EDGE('',*,*,#192579,.F.); #251569=ORIENTED_EDGE('',*,*,#192580,.T.); #251570=ORIENTED_EDGE('',*,*,#192579,.T.); #251571=ORIENTED_EDGE('',*,*,#192581,.F.); #251572=ORIENTED_EDGE('',*,*,#192582,.F.); #251573=ORIENTED_EDGE('',*,*,#192583,.T.); #251574=ORIENTED_EDGE('',*,*,#192582,.T.); #251575=ORIENTED_EDGE('',*,*,#192584,.F.); #251576=ORIENTED_EDGE('',*,*,#192585,.F.); #251577=ORIENTED_EDGE('',*,*,#192586,.T.); #251578=ORIENTED_EDGE('',*,*,#192585,.T.); #251579=ORIENTED_EDGE('',*,*,#192587,.F.); #251580=ORIENTED_EDGE('',*,*,#192588,.F.); #251581=ORIENTED_EDGE('',*,*,#192589,.T.); #251582=ORIENTED_EDGE('',*,*,#192588,.T.); #251583=ORIENTED_EDGE('',*,*,#192590,.F.); #251584=ORIENTED_EDGE('',*,*,#192591,.F.); #251585=ORIENTED_EDGE('',*,*,#192592,.T.); #251586=ORIENTED_EDGE('',*,*,#192591,.T.); #251587=ORIENTED_EDGE('',*,*,#192593,.F.); #251588=ORIENTED_EDGE('',*,*,#192594,.F.); #251589=ORIENTED_EDGE('',*,*,#192595,.T.); #251590=ORIENTED_EDGE('',*,*,#192594,.T.); #251591=ORIENTED_EDGE('',*,*,#192596,.F.); #251592=ORIENTED_EDGE('',*,*,#192597,.F.); #251593=ORIENTED_EDGE('',*,*,#192598,.T.); #251594=ORIENTED_EDGE('',*,*,#192597,.T.); #251595=ORIENTED_EDGE('',*,*,#192599,.F.); #251596=ORIENTED_EDGE('',*,*,#192600,.F.); #251597=ORIENTED_EDGE('',*,*,#192601,.T.); #251598=ORIENTED_EDGE('',*,*,#192600,.T.); #251599=ORIENTED_EDGE('',*,*,#192602,.F.); #251600=ORIENTED_EDGE('',*,*,#192603,.F.); #251601=ORIENTED_EDGE('',*,*,#192604,.T.); #251602=ORIENTED_EDGE('',*,*,#192603,.T.); #251603=ORIENTED_EDGE('',*,*,#192605,.F.); #251604=ORIENTED_EDGE('',*,*,#192606,.F.); #251605=ORIENTED_EDGE('',*,*,#192607,.T.); #251606=ORIENTED_EDGE('',*,*,#192606,.T.); #251607=ORIENTED_EDGE('',*,*,#192608,.F.); #251608=ORIENTED_EDGE('',*,*,#192609,.F.); #251609=ORIENTED_EDGE('',*,*,#192610,.T.); #251610=ORIENTED_EDGE('',*,*,#192609,.T.); #251611=ORIENTED_EDGE('',*,*,#192611,.F.); #251612=ORIENTED_EDGE('',*,*,#192612,.F.); #251613=ORIENTED_EDGE('',*,*,#192613,.T.); #251614=ORIENTED_EDGE('',*,*,#192612,.T.); #251615=ORIENTED_EDGE('',*,*,#192614,.F.); #251616=ORIENTED_EDGE('',*,*,#192615,.F.); #251617=ORIENTED_EDGE('',*,*,#192616,.T.); #251618=ORIENTED_EDGE('',*,*,#192615,.T.); #251619=ORIENTED_EDGE('',*,*,#192617,.F.); #251620=ORIENTED_EDGE('',*,*,#192556,.F.); #251621=ORIENTED_EDGE('',*,*,#192617,.T.); #251622=ORIENTED_EDGE('',*,*,#192614,.T.); #251623=ORIENTED_EDGE('',*,*,#192611,.T.); #251624=ORIENTED_EDGE('',*,*,#192608,.T.); #251625=ORIENTED_EDGE('',*,*,#192605,.T.); #251626=ORIENTED_EDGE('',*,*,#192602,.T.); #251627=ORIENTED_EDGE('',*,*,#192599,.T.); #251628=ORIENTED_EDGE('',*,*,#192596,.T.); #251629=ORIENTED_EDGE('',*,*,#192593,.T.); #251630=ORIENTED_EDGE('',*,*,#192590,.T.); #251631=ORIENTED_EDGE('',*,*,#192587,.T.); #251632=ORIENTED_EDGE('',*,*,#192584,.T.); #251633=ORIENTED_EDGE('',*,*,#192581,.T.); #251634=ORIENTED_EDGE('',*,*,#192578,.T.); #251635=ORIENTED_EDGE('',*,*,#192575,.T.); #251636=ORIENTED_EDGE('',*,*,#192572,.T.); #251637=ORIENTED_EDGE('',*,*,#192569,.T.); #251638=ORIENTED_EDGE('',*,*,#192566,.T.); #251639=ORIENTED_EDGE('',*,*,#192563,.T.); #251640=ORIENTED_EDGE('',*,*,#192560,.T.); #251641=ORIENTED_EDGE('',*,*,#192557,.T.); #251642=ORIENTED_EDGE('',*,*,#192616,.F.); #251643=ORIENTED_EDGE('',*,*,#192555,.F.); #251644=ORIENTED_EDGE('',*,*,#192559,.F.); #251645=ORIENTED_EDGE('',*,*,#192562,.F.); #251646=ORIENTED_EDGE('',*,*,#192565,.F.); #251647=ORIENTED_EDGE('',*,*,#192568,.F.); #251648=ORIENTED_EDGE('',*,*,#192571,.F.); #251649=ORIENTED_EDGE('',*,*,#192574,.F.); #251650=ORIENTED_EDGE('',*,*,#192577,.F.); #251651=ORIENTED_EDGE('',*,*,#192580,.F.); #251652=ORIENTED_EDGE('',*,*,#192583,.F.); #251653=ORIENTED_EDGE('',*,*,#192586,.F.); #251654=ORIENTED_EDGE('',*,*,#192589,.F.); #251655=ORIENTED_EDGE('',*,*,#192592,.F.); #251656=ORIENTED_EDGE('',*,*,#192595,.F.); #251657=ORIENTED_EDGE('',*,*,#192598,.F.); #251658=ORIENTED_EDGE('',*,*,#192601,.F.); #251659=ORIENTED_EDGE('',*,*,#192604,.F.); #251660=ORIENTED_EDGE('',*,*,#192607,.F.); #251661=ORIENTED_EDGE('',*,*,#192610,.F.); #251662=ORIENTED_EDGE('',*,*,#192613,.F.); #251663=ORIENTED_EDGE('',*,*,#192618,.T.); #251664=ORIENTED_EDGE('',*,*,#192619,.T.); #251665=ORIENTED_EDGE('',*,*,#192620,.F.); #251666=ORIENTED_EDGE('',*,*,#192621,.F.); #251667=ORIENTED_EDGE('',*,*,#192622,.T.); #251668=ORIENTED_EDGE('',*,*,#192621,.T.); #251669=ORIENTED_EDGE('',*,*,#192623,.F.); #251670=ORIENTED_EDGE('',*,*,#192624,.F.); #251671=ORIENTED_EDGE('',*,*,#192625,.T.); #251672=ORIENTED_EDGE('',*,*,#192624,.T.); #251673=ORIENTED_EDGE('',*,*,#192626,.F.); #251674=ORIENTED_EDGE('',*,*,#192627,.F.); #251675=ORIENTED_EDGE('',*,*,#192628,.T.); #251676=ORIENTED_EDGE('',*,*,#192627,.T.); #251677=ORIENTED_EDGE('',*,*,#192629,.F.); #251678=ORIENTED_EDGE('',*,*,#192630,.F.); #251679=ORIENTED_EDGE('',*,*,#192631,.T.); #251680=ORIENTED_EDGE('',*,*,#192630,.T.); #251681=ORIENTED_EDGE('',*,*,#192632,.F.); #251682=ORIENTED_EDGE('',*,*,#192633,.F.); #251683=ORIENTED_EDGE('',*,*,#192634,.T.); #251684=ORIENTED_EDGE('',*,*,#192633,.T.); #251685=ORIENTED_EDGE('',*,*,#192635,.F.); #251686=ORIENTED_EDGE('',*,*,#192636,.F.); #251687=ORIENTED_EDGE('',*,*,#192637,.T.); #251688=ORIENTED_EDGE('',*,*,#192636,.T.); #251689=ORIENTED_EDGE('',*,*,#192638,.F.); #251690=ORIENTED_EDGE('',*,*,#192639,.F.); #251691=ORIENTED_EDGE('',*,*,#192640,.T.); #251692=ORIENTED_EDGE('',*,*,#192639,.T.); #251693=ORIENTED_EDGE('',*,*,#192641,.F.); #251694=ORIENTED_EDGE('',*,*,#192642,.F.); #251695=ORIENTED_EDGE('',*,*,#192643,.T.); #251696=ORIENTED_EDGE('',*,*,#192642,.T.); #251697=ORIENTED_EDGE('',*,*,#192644,.F.); #251698=ORIENTED_EDGE('',*,*,#192645,.F.); #251699=ORIENTED_EDGE('',*,*,#192646,.T.); #251700=ORIENTED_EDGE('',*,*,#192645,.T.); #251701=ORIENTED_EDGE('',*,*,#192647,.F.); #251702=ORIENTED_EDGE('',*,*,#192648,.F.); #251703=ORIENTED_EDGE('',*,*,#192649,.T.); #251704=ORIENTED_EDGE('',*,*,#192648,.T.); #251705=ORIENTED_EDGE('',*,*,#192650,.F.); #251706=ORIENTED_EDGE('',*,*,#192651,.F.); #251707=ORIENTED_EDGE('',*,*,#192652,.T.); #251708=ORIENTED_EDGE('',*,*,#192651,.T.); #251709=ORIENTED_EDGE('',*,*,#192653,.F.); #251710=ORIENTED_EDGE('',*,*,#192654,.F.); #251711=ORIENTED_EDGE('',*,*,#192655,.T.); #251712=ORIENTED_EDGE('',*,*,#192654,.T.); #251713=ORIENTED_EDGE('',*,*,#192656,.F.); #251714=ORIENTED_EDGE('',*,*,#192657,.F.); #251715=ORIENTED_EDGE('',*,*,#192658,.T.); #251716=ORIENTED_EDGE('',*,*,#192657,.T.); #251717=ORIENTED_EDGE('',*,*,#192659,.F.); #251718=ORIENTED_EDGE('',*,*,#192660,.F.); #251719=ORIENTED_EDGE('',*,*,#192661,.T.); #251720=ORIENTED_EDGE('',*,*,#192660,.T.); #251721=ORIENTED_EDGE('',*,*,#192662,.F.); #251722=ORIENTED_EDGE('',*,*,#192663,.F.); #251723=ORIENTED_EDGE('',*,*,#192664,.T.); #251724=ORIENTED_EDGE('',*,*,#192663,.T.); #251725=ORIENTED_EDGE('',*,*,#192665,.F.); #251726=ORIENTED_EDGE('',*,*,#192666,.F.); #251727=ORIENTED_EDGE('',*,*,#192667,.T.); #251728=ORIENTED_EDGE('',*,*,#192666,.T.); #251729=ORIENTED_EDGE('',*,*,#192668,.F.); #251730=ORIENTED_EDGE('',*,*,#192669,.F.); #251731=ORIENTED_EDGE('',*,*,#192670,.T.); #251732=ORIENTED_EDGE('',*,*,#192669,.T.); #251733=ORIENTED_EDGE('',*,*,#192671,.F.); #251734=ORIENTED_EDGE('',*,*,#192672,.F.); #251735=ORIENTED_EDGE('',*,*,#192673,.T.); #251736=ORIENTED_EDGE('',*,*,#192672,.T.); #251737=ORIENTED_EDGE('',*,*,#192674,.F.); #251738=ORIENTED_EDGE('',*,*,#192675,.F.); #251739=ORIENTED_EDGE('',*,*,#192676,.T.); #251740=ORIENTED_EDGE('',*,*,#192675,.T.); #251741=ORIENTED_EDGE('',*,*,#192677,.F.); #251742=ORIENTED_EDGE('',*,*,#192619,.F.); #251743=ORIENTED_EDGE('',*,*,#192677,.T.); #251744=ORIENTED_EDGE('',*,*,#192674,.T.); #251745=ORIENTED_EDGE('',*,*,#192671,.T.); #251746=ORIENTED_EDGE('',*,*,#192668,.T.); #251747=ORIENTED_EDGE('',*,*,#192665,.T.); #251748=ORIENTED_EDGE('',*,*,#192662,.T.); #251749=ORIENTED_EDGE('',*,*,#192659,.T.); #251750=ORIENTED_EDGE('',*,*,#192656,.T.); #251751=ORIENTED_EDGE('',*,*,#192653,.T.); #251752=ORIENTED_EDGE('',*,*,#192650,.T.); #251753=ORIENTED_EDGE('',*,*,#192647,.T.); #251754=ORIENTED_EDGE('',*,*,#192644,.T.); #251755=ORIENTED_EDGE('',*,*,#192641,.T.); #251756=ORIENTED_EDGE('',*,*,#192638,.T.); #251757=ORIENTED_EDGE('',*,*,#192635,.T.); #251758=ORIENTED_EDGE('',*,*,#192632,.T.); #251759=ORIENTED_EDGE('',*,*,#192629,.T.); #251760=ORIENTED_EDGE('',*,*,#192626,.T.); #251761=ORIENTED_EDGE('',*,*,#192623,.T.); #251762=ORIENTED_EDGE('',*,*,#192620,.T.); #251763=ORIENTED_EDGE('',*,*,#192676,.F.); #251764=ORIENTED_EDGE('',*,*,#192618,.F.); #251765=ORIENTED_EDGE('',*,*,#192622,.F.); #251766=ORIENTED_EDGE('',*,*,#192625,.F.); #251767=ORIENTED_EDGE('',*,*,#192628,.F.); #251768=ORIENTED_EDGE('',*,*,#192631,.F.); #251769=ORIENTED_EDGE('',*,*,#192634,.F.); #251770=ORIENTED_EDGE('',*,*,#192637,.F.); #251771=ORIENTED_EDGE('',*,*,#192640,.F.); #251772=ORIENTED_EDGE('',*,*,#192643,.F.); #251773=ORIENTED_EDGE('',*,*,#192646,.F.); #251774=ORIENTED_EDGE('',*,*,#192649,.F.); #251775=ORIENTED_EDGE('',*,*,#192652,.F.); #251776=ORIENTED_EDGE('',*,*,#192655,.F.); #251777=ORIENTED_EDGE('',*,*,#192658,.F.); #251778=ORIENTED_EDGE('',*,*,#192661,.F.); #251779=ORIENTED_EDGE('',*,*,#192664,.F.); #251780=ORIENTED_EDGE('',*,*,#192667,.F.); #251781=ORIENTED_EDGE('',*,*,#192670,.F.); #251782=ORIENTED_EDGE('',*,*,#192673,.F.); #251783=ORIENTED_EDGE('',*,*,#192678,.F.); #251784=ORIENTED_EDGE('',*,*,#192679,.T.); #251785=ORIENTED_EDGE('',*,*,#192680,.F.); #251786=ORIENTED_EDGE('',*,*,#192679,.F.); #251787=ORIENTED_EDGE('',*,*,#192681,.F.); #251788=ORIENTED_EDGE('',*,*,#192682,.T.); #251789=ORIENTED_EDGE('',*,*,#192683,.F.); #251790=ORIENTED_EDGE('',*,*,#192682,.F.); #251791=ORIENTED_EDGE('',*,*,#192684,.F.); #251792=ORIENTED_EDGE('',*,*,#192685,.T.); #251793=ORIENTED_EDGE('',*,*,#192686,.F.); #251794=ORIENTED_EDGE('',*,*,#192685,.F.); #251795=ORIENTED_EDGE('',*,*,#192687,.F.); #251796=ORIENTED_EDGE('',*,*,#192688,.T.); #251797=ORIENTED_EDGE('',*,*,#192689,.F.); #251798=ORIENTED_EDGE('',*,*,#192688,.F.); #251799=ORIENTED_EDGE('',*,*,#192690,.F.); #251800=ORIENTED_EDGE('',*,*,#192691,.T.); #251801=ORIENTED_EDGE('',*,*,#192692,.F.); #251802=ORIENTED_EDGE('',*,*,#192691,.F.); #251803=ORIENTED_EDGE('',*,*,#192693,.F.); #251804=ORIENTED_EDGE('',*,*,#192694,.T.); #251805=ORIENTED_EDGE('',*,*,#192695,.F.); #251806=ORIENTED_EDGE('',*,*,#192694,.F.); #251807=ORIENTED_EDGE('',*,*,#192696,.F.); #251808=ORIENTED_EDGE('',*,*,#192697,.T.); #251809=ORIENTED_EDGE('',*,*,#192698,.F.); #251810=ORIENTED_EDGE('',*,*,#192697,.F.); #251811=ORIENTED_EDGE('',*,*,#192699,.F.); #251812=ORIENTED_EDGE('',*,*,#192700,.T.); #251813=ORIENTED_EDGE('',*,*,#192701,.F.); #251814=ORIENTED_EDGE('',*,*,#192700,.F.); #251815=ORIENTED_EDGE('',*,*,#192702,.F.); #251816=ORIENTED_EDGE('',*,*,#192703,.T.); #251817=ORIENTED_EDGE('',*,*,#192704,.F.); #251818=ORIENTED_EDGE('',*,*,#192703,.F.); #251819=ORIENTED_EDGE('',*,*,#192705,.F.); #251820=ORIENTED_EDGE('',*,*,#192706,.T.); #251821=ORIENTED_EDGE('',*,*,#192707,.F.); #251822=ORIENTED_EDGE('',*,*,#192706,.F.); #251823=ORIENTED_EDGE('',*,*,#192708,.F.); #251824=ORIENTED_EDGE('',*,*,#192709,.T.); #251825=ORIENTED_EDGE('',*,*,#192710,.F.); #251826=ORIENTED_EDGE('',*,*,#192709,.F.); #251827=ORIENTED_EDGE('',*,*,#192711,.F.); #251828=ORIENTED_EDGE('',*,*,#192712,.T.); #251829=ORIENTED_EDGE('',*,*,#192713,.F.); #251830=ORIENTED_EDGE('',*,*,#192712,.F.); #251831=ORIENTED_EDGE('',*,*,#192714,.F.); #251832=ORIENTED_EDGE('',*,*,#192715,.T.); #251833=ORIENTED_EDGE('',*,*,#192716,.F.); #251834=ORIENTED_EDGE('',*,*,#192715,.F.); #251835=ORIENTED_EDGE('',*,*,#192717,.F.); #251836=ORIENTED_EDGE('',*,*,#192718,.T.); #251837=ORIENTED_EDGE('',*,*,#192719,.F.); #251838=ORIENTED_EDGE('',*,*,#192718,.F.); #251839=ORIENTED_EDGE('',*,*,#192720,.F.); #251840=ORIENTED_EDGE('',*,*,#192721,.T.); #251841=ORIENTED_EDGE('',*,*,#192722,.F.); #251842=ORIENTED_EDGE('',*,*,#192721,.F.); #251843=ORIENTED_EDGE('',*,*,#192723,.F.); #251844=ORIENTED_EDGE('',*,*,#192724,.T.); #251845=ORIENTED_EDGE('',*,*,#192725,.F.); #251846=ORIENTED_EDGE('',*,*,#192724,.F.); #251847=ORIENTED_EDGE('',*,*,#192726,.F.); #251848=ORIENTED_EDGE('',*,*,#192727,.T.); #251849=ORIENTED_EDGE('',*,*,#192728,.F.); #251850=ORIENTED_EDGE('',*,*,#192727,.F.); #251851=ORIENTED_EDGE('',*,*,#192729,.F.); #251852=ORIENTED_EDGE('',*,*,#192730,.T.); #251853=ORIENTED_EDGE('',*,*,#192731,.F.); #251854=ORIENTED_EDGE('',*,*,#192730,.F.); #251855=ORIENTED_EDGE('',*,*,#192732,.F.); #251856=ORIENTED_EDGE('',*,*,#192733,.T.); #251857=ORIENTED_EDGE('',*,*,#192734,.F.); #251858=ORIENTED_EDGE('',*,*,#192733,.F.); #251859=ORIENTED_EDGE('',*,*,#192735,.F.); #251860=ORIENTED_EDGE('',*,*,#192736,.T.); #251861=ORIENTED_EDGE('',*,*,#192737,.F.); #251862=ORIENTED_EDGE('',*,*,#192736,.F.); #251863=ORIENTED_EDGE('',*,*,#192738,.F.); #251864=ORIENTED_EDGE('',*,*,#192739,.T.); #251865=ORIENTED_EDGE('',*,*,#192740,.F.); #251866=ORIENTED_EDGE('',*,*,#192739,.F.); #251867=ORIENTED_EDGE('',*,*,#192741,.F.); #251868=ORIENTED_EDGE('',*,*,#192742,.T.); #251869=ORIENTED_EDGE('',*,*,#192743,.F.); #251870=ORIENTED_EDGE('',*,*,#192742,.F.); #251871=ORIENTED_EDGE('',*,*,#192744,.F.); #251872=ORIENTED_EDGE('',*,*,#192745,.T.); #251873=ORIENTED_EDGE('',*,*,#192746,.F.); #251874=ORIENTED_EDGE('',*,*,#192745,.F.); #251875=ORIENTED_EDGE('',*,*,#192747,.F.); #251876=ORIENTED_EDGE('',*,*,#192748,.T.); #251877=ORIENTED_EDGE('',*,*,#192749,.F.); #251878=ORIENTED_EDGE('',*,*,#192748,.F.); #251879=ORIENTED_EDGE('',*,*,#192750,.F.); #251880=ORIENTED_EDGE('',*,*,#192751,.T.); #251881=ORIENTED_EDGE('',*,*,#192752,.F.); #251882=ORIENTED_EDGE('',*,*,#192751,.F.); #251883=ORIENTED_EDGE('',*,*,#192753,.F.); #251884=ORIENTED_EDGE('',*,*,#192754,.T.); #251885=ORIENTED_EDGE('',*,*,#192755,.F.); #251886=ORIENTED_EDGE('',*,*,#192754,.F.); #251887=ORIENTED_EDGE('',*,*,#192756,.F.); #251888=ORIENTED_EDGE('',*,*,#192757,.T.); #251889=ORIENTED_EDGE('',*,*,#192758,.F.); #251890=ORIENTED_EDGE('',*,*,#192757,.F.); #251891=ORIENTED_EDGE('',*,*,#192759,.F.); #251892=ORIENTED_EDGE('',*,*,#192760,.T.); #251893=ORIENTED_EDGE('',*,*,#192761,.F.); #251894=ORIENTED_EDGE('',*,*,#192760,.F.); #251895=ORIENTED_EDGE('',*,*,#192762,.F.); #251896=ORIENTED_EDGE('',*,*,#192763,.T.); #251897=ORIENTED_EDGE('',*,*,#192764,.F.); #251898=ORIENTED_EDGE('',*,*,#192763,.F.); #251899=ORIENTED_EDGE('',*,*,#192765,.F.); #251900=ORIENTED_EDGE('',*,*,#192766,.T.); #251901=ORIENTED_EDGE('',*,*,#192767,.F.); #251902=ORIENTED_EDGE('',*,*,#192766,.F.); #251903=ORIENTED_EDGE('',*,*,#192768,.F.); #251904=ORIENTED_EDGE('',*,*,#192769,.T.); #251905=ORIENTED_EDGE('',*,*,#192770,.F.); #251906=ORIENTED_EDGE('',*,*,#192769,.F.); #251907=ORIENTED_EDGE('',*,*,#192771,.F.); #251908=ORIENTED_EDGE('',*,*,#192772,.T.); #251909=ORIENTED_EDGE('',*,*,#192773,.F.); #251910=ORIENTED_EDGE('',*,*,#192772,.F.); #251911=ORIENTED_EDGE('',*,*,#192774,.F.); #251912=ORIENTED_EDGE('',*,*,#192775,.T.); #251913=ORIENTED_EDGE('',*,*,#192776,.F.); #251914=ORIENTED_EDGE('',*,*,#192775,.F.); #251915=ORIENTED_EDGE('',*,*,#192777,.F.); #251916=ORIENTED_EDGE('',*,*,#192778,.T.); #251917=ORIENTED_EDGE('',*,*,#192779,.F.); #251918=ORIENTED_EDGE('',*,*,#192778,.F.); #251919=ORIENTED_EDGE('',*,*,#192780,.F.); #251920=ORIENTED_EDGE('',*,*,#192781,.T.); #251921=ORIENTED_EDGE('',*,*,#192782,.F.); #251922=ORIENTED_EDGE('',*,*,#192781,.F.); #251923=ORIENTED_EDGE('',*,*,#192783,.F.); #251924=ORIENTED_EDGE('',*,*,#192784,.F.); #251925=ORIENTED_EDGE('',*,*,#192785,.F.); #251926=ORIENTED_EDGE('',*,*,#192786,.T.); #251927=ORIENTED_EDGE('',*,*,#192787,.F.); #251928=ORIENTED_EDGE('',*,*,#192786,.F.); #251929=ORIENTED_EDGE('',*,*,#192788,.F.); #251930=ORIENTED_EDGE('',*,*,#192789,.T.); #251931=ORIENTED_EDGE('',*,*,#192790,.F.); #251932=ORIENTED_EDGE('',*,*,#192789,.F.); #251933=ORIENTED_EDGE('',*,*,#192791,.F.); #251934=ORIENTED_EDGE('',*,*,#192792,.T.); #251935=ORIENTED_EDGE('',*,*,#192793,.F.); #251936=ORIENTED_EDGE('',*,*,#192792,.F.); #251937=ORIENTED_EDGE('',*,*,#192794,.F.); #251938=ORIENTED_EDGE('',*,*,#192784,.T.); #251939=ORIENTED_EDGE('',*,*,#192795,.F.); #251940=ORIENTED_EDGE('',*,*,#192796,.T.); #251941=ORIENTED_EDGE('',*,*,#192797,.F.); #251942=ORIENTED_EDGE('',*,*,#192796,.F.); #251943=ORIENTED_EDGE('',*,*,#192798,.F.); #251944=ORIENTED_EDGE('',*,*,#192799,.T.); #251945=ORIENTED_EDGE('',*,*,#192800,.F.); #251946=ORIENTED_EDGE('',*,*,#192799,.F.); #251947=ORIENTED_EDGE('',*,*,#192801,.F.); #251948=ORIENTED_EDGE('',*,*,#192802,.T.); #251949=ORIENTED_EDGE('',*,*,#192803,.F.); #251950=ORIENTED_EDGE('',*,*,#192802,.F.); #251951=ORIENTED_EDGE('',*,*,#192804,.F.); #251952=ORIENTED_EDGE('',*,*,#192805,.T.); #251953=ORIENTED_EDGE('',*,*,#192806,.F.); #251954=ORIENTED_EDGE('',*,*,#192805,.F.); #251955=ORIENTED_EDGE('',*,*,#192807,.F.); #251956=ORIENTED_EDGE('',*,*,#192808,.F.); #251957=ORIENTED_EDGE('',*,*,#192809,.F.); #251958=ORIENTED_EDGE('',*,*,#192810,.T.); #251959=ORIENTED_EDGE('',*,*,#192811,.F.); #251960=ORIENTED_EDGE('',*,*,#192810,.F.); #251961=ORIENTED_EDGE('',*,*,#192812,.F.); #251962=ORIENTED_EDGE('',*,*,#192813,.T.); #251963=ORIENTED_EDGE('',*,*,#192814,.F.); #251964=ORIENTED_EDGE('',*,*,#192813,.F.); #251965=ORIENTED_EDGE('',*,*,#192815,.F.); #251966=ORIENTED_EDGE('',*,*,#192816,.T.); #251967=ORIENTED_EDGE('',*,*,#192817,.F.); #251968=ORIENTED_EDGE('',*,*,#192816,.F.); #251969=ORIENTED_EDGE('',*,*,#192818,.F.); #251970=ORIENTED_EDGE('',*,*,#192808,.T.); #251971=ORIENTED_EDGE('',*,*,#192819,.F.); #251972=ORIENTED_EDGE('',*,*,#192820,.F.); #251973=ORIENTED_EDGE('',*,*,#192821,.F.); #251974=ORIENTED_EDGE('',*,*,#192822,.T.); #251975=ORIENTED_EDGE('',*,*,#192823,.F.); #251976=ORIENTED_EDGE('',*,*,#192822,.F.); #251977=ORIENTED_EDGE('',*,*,#192824,.F.); #251978=ORIENTED_EDGE('',*,*,#192825,.T.); #251979=ORIENTED_EDGE('',*,*,#192826,.F.); #251980=ORIENTED_EDGE('',*,*,#192825,.F.); #251981=ORIENTED_EDGE('',*,*,#192827,.F.); #251982=ORIENTED_EDGE('',*,*,#192828,.T.); #251983=ORIENTED_EDGE('',*,*,#192829,.F.); #251984=ORIENTED_EDGE('',*,*,#192828,.F.); #251985=ORIENTED_EDGE('',*,*,#192830,.F.); #251986=ORIENTED_EDGE('',*,*,#192820,.T.); #251987=ORIENTED_EDGE('',*,*,#192831,.F.); #251988=ORIENTED_EDGE('',*,*,#192832,.F.); #251989=ORIENTED_EDGE('',*,*,#192833,.F.); #251990=ORIENTED_EDGE('',*,*,#192834,.T.); #251991=ORIENTED_EDGE('',*,*,#192835,.F.); #251992=ORIENTED_EDGE('',*,*,#192834,.F.); #251993=ORIENTED_EDGE('',*,*,#192836,.F.); #251994=ORIENTED_EDGE('',*,*,#192837,.T.); #251995=ORIENTED_EDGE('',*,*,#192838,.F.); #251996=ORIENTED_EDGE('',*,*,#192837,.F.); #251997=ORIENTED_EDGE('',*,*,#192839,.F.); #251998=ORIENTED_EDGE('',*,*,#192840,.T.); #251999=ORIENTED_EDGE('',*,*,#192841,.F.); #252000=ORIENTED_EDGE('',*,*,#192840,.F.); #252001=ORIENTED_EDGE('',*,*,#192842,.F.); #252002=ORIENTED_EDGE('',*,*,#192832,.T.); #252003=ORIENTED_EDGE('',*,*,#192843,.F.); #252004=ORIENTED_EDGE('',*,*,#192844,.T.); #252005=ORIENTED_EDGE('',*,*,#192845,.F.); #252006=ORIENTED_EDGE('',*,*,#192844,.F.); #252007=ORIENTED_EDGE('',*,*,#192846,.F.); #252008=ORIENTED_EDGE('',*,*,#192847,.T.); #252009=ORIENTED_EDGE('',*,*,#192848,.F.); #252010=ORIENTED_EDGE('',*,*,#192847,.F.); #252011=ORIENTED_EDGE('',*,*,#192849,.F.); #252012=ORIENTED_EDGE('',*,*,#192850,.T.); #252013=ORIENTED_EDGE('',*,*,#192851,.F.); #252014=ORIENTED_EDGE('',*,*,#192850,.F.); #252015=ORIENTED_EDGE('',*,*,#192852,.F.); #252016=ORIENTED_EDGE('',*,*,#192853,.T.); #252017=ORIENTED_EDGE('',*,*,#192854,.F.); #252018=ORIENTED_EDGE('',*,*,#192853,.F.); #252019=ORIENTED_EDGE('',*,*,#192855,.F.); #252020=ORIENTED_EDGE('',*,*,#192856,.T.); #252021=ORIENTED_EDGE('',*,*,#192857,.F.); #252022=ORIENTED_EDGE('',*,*,#192856,.F.); #252023=ORIENTED_EDGE('',*,*,#192858,.F.); #252024=ORIENTED_EDGE('',*,*,#192859,.T.); #252025=ORIENTED_EDGE('',*,*,#192860,.F.); #252026=ORIENTED_EDGE('',*,*,#192859,.F.); #252027=ORIENTED_EDGE('',*,*,#192861,.F.); #252028=ORIENTED_EDGE('',*,*,#192862,.T.); #252029=ORIENTED_EDGE('',*,*,#192863,.F.); #252030=ORIENTED_EDGE('',*,*,#192862,.F.); #252031=ORIENTED_EDGE('',*,*,#192864,.F.); #252032=ORIENTED_EDGE('',*,*,#192865,.T.); #252033=ORIENTED_EDGE('',*,*,#192866,.F.); #252034=ORIENTED_EDGE('',*,*,#192865,.F.); #252035=ORIENTED_EDGE('',*,*,#192867,.F.); #252036=ORIENTED_EDGE('',*,*,#192868,.T.); #252037=ORIENTED_EDGE('',*,*,#192869,.F.); #252038=ORIENTED_EDGE('',*,*,#192868,.F.); #252039=ORIENTED_EDGE('',*,*,#192870,.F.); #252040=ORIENTED_EDGE('',*,*,#192871,.T.); #252041=ORIENTED_EDGE('',*,*,#192872,.F.); #252042=ORIENTED_EDGE('',*,*,#192871,.F.); #252043=ORIENTED_EDGE('',*,*,#192873,.F.); #252044=ORIENTED_EDGE('',*,*,#192874,.T.); #252045=ORIENTED_EDGE('',*,*,#192875,.F.); #252046=ORIENTED_EDGE('',*,*,#192874,.F.); #252047=ORIENTED_EDGE('',*,*,#192876,.F.); #252048=ORIENTED_EDGE('',*,*,#192877,.T.); #252049=ORIENTED_EDGE('',*,*,#192878,.F.); #252050=ORIENTED_EDGE('',*,*,#192877,.F.); #252051=ORIENTED_EDGE('',*,*,#192879,.F.); #252052=ORIENTED_EDGE('',*,*,#192880,.T.); #252053=ORIENTED_EDGE('',*,*,#192881,.F.); #252054=ORIENTED_EDGE('',*,*,#192880,.F.); #252055=ORIENTED_EDGE('',*,*,#192882,.F.); #252056=ORIENTED_EDGE('',*,*,#192883,.T.); #252057=ORIENTED_EDGE('',*,*,#192884,.F.); #252058=ORIENTED_EDGE('',*,*,#192883,.F.); #252059=ORIENTED_EDGE('',*,*,#192885,.F.); #252060=ORIENTED_EDGE('',*,*,#192886,.T.); #252061=ORIENTED_EDGE('',*,*,#192887,.F.); #252062=ORIENTED_EDGE('',*,*,#192886,.F.); #252063=ORIENTED_EDGE('',*,*,#192888,.F.); #252064=ORIENTED_EDGE('',*,*,#192889,.T.); #252065=ORIENTED_EDGE('',*,*,#192890,.F.); #252066=ORIENTED_EDGE('',*,*,#192889,.F.); #252067=ORIENTED_EDGE('',*,*,#192891,.F.); #252068=ORIENTED_EDGE('',*,*,#192892,.T.); #252069=ORIENTED_EDGE('',*,*,#192893,.F.); #252070=ORIENTED_EDGE('',*,*,#192892,.F.); #252071=ORIENTED_EDGE('',*,*,#192894,.F.); #252072=ORIENTED_EDGE('',*,*,#192895,.T.); #252073=ORIENTED_EDGE('',*,*,#192896,.F.); #252074=ORIENTED_EDGE('',*,*,#192895,.F.); #252075=ORIENTED_EDGE('',*,*,#192897,.F.); #252076=ORIENTED_EDGE('',*,*,#192898,.T.); #252077=ORIENTED_EDGE('',*,*,#192899,.F.); #252078=ORIENTED_EDGE('',*,*,#192898,.F.); #252079=ORIENTED_EDGE('',*,*,#192900,.T.); #252080=ORIENTED_EDGE('',*,*,#192901,.T.); #252081=ORIENTED_EDGE('',*,*,#192902,.F.); #252082=ORIENTED_EDGE('',*,*,#192903,.F.); #252083=ORIENTED_EDGE('',*,*,#192904,.T.); #252084=ORIENTED_EDGE('',*,*,#192903,.T.); #252085=ORIENTED_EDGE('',*,*,#192905,.F.); #252086=ORIENTED_EDGE('',*,*,#192906,.F.); #252087=ORIENTED_EDGE('',*,*,#192907,.T.); #252088=ORIENTED_EDGE('',*,*,#192906,.T.); #252089=ORIENTED_EDGE('',*,*,#192908,.F.); #252090=ORIENTED_EDGE('',*,*,#192909,.F.); #252091=ORIENTED_EDGE('',*,*,#192910,.T.); #252092=ORIENTED_EDGE('',*,*,#192909,.T.); #252093=ORIENTED_EDGE('',*,*,#192911,.F.); #252094=ORIENTED_EDGE('',*,*,#192912,.F.); #252095=ORIENTED_EDGE('',*,*,#192913,.T.); #252096=ORIENTED_EDGE('',*,*,#192912,.T.); #252097=ORIENTED_EDGE('',*,*,#192914,.F.); #252098=ORIENTED_EDGE('',*,*,#192915,.F.); #252099=ORIENTED_EDGE('',*,*,#192916,.T.); #252100=ORIENTED_EDGE('',*,*,#192915,.T.); #252101=ORIENTED_EDGE('',*,*,#192917,.F.); #252102=ORIENTED_EDGE('',*,*,#192918,.F.); #252103=ORIENTED_EDGE('',*,*,#192919,.T.); #252104=ORIENTED_EDGE('',*,*,#192918,.T.); #252105=ORIENTED_EDGE('',*,*,#192920,.F.); #252106=ORIENTED_EDGE('',*,*,#192921,.F.); #252107=ORIENTED_EDGE('',*,*,#192922,.T.); #252108=ORIENTED_EDGE('',*,*,#192921,.T.); #252109=ORIENTED_EDGE('',*,*,#192923,.F.); #252110=ORIENTED_EDGE('',*,*,#192901,.F.); #252111=ORIENTED_EDGE('',*,*,#192924,.T.); #252112=ORIENTED_EDGE('',*,*,#192925,.T.); #252113=ORIENTED_EDGE('',*,*,#192926,.F.); #252114=ORIENTED_EDGE('',*,*,#192927,.F.); #252115=ORIENTED_EDGE('',*,*,#192928,.T.); #252116=ORIENTED_EDGE('',*,*,#192927,.T.); #252117=ORIENTED_EDGE('',*,*,#192929,.F.); #252118=ORIENTED_EDGE('',*,*,#192930,.F.); #252119=ORIENTED_EDGE('',*,*,#192931,.T.); #252120=ORIENTED_EDGE('',*,*,#192930,.T.); #252121=ORIENTED_EDGE('',*,*,#192932,.F.); #252122=ORIENTED_EDGE('',*,*,#192933,.F.); #252123=ORIENTED_EDGE('',*,*,#192934,.T.); #252124=ORIENTED_EDGE('',*,*,#192933,.T.); #252125=ORIENTED_EDGE('',*,*,#192935,.F.); #252126=ORIENTED_EDGE('',*,*,#192936,.F.); #252127=ORIENTED_EDGE('',*,*,#192937,.T.); #252128=ORIENTED_EDGE('',*,*,#192936,.T.); #252129=ORIENTED_EDGE('',*,*,#192938,.F.); #252130=ORIENTED_EDGE('',*,*,#192939,.F.); #252131=ORIENTED_EDGE('',*,*,#192940,.T.); #252132=ORIENTED_EDGE('',*,*,#192939,.T.); #252133=ORIENTED_EDGE('',*,*,#192941,.F.); #252134=ORIENTED_EDGE('',*,*,#192942,.F.); #252135=ORIENTED_EDGE('',*,*,#192943,.T.); #252136=ORIENTED_EDGE('',*,*,#192942,.T.); #252137=ORIENTED_EDGE('',*,*,#192944,.F.); #252138=ORIENTED_EDGE('',*,*,#192945,.F.); #252139=ORIENTED_EDGE('',*,*,#192946,.T.); #252140=ORIENTED_EDGE('',*,*,#192945,.T.); #252141=ORIENTED_EDGE('',*,*,#192947,.F.); #252142=ORIENTED_EDGE('',*,*,#192948,.F.); #252143=ORIENTED_EDGE('',*,*,#192949,.T.); #252144=ORIENTED_EDGE('',*,*,#192948,.T.); #252145=ORIENTED_EDGE('',*,*,#192950,.F.); #252146=ORIENTED_EDGE('',*,*,#192951,.F.); #252147=ORIENTED_EDGE('',*,*,#192952,.T.); #252148=ORIENTED_EDGE('',*,*,#192951,.T.); #252149=ORIENTED_EDGE('',*,*,#192953,.F.); #252150=ORIENTED_EDGE('',*,*,#192954,.F.); #252151=ORIENTED_EDGE('',*,*,#192955,.T.); #252152=ORIENTED_EDGE('',*,*,#192954,.T.); #252153=ORIENTED_EDGE('',*,*,#192956,.F.); #252154=ORIENTED_EDGE('',*,*,#192957,.F.); #252155=ORIENTED_EDGE('',*,*,#192958,.T.); #252156=ORIENTED_EDGE('',*,*,#192957,.T.); #252157=ORIENTED_EDGE('',*,*,#192959,.F.); #252158=ORIENTED_EDGE('',*,*,#192960,.F.); #252159=ORIENTED_EDGE('',*,*,#192961,.T.); #252160=ORIENTED_EDGE('',*,*,#192960,.T.); #252161=ORIENTED_EDGE('',*,*,#192962,.F.); #252162=ORIENTED_EDGE('',*,*,#192963,.F.); #252163=ORIENTED_EDGE('',*,*,#192964,.T.); #252164=ORIENTED_EDGE('',*,*,#192963,.T.); #252165=ORIENTED_EDGE('',*,*,#192965,.F.); #252166=ORIENTED_EDGE('',*,*,#192966,.F.); #252167=ORIENTED_EDGE('',*,*,#192967,.T.); #252168=ORIENTED_EDGE('',*,*,#192966,.T.); #252169=ORIENTED_EDGE('',*,*,#192968,.F.); #252170=ORIENTED_EDGE('',*,*,#192969,.F.); #252171=ORIENTED_EDGE('',*,*,#192970,.T.); #252172=ORIENTED_EDGE('',*,*,#192969,.T.); #252173=ORIENTED_EDGE('',*,*,#192971,.F.); #252174=ORIENTED_EDGE('',*,*,#192925,.F.); #252175=ORIENTED_EDGE('',*,*,#192972,.T.); #252176=ORIENTED_EDGE('',*,*,#192973,.T.); #252177=ORIENTED_EDGE('',*,*,#192974,.F.); #252178=ORIENTED_EDGE('',*,*,#192975,.F.); #252179=ORIENTED_EDGE('',*,*,#192976,.T.); #252180=ORIENTED_EDGE('',*,*,#192975,.T.); #252181=ORIENTED_EDGE('',*,*,#192977,.F.); #252182=ORIENTED_EDGE('',*,*,#192978,.F.); #252183=ORIENTED_EDGE('',*,*,#192979,.T.); #252184=ORIENTED_EDGE('',*,*,#192978,.T.); #252185=ORIENTED_EDGE('',*,*,#192980,.F.); #252186=ORIENTED_EDGE('',*,*,#192981,.F.); #252187=ORIENTED_EDGE('',*,*,#192982,.T.); #252188=ORIENTED_EDGE('',*,*,#192981,.T.); #252189=ORIENTED_EDGE('',*,*,#192983,.F.); #252190=ORIENTED_EDGE('',*,*,#192984,.F.); #252191=ORIENTED_EDGE('',*,*,#192985,.T.); #252192=ORIENTED_EDGE('',*,*,#192984,.T.); #252193=ORIENTED_EDGE('',*,*,#192986,.F.); #252194=ORIENTED_EDGE('',*,*,#192987,.F.); #252195=ORIENTED_EDGE('',*,*,#192988,.T.); #252196=ORIENTED_EDGE('',*,*,#192987,.T.); #252197=ORIENTED_EDGE('',*,*,#192989,.F.); #252198=ORIENTED_EDGE('',*,*,#192990,.F.); #252199=ORIENTED_EDGE('',*,*,#192991,.T.); #252200=ORIENTED_EDGE('',*,*,#192990,.T.); #252201=ORIENTED_EDGE('',*,*,#192992,.F.); #252202=ORIENTED_EDGE('',*,*,#192993,.F.); #252203=ORIENTED_EDGE('',*,*,#192994,.T.); #252204=ORIENTED_EDGE('',*,*,#192993,.T.); #252205=ORIENTED_EDGE('',*,*,#192995,.F.); #252206=ORIENTED_EDGE('',*,*,#192996,.F.); #252207=ORIENTED_EDGE('',*,*,#192997,.T.); #252208=ORIENTED_EDGE('',*,*,#192996,.T.); #252209=ORIENTED_EDGE('',*,*,#192998,.F.); #252210=ORIENTED_EDGE('',*,*,#192999,.F.); #252211=ORIENTED_EDGE('',*,*,#193000,.T.); #252212=ORIENTED_EDGE('',*,*,#192999,.T.); #252213=ORIENTED_EDGE('',*,*,#193001,.F.); #252214=ORIENTED_EDGE('',*,*,#193002,.F.); #252215=ORIENTED_EDGE('',*,*,#193003,.T.); #252216=ORIENTED_EDGE('',*,*,#193002,.T.); #252217=ORIENTED_EDGE('',*,*,#193004,.F.); #252218=ORIENTED_EDGE('',*,*,#193005,.F.); #252219=ORIENTED_EDGE('',*,*,#193006,.T.); #252220=ORIENTED_EDGE('',*,*,#193005,.T.); #252221=ORIENTED_EDGE('',*,*,#193007,.F.); #252222=ORIENTED_EDGE('',*,*,#193008,.F.); #252223=ORIENTED_EDGE('',*,*,#193009,.T.); #252224=ORIENTED_EDGE('',*,*,#193008,.T.); #252225=ORIENTED_EDGE('',*,*,#193010,.F.); #252226=ORIENTED_EDGE('',*,*,#193011,.F.); #252227=ORIENTED_EDGE('',*,*,#193012,.T.); #252228=ORIENTED_EDGE('',*,*,#193011,.T.); #252229=ORIENTED_EDGE('',*,*,#193013,.F.); #252230=ORIENTED_EDGE('',*,*,#193014,.F.); #252231=ORIENTED_EDGE('',*,*,#193015,.T.); #252232=ORIENTED_EDGE('',*,*,#193014,.T.); #252233=ORIENTED_EDGE('',*,*,#193016,.F.); #252234=ORIENTED_EDGE('',*,*,#193017,.F.); #252235=ORIENTED_EDGE('',*,*,#193018,.T.); #252236=ORIENTED_EDGE('',*,*,#193017,.T.); #252237=ORIENTED_EDGE('',*,*,#193019,.F.); #252238=ORIENTED_EDGE('',*,*,#192973,.F.); #252239=ORIENTED_EDGE('',*,*,#193020,.T.); #252240=ORIENTED_EDGE('',*,*,#193021,.T.); #252241=ORIENTED_EDGE('',*,*,#193022,.F.); #252242=ORIENTED_EDGE('',*,*,#193023,.F.); #252243=ORIENTED_EDGE('',*,*,#193024,.T.); #252244=ORIENTED_EDGE('',*,*,#193023,.T.); #252245=ORIENTED_EDGE('',*,*,#193025,.F.); #252246=ORIENTED_EDGE('',*,*,#193026,.F.); #252247=ORIENTED_EDGE('',*,*,#193027,.T.); #252248=ORIENTED_EDGE('',*,*,#193026,.T.); #252249=ORIENTED_EDGE('',*,*,#193028,.F.); #252250=ORIENTED_EDGE('',*,*,#193029,.F.); #252251=ORIENTED_EDGE('',*,*,#193030,.T.); #252252=ORIENTED_EDGE('',*,*,#193029,.T.); #252253=ORIENTED_EDGE('',*,*,#193031,.F.); #252254=ORIENTED_EDGE('',*,*,#193032,.F.); #252255=ORIENTED_EDGE('',*,*,#193033,.T.); #252256=ORIENTED_EDGE('',*,*,#193032,.T.); #252257=ORIENTED_EDGE('',*,*,#193034,.F.); #252258=ORIENTED_EDGE('',*,*,#193035,.F.); #252259=ORIENTED_EDGE('',*,*,#193036,.T.); #252260=ORIENTED_EDGE('',*,*,#193035,.T.); #252261=ORIENTED_EDGE('',*,*,#193037,.F.); #252262=ORIENTED_EDGE('',*,*,#193038,.F.); #252263=ORIENTED_EDGE('',*,*,#193039,.T.); #252264=ORIENTED_EDGE('',*,*,#193038,.T.); #252265=ORIENTED_EDGE('',*,*,#193040,.F.); #252266=ORIENTED_EDGE('',*,*,#193041,.F.); #252267=ORIENTED_EDGE('',*,*,#193042,.T.); #252268=ORIENTED_EDGE('',*,*,#193041,.T.); #252269=ORIENTED_EDGE('',*,*,#193043,.F.); #252270=ORIENTED_EDGE('',*,*,#193044,.F.); #252271=ORIENTED_EDGE('',*,*,#193045,.T.); #252272=ORIENTED_EDGE('',*,*,#193044,.T.); #252273=ORIENTED_EDGE('',*,*,#193046,.F.); #252274=ORIENTED_EDGE('',*,*,#193047,.F.); #252275=ORIENTED_EDGE('',*,*,#193048,.T.); #252276=ORIENTED_EDGE('',*,*,#193047,.T.); #252277=ORIENTED_EDGE('',*,*,#193049,.F.); #252278=ORIENTED_EDGE('',*,*,#193050,.F.); #252279=ORIENTED_EDGE('',*,*,#193051,.T.); #252280=ORIENTED_EDGE('',*,*,#193050,.T.); #252281=ORIENTED_EDGE('',*,*,#193052,.F.); #252282=ORIENTED_EDGE('',*,*,#193053,.F.); #252283=ORIENTED_EDGE('',*,*,#193054,.T.); #252284=ORIENTED_EDGE('',*,*,#193053,.T.); #252285=ORIENTED_EDGE('',*,*,#193055,.F.); #252286=ORIENTED_EDGE('',*,*,#193056,.F.); #252287=ORIENTED_EDGE('',*,*,#193057,.T.); #252288=ORIENTED_EDGE('',*,*,#193056,.T.); #252289=ORIENTED_EDGE('',*,*,#193058,.F.); #252290=ORIENTED_EDGE('',*,*,#193059,.F.); #252291=ORIENTED_EDGE('',*,*,#193060,.T.); #252292=ORIENTED_EDGE('',*,*,#193059,.T.); #252293=ORIENTED_EDGE('',*,*,#193061,.F.); #252294=ORIENTED_EDGE('',*,*,#193062,.F.); #252295=ORIENTED_EDGE('',*,*,#193063,.T.); #252296=ORIENTED_EDGE('',*,*,#193062,.T.); #252297=ORIENTED_EDGE('',*,*,#193064,.F.); #252298=ORIENTED_EDGE('',*,*,#193065,.F.); #252299=ORIENTED_EDGE('',*,*,#193066,.T.); #252300=ORIENTED_EDGE('',*,*,#193065,.T.); #252301=ORIENTED_EDGE('',*,*,#193067,.F.); #252302=ORIENTED_EDGE('',*,*,#193068,.F.); #252303=ORIENTED_EDGE('',*,*,#193069,.T.); #252304=ORIENTED_EDGE('',*,*,#193068,.T.); #252305=ORIENTED_EDGE('',*,*,#193070,.F.); #252306=ORIENTED_EDGE('',*,*,#193071,.F.); #252307=ORIENTED_EDGE('',*,*,#193072,.T.); #252308=ORIENTED_EDGE('',*,*,#193071,.T.); #252309=ORIENTED_EDGE('',*,*,#193073,.F.); #252310=ORIENTED_EDGE('',*,*,#193074,.F.); #252311=ORIENTED_EDGE('',*,*,#193075,.T.); #252312=ORIENTED_EDGE('',*,*,#193074,.T.); #252313=ORIENTED_EDGE('',*,*,#193076,.F.); #252314=ORIENTED_EDGE('',*,*,#193077,.F.); #252315=ORIENTED_EDGE('',*,*,#193078,.T.); #252316=ORIENTED_EDGE('',*,*,#193077,.T.); #252317=ORIENTED_EDGE('',*,*,#193079,.F.); #252318=ORIENTED_EDGE('',*,*,#193080,.F.); #252319=ORIENTED_EDGE('',*,*,#193081,.T.); #252320=ORIENTED_EDGE('',*,*,#193080,.T.); #252321=ORIENTED_EDGE('',*,*,#193082,.F.); #252322=ORIENTED_EDGE('',*,*,#193083,.F.); #252323=ORIENTED_EDGE('',*,*,#193084,.T.); #252324=ORIENTED_EDGE('',*,*,#193083,.T.); #252325=ORIENTED_EDGE('',*,*,#193085,.F.); #252326=ORIENTED_EDGE('',*,*,#193086,.F.); #252327=ORIENTED_EDGE('',*,*,#193087,.T.); #252328=ORIENTED_EDGE('',*,*,#193086,.T.); #252329=ORIENTED_EDGE('',*,*,#193088,.F.); #252330=ORIENTED_EDGE('',*,*,#193089,.F.); #252331=ORIENTED_EDGE('',*,*,#193090,.T.); #252332=ORIENTED_EDGE('',*,*,#193089,.T.); #252333=ORIENTED_EDGE('',*,*,#193091,.F.); #252334=ORIENTED_EDGE('',*,*,#193092,.F.); #252335=ORIENTED_EDGE('',*,*,#193093,.T.); #252336=ORIENTED_EDGE('',*,*,#193092,.T.); #252337=ORIENTED_EDGE('',*,*,#193094,.F.); #252338=ORIENTED_EDGE('',*,*,#193095,.F.); #252339=ORIENTED_EDGE('',*,*,#193096,.T.); #252340=ORIENTED_EDGE('',*,*,#193095,.T.); #252341=ORIENTED_EDGE('',*,*,#193097,.F.); #252342=ORIENTED_EDGE('',*,*,#193098,.F.); #252343=ORIENTED_EDGE('',*,*,#193099,.T.); #252344=ORIENTED_EDGE('',*,*,#193098,.T.); #252345=ORIENTED_EDGE('',*,*,#193100,.F.); #252346=ORIENTED_EDGE('',*,*,#193101,.F.); #252347=ORIENTED_EDGE('',*,*,#193102,.T.); #252348=ORIENTED_EDGE('',*,*,#193101,.T.); #252349=ORIENTED_EDGE('',*,*,#193103,.F.); #252350=ORIENTED_EDGE('',*,*,#193104,.F.); #252351=ORIENTED_EDGE('',*,*,#193105,.T.); #252352=ORIENTED_EDGE('',*,*,#193104,.T.); #252353=ORIENTED_EDGE('',*,*,#193106,.F.); #252354=ORIENTED_EDGE('',*,*,#193107,.F.); #252355=ORIENTED_EDGE('',*,*,#193108,.T.); #252356=ORIENTED_EDGE('',*,*,#193107,.T.); #252357=ORIENTED_EDGE('',*,*,#193109,.F.); #252358=ORIENTED_EDGE('',*,*,#193110,.F.); #252359=ORIENTED_EDGE('',*,*,#193111,.T.); #252360=ORIENTED_EDGE('',*,*,#193110,.T.); #252361=ORIENTED_EDGE('',*,*,#193112,.F.); #252362=ORIENTED_EDGE('',*,*,#193113,.F.); #252363=ORIENTED_EDGE('',*,*,#193114,.T.); #252364=ORIENTED_EDGE('',*,*,#193113,.T.); #252365=ORIENTED_EDGE('',*,*,#193115,.F.); #252366=ORIENTED_EDGE('',*,*,#193116,.F.); #252367=ORIENTED_EDGE('',*,*,#193117,.T.); #252368=ORIENTED_EDGE('',*,*,#193116,.T.); #252369=ORIENTED_EDGE('',*,*,#193118,.F.); #252370=ORIENTED_EDGE('',*,*,#193119,.F.); #252371=ORIENTED_EDGE('',*,*,#193120,.T.); #252372=ORIENTED_EDGE('',*,*,#193119,.T.); #252373=ORIENTED_EDGE('',*,*,#193121,.F.); #252374=ORIENTED_EDGE('',*,*,#193122,.F.); #252375=ORIENTED_EDGE('',*,*,#193123,.T.); #252376=ORIENTED_EDGE('',*,*,#193122,.T.); #252377=ORIENTED_EDGE('',*,*,#193124,.F.); #252378=ORIENTED_EDGE('',*,*,#193125,.F.); #252379=ORIENTED_EDGE('',*,*,#193126,.T.); #252380=ORIENTED_EDGE('',*,*,#193125,.T.); #252381=ORIENTED_EDGE('',*,*,#193127,.F.); #252382=ORIENTED_EDGE('',*,*,#193021,.F.); #252383=ORIENTED_EDGE('',*,*,#193128,.T.); #252384=ORIENTED_EDGE('',*,*,#193129,.T.); #252385=ORIENTED_EDGE('',*,*,#193130,.F.); #252386=ORIENTED_EDGE('',*,*,#193131,.F.); #252387=ORIENTED_EDGE('',*,*,#193132,.T.); #252388=ORIENTED_EDGE('',*,*,#193131,.T.); #252389=ORIENTED_EDGE('',*,*,#193133,.F.); #252390=ORIENTED_EDGE('',*,*,#193134,.F.); #252391=ORIENTED_EDGE('',*,*,#193135,.T.); #252392=ORIENTED_EDGE('',*,*,#193134,.T.); #252393=ORIENTED_EDGE('',*,*,#193136,.F.); #252394=ORIENTED_EDGE('',*,*,#193137,.F.); #252395=ORIENTED_EDGE('',*,*,#193138,.T.); #252396=ORIENTED_EDGE('',*,*,#193137,.T.); #252397=ORIENTED_EDGE('',*,*,#193139,.F.); #252398=ORIENTED_EDGE('',*,*,#193140,.F.); #252399=ORIENTED_EDGE('',*,*,#193141,.T.); #252400=ORIENTED_EDGE('',*,*,#193140,.T.); #252401=ORIENTED_EDGE('',*,*,#193142,.F.); #252402=ORIENTED_EDGE('',*,*,#193143,.F.); #252403=ORIENTED_EDGE('',*,*,#193144,.T.); #252404=ORIENTED_EDGE('',*,*,#193143,.T.); #252405=ORIENTED_EDGE('',*,*,#193145,.F.); #252406=ORIENTED_EDGE('',*,*,#193146,.F.); #252407=ORIENTED_EDGE('',*,*,#193147,.T.); #252408=ORIENTED_EDGE('',*,*,#193146,.T.); #252409=ORIENTED_EDGE('',*,*,#193148,.F.); #252410=ORIENTED_EDGE('',*,*,#193149,.F.); #252411=ORIENTED_EDGE('',*,*,#193150,.T.); #252412=ORIENTED_EDGE('',*,*,#193149,.T.); #252413=ORIENTED_EDGE('',*,*,#193151,.F.); #252414=ORIENTED_EDGE('',*,*,#193152,.F.); #252415=ORIENTED_EDGE('',*,*,#193153,.T.); #252416=ORIENTED_EDGE('',*,*,#193152,.T.); #252417=ORIENTED_EDGE('',*,*,#193154,.F.); #252418=ORIENTED_EDGE('',*,*,#193155,.F.); #252419=ORIENTED_EDGE('',*,*,#193156,.T.); #252420=ORIENTED_EDGE('',*,*,#193155,.T.); #252421=ORIENTED_EDGE('',*,*,#193157,.F.); #252422=ORIENTED_EDGE('',*,*,#193158,.F.); #252423=ORIENTED_EDGE('',*,*,#193159,.T.); #252424=ORIENTED_EDGE('',*,*,#193158,.T.); #252425=ORIENTED_EDGE('',*,*,#193160,.F.); #252426=ORIENTED_EDGE('',*,*,#193161,.F.); #252427=ORIENTED_EDGE('',*,*,#193162,.T.); #252428=ORIENTED_EDGE('',*,*,#193161,.T.); #252429=ORIENTED_EDGE('',*,*,#193163,.F.); #252430=ORIENTED_EDGE('',*,*,#193164,.F.); #252431=ORIENTED_EDGE('',*,*,#193165,.T.); #252432=ORIENTED_EDGE('',*,*,#193164,.T.); #252433=ORIENTED_EDGE('',*,*,#193166,.F.); #252434=ORIENTED_EDGE('',*,*,#193167,.F.); #252435=ORIENTED_EDGE('',*,*,#193168,.T.); #252436=ORIENTED_EDGE('',*,*,#193167,.T.); #252437=ORIENTED_EDGE('',*,*,#193169,.F.); #252438=ORIENTED_EDGE('',*,*,#193170,.F.); #252439=ORIENTED_EDGE('',*,*,#193171,.T.); #252440=ORIENTED_EDGE('',*,*,#193170,.T.); #252441=ORIENTED_EDGE('',*,*,#193172,.F.); #252442=ORIENTED_EDGE('',*,*,#193173,.F.); #252443=ORIENTED_EDGE('',*,*,#193174,.T.); #252444=ORIENTED_EDGE('',*,*,#193173,.T.); #252445=ORIENTED_EDGE('',*,*,#193175,.F.); #252446=ORIENTED_EDGE('',*,*,#193176,.F.); #252447=ORIENTED_EDGE('',*,*,#193177,.T.); #252448=ORIENTED_EDGE('',*,*,#193176,.T.); #252449=ORIENTED_EDGE('',*,*,#193178,.F.); #252450=ORIENTED_EDGE('',*,*,#193179,.F.); #252451=ORIENTED_EDGE('',*,*,#193180,.T.); #252452=ORIENTED_EDGE('',*,*,#193179,.T.); #252453=ORIENTED_EDGE('',*,*,#193181,.F.); #252454=ORIENTED_EDGE('',*,*,#193182,.F.); #252455=ORIENTED_EDGE('',*,*,#193183,.T.); #252456=ORIENTED_EDGE('',*,*,#193182,.T.); #252457=ORIENTED_EDGE('',*,*,#193184,.F.); #252458=ORIENTED_EDGE('',*,*,#193185,.F.); #252459=ORIENTED_EDGE('',*,*,#193186,.T.); #252460=ORIENTED_EDGE('',*,*,#193185,.T.); #252461=ORIENTED_EDGE('',*,*,#193187,.F.); #252462=ORIENTED_EDGE('',*,*,#193188,.F.); #252463=ORIENTED_EDGE('',*,*,#193189,.T.); #252464=ORIENTED_EDGE('',*,*,#193188,.T.); #252465=ORIENTED_EDGE('',*,*,#193190,.F.); #252466=ORIENTED_EDGE('',*,*,#193191,.F.); #252467=ORIENTED_EDGE('',*,*,#193192,.T.); #252468=ORIENTED_EDGE('',*,*,#193191,.T.); #252469=ORIENTED_EDGE('',*,*,#193193,.F.); #252470=ORIENTED_EDGE('',*,*,#193194,.F.); #252471=ORIENTED_EDGE('',*,*,#193195,.T.); #252472=ORIENTED_EDGE('',*,*,#193194,.T.); #252473=ORIENTED_EDGE('',*,*,#193196,.F.); #252474=ORIENTED_EDGE('',*,*,#193197,.F.); #252475=ORIENTED_EDGE('',*,*,#193198,.T.); #252476=ORIENTED_EDGE('',*,*,#193197,.T.); #252477=ORIENTED_EDGE('',*,*,#193199,.F.); #252478=ORIENTED_EDGE('',*,*,#193200,.F.); #252479=ORIENTED_EDGE('',*,*,#193201,.T.); #252480=ORIENTED_EDGE('',*,*,#193200,.T.); #252481=ORIENTED_EDGE('',*,*,#193202,.F.); #252482=ORIENTED_EDGE('',*,*,#193203,.F.); #252483=ORIENTED_EDGE('',*,*,#193204,.T.); #252484=ORIENTED_EDGE('',*,*,#193203,.T.); #252485=ORIENTED_EDGE('',*,*,#193205,.F.); #252486=ORIENTED_EDGE('',*,*,#193206,.F.); #252487=ORIENTED_EDGE('',*,*,#193207,.T.); #252488=ORIENTED_EDGE('',*,*,#193206,.T.); #252489=ORIENTED_EDGE('',*,*,#193208,.F.); #252490=ORIENTED_EDGE('',*,*,#193209,.F.); #252491=ORIENTED_EDGE('',*,*,#193210,.T.); #252492=ORIENTED_EDGE('',*,*,#193209,.T.); #252493=ORIENTED_EDGE('',*,*,#193211,.F.); #252494=ORIENTED_EDGE('',*,*,#193212,.F.); #252495=ORIENTED_EDGE('',*,*,#193213,.T.); #252496=ORIENTED_EDGE('',*,*,#193212,.T.); #252497=ORIENTED_EDGE('',*,*,#193214,.F.); #252498=ORIENTED_EDGE('',*,*,#193215,.F.); #252499=ORIENTED_EDGE('',*,*,#193216,.T.); #252500=ORIENTED_EDGE('',*,*,#193215,.T.); #252501=ORIENTED_EDGE('',*,*,#193217,.F.); #252502=ORIENTED_EDGE('',*,*,#193218,.F.); #252503=ORIENTED_EDGE('',*,*,#193219,.T.); #252504=ORIENTED_EDGE('',*,*,#193218,.T.); #252505=ORIENTED_EDGE('',*,*,#193220,.F.); #252506=ORIENTED_EDGE('',*,*,#193221,.F.); #252507=ORIENTED_EDGE('',*,*,#193222,.T.); #252508=ORIENTED_EDGE('',*,*,#193221,.T.); #252509=ORIENTED_EDGE('',*,*,#193223,.F.); #252510=ORIENTED_EDGE('',*,*,#193224,.F.); #252511=ORIENTED_EDGE('',*,*,#193225,.T.); #252512=ORIENTED_EDGE('',*,*,#193224,.T.); #252513=ORIENTED_EDGE('',*,*,#193226,.F.); #252514=ORIENTED_EDGE('',*,*,#193227,.F.); #252515=ORIENTED_EDGE('',*,*,#193228,.T.); #252516=ORIENTED_EDGE('',*,*,#193227,.T.); #252517=ORIENTED_EDGE('',*,*,#193229,.F.); #252518=ORIENTED_EDGE('',*,*,#193230,.F.); #252519=ORIENTED_EDGE('',*,*,#193231,.T.); #252520=ORIENTED_EDGE('',*,*,#193230,.T.); #252521=ORIENTED_EDGE('',*,*,#193232,.F.); #252522=ORIENTED_EDGE('',*,*,#193233,.F.); #252523=ORIENTED_EDGE('',*,*,#193234,.T.); #252524=ORIENTED_EDGE('',*,*,#193233,.T.); #252525=ORIENTED_EDGE('',*,*,#193235,.F.); #252526=ORIENTED_EDGE('',*,*,#193129,.F.); #252527=ORIENTED_EDGE('',*,*,#193236,.T.); #252528=ORIENTED_EDGE('',*,*,#193237,.T.); #252529=ORIENTED_EDGE('',*,*,#193238,.F.); #252530=ORIENTED_EDGE('',*,*,#193239,.F.); #252531=ORIENTED_EDGE('',*,*,#193240,.T.); #252532=ORIENTED_EDGE('',*,*,#193239,.T.); #252533=ORIENTED_EDGE('',*,*,#193241,.F.); #252534=ORIENTED_EDGE('',*,*,#193242,.F.); #252535=ORIENTED_EDGE('',*,*,#193243,.T.); #252536=ORIENTED_EDGE('',*,*,#193242,.T.); #252537=ORIENTED_EDGE('',*,*,#193244,.F.); #252538=ORIENTED_EDGE('',*,*,#193245,.F.); #252539=ORIENTED_EDGE('',*,*,#193246,.T.); #252540=ORIENTED_EDGE('',*,*,#193245,.T.); #252541=ORIENTED_EDGE('',*,*,#193247,.F.); #252542=ORIENTED_EDGE('',*,*,#193248,.F.); #252543=ORIENTED_EDGE('',*,*,#193249,.T.); #252544=ORIENTED_EDGE('',*,*,#193248,.T.); #252545=ORIENTED_EDGE('',*,*,#193250,.F.); #252546=ORIENTED_EDGE('',*,*,#193251,.F.); #252547=ORIENTED_EDGE('',*,*,#193252,.T.); #252548=ORIENTED_EDGE('',*,*,#193251,.T.); #252549=ORIENTED_EDGE('',*,*,#193253,.F.); #252550=ORIENTED_EDGE('',*,*,#193254,.F.); #252551=ORIENTED_EDGE('',*,*,#193255,.T.); #252552=ORIENTED_EDGE('',*,*,#193254,.T.); #252553=ORIENTED_EDGE('',*,*,#193256,.F.); #252554=ORIENTED_EDGE('',*,*,#193257,.F.); #252555=ORIENTED_EDGE('',*,*,#193258,.T.); #252556=ORIENTED_EDGE('',*,*,#193257,.T.); #252557=ORIENTED_EDGE('',*,*,#193259,.F.); #252558=ORIENTED_EDGE('',*,*,#193260,.F.); #252559=ORIENTED_EDGE('',*,*,#193261,.T.); #252560=ORIENTED_EDGE('',*,*,#193260,.T.); #252561=ORIENTED_EDGE('',*,*,#193262,.F.); #252562=ORIENTED_EDGE('',*,*,#193263,.F.); #252563=ORIENTED_EDGE('',*,*,#193264,.T.); #252564=ORIENTED_EDGE('',*,*,#193263,.T.); #252565=ORIENTED_EDGE('',*,*,#193265,.F.); #252566=ORIENTED_EDGE('',*,*,#193266,.F.); #252567=ORIENTED_EDGE('',*,*,#193267,.T.); #252568=ORIENTED_EDGE('',*,*,#193266,.T.); #252569=ORIENTED_EDGE('',*,*,#193268,.F.); #252570=ORIENTED_EDGE('',*,*,#193269,.F.); #252571=ORIENTED_EDGE('',*,*,#193270,.T.); #252572=ORIENTED_EDGE('',*,*,#193269,.T.); #252573=ORIENTED_EDGE('',*,*,#193271,.F.); #252574=ORIENTED_EDGE('',*,*,#193272,.F.); #252575=ORIENTED_EDGE('',*,*,#193273,.T.); #252576=ORIENTED_EDGE('',*,*,#193272,.T.); #252577=ORIENTED_EDGE('',*,*,#193274,.F.); #252578=ORIENTED_EDGE('',*,*,#193275,.F.); #252579=ORIENTED_EDGE('',*,*,#193276,.T.); #252580=ORIENTED_EDGE('',*,*,#193275,.T.); #252581=ORIENTED_EDGE('',*,*,#193277,.F.); #252582=ORIENTED_EDGE('',*,*,#193278,.F.); #252583=ORIENTED_EDGE('',*,*,#193279,.T.); #252584=ORIENTED_EDGE('',*,*,#193278,.T.); #252585=ORIENTED_EDGE('',*,*,#193280,.F.); #252586=ORIENTED_EDGE('',*,*,#193281,.F.); #252587=ORIENTED_EDGE('',*,*,#193282,.T.); #252588=ORIENTED_EDGE('',*,*,#193281,.T.); #252589=ORIENTED_EDGE('',*,*,#193283,.F.); #252590=ORIENTED_EDGE('',*,*,#193284,.F.); #252591=ORIENTED_EDGE('',*,*,#193285,.T.); #252592=ORIENTED_EDGE('',*,*,#193284,.T.); #252593=ORIENTED_EDGE('',*,*,#193286,.F.); #252594=ORIENTED_EDGE('',*,*,#193287,.F.); #252595=ORIENTED_EDGE('',*,*,#193288,.T.); #252596=ORIENTED_EDGE('',*,*,#193287,.T.); #252597=ORIENTED_EDGE('',*,*,#193289,.F.); #252598=ORIENTED_EDGE('',*,*,#193290,.F.); #252599=ORIENTED_EDGE('',*,*,#193291,.T.); #252600=ORIENTED_EDGE('',*,*,#193290,.T.); #252601=ORIENTED_EDGE('',*,*,#193292,.F.); #252602=ORIENTED_EDGE('',*,*,#193293,.F.); #252603=ORIENTED_EDGE('',*,*,#193294,.T.); #252604=ORIENTED_EDGE('',*,*,#193293,.T.); #252605=ORIENTED_EDGE('',*,*,#193295,.F.); #252606=ORIENTED_EDGE('',*,*,#193296,.F.); #252607=ORIENTED_EDGE('',*,*,#193297,.T.); #252608=ORIENTED_EDGE('',*,*,#193296,.T.); #252609=ORIENTED_EDGE('',*,*,#193298,.F.); #252610=ORIENTED_EDGE('',*,*,#193299,.F.); #252611=ORIENTED_EDGE('',*,*,#193300,.T.); #252612=ORIENTED_EDGE('',*,*,#193299,.T.); #252613=ORIENTED_EDGE('',*,*,#193301,.F.); #252614=ORIENTED_EDGE('',*,*,#193302,.F.); #252615=ORIENTED_EDGE('',*,*,#193303,.T.); #252616=ORIENTED_EDGE('',*,*,#193302,.T.); #252617=ORIENTED_EDGE('',*,*,#193304,.F.); #252618=ORIENTED_EDGE('',*,*,#193305,.F.); #252619=ORIENTED_EDGE('',*,*,#193306,.T.); #252620=ORIENTED_EDGE('',*,*,#193305,.T.); #252621=ORIENTED_EDGE('',*,*,#193307,.F.); #252622=ORIENTED_EDGE('',*,*,#193308,.F.); #252623=ORIENTED_EDGE('',*,*,#193309,.T.); #252624=ORIENTED_EDGE('',*,*,#193308,.T.); #252625=ORIENTED_EDGE('',*,*,#193310,.F.); #252626=ORIENTED_EDGE('',*,*,#193311,.F.); #252627=ORIENTED_EDGE('',*,*,#193312,.T.); #252628=ORIENTED_EDGE('',*,*,#193311,.T.); #252629=ORIENTED_EDGE('',*,*,#193313,.F.); #252630=ORIENTED_EDGE('',*,*,#193314,.F.); #252631=ORIENTED_EDGE('',*,*,#193315,.T.); #252632=ORIENTED_EDGE('',*,*,#193314,.T.); #252633=ORIENTED_EDGE('',*,*,#193316,.F.); #252634=ORIENTED_EDGE('',*,*,#193317,.F.); #252635=ORIENTED_EDGE('',*,*,#193318,.T.); #252636=ORIENTED_EDGE('',*,*,#193317,.T.); #252637=ORIENTED_EDGE('',*,*,#193319,.F.); #252638=ORIENTED_EDGE('',*,*,#193320,.F.); #252639=ORIENTED_EDGE('',*,*,#193321,.T.); #252640=ORIENTED_EDGE('',*,*,#193320,.T.); #252641=ORIENTED_EDGE('',*,*,#193322,.F.); #252642=ORIENTED_EDGE('',*,*,#193323,.F.); #252643=ORIENTED_EDGE('',*,*,#193324,.T.); #252644=ORIENTED_EDGE('',*,*,#193323,.T.); #252645=ORIENTED_EDGE('',*,*,#193325,.F.); #252646=ORIENTED_EDGE('',*,*,#193326,.F.); #252647=ORIENTED_EDGE('',*,*,#193327,.T.); #252648=ORIENTED_EDGE('',*,*,#193326,.T.); #252649=ORIENTED_EDGE('',*,*,#193328,.F.); #252650=ORIENTED_EDGE('',*,*,#193329,.F.); #252651=ORIENTED_EDGE('',*,*,#193330,.T.); #252652=ORIENTED_EDGE('',*,*,#193329,.T.); #252653=ORIENTED_EDGE('',*,*,#193331,.F.); #252654=ORIENTED_EDGE('',*,*,#193332,.F.); #252655=ORIENTED_EDGE('',*,*,#193333,.T.); #252656=ORIENTED_EDGE('',*,*,#193332,.T.); #252657=ORIENTED_EDGE('',*,*,#193334,.F.); #252658=ORIENTED_EDGE('',*,*,#193335,.F.); #252659=ORIENTED_EDGE('',*,*,#193336,.T.); #252660=ORIENTED_EDGE('',*,*,#193335,.T.); #252661=ORIENTED_EDGE('',*,*,#193337,.F.); #252662=ORIENTED_EDGE('',*,*,#193338,.F.); #252663=ORIENTED_EDGE('',*,*,#193339,.T.); #252664=ORIENTED_EDGE('',*,*,#193338,.T.); #252665=ORIENTED_EDGE('',*,*,#193340,.F.); #252666=ORIENTED_EDGE('',*,*,#193341,.F.); #252667=ORIENTED_EDGE('',*,*,#193342,.T.); #252668=ORIENTED_EDGE('',*,*,#193341,.T.); #252669=ORIENTED_EDGE('',*,*,#193343,.F.); #252670=ORIENTED_EDGE('',*,*,#193344,.F.); #252671=ORIENTED_EDGE('',*,*,#193345,.T.); #252672=ORIENTED_EDGE('',*,*,#193344,.T.); #252673=ORIENTED_EDGE('',*,*,#193346,.F.); #252674=ORIENTED_EDGE('',*,*,#193347,.F.); #252675=ORIENTED_EDGE('',*,*,#193348,.T.); #252676=ORIENTED_EDGE('',*,*,#193347,.T.); #252677=ORIENTED_EDGE('',*,*,#193349,.F.); #252678=ORIENTED_EDGE('',*,*,#193350,.F.); #252679=ORIENTED_EDGE('',*,*,#193351,.T.); #252680=ORIENTED_EDGE('',*,*,#193350,.T.); #252681=ORIENTED_EDGE('',*,*,#193352,.F.); #252682=ORIENTED_EDGE('',*,*,#193353,.F.); #252683=ORIENTED_EDGE('',*,*,#193354,.T.); #252684=ORIENTED_EDGE('',*,*,#193353,.T.); #252685=ORIENTED_EDGE('',*,*,#193355,.F.); #252686=ORIENTED_EDGE('',*,*,#193356,.F.); #252687=ORIENTED_EDGE('',*,*,#193357,.T.); #252688=ORIENTED_EDGE('',*,*,#193356,.T.); #252689=ORIENTED_EDGE('',*,*,#193358,.F.); #252690=ORIENTED_EDGE('',*,*,#193359,.F.); #252691=ORIENTED_EDGE('',*,*,#193360,.T.); #252692=ORIENTED_EDGE('',*,*,#193359,.T.); #252693=ORIENTED_EDGE('',*,*,#193361,.F.); #252694=ORIENTED_EDGE('',*,*,#193362,.F.); #252695=ORIENTED_EDGE('',*,*,#193363,.T.); #252696=ORIENTED_EDGE('',*,*,#193362,.T.); #252697=ORIENTED_EDGE('',*,*,#193364,.F.); #252698=ORIENTED_EDGE('',*,*,#193365,.F.); #252699=ORIENTED_EDGE('',*,*,#193366,.T.); #252700=ORIENTED_EDGE('',*,*,#193365,.T.); #252701=ORIENTED_EDGE('',*,*,#193367,.F.); #252702=ORIENTED_EDGE('',*,*,#193368,.F.); #252703=ORIENTED_EDGE('',*,*,#193369,.T.); #252704=ORIENTED_EDGE('',*,*,#193368,.T.); #252705=ORIENTED_EDGE('',*,*,#193370,.F.); #252706=ORIENTED_EDGE('',*,*,#193371,.F.); #252707=ORIENTED_EDGE('',*,*,#193372,.T.); #252708=ORIENTED_EDGE('',*,*,#193371,.T.); #252709=ORIENTED_EDGE('',*,*,#193373,.F.); #252710=ORIENTED_EDGE('',*,*,#193374,.F.); #252711=ORIENTED_EDGE('',*,*,#193375,.T.); #252712=ORIENTED_EDGE('',*,*,#193374,.T.); #252713=ORIENTED_EDGE('',*,*,#193376,.F.); #252714=ORIENTED_EDGE('',*,*,#193377,.F.); #252715=ORIENTED_EDGE('',*,*,#193378,.T.); #252716=ORIENTED_EDGE('',*,*,#193377,.T.); #252717=ORIENTED_EDGE('',*,*,#193379,.F.); #252718=ORIENTED_EDGE('',*,*,#193380,.F.); #252719=ORIENTED_EDGE('',*,*,#193381,.T.); #252720=ORIENTED_EDGE('',*,*,#193380,.T.); #252721=ORIENTED_EDGE('',*,*,#193382,.F.); #252722=ORIENTED_EDGE('',*,*,#193383,.F.); #252723=ORIENTED_EDGE('',*,*,#193384,.T.); #252724=ORIENTED_EDGE('',*,*,#193383,.T.); #252725=ORIENTED_EDGE('',*,*,#193385,.F.); #252726=ORIENTED_EDGE('',*,*,#193386,.F.); #252727=ORIENTED_EDGE('',*,*,#193387,.T.); #252728=ORIENTED_EDGE('',*,*,#193386,.T.); #252729=ORIENTED_EDGE('',*,*,#193388,.F.); #252730=ORIENTED_EDGE('',*,*,#193389,.F.); #252731=ORIENTED_EDGE('',*,*,#193390,.T.); #252732=ORIENTED_EDGE('',*,*,#193389,.T.); #252733=ORIENTED_EDGE('',*,*,#193391,.F.); #252734=ORIENTED_EDGE('',*,*,#193392,.F.); #252735=ORIENTED_EDGE('',*,*,#193393,.T.); #252736=ORIENTED_EDGE('',*,*,#193392,.T.); #252737=ORIENTED_EDGE('',*,*,#193394,.F.); #252738=ORIENTED_EDGE('',*,*,#193395,.F.); #252739=ORIENTED_EDGE('',*,*,#193396,.T.); #252740=ORIENTED_EDGE('',*,*,#193395,.T.); #252741=ORIENTED_EDGE('',*,*,#193397,.F.); #252742=ORIENTED_EDGE('',*,*,#193398,.F.); #252743=ORIENTED_EDGE('',*,*,#193399,.T.); #252744=ORIENTED_EDGE('',*,*,#193398,.T.); #252745=ORIENTED_EDGE('',*,*,#193400,.F.); #252746=ORIENTED_EDGE('',*,*,#193401,.F.); #252747=ORIENTED_EDGE('',*,*,#193402,.T.); #252748=ORIENTED_EDGE('',*,*,#193401,.T.); #252749=ORIENTED_EDGE('',*,*,#193403,.F.); #252750=ORIENTED_EDGE('',*,*,#193404,.F.); #252751=ORIENTED_EDGE('',*,*,#193405,.T.); #252752=ORIENTED_EDGE('',*,*,#193404,.T.); #252753=ORIENTED_EDGE('',*,*,#193406,.F.); #252754=ORIENTED_EDGE('',*,*,#193407,.F.); #252755=ORIENTED_EDGE('',*,*,#193408,.T.); #252756=ORIENTED_EDGE('',*,*,#193407,.T.); #252757=ORIENTED_EDGE('',*,*,#193409,.F.); #252758=ORIENTED_EDGE('',*,*,#193410,.F.); #252759=ORIENTED_EDGE('',*,*,#193411,.T.); #252760=ORIENTED_EDGE('',*,*,#193410,.T.); #252761=ORIENTED_EDGE('',*,*,#193412,.F.); #252762=ORIENTED_EDGE('',*,*,#193413,.F.); #252763=ORIENTED_EDGE('',*,*,#193414,.T.); #252764=ORIENTED_EDGE('',*,*,#193413,.T.); #252765=ORIENTED_EDGE('',*,*,#193415,.F.); #252766=ORIENTED_EDGE('',*,*,#193416,.F.); #252767=ORIENTED_EDGE('',*,*,#193417,.T.); #252768=ORIENTED_EDGE('',*,*,#193416,.T.); #252769=ORIENTED_EDGE('',*,*,#193418,.F.); #252770=ORIENTED_EDGE('',*,*,#193419,.F.); #252771=ORIENTED_EDGE('',*,*,#193420,.T.); #252772=ORIENTED_EDGE('',*,*,#193419,.T.); #252773=ORIENTED_EDGE('',*,*,#193421,.F.); #252774=ORIENTED_EDGE('',*,*,#193422,.F.); #252775=ORIENTED_EDGE('',*,*,#193423,.T.); #252776=ORIENTED_EDGE('',*,*,#193422,.T.); #252777=ORIENTED_EDGE('',*,*,#193424,.F.); #252778=ORIENTED_EDGE('',*,*,#193425,.F.); #252779=ORIENTED_EDGE('',*,*,#193426,.T.); #252780=ORIENTED_EDGE('',*,*,#193425,.T.); #252781=ORIENTED_EDGE('',*,*,#193427,.F.); #252782=ORIENTED_EDGE('',*,*,#193428,.F.); #252783=ORIENTED_EDGE('',*,*,#193429,.T.); #252784=ORIENTED_EDGE('',*,*,#193428,.T.); #252785=ORIENTED_EDGE('',*,*,#193430,.F.); #252786=ORIENTED_EDGE('',*,*,#193431,.F.); #252787=ORIENTED_EDGE('',*,*,#193432,.T.); #252788=ORIENTED_EDGE('',*,*,#193431,.T.); #252789=ORIENTED_EDGE('',*,*,#193433,.F.); #252790=ORIENTED_EDGE('',*,*,#193434,.F.); #252791=ORIENTED_EDGE('',*,*,#193435,.T.); #252792=ORIENTED_EDGE('',*,*,#193434,.T.); #252793=ORIENTED_EDGE('',*,*,#193436,.F.); #252794=ORIENTED_EDGE('',*,*,#193437,.F.); #252795=ORIENTED_EDGE('',*,*,#193438,.T.); #252796=ORIENTED_EDGE('',*,*,#193437,.T.); #252797=ORIENTED_EDGE('',*,*,#193439,.F.); #252798=ORIENTED_EDGE('',*,*,#193440,.F.); #252799=ORIENTED_EDGE('',*,*,#193441,.T.); #252800=ORIENTED_EDGE('',*,*,#193440,.T.); #252801=ORIENTED_EDGE('',*,*,#193442,.F.); #252802=ORIENTED_EDGE('',*,*,#193443,.F.); #252803=ORIENTED_EDGE('',*,*,#193444,.T.); #252804=ORIENTED_EDGE('',*,*,#193443,.T.); #252805=ORIENTED_EDGE('',*,*,#193445,.F.); #252806=ORIENTED_EDGE('',*,*,#193446,.F.); #252807=ORIENTED_EDGE('',*,*,#193447,.T.); #252808=ORIENTED_EDGE('',*,*,#193446,.T.); #252809=ORIENTED_EDGE('',*,*,#193448,.F.); #252810=ORIENTED_EDGE('',*,*,#193449,.F.); #252811=ORIENTED_EDGE('',*,*,#193450,.T.); #252812=ORIENTED_EDGE('',*,*,#193449,.T.); #252813=ORIENTED_EDGE('',*,*,#193451,.F.); #252814=ORIENTED_EDGE('',*,*,#193452,.F.); #252815=ORIENTED_EDGE('',*,*,#193453,.T.); #252816=ORIENTED_EDGE('',*,*,#193452,.T.); #252817=ORIENTED_EDGE('',*,*,#193454,.F.); #252818=ORIENTED_EDGE('',*,*,#193455,.F.); #252819=ORIENTED_EDGE('',*,*,#193456,.T.); #252820=ORIENTED_EDGE('',*,*,#193455,.T.); #252821=ORIENTED_EDGE('',*,*,#193457,.F.); #252822=ORIENTED_EDGE('',*,*,#193458,.F.); #252823=ORIENTED_EDGE('',*,*,#193459,.T.); #252824=ORIENTED_EDGE('',*,*,#193458,.T.); #252825=ORIENTED_EDGE('',*,*,#193460,.F.); #252826=ORIENTED_EDGE('',*,*,#193461,.F.); #252827=ORIENTED_EDGE('',*,*,#193462,.T.); #252828=ORIENTED_EDGE('',*,*,#193461,.T.); #252829=ORIENTED_EDGE('',*,*,#193463,.F.); #252830=ORIENTED_EDGE('',*,*,#193464,.F.); #252831=ORIENTED_EDGE('',*,*,#193465,.T.); #252832=ORIENTED_EDGE('',*,*,#193464,.T.); #252833=ORIENTED_EDGE('',*,*,#193466,.F.); #252834=ORIENTED_EDGE('',*,*,#193467,.F.); #252835=ORIENTED_EDGE('',*,*,#193468,.T.); #252836=ORIENTED_EDGE('',*,*,#193467,.T.); #252837=ORIENTED_EDGE('',*,*,#193469,.F.); #252838=ORIENTED_EDGE('',*,*,#193470,.F.); #252839=ORIENTED_EDGE('',*,*,#193471,.T.); #252840=ORIENTED_EDGE('',*,*,#193470,.T.); #252841=ORIENTED_EDGE('',*,*,#193472,.F.); #252842=ORIENTED_EDGE('',*,*,#193473,.F.); #252843=ORIENTED_EDGE('',*,*,#193474,.T.); #252844=ORIENTED_EDGE('',*,*,#193473,.T.); #252845=ORIENTED_EDGE('',*,*,#193475,.F.); #252846=ORIENTED_EDGE('',*,*,#193476,.F.); #252847=ORIENTED_EDGE('',*,*,#193477,.T.); #252848=ORIENTED_EDGE('',*,*,#193476,.T.); #252849=ORIENTED_EDGE('',*,*,#193478,.F.); #252850=ORIENTED_EDGE('',*,*,#193479,.F.); #252851=ORIENTED_EDGE('',*,*,#193480,.T.); #252852=ORIENTED_EDGE('',*,*,#193479,.T.); #252853=ORIENTED_EDGE('',*,*,#193481,.F.); #252854=ORIENTED_EDGE('',*,*,#193482,.F.); #252855=ORIENTED_EDGE('',*,*,#193483,.T.); #252856=ORIENTED_EDGE('',*,*,#193482,.T.); #252857=ORIENTED_EDGE('',*,*,#193484,.F.); #252858=ORIENTED_EDGE('',*,*,#193485,.F.); #252859=ORIENTED_EDGE('',*,*,#193486,.T.); #252860=ORIENTED_EDGE('',*,*,#193485,.T.); #252861=ORIENTED_EDGE('',*,*,#193487,.F.); #252862=ORIENTED_EDGE('',*,*,#193488,.F.); #252863=ORIENTED_EDGE('',*,*,#193489,.T.); #252864=ORIENTED_EDGE('',*,*,#193488,.T.); #252865=ORIENTED_EDGE('',*,*,#193490,.F.); #252866=ORIENTED_EDGE('',*,*,#193491,.F.); #252867=ORIENTED_EDGE('',*,*,#193492,.T.); #252868=ORIENTED_EDGE('',*,*,#193491,.T.); #252869=ORIENTED_EDGE('',*,*,#193493,.F.); #252870=ORIENTED_EDGE('',*,*,#193494,.F.); #252871=ORIENTED_EDGE('',*,*,#193495,.T.); #252872=ORIENTED_EDGE('',*,*,#193494,.T.); #252873=ORIENTED_EDGE('',*,*,#193496,.F.); #252874=ORIENTED_EDGE('',*,*,#193497,.F.); #252875=ORIENTED_EDGE('',*,*,#193498,.T.); #252876=ORIENTED_EDGE('',*,*,#193497,.T.); #252877=ORIENTED_EDGE('',*,*,#193499,.F.); #252878=ORIENTED_EDGE('',*,*,#193500,.F.); #252879=ORIENTED_EDGE('',*,*,#193501,.T.); #252880=ORIENTED_EDGE('',*,*,#193500,.T.); #252881=ORIENTED_EDGE('',*,*,#193502,.F.); #252882=ORIENTED_EDGE('',*,*,#193503,.F.); #252883=ORIENTED_EDGE('',*,*,#193504,.T.); #252884=ORIENTED_EDGE('',*,*,#193503,.T.); #252885=ORIENTED_EDGE('',*,*,#193505,.F.); #252886=ORIENTED_EDGE('',*,*,#193506,.F.); #252887=ORIENTED_EDGE('',*,*,#193507,.T.); #252888=ORIENTED_EDGE('',*,*,#193506,.T.); #252889=ORIENTED_EDGE('',*,*,#193508,.F.); #252890=ORIENTED_EDGE('',*,*,#193509,.F.); #252891=ORIENTED_EDGE('',*,*,#193510,.T.); #252892=ORIENTED_EDGE('',*,*,#193509,.T.); #252893=ORIENTED_EDGE('',*,*,#193511,.F.); #252894=ORIENTED_EDGE('',*,*,#193512,.F.); #252895=ORIENTED_EDGE('',*,*,#193513,.T.); #252896=ORIENTED_EDGE('',*,*,#193512,.T.); #252897=ORIENTED_EDGE('',*,*,#193514,.F.); #252898=ORIENTED_EDGE('',*,*,#193515,.F.); #252899=ORIENTED_EDGE('',*,*,#193516,.T.); #252900=ORIENTED_EDGE('',*,*,#193515,.T.); #252901=ORIENTED_EDGE('',*,*,#193517,.F.); #252902=ORIENTED_EDGE('',*,*,#193518,.F.); #252903=ORIENTED_EDGE('',*,*,#193519,.T.); #252904=ORIENTED_EDGE('',*,*,#193518,.T.); #252905=ORIENTED_EDGE('',*,*,#193520,.F.); #252906=ORIENTED_EDGE('',*,*,#193521,.F.); #252907=ORIENTED_EDGE('',*,*,#193522,.T.); #252908=ORIENTED_EDGE('',*,*,#193521,.T.); #252909=ORIENTED_EDGE('',*,*,#193523,.F.); #252910=ORIENTED_EDGE('',*,*,#193524,.F.); #252911=ORIENTED_EDGE('',*,*,#193525,.T.); #252912=ORIENTED_EDGE('',*,*,#193524,.T.); #252913=ORIENTED_EDGE('',*,*,#193526,.F.); #252914=ORIENTED_EDGE('',*,*,#193527,.F.); #252915=ORIENTED_EDGE('',*,*,#193528,.T.); #252916=ORIENTED_EDGE('',*,*,#193527,.T.); #252917=ORIENTED_EDGE('',*,*,#193529,.F.); #252918=ORIENTED_EDGE('',*,*,#193530,.F.); #252919=ORIENTED_EDGE('',*,*,#193531,.T.); #252920=ORIENTED_EDGE('',*,*,#193530,.T.); #252921=ORIENTED_EDGE('',*,*,#193532,.F.); #252922=ORIENTED_EDGE('',*,*,#193533,.F.); #252923=ORIENTED_EDGE('',*,*,#193534,.T.); #252924=ORIENTED_EDGE('',*,*,#193533,.T.); #252925=ORIENTED_EDGE('',*,*,#193535,.F.); #252926=ORIENTED_EDGE('',*,*,#193536,.F.); #252927=ORIENTED_EDGE('',*,*,#193537,.T.); #252928=ORIENTED_EDGE('',*,*,#193536,.T.); #252929=ORIENTED_EDGE('',*,*,#193538,.F.); #252930=ORIENTED_EDGE('',*,*,#193539,.F.); #252931=ORIENTED_EDGE('',*,*,#193540,.T.); #252932=ORIENTED_EDGE('',*,*,#193539,.T.); #252933=ORIENTED_EDGE('',*,*,#193541,.F.); #252934=ORIENTED_EDGE('',*,*,#193542,.F.); #252935=ORIENTED_EDGE('',*,*,#193543,.T.); #252936=ORIENTED_EDGE('',*,*,#193542,.T.); #252937=ORIENTED_EDGE('',*,*,#193544,.F.); #252938=ORIENTED_EDGE('',*,*,#193545,.F.); #252939=ORIENTED_EDGE('',*,*,#193546,.T.); #252940=ORIENTED_EDGE('',*,*,#193545,.T.); #252941=ORIENTED_EDGE('',*,*,#193547,.F.); #252942=ORIENTED_EDGE('',*,*,#193548,.F.); #252943=ORIENTED_EDGE('',*,*,#193549,.T.); #252944=ORIENTED_EDGE('',*,*,#193548,.T.); #252945=ORIENTED_EDGE('',*,*,#193550,.F.); #252946=ORIENTED_EDGE('',*,*,#193551,.F.); #252947=ORIENTED_EDGE('',*,*,#193552,.T.); #252948=ORIENTED_EDGE('',*,*,#193551,.T.); #252949=ORIENTED_EDGE('',*,*,#193553,.F.); #252950=ORIENTED_EDGE('',*,*,#193554,.F.); #252951=ORIENTED_EDGE('',*,*,#193555,.T.); #252952=ORIENTED_EDGE('',*,*,#193554,.T.); #252953=ORIENTED_EDGE('',*,*,#193556,.F.); #252954=ORIENTED_EDGE('',*,*,#193557,.F.); #252955=ORIENTED_EDGE('',*,*,#193558,.T.); #252956=ORIENTED_EDGE('',*,*,#193557,.T.); #252957=ORIENTED_EDGE('',*,*,#193559,.F.); #252958=ORIENTED_EDGE('',*,*,#193560,.F.); #252959=ORIENTED_EDGE('',*,*,#193561,.T.); #252960=ORIENTED_EDGE('',*,*,#193560,.T.); #252961=ORIENTED_EDGE('',*,*,#193562,.F.); #252962=ORIENTED_EDGE('',*,*,#193563,.F.); #252963=ORIENTED_EDGE('',*,*,#193564,.T.); #252964=ORIENTED_EDGE('',*,*,#193563,.T.); #252965=ORIENTED_EDGE('',*,*,#193565,.F.); #252966=ORIENTED_EDGE('',*,*,#193566,.F.); #252967=ORIENTED_EDGE('',*,*,#193567,.T.); #252968=ORIENTED_EDGE('',*,*,#193566,.T.); #252969=ORIENTED_EDGE('',*,*,#193568,.F.); #252970=ORIENTED_EDGE('',*,*,#193569,.F.); #252971=ORIENTED_EDGE('',*,*,#193570,.T.); #252972=ORIENTED_EDGE('',*,*,#193569,.T.); #252973=ORIENTED_EDGE('',*,*,#193571,.F.); #252974=ORIENTED_EDGE('',*,*,#193572,.F.); #252975=ORIENTED_EDGE('',*,*,#193573,.T.); #252976=ORIENTED_EDGE('',*,*,#193572,.T.); #252977=ORIENTED_EDGE('',*,*,#193574,.F.); #252978=ORIENTED_EDGE('',*,*,#193575,.F.); #252979=ORIENTED_EDGE('',*,*,#193576,.T.); #252980=ORIENTED_EDGE('',*,*,#193575,.T.); #252981=ORIENTED_EDGE('',*,*,#193577,.F.); #252982=ORIENTED_EDGE('',*,*,#193578,.F.); #252983=ORIENTED_EDGE('',*,*,#193579,.T.); #252984=ORIENTED_EDGE('',*,*,#193578,.T.); #252985=ORIENTED_EDGE('',*,*,#193580,.F.); #252986=ORIENTED_EDGE('',*,*,#193581,.F.); #252987=ORIENTED_EDGE('',*,*,#193582,.T.); #252988=ORIENTED_EDGE('',*,*,#193581,.T.); #252989=ORIENTED_EDGE('',*,*,#193583,.F.); #252990=ORIENTED_EDGE('',*,*,#193584,.F.); #252991=ORIENTED_EDGE('',*,*,#193585,.T.); #252992=ORIENTED_EDGE('',*,*,#193584,.T.); #252993=ORIENTED_EDGE('',*,*,#193586,.F.); #252994=ORIENTED_EDGE('',*,*,#193587,.F.); #252995=ORIENTED_EDGE('',*,*,#193588,.T.); #252996=ORIENTED_EDGE('',*,*,#193587,.T.); #252997=ORIENTED_EDGE('',*,*,#193589,.F.); #252998=ORIENTED_EDGE('',*,*,#193590,.F.); #252999=ORIENTED_EDGE('',*,*,#193591,.T.); #253000=ORIENTED_EDGE('',*,*,#193590,.T.); #253001=ORIENTED_EDGE('',*,*,#193592,.F.); #253002=ORIENTED_EDGE('',*,*,#193593,.F.); #253003=ORIENTED_EDGE('',*,*,#193594,.T.); #253004=ORIENTED_EDGE('',*,*,#193593,.T.); #253005=ORIENTED_EDGE('',*,*,#193595,.F.); #253006=ORIENTED_EDGE('',*,*,#193596,.F.); #253007=ORIENTED_EDGE('',*,*,#193597,.T.); #253008=ORIENTED_EDGE('',*,*,#193596,.T.); #253009=ORIENTED_EDGE('',*,*,#193598,.F.); #253010=ORIENTED_EDGE('',*,*,#193599,.F.); #253011=ORIENTED_EDGE('',*,*,#193600,.T.); #253012=ORIENTED_EDGE('',*,*,#193599,.T.); #253013=ORIENTED_EDGE('',*,*,#193601,.F.); #253014=ORIENTED_EDGE('',*,*,#193602,.F.); #253015=ORIENTED_EDGE('',*,*,#193603,.T.); #253016=ORIENTED_EDGE('',*,*,#193602,.T.); #253017=ORIENTED_EDGE('',*,*,#193604,.F.); #253018=ORIENTED_EDGE('',*,*,#193605,.F.); #253019=ORIENTED_EDGE('',*,*,#193606,.T.); #253020=ORIENTED_EDGE('',*,*,#193605,.T.); #253021=ORIENTED_EDGE('',*,*,#193607,.F.); #253022=ORIENTED_EDGE('',*,*,#193608,.F.); #253023=ORIENTED_EDGE('',*,*,#193609,.T.); #253024=ORIENTED_EDGE('',*,*,#193608,.T.); #253025=ORIENTED_EDGE('',*,*,#193610,.F.); #253026=ORIENTED_EDGE('',*,*,#193611,.F.); #253027=ORIENTED_EDGE('',*,*,#193612,.T.); #253028=ORIENTED_EDGE('',*,*,#193611,.T.); #253029=ORIENTED_EDGE('',*,*,#193613,.F.); #253030=ORIENTED_EDGE('',*,*,#193614,.F.); #253031=ORIENTED_EDGE('',*,*,#193615,.T.); #253032=ORIENTED_EDGE('',*,*,#193614,.T.); #253033=ORIENTED_EDGE('',*,*,#193616,.F.); #253034=ORIENTED_EDGE('',*,*,#193617,.F.); #253035=ORIENTED_EDGE('',*,*,#193618,.T.); #253036=ORIENTED_EDGE('',*,*,#193617,.T.); #253037=ORIENTED_EDGE('',*,*,#193619,.F.); #253038=ORIENTED_EDGE('',*,*,#193620,.F.); #253039=ORIENTED_EDGE('',*,*,#193621,.T.); #253040=ORIENTED_EDGE('',*,*,#193620,.T.); #253041=ORIENTED_EDGE('',*,*,#193622,.F.); #253042=ORIENTED_EDGE('',*,*,#193623,.F.); #253043=ORIENTED_EDGE('',*,*,#193624,.T.); #253044=ORIENTED_EDGE('',*,*,#193623,.T.); #253045=ORIENTED_EDGE('',*,*,#193625,.F.); #253046=ORIENTED_EDGE('',*,*,#193626,.F.); #253047=ORIENTED_EDGE('',*,*,#193627,.T.); #253048=ORIENTED_EDGE('',*,*,#193626,.T.); #253049=ORIENTED_EDGE('',*,*,#193628,.F.); #253050=ORIENTED_EDGE('',*,*,#193629,.F.); #253051=ORIENTED_EDGE('',*,*,#193630,.T.); #253052=ORIENTED_EDGE('',*,*,#193629,.T.); #253053=ORIENTED_EDGE('',*,*,#193631,.F.); #253054=ORIENTED_EDGE('',*,*,#193632,.F.); #253055=ORIENTED_EDGE('',*,*,#193633,.T.); #253056=ORIENTED_EDGE('',*,*,#193632,.T.); #253057=ORIENTED_EDGE('',*,*,#193634,.F.); #253058=ORIENTED_EDGE('',*,*,#193635,.F.); #253059=ORIENTED_EDGE('',*,*,#193636,.T.); #253060=ORIENTED_EDGE('',*,*,#193635,.T.); #253061=ORIENTED_EDGE('',*,*,#193637,.F.); #253062=ORIENTED_EDGE('',*,*,#193638,.F.); #253063=ORIENTED_EDGE('',*,*,#193639,.T.); #253064=ORIENTED_EDGE('',*,*,#193638,.T.); #253065=ORIENTED_EDGE('',*,*,#193640,.F.); #253066=ORIENTED_EDGE('',*,*,#193641,.F.); #253067=ORIENTED_EDGE('',*,*,#193642,.T.); #253068=ORIENTED_EDGE('',*,*,#193641,.T.); #253069=ORIENTED_EDGE('',*,*,#193643,.F.); #253070=ORIENTED_EDGE('',*,*,#193644,.F.); #253071=ORIENTED_EDGE('',*,*,#193645,.T.); #253072=ORIENTED_EDGE('',*,*,#193644,.T.); #253073=ORIENTED_EDGE('',*,*,#193646,.F.); #253074=ORIENTED_EDGE('',*,*,#193647,.F.); #253075=ORIENTED_EDGE('',*,*,#193648,.T.); #253076=ORIENTED_EDGE('',*,*,#193647,.T.); #253077=ORIENTED_EDGE('',*,*,#193649,.F.); #253078=ORIENTED_EDGE('',*,*,#193650,.F.); #253079=ORIENTED_EDGE('',*,*,#193651,.T.); #253080=ORIENTED_EDGE('',*,*,#193650,.T.); #253081=ORIENTED_EDGE('',*,*,#193652,.F.); #253082=ORIENTED_EDGE('',*,*,#193653,.F.); #253083=ORIENTED_EDGE('',*,*,#193654,.T.); #253084=ORIENTED_EDGE('',*,*,#193653,.T.); #253085=ORIENTED_EDGE('',*,*,#193655,.F.); #253086=ORIENTED_EDGE('',*,*,#193656,.F.); #253087=ORIENTED_EDGE('',*,*,#193657,.T.); #253088=ORIENTED_EDGE('',*,*,#193656,.T.); #253089=ORIENTED_EDGE('',*,*,#193658,.F.); #253090=ORIENTED_EDGE('',*,*,#193659,.F.); #253091=ORIENTED_EDGE('',*,*,#193660,.T.); #253092=ORIENTED_EDGE('',*,*,#193659,.T.); #253093=ORIENTED_EDGE('',*,*,#193661,.F.); #253094=ORIENTED_EDGE('',*,*,#193662,.F.); #253095=ORIENTED_EDGE('',*,*,#193663,.T.); #253096=ORIENTED_EDGE('',*,*,#193662,.T.); #253097=ORIENTED_EDGE('',*,*,#193664,.F.); #253098=ORIENTED_EDGE('',*,*,#193665,.F.); #253099=ORIENTED_EDGE('',*,*,#193666,.T.); #253100=ORIENTED_EDGE('',*,*,#193665,.T.); #253101=ORIENTED_EDGE('',*,*,#193667,.F.); #253102=ORIENTED_EDGE('',*,*,#193668,.F.); #253103=ORIENTED_EDGE('',*,*,#193669,.T.); #253104=ORIENTED_EDGE('',*,*,#193668,.T.); #253105=ORIENTED_EDGE('',*,*,#193670,.F.); #253106=ORIENTED_EDGE('',*,*,#193671,.F.); #253107=ORIENTED_EDGE('',*,*,#193672,.T.); #253108=ORIENTED_EDGE('',*,*,#193671,.T.); #253109=ORIENTED_EDGE('',*,*,#193673,.F.); #253110=ORIENTED_EDGE('',*,*,#193674,.F.); #253111=ORIENTED_EDGE('',*,*,#193675,.T.); #253112=ORIENTED_EDGE('',*,*,#193674,.T.); #253113=ORIENTED_EDGE('',*,*,#193676,.F.); #253114=ORIENTED_EDGE('',*,*,#193677,.F.); #253115=ORIENTED_EDGE('',*,*,#193678,.T.); #253116=ORIENTED_EDGE('',*,*,#193677,.T.); #253117=ORIENTED_EDGE('',*,*,#193679,.F.); #253118=ORIENTED_EDGE('',*,*,#193680,.F.); #253119=ORIENTED_EDGE('',*,*,#193681,.T.); #253120=ORIENTED_EDGE('',*,*,#193680,.T.); #253121=ORIENTED_EDGE('',*,*,#193682,.F.); #253122=ORIENTED_EDGE('',*,*,#193683,.F.); #253123=ORIENTED_EDGE('',*,*,#193684,.T.); #253124=ORIENTED_EDGE('',*,*,#193683,.T.); #253125=ORIENTED_EDGE('',*,*,#193685,.F.); #253126=ORIENTED_EDGE('',*,*,#193686,.F.); #253127=ORIENTED_EDGE('',*,*,#193687,.T.); #253128=ORIENTED_EDGE('',*,*,#193686,.T.); #253129=ORIENTED_EDGE('',*,*,#193688,.F.); #253130=ORIENTED_EDGE('',*,*,#193689,.F.); #253131=ORIENTED_EDGE('',*,*,#193690,.T.); #253132=ORIENTED_EDGE('',*,*,#193689,.T.); #253133=ORIENTED_EDGE('',*,*,#193691,.F.); #253134=ORIENTED_EDGE('',*,*,#193692,.F.); #253135=ORIENTED_EDGE('',*,*,#193693,.T.); #253136=ORIENTED_EDGE('',*,*,#193692,.T.); #253137=ORIENTED_EDGE('',*,*,#193694,.F.); #253138=ORIENTED_EDGE('',*,*,#193695,.F.); #253139=ORIENTED_EDGE('',*,*,#193696,.T.); #253140=ORIENTED_EDGE('',*,*,#193695,.T.); #253141=ORIENTED_EDGE('',*,*,#193697,.F.); #253142=ORIENTED_EDGE('',*,*,#193698,.F.); #253143=ORIENTED_EDGE('',*,*,#193699,.T.); #253144=ORIENTED_EDGE('',*,*,#193698,.T.); #253145=ORIENTED_EDGE('',*,*,#193700,.F.); #253146=ORIENTED_EDGE('',*,*,#193701,.F.); #253147=ORIENTED_EDGE('',*,*,#193702,.T.); #253148=ORIENTED_EDGE('',*,*,#193701,.T.); #253149=ORIENTED_EDGE('',*,*,#193703,.F.); #253150=ORIENTED_EDGE('',*,*,#193704,.F.); #253151=ORIENTED_EDGE('',*,*,#193705,.T.); #253152=ORIENTED_EDGE('',*,*,#193704,.T.); #253153=ORIENTED_EDGE('',*,*,#193706,.F.); #253154=ORIENTED_EDGE('',*,*,#193707,.F.); #253155=ORIENTED_EDGE('',*,*,#193708,.T.); #253156=ORIENTED_EDGE('',*,*,#193707,.T.); #253157=ORIENTED_EDGE('',*,*,#193709,.F.); #253158=ORIENTED_EDGE('',*,*,#193710,.F.); #253159=ORIENTED_EDGE('',*,*,#193711,.T.); #253160=ORIENTED_EDGE('',*,*,#193710,.T.); #253161=ORIENTED_EDGE('',*,*,#193712,.F.); #253162=ORIENTED_EDGE('',*,*,#193713,.F.); #253163=ORIENTED_EDGE('',*,*,#193714,.T.); #253164=ORIENTED_EDGE('',*,*,#193713,.T.); #253165=ORIENTED_EDGE('',*,*,#193715,.F.); #253166=ORIENTED_EDGE('',*,*,#193716,.F.); #253167=ORIENTED_EDGE('',*,*,#193717,.T.); #253168=ORIENTED_EDGE('',*,*,#193716,.T.); #253169=ORIENTED_EDGE('',*,*,#193718,.F.); #253170=ORIENTED_EDGE('',*,*,#193719,.F.); #253171=ORIENTED_EDGE('',*,*,#193720,.T.); #253172=ORIENTED_EDGE('',*,*,#193719,.T.); #253173=ORIENTED_EDGE('',*,*,#193721,.F.); #253174=ORIENTED_EDGE('',*,*,#193722,.F.); #253175=ORIENTED_EDGE('',*,*,#193723,.T.); #253176=ORIENTED_EDGE('',*,*,#193722,.T.); #253177=ORIENTED_EDGE('',*,*,#193724,.F.); #253178=ORIENTED_EDGE('',*,*,#193725,.F.); #253179=ORIENTED_EDGE('',*,*,#193726,.T.); #253180=ORIENTED_EDGE('',*,*,#193725,.T.); #253181=ORIENTED_EDGE('',*,*,#193727,.F.); #253182=ORIENTED_EDGE('',*,*,#193728,.F.); #253183=ORIENTED_EDGE('',*,*,#193729,.T.); #253184=ORIENTED_EDGE('',*,*,#193728,.T.); #253185=ORIENTED_EDGE('',*,*,#193730,.F.); #253186=ORIENTED_EDGE('',*,*,#193731,.F.); #253187=ORIENTED_EDGE('',*,*,#193732,.T.); #253188=ORIENTED_EDGE('',*,*,#193731,.T.); #253189=ORIENTED_EDGE('',*,*,#193733,.F.); #253190=ORIENTED_EDGE('',*,*,#193734,.F.); #253191=ORIENTED_EDGE('',*,*,#193735,.T.); #253192=ORIENTED_EDGE('',*,*,#193734,.T.); #253193=ORIENTED_EDGE('',*,*,#193736,.F.); #253194=ORIENTED_EDGE('',*,*,#193737,.F.); #253195=ORIENTED_EDGE('',*,*,#193738,.T.); #253196=ORIENTED_EDGE('',*,*,#193737,.T.); #253197=ORIENTED_EDGE('',*,*,#193739,.F.); #253198=ORIENTED_EDGE('',*,*,#193740,.F.); #253199=ORIENTED_EDGE('',*,*,#193741,.T.); #253200=ORIENTED_EDGE('',*,*,#193740,.T.); #253201=ORIENTED_EDGE('',*,*,#193742,.F.); #253202=ORIENTED_EDGE('',*,*,#193743,.F.); #253203=ORIENTED_EDGE('',*,*,#193744,.T.); #253204=ORIENTED_EDGE('',*,*,#193743,.T.); #253205=ORIENTED_EDGE('',*,*,#193745,.F.); #253206=ORIENTED_EDGE('',*,*,#193746,.F.); #253207=ORIENTED_EDGE('',*,*,#193747,.T.); #253208=ORIENTED_EDGE('',*,*,#193746,.T.); #253209=ORIENTED_EDGE('',*,*,#193748,.F.); #253210=ORIENTED_EDGE('',*,*,#193749,.F.); #253211=ORIENTED_EDGE('',*,*,#193750,.T.); #253212=ORIENTED_EDGE('',*,*,#193749,.T.); #253213=ORIENTED_EDGE('',*,*,#193751,.F.); #253214=ORIENTED_EDGE('',*,*,#193752,.F.); #253215=ORIENTED_EDGE('',*,*,#193753,.T.); #253216=ORIENTED_EDGE('',*,*,#193752,.T.); #253217=ORIENTED_EDGE('',*,*,#193754,.F.); #253218=ORIENTED_EDGE('',*,*,#193755,.F.); #253219=ORIENTED_EDGE('',*,*,#193756,.T.); #253220=ORIENTED_EDGE('',*,*,#193755,.T.); #253221=ORIENTED_EDGE('',*,*,#193757,.F.); #253222=ORIENTED_EDGE('',*,*,#193758,.F.); #253223=ORIENTED_EDGE('',*,*,#193759,.T.); #253224=ORIENTED_EDGE('',*,*,#193758,.T.); #253225=ORIENTED_EDGE('',*,*,#193760,.F.); #253226=ORIENTED_EDGE('',*,*,#193761,.F.); #253227=ORIENTED_EDGE('',*,*,#193762,.T.); #253228=ORIENTED_EDGE('',*,*,#193761,.T.); #253229=ORIENTED_EDGE('',*,*,#193763,.F.); #253230=ORIENTED_EDGE('',*,*,#193764,.F.); #253231=ORIENTED_EDGE('',*,*,#193765,.T.); #253232=ORIENTED_EDGE('',*,*,#193764,.T.); #253233=ORIENTED_EDGE('',*,*,#193766,.F.); #253234=ORIENTED_EDGE('',*,*,#193767,.F.); #253235=ORIENTED_EDGE('',*,*,#193768,.T.); #253236=ORIENTED_EDGE('',*,*,#193767,.T.); #253237=ORIENTED_EDGE('',*,*,#193769,.F.); #253238=ORIENTED_EDGE('',*,*,#193770,.F.); #253239=ORIENTED_EDGE('',*,*,#193771,.T.); #253240=ORIENTED_EDGE('',*,*,#193770,.T.); #253241=ORIENTED_EDGE('',*,*,#193772,.F.); #253242=ORIENTED_EDGE('',*,*,#193773,.F.); #253243=ORIENTED_EDGE('',*,*,#193774,.T.); #253244=ORIENTED_EDGE('',*,*,#193773,.T.); #253245=ORIENTED_EDGE('',*,*,#193775,.F.); #253246=ORIENTED_EDGE('',*,*,#193776,.F.); #253247=ORIENTED_EDGE('',*,*,#193777,.T.); #253248=ORIENTED_EDGE('',*,*,#193776,.T.); #253249=ORIENTED_EDGE('',*,*,#193778,.F.); #253250=ORIENTED_EDGE('',*,*,#193779,.F.); #253251=ORIENTED_EDGE('',*,*,#193780,.T.); #253252=ORIENTED_EDGE('',*,*,#193779,.T.); #253253=ORIENTED_EDGE('',*,*,#193781,.F.); #253254=ORIENTED_EDGE('',*,*,#193782,.F.); #253255=ORIENTED_EDGE('',*,*,#193783,.T.); #253256=ORIENTED_EDGE('',*,*,#193782,.T.); #253257=ORIENTED_EDGE('',*,*,#193784,.F.); #253258=ORIENTED_EDGE('',*,*,#193785,.F.); #253259=ORIENTED_EDGE('',*,*,#193786,.T.); #253260=ORIENTED_EDGE('',*,*,#193785,.T.); #253261=ORIENTED_EDGE('',*,*,#193787,.F.); #253262=ORIENTED_EDGE('',*,*,#193788,.F.); #253263=ORIENTED_EDGE('',*,*,#193789,.T.); #253264=ORIENTED_EDGE('',*,*,#193788,.T.); #253265=ORIENTED_EDGE('',*,*,#193790,.F.); #253266=ORIENTED_EDGE('',*,*,#193791,.F.); #253267=ORIENTED_EDGE('',*,*,#193792,.T.); #253268=ORIENTED_EDGE('',*,*,#193791,.T.); #253269=ORIENTED_EDGE('',*,*,#193793,.F.); #253270=ORIENTED_EDGE('',*,*,#193794,.F.); #253271=ORIENTED_EDGE('',*,*,#193795,.T.); #253272=ORIENTED_EDGE('',*,*,#193794,.T.); #253273=ORIENTED_EDGE('',*,*,#193796,.F.); #253274=ORIENTED_EDGE('',*,*,#193797,.F.); #253275=ORIENTED_EDGE('',*,*,#193798,.T.); #253276=ORIENTED_EDGE('',*,*,#193797,.T.); #253277=ORIENTED_EDGE('',*,*,#193799,.F.); #253278=ORIENTED_EDGE('',*,*,#193800,.F.); #253279=ORIENTED_EDGE('',*,*,#193801,.T.); #253280=ORIENTED_EDGE('',*,*,#193800,.T.); #253281=ORIENTED_EDGE('',*,*,#193802,.F.); #253282=ORIENTED_EDGE('',*,*,#193803,.F.); #253283=ORIENTED_EDGE('',*,*,#193804,.T.); #253284=ORIENTED_EDGE('',*,*,#193803,.T.); #253285=ORIENTED_EDGE('',*,*,#193805,.F.); #253286=ORIENTED_EDGE('',*,*,#193806,.F.); #253287=ORIENTED_EDGE('',*,*,#193807,.T.); #253288=ORIENTED_EDGE('',*,*,#193806,.T.); #253289=ORIENTED_EDGE('',*,*,#193808,.F.); #253290=ORIENTED_EDGE('',*,*,#193809,.F.); #253291=ORIENTED_EDGE('',*,*,#193810,.T.); #253292=ORIENTED_EDGE('',*,*,#193809,.T.); #253293=ORIENTED_EDGE('',*,*,#193811,.F.); #253294=ORIENTED_EDGE('',*,*,#193812,.F.); #253295=ORIENTED_EDGE('',*,*,#193813,.T.); #253296=ORIENTED_EDGE('',*,*,#193812,.T.); #253297=ORIENTED_EDGE('',*,*,#193814,.F.); #253298=ORIENTED_EDGE('',*,*,#193815,.F.); #253299=ORIENTED_EDGE('',*,*,#193816,.T.); #253300=ORIENTED_EDGE('',*,*,#193815,.T.); #253301=ORIENTED_EDGE('',*,*,#193817,.F.); #253302=ORIENTED_EDGE('',*,*,#193818,.F.); #253303=ORIENTED_EDGE('',*,*,#193819,.T.); #253304=ORIENTED_EDGE('',*,*,#193818,.T.); #253305=ORIENTED_EDGE('',*,*,#193820,.F.); #253306=ORIENTED_EDGE('',*,*,#193821,.F.); #253307=ORIENTED_EDGE('',*,*,#193822,.T.); #253308=ORIENTED_EDGE('',*,*,#193821,.T.); #253309=ORIENTED_EDGE('',*,*,#193823,.F.); #253310=ORIENTED_EDGE('',*,*,#193824,.F.); #253311=ORIENTED_EDGE('',*,*,#193825,.T.); #253312=ORIENTED_EDGE('',*,*,#193824,.T.); #253313=ORIENTED_EDGE('',*,*,#193826,.F.); #253314=ORIENTED_EDGE('',*,*,#193827,.F.); #253315=ORIENTED_EDGE('',*,*,#193828,.T.); #253316=ORIENTED_EDGE('',*,*,#193827,.T.); #253317=ORIENTED_EDGE('',*,*,#193829,.F.); #253318=ORIENTED_EDGE('',*,*,#193830,.F.); #253319=ORIENTED_EDGE('',*,*,#193831,.T.); #253320=ORIENTED_EDGE('',*,*,#193830,.T.); #253321=ORIENTED_EDGE('',*,*,#193832,.F.); #253322=ORIENTED_EDGE('',*,*,#193833,.F.); #253323=ORIENTED_EDGE('',*,*,#193834,.T.); #253324=ORIENTED_EDGE('',*,*,#193833,.T.); #253325=ORIENTED_EDGE('',*,*,#193835,.F.); #253326=ORIENTED_EDGE('',*,*,#193836,.F.); #253327=ORIENTED_EDGE('',*,*,#193837,.T.); #253328=ORIENTED_EDGE('',*,*,#193836,.T.); #253329=ORIENTED_EDGE('',*,*,#193838,.F.); #253330=ORIENTED_EDGE('',*,*,#193839,.F.); #253331=ORIENTED_EDGE('',*,*,#193840,.T.); #253332=ORIENTED_EDGE('',*,*,#193839,.T.); #253333=ORIENTED_EDGE('',*,*,#193841,.F.); #253334=ORIENTED_EDGE('',*,*,#193842,.F.); #253335=ORIENTED_EDGE('',*,*,#193843,.T.); #253336=ORIENTED_EDGE('',*,*,#193842,.T.); #253337=ORIENTED_EDGE('',*,*,#193844,.F.); #253338=ORIENTED_EDGE('',*,*,#193845,.F.); #253339=ORIENTED_EDGE('',*,*,#193846,.T.); #253340=ORIENTED_EDGE('',*,*,#193845,.T.); #253341=ORIENTED_EDGE('',*,*,#193847,.F.); #253342=ORIENTED_EDGE('',*,*,#193848,.F.); #253343=ORIENTED_EDGE('',*,*,#193849,.T.); #253344=ORIENTED_EDGE('',*,*,#193848,.T.); #253345=ORIENTED_EDGE('',*,*,#193850,.F.); #253346=ORIENTED_EDGE('',*,*,#193851,.F.); #253347=ORIENTED_EDGE('',*,*,#193852,.T.); #253348=ORIENTED_EDGE('',*,*,#193851,.T.); #253349=ORIENTED_EDGE('',*,*,#193853,.F.); #253350=ORIENTED_EDGE('',*,*,#193854,.F.); #253351=ORIENTED_EDGE('',*,*,#193855,.T.); #253352=ORIENTED_EDGE('',*,*,#193854,.T.); #253353=ORIENTED_EDGE('',*,*,#193856,.F.); #253354=ORIENTED_EDGE('',*,*,#193857,.F.); #253355=ORIENTED_EDGE('',*,*,#193858,.T.); #253356=ORIENTED_EDGE('',*,*,#193857,.T.); #253357=ORIENTED_EDGE('',*,*,#193859,.F.); #253358=ORIENTED_EDGE('',*,*,#193860,.F.); #253359=ORIENTED_EDGE('',*,*,#193861,.T.); #253360=ORIENTED_EDGE('',*,*,#193860,.T.); #253361=ORIENTED_EDGE('',*,*,#193862,.F.); #253362=ORIENTED_EDGE('',*,*,#193863,.F.); #253363=ORIENTED_EDGE('',*,*,#193864,.T.); #253364=ORIENTED_EDGE('',*,*,#193863,.T.); #253365=ORIENTED_EDGE('',*,*,#193865,.F.); #253366=ORIENTED_EDGE('',*,*,#193866,.F.); #253367=ORIENTED_EDGE('',*,*,#193867,.T.); #253368=ORIENTED_EDGE('',*,*,#193866,.T.); #253369=ORIENTED_EDGE('',*,*,#193868,.F.); #253370=ORIENTED_EDGE('',*,*,#193869,.F.); #253371=ORIENTED_EDGE('',*,*,#193870,.T.); #253372=ORIENTED_EDGE('',*,*,#193869,.T.); #253373=ORIENTED_EDGE('',*,*,#193871,.F.); #253374=ORIENTED_EDGE('',*,*,#193872,.F.); #253375=ORIENTED_EDGE('',*,*,#193873,.T.); #253376=ORIENTED_EDGE('',*,*,#193872,.T.); #253377=ORIENTED_EDGE('',*,*,#193874,.F.); #253378=ORIENTED_EDGE('',*,*,#193875,.F.); #253379=ORIENTED_EDGE('',*,*,#193876,.T.); #253380=ORIENTED_EDGE('',*,*,#193875,.T.); #253381=ORIENTED_EDGE('',*,*,#193877,.F.); #253382=ORIENTED_EDGE('',*,*,#193878,.F.); #253383=ORIENTED_EDGE('',*,*,#193879,.T.); #253384=ORIENTED_EDGE('',*,*,#193878,.T.); #253385=ORIENTED_EDGE('',*,*,#193880,.F.); #253386=ORIENTED_EDGE('',*,*,#193881,.F.); #253387=ORIENTED_EDGE('',*,*,#193882,.T.); #253388=ORIENTED_EDGE('',*,*,#193881,.T.); #253389=ORIENTED_EDGE('',*,*,#193883,.F.); #253390=ORIENTED_EDGE('',*,*,#193884,.F.); #253391=ORIENTED_EDGE('',*,*,#193885,.T.); #253392=ORIENTED_EDGE('',*,*,#193884,.T.); #253393=ORIENTED_EDGE('',*,*,#193886,.F.); #253394=ORIENTED_EDGE('',*,*,#193887,.F.); #253395=ORIENTED_EDGE('',*,*,#193888,.T.); #253396=ORIENTED_EDGE('',*,*,#193887,.T.); #253397=ORIENTED_EDGE('',*,*,#193889,.F.); #253398=ORIENTED_EDGE('',*,*,#193890,.F.); #253399=ORIENTED_EDGE('',*,*,#193891,.T.); #253400=ORIENTED_EDGE('',*,*,#193890,.T.); #253401=ORIENTED_EDGE('',*,*,#193892,.F.); #253402=ORIENTED_EDGE('',*,*,#193893,.F.); #253403=ORIENTED_EDGE('',*,*,#193894,.T.); #253404=ORIENTED_EDGE('',*,*,#193893,.T.); #253405=ORIENTED_EDGE('',*,*,#193895,.F.); #253406=ORIENTED_EDGE('',*,*,#193896,.F.); #253407=ORIENTED_EDGE('',*,*,#193897,.T.); #253408=ORIENTED_EDGE('',*,*,#193896,.T.); #253409=ORIENTED_EDGE('',*,*,#193898,.F.); #253410=ORIENTED_EDGE('',*,*,#193899,.F.); #253411=ORIENTED_EDGE('',*,*,#193900,.T.); #253412=ORIENTED_EDGE('',*,*,#193899,.T.); #253413=ORIENTED_EDGE('',*,*,#193901,.F.); #253414=ORIENTED_EDGE('',*,*,#193902,.F.); #253415=ORIENTED_EDGE('',*,*,#193903,.T.); #253416=ORIENTED_EDGE('',*,*,#193902,.T.); #253417=ORIENTED_EDGE('',*,*,#193904,.F.); #253418=ORIENTED_EDGE('',*,*,#193905,.F.); #253419=ORIENTED_EDGE('',*,*,#193906,.T.); #253420=ORIENTED_EDGE('',*,*,#193905,.T.); #253421=ORIENTED_EDGE('',*,*,#193907,.F.); #253422=ORIENTED_EDGE('',*,*,#193908,.F.); #253423=ORIENTED_EDGE('',*,*,#193909,.T.); #253424=ORIENTED_EDGE('',*,*,#193908,.T.); #253425=ORIENTED_EDGE('',*,*,#193910,.F.); #253426=ORIENTED_EDGE('',*,*,#193911,.F.); #253427=ORIENTED_EDGE('',*,*,#193912,.T.); #253428=ORIENTED_EDGE('',*,*,#193911,.T.); #253429=ORIENTED_EDGE('',*,*,#193913,.F.); #253430=ORIENTED_EDGE('',*,*,#193914,.F.); #253431=ORIENTED_EDGE('',*,*,#193915,.T.); #253432=ORIENTED_EDGE('',*,*,#193914,.T.); #253433=ORIENTED_EDGE('',*,*,#193916,.F.); #253434=ORIENTED_EDGE('',*,*,#193917,.F.); #253435=ORIENTED_EDGE('',*,*,#193918,.T.); #253436=ORIENTED_EDGE('',*,*,#193917,.T.); #253437=ORIENTED_EDGE('',*,*,#193919,.F.); #253438=ORIENTED_EDGE('',*,*,#193920,.F.); #253439=ORIENTED_EDGE('',*,*,#193921,.T.); #253440=ORIENTED_EDGE('',*,*,#193920,.T.); #253441=ORIENTED_EDGE('',*,*,#193922,.F.); #253442=ORIENTED_EDGE('',*,*,#193923,.F.); #253443=ORIENTED_EDGE('',*,*,#193924,.T.); #253444=ORIENTED_EDGE('',*,*,#193923,.T.); #253445=ORIENTED_EDGE('',*,*,#193925,.F.); #253446=ORIENTED_EDGE('',*,*,#193926,.F.); #253447=ORIENTED_EDGE('',*,*,#193927,.T.); #253448=ORIENTED_EDGE('',*,*,#193926,.T.); #253449=ORIENTED_EDGE('',*,*,#193928,.F.); #253450=ORIENTED_EDGE('',*,*,#193929,.F.); #253451=ORIENTED_EDGE('',*,*,#193930,.T.); #253452=ORIENTED_EDGE('',*,*,#193929,.T.); #253453=ORIENTED_EDGE('',*,*,#193931,.F.); #253454=ORIENTED_EDGE('',*,*,#193932,.F.); #253455=ORIENTED_EDGE('',*,*,#193933,.T.); #253456=ORIENTED_EDGE('',*,*,#193932,.T.); #253457=ORIENTED_EDGE('',*,*,#193934,.F.); #253458=ORIENTED_EDGE('',*,*,#193935,.F.); #253459=ORIENTED_EDGE('',*,*,#193936,.T.); #253460=ORIENTED_EDGE('',*,*,#193935,.T.); #253461=ORIENTED_EDGE('',*,*,#193937,.F.); #253462=ORIENTED_EDGE('',*,*,#193938,.F.); #253463=ORIENTED_EDGE('',*,*,#193939,.T.); #253464=ORIENTED_EDGE('',*,*,#193938,.T.); #253465=ORIENTED_EDGE('',*,*,#193940,.F.); #253466=ORIENTED_EDGE('',*,*,#193941,.F.); #253467=ORIENTED_EDGE('',*,*,#193942,.T.); #253468=ORIENTED_EDGE('',*,*,#193941,.T.); #253469=ORIENTED_EDGE('',*,*,#193943,.F.); #253470=ORIENTED_EDGE('',*,*,#193944,.F.); #253471=ORIENTED_EDGE('',*,*,#193945,.T.); #253472=ORIENTED_EDGE('',*,*,#193944,.T.); #253473=ORIENTED_EDGE('',*,*,#193946,.F.); #253474=ORIENTED_EDGE('',*,*,#193947,.F.); #253475=ORIENTED_EDGE('',*,*,#193948,.T.); #253476=ORIENTED_EDGE('',*,*,#193947,.T.); #253477=ORIENTED_EDGE('',*,*,#193949,.F.); #253478=ORIENTED_EDGE('',*,*,#193950,.F.); #253479=ORIENTED_EDGE('',*,*,#193951,.T.); #253480=ORIENTED_EDGE('',*,*,#193950,.T.); #253481=ORIENTED_EDGE('',*,*,#193952,.F.); #253482=ORIENTED_EDGE('',*,*,#193953,.F.); #253483=ORIENTED_EDGE('',*,*,#193954,.T.); #253484=ORIENTED_EDGE('',*,*,#193953,.T.); #253485=ORIENTED_EDGE('',*,*,#193955,.F.); #253486=ORIENTED_EDGE('',*,*,#193956,.F.); #253487=ORIENTED_EDGE('',*,*,#193957,.T.); #253488=ORIENTED_EDGE('',*,*,#193956,.T.); #253489=ORIENTED_EDGE('',*,*,#193958,.F.); #253490=ORIENTED_EDGE('',*,*,#193959,.F.); #253491=ORIENTED_EDGE('',*,*,#193960,.T.); #253492=ORIENTED_EDGE('',*,*,#193959,.T.); #253493=ORIENTED_EDGE('',*,*,#193961,.F.); #253494=ORIENTED_EDGE('',*,*,#193962,.F.); #253495=ORIENTED_EDGE('',*,*,#193963,.T.); #253496=ORIENTED_EDGE('',*,*,#193962,.T.); #253497=ORIENTED_EDGE('',*,*,#193964,.F.); #253498=ORIENTED_EDGE('',*,*,#193965,.F.); #253499=ORIENTED_EDGE('',*,*,#193966,.T.); #253500=ORIENTED_EDGE('',*,*,#193965,.T.); #253501=ORIENTED_EDGE('',*,*,#193967,.F.); #253502=ORIENTED_EDGE('',*,*,#193968,.F.); #253503=ORIENTED_EDGE('',*,*,#193969,.T.); #253504=ORIENTED_EDGE('',*,*,#193968,.T.); #253505=ORIENTED_EDGE('',*,*,#193970,.F.); #253506=ORIENTED_EDGE('',*,*,#193971,.F.); #253507=ORIENTED_EDGE('',*,*,#193972,.T.); #253508=ORIENTED_EDGE('',*,*,#193971,.T.); #253509=ORIENTED_EDGE('',*,*,#193973,.F.); #253510=ORIENTED_EDGE('',*,*,#193974,.F.); #253511=ORIENTED_EDGE('',*,*,#193975,.T.); #253512=ORIENTED_EDGE('',*,*,#193974,.T.); #253513=ORIENTED_EDGE('',*,*,#193976,.F.); #253514=ORIENTED_EDGE('',*,*,#193977,.F.); #253515=ORIENTED_EDGE('',*,*,#193978,.T.); #253516=ORIENTED_EDGE('',*,*,#193977,.T.); #253517=ORIENTED_EDGE('',*,*,#193979,.F.); #253518=ORIENTED_EDGE('',*,*,#193980,.F.); #253519=ORIENTED_EDGE('',*,*,#193981,.T.); #253520=ORIENTED_EDGE('',*,*,#193980,.T.); #253521=ORIENTED_EDGE('',*,*,#193982,.F.); #253522=ORIENTED_EDGE('',*,*,#193983,.F.); #253523=ORIENTED_EDGE('',*,*,#193984,.T.); #253524=ORIENTED_EDGE('',*,*,#193983,.T.); #253525=ORIENTED_EDGE('',*,*,#193985,.F.); #253526=ORIENTED_EDGE('',*,*,#193986,.F.); #253527=ORIENTED_EDGE('',*,*,#193987,.T.); #253528=ORIENTED_EDGE('',*,*,#193986,.T.); #253529=ORIENTED_EDGE('',*,*,#193988,.F.); #253530=ORIENTED_EDGE('',*,*,#193989,.F.); #253531=ORIENTED_EDGE('',*,*,#193990,.T.); #253532=ORIENTED_EDGE('',*,*,#193989,.T.); #253533=ORIENTED_EDGE('',*,*,#193991,.F.); #253534=ORIENTED_EDGE('',*,*,#193992,.F.); #253535=ORIENTED_EDGE('',*,*,#193993,.T.); #253536=ORIENTED_EDGE('',*,*,#193992,.T.); #253537=ORIENTED_EDGE('',*,*,#193994,.F.); #253538=ORIENTED_EDGE('',*,*,#193995,.F.); #253539=ORIENTED_EDGE('',*,*,#193996,.T.); #253540=ORIENTED_EDGE('',*,*,#193995,.T.); #253541=ORIENTED_EDGE('',*,*,#193997,.F.); #253542=ORIENTED_EDGE('',*,*,#193998,.F.); #253543=ORIENTED_EDGE('',*,*,#193999,.T.); #253544=ORIENTED_EDGE('',*,*,#193998,.T.); #253545=ORIENTED_EDGE('',*,*,#194000,.F.); #253546=ORIENTED_EDGE('',*,*,#194001,.F.); #253547=ORIENTED_EDGE('',*,*,#194002,.T.); #253548=ORIENTED_EDGE('',*,*,#194001,.T.); #253549=ORIENTED_EDGE('',*,*,#194003,.F.); #253550=ORIENTED_EDGE('',*,*,#194004,.F.); #253551=ORIENTED_EDGE('',*,*,#194005,.T.); #253552=ORIENTED_EDGE('',*,*,#194004,.T.); #253553=ORIENTED_EDGE('',*,*,#194006,.F.); #253554=ORIENTED_EDGE('',*,*,#194007,.F.); #253555=ORIENTED_EDGE('',*,*,#194008,.T.); #253556=ORIENTED_EDGE('',*,*,#194007,.T.); #253557=ORIENTED_EDGE('',*,*,#194009,.F.); #253558=ORIENTED_EDGE('',*,*,#194010,.F.); #253559=ORIENTED_EDGE('',*,*,#194011,.T.); #253560=ORIENTED_EDGE('',*,*,#194010,.T.); #253561=ORIENTED_EDGE('',*,*,#194012,.F.); #253562=ORIENTED_EDGE('',*,*,#194013,.F.); #253563=ORIENTED_EDGE('',*,*,#194014,.T.); #253564=ORIENTED_EDGE('',*,*,#194013,.T.); #253565=ORIENTED_EDGE('',*,*,#194015,.F.); #253566=ORIENTED_EDGE('',*,*,#194016,.F.); #253567=ORIENTED_EDGE('',*,*,#194017,.T.); #253568=ORIENTED_EDGE('',*,*,#194016,.T.); #253569=ORIENTED_EDGE('',*,*,#194018,.F.); #253570=ORIENTED_EDGE('',*,*,#194019,.F.); #253571=ORIENTED_EDGE('',*,*,#194020,.T.); #253572=ORIENTED_EDGE('',*,*,#194019,.T.); #253573=ORIENTED_EDGE('',*,*,#194021,.F.); #253574=ORIENTED_EDGE('',*,*,#194022,.F.); #253575=ORIENTED_EDGE('',*,*,#194023,.T.); #253576=ORIENTED_EDGE('',*,*,#194022,.T.); #253577=ORIENTED_EDGE('',*,*,#194024,.F.); #253578=ORIENTED_EDGE('',*,*,#194025,.F.); #253579=ORIENTED_EDGE('',*,*,#194026,.T.); #253580=ORIENTED_EDGE('',*,*,#194025,.T.); #253581=ORIENTED_EDGE('',*,*,#194027,.F.); #253582=ORIENTED_EDGE('',*,*,#194028,.F.); #253583=ORIENTED_EDGE('',*,*,#194029,.T.); #253584=ORIENTED_EDGE('',*,*,#194028,.T.); #253585=ORIENTED_EDGE('',*,*,#194030,.F.); #253586=ORIENTED_EDGE('',*,*,#194031,.F.); #253587=ORIENTED_EDGE('',*,*,#194032,.T.); #253588=ORIENTED_EDGE('',*,*,#194031,.T.); #253589=ORIENTED_EDGE('',*,*,#194033,.F.); #253590=ORIENTED_EDGE('',*,*,#194034,.F.); #253591=ORIENTED_EDGE('',*,*,#194035,.T.); #253592=ORIENTED_EDGE('',*,*,#194034,.T.); #253593=ORIENTED_EDGE('',*,*,#194036,.F.); #253594=ORIENTED_EDGE('',*,*,#194037,.F.); #253595=ORIENTED_EDGE('',*,*,#194038,.T.); #253596=ORIENTED_EDGE('',*,*,#194037,.T.); #253597=ORIENTED_EDGE('',*,*,#194039,.F.); #253598=ORIENTED_EDGE('',*,*,#194040,.F.); #253599=ORIENTED_EDGE('',*,*,#194041,.T.); #253600=ORIENTED_EDGE('',*,*,#194040,.T.); #253601=ORIENTED_EDGE('',*,*,#194042,.F.); #253602=ORIENTED_EDGE('',*,*,#194043,.F.); #253603=ORIENTED_EDGE('',*,*,#194044,.T.); #253604=ORIENTED_EDGE('',*,*,#194043,.T.); #253605=ORIENTED_EDGE('',*,*,#194045,.F.); #253606=ORIENTED_EDGE('',*,*,#194046,.F.); #253607=ORIENTED_EDGE('',*,*,#194047,.T.); #253608=ORIENTED_EDGE('',*,*,#194046,.T.); #253609=ORIENTED_EDGE('',*,*,#194048,.F.); #253610=ORIENTED_EDGE('',*,*,#194049,.F.); #253611=ORIENTED_EDGE('',*,*,#194050,.T.); #253612=ORIENTED_EDGE('',*,*,#194049,.T.); #253613=ORIENTED_EDGE('',*,*,#194051,.F.); #253614=ORIENTED_EDGE('',*,*,#194052,.F.); #253615=ORIENTED_EDGE('',*,*,#194053,.T.); #253616=ORIENTED_EDGE('',*,*,#194052,.T.); #253617=ORIENTED_EDGE('',*,*,#194054,.F.); #253618=ORIENTED_EDGE('',*,*,#194055,.F.); #253619=ORIENTED_EDGE('',*,*,#194056,.T.); #253620=ORIENTED_EDGE('',*,*,#194055,.T.); #253621=ORIENTED_EDGE('',*,*,#194057,.F.); #253622=ORIENTED_EDGE('',*,*,#194058,.F.); #253623=ORIENTED_EDGE('',*,*,#194059,.T.); #253624=ORIENTED_EDGE('',*,*,#194058,.T.); #253625=ORIENTED_EDGE('',*,*,#194060,.F.); #253626=ORIENTED_EDGE('',*,*,#194061,.F.); #253627=ORIENTED_EDGE('',*,*,#194062,.T.); #253628=ORIENTED_EDGE('',*,*,#194061,.T.); #253629=ORIENTED_EDGE('',*,*,#194063,.F.); #253630=ORIENTED_EDGE('',*,*,#194064,.F.); #253631=ORIENTED_EDGE('',*,*,#194065,.T.); #253632=ORIENTED_EDGE('',*,*,#194064,.T.); #253633=ORIENTED_EDGE('',*,*,#194066,.F.); #253634=ORIENTED_EDGE('',*,*,#194067,.F.); #253635=ORIENTED_EDGE('',*,*,#194068,.T.); #253636=ORIENTED_EDGE('',*,*,#194067,.T.); #253637=ORIENTED_EDGE('',*,*,#194069,.F.); #253638=ORIENTED_EDGE('',*,*,#194070,.F.); #253639=ORIENTED_EDGE('',*,*,#194071,.T.); #253640=ORIENTED_EDGE('',*,*,#194070,.T.); #253641=ORIENTED_EDGE('',*,*,#194072,.F.); #253642=ORIENTED_EDGE('',*,*,#194073,.F.); #253643=ORIENTED_EDGE('',*,*,#194074,.T.); #253644=ORIENTED_EDGE('',*,*,#194073,.T.); #253645=ORIENTED_EDGE('',*,*,#194075,.F.); #253646=ORIENTED_EDGE('',*,*,#194076,.F.); #253647=ORIENTED_EDGE('',*,*,#194077,.T.); #253648=ORIENTED_EDGE('',*,*,#194076,.T.); #253649=ORIENTED_EDGE('',*,*,#194078,.F.); #253650=ORIENTED_EDGE('',*,*,#194079,.F.); #253651=ORIENTED_EDGE('',*,*,#194080,.T.); #253652=ORIENTED_EDGE('',*,*,#194079,.T.); #253653=ORIENTED_EDGE('',*,*,#194081,.F.); #253654=ORIENTED_EDGE('',*,*,#194082,.F.); #253655=ORIENTED_EDGE('',*,*,#194083,.T.); #253656=ORIENTED_EDGE('',*,*,#194082,.T.); #253657=ORIENTED_EDGE('',*,*,#194084,.F.); #253658=ORIENTED_EDGE('',*,*,#194085,.F.); #253659=ORIENTED_EDGE('',*,*,#194086,.T.); #253660=ORIENTED_EDGE('',*,*,#194085,.T.); #253661=ORIENTED_EDGE('',*,*,#194087,.F.); #253662=ORIENTED_EDGE('',*,*,#194088,.F.); #253663=ORIENTED_EDGE('',*,*,#194089,.T.); #253664=ORIENTED_EDGE('',*,*,#194088,.T.); #253665=ORIENTED_EDGE('',*,*,#194090,.F.); #253666=ORIENTED_EDGE('',*,*,#194091,.F.); #253667=ORIENTED_EDGE('',*,*,#194092,.T.); #253668=ORIENTED_EDGE('',*,*,#194091,.T.); #253669=ORIENTED_EDGE('',*,*,#194093,.F.); #253670=ORIENTED_EDGE('',*,*,#194094,.F.); #253671=ORIENTED_EDGE('',*,*,#194095,.T.); #253672=ORIENTED_EDGE('',*,*,#194094,.T.); #253673=ORIENTED_EDGE('',*,*,#194096,.F.); #253674=ORIENTED_EDGE('',*,*,#194097,.F.); #253675=ORIENTED_EDGE('',*,*,#194098,.T.); #253676=ORIENTED_EDGE('',*,*,#194097,.T.); #253677=ORIENTED_EDGE('',*,*,#194099,.F.); #253678=ORIENTED_EDGE('',*,*,#194100,.F.); #253679=ORIENTED_EDGE('',*,*,#194101,.T.); #253680=ORIENTED_EDGE('',*,*,#194100,.T.); #253681=ORIENTED_EDGE('',*,*,#194102,.F.); #253682=ORIENTED_EDGE('',*,*,#194103,.F.); #253683=ORIENTED_EDGE('',*,*,#194104,.T.); #253684=ORIENTED_EDGE('',*,*,#194103,.T.); #253685=ORIENTED_EDGE('',*,*,#194105,.F.); #253686=ORIENTED_EDGE('',*,*,#194106,.F.); #253687=ORIENTED_EDGE('',*,*,#194107,.T.); #253688=ORIENTED_EDGE('',*,*,#194106,.T.); #253689=ORIENTED_EDGE('',*,*,#194108,.F.); #253690=ORIENTED_EDGE('',*,*,#194109,.F.); #253691=ORIENTED_EDGE('',*,*,#194110,.T.); #253692=ORIENTED_EDGE('',*,*,#194109,.T.); #253693=ORIENTED_EDGE('',*,*,#194111,.F.); #253694=ORIENTED_EDGE('',*,*,#194112,.F.); #253695=ORIENTED_EDGE('',*,*,#194113,.T.); #253696=ORIENTED_EDGE('',*,*,#194112,.T.); #253697=ORIENTED_EDGE('',*,*,#194114,.F.); #253698=ORIENTED_EDGE('',*,*,#194115,.F.); #253699=ORIENTED_EDGE('',*,*,#194116,.T.); #253700=ORIENTED_EDGE('',*,*,#194115,.T.); #253701=ORIENTED_EDGE('',*,*,#194117,.F.); #253702=ORIENTED_EDGE('',*,*,#194118,.F.); #253703=ORIENTED_EDGE('',*,*,#194119,.T.); #253704=ORIENTED_EDGE('',*,*,#194118,.T.); #253705=ORIENTED_EDGE('',*,*,#194120,.F.); #253706=ORIENTED_EDGE('',*,*,#194121,.F.); #253707=ORIENTED_EDGE('',*,*,#194122,.T.); #253708=ORIENTED_EDGE('',*,*,#194121,.T.); #253709=ORIENTED_EDGE('',*,*,#194123,.F.); #253710=ORIENTED_EDGE('',*,*,#194124,.F.); #253711=ORIENTED_EDGE('',*,*,#194125,.T.); #253712=ORIENTED_EDGE('',*,*,#194124,.T.); #253713=ORIENTED_EDGE('',*,*,#194126,.F.); #253714=ORIENTED_EDGE('',*,*,#194127,.F.); #253715=ORIENTED_EDGE('',*,*,#194128,.T.); #253716=ORIENTED_EDGE('',*,*,#194127,.T.); #253717=ORIENTED_EDGE('',*,*,#194129,.F.); #253718=ORIENTED_EDGE('',*,*,#194130,.F.); #253719=ORIENTED_EDGE('',*,*,#194131,.T.); #253720=ORIENTED_EDGE('',*,*,#194130,.T.); #253721=ORIENTED_EDGE('',*,*,#194132,.F.); #253722=ORIENTED_EDGE('',*,*,#194133,.F.); #253723=ORIENTED_EDGE('',*,*,#194134,.T.); #253724=ORIENTED_EDGE('',*,*,#194133,.T.); #253725=ORIENTED_EDGE('',*,*,#194135,.F.); #253726=ORIENTED_EDGE('',*,*,#194136,.F.); #253727=ORIENTED_EDGE('',*,*,#194137,.T.); #253728=ORIENTED_EDGE('',*,*,#194136,.T.); #253729=ORIENTED_EDGE('',*,*,#194138,.F.); #253730=ORIENTED_EDGE('',*,*,#194139,.F.); #253731=ORIENTED_EDGE('',*,*,#194140,.T.); #253732=ORIENTED_EDGE('',*,*,#194139,.T.); #253733=ORIENTED_EDGE('',*,*,#194141,.F.); #253734=ORIENTED_EDGE('',*,*,#194142,.F.); #253735=ORIENTED_EDGE('',*,*,#194143,.T.); #253736=ORIENTED_EDGE('',*,*,#194142,.T.); #253737=ORIENTED_EDGE('',*,*,#194144,.F.); #253738=ORIENTED_EDGE('',*,*,#194145,.F.); #253739=ORIENTED_EDGE('',*,*,#194146,.T.); #253740=ORIENTED_EDGE('',*,*,#194145,.T.); #253741=ORIENTED_EDGE('',*,*,#194147,.F.); #253742=ORIENTED_EDGE('',*,*,#194148,.F.); #253743=ORIENTED_EDGE('',*,*,#194149,.T.); #253744=ORIENTED_EDGE('',*,*,#194148,.T.); #253745=ORIENTED_EDGE('',*,*,#194150,.F.); #253746=ORIENTED_EDGE('',*,*,#194151,.F.); #253747=ORIENTED_EDGE('',*,*,#194152,.T.); #253748=ORIENTED_EDGE('',*,*,#194151,.T.); #253749=ORIENTED_EDGE('',*,*,#194153,.F.); #253750=ORIENTED_EDGE('',*,*,#194154,.F.); #253751=ORIENTED_EDGE('',*,*,#194155,.T.); #253752=ORIENTED_EDGE('',*,*,#194154,.T.); #253753=ORIENTED_EDGE('',*,*,#194156,.F.); #253754=ORIENTED_EDGE('',*,*,#194157,.F.); #253755=ORIENTED_EDGE('',*,*,#194158,.T.); #253756=ORIENTED_EDGE('',*,*,#194157,.T.); #253757=ORIENTED_EDGE('',*,*,#194159,.F.); #253758=ORIENTED_EDGE('',*,*,#194160,.F.); #253759=ORIENTED_EDGE('',*,*,#194161,.T.); #253760=ORIENTED_EDGE('',*,*,#194160,.T.); #253761=ORIENTED_EDGE('',*,*,#194162,.F.); #253762=ORIENTED_EDGE('',*,*,#194163,.F.); #253763=ORIENTED_EDGE('',*,*,#194164,.T.); #253764=ORIENTED_EDGE('',*,*,#194163,.T.); #253765=ORIENTED_EDGE('',*,*,#194165,.F.); #253766=ORIENTED_EDGE('',*,*,#194166,.F.); #253767=ORIENTED_EDGE('',*,*,#194167,.T.); #253768=ORIENTED_EDGE('',*,*,#194166,.T.); #253769=ORIENTED_EDGE('',*,*,#194168,.F.); #253770=ORIENTED_EDGE('',*,*,#194169,.F.); #253771=ORIENTED_EDGE('',*,*,#194170,.T.); #253772=ORIENTED_EDGE('',*,*,#194169,.T.); #253773=ORIENTED_EDGE('',*,*,#194171,.F.); #253774=ORIENTED_EDGE('',*,*,#194172,.F.); #253775=ORIENTED_EDGE('',*,*,#194173,.T.); #253776=ORIENTED_EDGE('',*,*,#194172,.T.); #253777=ORIENTED_EDGE('',*,*,#194174,.F.); #253778=ORIENTED_EDGE('',*,*,#194175,.F.); #253779=ORIENTED_EDGE('',*,*,#194176,.T.); #253780=ORIENTED_EDGE('',*,*,#194175,.T.); #253781=ORIENTED_EDGE('',*,*,#194177,.F.); #253782=ORIENTED_EDGE('',*,*,#194178,.F.); #253783=ORIENTED_EDGE('',*,*,#194179,.T.); #253784=ORIENTED_EDGE('',*,*,#194178,.T.); #253785=ORIENTED_EDGE('',*,*,#194180,.F.); #253786=ORIENTED_EDGE('',*,*,#194181,.F.); #253787=ORIENTED_EDGE('',*,*,#194182,.T.); #253788=ORIENTED_EDGE('',*,*,#194181,.T.); #253789=ORIENTED_EDGE('',*,*,#194183,.F.); #253790=ORIENTED_EDGE('',*,*,#194184,.F.); #253791=ORIENTED_EDGE('',*,*,#194185,.T.); #253792=ORIENTED_EDGE('',*,*,#194184,.T.); #253793=ORIENTED_EDGE('',*,*,#194186,.F.); #253794=ORIENTED_EDGE('',*,*,#194187,.F.); #253795=ORIENTED_EDGE('',*,*,#194188,.T.); #253796=ORIENTED_EDGE('',*,*,#194187,.T.); #253797=ORIENTED_EDGE('',*,*,#194189,.F.); #253798=ORIENTED_EDGE('',*,*,#194190,.F.); #253799=ORIENTED_EDGE('',*,*,#194191,.T.); #253800=ORIENTED_EDGE('',*,*,#194190,.T.); #253801=ORIENTED_EDGE('',*,*,#194192,.F.); #253802=ORIENTED_EDGE('',*,*,#194193,.F.); #253803=ORIENTED_EDGE('',*,*,#194194,.T.); #253804=ORIENTED_EDGE('',*,*,#194193,.T.); #253805=ORIENTED_EDGE('',*,*,#194195,.F.); #253806=ORIENTED_EDGE('',*,*,#194196,.F.); #253807=ORIENTED_EDGE('',*,*,#194197,.T.); #253808=ORIENTED_EDGE('',*,*,#194196,.T.); #253809=ORIENTED_EDGE('',*,*,#194198,.F.); #253810=ORIENTED_EDGE('',*,*,#194199,.F.); #253811=ORIENTED_EDGE('',*,*,#194200,.T.); #253812=ORIENTED_EDGE('',*,*,#194199,.T.); #253813=ORIENTED_EDGE('',*,*,#194201,.F.); #253814=ORIENTED_EDGE('',*,*,#194202,.F.); #253815=ORIENTED_EDGE('',*,*,#194203,.T.); #253816=ORIENTED_EDGE('',*,*,#194202,.T.); #253817=ORIENTED_EDGE('',*,*,#194204,.F.); #253818=ORIENTED_EDGE('',*,*,#194205,.F.); #253819=ORIENTED_EDGE('',*,*,#194206,.T.); #253820=ORIENTED_EDGE('',*,*,#194205,.T.); #253821=ORIENTED_EDGE('',*,*,#194207,.F.); #253822=ORIENTED_EDGE('',*,*,#194208,.F.); #253823=ORIENTED_EDGE('',*,*,#194209,.T.); #253824=ORIENTED_EDGE('',*,*,#194208,.T.); #253825=ORIENTED_EDGE('',*,*,#194210,.F.); #253826=ORIENTED_EDGE('',*,*,#194211,.F.); #253827=ORIENTED_EDGE('',*,*,#194212,.T.); #253828=ORIENTED_EDGE('',*,*,#194211,.T.); #253829=ORIENTED_EDGE('',*,*,#194213,.F.); #253830=ORIENTED_EDGE('',*,*,#194214,.F.); #253831=ORIENTED_EDGE('',*,*,#194215,.T.); #253832=ORIENTED_EDGE('',*,*,#194214,.T.); #253833=ORIENTED_EDGE('',*,*,#194216,.F.); #253834=ORIENTED_EDGE('',*,*,#194217,.F.); #253835=ORIENTED_EDGE('',*,*,#194218,.T.); #253836=ORIENTED_EDGE('',*,*,#194217,.T.); #253837=ORIENTED_EDGE('',*,*,#194219,.F.); #253838=ORIENTED_EDGE('',*,*,#194220,.F.); #253839=ORIENTED_EDGE('',*,*,#194221,.T.); #253840=ORIENTED_EDGE('',*,*,#194220,.T.); #253841=ORIENTED_EDGE('',*,*,#194222,.F.); #253842=ORIENTED_EDGE('',*,*,#194223,.F.); #253843=ORIENTED_EDGE('',*,*,#194224,.T.); #253844=ORIENTED_EDGE('',*,*,#194223,.T.); #253845=ORIENTED_EDGE('',*,*,#194225,.F.); #253846=ORIENTED_EDGE('',*,*,#194226,.F.); #253847=ORIENTED_EDGE('',*,*,#194227,.T.); #253848=ORIENTED_EDGE('',*,*,#194226,.T.); #253849=ORIENTED_EDGE('',*,*,#194228,.F.); #253850=ORIENTED_EDGE('',*,*,#194229,.F.); #253851=ORIENTED_EDGE('',*,*,#194230,.T.); #253852=ORIENTED_EDGE('',*,*,#194229,.T.); #253853=ORIENTED_EDGE('',*,*,#194231,.F.); #253854=ORIENTED_EDGE('',*,*,#194232,.F.); #253855=ORIENTED_EDGE('',*,*,#194233,.T.); #253856=ORIENTED_EDGE('',*,*,#194232,.T.); #253857=ORIENTED_EDGE('',*,*,#194234,.F.); #253858=ORIENTED_EDGE('',*,*,#194235,.F.); #253859=ORIENTED_EDGE('',*,*,#194236,.T.); #253860=ORIENTED_EDGE('',*,*,#194235,.T.); #253861=ORIENTED_EDGE('',*,*,#194237,.F.); #253862=ORIENTED_EDGE('',*,*,#194238,.F.); #253863=ORIENTED_EDGE('',*,*,#194239,.T.); #253864=ORIENTED_EDGE('',*,*,#194238,.T.); #253865=ORIENTED_EDGE('',*,*,#194240,.F.); #253866=ORIENTED_EDGE('',*,*,#194241,.F.); #253867=ORIENTED_EDGE('',*,*,#194242,.T.); #253868=ORIENTED_EDGE('',*,*,#194241,.T.); #253869=ORIENTED_EDGE('',*,*,#194243,.F.); #253870=ORIENTED_EDGE('',*,*,#194244,.F.); #253871=ORIENTED_EDGE('',*,*,#194245,.T.); #253872=ORIENTED_EDGE('',*,*,#194244,.T.); #253873=ORIENTED_EDGE('',*,*,#194246,.F.); #253874=ORIENTED_EDGE('',*,*,#194247,.F.); #253875=ORIENTED_EDGE('',*,*,#194248,.T.); #253876=ORIENTED_EDGE('',*,*,#194247,.T.); #253877=ORIENTED_EDGE('',*,*,#194249,.F.); #253878=ORIENTED_EDGE('',*,*,#194250,.F.); #253879=ORIENTED_EDGE('',*,*,#194251,.T.); #253880=ORIENTED_EDGE('',*,*,#194250,.T.); #253881=ORIENTED_EDGE('',*,*,#194252,.F.); #253882=ORIENTED_EDGE('',*,*,#194253,.F.); #253883=ORIENTED_EDGE('',*,*,#194254,.T.); #253884=ORIENTED_EDGE('',*,*,#194253,.T.); #253885=ORIENTED_EDGE('',*,*,#194255,.F.); #253886=ORIENTED_EDGE('',*,*,#194256,.F.); #253887=ORIENTED_EDGE('',*,*,#194257,.T.); #253888=ORIENTED_EDGE('',*,*,#194256,.T.); #253889=ORIENTED_EDGE('',*,*,#194258,.F.); #253890=ORIENTED_EDGE('',*,*,#194259,.F.); #253891=ORIENTED_EDGE('',*,*,#194260,.T.); #253892=ORIENTED_EDGE('',*,*,#194259,.T.); #253893=ORIENTED_EDGE('',*,*,#194261,.F.); #253894=ORIENTED_EDGE('',*,*,#194262,.F.); #253895=ORIENTED_EDGE('',*,*,#194263,.T.); #253896=ORIENTED_EDGE('',*,*,#194262,.T.); #253897=ORIENTED_EDGE('',*,*,#194264,.F.); #253898=ORIENTED_EDGE('',*,*,#194265,.F.); #253899=ORIENTED_EDGE('',*,*,#194266,.T.); #253900=ORIENTED_EDGE('',*,*,#194265,.T.); #253901=ORIENTED_EDGE('',*,*,#194267,.F.); #253902=ORIENTED_EDGE('',*,*,#194268,.F.); #253903=ORIENTED_EDGE('',*,*,#194269,.T.); #253904=ORIENTED_EDGE('',*,*,#194268,.T.); #253905=ORIENTED_EDGE('',*,*,#194270,.F.); #253906=ORIENTED_EDGE('',*,*,#194271,.F.); #253907=ORIENTED_EDGE('',*,*,#194272,.T.); #253908=ORIENTED_EDGE('',*,*,#194271,.T.); #253909=ORIENTED_EDGE('',*,*,#194273,.F.); #253910=ORIENTED_EDGE('',*,*,#194274,.F.); #253911=ORIENTED_EDGE('',*,*,#194275,.T.); #253912=ORIENTED_EDGE('',*,*,#194274,.T.); #253913=ORIENTED_EDGE('',*,*,#194276,.F.); #253914=ORIENTED_EDGE('',*,*,#194277,.F.); #253915=ORIENTED_EDGE('',*,*,#194278,.T.); #253916=ORIENTED_EDGE('',*,*,#194277,.T.); #253917=ORIENTED_EDGE('',*,*,#194279,.F.); #253918=ORIENTED_EDGE('',*,*,#194280,.F.); #253919=ORIENTED_EDGE('',*,*,#194281,.T.); #253920=ORIENTED_EDGE('',*,*,#194280,.T.); #253921=ORIENTED_EDGE('',*,*,#194282,.F.); #253922=ORIENTED_EDGE('',*,*,#194283,.F.); #253923=ORIENTED_EDGE('',*,*,#194284,.T.); #253924=ORIENTED_EDGE('',*,*,#194283,.T.); #253925=ORIENTED_EDGE('',*,*,#194285,.F.); #253926=ORIENTED_EDGE('',*,*,#194286,.F.); #253927=ORIENTED_EDGE('',*,*,#194287,.T.); #253928=ORIENTED_EDGE('',*,*,#194286,.T.); #253929=ORIENTED_EDGE('',*,*,#194288,.F.); #253930=ORIENTED_EDGE('',*,*,#194289,.F.); #253931=ORIENTED_EDGE('',*,*,#194290,.T.); #253932=ORIENTED_EDGE('',*,*,#194289,.T.); #253933=ORIENTED_EDGE('',*,*,#194291,.F.); #253934=ORIENTED_EDGE('',*,*,#194292,.F.); #253935=ORIENTED_EDGE('',*,*,#194293,.T.); #253936=ORIENTED_EDGE('',*,*,#194292,.T.); #253937=ORIENTED_EDGE('',*,*,#194294,.F.); #253938=ORIENTED_EDGE('',*,*,#194295,.F.); #253939=ORIENTED_EDGE('',*,*,#194296,.T.); #253940=ORIENTED_EDGE('',*,*,#194295,.T.); #253941=ORIENTED_EDGE('',*,*,#194297,.F.); #253942=ORIENTED_EDGE('',*,*,#194298,.F.); #253943=ORIENTED_EDGE('',*,*,#194299,.T.); #253944=ORIENTED_EDGE('',*,*,#194298,.T.); #253945=ORIENTED_EDGE('',*,*,#194300,.F.); #253946=ORIENTED_EDGE('',*,*,#194301,.F.); #253947=ORIENTED_EDGE('',*,*,#194302,.T.); #253948=ORIENTED_EDGE('',*,*,#194301,.T.); #253949=ORIENTED_EDGE('',*,*,#194303,.F.); #253950=ORIENTED_EDGE('',*,*,#194304,.F.); #253951=ORIENTED_EDGE('',*,*,#194305,.T.); #253952=ORIENTED_EDGE('',*,*,#194304,.T.); #253953=ORIENTED_EDGE('',*,*,#194306,.F.); #253954=ORIENTED_EDGE('',*,*,#194307,.F.); #253955=ORIENTED_EDGE('',*,*,#194308,.T.); #253956=ORIENTED_EDGE('',*,*,#194307,.T.); #253957=ORIENTED_EDGE('',*,*,#194309,.F.); #253958=ORIENTED_EDGE('',*,*,#194310,.F.); #253959=ORIENTED_EDGE('',*,*,#194311,.T.); #253960=ORIENTED_EDGE('',*,*,#194310,.T.); #253961=ORIENTED_EDGE('',*,*,#194312,.F.); #253962=ORIENTED_EDGE('',*,*,#194313,.F.); #253963=ORIENTED_EDGE('',*,*,#194314,.T.); #253964=ORIENTED_EDGE('',*,*,#194313,.T.); #253965=ORIENTED_EDGE('',*,*,#194315,.F.); #253966=ORIENTED_EDGE('',*,*,#194316,.F.); #253967=ORIENTED_EDGE('',*,*,#194317,.T.); #253968=ORIENTED_EDGE('',*,*,#194316,.T.); #253969=ORIENTED_EDGE('',*,*,#194318,.F.); #253970=ORIENTED_EDGE('',*,*,#194319,.F.); #253971=ORIENTED_EDGE('',*,*,#194320,.T.); #253972=ORIENTED_EDGE('',*,*,#194319,.T.); #253973=ORIENTED_EDGE('',*,*,#194321,.F.); #253974=ORIENTED_EDGE('',*,*,#194322,.F.); #253975=ORIENTED_EDGE('',*,*,#194323,.T.); #253976=ORIENTED_EDGE('',*,*,#194322,.T.); #253977=ORIENTED_EDGE('',*,*,#194324,.F.); #253978=ORIENTED_EDGE('',*,*,#194325,.F.); #253979=ORIENTED_EDGE('',*,*,#194326,.T.); #253980=ORIENTED_EDGE('',*,*,#194325,.T.); #253981=ORIENTED_EDGE('',*,*,#194327,.F.); #253982=ORIENTED_EDGE('',*,*,#194328,.F.); #253983=ORIENTED_EDGE('',*,*,#194329,.T.); #253984=ORIENTED_EDGE('',*,*,#194328,.T.); #253985=ORIENTED_EDGE('',*,*,#194330,.F.); #253986=ORIENTED_EDGE('',*,*,#194331,.F.); #253987=ORIENTED_EDGE('',*,*,#194332,.T.); #253988=ORIENTED_EDGE('',*,*,#194331,.T.); #253989=ORIENTED_EDGE('',*,*,#194333,.F.); #253990=ORIENTED_EDGE('',*,*,#194334,.F.); #253991=ORIENTED_EDGE('',*,*,#194335,.T.); #253992=ORIENTED_EDGE('',*,*,#194334,.T.); #253993=ORIENTED_EDGE('',*,*,#194336,.F.); #253994=ORIENTED_EDGE('',*,*,#194337,.F.); #253995=ORIENTED_EDGE('',*,*,#194338,.T.); #253996=ORIENTED_EDGE('',*,*,#194337,.T.); #253997=ORIENTED_EDGE('',*,*,#194339,.F.); #253998=ORIENTED_EDGE('',*,*,#194340,.F.); #253999=ORIENTED_EDGE('',*,*,#194341,.T.); #254000=ORIENTED_EDGE('',*,*,#194340,.T.); #254001=ORIENTED_EDGE('',*,*,#194342,.F.); #254002=ORIENTED_EDGE('',*,*,#194343,.F.); #254003=ORIENTED_EDGE('',*,*,#194344,.T.); #254004=ORIENTED_EDGE('',*,*,#194343,.T.); #254005=ORIENTED_EDGE('',*,*,#194345,.F.); #254006=ORIENTED_EDGE('',*,*,#194346,.F.); #254007=ORIENTED_EDGE('',*,*,#194347,.T.); #254008=ORIENTED_EDGE('',*,*,#194346,.T.); #254009=ORIENTED_EDGE('',*,*,#194348,.F.); #254010=ORIENTED_EDGE('',*,*,#194349,.F.); #254011=ORIENTED_EDGE('',*,*,#194350,.T.); #254012=ORIENTED_EDGE('',*,*,#194349,.T.); #254013=ORIENTED_EDGE('',*,*,#194351,.F.); #254014=ORIENTED_EDGE('',*,*,#194352,.F.); #254015=ORIENTED_EDGE('',*,*,#194353,.T.); #254016=ORIENTED_EDGE('',*,*,#194352,.T.); #254017=ORIENTED_EDGE('',*,*,#194354,.F.); #254018=ORIENTED_EDGE('',*,*,#194355,.F.); #254019=ORIENTED_EDGE('',*,*,#194356,.T.); #254020=ORIENTED_EDGE('',*,*,#194355,.T.); #254021=ORIENTED_EDGE('',*,*,#194357,.F.); #254022=ORIENTED_EDGE('',*,*,#194358,.F.); #254023=ORIENTED_EDGE('',*,*,#194359,.T.); #254024=ORIENTED_EDGE('',*,*,#194358,.T.); #254025=ORIENTED_EDGE('',*,*,#194360,.F.); #254026=ORIENTED_EDGE('',*,*,#194361,.F.); #254027=ORIENTED_EDGE('',*,*,#194362,.T.); #254028=ORIENTED_EDGE('',*,*,#194361,.T.); #254029=ORIENTED_EDGE('',*,*,#194363,.F.); #254030=ORIENTED_EDGE('',*,*,#194364,.F.); #254031=ORIENTED_EDGE('',*,*,#194365,.T.); #254032=ORIENTED_EDGE('',*,*,#194364,.T.); #254033=ORIENTED_EDGE('',*,*,#194366,.F.); #254034=ORIENTED_EDGE('',*,*,#194367,.F.); #254035=ORIENTED_EDGE('',*,*,#194368,.T.); #254036=ORIENTED_EDGE('',*,*,#194367,.T.); #254037=ORIENTED_EDGE('',*,*,#194369,.F.); #254038=ORIENTED_EDGE('',*,*,#194370,.F.); #254039=ORIENTED_EDGE('',*,*,#194371,.T.); #254040=ORIENTED_EDGE('',*,*,#194370,.T.); #254041=ORIENTED_EDGE('',*,*,#194372,.F.); #254042=ORIENTED_EDGE('',*,*,#194373,.F.); #254043=ORIENTED_EDGE('',*,*,#194374,.T.); #254044=ORIENTED_EDGE('',*,*,#194373,.T.); #254045=ORIENTED_EDGE('',*,*,#194375,.F.); #254046=ORIENTED_EDGE('',*,*,#194376,.F.); #254047=ORIENTED_EDGE('',*,*,#194377,.T.); #254048=ORIENTED_EDGE('',*,*,#194376,.T.); #254049=ORIENTED_EDGE('',*,*,#194378,.F.); #254050=ORIENTED_EDGE('',*,*,#194379,.F.); #254051=ORIENTED_EDGE('',*,*,#194380,.T.); #254052=ORIENTED_EDGE('',*,*,#194379,.T.); #254053=ORIENTED_EDGE('',*,*,#194381,.F.); #254054=ORIENTED_EDGE('',*,*,#194382,.F.); #254055=ORIENTED_EDGE('',*,*,#194383,.T.); #254056=ORIENTED_EDGE('',*,*,#194382,.T.); #254057=ORIENTED_EDGE('',*,*,#194384,.F.); #254058=ORIENTED_EDGE('',*,*,#194385,.F.); #254059=ORIENTED_EDGE('',*,*,#194386,.T.); #254060=ORIENTED_EDGE('',*,*,#194385,.T.); #254061=ORIENTED_EDGE('',*,*,#194387,.F.); #254062=ORIENTED_EDGE('',*,*,#194388,.F.); #254063=ORIENTED_EDGE('',*,*,#194389,.T.); #254064=ORIENTED_EDGE('',*,*,#194388,.T.); #254065=ORIENTED_EDGE('',*,*,#194390,.F.); #254066=ORIENTED_EDGE('',*,*,#194391,.F.); #254067=ORIENTED_EDGE('',*,*,#194392,.T.); #254068=ORIENTED_EDGE('',*,*,#194391,.T.); #254069=ORIENTED_EDGE('',*,*,#194393,.F.); #254070=ORIENTED_EDGE('',*,*,#194394,.F.); #254071=ORIENTED_EDGE('',*,*,#194395,.T.); #254072=ORIENTED_EDGE('',*,*,#194394,.T.); #254073=ORIENTED_EDGE('',*,*,#194396,.F.); #254074=ORIENTED_EDGE('',*,*,#194397,.F.); #254075=ORIENTED_EDGE('',*,*,#194398,.T.); #254076=ORIENTED_EDGE('',*,*,#194397,.T.); #254077=ORIENTED_EDGE('',*,*,#194399,.F.); #254078=ORIENTED_EDGE('',*,*,#194400,.F.); #254079=ORIENTED_EDGE('',*,*,#194401,.T.); #254080=ORIENTED_EDGE('',*,*,#194400,.T.); #254081=ORIENTED_EDGE('',*,*,#194402,.F.); #254082=ORIENTED_EDGE('',*,*,#194403,.F.); #254083=ORIENTED_EDGE('',*,*,#194404,.T.); #254084=ORIENTED_EDGE('',*,*,#194403,.T.); #254085=ORIENTED_EDGE('',*,*,#194405,.F.); #254086=ORIENTED_EDGE('',*,*,#194406,.F.); #254087=ORIENTED_EDGE('',*,*,#194407,.T.); #254088=ORIENTED_EDGE('',*,*,#194406,.T.); #254089=ORIENTED_EDGE('',*,*,#194408,.F.); #254090=ORIENTED_EDGE('',*,*,#194409,.F.); #254091=ORIENTED_EDGE('',*,*,#194410,.T.); #254092=ORIENTED_EDGE('',*,*,#194409,.T.); #254093=ORIENTED_EDGE('',*,*,#194411,.F.); #254094=ORIENTED_EDGE('',*,*,#194412,.F.); #254095=ORIENTED_EDGE('',*,*,#194413,.T.); #254096=ORIENTED_EDGE('',*,*,#194412,.T.); #254097=ORIENTED_EDGE('',*,*,#194414,.F.); #254098=ORIENTED_EDGE('',*,*,#194415,.F.); #254099=ORIENTED_EDGE('',*,*,#194416,.T.); #254100=ORIENTED_EDGE('',*,*,#194415,.T.); #254101=ORIENTED_EDGE('',*,*,#194417,.F.); #254102=ORIENTED_EDGE('',*,*,#194418,.F.); #254103=ORIENTED_EDGE('',*,*,#194419,.T.); #254104=ORIENTED_EDGE('',*,*,#194418,.T.); #254105=ORIENTED_EDGE('',*,*,#194420,.F.); #254106=ORIENTED_EDGE('',*,*,#194421,.F.); #254107=ORIENTED_EDGE('',*,*,#194422,.T.); #254108=ORIENTED_EDGE('',*,*,#194421,.T.); #254109=ORIENTED_EDGE('',*,*,#194423,.F.); #254110=ORIENTED_EDGE('',*,*,#194424,.F.); #254111=ORIENTED_EDGE('',*,*,#194425,.T.); #254112=ORIENTED_EDGE('',*,*,#194424,.T.); #254113=ORIENTED_EDGE('',*,*,#194426,.F.); #254114=ORIENTED_EDGE('',*,*,#194427,.F.); #254115=ORIENTED_EDGE('',*,*,#194428,.T.); #254116=ORIENTED_EDGE('',*,*,#194427,.T.); #254117=ORIENTED_EDGE('',*,*,#194429,.F.); #254118=ORIENTED_EDGE('',*,*,#194430,.F.); #254119=ORIENTED_EDGE('',*,*,#194431,.T.); #254120=ORIENTED_EDGE('',*,*,#194430,.T.); #254121=ORIENTED_EDGE('',*,*,#194432,.F.); #254122=ORIENTED_EDGE('',*,*,#194433,.F.); #254123=ORIENTED_EDGE('',*,*,#194434,.T.); #254124=ORIENTED_EDGE('',*,*,#194433,.T.); #254125=ORIENTED_EDGE('',*,*,#194435,.F.); #254126=ORIENTED_EDGE('',*,*,#194436,.F.); #254127=ORIENTED_EDGE('',*,*,#194437,.T.); #254128=ORIENTED_EDGE('',*,*,#194436,.T.); #254129=ORIENTED_EDGE('',*,*,#194438,.F.); #254130=ORIENTED_EDGE('',*,*,#194439,.F.); #254131=ORIENTED_EDGE('',*,*,#194440,.T.); #254132=ORIENTED_EDGE('',*,*,#194439,.T.); #254133=ORIENTED_EDGE('',*,*,#194441,.F.); #254134=ORIENTED_EDGE('',*,*,#194442,.F.); #254135=ORIENTED_EDGE('',*,*,#194443,.T.); #254136=ORIENTED_EDGE('',*,*,#194442,.T.); #254137=ORIENTED_EDGE('',*,*,#194444,.F.); #254138=ORIENTED_EDGE('',*,*,#194445,.F.); #254139=ORIENTED_EDGE('',*,*,#194446,.T.); #254140=ORIENTED_EDGE('',*,*,#194445,.T.); #254141=ORIENTED_EDGE('',*,*,#194447,.F.); #254142=ORIENTED_EDGE('',*,*,#194448,.F.); #254143=ORIENTED_EDGE('',*,*,#194449,.T.); #254144=ORIENTED_EDGE('',*,*,#194448,.T.); #254145=ORIENTED_EDGE('',*,*,#194450,.F.); #254146=ORIENTED_EDGE('',*,*,#194451,.F.); #254147=ORIENTED_EDGE('',*,*,#194452,.T.); #254148=ORIENTED_EDGE('',*,*,#194451,.T.); #254149=ORIENTED_EDGE('',*,*,#194453,.F.); #254150=ORIENTED_EDGE('',*,*,#194454,.F.); #254151=ORIENTED_EDGE('',*,*,#194455,.T.); #254152=ORIENTED_EDGE('',*,*,#194454,.T.); #254153=ORIENTED_EDGE('',*,*,#194456,.F.); #254154=ORIENTED_EDGE('',*,*,#194457,.F.); #254155=ORIENTED_EDGE('',*,*,#194458,.T.); #254156=ORIENTED_EDGE('',*,*,#194457,.T.); #254157=ORIENTED_EDGE('',*,*,#194459,.F.); #254158=ORIENTED_EDGE('',*,*,#194460,.F.); #254159=ORIENTED_EDGE('',*,*,#194461,.T.); #254160=ORIENTED_EDGE('',*,*,#194460,.T.); #254161=ORIENTED_EDGE('',*,*,#194462,.F.); #254162=ORIENTED_EDGE('',*,*,#194463,.F.); #254163=ORIENTED_EDGE('',*,*,#194464,.T.); #254164=ORIENTED_EDGE('',*,*,#194463,.T.); #254165=ORIENTED_EDGE('',*,*,#194465,.F.); #254166=ORIENTED_EDGE('',*,*,#194466,.F.); #254167=ORIENTED_EDGE('',*,*,#194467,.T.); #254168=ORIENTED_EDGE('',*,*,#194466,.T.); #254169=ORIENTED_EDGE('',*,*,#194468,.F.); #254170=ORIENTED_EDGE('',*,*,#194469,.F.); #254171=ORIENTED_EDGE('',*,*,#194470,.T.); #254172=ORIENTED_EDGE('',*,*,#194469,.T.); #254173=ORIENTED_EDGE('',*,*,#194471,.F.); #254174=ORIENTED_EDGE('',*,*,#194472,.F.); #254175=ORIENTED_EDGE('',*,*,#194473,.T.); #254176=ORIENTED_EDGE('',*,*,#194472,.T.); #254177=ORIENTED_EDGE('',*,*,#194474,.F.); #254178=ORIENTED_EDGE('',*,*,#194475,.F.); #254179=ORIENTED_EDGE('',*,*,#194476,.T.); #254180=ORIENTED_EDGE('',*,*,#194475,.T.); #254181=ORIENTED_EDGE('',*,*,#194477,.F.); #254182=ORIENTED_EDGE('',*,*,#194478,.F.); #254183=ORIENTED_EDGE('',*,*,#194479,.T.); #254184=ORIENTED_EDGE('',*,*,#194478,.T.); #254185=ORIENTED_EDGE('',*,*,#194480,.F.); #254186=ORIENTED_EDGE('',*,*,#194481,.F.); #254187=ORIENTED_EDGE('',*,*,#194482,.T.); #254188=ORIENTED_EDGE('',*,*,#194481,.T.); #254189=ORIENTED_EDGE('',*,*,#194483,.F.); #254190=ORIENTED_EDGE('',*,*,#194484,.F.); #254191=ORIENTED_EDGE('',*,*,#194485,.T.); #254192=ORIENTED_EDGE('',*,*,#194484,.T.); #254193=ORIENTED_EDGE('',*,*,#194486,.F.); #254194=ORIENTED_EDGE('',*,*,#194487,.F.); #254195=ORIENTED_EDGE('',*,*,#194488,.T.); #254196=ORIENTED_EDGE('',*,*,#194487,.T.); #254197=ORIENTED_EDGE('',*,*,#194489,.F.); #254198=ORIENTED_EDGE('',*,*,#194490,.F.); #254199=ORIENTED_EDGE('',*,*,#194491,.T.); #254200=ORIENTED_EDGE('',*,*,#194490,.T.); #254201=ORIENTED_EDGE('',*,*,#194492,.F.); #254202=ORIENTED_EDGE('',*,*,#194493,.F.); #254203=ORIENTED_EDGE('',*,*,#194494,.T.); #254204=ORIENTED_EDGE('',*,*,#194493,.T.); #254205=ORIENTED_EDGE('',*,*,#194495,.F.); #254206=ORIENTED_EDGE('',*,*,#194496,.F.); #254207=ORIENTED_EDGE('',*,*,#194497,.T.); #254208=ORIENTED_EDGE('',*,*,#194496,.T.); #254209=ORIENTED_EDGE('',*,*,#194498,.F.); #254210=ORIENTED_EDGE('',*,*,#194499,.F.); #254211=ORIENTED_EDGE('',*,*,#194500,.T.); #254212=ORIENTED_EDGE('',*,*,#194499,.T.); #254213=ORIENTED_EDGE('',*,*,#194501,.F.); #254214=ORIENTED_EDGE('',*,*,#194502,.F.); #254215=ORIENTED_EDGE('',*,*,#194503,.T.); #254216=ORIENTED_EDGE('',*,*,#194502,.T.); #254217=ORIENTED_EDGE('',*,*,#194504,.F.); #254218=ORIENTED_EDGE('',*,*,#194505,.F.); #254219=ORIENTED_EDGE('',*,*,#194506,.T.); #254220=ORIENTED_EDGE('',*,*,#194505,.T.); #254221=ORIENTED_EDGE('',*,*,#194507,.F.); #254222=ORIENTED_EDGE('',*,*,#194508,.F.); #254223=ORIENTED_EDGE('',*,*,#194509,.T.); #254224=ORIENTED_EDGE('',*,*,#194508,.T.); #254225=ORIENTED_EDGE('',*,*,#194510,.F.); #254226=ORIENTED_EDGE('',*,*,#194511,.F.); #254227=ORIENTED_EDGE('',*,*,#194512,.T.); #254228=ORIENTED_EDGE('',*,*,#194511,.T.); #254229=ORIENTED_EDGE('',*,*,#194513,.F.); #254230=ORIENTED_EDGE('',*,*,#194514,.F.); #254231=ORIENTED_EDGE('',*,*,#194515,.T.); #254232=ORIENTED_EDGE('',*,*,#194514,.T.); #254233=ORIENTED_EDGE('',*,*,#194516,.F.); #254234=ORIENTED_EDGE('',*,*,#194517,.F.); #254235=ORIENTED_EDGE('',*,*,#194518,.T.); #254236=ORIENTED_EDGE('',*,*,#194517,.T.); #254237=ORIENTED_EDGE('',*,*,#194519,.F.); #254238=ORIENTED_EDGE('',*,*,#194520,.F.); #254239=ORIENTED_EDGE('',*,*,#194521,.T.); #254240=ORIENTED_EDGE('',*,*,#194520,.T.); #254241=ORIENTED_EDGE('',*,*,#194522,.F.); #254242=ORIENTED_EDGE('',*,*,#194523,.F.); #254243=ORIENTED_EDGE('',*,*,#194524,.T.); #254244=ORIENTED_EDGE('',*,*,#194523,.T.); #254245=ORIENTED_EDGE('',*,*,#194525,.F.); #254246=ORIENTED_EDGE('',*,*,#194526,.F.); #254247=ORIENTED_EDGE('',*,*,#194527,.T.); #254248=ORIENTED_EDGE('',*,*,#194526,.T.); #254249=ORIENTED_EDGE('',*,*,#194528,.F.); #254250=ORIENTED_EDGE('',*,*,#194529,.F.); #254251=ORIENTED_EDGE('',*,*,#194530,.T.); #254252=ORIENTED_EDGE('',*,*,#194529,.T.); #254253=ORIENTED_EDGE('',*,*,#194531,.F.); #254254=ORIENTED_EDGE('',*,*,#194532,.F.); #254255=ORIENTED_EDGE('',*,*,#194533,.T.); #254256=ORIENTED_EDGE('',*,*,#194532,.T.); #254257=ORIENTED_EDGE('',*,*,#194534,.F.); #254258=ORIENTED_EDGE('',*,*,#194535,.F.); #254259=ORIENTED_EDGE('',*,*,#194536,.T.); #254260=ORIENTED_EDGE('',*,*,#194535,.T.); #254261=ORIENTED_EDGE('',*,*,#194537,.F.); #254262=ORIENTED_EDGE('',*,*,#194538,.F.); #254263=ORIENTED_EDGE('',*,*,#194539,.T.); #254264=ORIENTED_EDGE('',*,*,#194538,.T.); #254265=ORIENTED_EDGE('',*,*,#194540,.F.); #254266=ORIENTED_EDGE('',*,*,#194541,.F.); #254267=ORIENTED_EDGE('',*,*,#194542,.T.); #254268=ORIENTED_EDGE('',*,*,#194541,.T.); #254269=ORIENTED_EDGE('',*,*,#194543,.F.); #254270=ORIENTED_EDGE('',*,*,#194544,.F.); #254271=ORIENTED_EDGE('',*,*,#194545,.T.); #254272=ORIENTED_EDGE('',*,*,#194544,.T.); #254273=ORIENTED_EDGE('',*,*,#194546,.F.); #254274=ORIENTED_EDGE('',*,*,#194547,.F.); #254275=ORIENTED_EDGE('',*,*,#194548,.T.); #254276=ORIENTED_EDGE('',*,*,#194547,.T.); #254277=ORIENTED_EDGE('',*,*,#194549,.F.); #254278=ORIENTED_EDGE('',*,*,#194550,.F.); #254279=ORIENTED_EDGE('',*,*,#194551,.T.); #254280=ORIENTED_EDGE('',*,*,#194550,.T.); #254281=ORIENTED_EDGE('',*,*,#194552,.F.); #254282=ORIENTED_EDGE('',*,*,#194553,.F.); #254283=ORIENTED_EDGE('',*,*,#194554,.T.); #254284=ORIENTED_EDGE('',*,*,#194553,.T.); #254285=ORIENTED_EDGE('',*,*,#194555,.F.); #254286=ORIENTED_EDGE('',*,*,#194556,.F.); #254287=ORIENTED_EDGE('',*,*,#194557,.T.); #254288=ORIENTED_EDGE('',*,*,#194556,.T.); #254289=ORIENTED_EDGE('',*,*,#194558,.F.); #254290=ORIENTED_EDGE('',*,*,#194559,.F.); #254291=ORIENTED_EDGE('',*,*,#194560,.T.); #254292=ORIENTED_EDGE('',*,*,#194559,.T.); #254293=ORIENTED_EDGE('',*,*,#194561,.F.); #254294=ORIENTED_EDGE('',*,*,#194562,.F.); #254295=ORIENTED_EDGE('',*,*,#194563,.T.); #254296=ORIENTED_EDGE('',*,*,#194562,.T.); #254297=ORIENTED_EDGE('',*,*,#194564,.F.); #254298=ORIENTED_EDGE('',*,*,#194565,.F.); #254299=ORIENTED_EDGE('',*,*,#194566,.T.); #254300=ORIENTED_EDGE('',*,*,#194565,.T.); #254301=ORIENTED_EDGE('',*,*,#194567,.F.); #254302=ORIENTED_EDGE('',*,*,#194568,.F.); #254303=ORIENTED_EDGE('',*,*,#194569,.T.); #254304=ORIENTED_EDGE('',*,*,#194568,.T.); #254305=ORIENTED_EDGE('',*,*,#194570,.F.); #254306=ORIENTED_EDGE('',*,*,#194571,.F.); #254307=ORIENTED_EDGE('',*,*,#194572,.T.); #254308=ORIENTED_EDGE('',*,*,#194571,.T.); #254309=ORIENTED_EDGE('',*,*,#194573,.F.); #254310=ORIENTED_EDGE('',*,*,#194574,.F.); #254311=ORIENTED_EDGE('',*,*,#194575,.T.); #254312=ORIENTED_EDGE('',*,*,#194574,.T.); #254313=ORIENTED_EDGE('',*,*,#194576,.F.); #254314=ORIENTED_EDGE('',*,*,#194577,.F.); #254315=ORIENTED_EDGE('',*,*,#194578,.T.); #254316=ORIENTED_EDGE('',*,*,#194577,.T.); #254317=ORIENTED_EDGE('',*,*,#194579,.F.); #254318=ORIENTED_EDGE('',*,*,#194580,.F.); #254319=ORIENTED_EDGE('',*,*,#194581,.T.); #254320=ORIENTED_EDGE('',*,*,#194580,.T.); #254321=ORIENTED_EDGE('',*,*,#194582,.F.); #254322=ORIENTED_EDGE('',*,*,#194583,.F.); #254323=ORIENTED_EDGE('',*,*,#194584,.T.); #254324=ORIENTED_EDGE('',*,*,#194583,.T.); #254325=ORIENTED_EDGE('',*,*,#194585,.F.); #254326=ORIENTED_EDGE('',*,*,#194586,.F.); #254327=ORIENTED_EDGE('',*,*,#194587,.T.); #254328=ORIENTED_EDGE('',*,*,#194586,.T.); #254329=ORIENTED_EDGE('',*,*,#194588,.F.); #254330=ORIENTED_EDGE('',*,*,#194589,.F.); #254331=ORIENTED_EDGE('',*,*,#194590,.T.); #254332=ORIENTED_EDGE('',*,*,#194589,.T.); #254333=ORIENTED_EDGE('',*,*,#194591,.F.); #254334=ORIENTED_EDGE('',*,*,#194592,.F.); #254335=ORIENTED_EDGE('',*,*,#194593,.T.); #254336=ORIENTED_EDGE('',*,*,#194592,.T.); #254337=ORIENTED_EDGE('',*,*,#194594,.F.); #254338=ORIENTED_EDGE('',*,*,#194595,.F.); #254339=ORIENTED_EDGE('',*,*,#194596,.T.); #254340=ORIENTED_EDGE('',*,*,#194595,.T.); #254341=ORIENTED_EDGE('',*,*,#194597,.F.); #254342=ORIENTED_EDGE('',*,*,#194598,.F.); #254343=ORIENTED_EDGE('',*,*,#194599,.T.); #254344=ORIENTED_EDGE('',*,*,#194598,.T.); #254345=ORIENTED_EDGE('',*,*,#194600,.F.); #254346=ORIENTED_EDGE('',*,*,#194601,.F.); #254347=ORIENTED_EDGE('',*,*,#194602,.T.); #254348=ORIENTED_EDGE('',*,*,#194601,.T.); #254349=ORIENTED_EDGE('',*,*,#194603,.F.); #254350=ORIENTED_EDGE('',*,*,#194604,.F.); #254351=ORIENTED_EDGE('',*,*,#194605,.T.); #254352=ORIENTED_EDGE('',*,*,#194604,.T.); #254353=ORIENTED_EDGE('',*,*,#194606,.F.); #254354=ORIENTED_EDGE('',*,*,#194607,.F.); #254355=ORIENTED_EDGE('',*,*,#194608,.T.); #254356=ORIENTED_EDGE('',*,*,#194607,.T.); #254357=ORIENTED_EDGE('',*,*,#194609,.F.); #254358=ORIENTED_EDGE('',*,*,#194610,.F.); #254359=ORIENTED_EDGE('',*,*,#194611,.T.); #254360=ORIENTED_EDGE('',*,*,#194610,.T.); #254361=ORIENTED_EDGE('',*,*,#194612,.F.); #254362=ORIENTED_EDGE('',*,*,#194613,.F.); #254363=ORIENTED_EDGE('',*,*,#194614,.T.); #254364=ORIENTED_EDGE('',*,*,#194613,.T.); #254365=ORIENTED_EDGE('',*,*,#194615,.F.); #254366=ORIENTED_EDGE('',*,*,#194616,.F.); #254367=ORIENTED_EDGE('',*,*,#194617,.T.); #254368=ORIENTED_EDGE('',*,*,#194616,.T.); #254369=ORIENTED_EDGE('',*,*,#194618,.F.); #254370=ORIENTED_EDGE('',*,*,#194619,.F.); #254371=ORIENTED_EDGE('',*,*,#194620,.T.); #254372=ORIENTED_EDGE('',*,*,#194619,.T.); #254373=ORIENTED_EDGE('',*,*,#194621,.F.); #254374=ORIENTED_EDGE('',*,*,#194622,.F.); #254375=ORIENTED_EDGE('',*,*,#194623,.T.); #254376=ORIENTED_EDGE('',*,*,#194622,.T.); #254377=ORIENTED_EDGE('',*,*,#194624,.F.); #254378=ORIENTED_EDGE('',*,*,#194625,.F.); #254379=ORIENTED_EDGE('',*,*,#194626,.T.); #254380=ORIENTED_EDGE('',*,*,#194625,.T.); #254381=ORIENTED_EDGE('',*,*,#194627,.F.); #254382=ORIENTED_EDGE('',*,*,#194628,.F.); #254383=ORIENTED_EDGE('',*,*,#194629,.T.); #254384=ORIENTED_EDGE('',*,*,#194628,.T.); #254385=ORIENTED_EDGE('',*,*,#194630,.F.); #254386=ORIENTED_EDGE('',*,*,#194631,.F.); #254387=ORIENTED_EDGE('',*,*,#194632,.T.); #254388=ORIENTED_EDGE('',*,*,#194631,.T.); #254389=ORIENTED_EDGE('',*,*,#194633,.F.); #254390=ORIENTED_EDGE('',*,*,#194634,.F.); #254391=ORIENTED_EDGE('',*,*,#194635,.T.); #254392=ORIENTED_EDGE('',*,*,#194634,.T.); #254393=ORIENTED_EDGE('',*,*,#194636,.F.); #254394=ORIENTED_EDGE('',*,*,#194637,.F.); #254395=ORIENTED_EDGE('',*,*,#194638,.T.); #254396=ORIENTED_EDGE('',*,*,#194637,.T.); #254397=ORIENTED_EDGE('',*,*,#194639,.F.); #254398=ORIENTED_EDGE('',*,*,#194640,.F.); #254399=ORIENTED_EDGE('',*,*,#194641,.T.); #254400=ORIENTED_EDGE('',*,*,#194640,.T.); #254401=ORIENTED_EDGE('',*,*,#194642,.F.); #254402=ORIENTED_EDGE('',*,*,#194643,.F.); #254403=ORIENTED_EDGE('',*,*,#194644,.T.); #254404=ORIENTED_EDGE('',*,*,#194643,.T.); #254405=ORIENTED_EDGE('',*,*,#194645,.F.); #254406=ORIENTED_EDGE('',*,*,#194646,.F.); #254407=ORIENTED_EDGE('',*,*,#194647,.T.); #254408=ORIENTED_EDGE('',*,*,#194646,.T.); #254409=ORIENTED_EDGE('',*,*,#194648,.F.); #254410=ORIENTED_EDGE('',*,*,#194649,.F.); #254411=ORIENTED_EDGE('',*,*,#194650,.T.); #254412=ORIENTED_EDGE('',*,*,#194649,.T.); #254413=ORIENTED_EDGE('',*,*,#194651,.F.); #254414=ORIENTED_EDGE('',*,*,#194652,.F.); #254415=ORIENTED_EDGE('',*,*,#194653,.T.); #254416=ORIENTED_EDGE('',*,*,#194652,.T.); #254417=ORIENTED_EDGE('',*,*,#194654,.F.); #254418=ORIENTED_EDGE('',*,*,#194655,.F.); #254419=ORIENTED_EDGE('',*,*,#194656,.T.); #254420=ORIENTED_EDGE('',*,*,#194655,.T.); #254421=ORIENTED_EDGE('',*,*,#194657,.F.); #254422=ORIENTED_EDGE('',*,*,#194658,.F.); #254423=ORIENTED_EDGE('',*,*,#194659,.T.); #254424=ORIENTED_EDGE('',*,*,#194658,.T.); #254425=ORIENTED_EDGE('',*,*,#194660,.F.); #254426=ORIENTED_EDGE('',*,*,#194661,.F.); #254427=ORIENTED_EDGE('',*,*,#194662,.T.); #254428=ORIENTED_EDGE('',*,*,#194661,.T.); #254429=ORIENTED_EDGE('',*,*,#194663,.F.); #254430=ORIENTED_EDGE('',*,*,#194664,.F.); #254431=ORIENTED_EDGE('',*,*,#194665,.T.); #254432=ORIENTED_EDGE('',*,*,#194664,.T.); #254433=ORIENTED_EDGE('',*,*,#194666,.F.); #254434=ORIENTED_EDGE('',*,*,#194667,.F.); #254435=ORIENTED_EDGE('',*,*,#194668,.T.); #254436=ORIENTED_EDGE('',*,*,#194667,.T.); #254437=ORIENTED_EDGE('',*,*,#194669,.F.); #254438=ORIENTED_EDGE('',*,*,#194670,.F.); #254439=ORIENTED_EDGE('',*,*,#194671,.T.); #254440=ORIENTED_EDGE('',*,*,#194670,.T.); #254441=ORIENTED_EDGE('',*,*,#194672,.F.); #254442=ORIENTED_EDGE('',*,*,#194673,.F.); #254443=ORIENTED_EDGE('',*,*,#194674,.T.); #254444=ORIENTED_EDGE('',*,*,#194673,.T.); #254445=ORIENTED_EDGE('',*,*,#194675,.F.); #254446=ORIENTED_EDGE('',*,*,#194676,.F.); #254447=ORIENTED_EDGE('',*,*,#194677,.T.); #254448=ORIENTED_EDGE('',*,*,#194676,.T.); #254449=ORIENTED_EDGE('',*,*,#194678,.F.); #254450=ORIENTED_EDGE('',*,*,#194679,.F.); #254451=ORIENTED_EDGE('',*,*,#194680,.T.); #254452=ORIENTED_EDGE('',*,*,#194679,.T.); #254453=ORIENTED_EDGE('',*,*,#194681,.F.); #254454=ORIENTED_EDGE('',*,*,#194682,.F.); #254455=ORIENTED_EDGE('',*,*,#194683,.T.); #254456=ORIENTED_EDGE('',*,*,#194682,.T.); #254457=ORIENTED_EDGE('',*,*,#194684,.F.); #254458=ORIENTED_EDGE('',*,*,#194685,.F.); #254459=ORIENTED_EDGE('',*,*,#194686,.T.); #254460=ORIENTED_EDGE('',*,*,#194685,.T.); #254461=ORIENTED_EDGE('',*,*,#194687,.F.); #254462=ORIENTED_EDGE('',*,*,#194688,.F.); #254463=ORIENTED_EDGE('',*,*,#194689,.T.); #254464=ORIENTED_EDGE('',*,*,#194688,.T.); #254465=ORIENTED_EDGE('',*,*,#194690,.F.); #254466=ORIENTED_EDGE('',*,*,#194691,.F.); #254467=ORIENTED_EDGE('',*,*,#194692,.T.); #254468=ORIENTED_EDGE('',*,*,#194691,.T.); #254469=ORIENTED_EDGE('',*,*,#194693,.F.); #254470=ORIENTED_EDGE('',*,*,#194694,.F.); #254471=ORIENTED_EDGE('',*,*,#194695,.T.); #254472=ORIENTED_EDGE('',*,*,#194694,.T.); #254473=ORIENTED_EDGE('',*,*,#194696,.F.); #254474=ORIENTED_EDGE('',*,*,#194697,.F.); #254475=ORIENTED_EDGE('',*,*,#194698,.T.); #254476=ORIENTED_EDGE('',*,*,#194697,.T.); #254477=ORIENTED_EDGE('',*,*,#194699,.F.); #254478=ORIENTED_EDGE('',*,*,#194700,.F.); #254479=ORIENTED_EDGE('',*,*,#194701,.T.); #254480=ORIENTED_EDGE('',*,*,#194700,.T.); #254481=ORIENTED_EDGE('',*,*,#194702,.F.); #254482=ORIENTED_EDGE('',*,*,#194703,.F.); #254483=ORIENTED_EDGE('',*,*,#194704,.T.); #254484=ORIENTED_EDGE('',*,*,#194703,.T.); #254485=ORIENTED_EDGE('',*,*,#194705,.F.); #254486=ORIENTED_EDGE('',*,*,#194706,.F.); #254487=ORIENTED_EDGE('',*,*,#194707,.T.); #254488=ORIENTED_EDGE('',*,*,#194706,.T.); #254489=ORIENTED_EDGE('',*,*,#194708,.F.); #254490=ORIENTED_EDGE('',*,*,#194709,.F.); #254491=ORIENTED_EDGE('',*,*,#194710,.T.); #254492=ORIENTED_EDGE('',*,*,#194709,.T.); #254493=ORIENTED_EDGE('',*,*,#194711,.F.); #254494=ORIENTED_EDGE('',*,*,#194712,.F.); #254495=ORIENTED_EDGE('',*,*,#194713,.T.); #254496=ORIENTED_EDGE('',*,*,#194712,.T.); #254497=ORIENTED_EDGE('',*,*,#194714,.F.); #254498=ORIENTED_EDGE('',*,*,#194715,.F.); #254499=ORIENTED_EDGE('',*,*,#194716,.T.); #254500=ORIENTED_EDGE('',*,*,#194715,.T.); #254501=ORIENTED_EDGE('',*,*,#194717,.F.); #254502=ORIENTED_EDGE('',*,*,#194718,.F.); #254503=ORIENTED_EDGE('',*,*,#194719,.T.); #254504=ORIENTED_EDGE('',*,*,#194718,.T.); #254505=ORIENTED_EDGE('',*,*,#194720,.F.); #254506=ORIENTED_EDGE('',*,*,#194721,.F.); #254507=ORIENTED_EDGE('',*,*,#194722,.T.); #254508=ORIENTED_EDGE('',*,*,#194721,.T.); #254509=ORIENTED_EDGE('',*,*,#194723,.F.); #254510=ORIENTED_EDGE('',*,*,#194724,.F.); #254511=ORIENTED_EDGE('',*,*,#194725,.T.); #254512=ORIENTED_EDGE('',*,*,#194724,.T.); #254513=ORIENTED_EDGE('',*,*,#194726,.F.); #254514=ORIENTED_EDGE('',*,*,#194727,.F.); #254515=ORIENTED_EDGE('',*,*,#194728,.T.); #254516=ORIENTED_EDGE('',*,*,#194727,.T.); #254517=ORIENTED_EDGE('',*,*,#194729,.F.); #254518=ORIENTED_EDGE('',*,*,#194730,.F.); #254519=ORIENTED_EDGE('',*,*,#194731,.T.); #254520=ORIENTED_EDGE('',*,*,#194730,.T.); #254521=ORIENTED_EDGE('',*,*,#194732,.F.); #254522=ORIENTED_EDGE('',*,*,#194733,.F.); #254523=ORIENTED_EDGE('',*,*,#194734,.T.); #254524=ORIENTED_EDGE('',*,*,#194733,.T.); #254525=ORIENTED_EDGE('',*,*,#194735,.F.); #254526=ORIENTED_EDGE('',*,*,#194736,.F.); #254527=ORIENTED_EDGE('',*,*,#194737,.T.); #254528=ORIENTED_EDGE('',*,*,#194736,.T.); #254529=ORIENTED_EDGE('',*,*,#194738,.F.); #254530=ORIENTED_EDGE('',*,*,#194739,.F.); #254531=ORIENTED_EDGE('',*,*,#194740,.T.); #254532=ORIENTED_EDGE('',*,*,#194739,.T.); #254533=ORIENTED_EDGE('',*,*,#194741,.F.); #254534=ORIENTED_EDGE('',*,*,#194742,.F.); #254535=ORIENTED_EDGE('',*,*,#194743,.T.); #254536=ORIENTED_EDGE('',*,*,#194742,.T.); #254537=ORIENTED_EDGE('',*,*,#194744,.F.); #254538=ORIENTED_EDGE('',*,*,#194745,.F.); #254539=ORIENTED_EDGE('',*,*,#194746,.T.); #254540=ORIENTED_EDGE('',*,*,#194745,.T.); #254541=ORIENTED_EDGE('',*,*,#194747,.F.); #254542=ORIENTED_EDGE('',*,*,#194748,.F.); #254543=ORIENTED_EDGE('',*,*,#194749,.T.); #254544=ORIENTED_EDGE('',*,*,#194748,.T.); #254545=ORIENTED_EDGE('',*,*,#194750,.F.); #254546=ORIENTED_EDGE('',*,*,#194751,.F.); #254547=ORIENTED_EDGE('',*,*,#194752,.T.); #254548=ORIENTED_EDGE('',*,*,#194751,.T.); #254549=ORIENTED_EDGE('',*,*,#194753,.F.); #254550=ORIENTED_EDGE('',*,*,#194754,.F.); #254551=ORIENTED_EDGE('',*,*,#194755,.T.); #254552=ORIENTED_EDGE('',*,*,#194754,.T.); #254553=ORIENTED_EDGE('',*,*,#194756,.F.); #254554=ORIENTED_EDGE('',*,*,#194757,.F.); #254555=ORIENTED_EDGE('',*,*,#194758,.T.); #254556=ORIENTED_EDGE('',*,*,#194757,.T.); #254557=ORIENTED_EDGE('',*,*,#194759,.F.); #254558=ORIENTED_EDGE('',*,*,#194760,.F.); #254559=ORIENTED_EDGE('',*,*,#194761,.T.); #254560=ORIENTED_EDGE('',*,*,#194760,.T.); #254561=ORIENTED_EDGE('',*,*,#194762,.F.); #254562=ORIENTED_EDGE('',*,*,#194763,.F.); #254563=ORIENTED_EDGE('',*,*,#194764,.T.); #254564=ORIENTED_EDGE('',*,*,#194763,.T.); #254565=ORIENTED_EDGE('',*,*,#194765,.F.); #254566=ORIENTED_EDGE('',*,*,#194766,.F.); #254567=ORIENTED_EDGE('',*,*,#194767,.T.); #254568=ORIENTED_EDGE('',*,*,#194766,.T.); #254569=ORIENTED_EDGE('',*,*,#194768,.F.); #254570=ORIENTED_EDGE('',*,*,#194769,.F.); #254571=ORIENTED_EDGE('',*,*,#194770,.T.); #254572=ORIENTED_EDGE('',*,*,#194769,.T.); #254573=ORIENTED_EDGE('',*,*,#194771,.F.); #254574=ORIENTED_EDGE('',*,*,#194772,.F.); #254575=ORIENTED_EDGE('',*,*,#194773,.T.); #254576=ORIENTED_EDGE('',*,*,#194772,.T.); #254577=ORIENTED_EDGE('',*,*,#194774,.F.); #254578=ORIENTED_EDGE('',*,*,#194775,.F.); #254579=ORIENTED_EDGE('',*,*,#194776,.T.); #254580=ORIENTED_EDGE('',*,*,#194775,.T.); #254581=ORIENTED_EDGE('',*,*,#194777,.F.); #254582=ORIENTED_EDGE('',*,*,#194778,.F.); #254583=ORIENTED_EDGE('',*,*,#194779,.T.); #254584=ORIENTED_EDGE('',*,*,#194778,.T.); #254585=ORIENTED_EDGE('',*,*,#194780,.F.); #254586=ORIENTED_EDGE('',*,*,#194781,.F.); #254587=ORIENTED_EDGE('',*,*,#194782,.T.); #254588=ORIENTED_EDGE('',*,*,#194781,.T.); #254589=ORIENTED_EDGE('',*,*,#194783,.F.); #254590=ORIENTED_EDGE('',*,*,#194784,.F.); #254591=ORIENTED_EDGE('',*,*,#194785,.T.); #254592=ORIENTED_EDGE('',*,*,#194784,.T.); #254593=ORIENTED_EDGE('',*,*,#194786,.F.); #254594=ORIENTED_EDGE('',*,*,#194787,.F.); #254595=ORIENTED_EDGE('',*,*,#194788,.T.); #254596=ORIENTED_EDGE('',*,*,#194787,.T.); #254597=ORIENTED_EDGE('',*,*,#194789,.F.); #254598=ORIENTED_EDGE('',*,*,#194790,.F.); #254599=ORIENTED_EDGE('',*,*,#194791,.T.); #254600=ORIENTED_EDGE('',*,*,#194790,.T.); #254601=ORIENTED_EDGE('',*,*,#194792,.F.); #254602=ORIENTED_EDGE('',*,*,#194793,.F.); #254603=ORIENTED_EDGE('',*,*,#194794,.T.); #254604=ORIENTED_EDGE('',*,*,#194793,.T.); #254605=ORIENTED_EDGE('',*,*,#194795,.F.); #254606=ORIENTED_EDGE('',*,*,#194796,.F.); #254607=ORIENTED_EDGE('',*,*,#194797,.T.); #254608=ORIENTED_EDGE('',*,*,#194796,.T.); #254609=ORIENTED_EDGE('',*,*,#194798,.F.); #254610=ORIENTED_EDGE('',*,*,#194799,.F.); #254611=ORIENTED_EDGE('',*,*,#194800,.T.); #254612=ORIENTED_EDGE('',*,*,#194799,.T.); #254613=ORIENTED_EDGE('',*,*,#194801,.F.); #254614=ORIENTED_EDGE('',*,*,#194802,.F.); #254615=ORIENTED_EDGE('',*,*,#194803,.T.); #254616=ORIENTED_EDGE('',*,*,#194802,.T.); #254617=ORIENTED_EDGE('',*,*,#194804,.F.); #254618=ORIENTED_EDGE('',*,*,#194805,.F.); #254619=ORIENTED_EDGE('',*,*,#194806,.T.); #254620=ORIENTED_EDGE('',*,*,#194805,.T.); #254621=ORIENTED_EDGE('',*,*,#194807,.F.); #254622=ORIENTED_EDGE('',*,*,#194808,.F.); #254623=ORIENTED_EDGE('',*,*,#194809,.T.); #254624=ORIENTED_EDGE('',*,*,#194808,.T.); #254625=ORIENTED_EDGE('',*,*,#194810,.F.); #254626=ORIENTED_EDGE('',*,*,#194811,.F.); #254627=ORIENTED_EDGE('',*,*,#194812,.T.); #254628=ORIENTED_EDGE('',*,*,#194811,.T.); #254629=ORIENTED_EDGE('',*,*,#194813,.F.); #254630=ORIENTED_EDGE('',*,*,#194814,.F.); #254631=ORIENTED_EDGE('',*,*,#194815,.T.); #254632=ORIENTED_EDGE('',*,*,#194814,.T.); #254633=ORIENTED_EDGE('',*,*,#194816,.F.); #254634=ORIENTED_EDGE('',*,*,#194817,.F.); #254635=ORIENTED_EDGE('',*,*,#194818,.T.); #254636=ORIENTED_EDGE('',*,*,#194817,.T.); #254637=ORIENTED_EDGE('',*,*,#194819,.F.); #254638=ORIENTED_EDGE('',*,*,#194820,.F.); #254639=ORIENTED_EDGE('',*,*,#194821,.T.); #254640=ORIENTED_EDGE('',*,*,#194820,.T.); #254641=ORIENTED_EDGE('',*,*,#194822,.F.); #254642=ORIENTED_EDGE('',*,*,#194823,.F.); #254643=ORIENTED_EDGE('',*,*,#194824,.T.); #254644=ORIENTED_EDGE('',*,*,#194823,.T.); #254645=ORIENTED_EDGE('',*,*,#194825,.F.); #254646=ORIENTED_EDGE('',*,*,#194826,.F.); #254647=ORIENTED_EDGE('',*,*,#194827,.T.); #254648=ORIENTED_EDGE('',*,*,#194826,.T.); #254649=ORIENTED_EDGE('',*,*,#194828,.F.); #254650=ORIENTED_EDGE('',*,*,#194829,.F.); #254651=ORIENTED_EDGE('',*,*,#194830,.T.); #254652=ORIENTED_EDGE('',*,*,#194829,.T.); #254653=ORIENTED_EDGE('',*,*,#194831,.F.); #254654=ORIENTED_EDGE('',*,*,#194832,.F.); #254655=ORIENTED_EDGE('',*,*,#194833,.T.); #254656=ORIENTED_EDGE('',*,*,#194832,.T.); #254657=ORIENTED_EDGE('',*,*,#194834,.F.); #254658=ORIENTED_EDGE('',*,*,#194835,.F.); #254659=ORIENTED_EDGE('',*,*,#194836,.T.); #254660=ORIENTED_EDGE('',*,*,#194835,.T.); #254661=ORIENTED_EDGE('',*,*,#194837,.F.); #254662=ORIENTED_EDGE('',*,*,#194838,.F.); #254663=ORIENTED_EDGE('',*,*,#194839,.T.); #254664=ORIENTED_EDGE('',*,*,#194838,.T.); #254665=ORIENTED_EDGE('',*,*,#194840,.F.); #254666=ORIENTED_EDGE('',*,*,#194841,.F.); #254667=ORIENTED_EDGE('',*,*,#194842,.T.); #254668=ORIENTED_EDGE('',*,*,#194841,.T.); #254669=ORIENTED_EDGE('',*,*,#194843,.F.); #254670=ORIENTED_EDGE('',*,*,#194844,.F.); #254671=ORIENTED_EDGE('',*,*,#194845,.T.); #254672=ORIENTED_EDGE('',*,*,#194844,.T.); #254673=ORIENTED_EDGE('',*,*,#194846,.F.); #254674=ORIENTED_EDGE('',*,*,#194847,.F.); #254675=ORIENTED_EDGE('',*,*,#194848,.T.); #254676=ORIENTED_EDGE('',*,*,#194847,.T.); #254677=ORIENTED_EDGE('',*,*,#194849,.F.); #254678=ORIENTED_EDGE('',*,*,#194850,.F.); #254679=ORIENTED_EDGE('',*,*,#194851,.T.); #254680=ORIENTED_EDGE('',*,*,#194850,.T.); #254681=ORIENTED_EDGE('',*,*,#194852,.F.); #254682=ORIENTED_EDGE('',*,*,#194853,.F.); #254683=ORIENTED_EDGE('',*,*,#194854,.T.); #254684=ORIENTED_EDGE('',*,*,#194853,.T.); #254685=ORIENTED_EDGE('',*,*,#194855,.F.); #254686=ORIENTED_EDGE('',*,*,#194856,.F.); #254687=ORIENTED_EDGE('',*,*,#194857,.T.); #254688=ORIENTED_EDGE('',*,*,#194856,.T.); #254689=ORIENTED_EDGE('',*,*,#194858,.F.); #254690=ORIENTED_EDGE('',*,*,#194859,.F.); #254691=ORIENTED_EDGE('',*,*,#194860,.T.); #254692=ORIENTED_EDGE('',*,*,#194859,.T.); #254693=ORIENTED_EDGE('',*,*,#194861,.F.); #254694=ORIENTED_EDGE('',*,*,#194862,.F.); #254695=ORIENTED_EDGE('',*,*,#194863,.T.); #254696=ORIENTED_EDGE('',*,*,#194862,.T.); #254697=ORIENTED_EDGE('',*,*,#194864,.F.); #254698=ORIENTED_EDGE('',*,*,#194865,.F.); #254699=ORIENTED_EDGE('',*,*,#194866,.T.); #254700=ORIENTED_EDGE('',*,*,#194865,.T.); #254701=ORIENTED_EDGE('',*,*,#194867,.F.); #254702=ORIENTED_EDGE('',*,*,#194868,.F.); #254703=ORIENTED_EDGE('',*,*,#194869,.T.); #254704=ORIENTED_EDGE('',*,*,#194868,.T.); #254705=ORIENTED_EDGE('',*,*,#194870,.F.); #254706=ORIENTED_EDGE('',*,*,#194871,.F.); #254707=ORIENTED_EDGE('',*,*,#194872,.T.); #254708=ORIENTED_EDGE('',*,*,#194871,.T.); #254709=ORIENTED_EDGE('',*,*,#194873,.F.); #254710=ORIENTED_EDGE('',*,*,#194874,.F.); #254711=ORIENTED_EDGE('',*,*,#194875,.T.); #254712=ORIENTED_EDGE('',*,*,#194874,.T.); #254713=ORIENTED_EDGE('',*,*,#194876,.F.); #254714=ORIENTED_EDGE('',*,*,#194877,.F.); #254715=ORIENTED_EDGE('',*,*,#194878,.T.); #254716=ORIENTED_EDGE('',*,*,#194877,.T.); #254717=ORIENTED_EDGE('',*,*,#194879,.F.); #254718=ORIENTED_EDGE('',*,*,#194880,.F.); #254719=ORIENTED_EDGE('',*,*,#194881,.T.); #254720=ORIENTED_EDGE('',*,*,#194880,.T.); #254721=ORIENTED_EDGE('',*,*,#194882,.F.); #254722=ORIENTED_EDGE('',*,*,#194883,.F.); #254723=ORIENTED_EDGE('',*,*,#194884,.T.); #254724=ORIENTED_EDGE('',*,*,#194883,.T.); #254725=ORIENTED_EDGE('',*,*,#194885,.F.); #254726=ORIENTED_EDGE('',*,*,#194886,.F.); #254727=ORIENTED_EDGE('',*,*,#194887,.T.); #254728=ORIENTED_EDGE('',*,*,#194886,.T.); #254729=ORIENTED_EDGE('',*,*,#194888,.F.); #254730=ORIENTED_EDGE('',*,*,#194889,.F.); #254731=ORIENTED_EDGE('',*,*,#194890,.T.); #254732=ORIENTED_EDGE('',*,*,#194889,.T.); #254733=ORIENTED_EDGE('',*,*,#194891,.F.); #254734=ORIENTED_EDGE('',*,*,#194892,.F.); #254735=ORIENTED_EDGE('',*,*,#194893,.T.); #254736=ORIENTED_EDGE('',*,*,#194892,.T.); #254737=ORIENTED_EDGE('',*,*,#194894,.F.); #254738=ORIENTED_EDGE('',*,*,#194895,.F.); #254739=ORIENTED_EDGE('',*,*,#194896,.T.); #254740=ORIENTED_EDGE('',*,*,#194895,.T.); #254741=ORIENTED_EDGE('',*,*,#194897,.F.); #254742=ORIENTED_EDGE('',*,*,#194898,.F.); #254743=ORIENTED_EDGE('',*,*,#194899,.T.); #254744=ORIENTED_EDGE('',*,*,#194898,.T.); #254745=ORIENTED_EDGE('',*,*,#194900,.F.); #254746=ORIENTED_EDGE('',*,*,#194901,.F.); #254747=ORIENTED_EDGE('',*,*,#194902,.T.); #254748=ORIENTED_EDGE('',*,*,#194901,.T.); #254749=ORIENTED_EDGE('',*,*,#194903,.F.); #254750=ORIENTED_EDGE('',*,*,#194904,.F.); #254751=ORIENTED_EDGE('',*,*,#194905,.T.); #254752=ORIENTED_EDGE('',*,*,#194904,.T.); #254753=ORIENTED_EDGE('',*,*,#194906,.F.); #254754=ORIENTED_EDGE('',*,*,#194907,.F.); #254755=ORIENTED_EDGE('',*,*,#194908,.T.); #254756=ORIENTED_EDGE('',*,*,#194907,.T.); #254757=ORIENTED_EDGE('',*,*,#194909,.F.); #254758=ORIENTED_EDGE('',*,*,#194910,.F.); #254759=ORIENTED_EDGE('',*,*,#194911,.T.); #254760=ORIENTED_EDGE('',*,*,#194910,.T.); #254761=ORIENTED_EDGE('',*,*,#194912,.F.); #254762=ORIENTED_EDGE('',*,*,#194913,.F.); #254763=ORIENTED_EDGE('',*,*,#194914,.T.); #254764=ORIENTED_EDGE('',*,*,#194913,.T.); #254765=ORIENTED_EDGE('',*,*,#194915,.F.); #254766=ORIENTED_EDGE('',*,*,#194916,.F.); #254767=ORIENTED_EDGE('',*,*,#194917,.T.); #254768=ORIENTED_EDGE('',*,*,#194916,.T.); #254769=ORIENTED_EDGE('',*,*,#194918,.F.); #254770=ORIENTED_EDGE('',*,*,#194919,.F.); #254771=ORIENTED_EDGE('',*,*,#194920,.T.); #254772=ORIENTED_EDGE('',*,*,#194919,.T.); #254773=ORIENTED_EDGE('',*,*,#194921,.F.); #254774=ORIENTED_EDGE('',*,*,#194922,.F.); #254775=ORIENTED_EDGE('',*,*,#194923,.T.); #254776=ORIENTED_EDGE('',*,*,#194922,.T.); #254777=ORIENTED_EDGE('',*,*,#194924,.F.); #254778=ORIENTED_EDGE('',*,*,#194925,.F.); #254779=ORIENTED_EDGE('',*,*,#194926,.T.); #254780=ORIENTED_EDGE('',*,*,#194925,.T.); #254781=ORIENTED_EDGE('',*,*,#194927,.F.); #254782=ORIENTED_EDGE('',*,*,#194928,.F.); #254783=ORIENTED_EDGE('',*,*,#194929,.T.); #254784=ORIENTED_EDGE('',*,*,#194928,.T.); #254785=ORIENTED_EDGE('',*,*,#194930,.F.); #254786=ORIENTED_EDGE('',*,*,#194931,.F.); #254787=ORIENTED_EDGE('',*,*,#194932,.T.); #254788=ORIENTED_EDGE('',*,*,#194931,.T.); #254789=ORIENTED_EDGE('',*,*,#194933,.F.); #254790=ORIENTED_EDGE('',*,*,#194934,.F.); #254791=ORIENTED_EDGE('',*,*,#194935,.T.); #254792=ORIENTED_EDGE('',*,*,#194934,.T.); #254793=ORIENTED_EDGE('',*,*,#194936,.F.); #254794=ORIENTED_EDGE('',*,*,#194937,.F.); #254795=ORIENTED_EDGE('',*,*,#194938,.T.); #254796=ORIENTED_EDGE('',*,*,#194937,.T.); #254797=ORIENTED_EDGE('',*,*,#194939,.F.); #254798=ORIENTED_EDGE('',*,*,#194940,.F.); #254799=ORIENTED_EDGE('',*,*,#194941,.T.); #254800=ORIENTED_EDGE('',*,*,#194940,.T.); #254801=ORIENTED_EDGE('',*,*,#194942,.F.); #254802=ORIENTED_EDGE('',*,*,#194943,.F.); #254803=ORIENTED_EDGE('',*,*,#194944,.T.); #254804=ORIENTED_EDGE('',*,*,#194943,.T.); #254805=ORIENTED_EDGE('',*,*,#194945,.F.); #254806=ORIENTED_EDGE('',*,*,#194946,.F.); #254807=ORIENTED_EDGE('',*,*,#194947,.T.); #254808=ORIENTED_EDGE('',*,*,#194946,.T.); #254809=ORIENTED_EDGE('',*,*,#194948,.F.); #254810=ORIENTED_EDGE('',*,*,#194949,.F.); #254811=ORIENTED_EDGE('',*,*,#194950,.T.); #254812=ORIENTED_EDGE('',*,*,#194949,.T.); #254813=ORIENTED_EDGE('',*,*,#194951,.F.); #254814=ORIENTED_EDGE('',*,*,#194952,.F.); #254815=ORIENTED_EDGE('',*,*,#194953,.T.); #254816=ORIENTED_EDGE('',*,*,#194952,.T.); #254817=ORIENTED_EDGE('',*,*,#194954,.F.); #254818=ORIENTED_EDGE('',*,*,#194955,.F.); #254819=ORIENTED_EDGE('',*,*,#194956,.T.); #254820=ORIENTED_EDGE('',*,*,#194955,.T.); #254821=ORIENTED_EDGE('',*,*,#194957,.F.); #254822=ORIENTED_EDGE('',*,*,#194958,.F.); #254823=ORIENTED_EDGE('',*,*,#194959,.T.); #254824=ORIENTED_EDGE('',*,*,#194958,.T.); #254825=ORIENTED_EDGE('',*,*,#194960,.F.); #254826=ORIENTED_EDGE('',*,*,#194961,.F.); #254827=ORIENTED_EDGE('',*,*,#194962,.T.); #254828=ORIENTED_EDGE('',*,*,#194961,.T.); #254829=ORIENTED_EDGE('',*,*,#194963,.F.); #254830=ORIENTED_EDGE('',*,*,#194964,.F.); #254831=ORIENTED_EDGE('',*,*,#194965,.T.); #254832=ORIENTED_EDGE('',*,*,#194964,.T.); #254833=ORIENTED_EDGE('',*,*,#194966,.F.); #254834=ORIENTED_EDGE('',*,*,#194967,.F.); #254835=ORIENTED_EDGE('',*,*,#194968,.T.); #254836=ORIENTED_EDGE('',*,*,#194967,.T.); #254837=ORIENTED_EDGE('',*,*,#194969,.F.); #254838=ORIENTED_EDGE('',*,*,#193237,.F.); #254839=ORIENTED_EDGE('',*,*,#194970,.T.); #254840=ORIENTED_EDGE('',*,*,#194971,.T.); #254841=ORIENTED_EDGE('',*,*,#194972,.F.); #254842=ORIENTED_EDGE('',*,*,#194973,.F.); #254843=ORIENTED_EDGE('',*,*,#194974,.T.); #254844=ORIENTED_EDGE('',*,*,#194973,.T.); #254845=ORIENTED_EDGE('',*,*,#194975,.F.); #254846=ORIENTED_EDGE('',*,*,#194976,.F.); #254847=ORIENTED_EDGE('',*,*,#194977,.T.); #254848=ORIENTED_EDGE('',*,*,#194976,.T.); #254849=ORIENTED_EDGE('',*,*,#194978,.F.); #254850=ORIENTED_EDGE('',*,*,#194979,.F.); #254851=ORIENTED_EDGE('',*,*,#194980,.T.); #254852=ORIENTED_EDGE('',*,*,#194979,.T.); #254853=ORIENTED_EDGE('',*,*,#194981,.F.); #254854=ORIENTED_EDGE('',*,*,#194982,.F.); #254855=ORIENTED_EDGE('',*,*,#194983,.T.); #254856=ORIENTED_EDGE('',*,*,#194982,.T.); #254857=ORIENTED_EDGE('',*,*,#194984,.F.); #254858=ORIENTED_EDGE('',*,*,#194985,.F.); #254859=ORIENTED_EDGE('',*,*,#194986,.T.); #254860=ORIENTED_EDGE('',*,*,#194985,.T.); #254861=ORIENTED_EDGE('',*,*,#194987,.F.); #254862=ORIENTED_EDGE('',*,*,#194988,.F.); #254863=ORIENTED_EDGE('',*,*,#194989,.T.); #254864=ORIENTED_EDGE('',*,*,#194988,.T.); #254865=ORIENTED_EDGE('',*,*,#194990,.F.); #254866=ORIENTED_EDGE('',*,*,#194991,.F.); #254867=ORIENTED_EDGE('',*,*,#194992,.T.); #254868=ORIENTED_EDGE('',*,*,#194991,.T.); #254869=ORIENTED_EDGE('',*,*,#194993,.F.); #254870=ORIENTED_EDGE('',*,*,#194994,.F.); #254871=ORIENTED_EDGE('',*,*,#194995,.T.); #254872=ORIENTED_EDGE('',*,*,#194994,.T.); #254873=ORIENTED_EDGE('',*,*,#194996,.F.); #254874=ORIENTED_EDGE('',*,*,#194997,.F.); #254875=ORIENTED_EDGE('',*,*,#194998,.T.); #254876=ORIENTED_EDGE('',*,*,#194997,.T.); #254877=ORIENTED_EDGE('',*,*,#194999,.F.); #254878=ORIENTED_EDGE('',*,*,#195000,.F.); #254879=ORIENTED_EDGE('',*,*,#195001,.T.); #254880=ORIENTED_EDGE('',*,*,#195000,.T.); #254881=ORIENTED_EDGE('',*,*,#195002,.F.); #254882=ORIENTED_EDGE('',*,*,#195003,.F.); #254883=ORIENTED_EDGE('',*,*,#195004,.T.); #254884=ORIENTED_EDGE('',*,*,#195003,.T.); #254885=ORIENTED_EDGE('',*,*,#195005,.F.); #254886=ORIENTED_EDGE('',*,*,#195006,.F.); #254887=ORIENTED_EDGE('',*,*,#195007,.T.); #254888=ORIENTED_EDGE('',*,*,#195006,.T.); #254889=ORIENTED_EDGE('',*,*,#195008,.F.); #254890=ORIENTED_EDGE('',*,*,#195009,.F.); #254891=ORIENTED_EDGE('',*,*,#195010,.T.); #254892=ORIENTED_EDGE('',*,*,#195009,.T.); #254893=ORIENTED_EDGE('',*,*,#195011,.F.); #254894=ORIENTED_EDGE('',*,*,#195012,.F.); #254895=ORIENTED_EDGE('',*,*,#195013,.T.); #254896=ORIENTED_EDGE('',*,*,#195012,.T.); #254897=ORIENTED_EDGE('',*,*,#195014,.F.); #254898=ORIENTED_EDGE('',*,*,#195015,.F.); #254899=ORIENTED_EDGE('',*,*,#195016,.T.); #254900=ORIENTED_EDGE('',*,*,#195015,.T.); #254901=ORIENTED_EDGE('',*,*,#195017,.F.); #254902=ORIENTED_EDGE('',*,*,#195018,.F.); #254903=ORIENTED_EDGE('',*,*,#195019,.T.); #254904=ORIENTED_EDGE('',*,*,#195018,.T.); #254905=ORIENTED_EDGE('',*,*,#195020,.F.); #254906=ORIENTED_EDGE('',*,*,#195021,.F.); #254907=ORIENTED_EDGE('',*,*,#195022,.T.); #254908=ORIENTED_EDGE('',*,*,#195021,.T.); #254909=ORIENTED_EDGE('',*,*,#195023,.F.); #254910=ORIENTED_EDGE('',*,*,#195024,.F.); #254911=ORIENTED_EDGE('',*,*,#195025,.T.); #254912=ORIENTED_EDGE('',*,*,#195024,.T.); #254913=ORIENTED_EDGE('',*,*,#195026,.F.); #254914=ORIENTED_EDGE('',*,*,#195027,.F.); #254915=ORIENTED_EDGE('',*,*,#195028,.T.); #254916=ORIENTED_EDGE('',*,*,#195027,.T.); #254917=ORIENTED_EDGE('',*,*,#195029,.F.); #254918=ORIENTED_EDGE('',*,*,#195030,.F.); #254919=ORIENTED_EDGE('',*,*,#195031,.T.); #254920=ORIENTED_EDGE('',*,*,#195030,.T.); #254921=ORIENTED_EDGE('',*,*,#195032,.F.); #254922=ORIENTED_EDGE('',*,*,#195033,.F.); #254923=ORIENTED_EDGE('',*,*,#195034,.T.); #254924=ORIENTED_EDGE('',*,*,#195033,.T.); #254925=ORIENTED_EDGE('',*,*,#195035,.F.); #254926=ORIENTED_EDGE('',*,*,#195036,.F.); #254927=ORIENTED_EDGE('',*,*,#195037,.T.); #254928=ORIENTED_EDGE('',*,*,#195036,.T.); #254929=ORIENTED_EDGE('',*,*,#195038,.F.); #254930=ORIENTED_EDGE('',*,*,#195039,.F.); #254931=ORIENTED_EDGE('',*,*,#195040,.T.); #254932=ORIENTED_EDGE('',*,*,#195039,.T.); #254933=ORIENTED_EDGE('',*,*,#195041,.F.); #254934=ORIENTED_EDGE('',*,*,#195042,.F.); #254935=ORIENTED_EDGE('',*,*,#195043,.T.); #254936=ORIENTED_EDGE('',*,*,#195042,.T.); #254937=ORIENTED_EDGE('',*,*,#195044,.F.); #254938=ORIENTED_EDGE('',*,*,#195045,.F.); #254939=ORIENTED_EDGE('',*,*,#195046,.T.); #254940=ORIENTED_EDGE('',*,*,#195045,.T.); #254941=ORIENTED_EDGE('',*,*,#195047,.F.); #254942=ORIENTED_EDGE('',*,*,#195048,.F.); #254943=ORIENTED_EDGE('',*,*,#195049,.T.); #254944=ORIENTED_EDGE('',*,*,#195048,.T.); #254945=ORIENTED_EDGE('',*,*,#195050,.F.); #254946=ORIENTED_EDGE('',*,*,#195051,.F.); #254947=ORIENTED_EDGE('',*,*,#195052,.T.); #254948=ORIENTED_EDGE('',*,*,#195051,.T.); #254949=ORIENTED_EDGE('',*,*,#195053,.F.); #254950=ORIENTED_EDGE('',*,*,#195054,.F.); #254951=ORIENTED_EDGE('',*,*,#195055,.T.); #254952=ORIENTED_EDGE('',*,*,#195054,.T.); #254953=ORIENTED_EDGE('',*,*,#195056,.F.); #254954=ORIENTED_EDGE('',*,*,#195057,.F.); #254955=ORIENTED_EDGE('',*,*,#195058,.T.); #254956=ORIENTED_EDGE('',*,*,#195057,.T.); #254957=ORIENTED_EDGE('',*,*,#195059,.F.); #254958=ORIENTED_EDGE('',*,*,#195060,.F.); #254959=ORIENTED_EDGE('',*,*,#195061,.T.); #254960=ORIENTED_EDGE('',*,*,#195060,.T.); #254961=ORIENTED_EDGE('',*,*,#195062,.F.); #254962=ORIENTED_EDGE('',*,*,#195063,.F.); #254963=ORIENTED_EDGE('',*,*,#195064,.T.); #254964=ORIENTED_EDGE('',*,*,#195063,.T.); #254965=ORIENTED_EDGE('',*,*,#195065,.F.); #254966=ORIENTED_EDGE('',*,*,#195066,.F.); #254967=ORIENTED_EDGE('',*,*,#195067,.T.); #254968=ORIENTED_EDGE('',*,*,#195066,.T.); #254969=ORIENTED_EDGE('',*,*,#195068,.F.); #254970=ORIENTED_EDGE('',*,*,#195069,.F.); #254971=ORIENTED_EDGE('',*,*,#195070,.T.); #254972=ORIENTED_EDGE('',*,*,#195069,.T.); #254973=ORIENTED_EDGE('',*,*,#195071,.F.); #254974=ORIENTED_EDGE('',*,*,#195072,.F.); #254975=ORIENTED_EDGE('',*,*,#195073,.T.); #254976=ORIENTED_EDGE('',*,*,#195072,.T.); #254977=ORIENTED_EDGE('',*,*,#195074,.F.); #254978=ORIENTED_EDGE('',*,*,#195075,.F.); #254979=ORIENTED_EDGE('',*,*,#195076,.T.); #254980=ORIENTED_EDGE('',*,*,#195075,.T.); #254981=ORIENTED_EDGE('',*,*,#195077,.F.); #254982=ORIENTED_EDGE('',*,*,#195078,.F.); #254983=ORIENTED_EDGE('',*,*,#195079,.T.); #254984=ORIENTED_EDGE('',*,*,#195078,.T.); #254985=ORIENTED_EDGE('',*,*,#195080,.F.); #254986=ORIENTED_EDGE('',*,*,#195081,.F.); #254987=ORIENTED_EDGE('',*,*,#195082,.T.); #254988=ORIENTED_EDGE('',*,*,#195081,.T.); #254989=ORIENTED_EDGE('',*,*,#195083,.F.); #254990=ORIENTED_EDGE('',*,*,#195084,.F.); #254991=ORIENTED_EDGE('',*,*,#195085,.T.); #254992=ORIENTED_EDGE('',*,*,#195084,.T.); #254993=ORIENTED_EDGE('',*,*,#195086,.F.); #254994=ORIENTED_EDGE('',*,*,#195087,.F.); #254995=ORIENTED_EDGE('',*,*,#195088,.T.); #254996=ORIENTED_EDGE('',*,*,#195087,.T.); #254997=ORIENTED_EDGE('',*,*,#195089,.F.); #254998=ORIENTED_EDGE('',*,*,#195090,.F.); #254999=ORIENTED_EDGE('',*,*,#195091,.T.); #255000=ORIENTED_EDGE('',*,*,#195090,.T.); #255001=ORIENTED_EDGE('',*,*,#195092,.F.); #255002=ORIENTED_EDGE('',*,*,#195093,.F.); #255003=ORIENTED_EDGE('',*,*,#195094,.T.); #255004=ORIENTED_EDGE('',*,*,#195093,.T.); #255005=ORIENTED_EDGE('',*,*,#195095,.F.); #255006=ORIENTED_EDGE('',*,*,#195096,.F.); #255007=ORIENTED_EDGE('',*,*,#195097,.T.); #255008=ORIENTED_EDGE('',*,*,#195096,.T.); #255009=ORIENTED_EDGE('',*,*,#195098,.F.); #255010=ORIENTED_EDGE('',*,*,#195099,.F.); #255011=ORIENTED_EDGE('',*,*,#195100,.T.); #255012=ORIENTED_EDGE('',*,*,#195099,.T.); #255013=ORIENTED_EDGE('',*,*,#195101,.F.); #255014=ORIENTED_EDGE('',*,*,#195102,.F.); #255015=ORIENTED_EDGE('',*,*,#195103,.T.); #255016=ORIENTED_EDGE('',*,*,#195102,.T.); #255017=ORIENTED_EDGE('',*,*,#195104,.F.); #255018=ORIENTED_EDGE('',*,*,#195105,.F.); #255019=ORIENTED_EDGE('',*,*,#195106,.T.); #255020=ORIENTED_EDGE('',*,*,#195105,.T.); #255021=ORIENTED_EDGE('',*,*,#195107,.F.); #255022=ORIENTED_EDGE('',*,*,#195108,.F.); #255023=ORIENTED_EDGE('',*,*,#195109,.T.); #255024=ORIENTED_EDGE('',*,*,#195108,.T.); #255025=ORIENTED_EDGE('',*,*,#195110,.F.); #255026=ORIENTED_EDGE('',*,*,#195111,.F.); #255027=ORIENTED_EDGE('',*,*,#195112,.T.); #255028=ORIENTED_EDGE('',*,*,#195111,.T.); #255029=ORIENTED_EDGE('',*,*,#195113,.F.); #255030=ORIENTED_EDGE('',*,*,#195114,.F.); #255031=ORIENTED_EDGE('',*,*,#195115,.T.); #255032=ORIENTED_EDGE('',*,*,#195114,.T.); #255033=ORIENTED_EDGE('',*,*,#195116,.F.); #255034=ORIENTED_EDGE('',*,*,#195117,.F.); #255035=ORIENTED_EDGE('',*,*,#195118,.T.); #255036=ORIENTED_EDGE('',*,*,#195117,.T.); #255037=ORIENTED_EDGE('',*,*,#195119,.F.); #255038=ORIENTED_EDGE('',*,*,#195120,.F.); #255039=ORIENTED_EDGE('',*,*,#195121,.T.); #255040=ORIENTED_EDGE('',*,*,#195120,.T.); #255041=ORIENTED_EDGE('',*,*,#195122,.F.); #255042=ORIENTED_EDGE('',*,*,#195123,.F.); #255043=ORIENTED_EDGE('',*,*,#195124,.T.); #255044=ORIENTED_EDGE('',*,*,#195123,.T.); #255045=ORIENTED_EDGE('',*,*,#195125,.F.); #255046=ORIENTED_EDGE('',*,*,#195126,.F.); #255047=ORIENTED_EDGE('',*,*,#195127,.T.); #255048=ORIENTED_EDGE('',*,*,#195126,.T.); #255049=ORIENTED_EDGE('',*,*,#195128,.F.); #255050=ORIENTED_EDGE('',*,*,#195129,.F.); #255051=ORIENTED_EDGE('',*,*,#195130,.T.); #255052=ORIENTED_EDGE('',*,*,#195129,.T.); #255053=ORIENTED_EDGE('',*,*,#195131,.F.); #255054=ORIENTED_EDGE('',*,*,#195132,.F.); #255055=ORIENTED_EDGE('',*,*,#195133,.T.); #255056=ORIENTED_EDGE('',*,*,#195132,.T.); #255057=ORIENTED_EDGE('',*,*,#195134,.F.); #255058=ORIENTED_EDGE('',*,*,#195135,.F.); #255059=ORIENTED_EDGE('',*,*,#195136,.T.); #255060=ORIENTED_EDGE('',*,*,#195135,.T.); #255061=ORIENTED_EDGE('',*,*,#195137,.F.); #255062=ORIENTED_EDGE('',*,*,#195138,.F.); #255063=ORIENTED_EDGE('',*,*,#195139,.T.); #255064=ORIENTED_EDGE('',*,*,#195138,.T.); #255065=ORIENTED_EDGE('',*,*,#195140,.F.); #255066=ORIENTED_EDGE('',*,*,#195141,.F.); #255067=ORIENTED_EDGE('',*,*,#195142,.T.); #255068=ORIENTED_EDGE('',*,*,#195141,.T.); #255069=ORIENTED_EDGE('',*,*,#195143,.F.); #255070=ORIENTED_EDGE('',*,*,#195144,.F.); #255071=ORIENTED_EDGE('',*,*,#195145,.T.); #255072=ORIENTED_EDGE('',*,*,#195144,.T.); #255073=ORIENTED_EDGE('',*,*,#195146,.F.); #255074=ORIENTED_EDGE('',*,*,#195147,.F.); #255075=ORIENTED_EDGE('',*,*,#195148,.T.); #255076=ORIENTED_EDGE('',*,*,#195147,.T.); #255077=ORIENTED_EDGE('',*,*,#195149,.F.); #255078=ORIENTED_EDGE('',*,*,#195150,.F.); #255079=ORIENTED_EDGE('',*,*,#195151,.T.); #255080=ORIENTED_EDGE('',*,*,#195150,.T.); #255081=ORIENTED_EDGE('',*,*,#195152,.F.); #255082=ORIENTED_EDGE('',*,*,#195153,.F.); #255083=ORIENTED_EDGE('',*,*,#195154,.T.); #255084=ORIENTED_EDGE('',*,*,#195153,.T.); #255085=ORIENTED_EDGE('',*,*,#195155,.F.); #255086=ORIENTED_EDGE('',*,*,#195156,.F.); #255087=ORIENTED_EDGE('',*,*,#195157,.T.); #255088=ORIENTED_EDGE('',*,*,#195156,.T.); #255089=ORIENTED_EDGE('',*,*,#195158,.F.); #255090=ORIENTED_EDGE('',*,*,#195159,.F.); #255091=ORIENTED_EDGE('',*,*,#195160,.T.); #255092=ORIENTED_EDGE('',*,*,#195159,.T.); #255093=ORIENTED_EDGE('',*,*,#195161,.F.); #255094=ORIENTED_EDGE('',*,*,#195162,.F.); #255095=ORIENTED_EDGE('',*,*,#195163,.T.); #255096=ORIENTED_EDGE('',*,*,#195162,.T.); #255097=ORIENTED_EDGE('',*,*,#195164,.F.); #255098=ORIENTED_EDGE('',*,*,#195165,.F.); #255099=ORIENTED_EDGE('',*,*,#195166,.T.); #255100=ORIENTED_EDGE('',*,*,#195165,.T.); #255101=ORIENTED_EDGE('',*,*,#195167,.F.); #255102=ORIENTED_EDGE('',*,*,#195168,.F.); #255103=ORIENTED_EDGE('',*,*,#195169,.T.); #255104=ORIENTED_EDGE('',*,*,#195168,.T.); #255105=ORIENTED_EDGE('',*,*,#195170,.F.); #255106=ORIENTED_EDGE('',*,*,#195171,.F.); #255107=ORIENTED_EDGE('',*,*,#195172,.T.); #255108=ORIENTED_EDGE('',*,*,#195171,.T.); #255109=ORIENTED_EDGE('',*,*,#195173,.F.); #255110=ORIENTED_EDGE('',*,*,#195174,.F.); #255111=ORIENTED_EDGE('',*,*,#195175,.T.); #255112=ORIENTED_EDGE('',*,*,#195174,.T.); #255113=ORIENTED_EDGE('',*,*,#195176,.F.); #255114=ORIENTED_EDGE('',*,*,#195177,.F.); #255115=ORIENTED_EDGE('',*,*,#195178,.T.); #255116=ORIENTED_EDGE('',*,*,#195177,.T.); #255117=ORIENTED_EDGE('',*,*,#195179,.F.); #255118=ORIENTED_EDGE('',*,*,#195180,.F.); #255119=ORIENTED_EDGE('',*,*,#195181,.T.); #255120=ORIENTED_EDGE('',*,*,#195180,.T.); #255121=ORIENTED_EDGE('',*,*,#195182,.F.); #255122=ORIENTED_EDGE('',*,*,#195183,.F.); #255123=ORIENTED_EDGE('',*,*,#195184,.T.); #255124=ORIENTED_EDGE('',*,*,#195183,.T.); #255125=ORIENTED_EDGE('',*,*,#195185,.F.); #255126=ORIENTED_EDGE('',*,*,#195186,.F.); #255127=ORIENTED_EDGE('',*,*,#195187,.T.); #255128=ORIENTED_EDGE('',*,*,#195186,.T.); #255129=ORIENTED_EDGE('',*,*,#195188,.F.); #255130=ORIENTED_EDGE('',*,*,#195189,.F.); #255131=ORIENTED_EDGE('',*,*,#195190,.T.); #255132=ORIENTED_EDGE('',*,*,#195189,.T.); #255133=ORIENTED_EDGE('',*,*,#195191,.F.); #255134=ORIENTED_EDGE('',*,*,#195192,.F.); #255135=ORIENTED_EDGE('',*,*,#195193,.T.); #255136=ORIENTED_EDGE('',*,*,#195192,.T.); #255137=ORIENTED_EDGE('',*,*,#195194,.F.); #255138=ORIENTED_EDGE('',*,*,#195195,.F.); #255139=ORIENTED_EDGE('',*,*,#195196,.T.); #255140=ORIENTED_EDGE('',*,*,#195195,.T.); #255141=ORIENTED_EDGE('',*,*,#195197,.F.); #255142=ORIENTED_EDGE('',*,*,#195198,.F.); #255143=ORIENTED_EDGE('',*,*,#195199,.T.); #255144=ORIENTED_EDGE('',*,*,#195198,.T.); #255145=ORIENTED_EDGE('',*,*,#195200,.F.); #255146=ORIENTED_EDGE('',*,*,#195201,.F.); #255147=ORIENTED_EDGE('',*,*,#195202,.T.); #255148=ORIENTED_EDGE('',*,*,#195201,.T.); #255149=ORIENTED_EDGE('',*,*,#195203,.F.); #255150=ORIENTED_EDGE('',*,*,#195204,.F.); #255151=ORIENTED_EDGE('',*,*,#195205,.T.); #255152=ORIENTED_EDGE('',*,*,#195204,.T.); #255153=ORIENTED_EDGE('',*,*,#195206,.F.); #255154=ORIENTED_EDGE('',*,*,#195207,.F.); #255155=ORIENTED_EDGE('',*,*,#195208,.T.); #255156=ORIENTED_EDGE('',*,*,#195207,.T.); #255157=ORIENTED_EDGE('',*,*,#195209,.F.); #255158=ORIENTED_EDGE('',*,*,#195210,.F.); #255159=ORIENTED_EDGE('',*,*,#195211,.T.); #255160=ORIENTED_EDGE('',*,*,#195210,.T.); #255161=ORIENTED_EDGE('',*,*,#195212,.F.); #255162=ORIENTED_EDGE('',*,*,#195213,.F.); #255163=ORIENTED_EDGE('',*,*,#195214,.T.); #255164=ORIENTED_EDGE('',*,*,#195213,.T.); #255165=ORIENTED_EDGE('',*,*,#195215,.F.); #255166=ORIENTED_EDGE('',*,*,#195216,.F.); #255167=ORIENTED_EDGE('',*,*,#195217,.T.); #255168=ORIENTED_EDGE('',*,*,#195216,.T.); #255169=ORIENTED_EDGE('',*,*,#195218,.F.); #255170=ORIENTED_EDGE('',*,*,#195219,.F.); #255171=ORIENTED_EDGE('',*,*,#195220,.T.); #255172=ORIENTED_EDGE('',*,*,#195219,.T.); #255173=ORIENTED_EDGE('',*,*,#195221,.F.); #255174=ORIENTED_EDGE('',*,*,#195222,.F.); #255175=ORIENTED_EDGE('',*,*,#195223,.T.); #255176=ORIENTED_EDGE('',*,*,#195222,.T.); #255177=ORIENTED_EDGE('',*,*,#195224,.F.); #255178=ORIENTED_EDGE('',*,*,#195225,.F.); #255179=ORIENTED_EDGE('',*,*,#195226,.T.); #255180=ORIENTED_EDGE('',*,*,#195225,.T.); #255181=ORIENTED_EDGE('',*,*,#195227,.F.); #255182=ORIENTED_EDGE('',*,*,#195228,.F.); #255183=ORIENTED_EDGE('',*,*,#195229,.T.); #255184=ORIENTED_EDGE('',*,*,#195228,.T.); #255185=ORIENTED_EDGE('',*,*,#195230,.F.); #255186=ORIENTED_EDGE('',*,*,#195231,.F.); #255187=ORIENTED_EDGE('',*,*,#195232,.T.); #255188=ORIENTED_EDGE('',*,*,#195231,.T.); #255189=ORIENTED_EDGE('',*,*,#195233,.F.); #255190=ORIENTED_EDGE('',*,*,#195234,.F.); #255191=ORIENTED_EDGE('',*,*,#195235,.T.); #255192=ORIENTED_EDGE('',*,*,#195234,.T.); #255193=ORIENTED_EDGE('',*,*,#195236,.F.); #255194=ORIENTED_EDGE('',*,*,#195237,.F.); #255195=ORIENTED_EDGE('',*,*,#195238,.T.); #255196=ORIENTED_EDGE('',*,*,#195237,.T.); #255197=ORIENTED_EDGE('',*,*,#195239,.F.); #255198=ORIENTED_EDGE('',*,*,#195240,.F.); #255199=ORIENTED_EDGE('',*,*,#195241,.T.); #255200=ORIENTED_EDGE('',*,*,#195240,.T.); #255201=ORIENTED_EDGE('',*,*,#195242,.F.); #255202=ORIENTED_EDGE('',*,*,#195243,.F.); #255203=ORIENTED_EDGE('',*,*,#195244,.T.); #255204=ORIENTED_EDGE('',*,*,#195243,.T.); #255205=ORIENTED_EDGE('',*,*,#195245,.F.); #255206=ORIENTED_EDGE('',*,*,#195246,.F.); #255207=ORIENTED_EDGE('',*,*,#195247,.T.); #255208=ORIENTED_EDGE('',*,*,#195246,.T.); #255209=ORIENTED_EDGE('',*,*,#195248,.F.); #255210=ORIENTED_EDGE('',*,*,#195249,.F.); #255211=ORIENTED_EDGE('',*,*,#195250,.T.); #255212=ORIENTED_EDGE('',*,*,#195249,.T.); #255213=ORIENTED_EDGE('',*,*,#195251,.F.); #255214=ORIENTED_EDGE('',*,*,#195252,.F.); #255215=ORIENTED_EDGE('',*,*,#195253,.T.); #255216=ORIENTED_EDGE('',*,*,#195252,.T.); #255217=ORIENTED_EDGE('',*,*,#195254,.F.); #255218=ORIENTED_EDGE('',*,*,#195255,.F.); #255219=ORIENTED_EDGE('',*,*,#195256,.T.); #255220=ORIENTED_EDGE('',*,*,#195255,.T.); #255221=ORIENTED_EDGE('',*,*,#195257,.F.); #255222=ORIENTED_EDGE('',*,*,#195258,.F.); #255223=ORIENTED_EDGE('',*,*,#195259,.T.); #255224=ORIENTED_EDGE('',*,*,#195258,.T.); #255225=ORIENTED_EDGE('',*,*,#195260,.F.); #255226=ORIENTED_EDGE('',*,*,#195261,.F.); #255227=ORIENTED_EDGE('',*,*,#195262,.T.); #255228=ORIENTED_EDGE('',*,*,#195261,.T.); #255229=ORIENTED_EDGE('',*,*,#195263,.F.); #255230=ORIENTED_EDGE('',*,*,#195264,.F.); #255231=ORIENTED_EDGE('',*,*,#195265,.T.); #255232=ORIENTED_EDGE('',*,*,#195264,.T.); #255233=ORIENTED_EDGE('',*,*,#195266,.F.); #255234=ORIENTED_EDGE('',*,*,#195267,.F.); #255235=ORIENTED_EDGE('',*,*,#195268,.T.); #255236=ORIENTED_EDGE('',*,*,#195267,.T.); #255237=ORIENTED_EDGE('',*,*,#195269,.F.); #255238=ORIENTED_EDGE('',*,*,#195270,.F.); #255239=ORIENTED_EDGE('',*,*,#195271,.T.); #255240=ORIENTED_EDGE('',*,*,#195270,.T.); #255241=ORIENTED_EDGE('',*,*,#195272,.F.); #255242=ORIENTED_EDGE('',*,*,#195273,.F.); #255243=ORIENTED_EDGE('',*,*,#195274,.T.); #255244=ORIENTED_EDGE('',*,*,#195273,.T.); #255245=ORIENTED_EDGE('',*,*,#195275,.F.); #255246=ORIENTED_EDGE('',*,*,#195276,.F.); #255247=ORIENTED_EDGE('',*,*,#195277,.T.); #255248=ORIENTED_EDGE('',*,*,#195276,.T.); #255249=ORIENTED_EDGE('',*,*,#195278,.F.); #255250=ORIENTED_EDGE('',*,*,#195279,.F.); #255251=ORIENTED_EDGE('',*,*,#195280,.T.); #255252=ORIENTED_EDGE('',*,*,#195279,.T.); #255253=ORIENTED_EDGE('',*,*,#195281,.F.); #255254=ORIENTED_EDGE('',*,*,#195282,.F.); #255255=ORIENTED_EDGE('',*,*,#195283,.T.); #255256=ORIENTED_EDGE('',*,*,#195282,.T.); #255257=ORIENTED_EDGE('',*,*,#195284,.F.); #255258=ORIENTED_EDGE('',*,*,#195285,.F.); #255259=ORIENTED_EDGE('',*,*,#195286,.T.); #255260=ORIENTED_EDGE('',*,*,#195285,.T.); #255261=ORIENTED_EDGE('',*,*,#195287,.F.); #255262=ORIENTED_EDGE('',*,*,#195288,.F.); #255263=ORIENTED_EDGE('',*,*,#195289,.T.); #255264=ORIENTED_EDGE('',*,*,#195288,.T.); #255265=ORIENTED_EDGE('',*,*,#195290,.F.); #255266=ORIENTED_EDGE('',*,*,#195291,.F.); #255267=ORIENTED_EDGE('',*,*,#195292,.T.); #255268=ORIENTED_EDGE('',*,*,#195291,.T.); #255269=ORIENTED_EDGE('',*,*,#195293,.F.); #255270=ORIENTED_EDGE('',*,*,#195294,.F.); #255271=ORIENTED_EDGE('',*,*,#195295,.T.); #255272=ORIENTED_EDGE('',*,*,#195294,.T.); #255273=ORIENTED_EDGE('',*,*,#195296,.F.); #255274=ORIENTED_EDGE('',*,*,#195297,.F.); #255275=ORIENTED_EDGE('',*,*,#195298,.T.); #255276=ORIENTED_EDGE('',*,*,#195297,.T.); #255277=ORIENTED_EDGE('',*,*,#195299,.F.); #255278=ORIENTED_EDGE('',*,*,#195300,.F.); #255279=ORIENTED_EDGE('',*,*,#195301,.T.); #255280=ORIENTED_EDGE('',*,*,#195300,.T.); #255281=ORIENTED_EDGE('',*,*,#195302,.F.); #255282=ORIENTED_EDGE('',*,*,#195303,.F.); #255283=ORIENTED_EDGE('',*,*,#195304,.T.); #255284=ORIENTED_EDGE('',*,*,#195303,.T.); #255285=ORIENTED_EDGE('',*,*,#195305,.F.); #255286=ORIENTED_EDGE('',*,*,#195306,.F.); #255287=ORIENTED_EDGE('',*,*,#195307,.T.); #255288=ORIENTED_EDGE('',*,*,#195306,.T.); #255289=ORIENTED_EDGE('',*,*,#195308,.F.); #255290=ORIENTED_EDGE('',*,*,#195309,.F.); #255291=ORIENTED_EDGE('',*,*,#195310,.T.); #255292=ORIENTED_EDGE('',*,*,#195309,.T.); #255293=ORIENTED_EDGE('',*,*,#195311,.F.); #255294=ORIENTED_EDGE('',*,*,#195312,.F.); #255295=ORIENTED_EDGE('',*,*,#195313,.T.); #255296=ORIENTED_EDGE('',*,*,#195312,.T.); #255297=ORIENTED_EDGE('',*,*,#195314,.F.); #255298=ORIENTED_EDGE('',*,*,#195315,.F.); #255299=ORIENTED_EDGE('',*,*,#195316,.T.); #255300=ORIENTED_EDGE('',*,*,#195315,.T.); #255301=ORIENTED_EDGE('',*,*,#195317,.F.); #255302=ORIENTED_EDGE('',*,*,#195318,.F.); #255303=ORIENTED_EDGE('',*,*,#195319,.T.); #255304=ORIENTED_EDGE('',*,*,#195318,.T.); #255305=ORIENTED_EDGE('',*,*,#195320,.F.); #255306=ORIENTED_EDGE('',*,*,#195321,.F.); #255307=ORIENTED_EDGE('',*,*,#195322,.T.); #255308=ORIENTED_EDGE('',*,*,#195321,.T.); #255309=ORIENTED_EDGE('',*,*,#195323,.F.); #255310=ORIENTED_EDGE('',*,*,#195324,.F.); #255311=ORIENTED_EDGE('',*,*,#195325,.T.); #255312=ORIENTED_EDGE('',*,*,#195324,.T.); #255313=ORIENTED_EDGE('',*,*,#195326,.F.); #255314=ORIENTED_EDGE('',*,*,#194971,.F.); #255315=ORIENTED_EDGE('',*,*,#195327,.T.); #255316=ORIENTED_EDGE('',*,*,#195328,.T.); #255317=ORIENTED_EDGE('',*,*,#195329,.F.); #255318=ORIENTED_EDGE('',*,*,#195330,.F.); #255319=ORIENTED_EDGE('',*,*,#195331,.T.); #255320=ORIENTED_EDGE('',*,*,#195330,.T.); #255321=ORIENTED_EDGE('',*,*,#195332,.F.); #255322=ORIENTED_EDGE('',*,*,#195333,.F.); #255323=ORIENTED_EDGE('',*,*,#195334,.T.); #255324=ORIENTED_EDGE('',*,*,#195333,.T.); #255325=ORIENTED_EDGE('',*,*,#195335,.F.); #255326=ORIENTED_EDGE('',*,*,#195336,.F.); #255327=ORIENTED_EDGE('',*,*,#195337,.T.); #255328=ORIENTED_EDGE('',*,*,#195336,.T.); #255329=ORIENTED_EDGE('',*,*,#195338,.F.); #255330=ORIENTED_EDGE('',*,*,#195339,.F.); #255331=ORIENTED_EDGE('',*,*,#195340,.T.); #255332=ORIENTED_EDGE('',*,*,#195339,.T.); #255333=ORIENTED_EDGE('',*,*,#195341,.F.); #255334=ORIENTED_EDGE('',*,*,#195342,.F.); #255335=ORIENTED_EDGE('',*,*,#195343,.T.); #255336=ORIENTED_EDGE('',*,*,#195342,.T.); #255337=ORIENTED_EDGE('',*,*,#195344,.F.); #255338=ORIENTED_EDGE('',*,*,#195345,.F.); #255339=ORIENTED_EDGE('',*,*,#195346,.T.); #255340=ORIENTED_EDGE('',*,*,#195345,.T.); #255341=ORIENTED_EDGE('',*,*,#195347,.F.); #255342=ORIENTED_EDGE('',*,*,#195348,.F.); #255343=ORIENTED_EDGE('',*,*,#195349,.T.); #255344=ORIENTED_EDGE('',*,*,#195348,.T.); #255345=ORIENTED_EDGE('',*,*,#195350,.F.); #255346=ORIENTED_EDGE('',*,*,#195328,.F.); #255347=ORIENTED_EDGE('',*,*,#195351,.T.); #255348=ORIENTED_EDGE('',*,*,#195352,.T.); #255349=ORIENTED_EDGE('',*,*,#195353,.F.); #255350=ORIENTED_EDGE('',*,*,#195354,.F.); #255351=ORIENTED_EDGE('',*,*,#195355,.T.); #255352=ORIENTED_EDGE('',*,*,#195354,.T.); #255353=ORIENTED_EDGE('',*,*,#195356,.F.); #255354=ORIENTED_EDGE('',*,*,#195357,.F.); #255355=ORIENTED_EDGE('',*,*,#195358,.T.); #255356=ORIENTED_EDGE('',*,*,#195357,.T.); #255357=ORIENTED_EDGE('',*,*,#195359,.F.); #255358=ORIENTED_EDGE('',*,*,#195360,.F.); #255359=ORIENTED_EDGE('',*,*,#195361,.T.); #255360=ORIENTED_EDGE('',*,*,#195360,.T.); #255361=ORIENTED_EDGE('',*,*,#195362,.F.); #255362=ORIENTED_EDGE('',*,*,#195363,.F.); #255363=ORIENTED_EDGE('',*,*,#195364,.T.); #255364=ORIENTED_EDGE('',*,*,#195363,.T.); #255365=ORIENTED_EDGE('',*,*,#195365,.F.); #255366=ORIENTED_EDGE('',*,*,#195366,.F.); #255367=ORIENTED_EDGE('',*,*,#195367,.T.); #255368=ORIENTED_EDGE('',*,*,#195366,.T.); #255369=ORIENTED_EDGE('',*,*,#195368,.F.); #255370=ORIENTED_EDGE('',*,*,#195369,.F.); #255371=ORIENTED_EDGE('',*,*,#195370,.T.); #255372=ORIENTED_EDGE('',*,*,#195369,.T.); #255373=ORIENTED_EDGE('',*,*,#195371,.F.); #255374=ORIENTED_EDGE('',*,*,#195372,.F.); #255375=ORIENTED_EDGE('',*,*,#195373,.T.); #255376=ORIENTED_EDGE('',*,*,#195372,.T.); #255377=ORIENTED_EDGE('',*,*,#195374,.F.); #255378=ORIENTED_EDGE('',*,*,#195375,.F.); #255379=ORIENTED_EDGE('',*,*,#195376,.T.); #255380=ORIENTED_EDGE('',*,*,#195375,.T.); #255381=ORIENTED_EDGE('',*,*,#195377,.F.); #255382=ORIENTED_EDGE('',*,*,#195378,.F.); #255383=ORIENTED_EDGE('',*,*,#195379,.T.); #255384=ORIENTED_EDGE('',*,*,#195378,.T.); #255385=ORIENTED_EDGE('',*,*,#195380,.F.); #255386=ORIENTED_EDGE('',*,*,#195381,.F.); #255387=ORIENTED_EDGE('',*,*,#195382,.T.); #255388=ORIENTED_EDGE('',*,*,#195381,.T.); #255389=ORIENTED_EDGE('',*,*,#195383,.F.); #255390=ORIENTED_EDGE('',*,*,#195384,.F.); #255391=ORIENTED_EDGE('',*,*,#195385,.T.); #255392=ORIENTED_EDGE('',*,*,#195384,.T.); #255393=ORIENTED_EDGE('',*,*,#195386,.F.); #255394=ORIENTED_EDGE('',*,*,#195387,.F.); #255395=ORIENTED_EDGE('',*,*,#195388,.T.); #255396=ORIENTED_EDGE('',*,*,#195387,.T.); #255397=ORIENTED_EDGE('',*,*,#195389,.F.); #255398=ORIENTED_EDGE('',*,*,#195390,.F.); #255399=ORIENTED_EDGE('',*,*,#195391,.T.); #255400=ORIENTED_EDGE('',*,*,#195390,.T.); #255401=ORIENTED_EDGE('',*,*,#195392,.F.); #255402=ORIENTED_EDGE('',*,*,#195393,.F.); #255403=ORIENTED_EDGE('',*,*,#195394,.T.); #255404=ORIENTED_EDGE('',*,*,#195393,.T.); #255405=ORIENTED_EDGE('',*,*,#195395,.F.); #255406=ORIENTED_EDGE('',*,*,#195396,.F.); #255407=ORIENTED_EDGE('',*,*,#195397,.T.); #255408=ORIENTED_EDGE('',*,*,#195396,.T.); #255409=ORIENTED_EDGE('',*,*,#195398,.F.); #255410=ORIENTED_EDGE('',*,*,#195399,.F.); #255411=ORIENTED_EDGE('',*,*,#195400,.T.); #255412=ORIENTED_EDGE('',*,*,#195399,.T.); #255413=ORIENTED_EDGE('',*,*,#195401,.F.); #255414=ORIENTED_EDGE('',*,*,#195402,.F.); #255415=ORIENTED_EDGE('',*,*,#195403,.T.); #255416=ORIENTED_EDGE('',*,*,#195402,.T.); #255417=ORIENTED_EDGE('',*,*,#195404,.F.); #255418=ORIENTED_EDGE('',*,*,#195405,.F.); #255419=ORIENTED_EDGE('',*,*,#195406,.T.); #255420=ORIENTED_EDGE('',*,*,#195405,.T.); #255421=ORIENTED_EDGE('',*,*,#195407,.F.); #255422=ORIENTED_EDGE('',*,*,#195408,.F.); #255423=ORIENTED_EDGE('',*,*,#195409,.T.); #255424=ORIENTED_EDGE('',*,*,#195408,.T.); #255425=ORIENTED_EDGE('',*,*,#195410,.F.); #255426=ORIENTED_EDGE('',*,*,#195411,.F.); #255427=ORIENTED_EDGE('',*,*,#195412,.T.); #255428=ORIENTED_EDGE('',*,*,#195411,.T.); #255429=ORIENTED_EDGE('',*,*,#195413,.F.); #255430=ORIENTED_EDGE('',*,*,#195414,.F.); #255431=ORIENTED_EDGE('',*,*,#195415,.T.); #255432=ORIENTED_EDGE('',*,*,#195414,.T.); #255433=ORIENTED_EDGE('',*,*,#195416,.F.); #255434=ORIENTED_EDGE('',*,*,#195417,.F.); #255435=ORIENTED_EDGE('',*,*,#195418,.T.); #255436=ORIENTED_EDGE('',*,*,#195417,.T.); #255437=ORIENTED_EDGE('',*,*,#195419,.F.); #255438=ORIENTED_EDGE('',*,*,#195420,.F.); #255439=ORIENTED_EDGE('',*,*,#195421,.T.); #255440=ORIENTED_EDGE('',*,*,#195420,.T.); #255441=ORIENTED_EDGE('',*,*,#195422,.F.); #255442=ORIENTED_EDGE('',*,*,#195423,.F.); #255443=ORIENTED_EDGE('',*,*,#195424,.T.); #255444=ORIENTED_EDGE('',*,*,#195423,.T.); #255445=ORIENTED_EDGE('',*,*,#195425,.F.); #255446=ORIENTED_EDGE('',*,*,#195426,.F.); #255447=ORIENTED_EDGE('',*,*,#195427,.T.); #255448=ORIENTED_EDGE('',*,*,#195426,.T.); #255449=ORIENTED_EDGE('',*,*,#195428,.F.); #255450=ORIENTED_EDGE('',*,*,#195429,.F.); #255451=ORIENTED_EDGE('',*,*,#195430,.T.); #255452=ORIENTED_EDGE('',*,*,#195429,.T.); #255453=ORIENTED_EDGE('',*,*,#195431,.F.); #255454=ORIENTED_EDGE('',*,*,#195432,.F.); #255455=ORIENTED_EDGE('',*,*,#195433,.T.); #255456=ORIENTED_EDGE('',*,*,#195432,.T.); #255457=ORIENTED_EDGE('',*,*,#195434,.F.); #255458=ORIENTED_EDGE('',*,*,#195435,.F.); #255459=ORIENTED_EDGE('',*,*,#195436,.T.); #255460=ORIENTED_EDGE('',*,*,#195435,.T.); #255461=ORIENTED_EDGE('',*,*,#195437,.F.); #255462=ORIENTED_EDGE('',*,*,#195438,.F.); #255463=ORIENTED_EDGE('',*,*,#195439,.T.); #255464=ORIENTED_EDGE('',*,*,#195438,.T.); #255465=ORIENTED_EDGE('',*,*,#195440,.F.); #255466=ORIENTED_EDGE('',*,*,#195441,.F.); #255467=ORIENTED_EDGE('',*,*,#195442,.T.); #255468=ORIENTED_EDGE('',*,*,#195441,.T.); #255469=ORIENTED_EDGE('',*,*,#195443,.F.); #255470=ORIENTED_EDGE('',*,*,#195444,.F.); #255471=ORIENTED_EDGE('',*,*,#195445,.T.); #255472=ORIENTED_EDGE('',*,*,#195444,.T.); #255473=ORIENTED_EDGE('',*,*,#195446,.F.); #255474=ORIENTED_EDGE('',*,*,#195447,.F.); #255475=ORIENTED_EDGE('',*,*,#195448,.T.); #255476=ORIENTED_EDGE('',*,*,#195447,.T.); #255477=ORIENTED_EDGE('',*,*,#195449,.F.); #255478=ORIENTED_EDGE('',*,*,#195450,.F.); #255479=ORIENTED_EDGE('',*,*,#195451,.T.); #255480=ORIENTED_EDGE('',*,*,#195450,.T.); #255481=ORIENTED_EDGE('',*,*,#195452,.F.); #255482=ORIENTED_EDGE('',*,*,#195453,.F.); #255483=ORIENTED_EDGE('',*,*,#195454,.T.); #255484=ORIENTED_EDGE('',*,*,#195453,.T.); #255485=ORIENTED_EDGE('',*,*,#195455,.F.); #255486=ORIENTED_EDGE('',*,*,#195456,.F.); #255487=ORIENTED_EDGE('',*,*,#195457,.T.); #255488=ORIENTED_EDGE('',*,*,#195456,.T.); #255489=ORIENTED_EDGE('',*,*,#195458,.F.); #255490=ORIENTED_EDGE('',*,*,#195459,.F.); #255491=ORIENTED_EDGE('',*,*,#195460,.T.); #255492=ORIENTED_EDGE('',*,*,#195459,.T.); #255493=ORIENTED_EDGE('',*,*,#195461,.F.); #255494=ORIENTED_EDGE('',*,*,#195462,.F.); #255495=ORIENTED_EDGE('',*,*,#195463,.T.); #255496=ORIENTED_EDGE('',*,*,#195462,.T.); #255497=ORIENTED_EDGE('',*,*,#195464,.F.); #255498=ORIENTED_EDGE('',*,*,#195465,.F.); #255499=ORIENTED_EDGE('',*,*,#195466,.T.); #255500=ORIENTED_EDGE('',*,*,#195465,.T.); #255501=ORIENTED_EDGE('',*,*,#195467,.F.); #255502=ORIENTED_EDGE('',*,*,#195468,.F.); #255503=ORIENTED_EDGE('',*,*,#195469,.T.); #255504=ORIENTED_EDGE('',*,*,#195468,.T.); #255505=ORIENTED_EDGE('',*,*,#195470,.F.); #255506=ORIENTED_EDGE('',*,*,#195471,.F.); #255507=ORIENTED_EDGE('',*,*,#195472,.T.); #255508=ORIENTED_EDGE('',*,*,#195471,.T.); #255509=ORIENTED_EDGE('',*,*,#195473,.F.); #255510=ORIENTED_EDGE('',*,*,#195474,.F.); #255511=ORIENTED_EDGE('',*,*,#195475,.T.); #255512=ORIENTED_EDGE('',*,*,#195474,.T.); #255513=ORIENTED_EDGE('',*,*,#195476,.F.); #255514=ORIENTED_EDGE('',*,*,#195477,.F.); #255515=ORIENTED_EDGE('',*,*,#195478,.T.); #255516=ORIENTED_EDGE('',*,*,#195477,.T.); #255517=ORIENTED_EDGE('',*,*,#195479,.F.); #255518=ORIENTED_EDGE('',*,*,#195480,.F.); #255519=ORIENTED_EDGE('',*,*,#195481,.T.); #255520=ORIENTED_EDGE('',*,*,#195480,.T.); #255521=ORIENTED_EDGE('',*,*,#195482,.F.); #255522=ORIENTED_EDGE('',*,*,#195483,.F.); #255523=ORIENTED_EDGE('',*,*,#195484,.T.); #255524=ORIENTED_EDGE('',*,*,#195483,.T.); #255525=ORIENTED_EDGE('',*,*,#195485,.F.); #255526=ORIENTED_EDGE('',*,*,#195486,.F.); #255527=ORIENTED_EDGE('',*,*,#195487,.T.); #255528=ORIENTED_EDGE('',*,*,#195486,.T.); #255529=ORIENTED_EDGE('',*,*,#195488,.F.); #255530=ORIENTED_EDGE('',*,*,#195489,.F.); #255531=ORIENTED_EDGE('',*,*,#195490,.T.); #255532=ORIENTED_EDGE('',*,*,#195489,.T.); #255533=ORIENTED_EDGE('',*,*,#195491,.F.); #255534=ORIENTED_EDGE('',*,*,#195492,.F.); #255535=ORIENTED_EDGE('',*,*,#195493,.T.); #255536=ORIENTED_EDGE('',*,*,#195492,.T.); #255537=ORIENTED_EDGE('',*,*,#195494,.F.); #255538=ORIENTED_EDGE('',*,*,#195495,.F.); #255539=ORIENTED_EDGE('',*,*,#195496,.T.); #255540=ORIENTED_EDGE('',*,*,#195495,.T.); #255541=ORIENTED_EDGE('',*,*,#195497,.F.); #255542=ORIENTED_EDGE('',*,*,#195498,.F.); #255543=ORIENTED_EDGE('',*,*,#195499,.T.); #255544=ORIENTED_EDGE('',*,*,#195498,.T.); #255545=ORIENTED_EDGE('',*,*,#195500,.F.); #255546=ORIENTED_EDGE('',*,*,#195501,.F.); #255547=ORIENTED_EDGE('',*,*,#195502,.T.); #255548=ORIENTED_EDGE('',*,*,#195501,.T.); #255549=ORIENTED_EDGE('',*,*,#195503,.F.); #255550=ORIENTED_EDGE('',*,*,#195504,.F.); #255551=ORIENTED_EDGE('',*,*,#195505,.T.); #255552=ORIENTED_EDGE('',*,*,#195504,.T.); #255553=ORIENTED_EDGE('',*,*,#195506,.F.); #255554=ORIENTED_EDGE('',*,*,#195507,.F.); #255555=ORIENTED_EDGE('',*,*,#195508,.T.); #255556=ORIENTED_EDGE('',*,*,#195507,.T.); #255557=ORIENTED_EDGE('',*,*,#195509,.F.); #255558=ORIENTED_EDGE('',*,*,#195510,.F.); #255559=ORIENTED_EDGE('',*,*,#195511,.T.); #255560=ORIENTED_EDGE('',*,*,#195510,.T.); #255561=ORIENTED_EDGE('',*,*,#195512,.F.); #255562=ORIENTED_EDGE('',*,*,#195513,.F.); #255563=ORIENTED_EDGE('',*,*,#195514,.T.); #255564=ORIENTED_EDGE('',*,*,#195513,.T.); #255565=ORIENTED_EDGE('',*,*,#195515,.F.); #255566=ORIENTED_EDGE('',*,*,#195516,.F.); #255567=ORIENTED_EDGE('',*,*,#195517,.T.); #255568=ORIENTED_EDGE('',*,*,#195516,.T.); #255569=ORIENTED_EDGE('',*,*,#195518,.F.); #255570=ORIENTED_EDGE('',*,*,#195519,.F.); #255571=ORIENTED_EDGE('',*,*,#195520,.T.); #255572=ORIENTED_EDGE('',*,*,#195519,.T.); #255573=ORIENTED_EDGE('',*,*,#195521,.F.); #255574=ORIENTED_EDGE('',*,*,#195522,.F.); #255575=ORIENTED_EDGE('',*,*,#195523,.T.); #255576=ORIENTED_EDGE('',*,*,#195522,.T.); #255577=ORIENTED_EDGE('',*,*,#195524,.F.); #255578=ORIENTED_EDGE('',*,*,#195525,.F.); #255579=ORIENTED_EDGE('',*,*,#195526,.T.); #255580=ORIENTED_EDGE('',*,*,#195525,.T.); #255581=ORIENTED_EDGE('',*,*,#195527,.F.); #255582=ORIENTED_EDGE('',*,*,#195528,.F.); #255583=ORIENTED_EDGE('',*,*,#195529,.T.); #255584=ORIENTED_EDGE('',*,*,#195528,.T.); #255585=ORIENTED_EDGE('',*,*,#195530,.F.); #255586=ORIENTED_EDGE('',*,*,#195531,.F.); #255587=ORIENTED_EDGE('',*,*,#195532,.T.); #255588=ORIENTED_EDGE('',*,*,#195531,.T.); #255589=ORIENTED_EDGE('',*,*,#195533,.F.); #255590=ORIENTED_EDGE('',*,*,#195534,.F.); #255591=ORIENTED_EDGE('',*,*,#195535,.T.); #255592=ORIENTED_EDGE('',*,*,#195534,.T.); #255593=ORIENTED_EDGE('',*,*,#195536,.F.); #255594=ORIENTED_EDGE('',*,*,#195537,.F.); #255595=ORIENTED_EDGE('',*,*,#195538,.T.); #255596=ORIENTED_EDGE('',*,*,#195537,.T.); #255597=ORIENTED_EDGE('',*,*,#195539,.F.); #255598=ORIENTED_EDGE('',*,*,#195540,.F.); #255599=ORIENTED_EDGE('',*,*,#195541,.T.); #255600=ORIENTED_EDGE('',*,*,#195540,.T.); #255601=ORIENTED_EDGE('',*,*,#195542,.F.); #255602=ORIENTED_EDGE('',*,*,#195543,.F.); #255603=ORIENTED_EDGE('',*,*,#195544,.T.); #255604=ORIENTED_EDGE('',*,*,#195543,.T.); #255605=ORIENTED_EDGE('',*,*,#195545,.F.); #255606=ORIENTED_EDGE('',*,*,#195546,.F.); #255607=ORIENTED_EDGE('',*,*,#195547,.T.); #255608=ORIENTED_EDGE('',*,*,#195546,.T.); #255609=ORIENTED_EDGE('',*,*,#195548,.F.); #255610=ORIENTED_EDGE('',*,*,#195549,.F.); #255611=ORIENTED_EDGE('',*,*,#195550,.T.); #255612=ORIENTED_EDGE('',*,*,#195549,.T.); #255613=ORIENTED_EDGE('',*,*,#195551,.F.); #255614=ORIENTED_EDGE('',*,*,#195552,.F.); #255615=ORIENTED_EDGE('',*,*,#195553,.T.); #255616=ORIENTED_EDGE('',*,*,#195552,.T.); #255617=ORIENTED_EDGE('',*,*,#195554,.F.); #255618=ORIENTED_EDGE('',*,*,#195555,.F.); #255619=ORIENTED_EDGE('',*,*,#195556,.T.); #255620=ORIENTED_EDGE('',*,*,#195555,.T.); #255621=ORIENTED_EDGE('',*,*,#195557,.F.); #255622=ORIENTED_EDGE('',*,*,#195558,.F.); #255623=ORIENTED_EDGE('',*,*,#195559,.T.); #255624=ORIENTED_EDGE('',*,*,#195558,.T.); #255625=ORIENTED_EDGE('',*,*,#195560,.F.); #255626=ORIENTED_EDGE('',*,*,#195561,.F.); #255627=ORIENTED_EDGE('',*,*,#195562,.T.); #255628=ORIENTED_EDGE('',*,*,#195561,.T.); #255629=ORIENTED_EDGE('',*,*,#195563,.F.); #255630=ORIENTED_EDGE('',*,*,#195564,.F.); #255631=ORIENTED_EDGE('',*,*,#195565,.T.); #255632=ORIENTED_EDGE('',*,*,#195564,.T.); #255633=ORIENTED_EDGE('',*,*,#195566,.F.); #255634=ORIENTED_EDGE('',*,*,#195567,.F.); #255635=ORIENTED_EDGE('',*,*,#195568,.T.); #255636=ORIENTED_EDGE('',*,*,#195567,.T.); #255637=ORIENTED_EDGE('',*,*,#195569,.F.); #255638=ORIENTED_EDGE('',*,*,#195570,.F.); #255639=ORIENTED_EDGE('',*,*,#195571,.T.); #255640=ORIENTED_EDGE('',*,*,#195570,.T.); #255641=ORIENTED_EDGE('',*,*,#195572,.F.); #255642=ORIENTED_EDGE('',*,*,#195573,.F.); #255643=ORIENTED_EDGE('',*,*,#195574,.T.); #255644=ORIENTED_EDGE('',*,*,#195573,.T.); #255645=ORIENTED_EDGE('',*,*,#195575,.F.); #255646=ORIENTED_EDGE('',*,*,#195576,.F.); #255647=ORIENTED_EDGE('',*,*,#195577,.T.); #255648=ORIENTED_EDGE('',*,*,#195576,.T.); #255649=ORIENTED_EDGE('',*,*,#195578,.F.); #255650=ORIENTED_EDGE('',*,*,#195579,.F.); #255651=ORIENTED_EDGE('',*,*,#195580,.T.); #255652=ORIENTED_EDGE('',*,*,#195579,.T.); #255653=ORIENTED_EDGE('',*,*,#195581,.F.); #255654=ORIENTED_EDGE('',*,*,#195582,.F.); #255655=ORIENTED_EDGE('',*,*,#195583,.T.); #255656=ORIENTED_EDGE('',*,*,#195582,.T.); #255657=ORIENTED_EDGE('',*,*,#195584,.F.); #255658=ORIENTED_EDGE('',*,*,#195585,.F.); #255659=ORIENTED_EDGE('',*,*,#195586,.T.); #255660=ORIENTED_EDGE('',*,*,#195585,.T.); #255661=ORIENTED_EDGE('',*,*,#195587,.F.); #255662=ORIENTED_EDGE('',*,*,#195588,.F.); #255663=ORIENTED_EDGE('',*,*,#195589,.T.); #255664=ORIENTED_EDGE('',*,*,#195588,.T.); #255665=ORIENTED_EDGE('',*,*,#195590,.F.); #255666=ORIENTED_EDGE('',*,*,#195591,.F.); #255667=ORIENTED_EDGE('',*,*,#195592,.T.); #255668=ORIENTED_EDGE('',*,*,#195591,.T.); #255669=ORIENTED_EDGE('',*,*,#195593,.F.); #255670=ORIENTED_EDGE('',*,*,#195594,.F.); #255671=ORIENTED_EDGE('',*,*,#195595,.T.); #255672=ORIENTED_EDGE('',*,*,#195594,.T.); #255673=ORIENTED_EDGE('',*,*,#195596,.F.); #255674=ORIENTED_EDGE('',*,*,#195597,.F.); #255675=ORIENTED_EDGE('',*,*,#195598,.T.); #255676=ORIENTED_EDGE('',*,*,#195597,.T.); #255677=ORIENTED_EDGE('',*,*,#195599,.F.); #255678=ORIENTED_EDGE('',*,*,#195600,.F.); #255679=ORIENTED_EDGE('',*,*,#195601,.T.); #255680=ORIENTED_EDGE('',*,*,#195600,.T.); #255681=ORIENTED_EDGE('',*,*,#195602,.F.); #255682=ORIENTED_EDGE('',*,*,#195603,.F.); #255683=ORIENTED_EDGE('',*,*,#195604,.T.); #255684=ORIENTED_EDGE('',*,*,#195603,.T.); #255685=ORIENTED_EDGE('',*,*,#195605,.F.); #255686=ORIENTED_EDGE('',*,*,#195606,.F.); #255687=ORIENTED_EDGE('',*,*,#195607,.T.); #255688=ORIENTED_EDGE('',*,*,#195606,.T.); #255689=ORIENTED_EDGE('',*,*,#195608,.F.); #255690=ORIENTED_EDGE('',*,*,#195609,.F.); #255691=ORIENTED_EDGE('',*,*,#195610,.T.); #255692=ORIENTED_EDGE('',*,*,#195609,.T.); #255693=ORIENTED_EDGE('',*,*,#195611,.F.); #255694=ORIENTED_EDGE('',*,*,#195612,.F.); #255695=ORIENTED_EDGE('',*,*,#195613,.T.); #255696=ORIENTED_EDGE('',*,*,#195612,.T.); #255697=ORIENTED_EDGE('',*,*,#195614,.F.); #255698=ORIENTED_EDGE('',*,*,#195615,.F.); #255699=ORIENTED_EDGE('',*,*,#195616,.T.); #255700=ORIENTED_EDGE('',*,*,#195615,.T.); #255701=ORIENTED_EDGE('',*,*,#195617,.F.); #255702=ORIENTED_EDGE('',*,*,#195618,.F.); #255703=ORIENTED_EDGE('',*,*,#195619,.T.); #255704=ORIENTED_EDGE('',*,*,#195618,.T.); #255705=ORIENTED_EDGE('',*,*,#195620,.F.); #255706=ORIENTED_EDGE('',*,*,#195621,.F.); #255707=ORIENTED_EDGE('',*,*,#195622,.T.); #255708=ORIENTED_EDGE('',*,*,#195621,.T.); #255709=ORIENTED_EDGE('',*,*,#195623,.F.); #255710=ORIENTED_EDGE('',*,*,#195624,.F.); #255711=ORIENTED_EDGE('',*,*,#195625,.T.); #255712=ORIENTED_EDGE('',*,*,#195624,.T.); #255713=ORIENTED_EDGE('',*,*,#195626,.F.); #255714=ORIENTED_EDGE('',*,*,#195627,.F.); #255715=ORIENTED_EDGE('',*,*,#195628,.T.); #255716=ORIENTED_EDGE('',*,*,#195627,.T.); #255717=ORIENTED_EDGE('',*,*,#195629,.F.); #255718=ORIENTED_EDGE('',*,*,#195630,.F.); #255719=ORIENTED_EDGE('',*,*,#195631,.T.); #255720=ORIENTED_EDGE('',*,*,#195630,.T.); #255721=ORIENTED_EDGE('',*,*,#195632,.F.); #255722=ORIENTED_EDGE('',*,*,#195633,.F.); #255723=ORIENTED_EDGE('',*,*,#195634,.T.); #255724=ORIENTED_EDGE('',*,*,#195633,.T.); #255725=ORIENTED_EDGE('',*,*,#195635,.F.); #255726=ORIENTED_EDGE('',*,*,#195636,.F.); #255727=ORIENTED_EDGE('',*,*,#195637,.T.); #255728=ORIENTED_EDGE('',*,*,#195636,.T.); #255729=ORIENTED_EDGE('',*,*,#195638,.F.); #255730=ORIENTED_EDGE('',*,*,#195639,.F.); #255731=ORIENTED_EDGE('',*,*,#195640,.T.); #255732=ORIENTED_EDGE('',*,*,#195639,.T.); #255733=ORIENTED_EDGE('',*,*,#195641,.F.); #255734=ORIENTED_EDGE('',*,*,#195642,.F.); #255735=ORIENTED_EDGE('',*,*,#195643,.T.); #255736=ORIENTED_EDGE('',*,*,#195642,.T.); #255737=ORIENTED_EDGE('',*,*,#195644,.F.); #255738=ORIENTED_EDGE('',*,*,#195645,.F.); #255739=ORIENTED_EDGE('',*,*,#195646,.T.); #255740=ORIENTED_EDGE('',*,*,#195645,.T.); #255741=ORIENTED_EDGE('',*,*,#195647,.F.); #255742=ORIENTED_EDGE('',*,*,#195648,.F.); #255743=ORIENTED_EDGE('',*,*,#195649,.T.); #255744=ORIENTED_EDGE('',*,*,#195648,.T.); #255745=ORIENTED_EDGE('',*,*,#195650,.F.); #255746=ORIENTED_EDGE('',*,*,#195651,.F.); #255747=ORIENTED_EDGE('',*,*,#195652,.T.); #255748=ORIENTED_EDGE('',*,*,#195651,.T.); #255749=ORIENTED_EDGE('',*,*,#195653,.F.); #255750=ORIENTED_EDGE('',*,*,#195654,.F.); #255751=ORIENTED_EDGE('',*,*,#195655,.T.); #255752=ORIENTED_EDGE('',*,*,#195654,.T.); #255753=ORIENTED_EDGE('',*,*,#195656,.F.); #255754=ORIENTED_EDGE('',*,*,#195657,.F.); #255755=ORIENTED_EDGE('',*,*,#195658,.T.); #255756=ORIENTED_EDGE('',*,*,#195657,.T.); #255757=ORIENTED_EDGE('',*,*,#195659,.F.); #255758=ORIENTED_EDGE('',*,*,#195660,.F.); #255759=ORIENTED_EDGE('',*,*,#195661,.T.); #255760=ORIENTED_EDGE('',*,*,#195660,.T.); #255761=ORIENTED_EDGE('',*,*,#195662,.F.); #255762=ORIENTED_EDGE('',*,*,#195663,.F.); #255763=ORIENTED_EDGE('',*,*,#195664,.T.); #255764=ORIENTED_EDGE('',*,*,#195663,.T.); #255765=ORIENTED_EDGE('',*,*,#195665,.F.); #255766=ORIENTED_EDGE('',*,*,#195666,.F.); #255767=ORIENTED_EDGE('',*,*,#195667,.T.); #255768=ORIENTED_EDGE('',*,*,#195666,.T.); #255769=ORIENTED_EDGE('',*,*,#195668,.F.); #255770=ORIENTED_EDGE('',*,*,#195669,.F.); #255771=ORIENTED_EDGE('',*,*,#195670,.T.); #255772=ORIENTED_EDGE('',*,*,#195669,.T.); #255773=ORIENTED_EDGE('',*,*,#195671,.F.); #255774=ORIENTED_EDGE('',*,*,#195672,.F.); #255775=ORIENTED_EDGE('',*,*,#195673,.T.); #255776=ORIENTED_EDGE('',*,*,#195672,.T.); #255777=ORIENTED_EDGE('',*,*,#195674,.F.); #255778=ORIENTED_EDGE('',*,*,#195675,.F.); #255779=ORIENTED_EDGE('',*,*,#195676,.T.); #255780=ORIENTED_EDGE('',*,*,#195675,.T.); #255781=ORIENTED_EDGE('',*,*,#195677,.F.); #255782=ORIENTED_EDGE('',*,*,#195678,.F.); #255783=ORIENTED_EDGE('',*,*,#195679,.T.); #255784=ORIENTED_EDGE('',*,*,#195678,.T.); #255785=ORIENTED_EDGE('',*,*,#195680,.F.); #255786=ORIENTED_EDGE('',*,*,#195681,.F.); #255787=ORIENTED_EDGE('',*,*,#195682,.T.); #255788=ORIENTED_EDGE('',*,*,#195681,.T.); #255789=ORIENTED_EDGE('',*,*,#195683,.F.); #255790=ORIENTED_EDGE('',*,*,#195684,.F.); #255791=ORIENTED_EDGE('',*,*,#195685,.T.); #255792=ORIENTED_EDGE('',*,*,#195684,.T.); #255793=ORIENTED_EDGE('',*,*,#195686,.F.); #255794=ORIENTED_EDGE('',*,*,#195687,.F.); #255795=ORIENTED_EDGE('',*,*,#195688,.T.); #255796=ORIENTED_EDGE('',*,*,#195687,.T.); #255797=ORIENTED_EDGE('',*,*,#195689,.F.); #255798=ORIENTED_EDGE('',*,*,#195690,.F.); #255799=ORIENTED_EDGE('',*,*,#195691,.T.); #255800=ORIENTED_EDGE('',*,*,#195690,.T.); #255801=ORIENTED_EDGE('',*,*,#195692,.F.); #255802=ORIENTED_EDGE('',*,*,#195693,.F.); #255803=ORIENTED_EDGE('',*,*,#195694,.T.); #255804=ORIENTED_EDGE('',*,*,#195693,.T.); #255805=ORIENTED_EDGE('',*,*,#195695,.F.); #255806=ORIENTED_EDGE('',*,*,#195696,.F.); #255807=ORIENTED_EDGE('',*,*,#195697,.T.); #255808=ORIENTED_EDGE('',*,*,#195696,.T.); #255809=ORIENTED_EDGE('',*,*,#195698,.F.); #255810=ORIENTED_EDGE('',*,*,#195699,.F.); #255811=ORIENTED_EDGE('',*,*,#195700,.T.); #255812=ORIENTED_EDGE('',*,*,#195699,.T.); #255813=ORIENTED_EDGE('',*,*,#195701,.F.); #255814=ORIENTED_EDGE('',*,*,#195702,.F.); #255815=ORIENTED_EDGE('',*,*,#195703,.T.); #255816=ORIENTED_EDGE('',*,*,#195702,.T.); #255817=ORIENTED_EDGE('',*,*,#195704,.F.); #255818=ORIENTED_EDGE('',*,*,#195705,.F.); #255819=ORIENTED_EDGE('',*,*,#195706,.T.); #255820=ORIENTED_EDGE('',*,*,#195705,.T.); #255821=ORIENTED_EDGE('',*,*,#195707,.F.); #255822=ORIENTED_EDGE('',*,*,#195708,.F.); #255823=ORIENTED_EDGE('',*,*,#195709,.T.); #255824=ORIENTED_EDGE('',*,*,#195708,.T.); #255825=ORIENTED_EDGE('',*,*,#195710,.F.); #255826=ORIENTED_EDGE('',*,*,#195352,.F.); #255827=ORIENTED_EDGE('',*,*,#195710,.T.); #255828=ORIENTED_EDGE('',*,*,#195707,.T.); #255829=ORIENTED_EDGE('',*,*,#195704,.T.); #255830=ORIENTED_EDGE('',*,*,#195701,.T.); #255831=ORIENTED_EDGE('',*,*,#195698,.T.); #255832=ORIENTED_EDGE('',*,*,#195695,.T.); #255833=ORIENTED_EDGE('',*,*,#195692,.T.); #255834=ORIENTED_EDGE('',*,*,#195689,.T.); #255835=ORIENTED_EDGE('',*,*,#195686,.T.); #255836=ORIENTED_EDGE('',*,*,#195683,.T.); #255837=ORIENTED_EDGE('',*,*,#195680,.T.); #255838=ORIENTED_EDGE('',*,*,#195677,.T.); #255839=ORIENTED_EDGE('',*,*,#195674,.T.); #255840=ORIENTED_EDGE('',*,*,#195671,.T.); #255841=ORIENTED_EDGE('',*,*,#195668,.T.); #255842=ORIENTED_EDGE('',*,*,#195665,.T.); #255843=ORIENTED_EDGE('',*,*,#195662,.T.); #255844=ORIENTED_EDGE('',*,*,#195659,.T.); #255845=ORIENTED_EDGE('',*,*,#195656,.T.); #255846=ORIENTED_EDGE('',*,*,#195653,.T.); #255847=ORIENTED_EDGE('',*,*,#195650,.T.); #255848=ORIENTED_EDGE('',*,*,#195647,.T.); #255849=ORIENTED_EDGE('',*,*,#195644,.T.); #255850=ORIENTED_EDGE('',*,*,#195641,.T.); #255851=ORIENTED_EDGE('',*,*,#195638,.T.); #255852=ORIENTED_EDGE('',*,*,#195635,.T.); #255853=ORIENTED_EDGE('',*,*,#195632,.T.); #255854=ORIENTED_EDGE('',*,*,#195629,.T.); #255855=ORIENTED_EDGE('',*,*,#195626,.T.); #255856=ORIENTED_EDGE('',*,*,#195623,.T.); #255857=ORIENTED_EDGE('',*,*,#195620,.T.); #255858=ORIENTED_EDGE('',*,*,#195617,.T.); #255859=ORIENTED_EDGE('',*,*,#195614,.T.); #255860=ORIENTED_EDGE('',*,*,#195611,.T.); #255861=ORIENTED_EDGE('',*,*,#195608,.T.); #255862=ORIENTED_EDGE('',*,*,#195605,.T.); #255863=ORIENTED_EDGE('',*,*,#195602,.T.); #255864=ORIENTED_EDGE('',*,*,#195599,.T.); #255865=ORIENTED_EDGE('',*,*,#195596,.T.); #255866=ORIENTED_EDGE('',*,*,#195593,.T.); #255867=ORIENTED_EDGE('',*,*,#195590,.T.); #255868=ORIENTED_EDGE('',*,*,#195587,.T.); #255869=ORIENTED_EDGE('',*,*,#195584,.T.); #255870=ORIENTED_EDGE('',*,*,#195581,.T.); #255871=ORIENTED_EDGE('',*,*,#195578,.T.); #255872=ORIENTED_EDGE('',*,*,#195575,.T.); #255873=ORIENTED_EDGE('',*,*,#195572,.T.); #255874=ORIENTED_EDGE('',*,*,#195569,.T.); #255875=ORIENTED_EDGE('',*,*,#195566,.T.); #255876=ORIENTED_EDGE('',*,*,#195563,.T.); #255877=ORIENTED_EDGE('',*,*,#195560,.T.); #255878=ORIENTED_EDGE('',*,*,#195557,.T.); #255879=ORIENTED_EDGE('',*,*,#195554,.T.); #255880=ORIENTED_EDGE('',*,*,#195551,.T.); #255881=ORIENTED_EDGE('',*,*,#195548,.T.); #255882=ORIENTED_EDGE('',*,*,#195545,.T.); #255883=ORIENTED_EDGE('',*,*,#195542,.T.); #255884=ORIENTED_EDGE('',*,*,#195539,.T.); #255885=ORIENTED_EDGE('',*,*,#195536,.T.); #255886=ORIENTED_EDGE('',*,*,#195533,.T.); #255887=ORIENTED_EDGE('',*,*,#195530,.T.); #255888=ORIENTED_EDGE('',*,*,#195527,.T.); #255889=ORIENTED_EDGE('',*,*,#195524,.T.); #255890=ORIENTED_EDGE('',*,*,#195521,.T.); #255891=ORIENTED_EDGE('',*,*,#195518,.T.); #255892=ORIENTED_EDGE('',*,*,#195515,.T.); #255893=ORIENTED_EDGE('',*,*,#195512,.T.); #255894=ORIENTED_EDGE('',*,*,#195509,.T.); #255895=ORIENTED_EDGE('',*,*,#195506,.T.); #255896=ORIENTED_EDGE('',*,*,#195503,.T.); #255897=ORIENTED_EDGE('',*,*,#195500,.T.); #255898=ORIENTED_EDGE('',*,*,#195497,.T.); #255899=ORIENTED_EDGE('',*,*,#195494,.T.); #255900=ORIENTED_EDGE('',*,*,#195491,.T.); #255901=ORIENTED_EDGE('',*,*,#195488,.T.); #255902=ORIENTED_EDGE('',*,*,#195485,.T.); #255903=ORIENTED_EDGE('',*,*,#195482,.T.); #255904=ORIENTED_EDGE('',*,*,#195479,.T.); #255905=ORIENTED_EDGE('',*,*,#195476,.T.); #255906=ORIENTED_EDGE('',*,*,#195473,.T.); #255907=ORIENTED_EDGE('',*,*,#195470,.T.); #255908=ORIENTED_EDGE('',*,*,#195467,.T.); #255909=ORIENTED_EDGE('',*,*,#195464,.T.); #255910=ORIENTED_EDGE('',*,*,#195461,.T.); #255911=ORIENTED_EDGE('',*,*,#195458,.T.); #255912=ORIENTED_EDGE('',*,*,#195455,.T.); #255913=ORIENTED_EDGE('',*,*,#195452,.T.); #255914=ORIENTED_EDGE('',*,*,#195449,.T.); #255915=ORIENTED_EDGE('',*,*,#195446,.T.); #255916=ORIENTED_EDGE('',*,*,#195443,.T.); #255917=ORIENTED_EDGE('',*,*,#195440,.T.); #255918=ORIENTED_EDGE('',*,*,#195437,.T.); #255919=ORIENTED_EDGE('',*,*,#195434,.T.); #255920=ORIENTED_EDGE('',*,*,#195431,.T.); #255921=ORIENTED_EDGE('',*,*,#195428,.T.); #255922=ORIENTED_EDGE('',*,*,#195425,.T.); #255923=ORIENTED_EDGE('',*,*,#195422,.T.); #255924=ORIENTED_EDGE('',*,*,#195419,.T.); #255925=ORIENTED_EDGE('',*,*,#195416,.T.); #255926=ORIENTED_EDGE('',*,*,#195413,.T.); #255927=ORIENTED_EDGE('',*,*,#195410,.T.); #255928=ORIENTED_EDGE('',*,*,#195407,.T.); #255929=ORIENTED_EDGE('',*,*,#195404,.T.); #255930=ORIENTED_EDGE('',*,*,#195401,.T.); #255931=ORIENTED_EDGE('',*,*,#195398,.T.); #255932=ORIENTED_EDGE('',*,*,#195395,.T.); #255933=ORIENTED_EDGE('',*,*,#195392,.T.); #255934=ORIENTED_EDGE('',*,*,#195389,.T.); #255935=ORIENTED_EDGE('',*,*,#195386,.T.); #255936=ORIENTED_EDGE('',*,*,#195383,.T.); #255937=ORIENTED_EDGE('',*,*,#195380,.T.); #255938=ORIENTED_EDGE('',*,*,#195377,.T.); #255939=ORIENTED_EDGE('',*,*,#195374,.T.); #255940=ORIENTED_EDGE('',*,*,#195371,.T.); #255941=ORIENTED_EDGE('',*,*,#195368,.T.); #255942=ORIENTED_EDGE('',*,*,#195365,.T.); #255943=ORIENTED_EDGE('',*,*,#195362,.T.); #255944=ORIENTED_EDGE('',*,*,#195359,.T.); #255945=ORIENTED_EDGE('',*,*,#195356,.T.); #255946=ORIENTED_EDGE('',*,*,#195353,.T.); #255947=ORIENTED_EDGE('',*,*,#192680,.T.); #255948=ORIENTED_EDGE('',*,*,#192683,.T.); #255949=ORIENTED_EDGE('',*,*,#192686,.T.); #255950=ORIENTED_EDGE('',*,*,#192689,.T.); #255951=ORIENTED_EDGE('',*,*,#192692,.T.); #255952=ORIENTED_EDGE('',*,*,#192695,.T.); #255953=ORIENTED_EDGE('',*,*,#192698,.T.); #255954=ORIENTED_EDGE('',*,*,#192701,.T.); #255955=ORIENTED_EDGE('',*,*,#192704,.T.); #255956=ORIENTED_EDGE('',*,*,#192707,.T.); #255957=ORIENTED_EDGE('',*,*,#192710,.T.); #255958=ORIENTED_EDGE('',*,*,#192713,.T.); #255959=ORIENTED_EDGE('',*,*,#192716,.T.); #255960=ORIENTED_EDGE('',*,*,#192719,.T.); #255961=ORIENTED_EDGE('',*,*,#192722,.T.); #255962=ORIENTED_EDGE('',*,*,#192725,.T.); #255963=ORIENTED_EDGE('',*,*,#192728,.T.); #255964=ORIENTED_EDGE('',*,*,#192731,.T.); #255965=ORIENTED_EDGE('',*,*,#192734,.T.); #255966=ORIENTED_EDGE('',*,*,#192737,.T.); #255967=ORIENTED_EDGE('',*,*,#192740,.T.); #255968=ORIENTED_EDGE('',*,*,#192743,.T.); #255969=ORIENTED_EDGE('',*,*,#192746,.T.); #255970=ORIENTED_EDGE('',*,*,#192749,.T.); #255971=ORIENTED_EDGE('',*,*,#192752,.T.); #255972=ORIENTED_EDGE('',*,*,#192755,.T.); #255973=ORIENTED_EDGE('',*,*,#192758,.T.); #255974=ORIENTED_EDGE('',*,*,#192761,.T.); #255975=ORIENTED_EDGE('',*,*,#192764,.T.); #255976=ORIENTED_EDGE('',*,*,#192767,.T.); #255977=ORIENTED_EDGE('',*,*,#192770,.T.); #255978=ORIENTED_EDGE('',*,*,#192773,.T.); #255979=ORIENTED_EDGE('',*,*,#192776,.T.); #255980=ORIENTED_EDGE('',*,*,#192779,.T.); #255981=ORIENTED_EDGE('',*,*,#192782,.T.); #255982=ORIENTED_EDGE('',*,*,#192790,.T.); #255983=ORIENTED_EDGE('',*,*,#192793,.T.); #255984=ORIENTED_EDGE('',*,*,#192783,.T.); #255985=ORIENTED_EDGE('',*,*,#192787,.T.); #255986=ORIENTED_EDGE('',*,*,#192797,.T.); #255987=ORIENTED_EDGE('',*,*,#192800,.T.); #255988=ORIENTED_EDGE('',*,*,#192803,.T.); #255989=ORIENTED_EDGE('',*,*,#192806,.T.); #255990=ORIENTED_EDGE('',*,*,#192814,.T.); #255991=ORIENTED_EDGE('',*,*,#192817,.T.); #255992=ORIENTED_EDGE('',*,*,#192807,.T.); #255993=ORIENTED_EDGE('',*,*,#192811,.T.); #255994=ORIENTED_EDGE('',*,*,#192826,.T.); #255995=ORIENTED_EDGE('',*,*,#192829,.T.); #255996=ORIENTED_EDGE('',*,*,#192819,.T.); #255997=ORIENTED_EDGE('',*,*,#192823,.T.); #255998=ORIENTED_EDGE('',*,*,#192838,.T.); #255999=ORIENTED_EDGE('',*,*,#192841,.T.); #256000=ORIENTED_EDGE('',*,*,#192831,.T.); #256001=ORIENTED_EDGE('',*,*,#192835,.T.); #256002=ORIENTED_EDGE('',*,*,#192845,.T.); #256003=ORIENTED_EDGE('',*,*,#192848,.T.); #256004=ORIENTED_EDGE('',*,*,#192851,.T.); #256005=ORIENTED_EDGE('',*,*,#192854,.T.); #256006=ORIENTED_EDGE('',*,*,#192857,.T.); #256007=ORIENTED_EDGE('',*,*,#192860,.T.); #256008=ORIENTED_EDGE('',*,*,#192863,.T.); #256009=ORIENTED_EDGE('',*,*,#192866,.T.); #256010=ORIENTED_EDGE('',*,*,#192869,.T.); #256011=ORIENTED_EDGE('',*,*,#192872,.T.); #256012=ORIENTED_EDGE('',*,*,#192875,.T.); #256013=ORIENTED_EDGE('',*,*,#192878,.T.); #256014=ORIENTED_EDGE('',*,*,#192881,.T.); #256015=ORIENTED_EDGE('',*,*,#192884,.T.); #256016=ORIENTED_EDGE('',*,*,#192887,.T.); #256017=ORIENTED_EDGE('',*,*,#192890,.T.); #256018=ORIENTED_EDGE('',*,*,#192893,.T.); #256019=ORIENTED_EDGE('',*,*,#192896,.T.); #256020=ORIENTED_EDGE('',*,*,#192899,.T.); #256021=ORIENTED_EDGE('',*,*,#195350,.T.); #256022=ORIENTED_EDGE('',*,*,#195347,.T.); #256023=ORIENTED_EDGE('',*,*,#195344,.T.); #256024=ORIENTED_EDGE('',*,*,#195341,.T.); #256025=ORIENTED_EDGE('',*,*,#195338,.T.); #256026=ORIENTED_EDGE('',*,*,#195335,.T.); #256027=ORIENTED_EDGE('',*,*,#195332,.T.); #256028=ORIENTED_EDGE('',*,*,#195329,.T.); #256029=ORIENTED_EDGE('',*,*,#195326,.T.); #256030=ORIENTED_EDGE('',*,*,#195323,.T.); #256031=ORIENTED_EDGE('',*,*,#195320,.T.); #256032=ORIENTED_EDGE('',*,*,#195317,.T.); #256033=ORIENTED_EDGE('',*,*,#195314,.T.); #256034=ORIENTED_EDGE('',*,*,#195311,.T.); #256035=ORIENTED_EDGE('',*,*,#195308,.T.); #256036=ORIENTED_EDGE('',*,*,#195305,.T.); #256037=ORIENTED_EDGE('',*,*,#195302,.T.); #256038=ORIENTED_EDGE('',*,*,#195299,.T.); #256039=ORIENTED_EDGE('',*,*,#195296,.T.); #256040=ORIENTED_EDGE('',*,*,#195293,.T.); #256041=ORIENTED_EDGE('',*,*,#195290,.T.); #256042=ORIENTED_EDGE('',*,*,#195287,.T.); #256043=ORIENTED_EDGE('',*,*,#195284,.T.); #256044=ORIENTED_EDGE('',*,*,#195281,.T.); #256045=ORIENTED_EDGE('',*,*,#195278,.T.); #256046=ORIENTED_EDGE('',*,*,#195275,.T.); #256047=ORIENTED_EDGE('',*,*,#195272,.T.); #256048=ORIENTED_EDGE('',*,*,#195269,.T.); #256049=ORIENTED_EDGE('',*,*,#195266,.T.); #256050=ORIENTED_EDGE('',*,*,#195263,.T.); #256051=ORIENTED_EDGE('',*,*,#195260,.T.); #256052=ORIENTED_EDGE('',*,*,#195257,.T.); #256053=ORIENTED_EDGE('',*,*,#195254,.T.); #256054=ORIENTED_EDGE('',*,*,#195251,.T.); #256055=ORIENTED_EDGE('',*,*,#195248,.T.); #256056=ORIENTED_EDGE('',*,*,#195245,.T.); #256057=ORIENTED_EDGE('',*,*,#195242,.T.); #256058=ORIENTED_EDGE('',*,*,#195239,.T.); #256059=ORIENTED_EDGE('',*,*,#195236,.T.); #256060=ORIENTED_EDGE('',*,*,#195233,.T.); #256061=ORIENTED_EDGE('',*,*,#195230,.T.); #256062=ORIENTED_EDGE('',*,*,#195227,.T.); #256063=ORIENTED_EDGE('',*,*,#195224,.T.); #256064=ORIENTED_EDGE('',*,*,#195221,.T.); #256065=ORIENTED_EDGE('',*,*,#195218,.T.); #256066=ORIENTED_EDGE('',*,*,#195215,.T.); #256067=ORIENTED_EDGE('',*,*,#195212,.T.); #256068=ORIENTED_EDGE('',*,*,#195209,.T.); #256069=ORIENTED_EDGE('',*,*,#195206,.T.); #256070=ORIENTED_EDGE('',*,*,#195203,.T.); #256071=ORIENTED_EDGE('',*,*,#195200,.T.); #256072=ORIENTED_EDGE('',*,*,#195197,.T.); #256073=ORIENTED_EDGE('',*,*,#195194,.T.); #256074=ORIENTED_EDGE('',*,*,#195191,.T.); #256075=ORIENTED_EDGE('',*,*,#195188,.T.); #256076=ORIENTED_EDGE('',*,*,#195185,.T.); #256077=ORIENTED_EDGE('',*,*,#195182,.T.); #256078=ORIENTED_EDGE('',*,*,#195179,.T.); #256079=ORIENTED_EDGE('',*,*,#195176,.T.); #256080=ORIENTED_EDGE('',*,*,#195173,.T.); #256081=ORIENTED_EDGE('',*,*,#195170,.T.); #256082=ORIENTED_EDGE('',*,*,#195167,.T.); #256083=ORIENTED_EDGE('',*,*,#195164,.T.); #256084=ORIENTED_EDGE('',*,*,#195161,.T.); #256085=ORIENTED_EDGE('',*,*,#195158,.T.); #256086=ORIENTED_EDGE('',*,*,#195155,.T.); #256087=ORIENTED_EDGE('',*,*,#195152,.T.); #256088=ORIENTED_EDGE('',*,*,#195149,.T.); #256089=ORIENTED_EDGE('',*,*,#195146,.T.); #256090=ORIENTED_EDGE('',*,*,#195143,.T.); #256091=ORIENTED_EDGE('',*,*,#195140,.T.); #256092=ORIENTED_EDGE('',*,*,#195137,.T.); #256093=ORIENTED_EDGE('',*,*,#195134,.T.); #256094=ORIENTED_EDGE('',*,*,#195131,.T.); #256095=ORIENTED_EDGE('',*,*,#195128,.T.); #256096=ORIENTED_EDGE('',*,*,#195125,.T.); #256097=ORIENTED_EDGE('',*,*,#195122,.T.); #256098=ORIENTED_EDGE('',*,*,#195119,.T.); #256099=ORIENTED_EDGE('',*,*,#195116,.T.); #256100=ORIENTED_EDGE('',*,*,#195113,.T.); #256101=ORIENTED_EDGE('',*,*,#195110,.T.); #256102=ORIENTED_EDGE('',*,*,#195107,.T.); #256103=ORIENTED_EDGE('',*,*,#195104,.T.); #256104=ORIENTED_EDGE('',*,*,#195101,.T.); #256105=ORIENTED_EDGE('',*,*,#195098,.T.); #256106=ORIENTED_EDGE('',*,*,#195095,.T.); #256107=ORIENTED_EDGE('',*,*,#195092,.T.); #256108=ORIENTED_EDGE('',*,*,#195089,.T.); #256109=ORIENTED_EDGE('',*,*,#195086,.T.); #256110=ORIENTED_EDGE('',*,*,#195083,.T.); #256111=ORIENTED_EDGE('',*,*,#195080,.T.); #256112=ORIENTED_EDGE('',*,*,#195077,.T.); #256113=ORIENTED_EDGE('',*,*,#195074,.T.); #256114=ORIENTED_EDGE('',*,*,#195071,.T.); #256115=ORIENTED_EDGE('',*,*,#195068,.T.); #256116=ORIENTED_EDGE('',*,*,#195065,.T.); #256117=ORIENTED_EDGE('',*,*,#195062,.T.); #256118=ORIENTED_EDGE('',*,*,#195059,.T.); #256119=ORIENTED_EDGE('',*,*,#195056,.T.); #256120=ORIENTED_EDGE('',*,*,#195053,.T.); #256121=ORIENTED_EDGE('',*,*,#195050,.T.); #256122=ORIENTED_EDGE('',*,*,#195047,.T.); #256123=ORIENTED_EDGE('',*,*,#195044,.T.); #256124=ORIENTED_EDGE('',*,*,#195041,.T.); #256125=ORIENTED_EDGE('',*,*,#195038,.T.); #256126=ORIENTED_EDGE('',*,*,#195035,.T.); #256127=ORIENTED_EDGE('',*,*,#195032,.T.); #256128=ORIENTED_EDGE('',*,*,#195029,.T.); #256129=ORIENTED_EDGE('',*,*,#195026,.T.); #256130=ORIENTED_EDGE('',*,*,#195023,.T.); #256131=ORIENTED_EDGE('',*,*,#195020,.T.); #256132=ORIENTED_EDGE('',*,*,#195017,.T.); #256133=ORIENTED_EDGE('',*,*,#195014,.T.); #256134=ORIENTED_EDGE('',*,*,#195011,.T.); #256135=ORIENTED_EDGE('',*,*,#195008,.T.); #256136=ORIENTED_EDGE('',*,*,#195005,.T.); #256137=ORIENTED_EDGE('',*,*,#195002,.T.); #256138=ORIENTED_EDGE('',*,*,#194999,.T.); #256139=ORIENTED_EDGE('',*,*,#194996,.T.); #256140=ORIENTED_EDGE('',*,*,#194993,.T.); #256141=ORIENTED_EDGE('',*,*,#194990,.T.); #256142=ORIENTED_EDGE('',*,*,#194987,.T.); #256143=ORIENTED_EDGE('',*,*,#194984,.T.); #256144=ORIENTED_EDGE('',*,*,#194981,.T.); #256145=ORIENTED_EDGE('',*,*,#194978,.T.); #256146=ORIENTED_EDGE('',*,*,#194975,.T.); #256147=ORIENTED_EDGE('',*,*,#194972,.T.); #256148=ORIENTED_EDGE('',*,*,#194969,.T.); #256149=ORIENTED_EDGE('',*,*,#194966,.T.); #256150=ORIENTED_EDGE('',*,*,#194963,.T.); #256151=ORIENTED_EDGE('',*,*,#194960,.T.); #256152=ORIENTED_EDGE('',*,*,#194957,.T.); #256153=ORIENTED_EDGE('',*,*,#194954,.T.); #256154=ORIENTED_EDGE('',*,*,#194951,.T.); #256155=ORIENTED_EDGE('',*,*,#194948,.T.); #256156=ORIENTED_EDGE('',*,*,#194945,.T.); #256157=ORIENTED_EDGE('',*,*,#194942,.T.); #256158=ORIENTED_EDGE('',*,*,#194939,.T.); #256159=ORIENTED_EDGE('',*,*,#194936,.T.); #256160=ORIENTED_EDGE('',*,*,#194933,.T.); #256161=ORIENTED_EDGE('',*,*,#194930,.T.); #256162=ORIENTED_EDGE('',*,*,#194927,.T.); #256163=ORIENTED_EDGE('',*,*,#194924,.T.); #256164=ORIENTED_EDGE('',*,*,#194921,.T.); #256165=ORIENTED_EDGE('',*,*,#194918,.T.); #256166=ORIENTED_EDGE('',*,*,#194915,.T.); #256167=ORIENTED_EDGE('',*,*,#194912,.T.); #256168=ORIENTED_EDGE('',*,*,#194909,.T.); #256169=ORIENTED_EDGE('',*,*,#194906,.T.); #256170=ORIENTED_EDGE('',*,*,#194903,.T.); #256171=ORIENTED_EDGE('',*,*,#194900,.T.); #256172=ORIENTED_EDGE('',*,*,#194897,.T.); #256173=ORIENTED_EDGE('',*,*,#194894,.T.); #256174=ORIENTED_EDGE('',*,*,#194891,.T.); #256175=ORIENTED_EDGE('',*,*,#194888,.T.); #256176=ORIENTED_EDGE('',*,*,#194885,.T.); #256177=ORIENTED_EDGE('',*,*,#194882,.T.); #256178=ORIENTED_EDGE('',*,*,#194879,.T.); #256179=ORIENTED_EDGE('',*,*,#194876,.T.); #256180=ORIENTED_EDGE('',*,*,#194873,.T.); #256181=ORIENTED_EDGE('',*,*,#194870,.T.); #256182=ORIENTED_EDGE('',*,*,#194867,.T.); #256183=ORIENTED_EDGE('',*,*,#194864,.T.); #256184=ORIENTED_EDGE('',*,*,#194861,.T.); #256185=ORIENTED_EDGE('',*,*,#194858,.T.); #256186=ORIENTED_EDGE('',*,*,#194855,.T.); #256187=ORIENTED_EDGE('',*,*,#194852,.T.); #256188=ORIENTED_EDGE('',*,*,#194849,.T.); #256189=ORIENTED_EDGE('',*,*,#194846,.T.); #256190=ORIENTED_EDGE('',*,*,#194843,.T.); #256191=ORIENTED_EDGE('',*,*,#194840,.T.); #256192=ORIENTED_EDGE('',*,*,#194837,.T.); #256193=ORIENTED_EDGE('',*,*,#194834,.T.); #256194=ORIENTED_EDGE('',*,*,#194831,.T.); #256195=ORIENTED_EDGE('',*,*,#194828,.T.); #256196=ORIENTED_EDGE('',*,*,#194825,.T.); #256197=ORIENTED_EDGE('',*,*,#194822,.T.); #256198=ORIENTED_EDGE('',*,*,#194819,.T.); #256199=ORIENTED_EDGE('',*,*,#194816,.T.); #256200=ORIENTED_EDGE('',*,*,#194813,.T.); #256201=ORIENTED_EDGE('',*,*,#194810,.T.); #256202=ORIENTED_EDGE('',*,*,#194807,.T.); #256203=ORIENTED_EDGE('',*,*,#194804,.T.); #256204=ORIENTED_EDGE('',*,*,#194801,.T.); #256205=ORIENTED_EDGE('',*,*,#194798,.T.); #256206=ORIENTED_EDGE('',*,*,#194795,.T.); #256207=ORIENTED_EDGE('',*,*,#194792,.T.); #256208=ORIENTED_EDGE('',*,*,#194789,.T.); #256209=ORIENTED_EDGE('',*,*,#194786,.T.); #256210=ORIENTED_EDGE('',*,*,#194783,.T.); #256211=ORIENTED_EDGE('',*,*,#194780,.T.); #256212=ORIENTED_EDGE('',*,*,#194777,.T.); #256213=ORIENTED_EDGE('',*,*,#194774,.T.); #256214=ORIENTED_EDGE('',*,*,#194771,.T.); #256215=ORIENTED_EDGE('',*,*,#194768,.T.); #256216=ORIENTED_EDGE('',*,*,#194765,.T.); #256217=ORIENTED_EDGE('',*,*,#194762,.T.); #256218=ORIENTED_EDGE('',*,*,#194759,.T.); #256219=ORIENTED_EDGE('',*,*,#194756,.T.); #256220=ORIENTED_EDGE('',*,*,#194753,.T.); #256221=ORIENTED_EDGE('',*,*,#194750,.T.); #256222=ORIENTED_EDGE('',*,*,#194747,.T.); #256223=ORIENTED_EDGE('',*,*,#194744,.T.); #256224=ORIENTED_EDGE('',*,*,#194741,.T.); #256225=ORIENTED_EDGE('',*,*,#194738,.T.); #256226=ORIENTED_EDGE('',*,*,#194735,.T.); #256227=ORIENTED_EDGE('',*,*,#194732,.T.); #256228=ORIENTED_EDGE('',*,*,#194729,.T.); #256229=ORIENTED_EDGE('',*,*,#194726,.T.); #256230=ORIENTED_EDGE('',*,*,#194723,.T.); #256231=ORIENTED_EDGE('',*,*,#194720,.T.); #256232=ORIENTED_EDGE('',*,*,#194717,.T.); #256233=ORIENTED_EDGE('',*,*,#194714,.T.); #256234=ORIENTED_EDGE('',*,*,#194711,.T.); #256235=ORIENTED_EDGE('',*,*,#194708,.T.); #256236=ORIENTED_EDGE('',*,*,#194705,.T.); #256237=ORIENTED_EDGE('',*,*,#194702,.T.); #256238=ORIENTED_EDGE('',*,*,#194699,.T.); #256239=ORIENTED_EDGE('',*,*,#194696,.T.); #256240=ORIENTED_EDGE('',*,*,#194693,.T.); #256241=ORIENTED_EDGE('',*,*,#194690,.T.); #256242=ORIENTED_EDGE('',*,*,#194687,.T.); #256243=ORIENTED_EDGE('',*,*,#194684,.T.); #256244=ORIENTED_EDGE('',*,*,#194681,.T.); #256245=ORIENTED_EDGE('',*,*,#194678,.T.); #256246=ORIENTED_EDGE('',*,*,#194675,.T.); #256247=ORIENTED_EDGE('',*,*,#194672,.T.); #256248=ORIENTED_EDGE('',*,*,#194669,.T.); #256249=ORIENTED_EDGE('',*,*,#194666,.T.); #256250=ORIENTED_EDGE('',*,*,#194663,.T.); #256251=ORIENTED_EDGE('',*,*,#194660,.T.); #256252=ORIENTED_EDGE('',*,*,#194657,.T.); #256253=ORIENTED_EDGE('',*,*,#194654,.T.); #256254=ORIENTED_EDGE('',*,*,#194651,.T.); #256255=ORIENTED_EDGE('',*,*,#194648,.T.); #256256=ORIENTED_EDGE('',*,*,#194645,.T.); #256257=ORIENTED_EDGE('',*,*,#194642,.T.); #256258=ORIENTED_EDGE('',*,*,#194639,.T.); #256259=ORIENTED_EDGE('',*,*,#194636,.T.); #256260=ORIENTED_EDGE('',*,*,#194633,.T.); #256261=ORIENTED_EDGE('',*,*,#194630,.T.); #256262=ORIENTED_EDGE('',*,*,#194627,.T.); #256263=ORIENTED_EDGE('',*,*,#194624,.T.); #256264=ORIENTED_EDGE('',*,*,#194621,.T.); #256265=ORIENTED_EDGE('',*,*,#194618,.T.); #256266=ORIENTED_EDGE('',*,*,#194615,.T.); #256267=ORIENTED_EDGE('',*,*,#194612,.T.); #256268=ORIENTED_EDGE('',*,*,#194609,.T.); #256269=ORIENTED_EDGE('',*,*,#194606,.T.); #256270=ORIENTED_EDGE('',*,*,#194603,.T.); #256271=ORIENTED_EDGE('',*,*,#194600,.T.); #256272=ORIENTED_EDGE('',*,*,#194597,.T.); #256273=ORIENTED_EDGE('',*,*,#194594,.T.); #256274=ORIENTED_EDGE('',*,*,#194591,.T.); #256275=ORIENTED_EDGE('',*,*,#194588,.T.); #256276=ORIENTED_EDGE('',*,*,#194585,.T.); #256277=ORIENTED_EDGE('',*,*,#194582,.T.); #256278=ORIENTED_EDGE('',*,*,#194579,.T.); #256279=ORIENTED_EDGE('',*,*,#194576,.T.); #256280=ORIENTED_EDGE('',*,*,#194573,.T.); #256281=ORIENTED_EDGE('',*,*,#194570,.T.); #256282=ORIENTED_EDGE('',*,*,#194567,.T.); #256283=ORIENTED_EDGE('',*,*,#194564,.T.); #256284=ORIENTED_EDGE('',*,*,#194561,.T.); #256285=ORIENTED_EDGE('',*,*,#194558,.T.); #256286=ORIENTED_EDGE('',*,*,#194555,.T.); #256287=ORIENTED_EDGE('',*,*,#194552,.T.); #256288=ORIENTED_EDGE('',*,*,#194549,.T.); #256289=ORIENTED_EDGE('',*,*,#194546,.T.); #256290=ORIENTED_EDGE('',*,*,#194543,.T.); #256291=ORIENTED_EDGE('',*,*,#194540,.T.); #256292=ORIENTED_EDGE('',*,*,#194537,.T.); #256293=ORIENTED_EDGE('',*,*,#194534,.T.); #256294=ORIENTED_EDGE('',*,*,#194531,.T.); #256295=ORIENTED_EDGE('',*,*,#194528,.T.); #256296=ORIENTED_EDGE('',*,*,#194525,.T.); #256297=ORIENTED_EDGE('',*,*,#194522,.T.); #256298=ORIENTED_EDGE('',*,*,#194519,.T.); #256299=ORIENTED_EDGE('',*,*,#194516,.T.); #256300=ORIENTED_EDGE('',*,*,#194513,.T.); #256301=ORIENTED_EDGE('',*,*,#194510,.T.); #256302=ORIENTED_EDGE('',*,*,#194507,.T.); #256303=ORIENTED_EDGE('',*,*,#194504,.T.); #256304=ORIENTED_EDGE('',*,*,#194501,.T.); #256305=ORIENTED_EDGE('',*,*,#194498,.T.); #256306=ORIENTED_EDGE('',*,*,#194495,.T.); #256307=ORIENTED_EDGE('',*,*,#194492,.T.); #256308=ORIENTED_EDGE('',*,*,#194489,.T.); #256309=ORIENTED_EDGE('',*,*,#194486,.T.); #256310=ORIENTED_EDGE('',*,*,#194483,.T.); #256311=ORIENTED_EDGE('',*,*,#194480,.T.); #256312=ORIENTED_EDGE('',*,*,#194477,.T.); #256313=ORIENTED_EDGE('',*,*,#194474,.T.); #256314=ORIENTED_EDGE('',*,*,#194471,.T.); #256315=ORIENTED_EDGE('',*,*,#194468,.T.); #256316=ORIENTED_EDGE('',*,*,#194465,.T.); #256317=ORIENTED_EDGE('',*,*,#194462,.T.); #256318=ORIENTED_EDGE('',*,*,#194459,.T.); #256319=ORIENTED_EDGE('',*,*,#194456,.T.); #256320=ORIENTED_EDGE('',*,*,#194453,.T.); #256321=ORIENTED_EDGE('',*,*,#194450,.T.); #256322=ORIENTED_EDGE('',*,*,#194447,.T.); #256323=ORIENTED_EDGE('',*,*,#194444,.T.); #256324=ORIENTED_EDGE('',*,*,#194441,.T.); #256325=ORIENTED_EDGE('',*,*,#194438,.T.); #256326=ORIENTED_EDGE('',*,*,#194435,.T.); #256327=ORIENTED_EDGE('',*,*,#194432,.T.); #256328=ORIENTED_EDGE('',*,*,#194429,.T.); #256329=ORIENTED_EDGE('',*,*,#194426,.T.); #256330=ORIENTED_EDGE('',*,*,#194423,.T.); #256331=ORIENTED_EDGE('',*,*,#194420,.T.); #256332=ORIENTED_EDGE('',*,*,#194417,.T.); #256333=ORIENTED_EDGE('',*,*,#194414,.T.); #256334=ORIENTED_EDGE('',*,*,#194411,.T.); #256335=ORIENTED_EDGE('',*,*,#194408,.T.); #256336=ORIENTED_EDGE('',*,*,#194405,.T.); #256337=ORIENTED_EDGE('',*,*,#194402,.T.); #256338=ORIENTED_EDGE('',*,*,#194399,.T.); #256339=ORIENTED_EDGE('',*,*,#194396,.T.); #256340=ORIENTED_EDGE('',*,*,#194393,.T.); #256341=ORIENTED_EDGE('',*,*,#194390,.T.); #256342=ORIENTED_EDGE('',*,*,#194387,.T.); #256343=ORIENTED_EDGE('',*,*,#194384,.T.); #256344=ORIENTED_EDGE('',*,*,#194381,.T.); #256345=ORIENTED_EDGE('',*,*,#194378,.T.); #256346=ORIENTED_EDGE('',*,*,#194375,.T.); #256347=ORIENTED_EDGE('',*,*,#194372,.T.); #256348=ORIENTED_EDGE('',*,*,#194369,.T.); #256349=ORIENTED_EDGE('',*,*,#194366,.T.); #256350=ORIENTED_EDGE('',*,*,#194363,.T.); #256351=ORIENTED_EDGE('',*,*,#194360,.T.); #256352=ORIENTED_EDGE('',*,*,#194357,.T.); #256353=ORIENTED_EDGE('',*,*,#194354,.T.); #256354=ORIENTED_EDGE('',*,*,#194351,.T.); #256355=ORIENTED_EDGE('',*,*,#194348,.T.); #256356=ORIENTED_EDGE('',*,*,#194345,.T.); #256357=ORIENTED_EDGE('',*,*,#194342,.T.); #256358=ORIENTED_EDGE('',*,*,#194339,.T.); #256359=ORIENTED_EDGE('',*,*,#194336,.T.); #256360=ORIENTED_EDGE('',*,*,#194333,.T.); #256361=ORIENTED_EDGE('',*,*,#194330,.T.); #256362=ORIENTED_EDGE('',*,*,#194327,.T.); #256363=ORIENTED_EDGE('',*,*,#194324,.T.); #256364=ORIENTED_EDGE('',*,*,#194321,.T.); #256365=ORIENTED_EDGE('',*,*,#194318,.T.); #256366=ORIENTED_EDGE('',*,*,#194315,.T.); #256367=ORIENTED_EDGE('',*,*,#194312,.T.); #256368=ORIENTED_EDGE('',*,*,#194309,.T.); #256369=ORIENTED_EDGE('',*,*,#194306,.T.); #256370=ORIENTED_EDGE('',*,*,#194303,.T.); #256371=ORIENTED_EDGE('',*,*,#194300,.T.); #256372=ORIENTED_EDGE('',*,*,#194297,.T.); #256373=ORIENTED_EDGE('',*,*,#194294,.T.); #256374=ORIENTED_EDGE('',*,*,#194291,.T.); #256375=ORIENTED_EDGE('',*,*,#194288,.T.); #256376=ORIENTED_EDGE('',*,*,#194285,.T.); #256377=ORIENTED_EDGE('',*,*,#194282,.T.); #256378=ORIENTED_EDGE('',*,*,#194279,.T.); #256379=ORIENTED_EDGE('',*,*,#194276,.T.); #256380=ORIENTED_EDGE('',*,*,#194273,.T.); #256381=ORIENTED_EDGE('',*,*,#194270,.T.); #256382=ORIENTED_EDGE('',*,*,#194267,.T.); #256383=ORIENTED_EDGE('',*,*,#194264,.T.); #256384=ORIENTED_EDGE('',*,*,#194261,.T.); #256385=ORIENTED_EDGE('',*,*,#194258,.T.); #256386=ORIENTED_EDGE('',*,*,#194255,.T.); #256387=ORIENTED_EDGE('',*,*,#194252,.T.); #256388=ORIENTED_EDGE('',*,*,#194249,.T.); #256389=ORIENTED_EDGE('',*,*,#194246,.T.); #256390=ORIENTED_EDGE('',*,*,#194243,.T.); #256391=ORIENTED_EDGE('',*,*,#194240,.T.); #256392=ORIENTED_EDGE('',*,*,#194237,.T.); #256393=ORIENTED_EDGE('',*,*,#194234,.T.); #256394=ORIENTED_EDGE('',*,*,#194231,.T.); #256395=ORIENTED_EDGE('',*,*,#194228,.T.); #256396=ORIENTED_EDGE('',*,*,#194225,.T.); #256397=ORIENTED_EDGE('',*,*,#194222,.T.); #256398=ORIENTED_EDGE('',*,*,#194219,.T.); #256399=ORIENTED_EDGE('',*,*,#194216,.T.); #256400=ORIENTED_EDGE('',*,*,#194213,.T.); #256401=ORIENTED_EDGE('',*,*,#194210,.T.); #256402=ORIENTED_EDGE('',*,*,#194207,.T.); #256403=ORIENTED_EDGE('',*,*,#194204,.T.); #256404=ORIENTED_EDGE('',*,*,#194201,.T.); #256405=ORIENTED_EDGE('',*,*,#194198,.T.); #256406=ORIENTED_EDGE('',*,*,#194195,.T.); #256407=ORIENTED_EDGE('',*,*,#194192,.T.); #256408=ORIENTED_EDGE('',*,*,#194189,.T.); #256409=ORIENTED_EDGE('',*,*,#194186,.T.); #256410=ORIENTED_EDGE('',*,*,#194183,.T.); #256411=ORIENTED_EDGE('',*,*,#194180,.T.); #256412=ORIENTED_EDGE('',*,*,#194177,.T.); #256413=ORIENTED_EDGE('',*,*,#194174,.T.); #256414=ORIENTED_EDGE('',*,*,#194171,.T.); #256415=ORIENTED_EDGE('',*,*,#194168,.T.); #256416=ORIENTED_EDGE('',*,*,#194165,.T.); #256417=ORIENTED_EDGE('',*,*,#194162,.T.); #256418=ORIENTED_EDGE('',*,*,#194159,.T.); #256419=ORIENTED_EDGE('',*,*,#194156,.T.); #256420=ORIENTED_EDGE('',*,*,#194153,.T.); #256421=ORIENTED_EDGE('',*,*,#194150,.T.); #256422=ORIENTED_EDGE('',*,*,#194147,.T.); #256423=ORIENTED_EDGE('',*,*,#194144,.T.); #256424=ORIENTED_EDGE('',*,*,#194141,.T.); #256425=ORIENTED_EDGE('',*,*,#194138,.T.); #256426=ORIENTED_EDGE('',*,*,#194135,.T.); #256427=ORIENTED_EDGE('',*,*,#194132,.T.); #256428=ORIENTED_EDGE('',*,*,#194129,.T.); #256429=ORIENTED_EDGE('',*,*,#194126,.T.); #256430=ORIENTED_EDGE('',*,*,#194123,.T.); #256431=ORIENTED_EDGE('',*,*,#194120,.T.); #256432=ORIENTED_EDGE('',*,*,#194117,.T.); #256433=ORIENTED_EDGE('',*,*,#194114,.T.); #256434=ORIENTED_EDGE('',*,*,#194111,.T.); #256435=ORIENTED_EDGE('',*,*,#194108,.T.); #256436=ORIENTED_EDGE('',*,*,#194105,.T.); #256437=ORIENTED_EDGE('',*,*,#194102,.T.); #256438=ORIENTED_EDGE('',*,*,#194099,.T.); #256439=ORIENTED_EDGE('',*,*,#194096,.T.); #256440=ORIENTED_EDGE('',*,*,#194093,.T.); #256441=ORIENTED_EDGE('',*,*,#194090,.T.); #256442=ORIENTED_EDGE('',*,*,#194087,.T.); #256443=ORIENTED_EDGE('',*,*,#194084,.T.); #256444=ORIENTED_EDGE('',*,*,#194081,.T.); #256445=ORIENTED_EDGE('',*,*,#194078,.T.); #256446=ORIENTED_EDGE('',*,*,#194075,.T.); #256447=ORIENTED_EDGE('',*,*,#194072,.T.); #256448=ORIENTED_EDGE('',*,*,#194069,.T.); #256449=ORIENTED_EDGE('',*,*,#194066,.T.); #256450=ORIENTED_EDGE('',*,*,#194063,.T.); #256451=ORIENTED_EDGE('',*,*,#194060,.T.); #256452=ORIENTED_EDGE('',*,*,#194057,.T.); #256453=ORIENTED_EDGE('',*,*,#194054,.T.); #256454=ORIENTED_EDGE('',*,*,#194051,.T.); #256455=ORIENTED_EDGE('',*,*,#194048,.T.); #256456=ORIENTED_EDGE('',*,*,#194045,.T.); #256457=ORIENTED_EDGE('',*,*,#194042,.T.); #256458=ORIENTED_EDGE('',*,*,#194039,.T.); #256459=ORIENTED_EDGE('',*,*,#194036,.T.); #256460=ORIENTED_EDGE('',*,*,#194033,.T.); #256461=ORIENTED_EDGE('',*,*,#194030,.T.); #256462=ORIENTED_EDGE('',*,*,#194027,.T.); #256463=ORIENTED_EDGE('',*,*,#194024,.T.); #256464=ORIENTED_EDGE('',*,*,#194021,.T.); #256465=ORIENTED_EDGE('',*,*,#194018,.T.); #256466=ORIENTED_EDGE('',*,*,#194015,.T.); #256467=ORIENTED_EDGE('',*,*,#194012,.T.); #256468=ORIENTED_EDGE('',*,*,#194009,.T.); #256469=ORIENTED_EDGE('',*,*,#194006,.T.); #256470=ORIENTED_EDGE('',*,*,#194003,.T.); #256471=ORIENTED_EDGE('',*,*,#194000,.T.); #256472=ORIENTED_EDGE('',*,*,#193997,.T.); #256473=ORIENTED_EDGE('',*,*,#193994,.T.); #256474=ORIENTED_EDGE('',*,*,#193991,.T.); #256475=ORIENTED_EDGE('',*,*,#193988,.T.); #256476=ORIENTED_EDGE('',*,*,#193985,.T.); #256477=ORIENTED_EDGE('',*,*,#193982,.T.); #256478=ORIENTED_EDGE('',*,*,#193979,.T.); #256479=ORIENTED_EDGE('',*,*,#193976,.T.); #256480=ORIENTED_EDGE('',*,*,#193973,.T.); #256481=ORIENTED_EDGE('',*,*,#193970,.T.); #256482=ORIENTED_EDGE('',*,*,#193967,.T.); #256483=ORIENTED_EDGE('',*,*,#193964,.T.); #256484=ORIENTED_EDGE('',*,*,#193961,.T.); #256485=ORIENTED_EDGE('',*,*,#193958,.T.); #256486=ORIENTED_EDGE('',*,*,#193955,.T.); #256487=ORIENTED_EDGE('',*,*,#193952,.T.); #256488=ORIENTED_EDGE('',*,*,#193949,.T.); #256489=ORIENTED_EDGE('',*,*,#193946,.T.); #256490=ORIENTED_EDGE('',*,*,#193943,.T.); #256491=ORIENTED_EDGE('',*,*,#193940,.T.); #256492=ORIENTED_EDGE('',*,*,#193937,.T.); #256493=ORIENTED_EDGE('',*,*,#193934,.T.); #256494=ORIENTED_EDGE('',*,*,#193931,.T.); #256495=ORIENTED_EDGE('',*,*,#193928,.T.); #256496=ORIENTED_EDGE('',*,*,#193925,.T.); #256497=ORIENTED_EDGE('',*,*,#193922,.T.); #256498=ORIENTED_EDGE('',*,*,#193919,.T.); #256499=ORIENTED_EDGE('',*,*,#193916,.T.); #256500=ORIENTED_EDGE('',*,*,#193913,.T.); #256501=ORIENTED_EDGE('',*,*,#193910,.T.); #256502=ORIENTED_EDGE('',*,*,#193907,.T.); #256503=ORIENTED_EDGE('',*,*,#193904,.T.); #256504=ORIENTED_EDGE('',*,*,#193901,.T.); #256505=ORIENTED_EDGE('',*,*,#193898,.T.); #256506=ORIENTED_EDGE('',*,*,#193895,.T.); #256507=ORIENTED_EDGE('',*,*,#193892,.T.); #256508=ORIENTED_EDGE('',*,*,#193889,.T.); #256509=ORIENTED_EDGE('',*,*,#193886,.T.); #256510=ORIENTED_EDGE('',*,*,#193883,.T.); #256511=ORIENTED_EDGE('',*,*,#193880,.T.); #256512=ORIENTED_EDGE('',*,*,#193877,.T.); #256513=ORIENTED_EDGE('',*,*,#193874,.T.); #256514=ORIENTED_EDGE('',*,*,#193871,.T.); #256515=ORIENTED_EDGE('',*,*,#193868,.T.); #256516=ORIENTED_EDGE('',*,*,#193865,.T.); #256517=ORIENTED_EDGE('',*,*,#193862,.T.); #256518=ORIENTED_EDGE('',*,*,#193859,.T.); #256519=ORIENTED_EDGE('',*,*,#193856,.T.); #256520=ORIENTED_EDGE('',*,*,#193853,.T.); #256521=ORIENTED_EDGE('',*,*,#193850,.T.); #256522=ORIENTED_EDGE('',*,*,#193847,.T.); #256523=ORIENTED_EDGE('',*,*,#193844,.T.); #256524=ORIENTED_EDGE('',*,*,#193841,.T.); #256525=ORIENTED_EDGE('',*,*,#193838,.T.); #256526=ORIENTED_EDGE('',*,*,#193835,.T.); #256527=ORIENTED_EDGE('',*,*,#193832,.T.); #256528=ORIENTED_EDGE('',*,*,#193829,.T.); #256529=ORIENTED_EDGE('',*,*,#193826,.T.); #256530=ORIENTED_EDGE('',*,*,#193823,.T.); #256531=ORIENTED_EDGE('',*,*,#193820,.T.); #256532=ORIENTED_EDGE('',*,*,#193817,.T.); #256533=ORIENTED_EDGE('',*,*,#193814,.T.); #256534=ORIENTED_EDGE('',*,*,#193811,.T.); #256535=ORIENTED_EDGE('',*,*,#193808,.T.); #256536=ORIENTED_EDGE('',*,*,#193805,.T.); #256537=ORIENTED_EDGE('',*,*,#193802,.T.); #256538=ORIENTED_EDGE('',*,*,#193799,.T.); #256539=ORIENTED_EDGE('',*,*,#193796,.T.); #256540=ORIENTED_EDGE('',*,*,#193793,.T.); #256541=ORIENTED_EDGE('',*,*,#193790,.T.); #256542=ORIENTED_EDGE('',*,*,#193787,.T.); #256543=ORIENTED_EDGE('',*,*,#193784,.T.); #256544=ORIENTED_EDGE('',*,*,#193781,.T.); #256545=ORIENTED_EDGE('',*,*,#193778,.T.); #256546=ORIENTED_EDGE('',*,*,#193775,.T.); #256547=ORIENTED_EDGE('',*,*,#193772,.T.); #256548=ORIENTED_EDGE('',*,*,#193769,.T.); #256549=ORIENTED_EDGE('',*,*,#193766,.T.); #256550=ORIENTED_EDGE('',*,*,#193763,.T.); #256551=ORIENTED_EDGE('',*,*,#193760,.T.); #256552=ORIENTED_EDGE('',*,*,#193757,.T.); #256553=ORIENTED_EDGE('',*,*,#193754,.T.); #256554=ORIENTED_EDGE('',*,*,#193751,.T.); #256555=ORIENTED_EDGE('',*,*,#193748,.T.); #256556=ORIENTED_EDGE('',*,*,#193745,.T.); #256557=ORIENTED_EDGE('',*,*,#193742,.T.); #256558=ORIENTED_EDGE('',*,*,#193739,.T.); #256559=ORIENTED_EDGE('',*,*,#193736,.T.); #256560=ORIENTED_EDGE('',*,*,#193733,.T.); #256561=ORIENTED_EDGE('',*,*,#193730,.T.); #256562=ORIENTED_EDGE('',*,*,#193727,.T.); #256563=ORIENTED_EDGE('',*,*,#193724,.T.); #256564=ORIENTED_EDGE('',*,*,#193721,.T.); #256565=ORIENTED_EDGE('',*,*,#193718,.T.); #256566=ORIENTED_EDGE('',*,*,#193715,.T.); #256567=ORIENTED_EDGE('',*,*,#193712,.T.); #256568=ORIENTED_EDGE('',*,*,#193709,.T.); #256569=ORIENTED_EDGE('',*,*,#193706,.T.); #256570=ORIENTED_EDGE('',*,*,#193703,.T.); #256571=ORIENTED_EDGE('',*,*,#193700,.T.); #256572=ORIENTED_EDGE('',*,*,#193697,.T.); #256573=ORIENTED_EDGE('',*,*,#193694,.T.); #256574=ORIENTED_EDGE('',*,*,#193691,.T.); #256575=ORIENTED_EDGE('',*,*,#193688,.T.); #256576=ORIENTED_EDGE('',*,*,#193685,.T.); #256577=ORIENTED_EDGE('',*,*,#193682,.T.); #256578=ORIENTED_EDGE('',*,*,#193679,.T.); #256579=ORIENTED_EDGE('',*,*,#193676,.T.); #256580=ORIENTED_EDGE('',*,*,#193673,.T.); #256581=ORIENTED_EDGE('',*,*,#193670,.T.); #256582=ORIENTED_EDGE('',*,*,#193667,.T.); #256583=ORIENTED_EDGE('',*,*,#193664,.T.); #256584=ORIENTED_EDGE('',*,*,#193661,.T.); #256585=ORIENTED_EDGE('',*,*,#193658,.T.); #256586=ORIENTED_EDGE('',*,*,#193655,.T.); #256587=ORIENTED_EDGE('',*,*,#193652,.T.); #256588=ORIENTED_EDGE('',*,*,#193649,.T.); #256589=ORIENTED_EDGE('',*,*,#193646,.T.); #256590=ORIENTED_EDGE('',*,*,#193643,.T.); #256591=ORIENTED_EDGE('',*,*,#193640,.T.); #256592=ORIENTED_EDGE('',*,*,#193637,.T.); #256593=ORIENTED_EDGE('',*,*,#193634,.T.); #256594=ORIENTED_EDGE('',*,*,#193631,.T.); #256595=ORIENTED_EDGE('',*,*,#193628,.T.); #256596=ORIENTED_EDGE('',*,*,#193625,.T.); #256597=ORIENTED_EDGE('',*,*,#193622,.T.); #256598=ORIENTED_EDGE('',*,*,#193619,.T.); #256599=ORIENTED_EDGE('',*,*,#193616,.T.); #256600=ORIENTED_EDGE('',*,*,#193613,.T.); #256601=ORIENTED_EDGE('',*,*,#193610,.T.); #256602=ORIENTED_EDGE('',*,*,#193607,.T.); #256603=ORIENTED_EDGE('',*,*,#193604,.T.); #256604=ORIENTED_EDGE('',*,*,#193601,.T.); #256605=ORIENTED_EDGE('',*,*,#193598,.T.); #256606=ORIENTED_EDGE('',*,*,#193595,.T.); #256607=ORIENTED_EDGE('',*,*,#193592,.T.); #256608=ORIENTED_EDGE('',*,*,#193589,.T.); #256609=ORIENTED_EDGE('',*,*,#193586,.T.); #256610=ORIENTED_EDGE('',*,*,#193583,.T.); #256611=ORIENTED_EDGE('',*,*,#193580,.T.); #256612=ORIENTED_EDGE('',*,*,#193577,.T.); #256613=ORIENTED_EDGE('',*,*,#193574,.T.); #256614=ORIENTED_EDGE('',*,*,#193571,.T.); #256615=ORIENTED_EDGE('',*,*,#193568,.T.); #256616=ORIENTED_EDGE('',*,*,#193565,.T.); #256617=ORIENTED_EDGE('',*,*,#193562,.T.); #256618=ORIENTED_EDGE('',*,*,#193559,.T.); #256619=ORIENTED_EDGE('',*,*,#193556,.T.); #256620=ORIENTED_EDGE('',*,*,#193553,.T.); #256621=ORIENTED_EDGE('',*,*,#193550,.T.); #256622=ORIENTED_EDGE('',*,*,#193547,.T.); #256623=ORIENTED_EDGE('',*,*,#193544,.T.); #256624=ORIENTED_EDGE('',*,*,#193541,.T.); #256625=ORIENTED_EDGE('',*,*,#193538,.T.); #256626=ORIENTED_EDGE('',*,*,#193535,.T.); #256627=ORIENTED_EDGE('',*,*,#193532,.T.); #256628=ORIENTED_EDGE('',*,*,#193529,.T.); #256629=ORIENTED_EDGE('',*,*,#193526,.T.); #256630=ORIENTED_EDGE('',*,*,#193523,.T.); #256631=ORIENTED_EDGE('',*,*,#193520,.T.); #256632=ORIENTED_EDGE('',*,*,#193517,.T.); #256633=ORIENTED_EDGE('',*,*,#193514,.T.); #256634=ORIENTED_EDGE('',*,*,#193511,.T.); #256635=ORIENTED_EDGE('',*,*,#193508,.T.); #256636=ORIENTED_EDGE('',*,*,#193505,.T.); #256637=ORIENTED_EDGE('',*,*,#193502,.T.); #256638=ORIENTED_EDGE('',*,*,#193499,.T.); #256639=ORIENTED_EDGE('',*,*,#193496,.T.); #256640=ORIENTED_EDGE('',*,*,#193493,.T.); #256641=ORIENTED_EDGE('',*,*,#193490,.T.); #256642=ORIENTED_EDGE('',*,*,#193487,.T.); #256643=ORIENTED_EDGE('',*,*,#193484,.T.); #256644=ORIENTED_EDGE('',*,*,#193481,.T.); #256645=ORIENTED_EDGE('',*,*,#193478,.T.); #256646=ORIENTED_EDGE('',*,*,#193475,.T.); #256647=ORIENTED_EDGE('',*,*,#193472,.T.); #256648=ORIENTED_EDGE('',*,*,#193469,.T.); #256649=ORIENTED_EDGE('',*,*,#193466,.T.); #256650=ORIENTED_EDGE('',*,*,#193463,.T.); #256651=ORIENTED_EDGE('',*,*,#193460,.T.); #256652=ORIENTED_EDGE('',*,*,#193457,.T.); #256653=ORIENTED_EDGE('',*,*,#193454,.T.); #256654=ORIENTED_EDGE('',*,*,#193451,.T.); #256655=ORIENTED_EDGE('',*,*,#193448,.T.); #256656=ORIENTED_EDGE('',*,*,#193445,.T.); #256657=ORIENTED_EDGE('',*,*,#193442,.T.); #256658=ORIENTED_EDGE('',*,*,#193439,.T.); #256659=ORIENTED_EDGE('',*,*,#193436,.T.); #256660=ORIENTED_EDGE('',*,*,#193433,.T.); #256661=ORIENTED_EDGE('',*,*,#193430,.T.); #256662=ORIENTED_EDGE('',*,*,#193427,.T.); #256663=ORIENTED_EDGE('',*,*,#193424,.T.); #256664=ORIENTED_EDGE('',*,*,#193421,.T.); #256665=ORIENTED_EDGE('',*,*,#193418,.T.); #256666=ORIENTED_EDGE('',*,*,#193415,.T.); #256667=ORIENTED_EDGE('',*,*,#193412,.T.); #256668=ORIENTED_EDGE('',*,*,#193409,.T.); #256669=ORIENTED_EDGE('',*,*,#193406,.T.); #256670=ORIENTED_EDGE('',*,*,#193403,.T.); #256671=ORIENTED_EDGE('',*,*,#193400,.T.); #256672=ORIENTED_EDGE('',*,*,#193397,.T.); #256673=ORIENTED_EDGE('',*,*,#193394,.T.); #256674=ORIENTED_EDGE('',*,*,#193391,.T.); #256675=ORIENTED_EDGE('',*,*,#193388,.T.); #256676=ORIENTED_EDGE('',*,*,#193385,.T.); #256677=ORIENTED_EDGE('',*,*,#193382,.T.); #256678=ORIENTED_EDGE('',*,*,#193379,.T.); #256679=ORIENTED_EDGE('',*,*,#193376,.T.); #256680=ORIENTED_EDGE('',*,*,#193373,.T.); #256681=ORIENTED_EDGE('',*,*,#193370,.T.); #256682=ORIENTED_EDGE('',*,*,#193367,.T.); #256683=ORIENTED_EDGE('',*,*,#193364,.T.); #256684=ORIENTED_EDGE('',*,*,#193361,.T.); #256685=ORIENTED_EDGE('',*,*,#193358,.T.); #256686=ORIENTED_EDGE('',*,*,#193355,.T.); #256687=ORIENTED_EDGE('',*,*,#193352,.T.); #256688=ORIENTED_EDGE('',*,*,#193349,.T.); #256689=ORIENTED_EDGE('',*,*,#193346,.T.); #256690=ORIENTED_EDGE('',*,*,#193343,.T.); #256691=ORIENTED_EDGE('',*,*,#193340,.T.); #256692=ORIENTED_EDGE('',*,*,#193337,.T.); #256693=ORIENTED_EDGE('',*,*,#193334,.T.); #256694=ORIENTED_EDGE('',*,*,#193331,.T.); #256695=ORIENTED_EDGE('',*,*,#193328,.T.); #256696=ORIENTED_EDGE('',*,*,#193325,.T.); #256697=ORIENTED_EDGE('',*,*,#193322,.T.); #256698=ORIENTED_EDGE('',*,*,#193319,.T.); #256699=ORIENTED_EDGE('',*,*,#193316,.T.); #256700=ORIENTED_EDGE('',*,*,#193313,.T.); #256701=ORIENTED_EDGE('',*,*,#193310,.T.); #256702=ORIENTED_EDGE('',*,*,#193307,.T.); #256703=ORIENTED_EDGE('',*,*,#193304,.T.); #256704=ORIENTED_EDGE('',*,*,#193301,.T.); #256705=ORIENTED_EDGE('',*,*,#193298,.T.); #256706=ORIENTED_EDGE('',*,*,#193295,.T.); #256707=ORIENTED_EDGE('',*,*,#193292,.T.); #256708=ORIENTED_EDGE('',*,*,#193289,.T.); #256709=ORIENTED_EDGE('',*,*,#193286,.T.); #256710=ORIENTED_EDGE('',*,*,#193283,.T.); #256711=ORIENTED_EDGE('',*,*,#193280,.T.); #256712=ORIENTED_EDGE('',*,*,#193277,.T.); #256713=ORIENTED_EDGE('',*,*,#193274,.T.); #256714=ORIENTED_EDGE('',*,*,#193271,.T.); #256715=ORIENTED_EDGE('',*,*,#193268,.T.); #256716=ORIENTED_EDGE('',*,*,#193265,.T.); #256717=ORIENTED_EDGE('',*,*,#193262,.T.); #256718=ORIENTED_EDGE('',*,*,#193259,.T.); #256719=ORIENTED_EDGE('',*,*,#193256,.T.); #256720=ORIENTED_EDGE('',*,*,#193253,.T.); #256721=ORIENTED_EDGE('',*,*,#193250,.T.); #256722=ORIENTED_EDGE('',*,*,#193247,.T.); #256723=ORIENTED_EDGE('',*,*,#193244,.T.); #256724=ORIENTED_EDGE('',*,*,#193241,.T.); #256725=ORIENTED_EDGE('',*,*,#193238,.T.); #256726=ORIENTED_EDGE('',*,*,#193235,.T.); #256727=ORIENTED_EDGE('',*,*,#193232,.T.); #256728=ORIENTED_EDGE('',*,*,#193229,.T.); #256729=ORIENTED_EDGE('',*,*,#193226,.T.); #256730=ORIENTED_EDGE('',*,*,#193223,.T.); #256731=ORIENTED_EDGE('',*,*,#193220,.T.); #256732=ORIENTED_EDGE('',*,*,#193217,.T.); #256733=ORIENTED_EDGE('',*,*,#193214,.T.); #256734=ORIENTED_EDGE('',*,*,#193211,.T.); #256735=ORIENTED_EDGE('',*,*,#193208,.T.); #256736=ORIENTED_EDGE('',*,*,#193205,.T.); #256737=ORIENTED_EDGE('',*,*,#193202,.T.); #256738=ORIENTED_EDGE('',*,*,#193199,.T.); #256739=ORIENTED_EDGE('',*,*,#193196,.T.); #256740=ORIENTED_EDGE('',*,*,#193193,.T.); #256741=ORIENTED_EDGE('',*,*,#193190,.T.); #256742=ORIENTED_EDGE('',*,*,#193187,.T.); #256743=ORIENTED_EDGE('',*,*,#193184,.T.); #256744=ORIENTED_EDGE('',*,*,#193181,.T.); #256745=ORIENTED_EDGE('',*,*,#193178,.T.); #256746=ORIENTED_EDGE('',*,*,#193175,.T.); #256747=ORIENTED_EDGE('',*,*,#193172,.T.); #256748=ORIENTED_EDGE('',*,*,#193169,.T.); #256749=ORIENTED_EDGE('',*,*,#193166,.T.); #256750=ORIENTED_EDGE('',*,*,#193163,.T.); #256751=ORIENTED_EDGE('',*,*,#193160,.T.); #256752=ORIENTED_EDGE('',*,*,#193157,.T.); #256753=ORIENTED_EDGE('',*,*,#193154,.T.); #256754=ORIENTED_EDGE('',*,*,#193151,.T.); #256755=ORIENTED_EDGE('',*,*,#193148,.T.); #256756=ORIENTED_EDGE('',*,*,#193145,.T.); #256757=ORIENTED_EDGE('',*,*,#193142,.T.); #256758=ORIENTED_EDGE('',*,*,#193139,.T.); #256759=ORIENTED_EDGE('',*,*,#193136,.T.); #256760=ORIENTED_EDGE('',*,*,#193133,.T.); #256761=ORIENTED_EDGE('',*,*,#193130,.T.); #256762=ORIENTED_EDGE('',*,*,#193127,.T.); #256763=ORIENTED_EDGE('',*,*,#193124,.T.); #256764=ORIENTED_EDGE('',*,*,#193121,.T.); #256765=ORIENTED_EDGE('',*,*,#193118,.T.); #256766=ORIENTED_EDGE('',*,*,#193115,.T.); #256767=ORIENTED_EDGE('',*,*,#193112,.T.); #256768=ORIENTED_EDGE('',*,*,#193109,.T.); #256769=ORIENTED_EDGE('',*,*,#193106,.T.); #256770=ORIENTED_EDGE('',*,*,#193103,.T.); #256771=ORIENTED_EDGE('',*,*,#193100,.T.); #256772=ORIENTED_EDGE('',*,*,#193097,.T.); #256773=ORIENTED_EDGE('',*,*,#193094,.T.); #256774=ORIENTED_EDGE('',*,*,#193091,.T.); #256775=ORIENTED_EDGE('',*,*,#193088,.T.); #256776=ORIENTED_EDGE('',*,*,#193085,.T.); #256777=ORIENTED_EDGE('',*,*,#193082,.T.); #256778=ORIENTED_EDGE('',*,*,#193079,.T.); #256779=ORIENTED_EDGE('',*,*,#193076,.T.); #256780=ORIENTED_EDGE('',*,*,#193073,.T.); #256781=ORIENTED_EDGE('',*,*,#193070,.T.); #256782=ORIENTED_EDGE('',*,*,#193067,.T.); #256783=ORIENTED_EDGE('',*,*,#193064,.T.); #256784=ORIENTED_EDGE('',*,*,#193061,.T.); #256785=ORIENTED_EDGE('',*,*,#193058,.T.); #256786=ORIENTED_EDGE('',*,*,#193055,.T.); #256787=ORIENTED_EDGE('',*,*,#193052,.T.); #256788=ORIENTED_EDGE('',*,*,#193049,.T.); #256789=ORIENTED_EDGE('',*,*,#193046,.T.); #256790=ORIENTED_EDGE('',*,*,#193043,.T.); #256791=ORIENTED_EDGE('',*,*,#193040,.T.); #256792=ORIENTED_EDGE('',*,*,#193037,.T.); #256793=ORIENTED_EDGE('',*,*,#193034,.T.); #256794=ORIENTED_EDGE('',*,*,#193031,.T.); #256795=ORIENTED_EDGE('',*,*,#193028,.T.); #256796=ORIENTED_EDGE('',*,*,#193025,.T.); #256797=ORIENTED_EDGE('',*,*,#193022,.T.); #256798=ORIENTED_EDGE('',*,*,#193019,.T.); #256799=ORIENTED_EDGE('',*,*,#193016,.T.); #256800=ORIENTED_EDGE('',*,*,#193013,.T.); #256801=ORIENTED_EDGE('',*,*,#193010,.T.); #256802=ORIENTED_EDGE('',*,*,#193007,.T.); #256803=ORIENTED_EDGE('',*,*,#193004,.T.); #256804=ORIENTED_EDGE('',*,*,#193001,.T.); #256805=ORIENTED_EDGE('',*,*,#192998,.T.); #256806=ORIENTED_EDGE('',*,*,#192995,.T.); #256807=ORIENTED_EDGE('',*,*,#192992,.T.); #256808=ORIENTED_EDGE('',*,*,#192989,.T.); #256809=ORIENTED_EDGE('',*,*,#192986,.T.); #256810=ORIENTED_EDGE('',*,*,#192983,.T.); #256811=ORIENTED_EDGE('',*,*,#192980,.T.); #256812=ORIENTED_EDGE('',*,*,#192977,.T.); #256813=ORIENTED_EDGE('',*,*,#192974,.T.); #256814=ORIENTED_EDGE('',*,*,#192971,.T.); #256815=ORIENTED_EDGE('',*,*,#192968,.T.); #256816=ORIENTED_EDGE('',*,*,#192965,.T.); #256817=ORIENTED_EDGE('',*,*,#192962,.T.); #256818=ORIENTED_EDGE('',*,*,#192959,.T.); #256819=ORIENTED_EDGE('',*,*,#192956,.T.); #256820=ORIENTED_EDGE('',*,*,#192953,.T.); #256821=ORIENTED_EDGE('',*,*,#192950,.T.); #256822=ORIENTED_EDGE('',*,*,#192947,.T.); #256823=ORIENTED_EDGE('',*,*,#192944,.T.); #256824=ORIENTED_EDGE('',*,*,#192941,.T.); #256825=ORIENTED_EDGE('',*,*,#192938,.T.); #256826=ORIENTED_EDGE('',*,*,#192935,.T.); #256827=ORIENTED_EDGE('',*,*,#192932,.T.); #256828=ORIENTED_EDGE('',*,*,#192929,.T.); #256829=ORIENTED_EDGE('',*,*,#192926,.T.); #256830=ORIENTED_EDGE('',*,*,#192923,.T.); #256831=ORIENTED_EDGE('',*,*,#192920,.T.); #256832=ORIENTED_EDGE('',*,*,#192917,.T.); #256833=ORIENTED_EDGE('',*,*,#192914,.T.); #256834=ORIENTED_EDGE('',*,*,#192911,.T.); #256835=ORIENTED_EDGE('',*,*,#192908,.T.); #256836=ORIENTED_EDGE('',*,*,#192905,.T.); #256837=ORIENTED_EDGE('',*,*,#192902,.T.); #256838=ORIENTED_EDGE('',*,*,#195709,.F.); #256839=ORIENTED_EDGE('',*,*,#195351,.F.); #256840=ORIENTED_EDGE('',*,*,#195355,.F.); #256841=ORIENTED_EDGE('',*,*,#195358,.F.); #256842=ORIENTED_EDGE('',*,*,#195361,.F.); #256843=ORIENTED_EDGE('',*,*,#195364,.F.); #256844=ORIENTED_EDGE('',*,*,#195367,.F.); #256845=ORIENTED_EDGE('',*,*,#195370,.F.); #256846=ORIENTED_EDGE('',*,*,#195373,.F.); #256847=ORIENTED_EDGE('',*,*,#195376,.F.); #256848=ORIENTED_EDGE('',*,*,#195379,.F.); #256849=ORIENTED_EDGE('',*,*,#195382,.F.); #256850=ORIENTED_EDGE('',*,*,#195385,.F.); #256851=ORIENTED_EDGE('',*,*,#195388,.F.); #256852=ORIENTED_EDGE('',*,*,#195391,.F.); #256853=ORIENTED_EDGE('',*,*,#195394,.F.); #256854=ORIENTED_EDGE('',*,*,#195397,.F.); #256855=ORIENTED_EDGE('',*,*,#195400,.F.); #256856=ORIENTED_EDGE('',*,*,#195403,.F.); #256857=ORIENTED_EDGE('',*,*,#195406,.F.); #256858=ORIENTED_EDGE('',*,*,#195409,.F.); #256859=ORIENTED_EDGE('',*,*,#195412,.F.); #256860=ORIENTED_EDGE('',*,*,#195415,.F.); #256861=ORIENTED_EDGE('',*,*,#195418,.F.); #256862=ORIENTED_EDGE('',*,*,#195421,.F.); #256863=ORIENTED_EDGE('',*,*,#195424,.F.); #256864=ORIENTED_EDGE('',*,*,#195427,.F.); #256865=ORIENTED_EDGE('',*,*,#195430,.F.); #256866=ORIENTED_EDGE('',*,*,#195433,.F.); #256867=ORIENTED_EDGE('',*,*,#195436,.F.); #256868=ORIENTED_EDGE('',*,*,#195439,.F.); #256869=ORIENTED_EDGE('',*,*,#195442,.F.); #256870=ORIENTED_EDGE('',*,*,#195445,.F.); #256871=ORIENTED_EDGE('',*,*,#195448,.F.); #256872=ORIENTED_EDGE('',*,*,#195451,.F.); #256873=ORIENTED_EDGE('',*,*,#195454,.F.); #256874=ORIENTED_EDGE('',*,*,#195457,.F.); #256875=ORIENTED_EDGE('',*,*,#195460,.F.); #256876=ORIENTED_EDGE('',*,*,#195463,.F.); #256877=ORIENTED_EDGE('',*,*,#195466,.F.); #256878=ORIENTED_EDGE('',*,*,#195469,.F.); #256879=ORIENTED_EDGE('',*,*,#195472,.F.); #256880=ORIENTED_EDGE('',*,*,#195475,.F.); #256881=ORIENTED_EDGE('',*,*,#195478,.F.); #256882=ORIENTED_EDGE('',*,*,#195481,.F.); #256883=ORIENTED_EDGE('',*,*,#195484,.F.); #256884=ORIENTED_EDGE('',*,*,#195487,.F.); #256885=ORIENTED_EDGE('',*,*,#195490,.F.); #256886=ORIENTED_EDGE('',*,*,#195493,.F.); #256887=ORIENTED_EDGE('',*,*,#195496,.F.); #256888=ORIENTED_EDGE('',*,*,#195499,.F.); #256889=ORIENTED_EDGE('',*,*,#195502,.F.); #256890=ORIENTED_EDGE('',*,*,#195505,.F.); #256891=ORIENTED_EDGE('',*,*,#195508,.F.); #256892=ORIENTED_EDGE('',*,*,#195511,.F.); #256893=ORIENTED_EDGE('',*,*,#195514,.F.); #256894=ORIENTED_EDGE('',*,*,#195517,.F.); #256895=ORIENTED_EDGE('',*,*,#195520,.F.); #256896=ORIENTED_EDGE('',*,*,#195523,.F.); #256897=ORIENTED_EDGE('',*,*,#195526,.F.); #256898=ORIENTED_EDGE('',*,*,#195529,.F.); #256899=ORIENTED_EDGE('',*,*,#195532,.F.); #256900=ORIENTED_EDGE('',*,*,#195535,.F.); #256901=ORIENTED_EDGE('',*,*,#195538,.F.); #256902=ORIENTED_EDGE('',*,*,#195541,.F.); #256903=ORIENTED_EDGE('',*,*,#195544,.F.); #256904=ORIENTED_EDGE('',*,*,#195547,.F.); #256905=ORIENTED_EDGE('',*,*,#195550,.F.); #256906=ORIENTED_EDGE('',*,*,#195553,.F.); #256907=ORIENTED_EDGE('',*,*,#195556,.F.); #256908=ORIENTED_EDGE('',*,*,#195559,.F.); #256909=ORIENTED_EDGE('',*,*,#195562,.F.); #256910=ORIENTED_EDGE('',*,*,#195565,.F.); #256911=ORIENTED_EDGE('',*,*,#195568,.F.); #256912=ORIENTED_EDGE('',*,*,#195571,.F.); #256913=ORIENTED_EDGE('',*,*,#195574,.F.); #256914=ORIENTED_EDGE('',*,*,#195577,.F.); #256915=ORIENTED_EDGE('',*,*,#195580,.F.); #256916=ORIENTED_EDGE('',*,*,#195583,.F.); #256917=ORIENTED_EDGE('',*,*,#195586,.F.); #256918=ORIENTED_EDGE('',*,*,#195589,.F.); #256919=ORIENTED_EDGE('',*,*,#195592,.F.); #256920=ORIENTED_EDGE('',*,*,#195595,.F.); #256921=ORIENTED_EDGE('',*,*,#195598,.F.); #256922=ORIENTED_EDGE('',*,*,#195601,.F.); #256923=ORIENTED_EDGE('',*,*,#195604,.F.); #256924=ORIENTED_EDGE('',*,*,#195607,.F.); #256925=ORIENTED_EDGE('',*,*,#195610,.F.); #256926=ORIENTED_EDGE('',*,*,#195613,.F.); #256927=ORIENTED_EDGE('',*,*,#195616,.F.); #256928=ORIENTED_EDGE('',*,*,#195619,.F.); #256929=ORIENTED_EDGE('',*,*,#195622,.F.); #256930=ORIENTED_EDGE('',*,*,#195625,.F.); #256931=ORIENTED_EDGE('',*,*,#195628,.F.); #256932=ORIENTED_EDGE('',*,*,#195631,.F.); #256933=ORIENTED_EDGE('',*,*,#195634,.F.); #256934=ORIENTED_EDGE('',*,*,#195637,.F.); #256935=ORIENTED_EDGE('',*,*,#195640,.F.); #256936=ORIENTED_EDGE('',*,*,#195643,.F.); #256937=ORIENTED_EDGE('',*,*,#195646,.F.); #256938=ORIENTED_EDGE('',*,*,#195649,.F.); #256939=ORIENTED_EDGE('',*,*,#195652,.F.); #256940=ORIENTED_EDGE('',*,*,#195655,.F.); #256941=ORIENTED_EDGE('',*,*,#195658,.F.); #256942=ORIENTED_EDGE('',*,*,#195661,.F.); #256943=ORIENTED_EDGE('',*,*,#195664,.F.); #256944=ORIENTED_EDGE('',*,*,#195667,.F.); #256945=ORIENTED_EDGE('',*,*,#195670,.F.); #256946=ORIENTED_EDGE('',*,*,#195673,.F.); #256947=ORIENTED_EDGE('',*,*,#195676,.F.); #256948=ORIENTED_EDGE('',*,*,#195679,.F.); #256949=ORIENTED_EDGE('',*,*,#195682,.F.); #256950=ORIENTED_EDGE('',*,*,#195685,.F.); #256951=ORIENTED_EDGE('',*,*,#195688,.F.); #256952=ORIENTED_EDGE('',*,*,#195691,.F.); #256953=ORIENTED_EDGE('',*,*,#195694,.F.); #256954=ORIENTED_EDGE('',*,*,#195697,.F.); #256955=ORIENTED_EDGE('',*,*,#195700,.F.); #256956=ORIENTED_EDGE('',*,*,#195703,.F.); #256957=ORIENTED_EDGE('',*,*,#195706,.F.); #256958=ORIENTED_EDGE('',*,*,#192678,.T.); #256959=ORIENTED_EDGE('',*,*,#192681,.T.); #256960=ORIENTED_EDGE('',*,*,#192684,.T.); #256961=ORIENTED_EDGE('',*,*,#192687,.T.); #256962=ORIENTED_EDGE('',*,*,#192690,.T.); #256963=ORIENTED_EDGE('',*,*,#192693,.T.); #256964=ORIENTED_EDGE('',*,*,#192696,.T.); #256965=ORIENTED_EDGE('',*,*,#192699,.T.); #256966=ORIENTED_EDGE('',*,*,#192702,.T.); #256967=ORIENTED_EDGE('',*,*,#192705,.T.); #256968=ORIENTED_EDGE('',*,*,#192708,.T.); #256969=ORIENTED_EDGE('',*,*,#192711,.T.); #256970=ORIENTED_EDGE('',*,*,#192714,.T.); #256971=ORIENTED_EDGE('',*,*,#192717,.T.); #256972=ORIENTED_EDGE('',*,*,#192720,.T.); #256973=ORIENTED_EDGE('',*,*,#192723,.T.); #256974=ORIENTED_EDGE('',*,*,#192726,.T.); #256975=ORIENTED_EDGE('',*,*,#192729,.T.); #256976=ORIENTED_EDGE('',*,*,#192732,.T.); #256977=ORIENTED_EDGE('',*,*,#192735,.T.); #256978=ORIENTED_EDGE('',*,*,#192738,.T.); #256979=ORIENTED_EDGE('',*,*,#192741,.T.); #256980=ORIENTED_EDGE('',*,*,#192744,.T.); #256981=ORIENTED_EDGE('',*,*,#192747,.T.); #256982=ORIENTED_EDGE('',*,*,#192750,.T.); #256983=ORIENTED_EDGE('',*,*,#192753,.T.); #256984=ORIENTED_EDGE('',*,*,#192756,.T.); #256985=ORIENTED_EDGE('',*,*,#192759,.T.); #256986=ORIENTED_EDGE('',*,*,#192762,.T.); #256987=ORIENTED_EDGE('',*,*,#192765,.T.); #256988=ORIENTED_EDGE('',*,*,#192768,.T.); #256989=ORIENTED_EDGE('',*,*,#192771,.T.); #256990=ORIENTED_EDGE('',*,*,#192774,.T.); #256991=ORIENTED_EDGE('',*,*,#192777,.T.); #256992=ORIENTED_EDGE('',*,*,#192780,.T.); #256993=ORIENTED_EDGE('',*,*,#192791,.T.); #256994=ORIENTED_EDGE('',*,*,#192788,.T.); #256995=ORIENTED_EDGE('',*,*,#192785,.T.); #256996=ORIENTED_EDGE('',*,*,#192794,.T.); #256997=ORIENTED_EDGE('',*,*,#192795,.T.); #256998=ORIENTED_EDGE('',*,*,#192798,.T.); #256999=ORIENTED_EDGE('',*,*,#192801,.T.); #257000=ORIENTED_EDGE('',*,*,#192804,.T.); #257001=ORIENTED_EDGE('',*,*,#192815,.T.); #257002=ORIENTED_EDGE('',*,*,#192812,.T.); #257003=ORIENTED_EDGE('',*,*,#192809,.T.); #257004=ORIENTED_EDGE('',*,*,#192818,.T.); #257005=ORIENTED_EDGE('',*,*,#192827,.T.); #257006=ORIENTED_EDGE('',*,*,#192824,.T.); #257007=ORIENTED_EDGE('',*,*,#192821,.T.); #257008=ORIENTED_EDGE('',*,*,#192830,.T.); #257009=ORIENTED_EDGE('',*,*,#192839,.T.); #257010=ORIENTED_EDGE('',*,*,#192836,.T.); #257011=ORIENTED_EDGE('',*,*,#192833,.T.); #257012=ORIENTED_EDGE('',*,*,#192842,.T.); #257013=ORIENTED_EDGE('',*,*,#192843,.T.); #257014=ORIENTED_EDGE('',*,*,#192846,.T.); #257015=ORIENTED_EDGE('',*,*,#192849,.T.); #257016=ORIENTED_EDGE('',*,*,#192852,.T.); #257017=ORIENTED_EDGE('',*,*,#192855,.T.); #257018=ORIENTED_EDGE('',*,*,#192858,.T.); #257019=ORIENTED_EDGE('',*,*,#192861,.T.); #257020=ORIENTED_EDGE('',*,*,#192864,.T.); #257021=ORIENTED_EDGE('',*,*,#192867,.T.); #257022=ORIENTED_EDGE('',*,*,#192870,.T.); #257023=ORIENTED_EDGE('',*,*,#192873,.T.); #257024=ORIENTED_EDGE('',*,*,#192876,.T.); #257025=ORIENTED_EDGE('',*,*,#192879,.T.); #257026=ORIENTED_EDGE('',*,*,#192882,.T.); #257027=ORIENTED_EDGE('',*,*,#192885,.T.); #257028=ORIENTED_EDGE('',*,*,#192888,.T.); #257029=ORIENTED_EDGE('',*,*,#192891,.T.); #257030=ORIENTED_EDGE('',*,*,#192894,.T.); #257031=ORIENTED_EDGE('',*,*,#192897,.T.); #257032=ORIENTED_EDGE('',*,*,#195349,.F.); #257033=ORIENTED_EDGE('',*,*,#195327,.F.); #257034=ORIENTED_EDGE('',*,*,#195331,.F.); #257035=ORIENTED_EDGE('',*,*,#195334,.F.); #257036=ORIENTED_EDGE('',*,*,#195337,.F.); #257037=ORIENTED_EDGE('',*,*,#195340,.F.); #257038=ORIENTED_EDGE('',*,*,#195343,.F.); #257039=ORIENTED_EDGE('',*,*,#195346,.F.); #257040=ORIENTED_EDGE('',*,*,#195325,.F.); #257041=ORIENTED_EDGE('',*,*,#194970,.F.); #257042=ORIENTED_EDGE('',*,*,#194974,.F.); #257043=ORIENTED_EDGE('',*,*,#194977,.F.); #257044=ORIENTED_EDGE('',*,*,#194980,.F.); #257045=ORIENTED_EDGE('',*,*,#194983,.F.); #257046=ORIENTED_EDGE('',*,*,#194986,.F.); #257047=ORIENTED_EDGE('',*,*,#194989,.F.); #257048=ORIENTED_EDGE('',*,*,#194992,.F.); #257049=ORIENTED_EDGE('',*,*,#194995,.F.); #257050=ORIENTED_EDGE('',*,*,#194998,.F.); #257051=ORIENTED_EDGE('',*,*,#195001,.F.); #257052=ORIENTED_EDGE('',*,*,#195004,.F.); #257053=ORIENTED_EDGE('',*,*,#195007,.F.); #257054=ORIENTED_EDGE('',*,*,#195010,.F.); #257055=ORIENTED_EDGE('',*,*,#195013,.F.); #257056=ORIENTED_EDGE('',*,*,#195016,.F.); #257057=ORIENTED_EDGE('',*,*,#195019,.F.); #257058=ORIENTED_EDGE('',*,*,#195022,.F.); #257059=ORIENTED_EDGE('',*,*,#195025,.F.); #257060=ORIENTED_EDGE('',*,*,#195028,.F.); #257061=ORIENTED_EDGE('',*,*,#195031,.F.); #257062=ORIENTED_EDGE('',*,*,#195034,.F.); #257063=ORIENTED_EDGE('',*,*,#195037,.F.); #257064=ORIENTED_EDGE('',*,*,#195040,.F.); #257065=ORIENTED_EDGE('',*,*,#195043,.F.); #257066=ORIENTED_EDGE('',*,*,#195046,.F.); #257067=ORIENTED_EDGE('',*,*,#195049,.F.); #257068=ORIENTED_EDGE('',*,*,#195052,.F.); #257069=ORIENTED_EDGE('',*,*,#195055,.F.); #257070=ORIENTED_EDGE('',*,*,#195058,.F.); #257071=ORIENTED_EDGE('',*,*,#195061,.F.); #257072=ORIENTED_EDGE('',*,*,#195064,.F.); #257073=ORIENTED_EDGE('',*,*,#195067,.F.); #257074=ORIENTED_EDGE('',*,*,#195070,.F.); #257075=ORIENTED_EDGE('',*,*,#195073,.F.); #257076=ORIENTED_EDGE('',*,*,#195076,.F.); #257077=ORIENTED_EDGE('',*,*,#195079,.F.); #257078=ORIENTED_EDGE('',*,*,#195082,.F.); #257079=ORIENTED_EDGE('',*,*,#195085,.F.); #257080=ORIENTED_EDGE('',*,*,#195088,.F.); #257081=ORIENTED_EDGE('',*,*,#195091,.F.); #257082=ORIENTED_EDGE('',*,*,#195094,.F.); #257083=ORIENTED_EDGE('',*,*,#195097,.F.); #257084=ORIENTED_EDGE('',*,*,#195100,.F.); #257085=ORIENTED_EDGE('',*,*,#195103,.F.); #257086=ORIENTED_EDGE('',*,*,#195106,.F.); #257087=ORIENTED_EDGE('',*,*,#195109,.F.); #257088=ORIENTED_EDGE('',*,*,#195112,.F.); #257089=ORIENTED_EDGE('',*,*,#195115,.F.); #257090=ORIENTED_EDGE('',*,*,#195118,.F.); #257091=ORIENTED_EDGE('',*,*,#195121,.F.); #257092=ORIENTED_EDGE('',*,*,#195124,.F.); #257093=ORIENTED_EDGE('',*,*,#195127,.F.); #257094=ORIENTED_EDGE('',*,*,#195130,.F.); #257095=ORIENTED_EDGE('',*,*,#195133,.F.); #257096=ORIENTED_EDGE('',*,*,#195136,.F.); #257097=ORIENTED_EDGE('',*,*,#195139,.F.); #257098=ORIENTED_EDGE('',*,*,#195142,.F.); #257099=ORIENTED_EDGE('',*,*,#195145,.F.); #257100=ORIENTED_EDGE('',*,*,#195148,.F.); #257101=ORIENTED_EDGE('',*,*,#195151,.F.); #257102=ORIENTED_EDGE('',*,*,#195154,.F.); #257103=ORIENTED_EDGE('',*,*,#195157,.F.); #257104=ORIENTED_EDGE('',*,*,#195160,.F.); #257105=ORIENTED_EDGE('',*,*,#195163,.F.); #257106=ORIENTED_EDGE('',*,*,#195166,.F.); #257107=ORIENTED_EDGE('',*,*,#195169,.F.); #257108=ORIENTED_EDGE('',*,*,#195172,.F.); #257109=ORIENTED_EDGE('',*,*,#195175,.F.); #257110=ORIENTED_EDGE('',*,*,#195178,.F.); #257111=ORIENTED_EDGE('',*,*,#195181,.F.); #257112=ORIENTED_EDGE('',*,*,#195184,.F.); #257113=ORIENTED_EDGE('',*,*,#195187,.F.); #257114=ORIENTED_EDGE('',*,*,#195190,.F.); #257115=ORIENTED_EDGE('',*,*,#195193,.F.); #257116=ORIENTED_EDGE('',*,*,#195196,.F.); #257117=ORIENTED_EDGE('',*,*,#195199,.F.); #257118=ORIENTED_EDGE('',*,*,#195202,.F.); #257119=ORIENTED_EDGE('',*,*,#195205,.F.); #257120=ORIENTED_EDGE('',*,*,#195208,.F.); #257121=ORIENTED_EDGE('',*,*,#195211,.F.); #257122=ORIENTED_EDGE('',*,*,#195214,.F.); #257123=ORIENTED_EDGE('',*,*,#195217,.F.); #257124=ORIENTED_EDGE('',*,*,#195220,.F.); #257125=ORIENTED_EDGE('',*,*,#195223,.F.); #257126=ORIENTED_EDGE('',*,*,#195226,.F.); #257127=ORIENTED_EDGE('',*,*,#195229,.F.); #257128=ORIENTED_EDGE('',*,*,#195232,.F.); #257129=ORIENTED_EDGE('',*,*,#195235,.F.); #257130=ORIENTED_EDGE('',*,*,#195238,.F.); #257131=ORIENTED_EDGE('',*,*,#195241,.F.); #257132=ORIENTED_EDGE('',*,*,#195244,.F.); #257133=ORIENTED_EDGE('',*,*,#195247,.F.); #257134=ORIENTED_EDGE('',*,*,#195250,.F.); #257135=ORIENTED_EDGE('',*,*,#195253,.F.); #257136=ORIENTED_EDGE('',*,*,#195256,.F.); #257137=ORIENTED_EDGE('',*,*,#195259,.F.); #257138=ORIENTED_EDGE('',*,*,#195262,.F.); #257139=ORIENTED_EDGE('',*,*,#195265,.F.); #257140=ORIENTED_EDGE('',*,*,#195268,.F.); #257141=ORIENTED_EDGE('',*,*,#195271,.F.); #257142=ORIENTED_EDGE('',*,*,#195274,.F.); #257143=ORIENTED_EDGE('',*,*,#195277,.F.); #257144=ORIENTED_EDGE('',*,*,#195280,.F.); #257145=ORIENTED_EDGE('',*,*,#195283,.F.); #257146=ORIENTED_EDGE('',*,*,#195286,.F.); #257147=ORIENTED_EDGE('',*,*,#195289,.F.); #257148=ORIENTED_EDGE('',*,*,#195292,.F.); #257149=ORIENTED_EDGE('',*,*,#195295,.F.); #257150=ORIENTED_EDGE('',*,*,#195298,.F.); #257151=ORIENTED_EDGE('',*,*,#195301,.F.); #257152=ORIENTED_EDGE('',*,*,#195304,.F.); #257153=ORIENTED_EDGE('',*,*,#195307,.F.); #257154=ORIENTED_EDGE('',*,*,#195310,.F.); #257155=ORIENTED_EDGE('',*,*,#195313,.F.); #257156=ORIENTED_EDGE('',*,*,#195316,.F.); #257157=ORIENTED_EDGE('',*,*,#195319,.F.); #257158=ORIENTED_EDGE('',*,*,#195322,.F.); #257159=ORIENTED_EDGE('',*,*,#194968,.F.); #257160=ORIENTED_EDGE('',*,*,#193236,.F.); #257161=ORIENTED_EDGE('',*,*,#193240,.F.); #257162=ORIENTED_EDGE('',*,*,#193243,.F.); #257163=ORIENTED_EDGE('',*,*,#193246,.F.); #257164=ORIENTED_EDGE('',*,*,#193249,.F.); #257165=ORIENTED_EDGE('',*,*,#193252,.F.); #257166=ORIENTED_EDGE('',*,*,#193255,.F.); #257167=ORIENTED_EDGE('',*,*,#193258,.F.); #257168=ORIENTED_EDGE('',*,*,#193261,.F.); #257169=ORIENTED_EDGE('',*,*,#193264,.F.); #257170=ORIENTED_EDGE('',*,*,#193267,.F.); #257171=ORIENTED_EDGE('',*,*,#193270,.F.); #257172=ORIENTED_EDGE('',*,*,#193273,.F.); #257173=ORIENTED_EDGE('',*,*,#193276,.F.); #257174=ORIENTED_EDGE('',*,*,#193279,.F.); #257175=ORIENTED_EDGE('',*,*,#193282,.F.); #257176=ORIENTED_EDGE('',*,*,#193285,.F.); #257177=ORIENTED_EDGE('',*,*,#193288,.F.); #257178=ORIENTED_EDGE('',*,*,#193291,.F.); #257179=ORIENTED_EDGE('',*,*,#193294,.F.); #257180=ORIENTED_EDGE('',*,*,#193297,.F.); #257181=ORIENTED_EDGE('',*,*,#193300,.F.); #257182=ORIENTED_EDGE('',*,*,#193303,.F.); #257183=ORIENTED_EDGE('',*,*,#193306,.F.); #257184=ORIENTED_EDGE('',*,*,#193309,.F.); #257185=ORIENTED_EDGE('',*,*,#193312,.F.); #257186=ORIENTED_EDGE('',*,*,#193315,.F.); #257187=ORIENTED_EDGE('',*,*,#193318,.F.); #257188=ORIENTED_EDGE('',*,*,#193321,.F.); #257189=ORIENTED_EDGE('',*,*,#193324,.F.); #257190=ORIENTED_EDGE('',*,*,#193327,.F.); #257191=ORIENTED_EDGE('',*,*,#193330,.F.); #257192=ORIENTED_EDGE('',*,*,#193333,.F.); #257193=ORIENTED_EDGE('',*,*,#193336,.F.); #257194=ORIENTED_EDGE('',*,*,#193339,.F.); #257195=ORIENTED_EDGE('',*,*,#193342,.F.); #257196=ORIENTED_EDGE('',*,*,#193345,.F.); #257197=ORIENTED_EDGE('',*,*,#193348,.F.); #257198=ORIENTED_EDGE('',*,*,#193351,.F.); #257199=ORIENTED_EDGE('',*,*,#193354,.F.); #257200=ORIENTED_EDGE('',*,*,#193357,.F.); #257201=ORIENTED_EDGE('',*,*,#193360,.F.); #257202=ORIENTED_EDGE('',*,*,#193363,.F.); #257203=ORIENTED_EDGE('',*,*,#193366,.F.); #257204=ORIENTED_EDGE('',*,*,#193369,.F.); #257205=ORIENTED_EDGE('',*,*,#193372,.F.); #257206=ORIENTED_EDGE('',*,*,#193375,.F.); #257207=ORIENTED_EDGE('',*,*,#193378,.F.); #257208=ORIENTED_EDGE('',*,*,#193381,.F.); #257209=ORIENTED_EDGE('',*,*,#193384,.F.); #257210=ORIENTED_EDGE('',*,*,#193387,.F.); #257211=ORIENTED_EDGE('',*,*,#193390,.F.); #257212=ORIENTED_EDGE('',*,*,#193393,.F.); #257213=ORIENTED_EDGE('',*,*,#193396,.F.); #257214=ORIENTED_EDGE('',*,*,#193399,.F.); #257215=ORIENTED_EDGE('',*,*,#193402,.F.); #257216=ORIENTED_EDGE('',*,*,#193405,.F.); #257217=ORIENTED_EDGE('',*,*,#193408,.F.); #257218=ORIENTED_EDGE('',*,*,#193411,.F.); #257219=ORIENTED_EDGE('',*,*,#193414,.F.); #257220=ORIENTED_EDGE('',*,*,#193417,.F.); #257221=ORIENTED_EDGE('',*,*,#193420,.F.); #257222=ORIENTED_EDGE('',*,*,#193423,.F.); #257223=ORIENTED_EDGE('',*,*,#193426,.F.); #257224=ORIENTED_EDGE('',*,*,#193429,.F.); #257225=ORIENTED_EDGE('',*,*,#193432,.F.); #257226=ORIENTED_EDGE('',*,*,#193435,.F.); #257227=ORIENTED_EDGE('',*,*,#193438,.F.); #257228=ORIENTED_EDGE('',*,*,#193441,.F.); #257229=ORIENTED_EDGE('',*,*,#193444,.F.); #257230=ORIENTED_EDGE('',*,*,#193447,.F.); #257231=ORIENTED_EDGE('',*,*,#193450,.F.); #257232=ORIENTED_EDGE('',*,*,#193453,.F.); #257233=ORIENTED_EDGE('',*,*,#193456,.F.); #257234=ORIENTED_EDGE('',*,*,#193459,.F.); #257235=ORIENTED_EDGE('',*,*,#193462,.F.); #257236=ORIENTED_EDGE('',*,*,#193465,.F.); #257237=ORIENTED_EDGE('',*,*,#193468,.F.); #257238=ORIENTED_EDGE('',*,*,#193471,.F.); #257239=ORIENTED_EDGE('',*,*,#193474,.F.); #257240=ORIENTED_EDGE('',*,*,#193477,.F.); #257241=ORIENTED_EDGE('',*,*,#193480,.F.); #257242=ORIENTED_EDGE('',*,*,#193483,.F.); #257243=ORIENTED_EDGE('',*,*,#193486,.F.); #257244=ORIENTED_EDGE('',*,*,#193489,.F.); #257245=ORIENTED_EDGE('',*,*,#193492,.F.); #257246=ORIENTED_EDGE('',*,*,#193495,.F.); #257247=ORIENTED_EDGE('',*,*,#193498,.F.); #257248=ORIENTED_EDGE('',*,*,#193501,.F.); #257249=ORIENTED_EDGE('',*,*,#193504,.F.); #257250=ORIENTED_EDGE('',*,*,#193507,.F.); #257251=ORIENTED_EDGE('',*,*,#193510,.F.); #257252=ORIENTED_EDGE('',*,*,#193513,.F.); #257253=ORIENTED_EDGE('',*,*,#193516,.F.); #257254=ORIENTED_EDGE('',*,*,#193519,.F.); #257255=ORIENTED_EDGE('',*,*,#193522,.F.); #257256=ORIENTED_EDGE('',*,*,#193525,.F.); #257257=ORIENTED_EDGE('',*,*,#193528,.F.); #257258=ORIENTED_EDGE('',*,*,#193531,.F.); #257259=ORIENTED_EDGE('',*,*,#193534,.F.); #257260=ORIENTED_EDGE('',*,*,#193537,.F.); #257261=ORIENTED_EDGE('',*,*,#193540,.F.); #257262=ORIENTED_EDGE('',*,*,#193543,.F.); #257263=ORIENTED_EDGE('',*,*,#193546,.F.); #257264=ORIENTED_EDGE('',*,*,#193549,.F.); #257265=ORIENTED_EDGE('',*,*,#193552,.F.); #257266=ORIENTED_EDGE('',*,*,#193555,.F.); #257267=ORIENTED_EDGE('',*,*,#193558,.F.); #257268=ORIENTED_EDGE('',*,*,#193561,.F.); #257269=ORIENTED_EDGE('',*,*,#193564,.F.); #257270=ORIENTED_EDGE('',*,*,#193567,.F.); #257271=ORIENTED_EDGE('',*,*,#193570,.F.); #257272=ORIENTED_EDGE('',*,*,#193573,.F.); #257273=ORIENTED_EDGE('',*,*,#193576,.F.); #257274=ORIENTED_EDGE('',*,*,#193579,.F.); #257275=ORIENTED_EDGE('',*,*,#193582,.F.); #257276=ORIENTED_EDGE('',*,*,#193585,.F.); #257277=ORIENTED_EDGE('',*,*,#193588,.F.); #257278=ORIENTED_EDGE('',*,*,#193591,.F.); #257279=ORIENTED_EDGE('',*,*,#193594,.F.); #257280=ORIENTED_EDGE('',*,*,#193597,.F.); #257281=ORIENTED_EDGE('',*,*,#193600,.F.); #257282=ORIENTED_EDGE('',*,*,#193603,.F.); #257283=ORIENTED_EDGE('',*,*,#193606,.F.); #257284=ORIENTED_EDGE('',*,*,#193609,.F.); #257285=ORIENTED_EDGE('',*,*,#193612,.F.); #257286=ORIENTED_EDGE('',*,*,#193615,.F.); #257287=ORIENTED_EDGE('',*,*,#193618,.F.); #257288=ORIENTED_EDGE('',*,*,#193621,.F.); #257289=ORIENTED_EDGE('',*,*,#193624,.F.); #257290=ORIENTED_EDGE('',*,*,#193627,.F.); #257291=ORIENTED_EDGE('',*,*,#193630,.F.); #257292=ORIENTED_EDGE('',*,*,#193633,.F.); #257293=ORIENTED_EDGE('',*,*,#193636,.F.); #257294=ORIENTED_EDGE('',*,*,#193639,.F.); #257295=ORIENTED_EDGE('',*,*,#193642,.F.); #257296=ORIENTED_EDGE('',*,*,#193645,.F.); #257297=ORIENTED_EDGE('',*,*,#193648,.F.); #257298=ORIENTED_EDGE('',*,*,#193651,.F.); #257299=ORIENTED_EDGE('',*,*,#193654,.F.); #257300=ORIENTED_EDGE('',*,*,#193657,.F.); #257301=ORIENTED_EDGE('',*,*,#193660,.F.); #257302=ORIENTED_EDGE('',*,*,#193663,.F.); #257303=ORIENTED_EDGE('',*,*,#193666,.F.); #257304=ORIENTED_EDGE('',*,*,#193669,.F.); #257305=ORIENTED_EDGE('',*,*,#193672,.F.); #257306=ORIENTED_EDGE('',*,*,#193675,.F.); #257307=ORIENTED_EDGE('',*,*,#193678,.F.); #257308=ORIENTED_EDGE('',*,*,#193681,.F.); #257309=ORIENTED_EDGE('',*,*,#193684,.F.); #257310=ORIENTED_EDGE('',*,*,#193687,.F.); #257311=ORIENTED_EDGE('',*,*,#193690,.F.); #257312=ORIENTED_EDGE('',*,*,#193693,.F.); #257313=ORIENTED_EDGE('',*,*,#193696,.F.); #257314=ORIENTED_EDGE('',*,*,#193699,.F.); #257315=ORIENTED_EDGE('',*,*,#193702,.F.); #257316=ORIENTED_EDGE('',*,*,#193705,.F.); #257317=ORIENTED_EDGE('',*,*,#193708,.F.); #257318=ORIENTED_EDGE('',*,*,#193711,.F.); #257319=ORIENTED_EDGE('',*,*,#193714,.F.); #257320=ORIENTED_EDGE('',*,*,#193717,.F.); #257321=ORIENTED_EDGE('',*,*,#193720,.F.); #257322=ORIENTED_EDGE('',*,*,#193723,.F.); #257323=ORIENTED_EDGE('',*,*,#193726,.F.); #257324=ORIENTED_EDGE('',*,*,#193729,.F.); #257325=ORIENTED_EDGE('',*,*,#193732,.F.); #257326=ORIENTED_EDGE('',*,*,#193735,.F.); #257327=ORIENTED_EDGE('',*,*,#193738,.F.); #257328=ORIENTED_EDGE('',*,*,#193741,.F.); #257329=ORIENTED_EDGE('',*,*,#193744,.F.); #257330=ORIENTED_EDGE('',*,*,#193747,.F.); #257331=ORIENTED_EDGE('',*,*,#193750,.F.); #257332=ORIENTED_EDGE('',*,*,#193753,.F.); #257333=ORIENTED_EDGE('',*,*,#193756,.F.); #257334=ORIENTED_EDGE('',*,*,#193759,.F.); #257335=ORIENTED_EDGE('',*,*,#193762,.F.); #257336=ORIENTED_EDGE('',*,*,#193765,.F.); #257337=ORIENTED_EDGE('',*,*,#193768,.F.); #257338=ORIENTED_EDGE('',*,*,#193771,.F.); #257339=ORIENTED_EDGE('',*,*,#193774,.F.); #257340=ORIENTED_EDGE('',*,*,#193777,.F.); #257341=ORIENTED_EDGE('',*,*,#193780,.F.); #257342=ORIENTED_EDGE('',*,*,#193783,.F.); #257343=ORIENTED_EDGE('',*,*,#193786,.F.); #257344=ORIENTED_EDGE('',*,*,#193789,.F.); #257345=ORIENTED_EDGE('',*,*,#193792,.F.); #257346=ORIENTED_EDGE('',*,*,#193795,.F.); #257347=ORIENTED_EDGE('',*,*,#193798,.F.); #257348=ORIENTED_EDGE('',*,*,#193801,.F.); #257349=ORIENTED_EDGE('',*,*,#193804,.F.); #257350=ORIENTED_EDGE('',*,*,#193807,.F.); #257351=ORIENTED_EDGE('',*,*,#193810,.F.); #257352=ORIENTED_EDGE('',*,*,#193813,.F.); #257353=ORIENTED_EDGE('',*,*,#193816,.F.); #257354=ORIENTED_EDGE('',*,*,#193819,.F.); #257355=ORIENTED_EDGE('',*,*,#193822,.F.); #257356=ORIENTED_EDGE('',*,*,#193825,.F.); #257357=ORIENTED_EDGE('',*,*,#193828,.F.); #257358=ORIENTED_EDGE('',*,*,#193831,.F.); #257359=ORIENTED_EDGE('',*,*,#193834,.F.); #257360=ORIENTED_EDGE('',*,*,#193837,.F.); #257361=ORIENTED_EDGE('',*,*,#193840,.F.); #257362=ORIENTED_EDGE('',*,*,#193843,.F.); #257363=ORIENTED_EDGE('',*,*,#193846,.F.); #257364=ORIENTED_EDGE('',*,*,#193849,.F.); #257365=ORIENTED_EDGE('',*,*,#193852,.F.); #257366=ORIENTED_EDGE('',*,*,#193855,.F.); #257367=ORIENTED_EDGE('',*,*,#193858,.F.); #257368=ORIENTED_EDGE('',*,*,#193861,.F.); #257369=ORIENTED_EDGE('',*,*,#193864,.F.); #257370=ORIENTED_EDGE('',*,*,#193867,.F.); #257371=ORIENTED_EDGE('',*,*,#193870,.F.); #257372=ORIENTED_EDGE('',*,*,#193873,.F.); #257373=ORIENTED_EDGE('',*,*,#193876,.F.); #257374=ORIENTED_EDGE('',*,*,#193879,.F.); #257375=ORIENTED_EDGE('',*,*,#193882,.F.); #257376=ORIENTED_EDGE('',*,*,#193885,.F.); #257377=ORIENTED_EDGE('',*,*,#193888,.F.); #257378=ORIENTED_EDGE('',*,*,#193891,.F.); #257379=ORIENTED_EDGE('',*,*,#193894,.F.); #257380=ORIENTED_EDGE('',*,*,#193897,.F.); #257381=ORIENTED_EDGE('',*,*,#193900,.F.); #257382=ORIENTED_EDGE('',*,*,#193903,.F.); #257383=ORIENTED_EDGE('',*,*,#193906,.F.); #257384=ORIENTED_EDGE('',*,*,#193909,.F.); #257385=ORIENTED_EDGE('',*,*,#193912,.F.); #257386=ORIENTED_EDGE('',*,*,#193915,.F.); #257387=ORIENTED_EDGE('',*,*,#193918,.F.); #257388=ORIENTED_EDGE('',*,*,#193921,.F.); #257389=ORIENTED_EDGE('',*,*,#193924,.F.); #257390=ORIENTED_EDGE('',*,*,#193927,.F.); #257391=ORIENTED_EDGE('',*,*,#193930,.F.); #257392=ORIENTED_EDGE('',*,*,#193933,.F.); #257393=ORIENTED_EDGE('',*,*,#193936,.F.); #257394=ORIENTED_EDGE('',*,*,#193939,.F.); #257395=ORIENTED_EDGE('',*,*,#193942,.F.); #257396=ORIENTED_EDGE('',*,*,#193945,.F.); #257397=ORIENTED_EDGE('',*,*,#193948,.F.); #257398=ORIENTED_EDGE('',*,*,#193951,.F.); #257399=ORIENTED_EDGE('',*,*,#193954,.F.); #257400=ORIENTED_EDGE('',*,*,#193957,.F.); #257401=ORIENTED_EDGE('',*,*,#193960,.F.); #257402=ORIENTED_EDGE('',*,*,#193963,.F.); #257403=ORIENTED_EDGE('',*,*,#193966,.F.); #257404=ORIENTED_EDGE('',*,*,#193969,.F.); #257405=ORIENTED_EDGE('',*,*,#193972,.F.); #257406=ORIENTED_EDGE('',*,*,#193975,.F.); #257407=ORIENTED_EDGE('',*,*,#193978,.F.); #257408=ORIENTED_EDGE('',*,*,#193981,.F.); #257409=ORIENTED_EDGE('',*,*,#193984,.F.); #257410=ORIENTED_EDGE('',*,*,#193987,.F.); #257411=ORIENTED_EDGE('',*,*,#193990,.F.); #257412=ORIENTED_EDGE('',*,*,#193993,.F.); #257413=ORIENTED_EDGE('',*,*,#193996,.F.); #257414=ORIENTED_EDGE('',*,*,#193999,.F.); #257415=ORIENTED_EDGE('',*,*,#194002,.F.); #257416=ORIENTED_EDGE('',*,*,#194005,.F.); #257417=ORIENTED_EDGE('',*,*,#194008,.F.); #257418=ORIENTED_EDGE('',*,*,#194011,.F.); #257419=ORIENTED_EDGE('',*,*,#194014,.F.); #257420=ORIENTED_EDGE('',*,*,#194017,.F.); #257421=ORIENTED_EDGE('',*,*,#194020,.F.); #257422=ORIENTED_EDGE('',*,*,#194023,.F.); #257423=ORIENTED_EDGE('',*,*,#194026,.F.); #257424=ORIENTED_EDGE('',*,*,#194029,.F.); #257425=ORIENTED_EDGE('',*,*,#194032,.F.); #257426=ORIENTED_EDGE('',*,*,#194035,.F.); #257427=ORIENTED_EDGE('',*,*,#194038,.F.); #257428=ORIENTED_EDGE('',*,*,#194041,.F.); #257429=ORIENTED_EDGE('',*,*,#194044,.F.); #257430=ORIENTED_EDGE('',*,*,#194047,.F.); #257431=ORIENTED_EDGE('',*,*,#194050,.F.); #257432=ORIENTED_EDGE('',*,*,#194053,.F.); #257433=ORIENTED_EDGE('',*,*,#194056,.F.); #257434=ORIENTED_EDGE('',*,*,#194059,.F.); #257435=ORIENTED_EDGE('',*,*,#194062,.F.); #257436=ORIENTED_EDGE('',*,*,#194065,.F.); #257437=ORIENTED_EDGE('',*,*,#194068,.F.); #257438=ORIENTED_EDGE('',*,*,#194071,.F.); #257439=ORIENTED_EDGE('',*,*,#194074,.F.); #257440=ORIENTED_EDGE('',*,*,#194077,.F.); #257441=ORIENTED_EDGE('',*,*,#194080,.F.); #257442=ORIENTED_EDGE('',*,*,#194083,.F.); #257443=ORIENTED_EDGE('',*,*,#194086,.F.); #257444=ORIENTED_EDGE('',*,*,#194089,.F.); #257445=ORIENTED_EDGE('',*,*,#194092,.F.); #257446=ORIENTED_EDGE('',*,*,#194095,.F.); #257447=ORIENTED_EDGE('',*,*,#194098,.F.); #257448=ORIENTED_EDGE('',*,*,#194101,.F.); #257449=ORIENTED_EDGE('',*,*,#194104,.F.); #257450=ORIENTED_EDGE('',*,*,#194107,.F.); #257451=ORIENTED_EDGE('',*,*,#194110,.F.); #257452=ORIENTED_EDGE('',*,*,#194113,.F.); #257453=ORIENTED_EDGE('',*,*,#194116,.F.); #257454=ORIENTED_EDGE('',*,*,#194119,.F.); #257455=ORIENTED_EDGE('',*,*,#194122,.F.); #257456=ORIENTED_EDGE('',*,*,#194125,.F.); #257457=ORIENTED_EDGE('',*,*,#194128,.F.); #257458=ORIENTED_EDGE('',*,*,#194131,.F.); #257459=ORIENTED_EDGE('',*,*,#194134,.F.); #257460=ORIENTED_EDGE('',*,*,#194137,.F.); #257461=ORIENTED_EDGE('',*,*,#194140,.F.); #257462=ORIENTED_EDGE('',*,*,#194143,.F.); #257463=ORIENTED_EDGE('',*,*,#194146,.F.); #257464=ORIENTED_EDGE('',*,*,#194149,.F.); #257465=ORIENTED_EDGE('',*,*,#194152,.F.); #257466=ORIENTED_EDGE('',*,*,#194155,.F.); #257467=ORIENTED_EDGE('',*,*,#194158,.F.); #257468=ORIENTED_EDGE('',*,*,#194161,.F.); #257469=ORIENTED_EDGE('',*,*,#194164,.F.); #257470=ORIENTED_EDGE('',*,*,#194167,.F.); #257471=ORIENTED_EDGE('',*,*,#194170,.F.); #257472=ORIENTED_EDGE('',*,*,#194173,.F.); #257473=ORIENTED_EDGE('',*,*,#194176,.F.); #257474=ORIENTED_EDGE('',*,*,#194179,.F.); #257475=ORIENTED_EDGE('',*,*,#194182,.F.); #257476=ORIENTED_EDGE('',*,*,#194185,.F.); #257477=ORIENTED_EDGE('',*,*,#194188,.F.); #257478=ORIENTED_EDGE('',*,*,#194191,.F.); #257479=ORIENTED_EDGE('',*,*,#194194,.F.); #257480=ORIENTED_EDGE('',*,*,#194197,.F.); #257481=ORIENTED_EDGE('',*,*,#194200,.F.); #257482=ORIENTED_EDGE('',*,*,#194203,.F.); #257483=ORIENTED_EDGE('',*,*,#194206,.F.); #257484=ORIENTED_EDGE('',*,*,#194209,.F.); #257485=ORIENTED_EDGE('',*,*,#194212,.F.); #257486=ORIENTED_EDGE('',*,*,#194215,.F.); #257487=ORIENTED_EDGE('',*,*,#194218,.F.); #257488=ORIENTED_EDGE('',*,*,#194221,.F.); #257489=ORIENTED_EDGE('',*,*,#194224,.F.); #257490=ORIENTED_EDGE('',*,*,#194227,.F.); #257491=ORIENTED_EDGE('',*,*,#194230,.F.); #257492=ORIENTED_EDGE('',*,*,#194233,.F.); #257493=ORIENTED_EDGE('',*,*,#194236,.F.); #257494=ORIENTED_EDGE('',*,*,#194239,.F.); #257495=ORIENTED_EDGE('',*,*,#194242,.F.); #257496=ORIENTED_EDGE('',*,*,#194245,.F.); #257497=ORIENTED_EDGE('',*,*,#194248,.F.); #257498=ORIENTED_EDGE('',*,*,#194251,.F.); #257499=ORIENTED_EDGE('',*,*,#194254,.F.); #257500=ORIENTED_EDGE('',*,*,#194257,.F.); #257501=ORIENTED_EDGE('',*,*,#194260,.F.); #257502=ORIENTED_EDGE('',*,*,#194263,.F.); #257503=ORIENTED_EDGE('',*,*,#194266,.F.); #257504=ORIENTED_EDGE('',*,*,#194269,.F.); #257505=ORIENTED_EDGE('',*,*,#194272,.F.); #257506=ORIENTED_EDGE('',*,*,#194275,.F.); #257507=ORIENTED_EDGE('',*,*,#194278,.F.); #257508=ORIENTED_EDGE('',*,*,#194281,.F.); #257509=ORIENTED_EDGE('',*,*,#194284,.F.); #257510=ORIENTED_EDGE('',*,*,#194287,.F.); #257511=ORIENTED_EDGE('',*,*,#194290,.F.); #257512=ORIENTED_EDGE('',*,*,#194293,.F.); #257513=ORIENTED_EDGE('',*,*,#194296,.F.); #257514=ORIENTED_EDGE('',*,*,#194299,.F.); #257515=ORIENTED_EDGE('',*,*,#194302,.F.); #257516=ORIENTED_EDGE('',*,*,#194305,.F.); #257517=ORIENTED_EDGE('',*,*,#194308,.F.); #257518=ORIENTED_EDGE('',*,*,#194311,.F.); #257519=ORIENTED_EDGE('',*,*,#194314,.F.); #257520=ORIENTED_EDGE('',*,*,#194317,.F.); #257521=ORIENTED_EDGE('',*,*,#194320,.F.); #257522=ORIENTED_EDGE('',*,*,#194323,.F.); #257523=ORIENTED_EDGE('',*,*,#194326,.F.); #257524=ORIENTED_EDGE('',*,*,#194329,.F.); #257525=ORIENTED_EDGE('',*,*,#194332,.F.); #257526=ORIENTED_EDGE('',*,*,#194335,.F.); #257527=ORIENTED_EDGE('',*,*,#194338,.F.); #257528=ORIENTED_EDGE('',*,*,#194341,.F.); #257529=ORIENTED_EDGE('',*,*,#194344,.F.); #257530=ORIENTED_EDGE('',*,*,#194347,.F.); #257531=ORIENTED_EDGE('',*,*,#194350,.F.); #257532=ORIENTED_EDGE('',*,*,#194353,.F.); #257533=ORIENTED_EDGE('',*,*,#194356,.F.); #257534=ORIENTED_EDGE('',*,*,#194359,.F.); #257535=ORIENTED_EDGE('',*,*,#194362,.F.); #257536=ORIENTED_EDGE('',*,*,#194365,.F.); #257537=ORIENTED_EDGE('',*,*,#194368,.F.); #257538=ORIENTED_EDGE('',*,*,#194371,.F.); #257539=ORIENTED_EDGE('',*,*,#194374,.F.); #257540=ORIENTED_EDGE('',*,*,#194377,.F.); #257541=ORIENTED_EDGE('',*,*,#194380,.F.); #257542=ORIENTED_EDGE('',*,*,#194383,.F.); #257543=ORIENTED_EDGE('',*,*,#194386,.F.); #257544=ORIENTED_EDGE('',*,*,#194389,.F.); #257545=ORIENTED_EDGE('',*,*,#194392,.F.); #257546=ORIENTED_EDGE('',*,*,#194395,.F.); #257547=ORIENTED_EDGE('',*,*,#194398,.F.); #257548=ORIENTED_EDGE('',*,*,#194401,.F.); #257549=ORIENTED_EDGE('',*,*,#194404,.F.); #257550=ORIENTED_EDGE('',*,*,#194407,.F.); #257551=ORIENTED_EDGE('',*,*,#194410,.F.); #257552=ORIENTED_EDGE('',*,*,#194413,.F.); #257553=ORIENTED_EDGE('',*,*,#194416,.F.); #257554=ORIENTED_EDGE('',*,*,#194419,.F.); #257555=ORIENTED_EDGE('',*,*,#194422,.F.); #257556=ORIENTED_EDGE('',*,*,#194425,.F.); #257557=ORIENTED_EDGE('',*,*,#194428,.F.); #257558=ORIENTED_EDGE('',*,*,#194431,.F.); #257559=ORIENTED_EDGE('',*,*,#194434,.F.); #257560=ORIENTED_EDGE('',*,*,#194437,.F.); #257561=ORIENTED_EDGE('',*,*,#194440,.F.); #257562=ORIENTED_EDGE('',*,*,#194443,.F.); #257563=ORIENTED_EDGE('',*,*,#194446,.F.); #257564=ORIENTED_EDGE('',*,*,#194449,.F.); #257565=ORIENTED_EDGE('',*,*,#194452,.F.); #257566=ORIENTED_EDGE('',*,*,#194455,.F.); #257567=ORIENTED_EDGE('',*,*,#194458,.F.); #257568=ORIENTED_EDGE('',*,*,#194461,.F.); #257569=ORIENTED_EDGE('',*,*,#194464,.F.); #257570=ORIENTED_EDGE('',*,*,#194467,.F.); #257571=ORIENTED_EDGE('',*,*,#194470,.F.); #257572=ORIENTED_EDGE('',*,*,#194473,.F.); #257573=ORIENTED_EDGE('',*,*,#194476,.F.); #257574=ORIENTED_EDGE('',*,*,#194479,.F.); #257575=ORIENTED_EDGE('',*,*,#194482,.F.); #257576=ORIENTED_EDGE('',*,*,#194485,.F.); #257577=ORIENTED_EDGE('',*,*,#194488,.F.); #257578=ORIENTED_EDGE('',*,*,#194491,.F.); #257579=ORIENTED_EDGE('',*,*,#194494,.F.); #257580=ORIENTED_EDGE('',*,*,#194497,.F.); #257581=ORIENTED_EDGE('',*,*,#194500,.F.); #257582=ORIENTED_EDGE('',*,*,#194503,.F.); #257583=ORIENTED_EDGE('',*,*,#194506,.F.); #257584=ORIENTED_EDGE('',*,*,#194509,.F.); #257585=ORIENTED_EDGE('',*,*,#194512,.F.); #257586=ORIENTED_EDGE('',*,*,#194515,.F.); #257587=ORIENTED_EDGE('',*,*,#194518,.F.); #257588=ORIENTED_EDGE('',*,*,#194521,.F.); #257589=ORIENTED_EDGE('',*,*,#194524,.F.); #257590=ORIENTED_EDGE('',*,*,#194527,.F.); #257591=ORIENTED_EDGE('',*,*,#194530,.F.); #257592=ORIENTED_EDGE('',*,*,#194533,.F.); #257593=ORIENTED_EDGE('',*,*,#194536,.F.); #257594=ORIENTED_EDGE('',*,*,#194539,.F.); #257595=ORIENTED_EDGE('',*,*,#194542,.F.); #257596=ORIENTED_EDGE('',*,*,#194545,.F.); #257597=ORIENTED_EDGE('',*,*,#194548,.F.); #257598=ORIENTED_EDGE('',*,*,#194551,.F.); #257599=ORIENTED_EDGE('',*,*,#194554,.F.); #257600=ORIENTED_EDGE('',*,*,#194557,.F.); #257601=ORIENTED_EDGE('',*,*,#194560,.F.); #257602=ORIENTED_EDGE('',*,*,#194563,.F.); #257603=ORIENTED_EDGE('',*,*,#194566,.F.); #257604=ORIENTED_EDGE('',*,*,#194569,.F.); #257605=ORIENTED_EDGE('',*,*,#194572,.F.); #257606=ORIENTED_EDGE('',*,*,#194575,.F.); #257607=ORIENTED_EDGE('',*,*,#194578,.F.); #257608=ORIENTED_EDGE('',*,*,#194581,.F.); #257609=ORIENTED_EDGE('',*,*,#194584,.F.); #257610=ORIENTED_EDGE('',*,*,#194587,.F.); #257611=ORIENTED_EDGE('',*,*,#194590,.F.); #257612=ORIENTED_EDGE('',*,*,#194593,.F.); #257613=ORIENTED_EDGE('',*,*,#194596,.F.); #257614=ORIENTED_EDGE('',*,*,#194599,.F.); #257615=ORIENTED_EDGE('',*,*,#194602,.F.); #257616=ORIENTED_EDGE('',*,*,#194605,.F.); #257617=ORIENTED_EDGE('',*,*,#194608,.F.); #257618=ORIENTED_EDGE('',*,*,#194611,.F.); #257619=ORIENTED_EDGE('',*,*,#194614,.F.); #257620=ORIENTED_EDGE('',*,*,#194617,.F.); #257621=ORIENTED_EDGE('',*,*,#194620,.F.); #257622=ORIENTED_EDGE('',*,*,#194623,.F.); #257623=ORIENTED_EDGE('',*,*,#194626,.F.); #257624=ORIENTED_EDGE('',*,*,#194629,.F.); #257625=ORIENTED_EDGE('',*,*,#194632,.F.); #257626=ORIENTED_EDGE('',*,*,#194635,.F.); #257627=ORIENTED_EDGE('',*,*,#194638,.F.); #257628=ORIENTED_EDGE('',*,*,#194641,.F.); #257629=ORIENTED_EDGE('',*,*,#194644,.F.); #257630=ORIENTED_EDGE('',*,*,#194647,.F.); #257631=ORIENTED_EDGE('',*,*,#194650,.F.); #257632=ORIENTED_EDGE('',*,*,#194653,.F.); #257633=ORIENTED_EDGE('',*,*,#194656,.F.); #257634=ORIENTED_EDGE('',*,*,#194659,.F.); #257635=ORIENTED_EDGE('',*,*,#194662,.F.); #257636=ORIENTED_EDGE('',*,*,#194665,.F.); #257637=ORIENTED_EDGE('',*,*,#194668,.F.); #257638=ORIENTED_EDGE('',*,*,#194671,.F.); #257639=ORIENTED_EDGE('',*,*,#194674,.F.); #257640=ORIENTED_EDGE('',*,*,#194677,.F.); #257641=ORIENTED_EDGE('',*,*,#194680,.F.); #257642=ORIENTED_EDGE('',*,*,#194683,.F.); #257643=ORIENTED_EDGE('',*,*,#194686,.F.); #257644=ORIENTED_EDGE('',*,*,#194689,.F.); #257645=ORIENTED_EDGE('',*,*,#194692,.F.); #257646=ORIENTED_EDGE('',*,*,#194695,.F.); #257647=ORIENTED_EDGE('',*,*,#194698,.F.); #257648=ORIENTED_EDGE('',*,*,#194701,.F.); #257649=ORIENTED_EDGE('',*,*,#194704,.F.); #257650=ORIENTED_EDGE('',*,*,#194707,.F.); #257651=ORIENTED_EDGE('',*,*,#194710,.F.); #257652=ORIENTED_EDGE('',*,*,#194713,.F.); #257653=ORIENTED_EDGE('',*,*,#194716,.F.); #257654=ORIENTED_EDGE('',*,*,#194719,.F.); #257655=ORIENTED_EDGE('',*,*,#194722,.F.); #257656=ORIENTED_EDGE('',*,*,#194725,.F.); #257657=ORIENTED_EDGE('',*,*,#194728,.F.); #257658=ORIENTED_EDGE('',*,*,#194731,.F.); #257659=ORIENTED_EDGE('',*,*,#194734,.F.); #257660=ORIENTED_EDGE('',*,*,#194737,.F.); #257661=ORIENTED_EDGE('',*,*,#194740,.F.); #257662=ORIENTED_EDGE('',*,*,#194743,.F.); #257663=ORIENTED_EDGE('',*,*,#194746,.F.); #257664=ORIENTED_EDGE('',*,*,#194749,.F.); #257665=ORIENTED_EDGE('',*,*,#194752,.F.); #257666=ORIENTED_EDGE('',*,*,#194755,.F.); #257667=ORIENTED_EDGE('',*,*,#194758,.F.); #257668=ORIENTED_EDGE('',*,*,#194761,.F.); #257669=ORIENTED_EDGE('',*,*,#194764,.F.); #257670=ORIENTED_EDGE('',*,*,#194767,.F.); #257671=ORIENTED_EDGE('',*,*,#194770,.F.); #257672=ORIENTED_EDGE('',*,*,#194773,.F.); #257673=ORIENTED_EDGE('',*,*,#194776,.F.); #257674=ORIENTED_EDGE('',*,*,#194779,.F.); #257675=ORIENTED_EDGE('',*,*,#194782,.F.); #257676=ORIENTED_EDGE('',*,*,#194785,.F.); #257677=ORIENTED_EDGE('',*,*,#194788,.F.); #257678=ORIENTED_EDGE('',*,*,#194791,.F.); #257679=ORIENTED_EDGE('',*,*,#194794,.F.); #257680=ORIENTED_EDGE('',*,*,#194797,.F.); #257681=ORIENTED_EDGE('',*,*,#194800,.F.); #257682=ORIENTED_EDGE('',*,*,#194803,.F.); #257683=ORIENTED_EDGE('',*,*,#194806,.F.); #257684=ORIENTED_EDGE('',*,*,#194809,.F.); #257685=ORIENTED_EDGE('',*,*,#194812,.F.); #257686=ORIENTED_EDGE('',*,*,#194815,.F.); #257687=ORIENTED_EDGE('',*,*,#194818,.F.); #257688=ORIENTED_EDGE('',*,*,#194821,.F.); #257689=ORIENTED_EDGE('',*,*,#194824,.F.); #257690=ORIENTED_EDGE('',*,*,#194827,.F.); #257691=ORIENTED_EDGE('',*,*,#194830,.F.); #257692=ORIENTED_EDGE('',*,*,#194833,.F.); #257693=ORIENTED_EDGE('',*,*,#194836,.F.); #257694=ORIENTED_EDGE('',*,*,#194839,.F.); #257695=ORIENTED_EDGE('',*,*,#194842,.F.); #257696=ORIENTED_EDGE('',*,*,#194845,.F.); #257697=ORIENTED_EDGE('',*,*,#194848,.F.); #257698=ORIENTED_EDGE('',*,*,#194851,.F.); #257699=ORIENTED_EDGE('',*,*,#194854,.F.); #257700=ORIENTED_EDGE('',*,*,#194857,.F.); #257701=ORIENTED_EDGE('',*,*,#194860,.F.); #257702=ORIENTED_EDGE('',*,*,#194863,.F.); #257703=ORIENTED_EDGE('',*,*,#194866,.F.); #257704=ORIENTED_EDGE('',*,*,#194869,.F.); #257705=ORIENTED_EDGE('',*,*,#194872,.F.); #257706=ORIENTED_EDGE('',*,*,#194875,.F.); #257707=ORIENTED_EDGE('',*,*,#194878,.F.); #257708=ORIENTED_EDGE('',*,*,#194881,.F.); #257709=ORIENTED_EDGE('',*,*,#194884,.F.); #257710=ORIENTED_EDGE('',*,*,#194887,.F.); #257711=ORIENTED_EDGE('',*,*,#194890,.F.); #257712=ORIENTED_EDGE('',*,*,#194893,.F.); #257713=ORIENTED_EDGE('',*,*,#194896,.F.); #257714=ORIENTED_EDGE('',*,*,#194899,.F.); #257715=ORIENTED_EDGE('',*,*,#194902,.F.); #257716=ORIENTED_EDGE('',*,*,#194905,.F.); #257717=ORIENTED_EDGE('',*,*,#194908,.F.); #257718=ORIENTED_EDGE('',*,*,#194911,.F.); #257719=ORIENTED_EDGE('',*,*,#194914,.F.); #257720=ORIENTED_EDGE('',*,*,#194917,.F.); #257721=ORIENTED_EDGE('',*,*,#194920,.F.); #257722=ORIENTED_EDGE('',*,*,#194923,.F.); #257723=ORIENTED_EDGE('',*,*,#194926,.F.); #257724=ORIENTED_EDGE('',*,*,#194929,.F.); #257725=ORIENTED_EDGE('',*,*,#194932,.F.); #257726=ORIENTED_EDGE('',*,*,#194935,.F.); #257727=ORIENTED_EDGE('',*,*,#194938,.F.); #257728=ORIENTED_EDGE('',*,*,#194941,.F.); #257729=ORIENTED_EDGE('',*,*,#194944,.F.); #257730=ORIENTED_EDGE('',*,*,#194947,.F.); #257731=ORIENTED_EDGE('',*,*,#194950,.F.); #257732=ORIENTED_EDGE('',*,*,#194953,.F.); #257733=ORIENTED_EDGE('',*,*,#194956,.F.); #257734=ORIENTED_EDGE('',*,*,#194959,.F.); #257735=ORIENTED_EDGE('',*,*,#194962,.F.); #257736=ORIENTED_EDGE('',*,*,#194965,.F.); #257737=ORIENTED_EDGE('',*,*,#193234,.F.); #257738=ORIENTED_EDGE('',*,*,#193128,.F.); #257739=ORIENTED_EDGE('',*,*,#193132,.F.); #257740=ORIENTED_EDGE('',*,*,#193135,.F.); #257741=ORIENTED_EDGE('',*,*,#193138,.F.); #257742=ORIENTED_EDGE('',*,*,#193141,.F.); #257743=ORIENTED_EDGE('',*,*,#193144,.F.); #257744=ORIENTED_EDGE('',*,*,#193147,.F.); #257745=ORIENTED_EDGE('',*,*,#193150,.F.); #257746=ORIENTED_EDGE('',*,*,#193153,.F.); #257747=ORIENTED_EDGE('',*,*,#193156,.F.); #257748=ORIENTED_EDGE('',*,*,#193159,.F.); #257749=ORIENTED_EDGE('',*,*,#193162,.F.); #257750=ORIENTED_EDGE('',*,*,#193165,.F.); #257751=ORIENTED_EDGE('',*,*,#193168,.F.); #257752=ORIENTED_EDGE('',*,*,#193171,.F.); #257753=ORIENTED_EDGE('',*,*,#193174,.F.); #257754=ORIENTED_EDGE('',*,*,#193177,.F.); #257755=ORIENTED_EDGE('',*,*,#193180,.F.); #257756=ORIENTED_EDGE('',*,*,#193183,.F.); #257757=ORIENTED_EDGE('',*,*,#193186,.F.); #257758=ORIENTED_EDGE('',*,*,#193189,.F.); #257759=ORIENTED_EDGE('',*,*,#193192,.F.); #257760=ORIENTED_EDGE('',*,*,#193195,.F.); #257761=ORIENTED_EDGE('',*,*,#193198,.F.); #257762=ORIENTED_EDGE('',*,*,#193201,.F.); #257763=ORIENTED_EDGE('',*,*,#193204,.F.); #257764=ORIENTED_EDGE('',*,*,#193207,.F.); #257765=ORIENTED_EDGE('',*,*,#193210,.F.); #257766=ORIENTED_EDGE('',*,*,#193213,.F.); #257767=ORIENTED_EDGE('',*,*,#193216,.F.); #257768=ORIENTED_EDGE('',*,*,#193219,.F.); #257769=ORIENTED_EDGE('',*,*,#193222,.F.); #257770=ORIENTED_EDGE('',*,*,#193225,.F.); #257771=ORIENTED_EDGE('',*,*,#193228,.F.); #257772=ORIENTED_EDGE('',*,*,#193231,.F.); #257773=ORIENTED_EDGE('',*,*,#193126,.F.); #257774=ORIENTED_EDGE('',*,*,#193020,.F.); #257775=ORIENTED_EDGE('',*,*,#193024,.F.); #257776=ORIENTED_EDGE('',*,*,#193027,.F.); #257777=ORIENTED_EDGE('',*,*,#193030,.F.); #257778=ORIENTED_EDGE('',*,*,#193033,.F.); #257779=ORIENTED_EDGE('',*,*,#193036,.F.); #257780=ORIENTED_EDGE('',*,*,#193039,.F.); #257781=ORIENTED_EDGE('',*,*,#193042,.F.); #257782=ORIENTED_EDGE('',*,*,#193045,.F.); #257783=ORIENTED_EDGE('',*,*,#193048,.F.); #257784=ORIENTED_EDGE('',*,*,#193051,.F.); #257785=ORIENTED_EDGE('',*,*,#193054,.F.); #257786=ORIENTED_EDGE('',*,*,#193057,.F.); #257787=ORIENTED_EDGE('',*,*,#193060,.F.); #257788=ORIENTED_EDGE('',*,*,#193063,.F.); #257789=ORIENTED_EDGE('',*,*,#193066,.F.); #257790=ORIENTED_EDGE('',*,*,#193069,.F.); #257791=ORIENTED_EDGE('',*,*,#193072,.F.); #257792=ORIENTED_EDGE('',*,*,#193075,.F.); #257793=ORIENTED_EDGE('',*,*,#193078,.F.); #257794=ORIENTED_EDGE('',*,*,#193081,.F.); #257795=ORIENTED_EDGE('',*,*,#193084,.F.); #257796=ORIENTED_EDGE('',*,*,#193087,.F.); #257797=ORIENTED_EDGE('',*,*,#193090,.F.); #257798=ORIENTED_EDGE('',*,*,#193093,.F.); #257799=ORIENTED_EDGE('',*,*,#193096,.F.); #257800=ORIENTED_EDGE('',*,*,#193099,.F.); #257801=ORIENTED_EDGE('',*,*,#193102,.F.); #257802=ORIENTED_EDGE('',*,*,#193105,.F.); #257803=ORIENTED_EDGE('',*,*,#193108,.F.); #257804=ORIENTED_EDGE('',*,*,#193111,.F.); #257805=ORIENTED_EDGE('',*,*,#193114,.F.); #257806=ORIENTED_EDGE('',*,*,#193117,.F.); #257807=ORIENTED_EDGE('',*,*,#193120,.F.); #257808=ORIENTED_EDGE('',*,*,#193123,.F.); #257809=ORIENTED_EDGE('',*,*,#193018,.F.); #257810=ORIENTED_EDGE('',*,*,#192972,.F.); #257811=ORIENTED_EDGE('',*,*,#192976,.F.); #257812=ORIENTED_EDGE('',*,*,#192979,.F.); #257813=ORIENTED_EDGE('',*,*,#192982,.F.); #257814=ORIENTED_EDGE('',*,*,#192985,.F.); #257815=ORIENTED_EDGE('',*,*,#192988,.F.); #257816=ORIENTED_EDGE('',*,*,#192991,.F.); #257817=ORIENTED_EDGE('',*,*,#192994,.F.); #257818=ORIENTED_EDGE('',*,*,#192997,.F.); #257819=ORIENTED_EDGE('',*,*,#193000,.F.); #257820=ORIENTED_EDGE('',*,*,#193003,.F.); #257821=ORIENTED_EDGE('',*,*,#193006,.F.); #257822=ORIENTED_EDGE('',*,*,#193009,.F.); #257823=ORIENTED_EDGE('',*,*,#193012,.F.); #257824=ORIENTED_EDGE('',*,*,#193015,.F.); #257825=ORIENTED_EDGE('',*,*,#192970,.F.); #257826=ORIENTED_EDGE('',*,*,#192924,.F.); #257827=ORIENTED_EDGE('',*,*,#192928,.F.); #257828=ORIENTED_EDGE('',*,*,#192931,.F.); #257829=ORIENTED_EDGE('',*,*,#192934,.F.); #257830=ORIENTED_EDGE('',*,*,#192937,.F.); #257831=ORIENTED_EDGE('',*,*,#192940,.F.); #257832=ORIENTED_EDGE('',*,*,#192943,.F.); #257833=ORIENTED_EDGE('',*,*,#192946,.F.); #257834=ORIENTED_EDGE('',*,*,#192949,.F.); #257835=ORIENTED_EDGE('',*,*,#192952,.F.); #257836=ORIENTED_EDGE('',*,*,#192955,.F.); #257837=ORIENTED_EDGE('',*,*,#192958,.F.); #257838=ORIENTED_EDGE('',*,*,#192961,.F.); #257839=ORIENTED_EDGE('',*,*,#192964,.F.); #257840=ORIENTED_EDGE('',*,*,#192967,.F.); #257841=ORIENTED_EDGE('',*,*,#192922,.F.); #257842=ORIENTED_EDGE('',*,*,#192900,.F.); #257843=ORIENTED_EDGE('',*,*,#192904,.F.); #257844=ORIENTED_EDGE('',*,*,#192907,.F.); #257845=ORIENTED_EDGE('',*,*,#192910,.F.); #257846=ORIENTED_EDGE('',*,*,#192913,.F.); #257847=ORIENTED_EDGE('',*,*,#192916,.F.); #257848=ORIENTED_EDGE('',*,*,#192919,.F.); #257849=ORIENTED_EDGE('',*,*,#195711,.T.); #257850=ORIENTED_EDGE('',*,*,#195712,.T.); #257851=ORIENTED_EDGE('',*,*,#195713,.F.); #257852=ORIENTED_EDGE('',*,*,#195714,.F.); #257853=ORIENTED_EDGE('',*,*,#195715,.T.); #257854=ORIENTED_EDGE('',*,*,#195714,.T.); #257855=ORIENTED_EDGE('',*,*,#195716,.F.); #257856=ORIENTED_EDGE('',*,*,#195717,.F.); #257857=ORIENTED_EDGE('',*,*,#195718,.T.); #257858=ORIENTED_EDGE('',*,*,#195717,.T.); #257859=ORIENTED_EDGE('',*,*,#195719,.F.); #257860=ORIENTED_EDGE('',*,*,#195720,.F.); #257861=ORIENTED_EDGE('',*,*,#195721,.T.); #257862=ORIENTED_EDGE('',*,*,#195720,.T.); #257863=ORIENTED_EDGE('',*,*,#195722,.F.); #257864=ORIENTED_EDGE('',*,*,#195723,.F.); #257865=ORIENTED_EDGE('',*,*,#195724,.T.); #257866=ORIENTED_EDGE('',*,*,#195723,.T.); #257867=ORIENTED_EDGE('',*,*,#195725,.F.); #257868=ORIENTED_EDGE('',*,*,#195726,.F.); #257869=ORIENTED_EDGE('',*,*,#195727,.T.); #257870=ORIENTED_EDGE('',*,*,#195726,.T.); #257871=ORIENTED_EDGE('',*,*,#195728,.F.); #257872=ORIENTED_EDGE('',*,*,#195729,.F.); #257873=ORIENTED_EDGE('',*,*,#195730,.T.); #257874=ORIENTED_EDGE('',*,*,#195729,.T.); #257875=ORIENTED_EDGE('',*,*,#195731,.F.); #257876=ORIENTED_EDGE('',*,*,#195732,.F.); #257877=ORIENTED_EDGE('',*,*,#195733,.T.); #257878=ORIENTED_EDGE('',*,*,#195732,.T.); #257879=ORIENTED_EDGE('',*,*,#195734,.F.); #257880=ORIENTED_EDGE('',*,*,#195735,.F.); #257881=ORIENTED_EDGE('',*,*,#195736,.T.); #257882=ORIENTED_EDGE('',*,*,#195735,.T.); #257883=ORIENTED_EDGE('',*,*,#195737,.F.); #257884=ORIENTED_EDGE('',*,*,#195738,.F.); #257885=ORIENTED_EDGE('',*,*,#195739,.T.); #257886=ORIENTED_EDGE('',*,*,#195738,.T.); #257887=ORIENTED_EDGE('',*,*,#195740,.F.); #257888=ORIENTED_EDGE('',*,*,#195741,.F.); #257889=ORIENTED_EDGE('',*,*,#195742,.T.); #257890=ORIENTED_EDGE('',*,*,#195741,.T.); #257891=ORIENTED_EDGE('',*,*,#195743,.F.); #257892=ORIENTED_EDGE('',*,*,#195744,.F.); #257893=ORIENTED_EDGE('',*,*,#195745,.T.); #257894=ORIENTED_EDGE('',*,*,#195744,.T.); #257895=ORIENTED_EDGE('',*,*,#195746,.F.); #257896=ORIENTED_EDGE('',*,*,#195747,.F.); #257897=ORIENTED_EDGE('',*,*,#195748,.T.); #257898=ORIENTED_EDGE('',*,*,#195747,.T.); #257899=ORIENTED_EDGE('',*,*,#195749,.F.); #257900=ORIENTED_EDGE('',*,*,#195750,.F.); #257901=ORIENTED_EDGE('',*,*,#195751,.T.); #257902=ORIENTED_EDGE('',*,*,#195750,.T.); #257903=ORIENTED_EDGE('',*,*,#195752,.F.); #257904=ORIENTED_EDGE('',*,*,#195753,.F.); #257905=ORIENTED_EDGE('',*,*,#195754,.T.); #257906=ORIENTED_EDGE('',*,*,#195753,.T.); #257907=ORIENTED_EDGE('',*,*,#195755,.F.); #257908=ORIENTED_EDGE('',*,*,#195756,.F.); #257909=ORIENTED_EDGE('',*,*,#195757,.T.); #257910=ORIENTED_EDGE('',*,*,#195756,.T.); #257911=ORIENTED_EDGE('',*,*,#195758,.F.); #257912=ORIENTED_EDGE('',*,*,#195759,.F.); #257913=ORIENTED_EDGE('',*,*,#195760,.T.); #257914=ORIENTED_EDGE('',*,*,#195759,.T.); #257915=ORIENTED_EDGE('',*,*,#195761,.F.); #257916=ORIENTED_EDGE('',*,*,#195762,.F.); #257917=ORIENTED_EDGE('',*,*,#195763,.T.); #257918=ORIENTED_EDGE('',*,*,#195762,.T.); #257919=ORIENTED_EDGE('',*,*,#195764,.F.); #257920=ORIENTED_EDGE('',*,*,#195765,.F.); #257921=ORIENTED_EDGE('',*,*,#195766,.T.); #257922=ORIENTED_EDGE('',*,*,#195765,.T.); #257923=ORIENTED_EDGE('',*,*,#195767,.F.); #257924=ORIENTED_EDGE('',*,*,#195768,.F.); #257925=ORIENTED_EDGE('',*,*,#195769,.T.); #257926=ORIENTED_EDGE('',*,*,#195768,.T.); #257927=ORIENTED_EDGE('',*,*,#195770,.F.); #257928=ORIENTED_EDGE('',*,*,#195771,.F.); #257929=ORIENTED_EDGE('',*,*,#195772,.T.); #257930=ORIENTED_EDGE('',*,*,#195771,.T.); #257931=ORIENTED_EDGE('',*,*,#195773,.F.); #257932=ORIENTED_EDGE('',*,*,#195712,.F.); #257933=ORIENTED_EDGE('',*,*,#195773,.T.); #257934=ORIENTED_EDGE('',*,*,#195770,.T.); #257935=ORIENTED_EDGE('',*,*,#195767,.T.); #257936=ORIENTED_EDGE('',*,*,#195764,.T.); #257937=ORIENTED_EDGE('',*,*,#195761,.T.); #257938=ORIENTED_EDGE('',*,*,#195758,.T.); #257939=ORIENTED_EDGE('',*,*,#195755,.T.); #257940=ORIENTED_EDGE('',*,*,#195752,.T.); #257941=ORIENTED_EDGE('',*,*,#195749,.T.); #257942=ORIENTED_EDGE('',*,*,#195746,.T.); #257943=ORIENTED_EDGE('',*,*,#195743,.T.); #257944=ORIENTED_EDGE('',*,*,#195740,.T.); #257945=ORIENTED_EDGE('',*,*,#195737,.T.); #257946=ORIENTED_EDGE('',*,*,#195734,.T.); #257947=ORIENTED_EDGE('',*,*,#195731,.T.); #257948=ORIENTED_EDGE('',*,*,#195728,.T.); #257949=ORIENTED_EDGE('',*,*,#195725,.T.); #257950=ORIENTED_EDGE('',*,*,#195722,.T.); #257951=ORIENTED_EDGE('',*,*,#195719,.T.); #257952=ORIENTED_EDGE('',*,*,#195716,.T.); #257953=ORIENTED_EDGE('',*,*,#195713,.T.); #257954=ORIENTED_EDGE('',*,*,#195772,.F.); #257955=ORIENTED_EDGE('',*,*,#195711,.F.); #257956=ORIENTED_EDGE('',*,*,#195715,.F.); #257957=ORIENTED_EDGE('',*,*,#195718,.F.); #257958=ORIENTED_EDGE('',*,*,#195721,.F.); #257959=ORIENTED_EDGE('',*,*,#195724,.F.); #257960=ORIENTED_EDGE('',*,*,#195727,.F.); #257961=ORIENTED_EDGE('',*,*,#195730,.F.); #257962=ORIENTED_EDGE('',*,*,#195733,.F.); #257963=ORIENTED_EDGE('',*,*,#195736,.F.); #257964=ORIENTED_EDGE('',*,*,#195739,.F.); #257965=ORIENTED_EDGE('',*,*,#195742,.F.); #257966=ORIENTED_EDGE('',*,*,#195745,.F.); #257967=ORIENTED_EDGE('',*,*,#195748,.F.); #257968=ORIENTED_EDGE('',*,*,#195751,.F.); #257969=ORIENTED_EDGE('',*,*,#195754,.F.); #257970=ORIENTED_EDGE('',*,*,#195757,.F.); #257971=ORIENTED_EDGE('',*,*,#195760,.F.); #257972=ORIENTED_EDGE('',*,*,#195763,.F.); #257973=ORIENTED_EDGE('',*,*,#195766,.F.); #257974=ORIENTED_EDGE('',*,*,#195769,.F.); #257975=ORIENTED_EDGE('',*,*,#195774,.T.); #257976=ORIENTED_EDGE('',*,*,#195775,.T.); #257977=ORIENTED_EDGE('',*,*,#195776,.F.); #257978=ORIENTED_EDGE('',*,*,#195777,.F.); #257979=ORIENTED_EDGE('',*,*,#195778,.T.); #257980=ORIENTED_EDGE('',*,*,#195777,.T.); #257981=ORIENTED_EDGE('',*,*,#195779,.F.); #257982=ORIENTED_EDGE('',*,*,#195780,.F.); #257983=ORIENTED_EDGE('',*,*,#195781,.T.); #257984=ORIENTED_EDGE('',*,*,#195780,.T.); #257985=ORIENTED_EDGE('',*,*,#195782,.F.); #257986=ORIENTED_EDGE('',*,*,#195783,.F.); #257987=ORIENTED_EDGE('',*,*,#195784,.T.); #257988=ORIENTED_EDGE('',*,*,#195783,.T.); #257989=ORIENTED_EDGE('',*,*,#195785,.F.); #257990=ORIENTED_EDGE('',*,*,#195786,.F.); #257991=ORIENTED_EDGE('',*,*,#195787,.T.); #257992=ORIENTED_EDGE('',*,*,#195786,.T.); #257993=ORIENTED_EDGE('',*,*,#195788,.F.); #257994=ORIENTED_EDGE('',*,*,#195789,.F.); #257995=ORIENTED_EDGE('',*,*,#195790,.T.); #257996=ORIENTED_EDGE('',*,*,#195789,.T.); #257997=ORIENTED_EDGE('',*,*,#195791,.F.); #257998=ORIENTED_EDGE('',*,*,#195792,.F.); #257999=ORIENTED_EDGE('',*,*,#195793,.T.); #258000=ORIENTED_EDGE('',*,*,#195792,.T.); #258001=ORIENTED_EDGE('',*,*,#195794,.F.); #258002=ORIENTED_EDGE('',*,*,#195795,.F.); #258003=ORIENTED_EDGE('',*,*,#195796,.T.); #258004=ORIENTED_EDGE('',*,*,#195795,.T.); #258005=ORIENTED_EDGE('',*,*,#195797,.F.); #258006=ORIENTED_EDGE('',*,*,#195798,.F.); #258007=ORIENTED_EDGE('',*,*,#195799,.T.); #258008=ORIENTED_EDGE('',*,*,#195798,.T.); #258009=ORIENTED_EDGE('',*,*,#195800,.F.); #258010=ORIENTED_EDGE('',*,*,#195801,.F.); #258011=ORIENTED_EDGE('',*,*,#195802,.T.); #258012=ORIENTED_EDGE('',*,*,#195801,.T.); #258013=ORIENTED_EDGE('',*,*,#195803,.F.); #258014=ORIENTED_EDGE('',*,*,#195804,.F.); #258015=ORIENTED_EDGE('',*,*,#195805,.T.); #258016=ORIENTED_EDGE('',*,*,#195804,.T.); #258017=ORIENTED_EDGE('',*,*,#195806,.F.); #258018=ORIENTED_EDGE('',*,*,#195807,.F.); #258019=ORIENTED_EDGE('',*,*,#195808,.T.); #258020=ORIENTED_EDGE('',*,*,#195807,.T.); #258021=ORIENTED_EDGE('',*,*,#195809,.F.); #258022=ORIENTED_EDGE('',*,*,#195810,.F.); #258023=ORIENTED_EDGE('',*,*,#195811,.T.); #258024=ORIENTED_EDGE('',*,*,#195810,.T.); #258025=ORIENTED_EDGE('',*,*,#195812,.F.); #258026=ORIENTED_EDGE('',*,*,#195813,.F.); #258027=ORIENTED_EDGE('',*,*,#195814,.T.); #258028=ORIENTED_EDGE('',*,*,#195813,.T.); #258029=ORIENTED_EDGE('',*,*,#195815,.F.); #258030=ORIENTED_EDGE('',*,*,#195816,.F.); #258031=ORIENTED_EDGE('',*,*,#195817,.T.); #258032=ORIENTED_EDGE('',*,*,#195816,.T.); #258033=ORIENTED_EDGE('',*,*,#195818,.F.); #258034=ORIENTED_EDGE('',*,*,#195819,.F.); #258035=ORIENTED_EDGE('',*,*,#195820,.T.); #258036=ORIENTED_EDGE('',*,*,#195819,.T.); #258037=ORIENTED_EDGE('',*,*,#195821,.F.); #258038=ORIENTED_EDGE('',*,*,#195822,.F.); #258039=ORIENTED_EDGE('',*,*,#195823,.T.); #258040=ORIENTED_EDGE('',*,*,#195822,.T.); #258041=ORIENTED_EDGE('',*,*,#195824,.F.); #258042=ORIENTED_EDGE('',*,*,#195825,.F.); #258043=ORIENTED_EDGE('',*,*,#195826,.T.); #258044=ORIENTED_EDGE('',*,*,#195825,.T.); #258045=ORIENTED_EDGE('',*,*,#195827,.F.); #258046=ORIENTED_EDGE('',*,*,#195828,.F.); #258047=ORIENTED_EDGE('',*,*,#195829,.T.); #258048=ORIENTED_EDGE('',*,*,#195828,.T.); #258049=ORIENTED_EDGE('',*,*,#195830,.F.); #258050=ORIENTED_EDGE('',*,*,#195831,.F.); #258051=ORIENTED_EDGE('',*,*,#195832,.T.); #258052=ORIENTED_EDGE('',*,*,#195831,.T.); #258053=ORIENTED_EDGE('',*,*,#195833,.F.); #258054=ORIENTED_EDGE('',*,*,#195834,.F.); #258055=ORIENTED_EDGE('',*,*,#195835,.T.); #258056=ORIENTED_EDGE('',*,*,#195834,.T.); #258057=ORIENTED_EDGE('',*,*,#195836,.F.); #258058=ORIENTED_EDGE('',*,*,#195837,.F.); #258059=ORIENTED_EDGE('',*,*,#195838,.T.); #258060=ORIENTED_EDGE('',*,*,#195837,.T.); #258061=ORIENTED_EDGE('',*,*,#195839,.F.); #258062=ORIENTED_EDGE('',*,*,#195840,.F.); #258063=ORIENTED_EDGE('',*,*,#195841,.T.); #258064=ORIENTED_EDGE('',*,*,#195840,.T.); #258065=ORIENTED_EDGE('',*,*,#195842,.F.); #258066=ORIENTED_EDGE('',*,*,#195843,.F.); #258067=ORIENTED_EDGE('',*,*,#195844,.T.); #258068=ORIENTED_EDGE('',*,*,#195843,.T.); #258069=ORIENTED_EDGE('',*,*,#195845,.F.); #258070=ORIENTED_EDGE('',*,*,#195846,.F.); #258071=ORIENTED_EDGE('',*,*,#195847,.T.); #258072=ORIENTED_EDGE('',*,*,#195846,.T.); #258073=ORIENTED_EDGE('',*,*,#195848,.F.); #258074=ORIENTED_EDGE('',*,*,#195849,.F.); #258075=ORIENTED_EDGE('',*,*,#195850,.T.); #258076=ORIENTED_EDGE('',*,*,#195849,.T.); #258077=ORIENTED_EDGE('',*,*,#195851,.F.); #258078=ORIENTED_EDGE('',*,*,#195775,.F.); #258079=ORIENTED_EDGE('',*,*,#195851,.T.); #258080=ORIENTED_EDGE('',*,*,#195848,.T.); #258081=ORIENTED_EDGE('',*,*,#195845,.T.); #258082=ORIENTED_EDGE('',*,*,#195842,.T.); #258083=ORIENTED_EDGE('',*,*,#195839,.T.); #258084=ORIENTED_EDGE('',*,*,#195836,.T.); #258085=ORIENTED_EDGE('',*,*,#195833,.T.); #258086=ORIENTED_EDGE('',*,*,#195830,.T.); #258087=ORIENTED_EDGE('',*,*,#195827,.T.); #258088=ORIENTED_EDGE('',*,*,#195824,.T.); #258089=ORIENTED_EDGE('',*,*,#195821,.T.); #258090=ORIENTED_EDGE('',*,*,#195818,.T.); #258091=ORIENTED_EDGE('',*,*,#195815,.T.); #258092=ORIENTED_EDGE('',*,*,#195812,.T.); #258093=ORIENTED_EDGE('',*,*,#195809,.T.); #258094=ORIENTED_EDGE('',*,*,#195806,.T.); #258095=ORIENTED_EDGE('',*,*,#195803,.T.); #258096=ORIENTED_EDGE('',*,*,#195800,.T.); #258097=ORIENTED_EDGE('',*,*,#195797,.T.); #258098=ORIENTED_EDGE('',*,*,#195794,.T.); #258099=ORIENTED_EDGE('',*,*,#195791,.T.); #258100=ORIENTED_EDGE('',*,*,#195788,.T.); #258101=ORIENTED_EDGE('',*,*,#195785,.T.); #258102=ORIENTED_EDGE('',*,*,#195782,.T.); #258103=ORIENTED_EDGE('',*,*,#195779,.T.); #258104=ORIENTED_EDGE('',*,*,#195776,.T.); #258105=ORIENTED_EDGE('',*,*,#195850,.F.); #258106=ORIENTED_EDGE('',*,*,#195774,.F.); #258107=ORIENTED_EDGE('',*,*,#195778,.F.); #258108=ORIENTED_EDGE('',*,*,#195781,.F.); #258109=ORIENTED_EDGE('',*,*,#195784,.F.); #258110=ORIENTED_EDGE('',*,*,#195787,.F.); #258111=ORIENTED_EDGE('',*,*,#195790,.F.); #258112=ORIENTED_EDGE('',*,*,#195793,.F.); #258113=ORIENTED_EDGE('',*,*,#195796,.F.); #258114=ORIENTED_EDGE('',*,*,#195799,.F.); #258115=ORIENTED_EDGE('',*,*,#195802,.F.); #258116=ORIENTED_EDGE('',*,*,#195805,.F.); #258117=ORIENTED_EDGE('',*,*,#195808,.F.); #258118=ORIENTED_EDGE('',*,*,#195811,.F.); #258119=ORIENTED_EDGE('',*,*,#195814,.F.); #258120=ORIENTED_EDGE('',*,*,#195817,.F.); #258121=ORIENTED_EDGE('',*,*,#195820,.F.); #258122=ORIENTED_EDGE('',*,*,#195823,.F.); #258123=ORIENTED_EDGE('',*,*,#195826,.F.); #258124=ORIENTED_EDGE('',*,*,#195829,.F.); #258125=ORIENTED_EDGE('',*,*,#195832,.F.); #258126=ORIENTED_EDGE('',*,*,#195835,.F.); #258127=ORIENTED_EDGE('',*,*,#195838,.F.); #258128=ORIENTED_EDGE('',*,*,#195841,.F.); #258129=ORIENTED_EDGE('',*,*,#195844,.F.); #258130=ORIENTED_EDGE('',*,*,#195847,.F.); #258131=ORIENTED_EDGE('',*,*,#195852,.T.); #258132=ORIENTED_EDGE('',*,*,#195853,.T.); #258133=ORIENTED_EDGE('',*,*,#195854,.F.); #258134=ORIENTED_EDGE('',*,*,#195855,.F.); #258135=ORIENTED_EDGE('',*,*,#195856,.T.); #258136=ORIENTED_EDGE('',*,*,#195855,.T.); #258137=ORIENTED_EDGE('',*,*,#195857,.F.); #258138=ORIENTED_EDGE('',*,*,#195858,.F.); #258139=ORIENTED_EDGE('',*,*,#195859,.T.); #258140=ORIENTED_EDGE('',*,*,#195858,.T.); #258141=ORIENTED_EDGE('',*,*,#195860,.F.); #258142=ORIENTED_EDGE('',*,*,#195861,.F.); #258143=ORIENTED_EDGE('',*,*,#195862,.T.); #258144=ORIENTED_EDGE('',*,*,#195861,.T.); #258145=ORIENTED_EDGE('',*,*,#195863,.F.); #258146=ORIENTED_EDGE('',*,*,#195864,.F.); #258147=ORIENTED_EDGE('',*,*,#195865,.T.); #258148=ORIENTED_EDGE('',*,*,#195864,.T.); #258149=ORIENTED_EDGE('',*,*,#195866,.F.); #258150=ORIENTED_EDGE('',*,*,#195867,.F.); #258151=ORIENTED_EDGE('',*,*,#195868,.T.); #258152=ORIENTED_EDGE('',*,*,#195867,.T.); #258153=ORIENTED_EDGE('',*,*,#195869,.F.); #258154=ORIENTED_EDGE('',*,*,#195870,.F.); #258155=ORIENTED_EDGE('',*,*,#195871,.T.); #258156=ORIENTED_EDGE('',*,*,#195870,.T.); #258157=ORIENTED_EDGE('',*,*,#195872,.F.); #258158=ORIENTED_EDGE('',*,*,#195873,.F.); #258159=ORIENTED_EDGE('',*,*,#195874,.T.); #258160=ORIENTED_EDGE('',*,*,#195873,.T.); #258161=ORIENTED_EDGE('',*,*,#195875,.F.); #258162=ORIENTED_EDGE('',*,*,#195876,.F.); #258163=ORIENTED_EDGE('',*,*,#195877,.T.); #258164=ORIENTED_EDGE('',*,*,#195876,.T.); #258165=ORIENTED_EDGE('',*,*,#195878,.F.); #258166=ORIENTED_EDGE('',*,*,#195879,.F.); #258167=ORIENTED_EDGE('',*,*,#195880,.T.); #258168=ORIENTED_EDGE('',*,*,#195879,.T.); #258169=ORIENTED_EDGE('',*,*,#195881,.F.); #258170=ORIENTED_EDGE('',*,*,#195882,.F.); #258171=ORIENTED_EDGE('',*,*,#195883,.T.); #258172=ORIENTED_EDGE('',*,*,#195882,.T.); #258173=ORIENTED_EDGE('',*,*,#195884,.F.); #258174=ORIENTED_EDGE('',*,*,#195885,.F.); #258175=ORIENTED_EDGE('',*,*,#195886,.T.); #258176=ORIENTED_EDGE('',*,*,#195885,.T.); #258177=ORIENTED_EDGE('',*,*,#195887,.F.); #258178=ORIENTED_EDGE('',*,*,#195888,.F.); #258179=ORIENTED_EDGE('',*,*,#195889,.T.); #258180=ORIENTED_EDGE('',*,*,#195888,.T.); #258181=ORIENTED_EDGE('',*,*,#195890,.F.); #258182=ORIENTED_EDGE('',*,*,#195891,.F.); #258183=ORIENTED_EDGE('',*,*,#195892,.T.); #258184=ORIENTED_EDGE('',*,*,#195891,.T.); #258185=ORIENTED_EDGE('',*,*,#195893,.F.); #258186=ORIENTED_EDGE('',*,*,#195894,.F.); #258187=ORIENTED_EDGE('',*,*,#195895,.T.); #258188=ORIENTED_EDGE('',*,*,#195894,.T.); #258189=ORIENTED_EDGE('',*,*,#195896,.F.); #258190=ORIENTED_EDGE('',*,*,#195897,.F.); #258191=ORIENTED_EDGE('',*,*,#195898,.T.); #258192=ORIENTED_EDGE('',*,*,#195897,.T.); #258193=ORIENTED_EDGE('',*,*,#195899,.F.); #258194=ORIENTED_EDGE('',*,*,#195900,.F.); #258195=ORIENTED_EDGE('',*,*,#195901,.T.); #258196=ORIENTED_EDGE('',*,*,#195900,.T.); #258197=ORIENTED_EDGE('',*,*,#195902,.F.); #258198=ORIENTED_EDGE('',*,*,#195903,.F.); #258199=ORIENTED_EDGE('',*,*,#195904,.T.); #258200=ORIENTED_EDGE('',*,*,#195903,.T.); #258201=ORIENTED_EDGE('',*,*,#195905,.F.); #258202=ORIENTED_EDGE('',*,*,#195906,.F.); #258203=ORIENTED_EDGE('',*,*,#195907,.T.); #258204=ORIENTED_EDGE('',*,*,#195906,.T.); #258205=ORIENTED_EDGE('',*,*,#195908,.F.); #258206=ORIENTED_EDGE('',*,*,#195909,.F.); #258207=ORIENTED_EDGE('',*,*,#195910,.T.); #258208=ORIENTED_EDGE('',*,*,#195909,.T.); #258209=ORIENTED_EDGE('',*,*,#195911,.F.); #258210=ORIENTED_EDGE('',*,*,#195853,.F.); #258211=ORIENTED_EDGE('',*,*,#195911,.T.); #258212=ORIENTED_EDGE('',*,*,#195908,.T.); #258213=ORIENTED_EDGE('',*,*,#195905,.T.); #258214=ORIENTED_EDGE('',*,*,#195902,.T.); #258215=ORIENTED_EDGE('',*,*,#195899,.T.); #258216=ORIENTED_EDGE('',*,*,#195896,.T.); #258217=ORIENTED_EDGE('',*,*,#195893,.T.); #258218=ORIENTED_EDGE('',*,*,#195890,.T.); #258219=ORIENTED_EDGE('',*,*,#195887,.T.); #258220=ORIENTED_EDGE('',*,*,#195884,.T.); #258221=ORIENTED_EDGE('',*,*,#195881,.T.); #258222=ORIENTED_EDGE('',*,*,#195878,.T.); #258223=ORIENTED_EDGE('',*,*,#195875,.T.); #258224=ORIENTED_EDGE('',*,*,#195872,.T.); #258225=ORIENTED_EDGE('',*,*,#195869,.T.); #258226=ORIENTED_EDGE('',*,*,#195866,.T.); #258227=ORIENTED_EDGE('',*,*,#195863,.T.); #258228=ORIENTED_EDGE('',*,*,#195860,.T.); #258229=ORIENTED_EDGE('',*,*,#195857,.T.); #258230=ORIENTED_EDGE('',*,*,#195854,.T.); #258231=ORIENTED_EDGE('',*,*,#195910,.F.); #258232=ORIENTED_EDGE('',*,*,#195852,.F.); #258233=ORIENTED_EDGE('',*,*,#195856,.F.); #258234=ORIENTED_EDGE('',*,*,#195859,.F.); #258235=ORIENTED_EDGE('',*,*,#195862,.F.); #258236=ORIENTED_EDGE('',*,*,#195865,.F.); #258237=ORIENTED_EDGE('',*,*,#195868,.F.); #258238=ORIENTED_EDGE('',*,*,#195871,.F.); #258239=ORIENTED_EDGE('',*,*,#195874,.F.); #258240=ORIENTED_EDGE('',*,*,#195877,.F.); #258241=ORIENTED_EDGE('',*,*,#195880,.F.); #258242=ORIENTED_EDGE('',*,*,#195883,.F.); #258243=ORIENTED_EDGE('',*,*,#195886,.F.); #258244=ORIENTED_EDGE('',*,*,#195889,.F.); #258245=ORIENTED_EDGE('',*,*,#195892,.F.); #258246=ORIENTED_EDGE('',*,*,#195895,.F.); #258247=ORIENTED_EDGE('',*,*,#195898,.F.); #258248=ORIENTED_EDGE('',*,*,#195901,.F.); #258249=ORIENTED_EDGE('',*,*,#195904,.F.); #258250=ORIENTED_EDGE('',*,*,#195907,.F.); #258251=ORIENTED_EDGE('',*,*,#195912,.T.); #258252=ORIENTED_EDGE('',*,*,#195913,.T.); #258253=ORIENTED_EDGE('',*,*,#195914,.F.); #258254=ORIENTED_EDGE('',*,*,#195915,.F.); #258255=ORIENTED_EDGE('',*,*,#195916,.T.); #258256=ORIENTED_EDGE('',*,*,#195915,.T.); #258257=ORIENTED_EDGE('',*,*,#195917,.F.); #258258=ORIENTED_EDGE('',*,*,#195918,.F.); #258259=ORIENTED_EDGE('',*,*,#195919,.T.); #258260=ORIENTED_EDGE('',*,*,#195918,.T.); #258261=ORIENTED_EDGE('',*,*,#195920,.F.); #258262=ORIENTED_EDGE('',*,*,#195921,.F.); #258263=ORIENTED_EDGE('',*,*,#195922,.T.); #258264=ORIENTED_EDGE('',*,*,#195921,.T.); #258265=ORIENTED_EDGE('',*,*,#195923,.F.); #258266=ORIENTED_EDGE('',*,*,#195924,.F.); #258267=ORIENTED_EDGE('',*,*,#195925,.T.); #258268=ORIENTED_EDGE('',*,*,#195924,.T.); #258269=ORIENTED_EDGE('',*,*,#195926,.F.); #258270=ORIENTED_EDGE('',*,*,#195927,.F.); #258271=ORIENTED_EDGE('',*,*,#195928,.T.); #258272=ORIENTED_EDGE('',*,*,#195927,.T.); #258273=ORIENTED_EDGE('',*,*,#195929,.F.); #258274=ORIENTED_EDGE('',*,*,#195930,.F.); #258275=ORIENTED_EDGE('',*,*,#195931,.T.); #258276=ORIENTED_EDGE('',*,*,#195930,.T.); #258277=ORIENTED_EDGE('',*,*,#195932,.F.); #258278=ORIENTED_EDGE('',*,*,#195933,.F.); #258279=ORIENTED_EDGE('',*,*,#195934,.T.); #258280=ORIENTED_EDGE('',*,*,#195933,.T.); #258281=ORIENTED_EDGE('',*,*,#195935,.F.); #258282=ORIENTED_EDGE('',*,*,#195936,.F.); #258283=ORIENTED_EDGE('',*,*,#195937,.T.); #258284=ORIENTED_EDGE('',*,*,#195936,.T.); #258285=ORIENTED_EDGE('',*,*,#195938,.F.); #258286=ORIENTED_EDGE('',*,*,#195939,.F.); #258287=ORIENTED_EDGE('',*,*,#195940,.T.); #258288=ORIENTED_EDGE('',*,*,#195939,.T.); #258289=ORIENTED_EDGE('',*,*,#195941,.F.); #258290=ORIENTED_EDGE('',*,*,#195942,.F.); #258291=ORIENTED_EDGE('',*,*,#195943,.T.); #258292=ORIENTED_EDGE('',*,*,#195942,.T.); #258293=ORIENTED_EDGE('',*,*,#195944,.F.); #258294=ORIENTED_EDGE('',*,*,#195945,.F.); #258295=ORIENTED_EDGE('',*,*,#195946,.T.); #258296=ORIENTED_EDGE('',*,*,#195945,.T.); #258297=ORIENTED_EDGE('',*,*,#195947,.F.); #258298=ORIENTED_EDGE('',*,*,#195948,.F.); #258299=ORIENTED_EDGE('',*,*,#195949,.T.); #258300=ORIENTED_EDGE('',*,*,#195948,.T.); #258301=ORIENTED_EDGE('',*,*,#195950,.F.); #258302=ORIENTED_EDGE('',*,*,#195951,.F.); #258303=ORIENTED_EDGE('',*,*,#195952,.T.); #258304=ORIENTED_EDGE('',*,*,#195951,.T.); #258305=ORIENTED_EDGE('',*,*,#195953,.F.); #258306=ORIENTED_EDGE('',*,*,#195954,.F.); #258307=ORIENTED_EDGE('',*,*,#195955,.T.); #258308=ORIENTED_EDGE('',*,*,#195954,.T.); #258309=ORIENTED_EDGE('',*,*,#195956,.F.); #258310=ORIENTED_EDGE('',*,*,#195957,.F.); #258311=ORIENTED_EDGE('',*,*,#195958,.T.); #258312=ORIENTED_EDGE('',*,*,#195957,.T.); #258313=ORIENTED_EDGE('',*,*,#195959,.F.); #258314=ORIENTED_EDGE('',*,*,#195960,.F.); #258315=ORIENTED_EDGE('',*,*,#195961,.T.); #258316=ORIENTED_EDGE('',*,*,#195960,.T.); #258317=ORIENTED_EDGE('',*,*,#195962,.F.); #258318=ORIENTED_EDGE('',*,*,#195963,.F.); #258319=ORIENTED_EDGE('',*,*,#195964,.T.); #258320=ORIENTED_EDGE('',*,*,#195963,.T.); #258321=ORIENTED_EDGE('',*,*,#195965,.F.); #258322=ORIENTED_EDGE('',*,*,#195966,.F.); #258323=ORIENTED_EDGE('',*,*,#195967,.T.); #258324=ORIENTED_EDGE('',*,*,#195966,.T.); #258325=ORIENTED_EDGE('',*,*,#195968,.F.); #258326=ORIENTED_EDGE('',*,*,#195969,.F.); #258327=ORIENTED_EDGE('',*,*,#195970,.T.); #258328=ORIENTED_EDGE('',*,*,#195969,.T.); #258329=ORIENTED_EDGE('',*,*,#195971,.F.); #258330=ORIENTED_EDGE('',*,*,#195972,.F.); #258331=ORIENTED_EDGE('',*,*,#195973,.T.); #258332=ORIENTED_EDGE('',*,*,#195972,.T.); #258333=ORIENTED_EDGE('',*,*,#195974,.F.); #258334=ORIENTED_EDGE('',*,*,#195975,.F.); #258335=ORIENTED_EDGE('',*,*,#195976,.T.); #258336=ORIENTED_EDGE('',*,*,#195975,.T.); #258337=ORIENTED_EDGE('',*,*,#195977,.F.); #258338=ORIENTED_EDGE('',*,*,#195978,.F.); #258339=ORIENTED_EDGE('',*,*,#195979,.T.); #258340=ORIENTED_EDGE('',*,*,#195978,.T.); #258341=ORIENTED_EDGE('',*,*,#195980,.F.); #258342=ORIENTED_EDGE('',*,*,#195913,.F.); #258343=ORIENTED_EDGE('',*,*,#195980,.T.); #258344=ORIENTED_EDGE('',*,*,#195977,.T.); #258345=ORIENTED_EDGE('',*,*,#195974,.T.); #258346=ORIENTED_EDGE('',*,*,#195971,.T.); #258347=ORIENTED_EDGE('',*,*,#195968,.T.); #258348=ORIENTED_EDGE('',*,*,#195965,.T.); #258349=ORIENTED_EDGE('',*,*,#195962,.T.); #258350=ORIENTED_EDGE('',*,*,#195959,.T.); #258351=ORIENTED_EDGE('',*,*,#195956,.T.); #258352=ORIENTED_EDGE('',*,*,#195953,.T.); #258353=ORIENTED_EDGE('',*,*,#195950,.T.); #258354=ORIENTED_EDGE('',*,*,#195947,.T.); #258355=ORIENTED_EDGE('',*,*,#195944,.T.); #258356=ORIENTED_EDGE('',*,*,#195941,.T.); #258357=ORIENTED_EDGE('',*,*,#195938,.T.); #258358=ORIENTED_EDGE('',*,*,#195935,.T.); #258359=ORIENTED_EDGE('',*,*,#195932,.T.); #258360=ORIENTED_EDGE('',*,*,#195929,.T.); #258361=ORIENTED_EDGE('',*,*,#195926,.T.); #258362=ORIENTED_EDGE('',*,*,#195923,.T.); #258363=ORIENTED_EDGE('',*,*,#195920,.T.); #258364=ORIENTED_EDGE('',*,*,#195917,.T.); #258365=ORIENTED_EDGE('',*,*,#195914,.T.); #258366=ORIENTED_EDGE('',*,*,#195979,.F.); #258367=ORIENTED_EDGE('',*,*,#195912,.F.); #258368=ORIENTED_EDGE('',*,*,#195916,.F.); #258369=ORIENTED_EDGE('',*,*,#195919,.F.); #258370=ORIENTED_EDGE('',*,*,#195922,.F.); #258371=ORIENTED_EDGE('',*,*,#195925,.F.); #258372=ORIENTED_EDGE('',*,*,#195928,.F.); #258373=ORIENTED_EDGE('',*,*,#195931,.F.); #258374=ORIENTED_EDGE('',*,*,#195934,.F.); #258375=ORIENTED_EDGE('',*,*,#195937,.F.); #258376=ORIENTED_EDGE('',*,*,#195940,.F.); #258377=ORIENTED_EDGE('',*,*,#195943,.F.); #258378=ORIENTED_EDGE('',*,*,#195946,.F.); #258379=ORIENTED_EDGE('',*,*,#195949,.F.); #258380=ORIENTED_EDGE('',*,*,#195952,.F.); #258381=ORIENTED_EDGE('',*,*,#195955,.F.); #258382=ORIENTED_EDGE('',*,*,#195958,.F.); #258383=ORIENTED_EDGE('',*,*,#195961,.F.); #258384=ORIENTED_EDGE('',*,*,#195964,.F.); #258385=ORIENTED_EDGE('',*,*,#195967,.F.); #258386=ORIENTED_EDGE('',*,*,#195970,.F.); #258387=ORIENTED_EDGE('',*,*,#195973,.F.); #258388=ORIENTED_EDGE('',*,*,#195976,.F.); #258389=ORIENTED_EDGE('',*,*,#195981,.T.); #258390=ORIENTED_EDGE('',*,*,#195982,.T.); #258391=ORIENTED_EDGE('',*,*,#195983,.F.); #258392=ORIENTED_EDGE('',*,*,#195984,.F.); #258393=ORIENTED_EDGE('',*,*,#195985,.T.); #258394=ORIENTED_EDGE('',*,*,#195984,.T.); #258395=ORIENTED_EDGE('',*,*,#195986,.F.); #258396=ORIENTED_EDGE('',*,*,#195987,.F.); #258397=ORIENTED_EDGE('',*,*,#195988,.T.); #258398=ORIENTED_EDGE('',*,*,#195987,.T.); #258399=ORIENTED_EDGE('',*,*,#195989,.F.); #258400=ORIENTED_EDGE('',*,*,#195990,.F.); #258401=ORIENTED_EDGE('',*,*,#195991,.T.); #258402=ORIENTED_EDGE('',*,*,#195990,.T.); #258403=ORIENTED_EDGE('',*,*,#195992,.F.); #258404=ORIENTED_EDGE('',*,*,#195993,.F.); #258405=ORIENTED_EDGE('',*,*,#195994,.T.); #258406=ORIENTED_EDGE('',*,*,#195993,.T.); #258407=ORIENTED_EDGE('',*,*,#195995,.F.); #258408=ORIENTED_EDGE('',*,*,#195996,.F.); #258409=ORIENTED_EDGE('',*,*,#195997,.T.); #258410=ORIENTED_EDGE('',*,*,#195996,.T.); #258411=ORIENTED_EDGE('',*,*,#195998,.F.); #258412=ORIENTED_EDGE('',*,*,#195999,.F.); #258413=ORIENTED_EDGE('',*,*,#196000,.T.); #258414=ORIENTED_EDGE('',*,*,#195999,.T.); #258415=ORIENTED_EDGE('',*,*,#196001,.F.); #258416=ORIENTED_EDGE('',*,*,#196002,.F.); #258417=ORIENTED_EDGE('',*,*,#196003,.T.); #258418=ORIENTED_EDGE('',*,*,#196002,.T.); #258419=ORIENTED_EDGE('',*,*,#196004,.F.); #258420=ORIENTED_EDGE('',*,*,#196005,.F.); #258421=ORIENTED_EDGE('',*,*,#196006,.T.); #258422=ORIENTED_EDGE('',*,*,#196005,.T.); #258423=ORIENTED_EDGE('',*,*,#196007,.F.); #258424=ORIENTED_EDGE('',*,*,#196008,.F.); #258425=ORIENTED_EDGE('',*,*,#196009,.T.); #258426=ORIENTED_EDGE('',*,*,#196008,.T.); #258427=ORIENTED_EDGE('',*,*,#196010,.F.); #258428=ORIENTED_EDGE('',*,*,#196011,.F.); #258429=ORIENTED_EDGE('',*,*,#196012,.T.); #258430=ORIENTED_EDGE('',*,*,#196011,.T.); #258431=ORIENTED_EDGE('',*,*,#196013,.F.); #258432=ORIENTED_EDGE('',*,*,#196014,.F.); #258433=ORIENTED_EDGE('',*,*,#196015,.T.); #258434=ORIENTED_EDGE('',*,*,#196014,.T.); #258435=ORIENTED_EDGE('',*,*,#196016,.F.); #258436=ORIENTED_EDGE('',*,*,#196017,.F.); #258437=ORIENTED_EDGE('',*,*,#196018,.T.); #258438=ORIENTED_EDGE('',*,*,#196017,.T.); #258439=ORIENTED_EDGE('',*,*,#196019,.F.); #258440=ORIENTED_EDGE('',*,*,#196020,.F.); #258441=ORIENTED_EDGE('',*,*,#196021,.T.); #258442=ORIENTED_EDGE('',*,*,#196020,.T.); #258443=ORIENTED_EDGE('',*,*,#196022,.F.); #258444=ORIENTED_EDGE('',*,*,#196023,.F.); #258445=ORIENTED_EDGE('',*,*,#196024,.T.); #258446=ORIENTED_EDGE('',*,*,#196023,.T.); #258447=ORIENTED_EDGE('',*,*,#196025,.F.); #258448=ORIENTED_EDGE('',*,*,#196026,.F.); #258449=ORIENTED_EDGE('',*,*,#196027,.T.); #258450=ORIENTED_EDGE('',*,*,#196026,.T.); #258451=ORIENTED_EDGE('',*,*,#196028,.F.); #258452=ORIENTED_EDGE('',*,*,#196029,.F.); #258453=ORIENTED_EDGE('',*,*,#196030,.T.); #258454=ORIENTED_EDGE('',*,*,#196029,.T.); #258455=ORIENTED_EDGE('',*,*,#196031,.F.); #258456=ORIENTED_EDGE('',*,*,#196032,.F.); #258457=ORIENTED_EDGE('',*,*,#196033,.T.); #258458=ORIENTED_EDGE('',*,*,#196032,.T.); #258459=ORIENTED_EDGE('',*,*,#196034,.F.); #258460=ORIENTED_EDGE('',*,*,#196035,.F.); #258461=ORIENTED_EDGE('',*,*,#196036,.T.); #258462=ORIENTED_EDGE('',*,*,#196035,.T.); #258463=ORIENTED_EDGE('',*,*,#196037,.F.); #258464=ORIENTED_EDGE('',*,*,#196038,.F.); #258465=ORIENTED_EDGE('',*,*,#196039,.T.); #258466=ORIENTED_EDGE('',*,*,#196038,.T.); #258467=ORIENTED_EDGE('',*,*,#196040,.F.); #258468=ORIENTED_EDGE('',*,*,#196041,.F.); #258469=ORIENTED_EDGE('',*,*,#196042,.T.); #258470=ORIENTED_EDGE('',*,*,#196041,.T.); #258471=ORIENTED_EDGE('',*,*,#196043,.F.); #258472=ORIENTED_EDGE('',*,*,#195982,.F.); #258473=ORIENTED_EDGE('',*,*,#196043,.T.); #258474=ORIENTED_EDGE('',*,*,#196040,.T.); #258475=ORIENTED_EDGE('',*,*,#196037,.T.); #258476=ORIENTED_EDGE('',*,*,#196034,.T.); #258477=ORIENTED_EDGE('',*,*,#196031,.T.); #258478=ORIENTED_EDGE('',*,*,#196028,.T.); #258479=ORIENTED_EDGE('',*,*,#196025,.T.); #258480=ORIENTED_EDGE('',*,*,#196022,.T.); #258481=ORIENTED_EDGE('',*,*,#196019,.T.); #258482=ORIENTED_EDGE('',*,*,#196016,.T.); #258483=ORIENTED_EDGE('',*,*,#196013,.T.); #258484=ORIENTED_EDGE('',*,*,#196010,.T.); #258485=ORIENTED_EDGE('',*,*,#196007,.T.); #258486=ORIENTED_EDGE('',*,*,#196004,.T.); #258487=ORIENTED_EDGE('',*,*,#196001,.T.); #258488=ORIENTED_EDGE('',*,*,#195998,.T.); #258489=ORIENTED_EDGE('',*,*,#195995,.T.); #258490=ORIENTED_EDGE('',*,*,#195992,.T.); #258491=ORIENTED_EDGE('',*,*,#195989,.T.); #258492=ORIENTED_EDGE('',*,*,#195986,.T.); #258493=ORIENTED_EDGE('',*,*,#195983,.T.); #258494=ORIENTED_EDGE('',*,*,#196042,.F.); #258495=ORIENTED_EDGE('',*,*,#195981,.F.); #258496=ORIENTED_EDGE('',*,*,#195985,.F.); #258497=ORIENTED_EDGE('',*,*,#195988,.F.); #258498=ORIENTED_EDGE('',*,*,#195991,.F.); #258499=ORIENTED_EDGE('',*,*,#195994,.F.); #258500=ORIENTED_EDGE('',*,*,#195997,.F.); #258501=ORIENTED_EDGE('',*,*,#196000,.F.); #258502=ORIENTED_EDGE('',*,*,#196003,.F.); #258503=ORIENTED_EDGE('',*,*,#196006,.F.); #258504=ORIENTED_EDGE('',*,*,#196009,.F.); #258505=ORIENTED_EDGE('',*,*,#196012,.F.); #258506=ORIENTED_EDGE('',*,*,#196015,.F.); #258507=ORIENTED_EDGE('',*,*,#196018,.F.); #258508=ORIENTED_EDGE('',*,*,#196021,.F.); #258509=ORIENTED_EDGE('',*,*,#196024,.F.); #258510=ORIENTED_EDGE('',*,*,#196027,.F.); #258511=ORIENTED_EDGE('',*,*,#196030,.F.); #258512=ORIENTED_EDGE('',*,*,#196033,.F.); #258513=ORIENTED_EDGE('',*,*,#196036,.F.); #258514=ORIENTED_EDGE('',*,*,#196039,.F.); #258515=ORIENTED_EDGE('',*,*,#196044,.T.); #258516=ORIENTED_EDGE('',*,*,#196045,.T.); #258517=ORIENTED_EDGE('',*,*,#196046,.F.); #258518=ORIENTED_EDGE('',*,*,#196047,.F.); #258519=ORIENTED_EDGE('',*,*,#196048,.T.); #258520=ORIENTED_EDGE('',*,*,#196047,.T.); #258521=ORIENTED_EDGE('',*,*,#196049,.F.); #258522=ORIENTED_EDGE('',*,*,#196050,.F.); #258523=ORIENTED_EDGE('',*,*,#196051,.T.); #258524=ORIENTED_EDGE('',*,*,#196050,.T.); #258525=ORIENTED_EDGE('',*,*,#196052,.F.); #258526=ORIENTED_EDGE('',*,*,#196053,.F.); #258527=ORIENTED_EDGE('',*,*,#196054,.T.); #258528=ORIENTED_EDGE('',*,*,#196053,.T.); #258529=ORIENTED_EDGE('',*,*,#196055,.F.); #258530=ORIENTED_EDGE('',*,*,#196056,.F.); #258531=ORIENTED_EDGE('',*,*,#196057,.T.); #258532=ORIENTED_EDGE('',*,*,#196056,.T.); #258533=ORIENTED_EDGE('',*,*,#196058,.F.); #258534=ORIENTED_EDGE('',*,*,#196059,.F.); #258535=ORIENTED_EDGE('',*,*,#196060,.T.); #258536=ORIENTED_EDGE('',*,*,#196059,.T.); #258537=ORIENTED_EDGE('',*,*,#196061,.F.); #258538=ORIENTED_EDGE('',*,*,#196062,.F.); #258539=ORIENTED_EDGE('',*,*,#196063,.T.); #258540=ORIENTED_EDGE('',*,*,#196062,.T.); #258541=ORIENTED_EDGE('',*,*,#196064,.F.); #258542=ORIENTED_EDGE('',*,*,#196065,.F.); #258543=ORIENTED_EDGE('',*,*,#196066,.T.); #258544=ORIENTED_EDGE('',*,*,#196065,.T.); #258545=ORIENTED_EDGE('',*,*,#196067,.F.); #258546=ORIENTED_EDGE('',*,*,#196068,.F.); #258547=ORIENTED_EDGE('',*,*,#196069,.T.); #258548=ORIENTED_EDGE('',*,*,#196068,.T.); #258549=ORIENTED_EDGE('',*,*,#196070,.F.); #258550=ORIENTED_EDGE('',*,*,#196071,.F.); #258551=ORIENTED_EDGE('',*,*,#196072,.T.); #258552=ORIENTED_EDGE('',*,*,#196071,.T.); #258553=ORIENTED_EDGE('',*,*,#196073,.F.); #258554=ORIENTED_EDGE('',*,*,#196074,.F.); #258555=ORIENTED_EDGE('',*,*,#196075,.T.); #258556=ORIENTED_EDGE('',*,*,#196074,.T.); #258557=ORIENTED_EDGE('',*,*,#196076,.F.); #258558=ORIENTED_EDGE('',*,*,#196077,.F.); #258559=ORIENTED_EDGE('',*,*,#196078,.T.); #258560=ORIENTED_EDGE('',*,*,#196077,.T.); #258561=ORIENTED_EDGE('',*,*,#196079,.F.); #258562=ORIENTED_EDGE('',*,*,#196080,.F.); #258563=ORIENTED_EDGE('',*,*,#196081,.T.); #258564=ORIENTED_EDGE('',*,*,#196080,.T.); #258565=ORIENTED_EDGE('',*,*,#196082,.F.); #258566=ORIENTED_EDGE('',*,*,#196083,.F.); #258567=ORIENTED_EDGE('',*,*,#196084,.T.); #258568=ORIENTED_EDGE('',*,*,#196083,.T.); #258569=ORIENTED_EDGE('',*,*,#196085,.F.); #258570=ORIENTED_EDGE('',*,*,#196086,.F.); #258571=ORIENTED_EDGE('',*,*,#196087,.T.); #258572=ORIENTED_EDGE('',*,*,#196086,.T.); #258573=ORIENTED_EDGE('',*,*,#196088,.F.); #258574=ORIENTED_EDGE('',*,*,#196089,.F.); #258575=ORIENTED_EDGE('',*,*,#196090,.T.); #258576=ORIENTED_EDGE('',*,*,#196089,.T.); #258577=ORIENTED_EDGE('',*,*,#196091,.F.); #258578=ORIENTED_EDGE('',*,*,#196092,.F.); #258579=ORIENTED_EDGE('',*,*,#196093,.T.); #258580=ORIENTED_EDGE('',*,*,#196092,.T.); #258581=ORIENTED_EDGE('',*,*,#196094,.F.); #258582=ORIENTED_EDGE('',*,*,#196095,.F.); #258583=ORIENTED_EDGE('',*,*,#196096,.T.); #258584=ORIENTED_EDGE('',*,*,#196095,.T.); #258585=ORIENTED_EDGE('',*,*,#196097,.F.); #258586=ORIENTED_EDGE('',*,*,#196098,.F.); #258587=ORIENTED_EDGE('',*,*,#196099,.T.); #258588=ORIENTED_EDGE('',*,*,#196098,.T.); #258589=ORIENTED_EDGE('',*,*,#196100,.F.); #258590=ORIENTED_EDGE('',*,*,#196101,.F.); #258591=ORIENTED_EDGE('',*,*,#196102,.T.); #258592=ORIENTED_EDGE('',*,*,#196101,.T.); #258593=ORIENTED_EDGE('',*,*,#196103,.F.); #258594=ORIENTED_EDGE('',*,*,#196104,.F.); #258595=ORIENTED_EDGE('',*,*,#196105,.T.); #258596=ORIENTED_EDGE('',*,*,#196104,.T.); #258597=ORIENTED_EDGE('',*,*,#196106,.F.); #258598=ORIENTED_EDGE('',*,*,#196107,.F.); #258599=ORIENTED_EDGE('',*,*,#196108,.T.); #258600=ORIENTED_EDGE('',*,*,#196107,.T.); #258601=ORIENTED_EDGE('',*,*,#196109,.F.); #258602=ORIENTED_EDGE('',*,*,#196110,.F.); #258603=ORIENTED_EDGE('',*,*,#196111,.T.); #258604=ORIENTED_EDGE('',*,*,#196110,.T.); #258605=ORIENTED_EDGE('',*,*,#196112,.F.); #258606=ORIENTED_EDGE('',*,*,#196113,.F.); #258607=ORIENTED_EDGE('',*,*,#196114,.T.); #258608=ORIENTED_EDGE('',*,*,#196113,.T.); #258609=ORIENTED_EDGE('',*,*,#196115,.F.); #258610=ORIENTED_EDGE('',*,*,#196116,.F.); #258611=ORIENTED_EDGE('',*,*,#196117,.T.); #258612=ORIENTED_EDGE('',*,*,#196116,.T.); #258613=ORIENTED_EDGE('',*,*,#196118,.F.); #258614=ORIENTED_EDGE('',*,*,#196119,.F.); #258615=ORIENTED_EDGE('',*,*,#196120,.T.); #258616=ORIENTED_EDGE('',*,*,#196119,.T.); #258617=ORIENTED_EDGE('',*,*,#196121,.F.); #258618=ORIENTED_EDGE('',*,*,#196122,.F.); #258619=ORIENTED_EDGE('',*,*,#196123,.T.); #258620=ORIENTED_EDGE('',*,*,#196122,.T.); #258621=ORIENTED_EDGE('',*,*,#196124,.F.); #258622=ORIENTED_EDGE('',*,*,#196125,.F.); #258623=ORIENTED_EDGE('',*,*,#196126,.T.); #258624=ORIENTED_EDGE('',*,*,#196125,.T.); #258625=ORIENTED_EDGE('',*,*,#196127,.F.); #258626=ORIENTED_EDGE('',*,*,#196128,.F.); #258627=ORIENTED_EDGE('',*,*,#196129,.T.); #258628=ORIENTED_EDGE('',*,*,#196128,.T.); #258629=ORIENTED_EDGE('',*,*,#196130,.F.); #258630=ORIENTED_EDGE('',*,*,#196131,.F.); #258631=ORIENTED_EDGE('',*,*,#196132,.T.); #258632=ORIENTED_EDGE('',*,*,#196131,.T.); #258633=ORIENTED_EDGE('',*,*,#196133,.F.); #258634=ORIENTED_EDGE('',*,*,#196045,.F.); #258635=ORIENTED_EDGE('',*,*,#196133,.T.); #258636=ORIENTED_EDGE('',*,*,#196130,.T.); #258637=ORIENTED_EDGE('',*,*,#196127,.T.); #258638=ORIENTED_EDGE('',*,*,#196124,.T.); #258639=ORIENTED_EDGE('',*,*,#196121,.T.); #258640=ORIENTED_EDGE('',*,*,#196118,.T.); #258641=ORIENTED_EDGE('',*,*,#196115,.T.); #258642=ORIENTED_EDGE('',*,*,#196112,.T.); #258643=ORIENTED_EDGE('',*,*,#196109,.T.); #258644=ORIENTED_EDGE('',*,*,#196106,.T.); #258645=ORIENTED_EDGE('',*,*,#196103,.T.); #258646=ORIENTED_EDGE('',*,*,#196100,.T.); #258647=ORIENTED_EDGE('',*,*,#196097,.T.); #258648=ORIENTED_EDGE('',*,*,#196094,.T.); #258649=ORIENTED_EDGE('',*,*,#196091,.T.); #258650=ORIENTED_EDGE('',*,*,#196088,.T.); #258651=ORIENTED_EDGE('',*,*,#196085,.T.); #258652=ORIENTED_EDGE('',*,*,#196082,.T.); #258653=ORIENTED_EDGE('',*,*,#196079,.T.); #258654=ORIENTED_EDGE('',*,*,#196076,.T.); #258655=ORIENTED_EDGE('',*,*,#196073,.T.); #258656=ORIENTED_EDGE('',*,*,#196070,.T.); #258657=ORIENTED_EDGE('',*,*,#196067,.T.); #258658=ORIENTED_EDGE('',*,*,#196064,.T.); #258659=ORIENTED_EDGE('',*,*,#196061,.T.); #258660=ORIENTED_EDGE('',*,*,#196058,.T.); #258661=ORIENTED_EDGE('',*,*,#196055,.T.); #258662=ORIENTED_EDGE('',*,*,#196052,.T.); #258663=ORIENTED_EDGE('',*,*,#196049,.T.); #258664=ORIENTED_EDGE('',*,*,#196046,.T.); #258665=ORIENTED_EDGE('',*,*,#196132,.F.); #258666=ORIENTED_EDGE('',*,*,#196044,.F.); #258667=ORIENTED_EDGE('',*,*,#196048,.F.); #258668=ORIENTED_EDGE('',*,*,#196051,.F.); #258669=ORIENTED_EDGE('',*,*,#196054,.F.); #258670=ORIENTED_EDGE('',*,*,#196057,.F.); #258671=ORIENTED_EDGE('',*,*,#196060,.F.); #258672=ORIENTED_EDGE('',*,*,#196063,.F.); #258673=ORIENTED_EDGE('',*,*,#196066,.F.); #258674=ORIENTED_EDGE('',*,*,#196069,.F.); #258675=ORIENTED_EDGE('',*,*,#196072,.F.); #258676=ORIENTED_EDGE('',*,*,#196075,.F.); #258677=ORIENTED_EDGE('',*,*,#196078,.F.); #258678=ORIENTED_EDGE('',*,*,#196081,.F.); #258679=ORIENTED_EDGE('',*,*,#196084,.F.); #258680=ORIENTED_EDGE('',*,*,#196087,.F.); #258681=ORIENTED_EDGE('',*,*,#196090,.F.); #258682=ORIENTED_EDGE('',*,*,#196093,.F.); #258683=ORIENTED_EDGE('',*,*,#196096,.F.); #258684=ORIENTED_EDGE('',*,*,#196099,.F.); #258685=ORIENTED_EDGE('',*,*,#196102,.F.); #258686=ORIENTED_EDGE('',*,*,#196105,.F.); #258687=ORIENTED_EDGE('',*,*,#196108,.F.); #258688=ORIENTED_EDGE('',*,*,#196111,.F.); #258689=ORIENTED_EDGE('',*,*,#196114,.F.); #258690=ORIENTED_EDGE('',*,*,#196117,.F.); #258691=ORIENTED_EDGE('',*,*,#196120,.F.); #258692=ORIENTED_EDGE('',*,*,#196123,.F.); #258693=ORIENTED_EDGE('',*,*,#196126,.F.); #258694=ORIENTED_EDGE('',*,*,#196129,.F.); #258695=ORIENTED_EDGE('',*,*,#196134,.T.); #258696=ORIENTED_EDGE('',*,*,#196135,.T.); #258697=ORIENTED_EDGE('',*,*,#196136,.F.); #258698=ORIENTED_EDGE('',*,*,#196137,.F.); #258699=ORIENTED_EDGE('',*,*,#196138,.T.); #258700=ORIENTED_EDGE('',*,*,#196137,.T.); #258701=ORIENTED_EDGE('',*,*,#196139,.F.); #258702=ORIENTED_EDGE('',*,*,#196140,.F.); #258703=ORIENTED_EDGE('',*,*,#196141,.T.); #258704=ORIENTED_EDGE('',*,*,#196140,.T.); #258705=ORIENTED_EDGE('',*,*,#196142,.F.); #258706=ORIENTED_EDGE('',*,*,#196143,.F.); #258707=ORIENTED_EDGE('',*,*,#196144,.T.); #258708=ORIENTED_EDGE('',*,*,#196143,.T.); #258709=ORIENTED_EDGE('',*,*,#196145,.F.); #258710=ORIENTED_EDGE('',*,*,#196146,.F.); #258711=ORIENTED_EDGE('',*,*,#196147,.T.); #258712=ORIENTED_EDGE('',*,*,#196146,.T.); #258713=ORIENTED_EDGE('',*,*,#196148,.F.); #258714=ORIENTED_EDGE('',*,*,#196149,.F.); #258715=ORIENTED_EDGE('',*,*,#196150,.T.); #258716=ORIENTED_EDGE('',*,*,#196149,.T.); #258717=ORIENTED_EDGE('',*,*,#196151,.F.); #258718=ORIENTED_EDGE('',*,*,#196152,.F.); #258719=ORIENTED_EDGE('',*,*,#196153,.T.); #258720=ORIENTED_EDGE('',*,*,#196152,.T.); #258721=ORIENTED_EDGE('',*,*,#196154,.F.); #258722=ORIENTED_EDGE('',*,*,#196155,.F.); #258723=ORIENTED_EDGE('',*,*,#196156,.T.); #258724=ORIENTED_EDGE('',*,*,#196155,.T.); #258725=ORIENTED_EDGE('',*,*,#196157,.F.); #258726=ORIENTED_EDGE('',*,*,#196158,.F.); #258727=ORIENTED_EDGE('',*,*,#196159,.T.); #258728=ORIENTED_EDGE('',*,*,#196158,.T.); #258729=ORIENTED_EDGE('',*,*,#196160,.F.); #258730=ORIENTED_EDGE('',*,*,#196161,.F.); #258731=ORIENTED_EDGE('',*,*,#196162,.T.); #258732=ORIENTED_EDGE('',*,*,#196161,.T.); #258733=ORIENTED_EDGE('',*,*,#196163,.F.); #258734=ORIENTED_EDGE('',*,*,#196164,.F.); #258735=ORIENTED_EDGE('',*,*,#196165,.T.); #258736=ORIENTED_EDGE('',*,*,#196164,.T.); #258737=ORIENTED_EDGE('',*,*,#196166,.F.); #258738=ORIENTED_EDGE('',*,*,#196167,.F.); #258739=ORIENTED_EDGE('',*,*,#196168,.T.); #258740=ORIENTED_EDGE('',*,*,#196167,.T.); #258741=ORIENTED_EDGE('',*,*,#196169,.F.); #258742=ORIENTED_EDGE('',*,*,#196170,.F.); #258743=ORIENTED_EDGE('',*,*,#196171,.T.); #258744=ORIENTED_EDGE('',*,*,#196170,.T.); #258745=ORIENTED_EDGE('',*,*,#196172,.F.); #258746=ORIENTED_EDGE('',*,*,#196173,.F.); #258747=ORIENTED_EDGE('',*,*,#196174,.T.); #258748=ORIENTED_EDGE('',*,*,#196173,.T.); #258749=ORIENTED_EDGE('',*,*,#196175,.F.); #258750=ORIENTED_EDGE('',*,*,#196176,.F.); #258751=ORIENTED_EDGE('',*,*,#196177,.T.); #258752=ORIENTED_EDGE('',*,*,#196176,.T.); #258753=ORIENTED_EDGE('',*,*,#196178,.F.); #258754=ORIENTED_EDGE('',*,*,#196179,.F.); #258755=ORIENTED_EDGE('',*,*,#196180,.T.); #258756=ORIENTED_EDGE('',*,*,#196179,.T.); #258757=ORIENTED_EDGE('',*,*,#196181,.F.); #258758=ORIENTED_EDGE('',*,*,#196182,.F.); #258759=ORIENTED_EDGE('',*,*,#196183,.T.); #258760=ORIENTED_EDGE('',*,*,#196182,.T.); #258761=ORIENTED_EDGE('',*,*,#196184,.F.); #258762=ORIENTED_EDGE('',*,*,#196185,.F.); #258763=ORIENTED_EDGE('',*,*,#196186,.T.); #258764=ORIENTED_EDGE('',*,*,#196185,.T.); #258765=ORIENTED_EDGE('',*,*,#196187,.F.); #258766=ORIENTED_EDGE('',*,*,#196188,.F.); #258767=ORIENTED_EDGE('',*,*,#196189,.T.); #258768=ORIENTED_EDGE('',*,*,#196188,.T.); #258769=ORIENTED_EDGE('',*,*,#196190,.F.); #258770=ORIENTED_EDGE('',*,*,#196191,.F.); #258771=ORIENTED_EDGE('',*,*,#196192,.T.); #258772=ORIENTED_EDGE('',*,*,#196191,.T.); #258773=ORIENTED_EDGE('',*,*,#196193,.F.); #258774=ORIENTED_EDGE('',*,*,#196135,.F.); #258775=ORIENTED_EDGE('',*,*,#196193,.T.); #258776=ORIENTED_EDGE('',*,*,#196190,.T.); #258777=ORIENTED_EDGE('',*,*,#196187,.T.); #258778=ORIENTED_EDGE('',*,*,#196184,.T.); #258779=ORIENTED_EDGE('',*,*,#196181,.T.); #258780=ORIENTED_EDGE('',*,*,#196178,.T.); #258781=ORIENTED_EDGE('',*,*,#196175,.T.); #258782=ORIENTED_EDGE('',*,*,#196172,.T.); #258783=ORIENTED_EDGE('',*,*,#196169,.T.); #258784=ORIENTED_EDGE('',*,*,#196166,.T.); #258785=ORIENTED_EDGE('',*,*,#196163,.T.); #258786=ORIENTED_EDGE('',*,*,#196160,.T.); #258787=ORIENTED_EDGE('',*,*,#196157,.T.); #258788=ORIENTED_EDGE('',*,*,#196154,.T.); #258789=ORIENTED_EDGE('',*,*,#196151,.T.); #258790=ORIENTED_EDGE('',*,*,#196148,.T.); #258791=ORIENTED_EDGE('',*,*,#196145,.T.); #258792=ORIENTED_EDGE('',*,*,#196142,.T.); #258793=ORIENTED_EDGE('',*,*,#196139,.T.); #258794=ORIENTED_EDGE('',*,*,#196136,.T.); #258795=ORIENTED_EDGE('',*,*,#196192,.F.); #258796=ORIENTED_EDGE('',*,*,#196134,.F.); #258797=ORIENTED_EDGE('',*,*,#196138,.F.); #258798=ORIENTED_EDGE('',*,*,#196141,.F.); #258799=ORIENTED_EDGE('',*,*,#196144,.F.); #258800=ORIENTED_EDGE('',*,*,#196147,.F.); #258801=ORIENTED_EDGE('',*,*,#196150,.F.); #258802=ORIENTED_EDGE('',*,*,#196153,.F.); #258803=ORIENTED_EDGE('',*,*,#196156,.F.); #258804=ORIENTED_EDGE('',*,*,#196159,.F.); #258805=ORIENTED_EDGE('',*,*,#196162,.F.); #258806=ORIENTED_EDGE('',*,*,#196165,.F.); #258807=ORIENTED_EDGE('',*,*,#196168,.F.); #258808=ORIENTED_EDGE('',*,*,#196171,.F.); #258809=ORIENTED_EDGE('',*,*,#196174,.F.); #258810=ORIENTED_EDGE('',*,*,#196177,.F.); #258811=ORIENTED_EDGE('',*,*,#196180,.F.); #258812=ORIENTED_EDGE('',*,*,#196183,.F.); #258813=ORIENTED_EDGE('',*,*,#196186,.F.); #258814=ORIENTED_EDGE('',*,*,#196189,.F.); #258815=ORIENTED_EDGE('',*,*,#196194,.T.); #258816=ORIENTED_EDGE('',*,*,#196195,.T.); #258817=ORIENTED_EDGE('',*,*,#196196,.F.); #258818=ORIENTED_EDGE('',*,*,#196197,.F.); #258819=ORIENTED_EDGE('',*,*,#196198,.T.); #258820=ORIENTED_EDGE('',*,*,#196197,.T.); #258821=ORIENTED_EDGE('',*,*,#196199,.F.); #258822=ORIENTED_EDGE('',*,*,#196200,.F.); #258823=ORIENTED_EDGE('',*,*,#196201,.T.); #258824=ORIENTED_EDGE('',*,*,#196200,.T.); #258825=ORIENTED_EDGE('',*,*,#196202,.F.); #258826=ORIENTED_EDGE('',*,*,#196203,.F.); #258827=ORIENTED_EDGE('',*,*,#196204,.T.); #258828=ORIENTED_EDGE('',*,*,#196203,.T.); #258829=ORIENTED_EDGE('',*,*,#196205,.F.); #258830=ORIENTED_EDGE('',*,*,#196206,.F.); #258831=ORIENTED_EDGE('',*,*,#196207,.T.); #258832=ORIENTED_EDGE('',*,*,#196206,.T.); #258833=ORIENTED_EDGE('',*,*,#196208,.F.); #258834=ORIENTED_EDGE('',*,*,#196209,.F.); #258835=ORIENTED_EDGE('',*,*,#196210,.T.); #258836=ORIENTED_EDGE('',*,*,#196209,.T.); #258837=ORIENTED_EDGE('',*,*,#196211,.F.); #258838=ORIENTED_EDGE('',*,*,#196212,.F.); #258839=ORIENTED_EDGE('',*,*,#196213,.T.); #258840=ORIENTED_EDGE('',*,*,#196212,.T.); #258841=ORIENTED_EDGE('',*,*,#196214,.F.); #258842=ORIENTED_EDGE('',*,*,#196215,.F.); #258843=ORIENTED_EDGE('',*,*,#196216,.T.); #258844=ORIENTED_EDGE('',*,*,#196215,.T.); #258845=ORIENTED_EDGE('',*,*,#196217,.F.); #258846=ORIENTED_EDGE('',*,*,#196218,.F.); #258847=ORIENTED_EDGE('',*,*,#196219,.T.); #258848=ORIENTED_EDGE('',*,*,#196218,.T.); #258849=ORIENTED_EDGE('',*,*,#196220,.F.); #258850=ORIENTED_EDGE('',*,*,#196221,.F.); #258851=ORIENTED_EDGE('',*,*,#196222,.T.); #258852=ORIENTED_EDGE('',*,*,#196221,.T.); #258853=ORIENTED_EDGE('',*,*,#196223,.F.); #258854=ORIENTED_EDGE('',*,*,#196224,.F.); #258855=ORIENTED_EDGE('',*,*,#196225,.T.); #258856=ORIENTED_EDGE('',*,*,#196224,.T.); #258857=ORIENTED_EDGE('',*,*,#196226,.F.); #258858=ORIENTED_EDGE('',*,*,#196227,.F.); #258859=ORIENTED_EDGE('',*,*,#196228,.T.); #258860=ORIENTED_EDGE('',*,*,#196227,.T.); #258861=ORIENTED_EDGE('',*,*,#196229,.F.); #258862=ORIENTED_EDGE('',*,*,#196230,.F.); #258863=ORIENTED_EDGE('',*,*,#196231,.T.); #258864=ORIENTED_EDGE('',*,*,#196230,.T.); #258865=ORIENTED_EDGE('',*,*,#196232,.F.); #258866=ORIENTED_EDGE('',*,*,#196233,.F.); #258867=ORIENTED_EDGE('',*,*,#196234,.T.); #258868=ORIENTED_EDGE('',*,*,#196233,.T.); #258869=ORIENTED_EDGE('',*,*,#196235,.F.); #258870=ORIENTED_EDGE('',*,*,#196236,.F.); #258871=ORIENTED_EDGE('',*,*,#196237,.T.); #258872=ORIENTED_EDGE('',*,*,#196236,.T.); #258873=ORIENTED_EDGE('',*,*,#196238,.F.); #258874=ORIENTED_EDGE('',*,*,#196239,.F.); #258875=ORIENTED_EDGE('',*,*,#196240,.T.); #258876=ORIENTED_EDGE('',*,*,#196239,.T.); #258877=ORIENTED_EDGE('',*,*,#196241,.F.); #258878=ORIENTED_EDGE('',*,*,#196242,.F.); #258879=ORIENTED_EDGE('',*,*,#196243,.T.); #258880=ORIENTED_EDGE('',*,*,#196242,.T.); #258881=ORIENTED_EDGE('',*,*,#196244,.F.); #258882=ORIENTED_EDGE('',*,*,#196245,.F.); #258883=ORIENTED_EDGE('',*,*,#196246,.T.); #258884=ORIENTED_EDGE('',*,*,#196245,.T.); #258885=ORIENTED_EDGE('',*,*,#196247,.F.); #258886=ORIENTED_EDGE('',*,*,#196248,.F.); #258887=ORIENTED_EDGE('',*,*,#196249,.T.); #258888=ORIENTED_EDGE('',*,*,#196248,.T.); #258889=ORIENTED_EDGE('',*,*,#196250,.F.); #258890=ORIENTED_EDGE('',*,*,#196251,.F.); #258891=ORIENTED_EDGE('',*,*,#196252,.T.); #258892=ORIENTED_EDGE('',*,*,#196251,.T.); #258893=ORIENTED_EDGE('',*,*,#196253,.F.); #258894=ORIENTED_EDGE('',*,*,#196254,.F.); #258895=ORIENTED_EDGE('',*,*,#196255,.T.); #258896=ORIENTED_EDGE('',*,*,#196254,.T.); #258897=ORIENTED_EDGE('',*,*,#196256,.F.); #258898=ORIENTED_EDGE('',*,*,#196257,.F.); #258899=ORIENTED_EDGE('',*,*,#196258,.T.); #258900=ORIENTED_EDGE('',*,*,#196257,.T.); #258901=ORIENTED_EDGE('',*,*,#196259,.F.); #258902=ORIENTED_EDGE('',*,*,#196260,.F.); #258903=ORIENTED_EDGE('',*,*,#196261,.T.); #258904=ORIENTED_EDGE('',*,*,#196260,.T.); #258905=ORIENTED_EDGE('',*,*,#196262,.F.); #258906=ORIENTED_EDGE('',*,*,#196263,.F.); #258907=ORIENTED_EDGE('',*,*,#196264,.T.); #258908=ORIENTED_EDGE('',*,*,#196263,.T.); #258909=ORIENTED_EDGE('',*,*,#196265,.F.); #258910=ORIENTED_EDGE('',*,*,#196266,.F.); #258911=ORIENTED_EDGE('',*,*,#196267,.T.); #258912=ORIENTED_EDGE('',*,*,#196266,.T.); #258913=ORIENTED_EDGE('',*,*,#196268,.F.); #258914=ORIENTED_EDGE('',*,*,#196269,.F.); #258915=ORIENTED_EDGE('',*,*,#196270,.T.); #258916=ORIENTED_EDGE('',*,*,#196269,.T.); #258917=ORIENTED_EDGE('',*,*,#196271,.F.); #258918=ORIENTED_EDGE('',*,*,#196272,.F.); #258919=ORIENTED_EDGE('',*,*,#196273,.T.); #258920=ORIENTED_EDGE('',*,*,#196272,.T.); #258921=ORIENTED_EDGE('',*,*,#196274,.F.); #258922=ORIENTED_EDGE('',*,*,#196275,.F.); #258923=ORIENTED_EDGE('',*,*,#196276,.T.); #258924=ORIENTED_EDGE('',*,*,#196275,.T.); #258925=ORIENTED_EDGE('',*,*,#196277,.F.); #258926=ORIENTED_EDGE('',*,*,#196278,.F.); #258927=ORIENTED_EDGE('',*,*,#196279,.T.); #258928=ORIENTED_EDGE('',*,*,#196278,.T.); #258929=ORIENTED_EDGE('',*,*,#196280,.F.); #258930=ORIENTED_EDGE('',*,*,#196281,.F.); #258931=ORIENTED_EDGE('',*,*,#196282,.T.); #258932=ORIENTED_EDGE('',*,*,#196281,.T.); #258933=ORIENTED_EDGE('',*,*,#196283,.F.); #258934=ORIENTED_EDGE('',*,*,#196284,.F.); #258935=ORIENTED_EDGE('',*,*,#196285,.T.); #258936=ORIENTED_EDGE('',*,*,#196284,.T.); #258937=ORIENTED_EDGE('',*,*,#196286,.F.); #258938=ORIENTED_EDGE('',*,*,#196287,.F.); #258939=ORIENTED_EDGE('',*,*,#196288,.T.); #258940=ORIENTED_EDGE('',*,*,#196287,.T.); #258941=ORIENTED_EDGE('',*,*,#196289,.F.); #258942=ORIENTED_EDGE('',*,*,#196290,.F.); #258943=ORIENTED_EDGE('',*,*,#196291,.T.); #258944=ORIENTED_EDGE('',*,*,#196290,.T.); #258945=ORIENTED_EDGE('',*,*,#196292,.F.); #258946=ORIENTED_EDGE('',*,*,#196195,.F.); #258947=ORIENTED_EDGE('',*,*,#196292,.T.); #258948=ORIENTED_EDGE('',*,*,#196289,.T.); #258949=ORIENTED_EDGE('',*,*,#196286,.T.); #258950=ORIENTED_EDGE('',*,*,#196283,.T.); #258951=ORIENTED_EDGE('',*,*,#196280,.T.); #258952=ORIENTED_EDGE('',*,*,#196277,.T.); #258953=ORIENTED_EDGE('',*,*,#196274,.T.); #258954=ORIENTED_EDGE('',*,*,#196271,.T.); #258955=ORIENTED_EDGE('',*,*,#196268,.T.); #258956=ORIENTED_EDGE('',*,*,#196265,.T.); #258957=ORIENTED_EDGE('',*,*,#196262,.T.); #258958=ORIENTED_EDGE('',*,*,#196259,.T.); #258959=ORIENTED_EDGE('',*,*,#196256,.T.); #258960=ORIENTED_EDGE('',*,*,#196253,.T.); #258961=ORIENTED_EDGE('',*,*,#196250,.T.); #258962=ORIENTED_EDGE('',*,*,#196247,.T.); #258963=ORIENTED_EDGE('',*,*,#196244,.T.); #258964=ORIENTED_EDGE('',*,*,#196241,.T.); #258965=ORIENTED_EDGE('',*,*,#196238,.T.); #258966=ORIENTED_EDGE('',*,*,#196235,.T.); #258967=ORIENTED_EDGE('',*,*,#196232,.T.); #258968=ORIENTED_EDGE('',*,*,#196229,.T.); #258969=ORIENTED_EDGE('',*,*,#196226,.T.); #258970=ORIENTED_EDGE('',*,*,#196223,.T.); #258971=ORIENTED_EDGE('',*,*,#196220,.T.); #258972=ORIENTED_EDGE('',*,*,#196217,.T.); #258973=ORIENTED_EDGE('',*,*,#196214,.T.); #258974=ORIENTED_EDGE('',*,*,#196211,.T.); #258975=ORIENTED_EDGE('',*,*,#196208,.T.); #258976=ORIENTED_EDGE('',*,*,#196205,.T.); #258977=ORIENTED_EDGE('',*,*,#196202,.T.); #258978=ORIENTED_EDGE('',*,*,#196199,.T.); #258979=ORIENTED_EDGE('',*,*,#196196,.T.); #258980=ORIENTED_EDGE('',*,*,#196291,.F.); #258981=ORIENTED_EDGE('',*,*,#196194,.F.); #258982=ORIENTED_EDGE('',*,*,#196198,.F.); #258983=ORIENTED_EDGE('',*,*,#196201,.F.); #258984=ORIENTED_EDGE('',*,*,#196204,.F.); #258985=ORIENTED_EDGE('',*,*,#196207,.F.); #258986=ORIENTED_EDGE('',*,*,#196210,.F.); #258987=ORIENTED_EDGE('',*,*,#196213,.F.); #258988=ORIENTED_EDGE('',*,*,#196216,.F.); #258989=ORIENTED_EDGE('',*,*,#196219,.F.); #258990=ORIENTED_EDGE('',*,*,#196222,.F.); #258991=ORIENTED_EDGE('',*,*,#196225,.F.); #258992=ORIENTED_EDGE('',*,*,#196228,.F.); #258993=ORIENTED_EDGE('',*,*,#196231,.F.); #258994=ORIENTED_EDGE('',*,*,#196234,.F.); #258995=ORIENTED_EDGE('',*,*,#196237,.F.); #258996=ORIENTED_EDGE('',*,*,#196240,.F.); #258997=ORIENTED_EDGE('',*,*,#196243,.F.); #258998=ORIENTED_EDGE('',*,*,#196246,.F.); #258999=ORIENTED_EDGE('',*,*,#196249,.F.); #259000=ORIENTED_EDGE('',*,*,#196252,.F.); #259001=ORIENTED_EDGE('',*,*,#196255,.F.); #259002=ORIENTED_EDGE('',*,*,#196258,.F.); #259003=ORIENTED_EDGE('',*,*,#196261,.F.); #259004=ORIENTED_EDGE('',*,*,#196264,.F.); #259005=ORIENTED_EDGE('',*,*,#196267,.F.); #259006=ORIENTED_EDGE('',*,*,#196270,.F.); #259007=ORIENTED_EDGE('',*,*,#196273,.F.); #259008=ORIENTED_EDGE('',*,*,#196276,.F.); #259009=ORIENTED_EDGE('',*,*,#196279,.F.); #259010=ORIENTED_EDGE('',*,*,#196282,.F.); #259011=ORIENTED_EDGE('',*,*,#196285,.F.); #259012=ORIENTED_EDGE('',*,*,#196288,.F.); #259013=ORIENTED_EDGE('',*,*,#196293,.F.); #259014=ORIENTED_EDGE('',*,*,#196294,.T.); #259015=ORIENTED_EDGE('',*,*,#196295,.F.); #259016=ORIENTED_EDGE('',*,*,#196294,.F.); #259017=ORIENTED_EDGE('',*,*,#196296,.F.); #259018=ORIENTED_EDGE('',*,*,#196297,.T.); #259019=ORIENTED_EDGE('',*,*,#196298,.F.); #259020=ORIENTED_EDGE('',*,*,#196297,.F.); #259021=ORIENTED_EDGE('',*,*,#196299,.F.); #259022=ORIENTED_EDGE('',*,*,#196300,.T.); #259023=ORIENTED_EDGE('',*,*,#196301,.F.); #259024=ORIENTED_EDGE('',*,*,#196300,.F.); #259025=ORIENTED_EDGE('',*,*,#196302,.T.); #259026=ORIENTED_EDGE('',*,*,#196303,.T.); #259027=ORIENTED_EDGE('',*,*,#196304,.F.); #259028=ORIENTED_EDGE('',*,*,#196305,.F.); #259029=ORIENTED_EDGE('',*,*,#196306,.T.); #259030=ORIENTED_EDGE('',*,*,#196305,.T.); #259031=ORIENTED_EDGE('',*,*,#196307,.F.); #259032=ORIENTED_EDGE('',*,*,#196308,.F.); #259033=ORIENTED_EDGE('',*,*,#196309,.T.); #259034=ORIENTED_EDGE('',*,*,#196308,.T.); #259035=ORIENTED_EDGE('',*,*,#196310,.F.); #259036=ORIENTED_EDGE('',*,*,#196311,.F.); #259037=ORIENTED_EDGE('',*,*,#196312,.T.); #259038=ORIENTED_EDGE('',*,*,#196311,.T.); #259039=ORIENTED_EDGE('',*,*,#196313,.F.); #259040=ORIENTED_EDGE('',*,*,#196314,.F.); #259041=ORIENTED_EDGE('',*,*,#196315,.T.); #259042=ORIENTED_EDGE('',*,*,#196314,.T.); #259043=ORIENTED_EDGE('',*,*,#196316,.F.); #259044=ORIENTED_EDGE('',*,*,#196317,.F.); #259045=ORIENTED_EDGE('',*,*,#196318,.T.); #259046=ORIENTED_EDGE('',*,*,#196317,.T.); #259047=ORIENTED_EDGE('',*,*,#196319,.F.); #259048=ORIENTED_EDGE('',*,*,#196320,.F.); #259049=ORIENTED_EDGE('',*,*,#196321,.T.); #259050=ORIENTED_EDGE('',*,*,#196320,.T.); #259051=ORIENTED_EDGE('',*,*,#196322,.F.); #259052=ORIENTED_EDGE('',*,*,#196323,.F.); #259053=ORIENTED_EDGE('',*,*,#196324,.T.); #259054=ORIENTED_EDGE('',*,*,#196323,.T.); #259055=ORIENTED_EDGE('',*,*,#196325,.F.); #259056=ORIENTED_EDGE('',*,*,#196326,.F.); #259057=ORIENTED_EDGE('',*,*,#196327,.T.); #259058=ORIENTED_EDGE('',*,*,#196326,.T.); #259059=ORIENTED_EDGE('',*,*,#196328,.F.); #259060=ORIENTED_EDGE('',*,*,#196329,.F.); #259061=ORIENTED_EDGE('',*,*,#196330,.T.); #259062=ORIENTED_EDGE('',*,*,#196329,.T.); #259063=ORIENTED_EDGE('',*,*,#196331,.F.); #259064=ORIENTED_EDGE('',*,*,#196332,.F.); #259065=ORIENTED_EDGE('',*,*,#196333,.T.); #259066=ORIENTED_EDGE('',*,*,#196332,.T.); #259067=ORIENTED_EDGE('',*,*,#196334,.F.); #259068=ORIENTED_EDGE('',*,*,#196335,.F.); #259069=ORIENTED_EDGE('',*,*,#196336,.T.); #259070=ORIENTED_EDGE('',*,*,#196335,.T.); #259071=ORIENTED_EDGE('',*,*,#196337,.F.); #259072=ORIENTED_EDGE('',*,*,#196338,.F.); #259073=ORIENTED_EDGE('',*,*,#196339,.T.); #259074=ORIENTED_EDGE('',*,*,#196338,.T.); #259075=ORIENTED_EDGE('',*,*,#196340,.F.); #259076=ORIENTED_EDGE('',*,*,#196341,.F.); #259077=ORIENTED_EDGE('',*,*,#196342,.T.); #259078=ORIENTED_EDGE('',*,*,#196341,.T.); #259079=ORIENTED_EDGE('',*,*,#196343,.F.); #259080=ORIENTED_EDGE('',*,*,#196344,.F.); #259081=ORIENTED_EDGE('',*,*,#196345,.T.); #259082=ORIENTED_EDGE('',*,*,#196344,.T.); #259083=ORIENTED_EDGE('',*,*,#196346,.F.); #259084=ORIENTED_EDGE('',*,*,#196347,.F.); #259085=ORIENTED_EDGE('',*,*,#196348,.T.); #259086=ORIENTED_EDGE('',*,*,#196347,.T.); #259087=ORIENTED_EDGE('',*,*,#196349,.F.); #259088=ORIENTED_EDGE('',*,*,#196350,.F.); #259089=ORIENTED_EDGE('',*,*,#196351,.T.); #259090=ORIENTED_EDGE('',*,*,#196350,.T.); #259091=ORIENTED_EDGE('',*,*,#196352,.F.); #259092=ORIENTED_EDGE('',*,*,#196353,.F.); #259093=ORIENTED_EDGE('',*,*,#196354,.T.); #259094=ORIENTED_EDGE('',*,*,#196353,.T.); #259095=ORIENTED_EDGE('',*,*,#196355,.F.); #259096=ORIENTED_EDGE('',*,*,#196356,.F.); #259097=ORIENTED_EDGE('',*,*,#196357,.T.); #259098=ORIENTED_EDGE('',*,*,#196356,.T.); #259099=ORIENTED_EDGE('',*,*,#196358,.F.); #259100=ORIENTED_EDGE('',*,*,#196359,.F.); #259101=ORIENTED_EDGE('',*,*,#196360,.T.); #259102=ORIENTED_EDGE('',*,*,#196359,.T.); #259103=ORIENTED_EDGE('',*,*,#196361,.F.); #259104=ORIENTED_EDGE('',*,*,#196362,.F.); #259105=ORIENTED_EDGE('',*,*,#196363,.T.); #259106=ORIENTED_EDGE('',*,*,#196362,.T.); #259107=ORIENTED_EDGE('',*,*,#196364,.F.); #259108=ORIENTED_EDGE('',*,*,#196365,.F.); #259109=ORIENTED_EDGE('',*,*,#196366,.T.); #259110=ORIENTED_EDGE('',*,*,#196365,.T.); #259111=ORIENTED_EDGE('',*,*,#196367,.F.); #259112=ORIENTED_EDGE('',*,*,#196368,.F.); #259113=ORIENTED_EDGE('',*,*,#196369,.T.); #259114=ORIENTED_EDGE('',*,*,#196368,.T.); #259115=ORIENTED_EDGE('',*,*,#196370,.F.); #259116=ORIENTED_EDGE('',*,*,#196371,.F.); #259117=ORIENTED_EDGE('',*,*,#196372,.T.); #259118=ORIENTED_EDGE('',*,*,#196371,.T.); #259119=ORIENTED_EDGE('',*,*,#196373,.F.); #259120=ORIENTED_EDGE('',*,*,#196374,.F.); #259121=ORIENTED_EDGE('',*,*,#196375,.T.); #259122=ORIENTED_EDGE('',*,*,#196374,.T.); #259123=ORIENTED_EDGE('',*,*,#196376,.F.); #259124=ORIENTED_EDGE('',*,*,#196377,.F.); #259125=ORIENTED_EDGE('',*,*,#196378,.T.); #259126=ORIENTED_EDGE('',*,*,#196377,.T.); #259127=ORIENTED_EDGE('',*,*,#196379,.F.); #259128=ORIENTED_EDGE('',*,*,#196380,.F.); #259129=ORIENTED_EDGE('',*,*,#196381,.T.); #259130=ORIENTED_EDGE('',*,*,#196380,.T.); #259131=ORIENTED_EDGE('',*,*,#196382,.F.); #259132=ORIENTED_EDGE('',*,*,#196383,.F.); #259133=ORIENTED_EDGE('',*,*,#196384,.T.); #259134=ORIENTED_EDGE('',*,*,#196383,.T.); #259135=ORIENTED_EDGE('',*,*,#196385,.F.); #259136=ORIENTED_EDGE('',*,*,#196386,.F.); #259137=ORIENTED_EDGE('',*,*,#196387,.T.); #259138=ORIENTED_EDGE('',*,*,#196386,.T.); #259139=ORIENTED_EDGE('',*,*,#196388,.F.); #259140=ORIENTED_EDGE('',*,*,#196389,.F.); #259141=ORIENTED_EDGE('',*,*,#196390,.T.); #259142=ORIENTED_EDGE('',*,*,#196389,.T.); #259143=ORIENTED_EDGE('',*,*,#196391,.F.); #259144=ORIENTED_EDGE('',*,*,#196392,.F.); #259145=ORIENTED_EDGE('',*,*,#196393,.T.); #259146=ORIENTED_EDGE('',*,*,#196392,.T.); #259147=ORIENTED_EDGE('',*,*,#196394,.F.); #259148=ORIENTED_EDGE('',*,*,#196395,.F.); #259149=ORIENTED_EDGE('',*,*,#196396,.T.); #259150=ORIENTED_EDGE('',*,*,#196395,.T.); #259151=ORIENTED_EDGE('',*,*,#196397,.F.); #259152=ORIENTED_EDGE('',*,*,#196398,.F.); #259153=ORIENTED_EDGE('',*,*,#196399,.T.); #259154=ORIENTED_EDGE('',*,*,#196398,.T.); #259155=ORIENTED_EDGE('',*,*,#196400,.F.); #259156=ORIENTED_EDGE('',*,*,#196401,.F.); #259157=ORIENTED_EDGE('',*,*,#196402,.T.); #259158=ORIENTED_EDGE('',*,*,#196401,.T.); #259159=ORIENTED_EDGE('',*,*,#196403,.F.); #259160=ORIENTED_EDGE('',*,*,#196404,.F.); #259161=ORIENTED_EDGE('',*,*,#196405,.T.); #259162=ORIENTED_EDGE('',*,*,#196404,.T.); #259163=ORIENTED_EDGE('',*,*,#196406,.F.); #259164=ORIENTED_EDGE('',*,*,#196407,.F.); #259165=ORIENTED_EDGE('',*,*,#196408,.T.); #259166=ORIENTED_EDGE('',*,*,#196407,.T.); #259167=ORIENTED_EDGE('',*,*,#196409,.F.); #259168=ORIENTED_EDGE('',*,*,#196410,.F.); #259169=ORIENTED_EDGE('',*,*,#196411,.T.); #259170=ORIENTED_EDGE('',*,*,#196410,.T.); #259171=ORIENTED_EDGE('',*,*,#196412,.F.); #259172=ORIENTED_EDGE('',*,*,#196413,.F.); #259173=ORIENTED_EDGE('',*,*,#196414,.T.); #259174=ORIENTED_EDGE('',*,*,#196413,.T.); #259175=ORIENTED_EDGE('',*,*,#196415,.F.); #259176=ORIENTED_EDGE('',*,*,#196416,.F.); #259177=ORIENTED_EDGE('',*,*,#196417,.T.); #259178=ORIENTED_EDGE('',*,*,#196416,.T.); #259179=ORIENTED_EDGE('',*,*,#196418,.F.); #259180=ORIENTED_EDGE('',*,*,#196419,.F.); #259181=ORIENTED_EDGE('',*,*,#196420,.T.); #259182=ORIENTED_EDGE('',*,*,#196419,.T.); #259183=ORIENTED_EDGE('',*,*,#196421,.F.); #259184=ORIENTED_EDGE('',*,*,#196422,.F.); #259185=ORIENTED_EDGE('',*,*,#196423,.T.); #259186=ORIENTED_EDGE('',*,*,#196422,.T.); #259187=ORIENTED_EDGE('',*,*,#196424,.F.); #259188=ORIENTED_EDGE('',*,*,#196425,.F.); #259189=ORIENTED_EDGE('',*,*,#196426,.T.); #259190=ORIENTED_EDGE('',*,*,#196425,.T.); #259191=ORIENTED_EDGE('',*,*,#196427,.F.); #259192=ORIENTED_EDGE('',*,*,#196428,.F.); #259193=ORIENTED_EDGE('',*,*,#196429,.T.); #259194=ORIENTED_EDGE('',*,*,#196428,.T.); #259195=ORIENTED_EDGE('',*,*,#196430,.F.); #259196=ORIENTED_EDGE('',*,*,#196431,.F.); #259197=ORIENTED_EDGE('',*,*,#196432,.T.); #259198=ORIENTED_EDGE('',*,*,#196431,.T.); #259199=ORIENTED_EDGE('',*,*,#196433,.F.); #259200=ORIENTED_EDGE('',*,*,#196434,.F.); #259201=ORIENTED_EDGE('',*,*,#196435,.T.); #259202=ORIENTED_EDGE('',*,*,#196434,.T.); #259203=ORIENTED_EDGE('',*,*,#196436,.F.); #259204=ORIENTED_EDGE('',*,*,#196437,.F.); #259205=ORIENTED_EDGE('',*,*,#196438,.T.); #259206=ORIENTED_EDGE('',*,*,#196437,.T.); #259207=ORIENTED_EDGE('',*,*,#196439,.F.); #259208=ORIENTED_EDGE('',*,*,#196440,.F.); #259209=ORIENTED_EDGE('',*,*,#196441,.T.); #259210=ORIENTED_EDGE('',*,*,#196440,.T.); #259211=ORIENTED_EDGE('',*,*,#196442,.F.); #259212=ORIENTED_EDGE('',*,*,#196443,.F.); #259213=ORIENTED_EDGE('',*,*,#196444,.T.); #259214=ORIENTED_EDGE('',*,*,#196443,.T.); #259215=ORIENTED_EDGE('',*,*,#196445,.F.); #259216=ORIENTED_EDGE('',*,*,#196446,.F.); #259217=ORIENTED_EDGE('',*,*,#196447,.T.); #259218=ORIENTED_EDGE('',*,*,#196446,.T.); #259219=ORIENTED_EDGE('',*,*,#196448,.F.); #259220=ORIENTED_EDGE('',*,*,#196449,.F.); #259221=ORIENTED_EDGE('',*,*,#196450,.T.); #259222=ORIENTED_EDGE('',*,*,#196449,.T.); #259223=ORIENTED_EDGE('',*,*,#196451,.F.); #259224=ORIENTED_EDGE('',*,*,#196452,.F.); #259225=ORIENTED_EDGE('',*,*,#196453,.T.); #259226=ORIENTED_EDGE('',*,*,#196452,.T.); #259227=ORIENTED_EDGE('',*,*,#196454,.F.); #259228=ORIENTED_EDGE('',*,*,#196455,.F.); #259229=ORIENTED_EDGE('',*,*,#196456,.T.); #259230=ORIENTED_EDGE('',*,*,#196455,.T.); #259231=ORIENTED_EDGE('',*,*,#196457,.F.); #259232=ORIENTED_EDGE('',*,*,#196458,.F.); #259233=ORIENTED_EDGE('',*,*,#196459,.T.); #259234=ORIENTED_EDGE('',*,*,#196458,.T.); #259235=ORIENTED_EDGE('',*,*,#196460,.F.); #259236=ORIENTED_EDGE('',*,*,#196461,.F.); #259237=ORIENTED_EDGE('',*,*,#196462,.T.); #259238=ORIENTED_EDGE('',*,*,#196461,.T.); #259239=ORIENTED_EDGE('',*,*,#196463,.F.); #259240=ORIENTED_EDGE('',*,*,#196464,.F.); #259241=ORIENTED_EDGE('',*,*,#196465,.T.); #259242=ORIENTED_EDGE('',*,*,#196464,.T.); #259243=ORIENTED_EDGE('',*,*,#196466,.F.); #259244=ORIENTED_EDGE('',*,*,#196467,.F.); #259245=ORIENTED_EDGE('',*,*,#196468,.T.); #259246=ORIENTED_EDGE('',*,*,#196467,.T.); #259247=ORIENTED_EDGE('',*,*,#196469,.F.); #259248=ORIENTED_EDGE('',*,*,#196470,.F.); #259249=ORIENTED_EDGE('',*,*,#196471,.T.); #259250=ORIENTED_EDGE('',*,*,#196470,.T.); #259251=ORIENTED_EDGE('',*,*,#196472,.F.); #259252=ORIENTED_EDGE('',*,*,#196473,.F.); #259253=ORIENTED_EDGE('',*,*,#196474,.T.); #259254=ORIENTED_EDGE('',*,*,#196473,.T.); #259255=ORIENTED_EDGE('',*,*,#196475,.F.); #259256=ORIENTED_EDGE('',*,*,#196476,.F.); #259257=ORIENTED_EDGE('',*,*,#196477,.T.); #259258=ORIENTED_EDGE('',*,*,#196476,.T.); #259259=ORIENTED_EDGE('',*,*,#196478,.F.); #259260=ORIENTED_EDGE('',*,*,#196479,.F.); #259261=ORIENTED_EDGE('',*,*,#196480,.T.); #259262=ORIENTED_EDGE('',*,*,#196479,.T.); #259263=ORIENTED_EDGE('',*,*,#196481,.F.); #259264=ORIENTED_EDGE('',*,*,#196482,.F.); #259265=ORIENTED_EDGE('',*,*,#196483,.T.); #259266=ORIENTED_EDGE('',*,*,#196482,.T.); #259267=ORIENTED_EDGE('',*,*,#196484,.F.); #259268=ORIENTED_EDGE('',*,*,#196485,.F.); #259269=ORIENTED_EDGE('',*,*,#196486,.T.); #259270=ORIENTED_EDGE('',*,*,#196485,.T.); #259271=ORIENTED_EDGE('',*,*,#196487,.F.); #259272=ORIENTED_EDGE('',*,*,#196488,.F.); #259273=ORIENTED_EDGE('',*,*,#196489,.T.); #259274=ORIENTED_EDGE('',*,*,#196488,.T.); #259275=ORIENTED_EDGE('',*,*,#196490,.F.); #259276=ORIENTED_EDGE('',*,*,#196491,.F.); #259277=ORIENTED_EDGE('',*,*,#196492,.T.); #259278=ORIENTED_EDGE('',*,*,#196491,.T.); #259279=ORIENTED_EDGE('',*,*,#196493,.F.); #259280=ORIENTED_EDGE('',*,*,#196494,.F.); #259281=ORIENTED_EDGE('',*,*,#196495,.T.); #259282=ORIENTED_EDGE('',*,*,#196494,.T.); #259283=ORIENTED_EDGE('',*,*,#196496,.F.); #259284=ORIENTED_EDGE('',*,*,#196497,.F.); #259285=ORIENTED_EDGE('',*,*,#196498,.T.); #259286=ORIENTED_EDGE('',*,*,#196497,.T.); #259287=ORIENTED_EDGE('',*,*,#196499,.F.); #259288=ORIENTED_EDGE('',*,*,#196500,.F.); #259289=ORIENTED_EDGE('',*,*,#196501,.T.); #259290=ORIENTED_EDGE('',*,*,#196500,.T.); #259291=ORIENTED_EDGE('',*,*,#196502,.F.); #259292=ORIENTED_EDGE('',*,*,#196503,.F.); #259293=ORIENTED_EDGE('',*,*,#196504,.T.); #259294=ORIENTED_EDGE('',*,*,#196503,.T.); #259295=ORIENTED_EDGE('',*,*,#196505,.F.); #259296=ORIENTED_EDGE('',*,*,#196506,.F.); #259297=ORIENTED_EDGE('',*,*,#196507,.T.); #259298=ORIENTED_EDGE('',*,*,#196506,.T.); #259299=ORIENTED_EDGE('',*,*,#196508,.F.); #259300=ORIENTED_EDGE('',*,*,#196509,.F.); #259301=ORIENTED_EDGE('',*,*,#196510,.T.); #259302=ORIENTED_EDGE('',*,*,#196509,.T.); #259303=ORIENTED_EDGE('',*,*,#196511,.F.); #259304=ORIENTED_EDGE('',*,*,#196512,.F.); #259305=ORIENTED_EDGE('',*,*,#196513,.T.); #259306=ORIENTED_EDGE('',*,*,#196512,.T.); #259307=ORIENTED_EDGE('',*,*,#196514,.F.); #259308=ORIENTED_EDGE('',*,*,#196515,.F.); #259309=ORIENTED_EDGE('',*,*,#196516,.T.); #259310=ORIENTED_EDGE('',*,*,#196515,.T.); #259311=ORIENTED_EDGE('',*,*,#196517,.F.); #259312=ORIENTED_EDGE('',*,*,#196518,.F.); #259313=ORIENTED_EDGE('',*,*,#196519,.T.); #259314=ORIENTED_EDGE('',*,*,#196518,.T.); #259315=ORIENTED_EDGE('',*,*,#196520,.F.); #259316=ORIENTED_EDGE('',*,*,#196521,.F.); #259317=ORIENTED_EDGE('',*,*,#196522,.T.); #259318=ORIENTED_EDGE('',*,*,#196521,.T.); #259319=ORIENTED_EDGE('',*,*,#196523,.F.); #259320=ORIENTED_EDGE('',*,*,#196524,.F.); #259321=ORIENTED_EDGE('',*,*,#196525,.T.); #259322=ORIENTED_EDGE('',*,*,#196524,.T.); #259323=ORIENTED_EDGE('',*,*,#196526,.F.); #259324=ORIENTED_EDGE('',*,*,#196527,.F.); #259325=ORIENTED_EDGE('',*,*,#196528,.T.); #259326=ORIENTED_EDGE('',*,*,#196527,.T.); #259327=ORIENTED_EDGE('',*,*,#196529,.F.); #259328=ORIENTED_EDGE('',*,*,#196530,.F.); #259329=ORIENTED_EDGE('',*,*,#196531,.T.); #259330=ORIENTED_EDGE('',*,*,#196530,.T.); #259331=ORIENTED_EDGE('',*,*,#196532,.F.); #259332=ORIENTED_EDGE('',*,*,#196533,.F.); #259333=ORIENTED_EDGE('',*,*,#196534,.T.); #259334=ORIENTED_EDGE('',*,*,#196533,.T.); #259335=ORIENTED_EDGE('',*,*,#196535,.F.); #259336=ORIENTED_EDGE('',*,*,#196536,.F.); #259337=ORIENTED_EDGE('',*,*,#196537,.T.); #259338=ORIENTED_EDGE('',*,*,#196536,.T.); #259339=ORIENTED_EDGE('',*,*,#196538,.F.); #259340=ORIENTED_EDGE('',*,*,#196539,.F.); #259341=ORIENTED_EDGE('',*,*,#196540,.T.); #259342=ORIENTED_EDGE('',*,*,#196539,.T.); #259343=ORIENTED_EDGE('',*,*,#196541,.F.); #259344=ORIENTED_EDGE('',*,*,#196542,.F.); #259345=ORIENTED_EDGE('',*,*,#196543,.T.); #259346=ORIENTED_EDGE('',*,*,#196542,.T.); #259347=ORIENTED_EDGE('',*,*,#196544,.F.); #259348=ORIENTED_EDGE('',*,*,#196545,.F.); #259349=ORIENTED_EDGE('',*,*,#196546,.T.); #259350=ORIENTED_EDGE('',*,*,#196545,.T.); #259351=ORIENTED_EDGE('',*,*,#196547,.F.); #259352=ORIENTED_EDGE('',*,*,#196548,.F.); #259353=ORIENTED_EDGE('',*,*,#196549,.T.); #259354=ORIENTED_EDGE('',*,*,#196548,.T.); #259355=ORIENTED_EDGE('',*,*,#196550,.F.); #259356=ORIENTED_EDGE('',*,*,#196551,.F.); #259357=ORIENTED_EDGE('',*,*,#196552,.T.); #259358=ORIENTED_EDGE('',*,*,#196551,.T.); #259359=ORIENTED_EDGE('',*,*,#196553,.F.); #259360=ORIENTED_EDGE('',*,*,#196554,.F.); #259361=ORIENTED_EDGE('',*,*,#196555,.T.); #259362=ORIENTED_EDGE('',*,*,#196554,.T.); #259363=ORIENTED_EDGE('',*,*,#196556,.F.); #259364=ORIENTED_EDGE('',*,*,#196557,.F.); #259365=ORIENTED_EDGE('',*,*,#196558,.T.); #259366=ORIENTED_EDGE('',*,*,#196557,.T.); #259367=ORIENTED_EDGE('',*,*,#196559,.F.); #259368=ORIENTED_EDGE('',*,*,#196560,.F.); #259369=ORIENTED_EDGE('',*,*,#196561,.T.); #259370=ORIENTED_EDGE('',*,*,#196560,.T.); #259371=ORIENTED_EDGE('',*,*,#196562,.F.); #259372=ORIENTED_EDGE('',*,*,#196303,.F.); #259373=ORIENTED_EDGE('',*,*,#196562,.T.); #259374=ORIENTED_EDGE('',*,*,#196559,.T.); #259375=ORIENTED_EDGE('',*,*,#196556,.T.); #259376=ORIENTED_EDGE('',*,*,#196553,.T.); #259377=ORIENTED_EDGE('',*,*,#196550,.T.); #259378=ORIENTED_EDGE('',*,*,#196547,.T.); #259379=ORIENTED_EDGE('',*,*,#196544,.T.); #259380=ORIENTED_EDGE('',*,*,#196541,.T.); #259381=ORIENTED_EDGE('',*,*,#196538,.T.); #259382=ORIENTED_EDGE('',*,*,#196535,.T.); #259383=ORIENTED_EDGE('',*,*,#196532,.T.); #259384=ORIENTED_EDGE('',*,*,#196529,.T.); #259385=ORIENTED_EDGE('',*,*,#196526,.T.); #259386=ORIENTED_EDGE('',*,*,#196523,.T.); #259387=ORIENTED_EDGE('',*,*,#196520,.T.); #259388=ORIENTED_EDGE('',*,*,#196517,.T.); #259389=ORIENTED_EDGE('',*,*,#196514,.T.); #259390=ORIENTED_EDGE('',*,*,#196511,.T.); #259391=ORIENTED_EDGE('',*,*,#196508,.T.); #259392=ORIENTED_EDGE('',*,*,#196505,.T.); #259393=ORIENTED_EDGE('',*,*,#196502,.T.); #259394=ORIENTED_EDGE('',*,*,#196499,.T.); #259395=ORIENTED_EDGE('',*,*,#196496,.T.); #259396=ORIENTED_EDGE('',*,*,#196493,.T.); #259397=ORIENTED_EDGE('',*,*,#196490,.T.); #259398=ORIENTED_EDGE('',*,*,#196487,.T.); #259399=ORIENTED_EDGE('',*,*,#196484,.T.); #259400=ORIENTED_EDGE('',*,*,#196481,.T.); #259401=ORIENTED_EDGE('',*,*,#196478,.T.); #259402=ORIENTED_EDGE('',*,*,#196475,.T.); #259403=ORIENTED_EDGE('',*,*,#196472,.T.); #259404=ORIENTED_EDGE('',*,*,#196469,.T.); #259405=ORIENTED_EDGE('',*,*,#196466,.T.); #259406=ORIENTED_EDGE('',*,*,#196463,.T.); #259407=ORIENTED_EDGE('',*,*,#196460,.T.); #259408=ORIENTED_EDGE('',*,*,#196457,.T.); #259409=ORIENTED_EDGE('',*,*,#196454,.T.); #259410=ORIENTED_EDGE('',*,*,#196451,.T.); #259411=ORIENTED_EDGE('',*,*,#196448,.T.); #259412=ORIENTED_EDGE('',*,*,#196445,.T.); #259413=ORIENTED_EDGE('',*,*,#196442,.T.); #259414=ORIENTED_EDGE('',*,*,#196439,.T.); #259415=ORIENTED_EDGE('',*,*,#196436,.T.); #259416=ORIENTED_EDGE('',*,*,#196433,.T.); #259417=ORIENTED_EDGE('',*,*,#196430,.T.); #259418=ORIENTED_EDGE('',*,*,#196427,.T.); #259419=ORIENTED_EDGE('',*,*,#196424,.T.); #259420=ORIENTED_EDGE('',*,*,#196421,.T.); #259421=ORIENTED_EDGE('',*,*,#196418,.T.); #259422=ORIENTED_EDGE('',*,*,#196415,.T.); #259423=ORIENTED_EDGE('',*,*,#196412,.T.); #259424=ORIENTED_EDGE('',*,*,#196409,.T.); #259425=ORIENTED_EDGE('',*,*,#196406,.T.); #259426=ORIENTED_EDGE('',*,*,#196403,.T.); #259427=ORIENTED_EDGE('',*,*,#196400,.T.); #259428=ORIENTED_EDGE('',*,*,#196397,.T.); #259429=ORIENTED_EDGE('',*,*,#196394,.T.); #259430=ORIENTED_EDGE('',*,*,#196391,.T.); #259431=ORIENTED_EDGE('',*,*,#196388,.T.); #259432=ORIENTED_EDGE('',*,*,#196385,.T.); #259433=ORIENTED_EDGE('',*,*,#196382,.T.); #259434=ORIENTED_EDGE('',*,*,#196379,.T.); #259435=ORIENTED_EDGE('',*,*,#196376,.T.); #259436=ORIENTED_EDGE('',*,*,#196373,.T.); #259437=ORIENTED_EDGE('',*,*,#196370,.T.); #259438=ORIENTED_EDGE('',*,*,#196367,.T.); #259439=ORIENTED_EDGE('',*,*,#196364,.T.); #259440=ORIENTED_EDGE('',*,*,#196361,.T.); #259441=ORIENTED_EDGE('',*,*,#196358,.T.); #259442=ORIENTED_EDGE('',*,*,#196355,.T.); #259443=ORIENTED_EDGE('',*,*,#196352,.T.); #259444=ORIENTED_EDGE('',*,*,#196349,.T.); #259445=ORIENTED_EDGE('',*,*,#196346,.T.); #259446=ORIENTED_EDGE('',*,*,#196343,.T.); #259447=ORIENTED_EDGE('',*,*,#196340,.T.); #259448=ORIENTED_EDGE('',*,*,#196337,.T.); #259449=ORIENTED_EDGE('',*,*,#196334,.T.); #259450=ORIENTED_EDGE('',*,*,#196331,.T.); #259451=ORIENTED_EDGE('',*,*,#196328,.T.); #259452=ORIENTED_EDGE('',*,*,#196325,.T.); #259453=ORIENTED_EDGE('',*,*,#196322,.T.); #259454=ORIENTED_EDGE('',*,*,#196319,.T.); #259455=ORIENTED_EDGE('',*,*,#196316,.T.); #259456=ORIENTED_EDGE('',*,*,#196313,.T.); #259457=ORIENTED_EDGE('',*,*,#196310,.T.); #259458=ORIENTED_EDGE('',*,*,#196307,.T.); #259459=ORIENTED_EDGE('',*,*,#196304,.T.); #259460=ORIENTED_EDGE('',*,*,#196295,.T.); #259461=ORIENTED_EDGE('',*,*,#196298,.T.); #259462=ORIENTED_EDGE('',*,*,#196301,.T.); #259463=ORIENTED_EDGE('',*,*,#196561,.F.); #259464=ORIENTED_EDGE('',*,*,#196302,.F.); #259465=ORIENTED_EDGE('',*,*,#196306,.F.); #259466=ORIENTED_EDGE('',*,*,#196309,.F.); #259467=ORIENTED_EDGE('',*,*,#196312,.F.); #259468=ORIENTED_EDGE('',*,*,#196315,.F.); #259469=ORIENTED_EDGE('',*,*,#196318,.F.); #259470=ORIENTED_EDGE('',*,*,#196321,.F.); #259471=ORIENTED_EDGE('',*,*,#196324,.F.); #259472=ORIENTED_EDGE('',*,*,#196327,.F.); #259473=ORIENTED_EDGE('',*,*,#196330,.F.); #259474=ORIENTED_EDGE('',*,*,#196333,.F.); #259475=ORIENTED_EDGE('',*,*,#196336,.F.); #259476=ORIENTED_EDGE('',*,*,#196339,.F.); #259477=ORIENTED_EDGE('',*,*,#196342,.F.); #259478=ORIENTED_EDGE('',*,*,#196345,.F.); #259479=ORIENTED_EDGE('',*,*,#196348,.F.); #259480=ORIENTED_EDGE('',*,*,#196351,.F.); #259481=ORIENTED_EDGE('',*,*,#196354,.F.); #259482=ORIENTED_EDGE('',*,*,#196357,.F.); #259483=ORIENTED_EDGE('',*,*,#196360,.F.); #259484=ORIENTED_EDGE('',*,*,#196363,.F.); #259485=ORIENTED_EDGE('',*,*,#196366,.F.); #259486=ORIENTED_EDGE('',*,*,#196369,.F.); #259487=ORIENTED_EDGE('',*,*,#196372,.F.); #259488=ORIENTED_EDGE('',*,*,#196375,.F.); #259489=ORIENTED_EDGE('',*,*,#196378,.F.); #259490=ORIENTED_EDGE('',*,*,#196381,.F.); #259491=ORIENTED_EDGE('',*,*,#196384,.F.); #259492=ORIENTED_EDGE('',*,*,#196387,.F.); #259493=ORIENTED_EDGE('',*,*,#196390,.F.); #259494=ORIENTED_EDGE('',*,*,#196393,.F.); #259495=ORIENTED_EDGE('',*,*,#196396,.F.); #259496=ORIENTED_EDGE('',*,*,#196399,.F.); #259497=ORIENTED_EDGE('',*,*,#196402,.F.); #259498=ORIENTED_EDGE('',*,*,#196405,.F.); #259499=ORIENTED_EDGE('',*,*,#196408,.F.); #259500=ORIENTED_EDGE('',*,*,#196411,.F.); #259501=ORIENTED_EDGE('',*,*,#196414,.F.); #259502=ORIENTED_EDGE('',*,*,#196417,.F.); #259503=ORIENTED_EDGE('',*,*,#196420,.F.); #259504=ORIENTED_EDGE('',*,*,#196423,.F.); #259505=ORIENTED_EDGE('',*,*,#196426,.F.); #259506=ORIENTED_EDGE('',*,*,#196429,.F.); #259507=ORIENTED_EDGE('',*,*,#196432,.F.); #259508=ORIENTED_EDGE('',*,*,#196435,.F.); #259509=ORIENTED_EDGE('',*,*,#196438,.F.); #259510=ORIENTED_EDGE('',*,*,#196441,.F.); #259511=ORIENTED_EDGE('',*,*,#196444,.F.); #259512=ORIENTED_EDGE('',*,*,#196447,.F.); #259513=ORIENTED_EDGE('',*,*,#196450,.F.); #259514=ORIENTED_EDGE('',*,*,#196453,.F.); #259515=ORIENTED_EDGE('',*,*,#196456,.F.); #259516=ORIENTED_EDGE('',*,*,#196459,.F.); #259517=ORIENTED_EDGE('',*,*,#196462,.F.); #259518=ORIENTED_EDGE('',*,*,#196465,.F.); #259519=ORIENTED_EDGE('',*,*,#196468,.F.); #259520=ORIENTED_EDGE('',*,*,#196471,.F.); #259521=ORIENTED_EDGE('',*,*,#196474,.F.); #259522=ORIENTED_EDGE('',*,*,#196477,.F.); #259523=ORIENTED_EDGE('',*,*,#196480,.F.); #259524=ORIENTED_EDGE('',*,*,#196483,.F.); #259525=ORIENTED_EDGE('',*,*,#196486,.F.); #259526=ORIENTED_EDGE('',*,*,#196489,.F.); #259527=ORIENTED_EDGE('',*,*,#196492,.F.); #259528=ORIENTED_EDGE('',*,*,#196495,.F.); #259529=ORIENTED_EDGE('',*,*,#196498,.F.); #259530=ORIENTED_EDGE('',*,*,#196501,.F.); #259531=ORIENTED_EDGE('',*,*,#196504,.F.); #259532=ORIENTED_EDGE('',*,*,#196507,.F.); #259533=ORIENTED_EDGE('',*,*,#196510,.F.); #259534=ORIENTED_EDGE('',*,*,#196513,.F.); #259535=ORIENTED_EDGE('',*,*,#196516,.F.); #259536=ORIENTED_EDGE('',*,*,#196519,.F.); #259537=ORIENTED_EDGE('',*,*,#196522,.F.); #259538=ORIENTED_EDGE('',*,*,#196525,.F.); #259539=ORIENTED_EDGE('',*,*,#196528,.F.); #259540=ORIENTED_EDGE('',*,*,#196531,.F.); #259541=ORIENTED_EDGE('',*,*,#196534,.F.); #259542=ORIENTED_EDGE('',*,*,#196537,.F.); #259543=ORIENTED_EDGE('',*,*,#196540,.F.); #259544=ORIENTED_EDGE('',*,*,#196543,.F.); #259545=ORIENTED_EDGE('',*,*,#196546,.F.); #259546=ORIENTED_EDGE('',*,*,#196549,.F.); #259547=ORIENTED_EDGE('',*,*,#196552,.F.); #259548=ORIENTED_EDGE('',*,*,#196555,.F.); #259549=ORIENTED_EDGE('',*,*,#196558,.F.); #259550=ORIENTED_EDGE('',*,*,#196293,.T.); #259551=ORIENTED_EDGE('',*,*,#196296,.T.); #259552=ORIENTED_EDGE('',*,*,#196299,.T.); #259553=ORIENTED_EDGE('',*,*,#196563,.T.); #259554=ORIENTED_EDGE('',*,*,#196564,.T.); #259555=ORIENTED_EDGE('',*,*,#196565,.F.); #259556=ORIENTED_EDGE('',*,*,#196566,.F.); #259557=ORIENTED_EDGE('',*,*,#196567,.T.); #259558=ORIENTED_EDGE('',*,*,#196566,.T.); #259559=ORIENTED_EDGE('',*,*,#196568,.F.); #259560=ORIENTED_EDGE('',*,*,#196569,.F.); #259561=ORIENTED_EDGE('',*,*,#196570,.T.); #259562=ORIENTED_EDGE('',*,*,#196569,.T.); #259563=ORIENTED_EDGE('',*,*,#196571,.F.); #259564=ORIENTED_EDGE('',*,*,#196572,.F.); #259565=ORIENTED_EDGE('',*,*,#196573,.T.); #259566=ORIENTED_EDGE('',*,*,#196572,.T.); #259567=ORIENTED_EDGE('',*,*,#196574,.F.); #259568=ORIENTED_EDGE('',*,*,#196575,.F.); #259569=ORIENTED_EDGE('',*,*,#196576,.T.); #259570=ORIENTED_EDGE('',*,*,#196575,.T.); #259571=ORIENTED_EDGE('',*,*,#196577,.F.); #259572=ORIENTED_EDGE('',*,*,#196578,.F.); #259573=ORIENTED_EDGE('',*,*,#196579,.T.); #259574=ORIENTED_EDGE('',*,*,#196578,.T.); #259575=ORIENTED_EDGE('',*,*,#196580,.F.); #259576=ORIENTED_EDGE('',*,*,#196581,.F.); #259577=ORIENTED_EDGE('',*,*,#196582,.T.); #259578=ORIENTED_EDGE('',*,*,#196581,.T.); #259579=ORIENTED_EDGE('',*,*,#196583,.F.); #259580=ORIENTED_EDGE('',*,*,#196584,.F.); #259581=ORIENTED_EDGE('',*,*,#196585,.T.); #259582=ORIENTED_EDGE('',*,*,#196584,.T.); #259583=ORIENTED_EDGE('',*,*,#196586,.F.); #259584=ORIENTED_EDGE('',*,*,#196587,.F.); #259585=ORIENTED_EDGE('',*,*,#196588,.T.); #259586=ORIENTED_EDGE('',*,*,#196587,.T.); #259587=ORIENTED_EDGE('',*,*,#196589,.F.); #259588=ORIENTED_EDGE('',*,*,#196590,.F.); #259589=ORIENTED_EDGE('',*,*,#196591,.T.); #259590=ORIENTED_EDGE('',*,*,#196590,.T.); #259591=ORIENTED_EDGE('',*,*,#196592,.F.); #259592=ORIENTED_EDGE('',*,*,#196593,.F.); #259593=ORIENTED_EDGE('',*,*,#196594,.T.); #259594=ORIENTED_EDGE('',*,*,#196593,.T.); #259595=ORIENTED_EDGE('',*,*,#196595,.F.); #259596=ORIENTED_EDGE('',*,*,#196596,.F.); #259597=ORIENTED_EDGE('',*,*,#196597,.T.); #259598=ORIENTED_EDGE('',*,*,#196596,.T.); #259599=ORIENTED_EDGE('',*,*,#196598,.F.); #259600=ORIENTED_EDGE('',*,*,#196599,.F.); #259601=ORIENTED_EDGE('',*,*,#196600,.T.); #259602=ORIENTED_EDGE('',*,*,#196599,.T.); #259603=ORIENTED_EDGE('',*,*,#196601,.F.); #259604=ORIENTED_EDGE('',*,*,#196602,.F.); #259605=ORIENTED_EDGE('',*,*,#196603,.T.); #259606=ORIENTED_EDGE('',*,*,#196602,.T.); #259607=ORIENTED_EDGE('',*,*,#196604,.F.); #259608=ORIENTED_EDGE('',*,*,#196605,.F.); #259609=ORIENTED_EDGE('',*,*,#196606,.T.); #259610=ORIENTED_EDGE('',*,*,#196605,.T.); #259611=ORIENTED_EDGE('',*,*,#196607,.F.); #259612=ORIENTED_EDGE('',*,*,#196608,.F.); #259613=ORIENTED_EDGE('',*,*,#196609,.T.); #259614=ORIENTED_EDGE('',*,*,#196608,.T.); #259615=ORIENTED_EDGE('',*,*,#196610,.F.); #259616=ORIENTED_EDGE('',*,*,#196611,.F.); #259617=ORIENTED_EDGE('',*,*,#196612,.T.); #259618=ORIENTED_EDGE('',*,*,#196611,.T.); #259619=ORIENTED_EDGE('',*,*,#196613,.F.); #259620=ORIENTED_EDGE('',*,*,#196614,.F.); #259621=ORIENTED_EDGE('',*,*,#196615,.T.); #259622=ORIENTED_EDGE('',*,*,#196614,.T.); #259623=ORIENTED_EDGE('',*,*,#196616,.F.); #259624=ORIENTED_EDGE('',*,*,#196617,.F.); #259625=ORIENTED_EDGE('',*,*,#196618,.T.); #259626=ORIENTED_EDGE('',*,*,#196617,.T.); #259627=ORIENTED_EDGE('',*,*,#196619,.F.); #259628=ORIENTED_EDGE('',*,*,#196620,.F.); #259629=ORIENTED_EDGE('',*,*,#196621,.T.); #259630=ORIENTED_EDGE('',*,*,#196620,.T.); #259631=ORIENTED_EDGE('',*,*,#196622,.F.); #259632=ORIENTED_EDGE('',*,*,#196623,.F.); #259633=ORIENTED_EDGE('',*,*,#196624,.T.); #259634=ORIENTED_EDGE('',*,*,#196623,.T.); #259635=ORIENTED_EDGE('',*,*,#196625,.F.); #259636=ORIENTED_EDGE('',*,*,#196626,.F.); #259637=ORIENTED_EDGE('',*,*,#196627,.T.); #259638=ORIENTED_EDGE('',*,*,#196626,.T.); #259639=ORIENTED_EDGE('',*,*,#196628,.F.); #259640=ORIENTED_EDGE('',*,*,#196629,.F.); #259641=ORIENTED_EDGE('',*,*,#196630,.T.); #259642=ORIENTED_EDGE('',*,*,#196629,.T.); #259643=ORIENTED_EDGE('',*,*,#196631,.F.); #259644=ORIENTED_EDGE('',*,*,#196632,.F.); #259645=ORIENTED_EDGE('',*,*,#196633,.T.); #259646=ORIENTED_EDGE('',*,*,#196632,.T.); #259647=ORIENTED_EDGE('',*,*,#196634,.F.); #259648=ORIENTED_EDGE('',*,*,#196635,.F.); #259649=ORIENTED_EDGE('',*,*,#196636,.T.); #259650=ORIENTED_EDGE('',*,*,#196635,.T.); #259651=ORIENTED_EDGE('',*,*,#196637,.F.); #259652=ORIENTED_EDGE('',*,*,#196638,.F.); #259653=ORIENTED_EDGE('',*,*,#196639,.T.); #259654=ORIENTED_EDGE('',*,*,#196638,.T.); #259655=ORIENTED_EDGE('',*,*,#196640,.F.); #259656=ORIENTED_EDGE('',*,*,#196641,.F.); #259657=ORIENTED_EDGE('',*,*,#196642,.T.); #259658=ORIENTED_EDGE('',*,*,#196641,.T.); #259659=ORIENTED_EDGE('',*,*,#196643,.F.); #259660=ORIENTED_EDGE('',*,*,#196644,.F.); #259661=ORIENTED_EDGE('',*,*,#196645,.T.); #259662=ORIENTED_EDGE('',*,*,#196644,.T.); #259663=ORIENTED_EDGE('',*,*,#196646,.F.); #259664=ORIENTED_EDGE('',*,*,#196647,.F.); #259665=ORIENTED_EDGE('',*,*,#196648,.T.); #259666=ORIENTED_EDGE('',*,*,#196647,.T.); #259667=ORIENTED_EDGE('',*,*,#196649,.F.); #259668=ORIENTED_EDGE('',*,*,#196564,.F.); #259669=ORIENTED_EDGE('',*,*,#196649,.T.); #259670=ORIENTED_EDGE('',*,*,#196646,.T.); #259671=ORIENTED_EDGE('',*,*,#196643,.T.); #259672=ORIENTED_EDGE('',*,*,#196640,.T.); #259673=ORIENTED_EDGE('',*,*,#196637,.T.); #259674=ORIENTED_EDGE('',*,*,#196634,.T.); #259675=ORIENTED_EDGE('',*,*,#196631,.T.); #259676=ORIENTED_EDGE('',*,*,#196628,.T.); #259677=ORIENTED_EDGE('',*,*,#196625,.T.); #259678=ORIENTED_EDGE('',*,*,#196622,.T.); #259679=ORIENTED_EDGE('',*,*,#196619,.T.); #259680=ORIENTED_EDGE('',*,*,#196616,.T.); #259681=ORIENTED_EDGE('',*,*,#196613,.T.); #259682=ORIENTED_EDGE('',*,*,#196610,.T.); #259683=ORIENTED_EDGE('',*,*,#196607,.T.); #259684=ORIENTED_EDGE('',*,*,#196604,.T.); #259685=ORIENTED_EDGE('',*,*,#196601,.T.); #259686=ORIENTED_EDGE('',*,*,#196598,.T.); #259687=ORIENTED_EDGE('',*,*,#196595,.T.); #259688=ORIENTED_EDGE('',*,*,#196592,.T.); #259689=ORIENTED_EDGE('',*,*,#196589,.T.); #259690=ORIENTED_EDGE('',*,*,#196586,.T.); #259691=ORIENTED_EDGE('',*,*,#196583,.T.); #259692=ORIENTED_EDGE('',*,*,#196580,.T.); #259693=ORIENTED_EDGE('',*,*,#196577,.T.); #259694=ORIENTED_EDGE('',*,*,#196574,.T.); #259695=ORIENTED_EDGE('',*,*,#196571,.T.); #259696=ORIENTED_EDGE('',*,*,#196568,.T.); #259697=ORIENTED_EDGE('',*,*,#196565,.T.); #259698=ORIENTED_EDGE('',*,*,#196648,.F.); #259699=ORIENTED_EDGE('',*,*,#196563,.F.); #259700=ORIENTED_EDGE('',*,*,#196567,.F.); #259701=ORIENTED_EDGE('',*,*,#196570,.F.); #259702=ORIENTED_EDGE('',*,*,#196573,.F.); #259703=ORIENTED_EDGE('',*,*,#196576,.F.); #259704=ORIENTED_EDGE('',*,*,#196579,.F.); #259705=ORIENTED_EDGE('',*,*,#196582,.F.); #259706=ORIENTED_EDGE('',*,*,#196585,.F.); #259707=ORIENTED_EDGE('',*,*,#196588,.F.); #259708=ORIENTED_EDGE('',*,*,#196591,.F.); #259709=ORIENTED_EDGE('',*,*,#196594,.F.); #259710=ORIENTED_EDGE('',*,*,#196597,.F.); #259711=ORIENTED_EDGE('',*,*,#196600,.F.); #259712=ORIENTED_EDGE('',*,*,#196603,.F.); #259713=ORIENTED_EDGE('',*,*,#196606,.F.); #259714=ORIENTED_EDGE('',*,*,#196609,.F.); #259715=ORIENTED_EDGE('',*,*,#196612,.F.); #259716=ORIENTED_EDGE('',*,*,#196615,.F.); #259717=ORIENTED_EDGE('',*,*,#196618,.F.); #259718=ORIENTED_EDGE('',*,*,#196621,.F.); #259719=ORIENTED_EDGE('',*,*,#196624,.F.); #259720=ORIENTED_EDGE('',*,*,#196627,.F.); #259721=ORIENTED_EDGE('',*,*,#196630,.F.); #259722=ORIENTED_EDGE('',*,*,#196633,.F.); #259723=ORIENTED_EDGE('',*,*,#196636,.F.); #259724=ORIENTED_EDGE('',*,*,#196639,.F.); #259725=ORIENTED_EDGE('',*,*,#196642,.F.); #259726=ORIENTED_EDGE('',*,*,#196645,.F.); #259727=ORIENTED_EDGE('',*,*,#196650,.T.); #259728=ORIENTED_EDGE('',*,*,#196651,.T.); #259729=ORIENTED_EDGE('',*,*,#196652,.F.); #259730=ORIENTED_EDGE('',*,*,#196653,.F.); #259731=ORIENTED_EDGE('',*,*,#196654,.T.); #259732=ORIENTED_EDGE('',*,*,#196653,.T.); #259733=ORIENTED_EDGE('',*,*,#196655,.F.); #259734=ORIENTED_EDGE('',*,*,#196656,.F.); #259735=ORIENTED_EDGE('',*,*,#196657,.T.); #259736=ORIENTED_EDGE('',*,*,#196656,.T.); #259737=ORIENTED_EDGE('',*,*,#196658,.F.); #259738=ORIENTED_EDGE('',*,*,#196659,.F.); #259739=ORIENTED_EDGE('',*,*,#196660,.T.); #259740=ORIENTED_EDGE('',*,*,#196659,.T.); #259741=ORIENTED_EDGE('',*,*,#196661,.F.); #259742=ORIENTED_EDGE('',*,*,#196662,.F.); #259743=ORIENTED_EDGE('',*,*,#196663,.T.); #259744=ORIENTED_EDGE('',*,*,#196662,.T.); #259745=ORIENTED_EDGE('',*,*,#196664,.F.); #259746=ORIENTED_EDGE('',*,*,#196665,.F.); #259747=ORIENTED_EDGE('',*,*,#196666,.T.); #259748=ORIENTED_EDGE('',*,*,#196665,.T.); #259749=ORIENTED_EDGE('',*,*,#196667,.F.); #259750=ORIENTED_EDGE('',*,*,#196668,.F.); #259751=ORIENTED_EDGE('',*,*,#196669,.T.); #259752=ORIENTED_EDGE('',*,*,#196668,.T.); #259753=ORIENTED_EDGE('',*,*,#196670,.F.); #259754=ORIENTED_EDGE('',*,*,#196671,.F.); #259755=ORIENTED_EDGE('',*,*,#196672,.T.); #259756=ORIENTED_EDGE('',*,*,#196671,.T.); #259757=ORIENTED_EDGE('',*,*,#196673,.F.); #259758=ORIENTED_EDGE('',*,*,#196674,.F.); #259759=ORIENTED_EDGE('',*,*,#196675,.T.); #259760=ORIENTED_EDGE('',*,*,#196674,.T.); #259761=ORIENTED_EDGE('',*,*,#196676,.F.); #259762=ORIENTED_EDGE('',*,*,#196677,.F.); #259763=ORIENTED_EDGE('',*,*,#196678,.T.); #259764=ORIENTED_EDGE('',*,*,#196677,.T.); #259765=ORIENTED_EDGE('',*,*,#196679,.F.); #259766=ORIENTED_EDGE('',*,*,#196680,.F.); #259767=ORIENTED_EDGE('',*,*,#196681,.T.); #259768=ORIENTED_EDGE('',*,*,#196680,.T.); #259769=ORIENTED_EDGE('',*,*,#196682,.F.); #259770=ORIENTED_EDGE('',*,*,#196683,.F.); #259771=ORIENTED_EDGE('',*,*,#196684,.T.); #259772=ORIENTED_EDGE('',*,*,#196683,.T.); #259773=ORIENTED_EDGE('',*,*,#196685,.F.); #259774=ORIENTED_EDGE('',*,*,#196686,.F.); #259775=ORIENTED_EDGE('',*,*,#196687,.T.); #259776=ORIENTED_EDGE('',*,*,#196686,.T.); #259777=ORIENTED_EDGE('',*,*,#196688,.F.); #259778=ORIENTED_EDGE('',*,*,#196689,.F.); #259779=ORIENTED_EDGE('',*,*,#196690,.T.); #259780=ORIENTED_EDGE('',*,*,#196689,.T.); #259781=ORIENTED_EDGE('',*,*,#196691,.F.); #259782=ORIENTED_EDGE('',*,*,#196692,.F.); #259783=ORIENTED_EDGE('',*,*,#196693,.T.); #259784=ORIENTED_EDGE('',*,*,#196692,.T.); #259785=ORIENTED_EDGE('',*,*,#196694,.F.); #259786=ORIENTED_EDGE('',*,*,#196695,.F.); #259787=ORIENTED_EDGE('',*,*,#196696,.T.); #259788=ORIENTED_EDGE('',*,*,#196695,.T.); #259789=ORIENTED_EDGE('',*,*,#196697,.F.); #259790=ORIENTED_EDGE('',*,*,#196698,.F.); #259791=ORIENTED_EDGE('',*,*,#196699,.T.); #259792=ORIENTED_EDGE('',*,*,#196698,.T.); #259793=ORIENTED_EDGE('',*,*,#196700,.F.); #259794=ORIENTED_EDGE('',*,*,#196701,.F.); #259795=ORIENTED_EDGE('',*,*,#196702,.T.); #259796=ORIENTED_EDGE('',*,*,#196701,.T.); #259797=ORIENTED_EDGE('',*,*,#196703,.F.); #259798=ORIENTED_EDGE('',*,*,#196704,.F.); #259799=ORIENTED_EDGE('',*,*,#196705,.T.); #259800=ORIENTED_EDGE('',*,*,#196704,.T.); #259801=ORIENTED_EDGE('',*,*,#196706,.F.); #259802=ORIENTED_EDGE('',*,*,#196651,.F.); #259803=ORIENTED_EDGE('',*,*,#196706,.T.); #259804=ORIENTED_EDGE('',*,*,#196703,.T.); #259805=ORIENTED_EDGE('',*,*,#196700,.T.); #259806=ORIENTED_EDGE('',*,*,#196697,.T.); #259807=ORIENTED_EDGE('',*,*,#196694,.T.); #259808=ORIENTED_EDGE('',*,*,#196691,.T.); #259809=ORIENTED_EDGE('',*,*,#196688,.T.); #259810=ORIENTED_EDGE('',*,*,#196685,.T.); #259811=ORIENTED_EDGE('',*,*,#196682,.T.); #259812=ORIENTED_EDGE('',*,*,#196679,.T.); #259813=ORIENTED_EDGE('',*,*,#196676,.T.); #259814=ORIENTED_EDGE('',*,*,#196673,.T.); #259815=ORIENTED_EDGE('',*,*,#196670,.T.); #259816=ORIENTED_EDGE('',*,*,#196667,.T.); #259817=ORIENTED_EDGE('',*,*,#196664,.T.); #259818=ORIENTED_EDGE('',*,*,#196661,.T.); #259819=ORIENTED_EDGE('',*,*,#196658,.T.); #259820=ORIENTED_EDGE('',*,*,#196655,.T.); #259821=ORIENTED_EDGE('',*,*,#196652,.T.); #259822=ORIENTED_EDGE('',*,*,#196705,.F.); #259823=ORIENTED_EDGE('',*,*,#196650,.F.); #259824=ORIENTED_EDGE('',*,*,#196654,.F.); #259825=ORIENTED_EDGE('',*,*,#196657,.F.); #259826=ORIENTED_EDGE('',*,*,#196660,.F.); #259827=ORIENTED_EDGE('',*,*,#196663,.F.); #259828=ORIENTED_EDGE('',*,*,#196666,.F.); #259829=ORIENTED_EDGE('',*,*,#196669,.F.); #259830=ORIENTED_EDGE('',*,*,#196672,.F.); #259831=ORIENTED_EDGE('',*,*,#196675,.F.); #259832=ORIENTED_EDGE('',*,*,#196678,.F.); #259833=ORIENTED_EDGE('',*,*,#196681,.F.); #259834=ORIENTED_EDGE('',*,*,#196684,.F.); #259835=ORIENTED_EDGE('',*,*,#196687,.F.); #259836=ORIENTED_EDGE('',*,*,#196690,.F.); #259837=ORIENTED_EDGE('',*,*,#196693,.F.); #259838=ORIENTED_EDGE('',*,*,#196696,.F.); #259839=ORIENTED_EDGE('',*,*,#196699,.F.); #259840=ORIENTED_EDGE('',*,*,#196702,.F.); #259841=ORIENTED_EDGE('',*,*,#196707,.F.); #259842=ORIENTED_EDGE('',*,*,#196708,.T.); #259843=ORIENTED_EDGE('',*,*,#196709,.F.); #259844=ORIENTED_EDGE('',*,*,#196708,.F.); #259845=ORIENTED_EDGE('',*,*,#196710,.F.); #259846=ORIENTED_EDGE('',*,*,#196711,.T.); #259847=ORIENTED_EDGE('',*,*,#196712,.F.); #259848=ORIENTED_EDGE('',*,*,#196711,.F.); #259849=ORIENTED_EDGE('',*,*,#196713,.F.); #259850=ORIENTED_EDGE('',*,*,#196714,.T.); #259851=ORIENTED_EDGE('',*,*,#196715,.F.); #259852=ORIENTED_EDGE('',*,*,#196714,.F.); #259853=ORIENTED_EDGE('',*,*,#196716,.F.); #259854=ORIENTED_EDGE('',*,*,#196717,.T.); #259855=ORIENTED_EDGE('',*,*,#196718,.F.); #259856=ORIENTED_EDGE('',*,*,#196717,.F.); #259857=ORIENTED_EDGE('',*,*,#196719,.F.); #259858=ORIENTED_EDGE('',*,*,#196720,.T.); #259859=ORIENTED_EDGE('',*,*,#196721,.F.); #259860=ORIENTED_EDGE('',*,*,#196720,.F.); #259861=ORIENTED_EDGE('',*,*,#196722,.F.); #259862=ORIENTED_EDGE('',*,*,#196723,.T.); #259863=ORIENTED_EDGE('',*,*,#196724,.F.); #259864=ORIENTED_EDGE('',*,*,#196723,.F.); #259865=ORIENTED_EDGE('',*,*,#196725,.F.); #259866=ORIENTED_EDGE('',*,*,#196726,.T.); #259867=ORIENTED_EDGE('',*,*,#196727,.F.); #259868=ORIENTED_EDGE('',*,*,#196726,.F.); #259869=ORIENTED_EDGE('',*,*,#196728,.F.); #259870=ORIENTED_EDGE('',*,*,#196729,.T.); #259871=ORIENTED_EDGE('',*,*,#196730,.F.); #259872=ORIENTED_EDGE('',*,*,#196729,.F.); #259873=ORIENTED_EDGE('',*,*,#196731,.F.); #259874=ORIENTED_EDGE('',*,*,#196732,.T.); #259875=ORIENTED_EDGE('',*,*,#196733,.F.); #259876=ORIENTED_EDGE('',*,*,#196732,.F.); #259877=ORIENTED_EDGE('',*,*,#196734,.F.); #259878=ORIENTED_EDGE('',*,*,#196735,.T.); #259879=ORIENTED_EDGE('',*,*,#196736,.F.); #259880=ORIENTED_EDGE('',*,*,#196735,.F.); #259881=ORIENTED_EDGE('',*,*,#196737,.F.); #259882=ORIENTED_EDGE('',*,*,#196738,.T.); #259883=ORIENTED_EDGE('',*,*,#196739,.F.); #259884=ORIENTED_EDGE('',*,*,#196738,.F.); #259885=ORIENTED_EDGE('',*,*,#196740,.F.); #259886=ORIENTED_EDGE('',*,*,#196741,.T.); #259887=ORIENTED_EDGE('',*,*,#196742,.F.); #259888=ORIENTED_EDGE('',*,*,#196741,.F.); #259889=ORIENTED_EDGE('',*,*,#196743,.F.); #259890=ORIENTED_EDGE('',*,*,#196744,.T.); #259891=ORIENTED_EDGE('',*,*,#196745,.F.); #259892=ORIENTED_EDGE('',*,*,#196744,.F.); #259893=ORIENTED_EDGE('',*,*,#196746,.F.); #259894=ORIENTED_EDGE('',*,*,#196747,.T.); #259895=ORIENTED_EDGE('',*,*,#196748,.F.); #259896=ORIENTED_EDGE('',*,*,#196747,.F.); #259897=ORIENTED_EDGE('',*,*,#196749,.F.); #259898=ORIENTED_EDGE('',*,*,#196750,.T.); #259899=ORIENTED_EDGE('',*,*,#196751,.F.); #259900=ORIENTED_EDGE('',*,*,#196750,.F.); #259901=ORIENTED_EDGE('',*,*,#196752,.F.); #259902=ORIENTED_EDGE('',*,*,#196753,.T.); #259903=ORIENTED_EDGE('',*,*,#196754,.F.); #259904=ORIENTED_EDGE('',*,*,#196753,.F.); #259905=ORIENTED_EDGE('',*,*,#196755,.F.); #259906=ORIENTED_EDGE('',*,*,#196756,.T.); #259907=ORIENTED_EDGE('',*,*,#196757,.F.); #259908=ORIENTED_EDGE('',*,*,#196756,.F.); #259909=ORIENTED_EDGE('',*,*,#196758,.F.); #259910=ORIENTED_EDGE('',*,*,#196759,.T.); #259911=ORIENTED_EDGE('',*,*,#196760,.F.); #259912=ORIENTED_EDGE('',*,*,#196759,.F.); #259913=ORIENTED_EDGE('',*,*,#196761,.F.); #259914=ORIENTED_EDGE('',*,*,#196762,.T.); #259915=ORIENTED_EDGE('',*,*,#196763,.F.); #259916=ORIENTED_EDGE('',*,*,#196762,.F.); #259917=ORIENTED_EDGE('',*,*,#196764,.F.); #259918=ORIENTED_EDGE('',*,*,#196765,.T.); #259919=ORIENTED_EDGE('',*,*,#196766,.F.); #259920=ORIENTED_EDGE('',*,*,#196765,.F.); #259921=ORIENTED_EDGE('',*,*,#196767,.F.); #259922=ORIENTED_EDGE('',*,*,#196768,.T.); #259923=ORIENTED_EDGE('',*,*,#196769,.F.); #259924=ORIENTED_EDGE('',*,*,#196768,.F.); #259925=ORIENTED_EDGE('',*,*,#196770,.F.); #259926=ORIENTED_EDGE('',*,*,#196771,.T.); #259927=ORIENTED_EDGE('',*,*,#196772,.F.); #259928=ORIENTED_EDGE('',*,*,#196771,.F.); #259929=ORIENTED_EDGE('',*,*,#196773,.F.); #259930=ORIENTED_EDGE('',*,*,#196774,.T.); #259931=ORIENTED_EDGE('',*,*,#196775,.F.); #259932=ORIENTED_EDGE('',*,*,#196774,.F.); #259933=ORIENTED_EDGE('',*,*,#196776,.F.); #259934=ORIENTED_EDGE('',*,*,#196777,.T.); #259935=ORIENTED_EDGE('',*,*,#196778,.F.); #259936=ORIENTED_EDGE('',*,*,#196777,.F.); #259937=ORIENTED_EDGE('',*,*,#196779,.F.); #259938=ORIENTED_EDGE('',*,*,#196780,.T.); #259939=ORIENTED_EDGE('',*,*,#196781,.F.); #259940=ORIENTED_EDGE('',*,*,#196780,.F.); #259941=ORIENTED_EDGE('',*,*,#196782,.F.); #259942=ORIENTED_EDGE('',*,*,#196783,.T.); #259943=ORIENTED_EDGE('',*,*,#196784,.F.); #259944=ORIENTED_EDGE('',*,*,#196783,.F.); #259945=ORIENTED_EDGE('',*,*,#196785,.F.); #259946=ORIENTED_EDGE('',*,*,#196786,.T.); #259947=ORIENTED_EDGE('',*,*,#196787,.F.); #259948=ORIENTED_EDGE('',*,*,#196786,.F.); #259949=ORIENTED_EDGE('',*,*,#196788,.F.); #259950=ORIENTED_EDGE('',*,*,#196789,.T.); #259951=ORIENTED_EDGE('',*,*,#196790,.F.); #259952=ORIENTED_EDGE('',*,*,#196789,.F.); #259953=ORIENTED_EDGE('',*,*,#196791,.F.); #259954=ORIENTED_EDGE('',*,*,#196792,.T.); #259955=ORIENTED_EDGE('',*,*,#196793,.F.); #259956=ORIENTED_EDGE('',*,*,#196792,.F.); #259957=ORIENTED_EDGE('',*,*,#196794,.F.); #259958=ORIENTED_EDGE('',*,*,#196795,.T.); #259959=ORIENTED_EDGE('',*,*,#196796,.F.); #259960=ORIENTED_EDGE('',*,*,#196795,.F.); #259961=ORIENTED_EDGE('',*,*,#196797,.F.); #259962=ORIENTED_EDGE('',*,*,#196798,.T.); #259963=ORIENTED_EDGE('',*,*,#196799,.F.); #259964=ORIENTED_EDGE('',*,*,#196798,.F.); #259965=ORIENTED_EDGE('',*,*,#196800,.F.); #259966=ORIENTED_EDGE('',*,*,#196801,.T.); #259967=ORIENTED_EDGE('',*,*,#196802,.F.); #259968=ORIENTED_EDGE('',*,*,#196801,.F.); #259969=ORIENTED_EDGE('',*,*,#196803,.F.); #259970=ORIENTED_EDGE('',*,*,#196804,.T.); #259971=ORIENTED_EDGE('',*,*,#196805,.F.); #259972=ORIENTED_EDGE('',*,*,#196804,.F.); #259973=ORIENTED_EDGE('',*,*,#196806,.F.); #259974=ORIENTED_EDGE('',*,*,#196807,.T.); #259975=ORIENTED_EDGE('',*,*,#196808,.F.); #259976=ORIENTED_EDGE('',*,*,#196807,.F.); #259977=ORIENTED_EDGE('',*,*,#196809,.F.); #259978=ORIENTED_EDGE('',*,*,#196810,.T.); #259979=ORIENTED_EDGE('',*,*,#196811,.F.); #259980=ORIENTED_EDGE('',*,*,#196810,.F.); #259981=ORIENTED_EDGE('',*,*,#196812,.F.); #259982=ORIENTED_EDGE('',*,*,#196813,.T.); #259983=ORIENTED_EDGE('',*,*,#196814,.F.); #259984=ORIENTED_EDGE('',*,*,#196813,.F.); #259985=ORIENTED_EDGE('',*,*,#196815,.F.); #259986=ORIENTED_EDGE('',*,*,#196816,.T.); #259987=ORIENTED_EDGE('',*,*,#196817,.F.); #259988=ORIENTED_EDGE('',*,*,#196816,.F.); #259989=ORIENTED_EDGE('',*,*,#196818,.F.); #259990=ORIENTED_EDGE('',*,*,#196819,.T.); #259991=ORIENTED_EDGE('',*,*,#196820,.F.); #259992=ORIENTED_EDGE('',*,*,#196819,.F.); #259993=ORIENTED_EDGE('',*,*,#196821,.F.); #259994=ORIENTED_EDGE('',*,*,#196822,.T.); #259995=ORIENTED_EDGE('',*,*,#196823,.F.); #259996=ORIENTED_EDGE('',*,*,#196822,.F.); #259997=ORIENTED_EDGE('',*,*,#196824,.F.); #259998=ORIENTED_EDGE('',*,*,#196825,.T.); #259999=ORIENTED_EDGE('',*,*,#196826,.F.); #260000=ORIENTED_EDGE('',*,*,#196825,.F.); #260001=ORIENTED_EDGE('',*,*,#196827,.F.); #260002=ORIENTED_EDGE('',*,*,#196828,.T.); #260003=ORIENTED_EDGE('',*,*,#196829,.F.); #260004=ORIENTED_EDGE('',*,*,#196828,.F.); #260005=ORIENTED_EDGE('',*,*,#196830,.F.); #260006=ORIENTED_EDGE('',*,*,#196831,.T.); #260007=ORIENTED_EDGE('',*,*,#196832,.F.); #260008=ORIENTED_EDGE('',*,*,#196831,.F.); #260009=ORIENTED_EDGE('',*,*,#196833,.F.); #260010=ORIENTED_EDGE('',*,*,#196834,.T.); #260011=ORIENTED_EDGE('',*,*,#196835,.F.); #260012=ORIENTED_EDGE('',*,*,#196834,.F.); #260013=ORIENTED_EDGE('',*,*,#196836,.F.); #260014=ORIENTED_EDGE('',*,*,#196837,.T.); #260015=ORIENTED_EDGE('',*,*,#196838,.F.); #260016=ORIENTED_EDGE('',*,*,#196837,.F.); #260017=ORIENTED_EDGE('',*,*,#196839,.F.); #260018=ORIENTED_EDGE('',*,*,#196840,.T.); #260019=ORIENTED_EDGE('',*,*,#196841,.F.); #260020=ORIENTED_EDGE('',*,*,#196840,.F.); #260021=ORIENTED_EDGE('',*,*,#196842,.F.); #260022=ORIENTED_EDGE('',*,*,#196843,.T.); #260023=ORIENTED_EDGE('',*,*,#196844,.F.); #260024=ORIENTED_EDGE('',*,*,#196843,.F.); #260025=ORIENTED_EDGE('',*,*,#196845,.F.); #260026=ORIENTED_EDGE('',*,*,#196846,.T.); #260027=ORIENTED_EDGE('',*,*,#196847,.F.); #260028=ORIENTED_EDGE('',*,*,#196846,.F.); #260029=ORIENTED_EDGE('',*,*,#196848,.F.); #260030=ORIENTED_EDGE('',*,*,#196849,.T.); #260031=ORIENTED_EDGE('',*,*,#196850,.F.); #260032=ORIENTED_EDGE('',*,*,#196849,.F.); #260033=ORIENTED_EDGE('',*,*,#196851,.F.); #260034=ORIENTED_EDGE('',*,*,#196852,.T.); #260035=ORIENTED_EDGE('',*,*,#196853,.F.); #260036=ORIENTED_EDGE('',*,*,#196852,.F.); #260037=ORIENTED_EDGE('',*,*,#196854,.T.); #260038=ORIENTED_EDGE('',*,*,#196855,.T.); #260039=ORIENTED_EDGE('',*,*,#196856,.F.); #260040=ORIENTED_EDGE('',*,*,#196857,.F.); #260041=ORIENTED_EDGE('',*,*,#196858,.T.); #260042=ORIENTED_EDGE('',*,*,#196857,.T.); #260043=ORIENTED_EDGE('',*,*,#196859,.F.); #260044=ORIENTED_EDGE('',*,*,#196860,.F.); #260045=ORIENTED_EDGE('',*,*,#196861,.T.); #260046=ORIENTED_EDGE('',*,*,#196860,.T.); #260047=ORIENTED_EDGE('',*,*,#196862,.F.); #260048=ORIENTED_EDGE('',*,*,#196863,.F.); #260049=ORIENTED_EDGE('',*,*,#196864,.T.); #260050=ORIENTED_EDGE('',*,*,#196863,.T.); #260051=ORIENTED_EDGE('',*,*,#196865,.F.); #260052=ORIENTED_EDGE('',*,*,#196866,.F.); #260053=ORIENTED_EDGE('',*,*,#196867,.T.); #260054=ORIENTED_EDGE('',*,*,#196866,.T.); #260055=ORIENTED_EDGE('',*,*,#196868,.F.); #260056=ORIENTED_EDGE('',*,*,#196869,.F.); #260057=ORIENTED_EDGE('',*,*,#196870,.T.); #260058=ORIENTED_EDGE('',*,*,#196869,.T.); #260059=ORIENTED_EDGE('',*,*,#196871,.F.); #260060=ORIENTED_EDGE('',*,*,#196872,.F.); #260061=ORIENTED_EDGE('',*,*,#196873,.T.); #260062=ORIENTED_EDGE('',*,*,#196872,.T.); #260063=ORIENTED_EDGE('',*,*,#196874,.F.); #260064=ORIENTED_EDGE('',*,*,#196875,.F.); #260065=ORIENTED_EDGE('',*,*,#196876,.T.); #260066=ORIENTED_EDGE('',*,*,#196875,.T.); #260067=ORIENTED_EDGE('',*,*,#196877,.F.); #260068=ORIENTED_EDGE('',*,*,#196878,.F.); #260069=ORIENTED_EDGE('',*,*,#196879,.T.); #260070=ORIENTED_EDGE('',*,*,#196878,.T.); #260071=ORIENTED_EDGE('',*,*,#196880,.F.); #260072=ORIENTED_EDGE('',*,*,#196881,.F.); #260073=ORIENTED_EDGE('',*,*,#196882,.T.); #260074=ORIENTED_EDGE('',*,*,#196881,.T.); #260075=ORIENTED_EDGE('',*,*,#196883,.F.); #260076=ORIENTED_EDGE('',*,*,#196884,.F.); #260077=ORIENTED_EDGE('',*,*,#196885,.T.); #260078=ORIENTED_EDGE('',*,*,#196884,.T.); #260079=ORIENTED_EDGE('',*,*,#196886,.F.); #260080=ORIENTED_EDGE('',*,*,#196887,.F.); #260081=ORIENTED_EDGE('',*,*,#196888,.T.); #260082=ORIENTED_EDGE('',*,*,#196887,.T.); #260083=ORIENTED_EDGE('',*,*,#196889,.F.); #260084=ORIENTED_EDGE('',*,*,#196890,.F.); #260085=ORIENTED_EDGE('',*,*,#196891,.T.); #260086=ORIENTED_EDGE('',*,*,#196890,.T.); #260087=ORIENTED_EDGE('',*,*,#196892,.F.); #260088=ORIENTED_EDGE('',*,*,#196893,.F.); #260089=ORIENTED_EDGE('',*,*,#196894,.T.); #260090=ORIENTED_EDGE('',*,*,#196893,.T.); #260091=ORIENTED_EDGE('',*,*,#196895,.F.); #260092=ORIENTED_EDGE('',*,*,#196896,.F.); #260093=ORIENTED_EDGE('',*,*,#196897,.T.); #260094=ORIENTED_EDGE('',*,*,#196896,.T.); #260095=ORIENTED_EDGE('',*,*,#196898,.F.); #260096=ORIENTED_EDGE('',*,*,#196899,.F.); #260097=ORIENTED_EDGE('',*,*,#196900,.T.); #260098=ORIENTED_EDGE('',*,*,#196899,.T.); #260099=ORIENTED_EDGE('',*,*,#196901,.F.); #260100=ORIENTED_EDGE('',*,*,#196902,.F.); #260101=ORIENTED_EDGE('',*,*,#196903,.T.); #260102=ORIENTED_EDGE('',*,*,#196902,.T.); #260103=ORIENTED_EDGE('',*,*,#196904,.F.); #260104=ORIENTED_EDGE('',*,*,#196905,.F.); #260105=ORIENTED_EDGE('',*,*,#196906,.T.); #260106=ORIENTED_EDGE('',*,*,#196905,.T.); #260107=ORIENTED_EDGE('',*,*,#196907,.F.); #260108=ORIENTED_EDGE('',*,*,#196908,.F.); #260109=ORIENTED_EDGE('',*,*,#196909,.T.); #260110=ORIENTED_EDGE('',*,*,#196908,.T.); #260111=ORIENTED_EDGE('',*,*,#196910,.F.); #260112=ORIENTED_EDGE('',*,*,#196911,.F.); #260113=ORIENTED_EDGE('',*,*,#196912,.T.); #260114=ORIENTED_EDGE('',*,*,#196911,.T.); #260115=ORIENTED_EDGE('',*,*,#196913,.F.); #260116=ORIENTED_EDGE('',*,*,#196914,.F.); #260117=ORIENTED_EDGE('',*,*,#196915,.T.); #260118=ORIENTED_EDGE('',*,*,#196914,.T.); #260119=ORIENTED_EDGE('',*,*,#196916,.F.); #260120=ORIENTED_EDGE('',*,*,#196917,.F.); #260121=ORIENTED_EDGE('',*,*,#196918,.T.); #260122=ORIENTED_EDGE('',*,*,#196917,.T.); #260123=ORIENTED_EDGE('',*,*,#196919,.F.); #260124=ORIENTED_EDGE('',*,*,#196920,.F.); #260125=ORIENTED_EDGE('',*,*,#196921,.T.); #260126=ORIENTED_EDGE('',*,*,#196920,.T.); #260127=ORIENTED_EDGE('',*,*,#196922,.F.); #260128=ORIENTED_EDGE('',*,*,#196923,.F.); #260129=ORIENTED_EDGE('',*,*,#196924,.T.); #260130=ORIENTED_EDGE('',*,*,#196923,.T.); #260131=ORIENTED_EDGE('',*,*,#196925,.F.); #260132=ORIENTED_EDGE('',*,*,#196926,.F.); #260133=ORIENTED_EDGE('',*,*,#196927,.T.); #260134=ORIENTED_EDGE('',*,*,#196926,.T.); #260135=ORIENTED_EDGE('',*,*,#196928,.F.); #260136=ORIENTED_EDGE('',*,*,#196929,.F.); #260137=ORIENTED_EDGE('',*,*,#196930,.T.); #260138=ORIENTED_EDGE('',*,*,#196929,.T.); #260139=ORIENTED_EDGE('',*,*,#196931,.F.); #260140=ORIENTED_EDGE('',*,*,#196932,.F.); #260141=ORIENTED_EDGE('',*,*,#196933,.T.); #260142=ORIENTED_EDGE('',*,*,#196932,.T.); #260143=ORIENTED_EDGE('',*,*,#196934,.F.); #260144=ORIENTED_EDGE('',*,*,#196935,.F.); #260145=ORIENTED_EDGE('',*,*,#196936,.T.); #260146=ORIENTED_EDGE('',*,*,#196935,.T.); #260147=ORIENTED_EDGE('',*,*,#196937,.F.); #260148=ORIENTED_EDGE('',*,*,#196938,.F.); #260149=ORIENTED_EDGE('',*,*,#196939,.T.); #260150=ORIENTED_EDGE('',*,*,#196938,.T.); #260151=ORIENTED_EDGE('',*,*,#196940,.F.); #260152=ORIENTED_EDGE('',*,*,#196941,.F.); #260153=ORIENTED_EDGE('',*,*,#196942,.T.); #260154=ORIENTED_EDGE('',*,*,#196941,.T.); #260155=ORIENTED_EDGE('',*,*,#196943,.F.); #260156=ORIENTED_EDGE('',*,*,#196944,.F.); #260157=ORIENTED_EDGE('',*,*,#196945,.T.); #260158=ORIENTED_EDGE('',*,*,#196944,.T.); #260159=ORIENTED_EDGE('',*,*,#196946,.F.); #260160=ORIENTED_EDGE('',*,*,#196947,.F.); #260161=ORIENTED_EDGE('',*,*,#196948,.T.); #260162=ORIENTED_EDGE('',*,*,#196947,.T.); #260163=ORIENTED_EDGE('',*,*,#196949,.F.); #260164=ORIENTED_EDGE('',*,*,#196950,.F.); #260165=ORIENTED_EDGE('',*,*,#196951,.T.); #260166=ORIENTED_EDGE('',*,*,#196950,.T.); #260167=ORIENTED_EDGE('',*,*,#196952,.F.); #260168=ORIENTED_EDGE('',*,*,#196953,.F.); #260169=ORIENTED_EDGE('',*,*,#196954,.T.); #260170=ORIENTED_EDGE('',*,*,#196953,.T.); #260171=ORIENTED_EDGE('',*,*,#196955,.F.); #260172=ORIENTED_EDGE('',*,*,#196956,.F.); #260173=ORIENTED_EDGE('',*,*,#196957,.T.); #260174=ORIENTED_EDGE('',*,*,#196956,.T.); #260175=ORIENTED_EDGE('',*,*,#196958,.F.); #260176=ORIENTED_EDGE('',*,*,#196959,.F.); #260177=ORIENTED_EDGE('',*,*,#196960,.T.); #260178=ORIENTED_EDGE('',*,*,#196959,.T.); #260179=ORIENTED_EDGE('',*,*,#196961,.F.); #260180=ORIENTED_EDGE('',*,*,#196962,.F.); #260181=ORIENTED_EDGE('',*,*,#196963,.T.); #260182=ORIENTED_EDGE('',*,*,#196962,.T.); #260183=ORIENTED_EDGE('',*,*,#196964,.F.); #260184=ORIENTED_EDGE('',*,*,#196965,.F.); #260185=ORIENTED_EDGE('',*,*,#196966,.T.); #260186=ORIENTED_EDGE('',*,*,#196965,.T.); #260187=ORIENTED_EDGE('',*,*,#196967,.F.); #260188=ORIENTED_EDGE('',*,*,#196968,.F.); #260189=ORIENTED_EDGE('',*,*,#196969,.T.); #260190=ORIENTED_EDGE('',*,*,#196968,.T.); #260191=ORIENTED_EDGE('',*,*,#196970,.F.); #260192=ORIENTED_EDGE('',*,*,#196971,.F.); #260193=ORIENTED_EDGE('',*,*,#196972,.T.); #260194=ORIENTED_EDGE('',*,*,#196971,.T.); #260195=ORIENTED_EDGE('',*,*,#196973,.F.); #260196=ORIENTED_EDGE('',*,*,#196974,.F.); #260197=ORIENTED_EDGE('',*,*,#196975,.T.); #260198=ORIENTED_EDGE('',*,*,#196974,.T.); #260199=ORIENTED_EDGE('',*,*,#196976,.F.); #260200=ORIENTED_EDGE('',*,*,#196977,.F.); #260201=ORIENTED_EDGE('',*,*,#196978,.T.); #260202=ORIENTED_EDGE('',*,*,#196977,.T.); #260203=ORIENTED_EDGE('',*,*,#196979,.F.); #260204=ORIENTED_EDGE('',*,*,#196980,.F.); #260205=ORIENTED_EDGE('',*,*,#196981,.T.); #260206=ORIENTED_EDGE('',*,*,#196980,.T.); #260207=ORIENTED_EDGE('',*,*,#196982,.F.); #260208=ORIENTED_EDGE('',*,*,#196983,.F.); #260209=ORIENTED_EDGE('',*,*,#196984,.T.); #260210=ORIENTED_EDGE('',*,*,#196983,.T.); #260211=ORIENTED_EDGE('',*,*,#196985,.F.); #260212=ORIENTED_EDGE('',*,*,#196986,.F.); #260213=ORIENTED_EDGE('',*,*,#196987,.T.); #260214=ORIENTED_EDGE('',*,*,#196986,.T.); #260215=ORIENTED_EDGE('',*,*,#196988,.F.); #260216=ORIENTED_EDGE('',*,*,#196989,.F.); #260217=ORIENTED_EDGE('',*,*,#196990,.T.); #260218=ORIENTED_EDGE('',*,*,#196989,.T.); #260219=ORIENTED_EDGE('',*,*,#196991,.F.); #260220=ORIENTED_EDGE('',*,*,#196992,.F.); #260221=ORIENTED_EDGE('',*,*,#196993,.T.); #260222=ORIENTED_EDGE('',*,*,#196992,.T.); #260223=ORIENTED_EDGE('',*,*,#196994,.F.); #260224=ORIENTED_EDGE('',*,*,#196995,.F.); #260225=ORIENTED_EDGE('',*,*,#196996,.T.); #260226=ORIENTED_EDGE('',*,*,#196995,.T.); #260227=ORIENTED_EDGE('',*,*,#196997,.F.); #260228=ORIENTED_EDGE('',*,*,#196998,.F.); #260229=ORIENTED_EDGE('',*,*,#196999,.T.); #260230=ORIENTED_EDGE('',*,*,#196998,.T.); #260231=ORIENTED_EDGE('',*,*,#197000,.F.); #260232=ORIENTED_EDGE('',*,*,#197001,.F.); #260233=ORIENTED_EDGE('',*,*,#197002,.T.); #260234=ORIENTED_EDGE('',*,*,#197001,.T.); #260235=ORIENTED_EDGE('',*,*,#197003,.F.); #260236=ORIENTED_EDGE('',*,*,#197004,.F.); #260237=ORIENTED_EDGE('',*,*,#197005,.T.); #260238=ORIENTED_EDGE('',*,*,#197004,.T.); #260239=ORIENTED_EDGE('',*,*,#197006,.F.); #260240=ORIENTED_EDGE('',*,*,#197007,.F.); #260241=ORIENTED_EDGE('',*,*,#197008,.T.); #260242=ORIENTED_EDGE('',*,*,#197007,.T.); #260243=ORIENTED_EDGE('',*,*,#197009,.F.); #260244=ORIENTED_EDGE('',*,*,#197010,.F.); #260245=ORIENTED_EDGE('',*,*,#197011,.T.); #260246=ORIENTED_EDGE('',*,*,#197010,.T.); #260247=ORIENTED_EDGE('',*,*,#197012,.F.); #260248=ORIENTED_EDGE('',*,*,#197013,.F.); #260249=ORIENTED_EDGE('',*,*,#197014,.T.); #260250=ORIENTED_EDGE('',*,*,#197013,.T.); #260251=ORIENTED_EDGE('',*,*,#197015,.F.); #260252=ORIENTED_EDGE('',*,*,#197016,.F.); #260253=ORIENTED_EDGE('',*,*,#197017,.T.); #260254=ORIENTED_EDGE('',*,*,#197016,.T.); #260255=ORIENTED_EDGE('',*,*,#197018,.F.); #260256=ORIENTED_EDGE('',*,*,#197019,.F.); #260257=ORIENTED_EDGE('',*,*,#197020,.T.); #260258=ORIENTED_EDGE('',*,*,#197019,.T.); #260259=ORIENTED_EDGE('',*,*,#197021,.F.); #260260=ORIENTED_EDGE('',*,*,#197022,.F.); #260261=ORIENTED_EDGE('',*,*,#197023,.T.); #260262=ORIENTED_EDGE('',*,*,#197022,.T.); #260263=ORIENTED_EDGE('',*,*,#197024,.F.); #260264=ORIENTED_EDGE('',*,*,#197025,.F.); #260265=ORIENTED_EDGE('',*,*,#197026,.T.); #260266=ORIENTED_EDGE('',*,*,#197025,.T.); #260267=ORIENTED_EDGE('',*,*,#197027,.F.); #260268=ORIENTED_EDGE('',*,*,#197028,.F.); #260269=ORIENTED_EDGE('',*,*,#197029,.T.); #260270=ORIENTED_EDGE('',*,*,#197028,.T.); #260271=ORIENTED_EDGE('',*,*,#197030,.F.); #260272=ORIENTED_EDGE('',*,*,#197031,.F.); #260273=ORIENTED_EDGE('',*,*,#197032,.T.); #260274=ORIENTED_EDGE('',*,*,#197031,.T.); #260275=ORIENTED_EDGE('',*,*,#197033,.F.); #260276=ORIENTED_EDGE('',*,*,#197034,.F.); #260277=ORIENTED_EDGE('',*,*,#197035,.T.); #260278=ORIENTED_EDGE('',*,*,#197034,.T.); #260279=ORIENTED_EDGE('',*,*,#197036,.F.); #260280=ORIENTED_EDGE('',*,*,#197037,.F.); #260281=ORIENTED_EDGE('',*,*,#197038,.T.); #260282=ORIENTED_EDGE('',*,*,#197037,.T.); #260283=ORIENTED_EDGE('',*,*,#197039,.F.); #260284=ORIENTED_EDGE('',*,*,#197040,.F.); #260285=ORIENTED_EDGE('',*,*,#197041,.T.); #260286=ORIENTED_EDGE('',*,*,#197040,.T.); #260287=ORIENTED_EDGE('',*,*,#197042,.F.); #260288=ORIENTED_EDGE('',*,*,#197043,.F.); #260289=ORIENTED_EDGE('',*,*,#197044,.T.); #260290=ORIENTED_EDGE('',*,*,#197043,.T.); #260291=ORIENTED_EDGE('',*,*,#197045,.F.); #260292=ORIENTED_EDGE('',*,*,#197046,.F.); #260293=ORIENTED_EDGE('',*,*,#197047,.T.); #260294=ORIENTED_EDGE('',*,*,#197046,.T.); #260295=ORIENTED_EDGE('',*,*,#197048,.F.); #260296=ORIENTED_EDGE('',*,*,#197049,.F.); #260297=ORIENTED_EDGE('',*,*,#197050,.T.); #260298=ORIENTED_EDGE('',*,*,#197049,.T.); #260299=ORIENTED_EDGE('',*,*,#197051,.F.); #260300=ORIENTED_EDGE('',*,*,#197052,.F.); #260301=ORIENTED_EDGE('',*,*,#197053,.T.); #260302=ORIENTED_EDGE('',*,*,#197052,.T.); #260303=ORIENTED_EDGE('',*,*,#197054,.F.); #260304=ORIENTED_EDGE('',*,*,#197055,.F.); #260305=ORIENTED_EDGE('',*,*,#197056,.T.); #260306=ORIENTED_EDGE('',*,*,#197055,.T.); #260307=ORIENTED_EDGE('',*,*,#197057,.F.); #260308=ORIENTED_EDGE('',*,*,#197058,.F.); #260309=ORIENTED_EDGE('',*,*,#197059,.T.); #260310=ORIENTED_EDGE('',*,*,#197058,.T.); #260311=ORIENTED_EDGE('',*,*,#197060,.F.); #260312=ORIENTED_EDGE('',*,*,#197061,.F.); #260313=ORIENTED_EDGE('',*,*,#197062,.T.); #260314=ORIENTED_EDGE('',*,*,#197061,.T.); #260315=ORIENTED_EDGE('',*,*,#197063,.F.); #260316=ORIENTED_EDGE('',*,*,#197064,.F.); #260317=ORIENTED_EDGE('',*,*,#197065,.T.); #260318=ORIENTED_EDGE('',*,*,#197064,.T.); #260319=ORIENTED_EDGE('',*,*,#197066,.F.); #260320=ORIENTED_EDGE('',*,*,#197067,.F.); #260321=ORIENTED_EDGE('',*,*,#197068,.T.); #260322=ORIENTED_EDGE('',*,*,#197067,.T.); #260323=ORIENTED_EDGE('',*,*,#197069,.F.); #260324=ORIENTED_EDGE('',*,*,#197070,.F.); #260325=ORIENTED_EDGE('',*,*,#197071,.T.); #260326=ORIENTED_EDGE('',*,*,#197070,.T.); #260327=ORIENTED_EDGE('',*,*,#197072,.F.); #260328=ORIENTED_EDGE('',*,*,#197073,.F.); #260329=ORIENTED_EDGE('',*,*,#197074,.T.); #260330=ORIENTED_EDGE('',*,*,#197073,.T.); #260331=ORIENTED_EDGE('',*,*,#197075,.F.); #260332=ORIENTED_EDGE('',*,*,#197076,.F.); #260333=ORIENTED_EDGE('',*,*,#197077,.T.); #260334=ORIENTED_EDGE('',*,*,#197076,.T.); #260335=ORIENTED_EDGE('',*,*,#197078,.F.); #260336=ORIENTED_EDGE('',*,*,#197079,.F.); #260337=ORIENTED_EDGE('',*,*,#197080,.T.); #260338=ORIENTED_EDGE('',*,*,#197079,.T.); #260339=ORIENTED_EDGE('',*,*,#197081,.F.); #260340=ORIENTED_EDGE('',*,*,#197082,.F.); #260341=ORIENTED_EDGE('',*,*,#197083,.T.); #260342=ORIENTED_EDGE('',*,*,#197082,.T.); #260343=ORIENTED_EDGE('',*,*,#197084,.F.); #260344=ORIENTED_EDGE('',*,*,#197085,.F.); #260345=ORIENTED_EDGE('',*,*,#197086,.T.); #260346=ORIENTED_EDGE('',*,*,#197085,.T.); #260347=ORIENTED_EDGE('',*,*,#197087,.F.); #260348=ORIENTED_EDGE('',*,*,#197088,.F.); #260349=ORIENTED_EDGE('',*,*,#197089,.T.); #260350=ORIENTED_EDGE('',*,*,#197088,.T.); #260351=ORIENTED_EDGE('',*,*,#197090,.F.); #260352=ORIENTED_EDGE('',*,*,#197091,.F.); #260353=ORIENTED_EDGE('',*,*,#197092,.T.); #260354=ORIENTED_EDGE('',*,*,#197091,.T.); #260355=ORIENTED_EDGE('',*,*,#197093,.F.); #260356=ORIENTED_EDGE('',*,*,#197094,.F.); #260357=ORIENTED_EDGE('',*,*,#197095,.T.); #260358=ORIENTED_EDGE('',*,*,#197094,.T.); #260359=ORIENTED_EDGE('',*,*,#197096,.F.); #260360=ORIENTED_EDGE('',*,*,#197097,.F.); #260361=ORIENTED_EDGE('',*,*,#197098,.T.); #260362=ORIENTED_EDGE('',*,*,#197097,.T.); #260363=ORIENTED_EDGE('',*,*,#197099,.F.); #260364=ORIENTED_EDGE('',*,*,#197100,.F.); #260365=ORIENTED_EDGE('',*,*,#197101,.T.); #260366=ORIENTED_EDGE('',*,*,#197100,.T.); #260367=ORIENTED_EDGE('',*,*,#197102,.F.); #260368=ORIENTED_EDGE('',*,*,#197103,.F.); #260369=ORIENTED_EDGE('',*,*,#197104,.T.); #260370=ORIENTED_EDGE('',*,*,#197103,.T.); #260371=ORIENTED_EDGE('',*,*,#197105,.F.); #260372=ORIENTED_EDGE('',*,*,#197106,.F.); #260373=ORIENTED_EDGE('',*,*,#197107,.T.); #260374=ORIENTED_EDGE('',*,*,#197106,.T.); #260375=ORIENTED_EDGE('',*,*,#197108,.F.); #260376=ORIENTED_EDGE('',*,*,#197109,.F.); #260377=ORIENTED_EDGE('',*,*,#197110,.T.); #260378=ORIENTED_EDGE('',*,*,#197109,.T.); #260379=ORIENTED_EDGE('',*,*,#197111,.F.); #260380=ORIENTED_EDGE('',*,*,#197112,.F.); #260381=ORIENTED_EDGE('',*,*,#197113,.T.); #260382=ORIENTED_EDGE('',*,*,#197112,.T.); #260383=ORIENTED_EDGE('',*,*,#197114,.F.); #260384=ORIENTED_EDGE('',*,*,#197115,.F.); #260385=ORIENTED_EDGE('',*,*,#197116,.T.); #260386=ORIENTED_EDGE('',*,*,#197115,.T.); #260387=ORIENTED_EDGE('',*,*,#197117,.F.); #260388=ORIENTED_EDGE('',*,*,#197118,.F.); #260389=ORIENTED_EDGE('',*,*,#197119,.T.); #260390=ORIENTED_EDGE('',*,*,#197118,.T.); #260391=ORIENTED_EDGE('',*,*,#197120,.F.); #260392=ORIENTED_EDGE('',*,*,#197121,.F.); #260393=ORIENTED_EDGE('',*,*,#197122,.T.); #260394=ORIENTED_EDGE('',*,*,#197121,.T.); #260395=ORIENTED_EDGE('',*,*,#197123,.F.); #260396=ORIENTED_EDGE('',*,*,#197124,.F.); #260397=ORIENTED_EDGE('',*,*,#197125,.T.); #260398=ORIENTED_EDGE('',*,*,#197124,.T.); #260399=ORIENTED_EDGE('',*,*,#197126,.F.); #260400=ORIENTED_EDGE('',*,*,#197127,.F.); #260401=ORIENTED_EDGE('',*,*,#197128,.T.); #260402=ORIENTED_EDGE('',*,*,#197127,.T.); #260403=ORIENTED_EDGE('',*,*,#197129,.F.); #260404=ORIENTED_EDGE('',*,*,#197130,.F.); #260405=ORIENTED_EDGE('',*,*,#197131,.T.); #260406=ORIENTED_EDGE('',*,*,#197130,.T.); #260407=ORIENTED_EDGE('',*,*,#197132,.F.); #260408=ORIENTED_EDGE('',*,*,#197133,.F.); #260409=ORIENTED_EDGE('',*,*,#197134,.T.); #260410=ORIENTED_EDGE('',*,*,#197133,.T.); #260411=ORIENTED_EDGE('',*,*,#197135,.F.); #260412=ORIENTED_EDGE('',*,*,#197136,.F.); #260413=ORIENTED_EDGE('',*,*,#197137,.T.); #260414=ORIENTED_EDGE('',*,*,#197136,.T.); #260415=ORIENTED_EDGE('',*,*,#197138,.F.); #260416=ORIENTED_EDGE('',*,*,#197139,.F.); #260417=ORIENTED_EDGE('',*,*,#197140,.T.); #260418=ORIENTED_EDGE('',*,*,#197139,.T.); #260419=ORIENTED_EDGE('',*,*,#197141,.F.); #260420=ORIENTED_EDGE('',*,*,#197142,.F.); #260421=ORIENTED_EDGE('',*,*,#197143,.T.); #260422=ORIENTED_EDGE('',*,*,#197142,.T.); #260423=ORIENTED_EDGE('',*,*,#197144,.F.); #260424=ORIENTED_EDGE('',*,*,#197145,.F.); #260425=ORIENTED_EDGE('',*,*,#197146,.T.); #260426=ORIENTED_EDGE('',*,*,#197145,.T.); #260427=ORIENTED_EDGE('',*,*,#197147,.F.); #260428=ORIENTED_EDGE('',*,*,#197148,.F.); #260429=ORIENTED_EDGE('',*,*,#197149,.T.); #260430=ORIENTED_EDGE('',*,*,#197148,.T.); #260431=ORIENTED_EDGE('',*,*,#197150,.F.); #260432=ORIENTED_EDGE('',*,*,#197151,.F.); #260433=ORIENTED_EDGE('',*,*,#197152,.T.); #260434=ORIENTED_EDGE('',*,*,#197151,.T.); #260435=ORIENTED_EDGE('',*,*,#197153,.F.); #260436=ORIENTED_EDGE('',*,*,#197154,.F.); #260437=ORIENTED_EDGE('',*,*,#197155,.T.); #260438=ORIENTED_EDGE('',*,*,#197154,.T.); #260439=ORIENTED_EDGE('',*,*,#197156,.F.); #260440=ORIENTED_EDGE('',*,*,#197157,.F.); #260441=ORIENTED_EDGE('',*,*,#197158,.T.); #260442=ORIENTED_EDGE('',*,*,#197157,.T.); #260443=ORIENTED_EDGE('',*,*,#197159,.F.); #260444=ORIENTED_EDGE('',*,*,#197160,.F.); #260445=ORIENTED_EDGE('',*,*,#197161,.T.); #260446=ORIENTED_EDGE('',*,*,#197160,.T.); #260447=ORIENTED_EDGE('',*,*,#197162,.F.); #260448=ORIENTED_EDGE('',*,*,#197163,.F.); #260449=ORIENTED_EDGE('',*,*,#197164,.T.); #260450=ORIENTED_EDGE('',*,*,#197163,.T.); #260451=ORIENTED_EDGE('',*,*,#197165,.F.); #260452=ORIENTED_EDGE('',*,*,#197166,.F.); #260453=ORIENTED_EDGE('',*,*,#197167,.T.); #260454=ORIENTED_EDGE('',*,*,#197166,.T.); #260455=ORIENTED_EDGE('',*,*,#197168,.F.); #260456=ORIENTED_EDGE('',*,*,#197169,.F.); #260457=ORIENTED_EDGE('',*,*,#197170,.T.); #260458=ORIENTED_EDGE('',*,*,#197169,.T.); #260459=ORIENTED_EDGE('',*,*,#197171,.F.); #260460=ORIENTED_EDGE('',*,*,#197172,.F.); #260461=ORIENTED_EDGE('',*,*,#197173,.T.); #260462=ORIENTED_EDGE('',*,*,#197172,.T.); #260463=ORIENTED_EDGE('',*,*,#197174,.F.); #260464=ORIENTED_EDGE('',*,*,#197175,.F.); #260465=ORIENTED_EDGE('',*,*,#197176,.T.); #260466=ORIENTED_EDGE('',*,*,#197175,.T.); #260467=ORIENTED_EDGE('',*,*,#197177,.F.); #260468=ORIENTED_EDGE('',*,*,#197178,.F.); #260469=ORIENTED_EDGE('',*,*,#197179,.T.); #260470=ORIENTED_EDGE('',*,*,#197178,.T.); #260471=ORIENTED_EDGE('',*,*,#197180,.F.); #260472=ORIENTED_EDGE('',*,*,#197181,.F.); #260473=ORIENTED_EDGE('',*,*,#197182,.T.); #260474=ORIENTED_EDGE('',*,*,#197181,.T.); #260475=ORIENTED_EDGE('',*,*,#197183,.F.); #260476=ORIENTED_EDGE('',*,*,#197184,.F.); #260477=ORIENTED_EDGE('',*,*,#197185,.T.); #260478=ORIENTED_EDGE('',*,*,#197184,.T.); #260479=ORIENTED_EDGE('',*,*,#197186,.F.); #260480=ORIENTED_EDGE('',*,*,#197187,.F.); #260481=ORIENTED_EDGE('',*,*,#197188,.T.); #260482=ORIENTED_EDGE('',*,*,#197187,.T.); #260483=ORIENTED_EDGE('',*,*,#197189,.F.); #260484=ORIENTED_EDGE('',*,*,#197190,.F.); #260485=ORIENTED_EDGE('',*,*,#197191,.T.); #260486=ORIENTED_EDGE('',*,*,#197190,.T.); #260487=ORIENTED_EDGE('',*,*,#197192,.F.); #260488=ORIENTED_EDGE('',*,*,#197193,.F.); #260489=ORIENTED_EDGE('',*,*,#197194,.T.); #260490=ORIENTED_EDGE('',*,*,#197193,.T.); #260491=ORIENTED_EDGE('',*,*,#197195,.F.); #260492=ORIENTED_EDGE('',*,*,#197196,.F.); #260493=ORIENTED_EDGE('',*,*,#197197,.T.); #260494=ORIENTED_EDGE('',*,*,#197196,.T.); #260495=ORIENTED_EDGE('',*,*,#197198,.F.); #260496=ORIENTED_EDGE('',*,*,#197199,.F.); #260497=ORIENTED_EDGE('',*,*,#197200,.T.); #260498=ORIENTED_EDGE('',*,*,#197199,.T.); #260499=ORIENTED_EDGE('',*,*,#197201,.F.); #260500=ORIENTED_EDGE('',*,*,#197202,.F.); #260501=ORIENTED_EDGE('',*,*,#197203,.T.); #260502=ORIENTED_EDGE('',*,*,#197202,.T.); #260503=ORIENTED_EDGE('',*,*,#197204,.F.); #260504=ORIENTED_EDGE('',*,*,#197205,.F.); #260505=ORIENTED_EDGE('',*,*,#197206,.T.); #260506=ORIENTED_EDGE('',*,*,#197205,.T.); #260507=ORIENTED_EDGE('',*,*,#197207,.F.); #260508=ORIENTED_EDGE('',*,*,#197208,.F.); #260509=ORIENTED_EDGE('',*,*,#197209,.T.); #260510=ORIENTED_EDGE('',*,*,#197208,.T.); #260511=ORIENTED_EDGE('',*,*,#197210,.F.); #260512=ORIENTED_EDGE('',*,*,#197211,.F.); #260513=ORIENTED_EDGE('',*,*,#197212,.T.); #260514=ORIENTED_EDGE('',*,*,#197211,.T.); #260515=ORIENTED_EDGE('',*,*,#197213,.F.); #260516=ORIENTED_EDGE('',*,*,#197214,.F.); #260517=ORIENTED_EDGE('',*,*,#197215,.T.); #260518=ORIENTED_EDGE('',*,*,#197214,.T.); #260519=ORIENTED_EDGE('',*,*,#197216,.F.); #260520=ORIENTED_EDGE('',*,*,#197217,.F.); #260521=ORIENTED_EDGE('',*,*,#197218,.T.); #260522=ORIENTED_EDGE('',*,*,#197217,.T.); #260523=ORIENTED_EDGE('',*,*,#197219,.F.); #260524=ORIENTED_EDGE('',*,*,#197220,.F.); #260525=ORIENTED_EDGE('',*,*,#197221,.T.); #260526=ORIENTED_EDGE('',*,*,#197220,.T.); #260527=ORIENTED_EDGE('',*,*,#197222,.F.); #260528=ORIENTED_EDGE('',*,*,#197223,.F.); #260529=ORIENTED_EDGE('',*,*,#197224,.T.); #260530=ORIENTED_EDGE('',*,*,#197223,.T.); #260531=ORIENTED_EDGE('',*,*,#197225,.F.); #260532=ORIENTED_EDGE('',*,*,#197226,.F.); #260533=ORIENTED_EDGE('',*,*,#197227,.T.); #260534=ORIENTED_EDGE('',*,*,#197226,.T.); #260535=ORIENTED_EDGE('',*,*,#197228,.F.); #260536=ORIENTED_EDGE('',*,*,#197229,.F.); #260537=ORIENTED_EDGE('',*,*,#197230,.T.); #260538=ORIENTED_EDGE('',*,*,#197229,.T.); #260539=ORIENTED_EDGE('',*,*,#197231,.F.); #260540=ORIENTED_EDGE('',*,*,#197232,.F.); #260541=ORIENTED_EDGE('',*,*,#197233,.T.); #260542=ORIENTED_EDGE('',*,*,#197232,.T.); #260543=ORIENTED_EDGE('',*,*,#197234,.F.); #260544=ORIENTED_EDGE('',*,*,#197235,.F.); #260545=ORIENTED_EDGE('',*,*,#197236,.T.); #260546=ORIENTED_EDGE('',*,*,#197235,.T.); #260547=ORIENTED_EDGE('',*,*,#197237,.F.); #260548=ORIENTED_EDGE('',*,*,#197238,.F.); #260549=ORIENTED_EDGE('',*,*,#197239,.T.); #260550=ORIENTED_EDGE('',*,*,#197238,.T.); #260551=ORIENTED_EDGE('',*,*,#197240,.F.); #260552=ORIENTED_EDGE('',*,*,#197241,.F.); #260553=ORIENTED_EDGE('',*,*,#197242,.T.); #260554=ORIENTED_EDGE('',*,*,#197241,.T.); #260555=ORIENTED_EDGE('',*,*,#197243,.F.); #260556=ORIENTED_EDGE('',*,*,#197244,.F.); #260557=ORIENTED_EDGE('',*,*,#197245,.T.); #260558=ORIENTED_EDGE('',*,*,#197244,.T.); #260559=ORIENTED_EDGE('',*,*,#197246,.F.); #260560=ORIENTED_EDGE('',*,*,#197247,.F.); #260561=ORIENTED_EDGE('',*,*,#197248,.T.); #260562=ORIENTED_EDGE('',*,*,#197247,.T.); #260563=ORIENTED_EDGE('',*,*,#197249,.F.); #260564=ORIENTED_EDGE('',*,*,#197250,.F.); #260565=ORIENTED_EDGE('',*,*,#197251,.T.); #260566=ORIENTED_EDGE('',*,*,#197250,.T.); #260567=ORIENTED_EDGE('',*,*,#197252,.F.); #260568=ORIENTED_EDGE('',*,*,#197253,.F.); #260569=ORIENTED_EDGE('',*,*,#197254,.T.); #260570=ORIENTED_EDGE('',*,*,#197253,.T.); #260571=ORIENTED_EDGE('',*,*,#197255,.F.); #260572=ORIENTED_EDGE('',*,*,#197256,.F.); #260573=ORIENTED_EDGE('',*,*,#197257,.T.); #260574=ORIENTED_EDGE('',*,*,#197256,.T.); #260575=ORIENTED_EDGE('',*,*,#197258,.F.); #260576=ORIENTED_EDGE('',*,*,#197259,.F.); #260577=ORIENTED_EDGE('',*,*,#197260,.T.); #260578=ORIENTED_EDGE('',*,*,#197259,.T.); #260579=ORIENTED_EDGE('',*,*,#197261,.F.); #260580=ORIENTED_EDGE('',*,*,#197262,.F.); #260581=ORIENTED_EDGE('',*,*,#197263,.T.); #260582=ORIENTED_EDGE('',*,*,#197262,.T.); #260583=ORIENTED_EDGE('',*,*,#197264,.F.); #260584=ORIENTED_EDGE('',*,*,#197265,.F.); #260585=ORIENTED_EDGE('',*,*,#197266,.T.); #260586=ORIENTED_EDGE('',*,*,#197265,.T.); #260587=ORIENTED_EDGE('',*,*,#197267,.F.); #260588=ORIENTED_EDGE('',*,*,#197268,.F.); #260589=ORIENTED_EDGE('',*,*,#197269,.T.); #260590=ORIENTED_EDGE('',*,*,#197268,.T.); #260591=ORIENTED_EDGE('',*,*,#197270,.F.); #260592=ORIENTED_EDGE('',*,*,#197271,.F.); #260593=ORIENTED_EDGE('',*,*,#197272,.T.); #260594=ORIENTED_EDGE('',*,*,#197271,.T.); #260595=ORIENTED_EDGE('',*,*,#197273,.F.); #260596=ORIENTED_EDGE('',*,*,#197274,.F.); #260597=ORIENTED_EDGE('',*,*,#197275,.T.); #260598=ORIENTED_EDGE('',*,*,#197274,.T.); #260599=ORIENTED_EDGE('',*,*,#197276,.F.); #260600=ORIENTED_EDGE('',*,*,#197277,.F.); #260601=ORIENTED_EDGE('',*,*,#197278,.T.); #260602=ORIENTED_EDGE('',*,*,#197277,.T.); #260603=ORIENTED_EDGE('',*,*,#197279,.F.); #260604=ORIENTED_EDGE('',*,*,#197280,.F.); #260605=ORIENTED_EDGE('',*,*,#197281,.T.); #260606=ORIENTED_EDGE('',*,*,#197280,.T.); #260607=ORIENTED_EDGE('',*,*,#197282,.F.); #260608=ORIENTED_EDGE('',*,*,#197283,.F.); #260609=ORIENTED_EDGE('',*,*,#197284,.T.); #260610=ORIENTED_EDGE('',*,*,#197283,.T.); #260611=ORIENTED_EDGE('',*,*,#197285,.F.); #260612=ORIENTED_EDGE('',*,*,#197286,.F.); #260613=ORIENTED_EDGE('',*,*,#197287,.T.); #260614=ORIENTED_EDGE('',*,*,#197286,.T.); #260615=ORIENTED_EDGE('',*,*,#197288,.F.); #260616=ORIENTED_EDGE('',*,*,#197289,.F.); #260617=ORIENTED_EDGE('',*,*,#197290,.T.); #260618=ORIENTED_EDGE('',*,*,#197289,.T.); #260619=ORIENTED_EDGE('',*,*,#197291,.F.); #260620=ORIENTED_EDGE('',*,*,#197292,.F.); #260621=ORIENTED_EDGE('',*,*,#197293,.T.); #260622=ORIENTED_EDGE('',*,*,#197292,.T.); #260623=ORIENTED_EDGE('',*,*,#197294,.F.); #260624=ORIENTED_EDGE('',*,*,#197295,.F.); #260625=ORIENTED_EDGE('',*,*,#197296,.T.); #260626=ORIENTED_EDGE('',*,*,#197295,.T.); #260627=ORIENTED_EDGE('',*,*,#197297,.F.); #260628=ORIENTED_EDGE('',*,*,#197298,.F.); #260629=ORIENTED_EDGE('',*,*,#197299,.T.); #260630=ORIENTED_EDGE('',*,*,#197298,.T.); #260631=ORIENTED_EDGE('',*,*,#197300,.F.); #260632=ORIENTED_EDGE('',*,*,#197301,.F.); #260633=ORIENTED_EDGE('',*,*,#197302,.T.); #260634=ORIENTED_EDGE('',*,*,#197301,.T.); #260635=ORIENTED_EDGE('',*,*,#197303,.F.); #260636=ORIENTED_EDGE('',*,*,#197304,.F.); #260637=ORIENTED_EDGE('',*,*,#197305,.T.); #260638=ORIENTED_EDGE('',*,*,#197304,.T.); #260639=ORIENTED_EDGE('',*,*,#197306,.F.); #260640=ORIENTED_EDGE('',*,*,#197307,.F.); #260641=ORIENTED_EDGE('',*,*,#197308,.T.); #260642=ORIENTED_EDGE('',*,*,#197307,.T.); #260643=ORIENTED_EDGE('',*,*,#197309,.F.); #260644=ORIENTED_EDGE('',*,*,#197310,.F.); #260645=ORIENTED_EDGE('',*,*,#197311,.T.); #260646=ORIENTED_EDGE('',*,*,#197310,.T.); #260647=ORIENTED_EDGE('',*,*,#197312,.F.); #260648=ORIENTED_EDGE('',*,*,#197313,.F.); #260649=ORIENTED_EDGE('',*,*,#197314,.T.); #260650=ORIENTED_EDGE('',*,*,#197313,.T.); #260651=ORIENTED_EDGE('',*,*,#197315,.F.); #260652=ORIENTED_EDGE('',*,*,#197316,.F.); #260653=ORIENTED_EDGE('',*,*,#197317,.T.); #260654=ORIENTED_EDGE('',*,*,#197316,.T.); #260655=ORIENTED_EDGE('',*,*,#197318,.F.); #260656=ORIENTED_EDGE('',*,*,#197319,.F.); #260657=ORIENTED_EDGE('',*,*,#197320,.T.); #260658=ORIENTED_EDGE('',*,*,#197319,.T.); #260659=ORIENTED_EDGE('',*,*,#197321,.F.); #260660=ORIENTED_EDGE('',*,*,#197322,.F.); #260661=ORIENTED_EDGE('',*,*,#197323,.T.); #260662=ORIENTED_EDGE('',*,*,#197322,.T.); #260663=ORIENTED_EDGE('',*,*,#197324,.F.); #260664=ORIENTED_EDGE('',*,*,#197325,.F.); #260665=ORIENTED_EDGE('',*,*,#197326,.T.); #260666=ORIENTED_EDGE('',*,*,#197325,.T.); #260667=ORIENTED_EDGE('',*,*,#197327,.F.); #260668=ORIENTED_EDGE('',*,*,#197328,.F.); #260669=ORIENTED_EDGE('',*,*,#197329,.T.); #260670=ORIENTED_EDGE('',*,*,#197328,.T.); #260671=ORIENTED_EDGE('',*,*,#197330,.F.); #260672=ORIENTED_EDGE('',*,*,#197331,.F.); #260673=ORIENTED_EDGE('',*,*,#197332,.T.); #260674=ORIENTED_EDGE('',*,*,#197331,.T.); #260675=ORIENTED_EDGE('',*,*,#197333,.F.); #260676=ORIENTED_EDGE('',*,*,#197334,.F.); #260677=ORIENTED_EDGE('',*,*,#197335,.T.); #260678=ORIENTED_EDGE('',*,*,#197334,.T.); #260679=ORIENTED_EDGE('',*,*,#197336,.F.); #260680=ORIENTED_EDGE('',*,*,#197337,.F.); #260681=ORIENTED_EDGE('',*,*,#197338,.T.); #260682=ORIENTED_EDGE('',*,*,#197337,.T.); #260683=ORIENTED_EDGE('',*,*,#197339,.F.); #260684=ORIENTED_EDGE('',*,*,#197340,.F.); #260685=ORIENTED_EDGE('',*,*,#197341,.T.); #260686=ORIENTED_EDGE('',*,*,#197340,.T.); #260687=ORIENTED_EDGE('',*,*,#197342,.F.); #260688=ORIENTED_EDGE('',*,*,#197343,.F.); #260689=ORIENTED_EDGE('',*,*,#197344,.T.); #260690=ORIENTED_EDGE('',*,*,#197343,.T.); #260691=ORIENTED_EDGE('',*,*,#197345,.F.); #260692=ORIENTED_EDGE('',*,*,#197346,.F.); #260693=ORIENTED_EDGE('',*,*,#197347,.T.); #260694=ORIENTED_EDGE('',*,*,#197346,.T.); #260695=ORIENTED_EDGE('',*,*,#197348,.F.); #260696=ORIENTED_EDGE('',*,*,#197349,.F.); #260697=ORIENTED_EDGE('',*,*,#197350,.T.); #260698=ORIENTED_EDGE('',*,*,#197349,.T.); #260699=ORIENTED_EDGE('',*,*,#197351,.F.); #260700=ORIENTED_EDGE('',*,*,#197352,.F.); #260701=ORIENTED_EDGE('',*,*,#197353,.T.); #260702=ORIENTED_EDGE('',*,*,#197352,.T.); #260703=ORIENTED_EDGE('',*,*,#197354,.F.); #260704=ORIENTED_EDGE('',*,*,#197355,.F.); #260705=ORIENTED_EDGE('',*,*,#197356,.T.); #260706=ORIENTED_EDGE('',*,*,#197355,.T.); #260707=ORIENTED_EDGE('',*,*,#197357,.F.); #260708=ORIENTED_EDGE('',*,*,#197358,.F.); #260709=ORIENTED_EDGE('',*,*,#197359,.T.); #260710=ORIENTED_EDGE('',*,*,#197358,.T.); #260711=ORIENTED_EDGE('',*,*,#197360,.F.); #260712=ORIENTED_EDGE('',*,*,#197361,.F.); #260713=ORIENTED_EDGE('',*,*,#197362,.T.); #260714=ORIENTED_EDGE('',*,*,#197361,.T.); #260715=ORIENTED_EDGE('',*,*,#197363,.F.); #260716=ORIENTED_EDGE('',*,*,#197364,.F.); #260717=ORIENTED_EDGE('',*,*,#197365,.T.); #260718=ORIENTED_EDGE('',*,*,#197364,.T.); #260719=ORIENTED_EDGE('',*,*,#197366,.F.); #260720=ORIENTED_EDGE('',*,*,#197367,.F.); #260721=ORIENTED_EDGE('',*,*,#197368,.T.); #260722=ORIENTED_EDGE('',*,*,#197367,.T.); #260723=ORIENTED_EDGE('',*,*,#197369,.F.); #260724=ORIENTED_EDGE('',*,*,#197370,.F.); #260725=ORIENTED_EDGE('',*,*,#197371,.T.); #260726=ORIENTED_EDGE('',*,*,#197370,.T.); #260727=ORIENTED_EDGE('',*,*,#197372,.F.); #260728=ORIENTED_EDGE('',*,*,#197373,.F.); #260729=ORIENTED_EDGE('',*,*,#197374,.T.); #260730=ORIENTED_EDGE('',*,*,#197373,.T.); #260731=ORIENTED_EDGE('',*,*,#197375,.F.); #260732=ORIENTED_EDGE('',*,*,#197376,.F.); #260733=ORIENTED_EDGE('',*,*,#197377,.T.); #260734=ORIENTED_EDGE('',*,*,#197376,.T.); #260735=ORIENTED_EDGE('',*,*,#197378,.F.); #260736=ORIENTED_EDGE('',*,*,#197379,.F.); #260737=ORIENTED_EDGE('',*,*,#197380,.T.); #260738=ORIENTED_EDGE('',*,*,#197379,.T.); #260739=ORIENTED_EDGE('',*,*,#197381,.F.); #260740=ORIENTED_EDGE('',*,*,#197382,.F.); #260741=ORIENTED_EDGE('',*,*,#197383,.T.); #260742=ORIENTED_EDGE('',*,*,#197382,.T.); #260743=ORIENTED_EDGE('',*,*,#197384,.F.); #260744=ORIENTED_EDGE('',*,*,#197385,.F.); #260745=ORIENTED_EDGE('',*,*,#197386,.T.); #260746=ORIENTED_EDGE('',*,*,#197385,.T.); #260747=ORIENTED_EDGE('',*,*,#197387,.F.); #260748=ORIENTED_EDGE('',*,*,#197388,.F.); #260749=ORIENTED_EDGE('',*,*,#197389,.T.); #260750=ORIENTED_EDGE('',*,*,#197388,.T.); #260751=ORIENTED_EDGE('',*,*,#197390,.F.); #260752=ORIENTED_EDGE('',*,*,#197391,.F.); #260753=ORIENTED_EDGE('',*,*,#197392,.T.); #260754=ORIENTED_EDGE('',*,*,#197391,.T.); #260755=ORIENTED_EDGE('',*,*,#197393,.F.); #260756=ORIENTED_EDGE('',*,*,#197394,.F.); #260757=ORIENTED_EDGE('',*,*,#197395,.T.); #260758=ORIENTED_EDGE('',*,*,#197394,.T.); #260759=ORIENTED_EDGE('',*,*,#197396,.F.); #260760=ORIENTED_EDGE('',*,*,#197397,.F.); #260761=ORIENTED_EDGE('',*,*,#197398,.T.); #260762=ORIENTED_EDGE('',*,*,#197397,.T.); #260763=ORIENTED_EDGE('',*,*,#197399,.F.); #260764=ORIENTED_EDGE('',*,*,#197400,.F.); #260765=ORIENTED_EDGE('',*,*,#197401,.T.); #260766=ORIENTED_EDGE('',*,*,#197400,.T.); #260767=ORIENTED_EDGE('',*,*,#197402,.F.); #260768=ORIENTED_EDGE('',*,*,#197403,.F.); #260769=ORIENTED_EDGE('',*,*,#197404,.T.); #260770=ORIENTED_EDGE('',*,*,#197403,.T.); #260771=ORIENTED_EDGE('',*,*,#197405,.F.); #260772=ORIENTED_EDGE('',*,*,#197406,.F.); #260773=ORIENTED_EDGE('',*,*,#197407,.T.); #260774=ORIENTED_EDGE('',*,*,#197406,.T.); #260775=ORIENTED_EDGE('',*,*,#197408,.F.); #260776=ORIENTED_EDGE('',*,*,#197409,.F.); #260777=ORIENTED_EDGE('',*,*,#197410,.T.); #260778=ORIENTED_EDGE('',*,*,#197409,.T.); #260779=ORIENTED_EDGE('',*,*,#197411,.F.); #260780=ORIENTED_EDGE('',*,*,#197412,.F.); #260781=ORIENTED_EDGE('',*,*,#197413,.T.); #260782=ORIENTED_EDGE('',*,*,#197412,.T.); #260783=ORIENTED_EDGE('',*,*,#197414,.F.); #260784=ORIENTED_EDGE('',*,*,#197415,.F.); #260785=ORIENTED_EDGE('',*,*,#197416,.T.); #260786=ORIENTED_EDGE('',*,*,#197415,.T.); #260787=ORIENTED_EDGE('',*,*,#197417,.F.); #260788=ORIENTED_EDGE('',*,*,#197418,.F.); #260789=ORIENTED_EDGE('',*,*,#197419,.T.); #260790=ORIENTED_EDGE('',*,*,#197418,.T.); #260791=ORIENTED_EDGE('',*,*,#197420,.F.); #260792=ORIENTED_EDGE('',*,*,#197421,.F.); #260793=ORIENTED_EDGE('',*,*,#197422,.T.); #260794=ORIENTED_EDGE('',*,*,#197421,.T.); #260795=ORIENTED_EDGE('',*,*,#197423,.F.); #260796=ORIENTED_EDGE('',*,*,#197424,.F.); #260797=ORIENTED_EDGE('',*,*,#197425,.T.); #260798=ORIENTED_EDGE('',*,*,#197424,.T.); #260799=ORIENTED_EDGE('',*,*,#197426,.F.); #260800=ORIENTED_EDGE('',*,*,#197427,.F.); #260801=ORIENTED_EDGE('',*,*,#197428,.T.); #260802=ORIENTED_EDGE('',*,*,#197427,.T.); #260803=ORIENTED_EDGE('',*,*,#197429,.F.); #260804=ORIENTED_EDGE('',*,*,#197430,.F.); #260805=ORIENTED_EDGE('',*,*,#197431,.T.); #260806=ORIENTED_EDGE('',*,*,#197430,.T.); #260807=ORIENTED_EDGE('',*,*,#197432,.F.); #260808=ORIENTED_EDGE('',*,*,#197433,.F.); #260809=ORIENTED_EDGE('',*,*,#197434,.T.); #260810=ORIENTED_EDGE('',*,*,#197433,.T.); #260811=ORIENTED_EDGE('',*,*,#197435,.F.); #260812=ORIENTED_EDGE('',*,*,#197436,.F.); #260813=ORIENTED_EDGE('',*,*,#197437,.T.); #260814=ORIENTED_EDGE('',*,*,#197436,.T.); #260815=ORIENTED_EDGE('',*,*,#197438,.F.); #260816=ORIENTED_EDGE('',*,*,#197439,.F.); #260817=ORIENTED_EDGE('',*,*,#197440,.T.); #260818=ORIENTED_EDGE('',*,*,#197439,.T.); #260819=ORIENTED_EDGE('',*,*,#197441,.F.); #260820=ORIENTED_EDGE('',*,*,#197442,.F.); #260821=ORIENTED_EDGE('',*,*,#197443,.T.); #260822=ORIENTED_EDGE('',*,*,#197442,.T.); #260823=ORIENTED_EDGE('',*,*,#197444,.F.); #260824=ORIENTED_EDGE('',*,*,#197445,.F.); #260825=ORIENTED_EDGE('',*,*,#197446,.T.); #260826=ORIENTED_EDGE('',*,*,#197445,.T.); #260827=ORIENTED_EDGE('',*,*,#197447,.F.); #260828=ORIENTED_EDGE('',*,*,#197448,.F.); #260829=ORIENTED_EDGE('',*,*,#197449,.T.); #260830=ORIENTED_EDGE('',*,*,#197448,.T.); #260831=ORIENTED_EDGE('',*,*,#197450,.F.); #260832=ORIENTED_EDGE('',*,*,#197451,.F.); #260833=ORIENTED_EDGE('',*,*,#197452,.T.); #260834=ORIENTED_EDGE('',*,*,#197451,.T.); #260835=ORIENTED_EDGE('',*,*,#197453,.F.); #260836=ORIENTED_EDGE('',*,*,#197454,.F.); #260837=ORIENTED_EDGE('',*,*,#197455,.T.); #260838=ORIENTED_EDGE('',*,*,#197454,.T.); #260839=ORIENTED_EDGE('',*,*,#197456,.F.); #260840=ORIENTED_EDGE('',*,*,#197457,.F.); #260841=ORIENTED_EDGE('',*,*,#197458,.T.); #260842=ORIENTED_EDGE('',*,*,#197457,.T.); #260843=ORIENTED_EDGE('',*,*,#197459,.F.); #260844=ORIENTED_EDGE('',*,*,#197460,.F.); #260845=ORIENTED_EDGE('',*,*,#197461,.T.); #260846=ORIENTED_EDGE('',*,*,#197460,.T.); #260847=ORIENTED_EDGE('',*,*,#197462,.F.); #260848=ORIENTED_EDGE('',*,*,#197463,.F.); #260849=ORIENTED_EDGE('',*,*,#197464,.T.); #260850=ORIENTED_EDGE('',*,*,#197463,.T.); #260851=ORIENTED_EDGE('',*,*,#197465,.F.); #260852=ORIENTED_EDGE('',*,*,#197466,.F.); #260853=ORIENTED_EDGE('',*,*,#197467,.T.); #260854=ORIENTED_EDGE('',*,*,#197466,.T.); #260855=ORIENTED_EDGE('',*,*,#197468,.F.); #260856=ORIENTED_EDGE('',*,*,#197469,.F.); #260857=ORIENTED_EDGE('',*,*,#197470,.T.); #260858=ORIENTED_EDGE('',*,*,#197469,.T.); #260859=ORIENTED_EDGE('',*,*,#197471,.F.); #260860=ORIENTED_EDGE('',*,*,#197472,.F.); #260861=ORIENTED_EDGE('',*,*,#197473,.T.); #260862=ORIENTED_EDGE('',*,*,#197472,.T.); #260863=ORIENTED_EDGE('',*,*,#197474,.F.); #260864=ORIENTED_EDGE('',*,*,#197475,.F.); #260865=ORIENTED_EDGE('',*,*,#197476,.T.); #260866=ORIENTED_EDGE('',*,*,#197475,.T.); #260867=ORIENTED_EDGE('',*,*,#197477,.F.); #260868=ORIENTED_EDGE('',*,*,#197478,.F.); #260869=ORIENTED_EDGE('',*,*,#197479,.T.); #260870=ORIENTED_EDGE('',*,*,#197478,.T.); #260871=ORIENTED_EDGE('',*,*,#197480,.F.); #260872=ORIENTED_EDGE('',*,*,#197481,.F.); #260873=ORIENTED_EDGE('',*,*,#197482,.T.); #260874=ORIENTED_EDGE('',*,*,#197481,.T.); #260875=ORIENTED_EDGE('',*,*,#197483,.F.); #260876=ORIENTED_EDGE('',*,*,#197484,.F.); #260877=ORIENTED_EDGE('',*,*,#197485,.T.); #260878=ORIENTED_EDGE('',*,*,#197484,.T.); #260879=ORIENTED_EDGE('',*,*,#197486,.F.); #260880=ORIENTED_EDGE('',*,*,#197487,.F.); #260881=ORIENTED_EDGE('',*,*,#197488,.T.); #260882=ORIENTED_EDGE('',*,*,#197487,.T.); #260883=ORIENTED_EDGE('',*,*,#197489,.F.); #260884=ORIENTED_EDGE('',*,*,#197490,.F.); #260885=ORIENTED_EDGE('',*,*,#197491,.T.); #260886=ORIENTED_EDGE('',*,*,#197490,.T.); #260887=ORIENTED_EDGE('',*,*,#197492,.F.); #260888=ORIENTED_EDGE('',*,*,#197493,.F.); #260889=ORIENTED_EDGE('',*,*,#197494,.T.); #260890=ORIENTED_EDGE('',*,*,#197493,.T.); #260891=ORIENTED_EDGE('',*,*,#197495,.F.); #260892=ORIENTED_EDGE('',*,*,#197496,.F.); #260893=ORIENTED_EDGE('',*,*,#197497,.T.); #260894=ORIENTED_EDGE('',*,*,#197496,.T.); #260895=ORIENTED_EDGE('',*,*,#197498,.F.); #260896=ORIENTED_EDGE('',*,*,#197499,.F.); #260897=ORIENTED_EDGE('',*,*,#197500,.T.); #260898=ORIENTED_EDGE('',*,*,#197499,.T.); #260899=ORIENTED_EDGE('',*,*,#197501,.F.); #260900=ORIENTED_EDGE('',*,*,#197502,.F.); #260901=ORIENTED_EDGE('',*,*,#197503,.T.); #260902=ORIENTED_EDGE('',*,*,#197502,.T.); #260903=ORIENTED_EDGE('',*,*,#197504,.F.); #260904=ORIENTED_EDGE('',*,*,#197505,.F.); #260905=ORIENTED_EDGE('',*,*,#197506,.T.); #260906=ORIENTED_EDGE('',*,*,#197505,.T.); #260907=ORIENTED_EDGE('',*,*,#197507,.F.); #260908=ORIENTED_EDGE('',*,*,#197508,.F.); #260909=ORIENTED_EDGE('',*,*,#197509,.T.); #260910=ORIENTED_EDGE('',*,*,#197508,.T.); #260911=ORIENTED_EDGE('',*,*,#197510,.F.); #260912=ORIENTED_EDGE('',*,*,#197511,.F.); #260913=ORIENTED_EDGE('',*,*,#197512,.T.); #260914=ORIENTED_EDGE('',*,*,#197511,.T.); #260915=ORIENTED_EDGE('',*,*,#197513,.F.); #260916=ORIENTED_EDGE('',*,*,#197514,.F.); #260917=ORIENTED_EDGE('',*,*,#197515,.T.); #260918=ORIENTED_EDGE('',*,*,#197514,.T.); #260919=ORIENTED_EDGE('',*,*,#197516,.F.); #260920=ORIENTED_EDGE('',*,*,#197517,.F.); #260921=ORIENTED_EDGE('',*,*,#197518,.T.); #260922=ORIENTED_EDGE('',*,*,#197517,.T.); #260923=ORIENTED_EDGE('',*,*,#197519,.F.); #260924=ORIENTED_EDGE('',*,*,#197520,.F.); #260925=ORIENTED_EDGE('',*,*,#197521,.T.); #260926=ORIENTED_EDGE('',*,*,#197520,.T.); #260927=ORIENTED_EDGE('',*,*,#197522,.F.); #260928=ORIENTED_EDGE('',*,*,#197523,.F.); #260929=ORIENTED_EDGE('',*,*,#197524,.T.); #260930=ORIENTED_EDGE('',*,*,#197523,.T.); #260931=ORIENTED_EDGE('',*,*,#197525,.F.); #260932=ORIENTED_EDGE('',*,*,#197526,.F.); #260933=ORIENTED_EDGE('',*,*,#197527,.T.); #260934=ORIENTED_EDGE('',*,*,#197526,.T.); #260935=ORIENTED_EDGE('',*,*,#197528,.F.); #260936=ORIENTED_EDGE('',*,*,#197529,.F.); #260937=ORIENTED_EDGE('',*,*,#197530,.T.); #260938=ORIENTED_EDGE('',*,*,#197529,.T.); #260939=ORIENTED_EDGE('',*,*,#197531,.F.); #260940=ORIENTED_EDGE('',*,*,#197532,.F.); #260941=ORIENTED_EDGE('',*,*,#197533,.T.); #260942=ORIENTED_EDGE('',*,*,#197532,.T.); #260943=ORIENTED_EDGE('',*,*,#197534,.F.); #260944=ORIENTED_EDGE('',*,*,#197535,.F.); #260945=ORIENTED_EDGE('',*,*,#197536,.T.); #260946=ORIENTED_EDGE('',*,*,#197535,.T.); #260947=ORIENTED_EDGE('',*,*,#197537,.F.); #260948=ORIENTED_EDGE('',*,*,#197538,.F.); #260949=ORIENTED_EDGE('',*,*,#197539,.T.); #260950=ORIENTED_EDGE('',*,*,#197538,.T.); #260951=ORIENTED_EDGE('',*,*,#197540,.F.); #260952=ORIENTED_EDGE('',*,*,#197541,.F.); #260953=ORIENTED_EDGE('',*,*,#197542,.T.); #260954=ORIENTED_EDGE('',*,*,#197541,.T.); #260955=ORIENTED_EDGE('',*,*,#197543,.F.); #260956=ORIENTED_EDGE('',*,*,#197544,.F.); #260957=ORIENTED_EDGE('',*,*,#197545,.T.); #260958=ORIENTED_EDGE('',*,*,#197544,.T.); #260959=ORIENTED_EDGE('',*,*,#197546,.F.); #260960=ORIENTED_EDGE('',*,*,#197547,.F.); #260961=ORIENTED_EDGE('',*,*,#197548,.T.); #260962=ORIENTED_EDGE('',*,*,#197547,.T.); #260963=ORIENTED_EDGE('',*,*,#197549,.F.); #260964=ORIENTED_EDGE('',*,*,#197550,.F.); #260965=ORIENTED_EDGE('',*,*,#197551,.T.); #260966=ORIENTED_EDGE('',*,*,#197550,.T.); #260967=ORIENTED_EDGE('',*,*,#197552,.F.); #260968=ORIENTED_EDGE('',*,*,#197553,.F.); #260969=ORIENTED_EDGE('',*,*,#197554,.T.); #260970=ORIENTED_EDGE('',*,*,#197553,.T.); #260971=ORIENTED_EDGE('',*,*,#197555,.F.); #260972=ORIENTED_EDGE('',*,*,#197556,.F.); #260973=ORIENTED_EDGE('',*,*,#197557,.T.); #260974=ORIENTED_EDGE('',*,*,#197556,.T.); #260975=ORIENTED_EDGE('',*,*,#197558,.F.); #260976=ORIENTED_EDGE('',*,*,#197559,.F.); #260977=ORIENTED_EDGE('',*,*,#197560,.T.); #260978=ORIENTED_EDGE('',*,*,#197559,.T.); #260979=ORIENTED_EDGE('',*,*,#197561,.F.); #260980=ORIENTED_EDGE('',*,*,#197562,.F.); #260981=ORIENTED_EDGE('',*,*,#197563,.T.); #260982=ORIENTED_EDGE('',*,*,#197562,.T.); #260983=ORIENTED_EDGE('',*,*,#197564,.F.); #260984=ORIENTED_EDGE('',*,*,#197565,.F.); #260985=ORIENTED_EDGE('',*,*,#197566,.T.); #260986=ORIENTED_EDGE('',*,*,#197565,.T.); #260987=ORIENTED_EDGE('',*,*,#197567,.F.); #260988=ORIENTED_EDGE('',*,*,#197568,.F.); #260989=ORIENTED_EDGE('',*,*,#197569,.T.); #260990=ORIENTED_EDGE('',*,*,#197568,.T.); #260991=ORIENTED_EDGE('',*,*,#197570,.F.); #260992=ORIENTED_EDGE('',*,*,#197571,.F.); #260993=ORIENTED_EDGE('',*,*,#197572,.T.); #260994=ORIENTED_EDGE('',*,*,#197571,.T.); #260995=ORIENTED_EDGE('',*,*,#197573,.F.); #260996=ORIENTED_EDGE('',*,*,#197574,.F.); #260997=ORIENTED_EDGE('',*,*,#197575,.T.); #260998=ORIENTED_EDGE('',*,*,#197574,.T.); #260999=ORIENTED_EDGE('',*,*,#197576,.F.); #261000=ORIENTED_EDGE('',*,*,#197577,.F.); #261001=ORIENTED_EDGE('',*,*,#197578,.T.); #261002=ORIENTED_EDGE('',*,*,#197577,.T.); #261003=ORIENTED_EDGE('',*,*,#197579,.F.); #261004=ORIENTED_EDGE('',*,*,#197580,.F.); #261005=ORIENTED_EDGE('',*,*,#197581,.T.); #261006=ORIENTED_EDGE('',*,*,#197580,.T.); #261007=ORIENTED_EDGE('',*,*,#197582,.F.); #261008=ORIENTED_EDGE('',*,*,#197583,.F.); #261009=ORIENTED_EDGE('',*,*,#197584,.T.); #261010=ORIENTED_EDGE('',*,*,#197583,.T.); #261011=ORIENTED_EDGE('',*,*,#197585,.F.); #261012=ORIENTED_EDGE('',*,*,#197586,.F.); #261013=ORIENTED_EDGE('',*,*,#197587,.T.); #261014=ORIENTED_EDGE('',*,*,#197586,.T.); #261015=ORIENTED_EDGE('',*,*,#197588,.F.); #261016=ORIENTED_EDGE('',*,*,#197589,.F.); #261017=ORIENTED_EDGE('',*,*,#197590,.T.); #261018=ORIENTED_EDGE('',*,*,#197589,.T.); #261019=ORIENTED_EDGE('',*,*,#197591,.F.); #261020=ORIENTED_EDGE('',*,*,#197592,.F.); #261021=ORIENTED_EDGE('',*,*,#197593,.T.); #261022=ORIENTED_EDGE('',*,*,#197592,.T.); #261023=ORIENTED_EDGE('',*,*,#197594,.F.); #261024=ORIENTED_EDGE('',*,*,#197595,.F.); #261025=ORIENTED_EDGE('',*,*,#197596,.T.); #261026=ORIENTED_EDGE('',*,*,#197595,.T.); #261027=ORIENTED_EDGE('',*,*,#197597,.F.); #261028=ORIENTED_EDGE('',*,*,#197598,.F.); #261029=ORIENTED_EDGE('',*,*,#197599,.T.); #261030=ORIENTED_EDGE('',*,*,#197598,.T.); #261031=ORIENTED_EDGE('',*,*,#197600,.F.); #261032=ORIENTED_EDGE('',*,*,#197601,.F.); #261033=ORIENTED_EDGE('',*,*,#197602,.T.); #261034=ORIENTED_EDGE('',*,*,#197601,.T.); #261035=ORIENTED_EDGE('',*,*,#197603,.F.); #261036=ORIENTED_EDGE('',*,*,#197604,.F.); #261037=ORIENTED_EDGE('',*,*,#197605,.T.); #261038=ORIENTED_EDGE('',*,*,#197604,.T.); #261039=ORIENTED_EDGE('',*,*,#197606,.F.); #261040=ORIENTED_EDGE('',*,*,#197607,.F.); #261041=ORIENTED_EDGE('',*,*,#197608,.T.); #261042=ORIENTED_EDGE('',*,*,#197607,.T.); #261043=ORIENTED_EDGE('',*,*,#197609,.F.); #261044=ORIENTED_EDGE('',*,*,#197610,.F.); #261045=ORIENTED_EDGE('',*,*,#197611,.T.); #261046=ORIENTED_EDGE('',*,*,#197610,.T.); #261047=ORIENTED_EDGE('',*,*,#197612,.F.); #261048=ORIENTED_EDGE('',*,*,#197613,.F.); #261049=ORIENTED_EDGE('',*,*,#197614,.T.); #261050=ORIENTED_EDGE('',*,*,#197613,.T.); #261051=ORIENTED_EDGE('',*,*,#197615,.F.); #261052=ORIENTED_EDGE('',*,*,#197616,.F.); #261053=ORIENTED_EDGE('',*,*,#197617,.T.); #261054=ORIENTED_EDGE('',*,*,#197616,.T.); #261055=ORIENTED_EDGE('',*,*,#197618,.F.); #261056=ORIENTED_EDGE('',*,*,#197619,.F.); #261057=ORIENTED_EDGE('',*,*,#197620,.T.); #261058=ORIENTED_EDGE('',*,*,#197619,.T.); #261059=ORIENTED_EDGE('',*,*,#197621,.F.); #261060=ORIENTED_EDGE('',*,*,#197622,.F.); #261061=ORIENTED_EDGE('',*,*,#197623,.T.); #261062=ORIENTED_EDGE('',*,*,#197622,.T.); #261063=ORIENTED_EDGE('',*,*,#197624,.F.); #261064=ORIENTED_EDGE('',*,*,#197625,.F.); #261065=ORIENTED_EDGE('',*,*,#197626,.T.); #261066=ORIENTED_EDGE('',*,*,#197625,.T.); #261067=ORIENTED_EDGE('',*,*,#197627,.F.); #261068=ORIENTED_EDGE('',*,*,#197628,.F.); #261069=ORIENTED_EDGE('',*,*,#197629,.T.); #261070=ORIENTED_EDGE('',*,*,#197628,.T.); #261071=ORIENTED_EDGE('',*,*,#197630,.F.); #261072=ORIENTED_EDGE('',*,*,#197631,.F.); #261073=ORIENTED_EDGE('',*,*,#197632,.T.); #261074=ORIENTED_EDGE('',*,*,#197631,.T.); #261075=ORIENTED_EDGE('',*,*,#197633,.F.); #261076=ORIENTED_EDGE('',*,*,#197634,.F.); #261077=ORIENTED_EDGE('',*,*,#197635,.T.); #261078=ORIENTED_EDGE('',*,*,#197634,.T.); #261079=ORIENTED_EDGE('',*,*,#197636,.F.); #261080=ORIENTED_EDGE('',*,*,#197637,.F.); #261081=ORIENTED_EDGE('',*,*,#197638,.T.); #261082=ORIENTED_EDGE('',*,*,#197637,.T.); #261083=ORIENTED_EDGE('',*,*,#197639,.F.); #261084=ORIENTED_EDGE('',*,*,#197640,.F.); #261085=ORIENTED_EDGE('',*,*,#197641,.T.); #261086=ORIENTED_EDGE('',*,*,#197640,.T.); #261087=ORIENTED_EDGE('',*,*,#197642,.F.); #261088=ORIENTED_EDGE('',*,*,#197643,.F.); #261089=ORIENTED_EDGE('',*,*,#197644,.T.); #261090=ORIENTED_EDGE('',*,*,#197643,.T.); #261091=ORIENTED_EDGE('',*,*,#197645,.F.); #261092=ORIENTED_EDGE('',*,*,#197646,.F.); #261093=ORIENTED_EDGE('',*,*,#197647,.T.); #261094=ORIENTED_EDGE('',*,*,#197646,.T.); #261095=ORIENTED_EDGE('',*,*,#197648,.F.); #261096=ORIENTED_EDGE('',*,*,#197649,.F.); #261097=ORIENTED_EDGE('',*,*,#197650,.T.); #261098=ORIENTED_EDGE('',*,*,#197649,.T.); #261099=ORIENTED_EDGE('',*,*,#197651,.F.); #261100=ORIENTED_EDGE('',*,*,#197652,.F.); #261101=ORIENTED_EDGE('',*,*,#197653,.T.); #261102=ORIENTED_EDGE('',*,*,#197652,.T.); #261103=ORIENTED_EDGE('',*,*,#197654,.F.); #261104=ORIENTED_EDGE('',*,*,#197655,.F.); #261105=ORIENTED_EDGE('',*,*,#197656,.T.); #261106=ORIENTED_EDGE('',*,*,#197655,.T.); #261107=ORIENTED_EDGE('',*,*,#197657,.F.); #261108=ORIENTED_EDGE('',*,*,#197658,.F.); #261109=ORIENTED_EDGE('',*,*,#197659,.T.); #261110=ORIENTED_EDGE('',*,*,#197658,.T.); #261111=ORIENTED_EDGE('',*,*,#197660,.F.); #261112=ORIENTED_EDGE('',*,*,#197661,.F.); #261113=ORIENTED_EDGE('',*,*,#197662,.T.); #261114=ORIENTED_EDGE('',*,*,#197661,.T.); #261115=ORIENTED_EDGE('',*,*,#197663,.F.); #261116=ORIENTED_EDGE('',*,*,#197664,.F.); #261117=ORIENTED_EDGE('',*,*,#197665,.T.); #261118=ORIENTED_EDGE('',*,*,#197664,.T.); #261119=ORIENTED_EDGE('',*,*,#197666,.F.); #261120=ORIENTED_EDGE('',*,*,#197667,.F.); #261121=ORIENTED_EDGE('',*,*,#197668,.T.); #261122=ORIENTED_EDGE('',*,*,#197667,.T.); #261123=ORIENTED_EDGE('',*,*,#197669,.F.); #261124=ORIENTED_EDGE('',*,*,#197670,.F.); #261125=ORIENTED_EDGE('',*,*,#197671,.T.); #261126=ORIENTED_EDGE('',*,*,#197670,.T.); #261127=ORIENTED_EDGE('',*,*,#197672,.F.); #261128=ORIENTED_EDGE('',*,*,#197673,.F.); #261129=ORIENTED_EDGE('',*,*,#197674,.T.); #261130=ORIENTED_EDGE('',*,*,#197673,.T.); #261131=ORIENTED_EDGE('',*,*,#197675,.F.); #261132=ORIENTED_EDGE('',*,*,#197676,.F.); #261133=ORIENTED_EDGE('',*,*,#197677,.T.); #261134=ORIENTED_EDGE('',*,*,#197676,.T.); #261135=ORIENTED_EDGE('',*,*,#197678,.F.); #261136=ORIENTED_EDGE('',*,*,#197679,.F.); #261137=ORIENTED_EDGE('',*,*,#197680,.T.); #261138=ORIENTED_EDGE('',*,*,#197679,.T.); #261139=ORIENTED_EDGE('',*,*,#197681,.F.); #261140=ORIENTED_EDGE('',*,*,#197682,.F.); #261141=ORIENTED_EDGE('',*,*,#197683,.T.); #261142=ORIENTED_EDGE('',*,*,#197682,.T.); #261143=ORIENTED_EDGE('',*,*,#197684,.F.); #261144=ORIENTED_EDGE('',*,*,#197685,.F.); #261145=ORIENTED_EDGE('',*,*,#197686,.T.); #261146=ORIENTED_EDGE('',*,*,#197685,.T.); #261147=ORIENTED_EDGE('',*,*,#197687,.F.); #261148=ORIENTED_EDGE('',*,*,#197688,.F.); #261149=ORIENTED_EDGE('',*,*,#197689,.T.); #261150=ORIENTED_EDGE('',*,*,#197688,.T.); #261151=ORIENTED_EDGE('',*,*,#197690,.F.); #261152=ORIENTED_EDGE('',*,*,#197691,.F.); #261153=ORIENTED_EDGE('',*,*,#197692,.T.); #261154=ORIENTED_EDGE('',*,*,#197691,.T.); #261155=ORIENTED_EDGE('',*,*,#197693,.F.); #261156=ORIENTED_EDGE('',*,*,#197694,.F.); #261157=ORIENTED_EDGE('',*,*,#197695,.T.); #261158=ORIENTED_EDGE('',*,*,#197694,.T.); #261159=ORIENTED_EDGE('',*,*,#197696,.F.); #261160=ORIENTED_EDGE('',*,*,#197697,.F.); #261161=ORIENTED_EDGE('',*,*,#197698,.T.); #261162=ORIENTED_EDGE('',*,*,#197697,.T.); #261163=ORIENTED_EDGE('',*,*,#197699,.F.); #261164=ORIENTED_EDGE('',*,*,#197700,.F.); #261165=ORIENTED_EDGE('',*,*,#197701,.T.); #261166=ORIENTED_EDGE('',*,*,#197700,.T.); #261167=ORIENTED_EDGE('',*,*,#197702,.F.); #261168=ORIENTED_EDGE('',*,*,#197703,.F.); #261169=ORIENTED_EDGE('',*,*,#197704,.T.); #261170=ORIENTED_EDGE('',*,*,#197703,.T.); #261171=ORIENTED_EDGE('',*,*,#197705,.F.); #261172=ORIENTED_EDGE('',*,*,#197706,.F.); #261173=ORIENTED_EDGE('',*,*,#197707,.T.); #261174=ORIENTED_EDGE('',*,*,#197706,.T.); #261175=ORIENTED_EDGE('',*,*,#197708,.F.); #261176=ORIENTED_EDGE('',*,*,#197709,.F.); #261177=ORIENTED_EDGE('',*,*,#197710,.T.); #261178=ORIENTED_EDGE('',*,*,#197709,.T.); #261179=ORIENTED_EDGE('',*,*,#197711,.F.); #261180=ORIENTED_EDGE('',*,*,#197712,.F.); #261181=ORIENTED_EDGE('',*,*,#197713,.T.); #261182=ORIENTED_EDGE('',*,*,#197712,.T.); #261183=ORIENTED_EDGE('',*,*,#197714,.F.); #261184=ORIENTED_EDGE('',*,*,#197715,.F.); #261185=ORIENTED_EDGE('',*,*,#197716,.T.); #261186=ORIENTED_EDGE('',*,*,#197715,.T.); #261187=ORIENTED_EDGE('',*,*,#197717,.F.); #261188=ORIENTED_EDGE('',*,*,#197718,.F.); #261189=ORIENTED_EDGE('',*,*,#197719,.T.); #261190=ORIENTED_EDGE('',*,*,#197718,.T.); #261191=ORIENTED_EDGE('',*,*,#197720,.F.); #261192=ORIENTED_EDGE('',*,*,#197721,.F.); #261193=ORIENTED_EDGE('',*,*,#197722,.T.); #261194=ORIENTED_EDGE('',*,*,#197721,.T.); #261195=ORIENTED_EDGE('',*,*,#197723,.F.); #261196=ORIENTED_EDGE('',*,*,#197724,.F.); #261197=ORIENTED_EDGE('',*,*,#197725,.T.); #261198=ORIENTED_EDGE('',*,*,#197724,.T.); #261199=ORIENTED_EDGE('',*,*,#197726,.F.); #261200=ORIENTED_EDGE('',*,*,#197727,.F.); #261201=ORIENTED_EDGE('',*,*,#197728,.T.); #261202=ORIENTED_EDGE('',*,*,#197727,.T.); #261203=ORIENTED_EDGE('',*,*,#197729,.F.); #261204=ORIENTED_EDGE('',*,*,#197730,.F.); #261205=ORIENTED_EDGE('',*,*,#197731,.T.); #261206=ORIENTED_EDGE('',*,*,#197730,.T.); #261207=ORIENTED_EDGE('',*,*,#197732,.F.); #261208=ORIENTED_EDGE('',*,*,#197733,.F.); #261209=ORIENTED_EDGE('',*,*,#197734,.T.); #261210=ORIENTED_EDGE('',*,*,#197733,.T.); #261211=ORIENTED_EDGE('',*,*,#197735,.F.); #261212=ORIENTED_EDGE('',*,*,#197736,.F.); #261213=ORIENTED_EDGE('',*,*,#197737,.T.); #261214=ORIENTED_EDGE('',*,*,#197736,.T.); #261215=ORIENTED_EDGE('',*,*,#197738,.F.); #261216=ORIENTED_EDGE('',*,*,#197739,.F.); #261217=ORIENTED_EDGE('',*,*,#197740,.T.); #261218=ORIENTED_EDGE('',*,*,#197739,.T.); #261219=ORIENTED_EDGE('',*,*,#197741,.F.); #261220=ORIENTED_EDGE('',*,*,#196855,.F.); #261221=ORIENTED_EDGE('',*,*,#197741,.T.); #261222=ORIENTED_EDGE('',*,*,#197738,.T.); #261223=ORIENTED_EDGE('',*,*,#197735,.T.); #261224=ORIENTED_EDGE('',*,*,#197732,.T.); #261225=ORIENTED_EDGE('',*,*,#197729,.T.); #261226=ORIENTED_EDGE('',*,*,#197726,.T.); #261227=ORIENTED_EDGE('',*,*,#197723,.T.); #261228=ORIENTED_EDGE('',*,*,#197720,.T.); #261229=ORIENTED_EDGE('',*,*,#197717,.T.); #261230=ORIENTED_EDGE('',*,*,#197714,.T.); #261231=ORIENTED_EDGE('',*,*,#197711,.T.); #261232=ORIENTED_EDGE('',*,*,#197708,.T.); #261233=ORIENTED_EDGE('',*,*,#197705,.T.); #261234=ORIENTED_EDGE('',*,*,#197702,.T.); #261235=ORIENTED_EDGE('',*,*,#197699,.T.); #261236=ORIENTED_EDGE('',*,*,#197696,.T.); #261237=ORIENTED_EDGE('',*,*,#197693,.T.); #261238=ORIENTED_EDGE('',*,*,#197690,.T.); #261239=ORIENTED_EDGE('',*,*,#197687,.T.); #261240=ORIENTED_EDGE('',*,*,#197684,.T.); #261241=ORIENTED_EDGE('',*,*,#197681,.T.); #261242=ORIENTED_EDGE('',*,*,#197678,.T.); #261243=ORIENTED_EDGE('',*,*,#197675,.T.); #261244=ORIENTED_EDGE('',*,*,#197672,.T.); #261245=ORIENTED_EDGE('',*,*,#197669,.T.); #261246=ORIENTED_EDGE('',*,*,#197666,.T.); #261247=ORIENTED_EDGE('',*,*,#197663,.T.); #261248=ORIENTED_EDGE('',*,*,#197660,.T.); #261249=ORIENTED_EDGE('',*,*,#197657,.T.); #261250=ORIENTED_EDGE('',*,*,#197654,.T.); #261251=ORIENTED_EDGE('',*,*,#197651,.T.); #261252=ORIENTED_EDGE('',*,*,#197648,.T.); #261253=ORIENTED_EDGE('',*,*,#197645,.T.); #261254=ORIENTED_EDGE('',*,*,#197642,.T.); #261255=ORIENTED_EDGE('',*,*,#197639,.T.); #261256=ORIENTED_EDGE('',*,*,#197636,.T.); #261257=ORIENTED_EDGE('',*,*,#197633,.T.); #261258=ORIENTED_EDGE('',*,*,#197630,.T.); #261259=ORIENTED_EDGE('',*,*,#197627,.T.); #261260=ORIENTED_EDGE('',*,*,#197624,.T.); #261261=ORIENTED_EDGE('',*,*,#197621,.T.); #261262=ORIENTED_EDGE('',*,*,#197618,.T.); #261263=ORIENTED_EDGE('',*,*,#197615,.T.); #261264=ORIENTED_EDGE('',*,*,#197612,.T.); #261265=ORIENTED_EDGE('',*,*,#197609,.T.); #261266=ORIENTED_EDGE('',*,*,#197606,.T.); #261267=ORIENTED_EDGE('',*,*,#197603,.T.); #261268=ORIENTED_EDGE('',*,*,#197600,.T.); #261269=ORIENTED_EDGE('',*,*,#197597,.T.); #261270=ORIENTED_EDGE('',*,*,#197594,.T.); #261271=ORIENTED_EDGE('',*,*,#197591,.T.); #261272=ORIENTED_EDGE('',*,*,#197588,.T.); #261273=ORIENTED_EDGE('',*,*,#197585,.T.); #261274=ORIENTED_EDGE('',*,*,#197582,.T.); #261275=ORIENTED_EDGE('',*,*,#197579,.T.); #261276=ORIENTED_EDGE('',*,*,#197576,.T.); #261277=ORIENTED_EDGE('',*,*,#197573,.T.); #261278=ORIENTED_EDGE('',*,*,#197570,.T.); #261279=ORIENTED_EDGE('',*,*,#197567,.T.); #261280=ORIENTED_EDGE('',*,*,#197564,.T.); #261281=ORIENTED_EDGE('',*,*,#197561,.T.); #261282=ORIENTED_EDGE('',*,*,#197558,.T.); #261283=ORIENTED_EDGE('',*,*,#197555,.T.); #261284=ORIENTED_EDGE('',*,*,#197552,.T.); #261285=ORIENTED_EDGE('',*,*,#197549,.T.); #261286=ORIENTED_EDGE('',*,*,#197546,.T.); #261287=ORIENTED_EDGE('',*,*,#197543,.T.); #261288=ORIENTED_EDGE('',*,*,#197540,.T.); #261289=ORIENTED_EDGE('',*,*,#197537,.T.); #261290=ORIENTED_EDGE('',*,*,#197534,.T.); #261291=ORIENTED_EDGE('',*,*,#197531,.T.); #261292=ORIENTED_EDGE('',*,*,#197528,.T.); #261293=ORIENTED_EDGE('',*,*,#197525,.T.); #261294=ORIENTED_EDGE('',*,*,#197522,.T.); #261295=ORIENTED_EDGE('',*,*,#197519,.T.); #261296=ORIENTED_EDGE('',*,*,#197516,.T.); #261297=ORIENTED_EDGE('',*,*,#197513,.T.); #261298=ORIENTED_EDGE('',*,*,#197510,.T.); #261299=ORIENTED_EDGE('',*,*,#197507,.T.); #261300=ORIENTED_EDGE('',*,*,#197504,.T.); #261301=ORIENTED_EDGE('',*,*,#197501,.T.); #261302=ORIENTED_EDGE('',*,*,#197498,.T.); #261303=ORIENTED_EDGE('',*,*,#197495,.T.); #261304=ORIENTED_EDGE('',*,*,#197492,.T.); #261305=ORIENTED_EDGE('',*,*,#197489,.T.); #261306=ORIENTED_EDGE('',*,*,#197486,.T.); #261307=ORIENTED_EDGE('',*,*,#197483,.T.); #261308=ORIENTED_EDGE('',*,*,#197480,.T.); #261309=ORIENTED_EDGE('',*,*,#197477,.T.); #261310=ORIENTED_EDGE('',*,*,#197474,.T.); #261311=ORIENTED_EDGE('',*,*,#197471,.T.); #261312=ORIENTED_EDGE('',*,*,#197468,.T.); #261313=ORIENTED_EDGE('',*,*,#197465,.T.); #261314=ORIENTED_EDGE('',*,*,#197462,.T.); #261315=ORIENTED_EDGE('',*,*,#197459,.T.); #261316=ORIENTED_EDGE('',*,*,#197456,.T.); #261317=ORIENTED_EDGE('',*,*,#197453,.T.); #261318=ORIENTED_EDGE('',*,*,#197450,.T.); #261319=ORIENTED_EDGE('',*,*,#197447,.T.); #261320=ORIENTED_EDGE('',*,*,#197444,.T.); #261321=ORIENTED_EDGE('',*,*,#197441,.T.); #261322=ORIENTED_EDGE('',*,*,#197438,.T.); #261323=ORIENTED_EDGE('',*,*,#197435,.T.); #261324=ORIENTED_EDGE('',*,*,#197432,.T.); #261325=ORIENTED_EDGE('',*,*,#197429,.T.); #261326=ORIENTED_EDGE('',*,*,#197426,.T.); #261327=ORIENTED_EDGE('',*,*,#197423,.T.); #261328=ORIENTED_EDGE('',*,*,#197420,.T.); #261329=ORIENTED_EDGE('',*,*,#197417,.T.); #261330=ORIENTED_EDGE('',*,*,#197414,.T.); #261331=ORIENTED_EDGE('',*,*,#197411,.T.); #261332=ORIENTED_EDGE('',*,*,#197408,.T.); #261333=ORIENTED_EDGE('',*,*,#197405,.T.); #261334=ORIENTED_EDGE('',*,*,#197402,.T.); #261335=ORIENTED_EDGE('',*,*,#197399,.T.); #261336=ORIENTED_EDGE('',*,*,#197396,.T.); #261337=ORIENTED_EDGE('',*,*,#197393,.T.); #261338=ORIENTED_EDGE('',*,*,#197390,.T.); #261339=ORIENTED_EDGE('',*,*,#197387,.T.); #261340=ORIENTED_EDGE('',*,*,#197384,.T.); #261341=ORIENTED_EDGE('',*,*,#197381,.T.); #261342=ORIENTED_EDGE('',*,*,#197378,.T.); #261343=ORIENTED_EDGE('',*,*,#197375,.T.); #261344=ORIENTED_EDGE('',*,*,#197372,.T.); #261345=ORIENTED_EDGE('',*,*,#197369,.T.); #261346=ORIENTED_EDGE('',*,*,#197366,.T.); #261347=ORIENTED_EDGE('',*,*,#197363,.T.); #261348=ORIENTED_EDGE('',*,*,#197360,.T.); #261349=ORIENTED_EDGE('',*,*,#197357,.T.); #261350=ORIENTED_EDGE('',*,*,#197354,.T.); #261351=ORIENTED_EDGE('',*,*,#197351,.T.); #261352=ORIENTED_EDGE('',*,*,#197348,.T.); #261353=ORIENTED_EDGE('',*,*,#197345,.T.); #261354=ORIENTED_EDGE('',*,*,#197342,.T.); #261355=ORIENTED_EDGE('',*,*,#197339,.T.); #261356=ORIENTED_EDGE('',*,*,#197336,.T.); #261357=ORIENTED_EDGE('',*,*,#197333,.T.); #261358=ORIENTED_EDGE('',*,*,#197330,.T.); #261359=ORIENTED_EDGE('',*,*,#197327,.T.); #261360=ORIENTED_EDGE('',*,*,#197324,.T.); #261361=ORIENTED_EDGE('',*,*,#197321,.T.); #261362=ORIENTED_EDGE('',*,*,#197318,.T.); #261363=ORIENTED_EDGE('',*,*,#197315,.T.); #261364=ORIENTED_EDGE('',*,*,#197312,.T.); #261365=ORIENTED_EDGE('',*,*,#197309,.T.); #261366=ORIENTED_EDGE('',*,*,#197306,.T.); #261367=ORIENTED_EDGE('',*,*,#197303,.T.); #261368=ORIENTED_EDGE('',*,*,#197300,.T.); #261369=ORIENTED_EDGE('',*,*,#197297,.T.); #261370=ORIENTED_EDGE('',*,*,#197294,.T.); #261371=ORIENTED_EDGE('',*,*,#197291,.T.); #261372=ORIENTED_EDGE('',*,*,#197288,.T.); #261373=ORIENTED_EDGE('',*,*,#197285,.T.); #261374=ORIENTED_EDGE('',*,*,#197282,.T.); #261375=ORIENTED_EDGE('',*,*,#197279,.T.); #261376=ORIENTED_EDGE('',*,*,#197276,.T.); #261377=ORIENTED_EDGE('',*,*,#197273,.T.); #261378=ORIENTED_EDGE('',*,*,#197270,.T.); #261379=ORIENTED_EDGE('',*,*,#197267,.T.); #261380=ORIENTED_EDGE('',*,*,#197264,.T.); #261381=ORIENTED_EDGE('',*,*,#197261,.T.); #261382=ORIENTED_EDGE('',*,*,#197258,.T.); #261383=ORIENTED_EDGE('',*,*,#197255,.T.); #261384=ORIENTED_EDGE('',*,*,#197252,.T.); #261385=ORIENTED_EDGE('',*,*,#197249,.T.); #261386=ORIENTED_EDGE('',*,*,#197246,.T.); #261387=ORIENTED_EDGE('',*,*,#197243,.T.); #261388=ORIENTED_EDGE('',*,*,#197240,.T.); #261389=ORIENTED_EDGE('',*,*,#197237,.T.); #261390=ORIENTED_EDGE('',*,*,#197234,.T.); #261391=ORIENTED_EDGE('',*,*,#197231,.T.); #261392=ORIENTED_EDGE('',*,*,#197228,.T.); #261393=ORIENTED_EDGE('',*,*,#197225,.T.); #261394=ORIENTED_EDGE('',*,*,#197222,.T.); #261395=ORIENTED_EDGE('',*,*,#197219,.T.); #261396=ORIENTED_EDGE('',*,*,#197216,.T.); #261397=ORIENTED_EDGE('',*,*,#197213,.T.); #261398=ORIENTED_EDGE('',*,*,#197210,.T.); #261399=ORIENTED_EDGE('',*,*,#197207,.T.); #261400=ORIENTED_EDGE('',*,*,#197204,.T.); #261401=ORIENTED_EDGE('',*,*,#197201,.T.); #261402=ORIENTED_EDGE('',*,*,#197198,.T.); #261403=ORIENTED_EDGE('',*,*,#197195,.T.); #261404=ORIENTED_EDGE('',*,*,#197192,.T.); #261405=ORIENTED_EDGE('',*,*,#197189,.T.); #261406=ORIENTED_EDGE('',*,*,#197186,.T.); #261407=ORIENTED_EDGE('',*,*,#197183,.T.); #261408=ORIENTED_EDGE('',*,*,#197180,.T.); #261409=ORIENTED_EDGE('',*,*,#197177,.T.); #261410=ORIENTED_EDGE('',*,*,#197174,.T.); #261411=ORIENTED_EDGE('',*,*,#197171,.T.); #261412=ORIENTED_EDGE('',*,*,#197168,.T.); #261413=ORIENTED_EDGE('',*,*,#197165,.T.); #261414=ORIENTED_EDGE('',*,*,#197162,.T.); #261415=ORIENTED_EDGE('',*,*,#197159,.T.); #261416=ORIENTED_EDGE('',*,*,#197156,.T.); #261417=ORIENTED_EDGE('',*,*,#197153,.T.); #261418=ORIENTED_EDGE('',*,*,#197150,.T.); #261419=ORIENTED_EDGE('',*,*,#197147,.T.); #261420=ORIENTED_EDGE('',*,*,#197144,.T.); #261421=ORIENTED_EDGE('',*,*,#197141,.T.); #261422=ORIENTED_EDGE('',*,*,#197138,.T.); #261423=ORIENTED_EDGE('',*,*,#197135,.T.); #261424=ORIENTED_EDGE('',*,*,#197132,.T.); #261425=ORIENTED_EDGE('',*,*,#197129,.T.); #261426=ORIENTED_EDGE('',*,*,#197126,.T.); #261427=ORIENTED_EDGE('',*,*,#197123,.T.); #261428=ORIENTED_EDGE('',*,*,#197120,.T.); #261429=ORIENTED_EDGE('',*,*,#197117,.T.); #261430=ORIENTED_EDGE('',*,*,#197114,.T.); #261431=ORIENTED_EDGE('',*,*,#197111,.T.); #261432=ORIENTED_EDGE('',*,*,#197108,.T.); #261433=ORIENTED_EDGE('',*,*,#197105,.T.); #261434=ORIENTED_EDGE('',*,*,#197102,.T.); #261435=ORIENTED_EDGE('',*,*,#197099,.T.); #261436=ORIENTED_EDGE('',*,*,#197096,.T.); #261437=ORIENTED_EDGE('',*,*,#197093,.T.); #261438=ORIENTED_EDGE('',*,*,#197090,.T.); #261439=ORIENTED_EDGE('',*,*,#197087,.T.); #261440=ORIENTED_EDGE('',*,*,#197084,.T.); #261441=ORIENTED_EDGE('',*,*,#197081,.T.); #261442=ORIENTED_EDGE('',*,*,#197078,.T.); #261443=ORIENTED_EDGE('',*,*,#197075,.T.); #261444=ORIENTED_EDGE('',*,*,#197072,.T.); #261445=ORIENTED_EDGE('',*,*,#197069,.T.); #261446=ORIENTED_EDGE('',*,*,#197066,.T.); #261447=ORIENTED_EDGE('',*,*,#197063,.T.); #261448=ORIENTED_EDGE('',*,*,#197060,.T.); #261449=ORIENTED_EDGE('',*,*,#197057,.T.); #261450=ORIENTED_EDGE('',*,*,#197054,.T.); #261451=ORIENTED_EDGE('',*,*,#197051,.T.); #261452=ORIENTED_EDGE('',*,*,#197048,.T.); #261453=ORIENTED_EDGE('',*,*,#197045,.T.); #261454=ORIENTED_EDGE('',*,*,#197042,.T.); #261455=ORIENTED_EDGE('',*,*,#197039,.T.); #261456=ORIENTED_EDGE('',*,*,#197036,.T.); #261457=ORIENTED_EDGE('',*,*,#197033,.T.); #261458=ORIENTED_EDGE('',*,*,#197030,.T.); #261459=ORIENTED_EDGE('',*,*,#197027,.T.); #261460=ORIENTED_EDGE('',*,*,#197024,.T.); #261461=ORIENTED_EDGE('',*,*,#197021,.T.); #261462=ORIENTED_EDGE('',*,*,#197018,.T.); #261463=ORIENTED_EDGE('',*,*,#197015,.T.); #261464=ORIENTED_EDGE('',*,*,#197012,.T.); #261465=ORIENTED_EDGE('',*,*,#197009,.T.); #261466=ORIENTED_EDGE('',*,*,#197006,.T.); #261467=ORIENTED_EDGE('',*,*,#197003,.T.); #261468=ORIENTED_EDGE('',*,*,#197000,.T.); #261469=ORIENTED_EDGE('',*,*,#196997,.T.); #261470=ORIENTED_EDGE('',*,*,#196994,.T.); #261471=ORIENTED_EDGE('',*,*,#196991,.T.); #261472=ORIENTED_EDGE('',*,*,#196988,.T.); #261473=ORIENTED_EDGE('',*,*,#196985,.T.); #261474=ORIENTED_EDGE('',*,*,#196982,.T.); #261475=ORIENTED_EDGE('',*,*,#196979,.T.); #261476=ORIENTED_EDGE('',*,*,#196976,.T.); #261477=ORIENTED_EDGE('',*,*,#196973,.T.); #261478=ORIENTED_EDGE('',*,*,#196970,.T.); #261479=ORIENTED_EDGE('',*,*,#196967,.T.); #261480=ORIENTED_EDGE('',*,*,#196964,.T.); #261481=ORIENTED_EDGE('',*,*,#196961,.T.); #261482=ORIENTED_EDGE('',*,*,#196958,.T.); #261483=ORIENTED_EDGE('',*,*,#196955,.T.); #261484=ORIENTED_EDGE('',*,*,#196952,.T.); #261485=ORIENTED_EDGE('',*,*,#196949,.T.); #261486=ORIENTED_EDGE('',*,*,#196946,.T.); #261487=ORIENTED_EDGE('',*,*,#196943,.T.); #261488=ORIENTED_EDGE('',*,*,#196940,.T.); #261489=ORIENTED_EDGE('',*,*,#196937,.T.); #261490=ORIENTED_EDGE('',*,*,#196934,.T.); #261491=ORIENTED_EDGE('',*,*,#196931,.T.); #261492=ORIENTED_EDGE('',*,*,#196928,.T.); #261493=ORIENTED_EDGE('',*,*,#196925,.T.); #261494=ORIENTED_EDGE('',*,*,#196922,.T.); #261495=ORIENTED_EDGE('',*,*,#196919,.T.); #261496=ORIENTED_EDGE('',*,*,#196916,.T.); #261497=ORIENTED_EDGE('',*,*,#196913,.T.); #261498=ORIENTED_EDGE('',*,*,#196910,.T.); #261499=ORIENTED_EDGE('',*,*,#196907,.T.); #261500=ORIENTED_EDGE('',*,*,#196904,.T.); #261501=ORIENTED_EDGE('',*,*,#196901,.T.); #261502=ORIENTED_EDGE('',*,*,#196898,.T.); #261503=ORIENTED_EDGE('',*,*,#196895,.T.); #261504=ORIENTED_EDGE('',*,*,#196892,.T.); #261505=ORIENTED_EDGE('',*,*,#196889,.T.); #261506=ORIENTED_EDGE('',*,*,#196886,.T.); #261507=ORIENTED_EDGE('',*,*,#196883,.T.); #261508=ORIENTED_EDGE('',*,*,#196880,.T.); #261509=ORIENTED_EDGE('',*,*,#196877,.T.); #261510=ORIENTED_EDGE('',*,*,#196874,.T.); #261511=ORIENTED_EDGE('',*,*,#196871,.T.); #261512=ORIENTED_EDGE('',*,*,#196868,.T.); #261513=ORIENTED_EDGE('',*,*,#196865,.T.); #261514=ORIENTED_EDGE('',*,*,#196862,.T.); #261515=ORIENTED_EDGE('',*,*,#196859,.T.); #261516=ORIENTED_EDGE('',*,*,#196856,.T.); #261517=ORIENTED_EDGE('',*,*,#196709,.T.); #261518=ORIENTED_EDGE('',*,*,#196712,.T.); #261519=ORIENTED_EDGE('',*,*,#196715,.T.); #261520=ORIENTED_EDGE('',*,*,#196718,.T.); #261521=ORIENTED_EDGE('',*,*,#196721,.T.); #261522=ORIENTED_EDGE('',*,*,#196724,.T.); #261523=ORIENTED_EDGE('',*,*,#196727,.T.); #261524=ORIENTED_EDGE('',*,*,#196730,.T.); #261525=ORIENTED_EDGE('',*,*,#196733,.T.); #261526=ORIENTED_EDGE('',*,*,#196736,.T.); #261527=ORIENTED_EDGE('',*,*,#196739,.T.); #261528=ORIENTED_EDGE('',*,*,#196742,.T.); #261529=ORIENTED_EDGE('',*,*,#196745,.T.); #261530=ORIENTED_EDGE('',*,*,#196748,.T.); #261531=ORIENTED_EDGE('',*,*,#196751,.T.); #261532=ORIENTED_EDGE('',*,*,#196754,.T.); #261533=ORIENTED_EDGE('',*,*,#196757,.T.); #261534=ORIENTED_EDGE('',*,*,#196760,.T.); #261535=ORIENTED_EDGE('',*,*,#196763,.T.); #261536=ORIENTED_EDGE('',*,*,#196766,.T.); #261537=ORIENTED_EDGE('',*,*,#196769,.T.); #261538=ORIENTED_EDGE('',*,*,#196772,.T.); #261539=ORIENTED_EDGE('',*,*,#196775,.T.); #261540=ORIENTED_EDGE('',*,*,#196778,.T.); #261541=ORIENTED_EDGE('',*,*,#196781,.T.); #261542=ORIENTED_EDGE('',*,*,#196784,.T.); #261543=ORIENTED_EDGE('',*,*,#196787,.T.); #261544=ORIENTED_EDGE('',*,*,#196790,.T.); #261545=ORIENTED_EDGE('',*,*,#196793,.T.); #261546=ORIENTED_EDGE('',*,*,#196796,.T.); #261547=ORIENTED_EDGE('',*,*,#196799,.T.); #261548=ORIENTED_EDGE('',*,*,#196802,.T.); #261549=ORIENTED_EDGE('',*,*,#196805,.T.); #261550=ORIENTED_EDGE('',*,*,#196808,.T.); #261551=ORIENTED_EDGE('',*,*,#196811,.T.); #261552=ORIENTED_EDGE('',*,*,#196814,.T.); #261553=ORIENTED_EDGE('',*,*,#196817,.T.); #261554=ORIENTED_EDGE('',*,*,#196820,.T.); #261555=ORIENTED_EDGE('',*,*,#196823,.T.); #261556=ORIENTED_EDGE('',*,*,#196826,.T.); #261557=ORIENTED_EDGE('',*,*,#196829,.T.); #261558=ORIENTED_EDGE('',*,*,#196832,.T.); #261559=ORIENTED_EDGE('',*,*,#196835,.T.); #261560=ORIENTED_EDGE('',*,*,#196838,.T.); #261561=ORIENTED_EDGE('',*,*,#196841,.T.); #261562=ORIENTED_EDGE('',*,*,#196844,.T.); #261563=ORIENTED_EDGE('',*,*,#196847,.T.); #261564=ORIENTED_EDGE('',*,*,#196850,.T.); #261565=ORIENTED_EDGE('',*,*,#196853,.T.); #261566=ORIENTED_EDGE('',*,*,#197740,.F.); #261567=ORIENTED_EDGE('',*,*,#196854,.F.); #261568=ORIENTED_EDGE('',*,*,#196858,.F.); #261569=ORIENTED_EDGE('',*,*,#196861,.F.); #261570=ORIENTED_EDGE('',*,*,#196864,.F.); #261571=ORIENTED_EDGE('',*,*,#196867,.F.); #261572=ORIENTED_EDGE('',*,*,#196870,.F.); #261573=ORIENTED_EDGE('',*,*,#196873,.F.); #261574=ORIENTED_EDGE('',*,*,#196876,.F.); #261575=ORIENTED_EDGE('',*,*,#196879,.F.); #261576=ORIENTED_EDGE('',*,*,#196882,.F.); #261577=ORIENTED_EDGE('',*,*,#196885,.F.); #261578=ORIENTED_EDGE('',*,*,#196888,.F.); #261579=ORIENTED_EDGE('',*,*,#196891,.F.); #261580=ORIENTED_EDGE('',*,*,#196894,.F.); #261581=ORIENTED_EDGE('',*,*,#196897,.F.); #261582=ORIENTED_EDGE('',*,*,#196900,.F.); #261583=ORIENTED_EDGE('',*,*,#196903,.F.); #261584=ORIENTED_EDGE('',*,*,#196906,.F.); #261585=ORIENTED_EDGE('',*,*,#196909,.F.); #261586=ORIENTED_EDGE('',*,*,#196912,.F.); #261587=ORIENTED_EDGE('',*,*,#196915,.F.); #261588=ORIENTED_EDGE('',*,*,#196918,.F.); #261589=ORIENTED_EDGE('',*,*,#196921,.F.); #261590=ORIENTED_EDGE('',*,*,#196924,.F.); #261591=ORIENTED_EDGE('',*,*,#196927,.F.); #261592=ORIENTED_EDGE('',*,*,#196930,.F.); #261593=ORIENTED_EDGE('',*,*,#196933,.F.); #261594=ORIENTED_EDGE('',*,*,#196936,.F.); #261595=ORIENTED_EDGE('',*,*,#196939,.F.); #261596=ORIENTED_EDGE('',*,*,#196942,.F.); #261597=ORIENTED_EDGE('',*,*,#196945,.F.); #261598=ORIENTED_EDGE('',*,*,#196948,.F.); #261599=ORIENTED_EDGE('',*,*,#196951,.F.); #261600=ORIENTED_EDGE('',*,*,#196954,.F.); #261601=ORIENTED_EDGE('',*,*,#196957,.F.); #261602=ORIENTED_EDGE('',*,*,#196960,.F.); #261603=ORIENTED_EDGE('',*,*,#196963,.F.); #261604=ORIENTED_EDGE('',*,*,#196966,.F.); #261605=ORIENTED_EDGE('',*,*,#196969,.F.); #261606=ORIENTED_EDGE('',*,*,#196972,.F.); #261607=ORIENTED_EDGE('',*,*,#196975,.F.); #261608=ORIENTED_EDGE('',*,*,#196978,.F.); #261609=ORIENTED_EDGE('',*,*,#196981,.F.); #261610=ORIENTED_EDGE('',*,*,#196984,.F.); #261611=ORIENTED_EDGE('',*,*,#196987,.F.); #261612=ORIENTED_EDGE('',*,*,#196990,.F.); #261613=ORIENTED_EDGE('',*,*,#196993,.F.); #261614=ORIENTED_EDGE('',*,*,#196996,.F.); #261615=ORIENTED_EDGE('',*,*,#196999,.F.); #261616=ORIENTED_EDGE('',*,*,#197002,.F.); #261617=ORIENTED_EDGE('',*,*,#197005,.F.); #261618=ORIENTED_EDGE('',*,*,#197008,.F.); #261619=ORIENTED_EDGE('',*,*,#197011,.F.); #261620=ORIENTED_EDGE('',*,*,#197014,.F.); #261621=ORIENTED_EDGE('',*,*,#197017,.F.); #261622=ORIENTED_EDGE('',*,*,#197020,.F.); #261623=ORIENTED_EDGE('',*,*,#197023,.F.); #261624=ORIENTED_EDGE('',*,*,#197026,.F.); #261625=ORIENTED_EDGE('',*,*,#197029,.F.); #261626=ORIENTED_EDGE('',*,*,#197032,.F.); #261627=ORIENTED_EDGE('',*,*,#197035,.F.); #261628=ORIENTED_EDGE('',*,*,#197038,.F.); #261629=ORIENTED_EDGE('',*,*,#197041,.F.); #261630=ORIENTED_EDGE('',*,*,#197044,.F.); #261631=ORIENTED_EDGE('',*,*,#197047,.F.); #261632=ORIENTED_EDGE('',*,*,#197050,.F.); #261633=ORIENTED_EDGE('',*,*,#197053,.F.); #261634=ORIENTED_EDGE('',*,*,#197056,.F.); #261635=ORIENTED_EDGE('',*,*,#197059,.F.); #261636=ORIENTED_EDGE('',*,*,#197062,.F.); #261637=ORIENTED_EDGE('',*,*,#197065,.F.); #261638=ORIENTED_EDGE('',*,*,#197068,.F.); #261639=ORIENTED_EDGE('',*,*,#197071,.F.); #261640=ORIENTED_EDGE('',*,*,#197074,.F.); #261641=ORIENTED_EDGE('',*,*,#197077,.F.); #261642=ORIENTED_EDGE('',*,*,#197080,.F.); #261643=ORIENTED_EDGE('',*,*,#197083,.F.); #261644=ORIENTED_EDGE('',*,*,#197086,.F.); #261645=ORIENTED_EDGE('',*,*,#197089,.F.); #261646=ORIENTED_EDGE('',*,*,#197092,.F.); #261647=ORIENTED_EDGE('',*,*,#197095,.F.); #261648=ORIENTED_EDGE('',*,*,#197098,.F.); #261649=ORIENTED_EDGE('',*,*,#197101,.F.); #261650=ORIENTED_EDGE('',*,*,#197104,.F.); #261651=ORIENTED_EDGE('',*,*,#197107,.F.); #261652=ORIENTED_EDGE('',*,*,#197110,.F.); #261653=ORIENTED_EDGE('',*,*,#197113,.F.); #261654=ORIENTED_EDGE('',*,*,#197116,.F.); #261655=ORIENTED_EDGE('',*,*,#197119,.F.); #261656=ORIENTED_EDGE('',*,*,#197122,.F.); #261657=ORIENTED_EDGE('',*,*,#197125,.F.); #261658=ORIENTED_EDGE('',*,*,#197128,.F.); #261659=ORIENTED_EDGE('',*,*,#197131,.F.); #261660=ORIENTED_EDGE('',*,*,#197134,.F.); #261661=ORIENTED_EDGE('',*,*,#197137,.F.); #261662=ORIENTED_EDGE('',*,*,#197140,.F.); #261663=ORIENTED_EDGE('',*,*,#197143,.F.); #261664=ORIENTED_EDGE('',*,*,#197146,.F.); #261665=ORIENTED_EDGE('',*,*,#197149,.F.); #261666=ORIENTED_EDGE('',*,*,#197152,.F.); #261667=ORIENTED_EDGE('',*,*,#197155,.F.); #261668=ORIENTED_EDGE('',*,*,#197158,.F.); #261669=ORIENTED_EDGE('',*,*,#197161,.F.); #261670=ORIENTED_EDGE('',*,*,#197164,.F.); #261671=ORIENTED_EDGE('',*,*,#197167,.F.); #261672=ORIENTED_EDGE('',*,*,#197170,.F.); #261673=ORIENTED_EDGE('',*,*,#197173,.F.); #261674=ORIENTED_EDGE('',*,*,#197176,.F.); #261675=ORIENTED_EDGE('',*,*,#197179,.F.); #261676=ORIENTED_EDGE('',*,*,#197182,.F.); #261677=ORIENTED_EDGE('',*,*,#197185,.F.); #261678=ORIENTED_EDGE('',*,*,#197188,.F.); #261679=ORIENTED_EDGE('',*,*,#197191,.F.); #261680=ORIENTED_EDGE('',*,*,#197194,.F.); #261681=ORIENTED_EDGE('',*,*,#197197,.F.); #261682=ORIENTED_EDGE('',*,*,#197200,.F.); #261683=ORIENTED_EDGE('',*,*,#197203,.F.); #261684=ORIENTED_EDGE('',*,*,#197206,.F.); #261685=ORIENTED_EDGE('',*,*,#197209,.F.); #261686=ORIENTED_EDGE('',*,*,#197212,.F.); #261687=ORIENTED_EDGE('',*,*,#197215,.F.); #261688=ORIENTED_EDGE('',*,*,#197218,.F.); #261689=ORIENTED_EDGE('',*,*,#197221,.F.); #261690=ORIENTED_EDGE('',*,*,#197224,.F.); #261691=ORIENTED_EDGE('',*,*,#197227,.F.); #261692=ORIENTED_EDGE('',*,*,#197230,.F.); #261693=ORIENTED_EDGE('',*,*,#197233,.F.); #261694=ORIENTED_EDGE('',*,*,#197236,.F.); #261695=ORIENTED_EDGE('',*,*,#197239,.F.); #261696=ORIENTED_EDGE('',*,*,#197242,.F.); #261697=ORIENTED_EDGE('',*,*,#197245,.F.); #261698=ORIENTED_EDGE('',*,*,#197248,.F.); #261699=ORIENTED_EDGE('',*,*,#197251,.F.); #261700=ORIENTED_EDGE('',*,*,#197254,.F.); #261701=ORIENTED_EDGE('',*,*,#197257,.F.); #261702=ORIENTED_EDGE('',*,*,#197260,.F.); #261703=ORIENTED_EDGE('',*,*,#197263,.F.); #261704=ORIENTED_EDGE('',*,*,#197266,.F.); #261705=ORIENTED_EDGE('',*,*,#197269,.F.); #261706=ORIENTED_EDGE('',*,*,#197272,.F.); #261707=ORIENTED_EDGE('',*,*,#197275,.F.); #261708=ORIENTED_EDGE('',*,*,#197278,.F.); #261709=ORIENTED_EDGE('',*,*,#197281,.F.); #261710=ORIENTED_EDGE('',*,*,#197284,.F.); #261711=ORIENTED_EDGE('',*,*,#197287,.F.); #261712=ORIENTED_EDGE('',*,*,#197290,.F.); #261713=ORIENTED_EDGE('',*,*,#197293,.F.); #261714=ORIENTED_EDGE('',*,*,#197296,.F.); #261715=ORIENTED_EDGE('',*,*,#197299,.F.); #261716=ORIENTED_EDGE('',*,*,#197302,.F.); #261717=ORIENTED_EDGE('',*,*,#197305,.F.); #261718=ORIENTED_EDGE('',*,*,#197308,.F.); #261719=ORIENTED_EDGE('',*,*,#197311,.F.); #261720=ORIENTED_EDGE('',*,*,#197314,.F.); #261721=ORIENTED_EDGE('',*,*,#197317,.F.); #261722=ORIENTED_EDGE('',*,*,#197320,.F.); #261723=ORIENTED_EDGE('',*,*,#197323,.F.); #261724=ORIENTED_EDGE('',*,*,#197326,.F.); #261725=ORIENTED_EDGE('',*,*,#197329,.F.); #261726=ORIENTED_EDGE('',*,*,#197332,.F.); #261727=ORIENTED_EDGE('',*,*,#197335,.F.); #261728=ORIENTED_EDGE('',*,*,#197338,.F.); #261729=ORIENTED_EDGE('',*,*,#197341,.F.); #261730=ORIENTED_EDGE('',*,*,#197344,.F.); #261731=ORIENTED_EDGE('',*,*,#197347,.F.); #261732=ORIENTED_EDGE('',*,*,#197350,.F.); #261733=ORIENTED_EDGE('',*,*,#197353,.F.); #261734=ORIENTED_EDGE('',*,*,#197356,.F.); #261735=ORIENTED_EDGE('',*,*,#197359,.F.); #261736=ORIENTED_EDGE('',*,*,#197362,.F.); #261737=ORIENTED_EDGE('',*,*,#197365,.F.); #261738=ORIENTED_EDGE('',*,*,#197368,.F.); #261739=ORIENTED_EDGE('',*,*,#197371,.F.); #261740=ORIENTED_EDGE('',*,*,#197374,.F.); #261741=ORIENTED_EDGE('',*,*,#197377,.F.); #261742=ORIENTED_EDGE('',*,*,#197380,.F.); #261743=ORIENTED_EDGE('',*,*,#197383,.F.); #261744=ORIENTED_EDGE('',*,*,#197386,.F.); #261745=ORIENTED_EDGE('',*,*,#197389,.F.); #261746=ORIENTED_EDGE('',*,*,#197392,.F.); #261747=ORIENTED_EDGE('',*,*,#197395,.F.); #261748=ORIENTED_EDGE('',*,*,#197398,.F.); #261749=ORIENTED_EDGE('',*,*,#197401,.F.); #261750=ORIENTED_EDGE('',*,*,#197404,.F.); #261751=ORIENTED_EDGE('',*,*,#197407,.F.); #261752=ORIENTED_EDGE('',*,*,#197410,.F.); #261753=ORIENTED_EDGE('',*,*,#197413,.F.); #261754=ORIENTED_EDGE('',*,*,#197416,.F.); #261755=ORIENTED_EDGE('',*,*,#197419,.F.); #261756=ORIENTED_EDGE('',*,*,#197422,.F.); #261757=ORIENTED_EDGE('',*,*,#197425,.F.); #261758=ORIENTED_EDGE('',*,*,#197428,.F.); #261759=ORIENTED_EDGE('',*,*,#197431,.F.); #261760=ORIENTED_EDGE('',*,*,#197434,.F.); #261761=ORIENTED_EDGE('',*,*,#197437,.F.); #261762=ORIENTED_EDGE('',*,*,#197440,.F.); #261763=ORIENTED_EDGE('',*,*,#197443,.F.); #261764=ORIENTED_EDGE('',*,*,#197446,.F.); #261765=ORIENTED_EDGE('',*,*,#197449,.F.); #261766=ORIENTED_EDGE('',*,*,#197452,.F.); #261767=ORIENTED_EDGE('',*,*,#197455,.F.); #261768=ORIENTED_EDGE('',*,*,#197458,.F.); #261769=ORIENTED_EDGE('',*,*,#197461,.F.); #261770=ORIENTED_EDGE('',*,*,#197464,.F.); #261771=ORIENTED_EDGE('',*,*,#197467,.F.); #261772=ORIENTED_EDGE('',*,*,#197470,.F.); #261773=ORIENTED_EDGE('',*,*,#197473,.F.); #261774=ORIENTED_EDGE('',*,*,#197476,.F.); #261775=ORIENTED_EDGE('',*,*,#197479,.F.); #261776=ORIENTED_EDGE('',*,*,#197482,.F.); #261777=ORIENTED_EDGE('',*,*,#197485,.F.); #261778=ORIENTED_EDGE('',*,*,#197488,.F.); #261779=ORIENTED_EDGE('',*,*,#197491,.F.); #261780=ORIENTED_EDGE('',*,*,#197494,.F.); #261781=ORIENTED_EDGE('',*,*,#197497,.F.); #261782=ORIENTED_EDGE('',*,*,#197500,.F.); #261783=ORIENTED_EDGE('',*,*,#197503,.F.); #261784=ORIENTED_EDGE('',*,*,#197506,.F.); #261785=ORIENTED_EDGE('',*,*,#197509,.F.); #261786=ORIENTED_EDGE('',*,*,#197512,.F.); #261787=ORIENTED_EDGE('',*,*,#197515,.F.); #261788=ORIENTED_EDGE('',*,*,#197518,.F.); #261789=ORIENTED_EDGE('',*,*,#197521,.F.); #261790=ORIENTED_EDGE('',*,*,#197524,.F.); #261791=ORIENTED_EDGE('',*,*,#197527,.F.); #261792=ORIENTED_EDGE('',*,*,#197530,.F.); #261793=ORIENTED_EDGE('',*,*,#197533,.F.); #261794=ORIENTED_EDGE('',*,*,#197536,.F.); #261795=ORIENTED_EDGE('',*,*,#197539,.F.); #261796=ORIENTED_EDGE('',*,*,#197542,.F.); #261797=ORIENTED_EDGE('',*,*,#197545,.F.); #261798=ORIENTED_EDGE('',*,*,#197548,.F.); #261799=ORIENTED_EDGE('',*,*,#197551,.F.); #261800=ORIENTED_EDGE('',*,*,#197554,.F.); #261801=ORIENTED_EDGE('',*,*,#197557,.F.); #261802=ORIENTED_EDGE('',*,*,#197560,.F.); #261803=ORIENTED_EDGE('',*,*,#197563,.F.); #261804=ORIENTED_EDGE('',*,*,#197566,.F.); #261805=ORIENTED_EDGE('',*,*,#197569,.F.); #261806=ORIENTED_EDGE('',*,*,#197572,.F.); #261807=ORIENTED_EDGE('',*,*,#197575,.F.); #261808=ORIENTED_EDGE('',*,*,#197578,.F.); #261809=ORIENTED_EDGE('',*,*,#197581,.F.); #261810=ORIENTED_EDGE('',*,*,#197584,.F.); #261811=ORIENTED_EDGE('',*,*,#197587,.F.); #261812=ORIENTED_EDGE('',*,*,#197590,.F.); #261813=ORIENTED_EDGE('',*,*,#197593,.F.); #261814=ORIENTED_EDGE('',*,*,#197596,.F.); #261815=ORIENTED_EDGE('',*,*,#197599,.F.); #261816=ORIENTED_EDGE('',*,*,#197602,.F.); #261817=ORIENTED_EDGE('',*,*,#197605,.F.); #261818=ORIENTED_EDGE('',*,*,#197608,.F.); #261819=ORIENTED_EDGE('',*,*,#197611,.F.); #261820=ORIENTED_EDGE('',*,*,#197614,.F.); #261821=ORIENTED_EDGE('',*,*,#197617,.F.); #261822=ORIENTED_EDGE('',*,*,#197620,.F.); #261823=ORIENTED_EDGE('',*,*,#197623,.F.); #261824=ORIENTED_EDGE('',*,*,#197626,.F.); #261825=ORIENTED_EDGE('',*,*,#197629,.F.); #261826=ORIENTED_EDGE('',*,*,#197632,.F.); #261827=ORIENTED_EDGE('',*,*,#197635,.F.); #261828=ORIENTED_EDGE('',*,*,#197638,.F.); #261829=ORIENTED_EDGE('',*,*,#197641,.F.); #261830=ORIENTED_EDGE('',*,*,#197644,.F.); #261831=ORIENTED_EDGE('',*,*,#197647,.F.); #261832=ORIENTED_EDGE('',*,*,#197650,.F.); #261833=ORIENTED_EDGE('',*,*,#197653,.F.); #261834=ORIENTED_EDGE('',*,*,#197656,.F.); #261835=ORIENTED_EDGE('',*,*,#197659,.F.); #261836=ORIENTED_EDGE('',*,*,#197662,.F.); #261837=ORIENTED_EDGE('',*,*,#197665,.F.); #261838=ORIENTED_EDGE('',*,*,#197668,.F.); #261839=ORIENTED_EDGE('',*,*,#197671,.F.); #261840=ORIENTED_EDGE('',*,*,#197674,.F.); #261841=ORIENTED_EDGE('',*,*,#197677,.F.); #261842=ORIENTED_EDGE('',*,*,#197680,.F.); #261843=ORIENTED_EDGE('',*,*,#197683,.F.); #261844=ORIENTED_EDGE('',*,*,#197686,.F.); #261845=ORIENTED_EDGE('',*,*,#197689,.F.); #261846=ORIENTED_EDGE('',*,*,#197692,.F.); #261847=ORIENTED_EDGE('',*,*,#197695,.F.); #261848=ORIENTED_EDGE('',*,*,#197698,.F.); #261849=ORIENTED_EDGE('',*,*,#197701,.F.); #261850=ORIENTED_EDGE('',*,*,#197704,.F.); #261851=ORIENTED_EDGE('',*,*,#197707,.F.); #261852=ORIENTED_EDGE('',*,*,#197710,.F.); #261853=ORIENTED_EDGE('',*,*,#197713,.F.); #261854=ORIENTED_EDGE('',*,*,#197716,.F.); #261855=ORIENTED_EDGE('',*,*,#197719,.F.); #261856=ORIENTED_EDGE('',*,*,#197722,.F.); #261857=ORIENTED_EDGE('',*,*,#197725,.F.); #261858=ORIENTED_EDGE('',*,*,#197728,.F.); #261859=ORIENTED_EDGE('',*,*,#197731,.F.); #261860=ORIENTED_EDGE('',*,*,#197734,.F.); #261861=ORIENTED_EDGE('',*,*,#197737,.F.); #261862=ORIENTED_EDGE('',*,*,#196707,.T.); #261863=ORIENTED_EDGE('',*,*,#196710,.T.); #261864=ORIENTED_EDGE('',*,*,#196713,.T.); #261865=ORIENTED_EDGE('',*,*,#196716,.T.); #261866=ORIENTED_EDGE('',*,*,#196719,.T.); #261867=ORIENTED_EDGE('',*,*,#196722,.T.); #261868=ORIENTED_EDGE('',*,*,#196725,.T.); #261869=ORIENTED_EDGE('',*,*,#196728,.T.); #261870=ORIENTED_EDGE('',*,*,#196731,.T.); #261871=ORIENTED_EDGE('',*,*,#196734,.T.); #261872=ORIENTED_EDGE('',*,*,#196737,.T.); #261873=ORIENTED_EDGE('',*,*,#196740,.T.); #261874=ORIENTED_EDGE('',*,*,#196743,.T.); #261875=ORIENTED_EDGE('',*,*,#196746,.T.); #261876=ORIENTED_EDGE('',*,*,#196749,.T.); #261877=ORIENTED_EDGE('',*,*,#196752,.T.); #261878=ORIENTED_EDGE('',*,*,#196755,.T.); #261879=ORIENTED_EDGE('',*,*,#196758,.T.); #261880=ORIENTED_EDGE('',*,*,#196761,.T.); #261881=ORIENTED_EDGE('',*,*,#196764,.T.); #261882=ORIENTED_EDGE('',*,*,#196767,.T.); #261883=ORIENTED_EDGE('',*,*,#196770,.T.); #261884=ORIENTED_EDGE('',*,*,#196773,.T.); #261885=ORIENTED_EDGE('',*,*,#196776,.T.); #261886=ORIENTED_EDGE('',*,*,#196779,.T.); #261887=ORIENTED_EDGE('',*,*,#196782,.T.); #261888=ORIENTED_EDGE('',*,*,#196785,.T.); #261889=ORIENTED_EDGE('',*,*,#196788,.T.); #261890=ORIENTED_EDGE('',*,*,#196791,.T.); #261891=ORIENTED_EDGE('',*,*,#196794,.T.); #261892=ORIENTED_EDGE('',*,*,#196797,.T.); #261893=ORIENTED_EDGE('',*,*,#196800,.T.); #261894=ORIENTED_EDGE('',*,*,#196803,.T.); #261895=ORIENTED_EDGE('',*,*,#196806,.T.); #261896=ORIENTED_EDGE('',*,*,#196809,.T.); #261897=ORIENTED_EDGE('',*,*,#196812,.T.); #261898=ORIENTED_EDGE('',*,*,#196815,.T.); #261899=ORIENTED_EDGE('',*,*,#196818,.T.); #261900=ORIENTED_EDGE('',*,*,#196821,.T.); #261901=ORIENTED_EDGE('',*,*,#196824,.T.); #261902=ORIENTED_EDGE('',*,*,#196827,.T.); #261903=ORIENTED_EDGE('',*,*,#196830,.T.); #261904=ORIENTED_EDGE('',*,*,#196833,.T.); #261905=ORIENTED_EDGE('',*,*,#196836,.T.); #261906=ORIENTED_EDGE('',*,*,#196839,.T.); #261907=ORIENTED_EDGE('',*,*,#196842,.T.); #261908=ORIENTED_EDGE('',*,*,#196845,.T.); #261909=ORIENTED_EDGE('',*,*,#196848,.T.); #261910=ORIENTED_EDGE('',*,*,#196851,.T.); #261911=ORIENTED_EDGE('',*,*,#197742,.T.); #261912=ORIENTED_EDGE('',*,*,#197743,.T.); #261913=ORIENTED_EDGE('',*,*,#197744,.F.); #261914=ORIENTED_EDGE('',*,*,#197745,.F.); #261915=ORIENTED_EDGE('',*,*,#197746,.T.); #261916=ORIENTED_EDGE('',*,*,#197745,.T.); #261917=ORIENTED_EDGE('',*,*,#197747,.F.); #261918=ORIENTED_EDGE('',*,*,#197748,.F.); #261919=ORIENTED_EDGE('',*,*,#197749,.T.); #261920=ORIENTED_EDGE('',*,*,#197748,.T.); #261921=ORIENTED_EDGE('',*,*,#197750,.F.); #261922=ORIENTED_EDGE('',*,*,#197751,.F.); #261923=ORIENTED_EDGE('',*,*,#197752,.T.); #261924=ORIENTED_EDGE('',*,*,#197751,.T.); #261925=ORIENTED_EDGE('',*,*,#197753,.F.); #261926=ORIENTED_EDGE('',*,*,#197754,.F.); #261927=ORIENTED_EDGE('',*,*,#197755,.T.); #261928=ORIENTED_EDGE('',*,*,#197754,.T.); #261929=ORIENTED_EDGE('',*,*,#197756,.F.); #261930=ORIENTED_EDGE('',*,*,#197757,.F.); #261931=ORIENTED_EDGE('',*,*,#197758,.T.); #261932=ORIENTED_EDGE('',*,*,#197757,.T.); #261933=ORIENTED_EDGE('',*,*,#197759,.F.); #261934=ORIENTED_EDGE('',*,*,#197760,.F.); #261935=ORIENTED_EDGE('',*,*,#197761,.T.); #261936=ORIENTED_EDGE('',*,*,#197760,.T.); #261937=ORIENTED_EDGE('',*,*,#197762,.F.); #261938=ORIENTED_EDGE('',*,*,#197763,.F.); #261939=ORIENTED_EDGE('',*,*,#197764,.T.); #261940=ORIENTED_EDGE('',*,*,#197763,.T.); #261941=ORIENTED_EDGE('',*,*,#197765,.F.); #261942=ORIENTED_EDGE('',*,*,#197766,.F.); #261943=ORIENTED_EDGE('',*,*,#197767,.T.); #261944=ORIENTED_EDGE('',*,*,#197766,.T.); #261945=ORIENTED_EDGE('',*,*,#197768,.F.); #261946=ORIENTED_EDGE('',*,*,#197769,.F.); #261947=ORIENTED_EDGE('',*,*,#197770,.T.); #261948=ORIENTED_EDGE('',*,*,#197769,.T.); #261949=ORIENTED_EDGE('',*,*,#197771,.F.); #261950=ORIENTED_EDGE('',*,*,#197772,.F.); #261951=ORIENTED_EDGE('',*,*,#197773,.T.); #261952=ORIENTED_EDGE('',*,*,#197772,.T.); #261953=ORIENTED_EDGE('',*,*,#197774,.F.); #261954=ORIENTED_EDGE('',*,*,#197775,.F.); #261955=ORIENTED_EDGE('',*,*,#197776,.T.); #261956=ORIENTED_EDGE('',*,*,#197775,.T.); #261957=ORIENTED_EDGE('',*,*,#197777,.F.); #261958=ORIENTED_EDGE('',*,*,#197778,.F.); #261959=ORIENTED_EDGE('',*,*,#197779,.T.); #261960=ORIENTED_EDGE('',*,*,#197778,.T.); #261961=ORIENTED_EDGE('',*,*,#197780,.F.); #261962=ORIENTED_EDGE('',*,*,#197781,.F.); #261963=ORIENTED_EDGE('',*,*,#197782,.T.); #261964=ORIENTED_EDGE('',*,*,#197781,.T.); #261965=ORIENTED_EDGE('',*,*,#197783,.F.); #261966=ORIENTED_EDGE('',*,*,#197784,.F.); #261967=ORIENTED_EDGE('',*,*,#197785,.T.); #261968=ORIENTED_EDGE('',*,*,#197784,.T.); #261969=ORIENTED_EDGE('',*,*,#197786,.F.); #261970=ORIENTED_EDGE('',*,*,#197787,.F.); #261971=ORIENTED_EDGE('',*,*,#197788,.T.); #261972=ORIENTED_EDGE('',*,*,#197787,.T.); #261973=ORIENTED_EDGE('',*,*,#197789,.F.); #261974=ORIENTED_EDGE('',*,*,#197790,.F.); #261975=ORIENTED_EDGE('',*,*,#197791,.T.); #261976=ORIENTED_EDGE('',*,*,#197790,.T.); #261977=ORIENTED_EDGE('',*,*,#197792,.F.); #261978=ORIENTED_EDGE('',*,*,#197793,.F.); #261979=ORIENTED_EDGE('',*,*,#197794,.T.); #261980=ORIENTED_EDGE('',*,*,#197793,.T.); #261981=ORIENTED_EDGE('',*,*,#197795,.F.); #261982=ORIENTED_EDGE('',*,*,#197796,.F.); #261983=ORIENTED_EDGE('',*,*,#197797,.T.); #261984=ORIENTED_EDGE('',*,*,#197796,.T.); #261985=ORIENTED_EDGE('',*,*,#197798,.F.); #261986=ORIENTED_EDGE('',*,*,#197799,.F.); #261987=ORIENTED_EDGE('',*,*,#197800,.T.); #261988=ORIENTED_EDGE('',*,*,#197799,.T.); #261989=ORIENTED_EDGE('',*,*,#197801,.F.); #261990=ORIENTED_EDGE('',*,*,#197802,.F.); #261991=ORIENTED_EDGE('',*,*,#197803,.T.); #261992=ORIENTED_EDGE('',*,*,#197802,.T.); #261993=ORIENTED_EDGE('',*,*,#197804,.F.); #261994=ORIENTED_EDGE('',*,*,#197743,.F.); #261995=ORIENTED_EDGE('',*,*,#197804,.T.); #261996=ORIENTED_EDGE('',*,*,#197801,.T.); #261997=ORIENTED_EDGE('',*,*,#197798,.T.); #261998=ORIENTED_EDGE('',*,*,#197795,.T.); #261999=ORIENTED_EDGE('',*,*,#197792,.T.); #262000=ORIENTED_EDGE('',*,*,#197789,.T.); #262001=ORIENTED_EDGE('',*,*,#197786,.T.); #262002=ORIENTED_EDGE('',*,*,#197783,.T.); #262003=ORIENTED_EDGE('',*,*,#197780,.T.); #262004=ORIENTED_EDGE('',*,*,#197777,.T.); #262005=ORIENTED_EDGE('',*,*,#197774,.T.); #262006=ORIENTED_EDGE('',*,*,#197771,.T.); #262007=ORIENTED_EDGE('',*,*,#197768,.T.); #262008=ORIENTED_EDGE('',*,*,#197765,.T.); #262009=ORIENTED_EDGE('',*,*,#197762,.T.); #262010=ORIENTED_EDGE('',*,*,#197759,.T.); #262011=ORIENTED_EDGE('',*,*,#197756,.T.); #262012=ORIENTED_EDGE('',*,*,#197753,.T.); #262013=ORIENTED_EDGE('',*,*,#197750,.T.); #262014=ORIENTED_EDGE('',*,*,#197747,.T.); #262015=ORIENTED_EDGE('',*,*,#197744,.T.); #262016=ORIENTED_EDGE('',*,*,#197803,.F.); #262017=ORIENTED_EDGE('',*,*,#197742,.F.); #262018=ORIENTED_EDGE('',*,*,#197746,.F.); #262019=ORIENTED_EDGE('',*,*,#197749,.F.); #262020=ORIENTED_EDGE('',*,*,#197752,.F.); #262021=ORIENTED_EDGE('',*,*,#197755,.F.); #262022=ORIENTED_EDGE('',*,*,#197758,.F.); #262023=ORIENTED_EDGE('',*,*,#197761,.F.); #262024=ORIENTED_EDGE('',*,*,#197764,.F.); #262025=ORIENTED_EDGE('',*,*,#197767,.F.); #262026=ORIENTED_EDGE('',*,*,#197770,.F.); #262027=ORIENTED_EDGE('',*,*,#197773,.F.); #262028=ORIENTED_EDGE('',*,*,#197776,.F.); #262029=ORIENTED_EDGE('',*,*,#197779,.F.); #262030=ORIENTED_EDGE('',*,*,#197782,.F.); #262031=ORIENTED_EDGE('',*,*,#197785,.F.); #262032=ORIENTED_EDGE('',*,*,#197788,.F.); #262033=ORIENTED_EDGE('',*,*,#197791,.F.); #262034=ORIENTED_EDGE('',*,*,#197794,.F.); #262035=ORIENTED_EDGE('',*,*,#197797,.F.); #262036=ORIENTED_EDGE('',*,*,#197800,.F.); #262037=ORIENTED_EDGE('',*,*,#197805,.T.); #262038=ORIENTED_EDGE('',*,*,#197806,.T.); #262039=ORIENTED_EDGE('',*,*,#197807,.F.); #262040=ORIENTED_EDGE('',*,*,#197808,.F.); #262041=ORIENTED_EDGE('',*,*,#197809,.T.); #262042=ORIENTED_EDGE('',*,*,#197808,.T.); #262043=ORIENTED_EDGE('',*,*,#197810,.F.); #262044=ORIENTED_EDGE('',*,*,#197811,.F.); #262045=ORIENTED_EDGE('',*,*,#197812,.T.); #262046=ORIENTED_EDGE('',*,*,#197811,.T.); #262047=ORIENTED_EDGE('',*,*,#197813,.F.); #262048=ORIENTED_EDGE('',*,*,#197814,.F.); #262049=ORIENTED_EDGE('',*,*,#197815,.T.); #262050=ORIENTED_EDGE('',*,*,#197814,.T.); #262051=ORIENTED_EDGE('',*,*,#197816,.F.); #262052=ORIENTED_EDGE('',*,*,#197817,.F.); #262053=ORIENTED_EDGE('',*,*,#197818,.T.); #262054=ORIENTED_EDGE('',*,*,#197817,.T.); #262055=ORIENTED_EDGE('',*,*,#197819,.F.); #262056=ORIENTED_EDGE('',*,*,#197820,.F.); #262057=ORIENTED_EDGE('',*,*,#197821,.T.); #262058=ORIENTED_EDGE('',*,*,#197820,.T.); #262059=ORIENTED_EDGE('',*,*,#197822,.F.); #262060=ORIENTED_EDGE('',*,*,#197823,.F.); #262061=ORIENTED_EDGE('',*,*,#197824,.T.); #262062=ORIENTED_EDGE('',*,*,#197823,.T.); #262063=ORIENTED_EDGE('',*,*,#197825,.F.); #262064=ORIENTED_EDGE('',*,*,#197826,.F.); #262065=ORIENTED_EDGE('',*,*,#197827,.T.); #262066=ORIENTED_EDGE('',*,*,#197826,.T.); #262067=ORIENTED_EDGE('',*,*,#197828,.F.); #262068=ORIENTED_EDGE('',*,*,#197829,.F.); #262069=ORIENTED_EDGE('',*,*,#197830,.T.); #262070=ORIENTED_EDGE('',*,*,#197829,.T.); #262071=ORIENTED_EDGE('',*,*,#197831,.F.); #262072=ORIENTED_EDGE('',*,*,#197832,.F.); #262073=ORIENTED_EDGE('',*,*,#197833,.T.); #262074=ORIENTED_EDGE('',*,*,#197832,.T.); #262075=ORIENTED_EDGE('',*,*,#197834,.F.); #262076=ORIENTED_EDGE('',*,*,#197835,.F.); #262077=ORIENTED_EDGE('',*,*,#197836,.T.); #262078=ORIENTED_EDGE('',*,*,#197835,.T.); #262079=ORIENTED_EDGE('',*,*,#197837,.F.); #262080=ORIENTED_EDGE('',*,*,#197838,.F.); #262081=ORIENTED_EDGE('',*,*,#197839,.T.); #262082=ORIENTED_EDGE('',*,*,#197838,.T.); #262083=ORIENTED_EDGE('',*,*,#197840,.F.); #262084=ORIENTED_EDGE('',*,*,#197841,.F.); #262085=ORIENTED_EDGE('',*,*,#197842,.T.); #262086=ORIENTED_EDGE('',*,*,#197841,.T.); #262087=ORIENTED_EDGE('',*,*,#197843,.F.); #262088=ORIENTED_EDGE('',*,*,#197844,.F.); #262089=ORIENTED_EDGE('',*,*,#197845,.T.); #262090=ORIENTED_EDGE('',*,*,#197844,.T.); #262091=ORIENTED_EDGE('',*,*,#197846,.F.); #262092=ORIENTED_EDGE('',*,*,#197847,.F.); #262093=ORIENTED_EDGE('',*,*,#197848,.T.); #262094=ORIENTED_EDGE('',*,*,#197847,.T.); #262095=ORIENTED_EDGE('',*,*,#197849,.F.); #262096=ORIENTED_EDGE('',*,*,#197850,.F.); #262097=ORIENTED_EDGE('',*,*,#197851,.T.); #262098=ORIENTED_EDGE('',*,*,#197850,.T.); #262099=ORIENTED_EDGE('',*,*,#197852,.F.); #262100=ORIENTED_EDGE('',*,*,#197853,.F.); #262101=ORIENTED_EDGE('',*,*,#197854,.T.); #262102=ORIENTED_EDGE('',*,*,#197853,.T.); #262103=ORIENTED_EDGE('',*,*,#197855,.F.); #262104=ORIENTED_EDGE('',*,*,#197856,.F.); #262105=ORIENTED_EDGE('',*,*,#197857,.T.); #262106=ORIENTED_EDGE('',*,*,#197856,.T.); #262107=ORIENTED_EDGE('',*,*,#197858,.F.); #262108=ORIENTED_EDGE('',*,*,#197859,.F.); #262109=ORIENTED_EDGE('',*,*,#197860,.T.); #262110=ORIENTED_EDGE('',*,*,#197859,.T.); #262111=ORIENTED_EDGE('',*,*,#197861,.F.); #262112=ORIENTED_EDGE('',*,*,#197862,.F.); #262113=ORIENTED_EDGE('',*,*,#197863,.T.); #262114=ORIENTED_EDGE('',*,*,#197862,.T.); #262115=ORIENTED_EDGE('',*,*,#197864,.F.); #262116=ORIENTED_EDGE('',*,*,#197865,.F.); #262117=ORIENTED_EDGE('',*,*,#197866,.T.); #262118=ORIENTED_EDGE('',*,*,#197865,.T.); #262119=ORIENTED_EDGE('',*,*,#197867,.F.); #262120=ORIENTED_EDGE('',*,*,#197806,.F.); #262121=ORIENTED_EDGE('',*,*,#197867,.T.); #262122=ORIENTED_EDGE('',*,*,#197864,.T.); #262123=ORIENTED_EDGE('',*,*,#197861,.T.); #262124=ORIENTED_EDGE('',*,*,#197858,.T.); #262125=ORIENTED_EDGE('',*,*,#197855,.T.); #262126=ORIENTED_EDGE('',*,*,#197852,.T.); #262127=ORIENTED_EDGE('',*,*,#197849,.T.); #262128=ORIENTED_EDGE('',*,*,#197846,.T.); #262129=ORIENTED_EDGE('',*,*,#197843,.T.); #262130=ORIENTED_EDGE('',*,*,#197840,.T.); #262131=ORIENTED_EDGE('',*,*,#197837,.T.); #262132=ORIENTED_EDGE('',*,*,#197834,.T.); #262133=ORIENTED_EDGE('',*,*,#197831,.T.); #262134=ORIENTED_EDGE('',*,*,#197828,.T.); #262135=ORIENTED_EDGE('',*,*,#197825,.T.); #262136=ORIENTED_EDGE('',*,*,#197822,.T.); #262137=ORIENTED_EDGE('',*,*,#197819,.T.); #262138=ORIENTED_EDGE('',*,*,#197816,.T.); #262139=ORIENTED_EDGE('',*,*,#197813,.T.); #262140=ORIENTED_EDGE('',*,*,#197810,.T.); #262141=ORIENTED_EDGE('',*,*,#197807,.T.); #262142=ORIENTED_EDGE('',*,*,#197866,.F.); #262143=ORIENTED_EDGE('',*,*,#197805,.F.); #262144=ORIENTED_EDGE('',*,*,#197809,.F.); #262145=ORIENTED_EDGE('',*,*,#197812,.F.); #262146=ORIENTED_EDGE('',*,*,#197815,.F.); #262147=ORIENTED_EDGE('',*,*,#197818,.F.); #262148=ORIENTED_EDGE('',*,*,#197821,.F.); #262149=ORIENTED_EDGE('',*,*,#197824,.F.); #262150=ORIENTED_EDGE('',*,*,#197827,.F.); #262151=ORIENTED_EDGE('',*,*,#197830,.F.); #262152=ORIENTED_EDGE('',*,*,#197833,.F.); #262153=ORIENTED_EDGE('',*,*,#197836,.F.); #262154=ORIENTED_EDGE('',*,*,#197839,.F.); #262155=ORIENTED_EDGE('',*,*,#197842,.F.); #262156=ORIENTED_EDGE('',*,*,#197845,.F.); #262157=ORIENTED_EDGE('',*,*,#197848,.F.); #262158=ORIENTED_EDGE('',*,*,#197851,.F.); #262159=ORIENTED_EDGE('',*,*,#197854,.F.); #262160=ORIENTED_EDGE('',*,*,#197857,.F.); #262161=ORIENTED_EDGE('',*,*,#197860,.F.); #262162=ORIENTED_EDGE('',*,*,#197863,.F.); #262163=ORIENTED_EDGE('',*,*,#197868,.T.); #262164=ORIENTED_EDGE('',*,*,#197869,.T.); #262165=ORIENTED_EDGE('',*,*,#197870,.F.); #262166=ORIENTED_EDGE('',*,*,#197871,.F.); #262167=ORIENTED_EDGE('',*,*,#197872,.T.); #262168=ORIENTED_EDGE('',*,*,#197871,.T.); #262169=ORIENTED_EDGE('',*,*,#197873,.F.); #262170=ORIENTED_EDGE('',*,*,#197874,.F.); #262171=ORIENTED_EDGE('',*,*,#197875,.T.); #262172=ORIENTED_EDGE('',*,*,#197874,.T.); #262173=ORIENTED_EDGE('',*,*,#197876,.F.); #262174=ORIENTED_EDGE('',*,*,#197877,.F.); #262175=ORIENTED_EDGE('',*,*,#197878,.T.); #262176=ORIENTED_EDGE('',*,*,#197877,.T.); #262177=ORIENTED_EDGE('',*,*,#197879,.F.); #262178=ORIENTED_EDGE('',*,*,#197880,.F.); #262179=ORIENTED_EDGE('',*,*,#197881,.T.); #262180=ORIENTED_EDGE('',*,*,#197880,.T.); #262181=ORIENTED_EDGE('',*,*,#197882,.F.); #262182=ORIENTED_EDGE('',*,*,#197883,.F.); #262183=ORIENTED_EDGE('',*,*,#197884,.T.); #262184=ORIENTED_EDGE('',*,*,#197883,.T.); #262185=ORIENTED_EDGE('',*,*,#197885,.F.); #262186=ORIENTED_EDGE('',*,*,#197886,.F.); #262187=ORIENTED_EDGE('',*,*,#197887,.T.); #262188=ORIENTED_EDGE('',*,*,#197886,.T.); #262189=ORIENTED_EDGE('',*,*,#197888,.F.); #262190=ORIENTED_EDGE('',*,*,#197889,.F.); #262191=ORIENTED_EDGE('',*,*,#197890,.T.); #262192=ORIENTED_EDGE('',*,*,#197889,.T.); #262193=ORIENTED_EDGE('',*,*,#197891,.F.); #262194=ORIENTED_EDGE('',*,*,#197892,.F.); #262195=ORIENTED_EDGE('',*,*,#197893,.T.); #262196=ORIENTED_EDGE('',*,*,#197892,.T.); #262197=ORIENTED_EDGE('',*,*,#197894,.F.); #262198=ORIENTED_EDGE('',*,*,#197895,.F.); #262199=ORIENTED_EDGE('',*,*,#197896,.T.); #262200=ORIENTED_EDGE('',*,*,#197895,.T.); #262201=ORIENTED_EDGE('',*,*,#197897,.F.); #262202=ORIENTED_EDGE('',*,*,#197898,.F.); #262203=ORIENTED_EDGE('',*,*,#197899,.T.); #262204=ORIENTED_EDGE('',*,*,#197898,.T.); #262205=ORIENTED_EDGE('',*,*,#197900,.F.); #262206=ORIENTED_EDGE('',*,*,#197901,.F.); #262207=ORIENTED_EDGE('',*,*,#197902,.T.); #262208=ORIENTED_EDGE('',*,*,#197901,.T.); #262209=ORIENTED_EDGE('',*,*,#197903,.F.); #262210=ORIENTED_EDGE('',*,*,#197904,.F.); #262211=ORIENTED_EDGE('',*,*,#197905,.T.); #262212=ORIENTED_EDGE('',*,*,#197904,.T.); #262213=ORIENTED_EDGE('',*,*,#197906,.F.); #262214=ORIENTED_EDGE('',*,*,#197907,.F.); #262215=ORIENTED_EDGE('',*,*,#197908,.T.); #262216=ORIENTED_EDGE('',*,*,#197907,.T.); #262217=ORIENTED_EDGE('',*,*,#197909,.F.); #262218=ORIENTED_EDGE('',*,*,#197910,.F.); #262219=ORIENTED_EDGE('',*,*,#197911,.T.); #262220=ORIENTED_EDGE('',*,*,#197910,.T.); #262221=ORIENTED_EDGE('',*,*,#197912,.F.); #262222=ORIENTED_EDGE('',*,*,#197913,.F.); #262223=ORIENTED_EDGE('',*,*,#197914,.T.); #262224=ORIENTED_EDGE('',*,*,#197913,.T.); #262225=ORIENTED_EDGE('',*,*,#197915,.F.); #262226=ORIENTED_EDGE('',*,*,#197916,.F.); #262227=ORIENTED_EDGE('',*,*,#197917,.T.); #262228=ORIENTED_EDGE('',*,*,#197916,.T.); #262229=ORIENTED_EDGE('',*,*,#197918,.F.); #262230=ORIENTED_EDGE('',*,*,#197919,.F.); #262231=ORIENTED_EDGE('',*,*,#197920,.T.); #262232=ORIENTED_EDGE('',*,*,#197919,.T.); #262233=ORIENTED_EDGE('',*,*,#197921,.F.); #262234=ORIENTED_EDGE('',*,*,#197922,.F.); #262235=ORIENTED_EDGE('',*,*,#197923,.T.); #262236=ORIENTED_EDGE('',*,*,#197922,.T.); #262237=ORIENTED_EDGE('',*,*,#197924,.F.); #262238=ORIENTED_EDGE('',*,*,#197869,.F.); #262239=ORIENTED_EDGE('',*,*,#197924,.T.); #262240=ORIENTED_EDGE('',*,*,#197921,.T.); #262241=ORIENTED_EDGE('',*,*,#197918,.T.); #262242=ORIENTED_EDGE('',*,*,#197915,.T.); #262243=ORIENTED_EDGE('',*,*,#197912,.T.); #262244=ORIENTED_EDGE('',*,*,#197909,.T.); #262245=ORIENTED_EDGE('',*,*,#197906,.T.); #262246=ORIENTED_EDGE('',*,*,#197903,.T.); #262247=ORIENTED_EDGE('',*,*,#197900,.T.); #262248=ORIENTED_EDGE('',*,*,#197897,.T.); #262249=ORIENTED_EDGE('',*,*,#197894,.T.); #262250=ORIENTED_EDGE('',*,*,#197891,.T.); #262251=ORIENTED_EDGE('',*,*,#197888,.T.); #262252=ORIENTED_EDGE('',*,*,#197885,.T.); #262253=ORIENTED_EDGE('',*,*,#197882,.T.); #262254=ORIENTED_EDGE('',*,*,#197879,.T.); #262255=ORIENTED_EDGE('',*,*,#197876,.T.); #262256=ORIENTED_EDGE('',*,*,#197873,.T.); #262257=ORIENTED_EDGE('',*,*,#197870,.T.); #262258=ORIENTED_EDGE('',*,*,#197923,.F.); #262259=ORIENTED_EDGE('',*,*,#197868,.F.); #262260=ORIENTED_EDGE('',*,*,#197872,.F.); #262261=ORIENTED_EDGE('',*,*,#197875,.F.); #262262=ORIENTED_EDGE('',*,*,#197878,.F.); #262263=ORIENTED_EDGE('',*,*,#197881,.F.); #262264=ORIENTED_EDGE('',*,*,#197884,.F.); #262265=ORIENTED_EDGE('',*,*,#197887,.F.); #262266=ORIENTED_EDGE('',*,*,#197890,.F.); #262267=ORIENTED_EDGE('',*,*,#197893,.F.); #262268=ORIENTED_EDGE('',*,*,#197896,.F.); #262269=ORIENTED_EDGE('',*,*,#197899,.F.); #262270=ORIENTED_EDGE('',*,*,#197902,.F.); #262271=ORIENTED_EDGE('',*,*,#197905,.F.); #262272=ORIENTED_EDGE('',*,*,#197908,.F.); #262273=ORIENTED_EDGE('',*,*,#197911,.F.); #262274=ORIENTED_EDGE('',*,*,#197914,.F.); #262275=ORIENTED_EDGE('',*,*,#197917,.F.); #262276=ORIENTED_EDGE('',*,*,#197920,.F.); #262277=ORIENTED_EDGE('',*,*,#197925,.T.); #262278=ORIENTED_EDGE('',*,*,#197926,.T.); #262279=ORIENTED_EDGE('',*,*,#197927,.F.); #262280=ORIENTED_EDGE('',*,*,#197928,.F.); #262281=ORIENTED_EDGE('',*,*,#197929,.T.); #262282=ORIENTED_EDGE('',*,*,#197928,.T.); #262283=ORIENTED_EDGE('',*,*,#197930,.F.); #262284=ORIENTED_EDGE('',*,*,#197931,.F.); #262285=ORIENTED_EDGE('',*,*,#197932,.T.); #262286=ORIENTED_EDGE('',*,*,#197931,.T.); #262287=ORIENTED_EDGE('',*,*,#197933,.F.); #262288=ORIENTED_EDGE('',*,*,#197934,.F.); #262289=ORIENTED_EDGE('',*,*,#197935,.T.); #262290=ORIENTED_EDGE('',*,*,#197934,.T.); #262291=ORIENTED_EDGE('',*,*,#197936,.F.); #262292=ORIENTED_EDGE('',*,*,#197937,.F.); #262293=ORIENTED_EDGE('',*,*,#197938,.T.); #262294=ORIENTED_EDGE('',*,*,#197937,.T.); #262295=ORIENTED_EDGE('',*,*,#197939,.F.); #262296=ORIENTED_EDGE('',*,*,#197940,.F.); #262297=ORIENTED_EDGE('',*,*,#197941,.T.); #262298=ORIENTED_EDGE('',*,*,#197940,.T.); #262299=ORIENTED_EDGE('',*,*,#197942,.F.); #262300=ORIENTED_EDGE('',*,*,#197943,.F.); #262301=ORIENTED_EDGE('',*,*,#197944,.T.); #262302=ORIENTED_EDGE('',*,*,#197943,.T.); #262303=ORIENTED_EDGE('',*,*,#197945,.F.); #262304=ORIENTED_EDGE('',*,*,#197946,.F.); #262305=ORIENTED_EDGE('',*,*,#197947,.T.); #262306=ORIENTED_EDGE('',*,*,#197946,.T.); #262307=ORIENTED_EDGE('',*,*,#197948,.F.); #262308=ORIENTED_EDGE('',*,*,#197949,.F.); #262309=ORIENTED_EDGE('',*,*,#197950,.T.); #262310=ORIENTED_EDGE('',*,*,#197949,.T.); #262311=ORIENTED_EDGE('',*,*,#197951,.F.); #262312=ORIENTED_EDGE('',*,*,#197952,.F.); #262313=ORIENTED_EDGE('',*,*,#197953,.T.); #262314=ORIENTED_EDGE('',*,*,#197952,.T.); #262315=ORIENTED_EDGE('',*,*,#197954,.F.); #262316=ORIENTED_EDGE('',*,*,#197955,.F.); #262317=ORIENTED_EDGE('',*,*,#197956,.T.); #262318=ORIENTED_EDGE('',*,*,#197955,.T.); #262319=ORIENTED_EDGE('',*,*,#197957,.F.); #262320=ORIENTED_EDGE('',*,*,#197958,.F.); #262321=ORIENTED_EDGE('',*,*,#197959,.T.); #262322=ORIENTED_EDGE('',*,*,#197958,.T.); #262323=ORIENTED_EDGE('',*,*,#197960,.F.); #262324=ORIENTED_EDGE('',*,*,#197961,.F.); #262325=ORIENTED_EDGE('',*,*,#197962,.T.); #262326=ORIENTED_EDGE('',*,*,#197961,.T.); #262327=ORIENTED_EDGE('',*,*,#197963,.F.); #262328=ORIENTED_EDGE('',*,*,#197964,.F.); #262329=ORIENTED_EDGE('',*,*,#197965,.T.); #262330=ORIENTED_EDGE('',*,*,#197964,.T.); #262331=ORIENTED_EDGE('',*,*,#197966,.F.); #262332=ORIENTED_EDGE('',*,*,#197967,.F.); #262333=ORIENTED_EDGE('',*,*,#197968,.T.); #262334=ORIENTED_EDGE('',*,*,#197967,.T.); #262335=ORIENTED_EDGE('',*,*,#197969,.F.); #262336=ORIENTED_EDGE('',*,*,#197970,.F.); #262337=ORIENTED_EDGE('',*,*,#197971,.T.); #262338=ORIENTED_EDGE('',*,*,#197970,.T.); #262339=ORIENTED_EDGE('',*,*,#197972,.F.); #262340=ORIENTED_EDGE('',*,*,#197973,.F.); #262341=ORIENTED_EDGE('',*,*,#197974,.T.); #262342=ORIENTED_EDGE('',*,*,#197973,.T.); #262343=ORIENTED_EDGE('',*,*,#197975,.F.); #262344=ORIENTED_EDGE('',*,*,#197976,.F.); #262345=ORIENTED_EDGE('',*,*,#197977,.T.); #262346=ORIENTED_EDGE('',*,*,#197976,.T.); #262347=ORIENTED_EDGE('',*,*,#197978,.F.); #262348=ORIENTED_EDGE('',*,*,#197979,.F.); #262349=ORIENTED_EDGE('',*,*,#197980,.T.); #262350=ORIENTED_EDGE('',*,*,#197979,.T.); #262351=ORIENTED_EDGE('',*,*,#197981,.F.); #262352=ORIENTED_EDGE('',*,*,#197926,.F.); #262353=ORIENTED_EDGE('',*,*,#197981,.T.); #262354=ORIENTED_EDGE('',*,*,#197978,.T.); #262355=ORIENTED_EDGE('',*,*,#197975,.T.); #262356=ORIENTED_EDGE('',*,*,#197972,.T.); #262357=ORIENTED_EDGE('',*,*,#197969,.T.); #262358=ORIENTED_EDGE('',*,*,#197966,.T.); #262359=ORIENTED_EDGE('',*,*,#197963,.T.); #262360=ORIENTED_EDGE('',*,*,#197960,.T.); #262361=ORIENTED_EDGE('',*,*,#197957,.T.); #262362=ORIENTED_EDGE('',*,*,#197954,.T.); #262363=ORIENTED_EDGE('',*,*,#197951,.T.); #262364=ORIENTED_EDGE('',*,*,#197948,.T.); #262365=ORIENTED_EDGE('',*,*,#197945,.T.); #262366=ORIENTED_EDGE('',*,*,#197942,.T.); #262367=ORIENTED_EDGE('',*,*,#197939,.T.); #262368=ORIENTED_EDGE('',*,*,#197936,.T.); #262369=ORIENTED_EDGE('',*,*,#197933,.T.); #262370=ORIENTED_EDGE('',*,*,#197930,.T.); #262371=ORIENTED_EDGE('',*,*,#197927,.T.); #262372=ORIENTED_EDGE('',*,*,#197980,.F.); #262373=ORIENTED_EDGE('',*,*,#197925,.F.); #262374=ORIENTED_EDGE('',*,*,#197929,.F.); #262375=ORIENTED_EDGE('',*,*,#197932,.F.); #262376=ORIENTED_EDGE('',*,*,#197935,.F.); #262377=ORIENTED_EDGE('',*,*,#197938,.F.); #262378=ORIENTED_EDGE('',*,*,#197941,.F.); #262379=ORIENTED_EDGE('',*,*,#197944,.F.); #262380=ORIENTED_EDGE('',*,*,#197947,.F.); #262381=ORIENTED_EDGE('',*,*,#197950,.F.); #262382=ORIENTED_EDGE('',*,*,#197953,.F.); #262383=ORIENTED_EDGE('',*,*,#197956,.F.); #262384=ORIENTED_EDGE('',*,*,#197959,.F.); #262385=ORIENTED_EDGE('',*,*,#197962,.F.); #262386=ORIENTED_EDGE('',*,*,#197965,.F.); #262387=ORIENTED_EDGE('',*,*,#197968,.F.); #262388=ORIENTED_EDGE('',*,*,#197971,.F.); #262389=ORIENTED_EDGE('',*,*,#197974,.F.); #262390=ORIENTED_EDGE('',*,*,#197977,.F.); #262391=ORIENTED_EDGE('',*,*,#197982,.T.); #262392=ORIENTED_EDGE('',*,*,#197983,.T.); #262393=ORIENTED_EDGE('',*,*,#197984,.F.); #262394=ORIENTED_EDGE('',*,*,#197985,.F.); #262395=ORIENTED_EDGE('',*,*,#197986,.T.); #262396=ORIENTED_EDGE('',*,*,#197985,.T.); #262397=ORIENTED_EDGE('',*,*,#197987,.F.); #262398=ORIENTED_EDGE('',*,*,#197988,.F.); #262399=ORIENTED_EDGE('',*,*,#197989,.T.); #262400=ORIENTED_EDGE('',*,*,#197988,.T.); #262401=ORIENTED_EDGE('',*,*,#197990,.F.); #262402=ORIENTED_EDGE('',*,*,#197991,.F.); #262403=ORIENTED_EDGE('',*,*,#197992,.T.); #262404=ORIENTED_EDGE('',*,*,#197991,.T.); #262405=ORIENTED_EDGE('',*,*,#197993,.F.); #262406=ORIENTED_EDGE('',*,*,#197994,.F.); #262407=ORIENTED_EDGE('',*,*,#197995,.T.); #262408=ORIENTED_EDGE('',*,*,#197994,.T.); #262409=ORIENTED_EDGE('',*,*,#197996,.F.); #262410=ORIENTED_EDGE('',*,*,#197997,.F.); #262411=ORIENTED_EDGE('',*,*,#197998,.T.); #262412=ORIENTED_EDGE('',*,*,#197997,.T.); #262413=ORIENTED_EDGE('',*,*,#197999,.F.); #262414=ORIENTED_EDGE('',*,*,#198000,.F.); #262415=ORIENTED_EDGE('',*,*,#198001,.T.); #262416=ORIENTED_EDGE('',*,*,#198000,.T.); #262417=ORIENTED_EDGE('',*,*,#198002,.F.); #262418=ORIENTED_EDGE('',*,*,#198003,.F.); #262419=ORIENTED_EDGE('',*,*,#198004,.T.); #262420=ORIENTED_EDGE('',*,*,#198003,.T.); #262421=ORIENTED_EDGE('',*,*,#198005,.F.); #262422=ORIENTED_EDGE('',*,*,#198006,.F.); #262423=ORIENTED_EDGE('',*,*,#198007,.T.); #262424=ORIENTED_EDGE('',*,*,#198006,.T.); #262425=ORIENTED_EDGE('',*,*,#198008,.F.); #262426=ORIENTED_EDGE('',*,*,#198009,.F.); #262427=ORIENTED_EDGE('',*,*,#198010,.T.); #262428=ORIENTED_EDGE('',*,*,#198009,.T.); #262429=ORIENTED_EDGE('',*,*,#198011,.F.); #262430=ORIENTED_EDGE('',*,*,#198012,.F.); #262431=ORIENTED_EDGE('',*,*,#198013,.T.); #262432=ORIENTED_EDGE('',*,*,#198012,.T.); #262433=ORIENTED_EDGE('',*,*,#198014,.F.); #262434=ORIENTED_EDGE('',*,*,#198015,.F.); #262435=ORIENTED_EDGE('',*,*,#198016,.T.); #262436=ORIENTED_EDGE('',*,*,#198015,.T.); #262437=ORIENTED_EDGE('',*,*,#198017,.F.); #262438=ORIENTED_EDGE('',*,*,#198018,.F.); #262439=ORIENTED_EDGE('',*,*,#198019,.T.); #262440=ORIENTED_EDGE('',*,*,#198018,.T.); #262441=ORIENTED_EDGE('',*,*,#198020,.F.); #262442=ORIENTED_EDGE('',*,*,#198021,.F.); #262443=ORIENTED_EDGE('',*,*,#198022,.T.); #262444=ORIENTED_EDGE('',*,*,#198021,.T.); #262445=ORIENTED_EDGE('',*,*,#198023,.F.); #262446=ORIENTED_EDGE('',*,*,#198024,.F.); #262447=ORIENTED_EDGE('',*,*,#198025,.T.); #262448=ORIENTED_EDGE('',*,*,#198024,.T.); #262449=ORIENTED_EDGE('',*,*,#198026,.F.); #262450=ORIENTED_EDGE('',*,*,#198027,.F.); #262451=ORIENTED_EDGE('',*,*,#198028,.T.); #262452=ORIENTED_EDGE('',*,*,#198027,.T.); #262453=ORIENTED_EDGE('',*,*,#198029,.F.); #262454=ORIENTED_EDGE('',*,*,#198030,.F.); #262455=ORIENTED_EDGE('',*,*,#198031,.T.); #262456=ORIENTED_EDGE('',*,*,#198030,.T.); #262457=ORIENTED_EDGE('',*,*,#198032,.F.); #262458=ORIENTED_EDGE('',*,*,#198033,.F.); #262459=ORIENTED_EDGE('',*,*,#198034,.T.); #262460=ORIENTED_EDGE('',*,*,#198033,.T.); #262461=ORIENTED_EDGE('',*,*,#198035,.F.); #262462=ORIENTED_EDGE('',*,*,#198036,.F.); #262463=ORIENTED_EDGE('',*,*,#198037,.T.); #262464=ORIENTED_EDGE('',*,*,#198036,.T.); #262465=ORIENTED_EDGE('',*,*,#198038,.F.); #262466=ORIENTED_EDGE('',*,*,#197983,.F.); #262467=ORIENTED_EDGE('',*,*,#198038,.T.); #262468=ORIENTED_EDGE('',*,*,#198035,.T.); #262469=ORIENTED_EDGE('',*,*,#198032,.T.); #262470=ORIENTED_EDGE('',*,*,#198029,.T.); #262471=ORIENTED_EDGE('',*,*,#198026,.T.); #262472=ORIENTED_EDGE('',*,*,#198023,.T.); #262473=ORIENTED_EDGE('',*,*,#198020,.T.); #262474=ORIENTED_EDGE('',*,*,#198017,.T.); #262475=ORIENTED_EDGE('',*,*,#198014,.T.); #262476=ORIENTED_EDGE('',*,*,#198011,.T.); #262477=ORIENTED_EDGE('',*,*,#198008,.T.); #262478=ORIENTED_EDGE('',*,*,#198005,.T.); #262479=ORIENTED_EDGE('',*,*,#198002,.T.); #262480=ORIENTED_EDGE('',*,*,#197999,.T.); #262481=ORIENTED_EDGE('',*,*,#197996,.T.); #262482=ORIENTED_EDGE('',*,*,#197993,.T.); #262483=ORIENTED_EDGE('',*,*,#197990,.T.); #262484=ORIENTED_EDGE('',*,*,#197987,.T.); #262485=ORIENTED_EDGE('',*,*,#197984,.T.); #262486=ORIENTED_EDGE('',*,*,#198037,.F.); #262487=ORIENTED_EDGE('',*,*,#197982,.F.); #262488=ORIENTED_EDGE('',*,*,#197986,.F.); #262489=ORIENTED_EDGE('',*,*,#197989,.F.); #262490=ORIENTED_EDGE('',*,*,#197992,.F.); #262491=ORIENTED_EDGE('',*,*,#197995,.F.); #262492=ORIENTED_EDGE('',*,*,#197998,.F.); #262493=ORIENTED_EDGE('',*,*,#198001,.F.); #262494=ORIENTED_EDGE('',*,*,#198004,.F.); #262495=ORIENTED_EDGE('',*,*,#198007,.F.); #262496=ORIENTED_EDGE('',*,*,#198010,.F.); #262497=ORIENTED_EDGE('',*,*,#198013,.F.); #262498=ORIENTED_EDGE('',*,*,#198016,.F.); #262499=ORIENTED_EDGE('',*,*,#198019,.F.); #262500=ORIENTED_EDGE('',*,*,#198022,.F.); #262501=ORIENTED_EDGE('',*,*,#198025,.F.); #262502=ORIENTED_EDGE('',*,*,#198028,.F.); #262503=ORIENTED_EDGE('',*,*,#198031,.F.); #262504=ORIENTED_EDGE('',*,*,#198034,.F.); #262505=ORIENTED_EDGE('',*,*,#198039,.T.); #262506=ORIENTED_EDGE('',*,*,#198040,.T.); #262507=ORIENTED_EDGE('',*,*,#198041,.F.); #262508=ORIENTED_EDGE('',*,*,#198042,.F.); #262509=ORIENTED_EDGE('',*,*,#198043,.T.); #262510=ORIENTED_EDGE('',*,*,#198042,.T.); #262511=ORIENTED_EDGE('',*,*,#198044,.F.); #262512=ORIENTED_EDGE('',*,*,#198045,.F.); #262513=ORIENTED_EDGE('',*,*,#198046,.T.); #262514=ORIENTED_EDGE('',*,*,#198045,.T.); #262515=ORIENTED_EDGE('',*,*,#198047,.F.); #262516=ORIENTED_EDGE('',*,*,#198048,.F.); #262517=ORIENTED_EDGE('',*,*,#198049,.T.); #262518=ORIENTED_EDGE('',*,*,#198048,.T.); #262519=ORIENTED_EDGE('',*,*,#198050,.F.); #262520=ORIENTED_EDGE('',*,*,#198051,.F.); #262521=ORIENTED_EDGE('',*,*,#198052,.T.); #262522=ORIENTED_EDGE('',*,*,#198051,.T.); #262523=ORIENTED_EDGE('',*,*,#198053,.F.); #262524=ORIENTED_EDGE('',*,*,#198054,.F.); #262525=ORIENTED_EDGE('',*,*,#198055,.T.); #262526=ORIENTED_EDGE('',*,*,#198054,.T.); #262527=ORIENTED_EDGE('',*,*,#198056,.F.); #262528=ORIENTED_EDGE('',*,*,#198057,.F.); #262529=ORIENTED_EDGE('',*,*,#198058,.T.); #262530=ORIENTED_EDGE('',*,*,#198057,.T.); #262531=ORIENTED_EDGE('',*,*,#198059,.F.); #262532=ORIENTED_EDGE('',*,*,#198060,.F.); #262533=ORIENTED_EDGE('',*,*,#198061,.T.); #262534=ORIENTED_EDGE('',*,*,#198060,.T.); #262535=ORIENTED_EDGE('',*,*,#198062,.F.); #262536=ORIENTED_EDGE('',*,*,#198063,.F.); #262537=ORIENTED_EDGE('',*,*,#198064,.T.); #262538=ORIENTED_EDGE('',*,*,#198063,.T.); #262539=ORIENTED_EDGE('',*,*,#198065,.F.); #262540=ORIENTED_EDGE('',*,*,#198066,.F.); #262541=ORIENTED_EDGE('',*,*,#198067,.T.); #262542=ORIENTED_EDGE('',*,*,#198066,.T.); #262543=ORIENTED_EDGE('',*,*,#198068,.F.); #262544=ORIENTED_EDGE('',*,*,#198069,.F.); #262545=ORIENTED_EDGE('',*,*,#198070,.T.); #262546=ORIENTED_EDGE('',*,*,#198069,.T.); #262547=ORIENTED_EDGE('',*,*,#198071,.F.); #262548=ORIENTED_EDGE('',*,*,#198072,.F.); #262549=ORIENTED_EDGE('',*,*,#198073,.T.); #262550=ORIENTED_EDGE('',*,*,#198072,.T.); #262551=ORIENTED_EDGE('',*,*,#198074,.F.); #262552=ORIENTED_EDGE('',*,*,#198075,.F.); #262553=ORIENTED_EDGE('',*,*,#198076,.T.); #262554=ORIENTED_EDGE('',*,*,#198075,.T.); #262555=ORIENTED_EDGE('',*,*,#198077,.F.); #262556=ORIENTED_EDGE('',*,*,#198078,.F.); #262557=ORIENTED_EDGE('',*,*,#198079,.T.); #262558=ORIENTED_EDGE('',*,*,#198078,.T.); #262559=ORIENTED_EDGE('',*,*,#198080,.F.); #262560=ORIENTED_EDGE('',*,*,#198081,.F.); #262561=ORIENTED_EDGE('',*,*,#198082,.T.); #262562=ORIENTED_EDGE('',*,*,#198081,.T.); #262563=ORIENTED_EDGE('',*,*,#198083,.F.); #262564=ORIENTED_EDGE('',*,*,#198084,.F.); #262565=ORIENTED_EDGE('',*,*,#198085,.T.); #262566=ORIENTED_EDGE('',*,*,#198084,.T.); #262567=ORIENTED_EDGE('',*,*,#198086,.F.); #262568=ORIENTED_EDGE('',*,*,#198087,.F.); #262569=ORIENTED_EDGE('',*,*,#198088,.T.); #262570=ORIENTED_EDGE('',*,*,#198087,.T.); #262571=ORIENTED_EDGE('',*,*,#198089,.F.); #262572=ORIENTED_EDGE('',*,*,#198090,.F.); #262573=ORIENTED_EDGE('',*,*,#198091,.T.); #262574=ORIENTED_EDGE('',*,*,#198090,.T.); #262575=ORIENTED_EDGE('',*,*,#198092,.F.); #262576=ORIENTED_EDGE('',*,*,#198093,.F.); #262577=ORIENTED_EDGE('',*,*,#198094,.T.); #262578=ORIENTED_EDGE('',*,*,#198093,.T.); #262579=ORIENTED_EDGE('',*,*,#198095,.F.); #262580=ORIENTED_EDGE('',*,*,#198096,.F.); #262581=ORIENTED_EDGE('',*,*,#198097,.T.); #262582=ORIENTED_EDGE('',*,*,#198096,.T.); #262583=ORIENTED_EDGE('',*,*,#198098,.F.); #262584=ORIENTED_EDGE('',*,*,#198099,.F.); #262585=ORIENTED_EDGE('',*,*,#198100,.T.); #262586=ORIENTED_EDGE('',*,*,#198099,.T.); #262587=ORIENTED_EDGE('',*,*,#198101,.F.); #262588=ORIENTED_EDGE('',*,*,#198102,.F.); #262589=ORIENTED_EDGE('',*,*,#198103,.T.); #262590=ORIENTED_EDGE('',*,*,#198102,.T.); #262591=ORIENTED_EDGE('',*,*,#198104,.F.); #262592=ORIENTED_EDGE('',*,*,#198105,.F.); #262593=ORIENTED_EDGE('',*,*,#198106,.T.); #262594=ORIENTED_EDGE('',*,*,#198105,.T.); #262595=ORIENTED_EDGE('',*,*,#198107,.F.); #262596=ORIENTED_EDGE('',*,*,#198108,.F.); #262597=ORIENTED_EDGE('',*,*,#198109,.T.); #262598=ORIENTED_EDGE('',*,*,#198108,.T.); #262599=ORIENTED_EDGE('',*,*,#198110,.F.); #262600=ORIENTED_EDGE('',*,*,#198111,.F.); #262601=ORIENTED_EDGE('',*,*,#198112,.T.); #262602=ORIENTED_EDGE('',*,*,#198111,.T.); #262603=ORIENTED_EDGE('',*,*,#198113,.F.); #262604=ORIENTED_EDGE('',*,*,#198114,.F.); #262605=ORIENTED_EDGE('',*,*,#198115,.T.); #262606=ORIENTED_EDGE('',*,*,#198114,.T.); #262607=ORIENTED_EDGE('',*,*,#198116,.F.); #262608=ORIENTED_EDGE('',*,*,#198117,.F.); #262609=ORIENTED_EDGE('',*,*,#198118,.T.); #262610=ORIENTED_EDGE('',*,*,#198117,.T.); #262611=ORIENTED_EDGE('',*,*,#198119,.F.); #262612=ORIENTED_EDGE('',*,*,#198120,.F.); #262613=ORIENTED_EDGE('',*,*,#198121,.T.); #262614=ORIENTED_EDGE('',*,*,#198120,.T.); #262615=ORIENTED_EDGE('',*,*,#198122,.F.); #262616=ORIENTED_EDGE('',*,*,#198123,.F.); #262617=ORIENTED_EDGE('',*,*,#198124,.T.); #262618=ORIENTED_EDGE('',*,*,#198123,.T.); #262619=ORIENTED_EDGE('',*,*,#198125,.F.); #262620=ORIENTED_EDGE('',*,*,#198126,.F.); #262621=ORIENTED_EDGE('',*,*,#198127,.T.); #262622=ORIENTED_EDGE('',*,*,#198126,.T.); #262623=ORIENTED_EDGE('',*,*,#198128,.F.); #262624=ORIENTED_EDGE('',*,*,#198129,.F.); #262625=ORIENTED_EDGE('',*,*,#198130,.T.); #262626=ORIENTED_EDGE('',*,*,#198129,.T.); #262627=ORIENTED_EDGE('',*,*,#198131,.F.); #262628=ORIENTED_EDGE('',*,*,#198132,.F.); #262629=ORIENTED_EDGE('',*,*,#198133,.T.); #262630=ORIENTED_EDGE('',*,*,#198132,.T.); #262631=ORIENTED_EDGE('',*,*,#198134,.F.); #262632=ORIENTED_EDGE('',*,*,#198135,.F.); #262633=ORIENTED_EDGE('',*,*,#198136,.T.); #262634=ORIENTED_EDGE('',*,*,#198135,.T.); #262635=ORIENTED_EDGE('',*,*,#198137,.F.); #262636=ORIENTED_EDGE('',*,*,#198138,.F.); #262637=ORIENTED_EDGE('',*,*,#198139,.T.); #262638=ORIENTED_EDGE('',*,*,#198138,.T.); #262639=ORIENTED_EDGE('',*,*,#198140,.F.); #262640=ORIENTED_EDGE('',*,*,#198141,.F.); #262641=ORIENTED_EDGE('',*,*,#198142,.T.); #262642=ORIENTED_EDGE('',*,*,#198141,.T.); #262643=ORIENTED_EDGE('',*,*,#198143,.F.); #262644=ORIENTED_EDGE('',*,*,#198144,.F.); #262645=ORIENTED_EDGE('',*,*,#198145,.T.); #262646=ORIENTED_EDGE('',*,*,#198144,.T.); #262647=ORIENTED_EDGE('',*,*,#198146,.F.); #262648=ORIENTED_EDGE('',*,*,#198147,.F.); #262649=ORIENTED_EDGE('',*,*,#198148,.T.); #262650=ORIENTED_EDGE('',*,*,#198147,.T.); #262651=ORIENTED_EDGE('',*,*,#198149,.F.); #262652=ORIENTED_EDGE('',*,*,#198040,.F.); #262653=ORIENTED_EDGE('',*,*,#198149,.T.); #262654=ORIENTED_EDGE('',*,*,#198146,.T.); #262655=ORIENTED_EDGE('',*,*,#198143,.T.); #262656=ORIENTED_EDGE('',*,*,#198140,.T.); #262657=ORIENTED_EDGE('',*,*,#198137,.T.); #262658=ORIENTED_EDGE('',*,*,#198134,.T.); #262659=ORIENTED_EDGE('',*,*,#198131,.T.); #262660=ORIENTED_EDGE('',*,*,#198128,.T.); #262661=ORIENTED_EDGE('',*,*,#198125,.T.); #262662=ORIENTED_EDGE('',*,*,#198122,.T.); #262663=ORIENTED_EDGE('',*,*,#198119,.T.); #262664=ORIENTED_EDGE('',*,*,#198116,.T.); #262665=ORIENTED_EDGE('',*,*,#198113,.T.); #262666=ORIENTED_EDGE('',*,*,#198110,.T.); #262667=ORIENTED_EDGE('',*,*,#198107,.T.); #262668=ORIENTED_EDGE('',*,*,#198104,.T.); #262669=ORIENTED_EDGE('',*,*,#198101,.T.); #262670=ORIENTED_EDGE('',*,*,#198098,.T.); #262671=ORIENTED_EDGE('',*,*,#198095,.T.); #262672=ORIENTED_EDGE('',*,*,#198092,.T.); #262673=ORIENTED_EDGE('',*,*,#198089,.T.); #262674=ORIENTED_EDGE('',*,*,#198086,.T.); #262675=ORIENTED_EDGE('',*,*,#198083,.T.); #262676=ORIENTED_EDGE('',*,*,#198080,.T.); #262677=ORIENTED_EDGE('',*,*,#198077,.T.); #262678=ORIENTED_EDGE('',*,*,#198074,.T.); #262679=ORIENTED_EDGE('',*,*,#198071,.T.); #262680=ORIENTED_EDGE('',*,*,#198068,.T.); #262681=ORIENTED_EDGE('',*,*,#198065,.T.); #262682=ORIENTED_EDGE('',*,*,#198062,.T.); #262683=ORIENTED_EDGE('',*,*,#198059,.T.); #262684=ORIENTED_EDGE('',*,*,#198056,.T.); #262685=ORIENTED_EDGE('',*,*,#198053,.T.); #262686=ORIENTED_EDGE('',*,*,#198050,.T.); #262687=ORIENTED_EDGE('',*,*,#198047,.T.); #262688=ORIENTED_EDGE('',*,*,#198044,.T.); #262689=ORIENTED_EDGE('',*,*,#198041,.T.); #262690=ORIENTED_EDGE('',*,*,#198148,.F.); #262691=ORIENTED_EDGE('',*,*,#198039,.F.); #262692=ORIENTED_EDGE('',*,*,#198043,.F.); #262693=ORIENTED_EDGE('',*,*,#198046,.F.); #262694=ORIENTED_EDGE('',*,*,#198049,.F.); #262695=ORIENTED_EDGE('',*,*,#198052,.F.); #262696=ORIENTED_EDGE('',*,*,#198055,.F.); #262697=ORIENTED_EDGE('',*,*,#198058,.F.); #262698=ORIENTED_EDGE('',*,*,#198061,.F.); #262699=ORIENTED_EDGE('',*,*,#198064,.F.); #262700=ORIENTED_EDGE('',*,*,#198067,.F.); #262701=ORIENTED_EDGE('',*,*,#198070,.F.); #262702=ORIENTED_EDGE('',*,*,#198073,.F.); #262703=ORIENTED_EDGE('',*,*,#198076,.F.); #262704=ORIENTED_EDGE('',*,*,#198079,.F.); #262705=ORIENTED_EDGE('',*,*,#198082,.F.); #262706=ORIENTED_EDGE('',*,*,#198085,.F.); #262707=ORIENTED_EDGE('',*,*,#198088,.F.); #262708=ORIENTED_EDGE('',*,*,#198091,.F.); #262709=ORIENTED_EDGE('',*,*,#198094,.F.); #262710=ORIENTED_EDGE('',*,*,#198097,.F.); #262711=ORIENTED_EDGE('',*,*,#198100,.F.); #262712=ORIENTED_EDGE('',*,*,#198103,.F.); #262713=ORIENTED_EDGE('',*,*,#198106,.F.); #262714=ORIENTED_EDGE('',*,*,#198109,.F.); #262715=ORIENTED_EDGE('',*,*,#198112,.F.); #262716=ORIENTED_EDGE('',*,*,#198115,.F.); #262717=ORIENTED_EDGE('',*,*,#198118,.F.); #262718=ORIENTED_EDGE('',*,*,#198121,.F.); #262719=ORIENTED_EDGE('',*,*,#198124,.F.); #262720=ORIENTED_EDGE('',*,*,#198127,.F.); #262721=ORIENTED_EDGE('',*,*,#198130,.F.); #262722=ORIENTED_EDGE('',*,*,#198133,.F.); #262723=ORIENTED_EDGE('',*,*,#198136,.F.); #262724=ORIENTED_EDGE('',*,*,#198139,.F.); #262725=ORIENTED_EDGE('',*,*,#198142,.F.); #262726=ORIENTED_EDGE('',*,*,#198145,.F.); #262727=ORIENTED_EDGE('',*,*,#198150,.T.); #262728=ORIENTED_EDGE('',*,*,#198151,.T.); #262729=ORIENTED_EDGE('',*,*,#198152,.F.); #262730=ORIENTED_EDGE('',*,*,#198153,.F.); #262731=ORIENTED_EDGE('',*,*,#198154,.T.); #262732=ORIENTED_EDGE('',*,*,#198153,.T.); #262733=ORIENTED_EDGE('',*,*,#198155,.F.); #262734=ORIENTED_EDGE('',*,*,#198156,.F.); #262735=ORIENTED_EDGE('',*,*,#198157,.T.); #262736=ORIENTED_EDGE('',*,*,#198156,.T.); #262737=ORIENTED_EDGE('',*,*,#198158,.F.); #262738=ORIENTED_EDGE('',*,*,#198159,.F.); #262739=ORIENTED_EDGE('',*,*,#198160,.T.); #262740=ORIENTED_EDGE('',*,*,#198159,.T.); #262741=ORIENTED_EDGE('',*,*,#198161,.F.); #262742=ORIENTED_EDGE('',*,*,#198162,.F.); #262743=ORIENTED_EDGE('',*,*,#198163,.T.); #262744=ORIENTED_EDGE('',*,*,#198162,.T.); #262745=ORIENTED_EDGE('',*,*,#198164,.F.); #262746=ORIENTED_EDGE('',*,*,#198165,.F.); #262747=ORIENTED_EDGE('',*,*,#198166,.T.); #262748=ORIENTED_EDGE('',*,*,#198165,.T.); #262749=ORIENTED_EDGE('',*,*,#198167,.F.); #262750=ORIENTED_EDGE('',*,*,#198168,.F.); #262751=ORIENTED_EDGE('',*,*,#198169,.T.); #262752=ORIENTED_EDGE('',*,*,#198168,.T.); #262753=ORIENTED_EDGE('',*,*,#198170,.F.); #262754=ORIENTED_EDGE('',*,*,#198171,.F.); #262755=ORIENTED_EDGE('',*,*,#198172,.T.); #262756=ORIENTED_EDGE('',*,*,#198171,.T.); #262757=ORIENTED_EDGE('',*,*,#198173,.F.); #262758=ORIENTED_EDGE('',*,*,#198174,.F.); #262759=ORIENTED_EDGE('',*,*,#198175,.T.); #262760=ORIENTED_EDGE('',*,*,#198174,.T.); #262761=ORIENTED_EDGE('',*,*,#198176,.F.); #262762=ORIENTED_EDGE('',*,*,#198177,.F.); #262763=ORIENTED_EDGE('',*,*,#198178,.T.); #262764=ORIENTED_EDGE('',*,*,#198177,.T.); #262765=ORIENTED_EDGE('',*,*,#198179,.F.); #262766=ORIENTED_EDGE('',*,*,#198180,.F.); #262767=ORIENTED_EDGE('',*,*,#198181,.T.); #262768=ORIENTED_EDGE('',*,*,#198180,.T.); #262769=ORIENTED_EDGE('',*,*,#198182,.F.); #262770=ORIENTED_EDGE('',*,*,#198183,.F.); #262771=ORIENTED_EDGE('',*,*,#198184,.T.); #262772=ORIENTED_EDGE('',*,*,#198183,.T.); #262773=ORIENTED_EDGE('',*,*,#198185,.F.); #262774=ORIENTED_EDGE('',*,*,#198186,.F.); #262775=ORIENTED_EDGE('',*,*,#198187,.T.); #262776=ORIENTED_EDGE('',*,*,#198186,.T.); #262777=ORIENTED_EDGE('',*,*,#198188,.F.); #262778=ORIENTED_EDGE('',*,*,#198189,.F.); #262779=ORIENTED_EDGE('',*,*,#198190,.T.); #262780=ORIENTED_EDGE('',*,*,#198189,.T.); #262781=ORIENTED_EDGE('',*,*,#198191,.F.); #262782=ORIENTED_EDGE('',*,*,#198192,.F.); #262783=ORIENTED_EDGE('',*,*,#198193,.T.); #262784=ORIENTED_EDGE('',*,*,#198192,.T.); #262785=ORIENTED_EDGE('',*,*,#198194,.F.); #262786=ORIENTED_EDGE('',*,*,#198195,.F.); #262787=ORIENTED_EDGE('',*,*,#198196,.T.); #262788=ORIENTED_EDGE('',*,*,#198195,.T.); #262789=ORIENTED_EDGE('',*,*,#198197,.F.); #262790=ORIENTED_EDGE('',*,*,#198198,.F.); #262791=ORIENTED_EDGE('',*,*,#198199,.T.); #262792=ORIENTED_EDGE('',*,*,#198198,.T.); #262793=ORIENTED_EDGE('',*,*,#198200,.F.); #262794=ORIENTED_EDGE('',*,*,#198201,.F.); #262795=ORIENTED_EDGE('',*,*,#198202,.T.); #262796=ORIENTED_EDGE('',*,*,#198201,.T.); #262797=ORIENTED_EDGE('',*,*,#198203,.F.); #262798=ORIENTED_EDGE('',*,*,#198204,.F.); #262799=ORIENTED_EDGE('',*,*,#198205,.T.); #262800=ORIENTED_EDGE('',*,*,#198204,.T.); #262801=ORIENTED_EDGE('',*,*,#198206,.F.); #262802=ORIENTED_EDGE('',*,*,#198207,.F.); #262803=ORIENTED_EDGE('',*,*,#198208,.T.); #262804=ORIENTED_EDGE('',*,*,#198207,.T.); #262805=ORIENTED_EDGE('',*,*,#198209,.F.); #262806=ORIENTED_EDGE('',*,*,#198151,.F.); #262807=ORIENTED_EDGE('',*,*,#198209,.T.); #262808=ORIENTED_EDGE('',*,*,#198206,.T.); #262809=ORIENTED_EDGE('',*,*,#198203,.T.); #262810=ORIENTED_EDGE('',*,*,#198200,.T.); #262811=ORIENTED_EDGE('',*,*,#198197,.T.); #262812=ORIENTED_EDGE('',*,*,#198194,.T.); #262813=ORIENTED_EDGE('',*,*,#198191,.T.); #262814=ORIENTED_EDGE('',*,*,#198188,.T.); #262815=ORIENTED_EDGE('',*,*,#198185,.T.); #262816=ORIENTED_EDGE('',*,*,#198182,.T.); #262817=ORIENTED_EDGE('',*,*,#198179,.T.); #262818=ORIENTED_EDGE('',*,*,#198176,.T.); #262819=ORIENTED_EDGE('',*,*,#198173,.T.); #262820=ORIENTED_EDGE('',*,*,#198170,.T.); #262821=ORIENTED_EDGE('',*,*,#198167,.T.); #262822=ORIENTED_EDGE('',*,*,#198164,.T.); #262823=ORIENTED_EDGE('',*,*,#198161,.T.); #262824=ORIENTED_EDGE('',*,*,#198158,.T.); #262825=ORIENTED_EDGE('',*,*,#198155,.T.); #262826=ORIENTED_EDGE('',*,*,#198152,.T.); #262827=ORIENTED_EDGE('',*,*,#198208,.F.); #262828=ORIENTED_EDGE('',*,*,#198150,.F.); #262829=ORIENTED_EDGE('',*,*,#198154,.F.); #262830=ORIENTED_EDGE('',*,*,#198157,.F.); #262831=ORIENTED_EDGE('',*,*,#198160,.F.); #262832=ORIENTED_EDGE('',*,*,#198163,.F.); #262833=ORIENTED_EDGE('',*,*,#198166,.F.); #262834=ORIENTED_EDGE('',*,*,#198169,.F.); #262835=ORIENTED_EDGE('',*,*,#198172,.F.); #262836=ORIENTED_EDGE('',*,*,#198175,.F.); #262837=ORIENTED_EDGE('',*,*,#198178,.F.); #262838=ORIENTED_EDGE('',*,*,#198181,.F.); #262839=ORIENTED_EDGE('',*,*,#198184,.F.); #262840=ORIENTED_EDGE('',*,*,#198187,.F.); #262841=ORIENTED_EDGE('',*,*,#198190,.F.); #262842=ORIENTED_EDGE('',*,*,#198193,.F.); #262843=ORIENTED_EDGE('',*,*,#198196,.F.); #262844=ORIENTED_EDGE('',*,*,#198199,.F.); #262845=ORIENTED_EDGE('',*,*,#198202,.F.); #262846=ORIENTED_EDGE('',*,*,#198205,.F.); #262847=ORIENTED_EDGE('',*,*,#198210,.T.); #262848=ORIENTED_EDGE('',*,*,#198211,.T.); #262849=ORIENTED_EDGE('',*,*,#198212,.F.); #262850=ORIENTED_EDGE('',*,*,#198213,.F.); #262851=ORIENTED_EDGE('',*,*,#198214,.T.); #262852=ORIENTED_EDGE('',*,*,#198213,.T.); #262853=ORIENTED_EDGE('',*,*,#198215,.F.); #262854=ORIENTED_EDGE('',*,*,#198216,.F.); #262855=ORIENTED_EDGE('',*,*,#198217,.T.); #262856=ORIENTED_EDGE('',*,*,#198216,.T.); #262857=ORIENTED_EDGE('',*,*,#198218,.F.); #262858=ORIENTED_EDGE('',*,*,#198219,.F.); #262859=ORIENTED_EDGE('',*,*,#198220,.T.); #262860=ORIENTED_EDGE('',*,*,#198219,.T.); #262861=ORIENTED_EDGE('',*,*,#198221,.F.); #262862=ORIENTED_EDGE('',*,*,#198222,.F.); #262863=ORIENTED_EDGE('',*,*,#198223,.T.); #262864=ORIENTED_EDGE('',*,*,#198222,.T.); #262865=ORIENTED_EDGE('',*,*,#198224,.F.); #262866=ORIENTED_EDGE('',*,*,#198225,.F.); #262867=ORIENTED_EDGE('',*,*,#198226,.T.); #262868=ORIENTED_EDGE('',*,*,#198225,.T.); #262869=ORIENTED_EDGE('',*,*,#198227,.F.); #262870=ORIENTED_EDGE('',*,*,#198228,.F.); #262871=ORIENTED_EDGE('',*,*,#198229,.T.); #262872=ORIENTED_EDGE('',*,*,#198228,.T.); #262873=ORIENTED_EDGE('',*,*,#198230,.F.); #262874=ORIENTED_EDGE('',*,*,#198231,.F.); #262875=ORIENTED_EDGE('',*,*,#198232,.T.); #262876=ORIENTED_EDGE('',*,*,#198231,.T.); #262877=ORIENTED_EDGE('',*,*,#198233,.F.); #262878=ORIENTED_EDGE('',*,*,#198234,.F.); #262879=ORIENTED_EDGE('',*,*,#198235,.T.); #262880=ORIENTED_EDGE('',*,*,#198234,.T.); #262881=ORIENTED_EDGE('',*,*,#198236,.F.); #262882=ORIENTED_EDGE('',*,*,#198237,.F.); #262883=ORIENTED_EDGE('',*,*,#198238,.T.); #262884=ORIENTED_EDGE('',*,*,#198237,.T.); #262885=ORIENTED_EDGE('',*,*,#198239,.F.); #262886=ORIENTED_EDGE('',*,*,#198240,.F.); #262887=ORIENTED_EDGE('',*,*,#198241,.T.); #262888=ORIENTED_EDGE('',*,*,#198240,.T.); #262889=ORIENTED_EDGE('',*,*,#198242,.F.); #262890=ORIENTED_EDGE('',*,*,#198243,.F.); #262891=ORIENTED_EDGE('',*,*,#198244,.T.); #262892=ORIENTED_EDGE('',*,*,#198243,.T.); #262893=ORIENTED_EDGE('',*,*,#198245,.F.); #262894=ORIENTED_EDGE('',*,*,#198246,.F.); #262895=ORIENTED_EDGE('',*,*,#198247,.T.); #262896=ORIENTED_EDGE('',*,*,#198246,.T.); #262897=ORIENTED_EDGE('',*,*,#198248,.F.); #262898=ORIENTED_EDGE('',*,*,#198249,.F.); #262899=ORIENTED_EDGE('',*,*,#198250,.T.); #262900=ORIENTED_EDGE('',*,*,#198249,.T.); #262901=ORIENTED_EDGE('',*,*,#198251,.F.); #262902=ORIENTED_EDGE('',*,*,#198252,.F.); #262903=ORIENTED_EDGE('',*,*,#198253,.T.); #262904=ORIENTED_EDGE('',*,*,#198252,.T.); #262905=ORIENTED_EDGE('',*,*,#198254,.F.); #262906=ORIENTED_EDGE('',*,*,#198255,.F.); #262907=ORIENTED_EDGE('',*,*,#198256,.T.); #262908=ORIENTED_EDGE('',*,*,#198255,.T.); #262909=ORIENTED_EDGE('',*,*,#198257,.F.); #262910=ORIENTED_EDGE('',*,*,#198258,.F.); #262911=ORIENTED_EDGE('',*,*,#198259,.T.); #262912=ORIENTED_EDGE('',*,*,#198258,.T.); #262913=ORIENTED_EDGE('',*,*,#198260,.F.); #262914=ORIENTED_EDGE('',*,*,#198261,.F.); #262915=ORIENTED_EDGE('',*,*,#198262,.T.); #262916=ORIENTED_EDGE('',*,*,#198261,.T.); #262917=ORIENTED_EDGE('',*,*,#198263,.F.); #262918=ORIENTED_EDGE('',*,*,#198264,.F.); #262919=ORIENTED_EDGE('',*,*,#198265,.T.); #262920=ORIENTED_EDGE('',*,*,#198264,.T.); #262921=ORIENTED_EDGE('',*,*,#198266,.F.); #262922=ORIENTED_EDGE('',*,*,#198267,.F.); #262923=ORIENTED_EDGE('',*,*,#198268,.T.); #262924=ORIENTED_EDGE('',*,*,#198267,.T.); #262925=ORIENTED_EDGE('',*,*,#198269,.F.); #262926=ORIENTED_EDGE('',*,*,#198270,.F.); #262927=ORIENTED_EDGE('',*,*,#198271,.T.); #262928=ORIENTED_EDGE('',*,*,#198270,.T.); #262929=ORIENTED_EDGE('',*,*,#198272,.F.); #262930=ORIENTED_EDGE('',*,*,#198273,.F.); #262931=ORIENTED_EDGE('',*,*,#198274,.T.); #262932=ORIENTED_EDGE('',*,*,#198273,.T.); #262933=ORIENTED_EDGE('',*,*,#198275,.F.); #262934=ORIENTED_EDGE('',*,*,#198276,.F.); #262935=ORIENTED_EDGE('',*,*,#198277,.T.); #262936=ORIENTED_EDGE('',*,*,#198276,.T.); #262937=ORIENTED_EDGE('',*,*,#198278,.F.); #262938=ORIENTED_EDGE('',*,*,#198279,.F.); #262939=ORIENTED_EDGE('',*,*,#198280,.T.); #262940=ORIENTED_EDGE('',*,*,#198279,.T.); #262941=ORIENTED_EDGE('',*,*,#198281,.F.); #262942=ORIENTED_EDGE('',*,*,#198282,.F.); #262943=ORIENTED_EDGE('',*,*,#198283,.T.); #262944=ORIENTED_EDGE('',*,*,#198282,.T.); #262945=ORIENTED_EDGE('',*,*,#198284,.F.); #262946=ORIENTED_EDGE('',*,*,#198285,.F.); #262947=ORIENTED_EDGE('',*,*,#198286,.T.); #262948=ORIENTED_EDGE('',*,*,#198285,.T.); #262949=ORIENTED_EDGE('',*,*,#198287,.F.); #262950=ORIENTED_EDGE('',*,*,#198288,.F.); #262951=ORIENTED_EDGE('',*,*,#198289,.T.); #262952=ORIENTED_EDGE('',*,*,#198288,.T.); #262953=ORIENTED_EDGE('',*,*,#198290,.F.); #262954=ORIENTED_EDGE('',*,*,#198291,.F.); #262955=ORIENTED_EDGE('',*,*,#198292,.T.); #262956=ORIENTED_EDGE('',*,*,#198291,.T.); #262957=ORIENTED_EDGE('',*,*,#198293,.F.); #262958=ORIENTED_EDGE('',*,*,#198294,.F.); #262959=ORIENTED_EDGE('',*,*,#198295,.T.); #262960=ORIENTED_EDGE('',*,*,#198294,.T.); #262961=ORIENTED_EDGE('',*,*,#198296,.F.); #262962=ORIENTED_EDGE('',*,*,#198297,.F.); #262963=ORIENTED_EDGE('',*,*,#198298,.T.); #262964=ORIENTED_EDGE('',*,*,#198297,.T.); #262965=ORIENTED_EDGE('',*,*,#198299,.F.); #262966=ORIENTED_EDGE('',*,*,#198300,.F.); #262967=ORIENTED_EDGE('',*,*,#198301,.T.); #262968=ORIENTED_EDGE('',*,*,#198300,.T.); #262969=ORIENTED_EDGE('',*,*,#198302,.F.); #262970=ORIENTED_EDGE('',*,*,#198303,.F.); #262971=ORIENTED_EDGE('',*,*,#198304,.T.); #262972=ORIENTED_EDGE('',*,*,#198303,.T.); #262973=ORIENTED_EDGE('',*,*,#198305,.F.); #262974=ORIENTED_EDGE('',*,*,#198306,.F.); #262975=ORIENTED_EDGE('',*,*,#198307,.T.); #262976=ORIENTED_EDGE('',*,*,#198306,.T.); #262977=ORIENTED_EDGE('',*,*,#198308,.F.); #262978=ORIENTED_EDGE('',*,*,#198309,.F.); #262979=ORIENTED_EDGE('',*,*,#198310,.T.); #262980=ORIENTED_EDGE('',*,*,#198309,.T.); #262981=ORIENTED_EDGE('',*,*,#198311,.F.); #262982=ORIENTED_EDGE('',*,*,#198312,.F.); #262983=ORIENTED_EDGE('',*,*,#198313,.T.); #262984=ORIENTED_EDGE('',*,*,#198312,.T.); #262985=ORIENTED_EDGE('',*,*,#198314,.F.); #262986=ORIENTED_EDGE('',*,*,#198315,.F.); #262987=ORIENTED_EDGE('',*,*,#198316,.T.); #262988=ORIENTED_EDGE('',*,*,#198315,.T.); #262989=ORIENTED_EDGE('',*,*,#198317,.F.); #262990=ORIENTED_EDGE('',*,*,#198318,.F.); #262991=ORIENTED_EDGE('',*,*,#198319,.T.); #262992=ORIENTED_EDGE('',*,*,#198318,.T.); #262993=ORIENTED_EDGE('',*,*,#198320,.F.); #262994=ORIENTED_EDGE('',*,*,#198321,.F.); #262995=ORIENTED_EDGE('',*,*,#198322,.T.); #262996=ORIENTED_EDGE('',*,*,#198321,.T.); #262997=ORIENTED_EDGE('',*,*,#198323,.F.); #262998=ORIENTED_EDGE('',*,*,#198324,.F.); #262999=ORIENTED_EDGE('',*,*,#198325,.T.); #263000=ORIENTED_EDGE('',*,*,#198324,.T.); #263001=ORIENTED_EDGE('',*,*,#198326,.F.); #263002=ORIENTED_EDGE('',*,*,#198327,.F.); #263003=ORIENTED_EDGE('',*,*,#198328,.T.); #263004=ORIENTED_EDGE('',*,*,#198327,.T.); #263005=ORIENTED_EDGE('',*,*,#198329,.F.); #263006=ORIENTED_EDGE('',*,*,#198330,.F.); #263007=ORIENTED_EDGE('',*,*,#198331,.T.); #263008=ORIENTED_EDGE('',*,*,#198330,.T.); #263009=ORIENTED_EDGE('',*,*,#198332,.F.); #263010=ORIENTED_EDGE('',*,*,#198333,.F.); #263011=ORIENTED_EDGE('',*,*,#198334,.T.); #263012=ORIENTED_EDGE('',*,*,#198333,.T.); #263013=ORIENTED_EDGE('',*,*,#198335,.F.); #263014=ORIENTED_EDGE('',*,*,#198336,.F.); #263015=ORIENTED_EDGE('',*,*,#198337,.T.); #263016=ORIENTED_EDGE('',*,*,#198336,.T.); #263017=ORIENTED_EDGE('',*,*,#198338,.F.); #263018=ORIENTED_EDGE('',*,*,#198339,.F.); #263019=ORIENTED_EDGE('',*,*,#198340,.T.); #263020=ORIENTED_EDGE('',*,*,#198339,.T.); #263021=ORIENTED_EDGE('',*,*,#198341,.F.); #263022=ORIENTED_EDGE('',*,*,#198342,.F.); #263023=ORIENTED_EDGE('',*,*,#198343,.T.); #263024=ORIENTED_EDGE('',*,*,#198342,.T.); #263025=ORIENTED_EDGE('',*,*,#198344,.F.); #263026=ORIENTED_EDGE('',*,*,#198345,.F.); #263027=ORIENTED_EDGE('',*,*,#198346,.T.); #263028=ORIENTED_EDGE('',*,*,#198345,.T.); #263029=ORIENTED_EDGE('',*,*,#198347,.F.); #263030=ORIENTED_EDGE('',*,*,#198348,.F.); #263031=ORIENTED_EDGE('',*,*,#198349,.T.); #263032=ORIENTED_EDGE('',*,*,#198348,.T.); #263033=ORIENTED_EDGE('',*,*,#198350,.F.); #263034=ORIENTED_EDGE('',*,*,#198351,.F.); #263035=ORIENTED_EDGE('',*,*,#198352,.T.); #263036=ORIENTED_EDGE('',*,*,#198351,.T.); #263037=ORIENTED_EDGE('',*,*,#198353,.F.); #263038=ORIENTED_EDGE('',*,*,#198211,.F.); #263039=ORIENTED_EDGE('',*,*,#198353,.T.); #263040=ORIENTED_EDGE('',*,*,#198350,.T.); #263041=ORIENTED_EDGE('',*,*,#198347,.T.); #263042=ORIENTED_EDGE('',*,*,#198344,.T.); #263043=ORIENTED_EDGE('',*,*,#198341,.T.); #263044=ORIENTED_EDGE('',*,*,#198338,.T.); #263045=ORIENTED_EDGE('',*,*,#198335,.T.); #263046=ORIENTED_EDGE('',*,*,#198332,.T.); #263047=ORIENTED_EDGE('',*,*,#198329,.T.); #263048=ORIENTED_EDGE('',*,*,#198326,.T.); #263049=ORIENTED_EDGE('',*,*,#198323,.T.); #263050=ORIENTED_EDGE('',*,*,#198320,.T.); #263051=ORIENTED_EDGE('',*,*,#198317,.T.); #263052=ORIENTED_EDGE('',*,*,#198314,.T.); #263053=ORIENTED_EDGE('',*,*,#198311,.T.); #263054=ORIENTED_EDGE('',*,*,#198308,.T.); #263055=ORIENTED_EDGE('',*,*,#198305,.T.); #263056=ORIENTED_EDGE('',*,*,#198302,.T.); #263057=ORIENTED_EDGE('',*,*,#198299,.T.); #263058=ORIENTED_EDGE('',*,*,#198296,.T.); #263059=ORIENTED_EDGE('',*,*,#198293,.T.); #263060=ORIENTED_EDGE('',*,*,#198290,.T.); #263061=ORIENTED_EDGE('',*,*,#198287,.T.); #263062=ORIENTED_EDGE('',*,*,#198284,.T.); #263063=ORIENTED_EDGE('',*,*,#198281,.T.); #263064=ORIENTED_EDGE('',*,*,#198278,.T.); #263065=ORIENTED_EDGE('',*,*,#198275,.T.); #263066=ORIENTED_EDGE('',*,*,#198272,.T.); #263067=ORIENTED_EDGE('',*,*,#198269,.T.); #263068=ORIENTED_EDGE('',*,*,#198266,.T.); #263069=ORIENTED_EDGE('',*,*,#198263,.T.); #263070=ORIENTED_EDGE('',*,*,#198260,.T.); #263071=ORIENTED_EDGE('',*,*,#198257,.T.); #263072=ORIENTED_EDGE('',*,*,#198254,.T.); #263073=ORIENTED_EDGE('',*,*,#198251,.T.); #263074=ORIENTED_EDGE('',*,*,#198248,.T.); #263075=ORIENTED_EDGE('',*,*,#198245,.T.); #263076=ORIENTED_EDGE('',*,*,#198242,.T.); #263077=ORIENTED_EDGE('',*,*,#198239,.T.); #263078=ORIENTED_EDGE('',*,*,#198236,.T.); #263079=ORIENTED_EDGE('',*,*,#198233,.T.); #263080=ORIENTED_EDGE('',*,*,#198230,.T.); #263081=ORIENTED_EDGE('',*,*,#198227,.T.); #263082=ORIENTED_EDGE('',*,*,#198224,.T.); #263083=ORIENTED_EDGE('',*,*,#198221,.T.); #263084=ORIENTED_EDGE('',*,*,#198218,.T.); #263085=ORIENTED_EDGE('',*,*,#198215,.T.); #263086=ORIENTED_EDGE('',*,*,#198212,.T.); #263087=ORIENTED_EDGE('',*,*,#198352,.F.); #263088=ORIENTED_EDGE('',*,*,#198210,.F.); #263089=ORIENTED_EDGE('',*,*,#198214,.F.); #263090=ORIENTED_EDGE('',*,*,#198217,.F.); #263091=ORIENTED_EDGE('',*,*,#198220,.F.); #263092=ORIENTED_EDGE('',*,*,#198223,.F.); #263093=ORIENTED_EDGE('',*,*,#198226,.F.); #263094=ORIENTED_EDGE('',*,*,#198229,.F.); #263095=ORIENTED_EDGE('',*,*,#198232,.F.); #263096=ORIENTED_EDGE('',*,*,#198235,.F.); #263097=ORIENTED_EDGE('',*,*,#198238,.F.); #263098=ORIENTED_EDGE('',*,*,#198241,.F.); #263099=ORIENTED_EDGE('',*,*,#198244,.F.); #263100=ORIENTED_EDGE('',*,*,#198247,.F.); #263101=ORIENTED_EDGE('',*,*,#198250,.F.); #263102=ORIENTED_EDGE('',*,*,#198253,.F.); #263103=ORIENTED_EDGE('',*,*,#198256,.F.); #263104=ORIENTED_EDGE('',*,*,#198259,.F.); #263105=ORIENTED_EDGE('',*,*,#198262,.F.); #263106=ORIENTED_EDGE('',*,*,#198265,.F.); #263107=ORIENTED_EDGE('',*,*,#198268,.F.); #263108=ORIENTED_EDGE('',*,*,#198271,.F.); #263109=ORIENTED_EDGE('',*,*,#198274,.F.); #263110=ORIENTED_EDGE('',*,*,#198277,.F.); #263111=ORIENTED_EDGE('',*,*,#198280,.F.); #263112=ORIENTED_EDGE('',*,*,#198283,.F.); #263113=ORIENTED_EDGE('',*,*,#198286,.F.); #263114=ORIENTED_EDGE('',*,*,#198289,.F.); #263115=ORIENTED_EDGE('',*,*,#198292,.F.); #263116=ORIENTED_EDGE('',*,*,#198295,.F.); #263117=ORIENTED_EDGE('',*,*,#198298,.F.); #263118=ORIENTED_EDGE('',*,*,#198301,.F.); #263119=ORIENTED_EDGE('',*,*,#198304,.F.); #263120=ORIENTED_EDGE('',*,*,#198307,.F.); #263121=ORIENTED_EDGE('',*,*,#198310,.F.); #263122=ORIENTED_EDGE('',*,*,#198313,.F.); #263123=ORIENTED_EDGE('',*,*,#198316,.F.); #263124=ORIENTED_EDGE('',*,*,#198319,.F.); #263125=ORIENTED_EDGE('',*,*,#198322,.F.); #263126=ORIENTED_EDGE('',*,*,#198325,.F.); #263127=ORIENTED_EDGE('',*,*,#198328,.F.); #263128=ORIENTED_EDGE('',*,*,#198331,.F.); #263129=ORIENTED_EDGE('',*,*,#198334,.F.); #263130=ORIENTED_EDGE('',*,*,#198337,.F.); #263131=ORIENTED_EDGE('',*,*,#198340,.F.); #263132=ORIENTED_EDGE('',*,*,#198343,.F.); #263133=ORIENTED_EDGE('',*,*,#198346,.F.); #263134=ORIENTED_EDGE('',*,*,#198349,.F.); #263135=ORIENTED_EDGE('',*,*,#198354,.T.); #263136=ORIENTED_EDGE('',*,*,#198355,.T.); #263137=ORIENTED_EDGE('',*,*,#198356,.F.); #263138=ORIENTED_EDGE('',*,*,#198357,.F.); #263139=ORIENTED_EDGE('',*,*,#198358,.T.); #263140=ORIENTED_EDGE('',*,*,#198357,.T.); #263141=ORIENTED_EDGE('',*,*,#198359,.F.); #263142=ORIENTED_EDGE('',*,*,#198360,.F.); #263143=ORIENTED_EDGE('',*,*,#198361,.T.); #263144=ORIENTED_EDGE('',*,*,#198360,.T.); #263145=ORIENTED_EDGE('',*,*,#198362,.F.); #263146=ORIENTED_EDGE('',*,*,#198363,.F.); #263147=ORIENTED_EDGE('',*,*,#198364,.T.); #263148=ORIENTED_EDGE('',*,*,#198363,.T.); #263149=ORIENTED_EDGE('',*,*,#198365,.F.); #263150=ORIENTED_EDGE('',*,*,#198366,.F.); #263151=ORIENTED_EDGE('',*,*,#198367,.T.); #263152=ORIENTED_EDGE('',*,*,#198366,.T.); #263153=ORIENTED_EDGE('',*,*,#198368,.F.); #263154=ORIENTED_EDGE('',*,*,#198369,.F.); #263155=ORIENTED_EDGE('',*,*,#198370,.T.); #263156=ORIENTED_EDGE('',*,*,#198369,.T.); #263157=ORIENTED_EDGE('',*,*,#198371,.F.); #263158=ORIENTED_EDGE('',*,*,#198372,.F.); #263159=ORIENTED_EDGE('',*,*,#198373,.T.); #263160=ORIENTED_EDGE('',*,*,#198372,.T.); #263161=ORIENTED_EDGE('',*,*,#198374,.F.); #263162=ORIENTED_EDGE('',*,*,#198375,.F.); #263163=ORIENTED_EDGE('',*,*,#198376,.T.); #263164=ORIENTED_EDGE('',*,*,#198375,.T.); #263165=ORIENTED_EDGE('',*,*,#198377,.F.); #263166=ORIENTED_EDGE('',*,*,#198378,.F.); #263167=ORIENTED_EDGE('',*,*,#198379,.T.); #263168=ORIENTED_EDGE('',*,*,#198378,.T.); #263169=ORIENTED_EDGE('',*,*,#198380,.F.); #263170=ORIENTED_EDGE('',*,*,#198381,.F.); #263171=ORIENTED_EDGE('',*,*,#198382,.T.); #263172=ORIENTED_EDGE('',*,*,#198381,.T.); #263173=ORIENTED_EDGE('',*,*,#198383,.F.); #263174=ORIENTED_EDGE('',*,*,#198384,.F.); #263175=ORIENTED_EDGE('',*,*,#198385,.T.); #263176=ORIENTED_EDGE('',*,*,#198384,.T.); #263177=ORIENTED_EDGE('',*,*,#198386,.F.); #263178=ORIENTED_EDGE('',*,*,#198387,.F.); #263179=ORIENTED_EDGE('',*,*,#198388,.T.); #263180=ORIENTED_EDGE('',*,*,#198387,.T.); #263181=ORIENTED_EDGE('',*,*,#198389,.F.); #263182=ORIENTED_EDGE('',*,*,#198390,.F.); #263183=ORIENTED_EDGE('',*,*,#198391,.T.); #263184=ORIENTED_EDGE('',*,*,#198390,.T.); #263185=ORIENTED_EDGE('',*,*,#198392,.F.); #263186=ORIENTED_EDGE('',*,*,#198393,.F.); #263187=ORIENTED_EDGE('',*,*,#198394,.T.); #263188=ORIENTED_EDGE('',*,*,#198393,.T.); #263189=ORIENTED_EDGE('',*,*,#198395,.F.); #263190=ORIENTED_EDGE('',*,*,#198396,.F.); #263191=ORIENTED_EDGE('',*,*,#198397,.T.); #263192=ORIENTED_EDGE('',*,*,#198396,.T.); #263193=ORIENTED_EDGE('',*,*,#198398,.F.); #263194=ORIENTED_EDGE('',*,*,#198399,.F.); #263195=ORIENTED_EDGE('',*,*,#198400,.T.); #263196=ORIENTED_EDGE('',*,*,#198399,.T.); #263197=ORIENTED_EDGE('',*,*,#198401,.F.); #263198=ORIENTED_EDGE('',*,*,#198402,.F.); #263199=ORIENTED_EDGE('',*,*,#198403,.T.); #263200=ORIENTED_EDGE('',*,*,#198402,.T.); #263201=ORIENTED_EDGE('',*,*,#198404,.F.); #263202=ORIENTED_EDGE('',*,*,#198405,.F.); #263203=ORIENTED_EDGE('',*,*,#198406,.T.); #263204=ORIENTED_EDGE('',*,*,#198405,.T.); #263205=ORIENTED_EDGE('',*,*,#198407,.F.); #263206=ORIENTED_EDGE('',*,*,#198408,.F.); #263207=ORIENTED_EDGE('',*,*,#198409,.T.); #263208=ORIENTED_EDGE('',*,*,#198408,.T.); #263209=ORIENTED_EDGE('',*,*,#198410,.F.); #263210=ORIENTED_EDGE('',*,*,#198411,.F.); #263211=ORIENTED_EDGE('',*,*,#198412,.T.); #263212=ORIENTED_EDGE('',*,*,#198411,.T.); #263213=ORIENTED_EDGE('',*,*,#198413,.F.); #263214=ORIENTED_EDGE('',*,*,#198355,.F.); #263215=ORIENTED_EDGE('',*,*,#198413,.T.); #263216=ORIENTED_EDGE('',*,*,#198410,.T.); #263217=ORIENTED_EDGE('',*,*,#198407,.T.); #263218=ORIENTED_EDGE('',*,*,#198404,.T.); #263219=ORIENTED_EDGE('',*,*,#198401,.T.); #263220=ORIENTED_EDGE('',*,*,#198398,.T.); #263221=ORIENTED_EDGE('',*,*,#198395,.T.); #263222=ORIENTED_EDGE('',*,*,#198392,.T.); #263223=ORIENTED_EDGE('',*,*,#198389,.T.); #263224=ORIENTED_EDGE('',*,*,#198386,.T.); #263225=ORIENTED_EDGE('',*,*,#198383,.T.); #263226=ORIENTED_EDGE('',*,*,#198380,.T.); #263227=ORIENTED_EDGE('',*,*,#198377,.T.); #263228=ORIENTED_EDGE('',*,*,#198374,.T.); #263229=ORIENTED_EDGE('',*,*,#198371,.T.); #263230=ORIENTED_EDGE('',*,*,#198368,.T.); #263231=ORIENTED_EDGE('',*,*,#198365,.T.); #263232=ORIENTED_EDGE('',*,*,#198362,.T.); #263233=ORIENTED_EDGE('',*,*,#198359,.T.); #263234=ORIENTED_EDGE('',*,*,#198356,.T.); #263235=ORIENTED_EDGE('',*,*,#198412,.F.); #263236=ORIENTED_EDGE('',*,*,#198354,.F.); #263237=ORIENTED_EDGE('',*,*,#198358,.F.); #263238=ORIENTED_EDGE('',*,*,#198361,.F.); #263239=ORIENTED_EDGE('',*,*,#198364,.F.); #263240=ORIENTED_EDGE('',*,*,#198367,.F.); #263241=ORIENTED_EDGE('',*,*,#198370,.F.); #263242=ORIENTED_EDGE('',*,*,#198373,.F.); #263243=ORIENTED_EDGE('',*,*,#198376,.F.); #263244=ORIENTED_EDGE('',*,*,#198379,.F.); #263245=ORIENTED_EDGE('',*,*,#198382,.F.); #263246=ORIENTED_EDGE('',*,*,#198385,.F.); #263247=ORIENTED_EDGE('',*,*,#198388,.F.); #263248=ORIENTED_EDGE('',*,*,#198391,.F.); #263249=ORIENTED_EDGE('',*,*,#198394,.F.); #263250=ORIENTED_EDGE('',*,*,#198397,.F.); #263251=ORIENTED_EDGE('',*,*,#198400,.F.); #263252=ORIENTED_EDGE('',*,*,#198403,.F.); #263253=ORIENTED_EDGE('',*,*,#198406,.F.); #263254=ORIENTED_EDGE('',*,*,#198409,.F.); #263255=ORIENTED_EDGE('',*,*,#198414,.T.); #263256=ORIENTED_EDGE('',*,*,#198415,.T.); #263257=ORIENTED_EDGE('',*,*,#198416,.F.); #263258=ORIENTED_EDGE('',*,*,#198417,.F.); #263259=ORIENTED_EDGE('',*,*,#198418,.T.); #263260=ORIENTED_EDGE('',*,*,#198417,.T.); #263261=ORIENTED_EDGE('',*,*,#198419,.F.); #263262=ORIENTED_EDGE('',*,*,#198420,.F.); #263263=ORIENTED_EDGE('',*,*,#198421,.T.); #263264=ORIENTED_EDGE('',*,*,#198420,.T.); #263265=ORIENTED_EDGE('',*,*,#198422,.F.); #263266=ORIENTED_EDGE('',*,*,#198423,.F.); #263267=ORIENTED_EDGE('',*,*,#198424,.T.); #263268=ORIENTED_EDGE('',*,*,#198423,.T.); #263269=ORIENTED_EDGE('',*,*,#198425,.F.); #263270=ORIENTED_EDGE('',*,*,#198426,.F.); #263271=ORIENTED_EDGE('',*,*,#198427,.T.); #263272=ORIENTED_EDGE('',*,*,#198426,.T.); #263273=ORIENTED_EDGE('',*,*,#198428,.F.); #263274=ORIENTED_EDGE('',*,*,#198429,.F.); #263275=ORIENTED_EDGE('',*,*,#198430,.T.); #263276=ORIENTED_EDGE('',*,*,#198429,.T.); #263277=ORIENTED_EDGE('',*,*,#198431,.F.); #263278=ORIENTED_EDGE('',*,*,#198432,.F.); #263279=ORIENTED_EDGE('',*,*,#198433,.T.); #263280=ORIENTED_EDGE('',*,*,#198432,.T.); #263281=ORIENTED_EDGE('',*,*,#198434,.F.); #263282=ORIENTED_EDGE('',*,*,#198435,.F.); #263283=ORIENTED_EDGE('',*,*,#198436,.T.); #263284=ORIENTED_EDGE('',*,*,#198435,.T.); #263285=ORIENTED_EDGE('',*,*,#198437,.F.); #263286=ORIENTED_EDGE('',*,*,#198438,.F.); #263287=ORIENTED_EDGE('',*,*,#198439,.T.); #263288=ORIENTED_EDGE('',*,*,#198438,.T.); #263289=ORIENTED_EDGE('',*,*,#198440,.F.); #263290=ORIENTED_EDGE('',*,*,#198441,.F.); #263291=ORIENTED_EDGE('',*,*,#198442,.T.); #263292=ORIENTED_EDGE('',*,*,#198441,.T.); #263293=ORIENTED_EDGE('',*,*,#198443,.F.); #263294=ORIENTED_EDGE('',*,*,#198444,.F.); #263295=ORIENTED_EDGE('',*,*,#198445,.T.); #263296=ORIENTED_EDGE('',*,*,#198444,.T.); #263297=ORIENTED_EDGE('',*,*,#198446,.F.); #263298=ORIENTED_EDGE('',*,*,#198447,.F.); #263299=ORIENTED_EDGE('',*,*,#198448,.T.); #263300=ORIENTED_EDGE('',*,*,#198447,.T.); #263301=ORIENTED_EDGE('',*,*,#198449,.F.); #263302=ORIENTED_EDGE('',*,*,#198450,.F.); #263303=ORIENTED_EDGE('',*,*,#198451,.T.); #263304=ORIENTED_EDGE('',*,*,#198450,.T.); #263305=ORIENTED_EDGE('',*,*,#198452,.F.); #263306=ORIENTED_EDGE('',*,*,#198453,.F.); #263307=ORIENTED_EDGE('',*,*,#198454,.T.); #263308=ORIENTED_EDGE('',*,*,#198453,.T.); #263309=ORIENTED_EDGE('',*,*,#198455,.F.); #263310=ORIENTED_EDGE('',*,*,#198456,.F.); #263311=ORIENTED_EDGE('',*,*,#198457,.T.); #263312=ORIENTED_EDGE('',*,*,#198456,.T.); #263313=ORIENTED_EDGE('',*,*,#198458,.F.); #263314=ORIENTED_EDGE('',*,*,#198459,.F.); #263315=ORIENTED_EDGE('',*,*,#198460,.T.); #263316=ORIENTED_EDGE('',*,*,#198459,.T.); #263317=ORIENTED_EDGE('',*,*,#198461,.F.); #263318=ORIENTED_EDGE('',*,*,#198462,.F.); #263319=ORIENTED_EDGE('',*,*,#198463,.T.); #263320=ORIENTED_EDGE('',*,*,#198462,.T.); #263321=ORIENTED_EDGE('',*,*,#198464,.F.); #263322=ORIENTED_EDGE('',*,*,#198465,.F.); #263323=ORIENTED_EDGE('',*,*,#198466,.T.); #263324=ORIENTED_EDGE('',*,*,#198465,.T.); #263325=ORIENTED_EDGE('',*,*,#198467,.F.); #263326=ORIENTED_EDGE('',*,*,#198468,.F.); #263327=ORIENTED_EDGE('',*,*,#198469,.T.); #263328=ORIENTED_EDGE('',*,*,#198468,.T.); #263329=ORIENTED_EDGE('',*,*,#198470,.F.); #263330=ORIENTED_EDGE('',*,*,#198471,.F.); #263331=ORIENTED_EDGE('',*,*,#198472,.T.); #263332=ORIENTED_EDGE('',*,*,#198471,.T.); #263333=ORIENTED_EDGE('',*,*,#198473,.F.); #263334=ORIENTED_EDGE('',*,*,#198474,.F.); #263335=ORIENTED_EDGE('',*,*,#198475,.T.); #263336=ORIENTED_EDGE('',*,*,#198474,.T.); #263337=ORIENTED_EDGE('',*,*,#198476,.F.); #263338=ORIENTED_EDGE('',*,*,#198477,.F.); #263339=ORIENTED_EDGE('',*,*,#198478,.T.); #263340=ORIENTED_EDGE('',*,*,#198477,.T.); #263341=ORIENTED_EDGE('',*,*,#198479,.F.); #263342=ORIENTED_EDGE('',*,*,#198480,.F.); #263343=ORIENTED_EDGE('',*,*,#198481,.T.); #263344=ORIENTED_EDGE('',*,*,#198480,.T.); #263345=ORIENTED_EDGE('',*,*,#198482,.F.); #263346=ORIENTED_EDGE('',*,*,#198483,.F.); #263347=ORIENTED_EDGE('',*,*,#198484,.T.); #263348=ORIENTED_EDGE('',*,*,#198483,.T.); #263349=ORIENTED_EDGE('',*,*,#198485,.F.); #263350=ORIENTED_EDGE('',*,*,#198486,.F.); #263351=ORIENTED_EDGE('',*,*,#198487,.T.); #263352=ORIENTED_EDGE('',*,*,#198486,.T.); #263353=ORIENTED_EDGE('',*,*,#198488,.F.); #263354=ORIENTED_EDGE('',*,*,#198489,.F.); #263355=ORIENTED_EDGE('',*,*,#198490,.T.); #263356=ORIENTED_EDGE('',*,*,#198489,.T.); #263357=ORIENTED_EDGE('',*,*,#198491,.F.); #263358=ORIENTED_EDGE('',*,*,#198492,.F.); #263359=ORIENTED_EDGE('',*,*,#198493,.T.); #263360=ORIENTED_EDGE('',*,*,#198492,.T.); #263361=ORIENTED_EDGE('',*,*,#198494,.F.); #263362=ORIENTED_EDGE('',*,*,#198495,.F.); #263363=ORIENTED_EDGE('',*,*,#198496,.T.); #263364=ORIENTED_EDGE('',*,*,#198495,.T.); #263365=ORIENTED_EDGE('',*,*,#198497,.F.); #263366=ORIENTED_EDGE('',*,*,#198498,.F.); #263367=ORIENTED_EDGE('',*,*,#198499,.T.); #263368=ORIENTED_EDGE('',*,*,#198498,.T.); #263369=ORIENTED_EDGE('',*,*,#198500,.F.); #263370=ORIENTED_EDGE('',*,*,#198501,.F.); #263371=ORIENTED_EDGE('',*,*,#198502,.T.); #263372=ORIENTED_EDGE('',*,*,#198501,.T.); #263373=ORIENTED_EDGE('',*,*,#198503,.F.); #263374=ORIENTED_EDGE('',*,*,#198504,.F.); #263375=ORIENTED_EDGE('',*,*,#198505,.T.); #263376=ORIENTED_EDGE('',*,*,#198504,.T.); #263377=ORIENTED_EDGE('',*,*,#198506,.F.); #263378=ORIENTED_EDGE('',*,*,#198415,.F.); #263379=ORIENTED_EDGE('',*,*,#198506,.T.); #263380=ORIENTED_EDGE('',*,*,#198503,.T.); #263381=ORIENTED_EDGE('',*,*,#198500,.T.); #263382=ORIENTED_EDGE('',*,*,#198497,.T.); #263383=ORIENTED_EDGE('',*,*,#198494,.T.); #263384=ORIENTED_EDGE('',*,*,#198491,.T.); #263385=ORIENTED_EDGE('',*,*,#198488,.T.); #263386=ORIENTED_EDGE('',*,*,#198485,.T.); #263387=ORIENTED_EDGE('',*,*,#198482,.T.); #263388=ORIENTED_EDGE('',*,*,#198479,.T.); #263389=ORIENTED_EDGE('',*,*,#198476,.T.); #263390=ORIENTED_EDGE('',*,*,#198473,.T.); #263391=ORIENTED_EDGE('',*,*,#198470,.T.); #263392=ORIENTED_EDGE('',*,*,#198467,.T.); #263393=ORIENTED_EDGE('',*,*,#198464,.T.); #263394=ORIENTED_EDGE('',*,*,#198461,.T.); #263395=ORIENTED_EDGE('',*,*,#198458,.T.); #263396=ORIENTED_EDGE('',*,*,#198455,.T.); #263397=ORIENTED_EDGE('',*,*,#198452,.T.); #263398=ORIENTED_EDGE('',*,*,#198449,.T.); #263399=ORIENTED_EDGE('',*,*,#198446,.T.); #263400=ORIENTED_EDGE('',*,*,#198443,.T.); #263401=ORIENTED_EDGE('',*,*,#198440,.T.); #263402=ORIENTED_EDGE('',*,*,#198437,.T.); #263403=ORIENTED_EDGE('',*,*,#198434,.T.); #263404=ORIENTED_EDGE('',*,*,#198431,.T.); #263405=ORIENTED_EDGE('',*,*,#198428,.T.); #263406=ORIENTED_EDGE('',*,*,#198425,.T.); #263407=ORIENTED_EDGE('',*,*,#198422,.T.); #263408=ORIENTED_EDGE('',*,*,#198419,.T.); #263409=ORIENTED_EDGE('',*,*,#198416,.T.); #263410=ORIENTED_EDGE('',*,*,#198505,.F.); #263411=ORIENTED_EDGE('',*,*,#198414,.F.); #263412=ORIENTED_EDGE('',*,*,#198418,.F.); #263413=ORIENTED_EDGE('',*,*,#198421,.F.); #263414=ORIENTED_EDGE('',*,*,#198424,.F.); #263415=ORIENTED_EDGE('',*,*,#198427,.F.); #263416=ORIENTED_EDGE('',*,*,#198430,.F.); #263417=ORIENTED_EDGE('',*,*,#198433,.F.); #263418=ORIENTED_EDGE('',*,*,#198436,.F.); #263419=ORIENTED_EDGE('',*,*,#198439,.F.); #263420=ORIENTED_EDGE('',*,*,#198442,.F.); #263421=ORIENTED_EDGE('',*,*,#198445,.F.); #263422=ORIENTED_EDGE('',*,*,#198448,.F.); #263423=ORIENTED_EDGE('',*,*,#198451,.F.); #263424=ORIENTED_EDGE('',*,*,#198454,.F.); #263425=ORIENTED_EDGE('',*,*,#198457,.F.); #263426=ORIENTED_EDGE('',*,*,#198460,.F.); #263427=ORIENTED_EDGE('',*,*,#198463,.F.); #263428=ORIENTED_EDGE('',*,*,#198466,.F.); #263429=ORIENTED_EDGE('',*,*,#198469,.F.); #263430=ORIENTED_EDGE('',*,*,#198472,.F.); #263431=ORIENTED_EDGE('',*,*,#198475,.F.); #263432=ORIENTED_EDGE('',*,*,#198478,.F.); #263433=ORIENTED_EDGE('',*,*,#198481,.F.); #263434=ORIENTED_EDGE('',*,*,#198484,.F.); #263435=ORIENTED_EDGE('',*,*,#198487,.F.); #263436=ORIENTED_EDGE('',*,*,#198490,.F.); #263437=ORIENTED_EDGE('',*,*,#198493,.F.); #263438=ORIENTED_EDGE('',*,*,#198496,.F.); #263439=ORIENTED_EDGE('',*,*,#198499,.F.); #263440=ORIENTED_EDGE('',*,*,#198502,.F.); #263441=ORIENTED_EDGE('',*,*,#198507,.T.); #263442=ORIENTED_EDGE('',*,*,#198508,.T.); #263443=ORIENTED_EDGE('',*,*,#198509,.F.); #263444=ORIENTED_EDGE('',*,*,#198510,.F.); #263445=ORIENTED_EDGE('',*,*,#198511,.T.); #263446=ORIENTED_EDGE('',*,*,#198510,.T.); #263447=ORIENTED_EDGE('',*,*,#198512,.F.); #263448=ORIENTED_EDGE('',*,*,#198513,.F.); #263449=ORIENTED_EDGE('',*,*,#198514,.T.); #263450=ORIENTED_EDGE('',*,*,#198513,.T.); #263451=ORIENTED_EDGE('',*,*,#198515,.F.); #263452=ORIENTED_EDGE('',*,*,#198516,.F.); #263453=ORIENTED_EDGE('',*,*,#198517,.T.); #263454=ORIENTED_EDGE('',*,*,#198516,.T.); #263455=ORIENTED_EDGE('',*,*,#198518,.F.); #263456=ORIENTED_EDGE('',*,*,#198519,.F.); #263457=ORIENTED_EDGE('',*,*,#198520,.T.); #263458=ORIENTED_EDGE('',*,*,#198519,.T.); #263459=ORIENTED_EDGE('',*,*,#198521,.F.); #263460=ORIENTED_EDGE('',*,*,#198522,.F.); #263461=ORIENTED_EDGE('',*,*,#198523,.T.); #263462=ORIENTED_EDGE('',*,*,#198522,.T.); #263463=ORIENTED_EDGE('',*,*,#198524,.F.); #263464=ORIENTED_EDGE('',*,*,#198525,.F.); #263465=ORIENTED_EDGE('',*,*,#198526,.T.); #263466=ORIENTED_EDGE('',*,*,#198525,.T.); #263467=ORIENTED_EDGE('',*,*,#198527,.F.); #263468=ORIENTED_EDGE('',*,*,#198528,.F.); #263469=ORIENTED_EDGE('',*,*,#198529,.T.); #263470=ORIENTED_EDGE('',*,*,#198528,.T.); #263471=ORIENTED_EDGE('',*,*,#198530,.F.); #263472=ORIENTED_EDGE('',*,*,#198531,.F.); #263473=ORIENTED_EDGE('',*,*,#198532,.T.); #263474=ORIENTED_EDGE('',*,*,#198531,.T.); #263475=ORIENTED_EDGE('',*,*,#198533,.F.); #263476=ORIENTED_EDGE('',*,*,#198534,.F.); #263477=ORIENTED_EDGE('',*,*,#198535,.T.); #263478=ORIENTED_EDGE('',*,*,#198534,.T.); #263479=ORIENTED_EDGE('',*,*,#198536,.F.); #263480=ORIENTED_EDGE('',*,*,#198537,.F.); #263481=ORIENTED_EDGE('',*,*,#198538,.T.); #263482=ORIENTED_EDGE('',*,*,#198537,.T.); #263483=ORIENTED_EDGE('',*,*,#198539,.F.); #263484=ORIENTED_EDGE('',*,*,#198540,.F.); #263485=ORIENTED_EDGE('',*,*,#198541,.T.); #263486=ORIENTED_EDGE('',*,*,#198540,.T.); #263487=ORIENTED_EDGE('',*,*,#198542,.F.); #263488=ORIENTED_EDGE('',*,*,#198543,.F.); #263489=ORIENTED_EDGE('',*,*,#198544,.T.); #263490=ORIENTED_EDGE('',*,*,#198543,.T.); #263491=ORIENTED_EDGE('',*,*,#198545,.F.); #263492=ORIENTED_EDGE('',*,*,#198546,.F.); #263493=ORIENTED_EDGE('',*,*,#198547,.T.); #263494=ORIENTED_EDGE('',*,*,#198546,.T.); #263495=ORIENTED_EDGE('',*,*,#198548,.F.); #263496=ORIENTED_EDGE('',*,*,#198549,.F.); #263497=ORIENTED_EDGE('',*,*,#198550,.T.); #263498=ORIENTED_EDGE('',*,*,#198549,.T.); #263499=ORIENTED_EDGE('',*,*,#198551,.F.); #263500=ORIENTED_EDGE('',*,*,#198552,.F.); #263501=ORIENTED_EDGE('',*,*,#198553,.T.); #263502=ORIENTED_EDGE('',*,*,#198552,.T.); #263503=ORIENTED_EDGE('',*,*,#198554,.F.); #263504=ORIENTED_EDGE('',*,*,#198555,.F.); #263505=ORIENTED_EDGE('',*,*,#198556,.T.); #263506=ORIENTED_EDGE('',*,*,#198555,.T.); #263507=ORIENTED_EDGE('',*,*,#198557,.F.); #263508=ORIENTED_EDGE('',*,*,#198558,.F.); #263509=ORIENTED_EDGE('',*,*,#198559,.T.); #263510=ORIENTED_EDGE('',*,*,#198558,.T.); #263511=ORIENTED_EDGE('',*,*,#198560,.F.); #263512=ORIENTED_EDGE('',*,*,#198561,.F.); #263513=ORIENTED_EDGE('',*,*,#198562,.T.); #263514=ORIENTED_EDGE('',*,*,#198561,.T.); #263515=ORIENTED_EDGE('',*,*,#198563,.F.); #263516=ORIENTED_EDGE('',*,*,#198564,.F.); #263517=ORIENTED_EDGE('',*,*,#198565,.T.); #263518=ORIENTED_EDGE('',*,*,#198564,.T.); #263519=ORIENTED_EDGE('',*,*,#198566,.F.); #263520=ORIENTED_EDGE('',*,*,#198508,.F.); #263521=ORIENTED_EDGE('',*,*,#198566,.T.); #263522=ORIENTED_EDGE('',*,*,#198563,.T.); #263523=ORIENTED_EDGE('',*,*,#198560,.T.); #263524=ORIENTED_EDGE('',*,*,#198557,.T.); #263525=ORIENTED_EDGE('',*,*,#198554,.T.); #263526=ORIENTED_EDGE('',*,*,#198551,.T.); #263527=ORIENTED_EDGE('',*,*,#198548,.T.); #263528=ORIENTED_EDGE('',*,*,#198545,.T.); #263529=ORIENTED_EDGE('',*,*,#198542,.T.); #263530=ORIENTED_EDGE('',*,*,#198539,.T.); #263531=ORIENTED_EDGE('',*,*,#198536,.T.); #263532=ORIENTED_EDGE('',*,*,#198533,.T.); #263533=ORIENTED_EDGE('',*,*,#198530,.T.); #263534=ORIENTED_EDGE('',*,*,#198527,.T.); #263535=ORIENTED_EDGE('',*,*,#198524,.T.); #263536=ORIENTED_EDGE('',*,*,#198521,.T.); #263537=ORIENTED_EDGE('',*,*,#198518,.T.); #263538=ORIENTED_EDGE('',*,*,#198515,.T.); #263539=ORIENTED_EDGE('',*,*,#198512,.T.); #263540=ORIENTED_EDGE('',*,*,#198509,.T.); #263541=ORIENTED_EDGE('',*,*,#198565,.F.); #263542=ORIENTED_EDGE('',*,*,#198507,.F.); #263543=ORIENTED_EDGE('',*,*,#198511,.F.); #263544=ORIENTED_EDGE('',*,*,#198514,.F.); #263545=ORIENTED_EDGE('',*,*,#198517,.F.); #263546=ORIENTED_EDGE('',*,*,#198520,.F.); #263547=ORIENTED_EDGE('',*,*,#198523,.F.); #263548=ORIENTED_EDGE('',*,*,#198526,.F.); #263549=ORIENTED_EDGE('',*,*,#198529,.F.); #263550=ORIENTED_EDGE('',*,*,#198532,.F.); #263551=ORIENTED_EDGE('',*,*,#198535,.F.); #263552=ORIENTED_EDGE('',*,*,#198538,.F.); #263553=ORIENTED_EDGE('',*,*,#198541,.F.); #263554=ORIENTED_EDGE('',*,*,#198544,.F.); #263555=ORIENTED_EDGE('',*,*,#198547,.F.); #263556=ORIENTED_EDGE('',*,*,#198550,.F.); #263557=ORIENTED_EDGE('',*,*,#198553,.F.); #263558=ORIENTED_EDGE('',*,*,#198556,.F.); #263559=ORIENTED_EDGE('',*,*,#198559,.F.); #263560=ORIENTED_EDGE('',*,*,#198562,.F.); #263561=ORIENTED_EDGE('',*,*,#198567,.T.); #263562=ORIENTED_EDGE('',*,*,#198568,.T.); #263563=ORIENTED_EDGE('',*,*,#198569,.F.); #263564=ORIENTED_EDGE('',*,*,#198570,.F.); #263565=ORIENTED_EDGE('',*,*,#198571,.T.); #263566=ORIENTED_EDGE('',*,*,#198570,.T.); #263567=ORIENTED_EDGE('',*,*,#198572,.F.); #263568=ORIENTED_EDGE('',*,*,#198573,.F.); #263569=ORIENTED_EDGE('',*,*,#198574,.T.); #263570=ORIENTED_EDGE('',*,*,#198573,.T.); #263571=ORIENTED_EDGE('',*,*,#198575,.F.); #263572=ORIENTED_EDGE('',*,*,#198576,.F.); #263573=ORIENTED_EDGE('',*,*,#198577,.T.); #263574=ORIENTED_EDGE('',*,*,#198576,.T.); #263575=ORIENTED_EDGE('',*,*,#198578,.F.); #263576=ORIENTED_EDGE('',*,*,#198579,.F.); #263577=ORIENTED_EDGE('',*,*,#198580,.T.); #263578=ORIENTED_EDGE('',*,*,#198579,.T.); #263579=ORIENTED_EDGE('',*,*,#198581,.F.); #263580=ORIENTED_EDGE('',*,*,#198582,.F.); #263581=ORIENTED_EDGE('',*,*,#198583,.T.); #263582=ORIENTED_EDGE('',*,*,#198582,.T.); #263583=ORIENTED_EDGE('',*,*,#198584,.F.); #263584=ORIENTED_EDGE('',*,*,#198585,.F.); #263585=ORIENTED_EDGE('',*,*,#198586,.T.); #263586=ORIENTED_EDGE('',*,*,#198585,.T.); #263587=ORIENTED_EDGE('',*,*,#198587,.F.); #263588=ORIENTED_EDGE('',*,*,#198588,.F.); #263589=ORIENTED_EDGE('',*,*,#198589,.T.); #263590=ORIENTED_EDGE('',*,*,#198588,.T.); #263591=ORIENTED_EDGE('',*,*,#198590,.F.); #263592=ORIENTED_EDGE('',*,*,#198591,.F.); #263593=ORIENTED_EDGE('',*,*,#198592,.T.); #263594=ORIENTED_EDGE('',*,*,#198591,.T.); #263595=ORIENTED_EDGE('',*,*,#198593,.F.); #263596=ORIENTED_EDGE('',*,*,#198594,.F.); #263597=ORIENTED_EDGE('',*,*,#198595,.T.); #263598=ORIENTED_EDGE('',*,*,#198594,.T.); #263599=ORIENTED_EDGE('',*,*,#198596,.F.); #263600=ORIENTED_EDGE('',*,*,#198597,.F.); #263601=ORIENTED_EDGE('',*,*,#198598,.T.); #263602=ORIENTED_EDGE('',*,*,#198597,.T.); #263603=ORIENTED_EDGE('',*,*,#198599,.F.); #263604=ORIENTED_EDGE('',*,*,#198600,.F.); #263605=ORIENTED_EDGE('',*,*,#198601,.T.); #263606=ORIENTED_EDGE('',*,*,#198600,.T.); #263607=ORIENTED_EDGE('',*,*,#198602,.F.); #263608=ORIENTED_EDGE('',*,*,#198603,.F.); #263609=ORIENTED_EDGE('',*,*,#198604,.T.); #263610=ORIENTED_EDGE('',*,*,#198603,.T.); #263611=ORIENTED_EDGE('',*,*,#198605,.F.); #263612=ORIENTED_EDGE('',*,*,#198606,.F.); #263613=ORIENTED_EDGE('',*,*,#198607,.T.); #263614=ORIENTED_EDGE('',*,*,#198606,.T.); #263615=ORIENTED_EDGE('',*,*,#198608,.F.); #263616=ORIENTED_EDGE('',*,*,#198609,.F.); #263617=ORIENTED_EDGE('',*,*,#198610,.T.); #263618=ORIENTED_EDGE('',*,*,#198609,.T.); #263619=ORIENTED_EDGE('',*,*,#198611,.F.); #263620=ORIENTED_EDGE('',*,*,#198612,.F.); #263621=ORIENTED_EDGE('',*,*,#198613,.T.); #263622=ORIENTED_EDGE('',*,*,#198612,.T.); #263623=ORIENTED_EDGE('',*,*,#198614,.F.); #263624=ORIENTED_EDGE('',*,*,#198615,.F.); #263625=ORIENTED_EDGE('',*,*,#198616,.T.); #263626=ORIENTED_EDGE('',*,*,#198615,.T.); #263627=ORIENTED_EDGE('',*,*,#198617,.F.); #263628=ORIENTED_EDGE('',*,*,#198618,.F.); #263629=ORIENTED_EDGE('',*,*,#198619,.T.); #263630=ORIENTED_EDGE('',*,*,#198618,.T.); #263631=ORIENTED_EDGE('',*,*,#198620,.F.); #263632=ORIENTED_EDGE('',*,*,#198621,.F.); #263633=ORIENTED_EDGE('',*,*,#198622,.T.); #263634=ORIENTED_EDGE('',*,*,#198621,.T.); #263635=ORIENTED_EDGE('',*,*,#198623,.F.); #263636=ORIENTED_EDGE('',*,*,#198624,.F.); #263637=ORIENTED_EDGE('',*,*,#198625,.T.); #263638=ORIENTED_EDGE('',*,*,#198624,.T.); #263639=ORIENTED_EDGE('',*,*,#198626,.F.); #263640=ORIENTED_EDGE('',*,*,#198627,.F.); #263641=ORIENTED_EDGE('',*,*,#198628,.T.); #263642=ORIENTED_EDGE('',*,*,#198627,.T.); #263643=ORIENTED_EDGE('',*,*,#198629,.F.); #263644=ORIENTED_EDGE('',*,*,#198630,.F.); #263645=ORIENTED_EDGE('',*,*,#198631,.T.); #263646=ORIENTED_EDGE('',*,*,#198630,.T.); #263647=ORIENTED_EDGE('',*,*,#198632,.F.); #263648=ORIENTED_EDGE('',*,*,#198633,.F.); #263649=ORIENTED_EDGE('',*,*,#198634,.T.); #263650=ORIENTED_EDGE('',*,*,#198633,.T.); #263651=ORIENTED_EDGE('',*,*,#198635,.F.); #263652=ORIENTED_EDGE('',*,*,#198636,.F.); #263653=ORIENTED_EDGE('',*,*,#198637,.T.); #263654=ORIENTED_EDGE('',*,*,#198636,.T.); #263655=ORIENTED_EDGE('',*,*,#198638,.F.); #263656=ORIENTED_EDGE('',*,*,#198639,.F.); #263657=ORIENTED_EDGE('',*,*,#198640,.T.); #263658=ORIENTED_EDGE('',*,*,#198639,.T.); #263659=ORIENTED_EDGE('',*,*,#198641,.F.); #263660=ORIENTED_EDGE('',*,*,#198642,.F.); #263661=ORIENTED_EDGE('',*,*,#198643,.T.); #263662=ORIENTED_EDGE('',*,*,#198642,.T.); #263663=ORIENTED_EDGE('',*,*,#198644,.F.); #263664=ORIENTED_EDGE('',*,*,#198645,.F.); #263665=ORIENTED_EDGE('',*,*,#198646,.T.); #263666=ORIENTED_EDGE('',*,*,#198645,.T.); #263667=ORIENTED_EDGE('',*,*,#198647,.F.); #263668=ORIENTED_EDGE('',*,*,#198648,.F.); #263669=ORIENTED_EDGE('',*,*,#198649,.T.); #263670=ORIENTED_EDGE('',*,*,#198648,.T.); #263671=ORIENTED_EDGE('',*,*,#198650,.F.); #263672=ORIENTED_EDGE('',*,*,#198651,.F.); #263673=ORIENTED_EDGE('',*,*,#198652,.T.); #263674=ORIENTED_EDGE('',*,*,#198651,.T.); #263675=ORIENTED_EDGE('',*,*,#198653,.F.); #263676=ORIENTED_EDGE('',*,*,#198654,.F.); #263677=ORIENTED_EDGE('',*,*,#198655,.T.); #263678=ORIENTED_EDGE('',*,*,#198654,.T.); #263679=ORIENTED_EDGE('',*,*,#198656,.F.); #263680=ORIENTED_EDGE('',*,*,#198657,.F.); #263681=ORIENTED_EDGE('',*,*,#198658,.T.); #263682=ORIENTED_EDGE('',*,*,#198657,.T.); #263683=ORIENTED_EDGE('',*,*,#198659,.F.); #263684=ORIENTED_EDGE('',*,*,#198568,.F.); #263685=ORIENTED_EDGE('',*,*,#198659,.T.); #263686=ORIENTED_EDGE('',*,*,#198656,.T.); #263687=ORIENTED_EDGE('',*,*,#198653,.T.); #263688=ORIENTED_EDGE('',*,*,#198650,.T.); #263689=ORIENTED_EDGE('',*,*,#198647,.T.); #263690=ORIENTED_EDGE('',*,*,#198644,.T.); #263691=ORIENTED_EDGE('',*,*,#198641,.T.); #263692=ORIENTED_EDGE('',*,*,#198638,.T.); #263693=ORIENTED_EDGE('',*,*,#198635,.T.); #263694=ORIENTED_EDGE('',*,*,#198632,.T.); #263695=ORIENTED_EDGE('',*,*,#198629,.T.); #263696=ORIENTED_EDGE('',*,*,#198626,.T.); #263697=ORIENTED_EDGE('',*,*,#198623,.T.); #263698=ORIENTED_EDGE('',*,*,#198620,.T.); #263699=ORIENTED_EDGE('',*,*,#198617,.T.); #263700=ORIENTED_EDGE('',*,*,#198614,.T.); #263701=ORIENTED_EDGE('',*,*,#198611,.T.); #263702=ORIENTED_EDGE('',*,*,#198608,.T.); #263703=ORIENTED_EDGE('',*,*,#198605,.T.); #263704=ORIENTED_EDGE('',*,*,#198602,.T.); #263705=ORIENTED_EDGE('',*,*,#198599,.T.); #263706=ORIENTED_EDGE('',*,*,#198596,.T.); #263707=ORIENTED_EDGE('',*,*,#198593,.T.); #263708=ORIENTED_EDGE('',*,*,#198590,.T.); #263709=ORIENTED_EDGE('',*,*,#198587,.T.); #263710=ORIENTED_EDGE('',*,*,#198584,.T.); #263711=ORIENTED_EDGE('',*,*,#198581,.T.); #263712=ORIENTED_EDGE('',*,*,#198578,.T.); #263713=ORIENTED_EDGE('',*,*,#198575,.T.); #263714=ORIENTED_EDGE('',*,*,#198572,.T.); #263715=ORIENTED_EDGE('',*,*,#198569,.T.); #263716=ORIENTED_EDGE('',*,*,#198658,.F.); #263717=ORIENTED_EDGE('',*,*,#198567,.F.); #263718=ORIENTED_EDGE('',*,*,#198571,.F.); #263719=ORIENTED_EDGE('',*,*,#198574,.F.); #263720=ORIENTED_EDGE('',*,*,#198577,.F.); #263721=ORIENTED_EDGE('',*,*,#198580,.F.); #263722=ORIENTED_EDGE('',*,*,#198583,.F.); #263723=ORIENTED_EDGE('',*,*,#198586,.F.); #263724=ORIENTED_EDGE('',*,*,#198589,.F.); #263725=ORIENTED_EDGE('',*,*,#198592,.F.); #263726=ORIENTED_EDGE('',*,*,#198595,.F.); #263727=ORIENTED_EDGE('',*,*,#198598,.F.); #263728=ORIENTED_EDGE('',*,*,#198601,.F.); #263729=ORIENTED_EDGE('',*,*,#198604,.F.); #263730=ORIENTED_EDGE('',*,*,#198607,.F.); #263731=ORIENTED_EDGE('',*,*,#198610,.F.); #263732=ORIENTED_EDGE('',*,*,#198613,.F.); #263733=ORIENTED_EDGE('',*,*,#198616,.F.); #263734=ORIENTED_EDGE('',*,*,#198619,.F.); #263735=ORIENTED_EDGE('',*,*,#198622,.F.); #263736=ORIENTED_EDGE('',*,*,#198625,.F.); #263737=ORIENTED_EDGE('',*,*,#198628,.F.); #263738=ORIENTED_EDGE('',*,*,#198631,.F.); #263739=ORIENTED_EDGE('',*,*,#198634,.F.); #263740=ORIENTED_EDGE('',*,*,#198637,.F.); #263741=ORIENTED_EDGE('',*,*,#198640,.F.); #263742=ORIENTED_EDGE('',*,*,#198643,.F.); #263743=ORIENTED_EDGE('',*,*,#198646,.F.); #263744=ORIENTED_EDGE('',*,*,#198649,.F.); #263745=ORIENTED_EDGE('',*,*,#198652,.F.); #263746=ORIENTED_EDGE('',*,*,#198655,.F.); #263747=ORIENTED_EDGE('',*,*,#198660,.T.); #263748=ORIENTED_EDGE('',*,*,#198661,.T.); #263749=ORIENTED_EDGE('',*,*,#198662,.F.); #263750=ORIENTED_EDGE('',*,*,#198663,.F.); #263751=ORIENTED_EDGE('',*,*,#198664,.T.); #263752=ORIENTED_EDGE('',*,*,#198663,.T.); #263753=ORIENTED_EDGE('',*,*,#198665,.F.); #263754=ORIENTED_EDGE('',*,*,#198666,.F.); #263755=ORIENTED_EDGE('',*,*,#198667,.T.); #263756=ORIENTED_EDGE('',*,*,#198666,.T.); #263757=ORIENTED_EDGE('',*,*,#198668,.F.); #263758=ORIENTED_EDGE('',*,*,#198669,.F.); #263759=ORIENTED_EDGE('',*,*,#198670,.T.); #263760=ORIENTED_EDGE('',*,*,#198669,.T.); #263761=ORIENTED_EDGE('',*,*,#198671,.F.); #263762=ORIENTED_EDGE('',*,*,#198672,.F.); #263763=ORIENTED_EDGE('',*,*,#198673,.T.); #263764=ORIENTED_EDGE('',*,*,#198672,.T.); #263765=ORIENTED_EDGE('',*,*,#198674,.F.); #263766=ORIENTED_EDGE('',*,*,#198675,.F.); #263767=ORIENTED_EDGE('',*,*,#198676,.T.); #263768=ORIENTED_EDGE('',*,*,#198675,.T.); #263769=ORIENTED_EDGE('',*,*,#198677,.F.); #263770=ORIENTED_EDGE('',*,*,#198678,.F.); #263771=ORIENTED_EDGE('',*,*,#198679,.T.); #263772=ORIENTED_EDGE('',*,*,#198678,.T.); #263773=ORIENTED_EDGE('',*,*,#198680,.F.); #263774=ORIENTED_EDGE('',*,*,#198681,.F.); #263775=ORIENTED_EDGE('',*,*,#198682,.T.); #263776=ORIENTED_EDGE('',*,*,#198681,.T.); #263777=ORIENTED_EDGE('',*,*,#198683,.F.); #263778=ORIENTED_EDGE('',*,*,#198684,.F.); #263779=ORIENTED_EDGE('',*,*,#198685,.T.); #263780=ORIENTED_EDGE('',*,*,#198684,.T.); #263781=ORIENTED_EDGE('',*,*,#198686,.F.); #263782=ORIENTED_EDGE('',*,*,#198687,.F.); #263783=ORIENTED_EDGE('',*,*,#198688,.T.); #263784=ORIENTED_EDGE('',*,*,#198687,.T.); #263785=ORIENTED_EDGE('',*,*,#198689,.F.); #263786=ORIENTED_EDGE('',*,*,#198690,.F.); #263787=ORIENTED_EDGE('',*,*,#198691,.T.); #263788=ORIENTED_EDGE('',*,*,#198690,.T.); #263789=ORIENTED_EDGE('',*,*,#198692,.F.); #263790=ORIENTED_EDGE('',*,*,#198693,.F.); #263791=ORIENTED_EDGE('',*,*,#198694,.T.); #263792=ORIENTED_EDGE('',*,*,#198693,.T.); #263793=ORIENTED_EDGE('',*,*,#198695,.F.); #263794=ORIENTED_EDGE('',*,*,#198696,.F.); #263795=ORIENTED_EDGE('',*,*,#198697,.T.); #263796=ORIENTED_EDGE('',*,*,#198696,.T.); #263797=ORIENTED_EDGE('',*,*,#198698,.F.); #263798=ORIENTED_EDGE('',*,*,#198699,.F.); #263799=ORIENTED_EDGE('',*,*,#198700,.T.); #263800=ORIENTED_EDGE('',*,*,#198699,.T.); #263801=ORIENTED_EDGE('',*,*,#198701,.F.); #263802=ORIENTED_EDGE('',*,*,#198702,.F.); #263803=ORIENTED_EDGE('',*,*,#198703,.T.); #263804=ORIENTED_EDGE('',*,*,#198702,.T.); #263805=ORIENTED_EDGE('',*,*,#198704,.F.); #263806=ORIENTED_EDGE('',*,*,#198705,.F.); #263807=ORIENTED_EDGE('',*,*,#198706,.T.); #263808=ORIENTED_EDGE('',*,*,#198705,.T.); #263809=ORIENTED_EDGE('',*,*,#198707,.F.); #263810=ORIENTED_EDGE('',*,*,#198708,.F.); #263811=ORIENTED_EDGE('',*,*,#198709,.T.); #263812=ORIENTED_EDGE('',*,*,#198708,.T.); #263813=ORIENTED_EDGE('',*,*,#198710,.F.); #263814=ORIENTED_EDGE('',*,*,#198711,.F.); #263815=ORIENTED_EDGE('',*,*,#198712,.T.); #263816=ORIENTED_EDGE('',*,*,#198711,.T.); #263817=ORIENTED_EDGE('',*,*,#198713,.F.); #263818=ORIENTED_EDGE('',*,*,#198714,.F.); #263819=ORIENTED_EDGE('',*,*,#198715,.T.); #263820=ORIENTED_EDGE('',*,*,#198714,.T.); #263821=ORIENTED_EDGE('',*,*,#198716,.F.); #263822=ORIENTED_EDGE('',*,*,#198717,.F.); #263823=ORIENTED_EDGE('',*,*,#198718,.T.); #263824=ORIENTED_EDGE('',*,*,#198717,.T.); #263825=ORIENTED_EDGE('',*,*,#198719,.F.); #263826=ORIENTED_EDGE('',*,*,#198720,.F.); #263827=ORIENTED_EDGE('',*,*,#198721,.T.); #263828=ORIENTED_EDGE('',*,*,#198720,.T.); #263829=ORIENTED_EDGE('',*,*,#198722,.F.); #263830=ORIENTED_EDGE('',*,*,#198661,.F.); #263831=ORIENTED_EDGE('',*,*,#198722,.T.); #263832=ORIENTED_EDGE('',*,*,#198719,.T.); #263833=ORIENTED_EDGE('',*,*,#198716,.T.); #263834=ORIENTED_EDGE('',*,*,#198713,.T.); #263835=ORIENTED_EDGE('',*,*,#198710,.T.); #263836=ORIENTED_EDGE('',*,*,#198707,.T.); #263837=ORIENTED_EDGE('',*,*,#198704,.T.); #263838=ORIENTED_EDGE('',*,*,#198701,.T.); #263839=ORIENTED_EDGE('',*,*,#198698,.T.); #263840=ORIENTED_EDGE('',*,*,#198695,.T.); #263841=ORIENTED_EDGE('',*,*,#198692,.T.); #263842=ORIENTED_EDGE('',*,*,#198689,.T.); #263843=ORIENTED_EDGE('',*,*,#198686,.T.); #263844=ORIENTED_EDGE('',*,*,#198683,.T.); #263845=ORIENTED_EDGE('',*,*,#198680,.T.); #263846=ORIENTED_EDGE('',*,*,#198677,.T.); #263847=ORIENTED_EDGE('',*,*,#198674,.T.); #263848=ORIENTED_EDGE('',*,*,#198671,.T.); #263849=ORIENTED_EDGE('',*,*,#198668,.T.); #263850=ORIENTED_EDGE('',*,*,#198665,.T.); #263851=ORIENTED_EDGE('',*,*,#198662,.T.); #263852=ORIENTED_EDGE('',*,*,#198721,.F.); #263853=ORIENTED_EDGE('',*,*,#198660,.F.); #263854=ORIENTED_EDGE('',*,*,#198664,.F.); #263855=ORIENTED_EDGE('',*,*,#198667,.F.); #263856=ORIENTED_EDGE('',*,*,#198670,.F.); #263857=ORIENTED_EDGE('',*,*,#198673,.F.); #263858=ORIENTED_EDGE('',*,*,#198676,.F.); #263859=ORIENTED_EDGE('',*,*,#198679,.F.); #263860=ORIENTED_EDGE('',*,*,#198682,.F.); #263861=ORIENTED_EDGE('',*,*,#198685,.F.); #263862=ORIENTED_EDGE('',*,*,#198688,.F.); #263863=ORIENTED_EDGE('',*,*,#198691,.F.); #263864=ORIENTED_EDGE('',*,*,#198694,.F.); #263865=ORIENTED_EDGE('',*,*,#198697,.F.); #263866=ORIENTED_EDGE('',*,*,#198700,.F.); #263867=ORIENTED_EDGE('',*,*,#198703,.F.); #263868=ORIENTED_EDGE('',*,*,#198706,.F.); #263869=ORIENTED_EDGE('',*,*,#198709,.F.); #263870=ORIENTED_EDGE('',*,*,#198712,.F.); #263871=ORIENTED_EDGE('',*,*,#198715,.F.); #263872=ORIENTED_EDGE('',*,*,#198718,.F.); #263873=ORIENTED_EDGE('',*,*,#198723,.T.); #263874=ORIENTED_EDGE('',*,*,#198724,.T.); #263875=ORIENTED_EDGE('',*,*,#198725,.F.); #263876=ORIENTED_EDGE('',*,*,#198726,.F.); #263877=ORIENTED_EDGE('',*,*,#198727,.T.); #263878=ORIENTED_EDGE('',*,*,#198726,.T.); #263879=ORIENTED_EDGE('',*,*,#198728,.F.); #263880=ORIENTED_EDGE('',*,*,#198729,.F.); #263881=ORIENTED_EDGE('',*,*,#198730,.T.); #263882=ORIENTED_EDGE('',*,*,#198729,.T.); #263883=ORIENTED_EDGE('',*,*,#198731,.F.); #263884=ORIENTED_EDGE('',*,*,#198732,.F.); #263885=ORIENTED_EDGE('',*,*,#198733,.T.); #263886=ORIENTED_EDGE('',*,*,#198732,.T.); #263887=ORIENTED_EDGE('',*,*,#198734,.F.); #263888=ORIENTED_EDGE('',*,*,#198735,.F.); #263889=ORIENTED_EDGE('',*,*,#198736,.T.); #263890=ORIENTED_EDGE('',*,*,#198735,.T.); #263891=ORIENTED_EDGE('',*,*,#198737,.F.); #263892=ORIENTED_EDGE('',*,*,#198738,.F.); #263893=ORIENTED_EDGE('',*,*,#198739,.T.); #263894=ORIENTED_EDGE('',*,*,#198738,.T.); #263895=ORIENTED_EDGE('',*,*,#198740,.F.); #263896=ORIENTED_EDGE('',*,*,#198741,.F.); #263897=ORIENTED_EDGE('',*,*,#198742,.T.); #263898=ORIENTED_EDGE('',*,*,#198741,.T.); #263899=ORIENTED_EDGE('',*,*,#198743,.F.); #263900=ORIENTED_EDGE('',*,*,#198744,.F.); #263901=ORIENTED_EDGE('',*,*,#198745,.T.); #263902=ORIENTED_EDGE('',*,*,#198744,.T.); #263903=ORIENTED_EDGE('',*,*,#198746,.F.); #263904=ORIENTED_EDGE('',*,*,#198747,.F.); #263905=ORIENTED_EDGE('',*,*,#198748,.T.); #263906=ORIENTED_EDGE('',*,*,#198747,.T.); #263907=ORIENTED_EDGE('',*,*,#198749,.F.); #263908=ORIENTED_EDGE('',*,*,#198750,.F.); #263909=ORIENTED_EDGE('',*,*,#198751,.T.); #263910=ORIENTED_EDGE('',*,*,#198750,.T.); #263911=ORIENTED_EDGE('',*,*,#198752,.F.); #263912=ORIENTED_EDGE('',*,*,#198753,.F.); #263913=ORIENTED_EDGE('',*,*,#198754,.T.); #263914=ORIENTED_EDGE('',*,*,#198753,.T.); #263915=ORIENTED_EDGE('',*,*,#198755,.F.); #263916=ORIENTED_EDGE('',*,*,#198756,.F.); #263917=ORIENTED_EDGE('',*,*,#198757,.T.); #263918=ORIENTED_EDGE('',*,*,#198756,.T.); #263919=ORIENTED_EDGE('',*,*,#198758,.F.); #263920=ORIENTED_EDGE('',*,*,#198759,.F.); #263921=ORIENTED_EDGE('',*,*,#198760,.T.); #263922=ORIENTED_EDGE('',*,*,#198759,.T.); #263923=ORIENTED_EDGE('',*,*,#198761,.F.); #263924=ORIENTED_EDGE('',*,*,#198762,.F.); #263925=ORIENTED_EDGE('',*,*,#198763,.T.); #263926=ORIENTED_EDGE('',*,*,#198762,.T.); #263927=ORIENTED_EDGE('',*,*,#198764,.F.); #263928=ORIENTED_EDGE('',*,*,#198765,.F.); #263929=ORIENTED_EDGE('',*,*,#198766,.T.); #263930=ORIENTED_EDGE('',*,*,#198765,.T.); #263931=ORIENTED_EDGE('',*,*,#198767,.F.); #263932=ORIENTED_EDGE('',*,*,#198768,.F.); #263933=ORIENTED_EDGE('',*,*,#198769,.T.); #263934=ORIENTED_EDGE('',*,*,#198768,.T.); #263935=ORIENTED_EDGE('',*,*,#198770,.F.); #263936=ORIENTED_EDGE('',*,*,#198771,.F.); #263937=ORIENTED_EDGE('',*,*,#198772,.T.); #263938=ORIENTED_EDGE('',*,*,#198771,.T.); #263939=ORIENTED_EDGE('',*,*,#198773,.F.); #263940=ORIENTED_EDGE('',*,*,#198774,.F.); #263941=ORIENTED_EDGE('',*,*,#198775,.T.); #263942=ORIENTED_EDGE('',*,*,#198774,.T.); #263943=ORIENTED_EDGE('',*,*,#198776,.F.); #263944=ORIENTED_EDGE('',*,*,#198777,.F.); #263945=ORIENTED_EDGE('',*,*,#198778,.T.); #263946=ORIENTED_EDGE('',*,*,#198777,.T.); #263947=ORIENTED_EDGE('',*,*,#198779,.F.); #263948=ORIENTED_EDGE('',*,*,#198780,.F.); #263949=ORIENTED_EDGE('',*,*,#198781,.T.); #263950=ORIENTED_EDGE('',*,*,#198780,.T.); #263951=ORIENTED_EDGE('',*,*,#198782,.F.); #263952=ORIENTED_EDGE('',*,*,#198783,.F.); #263953=ORIENTED_EDGE('',*,*,#198784,.T.); #263954=ORIENTED_EDGE('',*,*,#198783,.T.); #263955=ORIENTED_EDGE('',*,*,#198785,.F.); #263956=ORIENTED_EDGE('',*,*,#198786,.F.); #263957=ORIENTED_EDGE('',*,*,#198787,.T.); #263958=ORIENTED_EDGE('',*,*,#198786,.T.); #263959=ORIENTED_EDGE('',*,*,#198788,.F.); #263960=ORIENTED_EDGE('',*,*,#198789,.F.); #263961=ORIENTED_EDGE('',*,*,#198790,.T.); #263962=ORIENTED_EDGE('',*,*,#198789,.T.); #263963=ORIENTED_EDGE('',*,*,#198791,.F.); #263964=ORIENTED_EDGE('',*,*,#198792,.F.); #263965=ORIENTED_EDGE('',*,*,#198793,.T.); #263966=ORIENTED_EDGE('',*,*,#198792,.T.); #263967=ORIENTED_EDGE('',*,*,#198794,.F.); #263968=ORIENTED_EDGE('',*,*,#198795,.F.); #263969=ORIENTED_EDGE('',*,*,#198796,.T.); #263970=ORIENTED_EDGE('',*,*,#198795,.T.); #263971=ORIENTED_EDGE('',*,*,#198797,.F.); #263972=ORIENTED_EDGE('',*,*,#198798,.F.); #263973=ORIENTED_EDGE('',*,*,#198799,.T.); #263974=ORIENTED_EDGE('',*,*,#198798,.T.); #263975=ORIENTED_EDGE('',*,*,#198800,.F.); #263976=ORIENTED_EDGE('',*,*,#198801,.F.); #263977=ORIENTED_EDGE('',*,*,#198802,.T.); #263978=ORIENTED_EDGE('',*,*,#198801,.T.); #263979=ORIENTED_EDGE('',*,*,#198803,.F.); #263980=ORIENTED_EDGE('',*,*,#198804,.F.); #263981=ORIENTED_EDGE('',*,*,#198805,.T.); #263982=ORIENTED_EDGE('',*,*,#198804,.T.); #263983=ORIENTED_EDGE('',*,*,#198806,.F.); #263984=ORIENTED_EDGE('',*,*,#198807,.F.); #263985=ORIENTED_EDGE('',*,*,#198808,.T.); #263986=ORIENTED_EDGE('',*,*,#198807,.T.); #263987=ORIENTED_EDGE('',*,*,#198809,.F.); #263988=ORIENTED_EDGE('',*,*,#198810,.F.); #263989=ORIENTED_EDGE('',*,*,#198811,.T.); #263990=ORIENTED_EDGE('',*,*,#198810,.T.); #263991=ORIENTED_EDGE('',*,*,#198812,.F.); #263992=ORIENTED_EDGE('',*,*,#198813,.F.); #263993=ORIENTED_EDGE('',*,*,#198814,.T.); #263994=ORIENTED_EDGE('',*,*,#198813,.T.); #263995=ORIENTED_EDGE('',*,*,#198815,.F.); #263996=ORIENTED_EDGE('',*,*,#198816,.F.); #263997=ORIENTED_EDGE('',*,*,#198817,.T.); #263998=ORIENTED_EDGE('',*,*,#198816,.T.); #263999=ORIENTED_EDGE('',*,*,#198818,.F.); #264000=ORIENTED_EDGE('',*,*,#198819,.F.); #264001=ORIENTED_EDGE('',*,*,#198820,.T.); #264002=ORIENTED_EDGE('',*,*,#198819,.T.); #264003=ORIENTED_EDGE('',*,*,#198821,.F.); #264004=ORIENTED_EDGE('',*,*,#198822,.F.); #264005=ORIENTED_EDGE('',*,*,#198823,.T.); #264006=ORIENTED_EDGE('',*,*,#198822,.T.); #264007=ORIENTED_EDGE('',*,*,#198824,.F.); #264008=ORIENTED_EDGE('',*,*,#198825,.F.); #264009=ORIENTED_EDGE('',*,*,#198826,.T.); #264010=ORIENTED_EDGE('',*,*,#198825,.T.); #264011=ORIENTED_EDGE('',*,*,#198827,.F.); #264012=ORIENTED_EDGE('',*,*,#198828,.F.); #264013=ORIENTED_EDGE('',*,*,#198829,.T.); #264014=ORIENTED_EDGE('',*,*,#198828,.T.); #264015=ORIENTED_EDGE('',*,*,#198830,.F.); #264016=ORIENTED_EDGE('',*,*,#198831,.F.); #264017=ORIENTED_EDGE('',*,*,#198832,.T.); #264018=ORIENTED_EDGE('',*,*,#198831,.T.); #264019=ORIENTED_EDGE('',*,*,#198833,.F.); #264020=ORIENTED_EDGE('',*,*,#198834,.F.); #264021=ORIENTED_EDGE('',*,*,#198835,.T.); #264022=ORIENTED_EDGE('',*,*,#198834,.T.); #264023=ORIENTED_EDGE('',*,*,#198836,.F.); #264024=ORIENTED_EDGE('',*,*,#198837,.F.); #264025=ORIENTED_EDGE('',*,*,#198838,.T.); #264026=ORIENTED_EDGE('',*,*,#198837,.T.); #264027=ORIENTED_EDGE('',*,*,#198839,.F.); #264028=ORIENTED_EDGE('',*,*,#198840,.F.); #264029=ORIENTED_EDGE('',*,*,#198841,.T.); #264030=ORIENTED_EDGE('',*,*,#198840,.T.); #264031=ORIENTED_EDGE('',*,*,#198842,.F.); #264032=ORIENTED_EDGE('',*,*,#198724,.F.); #264033=ORIENTED_EDGE('',*,*,#198842,.T.); #264034=ORIENTED_EDGE('',*,*,#198839,.T.); #264035=ORIENTED_EDGE('',*,*,#198836,.T.); #264036=ORIENTED_EDGE('',*,*,#198833,.T.); #264037=ORIENTED_EDGE('',*,*,#198830,.T.); #264038=ORIENTED_EDGE('',*,*,#198827,.T.); #264039=ORIENTED_EDGE('',*,*,#198824,.T.); #264040=ORIENTED_EDGE('',*,*,#198821,.T.); #264041=ORIENTED_EDGE('',*,*,#198818,.T.); #264042=ORIENTED_EDGE('',*,*,#198815,.T.); #264043=ORIENTED_EDGE('',*,*,#198812,.T.); #264044=ORIENTED_EDGE('',*,*,#198809,.T.); #264045=ORIENTED_EDGE('',*,*,#198806,.T.); #264046=ORIENTED_EDGE('',*,*,#198803,.T.); #264047=ORIENTED_EDGE('',*,*,#198800,.T.); #264048=ORIENTED_EDGE('',*,*,#198797,.T.); #264049=ORIENTED_EDGE('',*,*,#198794,.T.); #264050=ORIENTED_EDGE('',*,*,#198791,.T.); #264051=ORIENTED_EDGE('',*,*,#198788,.T.); #264052=ORIENTED_EDGE('',*,*,#198785,.T.); #264053=ORIENTED_EDGE('',*,*,#198782,.T.); #264054=ORIENTED_EDGE('',*,*,#198779,.T.); #264055=ORIENTED_EDGE('',*,*,#198776,.T.); #264056=ORIENTED_EDGE('',*,*,#198773,.T.); #264057=ORIENTED_EDGE('',*,*,#198770,.T.); #264058=ORIENTED_EDGE('',*,*,#198767,.T.); #264059=ORIENTED_EDGE('',*,*,#198764,.T.); #264060=ORIENTED_EDGE('',*,*,#198761,.T.); #264061=ORIENTED_EDGE('',*,*,#198758,.T.); #264062=ORIENTED_EDGE('',*,*,#198755,.T.); #264063=ORIENTED_EDGE('',*,*,#198752,.T.); #264064=ORIENTED_EDGE('',*,*,#198749,.T.); #264065=ORIENTED_EDGE('',*,*,#198746,.T.); #264066=ORIENTED_EDGE('',*,*,#198743,.T.); #264067=ORIENTED_EDGE('',*,*,#198740,.T.); #264068=ORIENTED_EDGE('',*,*,#198737,.T.); #264069=ORIENTED_EDGE('',*,*,#198734,.T.); #264070=ORIENTED_EDGE('',*,*,#198731,.T.); #264071=ORIENTED_EDGE('',*,*,#198728,.T.); #264072=ORIENTED_EDGE('',*,*,#198725,.T.); #264073=ORIENTED_EDGE('',*,*,#198841,.F.); #264074=ORIENTED_EDGE('',*,*,#198723,.F.); #264075=ORIENTED_EDGE('',*,*,#198727,.F.); #264076=ORIENTED_EDGE('',*,*,#198730,.F.); #264077=ORIENTED_EDGE('',*,*,#198733,.F.); #264078=ORIENTED_EDGE('',*,*,#198736,.F.); #264079=ORIENTED_EDGE('',*,*,#198739,.F.); #264080=ORIENTED_EDGE('',*,*,#198742,.F.); #264081=ORIENTED_EDGE('',*,*,#198745,.F.); #264082=ORIENTED_EDGE('',*,*,#198748,.F.); #264083=ORIENTED_EDGE('',*,*,#198751,.F.); #264084=ORIENTED_EDGE('',*,*,#198754,.F.); #264085=ORIENTED_EDGE('',*,*,#198757,.F.); #264086=ORIENTED_EDGE('',*,*,#198760,.F.); #264087=ORIENTED_EDGE('',*,*,#198763,.F.); #264088=ORIENTED_EDGE('',*,*,#198766,.F.); #264089=ORIENTED_EDGE('',*,*,#198769,.F.); #264090=ORIENTED_EDGE('',*,*,#198772,.F.); #264091=ORIENTED_EDGE('',*,*,#198775,.F.); #264092=ORIENTED_EDGE('',*,*,#198778,.F.); #264093=ORIENTED_EDGE('',*,*,#198781,.F.); #264094=ORIENTED_EDGE('',*,*,#198784,.F.); #264095=ORIENTED_EDGE('',*,*,#198787,.F.); #264096=ORIENTED_EDGE('',*,*,#198790,.F.); #264097=ORIENTED_EDGE('',*,*,#198793,.F.); #264098=ORIENTED_EDGE('',*,*,#198796,.F.); #264099=ORIENTED_EDGE('',*,*,#198799,.F.); #264100=ORIENTED_EDGE('',*,*,#198802,.F.); #264101=ORIENTED_EDGE('',*,*,#198805,.F.); #264102=ORIENTED_EDGE('',*,*,#198808,.F.); #264103=ORIENTED_EDGE('',*,*,#198811,.F.); #264104=ORIENTED_EDGE('',*,*,#198814,.F.); #264105=ORIENTED_EDGE('',*,*,#198817,.F.); #264106=ORIENTED_EDGE('',*,*,#198820,.F.); #264107=ORIENTED_EDGE('',*,*,#198823,.F.); #264108=ORIENTED_EDGE('',*,*,#198826,.F.); #264109=ORIENTED_EDGE('',*,*,#198829,.F.); #264110=ORIENTED_EDGE('',*,*,#198832,.F.); #264111=ORIENTED_EDGE('',*,*,#198835,.F.); #264112=ORIENTED_EDGE('',*,*,#198838,.F.); #264113=ORIENTED_EDGE('',*,*,#198843,.T.); #264114=ORIENTED_EDGE('',*,*,#198844,.T.); #264115=ORIENTED_EDGE('',*,*,#198845,.F.); #264116=ORIENTED_EDGE('',*,*,#198846,.F.); #264117=ORIENTED_EDGE('',*,*,#198847,.T.); #264118=ORIENTED_EDGE('',*,*,#198846,.T.); #264119=ORIENTED_EDGE('',*,*,#198848,.F.); #264120=ORIENTED_EDGE('',*,*,#198849,.F.); #264121=ORIENTED_EDGE('',*,*,#198850,.T.); #264122=ORIENTED_EDGE('',*,*,#198849,.T.); #264123=ORIENTED_EDGE('',*,*,#198851,.F.); #264124=ORIENTED_EDGE('',*,*,#198852,.F.); #264125=ORIENTED_EDGE('',*,*,#198853,.T.); #264126=ORIENTED_EDGE('',*,*,#198852,.T.); #264127=ORIENTED_EDGE('',*,*,#198854,.F.); #264128=ORIENTED_EDGE('',*,*,#198855,.F.); #264129=ORIENTED_EDGE('',*,*,#198856,.T.); #264130=ORIENTED_EDGE('',*,*,#198855,.T.); #264131=ORIENTED_EDGE('',*,*,#198857,.F.); #264132=ORIENTED_EDGE('',*,*,#198858,.F.); #264133=ORIENTED_EDGE('',*,*,#198859,.T.); #264134=ORIENTED_EDGE('',*,*,#198858,.T.); #264135=ORIENTED_EDGE('',*,*,#198860,.F.); #264136=ORIENTED_EDGE('',*,*,#198861,.F.); #264137=ORIENTED_EDGE('',*,*,#198862,.T.); #264138=ORIENTED_EDGE('',*,*,#198861,.T.); #264139=ORIENTED_EDGE('',*,*,#198863,.F.); #264140=ORIENTED_EDGE('',*,*,#198864,.F.); #264141=ORIENTED_EDGE('',*,*,#198865,.T.); #264142=ORIENTED_EDGE('',*,*,#198864,.T.); #264143=ORIENTED_EDGE('',*,*,#198866,.F.); #264144=ORIENTED_EDGE('',*,*,#198867,.F.); #264145=ORIENTED_EDGE('',*,*,#198868,.T.); #264146=ORIENTED_EDGE('',*,*,#198867,.T.); #264147=ORIENTED_EDGE('',*,*,#198869,.F.); #264148=ORIENTED_EDGE('',*,*,#198870,.F.); #264149=ORIENTED_EDGE('',*,*,#198871,.T.); #264150=ORIENTED_EDGE('',*,*,#198870,.T.); #264151=ORIENTED_EDGE('',*,*,#198872,.F.); #264152=ORIENTED_EDGE('',*,*,#198873,.F.); #264153=ORIENTED_EDGE('',*,*,#198874,.T.); #264154=ORIENTED_EDGE('',*,*,#198873,.T.); #264155=ORIENTED_EDGE('',*,*,#198875,.F.); #264156=ORIENTED_EDGE('',*,*,#198876,.F.); #264157=ORIENTED_EDGE('',*,*,#198877,.T.); #264158=ORIENTED_EDGE('',*,*,#198876,.T.); #264159=ORIENTED_EDGE('',*,*,#198878,.F.); #264160=ORIENTED_EDGE('',*,*,#198879,.F.); #264161=ORIENTED_EDGE('',*,*,#198880,.T.); #264162=ORIENTED_EDGE('',*,*,#198879,.T.); #264163=ORIENTED_EDGE('',*,*,#198881,.F.); #264164=ORIENTED_EDGE('',*,*,#198882,.F.); #264165=ORIENTED_EDGE('',*,*,#198883,.T.); #264166=ORIENTED_EDGE('',*,*,#198882,.T.); #264167=ORIENTED_EDGE('',*,*,#198884,.F.); #264168=ORIENTED_EDGE('',*,*,#198885,.F.); #264169=ORIENTED_EDGE('',*,*,#198886,.T.); #264170=ORIENTED_EDGE('',*,*,#198885,.T.); #264171=ORIENTED_EDGE('',*,*,#198887,.F.); #264172=ORIENTED_EDGE('',*,*,#198888,.F.); #264173=ORIENTED_EDGE('',*,*,#198889,.T.); #264174=ORIENTED_EDGE('',*,*,#198888,.T.); #264175=ORIENTED_EDGE('',*,*,#198890,.F.); #264176=ORIENTED_EDGE('',*,*,#198891,.F.); #264177=ORIENTED_EDGE('',*,*,#198892,.T.); #264178=ORIENTED_EDGE('',*,*,#198891,.T.); #264179=ORIENTED_EDGE('',*,*,#198893,.F.); #264180=ORIENTED_EDGE('',*,*,#198894,.F.); #264181=ORIENTED_EDGE('',*,*,#198895,.T.); #264182=ORIENTED_EDGE('',*,*,#198894,.T.); #264183=ORIENTED_EDGE('',*,*,#198896,.F.); #264184=ORIENTED_EDGE('',*,*,#198897,.F.); #264185=ORIENTED_EDGE('',*,*,#198898,.T.); #264186=ORIENTED_EDGE('',*,*,#198897,.T.); #264187=ORIENTED_EDGE('',*,*,#198899,.F.); #264188=ORIENTED_EDGE('',*,*,#198900,.F.); #264189=ORIENTED_EDGE('',*,*,#198901,.T.); #264190=ORIENTED_EDGE('',*,*,#198900,.T.); #264191=ORIENTED_EDGE('',*,*,#198902,.F.); #264192=ORIENTED_EDGE('',*,*,#198844,.F.); #264193=ORIENTED_EDGE('',*,*,#198902,.T.); #264194=ORIENTED_EDGE('',*,*,#198899,.T.); #264195=ORIENTED_EDGE('',*,*,#198896,.T.); #264196=ORIENTED_EDGE('',*,*,#198893,.T.); #264197=ORIENTED_EDGE('',*,*,#198890,.T.); #264198=ORIENTED_EDGE('',*,*,#198887,.T.); #264199=ORIENTED_EDGE('',*,*,#198884,.T.); #264200=ORIENTED_EDGE('',*,*,#198881,.T.); #264201=ORIENTED_EDGE('',*,*,#198878,.T.); #264202=ORIENTED_EDGE('',*,*,#198875,.T.); #264203=ORIENTED_EDGE('',*,*,#198872,.T.); #264204=ORIENTED_EDGE('',*,*,#198869,.T.); #264205=ORIENTED_EDGE('',*,*,#198866,.T.); #264206=ORIENTED_EDGE('',*,*,#198863,.T.); #264207=ORIENTED_EDGE('',*,*,#198860,.T.); #264208=ORIENTED_EDGE('',*,*,#198857,.T.); #264209=ORIENTED_EDGE('',*,*,#198854,.T.); #264210=ORIENTED_EDGE('',*,*,#198851,.T.); #264211=ORIENTED_EDGE('',*,*,#198848,.T.); #264212=ORIENTED_EDGE('',*,*,#198845,.T.); #264213=ORIENTED_EDGE('',*,*,#198901,.F.); #264214=ORIENTED_EDGE('',*,*,#198843,.F.); #264215=ORIENTED_EDGE('',*,*,#198847,.F.); #264216=ORIENTED_EDGE('',*,*,#198850,.F.); #264217=ORIENTED_EDGE('',*,*,#198853,.F.); #264218=ORIENTED_EDGE('',*,*,#198856,.F.); #264219=ORIENTED_EDGE('',*,*,#198859,.F.); #264220=ORIENTED_EDGE('',*,*,#198862,.F.); #264221=ORIENTED_EDGE('',*,*,#198865,.F.); #264222=ORIENTED_EDGE('',*,*,#198868,.F.); #264223=ORIENTED_EDGE('',*,*,#198871,.F.); #264224=ORIENTED_EDGE('',*,*,#198874,.F.); #264225=ORIENTED_EDGE('',*,*,#198877,.F.); #264226=ORIENTED_EDGE('',*,*,#198880,.F.); #264227=ORIENTED_EDGE('',*,*,#198883,.F.); #264228=ORIENTED_EDGE('',*,*,#198886,.F.); #264229=ORIENTED_EDGE('',*,*,#198889,.F.); #264230=ORIENTED_EDGE('',*,*,#198892,.F.); #264231=ORIENTED_EDGE('',*,*,#198895,.F.); #264232=ORIENTED_EDGE('',*,*,#198898,.F.); #264233=ORIENTED_EDGE('',*,*,#198903,.T.); #264234=ORIENTED_EDGE('',*,*,#198904,.T.); #264235=ORIENTED_EDGE('',*,*,#198905,.F.); #264236=ORIENTED_EDGE('',*,*,#198906,.F.); #264237=ORIENTED_EDGE('',*,*,#198907,.T.); #264238=ORIENTED_EDGE('',*,*,#198906,.T.); #264239=ORIENTED_EDGE('',*,*,#198908,.F.); #264240=ORIENTED_EDGE('',*,*,#198909,.F.); #264241=ORIENTED_EDGE('',*,*,#198910,.T.); #264242=ORIENTED_EDGE('',*,*,#198909,.T.); #264243=ORIENTED_EDGE('',*,*,#198911,.F.); #264244=ORIENTED_EDGE('',*,*,#198912,.F.); #264245=ORIENTED_EDGE('',*,*,#198913,.T.); #264246=ORIENTED_EDGE('',*,*,#198912,.T.); #264247=ORIENTED_EDGE('',*,*,#198914,.F.); #264248=ORIENTED_EDGE('',*,*,#198915,.F.); #264249=ORIENTED_EDGE('',*,*,#198916,.T.); #264250=ORIENTED_EDGE('',*,*,#198915,.T.); #264251=ORIENTED_EDGE('',*,*,#198917,.F.); #264252=ORIENTED_EDGE('',*,*,#198918,.F.); #264253=ORIENTED_EDGE('',*,*,#198919,.T.); #264254=ORIENTED_EDGE('',*,*,#198918,.T.); #264255=ORIENTED_EDGE('',*,*,#198920,.F.); #264256=ORIENTED_EDGE('',*,*,#198921,.F.); #264257=ORIENTED_EDGE('',*,*,#198922,.T.); #264258=ORIENTED_EDGE('',*,*,#198921,.T.); #264259=ORIENTED_EDGE('',*,*,#198923,.F.); #264260=ORIENTED_EDGE('',*,*,#198924,.F.); #264261=ORIENTED_EDGE('',*,*,#198925,.T.); #264262=ORIENTED_EDGE('',*,*,#198924,.T.); #264263=ORIENTED_EDGE('',*,*,#198926,.F.); #264264=ORIENTED_EDGE('',*,*,#198927,.F.); #264265=ORIENTED_EDGE('',*,*,#198928,.T.); #264266=ORIENTED_EDGE('',*,*,#198927,.T.); #264267=ORIENTED_EDGE('',*,*,#198929,.F.); #264268=ORIENTED_EDGE('',*,*,#198930,.F.); #264269=ORIENTED_EDGE('',*,*,#198931,.T.); #264270=ORIENTED_EDGE('',*,*,#198930,.T.); #264271=ORIENTED_EDGE('',*,*,#198932,.F.); #264272=ORIENTED_EDGE('',*,*,#198933,.F.); #264273=ORIENTED_EDGE('',*,*,#198934,.T.); #264274=ORIENTED_EDGE('',*,*,#198933,.T.); #264275=ORIENTED_EDGE('',*,*,#198935,.F.); #264276=ORIENTED_EDGE('',*,*,#198936,.F.); #264277=ORIENTED_EDGE('',*,*,#198937,.T.); #264278=ORIENTED_EDGE('',*,*,#198936,.T.); #264279=ORIENTED_EDGE('',*,*,#198938,.F.); #264280=ORIENTED_EDGE('',*,*,#198939,.F.); #264281=ORIENTED_EDGE('',*,*,#198940,.T.); #264282=ORIENTED_EDGE('',*,*,#198939,.T.); #264283=ORIENTED_EDGE('',*,*,#198941,.F.); #264284=ORIENTED_EDGE('',*,*,#198942,.F.); #264285=ORIENTED_EDGE('',*,*,#198943,.T.); #264286=ORIENTED_EDGE('',*,*,#198942,.T.); #264287=ORIENTED_EDGE('',*,*,#198944,.F.); #264288=ORIENTED_EDGE('',*,*,#198945,.F.); #264289=ORIENTED_EDGE('',*,*,#198946,.T.); #264290=ORIENTED_EDGE('',*,*,#198945,.T.); #264291=ORIENTED_EDGE('',*,*,#198947,.F.); #264292=ORIENTED_EDGE('',*,*,#198948,.F.); #264293=ORIENTED_EDGE('',*,*,#198949,.T.); #264294=ORIENTED_EDGE('',*,*,#198948,.T.); #264295=ORIENTED_EDGE('',*,*,#198950,.F.); #264296=ORIENTED_EDGE('',*,*,#198951,.F.); #264297=ORIENTED_EDGE('',*,*,#198952,.T.); #264298=ORIENTED_EDGE('',*,*,#198951,.T.); #264299=ORIENTED_EDGE('',*,*,#198953,.F.); #264300=ORIENTED_EDGE('',*,*,#198954,.F.); #264301=ORIENTED_EDGE('',*,*,#198955,.T.); #264302=ORIENTED_EDGE('',*,*,#198954,.T.); #264303=ORIENTED_EDGE('',*,*,#198956,.F.); #264304=ORIENTED_EDGE('',*,*,#198957,.F.); #264305=ORIENTED_EDGE('',*,*,#198958,.T.); #264306=ORIENTED_EDGE('',*,*,#198957,.T.); #264307=ORIENTED_EDGE('',*,*,#198959,.F.); #264308=ORIENTED_EDGE('',*,*,#198960,.F.); #264309=ORIENTED_EDGE('',*,*,#198961,.T.); #264310=ORIENTED_EDGE('',*,*,#198960,.T.); #264311=ORIENTED_EDGE('',*,*,#198962,.F.); #264312=ORIENTED_EDGE('',*,*,#198904,.F.); #264313=ORIENTED_EDGE('',*,*,#198962,.T.); #264314=ORIENTED_EDGE('',*,*,#198959,.T.); #264315=ORIENTED_EDGE('',*,*,#198956,.T.); #264316=ORIENTED_EDGE('',*,*,#198953,.T.); #264317=ORIENTED_EDGE('',*,*,#198950,.T.); #264318=ORIENTED_EDGE('',*,*,#198947,.T.); #264319=ORIENTED_EDGE('',*,*,#198944,.T.); #264320=ORIENTED_EDGE('',*,*,#198941,.T.); #264321=ORIENTED_EDGE('',*,*,#198938,.T.); #264322=ORIENTED_EDGE('',*,*,#198935,.T.); #264323=ORIENTED_EDGE('',*,*,#198932,.T.); #264324=ORIENTED_EDGE('',*,*,#198929,.T.); #264325=ORIENTED_EDGE('',*,*,#198926,.T.); #264326=ORIENTED_EDGE('',*,*,#198923,.T.); #264327=ORIENTED_EDGE('',*,*,#198920,.T.); #264328=ORIENTED_EDGE('',*,*,#198917,.T.); #264329=ORIENTED_EDGE('',*,*,#198914,.T.); #264330=ORIENTED_EDGE('',*,*,#198911,.T.); #264331=ORIENTED_EDGE('',*,*,#198908,.T.); #264332=ORIENTED_EDGE('',*,*,#198905,.T.); #264333=ORIENTED_EDGE('',*,*,#198961,.F.); #264334=ORIENTED_EDGE('',*,*,#198903,.F.); #264335=ORIENTED_EDGE('',*,*,#198907,.F.); #264336=ORIENTED_EDGE('',*,*,#198910,.F.); #264337=ORIENTED_EDGE('',*,*,#198913,.F.); #264338=ORIENTED_EDGE('',*,*,#198916,.F.); #264339=ORIENTED_EDGE('',*,*,#198919,.F.); #264340=ORIENTED_EDGE('',*,*,#198922,.F.); #264341=ORIENTED_EDGE('',*,*,#198925,.F.); #264342=ORIENTED_EDGE('',*,*,#198928,.F.); #264343=ORIENTED_EDGE('',*,*,#198931,.F.); #264344=ORIENTED_EDGE('',*,*,#198934,.F.); #264345=ORIENTED_EDGE('',*,*,#198937,.F.); #264346=ORIENTED_EDGE('',*,*,#198940,.F.); #264347=ORIENTED_EDGE('',*,*,#198943,.F.); #264348=ORIENTED_EDGE('',*,*,#198946,.F.); #264349=ORIENTED_EDGE('',*,*,#198949,.F.); #264350=ORIENTED_EDGE('',*,*,#198952,.F.); #264351=ORIENTED_EDGE('',*,*,#198955,.F.); #264352=ORIENTED_EDGE('',*,*,#198958,.F.); #264353=ORIENTED_EDGE('',*,*,#198963,.T.); #264354=ORIENTED_EDGE('',*,*,#198964,.T.); #264355=ORIENTED_EDGE('',*,*,#198965,.F.); #264356=ORIENTED_EDGE('',*,*,#198966,.F.); #264357=ORIENTED_EDGE('',*,*,#198967,.T.); #264358=ORIENTED_EDGE('',*,*,#198966,.T.); #264359=ORIENTED_EDGE('',*,*,#198968,.F.); #264360=ORIENTED_EDGE('',*,*,#198969,.F.); #264361=ORIENTED_EDGE('',*,*,#198970,.T.); #264362=ORIENTED_EDGE('',*,*,#198969,.T.); #264363=ORIENTED_EDGE('',*,*,#198971,.F.); #264364=ORIENTED_EDGE('',*,*,#198972,.F.); #264365=ORIENTED_EDGE('',*,*,#198973,.T.); #264366=ORIENTED_EDGE('',*,*,#198972,.T.); #264367=ORIENTED_EDGE('',*,*,#198974,.F.); #264368=ORIENTED_EDGE('',*,*,#198975,.F.); #264369=ORIENTED_EDGE('',*,*,#198976,.T.); #264370=ORIENTED_EDGE('',*,*,#198975,.T.); #264371=ORIENTED_EDGE('',*,*,#198977,.F.); #264372=ORIENTED_EDGE('',*,*,#198978,.F.); #264373=ORIENTED_EDGE('',*,*,#198979,.T.); #264374=ORIENTED_EDGE('',*,*,#198978,.T.); #264375=ORIENTED_EDGE('',*,*,#198980,.F.); #264376=ORIENTED_EDGE('',*,*,#198981,.F.); #264377=ORIENTED_EDGE('',*,*,#198982,.T.); #264378=ORIENTED_EDGE('',*,*,#198981,.T.); #264379=ORIENTED_EDGE('',*,*,#198983,.F.); #264380=ORIENTED_EDGE('',*,*,#198984,.F.); #264381=ORIENTED_EDGE('',*,*,#198985,.T.); #264382=ORIENTED_EDGE('',*,*,#198984,.T.); #264383=ORIENTED_EDGE('',*,*,#198986,.F.); #264384=ORIENTED_EDGE('',*,*,#198987,.F.); #264385=ORIENTED_EDGE('',*,*,#198988,.T.); #264386=ORIENTED_EDGE('',*,*,#198987,.T.); #264387=ORIENTED_EDGE('',*,*,#198989,.F.); #264388=ORIENTED_EDGE('',*,*,#198990,.F.); #264389=ORIENTED_EDGE('',*,*,#198991,.T.); #264390=ORIENTED_EDGE('',*,*,#198990,.T.); #264391=ORIENTED_EDGE('',*,*,#198992,.F.); #264392=ORIENTED_EDGE('',*,*,#198993,.F.); #264393=ORIENTED_EDGE('',*,*,#198994,.T.); #264394=ORIENTED_EDGE('',*,*,#198993,.T.); #264395=ORIENTED_EDGE('',*,*,#198995,.F.); #264396=ORIENTED_EDGE('',*,*,#198996,.F.); #264397=ORIENTED_EDGE('',*,*,#198997,.T.); #264398=ORIENTED_EDGE('',*,*,#198996,.T.); #264399=ORIENTED_EDGE('',*,*,#198998,.F.); #264400=ORIENTED_EDGE('',*,*,#198999,.F.); #264401=ORIENTED_EDGE('',*,*,#199000,.T.); #264402=ORIENTED_EDGE('',*,*,#198999,.T.); #264403=ORIENTED_EDGE('',*,*,#199001,.F.); #264404=ORIENTED_EDGE('',*,*,#199002,.F.); #264405=ORIENTED_EDGE('',*,*,#199003,.T.); #264406=ORIENTED_EDGE('',*,*,#199002,.T.); #264407=ORIENTED_EDGE('',*,*,#199004,.F.); #264408=ORIENTED_EDGE('',*,*,#199005,.F.); #264409=ORIENTED_EDGE('',*,*,#199006,.T.); #264410=ORIENTED_EDGE('',*,*,#199005,.T.); #264411=ORIENTED_EDGE('',*,*,#199007,.F.); #264412=ORIENTED_EDGE('',*,*,#199008,.F.); #264413=ORIENTED_EDGE('',*,*,#199009,.T.); #264414=ORIENTED_EDGE('',*,*,#199008,.T.); #264415=ORIENTED_EDGE('',*,*,#199010,.F.); #264416=ORIENTED_EDGE('',*,*,#199011,.F.); #264417=ORIENTED_EDGE('',*,*,#199012,.T.); #264418=ORIENTED_EDGE('',*,*,#199011,.T.); #264419=ORIENTED_EDGE('',*,*,#199013,.F.); #264420=ORIENTED_EDGE('',*,*,#199014,.F.); #264421=ORIENTED_EDGE('',*,*,#199015,.T.); #264422=ORIENTED_EDGE('',*,*,#199014,.T.); #264423=ORIENTED_EDGE('',*,*,#199016,.F.); #264424=ORIENTED_EDGE('',*,*,#199017,.F.); #264425=ORIENTED_EDGE('',*,*,#199018,.T.); #264426=ORIENTED_EDGE('',*,*,#199017,.T.); #264427=ORIENTED_EDGE('',*,*,#199019,.F.); #264428=ORIENTED_EDGE('',*,*,#199020,.F.); #264429=ORIENTED_EDGE('',*,*,#199021,.T.); #264430=ORIENTED_EDGE('',*,*,#199020,.T.); #264431=ORIENTED_EDGE('',*,*,#199022,.F.); #264432=ORIENTED_EDGE('',*,*,#198964,.F.); #264433=ORIENTED_EDGE('',*,*,#199022,.T.); #264434=ORIENTED_EDGE('',*,*,#199019,.T.); #264435=ORIENTED_EDGE('',*,*,#199016,.T.); #264436=ORIENTED_EDGE('',*,*,#199013,.T.); #264437=ORIENTED_EDGE('',*,*,#199010,.T.); #264438=ORIENTED_EDGE('',*,*,#199007,.T.); #264439=ORIENTED_EDGE('',*,*,#199004,.T.); #264440=ORIENTED_EDGE('',*,*,#199001,.T.); #264441=ORIENTED_EDGE('',*,*,#198998,.T.); #264442=ORIENTED_EDGE('',*,*,#198995,.T.); #264443=ORIENTED_EDGE('',*,*,#198992,.T.); #264444=ORIENTED_EDGE('',*,*,#198989,.T.); #264445=ORIENTED_EDGE('',*,*,#198986,.T.); #264446=ORIENTED_EDGE('',*,*,#198983,.T.); #264447=ORIENTED_EDGE('',*,*,#198980,.T.); #264448=ORIENTED_EDGE('',*,*,#198977,.T.); #264449=ORIENTED_EDGE('',*,*,#198974,.T.); #264450=ORIENTED_EDGE('',*,*,#198971,.T.); #264451=ORIENTED_EDGE('',*,*,#198968,.T.); #264452=ORIENTED_EDGE('',*,*,#198965,.T.); #264453=ORIENTED_EDGE('',*,*,#199021,.F.); #264454=ORIENTED_EDGE('',*,*,#198963,.F.); #264455=ORIENTED_EDGE('',*,*,#198967,.F.); #264456=ORIENTED_EDGE('',*,*,#198970,.F.); #264457=ORIENTED_EDGE('',*,*,#198973,.F.); #264458=ORIENTED_EDGE('',*,*,#198976,.F.); #264459=ORIENTED_EDGE('',*,*,#198979,.F.); #264460=ORIENTED_EDGE('',*,*,#198982,.F.); #264461=ORIENTED_EDGE('',*,*,#198985,.F.); #264462=ORIENTED_EDGE('',*,*,#198988,.F.); #264463=ORIENTED_EDGE('',*,*,#198991,.F.); #264464=ORIENTED_EDGE('',*,*,#198994,.F.); #264465=ORIENTED_EDGE('',*,*,#198997,.F.); #264466=ORIENTED_EDGE('',*,*,#199000,.F.); #264467=ORIENTED_EDGE('',*,*,#199003,.F.); #264468=ORIENTED_EDGE('',*,*,#199006,.F.); #264469=ORIENTED_EDGE('',*,*,#199009,.F.); #264470=ORIENTED_EDGE('',*,*,#199012,.F.); #264471=ORIENTED_EDGE('',*,*,#199015,.F.); #264472=ORIENTED_EDGE('',*,*,#199018,.F.); #264473=ORIENTED_EDGE('',*,*,#199023,.T.); #264474=ORIENTED_EDGE('',*,*,#199024,.T.); #264475=ORIENTED_EDGE('',*,*,#199025,.F.); #264476=ORIENTED_EDGE('',*,*,#199026,.F.); #264477=ORIENTED_EDGE('',*,*,#199027,.T.); #264478=ORIENTED_EDGE('',*,*,#199026,.T.); #264479=ORIENTED_EDGE('',*,*,#199028,.F.); #264480=ORIENTED_EDGE('',*,*,#199029,.F.); #264481=ORIENTED_EDGE('',*,*,#199030,.T.); #264482=ORIENTED_EDGE('',*,*,#199029,.T.); #264483=ORIENTED_EDGE('',*,*,#199031,.F.); #264484=ORIENTED_EDGE('',*,*,#199032,.F.); #264485=ORIENTED_EDGE('',*,*,#199033,.T.); #264486=ORIENTED_EDGE('',*,*,#199032,.T.); #264487=ORIENTED_EDGE('',*,*,#199034,.F.); #264488=ORIENTED_EDGE('',*,*,#199035,.F.); #264489=ORIENTED_EDGE('',*,*,#199036,.T.); #264490=ORIENTED_EDGE('',*,*,#199035,.T.); #264491=ORIENTED_EDGE('',*,*,#199037,.F.); #264492=ORIENTED_EDGE('',*,*,#199038,.F.); #264493=ORIENTED_EDGE('',*,*,#199039,.T.); #264494=ORIENTED_EDGE('',*,*,#199038,.T.); #264495=ORIENTED_EDGE('',*,*,#199040,.F.); #264496=ORIENTED_EDGE('',*,*,#199041,.F.); #264497=ORIENTED_EDGE('',*,*,#199042,.T.); #264498=ORIENTED_EDGE('',*,*,#199041,.T.); #264499=ORIENTED_EDGE('',*,*,#199043,.F.); #264500=ORIENTED_EDGE('',*,*,#199044,.F.); #264501=ORIENTED_EDGE('',*,*,#199045,.T.); #264502=ORIENTED_EDGE('',*,*,#199044,.T.); #264503=ORIENTED_EDGE('',*,*,#199046,.F.); #264504=ORIENTED_EDGE('',*,*,#199047,.F.); #264505=ORIENTED_EDGE('',*,*,#199048,.T.); #264506=ORIENTED_EDGE('',*,*,#199047,.T.); #264507=ORIENTED_EDGE('',*,*,#199049,.F.); #264508=ORIENTED_EDGE('',*,*,#199050,.F.); #264509=ORIENTED_EDGE('',*,*,#199051,.T.); #264510=ORIENTED_EDGE('',*,*,#199050,.T.); #264511=ORIENTED_EDGE('',*,*,#199052,.F.); #264512=ORIENTED_EDGE('',*,*,#199053,.F.); #264513=ORIENTED_EDGE('',*,*,#199054,.T.); #264514=ORIENTED_EDGE('',*,*,#199053,.T.); #264515=ORIENTED_EDGE('',*,*,#199055,.F.); #264516=ORIENTED_EDGE('',*,*,#199056,.F.); #264517=ORIENTED_EDGE('',*,*,#199057,.T.); #264518=ORIENTED_EDGE('',*,*,#199056,.T.); #264519=ORIENTED_EDGE('',*,*,#199058,.F.); #264520=ORIENTED_EDGE('',*,*,#199059,.F.); #264521=ORIENTED_EDGE('',*,*,#199060,.T.); #264522=ORIENTED_EDGE('',*,*,#199059,.T.); #264523=ORIENTED_EDGE('',*,*,#199061,.F.); #264524=ORIENTED_EDGE('',*,*,#199062,.F.); #264525=ORIENTED_EDGE('',*,*,#199063,.T.); #264526=ORIENTED_EDGE('',*,*,#199062,.T.); #264527=ORIENTED_EDGE('',*,*,#199064,.F.); #264528=ORIENTED_EDGE('',*,*,#199065,.F.); #264529=ORIENTED_EDGE('',*,*,#199066,.T.); #264530=ORIENTED_EDGE('',*,*,#199065,.T.); #264531=ORIENTED_EDGE('',*,*,#199067,.F.); #264532=ORIENTED_EDGE('',*,*,#199068,.F.); #264533=ORIENTED_EDGE('',*,*,#199069,.T.); #264534=ORIENTED_EDGE('',*,*,#199068,.T.); #264535=ORIENTED_EDGE('',*,*,#199070,.F.); #264536=ORIENTED_EDGE('',*,*,#199071,.F.); #264537=ORIENTED_EDGE('',*,*,#199072,.T.); #264538=ORIENTED_EDGE('',*,*,#199071,.T.); #264539=ORIENTED_EDGE('',*,*,#199073,.F.); #264540=ORIENTED_EDGE('',*,*,#199074,.F.); #264541=ORIENTED_EDGE('',*,*,#199075,.T.); #264542=ORIENTED_EDGE('',*,*,#199074,.T.); #264543=ORIENTED_EDGE('',*,*,#199076,.F.); #264544=ORIENTED_EDGE('',*,*,#199077,.F.); #264545=ORIENTED_EDGE('',*,*,#199078,.T.); #264546=ORIENTED_EDGE('',*,*,#199077,.T.); #264547=ORIENTED_EDGE('',*,*,#199079,.F.); #264548=ORIENTED_EDGE('',*,*,#199080,.F.); #264549=ORIENTED_EDGE('',*,*,#199081,.T.); #264550=ORIENTED_EDGE('',*,*,#199080,.T.); #264551=ORIENTED_EDGE('',*,*,#199082,.F.); #264552=ORIENTED_EDGE('',*,*,#199083,.F.); #264553=ORIENTED_EDGE('',*,*,#199084,.T.); #264554=ORIENTED_EDGE('',*,*,#199083,.T.); #264555=ORIENTED_EDGE('',*,*,#199085,.F.); #264556=ORIENTED_EDGE('',*,*,#199086,.F.); #264557=ORIENTED_EDGE('',*,*,#199087,.T.); #264558=ORIENTED_EDGE('',*,*,#199086,.T.); #264559=ORIENTED_EDGE('',*,*,#199088,.F.); #264560=ORIENTED_EDGE('',*,*,#199089,.F.); #264561=ORIENTED_EDGE('',*,*,#199090,.T.); #264562=ORIENTED_EDGE('',*,*,#199089,.T.); #264563=ORIENTED_EDGE('',*,*,#199091,.F.); #264564=ORIENTED_EDGE('',*,*,#199092,.F.); #264565=ORIENTED_EDGE('',*,*,#199093,.T.); #264566=ORIENTED_EDGE('',*,*,#199092,.T.); #264567=ORIENTED_EDGE('',*,*,#199094,.F.); #264568=ORIENTED_EDGE('',*,*,#199095,.F.); #264569=ORIENTED_EDGE('',*,*,#199096,.T.); #264570=ORIENTED_EDGE('',*,*,#199095,.T.); #264571=ORIENTED_EDGE('',*,*,#199097,.F.); #264572=ORIENTED_EDGE('',*,*,#199098,.F.); #264573=ORIENTED_EDGE('',*,*,#199099,.T.); #264574=ORIENTED_EDGE('',*,*,#199098,.T.); #264575=ORIENTED_EDGE('',*,*,#199100,.F.); #264576=ORIENTED_EDGE('',*,*,#199101,.F.); #264577=ORIENTED_EDGE('',*,*,#199102,.T.); #264578=ORIENTED_EDGE('',*,*,#199101,.T.); #264579=ORIENTED_EDGE('',*,*,#199103,.F.); #264580=ORIENTED_EDGE('',*,*,#199104,.F.); #264581=ORIENTED_EDGE('',*,*,#199105,.T.); #264582=ORIENTED_EDGE('',*,*,#199104,.T.); #264583=ORIENTED_EDGE('',*,*,#199106,.F.); #264584=ORIENTED_EDGE('',*,*,#199107,.F.); #264585=ORIENTED_EDGE('',*,*,#199108,.T.); #264586=ORIENTED_EDGE('',*,*,#199107,.T.); #264587=ORIENTED_EDGE('',*,*,#199109,.F.); #264588=ORIENTED_EDGE('',*,*,#199110,.F.); #264589=ORIENTED_EDGE('',*,*,#199111,.T.); #264590=ORIENTED_EDGE('',*,*,#199110,.T.); #264591=ORIENTED_EDGE('',*,*,#199112,.F.); #264592=ORIENTED_EDGE('',*,*,#199113,.F.); #264593=ORIENTED_EDGE('',*,*,#199114,.T.); #264594=ORIENTED_EDGE('',*,*,#199113,.T.); #264595=ORIENTED_EDGE('',*,*,#199115,.F.); #264596=ORIENTED_EDGE('',*,*,#199116,.F.); #264597=ORIENTED_EDGE('',*,*,#199117,.T.); #264598=ORIENTED_EDGE('',*,*,#199116,.T.); #264599=ORIENTED_EDGE('',*,*,#199118,.F.); #264600=ORIENTED_EDGE('',*,*,#199119,.F.); #264601=ORIENTED_EDGE('',*,*,#199120,.T.); #264602=ORIENTED_EDGE('',*,*,#199119,.T.); #264603=ORIENTED_EDGE('',*,*,#199121,.F.); #264604=ORIENTED_EDGE('',*,*,#199122,.F.); #264605=ORIENTED_EDGE('',*,*,#199123,.T.); #264606=ORIENTED_EDGE('',*,*,#199122,.T.); #264607=ORIENTED_EDGE('',*,*,#199124,.F.); #264608=ORIENTED_EDGE('',*,*,#199125,.F.); #264609=ORIENTED_EDGE('',*,*,#199126,.T.); #264610=ORIENTED_EDGE('',*,*,#199125,.T.); #264611=ORIENTED_EDGE('',*,*,#199127,.F.); #264612=ORIENTED_EDGE('',*,*,#199128,.F.); #264613=ORIENTED_EDGE('',*,*,#199129,.T.); #264614=ORIENTED_EDGE('',*,*,#199128,.T.); #264615=ORIENTED_EDGE('',*,*,#199130,.F.); #264616=ORIENTED_EDGE('',*,*,#199131,.F.); #264617=ORIENTED_EDGE('',*,*,#199132,.T.); #264618=ORIENTED_EDGE('',*,*,#199131,.T.); #264619=ORIENTED_EDGE('',*,*,#199133,.F.); #264620=ORIENTED_EDGE('',*,*,#199134,.F.); #264621=ORIENTED_EDGE('',*,*,#199135,.T.); #264622=ORIENTED_EDGE('',*,*,#199134,.T.); #264623=ORIENTED_EDGE('',*,*,#199136,.F.); #264624=ORIENTED_EDGE('',*,*,#199137,.F.); #264625=ORIENTED_EDGE('',*,*,#199138,.T.); #264626=ORIENTED_EDGE('',*,*,#199137,.T.); #264627=ORIENTED_EDGE('',*,*,#199139,.F.); #264628=ORIENTED_EDGE('',*,*,#199140,.F.); #264629=ORIENTED_EDGE('',*,*,#199141,.T.); #264630=ORIENTED_EDGE('',*,*,#199140,.T.); #264631=ORIENTED_EDGE('',*,*,#199142,.F.); #264632=ORIENTED_EDGE('',*,*,#199024,.F.); #264633=ORIENTED_EDGE('',*,*,#199142,.T.); #264634=ORIENTED_EDGE('',*,*,#199139,.T.); #264635=ORIENTED_EDGE('',*,*,#199136,.T.); #264636=ORIENTED_EDGE('',*,*,#199133,.T.); #264637=ORIENTED_EDGE('',*,*,#199130,.T.); #264638=ORIENTED_EDGE('',*,*,#199127,.T.); #264639=ORIENTED_EDGE('',*,*,#199124,.T.); #264640=ORIENTED_EDGE('',*,*,#199121,.T.); #264641=ORIENTED_EDGE('',*,*,#199118,.T.); #264642=ORIENTED_EDGE('',*,*,#199115,.T.); #264643=ORIENTED_EDGE('',*,*,#199112,.T.); #264644=ORIENTED_EDGE('',*,*,#199109,.T.); #264645=ORIENTED_EDGE('',*,*,#199106,.T.); #264646=ORIENTED_EDGE('',*,*,#199103,.T.); #264647=ORIENTED_EDGE('',*,*,#199100,.T.); #264648=ORIENTED_EDGE('',*,*,#199097,.T.); #264649=ORIENTED_EDGE('',*,*,#199094,.T.); #264650=ORIENTED_EDGE('',*,*,#199091,.T.); #264651=ORIENTED_EDGE('',*,*,#199088,.T.); #264652=ORIENTED_EDGE('',*,*,#199085,.T.); #264653=ORIENTED_EDGE('',*,*,#199082,.T.); #264654=ORIENTED_EDGE('',*,*,#199079,.T.); #264655=ORIENTED_EDGE('',*,*,#199076,.T.); #264656=ORIENTED_EDGE('',*,*,#199073,.T.); #264657=ORIENTED_EDGE('',*,*,#199070,.T.); #264658=ORIENTED_EDGE('',*,*,#199067,.T.); #264659=ORIENTED_EDGE('',*,*,#199064,.T.); #264660=ORIENTED_EDGE('',*,*,#199061,.T.); #264661=ORIENTED_EDGE('',*,*,#199058,.T.); #264662=ORIENTED_EDGE('',*,*,#199055,.T.); #264663=ORIENTED_EDGE('',*,*,#199052,.T.); #264664=ORIENTED_EDGE('',*,*,#199049,.T.); #264665=ORIENTED_EDGE('',*,*,#199046,.T.); #264666=ORIENTED_EDGE('',*,*,#199043,.T.); #264667=ORIENTED_EDGE('',*,*,#199040,.T.); #264668=ORIENTED_EDGE('',*,*,#199037,.T.); #264669=ORIENTED_EDGE('',*,*,#199034,.T.); #264670=ORIENTED_EDGE('',*,*,#199031,.T.); #264671=ORIENTED_EDGE('',*,*,#199028,.T.); #264672=ORIENTED_EDGE('',*,*,#199025,.T.); #264673=ORIENTED_EDGE('',*,*,#199141,.F.); #264674=ORIENTED_EDGE('',*,*,#199023,.F.); #264675=ORIENTED_EDGE('',*,*,#199027,.F.); #264676=ORIENTED_EDGE('',*,*,#199030,.F.); #264677=ORIENTED_EDGE('',*,*,#199033,.F.); #264678=ORIENTED_EDGE('',*,*,#199036,.F.); #264679=ORIENTED_EDGE('',*,*,#199039,.F.); #264680=ORIENTED_EDGE('',*,*,#199042,.F.); #264681=ORIENTED_EDGE('',*,*,#199045,.F.); #264682=ORIENTED_EDGE('',*,*,#199048,.F.); #264683=ORIENTED_EDGE('',*,*,#199051,.F.); #264684=ORIENTED_EDGE('',*,*,#199054,.F.); #264685=ORIENTED_EDGE('',*,*,#199057,.F.); #264686=ORIENTED_EDGE('',*,*,#199060,.F.); #264687=ORIENTED_EDGE('',*,*,#199063,.F.); #264688=ORIENTED_EDGE('',*,*,#199066,.F.); #264689=ORIENTED_EDGE('',*,*,#199069,.F.); #264690=ORIENTED_EDGE('',*,*,#199072,.F.); #264691=ORIENTED_EDGE('',*,*,#199075,.F.); #264692=ORIENTED_EDGE('',*,*,#199078,.F.); #264693=ORIENTED_EDGE('',*,*,#199081,.F.); #264694=ORIENTED_EDGE('',*,*,#199084,.F.); #264695=ORIENTED_EDGE('',*,*,#199087,.F.); #264696=ORIENTED_EDGE('',*,*,#199090,.F.); #264697=ORIENTED_EDGE('',*,*,#199093,.F.); #264698=ORIENTED_EDGE('',*,*,#199096,.F.); #264699=ORIENTED_EDGE('',*,*,#199099,.F.); #264700=ORIENTED_EDGE('',*,*,#199102,.F.); #264701=ORIENTED_EDGE('',*,*,#199105,.F.); #264702=ORIENTED_EDGE('',*,*,#199108,.F.); #264703=ORIENTED_EDGE('',*,*,#199111,.F.); #264704=ORIENTED_EDGE('',*,*,#199114,.F.); #264705=ORIENTED_EDGE('',*,*,#199117,.F.); #264706=ORIENTED_EDGE('',*,*,#199120,.F.); #264707=ORIENTED_EDGE('',*,*,#199123,.F.); #264708=ORIENTED_EDGE('',*,*,#199126,.F.); #264709=ORIENTED_EDGE('',*,*,#199129,.F.); #264710=ORIENTED_EDGE('',*,*,#199132,.F.); #264711=ORIENTED_EDGE('',*,*,#199135,.F.); #264712=ORIENTED_EDGE('',*,*,#199138,.F.); #264713=ORIENTED_EDGE('',*,*,#199143,.F.); #264714=ORIENTED_EDGE('',*,*,#199144,.T.); #264715=ORIENTED_EDGE('',*,*,#199145,.F.); #264716=ORIENTED_EDGE('',*,*,#199144,.F.); #264717=ORIENTED_EDGE('',*,*,#199146,.F.); #264718=ORIENTED_EDGE('',*,*,#199147,.F.); #264719=ORIENTED_EDGE('',*,*,#199148,.F.); #264720=ORIENTED_EDGE('',*,*,#199149,.T.); #264721=ORIENTED_EDGE('',*,*,#199150,.F.); #264722=ORIENTED_EDGE('',*,*,#199149,.F.); #264723=ORIENTED_EDGE('',*,*,#199151,.F.); #264724=ORIENTED_EDGE('',*,*,#199152,.T.); #264725=ORIENTED_EDGE('',*,*,#199153,.F.); #264726=ORIENTED_EDGE('',*,*,#199152,.F.); #264727=ORIENTED_EDGE('',*,*,#199154,.F.); #264728=ORIENTED_EDGE('',*,*,#199155,.T.); #264729=ORIENTED_EDGE('',*,*,#199156,.F.); #264730=ORIENTED_EDGE('',*,*,#199155,.F.); #264731=ORIENTED_EDGE('',*,*,#199157,.F.); #264732=ORIENTED_EDGE('',*,*,#199147,.T.); #264733=ORIENTED_EDGE('',*,*,#199158,.T.); #264734=ORIENTED_EDGE('',*,*,#199159,.T.); #264735=ORIENTED_EDGE('',*,*,#199160,.F.); #264736=ORIENTED_EDGE('',*,*,#199161,.F.); #264737=ORIENTED_EDGE('',*,*,#199162,.T.); #264738=ORIENTED_EDGE('',*,*,#199161,.T.); #264739=ORIENTED_EDGE('',*,*,#199163,.F.); #264740=ORIENTED_EDGE('',*,*,#199164,.F.); #264741=ORIENTED_EDGE('',*,*,#199165,.T.); #264742=ORIENTED_EDGE('',*,*,#199164,.T.); #264743=ORIENTED_EDGE('',*,*,#199166,.F.); #264744=ORIENTED_EDGE('',*,*,#199167,.F.); #264745=ORIENTED_EDGE('',*,*,#199168,.T.); #264746=ORIENTED_EDGE('',*,*,#199167,.T.); #264747=ORIENTED_EDGE('',*,*,#199169,.F.); #264748=ORIENTED_EDGE('',*,*,#199170,.F.); #264749=ORIENTED_EDGE('',*,*,#199171,.T.); #264750=ORIENTED_EDGE('',*,*,#199170,.T.); #264751=ORIENTED_EDGE('',*,*,#199172,.F.); #264752=ORIENTED_EDGE('',*,*,#199173,.F.); #264753=ORIENTED_EDGE('',*,*,#199174,.T.); #264754=ORIENTED_EDGE('',*,*,#199173,.T.); #264755=ORIENTED_EDGE('',*,*,#199175,.F.); #264756=ORIENTED_EDGE('',*,*,#199176,.F.); #264757=ORIENTED_EDGE('',*,*,#199177,.T.); #264758=ORIENTED_EDGE('',*,*,#199176,.T.); #264759=ORIENTED_EDGE('',*,*,#199178,.F.); #264760=ORIENTED_EDGE('',*,*,#199179,.F.); #264761=ORIENTED_EDGE('',*,*,#199180,.T.); #264762=ORIENTED_EDGE('',*,*,#199179,.T.); #264763=ORIENTED_EDGE('',*,*,#199181,.F.); #264764=ORIENTED_EDGE('',*,*,#199182,.F.); #264765=ORIENTED_EDGE('',*,*,#199183,.T.); #264766=ORIENTED_EDGE('',*,*,#199182,.T.); #264767=ORIENTED_EDGE('',*,*,#199184,.F.); #264768=ORIENTED_EDGE('',*,*,#199185,.F.); #264769=ORIENTED_EDGE('',*,*,#199186,.T.); #264770=ORIENTED_EDGE('',*,*,#199185,.T.); #264771=ORIENTED_EDGE('',*,*,#199187,.F.); #264772=ORIENTED_EDGE('',*,*,#199188,.F.); #264773=ORIENTED_EDGE('',*,*,#199189,.T.); #264774=ORIENTED_EDGE('',*,*,#199188,.T.); #264775=ORIENTED_EDGE('',*,*,#199190,.F.); #264776=ORIENTED_EDGE('',*,*,#199191,.F.); #264777=ORIENTED_EDGE('',*,*,#199192,.T.); #264778=ORIENTED_EDGE('',*,*,#199191,.T.); #264779=ORIENTED_EDGE('',*,*,#199193,.F.); #264780=ORIENTED_EDGE('',*,*,#199194,.F.); #264781=ORIENTED_EDGE('',*,*,#199195,.T.); #264782=ORIENTED_EDGE('',*,*,#199194,.T.); #264783=ORIENTED_EDGE('',*,*,#199196,.F.); #264784=ORIENTED_EDGE('',*,*,#199197,.F.); #264785=ORIENTED_EDGE('',*,*,#199198,.T.); #264786=ORIENTED_EDGE('',*,*,#199197,.T.); #264787=ORIENTED_EDGE('',*,*,#199199,.F.); #264788=ORIENTED_EDGE('',*,*,#199200,.F.); #264789=ORIENTED_EDGE('',*,*,#199201,.T.); #264790=ORIENTED_EDGE('',*,*,#199200,.T.); #264791=ORIENTED_EDGE('',*,*,#199202,.F.); #264792=ORIENTED_EDGE('',*,*,#199203,.F.); #264793=ORIENTED_EDGE('',*,*,#199204,.T.); #264794=ORIENTED_EDGE('',*,*,#199203,.T.); #264795=ORIENTED_EDGE('',*,*,#199205,.F.); #264796=ORIENTED_EDGE('',*,*,#199206,.F.); #264797=ORIENTED_EDGE('',*,*,#199207,.T.); #264798=ORIENTED_EDGE('',*,*,#199206,.T.); #264799=ORIENTED_EDGE('',*,*,#199208,.F.); #264800=ORIENTED_EDGE('',*,*,#199209,.F.); #264801=ORIENTED_EDGE('',*,*,#199210,.T.); #264802=ORIENTED_EDGE('',*,*,#199209,.T.); #264803=ORIENTED_EDGE('',*,*,#199211,.F.); #264804=ORIENTED_EDGE('',*,*,#199212,.F.); #264805=ORIENTED_EDGE('',*,*,#199213,.T.); #264806=ORIENTED_EDGE('',*,*,#199212,.T.); #264807=ORIENTED_EDGE('',*,*,#199214,.F.); #264808=ORIENTED_EDGE('',*,*,#199215,.F.); #264809=ORIENTED_EDGE('',*,*,#199216,.T.); #264810=ORIENTED_EDGE('',*,*,#199215,.T.); #264811=ORIENTED_EDGE('',*,*,#199217,.F.); #264812=ORIENTED_EDGE('',*,*,#199218,.F.); #264813=ORIENTED_EDGE('',*,*,#199219,.T.); #264814=ORIENTED_EDGE('',*,*,#199218,.T.); #264815=ORIENTED_EDGE('',*,*,#199220,.F.); #264816=ORIENTED_EDGE('',*,*,#199221,.F.); #264817=ORIENTED_EDGE('',*,*,#199222,.T.); #264818=ORIENTED_EDGE('',*,*,#199221,.T.); #264819=ORIENTED_EDGE('',*,*,#199223,.F.); #264820=ORIENTED_EDGE('',*,*,#199224,.F.); #264821=ORIENTED_EDGE('',*,*,#199225,.T.); #264822=ORIENTED_EDGE('',*,*,#199224,.T.); #264823=ORIENTED_EDGE('',*,*,#199226,.F.); #264824=ORIENTED_EDGE('',*,*,#199227,.F.); #264825=ORIENTED_EDGE('',*,*,#199228,.T.); #264826=ORIENTED_EDGE('',*,*,#199227,.T.); #264827=ORIENTED_EDGE('',*,*,#199229,.F.); #264828=ORIENTED_EDGE('',*,*,#199230,.F.); #264829=ORIENTED_EDGE('',*,*,#199231,.T.); #264830=ORIENTED_EDGE('',*,*,#199230,.T.); #264831=ORIENTED_EDGE('',*,*,#199232,.F.); #264832=ORIENTED_EDGE('',*,*,#199233,.F.); #264833=ORIENTED_EDGE('',*,*,#199234,.T.); #264834=ORIENTED_EDGE('',*,*,#199233,.T.); #264835=ORIENTED_EDGE('',*,*,#199235,.F.); #264836=ORIENTED_EDGE('',*,*,#199236,.F.); #264837=ORIENTED_EDGE('',*,*,#199237,.T.); #264838=ORIENTED_EDGE('',*,*,#199236,.T.); #264839=ORIENTED_EDGE('',*,*,#199238,.F.); #264840=ORIENTED_EDGE('',*,*,#199239,.F.); #264841=ORIENTED_EDGE('',*,*,#199240,.T.); #264842=ORIENTED_EDGE('',*,*,#199239,.T.); #264843=ORIENTED_EDGE('',*,*,#199241,.F.); #264844=ORIENTED_EDGE('',*,*,#199242,.F.); #264845=ORIENTED_EDGE('',*,*,#199243,.T.); #264846=ORIENTED_EDGE('',*,*,#199242,.T.); #264847=ORIENTED_EDGE('',*,*,#199244,.F.); #264848=ORIENTED_EDGE('',*,*,#199245,.F.); #264849=ORIENTED_EDGE('',*,*,#199246,.T.); #264850=ORIENTED_EDGE('',*,*,#199245,.T.); #264851=ORIENTED_EDGE('',*,*,#199247,.F.); #264852=ORIENTED_EDGE('',*,*,#199248,.F.); #264853=ORIENTED_EDGE('',*,*,#199249,.T.); #264854=ORIENTED_EDGE('',*,*,#199248,.T.); #264855=ORIENTED_EDGE('',*,*,#199250,.F.); #264856=ORIENTED_EDGE('',*,*,#199251,.F.); #264857=ORIENTED_EDGE('',*,*,#199252,.T.); #264858=ORIENTED_EDGE('',*,*,#199251,.T.); #264859=ORIENTED_EDGE('',*,*,#199253,.F.); #264860=ORIENTED_EDGE('',*,*,#199254,.F.); #264861=ORIENTED_EDGE('',*,*,#199255,.T.); #264862=ORIENTED_EDGE('',*,*,#199254,.T.); #264863=ORIENTED_EDGE('',*,*,#199256,.F.); #264864=ORIENTED_EDGE('',*,*,#199257,.F.); #264865=ORIENTED_EDGE('',*,*,#199258,.T.); #264866=ORIENTED_EDGE('',*,*,#199257,.T.); #264867=ORIENTED_EDGE('',*,*,#199259,.F.); #264868=ORIENTED_EDGE('',*,*,#199260,.F.); #264869=ORIENTED_EDGE('',*,*,#199261,.T.); #264870=ORIENTED_EDGE('',*,*,#199260,.T.); #264871=ORIENTED_EDGE('',*,*,#199262,.F.); #264872=ORIENTED_EDGE('',*,*,#199263,.F.); #264873=ORIENTED_EDGE('',*,*,#199264,.T.); #264874=ORIENTED_EDGE('',*,*,#199263,.T.); #264875=ORIENTED_EDGE('',*,*,#199265,.F.); #264876=ORIENTED_EDGE('',*,*,#199266,.F.); #264877=ORIENTED_EDGE('',*,*,#199267,.T.); #264878=ORIENTED_EDGE('',*,*,#199266,.T.); #264879=ORIENTED_EDGE('',*,*,#199268,.F.); #264880=ORIENTED_EDGE('',*,*,#199269,.F.); #264881=ORIENTED_EDGE('',*,*,#199270,.T.); #264882=ORIENTED_EDGE('',*,*,#199269,.T.); #264883=ORIENTED_EDGE('',*,*,#199271,.F.); #264884=ORIENTED_EDGE('',*,*,#199272,.F.); #264885=ORIENTED_EDGE('',*,*,#199273,.T.); #264886=ORIENTED_EDGE('',*,*,#199272,.T.); #264887=ORIENTED_EDGE('',*,*,#199274,.F.); #264888=ORIENTED_EDGE('',*,*,#199275,.F.); #264889=ORIENTED_EDGE('',*,*,#199276,.T.); #264890=ORIENTED_EDGE('',*,*,#199275,.T.); #264891=ORIENTED_EDGE('',*,*,#199277,.F.); #264892=ORIENTED_EDGE('',*,*,#199278,.F.); #264893=ORIENTED_EDGE('',*,*,#199279,.T.); #264894=ORIENTED_EDGE('',*,*,#199278,.T.); #264895=ORIENTED_EDGE('',*,*,#199280,.F.); #264896=ORIENTED_EDGE('',*,*,#199281,.F.); #264897=ORIENTED_EDGE('',*,*,#199282,.T.); #264898=ORIENTED_EDGE('',*,*,#199281,.T.); #264899=ORIENTED_EDGE('',*,*,#199283,.F.); #264900=ORIENTED_EDGE('',*,*,#199284,.F.); #264901=ORIENTED_EDGE('',*,*,#199285,.T.); #264902=ORIENTED_EDGE('',*,*,#199284,.T.); #264903=ORIENTED_EDGE('',*,*,#199286,.F.); #264904=ORIENTED_EDGE('',*,*,#199287,.F.); #264905=ORIENTED_EDGE('',*,*,#199288,.T.); #264906=ORIENTED_EDGE('',*,*,#199287,.T.); #264907=ORIENTED_EDGE('',*,*,#199289,.F.); #264908=ORIENTED_EDGE('',*,*,#199290,.F.); #264909=ORIENTED_EDGE('',*,*,#199291,.T.); #264910=ORIENTED_EDGE('',*,*,#199290,.T.); #264911=ORIENTED_EDGE('',*,*,#199292,.F.); #264912=ORIENTED_EDGE('',*,*,#199293,.F.); #264913=ORIENTED_EDGE('',*,*,#199294,.T.); #264914=ORIENTED_EDGE('',*,*,#199293,.T.); #264915=ORIENTED_EDGE('',*,*,#199295,.F.); #264916=ORIENTED_EDGE('',*,*,#199296,.F.); #264917=ORIENTED_EDGE('',*,*,#199297,.T.); #264918=ORIENTED_EDGE('',*,*,#199296,.T.); #264919=ORIENTED_EDGE('',*,*,#199298,.F.); #264920=ORIENTED_EDGE('',*,*,#199299,.F.); #264921=ORIENTED_EDGE('',*,*,#199300,.T.); #264922=ORIENTED_EDGE('',*,*,#199299,.T.); #264923=ORIENTED_EDGE('',*,*,#199301,.F.); #264924=ORIENTED_EDGE('',*,*,#199302,.F.); #264925=ORIENTED_EDGE('',*,*,#199303,.T.); #264926=ORIENTED_EDGE('',*,*,#199302,.T.); #264927=ORIENTED_EDGE('',*,*,#199304,.F.); #264928=ORIENTED_EDGE('',*,*,#199305,.F.); #264929=ORIENTED_EDGE('',*,*,#199306,.T.); #264930=ORIENTED_EDGE('',*,*,#199305,.T.); #264931=ORIENTED_EDGE('',*,*,#199307,.F.); #264932=ORIENTED_EDGE('',*,*,#199308,.F.); #264933=ORIENTED_EDGE('',*,*,#199309,.T.); #264934=ORIENTED_EDGE('',*,*,#199308,.T.); #264935=ORIENTED_EDGE('',*,*,#199310,.F.); #264936=ORIENTED_EDGE('',*,*,#199311,.F.); #264937=ORIENTED_EDGE('',*,*,#199312,.T.); #264938=ORIENTED_EDGE('',*,*,#199311,.T.); #264939=ORIENTED_EDGE('',*,*,#199313,.F.); #264940=ORIENTED_EDGE('',*,*,#199314,.F.); #264941=ORIENTED_EDGE('',*,*,#199315,.T.); #264942=ORIENTED_EDGE('',*,*,#199314,.T.); #264943=ORIENTED_EDGE('',*,*,#199316,.F.); #264944=ORIENTED_EDGE('',*,*,#199317,.F.); #264945=ORIENTED_EDGE('',*,*,#199318,.T.); #264946=ORIENTED_EDGE('',*,*,#199317,.T.); #264947=ORIENTED_EDGE('',*,*,#199319,.F.); #264948=ORIENTED_EDGE('',*,*,#199320,.F.); #264949=ORIENTED_EDGE('',*,*,#199321,.T.); #264950=ORIENTED_EDGE('',*,*,#199320,.T.); #264951=ORIENTED_EDGE('',*,*,#199322,.F.); #264952=ORIENTED_EDGE('',*,*,#199323,.F.); #264953=ORIENTED_EDGE('',*,*,#199324,.T.); #264954=ORIENTED_EDGE('',*,*,#199323,.T.); #264955=ORIENTED_EDGE('',*,*,#199325,.F.); #264956=ORIENTED_EDGE('',*,*,#199326,.F.); #264957=ORIENTED_EDGE('',*,*,#199327,.T.); #264958=ORIENTED_EDGE('',*,*,#199326,.T.); #264959=ORIENTED_EDGE('',*,*,#199328,.F.); #264960=ORIENTED_EDGE('',*,*,#199329,.F.); #264961=ORIENTED_EDGE('',*,*,#199330,.T.); #264962=ORIENTED_EDGE('',*,*,#199329,.T.); #264963=ORIENTED_EDGE('',*,*,#199331,.F.); #264964=ORIENTED_EDGE('',*,*,#199332,.F.); #264965=ORIENTED_EDGE('',*,*,#199333,.T.); #264966=ORIENTED_EDGE('',*,*,#199332,.T.); #264967=ORIENTED_EDGE('',*,*,#199334,.F.); #264968=ORIENTED_EDGE('',*,*,#199335,.F.); #264969=ORIENTED_EDGE('',*,*,#199336,.T.); #264970=ORIENTED_EDGE('',*,*,#199335,.T.); #264971=ORIENTED_EDGE('',*,*,#199337,.F.); #264972=ORIENTED_EDGE('',*,*,#199338,.F.); #264973=ORIENTED_EDGE('',*,*,#199339,.T.); #264974=ORIENTED_EDGE('',*,*,#199338,.T.); #264975=ORIENTED_EDGE('',*,*,#199340,.F.); #264976=ORIENTED_EDGE('',*,*,#199341,.F.); #264977=ORIENTED_EDGE('',*,*,#199342,.T.); #264978=ORIENTED_EDGE('',*,*,#199341,.T.); #264979=ORIENTED_EDGE('',*,*,#199343,.F.); #264980=ORIENTED_EDGE('',*,*,#199344,.F.); #264981=ORIENTED_EDGE('',*,*,#199345,.T.); #264982=ORIENTED_EDGE('',*,*,#199344,.T.); #264983=ORIENTED_EDGE('',*,*,#199346,.F.); #264984=ORIENTED_EDGE('',*,*,#199347,.F.); #264985=ORIENTED_EDGE('',*,*,#199348,.T.); #264986=ORIENTED_EDGE('',*,*,#199347,.T.); #264987=ORIENTED_EDGE('',*,*,#199349,.F.); #264988=ORIENTED_EDGE('',*,*,#199350,.F.); #264989=ORIENTED_EDGE('',*,*,#199351,.T.); #264990=ORIENTED_EDGE('',*,*,#199350,.T.); #264991=ORIENTED_EDGE('',*,*,#199352,.F.); #264992=ORIENTED_EDGE('',*,*,#199353,.F.); #264993=ORIENTED_EDGE('',*,*,#199354,.T.); #264994=ORIENTED_EDGE('',*,*,#199353,.T.); #264995=ORIENTED_EDGE('',*,*,#199355,.F.); #264996=ORIENTED_EDGE('',*,*,#199356,.F.); #264997=ORIENTED_EDGE('',*,*,#199357,.T.); #264998=ORIENTED_EDGE('',*,*,#199356,.T.); #264999=ORIENTED_EDGE('',*,*,#199358,.F.); #265000=ORIENTED_EDGE('',*,*,#199359,.F.); #265001=ORIENTED_EDGE('',*,*,#199360,.T.); #265002=ORIENTED_EDGE('',*,*,#199359,.T.); #265003=ORIENTED_EDGE('',*,*,#199361,.F.); #265004=ORIENTED_EDGE('',*,*,#199362,.F.); #265005=ORIENTED_EDGE('',*,*,#199363,.T.); #265006=ORIENTED_EDGE('',*,*,#199362,.T.); #265007=ORIENTED_EDGE('',*,*,#199364,.F.); #265008=ORIENTED_EDGE('',*,*,#199365,.F.); #265009=ORIENTED_EDGE('',*,*,#199366,.T.); #265010=ORIENTED_EDGE('',*,*,#199365,.T.); #265011=ORIENTED_EDGE('',*,*,#199367,.F.); #265012=ORIENTED_EDGE('',*,*,#199368,.F.); #265013=ORIENTED_EDGE('',*,*,#199369,.T.); #265014=ORIENTED_EDGE('',*,*,#199368,.T.); #265015=ORIENTED_EDGE('',*,*,#199370,.F.); #265016=ORIENTED_EDGE('',*,*,#199371,.F.); #265017=ORIENTED_EDGE('',*,*,#199372,.T.); #265018=ORIENTED_EDGE('',*,*,#199371,.T.); #265019=ORIENTED_EDGE('',*,*,#199373,.F.); #265020=ORIENTED_EDGE('',*,*,#199374,.F.); #265021=ORIENTED_EDGE('',*,*,#199375,.T.); #265022=ORIENTED_EDGE('',*,*,#199374,.T.); #265023=ORIENTED_EDGE('',*,*,#199376,.F.); #265024=ORIENTED_EDGE('',*,*,#199377,.F.); #265025=ORIENTED_EDGE('',*,*,#199378,.T.); #265026=ORIENTED_EDGE('',*,*,#199377,.T.); #265027=ORIENTED_EDGE('',*,*,#199379,.F.); #265028=ORIENTED_EDGE('',*,*,#199380,.F.); #265029=ORIENTED_EDGE('',*,*,#199381,.T.); #265030=ORIENTED_EDGE('',*,*,#199380,.T.); #265031=ORIENTED_EDGE('',*,*,#199382,.F.); #265032=ORIENTED_EDGE('',*,*,#199383,.F.); #265033=ORIENTED_EDGE('',*,*,#199384,.T.); #265034=ORIENTED_EDGE('',*,*,#199383,.T.); #265035=ORIENTED_EDGE('',*,*,#199385,.F.); #265036=ORIENTED_EDGE('',*,*,#199386,.F.); #265037=ORIENTED_EDGE('',*,*,#199387,.T.); #265038=ORIENTED_EDGE('',*,*,#199386,.T.); #265039=ORIENTED_EDGE('',*,*,#199388,.F.); #265040=ORIENTED_EDGE('',*,*,#199389,.F.); #265041=ORIENTED_EDGE('',*,*,#199390,.T.); #265042=ORIENTED_EDGE('',*,*,#199389,.T.); #265043=ORIENTED_EDGE('',*,*,#199391,.F.); #265044=ORIENTED_EDGE('',*,*,#199392,.F.); #265045=ORIENTED_EDGE('',*,*,#199393,.T.); #265046=ORIENTED_EDGE('',*,*,#199392,.T.); #265047=ORIENTED_EDGE('',*,*,#199394,.F.); #265048=ORIENTED_EDGE('',*,*,#199395,.F.); #265049=ORIENTED_EDGE('',*,*,#199396,.T.); #265050=ORIENTED_EDGE('',*,*,#199395,.T.); #265051=ORIENTED_EDGE('',*,*,#199397,.F.); #265052=ORIENTED_EDGE('',*,*,#199398,.F.); #265053=ORIENTED_EDGE('',*,*,#199399,.T.); #265054=ORIENTED_EDGE('',*,*,#199398,.T.); #265055=ORIENTED_EDGE('',*,*,#199400,.F.); #265056=ORIENTED_EDGE('',*,*,#199401,.F.); #265057=ORIENTED_EDGE('',*,*,#199402,.T.); #265058=ORIENTED_EDGE('',*,*,#199401,.T.); #265059=ORIENTED_EDGE('',*,*,#199403,.F.); #265060=ORIENTED_EDGE('',*,*,#199404,.F.); #265061=ORIENTED_EDGE('',*,*,#199405,.T.); #265062=ORIENTED_EDGE('',*,*,#199404,.T.); #265063=ORIENTED_EDGE('',*,*,#199406,.F.); #265064=ORIENTED_EDGE('',*,*,#199407,.F.); #265065=ORIENTED_EDGE('',*,*,#199408,.T.); #265066=ORIENTED_EDGE('',*,*,#199407,.T.); #265067=ORIENTED_EDGE('',*,*,#199409,.F.); #265068=ORIENTED_EDGE('',*,*,#199410,.F.); #265069=ORIENTED_EDGE('',*,*,#199411,.T.); #265070=ORIENTED_EDGE('',*,*,#199410,.T.); #265071=ORIENTED_EDGE('',*,*,#199412,.F.); #265072=ORIENTED_EDGE('',*,*,#199413,.F.); #265073=ORIENTED_EDGE('',*,*,#199414,.T.); #265074=ORIENTED_EDGE('',*,*,#199413,.T.); #265075=ORIENTED_EDGE('',*,*,#199415,.F.); #265076=ORIENTED_EDGE('',*,*,#199416,.F.); #265077=ORIENTED_EDGE('',*,*,#199417,.T.); #265078=ORIENTED_EDGE('',*,*,#199416,.T.); #265079=ORIENTED_EDGE('',*,*,#199418,.F.); #265080=ORIENTED_EDGE('',*,*,#199419,.F.); #265081=ORIENTED_EDGE('',*,*,#199420,.T.); #265082=ORIENTED_EDGE('',*,*,#199419,.T.); #265083=ORIENTED_EDGE('',*,*,#199421,.F.); #265084=ORIENTED_EDGE('',*,*,#199422,.F.); #265085=ORIENTED_EDGE('',*,*,#199423,.T.); #265086=ORIENTED_EDGE('',*,*,#199422,.T.); #265087=ORIENTED_EDGE('',*,*,#199424,.F.); #265088=ORIENTED_EDGE('',*,*,#199425,.F.); #265089=ORIENTED_EDGE('',*,*,#199426,.T.); #265090=ORIENTED_EDGE('',*,*,#199425,.T.); #265091=ORIENTED_EDGE('',*,*,#199427,.F.); #265092=ORIENTED_EDGE('',*,*,#199428,.F.); #265093=ORIENTED_EDGE('',*,*,#199429,.T.); #265094=ORIENTED_EDGE('',*,*,#199428,.T.); #265095=ORIENTED_EDGE('',*,*,#199430,.F.); #265096=ORIENTED_EDGE('',*,*,#199431,.F.); #265097=ORIENTED_EDGE('',*,*,#199432,.T.); #265098=ORIENTED_EDGE('',*,*,#199431,.T.); #265099=ORIENTED_EDGE('',*,*,#199433,.F.); #265100=ORIENTED_EDGE('',*,*,#199434,.F.); #265101=ORIENTED_EDGE('',*,*,#199435,.T.); #265102=ORIENTED_EDGE('',*,*,#199434,.T.); #265103=ORIENTED_EDGE('',*,*,#199436,.F.); #265104=ORIENTED_EDGE('',*,*,#199159,.F.); #265105=ORIENTED_EDGE('',*,*,#199436,.T.); #265106=ORIENTED_EDGE('',*,*,#199433,.T.); #265107=ORIENTED_EDGE('',*,*,#199430,.T.); #265108=ORIENTED_EDGE('',*,*,#199427,.T.); #265109=ORIENTED_EDGE('',*,*,#199424,.T.); #265110=ORIENTED_EDGE('',*,*,#199421,.T.); #265111=ORIENTED_EDGE('',*,*,#199418,.T.); #265112=ORIENTED_EDGE('',*,*,#199415,.T.); #265113=ORIENTED_EDGE('',*,*,#199412,.T.); #265114=ORIENTED_EDGE('',*,*,#199409,.T.); #265115=ORIENTED_EDGE('',*,*,#199406,.T.); #265116=ORIENTED_EDGE('',*,*,#199403,.T.); #265117=ORIENTED_EDGE('',*,*,#199400,.T.); #265118=ORIENTED_EDGE('',*,*,#199397,.T.); #265119=ORIENTED_EDGE('',*,*,#199394,.T.); #265120=ORIENTED_EDGE('',*,*,#199391,.T.); #265121=ORIENTED_EDGE('',*,*,#199388,.T.); #265122=ORIENTED_EDGE('',*,*,#199385,.T.); #265123=ORIENTED_EDGE('',*,*,#199382,.T.); #265124=ORIENTED_EDGE('',*,*,#199379,.T.); #265125=ORIENTED_EDGE('',*,*,#199376,.T.); #265126=ORIENTED_EDGE('',*,*,#199373,.T.); #265127=ORIENTED_EDGE('',*,*,#199370,.T.); #265128=ORIENTED_EDGE('',*,*,#199367,.T.); #265129=ORIENTED_EDGE('',*,*,#199364,.T.); #265130=ORIENTED_EDGE('',*,*,#199361,.T.); #265131=ORIENTED_EDGE('',*,*,#199358,.T.); #265132=ORIENTED_EDGE('',*,*,#199355,.T.); #265133=ORIENTED_EDGE('',*,*,#199352,.T.); #265134=ORIENTED_EDGE('',*,*,#199349,.T.); #265135=ORIENTED_EDGE('',*,*,#199346,.T.); #265136=ORIENTED_EDGE('',*,*,#199343,.T.); #265137=ORIENTED_EDGE('',*,*,#199340,.T.); #265138=ORIENTED_EDGE('',*,*,#199337,.T.); #265139=ORIENTED_EDGE('',*,*,#199334,.T.); #265140=ORIENTED_EDGE('',*,*,#199331,.T.); #265141=ORIENTED_EDGE('',*,*,#199328,.T.); #265142=ORIENTED_EDGE('',*,*,#199325,.T.); #265143=ORIENTED_EDGE('',*,*,#199322,.T.); #265144=ORIENTED_EDGE('',*,*,#199319,.T.); #265145=ORIENTED_EDGE('',*,*,#199316,.T.); #265146=ORIENTED_EDGE('',*,*,#199313,.T.); #265147=ORIENTED_EDGE('',*,*,#199310,.T.); #265148=ORIENTED_EDGE('',*,*,#199307,.T.); #265149=ORIENTED_EDGE('',*,*,#199304,.T.); #265150=ORIENTED_EDGE('',*,*,#199301,.T.); #265151=ORIENTED_EDGE('',*,*,#199298,.T.); #265152=ORIENTED_EDGE('',*,*,#199295,.T.); #265153=ORIENTED_EDGE('',*,*,#199292,.T.); #265154=ORIENTED_EDGE('',*,*,#199289,.T.); #265155=ORIENTED_EDGE('',*,*,#199286,.T.); #265156=ORIENTED_EDGE('',*,*,#199283,.T.); #265157=ORIENTED_EDGE('',*,*,#199280,.T.); #265158=ORIENTED_EDGE('',*,*,#199277,.T.); #265159=ORIENTED_EDGE('',*,*,#199274,.T.); #265160=ORIENTED_EDGE('',*,*,#199271,.T.); #265161=ORIENTED_EDGE('',*,*,#199268,.T.); #265162=ORIENTED_EDGE('',*,*,#199265,.T.); #265163=ORIENTED_EDGE('',*,*,#199262,.T.); #265164=ORIENTED_EDGE('',*,*,#199259,.T.); #265165=ORIENTED_EDGE('',*,*,#199256,.T.); #265166=ORIENTED_EDGE('',*,*,#199253,.T.); #265167=ORIENTED_EDGE('',*,*,#199250,.T.); #265168=ORIENTED_EDGE('',*,*,#199247,.T.); #265169=ORIENTED_EDGE('',*,*,#199244,.T.); #265170=ORIENTED_EDGE('',*,*,#199241,.T.); #265171=ORIENTED_EDGE('',*,*,#199238,.T.); #265172=ORIENTED_EDGE('',*,*,#199235,.T.); #265173=ORIENTED_EDGE('',*,*,#199232,.T.); #265174=ORIENTED_EDGE('',*,*,#199229,.T.); #265175=ORIENTED_EDGE('',*,*,#199226,.T.); #265176=ORIENTED_EDGE('',*,*,#199223,.T.); #265177=ORIENTED_EDGE('',*,*,#199220,.T.); #265178=ORIENTED_EDGE('',*,*,#199217,.T.); #265179=ORIENTED_EDGE('',*,*,#199214,.T.); #265180=ORIENTED_EDGE('',*,*,#199211,.T.); #265181=ORIENTED_EDGE('',*,*,#199208,.T.); #265182=ORIENTED_EDGE('',*,*,#199205,.T.); #265183=ORIENTED_EDGE('',*,*,#199202,.T.); #265184=ORIENTED_EDGE('',*,*,#199199,.T.); #265185=ORIENTED_EDGE('',*,*,#199196,.T.); #265186=ORIENTED_EDGE('',*,*,#199193,.T.); #265187=ORIENTED_EDGE('',*,*,#199190,.T.); #265188=ORIENTED_EDGE('',*,*,#199187,.T.); #265189=ORIENTED_EDGE('',*,*,#199184,.T.); #265190=ORIENTED_EDGE('',*,*,#199181,.T.); #265191=ORIENTED_EDGE('',*,*,#199178,.T.); #265192=ORIENTED_EDGE('',*,*,#199175,.T.); #265193=ORIENTED_EDGE('',*,*,#199172,.T.); #265194=ORIENTED_EDGE('',*,*,#199169,.T.); #265195=ORIENTED_EDGE('',*,*,#199166,.T.); #265196=ORIENTED_EDGE('',*,*,#199163,.T.); #265197=ORIENTED_EDGE('',*,*,#199160,.T.); #265198=ORIENTED_EDGE('',*,*,#199145,.T.); #265199=ORIENTED_EDGE('',*,*,#199153,.T.); #265200=ORIENTED_EDGE('',*,*,#199156,.T.); #265201=ORIENTED_EDGE('',*,*,#199146,.T.); #265202=ORIENTED_EDGE('',*,*,#199150,.T.); #265203=ORIENTED_EDGE('',*,*,#199435,.F.); #265204=ORIENTED_EDGE('',*,*,#199158,.F.); #265205=ORIENTED_EDGE('',*,*,#199162,.F.); #265206=ORIENTED_EDGE('',*,*,#199165,.F.); #265207=ORIENTED_EDGE('',*,*,#199168,.F.); #265208=ORIENTED_EDGE('',*,*,#199171,.F.); #265209=ORIENTED_EDGE('',*,*,#199174,.F.); #265210=ORIENTED_EDGE('',*,*,#199177,.F.); #265211=ORIENTED_EDGE('',*,*,#199180,.F.); #265212=ORIENTED_EDGE('',*,*,#199183,.F.); #265213=ORIENTED_EDGE('',*,*,#199186,.F.); #265214=ORIENTED_EDGE('',*,*,#199189,.F.); #265215=ORIENTED_EDGE('',*,*,#199192,.F.); #265216=ORIENTED_EDGE('',*,*,#199195,.F.); #265217=ORIENTED_EDGE('',*,*,#199198,.F.); #265218=ORIENTED_EDGE('',*,*,#199201,.F.); #265219=ORIENTED_EDGE('',*,*,#199204,.F.); #265220=ORIENTED_EDGE('',*,*,#199207,.F.); #265221=ORIENTED_EDGE('',*,*,#199210,.F.); #265222=ORIENTED_EDGE('',*,*,#199213,.F.); #265223=ORIENTED_EDGE('',*,*,#199216,.F.); #265224=ORIENTED_EDGE('',*,*,#199219,.F.); #265225=ORIENTED_EDGE('',*,*,#199222,.F.); #265226=ORIENTED_EDGE('',*,*,#199225,.F.); #265227=ORIENTED_EDGE('',*,*,#199228,.F.); #265228=ORIENTED_EDGE('',*,*,#199231,.F.); #265229=ORIENTED_EDGE('',*,*,#199234,.F.); #265230=ORIENTED_EDGE('',*,*,#199237,.F.); #265231=ORIENTED_EDGE('',*,*,#199240,.F.); #265232=ORIENTED_EDGE('',*,*,#199243,.F.); #265233=ORIENTED_EDGE('',*,*,#199246,.F.); #265234=ORIENTED_EDGE('',*,*,#199249,.F.); #265235=ORIENTED_EDGE('',*,*,#199252,.F.); #265236=ORIENTED_EDGE('',*,*,#199255,.F.); #265237=ORIENTED_EDGE('',*,*,#199258,.F.); #265238=ORIENTED_EDGE('',*,*,#199261,.F.); #265239=ORIENTED_EDGE('',*,*,#199264,.F.); #265240=ORIENTED_EDGE('',*,*,#199267,.F.); #265241=ORIENTED_EDGE('',*,*,#199270,.F.); #265242=ORIENTED_EDGE('',*,*,#199273,.F.); #265243=ORIENTED_EDGE('',*,*,#199276,.F.); #265244=ORIENTED_EDGE('',*,*,#199279,.F.); #265245=ORIENTED_EDGE('',*,*,#199282,.F.); #265246=ORIENTED_EDGE('',*,*,#199285,.F.); #265247=ORIENTED_EDGE('',*,*,#199288,.F.); #265248=ORIENTED_EDGE('',*,*,#199291,.F.); #265249=ORIENTED_EDGE('',*,*,#199294,.F.); #265250=ORIENTED_EDGE('',*,*,#199297,.F.); #265251=ORIENTED_EDGE('',*,*,#199300,.F.); #265252=ORIENTED_EDGE('',*,*,#199303,.F.); #265253=ORIENTED_EDGE('',*,*,#199306,.F.); #265254=ORIENTED_EDGE('',*,*,#199309,.F.); #265255=ORIENTED_EDGE('',*,*,#199312,.F.); #265256=ORIENTED_EDGE('',*,*,#199315,.F.); #265257=ORIENTED_EDGE('',*,*,#199318,.F.); #265258=ORIENTED_EDGE('',*,*,#199321,.F.); #265259=ORIENTED_EDGE('',*,*,#199324,.F.); #265260=ORIENTED_EDGE('',*,*,#199327,.F.); #265261=ORIENTED_EDGE('',*,*,#199330,.F.); #265262=ORIENTED_EDGE('',*,*,#199333,.F.); #265263=ORIENTED_EDGE('',*,*,#199336,.F.); #265264=ORIENTED_EDGE('',*,*,#199339,.F.); #265265=ORIENTED_EDGE('',*,*,#199342,.F.); #265266=ORIENTED_EDGE('',*,*,#199345,.F.); #265267=ORIENTED_EDGE('',*,*,#199348,.F.); #265268=ORIENTED_EDGE('',*,*,#199351,.F.); #265269=ORIENTED_EDGE('',*,*,#199354,.F.); #265270=ORIENTED_EDGE('',*,*,#199357,.F.); #265271=ORIENTED_EDGE('',*,*,#199360,.F.); #265272=ORIENTED_EDGE('',*,*,#199363,.F.); #265273=ORIENTED_EDGE('',*,*,#199366,.F.); #265274=ORIENTED_EDGE('',*,*,#199369,.F.); #265275=ORIENTED_EDGE('',*,*,#199372,.F.); #265276=ORIENTED_EDGE('',*,*,#199375,.F.); #265277=ORIENTED_EDGE('',*,*,#199378,.F.); #265278=ORIENTED_EDGE('',*,*,#199381,.F.); #265279=ORIENTED_EDGE('',*,*,#199384,.F.); #265280=ORIENTED_EDGE('',*,*,#199387,.F.); #265281=ORIENTED_EDGE('',*,*,#199390,.F.); #265282=ORIENTED_EDGE('',*,*,#199393,.F.); #265283=ORIENTED_EDGE('',*,*,#199396,.F.); #265284=ORIENTED_EDGE('',*,*,#199399,.F.); #265285=ORIENTED_EDGE('',*,*,#199402,.F.); #265286=ORIENTED_EDGE('',*,*,#199405,.F.); #265287=ORIENTED_EDGE('',*,*,#199408,.F.); #265288=ORIENTED_EDGE('',*,*,#199411,.F.); #265289=ORIENTED_EDGE('',*,*,#199414,.F.); #265290=ORIENTED_EDGE('',*,*,#199417,.F.); #265291=ORIENTED_EDGE('',*,*,#199420,.F.); #265292=ORIENTED_EDGE('',*,*,#199423,.F.); #265293=ORIENTED_EDGE('',*,*,#199426,.F.); #265294=ORIENTED_EDGE('',*,*,#199429,.F.); #265295=ORIENTED_EDGE('',*,*,#199432,.F.); #265296=ORIENTED_EDGE('',*,*,#199143,.T.); #265297=ORIENTED_EDGE('',*,*,#199154,.T.); #265298=ORIENTED_EDGE('',*,*,#199151,.T.); #265299=ORIENTED_EDGE('',*,*,#199148,.T.); #265300=ORIENTED_EDGE('',*,*,#199157,.T.); #265301=ORIENTED_EDGE('',*,*,#199437,.T.); #265302=ORIENTED_EDGE('',*,*,#199438,.T.); #265303=ORIENTED_EDGE('',*,*,#199439,.F.); #265304=ORIENTED_EDGE('',*,*,#199440,.F.); #265305=ORIENTED_EDGE('',*,*,#199441,.T.); #265306=ORIENTED_EDGE('',*,*,#199440,.T.); #265307=ORIENTED_EDGE('',*,*,#199442,.F.); #265308=ORIENTED_EDGE('',*,*,#199443,.F.); #265309=ORIENTED_EDGE('',*,*,#199444,.T.); #265310=ORIENTED_EDGE('',*,*,#199443,.T.); #265311=ORIENTED_EDGE('',*,*,#199445,.F.); #265312=ORIENTED_EDGE('',*,*,#199446,.F.); #265313=ORIENTED_EDGE('',*,*,#199447,.T.); #265314=ORIENTED_EDGE('',*,*,#199446,.T.); #265315=ORIENTED_EDGE('',*,*,#199448,.F.); #265316=ORIENTED_EDGE('',*,*,#199449,.F.); #265317=ORIENTED_EDGE('',*,*,#199450,.T.); #265318=ORIENTED_EDGE('',*,*,#199449,.T.); #265319=ORIENTED_EDGE('',*,*,#199451,.F.); #265320=ORIENTED_EDGE('',*,*,#199452,.F.); #265321=ORIENTED_EDGE('',*,*,#199453,.T.); #265322=ORIENTED_EDGE('',*,*,#199452,.T.); #265323=ORIENTED_EDGE('',*,*,#199454,.F.); #265324=ORIENTED_EDGE('',*,*,#199455,.F.); #265325=ORIENTED_EDGE('',*,*,#199456,.T.); #265326=ORIENTED_EDGE('',*,*,#199455,.T.); #265327=ORIENTED_EDGE('',*,*,#199457,.F.); #265328=ORIENTED_EDGE('',*,*,#199458,.F.); #265329=ORIENTED_EDGE('',*,*,#199459,.T.); #265330=ORIENTED_EDGE('',*,*,#199458,.T.); #265331=ORIENTED_EDGE('',*,*,#199460,.F.); #265332=ORIENTED_EDGE('',*,*,#199461,.F.); #265333=ORIENTED_EDGE('',*,*,#199462,.T.); #265334=ORIENTED_EDGE('',*,*,#199461,.T.); #265335=ORIENTED_EDGE('',*,*,#199463,.F.); #265336=ORIENTED_EDGE('',*,*,#199464,.F.); #265337=ORIENTED_EDGE('',*,*,#199465,.T.); #265338=ORIENTED_EDGE('',*,*,#199464,.T.); #265339=ORIENTED_EDGE('',*,*,#199466,.F.); #265340=ORIENTED_EDGE('',*,*,#199467,.F.); #265341=ORIENTED_EDGE('',*,*,#199468,.T.); #265342=ORIENTED_EDGE('',*,*,#199467,.T.); #265343=ORIENTED_EDGE('',*,*,#199469,.F.); #265344=ORIENTED_EDGE('',*,*,#199470,.F.); #265345=ORIENTED_EDGE('',*,*,#199471,.T.); #265346=ORIENTED_EDGE('',*,*,#199470,.T.); #265347=ORIENTED_EDGE('',*,*,#199472,.F.); #265348=ORIENTED_EDGE('',*,*,#199473,.F.); #265349=ORIENTED_EDGE('',*,*,#199474,.T.); #265350=ORIENTED_EDGE('',*,*,#199473,.T.); #265351=ORIENTED_EDGE('',*,*,#199475,.F.); #265352=ORIENTED_EDGE('',*,*,#199476,.F.); #265353=ORIENTED_EDGE('',*,*,#199477,.T.); #265354=ORIENTED_EDGE('',*,*,#199476,.T.); #265355=ORIENTED_EDGE('',*,*,#199478,.F.); #265356=ORIENTED_EDGE('',*,*,#199479,.F.); #265357=ORIENTED_EDGE('',*,*,#199480,.T.); #265358=ORIENTED_EDGE('',*,*,#199479,.T.); #265359=ORIENTED_EDGE('',*,*,#199481,.F.); #265360=ORIENTED_EDGE('',*,*,#199482,.F.); #265361=ORIENTED_EDGE('',*,*,#199483,.T.); #265362=ORIENTED_EDGE('',*,*,#199482,.T.); #265363=ORIENTED_EDGE('',*,*,#199484,.F.); #265364=ORIENTED_EDGE('',*,*,#199485,.F.); #265365=ORIENTED_EDGE('',*,*,#199486,.T.); #265366=ORIENTED_EDGE('',*,*,#199485,.T.); #265367=ORIENTED_EDGE('',*,*,#199487,.F.); #265368=ORIENTED_EDGE('',*,*,#199488,.F.); #265369=ORIENTED_EDGE('',*,*,#199489,.T.); #265370=ORIENTED_EDGE('',*,*,#199488,.T.); #265371=ORIENTED_EDGE('',*,*,#199490,.F.); #265372=ORIENTED_EDGE('',*,*,#199491,.F.); #265373=ORIENTED_EDGE('',*,*,#199492,.T.); #265374=ORIENTED_EDGE('',*,*,#199491,.T.); #265375=ORIENTED_EDGE('',*,*,#199493,.F.); #265376=ORIENTED_EDGE('',*,*,#199494,.F.); #265377=ORIENTED_EDGE('',*,*,#199495,.T.); #265378=ORIENTED_EDGE('',*,*,#199494,.T.); #265379=ORIENTED_EDGE('',*,*,#199496,.F.); #265380=ORIENTED_EDGE('',*,*,#199438,.F.); #265381=ORIENTED_EDGE('',*,*,#199496,.T.); #265382=ORIENTED_EDGE('',*,*,#199493,.T.); #265383=ORIENTED_EDGE('',*,*,#199490,.T.); #265384=ORIENTED_EDGE('',*,*,#199487,.T.); #265385=ORIENTED_EDGE('',*,*,#199484,.T.); #265386=ORIENTED_EDGE('',*,*,#199481,.T.); #265387=ORIENTED_EDGE('',*,*,#199478,.T.); #265388=ORIENTED_EDGE('',*,*,#199475,.T.); #265389=ORIENTED_EDGE('',*,*,#199472,.T.); #265390=ORIENTED_EDGE('',*,*,#199469,.T.); #265391=ORIENTED_EDGE('',*,*,#199466,.T.); #265392=ORIENTED_EDGE('',*,*,#199463,.T.); #265393=ORIENTED_EDGE('',*,*,#199460,.T.); #265394=ORIENTED_EDGE('',*,*,#199457,.T.); #265395=ORIENTED_EDGE('',*,*,#199454,.T.); #265396=ORIENTED_EDGE('',*,*,#199451,.T.); #265397=ORIENTED_EDGE('',*,*,#199448,.T.); #265398=ORIENTED_EDGE('',*,*,#199445,.T.); #265399=ORIENTED_EDGE('',*,*,#199442,.T.); #265400=ORIENTED_EDGE('',*,*,#199439,.T.); #265401=ORIENTED_EDGE('',*,*,#199495,.F.); #265402=ORIENTED_EDGE('',*,*,#199437,.F.); #265403=ORIENTED_EDGE('',*,*,#199441,.F.); #265404=ORIENTED_EDGE('',*,*,#199444,.F.); #265405=ORIENTED_EDGE('',*,*,#199447,.F.); #265406=ORIENTED_EDGE('',*,*,#199450,.F.); #265407=ORIENTED_EDGE('',*,*,#199453,.F.); #265408=ORIENTED_EDGE('',*,*,#199456,.F.); #265409=ORIENTED_EDGE('',*,*,#199459,.F.); #265410=ORIENTED_EDGE('',*,*,#199462,.F.); #265411=ORIENTED_EDGE('',*,*,#199465,.F.); #265412=ORIENTED_EDGE('',*,*,#199468,.F.); #265413=ORIENTED_EDGE('',*,*,#199471,.F.); #265414=ORIENTED_EDGE('',*,*,#199474,.F.); #265415=ORIENTED_EDGE('',*,*,#199477,.F.); #265416=ORIENTED_EDGE('',*,*,#199480,.F.); #265417=ORIENTED_EDGE('',*,*,#199483,.F.); #265418=ORIENTED_EDGE('',*,*,#199486,.F.); #265419=ORIENTED_EDGE('',*,*,#199489,.F.); #265420=ORIENTED_EDGE('',*,*,#199492,.F.); #265421=ORIENTED_EDGE('',*,*,#199497,.F.); #265422=ORIENTED_EDGE('',*,*,#199498,.T.); #265423=ORIENTED_EDGE('',*,*,#199499,.F.); #265424=ORIENTED_EDGE('',*,*,#199498,.F.); #265425=ORIENTED_EDGE('',*,*,#199500,.F.); #265426=ORIENTED_EDGE('',*,*,#199501,.T.); #265427=ORIENTED_EDGE('',*,*,#199502,.F.); #265428=ORIENTED_EDGE('',*,*,#199501,.F.); #265429=ORIENTED_EDGE('',*,*,#199503,.F.); #265430=ORIENTED_EDGE('',*,*,#199504,.T.); #265431=ORIENTED_EDGE('',*,*,#199505,.F.); #265432=ORIENTED_EDGE('',*,*,#199504,.F.); #265433=ORIENTED_EDGE('',*,*,#199506,.F.); #265434=ORIENTED_EDGE('',*,*,#199507,.T.); #265435=ORIENTED_EDGE('',*,*,#199508,.F.); #265436=ORIENTED_EDGE('',*,*,#199507,.F.); #265437=ORIENTED_EDGE('',*,*,#199509,.F.); #265438=ORIENTED_EDGE('',*,*,#199510,.T.); #265439=ORIENTED_EDGE('',*,*,#199511,.F.); #265440=ORIENTED_EDGE('',*,*,#199510,.F.); #265441=ORIENTED_EDGE('',*,*,#199512,.F.); #265442=ORIENTED_EDGE('',*,*,#199513,.T.); #265443=ORIENTED_EDGE('',*,*,#199514,.F.); #265444=ORIENTED_EDGE('',*,*,#199513,.F.); #265445=ORIENTED_EDGE('',*,*,#199515,.F.); #265446=ORIENTED_EDGE('',*,*,#199516,.T.); #265447=ORIENTED_EDGE('',*,*,#199517,.F.); #265448=ORIENTED_EDGE('',*,*,#199516,.F.); #265449=ORIENTED_EDGE('',*,*,#199518,.F.); #265450=ORIENTED_EDGE('',*,*,#199519,.T.); #265451=ORIENTED_EDGE('',*,*,#199520,.F.); #265452=ORIENTED_EDGE('',*,*,#199519,.F.); #265453=ORIENTED_EDGE('',*,*,#199521,.F.); #265454=ORIENTED_EDGE('',*,*,#199522,.T.); #265455=ORIENTED_EDGE('',*,*,#199523,.F.); #265456=ORIENTED_EDGE('',*,*,#199522,.F.); #265457=ORIENTED_EDGE('',*,*,#199524,.F.); #265458=ORIENTED_EDGE('',*,*,#199525,.T.); #265459=ORIENTED_EDGE('',*,*,#199526,.F.); #265460=ORIENTED_EDGE('',*,*,#199525,.F.); #265461=ORIENTED_EDGE('',*,*,#199527,.F.); #265462=ORIENTED_EDGE('',*,*,#199528,.T.); #265463=ORIENTED_EDGE('',*,*,#199529,.F.); #265464=ORIENTED_EDGE('',*,*,#199528,.F.); #265465=ORIENTED_EDGE('',*,*,#199530,.F.); #265466=ORIENTED_EDGE('',*,*,#199531,.T.); #265467=ORIENTED_EDGE('',*,*,#199532,.F.); #265468=ORIENTED_EDGE('',*,*,#199531,.F.); #265469=ORIENTED_EDGE('',*,*,#199533,.F.); #265470=ORIENTED_EDGE('',*,*,#199534,.T.); #265471=ORIENTED_EDGE('',*,*,#199535,.F.); #265472=ORIENTED_EDGE('',*,*,#199534,.F.); #265473=ORIENTED_EDGE('',*,*,#199536,.F.); #265474=ORIENTED_EDGE('',*,*,#199537,.T.); #265475=ORIENTED_EDGE('',*,*,#199538,.F.); #265476=ORIENTED_EDGE('',*,*,#199537,.F.); #265477=ORIENTED_EDGE('',*,*,#199539,.F.); #265478=ORIENTED_EDGE('',*,*,#199540,.T.); #265479=ORIENTED_EDGE('',*,*,#199541,.F.); #265480=ORIENTED_EDGE('',*,*,#199540,.F.); #265481=ORIENTED_EDGE('',*,*,#199542,.T.); #265482=ORIENTED_EDGE('',*,*,#199543,.T.); #265483=ORIENTED_EDGE('',*,*,#199544,.F.); #265484=ORIENTED_EDGE('',*,*,#199545,.F.); #265485=ORIENTED_EDGE('',*,*,#199546,.T.); #265486=ORIENTED_EDGE('',*,*,#199545,.T.); #265487=ORIENTED_EDGE('',*,*,#199547,.F.); #265488=ORIENTED_EDGE('',*,*,#199548,.F.); #265489=ORIENTED_EDGE('',*,*,#199549,.T.); #265490=ORIENTED_EDGE('',*,*,#199548,.T.); #265491=ORIENTED_EDGE('',*,*,#199550,.F.); #265492=ORIENTED_EDGE('',*,*,#199551,.F.); #265493=ORIENTED_EDGE('',*,*,#199552,.T.); #265494=ORIENTED_EDGE('',*,*,#199551,.T.); #265495=ORIENTED_EDGE('',*,*,#199553,.F.); #265496=ORIENTED_EDGE('',*,*,#199554,.F.); #265497=ORIENTED_EDGE('',*,*,#199555,.T.); #265498=ORIENTED_EDGE('',*,*,#199554,.T.); #265499=ORIENTED_EDGE('',*,*,#199556,.F.); #265500=ORIENTED_EDGE('',*,*,#199557,.F.); #265501=ORIENTED_EDGE('',*,*,#199558,.T.); #265502=ORIENTED_EDGE('',*,*,#199557,.T.); #265503=ORIENTED_EDGE('',*,*,#199559,.F.); #265504=ORIENTED_EDGE('',*,*,#199560,.F.); #265505=ORIENTED_EDGE('',*,*,#199561,.T.); #265506=ORIENTED_EDGE('',*,*,#199560,.T.); #265507=ORIENTED_EDGE('',*,*,#199562,.F.); #265508=ORIENTED_EDGE('',*,*,#199563,.F.); #265509=ORIENTED_EDGE('',*,*,#199564,.T.); #265510=ORIENTED_EDGE('',*,*,#199563,.T.); #265511=ORIENTED_EDGE('',*,*,#199565,.F.); #265512=ORIENTED_EDGE('',*,*,#199566,.F.); #265513=ORIENTED_EDGE('',*,*,#199567,.T.); #265514=ORIENTED_EDGE('',*,*,#199566,.T.); #265515=ORIENTED_EDGE('',*,*,#199568,.F.); #265516=ORIENTED_EDGE('',*,*,#199569,.F.); #265517=ORIENTED_EDGE('',*,*,#199570,.T.); #265518=ORIENTED_EDGE('',*,*,#199569,.T.); #265519=ORIENTED_EDGE('',*,*,#199571,.F.); #265520=ORIENTED_EDGE('',*,*,#199572,.F.); #265521=ORIENTED_EDGE('',*,*,#199573,.T.); #265522=ORIENTED_EDGE('',*,*,#199572,.T.); #265523=ORIENTED_EDGE('',*,*,#199574,.F.); #265524=ORIENTED_EDGE('',*,*,#199575,.F.); #265525=ORIENTED_EDGE('',*,*,#199576,.T.); #265526=ORIENTED_EDGE('',*,*,#199575,.T.); #265527=ORIENTED_EDGE('',*,*,#199577,.F.); #265528=ORIENTED_EDGE('',*,*,#199578,.F.); #265529=ORIENTED_EDGE('',*,*,#199579,.T.); #265530=ORIENTED_EDGE('',*,*,#199578,.T.); #265531=ORIENTED_EDGE('',*,*,#199580,.F.); #265532=ORIENTED_EDGE('',*,*,#199581,.F.); #265533=ORIENTED_EDGE('',*,*,#199582,.T.); #265534=ORIENTED_EDGE('',*,*,#199581,.T.); #265535=ORIENTED_EDGE('',*,*,#199583,.F.); #265536=ORIENTED_EDGE('',*,*,#199584,.F.); #265537=ORIENTED_EDGE('',*,*,#199585,.T.); #265538=ORIENTED_EDGE('',*,*,#199584,.T.); #265539=ORIENTED_EDGE('',*,*,#199586,.F.); #265540=ORIENTED_EDGE('',*,*,#199587,.F.); #265541=ORIENTED_EDGE('',*,*,#199588,.T.); #265542=ORIENTED_EDGE('',*,*,#199587,.T.); #265543=ORIENTED_EDGE('',*,*,#199589,.F.); #265544=ORIENTED_EDGE('',*,*,#199590,.F.); #265545=ORIENTED_EDGE('',*,*,#199591,.T.); #265546=ORIENTED_EDGE('',*,*,#199590,.T.); #265547=ORIENTED_EDGE('',*,*,#199592,.F.); #265548=ORIENTED_EDGE('',*,*,#199593,.F.); #265549=ORIENTED_EDGE('',*,*,#199594,.T.); #265550=ORIENTED_EDGE('',*,*,#199593,.T.); #265551=ORIENTED_EDGE('',*,*,#199595,.F.); #265552=ORIENTED_EDGE('',*,*,#199596,.F.); #265553=ORIENTED_EDGE('',*,*,#199597,.T.); #265554=ORIENTED_EDGE('',*,*,#199596,.T.); #265555=ORIENTED_EDGE('',*,*,#199598,.F.); #265556=ORIENTED_EDGE('',*,*,#199599,.F.); #265557=ORIENTED_EDGE('',*,*,#199600,.T.); #265558=ORIENTED_EDGE('',*,*,#199599,.T.); #265559=ORIENTED_EDGE('',*,*,#199601,.F.); #265560=ORIENTED_EDGE('',*,*,#199602,.F.); #265561=ORIENTED_EDGE('',*,*,#199603,.T.); #265562=ORIENTED_EDGE('',*,*,#199602,.T.); #265563=ORIENTED_EDGE('',*,*,#199604,.F.); #265564=ORIENTED_EDGE('',*,*,#199605,.F.); #265565=ORIENTED_EDGE('',*,*,#199606,.T.); #265566=ORIENTED_EDGE('',*,*,#199605,.T.); #265567=ORIENTED_EDGE('',*,*,#199607,.F.); #265568=ORIENTED_EDGE('',*,*,#199608,.F.); #265569=ORIENTED_EDGE('',*,*,#199609,.T.); #265570=ORIENTED_EDGE('',*,*,#199608,.T.); #265571=ORIENTED_EDGE('',*,*,#199610,.F.); #265572=ORIENTED_EDGE('',*,*,#199611,.F.); #265573=ORIENTED_EDGE('',*,*,#199612,.T.); #265574=ORIENTED_EDGE('',*,*,#199611,.T.); #265575=ORIENTED_EDGE('',*,*,#199613,.F.); #265576=ORIENTED_EDGE('',*,*,#199614,.F.); #265577=ORIENTED_EDGE('',*,*,#199615,.T.); #265578=ORIENTED_EDGE('',*,*,#199614,.T.); #265579=ORIENTED_EDGE('',*,*,#199616,.F.); #265580=ORIENTED_EDGE('',*,*,#199617,.F.); #265581=ORIENTED_EDGE('',*,*,#199618,.T.); #265582=ORIENTED_EDGE('',*,*,#199617,.T.); #265583=ORIENTED_EDGE('',*,*,#199619,.F.); #265584=ORIENTED_EDGE('',*,*,#199620,.F.); #265585=ORIENTED_EDGE('',*,*,#199621,.T.); #265586=ORIENTED_EDGE('',*,*,#199620,.T.); #265587=ORIENTED_EDGE('',*,*,#199622,.F.); #265588=ORIENTED_EDGE('',*,*,#199623,.F.); #265589=ORIENTED_EDGE('',*,*,#199624,.T.); #265590=ORIENTED_EDGE('',*,*,#199623,.T.); #265591=ORIENTED_EDGE('',*,*,#199625,.F.); #265592=ORIENTED_EDGE('',*,*,#199626,.F.); #265593=ORIENTED_EDGE('',*,*,#199627,.T.); #265594=ORIENTED_EDGE('',*,*,#199626,.T.); #265595=ORIENTED_EDGE('',*,*,#199628,.F.); #265596=ORIENTED_EDGE('',*,*,#199629,.F.); #265597=ORIENTED_EDGE('',*,*,#199630,.T.); #265598=ORIENTED_EDGE('',*,*,#199629,.T.); #265599=ORIENTED_EDGE('',*,*,#199631,.F.); #265600=ORIENTED_EDGE('',*,*,#199632,.F.); #265601=ORIENTED_EDGE('',*,*,#199633,.T.); #265602=ORIENTED_EDGE('',*,*,#199632,.T.); #265603=ORIENTED_EDGE('',*,*,#199634,.F.); #265604=ORIENTED_EDGE('',*,*,#199635,.F.); #265605=ORIENTED_EDGE('',*,*,#199636,.T.); #265606=ORIENTED_EDGE('',*,*,#199635,.T.); #265607=ORIENTED_EDGE('',*,*,#199637,.F.); #265608=ORIENTED_EDGE('',*,*,#199638,.F.); #265609=ORIENTED_EDGE('',*,*,#199639,.T.); #265610=ORIENTED_EDGE('',*,*,#199638,.T.); #265611=ORIENTED_EDGE('',*,*,#199640,.F.); #265612=ORIENTED_EDGE('',*,*,#199641,.F.); #265613=ORIENTED_EDGE('',*,*,#199642,.T.); #265614=ORIENTED_EDGE('',*,*,#199641,.T.); #265615=ORIENTED_EDGE('',*,*,#199643,.F.); #265616=ORIENTED_EDGE('',*,*,#199644,.F.); #265617=ORIENTED_EDGE('',*,*,#199645,.T.); #265618=ORIENTED_EDGE('',*,*,#199644,.T.); #265619=ORIENTED_EDGE('',*,*,#199646,.F.); #265620=ORIENTED_EDGE('',*,*,#199647,.F.); #265621=ORIENTED_EDGE('',*,*,#199648,.T.); #265622=ORIENTED_EDGE('',*,*,#199647,.T.); #265623=ORIENTED_EDGE('',*,*,#199649,.F.); #265624=ORIENTED_EDGE('',*,*,#199650,.F.); #265625=ORIENTED_EDGE('',*,*,#199651,.T.); #265626=ORIENTED_EDGE('',*,*,#199650,.T.); #265627=ORIENTED_EDGE('',*,*,#199652,.F.); #265628=ORIENTED_EDGE('',*,*,#199653,.F.); #265629=ORIENTED_EDGE('',*,*,#199654,.T.); #265630=ORIENTED_EDGE('',*,*,#199653,.T.); #265631=ORIENTED_EDGE('',*,*,#199655,.F.); #265632=ORIENTED_EDGE('',*,*,#199656,.F.); #265633=ORIENTED_EDGE('',*,*,#199657,.T.); #265634=ORIENTED_EDGE('',*,*,#199656,.T.); #265635=ORIENTED_EDGE('',*,*,#199658,.F.); #265636=ORIENTED_EDGE('',*,*,#199659,.F.); #265637=ORIENTED_EDGE('',*,*,#199660,.T.); #265638=ORIENTED_EDGE('',*,*,#199659,.T.); #265639=ORIENTED_EDGE('',*,*,#199661,.F.); #265640=ORIENTED_EDGE('',*,*,#199662,.F.); #265641=ORIENTED_EDGE('',*,*,#199663,.T.); #265642=ORIENTED_EDGE('',*,*,#199662,.T.); #265643=ORIENTED_EDGE('',*,*,#199664,.F.); #265644=ORIENTED_EDGE('',*,*,#199543,.F.); #265645=ORIENTED_EDGE('',*,*,#199664,.T.); #265646=ORIENTED_EDGE('',*,*,#199661,.T.); #265647=ORIENTED_EDGE('',*,*,#199658,.T.); #265648=ORIENTED_EDGE('',*,*,#199655,.T.); #265649=ORIENTED_EDGE('',*,*,#199652,.T.); #265650=ORIENTED_EDGE('',*,*,#199649,.T.); #265651=ORIENTED_EDGE('',*,*,#199646,.T.); #265652=ORIENTED_EDGE('',*,*,#199643,.T.); #265653=ORIENTED_EDGE('',*,*,#199640,.T.); #265654=ORIENTED_EDGE('',*,*,#199637,.T.); #265655=ORIENTED_EDGE('',*,*,#199634,.T.); #265656=ORIENTED_EDGE('',*,*,#199631,.T.); #265657=ORIENTED_EDGE('',*,*,#199628,.T.); #265658=ORIENTED_EDGE('',*,*,#199625,.T.); #265659=ORIENTED_EDGE('',*,*,#199622,.T.); #265660=ORIENTED_EDGE('',*,*,#199619,.T.); #265661=ORIENTED_EDGE('',*,*,#199616,.T.); #265662=ORIENTED_EDGE('',*,*,#199613,.T.); #265663=ORIENTED_EDGE('',*,*,#199610,.T.); #265664=ORIENTED_EDGE('',*,*,#199607,.T.); #265665=ORIENTED_EDGE('',*,*,#199604,.T.); #265666=ORIENTED_EDGE('',*,*,#199601,.T.); #265667=ORIENTED_EDGE('',*,*,#199598,.T.); #265668=ORIENTED_EDGE('',*,*,#199595,.T.); #265669=ORIENTED_EDGE('',*,*,#199592,.T.); #265670=ORIENTED_EDGE('',*,*,#199589,.T.); #265671=ORIENTED_EDGE('',*,*,#199586,.T.); #265672=ORIENTED_EDGE('',*,*,#199583,.T.); #265673=ORIENTED_EDGE('',*,*,#199580,.T.); #265674=ORIENTED_EDGE('',*,*,#199577,.T.); #265675=ORIENTED_EDGE('',*,*,#199574,.T.); #265676=ORIENTED_EDGE('',*,*,#199571,.T.); #265677=ORIENTED_EDGE('',*,*,#199568,.T.); #265678=ORIENTED_EDGE('',*,*,#199565,.T.); #265679=ORIENTED_EDGE('',*,*,#199562,.T.); #265680=ORIENTED_EDGE('',*,*,#199559,.T.); #265681=ORIENTED_EDGE('',*,*,#199556,.T.); #265682=ORIENTED_EDGE('',*,*,#199553,.T.); #265683=ORIENTED_EDGE('',*,*,#199550,.T.); #265684=ORIENTED_EDGE('',*,*,#199547,.T.); #265685=ORIENTED_EDGE('',*,*,#199544,.T.); #265686=ORIENTED_EDGE('',*,*,#199499,.T.); #265687=ORIENTED_EDGE('',*,*,#199502,.T.); #265688=ORIENTED_EDGE('',*,*,#199505,.T.); #265689=ORIENTED_EDGE('',*,*,#199508,.T.); #265690=ORIENTED_EDGE('',*,*,#199511,.T.); #265691=ORIENTED_EDGE('',*,*,#199514,.T.); #265692=ORIENTED_EDGE('',*,*,#199517,.T.); #265693=ORIENTED_EDGE('',*,*,#199520,.T.); #265694=ORIENTED_EDGE('',*,*,#199523,.T.); #265695=ORIENTED_EDGE('',*,*,#199526,.T.); #265696=ORIENTED_EDGE('',*,*,#199529,.T.); #265697=ORIENTED_EDGE('',*,*,#199532,.T.); #265698=ORIENTED_EDGE('',*,*,#199535,.T.); #265699=ORIENTED_EDGE('',*,*,#199538,.T.); #265700=ORIENTED_EDGE('',*,*,#199541,.T.); #265701=ORIENTED_EDGE('',*,*,#199663,.F.); #265702=ORIENTED_EDGE('',*,*,#199542,.F.); #265703=ORIENTED_EDGE('',*,*,#199546,.F.); #265704=ORIENTED_EDGE('',*,*,#199549,.F.); #265705=ORIENTED_EDGE('',*,*,#199552,.F.); #265706=ORIENTED_EDGE('',*,*,#199555,.F.); #265707=ORIENTED_EDGE('',*,*,#199558,.F.); #265708=ORIENTED_EDGE('',*,*,#199561,.F.); #265709=ORIENTED_EDGE('',*,*,#199564,.F.); #265710=ORIENTED_EDGE('',*,*,#199567,.F.); #265711=ORIENTED_EDGE('',*,*,#199570,.F.); #265712=ORIENTED_EDGE('',*,*,#199573,.F.); #265713=ORIENTED_EDGE('',*,*,#199576,.F.); #265714=ORIENTED_EDGE('',*,*,#199579,.F.); #265715=ORIENTED_EDGE('',*,*,#199582,.F.); #265716=ORIENTED_EDGE('',*,*,#199585,.F.); #265717=ORIENTED_EDGE('',*,*,#199588,.F.); #265718=ORIENTED_EDGE('',*,*,#199591,.F.); #265719=ORIENTED_EDGE('',*,*,#199594,.F.); #265720=ORIENTED_EDGE('',*,*,#199597,.F.); #265721=ORIENTED_EDGE('',*,*,#199600,.F.); #265722=ORIENTED_EDGE('',*,*,#199603,.F.); #265723=ORIENTED_EDGE('',*,*,#199606,.F.); #265724=ORIENTED_EDGE('',*,*,#199609,.F.); #265725=ORIENTED_EDGE('',*,*,#199612,.F.); #265726=ORIENTED_EDGE('',*,*,#199615,.F.); #265727=ORIENTED_EDGE('',*,*,#199618,.F.); #265728=ORIENTED_EDGE('',*,*,#199621,.F.); #265729=ORIENTED_EDGE('',*,*,#199624,.F.); #265730=ORIENTED_EDGE('',*,*,#199627,.F.); #265731=ORIENTED_EDGE('',*,*,#199630,.F.); #265732=ORIENTED_EDGE('',*,*,#199633,.F.); #265733=ORIENTED_EDGE('',*,*,#199636,.F.); #265734=ORIENTED_EDGE('',*,*,#199639,.F.); #265735=ORIENTED_EDGE('',*,*,#199642,.F.); #265736=ORIENTED_EDGE('',*,*,#199645,.F.); #265737=ORIENTED_EDGE('',*,*,#199648,.F.); #265738=ORIENTED_EDGE('',*,*,#199651,.F.); #265739=ORIENTED_EDGE('',*,*,#199654,.F.); #265740=ORIENTED_EDGE('',*,*,#199657,.F.); #265741=ORIENTED_EDGE('',*,*,#199660,.F.); #265742=ORIENTED_EDGE('',*,*,#199497,.T.); #265743=ORIENTED_EDGE('',*,*,#199500,.T.); #265744=ORIENTED_EDGE('',*,*,#199503,.T.); #265745=ORIENTED_EDGE('',*,*,#199506,.T.); #265746=ORIENTED_EDGE('',*,*,#199509,.T.); #265747=ORIENTED_EDGE('',*,*,#199512,.T.); #265748=ORIENTED_EDGE('',*,*,#199515,.T.); #265749=ORIENTED_EDGE('',*,*,#199518,.T.); #265750=ORIENTED_EDGE('',*,*,#199521,.T.); #265751=ORIENTED_EDGE('',*,*,#199524,.T.); #265752=ORIENTED_EDGE('',*,*,#199527,.T.); #265753=ORIENTED_EDGE('',*,*,#199530,.T.); #265754=ORIENTED_EDGE('',*,*,#199533,.T.); #265755=ORIENTED_EDGE('',*,*,#199536,.T.); #265756=ORIENTED_EDGE('',*,*,#199539,.T.); #265757=ORIENTED_EDGE('',*,*,#199665,.F.); #265758=ORIENTED_EDGE('',*,*,#199666,.T.); #265759=ORIENTED_EDGE('',*,*,#199667,.F.); #265760=ORIENTED_EDGE('',*,*,#199666,.F.); #265761=ORIENTED_EDGE('',*,*,#199668,.T.); #265762=ORIENTED_EDGE('',*,*,#199669,.T.); #265763=ORIENTED_EDGE('',*,*,#199670,.F.); #265764=ORIENTED_EDGE('',*,*,#199671,.F.); #265765=ORIENTED_EDGE('',*,*,#199672,.T.); #265766=ORIENTED_EDGE('',*,*,#199671,.T.); #265767=ORIENTED_EDGE('',*,*,#199673,.F.); #265768=ORIENTED_EDGE('',*,*,#199674,.F.); #265769=ORIENTED_EDGE('',*,*,#199675,.T.); #265770=ORIENTED_EDGE('',*,*,#199674,.T.); #265771=ORIENTED_EDGE('',*,*,#199676,.F.); #265772=ORIENTED_EDGE('',*,*,#199677,.F.); #265773=ORIENTED_EDGE('',*,*,#199678,.T.); #265774=ORIENTED_EDGE('',*,*,#199677,.T.); #265775=ORIENTED_EDGE('',*,*,#199679,.F.); #265776=ORIENTED_EDGE('',*,*,#199680,.F.); #265777=ORIENTED_EDGE('',*,*,#199681,.T.); #265778=ORIENTED_EDGE('',*,*,#199680,.T.); #265779=ORIENTED_EDGE('',*,*,#199682,.F.); #265780=ORIENTED_EDGE('',*,*,#199683,.F.); #265781=ORIENTED_EDGE('',*,*,#199684,.T.); #265782=ORIENTED_EDGE('',*,*,#199683,.T.); #265783=ORIENTED_EDGE('',*,*,#199685,.F.); #265784=ORIENTED_EDGE('',*,*,#199686,.F.); #265785=ORIENTED_EDGE('',*,*,#199687,.T.); #265786=ORIENTED_EDGE('',*,*,#199686,.T.); #265787=ORIENTED_EDGE('',*,*,#199688,.F.); #265788=ORIENTED_EDGE('',*,*,#199689,.F.); #265789=ORIENTED_EDGE('',*,*,#199690,.T.); #265790=ORIENTED_EDGE('',*,*,#199689,.T.); #265791=ORIENTED_EDGE('',*,*,#199691,.F.); #265792=ORIENTED_EDGE('',*,*,#199692,.F.); #265793=ORIENTED_EDGE('',*,*,#199693,.T.); #265794=ORIENTED_EDGE('',*,*,#199692,.T.); #265795=ORIENTED_EDGE('',*,*,#199694,.F.); #265796=ORIENTED_EDGE('',*,*,#199695,.F.); #265797=ORIENTED_EDGE('',*,*,#199696,.T.); #265798=ORIENTED_EDGE('',*,*,#199695,.T.); #265799=ORIENTED_EDGE('',*,*,#199697,.F.); #265800=ORIENTED_EDGE('',*,*,#199698,.F.); #265801=ORIENTED_EDGE('',*,*,#199699,.T.); #265802=ORIENTED_EDGE('',*,*,#199698,.T.); #265803=ORIENTED_EDGE('',*,*,#199700,.F.); #265804=ORIENTED_EDGE('',*,*,#199701,.F.); #265805=ORIENTED_EDGE('',*,*,#199702,.T.); #265806=ORIENTED_EDGE('',*,*,#199701,.T.); #265807=ORIENTED_EDGE('',*,*,#199703,.F.); #265808=ORIENTED_EDGE('',*,*,#199704,.F.); #265809=ORIENTED_EDGE('',*,*,#199705,.T.); #265810=ORIENTED_EDGE('',*,*,#199704,.T.); #265811=ORIENTED_EDGE('',*,*,#199706,.F.); #265812=ORIENTED_EDGE('',*,*,#199707,.F.); #265813=ORIENTED_EDGE('',*,*,#199708,.T.); #265814=ORIENTED_EDGE('',*,*,#199707,.T.); #265815=ORIENTED_EDGE('',*,*,#199709,.F.); #265816=ORIENTED_EDGE('',*,*,#199710,.F.); #265817=ORIENTED_EDGE('',*,*,#199711,.T.); #265818=ORIENTED_EDGE('',*,*,#199710,.T.); #265819=ORIENTED_EDGE('',*,*,#199712,.F.); #265820=ORIENTED_EDGE('',*,*,#199713,.F.); #265821=ORIENTED_EDGE('',*,*,#199714,.T.); #265822=ORIENTED_EDGE('',*,*,#199713,.T.); #265823=ORIENTED_EDGE('',*,*,#199715,.F.); #265824=ORIENTED_EDGE('',*,*,#199716,.F.); #265825=ORIENTED_EDGE('',*,*,#199717,.T.); #265826=ORIENTED_EDGE('',*,*,#199716,.T.); #265827=ORIENTED_EDGE('',*,*,#199718,.F.); #265828=ORIENTED_EDGE('',*,*,#199719,.F.); #265829=ORIENTED_EDGE('',*,*,#199720,.T.); #265830=ORIENTED_EDGE('',*,*,#199719,.T.); #265831=ORIENTED_EDGE('',*,*,#199721,.F.); #265832=ORIENTED_EDGE('',*,*,#199722,.F.); #265833=ORIENTED_EDGE('',*,*,#199723,.T.); #265834=ORIENTED_EDGE('',*,*,#199722,.T.); #265835=ORIENTED_EDGE('',*,*,#199724,.F.); #265836=ORIENTED_EDGE('',*,*,#199725,.F.); #265837=ORIENTED_EDGE('',*,*,#199726,.T.); #265838=ORIENTED_EDGE('',*,*,#199725,.T.); #265839=ORIENTED_EDGE('',*,*,#199727,.F.); #265840=ORIENTED_EDGE('',*,*,#199728,.F.); #265841=ORIENTED_EDGE('',*,*,#199729,.T.); #265842=ORIENTED_EDGE('',*,*,#199728,.T.); #265843=ORIENTED_EDGE('',*,*,#199730,.F.); #265844=ORIENTED_EDGE('',*,*,#199731,.F.); #265845=ORIENTED_EDGE('',*,*,#199732,.T.); #265846=ORIENTED_EDGE('',*,*,#199731,.T.); #265847=ORIENTED_EDGE('',*,*,#199733,.F.); #265848=ORIENTED_EDGE('',*,*,#199734,.F.); #265849=ORIENTED_EDGE('',*,*,#199735,.T.); #265850=ORIENTED_EDGE('',*,*,#199734,.T.); #265851=ORIENTED_EDGE('',*,*,#199736,.F.); #265852=ORIENTED_EDGE('',*,*,#199737,.F.); #265853=ORIENTED_EDGE('',*,*,#199738,.T.); #265854=ORIENTED_EDGE('',*,*,#199737,.T.); #265855=ORIENTED_EDGE('',*,*,#199739,.F.); #265856=ORIENTED_EDGE('',*,*,#199740,.F.); #265857=ORIENTED_EDGE('',*,*,#199741,.T.); #265858=ORIENTED_EDGE('',*,*,#199740,.T.); #265859=ORIENTED_EDGE('',*,*,#199742,.F.); #265860=ORIENTED_EDGE('',*,*,#199743,.F.); #265861=ORIENTED_EDGE('',*,*,#199744,.T.); #265862=ORIENTED_EDGE('',*,*,#199743,.T.); #265863=ORIENTED_EDGE('',*,*,#199745,.F.); #265864=ORIENTED_EDGE('',*,*,#199746,.F.); #265865=ORIENTED_EDGE('',*,*,#199747,.T.); #265866=ORIENTED_EDGE('',*,*,#199746,.T.); #265867=ORIENTED_EDGE('',*,*,#199748,.F.); #265868=ORIENTED_EDGE('',*,*,#199749,.F.); #265869=ORIENTED_EDGE('',*,*,#199750,.T.); #265870=ORIENTED_EDGE('',*,*,#199749,.T.); #265871=ORIENTED_EDGE('',*,*,#199751,.F.); #265872=ORIENTED_EDGE('',*,*,#199752,.F.); #265873=ORIENTED_EDGE('',*,*,#199753,.T.); #265874=ORIENTED_EDGE('',*,*,#199752,.T.); #265875=ORIENTED_EDGE('',*,*,#199754,.F.); #265876=ORIENTED_EDGE('',*,*,#199755,.F.); #265877=ORIENTED_EDGE('',*,*,#199756,.T.); #265878=ORIENTED_EDGE('',*,*,#199755,.T.); #265879=ORIENTED_EDGE('',*,*,#199757,.F.); #265880=ORIENTED_EDGE('',*,*,#199758,.F.); #265881=ORIENTED_EDGE('',*,*,#199759,.T.); #265882=ORIENTED_EDGE('',*,*,#199758,.T.); #265883=ORIENTED_EDGE('',*,*,#199760,.F.); #265884=ORIENTED_EDGE('',*,*,#199761,.F.); #265885=ORIENTED_EDGE('',*,*,#199762,.T.); #265886=ORIENTED_EDGE('',*,*,#199761,.T.); #265887=ORIENTED_EDGE('',*,*,#199763,.F.); #265888=ORIENTED_EDGE('',*,*,#199764,.F.); #265889=ORIENTED_EDGE('',*,*,#199765,.T.); #265890=ORIENTED_EDGE('',*,*,#199764,.T.); #265891=ORIENTED_EDGE('',*,*,#199766,.F.); #265892=ORIENTED_EDGE('',*,*,#199767,.F.); #265893=ORIENTED_EDGE('',*,*,#199768,.T.); #265894=ORIENTED_EDGE('',*,*,#199767,.T.); #265895=ORIENTED_EDGE('',*,*,#199769,.F.); #265896=ORIENTED_EDGE('',*,*,#199770,.F.); #265897=ORIENTED_EDGE('',*,*,#199771,.T.); #265898=ORIENTED_EDGE('',*,*,#199770,.T.); #265899=ORIENTED_EDGE('',*,*,#199772,.F.); #265900=ORIENTED_EDGE('',*,*,#199773,.F.); #265901=ORIENTED_EDGE('',*,*,#199774,.T.); #265902=ORIENTED_EDGE('',*,*,#199773,.T.); #265903=ORIENTED_EDGE('',*,*,#199775,.F.); #265904=ORIENTED_EDGE('',*,*,#199776,.F.); #265905=ORIENTED_EDGE('',*,*,#199777,.T.); #265906=ORIENTED_EDGE('',*,*,#199776,.T.); #265907=ORIENTED_EDGE('',*,*,#199778,.F.); #265908=ORIENTED_EDGE('',*,*,#199779,.F.); #265909=ORIENTED_EDGE('',*,*,#199780,.T.); #265910=ORIENTED_EDGE('',*,*,#199779,.T.); #265911=ORIENTED_EDGE('',*,*,#199781,.F.); #265912=ORIENTED_EDGE('',*,*,#199782,.F.); #265913=ORIENTED_EDGE('',*,*,#199783,.T.); #265914=ORIENTED_EDGE('',*,*,#199782,.T.); #265915=ORIENTED_EDGE('',*,*,#199784,.F.); #265916=ORIENTED_EDGE('',*,*,#199785,.F.); #265917=ORIENTED_EDGE('',*,*,#199786,.T.); #265918=ORIENTED_EDGE('',*,*,#199785,.T.); #265919=ORIENTED_EDGE('',*,*,#199787,.F.); #265920=ORIENTED_EDGE('',*,*,#199788,.F.); #265921=ORIENTED_EDGE('',*,*,#199789,.T.); #265922=ORIENTED_EDGE('',*,*,#199788,.T.); #265923=ORIENTED_EDGE('',*,*,#199790,.F.); #265924=ORIENTED_EDGE('',*,*,#199791,.F.); #265925=ORIENTED_EDGE('',*,*,#199792,.T.); #265926=ORIENTED_EDGE('',*,*,#199791,.T.); #265927=ORIENTED_EDGE('',*,*,#199793,.F.); #265928=ORIENTED_EDGE('',*,*,#199669,.F.); #265929=ORIENTED_EDGE('',*,*,#199793,.T.); #265930=ORIENTED_EDGE('',*,*,#199790,.T.); #265931=ORIENTED_EDGE('',*,*,#199787,.T.); #265932=ORIENTED_EDGE('',*,*,#199784,.T.); #265933=ORIENTED_EDGE('',*,*,#199781,.T.); #265934=ORIENTED_EDGE('',*,*,#199778,.T.); #265935=ORIENTED_EDGE('',*,*,#199775,.T.); #265936=ORIENTED_EDGE('',*,*,#199772,.T.); #265937=ORIENTED_EDGE('',*,*,#199769,.T.); #265938=ORIENTED_EDGE('',*,*,#199766,.T.); #265939=ORIENTED_EDGE('',*,*,#199763,.T.); #265940=ORIENTED_EDGE('',*,*,#199760,.T.); #265941=ORIENTED_EDGE('',*,*,#199757,.T.); #265942=ORIENTED_EDGE('',*,*,#199754,.T.); #265943=ORIENTED_EDGE('',*,*,#199751,.T.); #265944=ORIENTED_EDGE('',*,*,#199748,.T.); #265945=ORIENTED_EDGE('',*,*,#199745,.T.); #265946=ORIENTED_EDGE('',*,*,#199742,.T.); #265947=ORIENTED_EDGE('',*,*,#199739,.T.); #265948=ORIENTED_EDGE('',*,*,#199736,.T.); #265949=ORIENTED_EDGE('',*,*,#199733,.T.); #265950=ORIENTED_EDGE('',*,*,#199730,.T.); #265951=ORIENTED_EDGE('',*,*,#199727,.T.); #265952=ORIENTED_EDGE('',*,*,#199724,.T.); #265953=ORIENTED_EDGE('',*,*,#199721,.T.); #265954=ORIENTED_EDGE('',*,*,#199718,.T.); #265955=ORIENTED_EDGE('',*,*,#199715,.T.); #265956=ORIENTED_EDGE('',*,*,#199712,.T.); #265957=ORIENTED_EDGE('',*,*,#199709,.T.); #265958=ORIENTED_EDGE('',*,*,#199706,.T.); #265959=ORIENTED_EDGE('',*,*,#199703,.T.); #265960=ORIENTED_EDGE('',*,*,#199700,.T.); #265961=ORIENTED_EDGE('',*,*,#199697,.T.); #265962=ORIENTED_EDGE('',*,*,#199694,.T.); #265963=ORIENTED_EDGE('',*,*,#199691,.T.); #265964=ORIENTED_EDGE('',*,*,#199688,.T.); #265965=ORIENTED_EDGE('',*,*,#199685,.T.); #265966=ORIENTED_EDGE('',*,*,#199682,.T.); #265967=ORIENTED_EDGE('',*,*,#199679,.T.); #265968=ORIENTED_EDGE('',*,*,#199676,.T.); #265969=ORIENTED_EDGE('',*,*,#199673,.T.); #265970=ORIENTED_EDGE('',*,*,#199670,.T.); #265971=ORIENTED_EDGE('',*,*,#199667,.T.); #265972=ORIENTED_EDGE('',*,*,#199792,.F.); #265973=ORIENTED_EDGE('',*,*,#199668,.F.); #265974=ORIENTED_EDGE('',*,*,#199672,.F.); #265975=ORIENTED_EDGE('',*,*,#199675,.F.); #265976=ORIENTED_EDGE('',*,*,#199678,.F.); #265977=ORIENTED_EDGE('',*,*,#199681,.F.); #265978=ORIENTED_EDGE('',*,*,#199684,.F.); #265979=ORIENTED_EDGE('',*,*,#199687,.F.); #265980=ORIENTED_EDGE('',*,*,#199690,.F.); #265981=ORIENTED_EDGE('',*,*,#199693,.F.); #265982=ORIENTED_EDGE('',*,*,#199696,.F.); #265983=ORIENTED_EDGE('',*,*,#199699,.F.); #265984=ORIENTED_EDGE('',*,*,#199702,.F.); #265985=ORIENTED_EDGE('',*,*,#199705,.F.); #265986=ORIENTED_EDGE('',*,*,#199708,.F.); #265987=ORIENTED_EDGE('',*,*,#199711,.F.); #265988=ORIENTED_EDGE('',*,*,#199714,.F.); #265989=ORIENTED_EDGE('',*,*,#199717,.F.); #265990=ORIENTED_EDGE('',*,*,#199720,.F.); #265991=ORIENTED_EDGE('',*,*,#199723,.F.); #265992=ORIENTED_EDGE('',*,*,#199726,.F.); #265993=ORIENTED_EDGE('',*,*,#199729,.F.); #265994=ORIENTED_EDGE('',*,*,#199732,.F.); #265995=ORIENTED_EDGE('',*,*,#199735,.F.); #265996=ORIENTED_EDGE('',*,*,#199738,.F.); #265997=ORIENTED_EDGE('',*,*,#199741,.F.); #265998=ORIENTED_EDGE('',*,*,#199744,.F.); #265999=ORIENTED_EDGE('',*,*,#199747,.F.); #266000=ORIENTED_EDGE('',*,*,#199750,.F.); #266001=ORIENTED_EDGE('',*,*,#199753,.F.); #266002=ORIENTED_EDGE('',*,*,#199756,.F.); #266003=ORIENTED_EDGE('',*,*,#199759,.F.); #266004=ORIENTED_EDGE('',*,*,#199762,.F.); #266005=ORIENTED_EDGE('',*,*,#199765,.F.); #266006=ORIENTED_EDGE('',*,*,#199768,.F.); #266007=ORIENTED_EDGE('',*,*,#199771,.F.); #266008=ORIENTED_EDGE('',*,*,#199774,.F.); #266009=ORIENTED_EDGE('',*,*,#199777,.F.); #266010=ORIENTED_EDGE('',*,*,#199780,.F.); #266011=ORIENTED_EDGE('',*,*,#199783,.F.); #266012=ORIENTED_EDGE('',*,*,#199786,.F.); #266013=ORIENTED_EDGE('',*,*,#199789,.F.); #266014=ORIENTED_EDGE('',*,*,#199665,.T.); #266015=ORIENTED_EDGE('',*,*,#199794,.F.); #266016=ORIENTED_EDGE('',*,*,#199795,.T.); #266017=ORIENTED_EDGE('',*,*,#199796,.F.); #266018=ORIENTED_EDGE('',*,*,#199795,.F.); #266019=ORIENTED_EDGE('',*,*,#199797,.F.); #266020=ORIENTED_EDGE('',*,*,#199798,.T.); #266021=ORIENTED_EDGE('',*,*,#199799,.F.); #266022=ORIENTED_EDGE('',*,*,#199798,.F.); #266023=ORIENTED_EDGE('',*,*,#199800,.F.); #266024=ORIENTED_EDGE('',*,*,#199801,.T.); #266025=ORIENTED_EDGE('',*,*,#199802,.F.); #266026=ORIENTED_EDGE('',*,*,#199801,.F.); #266027=ORIENTED_EDGE('',*,*,#199803,.F.); #266028=ORIENTED_EDGE('',*,*,#199804,.T.); #266029=ORIENTED_EDGE('',*,*,#199805,.F.); #266030=ORIENTED_EDGE('',*,*,#199804,.F.); #266031=ORIENTED_EDGE('',*,*,#199806,.F.); #266032=ORIENTED_EDGE('',*,*,#199807,.T.); #266033=ORIENTED_EDGE('',*,*,#199808,.F.); #266034=ORIENTED_EDGE('',*,*,#199807,.F.); #266035=ORIENTED_EDGE('',*,*,#199809,.F.); #266036=ORIENTED_EDGE('',*,*,#199810,.T.); #266037=ORIENTED_EDGE('',*,*,#199811,.F.); #266038=ORIENTED_EDGE('',*,*,#199810,.F.); #266039=ORIENTED_EDGE('',*,*,#199812,.F.); #266040=ORIENTED_EDGE('',*,*,#199813,.T.); #266041=ORIENTED_EDGE('',*,*,#199814,.F.); #266042=ORIENTED_EDGE('',*,*,#199813,.F.); #266043=ORIENTED_EDGE('',*,*,#199815,.F.); #266044=ORIENTED_EDGE('',*,*,#199816,.T.); #266045=ORIENTED_EDGE('',*,*,#199817,.F.); #266046=ORIENTED_EDGE('',*,*,#199816,.F.); #266047=ORIENTED_EDGE('',*,*,#199818,.F.); #266048=ORIENTED_EDGE('',*,*,#199819,.T.); #266049=ORIENTED_EDGE('',*,*,#199820,.F.); #266050=ORIENTED_EDGE('',*,*,#199819,.F.); #266051=ORIENTED_EDGE('',*,*,#199821,.F.); #266052=ORIENTED_EDGE('',*,*,#199822,.T.); #266053=ORIENTED_EDGE('',*,*,#199823,.F.); #266054=ORIENTED_EDGE('',*,*,#199822,.F.); #266055=ORIENTED_EDGE('',*,*,#199824,.F.); #266056=ORIENTED_EDGE('',*,*,#199825,.T.); #266057=ORIENTED_EDGE('',*,*,#199826,.F.); #266058=ORIENTED_EDGE('',*,*,#199825,.F.); #266059=ORIENTED_EDGE('',*,*,#199827,.F.); #266060=ORIENTED_EDGE('',*,*,#199828,.T.); #266061=ORIENTED_EDGE('',*,*,#199829,.F.); #266062=ORIENTED_EDGE('',*,*,#199828,.F.); #266063=ORIENTED_EDGE('',*,*,#199830,.F.); #266064=ORIENTED_EDGE('',*,*,#199831,.T.); #266065=ORIENTED_EDGE('',*,*,#199832,.F.); #266066=ORIENTED_EDGE('',*,*,#199831,.F.); #266067=ORIENTED_EDGE('',*,*,#199833,.F.); #266068=ORIENTED_EDGE('',*,*,#199834,.T.); #266069=ORIENTED_EDGE('',*,*,#199835,.F.); #266070=ORIENTED_EDGE('',*,*,#199834,.F.); #266071=ORIENTED_EDGE('',*,*,#199836,.F.); #266072=ORIENTED_EDGE('',*,*,#199837,.T.); #266073=ORIENTED_EDGE('',*,*,#199838,.F.); #266074=ORIENTED_EDGE('',*,*,#199837,.F.); #266075=ORIENTED_EDGE('',*,*,#199839,.T.); #266076=ORIENTED_EDGE('',*,*,#199840,.T.); #266077=ORIENTED_EDGE('',*,*,#199841,.F.); #266078=ORIENTED_EDGE('',*,*,#199842,.F.); #266079=ORIENTED_EDGE('',*,*,#199843,.T.); #266080=ORIENTED_EDGE('',*,*,#199842,.T.); #266081=ORIENTED_EDGE('',*,*,#199844,.F.); #266082=ORIENTED_EDGE('',*,*,#199845,.F.); #266083=ORIENTED_EDGE('',*,*,#199846,.T.); #266084=ORIENTED_EDGE('',*,*,#199845,.T.); #266085=ORIENTED_EDGE('',*,*,#199847,.F.); #266086=ORIENTED_EDGE('',*,*,#199848,.F.); #266087=ORIENTED_EDGE('',*,*,#199849,.T.); #266088=ORIENTED_EDGE('',*,*,#199848,.T.); #266089=ORIENTED_EDGE('',*,*,#199850,.F.); #266090=ORIENTED_EDGE('',*,*,#199851,.F.); #266091=ORIENTED_EDGE('',*,*,#199852,.T.); #266092=ORIENTED_EDGE('',*,*,#199851,.T.); #266093=ORIENTED_EDGE('',*,*,#199853,.F.); #266094=ORIENTED_EDGE('',*,*,#199854,.F.); #266095=ORIENTED_EDGE('',*,*,#199855,.T.); #266096=ORIENTED_EDGE('',*,*,#199854,.T.); #266097=ORIENTED_EDGE('',*,*,#199856,.F.); #266098=ORIENTED_EDGE('',*,*,#199857,.F.); #266099=ORIENTED_EDGE('',*,*,#199858,.T.); #266100=ORIENTED_EDGE('',*,*,#199857,.T.); #266101=ORIENTED_EDGE('',*,*,#199859,.F.); #266102=ORIENTED_EDGE('',*,*,#199860,.F.); #266103=ORIENTED_EDGE('',*,*,#199861,.T.); #266104=ORIENTED_EDGE('',*,*,#199860,.T.); #266105=ORIENTED_EDGE('',*,*,#199862,.F.); #266106=ORIENTED_EDGE('',*,*,#199863,.F.); #266107=ORIENTED_EDGE('',*,*,#199864,.T.); #266108=ORIENTED_EDGE('',*,*,#199863,.T.); #266109=ORIENTED_EDGE('',*,*,#199865,.F.); #266110=ORIENTED_EDGE('',*,*,#199866,.F.); #266111=ORIENTED_EDGE('',*,*,#199867,.T.); #266112=ORIENTED_EDGE('',*,*,#199866,.T.); #266113=ORIENTED_EDGE('',*,*,#199868,.F.); #266114=ORIENTED_EDGE('',*,*,#199869,.F.); #266115=ORIENTED_EDGE('',*,*,#199870,.T.); #266116=ORIENTED_EDGE('',*,*,#199869,.T.); #266117=ORIENTED_EDGE('',*,*,#199871,.F.); #266118=ORIENTED_EDGE('',*,*,#199872,.F.); #266119=ORIENTED_EDGE('',*,*,#199873,.T.); #266120=ORIENTED_EDGE('',*,*,#199872,.T.); #266121=ORIENTED_EDGE('',*,*,#199874,.F.); #266122=ORIENTED_EDGE('',*,*,#199875,.F.); #266123=ORIENTED_EDGE('',*,*,#199876,.T.); #266124=ORIENTED_EDGE('',*,*,#199875,.T.); #266125=ORIENTED_EDGE('',*,*,#199877,.F.); #266126=ORIENTED_EDGE('',*,*,#199878,.F.); #266127=ORIENTED_EDGE('',*,*,#199879,.T.); #266128=ORIENTED_EDGE('',*,*,#199878,.T.); #266129=ORIENTED_EDGE('',*,*,#199880,.F.); #266130=ORIENTED_EDGE('',*,*,#199881,.F.); #266131=ORIENTED_EDGE('',*,*,#199882,.T.); #266132=ORIENTED_EDGE('',*,*,#199881,.T.); #266133=ORIENTED_EDGE('',*,*,#199883,.F.); #266134=ORIENTED_EDGE('',*,*,#199884,.F.); #266135=ORIENTED_EDGE('',*,*,#199885,.T.); #266136=ORIENTED_EDGE('',*,*,#199884,.T.); #266137=ORIENTED_EDGE('',*,*,#199886,.F.); #266138=ORIENTED_EDGE('',*,*,#199887,.F.); #266139=ORIENTED_EDGE('',*,*,#199888,.T.); #266140=ORIENTED_EDGE('',*,*,#199887,.T.); #266141=ORIENTED_EDGE('',*,*,#199889,.F.); #266142=ORIENTED_EDGE('',*,*,#199890,.F.); #266143=ORIENTED_EDGE('',*,*,#199891,.T.); #266144=ORIENTED_EDGE('',*,*,#199890,.T.); #266145=ORIENTED_EDGE('',*,*,#199892,.F.); #266146=ORIENTED_EDGE('',*,*,#199893,.F.); #266147=ORIENTED_EDGE('',*,*,#199894,.T.); #266148=ORIENTED_EDGE('',*,*,#199893,.T.); #266149=ORIENTED_EDGE('',*,*,#199895,.F.); #266150=ORIENTED_EDGE('',*,*,#199896,.F.); #266151=ORIENTED_EDGE('',*,*,#199897,.T.); #266152=ORIENTED_EDGE('',*,*,#199896,.T.); #266153=ORIENTED_EDGE('',*,*,#199898,.F.); #266154=ORIENTED_EDGE('',*,*,#199899,.F.); #266155=ORIENTED_EDGE('',*,*,#199900,.T.); #266156=ORIENTED_EDGE('',*,*,#199899,.T.); #266157=ORIENTED_EDGE('',*,*,#199901,.F.); #266158=ORIENTED_EDGE('',*,*,#199902,.F.); #266159=ORIENTED_EDGE('',*,*,#199903,.T.); #266160=ORIENTED_EDGE('',*,*,#199902,.T.); #266161=ORIENTED_EDGE('',*,*,#199904,.F.); #266162=ORIENTED_EDGE('',*,*,#199905,.F.); #266163=ORIENTED_EDGE('',*,*,#199906,.T.); #266164=ORIENTED_EDGE('',*,*,#199905,.T.); #266165=ORIENTED_EDGE('',*,*,#199907,.F.); #266166=ORIENTED_EDGE('',*,*,#199908,.F.); #266167=ORIENTED_EDGE('',*,*,#199909,.T.); #266168=ORIENTED_EDGE('',*,*,#199908,.T.); #266169=ORIENTED_EDGE('',*,*,#199910,.F.); #266170=ORIENTED_EDGE('',*,*,#199911,.F.); #266171=ORIENTED_EDGE('',*,*,#199912,.T.); #266172=ORIENTED_EDGE('',*,*,#199911,.T.); #266173=ORIENTED_EDGE('',*,*,#199913,.F.); #266174=ORIENTED_EDGE('',*,*,#199914,.F.); #266175=ORIENTED_EDGE('',*,*,#199915,.T.); #266176=ORIENTED_EDGE('',*,*,#199914,.T.); #266177=ORIENTED_EDGE('',*,*,#199916,.F.); #266178=ORIENTED_EDGE('',*,*,#199917,.F.); #266179=ORIENTED_EDGE('',*,*,#199918,.T.); #266180=ORIENTED_EDGE('',*,*,#199917,.T.); #266181=ORIENTED_EDGE('',*,*,#199919,.F.); #266182=ORIENTED_EDGE('',*,*,#199920,.F.); #266183=ORIENTED_EDGE('',*,*,#199921,.T.); #266184=ORIENTED_EDGE('',*,*,#199920,.T.); #266185=ORIENTED_EDGE('',*,*,#199922,.F.); #266186=ORIENTED_EDGE('',*,*,#199923,.F.); #266187=ORIENTED_EDGE('',*,*,#199924,.T.); #266188=ORIENTED_EDGE('',*,*,#199923,.T.); #266189=ORIENTED_EDGE('',*,*,#199925,.F.); #266190=ORIENTED_EDGE('',*,*,#199926,.F.); #266191=ORIENTED_EDGE('',*,*,#199927,.T.); #266192=ORIENTED_EDGE('',*,*,#199926,.T.); #266193=ORIENTED_EDGE('',*,*,#199928,.F.); #266194=ORIENTED_EDGE('',*,*,#199929,.F.); #266195=ORIENTED_EDGE('',*,*,#199930,.T.); #266196=ORIENTED_EDGE('',*,*,#199929,.T.); #266197=ORIENTED_EDGE('',*,*,#199931,.F.); #266198=ORIENTED_EDGE('',*,*,#199932,.F.); #266199=ORIENTED_EDGE('',*,*,#199933,.T.); #266200=ORIENTED_EDGE('',*,*,#199932,.T.); #266201=ORIENTED_EDGE('',*,*,#199934,.F.); #266202=ORIENTED_EDGE('',*,*,#199935,.F.); #266203=ORIENTED_EDGE('',*,*,#199936,.T.); #266204=ORIENTED_EDGE('',*,*,#199935,.T.); #266205=ORIENTED_EDGE('',*,*,#199937,.F.); #266206=ORIENTED_EDGE('',*,*,#199938,.F.); #266207=ORIENTED_EDGE('',*,*,#199939,.T.); #266208=ORIENTED_EDGE('',*,*,#199938,.T.); #266209=ORIENTED_EDGE('',*,*,#199940,.F.); #266210=ORIENTED_EDGE('',*,*,#199941,.F.); #266211=ORIENTED_EDGE('',*,*,#199942,.T.); #266212=ORIENTED_EDGE('',*,*,#199941,.T.); #266213=ORIENTED_EDGE('',*,*,#199943,.F.); #266214=ORIENTED_EDGE('',*,*,#199944,.F.); #266215=ORIENTED_EDGE('',*,*,#199945,.T.); #266216=ORIENTED_EDGE('',*,*,#199944,.T.); #266217=ORIENTED_EDGE('',*,*,#199946,.F.); #266218=ORIENTED_EDGE('',*,*,#199947,.F.); #266219=ORIENTED_EDGE('',*,*,#199948,.T.); #266220=ORIENTED_EDGE('',*,*,#199947,.T.); #266221=ORIENTED_EDGE('',*,*,#199949,.F.); #266222=ORIENTED_EDGE('',*,*,#199950,.F.); #266223=ORIENTED_EDGE('',*,*,#199951,.T.); #266224=ORIENTED_EDGE('',*,*,#199950,.T.); #266225=ORIENTED_EDGE('',*,*,#199952,.F.); #266226=ORIENTED_EDGE('',*,*,#199953,.F.); #266227=ORIENTED_EDGE('',*,*,#199954,.T.); #266228=ORIENTED_EDGE('',*,*,#199953,.T.); #266229=ORIENTED_EDGE('',*,*,#199955,.F.); #266230=ORIENTED_EDGE('',*,*,#199956,.F.); #266231=ORIENTED_EDGE('',*,*,#199957,.T.); #266232=ORIENTED_EDGE('',*,*,#199956,.T.); #266233=ORIENTED_EDGE('',*,*,#199958,.F.); #266234=ORIENTED_EDGE('',*,*,#199959,.F.); #266235=ORIENTED_EDGE('',*,*,#199960,.T.); #266236=ORIENTED_EDGE('',*,*,#199959,.T.); #266237=ORIENTED_EDGE('',*,*,#199961,.F.); #266238=ORIENTED_EDGE('',*,*,#199962,.F.); #266239=ORIENTED_EDGE('',*,*,#199963,.T.); #266240=ORIENTED_EDGE('',*,*,#199962,.T.); #266241=ORIENTED_EDGE('',*,*,#199964,.F.); #266242=ORIENTED_EDGE('',*,*,#199965,.F.); #266243=ORIENTED_EDGE('',*,*,#199966,.T.); #266244=ORIENTED_EDGE('',*,*,#199965,.T.); #266245=ORIENTED_EDGE('',*,*,#199967,.F.); #266246=ORIENTED_EDGE('',*,*,#199968,.F.); #266247=ORIENTED_EDGE('',*,*,#199969,.T.); #266248=ORIENTED_EDGE('',*,*,#199968,.T.); #266249=ORIENTED_EDGE('',*,*,#199970,.F.); #266250=ORIENTED_EDGE('',*,*,#199971,.F.); #266251=ORIENTED_EDGE('',*,*,#199972,.T.); #266252=ORIENTED_EDGE('',*,*,#199971,.T.); #266253=ORIENTED_EDGE('',*,*,#199973,.F.); #266254=ORIENTED_EDGE('',*,*,#199974,.F.); #266255=ORIENTED_EDGE('',*,*,#199975,.T.); #266256=ORIENTED_EDGE('',*,*,#199974,.T.); #266257=ORIENTED_EDGE('',*,*,#199976,.F.); #266258=ORIENTED_EDGE('',*,*,#199977,.F.); #266259=ORIENTED_EDGE('',*,*,#199978,.T.); #266260=ORIENTED_EDGE('',*,*,#199977,.T.); #266261=ORIENTED_EDGE('',*,*,#199979,.F.); #266262=ORIENTED_EDGE('',*,*,#199980,.F.); #266263=ORIENTED_EDGE('',*,*,#199981,.T.); #266264=ORIENTED_EDGE('',*,*,#199980,.T.); #266265=ORIENTED_EDGE('',*,*,#199982,.F.); #266266=ORIENTED_EDGE('',*,*,#199983,.F.); #266267=ORIENTED_EDGE('',*,*,#199984,.T.); #266268=ORIENTED_EDGE('',*,*,#199983,.T.); #266269=ORIENTED_EDGE('',*,*,#199985,.F.); #266270=ORIENTED_EDGE('',*,*,#199840,.F.); #266271=ORIENTED_EDGE('',*,*,#199985,.T.); #266272=ORIENTED_EDGE('',*,*,#199982,.T.); #266273=ORIENTED_EDGE('',*,*,#199979,.T.); #266274=ORIENTED_EDGE('',*,*,#199976,.T.); #266275=ORIENTED_EDGE('',*,*,#199973,.T.); #266276=ORIENTED_EDGE('',*,*,#199970,.T.); #266277=ORIENTED_EDGE('',*,*,#199967,.T.); #266278=ORIENTED_EDGE('',*,*,#199964,.T.); #266279=ORIENTED_EDGE('',*,*,#199961,.T.); #266280=ORIENTED_EDGE('',*,*,#199958,.T.); #266281=ORIENTED_EDGE('',*,*,#199955,.T.); #266282=ORIENTED_EDGE('',*,*,#199952,.T.); #266283=ORIENTED_EDGE('',*,*,#199949,.T.); #266284=ORIENTED_EDGE('',*,*,#199946,.T.); #266285=ORIENTED_EDGE('',*,*,#199943,.T.); #266286=ORIENTED_EDGE('',*,*,#199940,.T.); #266287=ORIENTED_EDGE('',*,*,#199937,.T.); #266288=ORIENTED_EDGE('',*,*,#199934,.T.); #266289=ORIENTED_EDGE('',*,*,#199931,.T.); #266290=ORIENTED_EDGE('',*,*,#199928,.T.); #266291=ORIENTED_EDGE('',*,*,#199925,.T.); #266292=ORIENTED_EDGE('',*,*,#199922,.T.); #266293=ORIENTED_EDGE('',*,*,#199919,.T.); #266294=ORIENTED_EDGE('',*,*,#199916,.T.); #266295=ORIENTED_EDGE('',*,*,#199913,.T.); #266296=ORIENTED_EDGE('',*,*,#199910,.T.); #266297=ORIENTED_EDGE('',*,*,#199907,.T.); #266298=ORIENTED_EDGE('',*,*,#199904,.T.); #266299=ORIENTED_EDGE('',*,*,#199901,.T.); #266300=ORIENTED_EDGE('',*,*,#199898,.T.); #266301=ORIENTED_EDGE('',*,*,#199895,.T.); #266302=ORIENTED_EDGE('',*,*,#199892,.T.); #266303=ORIENTED_EDGE('',*,*,#199889,.T.); #266304=ORIENTED_EDGE('',*,*,#199886,.T.); #266305=ORIENTED_EDGE('',*,*,#199883,.T.); #266306=ORIENTED_EDGE('',*,*,#199880,.T.); #266307=ORIENTED_EDGE('',*,*,#199877,.T.); #266308=ORIENTED_EDGE('',*,*,#199874,.T.); #266309=ORIENTED_EDGE('',*,*,#199871,.T.); #266310=ORIENTED_EDGE('',*,*,#199868,.T.); #266311=ORIENTED_EDGE('',*,*,#199865,.T.); #266312=ORIENTED_EDGE('',*,*,#199862,.T.); #266313=ORIENTED_EDGE('',*,*,#199859,.T.); #266314=ORIENTED_EDGE('',*,*,#199856,.T.); #266315=ORIENTED_EDGE('',*,*,#199853,.T.); #266316=ORIENTED_EDGE('',*,*,#199850,.T.); #266317=ORIENTED_EDGE('',*,*,#199847,.T.); #266318=ORIENTED_EDGE('',*,*,#199844,.T.); #266319=ORIENTED_EDGE('',*,*,#199841,.T.); #266320=ORIENTED_EDGE('',*,*,#199796,.T.); #266321=ORIENTED_EDGE('',*,*,#199799,.T.); #266322=ORIENTED_EDGE('',*,*,#199802,.T.); #266323=ORIENTED_EDGE('',*,*,#199805,.T.); #266324=ORIENTED_EDGE('',*,*,#199808,.T.); #266325=ORIENTED_EDGE('',*,*,#199811,.T.); #266326=ORIENTED_EDGE('',*,*,#199814,.T.); #266327=ORIENTED_EDGE('',*,*,#199817,.T.); #266328=ORIENTED_EDGE('',*,*,#199820,.T.); #266329=ORIENTED_EDGE('',*,*,#199823,.T.); #266330=ORIENTED_EDGE('',*,*,#199826,.T.); #266331=ORIENTED_EDGE('',*,*,#199829,.T.); #266332=ORIENTED_EDGE('',*,*,#199832,.T.); #266333=ORIENTED_EDGE('',*,*,#199835,.T.); #266334=ORIENTED_EDGE('',*,*,#199838,.T.); #266335=ORIENTED_EDGE('',*,*,#199984,.F.); #266336=ORIENTED_EDGE('',*,*,#199839,.F.); #266337=ORIENTED_EDGE('',*,*,#199843,.F.); #266338=ORIENTED_EDGE('',*,*,#199846,.F.); #266339=ORIENTED_EDGE('',*,*,#199849,.F.); #266340=ORIENTED_EDGE('',*,*,#199852,.F.); #266341=ORIENTED_EDGE('',*,*,#199855,.F.); #266342=ORIENTED_EDGE('',*,*,#199858,.F.); #266343=ORIENTED_EDGE('',*,*,#199861,.F.); #266344=ORIENTED_EDGE('',*,*,#199864,.F.); #266345=ORIENTED_EDGE('',*,*,#199867,.F.); #266346=ORIENTED_EDGE('',*,*,#199870,.F.); #266347=ORIENTED_EDGE('',*,*,#199873,.F.); #266348=ORIENTED_EDGE('',*,*,#199876,.F.); #266349=ORIENTED_EDGE('',*,*,#199879,.F.); #266350=ORIENTED_EDGE('',*,*,#199882,.F.); #266351=ORIENTED_EDGE('',*,*,#199885,.F.); #266352=ORIENTED_EDGE('',*,*,#199888,.F.); #266353=ORIENTED_EDGE('',*,*,#199891,.F.); #266354=ORIENTED_EDGE('',*,*,#199894,.F.); #266355=ORIENTED_EDGE('',*,*,#199897,.F.); #266356=ORIENTED_EDGE('',*,*,#199900,.F.); #266357=ORIENTED_EDGE('',*,*,#199903,.F.); #266358=ORIENTED_EDGE('',*,*,#199906,.F.); #266359=ORIENTED_EDGE('',*,*,#199909,.F.); #266360=ORIENTED_EDGE('',*,*,#199912,.F.); #266361=ORIENTED_EDGE('',*,*,#199915,.F.); #266362=ORIENTED_EDGE('',*,*,#199918,.F.); #266363=ORIENTED_EDGE('',*,*,#199921,.F.); #266364=ORIENTED_EDGE('',*,*,#199924,.F.); #266365=ORIENTED_EDGE('',*,*,#199927,.F.); #266366=ORIENTED_EDGE('',*,*,#199930,.F.); #266367=ORIENTED_EDGE('',*,*,#199933,.F.); #266368=ORIENTED_EDGE('',*,*,#199936,.F.); #266369=ORIENTED_EDGE('',*,*,#199939,.F.); #266370=ORIENTED_EDGE('',*,*,#199942,.F.); #266371=ORIENTED_EDGE('',*,*,#199945,.F.); #266372=ORIENTED_EDGE('',*,*,#199948,.F.); #266373=ORIENTED_EDGE('',*,*,#199951,.F.); #266374=ORIENTED_EDGE('',*,*,#199954,.F.); #266375=ORIENTED_EDGE('',*,*,#199957,.F.); #266376=ORIENTED_EDGE('',*,*,#199960,.F.); #266377=ORIENTED_EDGE('',*,*,#199963,.F.); #266378=ORIENTED_EDGE('',*,*,#199966,.F.); #266379=ORIENTED_EDGE('',*,*,#199969,.F.); #266380=ORIENTED_EDGE('',*,*,#199972,.F.); #266381=ORIENTED_EDGE('',*,*,#199975,.F.); #266382=ORIENTED_EDGE('',*,*,#199978,.F.); #266383=ORIENTED_EDGE('',*,*,#199981,.F.); #266384=ORIENTED_EDGE('',*,*,#199794,.T.); #266385=ORIENTED_EDGE('',*,*,#199797,.T.); #266386=ORIENTED_EDGE('',*,*,#199800,.T.); #266387=ORIENTED_EDGE('',*,*,#199803,.T.); #266388=ORIENTED_EDGE('',*,*,#199806,.T.); #266389=ORIENTED_EDGE('',*,*,#199809,.T.); #266390=ORIENTED_EDGE('',*,*,#199812,.T.); #266391=ORIENTED_EDGE('',*,*,#199815,.T.); #266392=ORIENTED_EDGE('',*,*,#199818,.T.); #266393=ORIENTED_EDGE('',*,*,#199821,.T.); #266394=ORIENTED_EDGE('',*,*,#199824,.T.); #266395=ORIENTED_EDGE('',*,*,#199827,.T.); #266396=ORIENTED_EDGE('',*,*,#199830,.T.); #266397=ORIENTED_EDGE('',*,*,#199833,.T.); #266398=ORIENTED_EDGE('',*,*,#199836,.T.); #266399=ORIENTED_EDGE('',*,*,#199986,.F.); #266400=ORIENTED_EDGE('',*,*,#199987,.T.); #266401=ORIENTED_EDGE('',*,*,#199988,.F.); #266402=ORIENTED_EDGE('',*,*,#199987,.F.); #266403=ORIENTED_EDGE('',*,*,#199989,.T.); #266404=ORIENTED_EDGE('',*,*,#199990,.T.); #266405=ORIENTED_EDGE('',*,*,#199991,.F.); #266406=ORIENTED_EDGE('',*,*,#199992,.F.); #266407=ORIENTED_EDGE('',*,*,#199993,.T.); #266408=ORIENTED_EDGE('',*,*,#199992,.T.); #266409=ORIENTED_EDGE('',*,*,#199994,.F.); #266410=ORIENTED_EDGE('',*,*,#199995,.F.); #266411=ORIENTED_EDGE('',*,*,#199996,.T.); #266412=ORIENTED_EDGE('',*,*,#199995,.T.); #266413=ORIENTED_EDGE('',*,*,#199997,.F.); #266414=ORIENTED_EDGE('',*,*,#199998,.F.); #266415=ORIENTED_EDGE('',*,*,#199999,.T.); #266416=ORIENTED_EDGE('',*,*,#199998,.T.); #266417=ORIENTED_EDGE('',*,*,#200000,.F.); #266418=ORIENTED_EDGE('',*,*,#200001,.F.); #266419=ORIENTED_EDGE('',*,*,#200002,.T.); #266420=ORIENTED_EDGE('',*,*,#200001,.T.); #266421=ORIENTED_EDGE('',*,*,#200003,.F.); #266422=ORIENTED_EDGE('',*,*,#200004,.F.); #266423=ORIENTED_EDGE('',*,*,#200005,.T.); #266424=ORIENTED_EDGE('',*,*,#200004,.T.); #266425=ORIENTED_EDGE('',*,*,#200006,.F.); #266426=ORIENTED_EDGE('',*,*,#200007,.F.); #266427=ORIENTED_EDGE('',*,*,#200008,.T.); #266428=ORIENTED_EDGE('',*,*,#200007,.T.); #266429=ORIENTED_EDGE('',*,*,#200009,.F.); #266430=ORIENTED_EDGE('',*,*,#200010,.F.); #266431=ORIENTED_EDGE('',*,*,#200011,.T.); #266432=ORIENTED_EDGE('',*,*,#200010,.T.); #266433=ORIENTED_EDGE('',*,*,#200012,.F.); #266434=ORIENTED_EDGE('',*,*,#200013,.F.); #266435=ORIENTED_EDGE('',*,*,#200014,.T.); #266436=ORIENTED_EDGE('',*,*,#200013,.T.); #266437=ORIENTED_EDGE('',*,*,#200015,.F.); #266438=ORIENTED_EDGE('',*,*,#200016,.F.); #266439=ORIENTED_EDGE('',*,*,#200017,.T.); #266440=ORIENTED_EDGE('',*,*,#200016,.T.); #266441=ORIENTED_EDGE('',*,*,#200018,.F.); #266442=ORIENTED_EDGE('',*,*,#200019,.F.); #266443=ORIENTED_EDGE('',*,*,#200020,.T.); #266444=ORIENTED_EDGE('',*,*,#200019,.T.); #266445=ORIENTED_EDGE('',*,*,#200021,.F.); #266446=ORIENTED_EDGE('',*,*,#200022,.F.); #266447=ORIENTED_EDGE('',*,*,#200023,.T.); #266448=ORIENTED_EDGE('',*,*,#200022,.T.); #266449=ORIENTED_EDGE('',*,*,#200024,.F.); #266450=ORIENTED_EDGE('',*,*,#200025,.F.); #266451=ORIENTED_EDGE('',*,*,#200026,.T.); #266452=ORIENTED_EDGE('',*,*,#200025,.T.); #266453=ORIENTED_EDGE('',*,*,#200027,.F.); #266454=ORIENTED_EDGE('',*,*,#200028,.F.); #266455=ORIENTED_EDGE('',*,*,#200029,.T.); #266456=ORIENTED_EDGE('',*,*,#200028,.T.); #266457=ORIENTED_EDGE('',*,*,#200030,.F.); #266458=ORIENTED_EDGE('',*,*,#200031,.F.); #266459=ORIENTED_EDGE('',*,*,#200032,.T.); #266460=ORIENTED_EDGE('',*,*,#200031,.T.); #266461=ORIENTED_EDGE('',*,*,#200033,.F.); #266462=ORIENTED_EDGE('',*,*,#200034,.F.); #266463=ORIENTED_EDGE('',*,*,#200035,.T.); #266464=ORIENTED_EDGE('',*,*,#200034,.T.); #266465=ORIENTED_EDGE('',*,*,#200036,.F.); #266466=ORIENTED_EDGE('',*,*,#200037,.F.); #266467=ORIENTED_EDGE('',*,*,#200038,.T.); #266468=ORIENTED_EDGE('',*,*,#200037,.T.); #266469=ORIENTED_EDGE('',*,*,#200039,.F.); #266470=ORIENTED_EDGE('',*,*,#200040,.F.); #266471=ORIENTED_EDGE('',*,*,#200041,.T.); #266472=ORIENTED_EDGE('',*,*,#200040,.T.); #266473=ORIENTED_EDGE('',*,*,#200042,.F.); #266474=ORIENTED_EDGE('',*,*,#200043,.F.); #266475=ORIENTED_EDGE('',*,*,#200044,.T.); #266476=ORIENTED_EDGE('',*,*,#200043,.T.); #266477=ORIENTED_EDGE('',*,*,#200045,.F.); #266478=ORIENTED_EDGE('',*,*,#200046,.F.); #266479=ORIENTED_EDGE('',*,*,#200047,.T.); #266480=ORIENTED_EDGE('',*,*,#200046,.T.); #266481=ORIENTED_EDGE('',*,*,#200048,.F.); #266482=ORIENTED_EDGE('',*,*,#200049,.F.); #266483=ORIENTED_EDGE('',*,*,#200050,.T.); #266484=ORIENTED_EDGE('',*,*,#200049,.T.); #266485=ORIENTED_EDGE('',*,*,#200051,.F.); #266486=ORIENTED_EDGE('',*,*,#200052,.F.); #266487=ORIENTED_EDGE('',*,*,#200053,.T.); #266488=ORIENTED_EDGE('',*,*,#200052,.T.); #266489=ORIENTED_EDGE('',*,*,#200054,.F.); #266490=ORIENTED_EDGE('',*,*,#200055,.F.); #266491=ORIENTED_EDGE('',*,*,#200056,.T.); #266492=ORIENTED_EDGE('',*,*,#200055,.T.); #266493=ORIENTED_EDGE('',*,*,#200057,.F.); #266494=ORIENTED_EDGE('',*,*,#200058,.F.); #266495=ORIENTED_EDGE('',*,*,#200059,.T.); #266496=ORIENTED_EDGE('',*,*,#200058,.T.); #266497=ORIENTED_EDGE('',*,*,#200060,.F.); #266498=ORIENTED_EDGE('',*,*,#200061,.F.); #266499=ORIENTED_EDGE('',*,*,#200062,.T.); #266500=ORIENTED_EDGE('',*,*,#200061,.T.); #266501=ORIENTED_EDGE('',*,*,#200063,.F.); #266502=ORIENTED_EDGE('',*,*,#200064,.F.); #266503=ORIENTED_EDGE('',*,*,#200065,.T.); #266504=ORIENTED_EDGE('',*,*,#200064,.T.); #266505=ORIENTED_EDGE('',*,*,#200066,.F.); #266506=ORIENTED_EDGE('',*,*,#200067,.F.); #266507=ORIENTED_EDGE('',*,*,#200068,.T.); #266508=ORIENTED_EDGE('',*,*,#200067,.T.); #266509=ORIENTED_EDGE('',*,*,#200069,.F.); #266510=ORIENTED_EDGE('',*,*,#200070,.F.); #266511=ORIENTED_EDGE('',*,*,#200071,.T.); #266512=ORIENTED_EDGE('',*,*,#200070,.T.); #266513=ORIENTED_EDGE('',*,*,#200072,.F.); #266514=ORIENTED_EDGE('',*,*,#200073,.F.); #266515=ORIENTED_EDGE('',*,*,#200074,.T.); #266516=ORIENTED_EDGE('',*,*,#200073,.T.); #266517=ORIENTED_EDGE('',*,*,#200075,.F.); #266518=ORIENTED_EDGE('',*,*,#200076,.F.); #266519=ORIENTED_EDGE('',*,*,#200077,.T.); #266520=ORIENTED_EDGE('',*,*,#200076,.T.); #266521=ORIENTED_EDGE('',*,*,#200078,.F.); #266522=ORIENTED_EDGE('',*,*,#200079,.F.); #266523=ORIENTED_EDGE('',*,*,#200080,.T.); #266524=ORIENTED_EDGE('',*,*,#200079,.T.); #266525=ORIENTED_EDGE('',*,*,#200081,.F.); #266526=ORIENTED_EDGE('',*,*,#200082,.F.); #266527=ORIENTED_EDGE('',*,*,#200083,.T.); #266528=ORIENTED_EDGE('',*,*,#200082,.T.); #266529=ORIENTED_EDGE('',*,*,#200084,.F.); #266530=ORIENTED_EDGE('',*,*,#200085,.F.); #266531=ORIENTED_EDGE('',*,*,#200086,.T.); #266532=ORIENTED_EDGE('',*,*,#200085,.T.); #266533=ORIENTED_EDGE('',*,*,#200087,.F.); #266534=ORIENTED_EDGE('',*,*,#200088,.F.); #266535=ORIENTED_EDGE('',*,*,#200089,.T.); #266536=ORIENTED_EDGE('',*,*,#200088,.T.); #266537=ORIENTED_EDGE('',*,*,#200090,.F.); #266538=ORIENTED_EDGE('',*,*,#200091,.F.); #266539=ORIENTED_EDGE('',*,*,#200092,.T.); #266540=ORIENTED_EDGE('',*,*,#200091,.T.); #266541=ORIENTED_EDGE('',*,*,#200093,.F.); #266542=ORIENTED_EDGE('',*,*,#200094,.F.); #266543=ORIENTED_EDGE('',*,*,#200095,.T.); #266544=ORIENTED_EDGE('',*,*,#200094,.T.); #266545=ORIENTED_EDGE('',*,*,#200096,.F.); #266546=ORIENTED_EDGE('',*,*,#200097,.F.); #266547=ORIENTED_EDGE('',*,*,#200098,.T.); #266548=ORIENTED_EDGE('',*,*,#200097,.T.); #266549=ORIENTED_EDGE('',*,*,#200099,.F.); #266550=ORIENTED_EDGE('',*,*,#200100,.F.); #266551=ORIENTED_EDGE('',*,*,#200101,.T.); #266552=ORIENTED_EDGE('',*,*,#200100,.T.); #266553=ORIENTED_EDGE('',*,*,#200102,.F.); #266554=ORIENTED_EDGE('',*,*,#200103,.F.); #266555=ORIENTED_EDGE('',*,*,#200104,.T.); #266556=ORIENTED_EDGE('',*,*,#200103,.T.); #266557=ORIENTED_EDGE('',*,*,#200105,.F.); #266558=ORIENTED_EDGE('',*,*,#200106,.F.); #266559=ORIENTED_EDGE('',*,*,#200107,.T.); #266560=ORIENTED_EDGE('',*,*,#200106,.T.); #266561=ORIENTED_EDGE('',*,*,#200108,.F.); #266562=ORIENTED_EDGE('',*,*,#200109,.F.); #266563=ORIENTED_EDGE('',*,*,#200110,.T.); #266564=ORIENTED_EDGE('',*,*,#200109,.T.); #266565=ORIENTED_EDGE('',*,*,#200111,.F.); #266566=ORIENTED_EDGE('',*,*,#200112,.F.); #266567=ORIENTED_EDGE('',*,*,#200113,.T.); #266568=ORIENTED_EDGE('',*,*,#200112,.T.); #266569=ORIENTED_EDGE('',*,*,#200114,.F.); #266570=ORIENTED_EDGE('',*,*,#199990,.F.); #266571=ORIENTED_EDGE('',*,*,#200114,.T.); #266572=ORIENTED_EDGE('',*,*,#200111,.T.); #266573=ORIENTED_EDGE('',*,*,#200108,.T.); #266574=ORIENTED_EDGE('',*,*,#200105,.T.); #266575=ORIENTED_EDGE('',*,*,#200102,.T.); #266576=ORIENTED_EDGE('',*,*,#200099,.T.); #266577=ORIENTED_EDGE('',*,*,#200096,.T.); #266578=ORIENTED_EDGE('',*,*,#200093,.T.); #266579=ORIENTED_EDGE('',*,*,#200090,.T.); #266580=ORIENTED_EDGE('',*,*,#200087,.T.); #266581=ORIENTED_EDGE('',*,*,#200084,.T.); #266582=ORIENTED_EDGE('',*,*,#200081,.T.); #266583=ORIENTED_EDGE('',*,*,#200078,.T.); #266584=ORIENTED_EDGE('',*,*,#200075,.T.); #266585=ORIENTED_EDGE('',*,*,#200072,.T.); #266586=ORIENTED_EDGE('',*,*,#200069,.T.); #266587=ORIENTED_EDGE('',*,*,#200066,.T.); #266588=ORIENTED_EDGE('',*,*,#200063,.T.); #266589=ORIENTED_EDGE('',*,*,#200060,.T.); #266590=ORIENTED_EDGE('',*,*,#200057,.T.); #266591=ORIENTED_EDGE('',*,*,#200054,.T.); #266592=ORIENTED_EDGE('',*,*,#200051,.T.); #266593=ORIENTED_EDGE('',*,*,#200048,.T.); #266594=ORIENTED_EDGE('',*,*,#200045,.T.); #266595=ORIENTED_EDGE('',*,*,#200042,.T.); #266596=ORIENTED_EDGE('',*,*,#200039,.T.); #266597=ORIENTED_EDGE('',*,*,#200036,.T.); #266598=ORIENTED_EDGE('',*,*,#200033,.T.); #266599=ORIENTED_EDGE('',*,*,#200030,.T.); #266600=ORIENTED_EDGE('',*,*,#200027,.T.); #266601=ORIENTED_EDGE('',*,*,#200024,.T.); #266602=ORIENTED_EDGE('',*,*,#200021,.T.); #266603=ORIENTED_EDGE('',*,*,#200018,.T.); #266604=ORIENTED_EDGE('',*,*,#200015,.T.); #266605=ORIENTED_EDGE('',*,*,#200012,.T.); #266606=ORIENTED_EDGE('',*,*,#200009,.T.); #266607=ORIENTED_EDGE('',*,*,#200006,.T.); #266608=ORIENTED_EDGE('',*,*,#200003,.T.); #266609=ORIENTED_EDGE('',*,*,#200000,.T.); #266610=ORIENTED_EDGE('',*,*,#199997,.T.); #266611=ORIENTED_EDGE('',*,*,#199994,.T.); #266612=ORIENTED_EDGE('',*,*,#199991,.T.); #266613=ORIENTED_EDGE('',*,*,#199988,.T.); #266614=ORIENTED_EDGE('',*,*,#200113,.F.); #266615=ORIENTED_EDGE('',*,*,#199989,.F.); #266616=ORIENTED_EDGE('',*,*,#199993,.F.); #266617=ORIENTED_EDGE('',*,*,#199996,.F.); #266618=ORIENTED_EDGE('',*,*,#199999,.F.); #266619=ORIENTED_EDGE('',*,*,#200002,.F.); #266620=ORIENTED_EDGE('',*,*,#200005,.F.); #266621=ORIENTED_EDGE('',*,*,#200008,.F.); #266622=ORIENTED_EDGE('',*,*,#200011,.F.); #266623=ORIENTED_EDGE('',*,*,#200014,.F.); #266624=ORIENTED_EDGE('',*,*,#200017,.F.); #266625=ORIENTED_EDGE('',*,*,#200020,.F.); #266626=ORIENTED_EDGE('',*,*,#200023,.F.); #266627=ORIENTED_EDGE('',*,*,#200026,.F.); #266628=ORIENTED_EDGE('',*,*,#200029,.F.); #266629=ORIENTED_EDGE('',*,*,#200032,.F.); #266630=ORIENTED_EDGE('',*,*,#200035,.F.); #266631=ORIENTED_EDGE('',*,*,#200038,.F.); #266632=ORIENTED_EDGE('',*,*,#200041,.F.); #266633=ORIENTED_EDGE('',*,*,#200044,.F.); #266634=ORIENTED_EDGE('',*,*,#200047,.F.); #266635=ORIENTED_EDGE('',*,*,#200050,.F.); #266636=ORIENTED_EDGE('',*,*,#200053,.F.); #266637=ORIENTED_EDGE('',*,*,#200056,.F.); #266638=ORIENTED_EDGE('',*,*,#200059,.F.); #266639=ORIENTED_EDGE('',*,*,#200062,.F.); #266640=ORIENTED_EDGE('',*,*,#200065,.F.); #266641=ORIENTED_EDGE('',*,*,#200068,.F.); #266642=ORIENTED_EDGE('',*,*,#200071,.F.); #266643=ORIENTED_EDGE('',*,*,#200074,.F.); #266644=ORIENTED_EDGE('',*,*,#200077,.F.); #266645=ORIENTED_EDGE('',*,*,#200080,.F.); #266646=ORIENTED_EDGE('',*,*,#200083,.F.); #266647=ORIENTED_EDGE('',*,*,#200086,.F.); #266648=ORIENTED_EDGE('',*,*,#200089,.F.); #266649=ORIENTED_EDGE('',*,*,#200092,.F.); #266650=ORIENTED_EDGE('',*,*,#200095,.F.); #266651=ORIENTED_EDGE('',*,*,#200098,.F.); #266652=ORIENTED_EDGE('',*,*,#200101,.F.); #266653=ORIENTED_EDGE('',*,*,#200104,.F.); #266654=ORIENTED_EDGE('',*,*,#200107,.F.); #266655=ORIENTED_EDGE('',*,*,#200110,.F.); #266656=ORIENTED_EDGE('',*,*,#199986,.T.); #266657=ORIENTED_EDGE('',*,*,#200115,.F.); #266658=ORIENTED_EDGE('',*,*,#200116,.T.); #266659=ORIENTED_EDGE('',*,*,#200117,.F.); #266660=ORIENTED_EDGE('',*,*,#200116,.F.); #266661=ORIENTED_EDGE('',*,*,#200118,.F.); #266662=ORIENTED_EDGE('',*,*,#200119,.T.); #266663=ORIENTED_EDGE('',*,*,#200120,.F.); #266664=ORIENTED_EDGE('',*,*,#200119,.F.); #266665=ORIENTED_EDGE('',*,*,#200121,.F.); #266666=ORIENTED_EDGE('',*,*,#200122,.T.); #266667=ORIENTED_EDGE('',*,*,#200123,.F.); #266668=ORIENTED_EDGE('',*,*,#200122,.F.); #266669=ORIENTED_EDGE('',*,*,#200124,.F.); #266670=ORIENTED_EDGE('',*,*,#200125,.T.); #266671=ORIENTED_EDGE('',*,*,#200126,.F.); #266672=ORIENTED_EDGE('',*,*,#200125,.F.); #266673=ORIENTED_EDGE('',*,*,#200127,.F.); #266674=ORIENTED_EDGE('',*,*,#200128,.T.); #266675=ORIENTED_EDGE('',*,*,#200129,.F.); #266676=ORIENTED_EDGE('',*,*,#200128,.F.); #266677=ORIENTED_EDGE('',*,*,#200130,.F.); #266678=ORIENTED_EDGE('',*,*,#200131,.T.); #266679=ORIENTED_EDGE('',*,*,#200132,.F.); #266680=ORIENTED_EDGE('',*,*,#200131,.F.); #266681=ORIENTED_EDGE('',*,*,#200133,.F.); #266682=ORIENTED_EDGE('',*,*,#200134,.T.); #266683=ORIENTED_EDGE('',*,*,#200135,.F.); #266684=ORIENTED_EDGE('',*,*,#200134,.F.); #266685=ORIENTED_EDGE('',*,*,#200136,.F.); #266686=ORIENTED_EDGE('',*,*,#200137,.T.); #266687=ORIENTED_EDGE('',*,*,#200138,.F.); #266688=ORIENTED_EDGE('',*,*,#200137,.F.); #266689=ORIENTED_EDGE('',*,*,#200139,.F.); #266690=ORIENTED_EDGE('',*,*,#200140,.T.); #266691=ORIENTED_EDGE('',*,*,#200141,.F.); #266692=ORIENTED_EDGE('',*,*,#200140,.F.); #266693=ORIENTED_EDGE('',*,*,#200142,.F.); #266694=ORIENTED_EDGE('',*,*,#200143,.T.); #266695=ORIENTED_EDGE('',*,*,#200144,.F.); #266696=ORIENTED_EDGE('',*,*,#200143,.F.); #266697=ORIENTED_EDGE('',*,*,#200145,.F.); #266698=ORIENTED_EDGE('',*,*,#200146,.T.); #266699=ORIENTED_EDGE('',*,*,#200147,.F.); #266700=ORIENTED_EDGE('',*,*,#200146,.F.); #266701=ORIENTED_EDGE('',*,*,#200148,.F.); #266702=ORIENTED_EDGE('',*,*,#200149,.T.); #266703=ORIENTED_EDGE('',*,*,#200150,.F.); #266704=ORIENTED_EDGE('',*,*,#200149,.F.); #266705=ORIENTED_EDGE('',*,*,#200151,.F.); #266706=ORIENTED_EDGE('',*,*,#200152,.T.); #266707=ORIENTED_EDGE('',*,*,#200153,.F.); #266708=ORIENTED_EDGE('',*,*,#200152,.F.); #266709=ORIENTED_EDGE('',*,*,#200154,.F.); #266710=ORIENTED_EDGE('',*,*,#200155,.T.); #266711=ORIENTED_EDGE('',*,*,#200156,.F.); #266712=ORIENTED_EDGE('',*,*,#200155,.F.); #266713=ORIENTED_EDGE('',*,*,#200157,.F.); #266714=ORIENTED_EDGE('',*,*,#200158,.T.); #266715=ORIENTED_EDGE('',*,*,#200159,.F.); #266716=ORIENTED_EDGE('',*,*,#200158,.F.); #266717=ORIENTED_EDGE('',*,*,#200160,.F.); #266718=ORIENTED_EDGE('',*,*,#200161,.T.); #266719=ORIENTED_EDGE('',*,*,#200162,.F.); #266720=ORIENTED_EDGE('',*,*,#200161,.F.); #266721=ORIENTED_EDGE('',*,*,#200163,.F.); #266722=ORIENTED_EDGE('',*,*,#200164,.T.); #266723=ORIENTED_EDGE('',*,*,#200165,.F.); #266724=ORIENTED_EDGE('',*,*,#200164,.F.); #266725=ORIENTED_EDGE('',*,*,#200166,.F.); #266726=ORIENTED_EDGE('',*,*,#200167,.T.); #266727=ORIENTED_EDGE('',*,*,#200168,.F.); #266728=ORIENTED_EDGE('',*,*,#200167,.F.); #266729=ORIENTED_EDGE('',*,*,#200169,.F.); #266730=ORIENTED_EDGE('',*,*,#200170,.T.); #266731=ORIENTED_EDGE('',*,*,#200171,.F.); #266732=ORIENTED_EDGE('',*,*,#200170,.F.); #266733=ORIENTED_EDGE('',*,*,#200172,.F.); #266734=ORIENTED_EDGE('',*,*,#200173,.T.); #266735=ORIENTED_EDGE('',*,*,#200174,.F.); #266736=ORIENTED_EDGE('',*,*,#200173,.F.); #266737=ORIENTED_EDGE('',*,*,#200175,.F.); #266738=ORIENTED_EDGE('',*,*,#200176,.T.); #266739=ORIENTED_EDGE('',*,*,#200177,.F.); #266740=ORIENTED_EDGE('',*,*,#200176,.F.); #266741=ORIENTED_EDGE('',*,*,#200178,.F.); #266742=ORIENTED_EDGE('',*,*,#200179,.T.); #266743=ORIENTED_EDGE('',*,*,#200180,.F.); #266744=ORIENTED_EDGE('',*,*,#200179,.F.); #266745=ORIENTED_EDGE('',*,*,#200181,.F.); #266746=ORIENTED_EDGE('',*,*,#200182,.T.); #266747=ORIENTED_EDGE('',*,*,#200183,.F.); #266748=ORIENTED_EDGE('',*,*,#200182,.F.); #266749=ORIENTED_EDGE('',*,*,#200184,.F.); #266750=ORIENTED_EDGE('',*,*,#200185,.T.); #266751=ORIENTED_EDGE('',*,*,#200186,.F.); #266752=ORIENTED_EDGE('',*,*,#200185,.F.); #266753=ORIENTED_EDGE('',*,*,#200187,.F.); #266754=ORIENTED_EDGE('',*,*,#200188,.T.); #266755=ORIENTED_EDGE('',*,*,#200189,.F.); #266756=ORIENTED_EDGE('',*,*,#200188,.F.); #266757=ORIENTED_EDGE('',*,*,#200190,.F.); #266758=ORIENTED_EDGE('',*,*,#200191,.T.); #266759=ORIENTED_EDGE('',*,*,#200192,.F.); #266760=ORIENTED_EDGE('',*,*,#200191,.F.); #266761=ORIENTED_EDGE('',*,*,#200193,.F.); #266762=ORIENTED_EDGE('',*,*,#200194,.T.); #266763=ORIENTED_EDGE('',*,*,#200195,.F.); #266764=ORIENTED_EDGE('',*,*,#200194,.F.); #266765=ORIENTED_EDGE('',*,*,#200196,.F.); #266766=ORIENTED_EDGE('',*,*,#200197,.T.); #266767=ORIENTED_EDGE('',*,*,#200198,.F.); #266768=ORIENTED_EDGE('',*,*,#200197,.F.); #266769=ORIENTED_EDGE('',*,*,#200199,.F.); #266770=ORIENTED_EDGE('',*,*,#200200,.T.); #266771=ORIENTED_EDGE('',*,*,#200201,.F.); #266772=ORIENTED_EDGE('',*,*,#200200,.F.); #266773=ORIENTED_EDGE('',*,*,#200202,.F.); #266774=ORIENTED_EDGE('',*,*,#200203,.T.); #266775=ORIENTED_EDGE('',*,*,#200204,.F.); #266776=ORIENTED_EDGE('',*,*,#200203,.F.); #266777=ORIENTED_EDGE('',*,*,#200205,.F.); #266778=ORIENTED_EDGE('',*,*,#200206,.T.); #266779=ORIENTED_EDGE('',*,*,#200207,.F.); #266780=ORIENTED_EDGE('',*,*,#200206,.F.); #266781=ORIENTED_EDGE('',*,*,#200208,.T.); #266782=ORIENTED_EDGE('',*,*,#200209,.T.); #266783=ORIENTED_EDGE('',*,*,#200210,.F.); #266784=ORIENTED_EDGE('',*,*,#200211,.F.); #266785=ORIENTED_EDGE('',*,*,#200212,.T.); #266786=ORIENTED_EDGE('',*,*,#200211,.T.); #266787=ORIENTED_EDGE('',*,*,#200213,.F.); #266788=ORIENTED_EDGE('',*,*,#200214,.F.); #266789=ORIENTED_EDGE('',*,*,#200215,.T.); #266790=ORIENTED_EDGE('',*,*,#200214,.T.); #266791=ORIENTED_EDGE('',*,*,#200216,.F.); #266792=ORIENTED_EDGE('',*,*,#200217,.F.); #266793=ORIENTED_EDGE('',*,*,#200218,.T.); #266794=ORIENTED_EDGE('',*,*,#200217,.T.); #266795=ORIENTED_EDGE('',*,*,#200219,.F.); #266796=ORIENTED_EDGE('',*,*,#200220,.F.); #266797=ORIENTED_EDGE('',*,*,#200221,.T.); #266798=ORIENTED_EDGE('',*,*,#200220,.T.); #266799=ORIENTED_EDGE('',*,*,#200222,.F.); #266800=ORIENTED_EDGE('',*,*,#200223,.F.); #266801=ORIENTED_EDGE('',*,*,#200224,.T.); #266802=ORIENTED_EDGE('',*,*,#200223,.T.); #266803=ORIENTED_EDGE('',*,*,#200225,.F.); #266804=ORIENTED_EDGE('',*,*,#200226,.F.); #266805=ORIENTED_EDGE('',*,*,#200227,.T.); #266806=ORIENTED_EDGE('',*,*,#200226,.T.); #266807=ORIENTED_EDGE('',*,*,#200228,.F.); #266808=ORIENTED_EDGE('',*,*,#200229,.F.); #266809=ORIENTED_EDGE('',*,*,#200230,.T.); #266810=ORIENTED_EDGE('',*,*,#200229,.T.); #266811=ORIENTED_EDGE('',*,*,#200231,.F.); #266812=ORIENTED_EDGE('',*,*,#200232,.F.); #266813=ORIENTED_EDGE('',*,*,#200233,.T.); #266814=ORIENTED_EDGE('',*,*,#200232,.T.); #266815=ORIENTED_EDGE('',*,*,#200234,.F.); #266816=ORIENTED_EDGE('',*,*,#200235,.F.); #266817=ORIENTED_EDGE('',*,*,#200236,.T.); #266818=ORIENTED_EDGE('',*,*,#200235,.T.); #266819=ORIENTED_EDGE('',*,*,#200237,.F.); #266820=ORIENTED_EDGE('',*,*,#200238,.F.); #266821=ORIENTED_EDGE('',*,*,#200239,.T.); #266822=ORIENTED_EDGE('',*,*,#200238,.T.); #266823=ORIENTED_EDGE('',*,*,#200240,.F.); #266824=ORIENTED_EDGE('',*,*,#200241,.F.); #266825=ORIENTED_EDGE('',*,*,#200242,.T.); #266826=ORIENTED_EDGE('',*,*,#200241,.T.); #266827=ORIENTED_EDGE('',*,*,#200243,.F.); #266828=ORIENTED_EDGE('',*,*,#200244,.F.); #266829=ORIENTED_EDGE('',*,*,#200245,.T.); #266830=ORIENTED_EDGE('',*,*,#200244,.T.); #266831=ORIENTED_EDGE('',*,*,#200246,.F.); #266832=ORIENTED_EDGE('',*,*,#200247,.F.); #266833=ORIENTED_EDGE('',*,*,#200248,.T.); #266834=ORIENTED_EDGE('',*,*,#200247,.T.); #266835=ORIENTED_EDGE('',*,*,#200249,.F.); #266836=ORIENTED_EDGE('',*,*,#200250,.F.); #266837=ORIENTED_EDGE('',*,*,#200251,.T.); #266838=ORIENTED_EDGE('',*,*,#200250,.T.); #266839=ORIENTED_EDGE('',*,*,#200252,.F.); #266840=ORIENTED_EDGE('',*,*,#200253,.F.); #266841=ORIENTED_EDGE('',*,*,#200254,.T.); #266842=ORIENTED_EDGE('',*,*,#200253,.T.); #266843=ORIENTED_EDGE('',*,*,#200255,.F.); #266844=ORIENTED_EDGE('',*,*,#200256,.F.); #266845=ORIENTED_EDGE('',*,*,#200257,.T.); #266846=ORIENTED_EDGE('',*,*,#200256,.T.); #266847=ORIENTED_EDGE('',*,*,#200258,.F.); #266848=ORIENTED_EDGE('',*,*,#200259,.F.); #266849=ORIENTED_EDGE('',*,*,#200260,.T.); #266850=ORIENTED_EDGE('',*,*,#200259,.T.); #266851=ORIENTED_EDGE('',*,*,#200261,.F.); #266852=ORIENTED_EDGE('',*,*,#200262,.F.); #266853=ORIENTED_EDGE('',*,*,#200263,.T.); #266854=ORIENTED_EDGE('',*,*,#200262,.T.); #266855=ORIENTED_EDGE('',*,*,#200264,.F.); #266856=ORIENTED_EDGE('',*,*,#200265,.F.); #266857=ORIENTED_EDGE('',*,*,#200266,.T.); #266858=ORIENTED_EDGE('',*,*,#200265,.T.); #266859=ORIENTED_EDGE('',*,*,#200267,.F.); #266860=ORIENTED_EDGE('',*,*,#200268,.F.); #266861=ORIENTED_EDGE('',*,*,#200269,.T.); #266862=ORIENTED_EDGE('',*,*,#200268,.T.); #266863=ORIENTED_EDGE('',*,*,#200270,.F.); #266864=ORIENTED_EDGE('',*,*,#200271,.F.); #266865=ORIENTED_EDGE('',*,*,#200272,.T.); #266866=ORIENTED_EDGE('',*,*,#200271,.T.); #266867=ORIENTED_EDGE('',*,*,#200273,.F.); #266868=ORIENTED_EDGE('',*,*,#200274,.F.); #266869=ORIENTED_EDGE('',*,*,#200275,.T.); #266870=ORIENTED_EDGE('',*,*,#200274,.T.); #266871=ORIENTED_EDGE('',*,*,#200276,.F.); #266872=ORIENTED_EDGE('',*,*,#200277,.F.); #266873=ORIENTED_EDGE('',*,*,#200278,.T.); #266874=ORIENTED_EDGE('',*,*,#200277,.T.); #266875=ORIENTED_EDGE('',*,*,#200279,.F.); #266876=ORIENTED_EDGE('',*,*,#200280,.F.); #266877=ORIENTED_EDGE('',*,*,#200281,.T.); #266878=ORIENTED_EDGE('',*,*,#200280,.T.); #266879=ORIENTED_EDGE('',*,*,#200282,.F.); #266880=ORIENTED_EDGE('',*,*,#200283,.F.); #266881=ORIENTED_EDGE('',*,*,#200284,.T.); #266882=ORIENTED_EDGE('',*,*,#200283,.T.); #266883=ORIENTED_EDGE('',*,*,#200285,.F.); #266884=ORIENTED_EDGE('',*,*,#200286,.F.); #266885=ORIENTED_EDGE('',*,*,#200287,.T.); #266886=ORIENTED_EDGE('',*,*,#200286,.T.); #266887=ORIENTED_EDGE('',*,*,#200288,.F.); #266888=ORIENTED_EDGE('',*,*,#200289,.F.); #266889=ORIENTED_EDGE('',*,*,#200290,.T.); #266890=ORIENTED_EDGE('',*,*,#200289,.T.); #266891=ORIENTED_EDGE('',*,*,#200291,.F.); #266892=ORIENTED_EDGE('',*,*,#200292,.F.); #266893=ORIENTED_EDGE('',*,*,#200293,.T.); #266894=ORIENTED_EDGE('',*,*,#200292,.T.); #266895=ORIENTED_EDGE('',*,*,#200294,.F.); #266896=ORIENTED_EDGE('',*,*,#200295,.F.); #266897=ORIENTED_EDGE('',*,*,#200296,.T.); #266898=ORIENTED_EDGE('',*,*,#200295,.T.); #266899=ORIENTED_EDGE('',*,*,#200297,.F.); #266900=ORIENTED_EDGE('',*,*,#200298,.F.); #266901=ORIENTED_EDGE('',*,*,#200299,.T.); #266902=ORIENTED_EDGE('',*,*,#200298,.T.); #266903=ORIENTED_EDGE('',*,*,#200300,.F.); #266904=ORIENTED_EDGE('',*,*,#200301,.F.); #266905=ORIENTED_EDGE('',*,*,#200302,.T.); #266906=ORIENTED_EDGE('',*,*,#200301,.T.); #266907=ORIENTED_EDGE('',*,*,#200303,.F.); #266908=ORIENTED_EDGE('',*,*,#200304,.F.); #266909=ORIENTED_EDGE('',*,*,#200305,.T.); #266910=ORIENTED_EDGE('',*,*,#200304,.T.); #266911=ORIENTED_EDGE('',*,*,#200306,.F.); #266912=ORIENTED_EDGE('',*,*,#200307,.F.); #266913=ORIENTED_EDGE('',*,*,#200308,.T.); #266914=ORIENTED_EDGE('',*,*,#200307,.T.); #266915=ORIENTED_EDGE('',*,*,#200309,.F.); #266916=ORIENTED_EDGE('',*,*,#200310,.F.); #266917=ORIENTED_EDGE('',*,*,#200311,.T.); #266918=ORIENTED_EDGE('',*,*,#200310,.T.); #266919=ORIENTED_EDGE('',*,*,#200312,.F.); #266920=ORIENTED_EDGE('',*,*,#200313,.F.); #266921=ORIENTED_EDGE('',*,*,#200314,.T.); #266922=ORIENTED_EDGE('',*,*,#200313,.T.); #266923=ORIENTED_EDGE('',*,*,#200315,.F.); #266924=ORIENTED_EDGE('',*,*,#200316,.F.); #266925=ORIENTED_EDGE('',*,*,#200317,.T.); #266926=ORIENTED_EDGE('',*,*,#200316,.T.); #266927=ORIENTED_EDGE('',*,*,#200318,.F.); #266928=ORIENTED_EDGE('',*,*,#200319,.F.); #266929=ORIENTED_EDGE('',*,*,#200320,.T.); #266930=ORIENTED_EDGE('',*,*,#200319,.T.); #266931=ORIENTED_EDGE('',*,*,#200321,.F.); #266932=ORIENTED_EDGE('',*,*,#200322,.F.); #266933=ORIENTED_EDGE('',*,*,#200323,.T.); #266934=ORIENTED_EDGE('',*,*,#200322,.T.); #266935=ORIENTED_EDGE('',*,*,#200324,.F.); #266936=ORIENTED_EDGE('',*,*,#200325,.F.); #266937=ORIENTED_EDGE('',*,*,#200326,.T.); #266938=ORIENTED_EDGE('',*,*,#200325,.T.); #266939=ORIENTED_EDGE('',*,*,#200327,.F.); #266940=ORIENTED_EDGE('',*,*,#200328,.F.); #266941=ORIENTED_EDGE('',*,*,#200329,.T.); #266942=ORIENTED_EDGE('',*,*,#200328,.T.); #266943=ORIENTED_EDGE('',*,*,#200330,.F.); #266944=ORIENTED_EDGE('',*,*,#200331,.F.); #266945=ORIENTED_EDGE('',*,*,#200332,.T.); #266946=ORIENTED_EDGE('',*,*,#200331,.T.); #266947=ORIENTED_EDGE('',*,*,#200333,.F.); #266948=ORIENTED_EDGE('',*,*,#200334,.F.); #266949=ORIENTED_EDGE('',*,*,#200335,.T.); #266950=ORIENTED_EDGE('',*,*,#200334,.T.); #266951=ORIENTED_EDGE('',*,*,#200336,.F.); #266952=ORIENTED_EDGE('',*,*,#200337,.F.); #266953=ORIENTED_EDGE('',*,*,#200338,.T.); #266954=ORIENTED_EDGE('',*,*,#200337,.T.); #266955=ORIENTED_EDGE('',*,*,#200339,.F.); #266956=ORIENTED_EDGE('',*,*,#200340,.F.); #266957=ORIENTED_EDGE('',*,*,#200341,.T.); #266958=ORIENTED_EDGE('',*,*,#200340,.T.); #266959=ORIENTED_EDGE('',*,*,#200342,.F.); #266960=ORIENTED_EDGE('',*,*,#200343,.F.); #266961=ORIENTED_EDGE('',*,*,#200344,.T.); #266962=ORIENTED_EDGE('',*,*,#200343,.T.); #266963=ORIENTED_EDGE('',*,*,#200345,.F.); #266964=ORIENTED_EDGE('',*,*,#200346,.F.); #266965=ORIENTED_EDGE('',*,*,#200347,.T.); #266966=ORIENTED_EDGE('',*,*,#200346,.T.); #266967=ORIENTED_EDGE('',*,*,#200348,.F.); #266968=ORIENTED_EDGE('',*,*,#200349,.F.); #266969=ORIENTED_EDGE('',*,*,#200350,.T.); #266970=ORIENTED_EDGE('',*,*,#200349,.T.); #266971=ORIENTED_EDGE('',*,*,#200351,.F.); #266972=ORIENTED_EDGE('',*,*,#200352,.F.); #266973=ORIENTED_EDGE('',*,*,#200353,.T.); #266974=ORIENTED_EDGE('',*,*,#200352,.T.); #266975=ORIENTED_EDGE('',*,*,#200354,.F.); #266976=ORIENTED_EDGE('',*,*,#200355,.F.); #266977=ORIENTED_EDGE('',*,*,#200356,.T.); #266978=ORIENTED_EDGE('',*,*,#200355,.T.); #266979=ORIENTED_EDGE('',*,*,#200357,.F.); #266980=ORIENTED_EDGE('',*,*,#200358,.F.); #266981=ORIENTED_EDGE('',*,*,#200359,.T.); #266982=ORIENTED_EDGE('',*,*,#200358,.T.); #266983=ORIENTED_EDGE('',*,*,#200360,.F.); #266984=ORIENTED_EDGE('',*,*,#200361,.F.); #266985=ORIENTED_EDGE('',*,*,#200362,.T.); #266986=ORIENTED_EDGE('',*,*,#200361,.T.); #266987=ORIENTED_EDGE('',*,*,#200363,.F.); #266988=ORIENTED_EDGE('',*,*,#200364,.F.); #266989=ORIENTED_EDGE('',*,*,#200365,.T.); #266990=ORIENTED_EDGE('',*,*,#200364,.T.); #266991=ORIENTED_EDGE('',*,*,#200366,.F.); #266992=ORIENTED_EDGE('',*,*,#200367,.F.); #266993=ORIENTED_EDGE('',*,*,#200368,.T.); #266994=ORIENTED_EDGE('',*,*,#200367,.T.); #266995=ORIENTED_EDGE('',*,*,#200369,.F.); #266996=ORIENTED_EDGE('',*,*,#200370,.F.); #266997=ORIENTED_EDGE('',*,*,#200371,.T.); #266998=ORIENTED_EDGE('',*,*,#200370,.T.); #266999=ORIENTED_EDGE('',*,*,#200372,.F.); #267000=ORIENTED_EDGE('',*,*,#200373,.F.); #267001=ORIENTED_EDGE('',*,*,#200374,.T.); #267002=ORIENTED_EDGE('',*,*,#200373,.T.); #267003=ORIENTED_EDGE('',*,*,#200375,.F.); #267004=ORIENTED_EDGE('',*,*,#200376,.F.); #267005=ORIENTED_EDGE('',*,*,#200377,.T.); #267006=ORIENTED_EDGE('',*,*,#200376,.T.); #267007=ORIENTED_EDGE('',*,*,#200378,.F.); #267008=ORIENTED_EDGE('',*,*,#200379,.F.); #267009=ORIENTED_EDGE('',*,*,#200380,.T.); #267010=ORIENTED_EDGE('',*,*,#200379,.T.); #267011=ORIENTED_EDGE('',*,*,#200381,.F.); #267012=ORIENTED_EDGE('',*,*,#200382,.F.); #267013=ORIENTED_EDGE('',*,*,#200383,.T.); #267014=ORIENTED_EDGE('',*,*,#200382,.T.); #267015=ORIENTED_EDGE('',*,*,#200384,.F.); #267016=ORIENTED_EDGE('',*,*,#200385,.F.); #267017=ORIENTED_EDGE('',*,*,#200386,.T.); #267018=ORIENTED_EDGE('',*,*,#200385,.T.); #267019=ORIENTED_EDGE('',*,*,#200387,.F.); #267020=ORIENTED_EDGE('',*,*,#200388,.F.); #267021=ORIENTED_EDGE('',*,*,#200389,.T.); #267022=ORIENTED_EDGE('',*,*,#200388,.T.); #267023=ORIENTED_EDGE('',*,*,#200390,.F.); #267024=ORIENTED_EDGE('',*,*,#200391,.F.); #267025=ORIENTED_EDGE('',*,*,#200392,.T.); #267026=ORIENTED_EDGE('',*,*,#200391,.T.); #267027=ORIENTED_EDGE('',*,*,#200393,.F.); #267028=ORIENTED_EDGE('',*,*,#200394,.F.); #267029=ORIENTED_EDGE('',*,*,#200395,.T.); #267030=ORIENTED_EDGE('',*,*,#200394,.T.); #267031=ORIENTED_EDGE('',*,*,#200396,.F.); #267032=ORIENTED_EDGE('',*,*,#200397,.F.); #267033=ORIENTED_EDGE('',*,*,#200398,.T.); #267034=ORIENTED_EDGE('',*,*,#200397,.T.); #267035=ORIENTED_EDGE('',*,*,#200399,.F.); #267036=ORIENTED_EDGE('',*,*,#200400,.F.); #267037=ORIENTED_EDGE('',*,*,#200401,.T.); #267038=ORIENTED_EDGE('',*,*,#200400,.T.); #267039=ORIENTED_EDGE('',*,*,#200402,.F.); #267040=ORIENTED_EDGE('',*,*,#200403,.F.); #267041=ORIENTED_EDGE('',*,*,#200404,.T.); #267042=ORIENTED_EDGE('',*,*,#200403,.T.); #267043=ORIENTED_EDGE('',*,*,#200405,.F.); #267044=ORIENTED_EDGE('',*,*,#200406,.F.); #267045=ORIENTED_EDGE('',*,*,#200407,.T.); #267046=ORIENTED_EDGE('',*,*,#200406,.T.); #267047=ORIENTED_EDGE('',*,*,#200408,.F.); #267048=ORIENTED_EDGE('',*,*,#200409,.F.); #267049=ORIENTED_EDGE('',*,*,#200410,.T.); #267050=ORIENTED_EDGE('',*,*,#200409,.T.); #267051=ORIENTED_EDGE('',*,*,#200411,.F.); #267052=ORIENTED_EDGE('',*,*,#200412,.F.); #267053=ORIENTED_EDGE('',*,*,#200413,.T.); #267054=ORIENTED_EDGE('',*,*,#200412,.T.); #267055=ORIENTED_EDGE('',*,*,#200414,.F.); #267056=ORIENTED_EDGE('',*,*,#200415,.F.); #267057=ORIENTED_EDGE('',*,*,#200416,.T.); #267058=ORIENTED_EDGE('',*,*,#200415,.T.); #267059=ORIENTED_EDGE('',*,*,#200417,.F.); #267060=ORIENTED_EDGE('',*,*,#200418,.F.); #267061=ORIENTED_EDGE('',*,*,#200419,.T.); #267062=ORIENTED_EDGE('',*,*,#200418,.T.); #267063=ORIENTED_EDGE('',*,*,#200420,.F.); #267064=ORIENTED_EDGE('',*,*,#200421,.F.); #267065=ORIENTED_EDGE('',*,*,#200422,.T.); #267066=ORIENTED_EDGE('',*,*,#200421,.T.); #267067=ORIENTED_EDGE('',*,*,#200423,.F.); #267068=ORIENTED_EDGE('',*,*,#200424,.F.); #267069=ORIENTED_EDGE('',*,*,#200425,.T.); #267070=ORIENTED_EDGE('',*,*,#200424,.T.); #267071=ORIENTED_EDGE('',*,*,#200426,.F.); #267072=ORIENTED_EDGE('',*,*,#200427,.F.); #267073=ORIENTED_EDGE('',*,*,#200428,.T.); #267074=ORIENTED_EDGE('',*,*,#200427,.T.); #267075=ORIENTED_EDGE('',*,*,#200429,.F.); #267076=ORIENTED_EDGE('',*,*,#200430,.F.); #267077=ORIENTED_EDGE('',*,*,#200431,.T.); #267078=ORIENTED_EDGE('',*,*,#200430,.T.); #267079=ORIENTED_EDGE('',*,*,#200432,.F.); #267080=ORIENTED_EDGE('',*,*,#200433,.F.); #267081=ORIENTED_EDGE('',*,*,#200434,.T.); #267082=ORIENTED_EDGE('',*,*,#200433,.T.); #267083=ORIENTED_EDGE('',*,*,#200435,.F.); #267084=ORIENTED_EDGE('',*,*,#200436,.F.); #267085=ORIENTED_EDGE('',*,*,#200437,.T.); #267086=ORIENTED_EDGE('',*,*,#200436,.T.); #267087=ORIENTED_EDGE('',*,*,#200438,.F.); #267088=ORIENTED_EDGE('',*,*,#200439,.F.); #267089=ORIENTED_EDGE('',*,*,#200440,.T.); #267090=ORIENTED_EDGE('',*,*,#200439,.T.); #267091=ORIENTED_EDGE('',*,*,#200441,.F.); #267092=ORIENTED_EDGE('',*,*,#200442,.F.); #267093=ORIENTED_EDGE('',*,*,#200443,.T.); #267094=ORIENTED_EDGE('',*,*,#200442,.T.); #267095=ORIENTED_EDGE('',*,*,#200444,.F.); #267096=ORIENTED_EDGE('',*,*,#200445,.F.); #267097=ORIENTED_EDGE('',*,*,#200446,.T.); #267098=ORIENTED_EDGE('',*,*,#200445,.T.); #267099=ORIENTED_EDGE('',*,*,#200447,.F.); #267100=ORIENTED_EDGE('',*,*,#200448,.F.); #267101=ORIENTED_EDGE('',*,*,#200449,.T.); #267102=ORIENTED_EDGE('',*,*,#200448,.T.); #267103=ORIENTED_EDGE('',*,*,#200450,.F.); #267104=ORIENTED_EDGE('',*,*,#200451,.F.); #267105=ORIENTED_EDGE('',*,*,#200452,.T.); #267106=ORIENTED_EDGE('',*,*,#200451,.T.); #267107=ORIENTED_EDGE('',*,*,#200453,.F.); #267108=ORIENTED_EDGE('',*,*,#200454,.F.); #267109=ORIENTED_EDGE('',*,*,#200455,.T.); #267110=ORIENTED_EDGE('',*,*,#200454,.T.); #267111=ORIENTED_EDGE('',*,*,#200456,.F.); #267112=ORIENTED_EDGE('',*,*,#200457,.F.); #267113=ORIENTED_EDGE('',*,*,#200458,.T.); #267114=ORIENTED_EDGE('',*,*,#200457,.T.); #267115=ORIENTED_EDGE('',*,*,#200459,.F.); #267116=ORIENTED_EDGE('',*,*,#200460,.F.); #267117=ORIENTED_EDGE('',*,*,#200461,.T.); #267118=ORIENTED_EDGE('',*,*,#200460,.T.); #267119=ORIENTED_EDGE('',*,*,#200462,.F.); #267120=ORIENTED_EDGE('',*,*,#200209,.F.); #267121=ORIENTED_EDGE('',*,*,#200462,.T.); #267122=ORIENTED_EDGE('',*,*,#200459,.T.); #267123=ORIENTED_EDGE('',*,*,#200456,.T.); #267124=ORIENTED_EDGE('',*,*,#200453,.T.); #267125=ORIENTED_EDGE('',*,*,#200450,.T.); #267126=ORIENTED_EDGE('',*,*,#200447,.T.); #267127=ORIENTED_EDGE('',*,*,#200444,.T.); #267128=ORIENTED_EDGE('',*,*,#200441,.T.); #267129=ORIENTED_EDGE('',*,*,#200438,.T.); #267130=ORIENTED_EDGE('',*,*,#200435,.T.); #267131=ORIENTED_EDGE('',*,*,#200432,.T.); #267132=ORIENTED_EDGE('',*,*,#200429,.T.); #267133=ORIENTED_EDGE('',*,*,#200426,.T.); #267134=ORIENTED_EDGE('',*,*,#200423,.T.); #267135=ORIENTED_EDGE('',*,*,#200420,.T.); #267136=ORIENTED_EDGE('',*,*,#200417,.T.); #267137=ORIENTED_EDGE('',*,*,#200414,.T.); #267138=ORIENTED_EDGE('',*,*,#200411,.T.); #267139=ORIENTED_EDGE('',*,*,#200408,.T.); #267140=ORIENTED_EDGE('',*,*,#200405,.T.); #267141=ORIENTED_EDGE('',*,*,#200402,.T.); #267142=ORIENTED_EDGE('',*,*,#200399,.T.); #267143=ORIENTED_EDGE('',*,*,#200396,.T.); #267144=ORIENTED_EDGE('',*,*,#200393,.T.); #267145=ORIENTED_EDGE('',*,*,#200390,.T.); #267146=ORIENTED_EDGE('',*,*,#200387,.T.); #267147=ORIENTED_EDGE('',*,*,#200384,.T.); #267148=ORIENTED_EDGE('',*,*,#200381,.T.); #267149=ORIENTED_EDGE('',*,*,#200378,.T.); #267150=ORIENTED_EDGE('',*,*,#200375,.T.); #267151=ORIENTED_EDGE('',*,*,#200372,.T.); #267152=ORIENTED_EDGE('',*,*,#200369,.T.); #267153=ORIENTED_EDGE('',*,*,#200366,.T.); #267154=ORIENTED_EDGE('',*,*,#200363,.T.); #267155=ORIENTED_EDGE('',*,*,#200360,.T.); #267156=ORIENTED_EDGE('',*,*,#200357,.T.); #267157=ORIENTED_EDGE('',*,*,#200354,.T.); #267158=ORIENTED_EDGE('',*,*,#200351,.T.); #267159=ORIENTED_EDGE('',*,*,#200348,.T.); #267160=ORIENTED_EDGE('',*,*,#200345,.T.); #267161=ORIENTED_EDGE('',*,*,#200342,.T.); #267162=ORIENTED_EDGE('',*,*,#200339,.T.); #267163=ORIENTED_EDGE('',*,*,#200336,.T.); #267164=ORIENTED_EDGE('',*,*,#200333,.T.); #267165=ORIENTED_EDGE('',*,*,#200330,.T.); #267166=ORIENTED_EDGE('',*,*,#200327,.T.); #267167=ORIENTED_EDGE('',*,*,#200324,.T.); #267168=ORIENTED_EDGE('',*,*,#200321,.T.); #267169=ORIENTED_EDGE('',*,*,#200318,.T.); #267170=ORIENTED_EDGE('',*,*,#200315,.T.); #267171=ORIENTED_EDGE('',*,*,#200312,.T.); #267172=ORIENTED_EDGE('',*,*,#200309,.T.); #267173=ORIENTED_EDGE('',*,*,#200306,.T.); #267174=ORIENTED_EDGE('',*,*,#200303,.T.); #267175=ORIENTED_EDGE('',*,*,#200300,.T.); #267176=ORIENTED_EDGE('',*,*,#200297,.T.); #267177=ORIENTED_EDGE('',*,*,#200294,.T.); #267178=ORIENTED_EDGE('',*,*,#200291,.T.); #267179=ORIENTED_EDGE('',*,*,#200288,.T.); #267180=ORIENTED_EDGE('',*,*,#200285,.T.); #267181=ORIENTED_EDGE('',*,*,#200282,.T.); #267182=ORIENTED_EDGE('',*,*,#200279,.T.); #267183=ORIENTED_EDGE('',*,*,#200276,.T.); #267184=ORIENTED_EDGE('',*,*,#200273,.T.); #267185=ORIENTED_EDGE('',*,*,#200270,.T.); #267186=ORIENTED_EDGE('',*,*,#200267,.T.); #267187=ORIENTED_EDGE('',*,*,#200264,.T.); #267188=ORIENTED_EDGE('',*,*,#200261,.T.); #267189=ORIENTED_EDGE('',*,*,#200258,.T.); #267190=ORIENTED_EDGE('',*,*,#200255,.T.); #267191=ORIENTED_EDGE('',*,*,#200252,.T.); #267192=ORIENTED_EDGE('',*,*,#200249,.T.); #267193=ORIENTED_EDGE('',*,*,#200246,.T.); #267194=ORIENTED_EDGE('',*,*,#200243,.T.); #267195=ORIENTED_EDGE('',*,*,#200240,.T.); #267196=ORIENTED_EDGE('',*,*,#200237,.T.); #267197=ORIENTED_EDGE('',*,*,#200234,.T.); #267198=ORIENTED_EDGE('',*,*,#200231,.T.); #267199=ORIENTED_EDGE('',*,*,#200228,.T.); #267200=ORIENTED_EDGE('',*,*,#200225,.T.); #267201=ORIENTED_EDGE('',*,*,#200222,.T.); #267202=ORIENTED_EDGE('',*,*,#200219,.T.); #267203=ORIENTED_EDGE('',*,*,#200216,.T.); #267204=ORIENTED_EDGE('',*,*,#200213,.T.); #267205=ORIENTED_EDGE('',*,*,#200210,.T.); #267206=ORIENTED_EDGE('',*,*,#200117,.T.); #267207=ORIENTED_EDGE('',*,*,#200120,.T.); #267208=ORIENTED_EDGE('',*,*,#200123,.T.); #267209=ORIENTED_EDGE('',*,*,#200126,.T.); #267210=ORIENTED_EDGE('',*,*,#200129,.T.); #267211=ORIENTED_EDGE('',*,*,#200132,.T.); #267212=ORIENTED_EDGE('',*,*,#200135,.T.); #267213=ORIENTED_EDGE('',*,*,#200138,.T.); #267214=ORIENTED_EDGE('',*,*,#200141,.T.); #267215=ORIENTED_EDGE('',*,*,#200144,.T.); #267216=ORIENTED_EDGE('',*,*,#200147,.T.); #267217=ORIENTED_EDGE('',*,*,#200150,.T.); #267218=ORIENTED_EDGE('',*,*,#200153,.T.); #267219=ORIENTED_EDGE('',*,*,#200156,.T.); #267220=ORIENTED_EDGE('',*,*,#200159,.T.); #267221=ORIENTED_EDGE('',*,*,#200162,.T.); #267222=ORIENTED_EDGE('',*,*,#200165,.T.); #267223=ORIENTED_EDGE('',*,*,#200168,.T.); #267224=ORIENTED_EDGE('',*,*,#200171,.T.); #267225=ORIENTED_EDGE('',*,*,#200174,.T.); #267226=ORIENTED_EDGE('',*,*,#200177,.T.); #267227=ORIENTED_EDGE('',*,*,#200180,.T.); #267228=ORIENTED_EDGE('',*,*,#200183,.T.); #267229=ORIENTED_EDGE('',*,*,#200186,.T.); #267230=ORIENTED_EDGE('',*,*,#200189,.T.); #267231=ORIENTED_EDGE('',*,*,#200192,.T.); #267232=ORIENTED_EDGE('',*,*,#200195,.T.); #267233=ORIENTED_EDGE('',*,*,#200198,.T.); #267234=ORIENTED_EDGE('',*,*,#200201,.T.); #267235=ORIENTED_EDGE('',*,*,#200204,.T.); #267236=ORIENTED_EDGE('',*,*,#200207,.T.); #267237=ORIENTED_EDGE('',*,*,#200461,.F.); #267238=ORIENTED_EDGE('',*,*,#200208,.F.); #267239=ORIENTED_EDGE('',*,*,#200212,.F.); #267240=ORIENTED_EDGE('',*,*,#200215,.F.); #267241=ORIENTED_EDGE('',*,*,#200218,.F.); #267242=ORIENTED_EDGE('',*,*,#200221,.F.); #267243=ORIENTED_EDGE('',*,*,#200224,.F.); #267244=ORIENTED_EDGE('',*,*,#200227,.F.); #267245=ORIENTED_EDGE('',*,*,#200230,.F.); #267246=ORIENTED_EDGE('',*,*,#200233,.F.); #267247=ORIENTED_EDGE('',*,*,#200236,.F.); #267248=ORIENTED_EDGE('',*,*,#200239,.F.); #267249=ORIENTED_EDGE('',*,*,#200242,.F.); #267250=ORIENTED_EDGE('',*,*,#200245,.F.); #267251=ORIENTED_EDGE('',*,*,#200248,.F.); #267252=ORIENTED_EDGE('',*,*,#200251,.F.); #267253=ORIENTED_EDGE('',*,*,#200254,.F.); #267254=ORIENTED_EDGE('',*,*,#200257,.F.); #267255=ORIENTED_EDGE('',*,*,#200260,.F.); #267256=ORIENTED_EDGE('',*,*,#200263,.F.); #267257=ORIENTED_EDGE('',*,*,#200266,.F.); #267258=ORIENTED_EDGE('',*,*,#200269,.F.); #267259=ORIENTED_EDGE('',*,*,#200272,.F.); #267260=ORIENTED_EDGE('',*,*,#200275,.F.); #267261=ORIENTED_EDGE('',*,*,#200278,.F.); #267262=ORIENTED_EDGE('',*,*,#200281,.F.); #267263=ORIENTED_EDGE('',*,*,#200284,.F.); #267264=ORIENTED_EDGE('',*,*,#200287,.F.); #267265=ORIENTED_EDGE('',*,*,#200290,.F.); #267266=ORIENTED_EDGE('',*,*,#200293,.F.); #267267=ORIENTED_EDGE('',*,*,#200296,.F.); #267268=ORIENTED_EDGE('',*,*,#200299,.F.); #267269=ORIENTED_EDGE('',*,*,#200302,.F.); #267270=ORIENTED_EDGE('',*,*,#200305,.F.); #267271=ORIENTED_EDGE('',*,*,#200308,.F.); #267272=ORIENTED_EDGE('',*,*,#200311,.F.); #267273=ORIENTED_EDGE('',*,*,#200314,.F.); #267274=ORIENTED_EDGE('',*,*,#200317,.F.); #267275=ORIENTED_EDGE('',*,*,#200320,.F.); #267276=ORIENTED_EDGE('',*,*,#200323,.F.); #267277=ORIENTED_EDGE('',*,*,#200326,.F.); #267278=ORIENTED_EDGE('',*,*,#200329,.F.); #267279=ORIENTED_EDGE('',*,*,#200332,.F.); #267280=ORIENTED_EDGE('',*,*,#200335,.F.); #267281=ORIENTED_EDGE('',*,*,#200338,.F.); #267282=ORIENTED_EDGE('',*,*,#200341,.F.); #267283=ORIENTED_EDGE('',*,*,#200344,.F.); #267284=ORIENTED_EDGE('',*,*,#200347,.F.); #267285=ORIENTED_EDGE('',*,*,#200350,.F.); #267286=ORIENTED_EDGE('',*,*,#200353,.F.); #267287=ORIENTED_EDGE('',*,*,#200356,.F.); #267288=ORIENTED_EDGE('',*,*,#200359,.F.); #267289=ORIENTED_EDGE('',*,*,#200362,.F.); #267290=ORIENTED_EDGE('',*,*,#200365,.F.); #267291=ORIENTED_EDGE('',*,*,#200368,.F.); #267292=ORIENTED_EDGE('',*,*,#200371,.F.); #267293=ORIENTED_EDGE('',*,*,#200374,.F.); #267294=ORIENTED_EDGE('',*,*,#200377,.F.); #267295=ORIENTED_EDGE('',*,*,#200380,.F.); #267296=ORIENTED_EDGE('',*,*,#200383,.F.); #267297=ORIENTED_EDGE('',*,*,#200386,.F.); #267298=ORIENTED_EDGE('',*,*,#200389,.F.); #267299=ORIENTED_EDGE('',*,*,#200392,.F.); #267300=ORIENTED_EDGE('',*,*,#200395,.F.); #267301=ORIENTED_EDGE('',*,*,#200398,.F.); #267302=ORIENTED_EDGE('',*,*,#200401,.F.); #267303=ORIENTED_EDGE('',*,*,#200404,.F.); #267304=ORIENTED_EDGE('',*,*,#200407,.F.); #267305=ORIENTED_EDGE('',*,*,#200410,.F.); #267306=ORIENTED_EDGE('',*,*,#200413,.F.); #267307=ORIENTED_EDGE('',*,*,#200416,.F.); #267308=ORIENTED_EDGE('',*,*,#200419,.F.); #267309=ORIENTED_EDGE('',*,*,#200422,.F.); #267310=ORIENTED_EDGE('',*,*,#200425,.F.); #267311=ORIENTED_EDGE('',*,*,#200428,.F.); #267312=ORIENTED_EDGE('',*,*,#200431,.F.); #267313=ORIENTED_EDGE('',*,*,#200434,.F.); #267314=ORIENTED_EDGE('',*,*,#200437,.F.); #267315=ORIENTED_EDGE('',*,*,#200440,.F.); #267316=ORIENTED_EDGE('',*,*,#200443,.F.); #267317=ORIENTED_EDGE('',*,*,#200446,.F.); #267318=ORIENTED_EDGE('',*,*,#200449,.F.); #267319=ORIENTED_EDGE('',*,*,#200452,.F.); #267320=ORIENTED_EDGE('',*,*,#200455,.F.); #267321=ORIENTED_EDGE('',*,*,#200458,.F.); #267322=ORIENTED_EDGE('',*,*,#200115,.T.); #267323=ORIENTED_EDGE('',*,*,#200118,.T.); #267324=ORIENTED_EDGE('',*,*,#200121,.T.); #267325=ORIENTED_EDGE('',*,*,#200124,.T.); #267326=ORIENTED_EDGE('',*,*,#200127,.T.); #267327=ORIENTED_EDGE('',*,*,#200130,.T.); #267328=ORIENTED_EDGE('',*,*,#200133,.T.); #267329=ORIENTED_EDGE('',*,*,#200136,.T.); #267330=ORIENTED_EDGE('',*,*,#200139,.T.); #267331=ORIENTED_EDGE('',*,*,#200142,.T.); #267332=ORIENTED_EDGE('',*,*,#200145,.T.); #267333=ORIENTED_EDGE('',*,*,#200148,.T.); #267334=ORIENTED_EDGE('',*,*,#200151,.T.); #267335=ORIENTED_EDGE('',*,*,#200154,.T.); #267336=ORIENTED_EDGE('',*,*,#200157,.T.); #267337=ORIENTED_EDGE('',*,*,#200160,.T.); #267338=ORIENTED_EDGE('',*,*,#200163,.T.); #267339=ORIENTED_EDGE('',*,*,#200166,.T.); #267340=ORIENTED_EDGE('',*,*,#200169,.T.); #267341=ORIENTED_EDGE('',*,*,#200172,.T.); #267342=ORIENTED_EDGE('',*,*,#200175,.T.); #267343=ORIENTED_EDGE('',*,*,#200178,.T.); #267344=ORIENTED_EDGE('',*,*,#200181,.T.); #267345=ORIENTED_EDGE('',*,*,#200184,.T.); #267346=ORIENTED_EDGE('',*,*,#200187,.T.); #267347=ORIENTED_EDGE('',*,*,#200190,.T.); #267348=ORIENTED_EDGE('',*,*,#200193,.T.); #267349=ORIENTED_EDGE('',*,*,#200196,.T.); #267350=ORIENTED_EDGE('',*,*,#200199,.T.); #267351=ORIENTED_EDGE('',*,*,#200202,.T.); #267352=ORIENTED_EDGE('',*,*,#200205,.T.); #267353=ORIENTED_EDGE('',*,*,#200463,.F.); #267354=ORIENTED_EDGE('',*,*,#200464,.T.); #267355=ORIENTED_EDGE('',*,*,#200465,.F.); #267356=ORIENTED_EDGE('',*,*,#200464,.F.); #267357=ORIENTED_EDGE('',*,*,#200466,.T.); #267358=ORIENTED_EDGE('',*,*,#200467,.T.); #267359=ORIENTED_EDGE('',*,*,#200468,.F.); #267360=ORIENTED_EDGE('',*,*,#200469,.F.); #267361=ORIENTED_EDGE('',*,*,#200470,.T.); #267362=ORIENTED_EDGE('',*,*,#200469,.T.); #267363=ORIENTED_EDGE('',*,*,#200471,.F.); #267364=ORIENTED_EDGE('',*,*,#200472,.F.); #267365=ORIENTED_EDGE('',*,*,#200473,.T.); #267366=ORIENTED_EDGE('',*,*,#200472,.T.); #267367=ORIENTED_EDGE('',*,*,#200474,.F.); #267368=ORIENTED_EDGE('',*,*,#200475,.F.); #267369=ORIENTED_EDGE('',*,*,#200476,.T.); #267370=ORIENTED_EDGE('',*,*,#200475,.T.); #267371=ORIENTED_EDGE('',*,*,#200477,.F.); #267372=ORIENTED_EDGE('',*,*,#200478,.F.); #267373=ORIENTED_EDGE('',*,*,#200479,.T.); #267374=ORIENTED_EDGE('',*,*,#200478,.T.); #267375=ORIENTED_EDGE('',*,*,#200480,.F.); #267376=ORIENTED_EDGE('',*,*,#200481,.F.); #267377=ORIENTED_EDGE('',*,*,#200482,.T.); #267378=ORIENTED_EDGE('',*,*,#200481,.T.); #267379=ORIENTED_EDGE('',*,*,#200483,.F.); #267380=ORIENTED_EDGE('',*,*,#200484,.F.); #267381=ORIENTED_EDGE('',*,*,#200485,.T.); #267382=ORIENTED_EDGE('',*,*,#200484,.T.); #267383=ORIENTED_EDGE('',*,*,#200486,.F.); #267384=ORIENTED_EDGE('',*,*,#200487,.F.); #267385=ORIENTED_EDGE('',*,*,#200488,.T.); #267386=ORIENTED_EDGE('',*,*,#200487,.T.); #267387=ORIENTED_EDGE('',*,*,#200489,.F.); #267388=ORIENTED_EDGE('',*,*,#200490,.F.); #267389=ORIENTED_EDGE('',*,*,#200491,.T.); #267390=ORIENTED_EDGE('',*,*,#200490,.T.); #267391=ORIENTED_EDGE('',*,*,#200492,.F.); #267392=ORIENTED_EDGE('',*,*,#200493,.F.); #267393=ORIENTED_EDGE('',*,*,#200494,.T.); #267394=ORIENTED_EDGE('',*,*,#200493,.T.); #267395=ORIENTED_EDGE('',*,*,#200495,.F.); #267396=ORIENTED_EDGE('',*,*,#200496,.F.); #267397=ORIENTED_EDGE('',*,*,#200497,.T.); #267398=ORIENTED_EDGE('',*,*,#200496,.T.); #267399=ORIENTED_EDGE('',*,*,#200498,.F.); #267400=ORIENTED_EDGE('',*,*,#200499,.F.); #267401=ORIENTED_EDGE('',*,*,#200500,.T.); #267402=ORIENTED_EDGE('',*,*,#200499,.T.); #267403=ORIENTED_EDGE('',*,*,#200501,.F.); #267404=ORIENTED_EDGE('',*,*,#200502,.F.); #267405=ORIENTED_EDGE('',*,*,#200503,.T.); #267406=ORIENTED_EDGE('',*,*,#200502,.T.); #267407=ORIENTED_EDGE('',*,*,#200504,.F.); #267408=ORIENTED_EDGE('',*,*,#200505,.F.); #267409=ORIENTED_EDGE('',*,*,#200506,.T.); #267410=ORIENTED_EDGE('',*,*,#200505,.T.); #267411=ORIENTED_EDGE('',*,*,#200507,.F.); #267412=ORIENTED_EDGE('',*,*,#200508,.F.); #267413=ORIENTED_EDGE('',*,*,#200509,.T.); #267414=ORIENTED_EDGE('',*,*,#200508,.T.); #267415=ORIENTED_EDGE('',*,*,#200510,.F.); #267416=ORIENTED_EDGE('',*,*,#200511,.F.); #267417=ORIENTED_EDGE('',*,*,#200512,.T.); #267418=ORIENTED_EDGE('',*,*,#200511,.T.); #267419=ORIENTED_EDGE('',*,*,#200513,.F.); #267420=ORIENTED_EDGE('',*,*,#200514,.F.); #267421=ORIENTED_EDGE('',*,*,#200515,.T.); #267422=ORIENTED_EDGE('',*,*,#200514,.T.); #267423=ORIENTED_EDGE('',*,*,#200516,.F.); #267424=ORIENTED_EDGE('',*,*,#200517,.F.); #267425=ORIENTED_EDGE('',*,*,#200518,.T.); #267426=ORIENTED_EDGE('',*,*,#200517,.T.); #267427=ORIENTED_EDGE('',*,*,#200519,.F.); #267428=ORIENTED_EDGE('',*,*,#200520,.F.); #267429=ORIENTED_EDGE('',*,*,#200521,.T.); #267430=ORIENTED_EDGE('',*,*,#200520,.T.); #267431=ORIENTED_EDGE('',*,*,#200522,.F.); #267432=ORIENTED_EDGE('',*,*,#200523,.F.); #267433=ORIENTED_EDGE('',*,*,#200524,.T.); #267434=ORIENTED_EDGE('',*,*,#200523,.T.); #267435=ORIENTED_EDGE('',*,*,#200525,.F.); #267436=ORIENTED_EDGE('',*,*,#200526,.F.); #267437=ORIENTED_EDGE('',*,*,#200527,.T.); #267438=ORIENTED_EDGE('',*,*,#200526,.T.); #267439=ORIENTED_EDGE('',*,*,#200528,.F.); #267440=ORIENTED_EDGE('',*,*,#200467,.F.); #267441=ORIENTED_EDGE('',*,*,#200528,.T.); #267442=ORIENTED_EDGE('',*,*,#200525,.T.); #267443=ORIENTED_EDGE('',*,*,#200522,.T.); #267444=ORIENTED_EDGE('',*,*,#200519,.T.); #267445=ORIENTED_EDGE('',*,*,#200516,.T.); #267446=ORIENTED_EDGE('',*,*,#200513,.T.); #267447=ORIENTED_EDGE('',*,*,#200510,.T.); #267448=ORIENTED_EDGE('',*,*,#200507,.T.); #267449=ORIENTED_EDGE('',*,*,#200504,.T.); #267450=ORIENTED_EDGE('',*,*,#200501,.T.); #267451=ORIENTED_EDGE('',*,*,#200498,.T.); #267452=ORIENTED_EDGE('',*,*,#200495,.T.); #267453=ORIENTED_EDGE('',*,*,#200492,.T.); #267454=ORIENTED_EDGE('',*,*,#200489,.T.); #267455=ORIENTED_EDGE('',*,*,#200486,.T.); #267456=ORIENTED_EDGE('',*,*,#200483,.T.); #267457=ORIENTED_EDGE('',*,*,#200480,.T.); #267458=ORIENTED_EDGE('',*,*,#200477,.T.); #267459=ORIENTED_EDGE('',*,*,#200474,.T.); #267460=ORIENTED_EDGE('',*,*,#200471,.T.); #267461=ORIENTED_EDGE('',*,*,#200468,.T.); #267462=ORIENTED_EDGE('',*,*,#200465,.T.); #267463=ORIENTED_EDGE('',*,*,#200527,.F.); #267464=ORIENTED_EDGE('',*,*,#200466,.F.); #267465=ORIENTED_EDGE('',*,*,#200470,.F.); #267466=ORIENTED_EDGE('',*,*,#200473,.F.); #267467=ORIENTED_EDGE('',*,*,#200476,.F.); #267468=ORIENTED_EDGE('',*,*,#200479,.F.); #267469=ORIENTED_EDGE('',*,*,#200482,.F.); #267470=ORIENTED_EDGE('',*,*,#200485,.F.); #267471=ORIENTED_EDGE('',*,*,#200488,.F.); #267472=ORIENTED_EDGE('',*,*,#200491,.F.); #267473=ORIENTED_EDGE('',*,*,#200494,.F.); #267474=ORIENTED_EDGE('',*,*,#200497,.F.); #267475=ORIENTED_EDGE('',*,*,#200500,.F.); #267476=ORIENTED_EDGE('',*,*,#200503,.F.); #267477=ORIENTED_EDGE('',*,*,#200506,.F.); #267478=ORIENTED_EDGE('',*,*,#200509,.F.); #267479=ORIENTED_EDGE('',*,*,#200512,.F.); #267480=ORIENTED_EDGE('',*,*,#200515,.F.); #267481=ORIENTED_EDGE('',*,*,#200518,.F.); #267482=ORIENTED_EDGE('',*,*,#200521,.F.); #267483=ORIENTED_EDGE('',*,*,#200524,.F.); #267484=ORIENTED_EDGE('',*,*,#200463,.T.); #267485=ORIENTED_EDGE('',*,*,#200529,.F.); #267486=ORIENTED_EDGE('',*,*,#200530,.T.); #267487=ORIENTED_EDGE('',*,*,#200531,.F.); #267488=ORIENTED_EDGE('',*,*,#200530,.F.); #267489=ORIENTED_EDGE('',*,*,#200532,.F.); #267490=ORIENTED_EDGE('',*,*,#200533,.T.); #267491=ORIENTED_EDGE('',*,*,#200534,.F.); #267492=ORIENTED_EDGE('',*,*,#200533,.F.); #267493=ORIENTED_EDGE('',*,*,#200535,.F.); #267494=ORIENTED_EDGE('',*,*,#200536,.T.); #267495=ORIENTED_EDGE('',*,*,#200537,.F.); #267496=ORIENTED_EDGE('',*,*,#200536,.F.); #267497=ORIENTED_EDGE('',*,*,#200538,.F.); #267498=ORIENTED_EDGE('',*,*,#200539,.T.); #267499=ORIENTED_EDGE('',*,*,#200540,.F.); #267500=ORIENTED_EDGE('',*,*,#200539,.F.); #267501=ORIENTED_EDGE('',*,*,#200541,.F.); #267502=ORIENTED_EDGE('',*,*,#200542,.T.); #267503=ORIENTED_EDGE('',*,*,#200543,.F.); #267504=ORIENTED_EDGE('',*,*,#200542,.F.); #267505=ORIENTED_EDGE('',*,*,#200544,.F.); #267506=ORIENTED_EDGE('',*,*,#200545,.T.); #267507=ORIENTED_EDGE('',*,*,#200546,.F.); #267508=ORIENTED_EDGE('',*,*,#200545,.F.); #267509=ORIENTED_EDGE('',*,*,#200547,.F.); #267510=ORIENTED_EDGE('',*,*,#200548,.T.); #267511=ORIENTED_EDGE('',*,*,#200549,.F.); #267512=ORIENTED_EDGE('',*,*,#200548,.F.); #267513=ORIENTED_EDGE('',*,*,#200550,.F.); #267514=ORIENTED_EDGE('',*,*,#200551,.T.); #267515=ORIENTED_EDGE('',*,*,#200552,.F.); #267516=ORIENTED_EDGE('',*,*,#200551,.F.); #267517=ORIENTED_EDGE('',*,*,#200553,.F.); #267518=ORIENTED_EDGE('',*,*,#200554,.T.); #267519=ORIENTED_EDGE('',*,*,#200555,.F.); #267520=ORIENTED_EDGE('',*,*,#200554,.F.); #267521=ORIENTED_EDGE('',*,*,#200556,.F.); #267522=ORIENTED_EDGE('',*,*,#200557,.T.); #267523=ORIENTED_EDGE('',*,*,#200558,.F.); #267524=ORIENTED_EDGE('',*,*,#200557,.F.); #267525=ORIENTED_EDGE('',*,*,#200559,.F.); #267526=ORIENTED_EDGE('',*,*,#200560,.T.); #267527=ORIENTED_EDGE('',*,*,#200561,.F.); #267528=ORIENTED_EDGE('',*,*,#200560,.F.); #267529=ORIENTED_EDGE('',*,*,#200562,.T.); #267530=ORIENTED_EDGE('',*,*,#200563,.T.); #267531=ORIENTED_EDGE('',*,*,#200564,.F.); #267532=ORIENTED_EDGE('',*,*,#200565,.F.); #267533=ORIENTED_EDGE('',*,*,#200566,.T.); #267534=ORIENTED_EDGE('',*,*,#200565,.T.); #267535=ORIENTED_EDGE('',*,*,#200567,.F.); #267536=ORIENTED_EDGE('',*,*,#200568,.F.); #267537=ORIENTED_EDGE('',*,*,#200569,.T.); #267538=ORIENTED_EDGE('',*,*,#200568,.T.); #267539=ORIENTED_EDGE('',*,*,#200570,.F.); #267540=ORIENTED_EDGE('',*,*,#200571,.F.); #267541=ORIENTED_EDGE('',*,*,#200572,.T.); #267542=ORIENTED_EDGE('',*,*,#200571,.T.); #267543=ORIENTED_EDGE('',*,*,#200573,.F.); #267544=ORIENTED_EDGE('',*,*,#200574,.F.); #267545=ORIENTED_EDGE('',*,*,#200575,.T.); #267546=ORIENTED_EDGE('',*,*,#200574,.T.); #267547=ORIENTED_EDGE('',*,*,#200576,.F.); #267548=ORIENTED_EDGE('',*,*,#200577,.F.); #267549=ORIENTED_EDGE('',*,*,#200578,.T.); #267550=ORIENTED_EDGE('',*,*,#200577,.T.); #267551=ORIENTED_EDGE('',*,*,#200579,.F.); #267552=ORIENTED_EDGE('',*,*,#200580,.F.); #267553=ORIENTED_EDGE('',*,*,#200581,.T.); #267554=ORIENTED_EDGE('',*,*,#200580,.T.); #267555=ORIENTED_EDGE('',*,*,#200582,.F.); #267556=ORIENTED_EDGE('',*,*,#200583,.F.); #267557=ORIENTED_EDGE('',*,*,#200584,.T.); #267558=ORIENTED_EDGE('',*,*,#200583,.T.); #267559=ORIENTED_EDGE('',*,*,#200585,.F.); #267560=ORIENTED_EDGE('',*,*,#200586,.F.); #267561=ORIENTED_EDGE('',*,*,#200587,.T.); #267562=ORIENTED_EDGE('',*,*,#200586,.T.); #267563=ORIENTED_EDGE('',*,*,#200588,.F.); #267564=ORIENTED_EDGE('',*,*,#200589,.F.); #267565=ORIENTED_EDGE('',*,*,#200590,.T.); #267566=ORIENTED_EDGE('',*,*,#200589,.T.); #267567=ORIENTED_EDGE('',*,*,#200591,.F.); #267568=ORIENTED_EDGE('',*,*,#200592,.F.); #267569=ORIENTED_EDGE('',*,*,#200593,.T.); #267570=ORIENTED_EDGE('',*,*,#200592,.T.); #267571=ORIENTED_EDGE('',*,*,#200594,.F.); #267572=ORIENTED_EDGE('',*,*,#200595,.F.); #267573=ORIENTED_EDGE('',*,*,#200596,.T.); #267574=ORIENTED_EDGE('',*,*,#200595,.T.); #267575=ORIENTED_EDGE('',*,*,#200597,.F.); #267576=ORIENTED_EDGE('',*,*,#200598,.F.); #267577=ORIENTED_EDGE('',*,*,#200599,.T.); #267578=ORIENTED_EDGE('',*,*,#200598,.T.); #267579=ORIENTED_EDGE('',*,*,#200600,.F.); #267580=ORIENTED_EDGE('',*,*,#200601,.F.); #267581=ORIENTED_EDGE('',*,*,#200602,.T.); #267582=ORIENTED_EDGE('',*,*,#200601,.T.); #267583=ORIENTED_EDGE('',*,*,#200603,.F.); #267584=ORIENTED_EDGE('',*,*,#200604,.F.); #267585=ORIENTED_EDGE('',*,*,#200605,.T.); #267586=ORIENTED_EDGE('',*,*,#200604,.T.); #267587=ORIENTED_EDGE('',*,*,#200606,.F.); #267588=ORIENTED_EDGE('',*,*,#200607,.F.); #267589=ORIENTED_EDGE('',*,*,#200608,.T.); #267590=ORIENTED_EDGE('',*,*,#200607,.T.); #267591=ORIENTED_EDGE('',*,*,#200609,.F.); #267592=ORIENTED_EDGE('',*,*,#200610,.F.); #267593=ORIENTED_EDGE('',*,*,#200611,.T.); #267594=ORIENTED_EDGE('',*,*,#200610,.T.); #267595=ORIENTED_EDGE('',*,*,#200612,.F.); #267596=ORIENTED_EDGE('',*,*,#200613,.F.); #267597=ORIENTED_EDGE('',*,*,#200614,.T.); #267598=ORIENTED_EDGE('',*,*,#200613,.T.); #267599=ORIENTED_EDGE('',*,*,#200615,.F.); #267600=ORIENTED_EDGE('',*,*,#200616,.F.); #267601=ORIENTED_EDGE('',*,*,#200617,.T.); #267602=ORIENTED_EDGE('',*,*,#200616,.T.); #267603=ORIENTED_EDGE('',*,*,#200618,.F.); #267604=ORIENTED_EDGE('',*,*,#200619,.F.); #267605=ORIENTED_EDGE('',*,*,#200620,.T.); #267606=ORIENTED_EDGE('',*,*,#200619,.T.); #267607=ORIENTED_EDGE('',*,*,#200621,.F.); #267608=ORIENTED_EDGE('',*,*,#200622,.F.); #267609=ORIENTED_EDGE('',*,*,#200623,.T.); #267610=ORIENTED_EDGE('',*,*,#200622,.T.); #267611=ORIENTED_EDGE('',*,*,#200624,.F.); #267612=ORIENTED_EDGE('',*,*,#200625,.F.); #267613=ORIENTED_EDGE('',*,*,#200626,.T.); #267614=ORIENTED_EDGE('',*,*,#200625,.T.); #267615=ORIENTED_EDGE('',*,*,#200627,.F.); #267616=ORIENTED_EDGE('',*,*,#200628,.F.); #267617=ORIENTED_EDGE('',*,*,#200629,.T.); #267618=ORIENTED_EDGE('',*,*,#200628,.T.); #267619=ORIENTED_EDGE('',*,*,#200630,.F.); #267620=ORIENTED_EDGE('',*,*,#200631,.F.); #267621=ORIENTED_EDGE('',*,*,#200632,.T.); #267622=ORIENTED_EDGE('',*,*,#200631,.T.); #267623=ORIENTED_EDGE('',*,*,#200633,.F.); #267624=ORIENTED_EDGE('',*,*,#200634,.F.); #267625=ORIENTED_EDGE('',*,*,#200635,.T.); #267626=ORIENTED_EDGE('',*,*,#200634,.T.); #267627=ORIENTED_EDGE('',*,*,#200636,.F.); #267628=ORIENTED_EDGE('',*,*,#200637,.F.); #267629=ORIENTED_EDGE('',*,*,#200638,.T.); #267630=ORIENTED_EDGE('',*,*,#200637,.T.); #267631=ORIENTED_EDGE('',*,*,#200639,.F.); #267632=ORIENTED_EDGE('',*,*,#200640,.F.); #267633=ORIENTED_EDGE('',*,*,#200641,.T.); #267634=ORIENTED_EDGE('',*,*,#200640,.T.); #267635=ORIENTED_EDGE('',*,*,#200642,.F.); #267636=ORIENTED_EDGE('',*,*,#200643,.F.); #267637=ORIENTED_EDGE('',*,*,#200644,.T.); #267638=ORIENTED_EDGE('',*,*,#200643,.T.); #267639=ORIENTED_EDGE('',*,*,#200645,.F.); #267640=ORIENTED_EDGE('',*,*,#200646,.F.); #267641=ORIENTED_EDGE('',*,*,#200647,.T.); #267642=ORIENTED_EDGE('',*,*,#200646,.T.); #267643=ORIENTED_EDGE('',*,*,#200648,.F.); #267644=ORIENTED_EDGE('',*,*,#200649,.F.); #267645=ORIENTED_EDGE('',*,*,#200650,.T.); #267646=ORIENTED_EDGE('',*,*,#200649,.T.); #267647=ORIENTED_EDGE('',*,*,#200651,.F.); #267648=ORIENTED_EDGE('',*,*,#200652,.F.); #267649=ORIENTED_EDGE('',*,*,#200653,.T.); #267650=ORIENTED_EDGE('',*,*,#200652,.T.); #267651=ORIENTED_EDGE('',*,*,#200654,.F.); #267652=ORIENTED_EDGE('',*,*,#200655,.F.); #267653=ORIENTED_EDGE('',*,*,#200656,.T.); #267654=ORIENTED_EDGE('',*,*,#200655,.T.); #267655=ORIENTED_EDGE('',*,*,#200657,.F.); #267656=ORIENTED_EDGE('',*,*,#200658,.F.); #267657=ORIENTED_EDGE('',*,*,#200659,.T.); #267658=ORIENTED_EDGE('',*,*,#200658,.T.); #267659=ORIENTED_EDGE('',*,*,#200660,.F.); #267660=ORIENTED_EDGE('',*,*,#200661,.F.); #267661=ORIENTED_EDGE('',*,*,#200662,.T.); #267662=ORIENTED_EDGE('',*,*,#200661,.T.); #267663=ORIENTED_EDGE('',*,*,#200663,.F.); #267664=ORIENTED_EDGE('',*,*,#200664,.F.); #267665=ORIENTED_EDGE('',*,*,#200665,.T.); #267666=ORIENTED_EDGE('',*,*,#200664,.T.); #267667=ORIENTED_EDGE('',*,*,#200666,.F.); #267668=ORIENTED_EDGE('',*,*,#200667,.F.); #267669=ORIENTED_EDGE('',*,*,#200668,.T.); #267670=ORIENTED_EDGE('',*,*,#200667,.T.); #267671=ORIENTED_EDGE('',*,*,#200669,.F.); #267672=ORIENTED_EDGE('',*,*,#200670,.F.); #267673=ORIENTED_EDGE('',*,*,#200671,.T.); #267674=ORIENTED_EDGE('',*,*,#200670,.T.); #267675=ORIENTED_EDGE('',*,*,#200672,.F.); #267676=ORIENTED_EDGE('',*,*,#200673,.F.); #267677=ORIENTED_EDGE('',*,*,#200674,.T.); #267678=ORIENTED_EDGE('',*,*,#200673,.T.); #267679=ORIENTED_EDGE('',*,*,#200675,.F.); #267680=ORIENTED_EDGE('',*,*,#200676,.F.); #267681=ORIENTED_EDGE('',*,*,#200677,.T.); #267682=ORIENTED_EDGE('',*,*,#200676,.T.); #267683=ORIENTED_EDGE('',*,*,#200678,.F.); #267684=ORIENTED_EDGE('',*,*,#200679,.F.); #267685=ORIENTED_EDGE('',*,*,#200680,.T.); #267686=ORIENTED_EDGE('',*,*,#200679,.T.); #267687=ORIENTED_EDGE('',*,*,#200681,.F.); #267688=ORIENTED_EDGE('',*,*,#200682,.F.); #267689=ORIENTED_EDGE('',*,*,#200683,.T.); #267690=ORIENTED_EDGE('',*,*,#200682,.T.); #267691=ORIENTED_EDGE('',*,*,#200684,.F.); #267692=ORIENTED_EDGE('',*,*,#200685,.F.); #267693=ORIENTED_EDGE('',*,*,#200686,.T.); #267694=ORIENTED_EDGE('',*,*,#200685,.T.); #267695=ORIENTED_EDGE('',*,*,#200687,.F.); #267696=ORIENTED_EDGE('',*,*,#200688,.F.); #267697=ORIENTED_EDGE('',*,*,#200689,.T.); #267698=ORIENTED_EDGE('',*,*,#200688,.T.); #267699=ORIENTED_EDGE('',*,*,#200690,.F.); #267700=ORIENTED_EDGE('',*,*,#200691,.F.); #267701=ORIENTED_EDGE('',*,*,#200692,.T.); #267702=ORIENTED_EDGE('',*,*,#200691,.T.); #267703=ORIENTED_EDGE('',*,*,#200693,.F.); #267704=ORIENTED_EDGE('',*,*,#200694,.F.); #267705=ORIENTED_EDGE('',*,*,#200695,.T.); #267706=ORIENTED_EDGE('',*,*,#200694,.T.); #267707=ORIENTED_EDGE('',*,*,#200696,.F.); #267708=ORIENTED_EDGE('',*,*,#200697,.F.); #267709=ORIENTED_EDGE('',*,*,#200698,.T.); #267710=ORIENTED_EDGE('',*,*,#200697,.T.); #267711=ORIENTED_EDGE('',*,*,#200699,.F.); #267712=ORIENTED_EDGE('',*,*,#200700,.F.); #267713=ORIENTED_EDGE('',*,*,#200701,.T.); #267714=ORIENTED_EDGE('',*,*,#200700,.T.); #267715=ORIENTED_EDGE('',*,*,#200702,.F.); #267716=ORIENTED_EDGE('',*,*,#200703,.F.); #267717=ORIENTED_EDGE('',*,*,#200704,.T.); #267718=ORIENTED_EDGE('',*,*,#200703,.T.); #267719=ORIENTED_EDGE('',*,*,#200705,.F.); #267720=ORIENTED_EDGE('',*,*,#200706,.F.); #267721=ORIENTED_EDGE('',*,*,#200707,.T.); #267722=ORIENTED_EDGE('',*,*,#200706,.T.); #267723=ORIENTED_EDGE('',*,*,#200708,.F.); #267724=ORIENTED_EDGE('',*,*,#200709,.F.); #267725=ORIENTED_EDGE('',*,*,#200710,.T.); #267726=ORIENTED_EDGE('',*,*,#200709,.T.); #267727=ORIENTED_EDGE('',*,*,#200711,.F.); #267728=ORIENTED_EDGE('',*,*,#200712,.F.); #267729=ORIENTED_EDGE('',*,*,#200713,.T.); #267730=ORIENTED_EDGE('',*,*,#200712,.T.); #267731=ORIENTED_EDGE('',*,*,#200714,.F.); #267732=ORIENTED_EDGE('',*,*,#200715,.F.); #267733=ORIENTED_EDGE('',*,*,#200716,.T.); #267734=ORIENTED_EDGE('',*,*,#200715,.T.); #267735=ORIENTED_EDGE('',*,*,#200717,.F.); #267736=ORIENTED_EDGE('',*,*,#200718,.F.); #267737=ORIENTED_EDGE('',*,*,#200719,.T.); #267738=ORIENTED_EDGE('',*,*,#200718,.T.); #267739=ORIENTED_EDGE('',*,*,#200720,.F.); #267740=ORIENTED_EDGE('',*,*,#200721,.F.); #267741=ORIENTED_EDGE('',*,*,#200722,.T.); #267742=ORIENTED_EDGE('',*,*,#200721,.T.); #267743=ORIENTED_EDGE('',*,*,#200723,.F.); #267744=ORIENTED_EDGE('',*,*,#200724,.F.); #267745=ORIENTED_EDGE('',*,*,#200725,.T.); #267746=ORIENTED_EDGE('',*,*,#200724,.T.); #267747=ORIENTED_EDGE('',*,*,#200726,.F.); #267748=ORIENTED_EDGE('',*,*,#200727,.F.); #267749=ORIENTED_EDGE('',*,*,#200728,.T.); #267750=ORIENTED_EDGE('',*,*,#200727,.T.); #267751=ORIENTED_EDGE('',*,*,#200729,.F.); #267752=ORIENTED_EDGE('',*,*,#200730,.F.); #267753=ORIENTED_EDGE('',*,*,#200731,.T.); #267754=ORIENTED_EDGE('',*,*,#200730,.T.); #267755=ORIENTED_EDGE('',*,*,#200732,.F.); #267756=ORIENTED_EDGE('',*,*,#200733,.F.); #267757=ORIENTED_EDGE('',*,*,#200734,.T.); #267758=ORIENTED_EDGE('',*,*,#200733,.T.); #267759=ORIENTED_EDGE('',*,*,#200735,.F.); #267760=ORIENTED_EDGE('',*,*,#200736,.F.); #267761=ORIENTED_EDGE('',*,*,#200737,.T.); #267762=ORIENTED_EDGE('',*,*,#200736,.T.); #267763=ORIENTED_EDGE('',*,*,#200738,.F.); #267764=ORIENTED_EDGE('',*,*,#200739,.F.); #267765=ORIENTED_EDGE('',*,*,#200740,.T.); #267766=ORIENTED_EDGE('',*,*,#200739,.T.); #267767=ORIENTED_EDGE('',*,*,#200741,.F.); #267768=ORIENTED_EDGE('',*,*,#200742,.F.); #267769=ORIENTED_EDGE('',*,*,#200743,.T.); #267770=ORIENTED_EDGE('',*,*,#200742,.T.); #267771=ORIENTED_EDGE('',*,*,#200744,.F.); #267772=ORIENTED_EDGE('',*,*,#200745,.F.); #267773=ORIENTED_EDGE('',*,*,#200746,.T.); #267774=ORIENTED_EDGE('',*,*,#200745,.T.); #267775=ORIENTED_EDGE('',*,*,#200747,.F.); #267776=ORIENTED_EDGE('',*,*,#200748,.F.); #267777=ORIENTED_EDGE('',*,*,#200749,.T.); #267778=ORIENTED_EDGE('',*,*,#200748,.T.); #267779=ORIENTED_EDGE('',*,*,#200750,.F.); #267780=ORIENTED_EDGE('',*,*,#200751,.F.); #267781=ORIENTED_EDGE('',*,*,#200752,.T.); #267782=ORIENTED_EDGE('',*,*,#200751,.T.); #267783=ORIENTED_EDGE('',*,*,#200753,.F.); #267784=ORIENTED_EDGE('',*,*,#200754,.F.); #267785=ORIENTED_EDGE('',*,*,#200755,.T.); #267786=ORIENTED_EDGE('',*,*,#200754,.T.); #267787=ORIENTED_EDGE('',*,*,#200756,.F.); #267788=ORIENTED_EDGE('',*,*,#200757,.F.); #267789=ORIENTED_EDGE('',*,*,#200758,.T.); #267790=ORIENTED_EDGE('',*,*,#200757,.T.); #267791=ORIENTED_EDGE('',*,*,#200759,.F.); #267792=ORIENTED_EDGE('',*,*,#200760,.F.); #267793=ORIENTED_EDGE('',*,*,#200761,.T.); #267794=ORIENTED_EDGE('',*,*,#200760,.T.); #267795=ORIENTED_EDGE('',*,*,#200762,.F.); #267796=ORIENTED_EDGE('',*,*,#200763,.F.); #267797=ORIENTED_EDGE('',*,*,#200764,.T.); #267798=ORIENTED_EDGE('',*,*,#200763,.T.); #267799=ORIENTED_EDGE('',*,*,#200765,.F.); #267800=ORIENTED_EDGE('',*,*,#200766,.F.); #267801=ORIENTED_EDGE('',*,*,#200767,.T.); #267802=ORIENTED_EDGE('',*,*,#200766,.T.); #267803=ORIENTED_EDGE('',*,*,#200768,.F.); #267804=ORIENTED_EDGE('',*,*,#200769,.F.); #267805=ORIENTED_EDGE('',*,*,#200770,.T.); #267806=ORIENTED_EDGE('',*,*,#200769,.T.); #267807=ORIENTED_EDGE('',*,*,#200771,.F.); #267808=ORIENTED_EDGE('',*,*,#200772,.F.); #267809=ORIENTED_EDGE('',*,*,#200773,.T.); #267810=ORIENTED_EDGE('',*,*,#200772,.T.); #267811=ORIENTED_EDGE('',*,*,#200774,.F.); #267812=ORIENTED_EDGE('',*,*,#200775,.F.); #267813=ORIENTED_EDGE('',*,*,#200776,.T.); #267814=ORIENTED_EDGE('',*,*,#200775,.T.); #267815=ORIENTED_EDGE('',*,*,#200777,.F.); #267816=ORIENTED_EDGE('',*,*,#200778,.F.); #267817=ORIENTED_EDGE('',*,*,#200779,.T.); #267818=ORIENTED_EDGE('',*,*,#200778,.T.); #267819=ORIENTED_EDGE('',*,*,#200780,.F.); #267820=ORIENTED_EDGE('',*,*,#200781,.F.); #267821=ORIENTED_EDGE('',*,*,#200782,.T.); #267822=ORIENTED_EDGE('',*,*,#200781,.T.); #267823=ORIENTED_EDGE('',*,*,#200783,.F.); #267824=ORIENTED_EDGE('',*,*,#200784,.F.); #267825=ORIENTED_EDGE('',*,*,#200785,.T.); #267826=ORIENTED_EDGE('',*,*,#200784,.T.); #267827=ORIENTED_EDGE('',*,*,#200786,.F.); #267828=ORIENTED_EDGE('',*,*,#200787,.F.); #267829=ORIENTED_EDGE('',*,*,#200788,.T.); #267830=ORIENTED_EDGE('',*,*,#200787,.T.); #267831=ORIENTED_EDGE('',*,*,#200789,.F.); #267832=ORIENTED_EDGE('',*,*,#200790,.F.); #267833=ORIENTED_EDGE('',*,*,#200791,.T.); #267834=ORIENTED_EDGE('',*,*,#200790,.T.); #267835=ORIENTED_EDGE('',*,*,#200792,.F.); #267836=ORIENTED_EDGE('',*,*,#200793,.F.); #267837=ORIENTED_EDGE('',*,*,#200794,.T.); #267838=ORIENTED_EDGE('',*,*,#200793,.T.); #267839=ORIENTED_EDGE('',*,*,#200795,.F.); #267840=ORIENTED_EDGE('',*,*,#200796,.F.); #267841=ORIENTED_EDGE('',*,*,#200797,.T.); #267842=ORIENTED_EDGE('',*,*,#200796,.T.); #267843=ORIENTED_EDGE('',*,*,#200798,.F.); #267844=ORIENTED_EDGE('',*,*,#200799,.F.); #267845=ORIENTED_EDGE('',*,*,#200800,.T.); #267846=ORIENTED_EDGE('',*,*,#200799,.T.); #267847=ORIENTED_EDGE('',*,*,#200801,.F.); #267848=ORIENTED_EDGE('',*,*,#200802,.F.); #267849=ORIENTED_EDGE('',*,*,#200803,.T.); #267850=ORIENTED_EDGE('',*,*,#200802,.T.); #267851=ORIENTED_EDGE('',*,*,#200804,.F.); #267852=ORIENTED_EDGE('',*,*,#200805,.F.); #267853=ORIENTED_EDGE('',*,*,#200806,.T.); #267854=ORIENTED_EDGE('',*,*,#200805,.T.); #267855=ORIENTED_EDGE('',*,*,#200807,.F.); #267856=ORIENTED_EDGE('',*,*,#200808,.F.); #267857=ORIENTED_EDGE('',*,*,#200809,.T.); #267858=ORIENTED_EDGE('',*,*,#200808,.T.); #267859=ORIENTED_EDGE('',*,*,#200810,.F.); #267860=ORIENTED_EDGE('',*,*,#200811,.F.); #267861=ORIENTED_EDGE('',*,*,#200812,.T.); #267862=ORIENTED_EDGE('',*,*,#200811,.T.); #267863=ORIENTED_EDGE('',*,*,#200813,.F.); #267864=ORIENTED_EDGE('',*,*,#200814,.F.); #267865=ORIENTED_EDGE('',*,*,#200815,.T.); #267866=ORIENTED_EDGE('',*,*,#200814,.T.); #267867=ORIENTED_EDGE('',*,*,#200816,.F.); #267868=ORIENTED_EDGE('',*,*,#200817,.F.); #267869=ORIENTED_EDGE('',*,*,#200818,.T.); #267870=ORIENTED_EDGE('',*,*,#200817,.T.); #267871=ORIENTED_EDGE('',*,*,#200819,.F.); #267872=ORIENTED_EDGE('',*,*,#200820,.F.); #267873=ORIENTED_EDGE('',*,*,#200821,.T.); #267874=ORIENTED_EDGE('',*,*,#200820,.T.); #267875=ORIENTED_EDGE('',*,*,#200822,.F.); #267876=ORIENTED_EDGE('',*,*,#200823,.F.); #267877=ORIENTED_EDGE('',*,*,#200824,.T.); #267878=ORIENTED_EDGE('',*,*,#200823,.T.); #267879=ORIENTED_EDGE('',*,*,#200825,.F.); #267880=ORIENTED_EDGE('',*,*,#200826,.F.); #267881=ORIENTED_EDGE('',*,*,#200827,.T.); #267882=ORIENTED_EDGE('',*,*,#200826,.T.); #267883=ORIENTED_EDGE('',*,*,#200828,.F.); #267884=ORIENTED_EDGE('',*,*,#200829,.F.); #267885=ORIENTED_EDGE('',*,*,#200830,.T.); #267886=ORIENTED_EDGE('',*,*,#200829,.T.); #267887=ORIENTED_EDGE('',*,*,#200831,.F.); #267888=ORIENTED_EDGE('',*,*,#200832,.F.); #267889=ORIENTED_EDGE('',*,*,#200833,.T.); #267890=ORIENTED_EDGE('',*,*,#200832,.T.); #267891=ORIENTED_EDGE('',*,*,#200834,.F.); #267892=ORIENTED_EDGE('',*,*,#200835,.F.); #267893=ORIENTED_EDGE('',*,*,#200836,.T.); #267894=ORIENTED_EDGE('',*,*,#200835,.T.); #267895=ORIENTED_EDGE('',*,*,#200837,.F.); #267896=ORIENTED_EDGE('',*,*,#200838,.F.); #267897=ORIENTED_EDGE('',*,*,#200839,.T.); #267898=ORIENTED_EDGE('',*,*,#200838,.T.); #267899=ORIENTED_EDGE('',*,*,#200840,.F.); #267900=ORIENTED_EDGE('',*,*,#200841,.F.); #267901=ORIENTED_EDGE('',*,*,#200842,.T.); #267902=ORIENTED_EDGE('',*,*,#200841,.T.); #267903=ORIENTED_EDGE('',*,*,#200843,.F.); #267904=ORIENTED_EDGE('',*,*,#200844,.F.); #267905=ORIENTED_EDGE('',*,*,#200845,.T.); #267906=ORIENTED_EDGE('',*,*,#200844,.T.); #267907=ORIENTED_EDGE('',*,*,#200846,.F.); #267908=ORIENTED_EDGE('',*,*,#200847,.F.); #267909=ORIENTED_EDGE('',*,*,#200848,.T.); #267910=ORIENTED_EDGE('',*,*,#200847,.T.); #267911=ORIENTED_EDGE('',*,*,#200849,.F.); #267912=ORIENTED_EDGE('',*,*,#200850,.F.); #267913=ORIENTED_EDGE('',*,*,#200851,.T.); #267914=ORIENTED_EDGE('',*,*,#200850,.T.); #267915=ORIENTED_EDGE('',*,*,#200852,.F.); #267916=ORIENTED_EDGE('',*,*,#200853,.F.); #267917=ORIENTED_EDGE('',*,*,#200854,.T.); #267918=ORIENTED_EDGE('',*,*,#200853,.T.); #267919=ORIENTED_EDGE('',*,*,#200855,.F.); #267920=ORIENTED_EDGE('',*,*,#200856,.F.); #267921=ORIENTED_EDGE('',*,*,#200857,.T.); #267922=ORIENTED_EDGE('',*,*,#200856,.T.); #267923=ORIENTED_EDGE('',*,*,#200858,.F.); #267924=ORIENTED_EDGE('',*,*,#200859,.F.); #267925=ORIENTED_EDGE('',*,*,#200860,.T.); #267926=ORIENTED_EDGE('',*,*,#200859,.T.); #267927=ORIENTED_EDGE('',*,*,#200861,.F.); #267928=ORIENTED_EDGE('',*,*,#200862,.F.); #267929=ORIENTED_EDGE('',*,*,#200863,.T.); #267930=ORIENTED_EDGE('',*,*,#200862,.T.); #267931=ORIENTED_EDGE('',*,*,#200864,.F.); #267932=ORIENTED_EDGE('',*,*,#200865,.F.); #267933=ORIENTED_EDGE('',*,*,#200866,.T.); #267934=ORIENTED_EDGE('',*,*,#200865,.T.); #267935=ORIENTED_EDGE('',*,*,#200867,.F.); #267936=ORIENTED_EDGE('',*,*,#200868,.F.); #267937=ORIENTED_EDGE('',*,*,#200869,.T.); #267938=ORIENTED_EDGE('',*,*,#200868,.T.); #267939=ORIENTED_EDGE('',*,*,#200870,.F.); #267940=ORIENTED_EDGE('',*,*,#200871,.F.); #267941=ORIENTED_EDGE('',*,*,#200872,.T.); #267942=ORIENTED_EDGE('',*,*,#200871,.T.); #267943=ORIENTED_EDGE('',*,*,#200873,.F.); #267944=ORIENTED_EDGE('',*,*,#200874,.F.); #267945=ORIENTED_EDGE('',*,*,#200875,.T.); #267946=ORIENTED_EDGE('',*,*,#200874,.T.); #267947=ORIENTED_EDGE('',*,*,#200876,.F.); #267948=ORIENTED_EDGE('',*,*,#200877,.F.); #267949=ORIENTED_EDGE('',*,*,#200878,.T.); #267950=ORIENTED_EDGE('',*,*,#200877,.T.); #267951=ORIENTED_EDGE('',*,*,#200879,.F.); #267952=ORIENTED_EDGE('',*,*,#200880,.F.); #267953=ORIENTED_EDGE('',*,*,#200881,.T.); #267954=ORIENTED_EDGE('',*,*,#200880,.T.); #267955=ORIENTED_EDGE('',*,*,#200882,.F.); #267956=ORIENTED_EDGE('',*,*,#200883,.F.); #267957=ORIENTED_EDGE('',*,*,#200884,.T.); #267958=ORIENTED_EDGE('',*,*,#200883,.T.); #267959=ORIENTED_EDGE('',*,*,#200885,.F.); #267960=ORIENTED_EDGE('',*,*,#200886,.F.); #267961=ORIENTED_EDGE('',*,*,#200887,.T.); #267962=ORIENTED_EDGE('',*,*,#200886,.T.); #267963=ORIENTED_EDGE('',*,*,#200888,.F.); #267964=ORIENTED_EDGE('',*,*,#200889,.F.); #267965=ORIENTED_EDGE('',*,*,#200890,.T.); #267966=ORIENTED_EDGE('',*,*,#200889,.T.); #267967=ORIENTED_EDGE('',*,*,#200891,.F.); #267968=ORIENTED_EDGE('',*,*,#200892,.F.); #267969=ORIENTED_EDGE('',*,*,#200893,.T.); #267970=ORIENTED_EDGE('',*,*,#200892,.T.); #267971=ORIENTED_EDGE('',*,*,#200894,.F.); #267972=ORIENTED_EDGE('',*,*,#200895,.F.); #267973=ORIENTED_EDGE('',*,*,#200896,.T.); #267974=ORIENTED_EDGE('',*,*,#200895,.T.); #267975=ORIENTED_EDGE('',*,*,#200897,.F.); #267976=ORIENTED_EDGE('',*,*,#200898,.F.); #267977=ORIENTED_EDGE('',*,*,#200899,.T.); #267978=ORIENTED_EDGE('',*,*,#200898,.T.); #267979=ORIENTED_EDGE('',*,*,#200900,.F.); #267980=ORIENTED_EDGE('',*,*,#200901,.F.); #267981=ORIENTED_EDGE('',*,*,#200902,.T.); #267982=ORIENTED_EDGE('',*,*,#200901,.T.); #267983=ORIENTED_EDGE('',*,*,#200903,.F.); #267984=ORIENTED_EDGE('',*,*,#200904,.F.); #267985=ORIENTED_EDGE('',*,*,#200905,.T.); #267986=ORIENTED_EDGE('',*,*,#200904,.T.); #267987=ORIENTED_EDGE('',*,*,#200906,.F.); #267988=ORIENTED_EDGE('',*,*,#200907,.F.); #267989=ORIENTED_EDGE('',*,*,#200908,.T.); #267990=ORIENTED_EDGE('',*,*,#200907,.T.); #267991=ORIENTED_EDGE('',*,*,#200909,.F.); #267992=ORIENTED_EDGE('',*,*,#200910,.F.); #267993=ORIENTED_EDGE('',*,*,#200911,.T.); #267994=ORIENTED_EDGE('',*,*,#200910,.T.); #267995=ORIENTED_EDGE('',*,*,#200912,.F.); #267996=ORIENTED_EDGE('',*,*,#200913,.F.); #267997=ORIENTED_EDGE('',*,*,#200914,.T.); #267998=ORIENTED_EDGE('',*,*,#200913,.T.); #267999=ORIENTED_EDGE('',*,*,#200915,.F.); #268000=ORIENTED_EDGE('',*,*,#200916,.F.); #268001=ORIENTED_EDGE('',*,*,#200917,.T.); #268002=ORIENTED_EDGE('',*,*,#200916,.T.); #268003=ORIENTED_EDGE('',*,*,#200918,.F.); #268004=ORIENTED_EDGE('',*,*,#200919,.F.); #268005=ORIENTED_EDGE('',*,*,#200920,.T.); #268006=ORIENTED_EDGE('',*,*,#200919,.T.); #268007=ORIENTED_EDGE('',*,*,#200921,.F.); #268008=ORIENTED_EDGE('',*,*,#200922,.F.); #268009=ORIENTED_EDGE('',*,*,#200923,.T.); #268010=ORIENTED_EDGE('',*,*,#200922,.T.); #268011=ORIENTED_EDGE('',*,*,#200924,.F.); #268012=ORIENTED_EDGE('',*,*,#200925,.F.); #268013=ORIENTED_EDGE('',*,*,#200926,.T.); #268014=ORIENTED_EDGE('',*,*,#200925,.T.); #268015=ORIENTED_EDGE('',*,*,#200927,.F.); #268016=ORIENTED_EDGE('',*,*,#200928,.F.); #268017=ORIENTED_EDGE('',*,*,#200929,.T.); #268018=ORIENTED_EDGE('',*,*,#200928,.T.); #268019=ORIENTED_EDGE('',*,*,#200930,.F.); #268020=ORIENTED_EDGE('',*,*,#200931,.F.); #268021=ORIENTED_EDGE('',*,*,#200932,.T.); #268022=ORIENTED_EDGE('',*,*,#200931,.T.); #268023=ORIENTED_EDGE('',*,*,#200933,.F.); #268024=ORIENTED_EDGE('',*,*,#200563,.F.); #268025=ORIENTED_EDGE('',*,*,#200933,.T.); #268026=ORIENTED_EDGE('',*,*,#200930,.T.); #268027=ORIENTED_EDGE('',*,*,#200927,.T.); #268028=ORIENTED_EDGE('',*,*,#200924,.T.); #268029=ORIENTED_EDGE('',*,*,#200921,.T.); #268030=ORIENTED_EDGE('',*,*,#200918,.T.); #268031=ORIENTED_EDGE('',*,*,#200915,.T.); #268032=ORIENTED_EDGE('',*,*,#200912,.T.); #268033=ORIENTED_EDGE('',*,*,#200909,.T.); #268034=ORIENTED_EDGE('',*,*,#200906,.T.); #268035=ORIENTED_EDGE('',*,*,#200903,.T.); #268036=ORIENTED_EDGE('',*,*,#200900,.T.); #268037=ORIENTED_EDGE('',*,*,#200897,.T.); #268038=ORIENTED_EDGE('',*,*,#200894,.T.); #268039=ORIENTED_EDGE('',*,*,#200891,.T.); #268040=ORIENTED_EDGE('',*,*,#200888,.T.); #268041=ORIENTED_EDGE('',*,*,#200885,.T.); #268042=ORIENTED_EDGE('',*,*,#200882,.T.); #268043=ORIENTED_EDGE('',*,*,#200879,.T.); #268044=ORIENTED_EDGE('',*,*,#200876,.T.); #268045=ORIENTED_EDGE('',*,*,#200873,.T.); #268046=ORIENTED_EDGE('',*,*,#200870,.T.); #268047=ORIENTED_EDGE('',*,*,#200867,.T.); #268048=ORIENTED_EDGE('',*,*,#200864,.T.); #268049=ORIENTED_EDGE('',*,*,#200861,.T.); #268050=ORIENTED_EDGE('',*,*,#200858,.T.); #268051=ORIENTED_EDGE('',*,*,#200855,.T.); #268052=ORIENTED_EDGE('',*,*,#200852,.T.); #268053=ORIENTED_EDGE('',*,*,#200849,.T.); #268054=ORIENTED_EDGE('',*,*,#200846,.T.); #268055=ORIENTED_EDGE('',*,*,#200843,.T.); #268056=ORIENTED_EDGE('',*,*,#200840,.T.); #268057=ORIENTED_EDGE('',*,*,#200837,.T.); #268058=ORIENTED_EDGE('',*,*,#200834,.T.); #268059=ORIENTED_EDGE('',*,*,#200831,.T.); #268060=ORIENTED_EDGE('',*,*,#200828,.T.); #268061=ORIENTED_EDGE('',*,*,#200825,.T.); #268062=ORIENTED_EDGE('',*,*,#200822,.T.); #268063=ORIENTED_EDGE('',*,*,#200819,.T.); #268064=ORIENTED_EDGE('',*,*,#200816,.T.); #268065=ORIENTED_EDGE('',*,*,#200813,.T.); #268066=ORIENTED_EDGE('',*,*,#200810,.T.); #268067=ORIENTED_EDGE('',*,*,#200807,.T.); #268068=ORIENTED_EDGE('',*,*,#200804,.T.); #268069=ORIENTED_EDGE('',*,*,#200801,.T.); #268070=ORIENTED_EDGE('',*,*,#200798,.T.); #268071=ORIENTED_EDGE('',*,*,#200795,.T.); #268072=ORIENTED_EDGE('',*,*,#200792,.T.); #268073=ORIENTED_EDGE('',*,*,#200789,.T.); #268074=ORIENTED_EDGE('',*,*,#200786,.T.); #268075=ORIENTED_EDGE('',*,*,#200783,.T.); #268076=ORIENTED_EDGE('',*,*,#200780,.T.); #268077=ORIENTED_EDGE('',*,*,#200777,.T.); #268078=ORIENTED_EDGE('',*,*,#200774,.T.); #268079=ORIENTED_EDGE('',*,*,#200771,.T.); #268080=ORIENTED_EDGE('',*,*,#200768,.T.); #268081=ORIENTED_EDGE('',*,*,#200765,.T.); #268082=ORIENTED_EDGE('',*,*,#200762,.T.); #268083=ORIENTED_EDGE('',*,*,#200759,.T.); #268084=ORIENTED_EDGE('',*,*,#200756,.T.); #268085=ORIENTED_EDGE('',*,*,#200753,.T.); #268086=ORIENTED_EDGE('',*,*,#200750,.T.); #268087=ORIENTED_EDGE('',*,*,#200747,.T.); #268088=ORIENTED_EDGE('',*,*,#200744,.T.); #268089=ORIENTED_EDGE('',*,*,#200741,.T.); #268090=ORIENTED_EDGE('',*,*,#200738,.T.); #268091=ORIENTED_EDGE('',*,*,#200735,.T.); #268092=ORIENTED_EDGE('',*,*,#200732,.T.); #268093=ORIENTED_EDGE('',*,*,#200729,.T.); #268094=ORIENTED_EDGE('',*,*,#200726,.T.); #268095=ORIENTED_EDGE('',*,*,#200723,.T.); #268096=ORIENTED_EDGE('',*,*,#200720,.T.); #268097=ORIENTED_EDGE('',*,*,#200717,.T.); #268098=ORIENTED_EDGE('',*,*,#200714,.T.); #268099=ORIENTED_EDGE('',*,*,#200711,.T.); #268100=ORIENTED_EDGE('',*,*,#200708,.T.); #268101=ORIENTED_EDGE('',*,*,#200705,.T.); #268102=ORIENTED_EDGE('',*,*,#200702,.T.); #268103=ORIENTED_EDGE('',*,*,#200699,.T.); #268104=ORIENTED_EDGE('',*,*,#200696,.T.); #268105=ORIENTED_EDGE('',*,*,#200693,.T.); #268106=ORIENTED_EDGE('',*,*,#200690,.T.); #268107=ORIENTED_EDGE('',*,*,#200687,.T.); #268108=ORIENTED_EDGE('',*,*,#200684,.T.); #268109=ORIENTED_EDGE('',*,*,#200681,.T.); #268110=ORIENTED_EDGE('',*,*,#200678,.T.); #268111=ORIENTED_EDGE('',*,*,#200675,.T.); #268112=ORIENTED_EDGE('',*,*,#200672,.T.); #268113=ORIENTED_EDGE('',*,*,#200669,.T.); #268114=ORIENTED_EDGE('',*,*,#200666,.T.); #268115=ORIENTED_EDGE('',*,*,#200663,.T.); #268116=ORIENTED_EDGE('',*,*,#200660,.T.); #268117=ORIENTED_EDGE('',*,*,#200657,.T.); #268118=ORIENTED_EDGE('',*,*,#200654,.T.); #268119=ORIENTED_EDGE('',*,*,#200651,.T.); #268120=ORIENTED_EDGE('',*,*,#200648,.T.); #268121=ORIENTED_EDGE('',*,*,#200645,.T.); #268122=ORIENTED_EDGE('',*,*,#200642,.T.); #268123=ORIENTED_EDGE('',*,*,#200639,.T.); #268124=ORIENTED_EDGE('',*,*,#200636,.T.); #268125=ORIENTED_EDGE('',*,*,#200633,.T.); #268126=ORIENTED_EDGE('',*,*,#200630,.T.); #268127=ORIENTED_EDGE('',*,*,#200627,.T.); #268128=ORIENTED_EDGE('',*,*,#200624,.T.); #268129=ORIENTED_EDGE('',*,*,#200621,.T.); #268130=ORIENTED_EDGE('',*,*,#200618,.T.); #268131=ORIENTED_EDGE('',*,*,#200615,.T.); #268132=ORIENTED_EDGE('',*,*,#200612,.T.); #268133=ORIENTED_EDGE('',*,*,#200609,.T.); #268134=ORIENTED_EDGE('',*,*,#200606,.T.); #268135=ORIENTED_EDGE('',*,*,#200603,.T.); #268136=ORIENTED_EDGE('',*,*,#200600,.T.); #268137=ORIENTED_EDGE('',*,*,#200597,.T.); #268138=ORIENTED_EDGE('',*,*,#200594,.T.); #268139=ORIENTED_EDGE('',*,*,#200591,.T.); #268140=ORIENTED_EDGE('',*,*,#200588,.T.); #268141=ORIENTED_EDGE('',*,*,#200585,.T.); #268142=ORIENTED_EDGE('',*,*,#200582,.T.); #268143=ORIENTED_EDGE('',*,*,#200579,.T.); #268144=ORIENTED_EDGE('',*,*,#200576,.T.); #268145=ORIENTED_EDGE('',*,*,#200573,.T.); #268146=ORIENTED_EDGE('',*,*,#200570,.T.); #268147=ORIENTED_EDGE('',*,*,#200567,.T.); #268148=ORIENTED_EDGE('',*,*,#200564,.T.); #268149=ORIENTED_EDGE('',*,*,#200531,.T.); #268150=ORIENTED_EDGE('',*,*,#200534,.T.); #268151=ORIENTED_EDGE('',*,*,#200537,.T.); #268152=ORIENTED_EDGE('',*,*,#200540,.T.); #268153=ORIENTED_EDGE('',*,*,#200543,.T.); #268154=ORIENTED_EDGE('',*,*,#200546,.T.); #268155=ORIENTED_EDGE('',*,*,#200549,.T.); #268156=ORIENTED_EDGE('',*,*,#200552,.T.); #268157=ORIENTED_EDGE('',*,*,#200555,.T.); #268158=ORIENTED_EDGE('',*,*,#200558,.T.); #268159=ORIENTED_EDGE('',*,*,#200561,.T.); #268160=ORIENTED_EDGE('',*,*,#200932,.F.); #268161=ORIENTED_EDGE('',*,*,#200562,.F.); #268162=ORIENTED_EDGE('',*,*,#200566,.F.); #268163=ORIENTED_EDGE('',*,*,#200569,.F.); #268164=ORIENTED_EDGE('',*,*,#200572,.F.); #268165=ORIENTED_EDGE('',*,*,#200575,.F.); #268166=ORIENTED_EDGE('',*,*,#200578,.F.); #268167=ORIENTED_EDGE('',*,*,#200581,.F.); #268168=ORIENTED_EDGE('',*,*,#200584,.F.); #268169=ORIENTED_EDGE('',*,*,#200587,.F.); #268170=ORIENTED_EDGE('',*,*,#200590,.F.); #268171=ORIENTED_EDGE('',*,*,#200593,.F.); #268172=ORIENTED_EDGE('',*,*,#200596,.F.); #268173=ORIENTED_EDGE('',*,*,#200599,.F.); #268174=ORIENTED_EDGE('',*,*,#200602,.F.); #268175=ORIENTED_EDGE('',*,*,#200605,.F.); #268176=ORIENTED_EDGE('',*,*,#200608,.F.); #268177=ORIENTED_EDGE('',*,*,#200611,.F.); #268178=ORIENTED_EDGE('',*,*,#200614,.F.); #268179=ORIENTED_EDGE('',*,*,#200617,.F.); #268180=ORIENTED_EDGE('',*,*,#200620,.F.); #268181=ORIENTED_EDGE('',*,*,#200623,.F.); #268182=ORIENTED_EDGE('',*,*,#200626,.F.); #268183=ORIENTED_EDGE('',*,*,#200629,.F.); #268184=ORIENTED_EDGE('',*,*,#200632,.F.); #268185=ORIENTED_EDGE('',*,*,#200635,.F.); #268186=ORIENTED_EDGE('',*,*,#200638,.F.); #268187=ORIENTED_EDGE('',*,*,#200641,.F.); #268188=ORIENTED_EDGE('',*,*,#200644,.F.); #268189=ORIENTED_EDGE('',*,*,#200647,.F.); #268190=ORIENTED_EDGE('',*,*,#200650,.F.); #268191=ORIENTED_EDGE('',*,*,#200653,.F.); #268192=ORIENTED_EDGE('',*,*,#200656,.F.); #268193=ORIENTED_EDGE('',*,*,#200659,.F.); #268194=ORIENTED_EDGE('',*,*,#200662,.F.); #268195=ORIENTED_EDGE('',*,*,#200665,.F.); #268196=ORIENTED_EDGE('',*,*,#200668,.F.); #268197=ORIENTED_EDGE('',*,*,#200671,.F.); #268198=ORIENTED_EDGE('',*,*,#200674,.F.); #268199=ORIENTED_EDGE('',*,*,#200677,.F.); #268200=ORIENTED_EDGE('',*,*,#200680,.F.); #268201=ORIENTED_EDGE('',*,*,#200683,.F.); #268202=ORIENTED_EDGE('',*,*,#200686,.F.); #268203=ORIENTED_EDGE('',*,*,#200689,.F.); #268204=ORIENTED_EDGE('',*,*,#200692,.F.); #268205=ORIENTED_EDGE('',*,*,#200695,.F.); #268206=ORIENTED_EDGE('',*,*,#200698,.F.); #268207=ORIENTED_EDGE('',*,*,#200701,.F.); #268208=ORIENTED_EDGE('',*,*,#200704,.F.); #268209=ORIENTED_EDGE('',*,*,#200707,.F.); #268210=ORIENTED_EDGE('',*,*,#200710,.F.); #268211=ORIENTED_EDGE('',*,*,#200713,.F.); #268212=ORIENTED_EDGE('',*,*,#200716,.F.); #268213=ORIENTED_EDGE('',*,*,#200719,.F.); #268214=ORIENTED_EDGE('',*,*,#200722,.F.); #268215=ORIENTED_EDGE('',*,*,#200725,.F.); #268216=ORIENTED_EDGE('',*,*,#200728,.F.); #268217=ORIENTED_EDGE('',*,*,#200731,.F.); #268218=ORIENTED_EDGE('',*,*,#200734,.F.); #268219=ORIENTED_EDGE('',*,*,#200737,.F.); #268220=ORIENTED_EDGE('',*,*,#200740,.F.); #268221=ORIENTED_EDGE('',*,*,#200743,.F.); #268222=ORIENTED_EDGE('',*,*,#200746,.F.); #268223=ORIENTED_EDGE('',*,*,#200749,.F.); #268224=ORIENTED_EDGE('',*,*,#200752,.F.); #268225=ORIENTED_EDGE('',*,*,#200755,.F.); #268226=ORIENTED_EDGE('',*,*,#200758,.F.); #268227=ORIENTED_EDGE('',*,*,#200761,.F.); #268228=ORIENTED_EDGE('',*,*,#200764,.F.); #268229=ORIENTED_EDGE('',*,*,#200767,.F.); #268230=ORIENTED_EDGE('',*,*,#200770,.F.); #268231=ORIENTED_EDGE('',*,*,#200773,.F.); #268232=ORIENTED_EDGE('',*,*,#200776,.F.); #268233=ORIENTED_EDGE('',*,*,#200779,.F.); #268234=ORIENTED_EDGE('',*,*,#200782,.F.); #268235=ORIENTED_EDGE('',*,*,#200785,.F.); #268236=ORIENTED_EDGE('',*,*,#200788,.F.); #268237=ORIENTED_EDGE('',*,*,#200791,.F.); #268238=ORIENTED_EDGE('',*,*,#200794,.F.); #268239=ORIENTED_EDGE('',*,*,#200797,.F.); #268240=ORIENTED_EDGE('',*,*,#200800,.F.); #268241=ORIENTED_EDGE('',*,*,#200803,.F.); #268242=ORIENTED_EDGE('',*,*,#200806,.F.); #268243=ORIENTED_EDGE('',*,*,#200809,.F.); #268244=ORIENTED_EDGE('',*,*,#200812,.F.); #268245=ORIENTED_EDGE('',*,*,#200815,.F.); #268246=ORIENTED_EDGE('',*,*,#200818,.F.); #268247=ORIENTED_EDGE('',*,*,#200821,.F.); #268248=ORIENTED_EDGE('',*,*,#200824,.F.); #268249=ORIENTED_EDGE('',*,*,#200827,.F.); #268250=ORIENTED_EDGE('',*,*,#200830,.F.); #268251=ORIENTED_EDGE('',*,*,#200833,.F.); #268252=ORIENTED_EDGE('',*,*,#200836,.F.); #268253=ORIENTED_EDGE('',*,*,#200839,.F.); #268254=ORIENTED_EDGE('',*,*,#200842,.F.); #268255=ORIENTED_EDGE('',*,*,#200845,.F.); #268256=ORIENTED_EDGE('',*,*,#200848,.F.); #268257=ORIENTED_EDGE('',*,*,#200851,.F.); #268258=ORIENTED_EDGE('',*,*,#200854,.F.); #268259=ORIENTED_EDGE('',*,*,#200857,.F.); #268260=ORIENTED_EDGE('',*,*,#200860,.F.); #268261=ORIENTED_EDGE('',*,*,#200863,.F.); #268262=ORIENTED_EDGE('',*,*,#200866,.F.); #268263=ORIENTED_EDGE('',*,*,#200869,.F.); #268264=ORIENTED_EDGE('',*,*,#200872,.F.); #268265=ORIENTED_EDGE('',*,*,#200875,.F.); #268266=ORIENTED_EDGE('',*,*,#200878,.F.); #268267=ORIENTED_EDGE('',*,*,#200881,.F.); #268268=ORIENTED_EDGE('',*,*,#200884,.F.); #268269=ORIENTED_EDGE('',*,*,#200887,.F.); #268270=ORIENTED_EDGE('',*,*,#200890,.F.); #268271=ORIENTED_EDGE('',*,*,#200893,.F.); #268272=ORIENTED_EDGE('',*,*,#200896,.F.); #268273=ORIENTED_EDGE('',*,*,#200899,.F.); #268274=ORIENTED_EDGE('',*,*,#200902,.F.); #268275=ORIENTED_EDGE('',*,*,#200905,.F.); #268276=ORIENTED_EDGE('',*,*,#200908,.F.); #268277=ORIENTED_EDGE('',*,*,#200911,.F.); #268278=ORIENTED_EDGE('',*,*,#200914,.F.); #268279=ORIENTED_EDGE('',*,*,#200917,.F.); #268280=ORIENTED_EDGE('',*,*,#200920,.F.); #268281=ORIENTED_EDGE('',*,*,#200923,.F.); #268282=ORIENTED_EDGE('',*,*,#200926,.F.); #268283=ORIENTED_EDGE('',*,*,#200929,.F.); #268284=ORIENTED_EDGE('',*,*,#200529,.T.); #268285=ORIENTED_EDGE('',*,*,#200532,.T.); #268286=ORIENTED_EDGE('',*,*,#200535,.T.); #268287=ORIENTED_EDGE('',*,*,#200538,.T.); #268288=ORIENTED_EDGE('',*,*,#200541,.T.); #268289=ORIENTED_EDGE('',*,*,#200544,.T.); #268290=ORIENTED_EDGE('',*,*,#200547,.T.); #268291=ORIENTED_EDGE('',*,*,#200550,.T.); #268292=ORIENTED_EDGE('',*,*,#200553,.T.); #268293=ORIENTED_EDGE('',*,*,#200556,.T.); #268294=ORIENTED_EDGE('',*,*,#200559,.T.); #268295=ORIENTED_EDGE('',*,*,#200934,.F.); #268296=ORIENTED_EDGE('',*,*,#200935,.T.); #268297=ORIENTED_EDGE('',*,*,#200936,.F.); #268298=ORIENTED_EDGE('',*,*,#200935,.F.); #268299=ORIENTED_EDGE('',*,*,#200937,.F.); #268300=ORIENTED_EDGE('',*,*,#200938,.T.); #268301=ORIENTED_EDGE('',*,*,#200939,.F.); #268302=ORIENTED_EDGE('',*,*,#200938,.F.); #268303=ORIENTED_EDGE('',*,*,#200940,.F.); #268304=ORIENTED_EDGE('',*,*,#200941,.T.); #268305=ORIENTED_EDGE('',*,*,#200942,.F.); #268306=ORIENTED_EDGE('',*,*,#200941,.F.); #268307=ORIENTED_EDGE('',*,*,#200943,.F.); #268308=ORIENTED_EDGE('',*,*,#200944,.T.); #268309=ORIENTED_EDGE('',*,*,#200945,.F.); #268310=ORIENTED_EDGE('',*,*,#200944,.F.); #268311=ORIENTED_EDGE('',*,*,#200946,.F.); #268312=ORIENTED_EDGE('',*,*,#200947,.T.); #268313=ORIENTED_EDGE('',*,*,#200948,.F.); #268314=ORIENTED_EDGE('',*,*,#200947,.F.); #268315=ORIENTED_EDGE('',*,*,#200949,.F.); #268316=ORIENTED_EDGE('',*,*,#200950,.T.); #268317=ORIENTED_EDGE('',*,*,#200951,.F.); #268318=ORIENTED_EDGE('',*,*,#200950,.F.); #268319=ORIENTED_EDGE('',*,*,#200952,.F.); #268320=ORIENTED_EDGE('',*,*,#200953,.T.); #268321=ORIENTED_EDGE('',*,*,#200954,.F.); #268322=ORIENTED_EDGE('',*,*,#200953,.F.); #268323=ORIENTED_EDGE('',*,*,#200955,.F.); #268324=ORIENTED_EDGE('',*,*,#200956,.T.); #268325=ORIENTED_EDGE('',*,*,#200957,.F.); #268326=ORIENTED_EDGE('',*,*,#200956,.F.); #268327=ORIENTED_EDGE('',*,*,#200958,.F.); #268328=ORIENTED_EDGE('',*,*,#200959,.T.); #268329=ORIENTED_EDGE('',*,*,#200960,.F.); #268330=ORIENTED_EDGE('',*,*,#200959,.F.); #268331=ORIENTED_EDGE('',*,*,#200961,.F.); #268332=ORIENTED_EDGE('',*,*,#200962,.T.); #268333=ORIENTED_EDGE('',*,*,#200963,.F.); #268334=ORIENTED_EDGE('',*,*,#200962,.F.); #268335=ORIENTED_EDGE('',*,*,#200964,.F.); #268336=ORIENTED_EDGE('',*,*,#200965,.T.); #268337=ORIENTED_EDGE('',*,*,#200966,.F.); #268338=ORIENTED_EDGE('',*,*,#200965,.F.); #268339=ORIENTED_EDGE('',*,*,#200967,.F.); #268340=ORIENTED_EDGE('',*,*,#200968,.T.); #268341=ORIENTED_EDGE('',*,*,#200969,.F.); #268342=ORIENTED_EDGE('',*,*,#200968,.F.); #268343=ORIENTED_EDGE('',*,*,#200970,.F.); #268344=ORIENTED_EDGE('',*,*,#200971,.T.); #268345=ORIENTED_EDGE('',*,*,#200972,.F.); #268346=ORIENTED_EDGE('',*,*,#200971,.F.); #268347=ORIENTED_EDGE('',*,*,#200973,.F.); #268348=ORIENTED_EDGE('',*,*,#200974,.T.); #268349=ORIENTED_EDGE('',*,*,#200975,.F.); #268350=ORIENTED_EDGE('',*,*,#200974,.F.); #268351=ORIENTED_EDGE('',*,*,#200976,.F.); #268352=ORIENTED_EDGE('',*,*,#200977,.T.); #268353=ORIENTED_EDGE('',*,*,#200978,.F.); #268354=ORIENTED_EDGE('',*,*,#200977,.F.); #268355=ORIENTED_EDGE('',*,*,#200979,.F.); #268356=ORIENTED_EDGE('',*,*,#200980,.T.); #268357=ORIENTED_EDGE('',*,*,#200981,.F.); #268358=ORIENTED_EDGE('',*,*,#200980,.F.); #268359=ORIENTED_EDGE('',*,*,#200982,.F.); #268360=ORIENTED_EDGE('',*,*,#200983,.T.); #268361=ORIENTED_EDGE('',*,*,#200984,.F.); #268362=ORIENTED_EDGE('',*,*,#200983,.F.); #268363=ORIENTED_EDGE('',*,*,#200985,.F.); #268364=ORIENTED_EDGE('',*,*,#200986,.T.); #268365=ORIENTED_EDGE('',*,*,#200987,.F.); #268366=ORIENTED_EDGE('',*,*,#200986,.F.); #268367=ORIENTED_EDGE('',*,*,#200988,.F.); #268368=ORIENTED_EDGE('',*,*,#200989,.T.); #268369=ORIENTED_EDGE('',*,*,#200990,.F.); #268370=ORIENTED_EDGE('',*,*,#200989,.F.); #268371=ORIENTED_EDGE('',*,*,#200991,.F.); #268372=ORIENTED_EDGE('',*,*,#200992,.T.); #268373=ORIENTED_EDGE('',*,*,#200993,.F.); #268374=ORIENTED_EDGE('',*,*,#200992,.F.); #268375=ORIENTED_EDGE('',*,*,#200994,.F.); #268376=ORIENTED_EDGE('',*,*,#200995,.T.); #268377=ORIENTED_EDGE('',*,*,#200996,.F.); #268378=ORIENTED_EDGE('',*,*,#200995,.F.); #268379=ORIENTED_EDGE('',*,*,#200997,.F.); #268380=ORIENTED_EDGE('',*,*,#200998,.T.); #268381=ORIENTED_EDGE('',*,*,#200999,.F.); #268382=ORIENTED_EDGE('',*,*,#200998,.F.); #268383=ORIENTED_EDGE('',*,*,#201000,.F.); #268384=ORIENTED_EDGE('',*,*,#201001,.T.); #268385=ORIENTED_EDGE('',*,*,#201002,.F.); #268386=ORIENTED_EDGE('',*,*,#201001,.F.); #268387=ORIENTED_EDGE('',*,*,#201003,.F.); #268388=ORIENTED_EDGE('',*,*,#201004,.T.); #268389=ORIENTED_EDGE('',*,*,#201005,.F.); #268390=ORIENTED_EDGE('',*,*,#201004,.F.); #268391=ORIENTED_EDGE('',*,*,#201006,.F.); #268392=ORIENTED_EDGE('',*,*,#201007,.T.); #268393=ORIENTED_EDGE('',*,*,#201008,.F.); #268394=ORIENTED_EDGE('',*,*,#201007,.F.); #268395=ORIENTED_EDGE('',*,*,#201009,.F.); #268396=ORIENTED_EDGE('',*,*,#201010,.T.); #268397=ORIENTED_EDGE('',*,*,#201011,.F.); #268398=ORIENTED_EDGE('',*,*,#201010,.F.); #268399=ORIENTED_EDGE('',*,*,#201012,.F.); #268400=ORIENTED_EDGE('',*,*,#201013,.T.); #268401=ORIENTED_EDGE('',*,*,#201014,.F.); #268402=ORIENTED_EDGE('',*,*,#201013,.F.); #268403=ORIENTED_EDGE('',*,*,#201015,.F.); #268404=ORIENTED_EDGE('',*,*,#201016,.T.); #268405=ORIENTED_EDGE('',*,*,#201017,.F.); #268406=ORIENTED_EDGE('',*,*,#201016,.F.); #268407=ORIENTED_EDGE('',*,*,#201018,.F.); #268408=ORIENTED_EDGE('',*,*,#201019,.T.); #268409=ORIENTED_EDGE('',*,*,#201020,.F.); #268410=ORIENTED_EDGE('',*,*,#201019,.F.); #268411=ORIENTED_EDGE('',*,*,#201021,.F.); #268412=ORIENTED_EDGE('',*,*,#201022,.T.); #268413=ORIENTED_EDGE('',*,*,#201023,.F.); #268414=ORIENTED_EDGE('',*,*,#201022,.F.); #268415=ORIENTED_EDGE('',*,*,#201024,.F.); #268416=ORIENTED_EDGE('',*,*,#201025,.T.); #268417=ORIENTED_EDGE('',*,*,#201026,.F.); #268418=ORIENTED_EDGE('',*,*,#201025,.F.); #268419=ORIENTED_EDGE('',*,*,#201027,.T.); #268420=ORIENTED_EDGE('',*,*,#201028,.T.); #268421=ORIENTED_EDGE('',*,*,#201029,.F.); #268422=ORIENTED_EDGE('',*,*,#201030,.F.); #268423=ORIENTED_EDGE('',*,*,#201031,.T.); #268424=ORIENTED_EDGE('',*,*,#201030,.T.); #268425=ORIENTED_EDGE('',*,*,#201032,.F.); #268426=ORIENTED_EDGE('',*,*,#201033,.F.); #268427=ORIENTED_EDGE('',*,*,#201034,.T.); #268428=ORIENTED_EDGE('',*,*,#201033,.T.); #268429=ORIENTED_EDGE('',*,*,#201035,.F.); #268430=ORIENTED_EDGE('',*,*,#201036,.F.); #268431=ORIENTED_EDGE('',*,*,#201037,.T.); #268432=ORIENTED_EDGE('',*,*,#201036,.T.); #268433=ORIENTED_EDGE('',*,*,#201038,.F.); #268434=ORIENTED_EDGE('',*,*,#201039,.F.); #268435=ORIENTED_EDGE('',*,*,#201040,.T.); #268436=ORIENTED_EDGE('',*,*,#201039,.T.); #268437=ORIENTED_EDGE('',*,*,#201041,.F.); #268438=ORIENTED_EDGE('',*,*,#201042,.F.); #268439=ORIENTED_EDGE('',*,*,#201043,.T.); #268440=ORIENTED_EDGE('',*,*,#201042,.T.); #268441=ORIENTED_EDGE('',*,*,#201044,.F.); #268442=ORIENTED_EDGE('',*,*,#201045,.F.); #268443=ORIENTED_EDGE('',*,*,#201046,.T.); #268444=ORIENTED_EDGE('',*,*,#201045,.T.); #268445=ORIENTED_EDGE('',*,*,#201047,.F.); #268446=ORIENTED_EDGE('',*,*,#201048,.F.); #268447=ORIENTED_EDGE('',*,*,#201049,.T.); #268448=ORIENTED_EDGE('',*,*,#201048,.T.); #268449=ORIENTED_EDGE('',*,*,#201050,.F.); #268450=ORIENTED_EDGE('',*,*,#201051,.F.); #268451=ORIENTED_EDGE('',*,*,#201052,.T.); #268452=ORIENTED_EDGE('',*,*,#201051,.T.); #268453=ORIENTED_EDGE('',*,*,#201053,.F.); #268454=ORIENTED_EDGE('',*,*,#201054,.F.); #268455=ORIENTED_EDGE('',*,*,#201055,.T.); #268456=ORIENTED_EDGE('',*,*,#201054,.T.); #268457=ORIENTED_EDGE('',*,*,#201056,.F.); #268458=ORIENTED_EDGE('',*,*,#201057,.F.); #268459=ORIENTED_EDGE('',*,*,#201058,.T.); #268460=ORIENTED_EDGE('',*,*,#201057,.T.); #268461=ORIENTED_EDGE('',*,*,#201059,.F.); #268462=ORIENTED_EDGE('',*,*,#201060,.F.); #268463=ORIENTED_EDGE('',*,*,#201061,.T.); #268464=ORIENTED_EDGE('',*,*,#201060,.T.); #268465=ORIENTED_EDGE('',*,*,#201062,.F.); #268466=ORIENTED_EDGE('',*,*,#201063,.F.); #268467=ORIENTED_EDGE('',*,*,#201064,.T.); #268468=ORIENTED_EDGE('',*,*,#201063,.T.); #268469=ORIENTED_EDGE('',*,*,#201065,.F.); #268470=ORIENTED_EDGE('',*,*,#201066,.F.); #268471=ORIENTED_EDGE('',*,*,#201067,.T.); #268472=ORIENTED_EDGE('',*,*,#201066,.T.); #268473=ORIENTED_EDGE('',*,*,#201068,.F.); #268474=ORIENTED_EDGE('',*,*,#201069,.F.); #268475=ORIENTED_EDGE('',*,*,#201070,.T.); #268476=ORIENTED_EDGE('',*,*,#201069,.T.); #268477=ORIENTED_EDGE('',*,*,#201071,.F.); #268478=ORIENTED_EDGE('',*,*,#201072,.F.); #268479=ORIENTED_EDGE('',*,*,#201073,.T.); #268480=ORIENTED_EDGE('',*,*,#201072,.T.); #268481=ORIENTED_EDGE('',*,*,#201074,.F.); #268482=ORIENTED_EDGE('',*,*,#201075,.F.); #268483=ORIENTED_EDGE('',*,*,#201076,.T.); #268484=ORIENTED_EDGE('',*,*,#201075,.T.); #268485=ORIENTED_EDGE('',*,*,#201077,.F.); #268486=ORIENTED_EDGE('',*,*,#201078,.F.); #268487=ORIENTED_EDGE('',*,*,#201079,.T.); #268488=ORIENTED_EDGE('',*,*,#201078,.T.); #268489=ORIENTED_EDGE('',*,*,#201080,.F.); #268490=ORIENTED_EDGE('',*,*,#201081,.F.); #268491=ORIENTED_EDGE('',*,*,#201082,.T.); #268492=ORIENTED_EDGE('',*,*,#201081,.T.); #268493=ORIENTED_EDGE('',*,*,#201083,.F.); #268494=ORIENTED_EDGE('',*,*,#201084,.F.); #268495=ORIENTED_EDGE('',*,*,#201085,.T.); #268496=ORIENTED_EDGE('',*,*,#201084,.T.); #268497=ORIENTED_EDGE('',*,*,#201086,.F.); #268498=ORIENTED_EDGE('',*,*,#201087,.F.); #268499=ORIENTED_EDGE('',*,*,#201088,.T.); #268500=ORIENTED_EDGE('',*,*,#201087,.T.); #268501=ORIENTED_EDGE('',*,*,#201089,.F.); #268502=ORIENTED_EDGE('',*,*,#201090,.F.); #268503=ORIENTED_EDGE('',*,*,#201091,.T.); #268504=ORIENTED_EDGE('',*,*,#201090,.T.); #268505=ORIENTED_EDGE('',*,*,#201092,.F.); #268506=ORIENTED_EDGE('',*,*,#201093,.F.); #268507=ORIENTED_EDGE('',*,*,#201094,.T.); #268508=ORIENTED_EDGE('',*,*,#201093,.T.); #268509=ORIENTED_EDGE('',*,*,#201095,.F.); #268510=ORIENTED_EDGE('',*,*,#201096,.F.); #268511=ORIENTED_EDGE('',*,*,#201097,.T.); #268512=ORIENTED_EDGE('',*,*,#201096,.T.); #268513=ORIENTED_EDGE('',*,*,#201098,.F.); #268514=ORIENTED_EDGE('',*,*,#201099,.F.); #268515=ORIENTED_EDGE('',*,*,#201100,.T.); #268516=ORIENTED_EDGE('',*,*,#201099,.T.); #268517=ORIENTED_EDGE('',*,*,#201101,.F.); #268518=ORIENTED_EDGE('',*,*,#201102,.F.); #268519=ORIENTED_EDGE('',*,*,#201103,.T.); #268520=ORIENTED_EDGE('',*,*,#201102,.T.); #268521=ORIENTED_EDGE('',*,*,#201104,.F.); #268522=ORIENTED_EDGE('',*,*,#201105,.F.); #268523=ORIENTED_EDGE('',*,*,#201106,.T.); #268524=ORIENTED_EDGE('',*,*,#201105,.T.); #268525=ORIENTED_EDGE('',*,*,#201107,.F.); #268526=ORIENTED_EDGE('',*,*,#201108,.F.); #268527=ORIENTED_EDGE('',*,*,#201109,.T.); #268528=ORIENTED_EDGE('',*,*,#201108,.T.); #268529=ORIENTED_EDGE('',*,*,#201110,.F.); #268530=ORIENTED_EDGE('',*,*,#201111,.F.); #268531=ORIENTED_EDGE('',*,*,#201112,.T.); #268532=ORIENTED_EDGE('',*,*,#201111,.T.); #268533=ORIENTED_EDGE('',*,*,#201113,.F.); #268534=ORIENTED_EDGE('',*,*,#201114,.F.); #268535=ORIENTED_EDGE('',*,*,#201115,.T.); #268536=ORIENTED_EDGE('',*,*,#201114,.T.); #268537=ORIENTED_EDGE('',*,*,#201116,.F.); #268538=ORIENTED_EDGE('',*,*,#201117,.F.); #268539=ORIENTED_EDGE('',*,*,#201118,.T.); #268540=ORIENTED_EDGE('',*,*,#201117,.T.); #268541=ORIENTED_EDGE('',*,*,#201119,.F.); #268542=ORIENTED_EDGE('',*,*,#201120,.F.); #268543=ORIENTED_EDGE('',*,*,#201121,.T.); #268544=ORIENTED_EDGE('',*,*,#201120,.T.); #268545=ORIENTED_EDGE('',*,*,#201122,.F.); #268546=ORIENTED_EDGE('',*,*,#201123,.F.); #268547=ORIENTED_EDGE('',*,*,#201124,.T.); #268548=ORIENTED_EDGE('',*,*,#201123,.T.); #268549=ORIENTED_EDGE('',*,*,#201125,.F.); #268550=ORIENTED_EDGE('',*,*,#201126,.F.); #268551=ORIENTED_EDGE('',*,*,#201127,.T.); #268552=ORIENTED_EDGE('',*,*,#201126,.T.); #268553=ORIENTED_EDGE('',*,*,#201128,.F.); #268554=ORIENTED_EDGE('',*,*,#201129,.F.); #268555=ORIENTED_EDGE('',*,*,#201130,.T.); #268556=ORIENTED_EDGE('',*,*,#201129,.T.); #268557=ORIENTED_EDGE('',*,*,#201131,.F.); #268558=ORIENTED_EDGE('',*,*,#201132,.F.); #268559=ORIENTED_EDGE('',*,*,#201133,.T.); #268560=ORIENTED_EDGE('',*,*,#201132,.T.); #268561=ORIENTED_EDGE('',*,*,#201134,.F.); #268562=ORIENTED_EDGE('',*,*,#201135,.F.); #268563=ORIENTED_EDGE('',*,*,#201136,.T.); #268564=ORIENTED_EDGE('',*,*,#201135,.T.); #268565=ORIENTED_EDGE('',*,*,#201137,.F.); #268566=ORIENTED_EDGE('',*,*,#201138,.F.); #268567=ORIENTED_EDGE('',*,*,#201139,.T.); #268568=ORIENTED_EDGE('',*,*,#201138,.T.); #268569=ORIENTED_EDGE('',*,*,#201140,.F.); #268570=ORIENTED_EDGE('',*,*,#201141,.F.); #268571=ORIENTED_EDGE('',*,*,#201142,.T.); #268572=ORIENTED_EDGE('',*,*,#201141,.T.); #268573=ORIENTED_EDGE('',*,*,#201143,.F.); #268574=ORIENTED_EDGE('',*,*,#201144,.F.); #268575=ORIENTED_EDGE('',*,*,#201145,.T.); #268576=ORIENTED_EDGE('',*,*,#201144,.T.); #268577=ORIENTED_EDGE('',*,*,#201146,.F.); #268578=ORIENTED_EDGE('',*,*,#201147,.F.); #268579=ORIENTED_EDGE('',*,*,#201148,.T.); #268580=ORIENTED_EDGE('',*,*,#201147,.T.); #268581=ORIENTED_EDGE('',*,*,#201149,.F.); #268582=ORIENTED_EDGE('',*,*,#201150,.F.); #268583=ORIENTED_EDGE('',*,*,#201151,.T.); #268584=ORIENTED_EDGE('',*,*,#201150,.T.); #268585=ORIENTED_EDGE('',*,*,#201152,.F.); #268586=ORIENTED_EDGE('',*,*,#201153,.F.); #268587=ORIENTED_EDGE('',*,*,#201154,.T.); #268588=ORIENTED_EDGE('',*,*,#201153,.T.); #268589=ORIENTED_EDGE('',*,*,#201155,.F.); #268590=ORIENTED_EDGE('',*,*,#201156,.F.); #268591=ORIENTED_EDGE('',*,*,#201157,.T.); #268592=ORIENTED_EDGE('',*,*,#201156,.T.); #268593=ORIENTED_EDGE('',*,*,#201158,.F.); #268594=ORIENTED_EDGE('',*,*,#201159,.F.); #268595=ORIENTED_EDGE('',*,*,#201160,.T.); #268596=ORIENTED_EDGE('',*,*,#201159,.T.); #268597=ORIENTED_EDGE('',*,*,#201161,.F.); #268598=ORIENTED_EDGE('',*,*,#201162,.F.); #268599=ORIENTED_EDGE('',*,*,#201163,.T.); #268600=ORIENTED_EDGE('',*,*,#201162,.T.); #268601=ORIENTED_EDGE('',*,*,#201164,.F.); #268602=ORIENTED_EDGE('',*,*,#201165,.F.); #268603=ORIENTED_EDGE('',*,*,#201166,.T.); #268604=ORIENTED_EDGE('',*,*,#201165,.T.); #268605=ORIENTED_EDGE('',*,*,#201167,.F.); #268606=ORIENTED_EDGE('',*,*,#201168,.F.); #268607=ORIENTED_EDGE('',*,*,#201169,.T.); #268608=ORIENTED_EDGE('',*,*,#201168,.T.); #268609=ORIENTED_EDGE('',*,*,#201170,.F.); #268610=ORIENTED_EDGE('',*,*,#201171,.F.); #268611=ORIENTED_EDGE('',*,*,#201172,.T.); #268612=ORIENTED_EDGE('',*,*,#201171,.T.); #268613=ORIENTED_EDGE('',*,*,#201173,.F.); #268614=ORIENTED_EDGE('',*,*,#201174,.F.); #268615=ORIENTED_EDGE('',*,*,#201175,.T.); #268616=ORIENTED_EDGE('',*,*,#201174,.T.); #268617=ORIENTED_EDGE('',*,*,#201176,.F.); #268618=ORIENTED_EDGE('',*,*,#201177,.F.); #268619=ORIENTED_EDGE('',*,*,#201178,.T.); #268620=ORIENTED_EDGE('',*,*,#201177,.T.); #268621=ORIENTED_EDGE('',*,*,#201179,.F.); #268622=ORIENTED_EDGE('',*,*,#201180,.F.); #268623=ORIENTED_EDGE('',*,*,#201181,.T.); #268624=ORIENTED_EDGE('',*,*,#201180,.T.); #268625=ORIENTED_EDGE('',*,*,#201182,.F.); #268626=ORIENTED_EDGE('',*,*,#201183,.F.); #268627=ORIENTED_EDGE('',*,*,#201184,.T.); #268628=ORIENTED_EDGE('',*,*,#201183,.T.); #268629=ORIENTED_EDGE('',*,*,#201185,.F.); #268630=ORIENTED_EDGE('',*,*,#201186,.F.); #268631=ORIENTED_EDGE('',*,*,#201187,.T.); #268632=ORIENTED_EDGE('',*,*,#201186,.T.); #268633=ORIENTED_EDGE('',*,*,#201188,.F.); #268634=ORIENTED_EDGE('',*,*,#201189,.F.); #268635=ORIENTED_EDGE('',*,*,#201190,.T.); #268636=ORIENTED_EDGE('',*,*,#201189,.T.); #268637=ORIENTED_EDGE('',*,*,#201191,.F.); #268638=ORIENTED_EDGE('',*,*,#201192,.F.); #268639=ORIENTED_EDGE('',*,*,#201193,.T.); #268640=ORIENTED_EDGE('',*,*,#201192,.T.); #268641=ORIENTED_EDGE('',*,*,#201194,.F.); #268642=ORIENTED_EDGE('',*,*,#201195,.F.); #268643=ORIENTED_EDGE('',*,*,#201196,.T.); #268644=ORIENTED_EDGE('',*,*,#201195,.T.); #268645=ORIENTED_EDGE('',*,*,#201197,.F.); #268646=ORIENTED_EDGE('',*,*,#201198,.F.); #268647=ORIENTED_EDGE('',*,*,#201199,.T.); #268648=ORIENTED_EDGE('',*,*,#201198,.T.); #268649=ORIENTED_EDGE('',*,*,#201200,.F.); #268650=ORIENTED_EDGE('',*,*,#201201,.F.); #268651=ORIENTED_EDGE('',*,*,#201202,.T.); #268652=ORIENTED_EDGE('',*,*,#201201,.T.); #268653=ORIENTED_EDGE('',*,*,#201203,.F.); #268654=ORIENTED_EDGE('',*,*,#201204,.F.); #268655=ORIENTED_EDGE('',*,*,#201205,.T.); #268656=ORIENTED_EDGE('',*,*,#201204,.T.); #268657=ORIENTED_EDGE('',*,*,#201206,.F.); #268658=ORIENTED_EDGE('',*,*,#201207,.F.); #268659=ORIENTED_EDGE('',*,*,#201208,.T.); #268660=ORIENTED_EDGE('',*,*,#201207,.T.); #268661=ORIENTED_EDGE('',*,*,#201209,.F.); #268662=ORIENTED_EDGE('',*,*,#201210,.F.); #268663=ORIENTED_EDGE('',*,*,#201211,.T.); #268664=ORIENTED_EDGE('',*,*,#201210,.T.); #268665=ORIENTED_EDGE('',*,*,#201212,.F.); #268666=ORIENTED_EDGE('',*,*,#201213,.F.); #268667=ORIENTED_EDGE('',*,*,#201214,.T.); #268668=ORIENTED_EDGE('',*,*,#201213,.T.); #268669=ORIENTED_EDGE('',*,*,#201215,.F.); #268670=ORIENTED_EDGE('',*,*,#201216,.F.); #268671=ORIENTED_EDGE('',*,*,#201217,.T.); #268672=ORIENTED_EDGE('',*,*,#201216,.T.); #268673=ORIENTED_EDGE('',*,*,#201218,.F.); #268674=ORIENTED_EDGE('',*,*,#201219,.F.); #268675=ORIENTED_EDGE('',*,*,#201220,.T.); #268676=ORIENTED_EDGE('',*,*,#201219,.T.); #268677=ORIENTED_EDGE('',*,*,#201221,.F.); #268678=ORIENTED_EDGE('',*,*,#201222,.F.); #268679=ORIENTED_EDGE('',*,*,#201223,.T.); #268680=ORIENTED_EDGE('',*,*,#201222,.T.); #268681=ORIENTED_EDGE('',*,*,#201224,.F.); #268682=ORIENTED_EDGE('',*,*,#201225,.F.); #268683=ORIENTED_EDGE('',*,*,#201226,.T.); #268684=ORIENTED_EDGE('',*,*,#201225,.T.); #268685=ORIENTED_EDGE('',*,*,#201227,.F.); #268686=ORIENTED_EDGE('',*,*,#201228,.F.); #268687=ORIENTED_EDGE('',*,*,#201229,.T.); #268688=ORIENTED_EDGE('',*,*,#201228,.T.); #268689=ORIENTED_EDGE('',*,*,#201230,.F.); #268690=ORIENTED_EDGE('',*,*,#201231,.F.); #268691=ORIENTED_EDGE('',*,*,#201232,.T.); #268692=ORIENTED_EDGE('',*,*,#201231,.T.); #268693=ORIENTED_EDGE('',*,*,#201233,.F.); #268694=ORIENTED_EDGE('',*,*,#201234,.F.); #268695=ORIENTED_EDGE('',*,*,#201235,.T.); #268696=ORIENTED_EDGE('',*,*,#201234,.T.); #268697=ORIENTED_EDGE('',*,*,#201236,.F.); #268698=ORIENTED_EDGE('',*,*,#201237,.F.); #268699=ORIENTED_EDGE('',*,*,#201238,.T.); #268700=ORIENTED_EDGE('',*,*,#201237,.T.); #268701=ORIENTED_EDGE('',*,*,#201239,.F.); #268702=ORIENTED_EDGE('',*,*,#201240,.F.); #268703=ORIENTED_EDGE('',*,*,#201241,.T.); #268704=ORIENTED_EDGE('',*,*,#201240,.T.); #268705=ORIENTED_EDGE('',*,*,#201242,.F.); #268706=ORIENTED_EDGE('',*,*,#201243,.F.); #268707=ORIENTED_EDGE('',*,*,#201244,.T.); #268708=ORIENTED_EDGE('',*,*,#201243,.T.); #268709=ORIENTED_EDGE('',*,*,#201245,.F.); #268710=ORIENTED_EDGE('',*,*,#201246,.F.); #268711=ORIENTED_EDGE('',*,*,#201247,.T.); #268712=ORIENTED_EDGE('',*,*,#201246,.T.); #268713=ORIENTED_EDGE('',*,*,#201248,.F.); #268714=ORIENTED_EDGE('',*,*,#201249,.F.); #268715=ORIENTED_EDGE('',*,*,#201250,.T.); #268716=ORIENTED_EDGE('',*,*,#201249,.T.); #268717=ORIENTED_EDGE('',*,*,#201251,.F.); #268718=ORIENTED_EDGE('',*,*,#201252,.F.); #268719=ORIENTED_EDGE('',*,*,#201253,.T.); #268720=ORIENTED_EDGE('',*,*,#201252,.T.); #268721=ORIENTED_EDGE('',*,*,#201254,.F.); #268722=ORIENTED_EDGE('',*,*,#201255,.F.); #268723=ORIENTED_EDGE('',*,*,#201256,.T.); #268724=ORIENTED_EDGE('',*,*,#201255,.T.); #268725=ORIENTED_EDGE('',*,*,#201257,.F.); #268726=ORIENTED_EDGE('',*,*,#201258,.F.); #268727=ORIENTED_EDGE('',*,*,#201259,.T.); #268728=ORIENTED_EDGE('',*,*,#201258,.T.); #268729=ORIENTED_EDGE('',*,*,#201260,.F.); #268730=ORIENTED_EDGE('',*,*,#201261,.F.); #268731=ORIENTED_EDGE('',*,*,#201262,.T.); #268732=ORIENTED_EDGE('',*,*,#201261,.T.); #268733=ORIENTED_EDGE('',*,*,#201263,.F.); #268734=ORIENTED_EDGE('',*,*,#201264,.F.); #268735=ORIENTED_EDGE('',*,*,#201265,.T.); #268736=ORIENTED_EDGE('',*,*,#201264,.T.); #268737=ORIENTED_EDGE('',*,*,#201266,.F.); #268738=ORIENTED_EDGE('',*,*,#201028,.F.); #268739=ORIENTED_EDGE('',*,*,#201266,.T.); #268740=ORIENTED_EDGE('',*,*,#201263,.T.); #268741=ORIENTED_EDGE('',*,*,#201260,.T.); #268742=ORIENTED_EDGE('',*,*,#201257,.T.); #268743=ORIENTED_EDGE('',*,*,#201254,.T.); #268744=ORIENTED_EDGE('',*,*,#201251,.T.); #268745=ORIENTED_EDGE('',*,*,#201248,.T.); #268746=ORIENTED_EDGE('',*,*,#201245,.T.); #268747=ORIENTED_EDGE('',*,*,#201242,.T.); #268748=ORIENTED_EDGE('',*,*,#201239,.T.); #268749=ORIENTED_EDGE('',*,*,#201236,.T.); #268750=ORIENTED_EDGE('',*,*,#201233,.T.); #268751=ORIENTED_EDGE('',*,*,#201230,.T.); #268752=ORIENTED_EDGE('',*,*,#201227,.T.); #268753=ORIENTED_EDGE('',*,*,#201224,.T.); #268754=ORIENTED_EDGE('',*,*,#201221,.T.); #268755=ORIENTED_EDGE('',*,*,#201218,.T.); #268756=ORIENTED_EDGE('',*,*,#201215,.T.); #268757=ORIENTED_EDGE('',*,*,#201212,.T.); #268758=ORIENTED_EDGE('',*,*,#201209,.T.); #268759=ORIENTED_EDGE('',*,*,#201206,.T.); #268760=ORIENTED_EDGE('',*,*,#201203,.T.); #268761=ORIENTED_EDGE('',*,*,#201200,.T.); #268762=ORIENTED_EDGE('',*,*,#201197,.T.); #268763=ORIENTED_EDGE('',*,*,#201194,.T.); #268764=ORIENTED_EDGE('',*,*,#201191,.T.); #268765=ORIENTED_EDGE('',*,*,#201188,.T.); #268766=ORIENTED_EDGE('',*,*,#201185,.T.); #268767=ORIENTED_EDGE('',*,*,#201182,.T.); #268768=ORIENTED_EDGE('',*,*,#201179,.T.); #268769=ORIENTED_EDGE('',*,*,#201176,.T.); #268770=ORIENTED_EDGE('',*,*,#201173,.T.); #268771=ORIENTED_EDGE('',*,*,#201170,.T.); #268772=ORIENTED_EDGE('',*,*,#201167,.T.); #268773=ORIENTED_EDGE('',*,*,#201164,.T.); #268774=ORIENTED_EDGE('',*,*,#201161,.T.); #268775=ORIENTED_EDGE('',*,*,#201158,.T.); #268776=ORIENTED_EDGE('',*,*,#201155,.T.); #268777=ORIENTED_EDGE('',*,*,#201152,.T.); #268778=ORIENTED_EDGE('',*,*,#201149,.T.); #268779=ORIENTED_EDGE('',*,*,#201146,.T.); #268780=ORIENTED_EDGE('',*,*,#201143,.T.); #268781=ORIENTED_EDGE('',*,*,#201140,.T.); #268782=ORIENTED_EDGE('',*,*,#201137,.T.); #268783=ORIENTED_EDGE('',*,*,#201134,.T.); #268784=ORIENTED_EDGE('',*,*,#201131,.T.); #268785=ORIENTED_EDGE('',*,*,#201128,.T.); #268786=ORIENTED_EDGE('',*,*,#201125,.T.); #268787=ORIENTED_EDGE('',*,*,#201122,.T.); #268788=ORIENTED_EDGE('',*,*,#201119,.T.); #268789=ORIENTED_EDGE('',*,*,#201116,.T.); #268790=ORIENTED_EDGE('',*,*,#201113,.T.); #268791=ORIENTED_EDGE('',*,*,#201110,.T.); #268792=ORIENTED_EDGE('',*,*,#201107,.T.); #268793=ORIENTED_EDGE('',*,*,#201104,.T.); #268794=ORIENTED_EDGE('',*,*,#201101,.T.); #268795=ORIENTED_EDGE('',*,*,#201098,.T.); #268796=ORIENTED_EDGE('',*,*,#201095,.T.); #268797=ORIENTED_EDGE('',*,*,#201092,.T.); #268798=ORIENTED_EDGE('',*,*,#201089,.T.); #268799=ORIENTED_EDGE('',*,*,#201086,.T.); #268800=ORIENTED_EDGE('',*,*,#201083,.T.); #268801=ORIENTED_EDGE('',*,*,#201080,.T.); #268802=ORIENTED_EDGE('',*,*,#201077,.T.); #268803=ORIENTED_EDGE('',*,*,#201074,.T.); #268804=ORIENTED_EDGE('',*,*,#201071,.T.); #268805=ORIENTED_EDGE('',*,*,#201068,.T.); #268806=ORIENTED_EDGE('',*,*,#201065,.T.); #268807=ORIENTED_EDGE('',*,*,#201062,.T.); #268808=ORIENTED_EDGE('',*,*,#201059,.T.); #268809=ORIENTED_EDGE('',*,*,#201056,.T.); #268810=ORIENTED_EDGE('',*,*,#201053,.T.); #268811=ORIENTED_EDGE('',*,*,#201050,.T.); #268812=ORIENTED_EDGE('',*,*,#201047,.T.); #268813=ORIENTED_EDGE('',*,*,#201044,.T.); #268814=ORIENTED_EDGE('',*,*,#201041,.T.); #268815=ORIENTED_EDGE('',*,*,#201038,.T.); #268816=ORIENTED_EDGE('',*,*,#201035,.T.); #268817=ORIENTED_EDGE('',*,*,#201032,.T.); #268818=ORIENTED_EDGE('',*,*,#201029,.T.); #268819=ORIENTED_EDGE('',*,*,#200936,.T.); #268820=ORIENTED_EDGE('',*,*,#200939,.T.); #268821=ORIENTED_EDGE('',*,*,#200942,.T.); #268822=ORIENTED_EDGE('',*,*,#200945,.T.); #268823=ORIENTED_EDGE('',*,*,#200948,.T.); #268824=ORIENTED_EDGE('',*,*,#200951,.T.); #268825=ORIENTED_EDGE('',*,*,#200954,.T.); #268826=ORIENTED_EDGE('',*,*,#200957,.T.); #268827=ORIENTED_EDGE('',*,*,#200960,.T.); #268828=ORIENTED_EDGE('',*,*,#200963,.T.); #268829=ORIENTED_EDGE('',*,*,#200966,.T.); #268830=ORIENTED_EDGE('',*,*,#200969,.T.); #268831=ORIENTED_EDGE('',*,*,#200972,.T.); #268832=ORIENTED_EDGE('',*,*,#200975,.T.); #268833=ORIENTED_EDGE('',*,*,#200978,.T.); #268834=ORIENTED_EDGE('',*,*,#200981,.T.); #268835=ORIENTED_EDGE('',*,*,#200984,.T.); #268836=ORIENTED_EDGE('',*,*,#200987,.T.); #268837=ORIENTED_EDGE('',*,*,#200990,.T.); #268838=ORIENTED_EDGE('',*,*,#200993,.T.); #268839=ORIENTED_EDGE('',*,*,#200996,.T.); #268840=ORIENTED_EDGE('',*,*,#200999,.T.); #268841=ORIENTED_EDGE('',*,*,#201002,.T.); #268842=ORIENTED_EDGE('',*,*,#201005,.T.); #268843=ORIENTED_EDGE('',*,*,#201008,.T.); #268844=ORIENTED_EDGE('',*,*,#201011,.T.); #268845=ORIENTED_EDGE('',*,*,#201014,.T.); #268846=ORIENTED_EDGE('',*,*,#201017,.T.); #268847=ORIENTED_EDGE('',*,*,#201020,.T.); #268848=ORIENTED_EDGE('',*,*,#201023,.T.); #268849=ORIENTED_EDGE('',*,*,#201026,.T.); #268850=ORIENTED_EDGE('',*,*,#201265,.F.); #268851=ORIENTED_EDGE('',*,*,#201027,.F.); #268852=ORIENTED_EDGE('',*,*,#201031,.F.); #268853=ORIENTED_EDGE('',*,*,#201034,.F.); #268854=ORIENTED_EDGE('',*,*,#201037,.F.); #268855=ORIENTED_EDGE('',*,*,#201040,.F.); #268856=ORIENTED_EDGE('',*,*,#201043,.F.); #268857=ORIENTED_EDGE('',*,*,#201046,.F.); #268858=ORIENTED_EDGE('',*,*,#201049,.F.); #268859=ORIENTED_EDGE('',*,*,#201052,.F.); #268860=ORIENTED_EDGE('',*,*,#201055,.F.); #268861=ORIENTED_EDGE('',*,*,#201058,.F.); #268862=ORIENTED_EDGE('',*,*,#201061,.F.); #268863=ORIENTED_EDGE('',*,*,#201064,.F.); #268864=ORIENTED_EDGE('',*,*,#201067,.F.); #268865=ORIENTED_EDGE('',*,*,#201070,.F.); #268866=ORIENTED_EDGE('',*,*,#201073,.F.); #268867=ORIENTED_EDGE('',*,*,#201076,.F.); #268868=ORIENTED_EDGE('',*,*,#201079,.F.); #268869=ORIENTED_EDGE('',*,*,#201082,.F.); #268870=ORIENTED_EDGE('',*,*,#201085,.F.); #268871=ORIENTED_EDGE('',*,*,#201088,.F.); #268872=ORIENTED_EDGE('',*,*,#201091,.F.); #268873=ORIENTED_EDGE('',*,*,#201094,.F.); #268874=ORIENTED_EDGE('',*,*,#201097,.F.); #268875=ORIENTED_EDGE('',*,*,#201100,.F.); #268876=ORIENTED_EDGE('',*,*,#201103,.F.); #268877=ORIENTED_EDGE('',*,*,#201106,.F.); #268878=ORIENTED_EDGE('',*,*,#201109,.F.); #268879=ORIENTED_EDGE('',*,*,#201112,.F.); #268880=ORIENTED_EDGE('',*,*,#201115,.F.); #268881=ORIENTED_EDGE('',*,*,#201118,.F.); #268882=ORIENTED_EDGE('',*,*,#201121,.F.); #268883=ORIENTED_EDGE('',*,*,#201124,.F.); #268884=ORIENTED_EDGE('',*,*,#201127,.F.); #268885=ORIENTED_EDGE('',*,*,#201130,.F.); #268886=ORIENTED_EDGE('',*,*,#201133,.F.); #268887=ORIENTED_EDGE('',*,*,#201136,.F.); #268888=ORIENTED_EDGE('',*,*,#201139,.F.); #268889=ORIENTED_EDGE('',*,*,#201142,.F.); #268890=ORIENTED_EDGE('',*,*,#201145,.F.); #268891=ORIENTED_EDGE('',*,*,#201148,.F.); #268892=ORIENTED_EDGE('',*,*,#201151,.F.); #268893=ORIENTED_EDGE('',*,*,#201154,.F.); #268894=ORIENTED_EDGE('',*,*,#201157,.F.); #268895=ORIENTED_EDGE('',*,*,#201160,.F.); #268896=ORIENTED_EDGE('',*,*,#201163,.F.); #268897=ORIENTED_EDGE('',*,*,#201166,.F.); #268898=ORIENTED_EDGE('',*,*,#201169,.F.); #268899=ORIENTED_EDGE('',*,*,#201172,.F.); #268900=ORIENTED_EDGE('',*,*,#201175,.F.); #268901=ORIENTED_EDGE('',*,*,#201178,.F.); #268902=ORIENTED_EDGE('',*,*,#201181,.F.); #268903=ORIENTED_EDGE('',*,*,#201184,.F.); #268904=ORIENTED_EDGE('',*,*,#201187,.F.); #268905=ORIENTED_EDGE('',*,*,#201190,.F.); #268906=ORIENTED_EDGE('',*,*,#201193,.F.); #268907=ORIENTED_EDGE('',*,*,#201196,.F.); #268908=ORIENTED_EDGE('',*,*,#201199,.F.); #268909=ORIENTED_EDGE('',*,*,#201202,.F.); #268910=ORIENTED_EDGE('',*,*,#201205,.F.); #268911=ORIENTED_EDGE('',*,*,#201208,.F.); #268912=ORIENTED_EDGE('',*,*,#201211,.F.); #268913=ORIENTED_EDGE('',*,*,#201214,.F.); #268914=ORIENTED_EDGE('',*,*,#201217,.F.); #268915=ORIENTED_EDGE('',*,*,#201220,.F.); #268916=ORIENTED_EDGE('',*,*,#201223,.F.); #268917=ORIENTED_EDGE('',*,*,#201226,.F.); #268918=ORIENTED_EDGE('',*,*,#201229,.F.); #268919=ORIENTED_EDGE('',*,*,#201232,.F.); #268920=ORIENTED_EDGE('',*,*,#201235,.F.); #268921=ORIENTED_EDGE('',*,*,#201238,.F.); #268922=ORIENTED_EDGE('',*,*,#201241,.F.); #268923=ORIENTED_EDGE('',*,*,#201244,.F.); #268924=ORIENTED_EDGE('',*,*,#201247,.F.); #268925=ORIENTED_EDGE('',*,*,#201250,.F.); #268926=ORIENTED_EDGE('',*,*,#201253,.F.); #268927=ORIENTED_EDGE('',*,*,#201256,.F.); #268928=ORIENTED_EDGE('',*,*,#201259,.F.); #268929=ORIENTED_EDGE('',*,*,#201262,.F.); #268930=ORIENTED_EDGE('',*,*,#200934,.T.); #268931=ORIENTED_EDGE('',*,*,#200937,.T.); #268932=ORIENTED_EDGE('',*,*,#200940,.T.); #268933=ORIENTED_EDGE('',*,*,#200943,.T.); #268934=ORIENTED_EDGE('',*,*,#200946,.T.); #268935=ORIENTED_EDGE('',*,*,#200949,.T.); #268936=ORIENTED_EDGE('',*,*,#200952,.T.); #268937=ORIENTED_EDGE('',*,*,#200955,.T.); #268938=ORIENTED_EDGE('',*,*,#200958,.T.); #268939=ORIENTED_EDGE('',*,*,#200961,.T.); #268940=ORIENTED_EDGE('',*,*,#200964,.T.); #268941=ORIENTED_EDGE('',*,*,#200967,.T.); #268942=ORIENTED_EDGE('',*,*,#200970,.T.); #268943=ORIENTED_EDGE('',*,*,#200973,.T.); #268944=ORIENTED_EDGE('',*,*,#200976,.T.); #268945=ORIENTED_EDGE('',*,*,#200979,.T.); #268946=ORIENTED_EDGE('',*,*,#200982,.T.); #268947=ORIENTED_EDGE('',*,*,#200985,.T.); #268948=ORIENTED_EDGE('',*,*,#200988,.T.); #268949=ORIENTED_EDGE('',*,*,#200991,.T.); #268950=ORIENTED_EDGE('',*,*,#200994,.T.); #268951=ORIENTED_EDGE('',*,*,#200997,.T.); #268952=ORIENTED_EDGE('',*,*,#201000,.T.); #268953=ORIENTED_EDGE('',*,*,#201003,.T.); #268954=ORIENTED_EDGE('',*,*,#201006,.T.); #268955=ORIENTED_EDGE('',*,*,#201009,.T.); #268956=ORIENTED_EDGE('',*,*,#201012,.T.); #268957=ORIENTED_EDGE('',*,*,#201015,.T.); #268958=ORIENTED_EDGE('',*,*,#201018,.T.); #268959=ORIENTED_EDGE('',*,*,#201021,.T.); #268960=ORIENTED_EDGE('',*,*,#201024,.T.); #268961=ORIENTED_EDGE('',*,*,#201267,.F.); #268962=ORIENTED_EDGE('',*,*,#201268,.T.); #268963=ORIENTED_EDGE('',*,*,#201269,.F.); #268964=ORIENTED_EDGE('',*,*,#201268,.F.); #268965=ORIENTED_EDGE('',*,*,#201270,.T.); #268966=ORIENTED_EDGE('',*,*,#201271,.T.); #268967=ORIENTED_EDGE('',*,*,#201272,.F.); #268968=ORIENTED_EDGE('',*,*,#201273,.F.); #268969=ORIENTED_EDGE('',*,*,#201274,.T.); #268970=ORIENTED_EDGE('',*,*,#201273,.T.); #268971=ORIENTED_EDGE('',*,*,#201275,.F.); #268972=ORIENTED_EDGE('',*,*,#201276,.F.); #268973=ORIENTED_EDGE('',*,*,#201277,.T.); #268974=ORIENTED_EDGE('',*,*,#201276,.T.); #268975=ORIENTED_EDGE('',*,*,#201278,.F.); #268976=ORIENTED_EDGE('',*,*,#201279,.F.); #268977=ORIENTED_EDGE('',*,*,#201280,.T.); #268978=ORIENTED_EDGE('',*,*,#201279,.T.); #268979=ORIENTED_EDGE('',*,*,#201281,.F.); #268980=ORIENTED_EDGE('',*,*,#201282,.F.); #268981=ORIENTED_EDGE('',*,*,#201283,.T.); #268982=ORIENTED_EDGE('',*,*,#201282,.T.); #268983=ORIENTED_EDGE('',*,*,#201284,.F.); #268984=ORIENTED_EDGE('',*,*,#201285,.F.); #268985=ORIENTED_EDGE('',*,*,#201286,.T.); #268986=ORIENTED_EDGE('',*,*,#201285,.T.); #268987=ORIENTED_EDGE('',*,*,#201287,.F.); #268988=ORIENTED_EDGE('',*,*,#201288,.F.); #268989=ORIENTED_EDGE('',*,*,#201289,.T.); #268990=ORIENTED_EDGE('',*,*,#201288,.T.); #268991=ORIENTED_EDGE('',*,*,#201290,.F.); #268992=ORIENTED_EDGE('',*,*,#201291,.F.); #268993=ORIENTED_EDGE('',*,*,#201292,.T.); #268994=ORIENTED_EDGE('',*,*,#201291,.T.); #268995=ORIENTED_EDGE('',*,*,#201293,.F.); #268996=ORIENTED_EDGE('',*,*,#201294,.F.); #268997=ORIENTED_EDGE('',*,*,#201295,.T.); #268998=ORIENTED_EDGE('',*,*,#201294,.T.); #268999=ORIENTED_EDGE('',*,*,#201296,.F.); #269000=ORIENTED_EDGE('',*,*,#201297,.F.); #269001=ORIENTED_EDGE('',*,*,#201298,.T.); #269002=ORIENTED_EDGE('',*,*,#201297,.T.); #269003=ORIENTED_EDGE('',*,*,#201299,.F.); #269004=ORIENTED_EDGE('',*,*,#201300,.F.); #269005=ORIENTED_EDGE('',*,*,#201301,.T.); #269006=ORIENTED_EDGE('',*,*,#201300,.T.); #269007=ORIENTED_EDGE('',*,*,#201302,.F.); #269008=ORIENTED_EDGE('',*,*,#201303,.F.); #269009=ORIENTED_EDGE('',*,*,#201304,.T.); #269010=ORIENTED_EDGE('',*,*,#201303,.T.); #269011=ORIENTED_EDGE('',*,*,#201305,.F.); #269012=ORIENTED_EDGE('',*,*,#201306,.F.); #269013=ORIENTED_EDGE('',*,*,#201307,.T.); #269014=ORIENTED_EDGE('',*,*,#201306,.T.); #269015=ORIENTED_EDGE('',*,*,#201308,.F.); #269016=ORIENTED_EDGE('',*,*,#201309,.F.); #269017=ORIENTED_EDGE('',*,*,#201310,.T.); #269018=ORIENTED_EDGE('',*,*,#201309,.T.); #269019=ORIENTED_EDGE('',*,*,#201311,.F.); #269020=ORIENTED_EDGE('',*,*,#201312,.F.); #269021=ORIENTED_EDGE('',*,*,#201313,.T.); #269022=ORIENTED_EDGE('',*,*,#201312,.T.); #269023=ORIENTED_EDGE('',*,*,#201314,.F.); #269024=ORIENTED_EDGE('',*,*,#201315,.F.); #269025=ORIENTED_EDGE('',*,*,#201316,.T.); #269026=ORIENTED_EDGE('',*,*,#201315,.T.); #269027=ORIENTED_EDGE('',*,*,#201317,.F.); #269028=ORIENTED_EDGE('',*,*,#201318,.F.); #269029=ORIENTED_EDGE('',*,*,#201319,.T.); #269030=ORIENTED_EDGE('',*,*,#201318,.T.); #269031=ORIENTED_EDGE('',*,*,#201320,.F.); #269032=ORIENTED_EDGE('',*,*,#201321,.F.); #269033=ORIENTED_EDGE('',*,*,#201322,.T.); #269034=ORIENTED_EDGE('',*,*,#201321,.T.); #269035=ORIENTED_EDGE('',*,*,#201323,.F.); #269036=ORIENTED_EDGE('',*,*,#201324,.F.); #269037=ORIENTED_EDGE('',*,*,#201325,.T.); #269038=ORIENTED_EDGE('',*,*,#201324,.T.); #269039=ORIENTED_EDGE('',*,*,#201326,.F.); #269040=ORIENTED_EDGE('',*,*,#201327,.F.); #269041=ORIENTED_EDGE('',*,*,#201328,.T.); #269042=ORIENTED_EDGE('',*,*,#201327,.T.); #269043=ORIENTED_EDGE('',*,*,#201329,.F.); #269044=ORIENTED_EDGE('',*,*,#201330,.F.); #269045=ORIENTED_EDGE('',*,*,#201331,.T.); #269046=ORIENTED_EDGE('',*,*,#201330,.T.); #269047=ORIENTED_EDGE('',*,*,#201332,.F.); #269048=ORIENTED_EDGE('',*,*,#201271,.F.); #269049=ORIENTED_EDGE('',*,*,#201332,.T.); #269050=ORIENTED_EDGE('',*,*,#201329,.T.); #269051=ORIENTED_EDGE('',*,*,#201326,.T.); #269052=ORIENTED_EDGE('',*,*,#201323,.T.); #269053=ORIENTED_EDGE('',*,*,#201320,.T.); #269054=ORIENTED_EDGE('',*,*,#201317,.T.); #269055=ORIENTED_EDGE('',*,*,#201314,.T.); #269056=ORIENTED_EDGE('',*,*,#201311,.T.); #269057=ORIENTED_EDGE('',*,*,#201308,.T.); #269058=ORIENTED_EDGE('',*,*,#201305,.T.); #269059=ORIENTED_EDGE('',*,*,#201302,.T.); #269060=ORIENTED_EDGE('',*,*,#201299,.T.); #269061=ORIENTED_EDGE('',*,*,#201296,.T.); #269062=ORIENTED_EDGE('',*,*,#201293,.T.); #269063=ORIENTED_EDGE('',*,*,#201290,.T.); #269064=ORIENTED_EDGE('',*,*,#201287,.T.); #269065=ORIENTED_EDGE('',*,*,#201284,.T.); #269066=ORIENTED_EDGE('',*,*,#201281,.T.); #269067=ORIENTED_EDGE('',*,*,#201278,.T.); #269068=ORIENTED_EDGE('',*,*,#201275,.T.); #269069=ORIENTED_EDGE('',*,*,#201272,.T.); #269070=ORIENTED_EDGE('',*,*,#201269,.T.); #269071=ORIENTED_EDGE('',*,*,#201331,.F.); #269072=ORIENTED_EDGE('',*,*,#201270,.F.); #269073=ORIENTED_EDGE('',*,*,#201274,.F.); #269074=ORIENTED_EDGE('',*,*,#201277,.F.); #269075=ORIENTED_EDGE('',*,*,#201280,.F.); #269076=ORIENTED_EDGE('',*,*,#201283,.F.); #269077=ORIENTED_EDGE('',*,*,#201286,.F.); #269078=ORIENTED_EDGE('',*,*,#201289,.F.); #269079=ORIENTED_EDGE('',*,*,#201292,.F.); #269080=ORIENTED_EDGE('',*,*,#201295,.F.); #269081=ORIENTED_EDGE('',*,*,#201298,.F.); #269082=ORIENTED_EDGE('',*,*,#201301,.F.); #269083=ORIENTED_EDGE('',*,*,#201304,.F.); #269084=ORIENTED_EDGE('',*,*,#201307,.F.); #269085=ORIENTED_EDGE('',*,*,#201310,.F.); #269086=ORIENTED_EDGE('',*,*,#201313,.F.); #269087=ORIENTED_EDGE('',*,*,#201316,.F.); #269088=ORIENTED_EDGE('',*,*,#201319,.F.); #269089=ORIENTED_EDGE('',*,*,#201322,.F.); #269090=ORIENTED_EDGE('',*,*,#201325,.F.); #269091=ORIENTED_EDGE('',*,*,#201328,.F.); #269092=ORIENTED_EDGE('',*,*,#201267,.T.); #269093=ORIENTED_EDGE('',*,*,#201333,.F.); #269094=ORIENTED_EDGE('',*,*,#201334,.T.); #269095=ORIENTED_EDGE('',*,*,#201335,.F.); #269096=ORIENTED_EDGE('',*,*,#201334,.F.); #269097=ORIENTED_EDGE('',*,*,#201336,.F.); #269098=ORIENTED_EDGE('',*,*,#201337,.T.); #269099=ORIENTED_EDGE('',*,*,#201338,.F.); #269100=ORIENTED_EDGE('',*,*,#201337,.F.); #269101=ORIENTED_EDGE('',*,*,#201339,.F.); #269102=ORIENTED_EDGE('',*,*,#201340,.T.); #269103=ORIENTED_EDGE('',*,*,#201341,.F.); #269104=ORIENTED_EDGE('',*,*,#201340,.F.); #269105=ORIENTED_EDGE('',*,*,#201342,.F.); #269106=ORIENTED_EDGE('',*,*,#201343,.T.); #269107=ORIENTED_EDGE('',*,*,#201344,.F.); #269108=ORIENTED_EDGE('',*,*,#201343,.F.); #269109=ORIENTED_EDGE('',*,*,#201345,.F.); #269110=ORIENTED_EDGE('',*,*,#201346,.T.); #269111=ORIENTED_EDGE('',*,*,#201347,.F.); #269112=ORIENTED_EDGE('',*,*,#201346,.F.); #269113=ORIENTED_EDGE('',*,*,#201348,.F.); #269114=ORIENTED_EDGE('',*,*,#201349,.T.); #269115=ORIENTED_EDGE('',*,*,#201350,.F.); #269116=ORIENTED_EDGE('',*,*,#201349,.F.); #269117=ORIENTED_EDGE('',*,*,#201351,.F.); #269118=ORIENTED_EDGE('',*,*,#201352,.T.); #269119=ORIENTED_EDGE('',*,*,#201353,.F.); #269120=ORIENTED_EDGE('',*,*,#201352,.F.); #269121=ORIENTED_EDGE('',*,*,#201354,.F.); #269122=ORIENTED_EDGE('',*,*,#201355,.T.); #269123=ORIENTED_EDGE('',*,*,#201356,.F.); #269124=ORIENTED_EDGE('',*,*,#201355,.F.); #269125=ORIENTED_EDGE('',*,*,#201357,.F.); #269126=ORIENTED_EDGE('',*,*,#201358,.T.); #269127=ORIENTED_EDGE('',*,*,#201359,.F.); #269128=ORIENTED_EDGE('',*,*,#201358,.F.); #269129=ORIENTED_EDGE('',*,*,#201360,.F.); #269130=ORIENTED_EDGE('',*,*,#201361,.T.); #269131=ORIENTED_EDGE('',*,*,#201362,.F.); #269132=ORIENTED_EDGE('',*,*,#201361,.F.); #269133=ORIENTED_EDGE('',*,*,#201363,.F.); #269134=ORIENTED_EDGE('',*,*,#201364,.T.); #269135=ORIENTED_EDGE('',*,*,#201365,.F.); #269136=ORIENTED_EDGE('',*,*,#201364,.F.); #269137=ORIENTED_EDGE('',*,*,#201366,.F.); #269138=ORIENTED_EDGE('',*,*,#201367,.T.); #269139=ORIENTED_EDGE('',*,*,#201368,.F.); #269140=ORIENTED_EDGE('',*,*,#201367,.F.); #269141=ORIENTED_EDGE('',*,*,#201369,.F.); #269142=ORIENTED_EDGE('',*,*,#201370,.T.); #269143=ORIENTED_EDGE('',*,*,#201371,.F.); #269144=ORIENTED_EDGE('',*,*,#201370,.F.); #269145=ORIENTED_EDGE('',*,*,#201372,.F.); #269146=ORIENTED_EDGE('',*,*,#201373,.T.); #269147=ORIENTED_EDGE('',*,*,#201374,.F.); #269148=ORIENTED_EDGE('',*,*,#201373,.F.); #269149=ORIENTED_EDGE('',*,*,#201375,.F.); #269150=ORIENTED_EDGE('',*,*,#201376,.T.); #269151=ORIENTED_EDGE('',*,*,#201377,.F.); #269152=ORIENTED_EDGE('',*,*,#201376,.F.); #269153=ORIENTED_EDGE('',*,*,#201378,.F.); #269154=ORIENTED_EDGE('',*,*,#201379,.T.); #269155=ORIENTED_EDGE('',*,*,#201380,.F.); #269156=ORIENTED_EDGE('',*,*,#201379,.F.); #269157=ORIENTED_EDGE('',*,*,#201381,.T.); #269158=ORIENTED_EDGE('',*,*,#201382,.T.); #269159=ORIENTED_EDGE('',*,*,#201383,.F.); #269160=ORIENTED_EDGE('',*,*,#201384,.F.); #269161=ORIENTED_EDGE('',*,*,#201385,.T.); #269162=ORIENTED_EDGE('',*,*,#201384,.T.); #269163=ORIENTED_EDGE('',*,*,#201386,.F.); #269164=ORIENTED_EDGE('',*,*,#201387,.F.); #269165=ORIENTED_EDGE('',*,*,#201388,.T.); #269166=ORIENTED_EDGE('',*,*,#201387,.T.); #269167=ORIENTED_EDGE('',*,*,#201389,.F.); #269168=ORIENTED_EDGE('',*,*,#201390,.F.); #269169=ORIENTED_EDGE('',*,*,#201391,.T.); #269170=ORIENTED_EDGE('',*,*,#201390,.T.); #269171=ORIENTED_EDGE('',*,*,#201392,.F.); #269172=ORIENTED_EDGE('',*,*,#201393,.F.); #269173=ORIENTED_EDGE('',*,*,#201394,.T.); #269174=ORIENTED_EDGE('',*,*,#201393,.T.); #269175=ORIENTED_EDGE('',*,*,#201395,.F.); #269176=ORIENTED_EDGE('',*,*,#201396,.F.); #269177=ORIENTED_EDGE('',*,*,#201397,.T.); #269178=ORIENTED_EDGE('',*,*,#201396,.T.); #269179=ORIENTED_EDGE('',*,*,#201398,.F.); #269180=ORIENTED_EDGE('',*,*,#201399,.F.); #269181=ORIENTED_EDGE('',*,*,#201400,.T.); #269182=ORIENTED_EDGE('',*,*,#201399,.T.); #269183=ORIENTED_EDGE('',*,*,#201401,.F.); #269184=ORIENTED_EDGE('',*,*,#201402,.F.); #269185=ORIENTED_EDGE('',*,*,#201403,.T.); #269186=ORIENTED_EDGE('',*,*,#201402,.T.); #269187=ORIENTED_EDGE('',*,*,#201404,.F.); #269188=ORIENTED_EDGE('',*,*,#201405,.F.); #269189=ORIENTED_EDGE('',*,*,#201406,.T.); #269190=ORIENTED_EDGE('',*,*,#201405,.T.); #269191=ORIENTED_EDGE('',*,*,#201407,.F.); #269192=ORIENTED_EDGE('',*,*,#201408,.F.); #269193=ORIENTED_EDGE('',*,*,#201409,.T.); #269194=ORIENTED_EDGE('',*,*,#201408,.T.); #269195=ORIENTED_EDGE('',*,*,#201410,.F.); #269196=ORIENTED_EDGE('',*,*,#201411,.F.); #269197=ORIENTED_EDGE('',*,*,#201412,.T.); #269198=ORIENTED_EDGE('',*,*,#201411,.T.); #269199=ORIENTED_EDGE('',*,*,#201413,.F.); #269200=ORIENTED_EDGE('',*,*,#201414,.F.); #269201=ORIENTED_EDGE('',*,*,#201415,.T.); #269202=ORIENTED_EDGE('',*,*,#201414,.T.); #269203=ORIENTED_EDGE('',*,*,#201416,.F.); #269204=ORIENTED_EDGE('',*,*,#201417,.F.); #269205=ORIENTED_EDGE('',*,*,#201418,.T.); #269206=ORIENTED_EDGE('',*,*,#201417,.T.); #269207=ORIENTED_EDGE('',*,*,#201419,.F.); #269208=ORIENTED_EDGE('',*,*,#201420,.F.); #269209=ORIENTED_EDGE('',*,*,#201421,.T.); #269210=ORIENTED_EDGE('',*,*,#201420,.T.); #269211=ORIENTED_EDGE('',*,*,#201422,.F.); #269212=ORIENTED_EDGE('',*,*,#201423,.F.); #269213=ORIENTED_EDGE('',*,*,#201424,.T.); #269214=ORIENTED_EDGE('',*,*,#201423,.T.); #269215=ORIENTED_EDGE('',*,*,#201425,.F.); #269216=ORIENTED_EDGE('',*,*,#201426,.F.); #269217=ORIENTED_EDGE('',*,*,#201427,.T.); #269218=ORIENTED_EDGE('',*,*,#201426,.T.); #269219=ORIENTED_EDGE('',*,*,#201428,.F.); #269220=ORIENTED_EDGE('',*,*,#201429,.F.); #269221=ORIENTED_EDGE('',*,*,#201430,.T.); #269222=ORIENTED_EDGE('',*,*,#201429,.T.); #269223=ORIENTED_EDGE('',*,*,#201431,.F.); #269224=ORIENTED_EDGE('',*,*,#201432,.F.); #269225=ORIENTED_EDGE('',*,*,#201433,.T.); #269226=ORIENTED_EDGE('',*,*,#201432,.T.); #269227=ORIENTED_EDGE('',*,*,#201434,.F.); #269228=ORIENTED_EDGE('',*,*,#201435,.F.); #269229=ORIENTED_EDGE('',*,*,#201436,.T.); #269230=ORIENTED_EDGE('',*,*,#201435,.T.); #269231=ORIENTED_EDGE('',*,*,#201437,.F.); #269232=ORIENTED_EDGE('',*,*,#201438,.F.); #269233=ORIENTED_EDGE('',*,*,#201439,.T.); #269234=ORIENTED_EDGE('',*,*,#201438,.T.); #269235=ORIENTED_EDGE('',*,*,#201440,.F.); #269236=ORIENTED_EDGE('',*,*,#201441,.F.); #269237=ORIENTED_EDGE('',*,*,#201442,.T.); #269238=ORIENTED_EDGE('',*,*,#201441,.T.); #269239=ORIENTED_EDGE('',*,*,#201443,.F.); #269240=ORIENTED_EDGE('',*,*,#201444,.F.); #269241=ORIENTED_EDGE('',*,*,#201445,.T.); #269242=ORIENTED_EDGE('',*,*,#201444,.T.); #269243=ORIENTED_EDGE('',*,*,#201446,.F.); #269244=ORIENTED_EDGE('',*,*,#201447,.F.); #269245=ORIENTED_EDGE('',*,*,#201448,.T.); #269246=ORIENTED_EDGE('',*,*,#201447,.T.); #269247=ORIENTED_EDGE('',*,*,#201449,.F.); #269248=ORIENTED_EDGE('',*,*,#201450,.F.); #269249=ORIENTED_EDGE('',*,*,#201451,.T.); #269250=ORIENTED_EDGE('',*,*,#201450,.T.); #269251=ORIENTED_EDGE('',*,*,#201452,.F.); #269252=ORIENTED_EDGE('',*,*,#201382,.F.); #269253=ORIENTED_EDGE('',*,*,#201453,.T.); #269254=ORIENTED_EDGE('',*,*,#201454,.T.); #269255=ORIENTED_EDGE('',*,*,#201455,.F.); #269256=ORIENTED_EDGE('',*,*,#201456,.F.); #269257=ORIENTED_EDGE('',*,*,#201457,.T.); #269258=ORIENTED_EDGE('',*,*,#201456,.T.); #269259=ORIENTED_EDGE('',*,*,#201458,.F.); #269260=ORIENTED_EDGE('',*,*,#201459,.F.); #269261=ORIENTED_EDGE('',*,*,#201460,.T.); #269262=ORIENTED_EDGE('',*,*,#201459,.T.); #269263=ORIENTED_EDGE('',*,*,#201461,.F.); #269264=ORIENTED_EDGE('',*,*,#201462,.F.); #269265=ORIENTED_EDGE('',*,*,#201463,.T.); #269266=ORIENTED_EDGE('',*,*,#201462,.T.); #269267=ORIENTED_EDGE('',*,*,#201464,.F.); #269268=ORIENTED_EDGE('',*,*,#201465,.F.); #269269=ORIENTED_EDGE('',*,*,#201466,.T.); #269270=ORIENTED_EDGE('',*,*,#201465,.T.); #269271=ORIENTED_EDGE('',*,*,#201467,.F.); #269272=ORIENTED_EDGE('',*,*,#201468,.F.); #269273=ORIENTED_EDGE('',*,*,#201469,.T.); #269274=ORIENTED_EDGE('',*,*,#201468,.T.); #269275=ORIENTED_EDGE('',*,*,#201470,.F.); #269276=ORIENTED_EDGE('',*,*,#201471,.F.); #269277=ORIENTED_EDGE('',*,*,#201472,.T.); #269278=ORIENTED_EDGE('',*,*,#201471,.T.); #269279=ORIENTED_EDGE('',*,*,#201473,.F.); #269280=ORIENTED_EDGE('',*,*,#201474,.F.); #269281=ORIENTED_EDGE('',*,*,#201475,.T.); #269282=ORIENTED_EDGE('',*,*,#201474,.T.); #269283=ORIENTED_EDGE('',*,*,#201476,.F.); #269284=ORIENTED_EDGE('',*,*,#201477,.F.); #269285=ORIENTED_EDGE('',*,*,#201478,.T.); #269286=ORIENTED_EDGE('',*,*,#201477,.T.); #269287=ORIENTED_EDGE('',*,*,#201479,.F.); #269288=ORIENTED_EDGE('',*,*,#201480,.F.); #269289=ORIENTED_EDGE('',*,*,#201481,.T.); #269290=ORIENTED_EDGE('',*,*,#201480,.T.); #269291=ORIENTED_EDGE('',*,*,#201482,.F.); #269292=ORIENTED_EDGE('',*,*,#201483,.F.); #269293=ORIENTED_EDGE('',*,*,#201484,.T.); #269294=ORIENTED_EDGE('',*,*,#201483,.T.); #269295=ORIENTED_EDGE('',*,*,#201485,.F.); #269296=ORIENTED_EDGE('',*,*,#201486,.F.); #269297=ORIENTED_EDGE('',*,*,#201487,.T.); #269298=ORIENTED_EDGE('',*,*,#201486,.T.); #269299=ORIENTED_EDGE('',*,*,#201488,.F.); #269300=ORIENTED_EDGE('',*,*,#201489,.F.); #269301=ORIENTED_EDGE('',*,*,#201490,.T.); #269302=ORIENTED_EDGE('',*,*,#201489,.T.); #269303=ORIENTED_EDGE('',*,*,#201491,.F.); #269304=ORIENTED_EDGE('',*,*,#201492,.F.); #269305=ORIENTED_EDGE('',*,*,#201493,.T.); #269306=ORIENTED_EDGE('',*,*,#201492,.T.); #269307=ORIENTED_EDGE('',*,*,#201494,.F.); #269308=ORIENTED_EDGE('',*,*,#201495,.F.); #269309=ORIENTED_EDGE('',*,*,#201496,.T.); #269310=ORIENTED_EDGE('',*,*,#201495,.T.); #269311=ORIENTED_EDGE('',*,*,#201497,.F.); #269312=ORIENTED_EDGE('',*,*,#201498,.F.); #269313=ORIENTED_EDGE('',*,*,#201499,.T.); #269314=ORIENTED_EDGE('',*,*,#201498,.T.); #269315=ORIENTED_EDGE('',*,*,#201500,.F.); #269316=ORIENTED_EDGE('',*,*,#201501,.F.); #269317=ORIENTED_EDGE('',*,*,#201502,.T.); #269318=ORIENTED_EDGE('',*,*,#201501,.T.); #269319=ORIENTED_EDGE('',*,*,#201503,.F.); #269320=ORIENTED_EDGE('',*,*,#201504,.F.); #269321=ORIENTED_EDGE('',*,*,#201505,.T.); #269322=ORIENTED_EDGE('',*,*,#201504,.T.); #269323=ORIENTED_EDGE('',*,*,#201506,.F.); #269324=ORIENTED_EDGE('',*,*,#201507,.F.); #269325=ORIENTED_EDGE('',*,*,#201508,.T.); #269326=ORIENTED_EDGE('',*,*,#201507,.T.); #269327=ORIENTED_EDGE('',*,*,#201509,.F.); #269328=ORIENTED_EDGE('',*,*,#201510,.F.); #269329=ORIENTED_EDGE('',*,*,#201511,.T.); #269330=ORIENTED_EDGE('',*,*,#201510,.T.); #269331=ORIENTED_EDGE('',*,*,#201512,.F.); #269332=ORIENTED_EDGE('',*,*,#201513,.F.); #269333=ORIENTED_EDGE('',*,*,#201514,.T.); #269334=ORIENTED_EDGE('',*,*,#201513,.T.); #269335=ORIENTED_EDGE('',*,*,#201515,.F.); #269336=ORIENTED_EDGE('',*,*,#201516,.F.); #269337=ORIENTED_EDGE('',*,*,#201517,.T.); #269338=ORIENTED_EDGE('',*,*,#201516,.T.); #269339=ORIENTED_EDGE('',*,*,#201518,.F.); #269340=ORIENTED_EDGE('',*,*,#201519,.F.); #269341=ORIENTED_EDGE('',*,*,#201520,.T.); #269342=ORIENTED_EDGE('',*,*,#201519,.T.); #269343=ORIENTED_EDGE('',*,*,#201521,.F.); #269344=ORIENTED_EDGE('',*,*,#201522,.F.); #269345=ORIENTED_EDGE('',*,*,#201523,.T.); #269346=ORIENTED_EDGE('',*,*,#201522,.T.); #269347=ORIENTED_EDGE('',*,*,#201524,.F.); #269348=ORIENTED_EDGE('',*,*,#201525,.F.); #269349=ORIENTED_EDGE('',*,*,#201526,.T.); #269350=ORIENTED_EDGE('',*,*,#201525,.T.); #269351=ORIENTED_EDGE('',*,*,#201527,.F.); #269352=ORIENTED_EDGE('',*,*,#201528,.F.); #269353=ORIENTED_EDGE('',*,*,#201529,.T.); #269354=ORIENTED_EDGE('',*,*,#201528,.T.); #269355=ORIENTED_EDGE('',*,*,#201530,.F.); #269356=ORIENTED_EDGE('',*,*,#201531,.F.); #269357=ORIENTED_EDGE('',*,*,#201532,.T.); #269358=ORIENTED_EDGE('',*,*,#201531,.T.); #269359=ORIENTED_EDGE('',*,*,#201533,.F.); #269360=ORIENTED_EDGE('',*,*,#201534,.F.); #269361=ORIENTED_EDGE('',*,*,#201535,.T.); #269362=ORIENTED_EDGE('',*,*,#201534,.T.); #269363=ORIENTED_EDGE('',*,*,#201536,.F.); #269364=ORIENTED_EDGE('',*,*,#201537,.F.); #269365=ORIENTED_EDGE('',*,*,#201538,.T.); #269366=ORIENTED_EDGE('',*,*,#201537,.T.); #269367=ORIENTED_EDGE('',*,*,#201539,.F.); #269368=ORIENTED_EDGE('',*,*,#201540,.F.); #269369=ORIENTED_EDGE('',*,*,#201541,.T.); #269370=ORIENTED_EDGE('',*,*,#201540,.T.); #269371=ORIENTED_EDGE('',*,*,#201542,.F.); #269372=ORIENTED_EDGE('',*,*,#201543,.F.); #269373=ORIENTED_EDGE('',*,*,#201544,.T.); #269374=ORIENTED_EDGE('',*,*,#201543,.T.); #269375=ORIENTED_EDGE('',*,*,#201545,.F.); #269376=ORIENTED_EDGE('',*,*,#201546,.F.); #269377=ORIENTED_EDGE('',*,*,#201547,.T.); #269378=ORIENTED_EDGE('',*,*,#201546,.T.); #269379=ORIENTED_EDGE('',*,*,#201548,.F.); #269380=ORIENTED_EDGE('',*,*,#201549,.F.); #269381=ORIENTED_EDGE('',*,*,#201550,.T.); #269382=ORIENTED_EDGE('',*,*,#201549,.T.); #269383=ORIENTED_EDGE('',*,*,#201551,.F.); #269384=ORIENTED_EDGE('',*,*,#201552,.F.); #269385=ORIENTED_EDGE('',*,*,#201553,.T.); #269386=ORIENTED_EDGE('',*,*,#201552,.T.); #269387=ORIENTED_EDGE('',*,*,#201554,.F.); #269388=ORIENTED_EDGE('',*,*,#201555,.F.); #269389=ORIENTED_EDGE('',*,*,#201556,.T.); #269390=ORIENTED_EDGE('',*,*,#201555,.T.); #269391=ORIENTED_EDGE('',*,*,#201557,.F.); #269392=ORIENTED_EDGE('',*,*,#201558,.F.); #269393=ORIENTED_EDGE('',*,*,#201559,.T.); #269394=ORIENTED_EDGE('',*,*,#201558,.T.); #269395=ORIENTED_EDGE('',*,*,#201560,.F.); #269396=ORIENTED_EDGE('',*,*,#201561,.F.); #269397=ORIENTED_EDGE('',*,*,#201562,.T.); #269398=ORIENTED_EDGE('',*,*,#201561,.T.); #269399=ORIENTED_EDGE('',*,*,#201563,.F.); #269400=ORIENTED_EDGE('',*,*,#201564,.F.); #269401=ORIENTED_EDGE('',*,*,#201565,.T.); #269402=ORIENTED_EDGE('',*,*,#201564,.T.); #269403=ORIENTED_EDGE('',*,*,#201566,.F.); #269404=ORIENTED_EDGE('',*,*,#201567,.F.); #269405=ORIENTED_EDGE('',*,*,#201568,.T.); #269406=ORIENTED_EDGE('',*,*,#201567,.T.); #269407=ORIENTED_EDGE('',*,*,#201569,.F.); #269408=ORIENTED_EDGE('',*,*,#201570,.F.); #269409=ORIENTED_EDGE('',*,*,#201571,.T.); #269410=ORIENTED_EDGE('',*,*,#201570,.T.); #269411=ORIENTED_EDGE('',*,*,#201572,.F.); #269412=ORIENTED_EDGE('',*,*,#201573,.F.); #269413=ORIENTED_EDGE('',*,*,#201574,.T.); #269414=ORIENTED_EDGE('',*,*,#201573,.T.); #269415=ORIENTED_EDGE('',*,*,#201575,.F.); #269416=ORIENTED_EDGE('',*,*,#201576,.F.); #269417=ORIENTED_EDGE('',*,*,#201577,.T.); #269418=ORIENTED_EDGE('',*,*,#201576,.T.); #269419=ORIENTED_EDGE('',*,*,#201578,.F.); #269420=ORIENTED_EDGE('',*,*,#201579,.F.); #269421=ORIENTED_EDGE('',*,*,#201580,.T.); #269422=ORIENTED_EDGE('',*,*,#201579,.T.); #269423=ORIENTED_EDGE('',*,*,#201581,.F.); #269424=ORIENTED_EDGE('',*,*,#201582,.F.); #269425=ORIENTED_EDGE('',*,*,#201583,.T.); #269426=ORIENTED_EDGE('',*,*,#201582,.T.); #269427=ORIENTED_EDGE('',*,*,#201584,.F.); #269428=ORIENTED_EDGE('',*,*,#201585,.F.); #269429=ORIENTED_EDGE('',*,*,#201586,.T.); #269430=ORIENTED_EDGE('',*,*,#201585,.T.); #269431=ORIENTED_EDGE('',*,*,#201587,.F.); #269432=ORIENTED_EDGE('',*,*,#201588,.F.); #269433=ORIENTED_EDGE('',*,*,#201589,.T.); #269434=ORIENTED_EDGE('',*,*,#201588,.T.); #269435=ORIENTED_EDGE('',*,*,#201590,.F.); #269436=ORIENTED_EDGE('',*,*,#201591,.F.); #269437=ORIENTED_EDGE('',*,*,#201592,.T.); #269438=ORIENTED_EDGE('',*,*,#201591,.T.); #269439=ORIENTED_EDGE('',*,*,#201593,.F.); #269440=ORIENTED_EDGE('',*,*,#201594,.F.); #269441=ORIENTED_EDGE('',*,*,#201595,.T.); #269442=ORIENTED_EDGE('',*,*,#201594,.T.); #269443=ORIENTED_EDGE('',*,*,#201596,.F.); #269444=ORIENTED_EDGE('',*,*,#201597,.F.); #269445=ORIENTED_EDGE('',*,*,#201598,.T.); #269446=ORIENTED_EDGE('',*,*,#201597,.T.); #269447=ORIENTED_EDGE('',*,*,#201599,.F.); #269448=ORIENTED_EDGE('',*,*,#201600,.F.); #269449=ORIENTED_EDGE('',*,*,#201601,.T.); #269450=ORIENTED_EDGE('',*,*,#201600,.T.); #269451=ORIENTED_EDGE('',*,*,#201602,.F.); #269452=ORIENTED_EDGE('',*,*,#201603,.F.); #269453=ORIENTED_EDGE('',*,*,#201604,.T.); #269454=ORIENTED_EDGE('',*,*,#201603,.T.); #269455=ORIENTED_EDGE('',*,*,#201605,.F.); #269456=ORIENTED_EDGE('',*,*,#201606,.F.); #269457=ORIENTED_EDGE('',*,*,#201607,.T.); #269458=ORIENTED_EDGE('',*,*,#201606,.T.); #269459=ORIENTED_EDGE('',*,*,#201608,.F.); #269460=ORIENTED_EDGE('',*,*,#201609,.F.); #269461=ORIENTED_EDGE('',*,*,#201610,.T.); #269462=ORIENTED_EDGE('',*,*,#201609,.T.); #269463=ORIENTED_EDGE('',*,*,#201611,.F.); #269464=ORIENTED_EDGE('',*,*,#201612,.F.); #269465=ORIENTED_EDGE('',*,*,#201613,.T.); #269466=ORIENTED_EDGE('',*,*,#201612,.T.); #269467=ORIENTED_EDGE('',*,*,#201614,.F.); #269468=ORIENTED_EDGE('',*,*,#201615,.F.); #269469=ORIENTED_EDGE('',*,*,#201616,.T.); #269470=ORIENTED_EDGE('',*,*,#201615,.T.); #269471=ORIENTED_EDGE('',*,*,#201617,.F.); #269472=ORIENTED_EDGE('',*,*,#201618,.F.); #269473=ORIENTED_EDGE('',*,*,#201619,.T.); #269474=ORIENTED_EDGE('',*,*,#201618,.T.); #269475=ORIENTED_EDGE('',*,*,#201620,.F.); #269476=ORIENTED_EDGE('',*,*,#201621,.F.); #269477=ORIENTED_EDGE('',*,*,#201622,.T.); #269478=ORIENTED_EDGE('',*,*,#201621,.T.); #269479=ORIENTED_EDGE('',*,*,#201623,.F.); #269480=ORIENTED_EDGE('',*,*,#201624,.F.); #269481=ORIENTED_EDGE('',*,*,#201625,.T.); #269482=ORIENTED_EDGE('',*,*,#201624,.T.); #269483=ORIENTED_EDGE('',*,*,#201626,.F.); #269484=ORIENTED_EDGE('',*,*,#201627,.F.); #269485=ORIENTED_EDGE('',*,*,#201628,.T.); #269486=ORIENTED_EDGE('',*,*,#201627,.T.); #269487=ORIENTED_EDGE('',*,*,#201629,.F.); #269488=ORIENTED_EDGE('',*,*,#201630,.F.); #269489=ORIENTED_EDGE('',*,*,#201631,.T.); #269490=ORIENTED_EDGE('',*,*,#201630,.T.); #269491=ORIENTED_EDGE('',*,*,#201632,.F.); #269492=ORIENTED_EDGE('',*,*,#201633,.F.); #269493=ORIENTED_EDGE('',*,*,#201634,.T.); #269494=ORIENTED_EDGE('',*,*,#201633,.T.); #269495=ORIENTED_EDGE('',*,*,#201635,.F.); #269496=ORIENTED_EDGE('',*,*,#201636,.F.); #269497=ORIENTED_EDGE('',*,*,#201637,.T.); #269498=ORIENTED_EDGE('',*,*,#201636,.T.); #269499=ORIENTED_EDGE('',*,*,#201638,.F.); #269500=ORIENTED_EDGE('',*,*,#201639,.F.); #269501=ORIENTED_EDGE('',*,*,#201640,.T.); #269502=ORIENTED_EDGE('',*,*,#201639,.T.); #269503=ORIENTED_EDGE('',*,*,#201641,.F.); #269504=ORIENTED_EDGE('',*,*,#201642,.F.); #269505=ORIENTED_EDGE('',*,*,#201643,.T.); #269506=ORIENTED_EDGE('',*,*,#201642,.T.); #269507=ORIENTED_EDGE('',*,*,#201644,.F.); #269508=ORIENTED_EDGE('',*,*,#201645,.F.); #269509=ORIENTED_EDGE('',*,*,#201646,.T.); #269510=ORIENTED_EDGE('',*,*,#201645,.T.); #269511=ORIENTED_EDGE('',*,*,#201647,.F.); #269512=ORIENTED_EDGE('',*,*,#201648,.F.); #269513=ORIENTED_EDGE('',*,*,#201649,.T.); #269514=ORIENTED_EDGE('',*,*,#201648,.T.); #269515=ORIENTED_EDGE('',*,*,#201650,.F.); #269516=ORIENTED_EDGE('',*,*,#201651,.F.); #269517=ORIENTED_EDGE('',*,*,#201652,.T.); #269518=ORIENTED_EDGE('',*,*,#201651,.T.); #269519=ORIENTED_EDGE('',*,*,#201653,.F.); #269520=ORIENTED_EDGE('',*,*,#201654,.F.); #269521=ORIENTED_EDGE('',*,*,#201655,.T.); #269522=ORIENTED_EDGE('',*,*,#201654,.T.); #269523=ORIENTED_EDGE('',*,*,#201656,.F.); #269524=ORIENTED_EDGE('',*,*,#201657,.F.); #269525=ORIENTED_EDGE('',*,*,#201658,.T.); #269526=ORIENTED_EDGE('',*,*,#201657,.T.); #269527=ORIENTED_EDGE('',*,*,#201659,.F.); #269528=ORIENTED_EDGE('',*,*,#201660,.F.); #269529=ORIENTED_EDGE('',*,*,#201661,.T.); #269530=ORIENTED_EDGE('',*,*,#201660,.T.); #269531=ORIENTED_EDGE('',*,*,#201662,.F.); #269532=ORIENTED_EDGE('',*,*,#201663,.F.); #269533=ORIENTED_EDGE('',*,*,#201664,.T.); #269534=ORIENTED_EDGE('',*,*,#201663,.T.); #269535=ORIENTED_EDGE('',*,*,#201665,.F.); #269536=ORIENTED_EDGE('',*,*,#201666,.F.); #269537=ORIENTED_EDGE('',*,*,#201667,.T.); #269538=ORIENTED_EDGE('',*,*,#201666,.T.); #269539=ORIENTED_EDGE('',*,*,#201668,.F.); #269540=ORIENTED_EDGE('',*,*,#201669,.F.); #269541=ORIENTED_EDGE('',*,*,#201670,.T.); #269542=ORIENTED_EDGE('',*,*,#201669,.T.); #269543=ORIENTED_EDGE('',*,*,#201671,.F.); #269544=ORIENTED_EDGE('',*,*,#201672,.F.); #269545=ORIENTED_EDGE('',*,*,#201673,.T.); #269546=ORIENTED_EDGE('',*,*,#201672,.T.); #269547=ORIENTED_EDGE('',*,*,#201674,.F.); #269548=ORIENTED_EDGE('',*,*,#201675,.F.); #269549=ORIENTED_EDGE('',*,*,#201676,.T.); #269550=ORIENTED_EDGE('',*,*,#201675,.T.); #269551=ORIENTED_EDGE('',*,*,#201677,.F.); #269552=ORIENTED_EDGE('',*,*,#201678,.F.); #269553=ORIENTED_EDGE('',*,*,#201679,.T.); #269554=ORIENTED_EDGE('',*,*,#201678,.T.); #269555=ORIENTED_EDGE('',*,*,#201680,.F.); #269556=ORIENTED_EDGE('',*,*,#201681,.F.); #269557=ORIENTED_EDGE('',*,*,#201682,.T.); #269558=ORIENTED_EDGE('',*,*,#201681,.T.); #269559=ORIENTED_EDGE('',*,*,#201683,.F.); #269560=ORIENTED_EDGE('',*,*,#201684,.F.); #269561=ORIENTED_EDGE('',*,*,#201685,.T.); #269562=ORIENTED_EDGE('',*,*,#201684,.T.); #269563=ORIENTED_EDGE('',*,*,#201686,.F.); #269564=ORIENTED_EDGE('',*,*,#201687,.F.); #269565=ORIENTED_EDGE('',*,*,#201688,.T.); #269566=ORIENTED_EDGE('',*,*,#201687,.T.); #269567=ORIENTED_EDGE('',*,*,#201689,.F.); #269568=ORIENTED_EDGE('',*,*,#201690,.F.); #269569=ORIENTED_EDGE('',*,*,#201691,.T.); #269570=ORIENTED_EDGE('',*,*,#201690,.T.); #269571=ORIENTED_EDGE('',*,*,#201692,.F.); #269572=ORIENTED_EDGE('',*,*,#201693,.F.); #269573=ORIENTED_EDGE('',*,*,#201694,.T.); #269574=ORIENTED_EDGE('',*,*,#201693,.T.); #269575=ORIENTED_EDGE('',*,*,#201695,.F.); #269576=ORIENTED_EDGE('',*,*,#201696,.F.); #269577=ORIENTED_EDGE('',*,*,#201697,.T.); #269578=ORIENTED_EDGE('',*,*,#201696,.T.); #269579=ORIENTED_EDGE('',*,*,#201698,.F.); #269580=ORIENTED_EDGE('',*,*,#201699,.F.); #269581=ORIENTED_EDGE('',*,*,#201700,.T.); #269582=ORIENTED_EDGE('',*,*,#201699,.T.); #269583=ORIENTED_EDGE('',*,*,#201701,.F.); #269584=ORIENTED_EDGE('',*,*,#201702,.F.); #269585=ORIENTED_EDGE('',*,*,#201703,.T.); #269586=ORIENTED_EDGE('',*,*,#201702,.T.); #269587=ORIENTED_EDGE('',*,*,#201704,.F.); #269588=ORIENTED_EDGE('',*,*,#201705,.F.); #269589=ORIENTED_EDGE('',*,*,#201706,.T.); #269590=ORIENTED_EDGE('',*,*,#201705,.T.); #269591=ORIENTED_EDGE('',*,*,#201707,.F.); #269592=ORIENTED_EDGE('',*,*,#201708,.F.); #269593=ORIENTED_EDGE('',*,*,#201709,.T.); #269594=ORIENTED_EDGE('',*,*,#201708,.T.); #269595=ORIENTED_EDGE('',*,*,#201710,.F.); #269596=ORIENTED_EDGE('',*,*,#201711,.F.); #269597=ORIENTED_EDGE('',*,*,#201712,.T.); #269598=ORIENTED_EDGE('',*,*,#201711,.T.); #269599=ORIENTED_EDGE('',*,*,#201713,.F.); #269600=ORIENTED_EDGE('',*,*,#201714,.F.); #269601=ORIENTED_EDGE('',*,*,#201715,.T.); #269602=ORIENTED_EDGE('',*,*,#201714,.T.); #269603=ORIENTED_EDGE('',*,*,#201716,.F.); #269604=ORIENTED_EDGE('',*,*,#201717,.F.); #269605=ORIENTED_EDGE('',*,*,#201718,.T.); #269606=ORIENTED_EDGE('',*,*,#201717,.T.); #269607=ORIENTED_EDGE('',*,*,#201719,.F.); #269608=ORIENTED_EDGE('',*,*,#201720,.F.); #269609=ORIENTED_EDGE('',*,*,#201721,.T.); #269610=ORIENTED_EDGE('',*,*,#201720,.T.); #269611=ORIENTED_EDGE('',*,*,#201722,.F.); #269612=ORIENTED_EDGE('',*,*,#201723,.F.); #269613=ORIENTED_EDGE('',*,*,#201724,.T.); #269614=ORIENTED_EDGE('',*,*,#201723,.T.); #269615=ORIENTED_EDGE('',*,*,#201725,.F.); #269616=ORIENTED_EDGE('',*,*,#201726,.F.); #269617=ORIENTED_EDGE('',*,*,#201727,.T.); #269618=ORIENTED_EDGE('',*,*,#201726,.T.); #269619=ORIENTED_EDGE('',*,*,#201728,.F.); #269620=ORIENTED_EDGE('',*,*,#201729,.F.); #269621=ORIENTED_EDGE('',*,*,#201730,.T.); #269622=ORIENTED_EDGE('',*,*,#201729,.T.); #269623=ORIENTED_EDGE('',*,*,#201731,.F.); #269624=ORIENTED_EDGE('',*,*,#201732,.F.); #269625=ORIENTED_EDGE('',*,*,#201733,.T.); #269626=ORIENTED_EDGE('',*,*,#201732,.T.); #269627=ORIENTED_EDGE('',*,*,#201734,.F.); #269628=ORIENTED_EDGE('',*,*,#201735,.F.); #269629=ORIENTED_EDGE('',*,*,#201736,.T.); #269630=ORIENTED_EDGE('',*,*,#201735,.T.); #269631=ORIENTED_EDGE('',*,*,#201737,.F.); #269632=ORIENTED_EDGE('',*,*,#201738,.F.); #269633=ORIENTED_EDGE('',*,*,#201739,.T.); #269634=ORIENTED_EDGE('',*,*,#201738,.T.); #269635=ORIENTED_EDGE('',*,*,#201740,.F.); #269636=ORIENTED_EDGE('',*,*,#201741,.F.); #269637=ORIENTED_EDGE('',*,*,#201742,.T.); #269638=ORIENTED_EDGE('',*,*,#201741,.T.); #269639=ORIENTED_EDGE('',*,*,#201743,.F.); #269640=ORIENTED_EDGE('',*,*,#201744,.F.); #269641=ORIENTED_EDGE('',*,*,#201745,.T.); #269642=ORIENTED_EDGE('',*,*,#201744,.T.); #269643=ORIENTED_EDGE('',*,*,#201746,.F.); #269644=ORIENTED_EDGE('',*,*,#201747,.F.); #269645=ORIENTED_EDGE('',*,*,#201748,.T.); #269646=ORIENTED_EDGE('',*,*,#201747,.T.); #269647=ORIENTED_EDGE('',*,*,#201749,.F.); #269648=ORIENTED_EDGE('',*,*,#201750,.F.); #269649=ORIENTED_EDGE('',*,*,#201751,.T.); #269650=ORIENTED_EDGE('',*,*,#201750,.T.); #269651=ORIENTED_EDGE('',*,*,#201752,.F.); #269652=ORIENTED_EDGE('',*,*,#201753,.F.); #269653=ORIENTED_EDGE('',*,*,#201754,.T.); #269654=ORIENTED_EDGE('',*,*,#201753,.T.); #269655=ORIENTED_EDGE('',*,*,#201755,.F.); #269656=ORIENTED_EDGE('',*,*,#201756,.F.); #269657=ORIENTED_EDGE('',*,*,#201757,.T.); #269658=ORIENTED_EDGE('',*,*,#201756,.T.); #269659=ORIENTED_EDGE('',*,*,#201758,.F.); #269660=ORIENTED_EDGE('',*,*,#201759,.F.); #269661=ORIENTED_EDGE('',*,*,#201760,.T.); #269662=ORIENTED_EDGE('',*,*,#201759,.T.); #269663=ORIENTED_EDGE('',*,*,#201761,.F.); #269664=ORIENTED_EDGE('',*,*,#201762,.F.); #269665=ORIENTED_EDGE('',*,*,#201763,.T.); #269666=ORIENTED_EDGE('',*,*,#201762,.T.); #269667=ORIENTED_EDGE('',*,*,#201764,.F.); #269668=ORIENTED_EDGE('',*,*,#201765,.F.); #269669=ORIENTED_EDGE('',*,*,#201766,.T.); #269670=ORIENTED_EDGE('',*,*,#201765,.T.); #269671=ORIENTED_EDGE('',*,*,#201767,.F.); #269672=ORIENTED_EDGE('',*,*,#201768,.F.); #269673=ORIENTED_EDGE('',*,*,#201769,.T.); #269674=ORIENTED_EDGE('',*,*,#201768,.T.); #269675=ORIENTED_EDGE('',*,*,#201770,.F.); #269676=ORIENTED_EDGE('',*,*,#201771,.F.); #269677=ORIENTED_EDGE('',*,*,#201772,.T.); #269678=ORIENTED_EDGE('',*,*,#201771,.T.); #269679=ORIENTED_EDGE('',*,*,#201773,.F.); #269680=ORIENTED_EDGE('',*,*,#201774,.F.); #269681=ORIENTED_EDGE('',*,*,#201775,.T.); #269682=ORIENTED_EDGE('',*,*,#201774,.T.); #269683=ORIENTED_EDGE('',*,*,#201776,.F.); #269684=ORIENTED_EDGE('',*,*,#201777,.F.); #269685=ORIENTED_EDGE('',*,*,#201778,.T.); #269686=ORIENTED_EDGE('',*,*,#201777,.T.); #269687=ORIENTED_EDGE('',*,*,#201779,.F.); #269688=ORIENTED_EDGE('',*,*,#201780,.F.); #269689=ORIENTED_EDGE('',*,*,#201781,.T.); #269690=ORIENTED_EDGE('',*,*,#201780,.T.); #269691=ORIENTED_EDGE('',*,*,#201782,.F.); #269692=ORIENTED_EDGE('',*,*,#201783,.F.); #269693=ORIENTED_EDGE('',*,*,#201784,.T.); #269694=ORIENTED_EDGE('',*,*,#201783,.T.); #269695=ORIENTED_EDGE('',*,*,#201785,.F.); #269696=ORIENTED_EDGE('',*,*,#201786,.F.); #269697=ORIENTED_EDGE('',*,*,#201787,.T.); #269698=ORIENTED_EDGE('',*,*,#201786,.T.); #269699=ORIENTED_EDGE('',*,*,#201788,.F.); #269700=ORIENTED_EDGE('',*,*,#201789,.F.); #269701=ORIENTED_EDGE('',*,*,#201790,.T.); #269702=ORIENTED_EDGE('',*,*,#201789,.T.); #269703=ORIENTED_EDGE('',*,*,#201791,.F.); #269704=ORIENTED_EDGE('',*,*,#201792,.F.); #269705=ORIENTED_EDGE('',*,*,#201793,.T.); #269706=ORIENTED_EDGE('',*,*,#201792,.T.); #269707=ORIENTED_EDGE('',*,*,#201794,.F.); #269708=ORIENTED_EDGE('',*,*,#201795,.F.); #269709=ORIENTED_EDGE('',*,*,#201796,.T.); #269710=ORIENTED_EDGE('',*,*,#201795,.T.); #269711=ORIENTED_EDGE('',*,*,#201797,.F.); #269712=ORIENTED_EDGE('',*,*,#201798,.F.); #269713=ORIENTED_EDGE('',*,*,#201799,.T.); #269714=ORIENTED_EDGE('',*,*,#201798,.T.); #269715=ORIENTED_EDGE('',*,*,#201800,.F.); #269716=ORIENTED_EDGE('',*,*,#201801,.F.); #269717=ORIENTED_EDGE('',*,*,#201802,.T.); #269718=ORIENTED_EDGE('',*,*,#201801,.T.); #269719=ORIENTED_EDGE('',*,*,#201803,.F.); #269720=ORIENTED_EDGE('',*,*,#201804,.F.); #269721=ORIENTED_EDGE('',*,*,#201805,.T.); #269722=ORIENTED_EDGE('',*,*,#201804,.T.); #269723=ORIENTED_EDGE('',*,*,#201806,.F.); #269724=ORIENTED_EDGE('',*,*,#201807,.F.); #269725=ORIENTED_EDGE('',*,*,#201808,.T.); #269726=ORIENTED_EDGE('',*,*,#201807,.T.); #269727=ORIENTED_EDGE('',*,*,#201809,.F.); #269728=ORIENTED_EDGE('',*,*,#201810,.F.); #269729=ORIENTED_EDGE('',*,*,#201811,.T.); #269730=ORIENTED_EDGE('',*,*,#201810,.T.); #269731=ORIENTED_EDGE('',*,*,#201812,.F.); #269732=ORIENTED_EDGE('',*,*,#201813,.F.); #269733=ORIENTED_EDGE('',*,*,#201814,.T.); #269734=ORIENTED_EDGE('',*,*,#201813,.T.); #269735=ORIENTED_EDGE('',*,*,#201815,.F.); #269736=ORIENTED_EDGE('',*,*,#201816,.F.); #269737=ORIENTED_EDGE('',*,*,#201817,.T.); #269738=ORIENTED_EDGE('',*,*,#201816,.T.); #269739=ORIENTED_EDGE('',*,*,#201818,.F.); #269740=ORIENTED_EDGE('',*,*,#201819,.F.); #269741=ORIENTED_EDGE('',*,*,#201820,.T.); #269742=ORIENTED_EDGE('',*,*,#201819,.T.); #269743=ORIENTED_EDGE('',*,*,#201821,.F.); #269744=ORIENTED_EDGE('',*,*,#201822,.F.); #269745=ORIENTED_EDGE('',*,*,#201823,.T.); #269746=ORIENTED_EDGE('',*,*,#201822,.T.); #269747=ORIENTED_EDGE('',*,*,#201824,.F.); #269748=ORIENTED_EDGE('',*,*,#201825,.F.); #269749=ORIENTED_EDGE('',*,*,#201826,.T.); #269750=ORIENTED_EDGE('',*,*,#201825,.T.); #269751=ORIENTED_EDGE('',*,*,#201827,.F.); #269752=ORIENTED_EDGE('',*,*,#201828,.F.); #269753=ORIENTED_EDGE('',*,*,#201829,.T.); #269754=ORIENTED_EDGE('',*,*,#201828,.T.); #269755=ORIENTED_EDGE('',*,*,#201830,.F.); #269756=ORIENTED_EDGE('',*,*,#201831,.F.); #269757=ORIENTED_EDGE('',*,*,#201832,.T.); #269758=ORIENTED_EDGE('',*,*,#201831,.T.); #269759=ORIENTED_EDGE('',*,*,#201833,.F.); #269760=ORIENTED_EDGE('',*,*,#201834,.F.); #269761=ORIENTED_EDGE('',*,*,#201835,.T.); #269762=ORIENTED_EDGE('',*,*,#201834,.T.); #269763=ORIENTED_EDGE('',*,*,#201836,.F.); #269764=ORIENTED_EDGE('',*,*,#201837,.F.); #269765=ORIENTED_EDGE('',*,*,#201838,.T.); #269766=ORIENTED_EDGE('',*,*,#201837,.T.); #269767=ORIENTED_EDGE('',*,*,#201839,.F.); #269768=ORIENTED_EDGE('',*,*,#201840,.F.); #269769=ORIENTED_EDGE('',*,*,#201841,.T.); #269770=ORIENTED_EDGE('',*,*,#201840,.T.); #269771=ORIENTED_EDGE('',*,*,#201842,.F.); #269772=ORIENTED_EDGE('',*,*,#201843,.F.); #269773=ORIENTED_EDGE('',*,*,#201844,.T.); #269774=ORIENTED_EDGE('',*,*,#201843,.T.); #269775=ORIENTED_EDGE('',*,*,#201845,.F.); #269776=ORIENTED_EDGE('',*,*,#201846,.F.); #269777=ORIENTED_EDGE('',*,*,#201847,.T.); #269778=ORIENTED_EDGE('',*,*,#201846,.T.); #269779=ORIENTED_EDGE('',*,*,#201848,.F.); #269780=ORIENTED_EDGE('',*,*,#201849,.F.); #269781=ORIENTED_EDGE('',*,*,#201850,.T.); #269782=ORIENTED_EDGE('',*,*,#201849,.T.); #269783=ORIENTED_EDGE('',*,*,#201851,.F.); #269784=ORIENTED_EDGE('',*,*,#201852,.F.); #269785=ORIENTED_EDGE('',*,*,#201853,.T.); #269786=ORIENTED_EDGE('',*,*,#201852,.T.); #269787=ORIENTED_EDGE('',*,*,#201854,.F.); #269788=ORIENTED_EDGE('',*,*,#201855,.F.); #269789=ORIENTED_EDGE('',*,*,#201856,.T.); #269790=ORIENTED_EDGE('',*,*,#201855,.T.); #269791=ORIENTED_EDGE('',*,*,#201857,.F.); #269792=ORIENTED_EDGE('',*,*,#201858,.F.); #269793=ORIENTED_EDGE('',*,*,#201859,.T.); #269794=ORIENTED_EDGE('',*,*,#201858,.T.); #269795=ORIENTED_EDGE('',*,*,#201860,.F.); #269796=ORIENTED_EDGE('',*,*,#201861,.F.); #269797=ORIENTED_EDGE('',*,*,#201862,.T.); #269798=ORIENTED_EDGE('',*,*,#201861,.T.); #269799=ORIENTED_EDGE('',*,*,#201863,.F.); #269800=ORIENTED_EDGE('',*,*,#201864,.F.); #269801=ORIENTED_EDGE('',*,*,#201865,.T.); #269802=ORIENTED_EDGE('',*,*,#201864,.T.); #269803=ORIENTED_EDGE('',*,*,#201866,.F.); #269804=ORIENTED_EDGE('',*,*,#201867,.F.); #269805=ORIENTED_EDGE('',*,*,#201868,.T.); #269806=ORIENTED_EDGE('',*,*,#201867,.T.); #269807=ORIENTED_EDGE('',*,*,#201869,.F.); #269808=ORIENTED_EDGE('',*,*,#201870,.F.); #269809=ORIENTED_EDGE('',*,*,#201871,.T.); #269810=ORIENTED_EDGE('',*,*,#201870,.T.); #269811=ORIENTED_EDGE('',*,*,#201872,.F.); #269812=ORIENTED_EDGE('',*,*,#201873,.F.); #269813=ORIENTED_EDGE('',*,*,#201874,.T.); #269814=ORIENTED_EDGE('',*,*,#201873,.T.); #269815=ORIENTED_EDGE('',*,*,#201875,.F.); #269816=ORIENTED_EDGE('',*,*,#201876,.F.); #269817=ORIENTED_EDGE('',*,*,#201877,.T.); #269818=ORIENTED_EDGE('',*,*,#201876,.T.); #269819=ORIENTED_EDGE('',*,*,#201878,.F.); #269820=ORIENTED_EDGE('',*,*,#201879,.F.); #269821=ORIENTED_EDGE('',*,*,#201880,.T.); #269822=ORIENTED_EDGE('',*,*,#201879,.T.); #269823=ORIENTED_EDGE('',*,*,#201881,.F.); #269824=ORIENTED_EDGE('',*,*,#201882,.F.); #269825=ORIENTED_EDGE('',*,*,#201883,.T.); #269826=ORIENTED_EDGE('',*,*,#201882,.T.); #269827=ORIENTED_EDGE('',*,*,#201884,.F.); #269828=ORIENTED_EDGE('',*,*,#201885,.F.); #269829=ORIENTED_EDGE('',*,*,#201886,.T.); #269830=ORIENTED_EDGE('',*,*,#201885,.T.); #269831=ORIENTED_EDGE('',*,*,#201887,.F.); #269832=ORIENTED_EDGE('',*,*,#201888,.F.); #269833=ORIENTED_EDGE('',*,*,#201889,.T.); #269834=ORIENTED_EDGE('',*,*,#201888,.T.); #269835=ORIENTED_EDGE('',*,*,#201890,.F.); #269836=ORIENTED_EDGE('',*,*,#201891,.F.); #269837=ORIENTED_EDGE('',*,*,#201892,.T.); #269838=ORIENTED_EDGE('',*,*,#201891,.T.); #269839=ORIENTED_EDGE('',*,*,#201893,.F.); #269840=ORIENTED_EDGE('',*,*,#201894,.F.); #269841=ORIENTED_EDGE('',*,*,#201895,.T.); #269842=ORIENTED_EDGE('',*,*,#201894,.T.); #269843=ORIENTED_EDGE('',*,*,#201896,.F.); #269844=ORIENTED_EDGE('',*,*,#201897,.F.); #269845=ORIENTED_EDGE('',*,*,#201898,.T.); #269846=ORIENTED_EDGE('',*,*,#201897,.T.); #269847=ORIENTED_EDGE('',*,*,#201899,.F.); #269848=ORIENTED_EDGE('',*,*,#201900,.F.); #269849=ORIENTED_EDGE('',*,*,#201901,.T.); #269850=ORIENTED_EDGE('',*,*,#201900,.T.); #269851=ORIENTED_EDGE('',*,*,#201902,.F.); #269852=ORIENTED_EDGE('',*,*,#201903,.F.); #269853=ORIENTED_EDGE('',*,*,#201904,.T.); #269854=ORIENTED_EDGE('',*,*,#201903,.T.); #269855=ORIENTED_EDGE('',*,*,#201905,.F.); #269856=ORIENTED_EDGE('',*,*,#201906,.F.); #269857=ORIENTED_EDGE('',*,*,#201907,.T.); #269858=ORIENTED_EDGE('',*,*,#201906,.T.); #269859=ORIENTED_EDGE('',*,*,#201908,.F.); #269860=ORIENTED_EDGE('',*,*,#201909,.F.); #269861=ORIENTED_EDGE('',*,*,#201910,.T.); #269862=ORIENTED_EDGE('',*,*,#201909,.T.); #269863=ORIENTED_EDGE('',*,*,#201911,.F.); #269864=ORIENTED_EDGE('',*,*,#201912,.F.); #269865=ORIENTED_EDGE('',*,*,#201913,.T.); #269866=ORIENTED_EDGE('',*,*,#201912,.T.); #269867=ORIENTED_EDGE('',*,*,#201914,.F.); #269868=ORIENTED_EDGE('',*,*,#201915,.F.); #269869=ORIENTED_EDGE('',*,*,#201916,.T.); #269870=ORIENTED_EDGE('',*,*,#201915,.T.); #269871=ORIENTED_EDGE('',*,*,#201917,.F.); #269872=ORIENTED_EDGE('',*,*,#201918,.F.); #269873=ORIENTED_EDGE('',*,*,#201919,.T.); #269874=ORIENTED_EDGE('',*,*,#201918,.T.); #269875=ORIENTED_EDGE('',*,*,#201920,.F.); #269876=ORIENTED_EDGE('',*,*,#201921,.F.); #269877=ORIENTED_EDGE('',*,*,#201922,.T.); #269878=ORIENTED_EDGE('',*,*,#201921,.T.); #269879=ORIENTED_EDGE('',*,*,#201923,.F.); #269880=ORIENTED_EDGE('',*,*,#201924,.F.); #269881=ORIENTED_EDGE('',*,*,#201925,.T.); #269882=ORIENTED_EDGE('',*,*,#201924,.T.); #269883=ORIENTED_EDGE('',*,*,#201926,.F.); #269884=ORIENTED_EDGE('',*,*,#201927,.F.); #269885=ORIENTED_EDGE('',*,*,#201928,.T.); #269886=ORIENTED_EDGE('',*,*,#201927,.T.); #269887=ORIENTED_EDGE('',*,*,#201929,.F.); #269888=ORIENTED_EDGE('',*,*,#201930,.F.); #269889=ORIENTED_EDGE('',*,*,#201931,.T.); #269890=ORIENTED_EDGE('',*,*,#201930,.T.); #269891=ORIENTED_EDGE('',*,*,#201932,.F.); #269892=ORIENTED_EDGE('',*,*,#201933,.F.); #269893=ORIENTED_EDGE('',*,*,#201934,.T.); #269894=ORIENTED_EDGE('',*,*,#201933,.T.); #269895=ORIENTED_EDGE('',*,*,#201935,.F.); #269896=ORIENTED_EDGE('',*,*,#201936,.F.); #269897=ORIENTED_EDGE('',*,*,#201937,.T.); #269898=ORIENTED_EDGE('',*,*,#201936,.T.); #269899=ORIENTED_EDGE('',*,*,#201938,.F.); #269900=ORIENTED_EDGE('',*,*,#201939,.F.); #269901=ORIENTED_EDGE('',*,*,#201940,.T.); #269902=ORIENTED_EDGE('',*,*,#201939,.T.); #269903=ORIENTED_EDGE('',*,*,#201941,.F.); #269904=ORIENTED_EDGE('',*,*,#201942,.F.); #269905=ORIENTED_EDGE('',*,*,#201943,.T.); #269906=ORIENTED_EDGE('',*,*,#201942,.T.); #269907=ORIENTED_EDGE('',*,*,#201944,.F.); #269908=ORIENTED_EDGE('',*,*,#201945,.F.); #269909=ORIENTED_EDGE('',*,*,#201946,.T.); #269910=ORIENTED_EDGE('',*,*,#201945,.T.); #269911=ORIENTED_EDGE('',*,*,#201947,.F.); #269912=ORIENTED_EDGE('',*,*,#201948,.F.); #269913=ORIENTED_EDGE('',*,*,#201949,.T.); #269914=ORIENTED_EDGE('',*,*,#201948,.T.); #269915=ORIENTED_EDGE('',*,*,#201950,.F.); #269916=ORIENTED_EDGE('',*,*,#201951,.F.); #269917=ORIENTED_EDGE('',*,*,#201952,.T.); #269918=ORIENTED_EDGE('',*,*,#201951,.T.); #269919=ORIENTED_EDGE('',*,*,#201953,.F.); #269920=ORIENTED_EDGE('',*,*,#201954,.F.); #269921=ORIENTED_EDGE('',*,*,#201955,.T.); #269922=ORIENTED_EDGE('',*,*,#201954,.T.); #269923=ORIENTED_EDGE('',*,*,#201956,.F.); #269924=ORIENTED_EDGE('',*,*,#201957,.F.); #269925=ORIENTED_EDGE('',*,*,#201958,.T.); #269926=ORIENTED_EDGE('',*,*,#201957,.T.); #269927=ORIENTED_EDGE('',*,*,#201959,.F.); #269928=ORIENTED_EDGE('',*,*,#201960,.F.); #269929=ORIENTED_EDGE('',*,*,#201961,.T.); #269930=ORIENTED_EDGE('',*,*,#201960,.T.); #269931=ORIENTED_EDGE('',*,*,#201962,.F.); #269932=ORIENTED_EDGE('',*,*,#201963,.F.); #269933=ORIENTED_EDGE('',*,*,#201964,.T.); #269934=ORIENTED_EDGE('',*,*,#201963,.T.); #269935=ORIENTED_EDGE('',*,*,#201965,.F.); #269936=ORIENTED_EDGE('',*,*,#201966,.F.); #269937=ORIENTED_EDGE('',*,*,#201967,.T.); #269938=ORIENTED_EDGE('',*,*,#201966,.T.); #269939=ORIENTED_EDGE('',*,*,#201968,.F.); #269940=ORIENTED_EDGE('',*,*,#201969,.F.); #269941=ORIENTED_EDGE('',*,*,#201970,.T.); #269942=ORIENTED_EDGE('',*,*,#201969,.T.); #269943=ORIENTED_EDGE('',*,*,#201971,.F.); #269944=ORIENTED_EDGE('',*,*,#201972,.F.); #269945=ORIENTED_EDGE('',*,*,#201973,.T.); #269946=ORIENTED_EDGE('',*,*,#201972,.T.); #269947=ORIENTED_EDGE('',*,*,#201974,.F.); #269948=ORIENTED_EDGE('',*,*,#201975,.F.); #269949=ORIENTED_EDGE('',*,*,#201976,.T.); #269950=ORIENTED_EDGE('',*,*,#201975,.T.); #269951=ORIENTED_EDGE('',*,*,#201977,.F.); #269952=ORIENTED_EDGE('',*,*,#201978,.F.); #269953=ORIENTED_EDGE('',*,*,#201979,.T.); #269954=ORIENTED_EDGE('',*,*,#201978,.T.); #269955=ORIENTED_EDGE('',*,*,#201980,.F.); #269956=ORIENTED_EDGE('',*,*,#201981,.F.); #269957=ORIENTED_EDGE('',*,*,#201982,.T.); #269958=ORIENTED_EDGE('',*,*,#201981,.T.); #269959=ORIENTED_EDGE('',*,*,#201983,.F.); #269960=ORIENTED_EDGE('',*,*,#201984,.F.); #269961=ORIENTED_EDGE('',*,*,#201985,.T.); #269962=ORIENTED_EDGE('',*,*,#201984,.T.); #269963=ORIENTED_EDGE('',*,*,#201986,.F.); #269964=ORIENTED_EDGE('',*,*,#201987,.F.); #269965=ORIENTED_EDGE('',*,*,#201988,.T.); #269966=ORIENTED_EDGE('',*,*,#201987,.T.); #269967=ORIENTED_EDGE('',*,*,#201989,.F.); #269968=ORIENTED_EDGE('',*,*,#201990,.F.); #269969=ORIENTED_EDGE('',*,*,#201991,.T.); #269970=ORIENTED_EDGE('',*,*,#201990,.T.); #269971=ORIENTED_EDGE('',*,*,#201992,.F.); #269972=ORIENTED_EDGE('',*,*,#201993,.F.); #269973=ORIENTED_EDGE('',*,*,#201994,.T.); #269974=ORIENTED_EDGE('',*,*,#201993,.T.); #269975=ORIENTED_EDGE('',*,*,#201995,.F.); #269976=ORIENTED_EDGE('',*,*,#201996,.F.); #269977=ORIENTED_EDGE('',*,*,#201997,.T.); #269978=ORIENTED_EDGE('',*,*,#201996,.T.); #269979=ORIENTED_EDGE('',*,*,#201998,.F.); #269980=ORIENTED_EDGE('',*,*,#201999,.F.); #269981=ORIENTED_EDGE('',*,*,#202000,.T.); #269982=ORIENTED_EDGE('',*,*,#201999,.T.); #269983=ORIENTED_EDGE('',*,*,#202001,.F.); #269984=ORIENTED_EDGE('',*,*,#202002,.F.); #269985=ORIENTED_EDGE('',*,*,#202003,.T.); #269986=ORIENTED_EDGE('',*,*,#202002,.T.); #269987=ORIENTED_EDGE('',*,*,#202004,.F.); #269988=ORIENTED_EDGE('',*,*,#202005,.F.); #269989=ORIENTED_EDGE('',*,*,#202006,.T.); #269990=ORIENTED_EDGE('',*,*,#202005,.T.); #269991=ORIENTED_EDGE('',*,*,#202007,.F.); #269992=ORIENTED_EDGE('',*,*,#202008,.F.); #269993=ORIENTED_EDGE('',*,*,#202009,.T.); #269994=ORIENTED_EDGE('',*,*,#202008,.T.); #269995=ORIENTED_EDGE('',*,*,#202010,.F.); #269996=ORIENTED_EDGE('',*,*,#202011,.F.); #269997=ORIENTED_EDGE('',*,*,#202012,.T.); #269998=ORIENTED_EDGE('',*,*,#202011,.T.); #269999=ORIENTED_EDGE('',*,*,#202013,.F.); #270000=ORIENTED_EDGE('',*,*,#202014,.F.); #270001=ORIENTED_EDGE('',*,*,#202015,.T.); #270002=ORIENTED_EDGE('',*,*,#202014,.T.); #270003=ORIENTED_EDGE('',*,*,#202016,.F.); #270004=ORIENTED_EDGE('',*,*,#202017,.F.); #270005=ORIENTED_EDGE('',*,*,#202018,.T.); #270006=ORIENTED_EDGE('',*,*,#202017,.T.); #270007=ORIENTED_EDGE('',*,*,#202019,.F.); #270008=ORIENTED_EDGE('',*,*,#202020,.F.); #270009=ORIENTED_EDGE('',*,*,#202021,.T.); #270010=ORIENTED_EDGE('',*,*,#202020,.T.); #270011=ORIENTED_EDGE('',*,*,#202022,.F.); #270012=ORIENTED_EDGE('',*,*,#202023,.F.); #270013=ORIENTED_EDGE('',*,*,#202024,.T.); #270014=ORIENTED_EDGE('',*,*,#202023,.T.); #270015=ORIENTED_EDGE('',*,*,#202025,.F.); #270016=ORIENTED_EDGE('',*,*,#202026,.F.); #270017=ORIENTED_EDGE('',*,*,#202027,.T.); #270018=ORIENTED_EDGE('',*,*,#202026,.T.); #270019=ORIENTED_EDGE('',*,*,#202028,.F.); #270020=ORIENTED_EDGE('',*,*,#202029,.F.); #270021=ORIENTED_EDGE('',*,*,#202030,.T.); #270022=ORIENTED_EDGE('',*,*,#202029,.T.); #270023=ORIENTED_EDGE('',*,*,#202031,.F.); #270024=ORIENTED_EDGE('',*,*,#202032,.F.); #270025=ORIENTED_EDGE('',*,*,#202033,.T.); #270026=ORIENTED_EDGE('',*,*,#202032,.T.); #270027=ORIENTED_EDGE('',*,*,#202034,.F.); #270028=ORIENTED_EDGE('',*,*,#202035,.F.); #270029=ORIENTED_EDGE('',*,*,#202036,.T.); #270030=ORIENTED_EDGE('',*,*,#202035,.T.); #270031=ORIENTED_EDGE('',*,*,#202037,.F.); #270032=ORIENTED_EDGE('',*,*,#202038,.F.); #270033=ORIENTED_EDGE('',*,*,#202039,.T.); #270034=ORIENTED_EDGE('',*,*,#202038,.T.); #270035=ORIENTED_EDGE('',*,*,#202040,.F.); #270036=ORIENTED_EDGE('',*,*,#202041,.F.); #270037=ORIENTED_EDGE('',*,*,#202042,.T.); #270038=ORIENTED_EDGE('',*,*,#202041,.T.); #270039=ORIENTED_EDGE('',*,*,#202043,.F.); #270040=ORIENTED_EDGE('',*,*,#202044,.F.); #270041=ORIENTED_EDGE('',*,*,#202045,.T.); #270042=ORIENTED_EDGE('',*,*,#202044,.T.); #270043=ORIENTED_EDGE('',*,*,#202046,.F.); #270044=ORIENTED_EDGE('',*,*,#202047,.F.); #270045=ORIENTED_EDGE('',*,*,#202048,.T.); #270046=ORIENTED_EDGE('',*,*,#202047,.T.); #270047=ORIENTED_EDGE('',*,*,#202049,.F.); #270048=ORIENTED_EDGE('',*,*,#202050,.F.); #270049=ORIENTED_EDGE('',*,*,#202051,.T.); #270050=ORIENTED_EDGE('',*,*,#202050,.T.); #270051=ORIENTED_EDGE('',*,*,#202052,.F.); #270052=ORIENTED_EDGE('',*,*,#202053,.F.); #270053=ORIENTED_EDGE('',*,*,#202054,.T.); #270054=ORIENTED_EDGE('',*,*,#202053,.T.); #270055=ORIENTED_EDGE('',*,*,#202055,.F.); #270056=ORIENTED_EDGE('',*,*,#202056,.F.); #270057=ORIENTED_EDGE('',*,*,#202057,.T.); #270058=ORIENTED_EDGE('',*,*,#202056,.T.); #270059=ORIENTED_EDGE('',*,*,#202058,.F.); #270060=ORIENTED_EDGE('',*,*,#202059,.F.); #270061=ORIENTED_EDGE('',*,*,#202060,.T.); #270062=ORIENTED_EDGE('',*,*,#202059,.T.); #270063=ORIENTED_EDGE('',*,*,#202061,.F.); #270064=ORIENTED_EDGE('',*,*,#202062,.F.); #270065=ORIENTED_EDGE('',*,*,#202063,.T.); #270066=ORIENTED_EDGE('',*,*,#202062,.T.); #270067=ORIENTED_EDGE('',*,*,#202064,.F.); #270068=ORIENTED_EDGE('',*,*,#202065,.F.); #270069=ORIENTED_EDGE('',*,*,#202066,.T.); #270070=ORIENTED_EDGE('',*,*,#202065,.T.); #270071=ORIENTED_EDGE('',*,*,#202067,.F.); #270072=ORIENTED_EDGE('',*,*,#202068,.F.); #270073=ORIENTED_EDGE('',*,*,#202069,.T.); #270074=ORIENTED_EDGE('',*,*,#202068,.T.); #270075=ORIENTED_EDGE('',*,*,#202070,.F.); #270076=ORIENTED_EDGE('',*,*,#202071,.F.); #270077=ORIENTED_EDGE('',*,*,#202072,.T.); #270078=ORIENTED_EDGE('',*,*,#202071,.T.); #270079=ORIENTED_EDGE('',*,*,#202073,.F.); #270080=ORIENTED_EDGE('',*,*,#202074,.F.); #270081=ORIENTED_EDGE('',*,*,#202075,.T.); #270082=ORIENTED_EDGE('',*,*,#202074,.T.); #270083=ORIENTED_EDGE('',*,*,#202076,.F.); #270084=ORIENTED_EDGE('',*,*,#202077,.F.); #270085=ORIENTED_EDGE('',*,*,#202078,.T.); #270086=ORIENTED_EDGE('',*,*,#202077,.T.); #270087=ORIENTED_EDGE('',*,*,#202079,.F.); #270088=ORIENTED_EDGE('',*,*,#202080,.F.); #270089=ORIENTED_EDGE('',*,*,#202081,.T.); #270090=ORIENTED_EDGE('',*,*,#202080,.T.); #270091=ORIENTED_EDGE('',*,*,#202082,.F.); #270092=ORIENTED_EDGE('',*,*,#202083,.F.); #270093=ORIENTED_EDGE('',*,*,#202084,.T.); #270094=ORIENTED_EDGE('',*,*,#202083,.T.); #270095=ORIENTED_EDGE('',*,*,#202085,.F.); #270096=ORIENTED_EDGE('',*,*,#202086,.F.); #270097=ORIENTED_EDGE('',*,*,#202087,.T.); #270098=ORIENTED_EDGE('',*,*,#202086,.T.); #270099=ORIENTED_EDGE('',*,*,#202088,.F.); #270100=ORIENTED_EDGE('',*,*,#202089,.F.); #270101=ORIENTED_EDGE('',*,*,#202090,.T.); #270102=ORIENTED_EDGE('',*,*,#202089,.T.); #270103=ORIENTED_EDGE('',*,*,#202091,.F.); #270104=ORIENTED_EDGE('',*,*,#202092,.F.); #270105=ORIENTED_EDGE('',*,*,#202093,.T.); #270106=ORIENTED_EDGE('',*,*,#202092,.T.); #270107=ORIENTED_EDGE('',*,*,#202094,.F.); #270108=ORIENTED_EDGE('',*,*,#202095,.F.); #270109=ORIENTED_EDGE('',*,*,#202096,.T.); #270110=ORIENTED_EDGE('',*,*,#202095,.T.); #270111=ORIENTED_EDGE('',*,*,#202097,.F.); #270112=ORIENTED_EDGE('',*,*,#202098,.F.); #270113=ORIENTED_EDGE('',*,*,#202099,.T.); #270114=ORIENTED_EDGE('',*,*,#202098,.T.); #270115=ORIENTED_EDGE('',*,*,#202100,.F.); #270116=ORIENTED_EDGE('',*,*,#202101,.F.); #270117=ORIENTED_EDGE('',*,*,#202102,.T.); #270118=ORIENTED_EDGE('',*,*,#202101,.T.); #270119=ORIENTED_EDGE('',*,*,#202103,.F.); #270120=ORIENTED_EDGE('',*,*,#202104,.F.); #270121=ORIENTED_EDGE('',*,*,#202105,.T.); #270122=ORIENTED_EDGE('',*,*,#202104,.T.); #270123=ORIENTED_EDGE('',*,*,#202106,.F.); #270124=ORIENTED_EDGE('',*,*,#202107,.F.); #270125=ORIENTED_EDGE('',*,*,#202108,.T.); #270126=ORIENTED_EDGE('',*,*,#202107,.T.); #270127=ORIENTED_EDGE('',*,*,#202109,.F.); #270128=ORIENTED_EDGE('',*,*,#202110,.F.); #270129=ORIENTED_EDGE('',*,*,#202111,.T.); #270130=ORIENTED_EDGE('',*,*,#202110,.T.); #270131=ORIENTED_EDGE('',*,*,#202112,.F.); #270132=ORIENTED_EDGE('',*,*,#202113,.F.); #270133=ORIENTED_EDGE('',*,*,#202114,.T.); #270134=ORIENTED_EDGE('',*,*,#202113,.T.); #270135=ORIENTED_EDGE('',*,*,#202115,.F.); #270136=ORIENTED_EDGE('',*,*,#202116,.F.); #270137=ORIENTED_EDGE('',*,*,#202117,.T.); #270138=ORIENTED_EDGE('',*,*,#202116,.T.); #270139=ORIENTED_EDGE('',*,*,#202118,.F.); #270140=ORIENTED_EDGE('',*,*,#202119,.F.); #270141=ORIENTED_EDGE('',*,*,#202120,.T.); #270142=ORIENTED_EDGE('',*,*,#202119,.T.); #270143=ORIENTED_EDGE('',*,*,#202121,.F.); #270144=ORIENTED_EDGE('',*,*,#202122,.F.); #270145=ORIENTED_EDGE('',*,*,#202123,.T.); #270146=ORIENTED_EDGE('',*,*,#202122,.T.); #270147=ORIENTED_EDGE('',*,*,#202124,.F.); #270148=ORIENTED_EDGE('',*,*,#202125,.F.); #270149=ORIENTED_EDGE('',*,*,#202126,.T.); #270150=ORIENTED_EDGE('',*,*,#202125,.T.); #270151=ORIENTED_EDGE('',*,*,#202127,.F.); #270152=ORIENTED_EDGE('',*,*,#202128,.F.); #270153=ORIENTED_EDGE('',*,*,#202129,.T.); #270154=ORIENTED_EDGE('',*,*,#202128,.T.); #270155=ORIENTED_EDGE('',*,*,#202130,.F.); #270156=ORIENTED_EDGE('',*,*,#202131,.F.); #270157=ORIENTED_EDGE('',*,*,#202132,.T.); #270158=ORIENTED_EDGE('',*,*,#202131,.T.); #270159=ORIENTED_EDGE('',*,*,#202133,.F.); #270160=ORIENTED_EDGE('',*,*,#202134,.F.); #270161=ORIENTED_EDGE('',*,*,#202135,.T.); #270162=ORIENTED_EDGE('',*,*,#202134,.T.); #270163=ORIENTED_EDGE('',*,*,#202136,.F.); #270164=ORIENTED_EDGE('',*,*,#202137,.F.); #270165=ORIENTED_EDGE('',*,*,#202138,.T.); #270166=ORIENTED_EDGE('',*,*,#202137,.T.); #270167=ORIENTED_EDGE('',*,*,#202139,.F.); #270168=ORIENTED_EDGE('',*,*,#202140,.F.); #270169=ORIENTED_EDGE('',*,*,#202141,.T.); #270170=ORIENTED_EDGE('',*,*,#202140,.T.); #270171=ORIENTED_EDGE('',*,*,#202142,.F.); #270172=ORIENTED_EDGE('',*,*,#202143,.F.); #270173=ORIENTED_EDGE('',*,*,#202144,.T.); #270174=ORIENTED_EDGE('',*,*,#202143,.T.); #270175=ORIENTED_EDGE('',*,*,#202145,.F.); #270176=ORIENTED_EDGE('',*,*,#202146,.F.); #270177=ORIENTED_EDGE('',*,*,#202147,.T.); #270178=ORIENTED_EDGE('',*,*,#202146,.T.); #270179=ORIENTED_EDGE('',*,*,#202148,.F.); #270180=ORIENTED_EDGE('',*,*,#202149,.F.); #270181=ORIENTED_EDGE('',*,*,#202150,.T.); #270182=ORIENTED_EDGE('',*,*,#202149,.T.); #270183=ORIENTED_EDGE('',*,*,#202151,.F.); #270184=ORIENTED_EDGE('',*,*,#202152,.F.); #270185=ORIENTED_EDGE('',*,*,#202153,.T.); #270186=ORIENTED_EDGE('',*,*,#202152,.T.); #270187=ORIENTED_EDGE('',*,*,#202154,.F.); #270188=ORIENTED_EDGE('',*,*,#202155,.F.); #270189=ORIENTED_EDGE('',*,*,#202156,.T.); #270190=ORIENTED_EDGE('',*,*,#202155,.T.); #270191=ORIENTED_EDGE('',*,*,#202157,.F.); #270192=ORIENTED_EDGE('',*,*,#202158,.F.); #270193=ORIENTED_EDGE('',*,*,#202159,.T.); #270194=ORIENTED_EDGE('',*,*,#202158,.T.); #270195=ORIENTED_EDGE('',*,*,#202160,.F.); #270196=ORIENTED_EDGE('',*,*,#202161,.F.); #270197=ORIENTED_EDGE('',*,*,#202162,.T.); #270198=ORIENTED_EDGE('',*,*,#202161,.T.); #270199=ORIENTED_EDGE('',*,*,#202163,.F.); #270200=ORIENTED_EDGE('',*,*,#202164,.F.); #270201=ORIENTED_EDGE('',*,*,#202165,.T.); #270202=ORIENTED_EDGE('',*,*,#202164,.T.); #270203=ORIENTED_EDGE('',*,*,#202166,.F.); #270204=ORIENTED_EDGE('',*,*,#202167,.F.); #270205=ORIENTED_EDGE('',*,*,#202168,.T.); #270206=ORIENTED_EDGE('',*,*,#202167,.T.); #270207=ORIENTED_EDGE('',*,*,#202169,.F.); #270208=ORIENTED_EDGE('',*,*,#202170,.F.); #270209=ORIENTED_EDGE('',*,*,#202171,.T.); #270210=ORIENTED_EDGE('',*,*,#202170,.T.); #270211=ORIENTED_EDGE('',*,*,#202172,.F.); #270212=ORIENTED_EDGE('',*,*,#202173,.F.); #270213=ORIENTED_EDGE('',*,*,#202174,.T.); #270214=ORIENTED_EDGE('',*,*,#202173,.T.); #270215=ORIENTED_EDGE('',*,*,#202175,.F.); #270216=ORIENTED_EDGE('',*,*,#202176,.F.); #270217=ORIENTED_EDGE('',*,*,#202177,.T.); #270218=ORIENTED_EDGE('',*,*,#202176,.T.); #270219=ORIENTED_EDGE('',*,*,#202178,.F.); #270220=ORIENTED_EDGE('',*,*,#202179,.F.); #270221=ORIENTED_EDGE('',*,*,#202180,.T.); #270222=ORIENTED_EDGE('',*,*,#202179,.T.); #270223=ORIENTED_EDGE('',*,*,#202181,.F.); #270224=ORIENTED_EDGE('',*,*,#202182,.F.); #270225=ORIENTED_EDGE('',*,*,#202183,.T.); #270226=ORIENTED_EDGE('',*,*,#202182,.T.); #270227=ORIENTED_EDGE('',*,*,#202184,.F.); #270228=ORIENTED_EDGE('',*,*,#202185,.F.); #270229=ORIENTED_EDGE('',*,*,#202186,.T.); #270230=ORIENTED_EDGE('',*,*,#202185,.T.); #270231=ORIENTED_EDGE('',*,*,#202187,.F.); #270232=ORIENTED_EDGE('',*,*,#202188,.F.); #270233=ORIENTED_EDGE('',*,*,#202189,.T.); #270234=ORIENTED_EDGE('',*,*,#202188,.T.); #270235=ORIENTED_EDGE('',*,*,#202190,.F.); #270236=ORIENTED_EDGE('',*,*,#202191,.F.); #270237=ORIENTED_EDGE('',*,*,#202192,.T.); #270238=ORIENTED_EDGE('',*,*,#202191,.T.); #270239=ORIENTED_EDGE('',*,*,#202193,.F.); #270240=ORIENTED_EDGE('',*,*,#202194,.F.); #270241=ORIENTED_EDGE('',*,*,#202195,.T.); #270242=ORIENTED_EDGE('',*,*,#202194,.T.); #270243=ORIENTED_EDGE('',*,*,#202196,.F.); #270244=ORIENTED_EDGE('',*,*,#202197,.F.); #270245=ORIENTED_EDGE('',*,*,#202198,.T.); #270246=ORIENTED_EDGE('',*,*,#202197,.T.); #270247=ORIENTED_EDGE('',*,*,#202199,.F.); #270248=ORIENTED_EDGE('',*,*,#202200,.F.); #270249=ORIENTED_EDGE('',*,*,#202201,.T.); #270250=ORIENTED_EDGE('',*,*,#202200,.T.); #270251=ORIENTED_EDGE('',*,*,#202202,.F.); #270252=ORIENTED_EDGE('',*,*,#202203,.F.); #270253=ORIENTED_EDGE('',*,*,#202204,.T.); #270254=ORIENTED_EDGE('',*,*,#202203,.T.); #270255=ORIENTED_EDGE('',*,*,#202205,.F.); #270256=ORIENTED_EDGE('',*,*,#202206,.F.); #270257=ORIENTED_EDGE('',*,*,#202207,.T.); #270258=ORIENTED_EDGE('',*,*,#202206,.T.); #270259=ORIENTED_EDGE('',*,*,#202208,.F.); #270260=ORIENTED_EDGE('',*,*,#202209,.F.); #270261=ORIENTED_EDGE('',*,*,#202210,.T.); #270262=ORIENTED_EDGE('',*,*,#202209,.T.); #270263=ORIENTED_EDGE('',*,*,#202211,.F.); #270264=ORIENTED_EDGE('',*,*,#202212,.F.); #270265=ORIENTED_EDGE('',*,*,#202213,.T.); #270266=ORIENTED_EDGE('',*,*,#202212,.T.); #270267=ORIENTED_EDGE('',*,*,#202214,.F.); #270268=ORIENTED_EDGE('',*,*,#202215,.F.); #270269=ORIENTED_EDGE('',*,*,#202216,.T.); #270270=ORIENTED_EDGE('',*,*,#202215,.T.); #270271=ORIENTED_EDGE('',*,*,#202217,.F.); #270272=ORIENTED_EDGE('',*,*,#202218,.F.); #270273=ORIENTED_EDGE('',*,*,#202219,.T.); #270274=ORIENTED_EDGE('',*,*,#202218,.T.); #270275=ORIENTED_EDGE('',*,*,#202220,.F.); #270276=ORIENTED_EDGE('',*,*,#202221,.F.); #270277=ORIENTED_EDGE('',*,*,#202222,.T.); #270278=ORIENTED_EDGE('',*,*,#202221,.T.); #270279=ORIENTED_EDGE('',*,*,#202223,.F.); #270280=ORIENTED_EDGE('',*,*,#202224,.F.); #270281=ORIENTED_EDGE('',*,*,#202225,.T.); #270282=ORIENTED_EDGE('',*,*,#202224,.T.); #270283=ORIENTED_EDGE('',*,*,#202226,.F.); #270284=ORIENTED_EDGE('',*,*,#202227,.F.); #270285=ORIENTED_EDGE('',*,*,#202228,.T.); #270286=ORIENTED_EDGE('',*,*,#202227,.T.); #270287=ORIENTED_EDGE('',*,*,#202229,.F.); #270288=ORIENTED_EDGE('',*,*,#202230,.F.); #270289=ORIENTED_EDGE('',*,*,#202231,.T.); #270290=ORIENTED_EDGE('',*,*,#202230,.T.); #270291=ORIENTED_EDGE('',*,*,#202232,.F.); #270292=ORIENTED_EDGE('',*,*,#202233,.F.); #270293=ORIENTED_EDGE('',*,*,#202234,.T.); #270294=ORIENTED_EDGE('',*,*,#202233,.T.); #270295=ORIENTED_EDGE('',*,*,#202235,.F.); #270296=ORIENTED_EDGE('',*,*,#202236,.F.); #270297=ORIENTED_EDGE('',*,*,#202237,.T.); #270298=ORIENTED_EDGE('',*,*,#202236,.T.); #270299=ORIENTED_EDGE('',*,*,#202238,.F.); #270300=ORIENTED_EDGE('',*,*,#202239,.F.); #270301=ORIENTED_EDGE('',*,*,#202240,.T.); #270302=ORIENTED_EDGE('',*,*,#202239,.T.); #270303=ORIENTED_EDGE('',*,*,#202241,.F.); #270304=ORIENTED_EDGE('',*,*,#202242,.F.); #270305=ORIENTED_EDGE('',*,*,#202243,.T.); #270306=ORIENTED_EDGE('',*,*,#202242,.T.); #270307=ORIENTED_EDGE('',*,*,#202244,.F.); #270308=ORIENTED_EDGE('',*,*,#202245,.F.); #270309=ORIENTED_EDGE('',*,*,#202246,.T.); #270310=ORIENTED_EDGE('',*,*,#202245,.T.); #270311=ORIENTED_EDGE('',*,*,#202247,.F.); #270312=ORIENTED_EDGE('',*,*,#202248,.F.); #270313=ORIENTED_EDGE('',*,*,#202249,.T.); #270314=ORIENTED_EDGE('',*,*,#202248,.T.); #270315=ORIENTED_EDGE('',*,*,#202250,.F.); #270316=ORIENTED_EDGE('',*,*,#202251,.F.); #270317=ORIENTED_EDGE('',*,*,#202252,.T.); #270318=ORIENTED_EDGE('',*,*,#202251,.T.); #270319=ORIENTED_EDGE('',*,*,#202253,.F.); #270320=ORIENTED_EDGE('',*,*,#202254,.F.); #270321=ORIENTED_EDGE('',*,*,#202255,.T.); #270322=ORIENTED_EDGE('',*,*,#202254,.T.); #270323=ORIENTED_EDGE('',*,*,#202256,.F.); #270324=ORIENTED_EDGE('',*,*,#202257,.F.); #270325=ORIENTED_EDGE('',*,*,#202258,.T.); #270326=ORIENTED_EDGE('',*,*,#202257,.T.); #270327=ORIENTED_EDGE('',*,*,#202259,.F.); #270328=ORIENTED_EDGE('',*,*,#202260,.F.); #270329=ORIENTED_EDGE('',*,*,#202261,.T.); #270330=ORIENTED_EDGE('',*,*,#202260,.T.); #270331=ORIENTED_EDGE('',*,*,#202262,.F.); #270332=ORIENTED_EDGE('',*,*,#202263,.F.); #270333=ORIENTED_EDGE('',*,*,#202264,.T.); #270334=ORIENTED_EDGE('',*,*,#202263,.T.); #270335=ORIENTED_EDGE('',*,*,#202265,.F.); #270336=ORIENTED_EDGE('',*,*,#202266,.F.); #270337=ORIENTED_EDGE('',*,*,#202267,.T.); #270338=ORIENTED_EDGE('',*,*,#202266,.T.); #270339=ORIENTED_EDGE('',*,*,#202268,.F.); #270340=ORIENTED_EDGE('',*,*,#202269,.F.); #270341=ORIENTED_EDGE('',*,*,#202270,.T.); #270342=ORIENTED_EDGE('',*,*,#202269,.T.); #270343=ORIENTED_EDGE('',*,*,#202271,.F.); #270344=ORIENTED_EDGE('',*,*,#202272,.F.); #270345=ORIENTED_EDGE('',*,*,#202273,.T.); #270346=ORIENTED_EDGE('',*,*,#202272,.T.); #270347=ORIENTED_EDGE('',*,*,#202274,.F.); #270348=ORIENTED_EDGE('',*,*,#202275,.F.); #270349=ORIENTED_EDGE('',*,*,#202276,.T.); #270350=ORIENTED_EDGE('',*,*,#202275,.T.); #270351=ORIENTED_EDGE('',*,*,#202277,.F.); #270352=ORIENTED_EDGE('',*,*,#202278,.F.); #270353=ORIENTED_EDGE('',*,*,#202279,.T.); #270354=ORIENTED_EDGE('',*,*,#202278,.T.); #270355=ORIENTED_EDGE('',*,*,#202280,.F.); #270356=ORIENTED_EDGE('',*,*,#202281,.F.); #270357=ORIENTED_EDGE('',*,*,#202282,.T.); #270358=ORIENTED_EDGE('',*,*,#202281,.T.); #270359=ORIENTED_EDGE('',*,*,#202283,.F.); #270360=ORIENTED_EDGE('',*,*,#202284,.F.); #270361=ORIENTED_EDGE('',*,*,#202285,.T.); #270362=ORIENTED_EDGE('',*,*,#202284,.T.); #270363=ORIENTED_EDGE('',*,*,#202286,.F.); #270364=ORIENTED_EDGE('',*,*,#202287,.F.); #270365=ORIENTED_EDGE('',*,*,#202288,.T.); #270366=ORIENTED_EDGE('',*,*,#202287,.T.); #270367=ORIENTED_EDGE('',*,*,#202289,.F.); #270368=ORIENTED_EDGE('',*,*,#202290,.F.); #270369=ORIENTED_EDGE('',*,*,#202291,.T.); #270370=ORIENTED_EDGE('',*,*,#202290,.T.); #270371=ORIENTED_EDGE('',*,*,#202292,.F.); #270372=ORIENTED_EDGE('',*,*,#202293,.F.); #270373=ORIENTED_EDGE('',*,*,#202294,.T.); #270374=ORIENTED_EDGE('',*,*,#202293,.T.); #270375=ORIENTED_EDGE('',*,*,#202295,.F.); #270376=ORIENTED_EDGE('',*,*,#202296,.F.); #270377=ORIENTED_EDGE('',*,*,#202297,.T.); #270378=ORIENTED_EDGE('',*,*,#202296,.T.); #270379=ORIENTED_EDGE('',*,*,#202298,.F.); #270380=ORIENTED_EDGE('',*,*,#202299,.F.); #270381=ORIENTED_EDGE('',*,*,#202300,.T.); #270382=ORIENTED_EDGE('',*,*,#202299,.T.); #270383=ORIENTED_EDGE('',*,*,#202301,.F.); #270384=ORIENTED_EDGE('',*,*,#202302,.F.); #270385=ORIENTED_EDGE('',*,*,#202303,.T.); #270386=ORIENTED_EDGE('',*,*,#202302,.T.); #270387=ORIENTED_EDGE('',*,*,#202304,.F.); #270388=ORIENTED_EDGE('',*,*,#202305,.F.); #270389=ORIENTED_EDGE('',*,*,#202306,.T.); #270390=ORIENTED_EDGE('',*,*,#202305,.T.); #270391=ORIENTED_EDGE('',*,*,#202307,.F.); #270392=ORIENTED_EDGE('',*,*,#202308,.F.); #270393=ORIENTED_EDGE('',*,*,#202309,.T.); #270394=ORIENTED_EDGE('',*,*,#202308,.T.); #270395=ORIENTED_EDGE('',*,*,#202310,.F.); #270396=ORIENTED_EDGE('',*,*,#202311,.F.); #270397=ORIENTED_EDGE('',*,*,#202312,.T.); #270398=ORIENTED_EDGE('',*,*,#202311,.T.); #270399=ORIENTED_EDGE('',*,*,#202313,.F.); #270400=ORIENTED_EDGE('',*,*,#202314,.F.); #270401=ORIENTED_EDGE('',*,*,#202315,.T.); #270402=ORIENTED_EDGE('',*,*,#202314,.T.); #270403=ORIENTED_EDGE('',*,*,#202316,.F.); #270404=ORIENTED_EDGE('',*,*,#202317,.F.); #270405=ORIENTED_EDGE('',*,*,#202318,.T.); #270406=ORIENTED_EDGE('',*,*,#202317,.T.); #270407=ORIENTED_EDGE('',*,*,#202319,.F.); #270408=ORIENTED_EDGE('',*,*,#202320,.F.); #270409=ORIENTED_EDGE('',*,*,#202321,.T.); #270410=ORIENTED_EDGE('',*,*,#202320,.T.); #270411=ORIENTED_EDGE('',*,*,#202322,.F.); #270412=ORIENTED_EDGE('',*,*,#202323,.F.); #270413=ORIENTED_EDGE('',*,*,#202324,.T.); #270414=ORIENTED_EDGE('',*,*,#202323,.T.); #270415=ORIENTED_EDGE('',*,*,#202325,.F.); #270416=ORIENTED_EDGE('',*,*,#202326,.F.); #270417=ORIENTED_EDGE('',*,*,#202327,.T.); #270418=ORIENTED_EDGE('',*,*,#202326,.T.); #270419=ORIENTED_EDGE('',*,*,#202328,.F.); #270420=ORIENTED_EDGE('',*,*,#202329,.F.); #270421=ORIENTED_EDGE('',*,*,#202330,.T.); #270422=ORIENTED_EDGE('',*,*,#202329,.T.); #270423=ORIENTED_EDGE('',*,*,#202331,.F.); #270424=ORIENTED_EDGE('',*,*,#202332,.F.); #270425=ORIENTED_EDGE('',*,*,#202333,.T.); #270426=ORIENTED_EDGE('',*,*,#202332,.T.); #270427=ORIENTED_EDGE('',*,*,#202334,.F.); #270428=ORIENTED_EDGE('',*,*,#202335,.F.); #270429=ORIENTED_EDGE('',*,*,#202336,.T.); #270430=ORIENTED_EDGE('',*,*,#202335,.T.); #270431=ORIENTED_EDGE('',*,*,#202337,.F.); #270432=ORIENTED_EDGE('',*,*,#202338,.F.); #270433=ORIENTED_EDGE('',*,*,#202339,.T.); #270434=ORIENTED_EDGE('',*,*,#202338,.T.); #270435=ORIENTED_EDGE('',*,*,#202340,.F.); #270436=ORIENTED_EDGE('',*,*,#202341,.F.); #270437=ORIENTED_EDGE('',*,*,#202342,.T.); #270438=ORIENTED_EDGE('',*,*,#202341,.T.); #270439=ORIENTED_EDGE('',*,*,#202343,.F.); #270440=ORIENTED_EDGE('',*,*,#202344,.F.); #270441=ORIENTED_EDGE('',*,*,#202345,.T.); #270442=ORIENTED_EDGE('',*,*,#202344,.T.); #270443=ORIENTED_EDGE('',*,*,#202346,.F.); #270444=ORIENTED_EDGE('',*,*,#201454,.F.); #270445=ORIENTED_EDGE('',*,*,#202346,.T.); #270446=ORIENTED_EDGE('',*,*,#202343,.T.); #270447=ORIENTED_EDGE('',*,*,#202340,.T.); #270448=ORIENTED_EDGE('',*,*,#202337,.T.); #270449=ORIENTED_EDGE('',*,*,#202334,.T.); #270450=ORIENTED_EDGE('',*,*,#202331,.T.); #270451=ORIENTED_EDGE('',*,*,#202328,.T.); #270452=ORIENTED_EDGE('',*,*,#202325,.T.); #270453=ORIENTED_EDGE('',*,*,#202322,.T.); #270454=ORIENTED_EDGE('',*,*,#202319,.T.); #270455=ORIENTED_EDGE('',*,*,#202316,.T.); #270456=ORIENTED_EDGE('',*,*,#202313,.T.); #270457=ORIENTED_EDGE('',*,*,#202310,.T.); #270458=ORIENTED_EDGE('',*,*,#202307,.T.); #270459=ORIENTED_EDGE('',*,*,#202304,.T.); #270460=ORIENTED_EDGE('',*,*,#202301,.T.); #270461=ORIENTED_EDGE('',*,*,#202298,.T.); #270462=ORIENTED_EDGE('',*,*,#202295,.T.); #270463=ORIENTED_EDGE('',*,*,#202292,.T.); #270464=ORIENTED_EDGE('',*,*,#202289,.T.); #270465=ORIENTED_EDGE('',*,*,#202286,.T.); #270466=ORIENTED_EDGE('',*,*,#202283,.T.); #270467=ORIENTED_EDGE('',*,*,#202280,.T.); #270468=ORIENTED_EDGE('',*,*,#202277,.T.); #270469=ORIENTED_EDGE('',*,*,#202274,.T.); #270470=ORIENTED_EDGE('',*,*,#202271,.T.); #270471=ORIENTED_EDGE('',*,*,#202268,.T.); #270472=ORIENTED_EDGE('',*,*,#202265,.T.); #270473=ORIENTED_EDGE('',*,*,#202262,.T.); #270474=ORIENTED_EDGE('',*,*,#202259,.T.); #270475=ORIENTED_EDGE('',*,*,#202256,.T.); #270476=ORIENTED_EDGE('',*,*,#202253,.T.); #270477=ORIENTED_EDGE('',*,*,#202250,.T.); #270478=ORIENTED_EDGE('',*,*,#202247,.T.); #270479=ORIENTED_EDGE('',*,*,#202244,.T.); #270480=ORIENTED_EDGE('',*,*,#202241,.T.); #270481=ORIENTED_EDGE('',*,*,#202238,.T.); #270482=ORIENTED_EDGE('',*,*,#202235,.T.); #270483=ORIENTED_EDGE('',*,*,#202232,.T.); #270484=ORIENTED_EDGE('',*,*,#202229,.T.); #270485=ORIENTED_EDGE('',*,*,#202226,.T.); #270486=ORIENTED_EDGE('',*,*,#202223,.T.); #270487=ORIENTED_EDGE('',*,*,#202220,.T.); #270488=ORIENTED_EDGE('',*,*,#202217,.T.); #270489=ORIENTED_EDGE('',*,*,#202214,.T.); #270490=ORIENTED_EDGE('',*,*,#202211,.T.); #270491=ORIENTED_EDGE('',*,*,#202208,.T.); #270492=ORIENTED_EDGE('',*,*,#202205,.T.); #270493=ORIENTED_EDGE('',*,*,#202202,.T.); #270494=ORIENTED_EDGE('',*,*,#202199,.T.); #270495=ORIENTED_EDGE('',*,*,#202196,.T.); #270496=ORIENTED_EDGE('',*,*,#202193,.T.); #270497=ORIENTED_EDGE('',*,*,#202190,.T.); #270498=ORIENTED_EDGE('',*,*,#202187,.T.); #270499=ORIENTED_EDGE('',*,*,#202184,.T.); #270500=ORIENTED_EDGE('',*,*,#202181,.T.); #270501=ORIENTED_EDGE('',*,*,#202178,.T.); #270502=ORIENTED_EDGE('',*,*,#202175,.T.); #270503=ORIENTED_EDGE('',*,*,#202172,.T.); #270504=ORIENTED_EDGE('',*,*,#202169,.T.); #270505=ORIENTED_EDGE('',*,*,#202166,.T.); #270506=ORIENTED_EDGE('',*,*,#202163,.T.); #270507=ORIENTED_EDGE('',*,*,#202160,.T.); #270508=ORIENTED_EDGE('',*,*,#202157,.T.); #270509=ORIENTED_EDGE('',*,*,#202154,.T.); #270510=ORIENTED_EDGE('',*,*,#202151,.T.); #270511=ORIENTED_EDGE('',*,*,#202148,.T.); #270512=ORIENTED_EDGE('',*,*,#202145,.T.); #270513=ORIENTED_EDGE('',*,*,#202142,.T.); #270514=ORIENTED_EDGE('',*,*,#202139,.T.); #270515=ORIENTED_EDGE('',*,*,#202136,.T.); #270516=ORIENTED_EDGE('',*,*,#202133,.T.); #270517=ORIENTED_EDGE('',*,*,#202130,.T.); #270518=ORIENTED_EDGE('',*,*,#202127,.T.); #270519=ORIENTED_EDGE('',*,*,#202124,.T.); #270520=ORIENTED_EDGE('',*,*,#202121,.T.); #270521=ORIENTED_EDGE('',*,*,#202118,.T.); #270522=ORIENTED_EDGE('',*,*,#202115,.T.); #270523=ORIENTED_EDGE('',*,*,#202112,.T.); #270524=ORIENTED_EDGE('',*,*,#202109,.T.); #270525=ORIENTED_EDGE('',*,*,#202106,.T.); #270526=ORIENTED_EDGE('',*,*,#202103,.T.); #270527=ORIENTED_EDGE('',*,*,#202100,.T.); #270528=ORIENTED_EDGE('',*,*,#202097,.T.); #270529=ORIENTED_EDGE('',*,*,#202094,.T.); #270530=ORIENTED_EDGE('',*,*,#202091,.T.); #270531=ORIENTED_EDGE('',*,*,#202088,.T.); #270532=ORIENTED_EDGE('',*,*,#202085,.T.); #270533=ORIENTED_EDGE('',*,*,#202082,.T.); #270534=ORIENTED_EDGE('',*,*,#202079,.T.); #270535=ORIENTED_EDGE('',*,*,#202076,.T.); #270536=ORIENTED_EDGE('',*,*,#202073,.T.); #270537=ORIENTED_EDGE('',*,*,#202070,.T.); #270538=ORIENTED_EDGE('',*,*,#202067,.T.); #270539=ORIENTED_EDGE('',*,*,#202064,.T.); #270540=ORIENTED_EDGE('',*,*,#202061,.T.); #270541=ORIENTED_EDGE('',*,*,#202058,.T.); #270542=ORIENTED_EDGE('',*,*,#202055,.T.); #270543=ORIENTED_EDGE('',*,*,#202052,.T.); #270544=ORIENTED_EDGE('',*,*,#202049,.T.); #270545=ORIENTED_EDGE('',*,*,#202046,.T.); #270546=ORIENTED_EDGE('',*,*,#202043,.T.); #270547=ORIENTED_EDGE('',*,*,#202040,.T.); #270548=ORIENTED_EDGE('',*,*,#202037,.T.); #270549=ORIENTED_EDGE('',*,*,#202034,.T.); #270550=ORIENTED_EDGE('',*,*,#202031,.T.); #270551=ORIENTED_EDGE('',*,*,#202028,.T.); #270552=ORIENTED_EDGE('',*,*,#202025,.T.); #270553=ORIENTED_EDGE('',*,*,#202022,.T.); #270554=ORIENTED_EDGE('',*,*,#202019,.T.); #270555=ORIENTED_EDGE('',*,*,#202016,.T.); #270556=ORIENTED_EDGE('',*,*,#202013,.T.); #270557=ORIENTED_EDGE('',*,*,#202010,.T.); #270558=ORIENTED_EDGE('',*,*,#202007,.T.); #270559=ORIENTED_EDGE('',*,*,#202004,.T.); #270560=ORIENTED_EDGE('',*,*,#202001,.T.); #270561=ORIENTED_EDGE('',*,*,#201998,.T.); #270562=ORIENTED_EDGE('',*,*,#201995,.T.); #270563=ORIENTED_EDGE('',*,*,#201992,.T.); #270564=ORIENTED_EDGE('',*,*,#201989,.T.); #270565=ORIENTED_EDGE('',*,*,#201986,.T.); #270566=ORIENTED_EDGE('',*,*,#201983,.T.); #270567=ORIENTED_EDGE('',*,*,#201980,.T.); #270568=ORIENTED_EDGE('',*,*,#201977,.T.); #270569=ORIENTED_EDGE('',*,*,#201974,.T.); #270570=ORIENTED_EDGE('',*,*,#201971,.T.); #270571=ORIENTED_EDGE('',*,*,#201968,.T.); #270572=ORIENTED_EDGE('',*,*,#201965,.T.); #270573=ORIENTED_EDGE('',*,*,#201962,.T.); #270574=ORIENTED_EDGE('',*,*,#201959,.T.); #270575=ORIENTED_EDGE('',*,*,#201956,.T.); #270576=ORIENTED_EDGE('',*,*,#201953,.T.); #270577=ORIENTED_EDGE('',*,*,#201950,.T.); #270578=ORIENTED_EDGE('',*,*,#201947,.T.); #270579=ORIENTED_EDGE('',*,*,#201944,.T.); #270580=ORIENTED_EDGE('',*,*,#201941,.T.); #270581=ORIENTED_EDGE('',*,*,#201938,.T.); #270582=ORIENTED_EDGE('',*,*,#201935,.T.); #270583=ORIENTED_EDGE('',*,*,#201932,.T.); #270584=ORIENTED_EDGE('',*,*,#201929,.T.); #270585=ORIENTED_EDGE('',*,*,#201926,.T.); #270586=ORIENTED_EDGE('',*,*,#201923,.T.); #270587=ORIENTED_EDGE('',*,*,#201920,.T.); #270588=ORIENTED_EDGE('',*,*,#201917,.T.); #270589=ORIENTED_EDGE('',*,*,#201914,.T.); #270590=ORIENTED_EDGE('',*,*,#201911,.T.); #270591=ORIENTED_EDGE('',*,*,#201908,.T.); #270592=ORIENTED_EDGE('',*,*,#201905,.T.); #270593=ORIENTED_EDGE('',*,*,#201902,.T.); #270594=ORIENTED_EDGE('',*,*,#201899,.T.); #270595=ORIENTED_EDGE('',*,*,#201896,.T.); #270596=ORIENTED_EDGE('',*,*,#201893,.T.); #270597=ORIENTED_EDGE('',*,*,#201890,.T.); #270598=ORIENTED_EDGE('',*,*,#201887,.T.); #270599=ORIENTED_EDGE('',*,*,#201884,.T.); #270600=ORIENTED_EDGE('',*,*,#201881,.T.); #270601=ORIENTED_EDGE('',*,*,#201878,.T.); #270602=ORIENTED_EDGE('',*,*,#201875,.T.); #270603=ORIENTED_EDGE('',*,*,#201872,.T.); #270604=ORIENTED_EDGE('',*,*,#201869,.T.); #270605=ORIENTED_EDGE('',*,*,#201866,.T.); #270606=ORIENTED_EDGE('',*,*,#201863,.T.); #270607=ORIENTED_EDGE('',*,*,#201860,.T.); #270608=ORIENTED_EDGE('',*,*,#201857,.T.); #270609=ORIENTED_EDGE('',*,*,#201854,.T.); #270610=ORIENTED_EDGE('',*,*,#201851,.T.); #270611=ORIENTED_EDGE('',*,*,#201848,.T.); #270612=ORIENTED_EDGE('',*,*,#201845,.T.); #270613=ORIENTED_EDGE('',*,*,#201842,.T.); #270614=ORIENTED_EDGE('',*,*,#201839,.T.); #270615=ORIENTED_EDGE('',*,*,#201836,.T.); #270616=ORIENTED_EDGE('',*,*,#201833,.T.); #270617=ORIENTED_EDGE('',*,*,#201830,.T.); #270618=ORIENTED_EDGE('',*,*,#201827,.T.); #270619=ORIENTED_EDGE('',*,*,#201824,.T.); #270620=ORIENTED_EDGE('',*,*,#201821,.T.); #270621=ORIENTED_EDGE('',*,*,#201818,.T.); #270622=ORIENTED_EDGE('',*,*,#201815,.T.); #270623=ORIENTED_EDGE('',*,*,#201812,.T.); #270624=ORIENTED_EDGE('',*,*,#201809,.T.); #270625=ORIENTED_EDGE('',*,*,#201806,.T.); #270626=ORIENTED_EDGE('',*,*,#201803,.T.); #270627=ORIENTED_EDGE('',*,*,#201800,.T.); #270628=ORIENTED_EDGE('',*,*,#201797,.T.); #270629=ORIENTED_EDGE('',*,*,#201794,.T.); #270630=ORIENTED_EDGE('',*,*,#201791,.T.); #270631=ORIENTED_EDGE('',*,*,#201788,.T.); #270632=ORIENTED_EDGE('',*,*,#201785,.T.); #270633=ORIENTED_EDGE('',*,*,#201782,.T.); #270634=ORIENTED_EDGE('',*,*,#201779,.T.); #270635=ORIENTED_EDGE('',*,*,#201776,.T.); #270636=ORIENTED_EDGE('',*,*,#201773,.T.); #270637=ORIENTED_EDGE('',*,*,#201770,.T.); #270638=ORIENTED_EDGE('',*,*,#201767,.T.); #270639=ORIENTED_EDGE('',*,*,#201764,.T.); #270640=ORIENTED_EDGE('',*,*,#201761,.T.); #270641=ORIENTED_EDGE('',*,*,#201758,.T.); #270642=ORIENTED_EDGE('',*,*,#201755,.T.); #270643=ORIENTED_EDGE('',*,*,#201752,.T.); #270644=ORIENTED_EDGE('',*,*,#201749,.T.); #270645=ORIENTED_EDGE('',*,*,#201746,.T.); #270646=ORIENTED_EDGE('',*,*,#201743,.T.); #270647=ORIENTED_EDGE('',*,*,#201740,.T.); #270648=ORIENTED_EDGE('',*,*,#201737,.T.); #270649=ORIENTED_EDGE('',*,*,#201734,.T.); #270650=ORIENTED_EDGE('',*,*,#201731,.T.); #270651=ORIENTED_EDGE('',*,*,#201728,.T.); #270652=ORIENTED_EDGE('',*,*,#201725,.T.); #270653=ORIENTED_EDGE('',*,*,#201722,.T.); #270654=ORIENTED_EDGE('',*,*,#201719,.T.); #270655=ORIENTED_EDGE('',*,*,#201716,.T.); #270656=ORIENTED_EDGE('',*,*,#201713,.T.); #270657=ORIENTED_EDGE('',*,*,#201710,.T.); #270658=ORIENTED_EDGE('',*,*,#201707,.T.); #270659=ORIENTED_EDGE('',*,*,#201704,.T.); #270660=ORIENTED_EDGE('',*,*,#201701,.T.); #270661=ORIENTED_EDGE('',*,*,#201698,.T.); #270662=ORIENTED_EDGE('',*,*,#201695,.T.); #270663=ORIENTED_EDGE('',*,*,#201692,.T.); #270664=ORIENTED_EDGE('',*,*,#201689,.T.); #270665=ORIENTED_EDGE('',*,*,#201686,.T.); #270666=ORIENTED_EDGE('',*,*,#201683,.T.); #270667=ORIENTED_EDGE('',*,*,#201680,.T.); #270668=ORIENTED_EDGE('',*,*,#201677,.T.); #270669=ORIENTED_EDGE('',*,*,#201674,.T.); #270670=ORIENTED_EDGE('',*,*,#201671,.T.); #270671=ORIENTED_EDGE('',*,*,#201668,.T.); #270672=ORIENTED_EDGE('',*,*,#201665,.T.); #270673=ORIENTED_EDGE('',*,*,#201662,.T.); #270674=ORIENTED_EDGE('',*,*,#201659,.T.); #270675=ORIENTED_EDGE('',*,*,#201656,.T.); #270676=ORIENTED_EDGE('',*,*,#201653,.T.); #270677=ORIENTED_EDGE('',*,*,#201650,.T.); #270678=ORIENTED_EDGE('',*,*,#201647,.T.); #270679=ORIENTED_EDGE('',*,*,#201644,.T.); #270680=ORIENTED_EDGE('',*,*,#201641,.T.); #270681=ORIENTED_EDGE('',*,*,#201638,.T.); #270682=ORIENTED_EDGE('',*,*,#201635,.T.); #270683=ORIENTED_EDGE('',*,*,#201632,.T.); #270684=ORIENTED_EDGE('',*,*,#201629,.T.); #270685=ORIENTED_EDGE('',*,*,#201626,.T.); #270686=ORIENTED_EDGE('',*,*,#201623,.T.); #270687=ORIENTED_EDGE('',*,*,#201620,.T.); #270688=ORIENTED_EDGE('',*,*,#201617,.T.); #270689=ORIENTED_EDGE('',*,*,#201614,.T.); #270690=ORIENTED_EDGE('',*,*,#201611,.T.); #270691=ORIENTED_EDGE('',*,*,#201608,.T.); #270692=ORIENTED_EDGE('',*,*,#201605,.T.); #270693=ORIENTED_EDGE('',*,*,#201602,.T.); #270694=ORIENTED_EDGE('',*,*,#201599,.T.); #270695=ORIENTED_EDGE('',*,*,#201596,.T.); #270696=ORIENTED_EDGE('',*,*,#201593,.T.); #270697=ORIENTED_EDGE('',*,*,#201590,.T.); #270698=ORIENTED_EDGE('',*,*,#201587,.T.); #270699=ORIENTED_EDGE('',*,*,#201584,.T.); #270700=ORIENTED_EDGE('',*,*,#201581,.T.); #270701=ORIENTED_EDGE('',*,*,#201578,.T.); #270702=ORIENTED_EDGE('',*,*,#201575,.T.); #270703=ORIENTED_EDGE('',*,*,#201572,.T.); #270704=ORIENTED_EDGE('',*,*,#201569,.T.); #270705=ORIENTED_EDGE('',*,*,#201566,.T.); #270706=ORIENTED_EDGE('',*,*,#201563,.T.); #270707=ORIENTED_EDGE('',*,*,#201560,.T.); #270708=ORIENTED_EDGE('',*,*,#201557,.T.); #270709=ORIENTED_EDGE('',*,*,#201554,.T.); #270710=ORIENTED_EDGE('',*,*,#201551,.T.); #270711=ORIENTED_EDGE('',*,*,#201548,.T.); #270712=ORIENTED_EDGE('',*,*,#201545,.T.); #270713=ORIENTED_EDGE('',*,*,#201542,.T.); #270714=ORIENTED_EDGE('',*,*,#201539,.T.); #270715=ORIENTED_EDGE('',*,*,#201536,.T.); #270716=ORIENTED_EDGE('',*,*,#201533,.T.); #270717=ORIENTED_EDGE('',*,*,#201530,.T.); #270718=ORIENTED_EDGE('',*,*,#201527,.T.); #270719=ORIENTED_EDGE('',*,*,#201524,.T.); #270720=ORIENTED_EDGE('',*,*,#201521,.T.); #270721=ORIENTED_EDGE('',*,*,#201518,.T.); #270722=ORIENTED_EDGE('',*,*,#201515,.T.); #270723=ORIENTED_EDGE('',*,*,#201512,.T.); #270724=ORIENTED_EDGE('',*,*,#201509,.T.); #270725=ORIENTED_EDGE('',*,*,#201506,.T.); #270726=ORIENTED_EDGE('',*,*,#201503,.T.); #270727=ORIENTED_EDGE('',*,*,#201500,.T.); #270728=ORIENTED_EDGE('',*,*,#201497,.T.); #270729=ORIENTED_EDGE('',*,*,#201494,.T.); #270730=ORIENTED_EDGE('',*,*,#201491,.T.); #270731=ORIENTED_EDGE('',*,*,#201488,.T.); #270732=ORIENTED_EDGE('',*,*,#201485,.T.); #270733=ORIENTED_EDGE('',*,*,#201482,.T.); #270734=ORIENTED_EDGE('',*,*,#201479,.T.); #270735=ORIENTED_EDGE('',*,*,#201476,.T.); #270736=ORIENTED_EDGE('',*,*,#201473,.T.); #270737=ORIENTED_EDGE('',*,*,#201470,.T.); #270738=ORIENTED_EDGE('',*,*,#201467,.T.); #270739=ORIENTED_EDGE('',*,*,#201464,.T.); #270740=ORIENTED_EDGE('',*,*,#201461,.T.); #270741=ORIENTED_EDGE('',*,*,#201458,.T.); #270742=ORIENTED_EDGE('',*,*,#201455,.T.); #270743=ORIENTED_EDGE('',*,*,#201335,.T.); #270744=ORIENTED_EDGE('',*,*,#201338,.T.); #270745=ORIENTED_EDGE('',*,*,#201341,.T.); #270746=ORIENTED_EDGE('',*,*,#201344,.T.); #270747=ORIENTED_EDGE('',*,*,#201347,.T.); #270748=ORIENTED_EDGE('',*,*,#201350,.T.); #270749=ORIENTED_EDGE('',*,*,#201353,.T.); #270750=ORIENTED_EDGE('',*,*,#201356,.T.); #270751=ORIENTED_EDGE('',*,*,#201359,.T.); #270752=ORIENTED_EDGE('',*,*,#201362,.T.); #270753=ORIENTED_EDGE('',*,*,#201365,.T.); #270754=ORIENTED_EDGE('',*,*,#201368,.T.); #270755=ORIENTED_EDGE('',*,*,#201371,.T.); #270756=ORIENTED_EDGE('',*,*,#201374,.T.); #270757=ORIENTED_EDGE('',*,*,#201377,.T.); #270758=ORIENTED_EDGE('',*,*,#201380,.T.); #270759=ORIENTED_EDGE('',*,*,#201452,.T.); #270760=ORIENTED_EDGE('',*,*,#201449,.T.); #270761=ORIENTED_EDGE('',*,*,#201446,.T.); #270762=ORIENTED_EDGE('',*,*,#201443,.T.); #270763=ORIENTED_EDGE('',*,*,#201440,.T.); #270764=ORIENTED_EDGE('',*,*,#201437,.T.); #270765=ORIENTED_EDGE('',*,*,#201434,.T.); #270766=ORIENTED_EDGE('',*,*,#201431,.T.); #270767=ORIENTED_EDGE('',*,*,#201428,.T.); #270768=ORIENTED_EDGE('',*,*,#201425,.T.); #270769=ORIENTED_EDGE('',*,*,#201422,.T.); #270770=ORIENTED_EDGE('',*,*,#201419,.T.); #270771=ORIENTED_EDGE('',*,*,#201416,.T.); #270772=ORIENTED_EDGE('',*,*,#201413,.T.); #270773=ORIENTED_EDGE('',*,*,#201410,.T.); #270774=ORIENTED_EDGE('',*,*,#201407,.T.); #270775=ORIENTED_EDGE('',*,*,#201404,.T.); #270776=ORIENTED_EDGE('',*,*,#201401,.T.); #270777=ORIENTED_EDGE('',*,*,#201398,.T.); #270778=ORIENTED_EDGE('',*,*,#201395,.T.); #270779=ORIENTED_EDGE('',*,*,#201392,.T.); #270780=ORIENTED_EDGE('',*,*,#201389,.T.); #270781=ORIENTED_EDGE('',*,*,#201386,.T.); #270782=ORIENTED_EDGE('',*,*,#201383,.T.); #270783=ORIENTED_EDGE('',*,*,#202345,.F.); #270784=ORIENTED_EDGE('',*,*,#201453,.F.); #270785=ORIENTED_EDGE('',*,*,#201457,.F.); #270786=ORIENTED_EDGE('',*,*,#201460,.F.); #270787=ORIENTED_EDGE('',*,*,#201463,.F.); #270788=ORIENTED_EDGE('',*,*,#201466,.F.); #270789=ORIENTED_EDGE('',*,*,#201469,.F.); #270790=ORIENTED_EDGE('',*,*,#201472,.F.); #270791=ORIENTED_EDGE('',*,*,#201475,.F.); #270792=ORIENTED_EDGE('',*,*,#201478,.F.); #270793=ORIENTED_EDGE('',*,*,#201481,.F.); #270794=ORIENTED_EDGE('',*,*,#201484,.F.); #270795=ORIENTED_EDGE('',*,*,#201487,.F.); #270796=ORIENTED_EDGE('',*,*,#201490,.F.); #270797=ORIENTED_EDGE('',*,*,#201493,.F.); #270798=ORIENTED_EDGE('',*,*,#201496,.F.); #270799=ORIENTED_EDGE('',*,*,#201499,.F.); #270800=ORIENTED_EDGE('',*,*,#201502,.F.); #270801=ORIENTED_EDGE('',*,*,#201505,.F.); #270802=ORIENTED_EDGE('',*,*,#201508,.F.); #270803=ORIENTED_EDGE('',*,*,#201511,.F.); #270804=ORIENTED_EDGE('',*,*,#201514,.F.); #270805=ORIENTED_EDGE('',*,*,#201517,.F.); #270806=ORIENTED_EDGE('',*,*,#201520,.F.); #270807=ORIENTED_EDGE('',*,*,#201523,.F.); #270808=ORIENTED_EDGE('',*,*,#201526,.F.); #270809=ORIENTED_EDGE('',*,*,#201529,.F.); #270810=ORIENTED_EDGE('',*,*,#201532,.F.); #270811=ORIENTED_EDGE('',*,*,#201535,.F.); #270812=ORIENTED_EDGE('',*,*,#201538,.F.); #270813=ORIENTED_EDGE('',*,*,#201541,.F.); #270814=ORIENTED_EDGE('',*,*,#201544,.F.); #270815=ORIENTED_EDGE('',*,*,#201547,.F.); #270816=ORIENTED_EDGE('',*,*,#201550,.F.); #270817=ORIENTED_EDGE('',*,*,#201553,.F.); #270818=ORIENTED_EDGE('',*,*,#201556,.F.); #270819=ORIENTED_EDGE('',*,*,#201559,.F.); #270820=ORIENTED_EDGE('',*,*,#201562,.F.); #270821=ORIENTED_EDGE('',*,*,#201565,.F.); #270822=ORIENTED_EDGE('',*,*,#201568,.F.); #270823=ORIENTED_EDGE('',*,*,#201571,.F.); #270824=ORIENTED_EDGE('',*,*,#201574,.F.); #270825=ORIENTED_EDGE('',*,*,#201577,.F.); #270826=ORIENTED_EDGE('',*,*,#201580,.F.); #270827=ORIENTED_EDGE('',*,*,#201583,.F.); #270828=ORIENTED_EDGE('',*,*,#201586,.F.); #270829=ORIENTED_EDGE('',*,*,#201589,.F.); #270830=ORIENTED_EDGE('',*,*,#201592,.F.); #270831=ORIENTED_EDGE('',*,*,#201595,.F.); #270832=ORIENTED_EDGE('',*,*,#201598,.F.); #270833=ORIENTED_EDGE('',*,*,#201601,.F.); #270834=ORIENTED_EDGE('',*,*,#201604,.F.); #270835=ORIENTED_EDGE('',*,*,#201607,.F.); #270836=ORIENTED_EDGE('',*,*,#201610,.F.); #270837=ORIENTED_EDGE('',*,*,#201613,.F.); #270838=ORIENTED_EDGE('',*,*,#201616,.F.); #270839=ORIENTED_EDGE('',*,*,#201619,.F.); #270840=ORIENTED_EDGE('',*,*,#201622,.F.); #270841=ORIENTED_EDGE('',*,*,#201625,.F.); #270842=ORIENTED_EDGE('',*,*,#201628,.F.); #270843=ORIENTED_EDGE('',*,*,#201631,.F.); #270844=ORIENTED_EDGE('',*,*,#201634,.F.); #270845=ORIENTED_EDGE('',*,*,#201637,.F.); #270846=ORIENTED_EDGE('',*,*,#201640,.F.); #270847=ORIENTED_EDGE('',*,*,#201643,.F.); #270848=ORIENTED_EDGE('',*,*,#201646,.F.); #270849=ORIENTED_EDGE('',*,*,#201649,.F.); #270850=ORIENTED_EDGE('',*,*,#201652,.F.); #270851=ORIENTED_EDGE('',*,*,#201655,.F.); #270852=ORIENTED_EDGE('',*,*,#201658,.F.); #270853=ORIENTED_EDGE('',*,*,#201661,.F.); #270854=ORIENTED_EDGE('',*,*,#201664,.F.); #270855=ORIENTED_EDGE('',*,*,#201667,.F.); #270856=ORIENTED_EDGE('',*,*,#201670,.F.); #270857=ORIENTED_EDGE('',*,*,#201673,.F.); #270858=ORIENTED_EDGE('',*,*,#201676,.F.); #270859=ORIENTED_EDGE('',*,*,#201679,.F.); #270860=ORIENTED_EDGE('',*,*,#201682,.F.); #270861=ORIENTED_EDGE('',*,*,#201685,.F.); #270862=ORIENTED_EDGE('',*,*,#201688,.F.); #270863=ORIENTED_EDGE('',*,*,#201691,.F.); #270864=ORIENTED_EDGE('',*,*,#201694,.F.); #270865=ORIENTED_EDGE('',*,*,#201697,.F.); #270866=ORIENTED_EDGE('',*,*,#201700,.F.); #270867=ORIENTED_EDGE('',*,*,#201703,.F.); #270868=ORIENTED_EDGE('',*,*,#201706,.F.); #270869=ORIENTED_EDGE('',*,*,#201709,.F.); #270870=ORIENTED_EDGE('',*,*,#201712,.F.); #270871=ORIENTED_EDGE('',*,*,#201715,.F.); #270872=ORIENTED_EDGE('',*,*,#201718,.F.); #270873=ORIENTED_EDGE('',*,*,#201721,.F.); #270874=ORIENTED_EDGE('',*,*,#201724,.F.); #270875=ORIENTED_EDGE('',*,*,#201727,.F.); #270876=ORIENTED_EDGE('',*,*,#201730,.F.); #270877=ORIENTED_EDGE('',*,*,#201733,.F.); #270878=ORIENTED_EDGE('',*,*,#201736,.F.); #270879=ORIENTED_EDGE('',*,*,#201739,.F.); #270880=ORIENTED_EDGE('',*,*,#201742,.F.); #270881=ORIENTED_EDGE('',*,*,#201745,.F.); #270882=ORIENTED_EDGE('',*,*,#201748,.F.); #270883=ORIENTED_EDGE('',*,*,#201751,.F.); #270884=ORIENTED_EDGE('',*,*,#201754,.F.); #270885=ORIENTED_EDGE('',*,*,#201757,.F.); #270886=ORIENTED_EDGE('',*,*,#201760,.F.); #270887=ORIENTED_EDGE('',*,*,#201763,.F.); #270888=ORIENTED_EDGE('',*,*,#201766,.F.); #270889=ORIENTED_EDGE('',*,*,#201769,.F.); #270890=ORIENTED_EDGE('',*,*,#201772,.F.); #270891=ORIENTED_EDGE('',*,*,#201775,.F.); #270892=ORIENTED_EDGE('',*,*,#201778,.F.); #270893=ORIENTED_EDGE('',*,*,#201781,.F.); #270894=ORIENTED_EDGE('',*,*,#201784,.F.); #270895=ORIENTED_EDGE('',*,*,#201787,.F.); #270896=ORIENTED_EDGE('',*,*,#201790,.F.); #270897=ORIENTED_EDGE('',*,*,#201793,.F.); #270898=ORIENTED_EDGE('',*,*,#201796,.F.); #270899=ORIENTED_EDGE('',*,*,#201799,.F.); #270900=ORIENTED_EDGE('',*,*,#201802,.F.); #270901=ORIENTED_EDGE('',*,*,#201805,.F.); #270902=ORIENTED_EDGE('',*,*,#201808,.F.); #270903=ORIENTED_EDGE('',*,*,#201811,.F.); #270904=ORIENTED_EDGE('',*,*,#201814,.F.); #270905=ORIENTED_EDGE('',*,*,#201817,.F.); #270906=ORIENTED_EDGE('',*,*,#201820,.F.); #270907=ORIENTED_EDGE('',*,*,#201823,.F.); #270908=ORIENTED_EDGE('',*,*,#201826,.F.); #270909=ORIENTED_EDGE('',*,*,#201829,.F.); #270910=ORIENTED_EDGE('',*,*,#201832,.F.); #270911=ORIENTED_EDGE('',*,*,#201835,.F.); #270912=ORIENTED_EDGE('',*,*,#201838,.F.); #270913=ORIENTED_EDGE('',*,*,#201841,.F.); #270914=ORIENTED_EDGE('',*,*,#201844,.F.); #270915=ORIENTED_EDGE('',*,*,#201847,.F.); #270916=ORIENTED_EDGE('',*,*,#201850,.F.); #270917=ORIENTED_EDGE('',*,*,#201853,.F.); #270918=ORIENTED_EDGE('',*,*,#201856,.F.); #270919=ORIENTED_EDGE('',*,*,#201859,.F.); #270920=ORIENTED_EDGE('',*,*,#201862,.F.); #270921=ORIENTED_EDGE('',*,*,#201865,.F.); #270922=ORIENTED_EDGE('',*,*,#201868,.F.); #270923=ORIENTED_EDGE('',*,*,#201871,.F.); #270924=ORIENTED_EDGE('',*,*,#201874,.F.); #270925=ORIENTED_EDGE('',*,*,#201877,.F.); #270926=ORIENTED_EDGE('',*,*,#201880,.F.); #270927=ORIENTED_EDGE('',*,*,#201883,.F.); #270928=ORIENTED_EDGE('',*,*,#201886,.F.); #270929=ORIENTED_EDGE('',*,*,#201889,.F.); #270930=ORIENTED_EDGE('',*,*,#201892,.F.); #270931=ORIENTED_EDGE('',*,*,#201895,.F.); #270932=ORIENTED_EDGE('',*,*,#201898,.F.); #270933=ORIENTED_EDGE('',*,*,#201901,.F.); #270934=ORIENTED_EDGE('',*,*,#201904,.F.); #270935=ORIENTED_EDGE('',*,*,#201907,.F.); #270936=ORIENTED_EDGE('',*,*,#201910,.F.); #270937=ORIENTED_EDGE('',*,*,#201913,.F.); #270938=ORIENTED_EDGE('',*,*,#201916,.F.); #270939=ORIENTED_EDGE('',*,*,#201919,.F.); #270940=ORIENTED_EDGE('',*,*,#201922,.F.); #270941=ORIENTED_EDGE('',*,*,#201925,.F.); #270942=ORIENTED_EDGE('',*,*,#201928,.F.); #270943=ORIENTED_EDGE('',*,*,#201931,.F.); #270944=ORIENTED_EDGE('',*,*,#201934,.F.); #270945=ORIENTED_EDGE('',*,*,#201937,.F.); #270946=ORIENTED_EDGE('',*,*,#201940,.F.); #270947=ORIENTED_EDGE('',*,*,#201943,.F.); #270948=ORIENTED_EDGE('',*,*,#201946,.F.); #270949=ORIENTED_EDGE('',*,*,#201949,.F.); #270950=ORIENTED_EDGE('',*,*,#201952,.F.); #270951=ORIENTED_EDGE('',*,*,#201955,.F.); #270952=ORIENTED_EDGE('',*,*,#201958,.F.); #270953=ORIENTED_EDGE('',*,*,#201961,.F.); #270954=ORIENTED_EDGE('',*,*,#201964,.F.); #270955=ORIENTED_EDGE('',*,*,#201967,.F.); #270956=ORIENTED_EDGE('',*,*,#201970,.F.); #270957=ORIENTED_EDGE('',*,*,#201973,.F.); #270958=ORIENTED_EDGE('',*,*,#201976,.F.); #270959=ORIENTED_EDGE('',*,*,#201979,.F.); #270960=ORIENTED_EDGE('',*,*,#201982,.F.); #270961=ORIENTED_EDGE('',*,*,#201985,.F.); #270962=ORIENTED_EDGE('',*,*,#201988,.F.); #270963=ORIENTED_EDGE('',*,*,#201991,.F.); #270964=ORIENTED_EDGE('',*,*,#201994,.F.); #270965=ORIENTED_EDGE('',*,*,#201997,.F.); #270966=ORIENTED_EDGE('',*,*,#202000,.F.); #270967=ORIENTED_EDGE('',*,*,#202003,.F.); #270968=ORIENTED_EDGE('',*,*,#202006,.F.); #270969=ORIENTED_EDGE('',*,*,#202009,.F.); #270970=ORIENTED_EDGE('',*,*,#202012,.F.); #270971=ORIENTED_EDGE('',*,*,#202015,.F.); #270972=ORIENTED_EDGE('',*,*,#202018,.F.); #270973=ORIENTED_EDGE('',*,*,#202021,.F.); #270974=ORIENTED_EDGE('',*,*,#202024,.F.); #270975=ORIENTED_EDGE('',*,*,#202027,.F.); #270976=ORIENTED_EDGE('',*,*,#202030,.F.); #270977=ORIENTED_EDGE('',*,*,#202033,.F.); #270978=ORIENTED_EDGE('',*,*,#202036,.F.); #270979=ORIENTED_EDGE('',*,*,#202039,.F.); #270980=ORIENTED_EDGE('',*,*,#202042,.F.); #270981=ORIENTED_EDGE('',*,*,#202045,.F.); #270982=ORIENTED_EDGE('',*,*,#202048,.F.); #270983=ORIENTED_EDGE('',*,*,#202051,.F.); #270984=ORIENTED_EDGE('',*,*,#202054,.F.); #270985=ORIENTED_EDGE('',*,*,#202057,.F.); #270986=ORIENTED_EDGE('',*,*,#202060,.F.); #270987=ORIENTED_EDGE('',*,*,#202063,.F.); #270988=ORIENTED_EDGE('',*,*,#202066,.F.); #270989=ORIENTED_EDGE('',*,*,#202069,.F.); #270990=ORIENTED_EDGE('',*,*,#202072,.F.); #270991=ORIENTED_EDGE('',*,*,#202075,.F.); #270992=ORIENTED_EDGE('',*,*,#202078,.F.); #270993=ORIENTED_EDGE('',*,*,#202081,.F.); #270994=ORIENTED_EDGE('',*,*,#202084,.F.); #270995=ORIENTED_EDGE('',*,*,#202087,.F.); #270996=ORIENTED_EDGE('',*,*,#202090,.F.); #270997=ORIENTED_EDGE('',*,*,#202093,.F.); #270998=ORIENTED_EDGE('',*,*,#202096,.F.); #270999=ORIENTED_EDGE('',*,*,#202099,.F.); #271000=ORIENTED_EDGE('',*,*,#202102,.F.); #271001=ORIENTED_EDGE('',*,*,#202105,.F.); #271002=ORIENTED_EDGE('',*,*,#202108,.F.); #271003=ORIENTED_EDGE('',*,*,#202111,.F.); #271004=ORIENTED_EDGE('',*,*,#202114,.F.); #271005=ORIENTED_EDGE('',*,*,#202117,.F.); #271006=ORIENTED_EDGE('',*,*,#202120,.F.); #271007=ORIENTED_EDGE('',*,*,#202123,.F.); #271008=ORIENTED_EDGE('',*,*,#202126,.F.); #271009=ORIENTED_EDGE('',*,*,#202129,.F.); #271010=ORIENTED_EDGE('',*,*,#202132,.F.); #271011=ORIENTED_EDGE('',*,*,#202135,.F.); #271012=ORIENTED_EDGE('',*,*,#202138,.F.); #271013=ORIENTED_EDGE('',*,*,#202141,.F.); #271014=ORIENTED_EDGE('',*,*,#202144,.F.); #271015=ORIENTED_EDGE('',*,*,#202147,.F.); #271016=ORIENTED_EDGE('',*,*,#202150,.F.); #271017=ORIENTED_EDGE('',*,*,#202153,.F.); #271018=ORIENTED_EDGE('',*,*,#202156,.F.); #271019=ORIENTED_EDGE('',*,*,#202159,.F.); #271020=ORIENTED_EDGE('',*,*,#202162,.F.); #271021=ORIENTED_EDGE('',*,*,#202165,.F.); #271022=ORIENTED_EDGE('',*,*,#202168,.F.); #271023=ORIENTED_EDGE('',*,*,#202171,.F.); #271024=ORIENTED_EDGE('',*,*,#202174,.F.); #271025=ORIENTED_EDGE('',*,*,#202177,.F.); #271026=ORIENTED_EDGE('',*,*,#202180,.F.); #271027=ORIENTED_EDGE('',*,*,#202183,.F.); #271028=ORIENTED_EDGE('',*,*,#202186,.F.); #271029=ORIENTED_EDGE('',*,*,#202189,.F.); #271030=ORIENTED_EDGE('',*,*,#202192,.F.); #271031=ORIENTED_EDGE('',*,*,#202195,.F.); #271032=ORIENTED_EDGE('',*,*,#202198,.F.); #271033=ORIENTED_EDGE('',*,*,#202201,.F.); #271034=ORIENTED_EDGE('',*,*,#202204,.F.); #271035=ORIENTED_EDGE('',*,*,#202207,.F.); #271036=ORIENTED_EDGE('',*,*,#202210,.F.); #271037=ORIENTED_EDGE('',*,*,#202213,.F.); #271038=ORIENTED_EDGE('',*,*,#202216,.F.); #271039=ORIENTED_EDGE('',*,*,#202219,.F.); #271040=ORIENTED_EDGE('',*,*,#202222,.F.); #271041=ORIENTED_EDGE('',*,*,#202225,.F.); #271042=ORIENTED_EDGE('',*,*,#202228,.F.); #271043=ORIENTED_EDGE('',*,*,#202231,.F.); #271044=ORIENTED_EDGE('',*,*,#202234,.F.); #271045=ORIENTED_EDGE('',*,*,#202237,.F.); #271046=ORIENTED_EDGE('',*,*,#202240,.F.); #271047=ORIENTED_EDGE('',*,*,#202243,.F.); #271048=ORIENTED_EDGE('',*,*,#202246,.F.); #271049=ORIENTED_EDGE('',*,*,#202249,.F.); #271050=ORIENTED_EDGE('',*,*,#202252,.F.); #271051=ORIENTED_EDGE('',*,*,#202255,.F.); #271052=ORIENTED_EDGE('',*,*,#202258,.F.); #271053=ORIENTED_EDGE('',*,*,#202261,.F.); #271054=ORIENTED_EDGE('',*,*,#202264,.F.); #271055=ORIENTED_EDGE('',*,*,#202267,.F.); #271056=ORIENTED_EDGE('',*,*,#202270,.F.); #271057=ORIENTED_EDGE('',*,*,#202273,.F.); #271058=ORIENTED_EDGE('',*,*,#202276,.F.); #271059=ORIENTED_EDGE('',*,*,#202279,.F.); #271060=ORIENTED_EDGE('',*,*,#202282,.F.); #271061=ORIENTED_EDGE('',*,*,#202285,.F.); #271062=ORIENTED_EDGE('',*,*,#202288,.F.); #271063=ORIENTED_EDGE('',*,*,#202291,.F.); #271064=ORIENTED_EDGE('',*,*,#202294,.F.); #271065=ORIENTED_EDGE('',*,*,#202297,.F.); #271066=ORIENTED_EDGE('',*,*,#202300,.F.); #271067=ORIENTED_EDGE('',*,*,#202303,.F.); #271068=ORIENTED_EDGE('',*,*,#202306,.F.); #271069=ORIENTED_EDGE('',*,*,#202309,.F.); #271070=ORIENTED_EDGE('',*,*,#202312,.F.); #271071=ORIENTED_EDGE('',*,*,#202315,.F.); #271072=ORIENTED_EDGE('',*,*,#202318,.F.); #271073=ORIENTED_EDGE('',*,*,#202321,.F.); #271074=ORIENTED_EDGE('',*,*,#202324,.F.); #271075=ORIENTED_EDGE('',*,*,#202327,.F.); #271076=ORIENTED_EDGE('',*,*,#202330,.F.); #271077=ORIENTED_EDGE('',*,*,#202333,.F.); #271078=ORIENTED_EDGE('',*,*,#202336,.F.); #271079=ORIENTED_EDGE('',*,*,#202339,.F.); #271080=ORIENTED_EDGE('',*,*,#202342,.F.); #271081=ORIENTED_EDGE('',*,*,#201333,.T.); #271082=ORIENTED_EDGE('',*,*,#201336,.T.); #271083=ORIENTED_EDGE('',*,*,#201339,.T.); #271084=ORIENTED_EDGE('',*,*,#201342,.T.); #271085=ORIENTED_EDGE('',*,*,#201345,.T.); #271086=ORIENTED_EDGE('',*,*,#201348,.T.); #271087=ORIENTED_EDGE('',*,*,#201351,.T.); #271088=ORIENTED_EDGE('',*,*,#201354,.T.); #271089=ORIENTED_EDGE('',*,*,#201357,.T.); #271090=ORIENTED_EDGE('',*,*,#201360,.T.); #271091=ORIENTED_EDGE('',*,*,#201363,.T.); #271092=ORIENTED_EDGE('',*,*,#201366,.T.); #271093=ORIENTED_EDGE('',*,*,#201369,.T.); #271094=ORIENTED_EDGE('',*,*,#201372,.T.); #271095=ORIENTED_EDGE('',*,*,#201375,.T.); #271096=ORIENTED_EDGE('',*,*,#201378,.T.); #271097=ORIENTED_EDGE('',*,*,#201451,.F.); #271098=ORIENTED_EDGE('',*,*,#201381,.F.); #271099=ORIENTED_EDGE('',*,*,#201385,.F.); #271100=ORIENTED_EDGE('',*,*,#201388,.F.); #271101=ORIENTED_EDGE('',*,*,#201391,.F.); #271102=ORIENTED_EDGE('',*,*,#201394,.F.); #271103=ORIENTED_EDGE('',*,*,#201397,.F.); #271104=ORIENTED_EDGE('',*,*,#201400,.F.); #271105=ORIENTED_EDGE('',*,*,#201403,.F.); #271106=ORIENTED_EDGE('',*,*,#201406,.F.); #271107=ORIENTED_EDGE('',*,*,#201409,.F.); #271108=ORIENTED_EDGE('',*,*,#201412,.F.); #271109=ORIENTED_EDGE('',*,*,#201415,.F.); #271110=ORIENTED_EDGE('',*,*,#201418,.F.); #271111=ORIENTED_EDGE('',*,*,#201421,.F.); #271112=ORIENTED_EDGE('',*,*,#201424,.F.); #271113=ORIENTED_EDGE('',*,*,#201427,.F.); #271114=ORIENTED_EDGE('',*,*,#201430,.F.); #271115=ORIENTED_EDGE('',*,*,#201433,.F.); #271116=ORIENTED_EDGE('',*,*,#201436,.F.); #271117=ORIENTED_EDGE('',*,*,#201439,.F.); #271118=ORIENTED_EDGE('',*,*,#201442,.F.); #271119=ORIENTED_EDGE('',*,*,#201445,.F.); #271120=ORIENTED_EDGE('',*,*,#201448,.F.); #271121=ORIENTED_EDGE('',*,*,#202347,.T.); #271122=ORIENTED_EDGE('',*,*,#202348,.T.); #271123=ORIENTED_EDGE('',*,*,#202349,.F.); #271124=ORIENTED_EDGE('',*,*,#202350,.F.); #271125=ORIENTED_EDGE('',*,*,#202351,.T.); #271126=ORIENTED_EDGE('',*,*,#202350,.T.); #271127=ORIENTED_EDGE('',*,*,#202352,.F.); #271128=ORIENTED_EDGE('',*,*,#202353,.F.); #271129=ORIENTED_EDGE('',*,*,#202354,.T.); #271130=ORIENTED_EDGE('',*,*,#202353,.T.); #271131=ORIENTED_EDGE('',*,*,#202355,.F.); #271132=ORIENTED_EDGE('',*,*,#202356,.F.); #271133=ORIENTED_EDGE('',*,*,#202357,.T.); #271134=ORIENTED_EDGE('',*,*,#202356,.T.); #271135=ORIENTED_EDGE('',*,*,#202358,.F.); #271136=ORIENTED_EDGE('',*,*,#202359,.F.); #271137=ORIENTED_EDGE('',*,*,#202360,.T.); #271138=ORIENTED_EDGE('',*,*,#202359,.T.); #271139=ORIENTED_EDGE('',*,*,#202361,.F.); #271140=ORIENTED_EDGE('',*,*,#202362,.F.); #271141=ORIENTED_EDGE('',*,*,#202363,.T.); #271142=ORIENTED_EDGE('',*,*,#202362,.T.); #271143=ORIENTED_EDGE('',*,*,#202364,.F.); #271144=ORIENTED_EDGE('',*,*,#202365,.F.); #271145=ORIENTED_EDGE('',*,*,#202366,.T.); #271146=ORIENTED_EDGE('',*,*,#202365,.T.); #271147=ORIENTED_EDGE('',*,*,#202367,.F.); #271148=ORIENTED_EDGE('',*,*,#202368,.F.); #271149=ORIENTED_EDGE('',*,*,#202369,.T.); #271150=ORIENTED_EDGE('',*,*,#202368,.T.); #271151=ORIENTED_EDGE('',*,*,#202370,.F.); #271152=ORIENTED_EDGE('',*,*,#202371,.F.); #271153=ORIENTED_EDGE('',*,*,#202372,.T.); #271154=ORIENTED_EDGE('',*,*,#202371,.T.); #271155=ORIENTED_EDGE('',*,*,#202373,.F.); #271156=ORIENTED_EDGE('',*,*,#202374,.F.); #271157=ORIENTED_EDGE('',*,*,#202375,.T.); #271158=ORIENTED_EDGE('',*,*,#202374,.T.); #271159=ORIENTED_EDGE('',*,*,#202376,.F.); #271160=ORIENTED_EDGE('',*,*,#202377,.F.); #271161=ORIENTED_EDGE('',*,*,#202378,.T.); #271162=ORIENTED_EDGE('',*,*,#202377,.T.); #271163=ORIENTED_EDGE('',*,*,#202379,.F.); #271164=ORIENTED_EDGE('',*,*,#202380,.F.); #271165=ORIENTED_EDGE('',*,*,#202381,.T.); #271166=ORIENTED_EDGE('',*,*,#202380,.T.); #271167=ORIENTED_EDGE('',*,*,#202382,.F.); #271168=ORIENTED_EDGE('',*,*,#202383,.F.); #271169=ORIENTED_EDGE('',*,*,#202384,.T.); #271170=ORIENTED_EDGE('',*,*,#202383,.T.); #271171=ORIENTED_EDGE('',*,*,#202385,.F.); #271172=ORIENTED_EDGE('',*,*,#202386,.F.); #271173=ORIENTED_EDGE('',*,*,#202387,.T.); #271174=ORIENTED_EDGE('',*,*,#202386,.T.); #271175=ORIENTED_EDGE('',*,*,#202388,.F.); #271176=ORIENTED_EDGE('',*,*,#202389,.F.); #271177=ORIENTED_EDGE('',*,*,#202390,.T.); #271178=ORIENTED_EDGE('',*,*,#202389,.T.); #271179=ORIENTED_EDGE('',*,*,#202391,.F.); #271180=ORIENTED_EDGE('',*,*,#202392,.F.); #271181=ORIENTED_EDGE('',*,*,#202393,.T.); #271182=ORIENTED_EDGE('',*,*,#202392,.T.); #271183=ORIENTED_EDGE('',*,*,#202394,.F.); #271184=ORIENTED_EDGE('',*,*,#202395,.F.); #271185=ORIENTED_EDGE('',*,*,#202396,.T.); #271186=ORIENTED_EDGE('',*,*,#202395,.T.); #271187=ORIENTED_EDGE('',*,*,#202397,.F.); #271188=ORIENTED_EDGE('',*,*,#202398,.F.); #271189=ORIENTED_EDGE('',*,*,#202399,.T.); #271190=ORIENTED_EDGE('',*,*,#202398,.T.); #271191=ORIENTED_EDGE('',*,*,#202400,.F.); #271192=ORIENTED_EDGE('',*,*,#202401,.F.); #271193=ORIENTED_EDGE('',*,*,#202402,.T.); #271194=ORIENTED_EDGE('',*,*,#202401,.T.); #271195=ORIENTED_EDGE('',*,*,#202403,.F.); #271196=ORIENTED_EDGE('',*,*,#202404,.F.); #271197=ORIENTED_EDGE('',*,*,#202405,.T.); #271198=ORIENTED_EDGE('',*,*,#202404,.T.); #271199=ORIENTED_EDGE('',*,*,#202406,.F.); #271200=ORIENTED_EDGE('',*,*,#202348,.F.); #271201=ORIENTED_EDGE('',*,*,#202406,.T.); #271202=ORIENTED_EDGE('',*,*,#202403,.T.); #271203=ORIENTED_EDGE('',*,*,#202400,.T.); #271204=ORIENTED_EDGE('',*,*,#202397,.T.); #271205=ORIENTED_EDGE('',*,*,#202394,.T.); #271206=ORIENTED_EDGE('',*,*,#202391,.T.); #271207=ORIENTED_EDGE('',*,*,#202388,.T.); #271208=ORIENTED_EDGE('',*,*,#202385,.T.); #271209=ORIENTED_EDGE('',*,*,#202382,.T.); #271210=ORIENTED_EDGE('',*,*,#202379,.T.); #271211=ORIENTED_EDGE('',*,*,#202376,.T.); #271212=ORIENTED_EDGE('',*,*,#202373,.T.); #271213=ORIENTED_EDGE('',*,*,#202370,.T.); #271214=ORIENTED_EDGE('',*,*,#202367,.T.); #271215=ORIENTED_EDGE('',*,*,#202364,.T.); #271216=ORIENTED_EDGE('',*,*,#202361,.T.); #271217=ORIENTED_EDGE('',*,*,#202358,.T.); #271218=ORIENTED_EDGE('',*,*,#202355,.T.); #271219=ORIENTED_EDGE('',*,*,#202352,.T.); #271220=ORIENTED_EDGE('',*,*,#202349,.T.); #271221=ORIENTED_EDGE('',*,*,#202405,.F.); #271222=ORIENTED_EDGE('',*,*,#202347,.F.); #271223=ORIENTED_EDGE('',*,*,#202351,.F.); #271224=ORIENTED_EDGE('',*,*,#202354,.F.); #271225=ORIENTED_EDGE('',*,*,#202357,.F.); #271226=ORIENTED_EDGE('',*,*,#202360,.F.); #271227=ORIENTED_EDGE('',*,*,#202363,.F.); #271228=ORIENTED_EDGE('',*,*,#202366,.F.); #271229=ORIENTED_EDGE('',*,*,#202369,.F.); #271230=ORIENTED_EDGE('',*,*,#202372,.F.); #271231=ORIENTED_EDGE('',*,*,#202375,.F.); #271232=ORIENTED_EDGE('',*,*,#202378,.F.); #271233=ORIENTED_EDGE('',*,*,#202381,.F.); #271234=ORIENTED_EDGE('',*,*,#202384,.F.); #271235=ORIENTED_EDGE('',*,*,#202387,.F.); #271236=ORIENTED_EDGE('',*,*,#202390,.F.); #271237=ORIENTED_EDGE('',*,*,#202393,.F.); #271238=ORIENTED_EDGE('',*,*,#202396,.F.); #271239=ORIENTED_EDGE('',*,*,#202399,.F.); #271240=ORIENTED_EDGE('',*,*,#202402,.F.); #271241=ORIENTED_EDGE('',*,*,#202407,.T.); #271242=ORIENTED_EDGE('',*,*,#202408,.T.); #271243=ORIENTED_EDGE('',*,*,#202409,.F.); #271244=ORIENTED_EDGE('',*,*,#202410,.F.); #271245=ORIENTED_EDGE('',*,*,#202411,.T.); #271246=ORIENTED_EDGE('',*,*,#202410,.T.); #271247=ORIENTED_EDGE('',*,*,#202412,.F.); #271248=ORIENTED_EDGE('',*,*,#202413,.F.); #271249=ORIENTED_EDGE('',*,*,#202414,.T.); #271250=ORIENTED_EDGE('',*,*,#202413,.T.); #271251=ORIENTED_EDGE('',*,*,#202415,.F.); #271252=ORIENTED_EDGE('',*,*,#202416,.F.); #271253=ORIENTED_EDGE('',*,*,#202417,.T.); #271254=ORIENTED_EDGE('',*,*,#202416,.T.); #271255=ORIENTED_EDGE('',*,*,#202418,.F.); #271256=ORIENTED_EDGE('',*,*,#202419,.F.); #271257=ORIENTED_EDGE('',*,*,#202420,.T.); #271258=ORIENTED_EDGE('',*,*,#202419,.T.); #271259=ORIENTED_EDGE('',*,*,#202421,.F.); #271260=ORIENTED_EDGE('',*,*,#202422,.F.); #271261=ORIENTED_EDGE('',*,*,#202423,.T.); #271262=ORIENTED_EDGE('',*,*,#202422,.T.); #271263=ORIENTED_EDGE('',*,*,#202424,.F.); #271264=ORIENTED_EDGE('',*,*,#202425,.F.); #271265=ORIENTED_EDGE('',*,*,#202426,.T.); #271266=ORIENTED_EDGE('',*,*,#202425,.T.); #271267=ORIENTED_EDGE('',*,*,#202427,.F.); #271268=ORIENTED_EDGE('',*,*,#202428,.F.); #271269=ORIENTED_EDGE('',*,*,#202429,.T.); #271270=ORIENTED_EDGE('',*,*,#202428,.T.); #271271=ORIENTED_EDGE('',*,*,#202430,.F.); #271272=ORIENTED_EDGE('',*,*,#202431,.F.); #271273=ORIENTED_EDGE('',*,*,#202432,.T.); #271274=ORIENTED_EDGE('',*,*,#202431,.T.); #271275=ORIENTED_EDGE('',*,*,#202433,.F.); #271276=ORIENTED_EDGE('',*,*,#202434,.F.); #271277=ORIENTED_EDGE('',*,*,#202435,.T.); #271278=ORIENTED_EDGE('',*,*,#202434,.T.); #271279=ORIENTED_EDGE('',*,*,#202436,.F.); #271280=ORIENTED_EDGE('',*,*,#202437,.F.); #271281=ORIENTED_EDGE('',*,*,#202438,.T.); #271282=ORIENTED_EDGE('',*,*,#202437,.T.); #271283=ORIENTED_EDGE('',*,*,#202439,.F.); #271284=ORIENTED_EDGE('',*,*,#202440,.F.); #271285=ORIENTED_EDGE('',*,*,#202441,.T.); #271286=ORIENTED_EDGE('',*,*,#202440,.T.); #271287=ORIENTED_EDGE('',*,*,#202442,.F.); #271288=ORIENTED_EDGE('',*,*,#202443,.F.); #271289=ORIENTED_EDGE('',*,*,#202444,.T.); #271290=ORIENTED_EDGE('',*,*,#202443,.T.); #271291=ORIENTED_EDGE('',*,*,#202445,.F.); #271292=ORIENTED_EDGE('',*,*,#202446,.F.); #271293=ORIENTED_EDGE('',*,*,#202447,.T.); #271294=ORIENTED_EDGE('',*,*,#202446,.T.); #271295=ORIENTED_EDGE('',*,*,#202448,.F.); #271296=ORIENTED_EDGE('',*,*,#202449,.F.); #271297=ORIENTED_EDGE('',*,*,#202450,.T.); #271298=ORIENTED_EDGE('',*,*,#202449,.T.); #271299=ORIENTED_EDGE('',*,*,#202451,.F.); #271300=ORIENTED_EDGE('',*,*,#202452,.F.); #271301=ORIENTED_EDGE('',*,*,#202453,.T.); #271302=ORIENTED_EDGE('',*,*,#202452,.T.); #271303=ORIENTED_EDGE('',*,*,#202454,.F.); #271304=ORIENTED_EDGE('',*,*,#202455,.F.); #271305=ORIENTED_EDGE('',*,*,#202456,.T.); #271306=ORIENTED_EDGE('',*,*,#202455,.T.); #271307=ORIENTED_EDGE('',*,*,#202457,.F.); #271308=ORIENTED_EDGE('',*,*,#202458,.F.); #271309=ORIENTED_EDGE('',*,*,#202459,.T.); #271310=ORIENTED_EDGE('',*,*,#202458,.T.); #271311=ORIENTED_EDGE('',*,*,#202460,.F.); #271312=ORIENTED_EDGE('',*,*,#202461,.F.); #271313=ORIENTED_EDGE('',*,*,#202462,.T.); #271314=ORIENTED_EDGE('',*,*,#202461,.T.); #271315=ORIENTED_EDGE('',*,*,#202463,.F.); #271316=ORIENTED_EDGE('',*,*,#202464,.F.); #271317=ORIENTED_EDGE('',*,*,#202465,.T.); #271318=ORIENTED_EDGE('',*,*,#202464,.T.); #271319=ORIENTED_EDGE('',*,*,#202466,.F.); #271320=ORIENTED_EDGE('',*,*,#202408,.F.); #271321=ORIENTED_EDGE('',*,*,#202466,.T.); #271322=ORIENTED_EDGE('',*,*,#202463,.T.); #271323=ORIENTED_EDGE('',*,*,#202460,.T.); #271324=ORIENTED_EDGE('',*,*,#202457,.T.); #271325=ORIENTED_EDGE('',*,*,#202454,.T.); #271326=ORIENTED_EDGE('',*,*,#202451,.T.); #271327=ORIENTED_EDGE('',*,*,#202448,.T.); #271328=ORIENTED_EDGE('',*,*,#202445,.T.); #271329=ORIENTED_EDGE('',*,*,#202442,.T.); #271330=ORIENTED_EDGE('',*,*,#202439,.T.); #271331=ORIENTED_EDGE('',*,*,#202436,.T.); #271332=ORIENTED_EDGE('',*,*,#202433,.T.); #271333=ORIENTED_EDGE('',*,*,#202430,.T.); #271334=ORIENTED_EDGE('',*,*,#202427,.T.); #271335=ORIENTED_EDGE('',*,*,#202424,.T.); #271336=ORIENTED_EDGE('',*,*,#202421,.T.); #271337=ORIENTED_EDGE('',*,*,#202418,.T.); #271338=ORIENTED_EDGE('',*,*,#202415,.T.); #271339=ORIENTED_EDGE('',*,*,#202412,.T.); #271340=ORIENTED_EDGE('',*,*,#202409,.T.); #271341=ORIENTED_EDGE('',*,*,#202465,.F.); #271342=ORIENTED_EDGE('',*,*,#202407,.F.); #271343=ORIENTED_EDGE('',*,*,#202411,.F.); #271344=ORIENTED_EDGE('',*,*,#202414,.F.); #271345=ORIENTED_EDGE('',*,*,#202417,.F.); #271346=ORIENTED_EDGE('',*,*,#202420,.F.); #271347=ORIENTED_EDGE('',*,*,#202423,.F.); #271348=ORIENTED_EDGE('',*,*,#202426,.F.); #271349=ORIENTED_EDGE('',*,*,#202429,.F.); #271350=ORIENTED_EDGE('',*,*,#202432,.F.); #271351=ORIENTED_EDGE('',*,*,#202435,.F.); #271352=ORIENTED_EDGE('',*,*,#202438,.F.); #271353=ORIENTED_EDGE('',*,*,#202441,.F.); #271354=ORIENTED_EDGE('',*,*,#202444,.F.); #271355=ORIENTED_EDGE('',*,*,#202447,.F.); #271356=ORIENTED_EDGE('',*,*,#202450,.F.); #271357=ORIENTED_EDGE('',*,*,#202453,.F.); #271358=ORIENTED_EDGE('',*,*,#202456,.F.); #271359=ORIENTED_EDGE('',*,*,#202459,.F.); #271360=ORIENTED_EDGE('',*,*,#202462,.F.); #271361=ORIENTED_EDGE('',*,*,#202467,.T.); #271362=ORIENTED_EDGE('',*,*,#202468,.T.); #271363=ORIENTED_EDGE('',*,*,#202469,.F.); #271364=ORIENTED_EDGE('',*,*,#202470,.F.); #271365=ORIENTED_EDGE('',*,*,#202471,.T.); #271366=ORIENTED_EDGE('',*,*,#202470,.T.); #271367=ORIENTED_EDGE('',*,*,#202472,.F.); #271368=ORIENTED_EDGE('',*,*,#202473,.F.); #271369=ORIENTED_EDGE('',*,*,#202474,.T.); #271370=ORIENTED_EDGE('',*,*,#202473,.T.); #271371=ORIENTED_EDGE('',*,*,#202475,.F.); #271372=ORIENTED_EDGE('',*,*,#202476,.F.); #271373=ORIENTED_EDGE('',*,*,#202477,.T.); #271374=ORIENTED_EDGE('',*,*,#202476,.T.); #271375=ORIENTED_EDGE('',*,*,#202478,.F.); #271376=ORIENTED_EDGE('',*,*,#202479,.F.); #271377=ORIENTED_EDGE('',*,*,#202480,.T.); #271378=ORIENTED_EDGE('',*,*,#202479,.T.); #271379=ORIENTED_EDGE('',*,*,#202481,.F.); #271380=ORIENTED_EDGE('',*,*,#202482,.F.); #271381=ORIENTED_EDGE('',*,*,#202483,.T.); #271382=ORIENTED_EDGE('',*,*,#202482,.T.); #271383=ORIENTED_EDGE('',*,*,#202484,.F.); #271384=ORIENTED_EDGE('',*,*,#202485,.F.); #271385=ORIENTED_EDGE('',*,*,#202486,.T.); #271386=ORIENTED_EDGE('',*,*,#202485,.T.); #271387=ORIENTED_EDGE('',*,*,#202487,.F.); #271388=ORIENTED_EDGE('',*,*,#202488,.F.); #271389=ORIENTED_EDGE('',*,*,#202489,.T.); #271390=ORIENTED_EDGE('',*,*,#202488,.T.); #271391=ORIENTED_EDGE('',*,*,#202490,.F.); #271392=ORIENTED_EDGE('',*,*,#202491,.F.); #271393=ORIENTED_EDGE('',*,*,#202492,.T.); #271394=ORIENTED_EDGE('',*,*,#202491,.T.); #271395=ORIENTED_EDGE('',*,*,#202493,.F.); #271396=ORIENTED_EDGE('',*,*,#202494,.F.); #271397=ORIENTED_EDGE('',*,*,#202495,.T.); #271398=ORIENTED_EDGE('',*,*,#202494,.T.); #271399=ORIENTED_EDGE('',*,*,#202496,.F.); #271400=ORIENTED_EDGE('',*,*,#202497,.F.); #271401=ORIENTED_EDGE('',*,*,#202498,.T.); #271402=ORIENTED_EDGE('',*,*,#202497,.T.); #271403=ORIENTED_EDGE('',*,*,#202499,.F.); #271404=ORIENTED_EDGE('',*,*,#202500,.F.); #271405=ORIENTED_EDGE('',*,*,#202501,.T.); #271406=ORIENTED_EDGE('',*,*,#202500,.T.); #271407=ORIENTED_EDGE('',*,*,#202502,.F.); #271408=ORIENTED_EDGE('',*,*,#202503,.F.); #271409=ORIENTED_EDGE('',*,*,#202504,.T.); #271410=ORIENTED_EDGE('',*,*,#202503,.T.); #271411=ORIENTED_EDGE('',*,*,#202505,.F.); #271412=ORIENTED_EDGE('',*,*,#202506,.F.); #271413=ORIENTED_EDGE('',*,*,#202507,.T.); #271414=ORIENTED_EDGE('',*,*,#202506,.T.); #271415=ORIENTED_EDGE('',*,*,#202508,.F.); #271416=ORIENTED_EDGE('',*,*,#202509,.F.); #271417=ORIENTED_EDGE('',*,*,#202510,.T.); #271418=ORIENTED_EDGE('',*,*,#202509,.T.); #271419=ORIENTED_EDGE('',*,*,#202511,.F.); #271420=ORIENTED_EDGE('',*,*,#202512,.F.); #271421=ORIENTED_EDGE('',*,*,#202513,.T.); #271422=ORIENTED_EDGE('',*,*,#202512,.T.); #271423=ORIENTED_EDGE('',*,*,#202514,.F.); #271424=ORIENTED_EDGE('',*,*,#202515,.F.); #271425=ORIENTED_EDGE('',*,*,#202516,.T.); #271426=ORIENTED_EDGE('',*,*,#202515,.T.); #271427=ORIENTED_EDGE('',*,*,#202517,.F.); #271428=ORIENTED_EDGE('',*,*,#202518,.F.); #271429=ORIENTED_EDGE('',*,*,#202519,.T.); #271430=ORIENTED_EDGE('',*,*,#202518,.T.); #271431=ORIENTED_EDGE('',*,*,#202520,.F.); #271432=ORIENTED_EDGE('',*,*,#202521,.F.); #271433=ORIENTED_EDGE('',*,*,#202522,.T.); #271434=ORIENTED_EDGE('',*,*,#202521,.T.); #271435=ORIENTED_EDGE('',*,*,#202523,.F.); #271436=ORIENTED_EDGE('',*,*,#202524,.F.); #271437=ORIENTED_EDGE('',*,*,#202525,.T.); #271438=ORIENTED_EDGE('',*,*,#202524,.T.); #271439=ORIENTED_EDGE('',*,*,#202526,.F.); #271440=ORIENTED_EDGE('',*,*,#202468,.F.); #271441=ORIENTED_EDGE('',*,*,#202526,.T.); #271442=ORIENTED_EDGE('',*,*,#202523,.T.); #271443=ORIENTED_EDGE('',*,*,#202520,.T.); #271444=ORIENTED_EDGE('',*,*,#202517,.T.); #271445=ORIENTED_EDGE('',*,*,#202514,.T.); #271446=ORIENTED_EDGE('',*,*,#202511,.T.); #271447=ORIENTED_EDGE('',*,*,#202508,.T.); #271448=ORIENTED_EDGE('',*,*,#202505,.T.); #271449=ORIENTED_EDGE('',*,*,#202502,.T.); #271450=ORIENTED_EDGE('',*,*,#202499,.T.); #271451=ORIENTED_EDGE('',*,*,#202496,.T.); #271452=ORIENTED_EDGE('',*,*,#202493,.T.); #271453=ORIENTED_EDGE('',*,*,#202490,.T.); #271454=ORIENTED_EDGE('',*,*,#202487,.T.); #271455=ORIENTED_EDGE('',*,*,#202484,.T.); #271456=ORIENTED_EDGE('',*,*,#202481,.T.); #271457=ORIENTED_EDGE('',*,*,#202478,.T.); #271458=ORIENTED_EDGE('',*,*,#202475,.T.); #271459=ORIENTED_EDGE('',*,*,#202472,.T.); #271460=ORIENTED_EDGE('',*,*,#202469,.T.); #271461=ORIENTED_EDGE('',*,*,#202525,.F.); #271462=ORIENTED_EDGE('',*,*,#202467,.F.); #271463=ORIENTED_EDGE('',*,*,#202471,.F.); #271464=ORIENTED_EDGE('',*,*,#202474,.F.); #271465=ORIENTED_EDGE('',*,*,#202477,.F.); #271466=ORIENTED_EDGE('',*,*,#202480,.F.); #271467=ORIENTED_EDGE('',*,*,#202483,.F.); #271468=ORIENTED_EDGE('',*,*,#202486,.F.); #271469=ORIENTED_EDGE('',*,*,#202489,.F.); #271470=ORIENTED_EDGE('',*,*,#202492,.F.); #271471=ORIENTED_EDGE('',*,*,#202495,.F.); #271472=ORIENTED_EDGE('',*,*,#202498,.F.); #271473=ORIENTED_EDGE('',*,*,#202501,.F.); #271474=ORIENTED_EDGE('',*,*,#202504,.F.); #271475=ORIENTED_EDGE('',*,*,#202507,.F.); #271476=ORIENTED_EDGE('',*,*,#202510,.F.); #271477=ORIENTED_EDGE('',*,*,#202513,.F.); #271478=ORIENTED_EDGE('',*,*,#202516,.F.); #271479=ORIENTED_EDGE('',*,*,#202519,.F.); #271480=ORIENTED_EDGE('',*,*,#202522,.F.); #271481=ORIENTED_EDGE('',*,*,#202527,.T.); #271482=ORIENTED_EDGE('',*,*,#202528,.T.); #271483=ORIENTED_EDGE('',*,*,#202529,.F.); #271484=ORIENTED_EDGE('',*,*,#202530,.F.); #271485=ORIENTED_EDGE('',*,*,#202531,.T.); #271486=ORIENTED_EDGE('',*,*,#202530,.T.); #271487=ORIENTED_EDGE('',*,*,#202532,.F.); #271488=ORIENTED_EDGE('',*,*,#202533,.F.); #271489=ORIENTED_EDGE('',*,*,#202534,.T.); #271490=ORIENTED_EDGE('',*,*,#202533,.T.); #271491=ORIENTED_EDGE('',*,*,#202535,.F.); #271492=ORIENTED_EDGE('',*,*,#202536,.F.); #271493=ORIENTED_EDGE('',*,*,#202537,.T.); #271494=ORIENTED_EDGE('',*,*,#202536,.T.); #271495=ORIENTED_EDGE('',*,*,#202538,.F.); #271496=ORIENTED_EDGE('',*,*,#202539,.F.); #271497=ORIENTED_EDGE('',*,*,#202540,.T.); #271498=ORIENTED_EDGE('',*,*,#202539,.T.); #271499=ORIENTED_EDGE('',*,*,#202541,.F.); #271500=ORIENTED_EDGE('',*,*,#202542,.F.); #271501=ORIENTED_EDGE('',*,*,#202543,.T.); #271502=ORIENTED_EDGE('',*,*,#202542,.T.); #271503=ORIENTED_EDGE('',*,*,#202544,.F.); #271504=ORIENTED_EDGE('',*,*,#202545,.F.); #271505=ORIENTED_EDGE('',*,*,#202546,.T.); #271506=ORIENTED_EDGE('',*,*,#202545,.T.); #271507=ORIENTED_EDGE('',*,*,#202547,.F.); #271508=ORIENTED_EDGE('',*,*,#202548,.F.); #271509=ORIENTED_EDGE('',*,*,#202549,.T.); #271510=ORIENTED_EDGE('',*,*,#202548,.T.); #271511=ORIENTED_EDGE('',*,*,#202550,.F.); #271512=ORIENTED_EDGE('',*,*,#202551,.F.); #271513=ORIENTED_EDGE('',*,*,#202552,.T.); #271514=ORIENTED_EDGE('',*,*,#202551,.T.); #271515=ORIENTED_EDGE('',*,*,#202553,.F.); #271516=ORIENTED_EDGE('',*,*,#202554,.F.); #271517=ORIENTED_EDGE('',*,*,#202555,.T.); #271518=ORIENTED_EDGE('',*,*,#202554,.T.); #271519=ORIENTED_EDGE('',*,*,#202556,.F.); #271520=ORIENTED_EDGE('',*,*,#202557,.F.); #271521=ORIENTED_EDGE('',*,*,#202558,.T.); #271522=ORIENTED_EDGE('',*,*,#202557,.T.); #271523=ORIENTED_EDGE('',*,*,#202559,.F.); #271524=ORIENTED_EDGE('',*,*,#202560,.F.); #271525=ORIENTED_EDGE('',*,*,#202561,.T.); #271526=ORIENTED_EDGE('',*,*,#202560,.T.); #271527=ORIENTED_EDGE('',*,*,#202562,.F.); #271528=ORIENTED_EDGE('',*,*,#202563,.F.); #271529=ORIENTED_EDGE('',*,*,#202564,.T.); #271530=ORIENTED_EDGE('',*,*,#202563,.T.); #271531=ORIENTED_EDGE('',*,*,#202565,.F.); #271532=ORIENTED_EDGE('',*,*,#202566,.F.); #271533=ORIENTED_EDGE('',*,*,#202567,.T.); #271534=ORIENTED_EDGE('',*,*,#202566,.T.); #271535=ORIENTED_EDGE('',*,*,#202568,.F.); #271536=ORIENTED_EDGE('',*,*,#202569,.F.); #271537=ORIENTED_EDGE('',*,*,#202570,.T.); #271538=ORIENTED_EDGE('',*,*,#202569,.T.); #271539=ORIENTED_EDGE('',*,*,#202571,.F.); #271540=ORIENTED_EDGE('',*,*,#202572,.F.); #271541=ORIENTED_EDGE('',*,*,#202573,.T.); #271542=ORIENTED_EDGE('',*,*,#202572,.T.); #271543=ORIENTED_EDGE('',*,*,#202574,.F.); #271544=ORIENTED_EDGE('',*,*,#202575,.F.); #271545=ORIENTED_EDGE('',*,*,#202576,.T.); #271546=ORIENTED_EDGE('',*,*,#202575,.T.); #271547=ORIENTED_EDGE('',*,*,#202577,.F.); #271548=ORIENTED_EDGE('',*,*,#202578,.F.); #271549=ORIENTED_EDGE('',*,*,#202579,.T.); #271550=ORIENTED_EDGE('',*,*,#202578,.T.); #271551=ORIENTED_EDGE('',*,*,#202580,.F.); #271552=ORIENTED_EDGE('',*,*,#202581,.F.); #271553=ORIENTED_EDGE('',*,*,#202582,.T.); #271554=ORIENTED_EDGE('',*,*,#202581,.T.); #271555=ORIENTED_EDGE('',*,*,#202583,.F.); #271556=ORIENTED_EDGE('',*,*,#202584,.F.); #271557=ORIENTED_EDGE('',*,*,#202585,.T.); #271558=ORIENTED_EDGE('',*,*,#202584,.T.); #271559=ORIENTED_EDGE('',*,*,#202586,.F.); #271560=ORIENTED_EDGE('',*,*,#202587,.F.); #271561=ORIENTED_EDGE('',*,*,#202588,.T.); #271562=ORIENTED_EDGE('',*,*,#202587,.T.); #271563=ORIENTED_EDGE('',*,*,#202589,.F.); #271564=ORIENTED_EDGE('',*,*,#202590,.F.); #271565=ORIENTED_EDGE('',*,*,#202591,.T.); #271566=ORIENTED_EDGE('',*,*,#202590,.T.); #271567=ORIENTED_EDGE('',*,*,#202592,.F.); #271568=ORIENTED_EDGE('',*,*,#202593,.F.); #271569=ORIENTED_EDGE('',*,*,#202594,.T.); #271570=ORIENTED_EDGE('',*,*,#202593,.T.); #271571=ORIENTED_EDGE('',*,*,#202595,.F.); #271572=ORIENTED_EDGE('',*,*,#202528,.F.); #271573=ORIENTED_EDGE('',*,*,#202595,.T.); #271574=ORIENTED_EDGE('',*,*,#202592,.T.); #271575=ORIENTED_EDGE('',*,*,#202589,.T.); #271576=ORIENTED_EDGE('',*,*,#202586,.T.); #271577=ORIENTED_EDGE('',*,*,#202583,.T.); #271578=ORIENTED_EDGE('',*,*,#202580,.T.); #271579=ORIENTED_EDGE('',*,*,#202577,.T.); #271580=ORIENTED_EDGE('',*,*,#202574,.T.); #271581=ORIENTED_EDGE('',*,*,#202571,.T.); #271582=ORIENTED_EDGE('',*,*,#202568,.T.); #271583=ORIENTED_EDGE('',*,*,#202565,.T.); #271584=ORIENTED_EDGE('',*,*,#202562,.T.); #271585=ORIENTED_EDGE('',*,*,#202559,.T.); #271586=ORIENTED_EDGE('',*,*,#202556,.T.); #271587=ORIENTED_EDGE('',*,*,#202553,.T.); #271588=ORIENTED_EDGE('',*,*,#202550,.T.); #271589=ORIENTED_EDGE('',*,*,#202547,.T.); #271590=ORIENTED_EDGE('',*,*,#202544,.T.); #271591=ORIENTED_EDGE('',*,*,#202541,.T.); #271592=ORIENTED_EDGE('',*,*,#202538,.T.); #271593=ORIENTED_EDGE('',*,*,#202535,.T.); #271594=ORIENTED_EDGE('',*,*,#202532,.T.); #271595=ORIENTED_EDGE('',*,*,#202529,.T.); #271596=ORIENTED_EDGE('',*,*,#202594,.F.); #271597=ORIENTED_EDGE('',*,*,#202527,.F.); #271598=ORIENTED_EDGE('',*,*,#202531,.F.); #271599=ORIENTED_EDGE('',*,*,#202534,.F.); #271600=ORIENTED_EDGE('',*,*,#202537,.F.); #271601=ORIENTED_EDGE('',*,*,#202540,.F.); #271602=ORIENTED_EDGE('',*,*,#202543,.F.); #271603=ORIENTED_EDGE('',*,*,#202546,.F.); #271604=ORIENTED_EDGE('',*,*,#202549,.F.); #271605=ORIENTED_EDGE('',*,*,#202552,.F.); #271606=ORIENTED_EDGE('',*,*,#202555,.F.); #271607=ORIENTED_EDGE('',*,*,#202558,.F.); #271608=ORIENTED_EDGE('',*,*,#202561,.F.); #271609=ORIENTED_EDGE('',*,*,#202564,.F.); #271610=ORIENTED_EDGE('',*,*,#202567,.F.); #271611=ORIENTED_EDGE('',*,*,#202570,.F.); #271612=ORIENTED_EDGE('',*,*,#202573,.F.); #271613=ORIENTED_EDGE('',*,*,#202576,.F.); #271614=ORIENTED_EDGE('',*,*,#202579,.F.); #271615=ORIENTED_EDGE('',*,*,#202582,.F.); #271616=ORIENTED_EDGE('',*,*,#202585,.F.); #271617=ORIENTED_EDGE('',*,*,#202588,.F.); #271618=ORIENTED_EDGE('',*,*,#202591,.F.); #271619=ORIENTED_EDGE('',*,*,#202596,.T.); #271620=ORIENTED_EDGE('',*,*,#202597,.T.); #271621=ORIENTED_EDGE('',*,*,#202598,.F.); #271622=ORIENTED_EDGE('',*,*,#202599,.F.); #271623=ORIENTED_EDGE('',*,*,#202600,.T.); #271624=ORIENTED_EDGE('',*,*,#202599,.T.); #271625=ORIENTED_EDGE('',*,*,#202601,.F.); #271626=ORIENTED_EDGE('',*,*,#202602,.F.); #271627=ORIENTED_EDGE('',*,*,#202603,.T.); #271628=ORIENTED_EDGE('',*,*,#202602,.T.); #271629=ORIENTED_EDGE('',*,*,#202604,.F.); #271630=ORIENTED_EDGE('',*,*,#202605,.F.); #271631=ORIENTED_EDGE('',*,*,#202606,.T.); #271632=ORIENTED_EDGE('',*,*,#202605,.T.); #271633=ORIENTED_EDGE('',*,*,#202607,.F.); #271634=ORIENTED_EDGE('',*,*,#202608,.F.); #271635=ORIENTED_EDGE('',*,*,#202609,.T.); #271636=ORIENTED_EDGE('',*,*,#202608,.T.); #271637=ORIENTED_EDGE('',*,*,#202610,.F.); #271638=ORIENTED_EDGE('',*,*,#202611,.F.); #271639=ORIENTED_EDGE('',*,*,#202612,.T.); #271640=ORIENTED_EDGE('',*,*,#202611,.T.); #271641=ORIENTED_EDGE('',*,*,#202613,.F.); #271642=ORIENTED_EDGE('',*,*,#202614,.F.); #271643=ORIENTED_EDGE('',*,*,#202615,.T.); #271644=ORIENTED_EDGE('',*,*,#202614,.T.); #271645=ORIENTED_EDGE('',*,*,#202616,.F.); #271646=ORIENTED_EDGE('',*,*,#202617,.F.); #271647=ORIENTED_EDGE('',*,*,#202618,.T.); #271648=ORIENTED_EDGE('',*,*,#202617,.T.); #271649=ORIENTED_EDGE('',*,*,#202619,.F.); #271650=ORIENTED_EDGE('',*,*,#202620,.F.); #271651=ORIENTED_EDGE('',*,*,#202621,.T.); #271652=ORIENTED_EDGE('',*,*,#202620,.T.); #271653=ORIENTED_EDGE('',*,*,#202622,.F.); #271654=ORIENTED_EDGE('',*,*,#202623,.F.); #271655=ORIENTED_EDGE('',*,*,#202624,.T.); #271656=ORIENTED_EDGE('',*,*,#202623,.T.); #271657=ORIENTED_EDGE('',*,*,#202625,.F.); #271658=ORIENTED_EDGE('',*,*,#202626,.F.); #271659=ORIENTED_EDGE('',*,*,#202627,.T.); #271660=ORIENTED_EDGE('',*,*,#202626,.T.); #271661=ORIENTED_EDGE('',*,*,#202628,.F.); #271662=ORIENTED_EDGE('',*,*,#202629,.F.); #271663=ORIENTED_EDGE('',*,*,#202630,.T.); #271664=ORIENTED_EDGE('',*,*,#202629,.T.); #271665=ORIENTED_EDGE('',*,*,#202631,.F.); #271666=ORIENTED_EDGE('',*,*,#202632,.F.); #271667=ORIENTED_EDGE('',*,*,#202633,.T.); #271668=ORIENTED_EDGE('',*,*,#202632,.T.); #271669=ORIENTED_EDGE('',*,*,#202634,.F.); #271670=ORIENTED_EDGE('',*,*,#202635,.F.); #271671=ORIENTED_EDGE('',*,*,#202636,.T.); #271672=ORIENTED_EDGE('',*,*,#202635,.T.); #271673=ORIENTED_EDGE('',*,*,#202637,.F.); #271674=ORIENTED_EDGE('',*,*,#202638,.F.); #271675=ORIENTED_EDGE('',*,*,#202639,.T.); #271676=ORIENTED_EDGE('',*,*,#202638,.T.); #271677=ORIENTED_EDGE('',*,*,#202640,.F.); #271678=ORIENTED_EDGE('',*,*,#202641,.F.); #271679=ORIENTED_EDGE('',*,*,#202642,.T.); #271680=ORIENTED_EDGE('',*,*,#202641,.T.); #271681=ORIENTED_EDGE('',*,*,#202643,.F.); #271682=ORIENTED_EDGE('',*,*,#202644,.F.); #271683=ORIENTED_EDGE('',*,*,#202645,.T.); #271684=ORIENTED_EDGE('',*,*,#202644,.T.); #271685=ORIENTED_EDGE('',*,*,#202646,.F.); #271686=ORIENTED_EDGE('',*,*,#202647,.F.); #271687=ORIENTED_EDGE('',*,*,#202648,.T.); #271688=ORIENTED_EDGE('',*,*,#202647,.T.); #271689=ORIENTED_EDGE('',*,*,#202649,.F.); #271690=ORIENTED_EDGE('',*,*,#202650,.F.); #271691=ORIENTED_EDGE('',*,*,#202651,.T.); #271692=ORIENTED_EDGE('',*,*,#202650,.T.); #271693=ORIENTED_EDGE('',*,*,#202652,.F.); #271694=ORIENTED_EDGE('',*,*,#202653,.F.); #271695=ORIENTED_EDGE('',*,*,#202654,.T.); #271696=ORIENTED_EDGE('',*,*,#202653,.T.); #271697=ORIENTED_EDGE('',*,*,#202655,.F.); #271698=ORIENTED_EDGE('',*,*,#202597,.F.); #271699=ORIENTED_EDGE('',*,*,#202655,.T.); #271700=ORIENTED_EDGE('',*,*,#202652,.T.); #271701=ORIENTED_EDGE('',*,*,#202649,.T.); #271702=ORIENTED_EDGE('',*,*,#202646,.T.); #271703=ORIENTED_EDGE('',*,*,#202643,.T.); #271704=ORIENTED_EDGE('',*,*,#202640,.T.); #271705=ORIENTED_EDGE('',*,*,#202637,.T.); #271706=ORIENTED_EDGE('',*,*,#202634,.T.); #271707=ORIENTED_EDGE('',*,*,#202631,.T.); #271708=ORIENTED_EDGE('',*,*,#202628,.T.); #271709=ORIENTED_EDGE('',*,*,#202625,.T.); #271710=ORIENTED_EDGE('',*,*,#202622,.T.); #271711=ORIENTED_EDGE('',*,*,#202619,.T.); #271712=ORIENTED_EDGE('',*,*,#202616,.T.); #271713=ORIENTED_EDGE('',*,*,#202613,.T.); #271714=ORIENTED_EDGE('',*,*,#202610,.T.); #271715=ORIENTED_EDGE('',*,*,#202607,.T.); #271716=ORIENTED_EDGE('',*,*,#202604,.T.); #271717=ORIENTED_EDGE('',*,*,#202601,.T.); #271718=ORIENTED_EDGE('',*,*,#202598,.T.); #271719=ORIENTED_EDGE('',*,*,#202654,.F.); #271720=ORIENTED_EDGE('',*,*,#202596,.F.); #271721=ORIENTED_EDGE('',*,*,#202600,.F.); #271722=ORIENTED_EDGE('',*,*,#202603,.F.); #271723=ORIENTED_EDGE('',*,*,#202606,.F.); #271724=ORIENTED_EDGE('',*,*,#202609,.F.); #271725=ORIENTED_EDGE('',*,*,#202612,.F.); #271726=ORIENTED_EDGE('',*,*,#202615,.F.); #271727=ORIENTED_EDGE('',*,*,#202618,.F.); #271728=ORIENTED_EDGE('',*,*,#202621,.F.); #271729=ORIENTED_EDGE('',*,*,#202624,.F.); #271730=ORIENTED_EDGE('',*,*,#202627,.F.); #271731=ORIENTED_EDGE('',*,*,#202630,.F.); #271732=ORIENTED_EDGE('',*,*,#202633,.F.); #271733=ORIENTED_EDGE('',*,*,#202636,.F.); #271734=ORIENTED_EDGE('',*,*,#202639,.F.); #271735=ORIENTED_EDGE('',*,*,#202642,.F.); #271736=ORIENTED_EDGE('',*,*,#202645,.F.); #271737=ORIENTED_EDGE('',*,*,#202648,.F.); #271738=ORIENTED_EDGE('',*,*,#202651,.F.); #271739=ORIENTED_EDGE('',*,*,#202656,.T.); #271740=ORIENTED_EDGE('',*,*,#202657,.T.); #271741=ORIENTED_EDGE('',*,*,#202658,.F.); #271742=ORIENTED_EDGE('',*,*,#202659,.F.); #271743=ORIENTED_EDGE('',*,*,#202660,.T.); #271744=ORIENTED_EDGE('',*,*,#202659,.T.); #271745=ORIENTED_EDGE('',*,*,#202661,.F.); #271746=ORIENTED_EDGE('',*,*,#202662,.F.); #271747=ORIENTED_EDGE('',*,*,#202663,.T.); #271748=ORIENTED_EDGE('',*,*,#202662,.T.); #271749=ORIENTED_EDGE('',*,*,#202664,.F.); #271750=ORIENTED_EDGE('',*,*,#202665,.F.); #271751=ORIENTED_EDGE('',*,*,#202666,.T.); #271752=ORIENTED_EDGE('',*,*,#202665,.T.); #271753=ORIENTED_EDGE('',*,*,#202667,.F.); #271754=ORIENTED_EDGE('',*,*,#202668,.F.); #271755=ORIENTED_EDGE('',*,*,#202669,.T.); #271756=ORIENTED_EDGE('',*,*,#202668,.T.); #271757=ORIENTED_EDGE('',*,*,#202670,.F.); #271758=ORIENTED_EDGE('',*,*,#202671,.F.); #271759=ORIENTED_EDGE('',*,*,#202672,.T.); #271760=ORIENTED_EDGE('',*,*,#202671,.T.); #271761=ORIENTED_EDGE('',*,*,#202673,.F.); #271762=ORIENTED_EDGE('',*,*,#202674,.F.); #271763=ORIENTED_EDGE('',*,*,#202675,.T.); #271764=ORIENTED_EDGE('',*,*,#202674,.T.); #271765=ORIENTED_EDGE('',*,*,#202676,.F.); #271766=ORIENTED_EDGE('',*,*,#202677,.F.); #271767=ORIENTED_EDGE('',*,*,#202678,.T.); #271768=ORIENTED_EDGE('',*,*,#202677,.T.); #271769=ORIENTED_EDGE('',*,*,#202679,.F.); #271770=ORIENTED_EDGE('',*,*,#202680,.F.); #271771=ORIENTED_EDGE('',*,*,#202681,.T.); #271772=ORIENTED_EDGE('',*,*,#202680,.T.); #271773=ORIENTED_EDGE('',*,*,#202682,.F.); #271774=ORIENTED_EDGE('',*,*,#202683,.F.); #271775=ORIENTED_EDGE('',*,*,#202684,.T.); #271776=ORIENTED_EDGE('',*,*,#202683,.T.); #271777=ORIENTED_EDGE('',*,*,#202685,.F.); #271778=ORIENTED_EDGE('',*,*,#202686,.F.); #271779=ORIENTED_EDGE('',*,*,#202687,.T.); #271780=ORIENTED_EDGE('',*,*,#202686,.T.); #271781=ORIENTED_EDGE('',*,*,#202688,.F.); #271782=ORIENTED_EDGE('',*,*,#202689,.F.); #271783=ORIENTED_EDGE('',*,*,#202690,.T.); #271784=ORIENTED_EDGE('',*,*,#202689,.T.); #271785=ORIENTED_EDGE('',*,*,#202691,.F.); #271786=ORIENTED_EDGE('',*,*,#202692,.F.); #271787=ORIENTED_EDGE('',*,*,#202693,.T.); #271788=ORIENTED_EDGE('',*,*,#202692,.T.); #271789=ORIENTED_EDGE('',*,*,#202694,.F.); #271790=ORIENTED_EDGE('',*,*,#202695,.F.); #271791=ORIENTED_EDGE('',*,*,#202696,.T.); #271792=ORIENTED_EDGE('',*,*,#202695,.T.); #271793=ORIENTED_EDGE('',*,*,#202697,.F.); #271794=ORIENTED_EDGE('',*,*,#202698,.F.); #271795=ORIENTED_EDGE('',*,*,#202699,.T.); #271796=ORIENTED_EDGE('',*,*,#202698,.T.); #271797=ORIENTED_EDGE('',*,*,#202700,.F.); #271798=ORIENTED_EDGE('',*,*,#202701,.F.); #271799=ORIENTED_EDGE('',*,*,#202702,.T.); #271800=ORIENTED_EDGE('',*,*,#202701,.T.); #271801=ORIENTED_EDGE('',*,*,#202703,.F.); #271802=ORIENTED_EDGE('',*,*,#202704,.F.); #271803=ORIENTED_EDGE('',*,*,#202705,.T.); #271804=ORIENTED_EDGE('',*,*,#202704,.T.); #271805=ORIENTED_EDGE('',*,*,#202706,.F.); #271806=ORIENTED_EDGE('',*,*,#202707,.F.); #271807=ORIENTED_EDGE('',*,*,#202708,.T.); #271808=ORIENTED_EDGE('',*,*,#202707,.T.); #271809=ORIENTED_EDGE('',*,*,#202709,.F.); #271810=ORIENTED_EDGE('',*,*,#202710,.F.); #271811=ORIENTED_EDGE('',*,*,#202711,.T.); #271812=ORIENTED_EDGE('',*,*,#202710,.T.); #271813=ORIENTED_EDGE('',*,*,#202712,.F.); #271814=ORIENTED_EDGE('',*,*,#202713,.F.); #271815=ORIENTED_EDGE('',*,*,#202714,.T.); #271816=ORIENTED_EDGE('',*,*,#202713,.T.); #271817=ORIENTED_EDGE('',*,*,#202715,.F.); #271818=ORIENTED_EDGE('',*,*,#202716,.F.); #271819=ORIENTED_EDGE('',*,*,#202717,.T.); #271820=ORIENTED_EDGE('',*,*,#202716,.T.); #271821=ORIENTED_EDGE('',*,*,#202718,.F.); #271822=ORIENTED_EDGE('',*,*,#202657,.F.); #271823=ORIENTED_EDGE('',*,*,#202718,.T.); #271824=ORIENTED_EDGE('',*,*,#202715,.T.); #271825=ORIENTED_EDGE('',*,*,#202712,.T.); #271826=ORIENTED_EDGE('',*,*,#202709,.T.); #271827=ORIENTED_EDGE('',*,*,#202706,.T.); #271828=ORIENTED_EDGE('',*,*,#202703,.T.); #271829=ORIENTED_EDGE('',*,*,#202700,.T.); #271830=ORIENTED_EDGE('',*,*,#202697,.T.); #271831=ORIENTED_EDGE('',*,*,#202694,.T.); #271832=ORIENTED_EDGE('',*,*,#202691,.T.); #271833=ORIENTED_EDGE('',*,*,#202688,.T.); #271834=ORIENTED_EDGE('',*,*,#202685,.T.); #271835=ORIENTED_EDGE('',*,*,#202682,.T.); #271836=ORIENTED_EDGE('',*,*,#202679,.T.); #271837=ORIENTED_EDGE('',*,*,#202676,.T.); #271838=ORIENTED_EDGE('',*,*,#202673,.T.); #271839=ORIENTED_EDGE('',*,*,#202670,.T.); #271840=ORIENTED_EDGE('',*,*,#202667,.T.); #271841=ORIENTED_EDGE('',*,*,#202664,.T.); #271842=ORIENTED_EDGE('',*,*,#202661,.T.); #271843=ORIENTED_EDGE('',*,*,#202658,.T.); #271844=ORIENTED_EDGE('',*,*,#202717,.F.); #271845=ORIENTED_EDGE('',*,*,#202656,.F.); #271846=ORIENTED_EDGE('',*,*,#202660,.F.); #271847=ORIENTED_EDGE('',*,*,#202663,.F.); #271848=ORIENTED_EDGE('',*,*,#202666,.F.); #271849=ORIENTED_EDGE('',*,*,#202669,.F.); #271850=ORIENTED_EDGE('',*,*,#202672,.F.); #271851=ORIENTED_EDGE('',*,*,#202675,.F.); #271852=ORIENTED_EDGE('',*,*,#202678,.F.); #271853=ORIENTED_EDGE('',*,*,#202681,.F.); #271854=ORIENTED_EDGE('',*,*,#202684,.F.); #271855=ORIENTED_EDGE('',*,*,#202687,.F.); #271856=ORIENTED_EDGE('',*,*,#202690,.F.); #271857=ORIENTED_EDGE('',*,*,#202693,.F.); #271858=ORIENTED_EDGE('',*,*,#202696,.F.); #271859=ORIENTED_EDGE('',*,*,#202699,.F.); #271860=ORIENTED_EDGE('',*,*,#202702,.F.); #271861=ORIENTED_EDGE('',*,*,#202705,.F.); #271862=ORIENTED_EDGE('',*,*,#202708,.F.); #271863=ORIENTED_EDGE('',*,*,#202711,.F.); #271864=ORIENTED_EDGE('',*,*,#202714,.F.); #271865=ORIENTED_EDGE('',*,*,#202719,.F.); #271866=ORIENTED_EDGE('',*,*,#202720,.T.); #271867=ORIENTED_EDGE('',*,*,#202721,.F.); #271868=ORIENTED_EDGE('',*,*,#202720,.F.); #271869=ORIENTED_EDGE('',*,*,#202722,.T.); #271870=ORIENTED_EDGE('',*,*,#202723,.T.); #271871=ORIENTED_EDGE('',*,*,#202724,.F.); #271872=ORIENTED_EDGE('',*,*,#202725,.F.); #271873=ORIENTED_EDGE('',*,*,#202726,.T.); #271874=ORIENTED_EDGE('',*,*,#202725,.T.); #271875=ORIENTED_EDGE('',*,*,#202727,.F.); #271876=ORIENTED_EDGE('',*,*,#202728,.F.); #271877=ORIENTED_EDGE('',*,*,#202729,.T.); #271878=ORIENTED_EDGE('',*,*,#202728,.T.); #271879=ORIENTED_EDGE('',*,*,#202730,.F.); #271880=ORIENTED_EDGE('',*,*,#202723,.F.); #271881=ORIENTED_EDGE('',*,*,#202730,.T.); #271882=ORIENTED_EDGE('',*,*,#202727,.T.); #271883=ORIENTED_EDGE('',*,*,#202724,.T.); #271884=ORIENTED_EDGE('',*,*,#202721,.T.); #271885=ORIENTED_EDGE('',*,*,#202729,.F.); #271886=ORIENTED_EDGE('',*,*,#202722,.F.); #271887=ORIENTED_EDGE('',*,*,#202726,.F.); #271888=ORIENTED_EDGE('',*,*,#202719,.T.); #271889=ORIENTED_EDGE('',*,*,#202731,.F.); #271890=ORIENTED_EDGE('',*,*,#202732,.T.); #271891=ORIENTED_EDGE('',*,*,#202733,.F.); #271892=ORIENTED_EDGE('',*,*,#202732,.F.); #271893=ORIENTED_EDGE('',*,*,#202734,.T.); #271894=ORIENTED_EDGE('',*,*,#202735,.T.); #271895=ORIENTED_EDGE('',*,*,#202736,.F.); #271896=ORIENTED_EDGE('',*,*,#202737,.F.); #271897=ORIENTED_EDGE('',*,*,#202738,.T.); #271898=ORIENTED_EDGE('',*,*,#202737,.T.); #271899=ORIENTED_EDGE('',*,*,#202739,.F.); #271900=ORIENTED_EDGE('',*,*,#202740,.F.); #271901=ORIENTED_EDGE('',*,*,#202741,.T.); #271902=ORIENTED_EDGE('',*,*,#202740,.T.); #271903=ORIENTED_EDGE('',*,*,#202742,.F.); #271904=ORIENTED_EDGE('',*,*,#202735,.F.); #271905=ORIENTED_EDGE('',*,*,#202742,.T.); #271906=ORIENTED_EDGE('',*,*,#202739,.T.); #271907=ORIENTED_EDGE('',*,*,#202736,.T.); #271908=ORIENTED_EDGE('',*,*,#202733,.T.); #271909=ORIENTED_EDGE('',*,*,#202741,.F.); #271910=ORIENTED_EDGE('',*,*,#202734,.F.); #271911=ORIENTED_EDGE('',*,*,#202738,.F.); #271912=ORIENTED_EDGE('',*,*,#202731,.T.); #271913=ORIENTED_EDGE('',*,*,#202743,.F.); #271914=ORIENTED_EDGE('',*,*,#202744,.T.); #271915=ORIENTED_EDGE('',*,*,#202745,.F.); #271916=ORIENTED_EDGE('',*,*,#202744,.F.); #271917=ORIENTED_EDGE('',*,*,#202746,.T.); #271918=ORIENTED_EDGE('',*,*,#202747,.T.); #271919=ORIENTED_EDGE('',*,*,#202748,.F.); #271920=ORIENTED_EDGE('',*,*,#202749,.F.); #271921=ORIENTED_EDGE('',*,*,#202750,.T.); #271922=ORIENTED_EDGE('',*,*,#202749,.T.); #271923=ORIENTED_EDGE('',*,*,#202751,.F.); #271924=ORIENTED_EDGE('',*,*,#202752,.F.); #271925=ORIENTED_EDGE('',*,*,#202753,.T.); #271926=ORIENTED_EDGE('',*,*,#202752,.T.); #271927=ORIENTED_EDGE('',*,*,#202754,.F.); #271928=ORIENTED_EDGE('',*,*,#202747,.F.); #271929=ORIENTED_EDGE('',*,*,#202754,.T.); #271930=ORIENTED_EDGE('',*,*,#202751,.T.); #271931=ORIENTED_EDGE('',*,*,#202748,.T.); #271932=ORIENTED_EDGE('',*,*,#202745,.T.); #271933=ORIENTED_EDGE('',*,*,#202753,.F.); #271934=ORIENTED_EDGE('',*,*,#202746,.F.); #271935=ORIENTED_EDGE('',*,*,#202750,.F.); #271936=ORIENTED_EDGE('',*,*,#202743,.T.); #271937=ORIENTED_EDGE('',*,*,#202755,.F.); #271938=ORIENTED_EDGE('',*,*,#202756,.T.); #271939=ORIENTED_EDGE('',*,*,#202757,.F.); #271940=ORIENTED_EDGE('',*,*,#202756,.F.); #271941=ORIENTED_EDGE('',*,*,#202758,.T.); #271942=ORIENTED_EDGE('',*,*,#202759,.T.); #271943=ORIENTED_EDGE('',*,*,#202760,.F.); #271944=ORIENTED_EDGE('',*,*,#202761,.F.); #271945=ORIENTED_EDGE('',*,*,#202762,.T.); #271946=ORIENTED_EDGE('',*,*,#202761,.T.); #271947=ORIENTED_EDGE('',*,*,#202763,.F.); #271948=ORIENTED_EDGE('',*,*,#202764,.F.); #271949=ORIENTED_EDGE('',*,*,#202765,.T.); #271950=ORIENTED_EDGE('',*,*,#202764,.T.); #271951=ORIENTED_EDGE('',*,*,#202766,.F.); #271952=ORIENTED_EDGE('',*,*,#202759,.F.); #271953=ORIENTED_EDGE('',*,*,#202766,.T.); #271954=ORIENTED_EDGE('',*,*,#202763,.T.); #271955=ORIENTED_EDGE('',*,*,#202760,.T.); #271956=ORIENTED_EDGE('',*,*,#202757,.T.); #271957=ORIENTED_EDGE('',*,*,#202765,.F.); #271958=ORIENTED_EDGE('',*,*,#202758,.F.); #271959=ORIENTED_EDGE('',*,*,#202762,.F.); #271960=ORIENTED_EDGE('',*,*,#202755,.T.); #271961=ORIENTED_EDGE('',*,*,#202767,.F.); #271962=ORIENTED_EDGE('',*,*,#202768,.T.); #271963=ORIENTED_EDGE('',*,*,#202769,.F.); #271964=ORIENTED_EDGE('',*,*,#202768,.F.); #271965=ORIENTED_EDGE('',*,*,#202770,.T.); #271966=ORIENTED_EDGE('',*,*,#202771,.T.); #271967=ORIENTED_EDGE('',*,*,#202772,.F.); #271968=ORIENTED_EDGE('',*,*,#202773,.F.); #271969=ORIENTED_EDGE('',*,*,#202774,.T.); #271970=ORIENTED_EDGE('',*,*,#202773,.T.); #271971=ORIENTED_EDGE('',*,*,#202775,.F.); #271972=ORIENTED_EDGE('',*,*,#202776,.F.); #271973=ORIENTED_EDGE('',*,*,#202777,.T.); #271974=ORIENTED_EDGE('',*,*,#202776,.T.); #271975=ORIENTED_EDGE('',*,*,#202778,.F.); #271976=ORIENTED_EDGE('',*,*,#202779,.F.); #271977=ORIENTED_EDGE('',*,*,#202780,.T.); #271978=ORIENTED_EDGE('',*,*,#202779,.T.); #271979=ORIENTED_EDGE('',*,*,#202781,.F.); #271980=ORIENTED_EDGE('',*,*,#202771,.F.); #271981=ORIENTED_EDGE('',*,*,#202781,.T.); #271982=ORIENTED_EDGE('',*,*,#202778,.T.); #271983=ORIENTED_EDGE('',*,*,#202775,.T.); #271984=ORIENTED_EDGE('',*,*,#202772,.T.); #271985=ORIENTED_EDGE('',*,*,#202769,.T.); #271986=ORIENTED_EDGE('',*,*,#202780,.F.); #271987=ORIENTED_EDGE('',*,*,#202770,.F.); #271988=ORIENTED_EDGE('',*,*,#202774,.F.); #271989=ORIENTED_EDGE('',*,*,#202777,.F.); #271990=ORIENTED_EDGE('',*,*,#202767,.T.); #271991=ORIENTED_EDGE('',*,*,#202782,.F.); #271992=ORIENTED_EDGE('',*,*,#202783,.T.); #271993=ORIENTED_EDGE('',*,*,#202784,.F.); #271994=ORIENTED_EDGE('',*,*,#202783,.F.); #271995=ORIENTED_EDGE('',*,*,#202785,.T.); #271996=ORIENTED_EDGE('',*,*,#202786,.T.); #271997=ORIENTED_EDGE('',*,*,#202787,.F.); #271998=ORIENTED_EDGE('',*,*,#202788,.F.); #271999=ORIENTED_EDGE('',*,*,#202789,.T.); #272000=ORIENTED_EDGE('',*,*,#202788,.T.); #272001=ORIENTED_EDGE('',*,*,#202790,.F.); #272002=ORIENTED_EDGE('',*,*,#202791,.F.); #272003=ORIENTED_EDGE('',*,*,#202792,.T.); #272004=ORIENTED_EDGE('',*,*,#202791,.T.); #272005=ORIENTED_EDGE('',*,*,#202793,.F.); #272006=ORIENTED_EDGE('',*,*,#202786,.F.); #272007=ORIENTED_EDGE('',*,*,#202793,.T.); #272008=ORIENTED_EDGE('',*,*,#202790,.T.); #272009=ORIENTED_EDGE('',*,*,#202787,.T.); #272010=ORIENTED_EDGE('',*,*,#202784,.T.); #272011=ORIENTED_EDGE('',*,*,#202792,.F.); #272012=ORIENTED_EDGE('',*,*,#202785,.F.); #272013=ORIENTED_EDGE('',*,*,#202789,.F.); #272014=ORIENTED_EDGE('',*,*,#202782,.T.); #272015=ORIENTED_EDGE('',*,*,#202794,.F.); #272016=ORIENTED_EDGE('',*,*,#202795,.T.); #272017=ORIENTED_EDGE('',*,*,#202796,.F.); #272018=ORIENTED_EDGE('',*,*,#202795,.F.); #272019=ORIENTED_EDGE('',*,*,#202797,.T.); #272020=ORIENTED_EDGE('',*,*,#202798,.T.); #272021=ORIENTED_EDGE('',*,*,#202799,.F.); #272022=ORIENTED_EDGE('',*,*,#202800,.F.); #272023=ORIENTED_EDGE('',*,*,#202801,.T.); #272024=ORIENTED_EDGE('',*,*,#202800,.T.); #272025=ORIENTED_EDGE('',*,*,#202802,.F.); #272026=ORIENTED_EDGE('',*,*,#202803,.F.); #272027=ORIENTED_EDGE('',*,*,#202804,.T.); #272028=ORIENTED_EDGE('',*,*,#202803,.T.); #272029=ORIENTED_EDGE('',*,*,#202805,.F.); #272030=ORIENTED_EDGE('',*,*,#202798,.F.); #272031=ORIENTED_EDGE('',*,*,#202805,.T.); #272032=ORIENTED_EDGE('',*,*,#202802,.T.); #272033=ORIENTED_EDGE('',*,*,#202799,.T.); #272034=ORIENTED_EDGE('',*,*,#202796,.T.); #272035=ORIENTED_EDGE('',*,*,#202804,.F.); #272036=ORIENTED_EDGE('',*,*,#202797,.F.); #272037=ORIENTED_EDGE('',*,*,#202801,.F.); #272038=ORIENTED_EDGE('',*,*,#202794,.T.); #272039=ORIENTED_EDGE('',*,*,#202806,.F.); #272040=ORIENTED_EDGE('',*,*,#202807,.T.); #272041=ORIENTED_EDGE('',*,*,#202808,.F.); #272042=ORIENTED_EDGE('',*,*,#202807,.F.); #272043=ORIENTED_EDGE('',*,*,#202809,.T.); #272044=ORIENTED_EDGE('',*,*,#202810,.T.); #272045=ORIENTED_EDGE('',*,*,#202811,.F.); #272046=ORIENTED_EDGE('',*,*,#202812,.F.); #272047=ORIENTED_EDGE('',*,*,#202813,.T.); #272048=ORIENTED_EDGE('',*,*,#202812,.T.); #272049=ORIENTED_EDGE('',*,*,#202814,.F.); #272050=ORIENTED_EDGE('',*,*,#202815,.F.); #272051=ORIENTED_EDGE('',*,*,#202816,.T.); #272052=ORIENTED_EDGE('',*,*,#202815,.T.); #272053=ORIENTED_EDGE('',*,*,#202817,.F.); #272054=ORIENTED_EDGE('',*,*,#202810,.F.); #272055=ORIENTED_EDGE('',*,*,#202817,.T.); #272056=ORIENTED_EDGE('',*,*,#202814,.T.); #272057=ORIENTED_EDGE('',*,*,#202811,.T.); #272058=ORIENTED_EDGE('',*,*,#202808,.T.); #272059=ORIENTED_EDGE('',*,*,#202816,.F.); #272060=ORIENTED_EDGE('',*,*,#202809,.F.); #272061=ORIENTED_EDGE('',*,*,#202813,.F.); #272062=ORIENTED_EDGE('',*,*,#202806,.T.); #272063=ORIENTED_EDGE('',*,*,#202818,.F.); #272064=ORIENTED_EDGE('',*,*,#202819,.T.); #272065=ORIENTED_EDGE('',*,*,#202820,.F.); #272066=ORIENTED_EDGE('',*,*,#202819,.F.); #272067=ORIENTED_EDGE('',*,*,#202821,.T.); #272068=ORIENTED_EDGE('',*,*,#202822,.T.); #272069=ORIENTED_EDGE('',*,*,#202823,.F.); #272070=ORIENTED_EDGE('',*,*,#202824,.F.); #272071=ORIENTED_EDGE('',*,*,#202825,.T.); #272072=ORIENTED_EDGE('',*,*,#202824,.T.); #272073=ORIENTED_EDGE('',*,*,#202826,.F.); #272074=ORIENTED_EDGE('',*,*,#202827,.F.); #272075=ORIENTED_EDGE('',*,*,#202828,.T.); #272076=ORIENTED_EDGE('',*,*,#202827,.T.); #272077=ORIENTED_EDGE('',*,*,#202829,.F.); #272078=ORIENTED_EDGE('',*,*,#202822,.F.); #272079=ORIENTED_EDGE('',*,*,#202829,.T.); #272080=ORIENTED_EDGE('',*,*,#202826,.T.); #272081=ORIENTED_EDGE('',*,*,#202823,.T.); #272082=ORIENTED_EDGE('',*,*,#202820,.T.); #272083=ORIENTED_EDGE('',*,*,#202828,.F.); #272084=ORIENTED_EDGE('',*,*,#202821,.F.); #272085=ORIENTED_EDGE('',*,*,#202825,.F.); #272086=ORIENTED_EDGE('',*,*,#202818,.T.); #272087=ORIENTED_EDGE('',*,*,#202830,.F.); #272088=ORIENTED_EDGE('',*,*,#202831,.F.); #272089=ORIENTED_EDGE('',*,*,#202832,.F.); #272090=ORIENTED_EDGE('',*,*,#202833,.T.); #272091=ORIENTED_EDGE('',*,*,#202834,.F.); #272092=ORIENTED_EDGE('',*,*,#202833,.F.); #272093=ORIENTED_EDGE('',*,*,#202835,.F.); #272094=ORIENTED_EDGE('',*,*,#202836,.T.); #272095=ORIENTED_EDGE('',*,*,#202837,.F.); #272096=ORIENTED_EDGE('',*,*,#202836,.F.); #272097=ORIENTED_EDGE('',*,*,#202838,.F.); #272098=ORIENTED_EDGE('',*,*,#202839,.T.); #272099=ORIENTED_EDGE('',*,*,#202840,.F.); #272100=ORIENTED_EDGE('',*,*,#202839,.F.); #272101=ORIENTED_EDGE('',*,*,#202841,.F.); #272102=ORIENTED_EDGE('',*,*,#202831,.T.); #272103=ORIENTED_EDGE('',*,*,#202842,.T.); #272104=ORIENTED_EDGE('',*,*,#202843,.T.); #272105=ORIENTED_EDGE('',*,*,#202844,.F.); #272106=ORIENTED_EDGE('',*,*,#202845,.F.); #272107=ORIENTED_EDGE('',*,*,#202846,.T.); #272108=ORIENTED_EDGE('',*,*,#202845,.T.); #272109=ORIENTED_EDGE('',*,*,#202847,.F.); #272110=ORIENTED_EDGE('',*,*,#202848,.F.); #272111=ORIENTED_EDGE('',*,*,#202849,.T.); #272112=ORIENTED_EDGE('',*,*,#202848,.T.); #272113=ORIENTED_EDGE('',*,*,#202850,.F.); #272114=ORIENTED_EDGE('',*,*,#202851,.F.); #272115=ORIENTED_EDGE('',*,*,#202852,.T.); #272116=ORIENTED_EDGE('',*,*,#202851,.T.); #272117=ORIENTED_EDGE('',*,*,#202853,.F.); #272118=ORIENTED_EDGE('',*,*,#202854,.F.); #272119=ORIENTED_EDGE('',*,*,#202855,.T.); #272120=ORIENTED_EDGE('',*,*,#202854,.T.); #272121=ORIENTED_EDGE('',*,*,#202856,.F.); #272122=ORIENTED_EDGE('',*,*,#202857,.F.); #272123=ORIENTED_EDGE('',*,*,#202858,.T.); #272124=ORIENTED_EDGE('',*,*,#202857,.T.); #272125=ORIENTED_EDGE('',*,*,#202859,.F.); #272126=ORIENTED_EDGE('',*,*,#202860,.F.); #272127=ORIENTED_EDGE('',*,*,#202861,.T.); #272128=ORIENTED_EDGE('',*,*,#202860,.T.); #272129=ORIENTED_EDGE('',*,*,#202862,.F.); #272130=ORIENTED_EDGE('',*,*,#202863,.F.); #272131=ORIENTED_EDGE('',*,*,#202864,.T.); #272132=ORIENTED_EDGE('',*,*,#202863,.T.); #272133=ORIENTED_EDGE('',*,*,#202865,.F.); #272134=ORIENTED_EDGE('',*,*,#202866,.F.); #272135=ORIENTED_EDGE('',*,*,#202867,.T.); #272136=ORIENTED_EDGE('',*,*,#202866,.T.); #272137=ORIENTED_EDGE('',*,*,#202868,.F.); #272138=ORIENTED_EDGE('',*,*,#202869,.F.); #272139=ORIENTED_EDGE('',*,*,#202870,.T.); #272140=ORIENTED_EDGE('',*,*,#202869,.T.); #272141=ORIENTED_EDGE('',*,*,#202871,.F.); #272142=ORIENTED_EDGE('',*,*,#202872,.F.); #272143=ORIENTED_EDGE('',*,*,#202873,.T.); #272144=ORIENTED_EDGE('',*,*,#202872,.T.); #272145=ORIENTED_EDGE('',*,*,#202874,.F.); #272146=ORIENTED_EDGE('',*,*,#202875,.F.); #272147=ORIENTED_EDGE('',*,*,#202876,.T.); #272148=ORIENTED_EDGE('',*,*,#202875,.T.); #272149=ORIENTED_EDGE('',*,*,#202877,.F.); #272150=ORIENTED_EDGE('',*,*,#202878,.F.); #272151=ORIENTED_EDGE('',*,*,#202879,.T.); #272152=ORIENTED_EDGE('',*,*,#202878,.T.); #272153=ORIENTED_EDGE('',*,*,#202880,.F.); #272154=ORIENTED_EDGE('',*,*,#202881,.F.); #272155=ORIENTED_EDGE('',*,*,#202882,.T.); #272156=ORIENTED_EDGE('',*,*,#202881,.T.); #272157=ORIENTED_EDGE('',*,*,#202883,.F.); #272158=ORIENTED_EDGE('',*,*,#202884,.F.); #272159=ORIENTED_EDGE('',*,*,#202885,.T.); #272160=ORIENTED_EDGE('',*,*,#202884,.T.); #272161=ORIENTED_EDGE('',*,*,#202886,.F.); #272162=ORIENTED_EDGE('',*,*,#202887,.F.); #272163=ORIENTED_EDGE('',*,*,#202888,.T.); #272164=ORIENTED_EDGE('',*,*,#202887,.T.); #272165=ORIENTED_EDGE('',*,*,#202889,.F.); #272166=ORIENTED_EDGE('',*,*,#202890,.F.); #272167=ORIENTED_EDGE('',*,*,#202891,.T.); #272168=ORIENTED_EDGE('',*,*,#202890,.T.); #272169=ORIENTED_EDGE('',*,*,#202892,.F.); #272170=ORIENTED_EDGE('',*,*,#202893,.F.); #272171=ORIENTED_EDGE('',*,*,#202894,.T.); #272172=ORIENTED_EDGE('',*,*,#202893,.T.); #272173=ORIENTED_EDGE('',*,*,#202895,.F.); #272174=ORIENTED_EDGE('',*,*,#202896,.F.); #272175=ORIENTED_EDGE('',*,*,#202897,.T.); #272176=ORIENTED_EDGE('',*,*,#202896,.T.); #272177=ORIENTED_EDGE('',*,*,#202898,.F.); #272178=ORIENTED_EDGE('',*,*,#202899,.F.); #272179=ORIENTED_EDGE('',*,*,#202900,.T.); #272180=ORIENTED_EDGE('',*,*,#202899,.T.); #272181=ORIENTED_EDGE('',*,*,#202901,.F.); #272182=ORIENTED_EDGE('',*,*,#202902,.F.); #272183=ORIENTED_EDGE('',*,*,#202903,.T.); #272184=ORIENTED_EDGE('',*,*,#202902,.T.); #272185=ORIENTED_EDGE('',*,*,#202904,.F.); #272186=ORIENTED_EDGE('',*,*,#202905,.F.); #272187=ORIENTED_EDGE('',*,*,#202906,.T.); #272188=ORIENTED_EDGE('',*,*,#202905,.T.); #272189=ORIENTED_EDGE('',*,*,#202907,.F.); #272190=ORIENTED_EDGE('',*,*,#202908,.F.); #272191=ORIENTED_EDGE('',*,*,#202909,.T.); #272192=ORIENTED_EDGE('',*,*,#202908,.T.); #272193=ORIENTED_EDGE('',*,*,#202910,.F.); #272194=ORIENTED_EDGE('',*,*,#202911,.F.); #272195=ORIENTED_EDGE('',*,*,#202912,.T.); #272196=ORIENTED_EDGE('',*,*,#202911,.T.); #272197=ORIENTED_EDGE('',*,*,#202913,.F.); #272198=ORIENTED_EDGE('',*,*,#202914,.F.); #272199=ORIENTED_EDGE('',*,*,#202915,.T.); #272200=ORIENTED_EDGE('',*,*,#202914,.T.); #272201=ORIENTED_EDGE('',*,*,#202916,.F.); #272202=ORIENTED_EDGE('',*,*,#202917,.F.); #272203=ORIENTED_EDGE('',*,*,#202918,.T.); #272204=ORIENTED_EDGE('',*,*,#202917,.T.); #272205=ORIENTED_EDGE('',*,*,#202919,.F.); #272206=ORIENTED_EDGE('',*,*,#202920,.F.); #272207=ORIENTED_EDGE('',*,*,#202921,.T.); #272208=ORIENTED_EDGE('',*,*,#202920,.T.); #272209=ORIENTED_EDGE('',*,*,#202922,.F.); #272210=ORIENTED_EDGE('',*,*,#202923,.F.); #272211=ORIENTED_EDGE('',*,*,#202924,.T.); #272212=ORIENTED_EDGE('',*,*,#202923,.T.); #272213=ORIENTED_EDGE('',*,*,#202925,.F.); #272214=ORIENTED_EDGE('',*,*,#202926,.F.); #272215=ORIENTED_EDGE('',*,*,#202927,.T.); #272216=ORIENTED_EDGE('',*,*,#202926,.T.); #272217=ORIENTED_EDGE('',*,*,#202928,.F.); #272218=ORIENTED_EDGE('',*,*,#202929,.F.); #272219=ORIENTED_EDGE('',*,*,#202930,.T.); #272220=ORIENTED_EDGE('',*,*,#202929,.T.); #272221=ORIENTED_EDGE('',*,*,#202931,.F.); #272222=ORIENTED_EDGE('',*,*,#202932,.F.); #272223=ORIENTED_EDGE('',*,*,#202933,.T.); #272224=ORIENTED_EDGE('',*,*,#202932,.T.); #272225=ORIENTED_EDGE('',*,*,#202934,.F.); #272226=ORIENTED_EDGE('',*,*,#202935,.F.); #272227=ORIENTED_EDGE('',*,*,#202936,.T.); #272228=ORIENTED_EDGE('',*,*,#202935,.T.); #272229=ORIENTED_EDGE('',*,*,#202937,.F.); #272230=ORIENTED_EDGE('',*,*,#202938,.F.); #272231=ORIENTED_EDGE('',*,*,#202939,.T.); #272232=ORIENTED_EDGE('',*,*,#202938,.T.); #272233=ORIENTED_EDGE('',*,*,#202940,.F.); #272234=ORIENTED_EDGE('',*,*,#202941,.F.); #272235=ORIENTED_EDGE('',*,*,#202942,.T.); #272236=ORIENTED_EDGE('',*,*,#202941,.T.); #272237=ORIENTED_EDGE('',*,*,#202943,.F.); #272238=ORIENTED_EDGE('',*,*,#202944,.F.); #272239=ORIENTED_EDGE('',*,*,#202945,.T.); #272240=ORIENTED_EDGE('',*,*,#202944,.T.); #272241=ORIENTED_EDGE('',*,*,#202946,.F.); #272242=ORIENTED_EDGE('',*,*,#202947,.F.); #272243=ORIENTED_EDGE('',*,*,#202948,.T.); #272244=ORIENTED_EDGE('',*,*,#202947,.T.); #272245=ORIENTED_EDGE('',*,*,#202949,.F.); #272246=ORIENTED_EDGE('',*,*,#202950,.F.); #272247=ORIENTED_EDGE('',*,*,#202951,.T.); #272248=ORIENTED_EDGE('',*,*,#202950,.T.); #272249=ORIENTED_EDGE('',*,*,#202952,.F.); #272250=ORIENTED_EDGE('',*,*,#202953,.F.); #272251=ORIENTED_EDGE('',*,*,#202954,.T.); #272252=ORIENTED_EDGE('',*,*,#202953,.T.); #272253=ORIENTED_EDGE('',*,*,#202955,.F.); #272254=ORIENTED_EDGE('',*,*,#202956,.F.); #272255=ORIENTED_EDGE('',*,*,#202957,.T.); #272256=ORIENTED_EDGE('',*,*,#202956,.T.); #272257=ORIENTED_EDGE('',*,*,#202958,.F.); #272258=ORIENTED_EDGE('',*,*,#202959,.F.); #272259=ORIENTED_EDGE('',*,*,#202960,.T.); #272260=ORIENTED_EDGE('',*,*,#202959,.T.); #272261=ORIENTED_EDGE('',*,*,#202961,.F.); #272262=ORIENTED_EDGE('',*,*,#202962,.F.); #272263=ORIENTED_EDGE('',*,*,#202963,.T.); #272264=ORIENTED_EDGE('',*,*,#202962,.T.); #272265=ORIENTED_EDGE('',*,*,#202964,.F.); #272266=ORIENTED_EDGE('',*,*,#202965,.F.); #272267=ORIENTED_EDGE('',*,*,#202966,.T.); #272268=ORIENTED_EDGE('',*,*,#202965,.T.); #272269=ORIENTED_EDGE('',*,*,#202967,.F.); #272270=ORIENTED_EDGE('',*,*,#202968,.F.); #272271=ORIENTED_EDGE('',*,*,#202969,.T.); #272272=ORIENTED_EDGE('',*,*,#202968,.T.); #272273=ORIENTED_EDGE('',*,*,#202970,.F.); #272274=ORIENTED_EDGE('',*,*,#202971,.F.); #272275=ORIENTED_EDGE('',*,*,#202972,.T.); #272276=ORIENTED_EDGE('',*,*,#202971,.T.); #272277=ORIENTED_EDGE('',*,*,#202973,.F.); #272278=ORIENTED_EDGE('',*,*,#202974,.F.); #272279=ORIENTED_EDGE('',*,*,#202975,.T.); #272280=ORIENTED_EDGE('',*,*,#202974,.T.); #272281=ORIENTED_EDGE('',*,*,#202976,.F.); #272282=ORIENTED_EDGE('',*,*,#202977,.F.); #272283=ORIENTED_EDGE('',*,*,#202978,.T.); #272284=ORIENTED_EDGE('',*,*,#202977,.T.); #272285=ORIENTED_EDGE('',*,*,#202979,.F.); #272286=ORIENTED_EDGE('',*,*,#202980,.F.); #272287=ORIENTED_EDGE('',*,*,#202981,.T.); #272288=ORIENTED_EDGE('',*,*,#202980,.T.); #272289=ORIENTED_EDGE('',*,*,#202982,.F.); #272290=ORIENTED_EDGE('',*,*,#202983,.F.); #272291=ORIENTED_EDGE('',*,*,#202984,.T.); #272292=ORIENTED_EDGE('',*,*,#202983,.T.); #272293=ORIENTED_EDGE('',*,*,#202985,.F.); #272294=ORIENTED_EDGE('',*,*,#202986,.F.); #272295=ORIENTED_EDGE('',*,*,#202987,.T.); #272296=ORIENTED_EDGE('',*,*,#202986,.T.); #272297=ORIENTED_EDGE('',*,*,#202988,.F.); #272298=ORIENTED_EDGE('',*,*,#202989,.F.); #272299=ORIENTED_EDGE('',*,*,#202990,.T.); #272300=ORIENTED_EDGE('',*,*,#202989,.T.); #272301=ORIENTED_EDGE('',*,*,#202991,.F.); #272302=ORIENTED_EDGE('',*,*,#202992,.F.); #272303=ORIENTED_EDGE('',*,*,#202993,.T.); #272304=ORIENTED_EDGE('',*,*,#202992,.T.); #272305=ORIENTED_EDGE('',*,*,#202994,.F.); #272306=ORIENTED_EDGE('',*,*,#202995,.F.); #272307=ORIENTED_EDGE('',*,*,#202996,.T.); #272308=ORIENTED_EDGE('',*,*,#202995,.T.); #272309=ORIENTED_EDGE('',*,*,#202997,.F.); #272310=ORIENTED_EDGE('',*,*,#202998,.F.); #272311=ORIENTED_EDGE('',*,*,#202999,.T.); #272312=ORIENTED_EDGE('',*,*,#202998,.T.); #272313=ORIENTED_EDGE('',*,*,#203000,.F.); #272314=ORIENTED_EDGE('',*,*,#203001,.F.); #272315=ORIENTED_EDGE('',*,*,#203002,.T.); #272316=ORIENTED_EDGE('',*,*,#203001,.T.); #272317=ORIENTED_EDGE('',*,*,#203003,.F.); #272318=ORIENTED_EDGE('',*,*,#203004,.F.); #272319=ORIENTED_EDGE('',*,*,#203005,.T.); #272320=ORIENTED_EDGE('',*,*,#203004,.T.); #272321=ORIENTED_EDGE('',*,*,#203006,.F.); #272322=ORIENTED_EDGE('',*,*,#203007,.F.); #272323=ORIENTED_EDGE('',*,*,#203008,.T.); #272324=ORIENTED_EDGE('',*,*,#203007,.T.); #272325=ORIENTED_EDGE('',*,*,#203009,.F.); #272326=ORIENTED_EDGE('',*,*,#202843,.F.); #272327=ORIENTED_EDGE('',*,*,#203009,.T.); #272328=ORIENTED_EDGE('',*,*,#203006,.T.); #272329=ORIENTED_EDGE('',*,*,#203003,.T.); #272330=ORIENTED_EDGE('',*,*,#203000,.T.); #272331=ORIENTED_EDGE('',*,*,#202997,.T.); #272332=ORIENTED_EDGE('',*,*,#202994,.T.); #272333=ORIENTED_EDGE('',*,*,#202991,.T.); #272334=ORIENTED_EDGE('',*,*,#202988,.T.); #272335=ORIENTED_EDGE('',*,*,#202985,.T.); #272336=ORIENTED_EDGE('',*,*,#202982,.T.); #272337=ORIENTED_EDGE('',*,*,#202979,.T.); #272338=ORIENTED_EDGE('',*,*,#202976,.T.); #272339=ORIENTED_EDGE('',*,*,#202973,.T.); #272340=ORIENTED_EDGE('',*,*,#202970,.T.); #272341=ORIENTED_EDGE('',*,*,#202967,.T.); #272342=ORIENTED_EDGE('',*,*,#202964,.T.); #272343=ORIENTED_EDGE('',*,*,#202961,.T.); #272344=ORIENTED_EDGE('',*,*,#202958,.T.); #272345=ORIENTED_EDGE('',*,*,#202955,.T.); #272346=ORIENTED_EDGE('',*,*,#202952,.T.); #272347=ORIENTED_EDGE('',*,*,#202949,.T.); #272348=ORIENTED_EDGE('',*,*,#202946,.T.); #272349=ORIENTED_EDGE('',*,*,#202943,.T.); #272350=ORIENTED_EDGE('',*,*,#202940,.T.); #272351=ORIENTED_EDGE('',*,*,#202937,.T.); #272352=ORIENTED_EDGE('',*,*,#202934,.T.); #272353=ORIENTED_EDGE('',*,*,#202931,.T.); #272354=ORIENTED_EDGE('',*,*,#202928,.T.); #272355=ORIENTED_EDGE('',*,*,#202925,.T.); #272356=ORIENTED_EDGE('',*,*,#202922,.T.); #272357=ORIENTED_EDGE('',*,*,#202919,.T.); #272358=ORIENTED_EDGE('',*,*,#202916,.T.); #272359=ORIENTED_EDGE('',*,*,#202913,.T.); #272360=ORIENTED_EDGE('',*,*,#202910,.T.); #272361=ORIENTED_EDGE('',*,*,#202907,.T.); #272362=ORIENTED_EDGE('',*,*,#202904,.T.); #272363=ORIENTED_EDGE('',*,*,#202901,.T.); #272364=ORIENTED_EDGE('',*,*,#202898,.T.); #272365=ORIENTED_EDGE('',*,*,#202895,.T.); #272366=ORIENTED_EDGE('',*,*,#202892,.T.); #272367=ORIENTED_EDGE('',*,*,#202889,.T.); #272368=ORIENTED_EDGE('',*,*,#202886,.T.); #272369=ORIENTED_EDGE('',*,*,#202883,.T.); #272370=ORIENTED_EDGE('',*,*,#202880,.T.); #272371=ORIENTED_EDGE('',*,*,#202877,.T.); #272372=ORIENTED_EDGE('',*,*,#202874,.T.); #272373=ORIENTED_EDGE('',*,*,#202871,.T.); #272374=ORIENTED_EDGE('',*,*,#202868,.T.); #272375=ORIENTED_EDGE('',*,*,#202865,.T.); #272376=ORIENTED_EDGE('',*,*,#202862,.T.); #272377=ORIENTED_EDGE('',*,*,#202859,.T.); #272378=ORIENTED_EDGE('',*,*,#202856,.T.); #272379=ORIENTED_EDGE('',*,*,#202853,.T.); #272380=ORIENTED_EDGE('',*,*,#202850,.T.); #272381=ORIENTED_EDGE('',*,*,#202847,.T.); #272382=ORIENTED_EDGE('',*,*,#202844,.T.); #272383=ORIENTED_EDGE('',*,*,#202837,.T.); #272384=ORIENTED_EDGE('',*,*,#202840,.T.); #272385=ORIENTED_EDGE('',*,*,#202830,.T.); #272386=ORIENTED_EDGE('',*,*,#202834,.T.); #272387=ORIENTED_EDGE('',*,*,#203008,.F.); #272388=ORIENTED_EDGE('',*,*,#202842,.F.); #272389=ORIENTED_EDGE('',*,*,#202846,.F.); #272390=ORIENTED_EDGE('',*,*,#202849,.F.); #272391=ORIENTED_EDGE('',*,*,#202852,.F.); #272392=ORIENTED_EDGE('',*,*,#202855,.F.); #272393=ORIENTED_EDGE('',*,*,#202858,.F.); #272394=ORIENTED_EDGE('',*,*,#202861,.F.); #272395=ORIENTED_EDGE('',*,*,#202864,.F.); #272396=ORIENTED_EDGE('',*,*,#202867,.F.); #272397=ORIENTED_EDGE('',*,*,#202870,.F.); #272398=ORIENTED_EDGE('',*,*,#202873,.F.); #272399=ORIENTED_EDGE('',*,*,#202876,.F.); #272400=ORIENTED_EDGE('',*,*,#202879,.F.); #272401=ORIENTED_EDGE('',*,*,#202882,.F.); #272402=ORIENTED_EDGE('',*,*,#202885,.F.); #272403=ORIENTED_EDGE('',*,*,#202888,.F.); #272404=ORIENTED_EDGE('',*,*,#202891,.F.); #272405=ORIENTED_EDGE('',*,*,#202894,.F.); #272406=ORIENTED_EDGE('',*,*,#202897,.F.); #272407=ORIENTED_EDGE('',*,*,#202900,.F.); #272408=ORIENTED_EDGE('',*,*,#202903,.F.); #272409=ORIENTED_EDGE('',*,*,#202906,.F.); #272410=ORIENTED_EDGE('',*,*,#202909,.F.); #272411=ORIENTED_EDGE('',*,*,#202912,.F.); #272412=ORIENTED_EDGE('',*,*,#202915,.F.); #272413=ORIENTED_EDGE('',*,*,#202918,.F.); #272414=ORIENTED_EDGE('',*,*,#202921,.F.); #272415=ORIENTED_EDGE('',*,*,#202924,.F.); #272416=ORIENTED_EDGE('',*,*,#202927,.F.); #272417=ORIENTED_EDGE('',*,*,#202930,.F.); #272418=ORIENTED_EDGE('',*,*,#202933,.F.); #272419=ORIENTED_EDGE('',*,*,#202936,.F.); #272420=ORIENTED_EDGE('',*,*,#202939,.F.); #272421=ORIENTED_EDGE('',*,*,#202942,.F.); #272422=ORIENTED_EDGE('',*,*,#202945,.F.); #272423=ORIENTED_EDGE('',*,*,#202948,.F.); #272424=ORIENTED_EDGE('',*,*,#202951,.F.); #272425=ORIENTED_EDGE('',*,*,#202954,.F.); #272426=ORIENTED_EDGE('',*,*,#202957,.F.); #272427=ORIENTED_EDGE('',*,*,#202960,.F.); #272428=ORIENTED_EDGE('',*,*,#202963,.F.); #272429=ORIENTED_EDGE('',*,*,#202966,.F.); #272430=ORIENTED_EDGE('',*,*,#202969,.F.); #272431=ORIENTED_EDGE('',*,*,#202972,.F.); #272432=ORIENTED_EDGE('',*,*,#202975,.F.); #272433=ORIENTED_EDGE('',*,*,#202978,.F.); #272434=ORIENTED_EDGE('',*,*,#202981,.F.); #272435=ORIENTED_EDGE('',*,*,#202984,.F.); #272436=ORIENTED_EDGE('',*,*,#202987,.F.); #272437=ORIENTED_EDGE('',*,*,#202990,.F.); #272438=ORIENTED_EDGE('',*,*,#202993,.F.); #272439=ORIENTED_EDGE('',*,*,#202996,.F.); #272440=ORIENTED_EDGE('',*,*,#202999,.F.); #272441=ORIENTED_EDGE('',*,*,#203002,.F.); #272442=ORIENTED_EDGE('',*,*,#203005,.F.); #272443=ORIENTED_EDGE('',*,*,#202838,.T.); #272444=ORIENTED_EDGE('',*,*,#202835,.T.); #272445=ORIENTED_EDGE('',*,*,#202832,.T.); #272446=ORIENTED_EDGE('',*,*,#202841,.T.); #272447=ORIENTED_EDGE('',*,*,#203010,.F.); #272448=ORIENTED_EDGE('',*,*,#203011,.F.); #272449=ORIENTED_EDGE('',*,*,#203012,.F.); #272450=ORIENTED_EDGE('',*,*,#203013,.T.); #272451=ORIENTED_EDGE('',*,*,#203014,.F.); #272452=ORIENTED_EDGE('',*,*,#203013,.F.); #272453=ORIENTED_EDGE('',*,*,#203015,.F.); #272454=ORIENTED_EDGE('',*,*,#203016,.T.); #272455=ORIENTED_EDGE('',*,*,#203017,.F.); #272456=ORIENTED_EDGE('',*,*,#203016,.F.); #272457=ORIENTED_EDGE('',*,*,#203018,.F.); #272458=ORIENTED_EDGE('',*,*,#203019,.T.); #272459=ORIENTED_EDGE('',*,*,#203020,.F.); #272460=ORIENTED_EDGE('',*,*,#203019,.F.); #272461=ORIENTED_EDGE('',*,*,#203021,.F.); #272462=ORIENTED_EDGE('',*,*,#203011,.T.); #272463=ORIENTED_EDGE('',*,*,#203022,.T.); #272464=ORIENTED_EDGE('',*,*,#203023,.T.); #272465=ORIENTED_EDGE('',*,*,#203024,.F.); #272466=ORIENTED_EDGE('',*,*,#203025,.F.); #272467=ORIENTED_EDGE('',*,*,#203026,.T.); #272468=ORIENTED_EDGE('',*,*,#203025,.T.); #272469=ORIENTED_EDGE('',*,*,#203027,.F.); #272470=ORIENTED_EDGE('',*,*,#203028,.F.); #272471=ORIENTED_EDGE('',*,*,#203029,.T.); #272472=ORIENTED_EDGE('',*,*,#203028,.T.); #272473=ORIENTED_EDGE('',*,*,#203030,.F.); #272474=ORIENTED_EDGE('',*,*,#203031,.F.); #272475=ORIENTED_EDGE('',*,*,#203032,.T.); #272476=ORIENTED_EDGE('',*,*,#203031,.T.); #272477=ORIENTED_EDGE('',*,*,#203033,.F.); #272478=ORIENTED_EDGE('',*,*,#203034,.F.); #272479=ORIENTED_EDGE('',*,*,#203035,.T.); #272480=ORIENTED_EDGE('',*,*,#203034,.T.); #272481=ORIENTED_EDGE('',*,*,#203036,.F.); #272482=ORIENTED_EDGE('',*,*,#203037,.F.); #272483=ORIENTED_EDGE('',*,*,#203038,.T.); #272484=ORIENTED_EDGE('',*,*,#203037,.T.); #272485=ORIENTED_EDGE('',*,*,#203039,.F.); #272486=ORIENTED_EDGE('',*,*,#203040,.F.); #272487=ORIENTED_EDGE('',*,*,#203041,.T.); #272488=ORIENTED_EDGE('',*,*,#203040,.T.); #272489=ORIENTED_EDGE('',*,*,#203042,.F.); #272490=ORIENTED_EDGE('',*,*,#203043,.F.); #272491=ORIENTED_EDGE('',*,*,#203044,.T.); #272492=ORIENTED_EDGE('',*,*,#203043,.T.); #272493=ORIENTED_EDGE('',*,*,#203045,.F.); #272494=ORIENTED_EDGE('',*,*,#203046,.F.); #272495=ORIENTED_EDGE('',*,*,#203047,.T.); #272496=ORIENTED_EDGE('',*,*,#203046,.T.); #272497=ORIENTED_EDGE('',*,*,#203048,.F.); #272498=ORIENTED_EDGE('',*,*,#203049,.F.); #272499=ORIENTED_EDGE('',*,*,#203050,.T.); #272500=ORIENTED_EDGE('',*,*,#203049,.T.); #272501=ORIENTED_EDGE('',*,*,#203051,.F.); #272502=ORIENTED_EDGE('',*,*,#203052,.F.); #272503=ORIENTED_EDGE('',*,*,#203053,.T.); #272504=ORIENTED_EDGE('',*,*,#203052,.T.); #272505=ORIENTED_EDGE('',*,*,#203054,.F.); #272506=ORIENTED_EDGE('',*,*,#203055,.F.); #272507=ORIENTED_EDGE('',*,*,#203056,.T.); #272508=ORIENTED_EDGE('',*,*,#203055,.T.); #272509=ORIENTED_EDGE('',*,*,#203057,.F.); #272510=ORIENTED_EDGE('',*,*,#203058,.F.); #272511=ORIENTED_EDGE('',*,*,#203059,.T.); #272512=ORIENTED_EDGE('',*,*,#203058,.T.); #272513=ORIENTED_EDGE('',*,*,#203060,.F.); #272514=ORIENTED_EDGE('',*,*,#203061,.F.); #272515=ORIENTED_EDGE('',*,*,#203062,.T.); #272516=ORIENTED_EDGE('',*,*,#203061,.T.); #272517=ORIENTED_EDGE('',*,*,#203063,.F.); #272518=ORIENTED_EDGE('',*,*,#203064,.F.); #272519=ORIENTED_EDGE('',*,*,#203065,.T.); #272520=ORIENTED_EDGE('',*,*,#203064,.T.); #272521=ORIENTED_EDGE('',*,*,#203066,.F.); #272522=ORIENTED_EDGE('',*,*,#203067,.F.); #272523=ORIENTED_EDGE('',*,*,#203068,.T.); #272524=ORIENTED_EDGE('',*,*,#203067,.T.); #272525=ORIENTED_EDGE('',*,*,#203069,.F.); #272526=ORIENTED_EDGE('',*,*,#203070,.F.); #272527=ORIENTED_EDGE('',*,*,#203071,.T.); #272528=ORIENTED_EDGE('',*,*,#203070,.T.); #272529=ORIENTED_EDGE('',*,*,#203072,.F.); #272530=ORIENTED_EDGE('',*,*,#203073,.F.); #272531=ORIENTED_EDGE('',*,*,#203074,.T.); #272532=ORIENTED_EDGE('',*,*,#203073,.T.); #272533=ORIENTED_EDGE('',*,*,#203075,.F.); #272534=ORIENTED_EDGE('',*,*,#203076,.F.); #272535=ORIENTED_EDGE('',*,*,#203077,.T.); #272536=ORIENTED_EDGE('',*,*,#203076,.T.); #272537=ORIENTED_EDGE('',*,*,#203078,.F.); #272538=ORIENTED_EDGE('',*,*,#203079,.F.); #272539=ORIENTED_EDGE('',*,*,#203080,.T.); #272540=ORIENTED_EDGE('',*,*,#203079,.T.); #272541=ORIENTED_EDGE('',*,*,#203081,.F.); #272542=ORIENTED_EDGE('',*,*,#203082,.F.); #272543=ORIENTED_EDGE('',*,*,#203083,.T.); #272544=ORIENTED_EDGE('',*,*,#203082,.T.); #272545=ORIENTED_EDGE('',*,*,#203084,.F.); #272546=ORIENTED_EDGE('',*,*,#203085,.F.); #272547=ORIENTED_EDGE('',*,*,#203086,.T.); #272548=ORIENTED_EDGE('',*,*,#203085,.T.); #272549=ORIENTED_EDGE('',*,*,#203087,.F.); #272550=ORIENTED_EDGE('',*,*,#203088,.F.); #272551=ORIENTED_EDGE('',*,*,#203089,.T.); #272552=ORIENTED_EDGE('',*,*,#203088,.T.); #272553=ORIENTED_EDGE('',*,*,#203090,.F.); #272554=ORIENTED_EDGE('',*,*,#203091,.F.); #272555=ORIENTED_EDGE('',*,*,#203092,.T.); #272556=ORIENTED_EDGE('',*,*,#203091,.T.); #272557=ORIENTED_EDGE('',*,*,#203093,.F.); #272558=ORIENTED_EDGE('',*,*,#203094,.F.); #272559=ORIENTED_EDGE('',*,*,#203095,.T.); #272560=ORIENTED_EDGE('',*,*,#203094,.T.); #272561=ORIENTED_EDGE('',*,*,#203096,.F.); #272562=ORIENTED_EDGE('',*,*,#203097,.F.); #272563=ORIENTED_EDGE('',*,*,#203098,.T.); #272564=ORIENTED_EDGE('',*,*,#203097,.T.); #272565=ORIENTED_EDGE('',*,*,#203099,.F.); #272566=ORIENTED_EDGE('',*,*,#203100,.F.); #272567=ORIENTED_EDGE('',*,*,#203101,.T.); #272568=ORIENTED_EDGE('',*,*,#203100,.T.); #272569=ORIENTED_EDGE('',*,*,#203102,.F.); #272570=ORIENTED_EDGE('',*,*,#203103,.F.); #272571=ORIENTED_EDGE('',*,*,#203104,.T.); #272572=ORIENTED_EDGE('',*,*,#203103,.T.); #272573=ORIENTED_EDGE('',*,*,#203105,.F.); #272574=ORIENTED_EDGE('',*,*,#203106,.F.); #272575=ORIENTED_EDGE('',*,*,#203107,.T.); #272576=ORIENTED_EDGE('',*,*,#203106,.T.); #272577=ORIENTED_EDGE('',*,*,#203108,.F.); #272578=ORIENTED_EDGE('',*,*,#203109,.F.); #272579=ORIENTED_EDGE('',*,*,#203110,.T.); #272580=ORIENTED_EDGE('',*,*,#203109,.T.); #272581=ORIENTED_EDGE('',*,*,#203111,.F.); #272582=ORIENTED_EDGE('',*,*,#203112,.F.); #272583=ORIENTED_EDGE('',*,*,#203113,.T.); #272584=ORIENTED_EDGE('',*,*,#203112,.T.); #272585=ORIENTED_EDGE('',*,*,#203114,.F.); #272586=ORIENTED_EDGE('',*,*,#203115,.F.); #272587=ORIENTED_EDGE('',*,*,#203116,.T.); #272588=ORIENTED_EDGE('',*,*,#203115,.T.); #272589=ORIENTED_EDGE('',*,*,#203117,.F.); #272590=ORIENTED_EDGE('',*,*,#203118,.F.); #272591=ORIENTED_EDGE('',*,*,#203119,.T.); #272592=ORIENTED_EDGE('',*,*,#203118,.T.); #272593=ORIENTED_EDGE('',*,*,#203120,.F.); #272594=ORIENTED_EDGE('',*,*,#203121,.F.); #272595=ORIENTED_EDGE('',*,*,#203122,.T.); #272596=ORIENTED_EDGE('',*,*,#203121,.T.); #272597=ORIENTED_EDGE('',*,*,#203123,.F.); #272598=ORIENTED_EDGE('',*,*,#203124,.F.); #272599=ORIENTED_EDGE('',*,*,#203125,.T.); #272600=ORIENTED_EDGE('',*,*,#203124,.T.); #272601=ORIENTED_EDGE('',*,*,#203126,.F.); #272602=ORIENTED_EDGE('',*,*,#203127,.F.); #272603=ORIENTED_EDGE('',*,*,#203128,.T.); #272604=ORIENTED_EDGE('',*,*,#203127,.T.); #272605=ORIENTED_EDGE('',*,*,#203129,.F.); #272606=ORIENTED_EDGE('',*,*,#203130,.F.); #272607=ORIENTED_EDGE('',*,*,#203131,.T.); #272608=ORIENTED_EDGE('',*,*,#203130,.T.); #272609=ORIENTED_EDGE('',*,*,#203132,.F.); #272610=ORIENTED_EDGE('',*,*,#203133,.F.); #272611=ORIENTED_EDGE('',*,*,#203134,.T.); #272612=ORIENTED_EDGE('',*,*,#203133,.T.); #272613=ORIENTED_EDGE('',*,*,#203135,.F.); #272614=ORIENTED_EDGE('',*,*,#203136,.F.); #272615=ORIENTED_EDGE('',*,*,#203137,.T.); #272616=ORIENTED_EDGE('',*,*,#203136,.T.); #272617=ORIENTED_EDGE('',*,*,#203138,.F.); #272618=ORIENTED_EDGE('',*,*,#203139,.F.); #272619=ORIENTED_EDGE('',*,*,#203140,.T.); #272620=ORIENTED_EDGE('',*,*,#203139,.T.); #272621=ORIENTED_EDGE('',*,*,#203141,.F.); #272622=ORIENTED_EDGE('',*,*,#203142,.F.); #272623=ORIENTED_EDGE('',*,*,#203143,.T.); #272624=ORIENTED_EDGE('',*,*,#203142,.T.); #272625=ORIENTED_EDGE('',*,*,#203144,.F.); #272626=ORIENTED_EDGE('',*,*,#203145,.F.); #272627=ORIENTED_EDGE('',*,*,#203146,.T.); #272628=ORIENTED_EDGE('',*,*,#203145,.T.); #272629=ORIENTED_EDGE('',*,*,#203147,.F.); #272630=ORIENTED_EDGE('',*,*,#203148,.F.); #272631=ORIENTED_EDGE('',*,*,#203149,.T.); #272632=ORIENTED_EDGE('',*,*,#203148,.T.); #272633=ORIENTED_EDGE('',*,*,#203150,.F.); #272634=ORIENTED_EDGE('',*,*,#203151,.F.); #272635=ORIENTED_EDGE('',*,*,#203152,.T.); #272636=ORIENTED_EDGE('',*,*,#203151,.T.); #272637=ORIENTED_EDGE('',*,*,#203153,.F.); #272638=ORIENTED_EDGE('',*,*,#203154,.F.); #272639=ORIENTED_EDGE('',*,*,#203155,.T.); #272640=ORIENTED_EDGE('',*,*,#203154,.T.); #272641=ORIENTED_EDGE('',*,*,#203156,.F.); #272642=ORIENTED_EDGE('',*,*,#203157,.F.); #272643=ORIENTED_EDGE('',*,*,#203158,.T.); #272644=ORIENTED_EDGE('',*,*,#203157,.T.); #272645=ORIENTED_EDGE('',*,*,#203159,.F.); #272646=ORIENTED_EDGE('',*,*,#203160,.F.); #272647=ORIENTED_EDGE('',*,*,#203161,.T.); #272648=ORIENTED_EDGE('',*,*,#203160,.T.); #272649=ORIENTED_EDGE('',*,*,#203162,.F.); #272650=ORIENTED_EDGE('',*,*,#203163,.F.); #272651=ORIENTED_EDGE('',*,*,#203164,.T.); #272652=ORIENTED_EDGE('',*,*,#203163,.T.); #272653=ORIENTED_EDGE('',*,*,#203165,.F.); #272654=ORIENTED_EDGE('',*,*,#203166,.F.); #272655=ORIENTED_EDGE('',*,*,#203167,.T.); #272656=ORIENTED_EDGE('',*,*,#203166,.T.); #272657=ORIENTED_EDGE('',*,*,#203168,.F.); #272658=ORIENTED_EDGE('',*,*,#203169,.F.); #272659=ORIENTED_EDGE('',*,*,#203170,.T.); #272660=ORIENTED_EDGE('',*,*,#203169,.T.); #272661=ORIENTED_EDGE('',*,*,#203171,.F.); #272662=ORIENTED_EDGE('',*,*,#203172,.F.); #272663=ORIENTED_EDGE('',*,*,#203173,.T.); #272664=ORIENTED_EDGE('',*,*,#203172,.T.); #272665=ORIENTED_EDGE('',*,*,#203174,.F.); #272666=ORIENTED_EDGE('',*,*,#203175,.F.); #272667=ORIENTED_EDGE('',*,*,#203176,.T.); #272668=ORIENTED_EDGE('',*,*,#203175,.T.); #272669=ORIENTED_EDGE('',*,*,#203177,.F.); #272670=ORIENTED_EDGE('',*,*,#203178,.F.); #272671=ORIENTED_EDGE('',*,*,#203179,.T.); #272672=ORIENTED_EDGE('',*,*,#203178,.T.); #272673=ORIENTED_EDGE('',*,*,#203180,.F.); #272674=ORIENTED_EDGE('',*,*,#203181,.F.); #272675=ORIENTED_EDGE('',*,*,#203182,.T.); #272676=ORIENTED_EDGE('',*,*,#203181,.T.); #272677=ORIENTED_EDGE('',*,*,#203183,.F.); #272678=ORIENTED_EDGE('',*,*,#203184,.F.); #272679=ORIENTED_EDGE('',*,*,#203185,.T.); #272680=ORIENTED_EDGE('',*,*,#203184,.T.); #272681=ORIENTED_EDGE('',*,*,#203186,.F.); #272682=ORIENTED_EDGE('',*,*,#203187,.F.); #272683=ORIENTED_EDGE('',*,*,#203188,.T.); #272684=ORIENTED_EDGE('',*,*,#203187,.T.); #272685=ORIENTED_EDGE('',*,*,#203189,.F.); #272686=ORIENTED_EDGE('',*,*,#203190,.F.); #272687=ORIENTED_EDGE('',*,*,#203191,.T.); #272688=ORIENTED_EDGE('',*,*,#203190,.T.); #272689=ORIENTED_EDGE('',*,*,#203192,.F.); #272690=ORIENTED_EDGE('',*,*,#203193,.F.); #272691=ORIENTED_EDGE('',*,*,#203194,.T.); #272692=ORIENTED_EDGE('',*,*,#203193,.T.); #272693=ORIENTED_EDGE('',*,*,#203195,.F.); #272694=ORIENTED_EDGE('',*,*,#203196,.F.); #272695=ORIENTED_EDGE('',*,*,#203197,.T.); #272696=ORIENTED_EDGE('',*,*,#203196,.T.); #272697=ORIENTED_EDGE('',*,*,#203198,.F.); #272698=ORIENTED_EDGE('',*,*,#203199,.F.); #272699=ORIENTED_EDGE('',*,*,#203200,.T.); #272700=ORIENTED_EDGE('',*,*,#203199,.T.); #272701=ORIENTED_EDGE('',*,*,#203201,.F.); #272702=ORIENTED_EDGE('',*,*,#203202,.F.); #272703=ORIENTED_EDGE('',*,*,#203203,.T.); #272704=ORIENTED_EDGE('',*,*,#203202,.T.); #272705=ORIENTED_EDGE('',*,*,#203204,.F.); #272706=ORIENTED_EDGE('',*,*,#203205,.F.); #272707=ORIENTED_EDGE('',*,*,#203206,.T.); #272708=ORIENTED_EDGE('',*,*,#203205,.T.); #272709=ORIENTED_EDGE('',*,*,#203207,.F.); #272710=ORIENTED_EDGE('',*,*,#203208,.F.); #272711=ORIENTED_EDGE('',*,*,#203209,.T.); #272712=ORIENTED_EDGE('',*,*,#203208,.T.); #272713=ORIENTED_EDGE('',*,*,#203210,.F.); #272714=ORIENTED_EDGE('',*,*,#203211,.F.); #272715=ORIENTED_EDGE('',*,*,#203212,.T.); #272716=ORIENTED_EDGE('',*,*,#203211,.T.); #272717=ORIENTED_EDGE('',*,*,#203213,.F.); #272718=ORIENTED_EDGE('',*,*,#203214,.F.); #272719=ORIENTED_EDGE('',*,*,#203215,.T.); #272720=ORIENTED_EDGE('',*,*,#203214,.T.); #272721=ORIENTED_EDGE('',*,*,#203216,.F.); #272722=ORIENTED_EDGE('',*,*,#203217,.F.); #272723=ORIENTED_EDGE('',*,*,#203218,.T.); #272724=ORIENTED_EDGE('',*,*,#203217,.T.); #272725=ORIENTED_EDGE('',*,*,#203219,.F.); #272726=ORIENTED_EDGE('',*,*,#203220,.F.); #272727=ORIENTED_EDGE('',*,*,#203221,.T.); #272728=ORIENTED_EDGE('',*,*,#203220,.T.); #272729=ORIENTED_EDGE('',*,*,#203222,.F.); #272730=ORIENTED_EDGE('',*,*,#203223,.F.); #272731=ORIENTED_EDGE('',*,*,#203224,.T.); #272732=ORIENTED_EDGE('',*,*,#203223,.T.); #272733=ORIENTED_EDGE('',*,*,#203225,.F.); #272734=ORIENTED_EDGE('',*,*,#203226,.F.); #272735=ORIENTED_EDGE('',*,*,#203227,.T.); #272736=ORIENTED_EDGE('',*,*,#203226,.T.); #272737=ORIENTED_EDGE('',*,*,#203228,.F.); #272738=ORIENTED_EDGE('',*,*,#203229,.F.); #272739=ORIENTED_EDGE('',*,*,#203230,.T.); #272740=ORIENTED_EDGE('',*,*,#203229,.T.); #272741=ORIENTED_EDGE('',*,*,#203231,.F.); #272742=ORIENTED_EDGE('',*,*,#203232,.F.); #272743=ORIENTED_EDGE('',*,*,#203233,.T.); #272744=ORIENTED_EDGE('',*,*,#203232,.T.); #272745=ORIENTED_EDGE('',*,*,#203234,.F.); #272746=ORIENTED_EDGE('',*,*,#203235,.F.); #272747=ORIENTED_EDGE('',*,*,#203236,.T.); #272748=ORIENTED_EDGE('',*,*,#203235,.T.); #272749=ORIENTED_EDGE('',*,*,#203237,.F.); #272750=ORIENTED_EDGE('',*,*,#203023,.F.); #272751=ORIENTED_EDGE('',*,*,#203237,.T.); #272752=ORIENTED_EDGE('',*,*,#203234,.T.); #272753=ORIENTED_EDGE('',*,*,#203231,.T.); #272754=ORIENTED_EDGE('',*,*,#203228,.T.); #272755=ORIENTED_EDGE('',*,*,#203225,.T.); #272756=ORIENTED_EDGE('',*,*,#203222,.T.); #272757=ORIENTED_EDGE('',*,*,#203219,.T.); #272758=ORIENTED_EDGE('',*,*,#203216,.T.); #272759=ORIENTED_EDGE('',*,*,#203213,.T.); #272760=ORIENTED_EDGE('',*,*,#203210,.T.); #272761=ORIENTED_EDGE('',*,*,#203207,.T.); #272762=ORIENTED_EDGE('',*,*,#203204,.T.); #272763=ORIENTED_EDGE('',*,*,#203201,.T.); #272764=ORIENTED_EDGE('',*,*,#203198,.T.); #272765=ORIENTED_EDGE('',*,*,#203195,.T.); #272766=ORIENTED_EDGE('',*,*,#203192,.T.); #272767=ORIENTED_EDGE('',*,*,#203189,.T.); #272768=ORIENTED_EDGE('',*,*,#203186,.T.); #272769=ORIENTED_EDGE('',*,*,#203183,.T.); #272770=ORIENTED_EDGE('',*,*,#203180,.T.); #272771=ORIENTED_EDGE('',*,*,#203177,.T.); #272772=ORIENTED_EDGE('',*,*,#203174,.T.); #272773=ORIENTED_EDGE('',*,*,#203171,.T.); #272774=ORIENTED_EDGE('',*,*,#203168,.T.); #272775=ORIENTED_EDGE('',*,*,#203165,.T.); #272776=ORIENTED_EDGE('',*,*,#203162,.T.); #272777=ORIENTED_EDGE('',*,*,#203159,.T.); #272778=ORIENTED_EDGE('',*,*,#203156,.T.); #272779=ORIENTED_EDGE('',*,*,#203153,.T.); #272780=ORIENTED_EDGE('',*,*,#203150,.T.); #272781=ORIENTED_EDGE('',*,*,#203147,.T.); #272782=ORIENTED_EDGE('',*,*,#203144,.T.); #272783=ORIENTED_EDGE('',*,*,#203141,.T.); #272784=ORIENTED_EDGE('',*,*,#203138,.T.); #272785=ORIENTED_EDGE('',*,*,#203135,.T.); #272786=ORIENTED_EDGE('',*,*,#203132,.T.); #272787=ORIENTED_EDGE('',*,*,#203129,.T.); #272788=ORIENTED_EDGE('',*,*,#203126,.T.); #272789=ORIENTED_EDGE('',*,*,#203123,.T.); #272790=ORIENTED_EDGE('',*,*,#203120,.T.); #272791=ORIENTED_EDGE('',*,*,#203117,.T.); #272792=ORIENTED_EDGE('',*,*,#203114,.T.); #272793=ORIENTED_EDGE('',*,*,#203111,.T.); #272794=ORIENTED_EDGE('',*,*,#203108,.T.); #272795=ORIENTED_EDGE('',*,*,#203105,.T.); #272796=ORIENTED_EDGE('',*,*,#203102,.T.); #272797=ORIENTED_EDGE('',*,*,#203099,.T.); #272798=ORIENTED_EDGE('',*,*,#203096,.T.); #272799=ORIENTED_EDGE('',*,*,#203093,.T.); #272800=ORIENTED_EDGE('',*,*,#203090,.T.); #272801=ORIENTED_EDGE('',*,*,#203087,.T.); #272802=ORIENTED_EDGE('',*,*,#203084,.T.); #272803=ORIENTED_EDGE('',*,*,#203081,.T.); #272804=ORIENTED_EDGE('',*,*,#203078,.T.); #272805=ORIENTED_EDGE('',*,*,#203075,.T.); #272806=ORIENTED_EDGE('',*,*,#203072,.T.); #272807=ORIENTED_EDGE('',*,*,#203069,.T.); #272808=ORIENTED_EDGE('',*,*,#203066,.T.); #272809=ORIENTED_EDGE('',*,*,#203063,.T.); #272810=ORIENTED_EDGE('',*,*,#203060,.T.); #272811=ORIENTED_EDGE('',*,*,#203057,.T.); #272812=ORIENTED_EDGE('',*,*,#203054,.T.); #272813=ORIENTED_EDGE('',*,*,#203051,.T.); #272814=ORIENTED_EDGE('',*,*,#203048,.T.); #272815=ORIENTED_EDGE('',*,*,#203045,.T.); #272816=ORIENTED_EDGE('',*,*,#203042,.T.); #272817=ORIENTED_EDGE('',*,*,#203039,.T.); #272818=ORIENTED_EDGE('',*,*,#203036,.T.); #272819=ORIENTED_EDGE('',*,*,#203033,.T.); #272820=ORIENTED_EDGE('',*,*,#203030,.T.); #272821=ORIENTED_EDGE('',*,*,#203027,.T.); #272822=ORIENTED_EDGE('',*,*,#203024,.T.); #272823=ORIENTED_EDGE('',*,*,#203017,.T.); #272824=ORIENTED_EDGE('',*,*,#203020,.T.); #272825=ORIENTED_EDGE('',*,*,#203010,.T.); #272826=ORIENTED_EDGE('',*,*,#203014,.T.); #272827=ORIENTED_EDGE('',*,*,#203236,.F.); #272828=ORIENTED_EDGE('',*,*,#203022,.F.); #272829=ORIENTED_EDGE('',*,*,#203026,.F.); #272830=ORIENTED_EDGE('',*,*,#203029,.F.); #272831=ORIENTED_EDGE('',*,*,#203032,.F.); #272832=ORIENTED_EDGE('',*,*,#203035,.F.); #272833=ORIENTED_EDGE('',*,*,#203038,.F.); #272834=ORIENTED_EDGE('',*,*,#203041,.F.); #272835=ORIENTED_EDGE('',*,*,#203044,.F.); #272836=ORIENTED_EDGE('',*,*,#203047,.F.); #272837=ORIENTED_EDGE('',*,*,#203050,.F.); #272838=ORIENTED_EDGE('',*,*,#203053,.F.); #272839=ORIENTED_EDGE('',*,*,#203056,.F.); #272840=ORIENTED_EDGE('',*,*,#203059,.F.); #272841=ORIENTED_EDGE('',*,*,#203062,.F.); #272842=ORIENTED_EDGE('',*,*,#203065,.F.); #272843=ORIENTED_EDGE('',*,*,#203068,.F.); #272844=ORIENTED_EDGE('',*,*,#203071,.F.); #272845=ORIENTED_EDGE('',*,*,#203074,.F.); #272846=ORIENTED_EDGE('',*,*,#203077,.F.); #272847=ORIENTED_EDGE('',*,*,#203080,.F.); #272848=ORIENTED_EDGE('',*,*,#203083,.F.); #272849=ORIENTED_EDGE('',*,*,#203086,.F.); #272850=ORIENTED_EDGE('',*,*,#203089,.F.); #272851=ORIENTED_EDGE('',*,*,#203092,.F.); #272852=ORIENTED_EDGE('',*,*,#203095,.F.); #272853=ORIENTED_EDGE('',*,*,#203098,.F.); #272854=ORIENTED_EDGE('',*,*,#203101,.F.); #272855=ORIENTED_EDGE('',*,*,#203104,.F.); #272856=ORIENTED_EDGE('',*,*,#203107,.F.); #272857=ORIENTED_EDGE('',*,*,#203110,.F.); #272858=ORIENTED_EDGE('',*,*,#203113,.F.); #272859=ORIENTED_EDGE('',*,*,#203116,.F.); #272860=ORIENTED_EDGE('',*,*,#203119,.F.); #272861=ORIENTED_EDGE('',*,*,#203122,.F.); #272862=ORIENTED_EDGE('',*,*,#203125,.F.); #272863=ORIENTED_EDGE('',*,*,#203128,.F.); #272864=ORIENTED_EDGE('',*,*,#203131,.F.); #272865=ORIENTED_EDGE('',*,*,#203134,.F.); #272866=ORIENTED_EDGE('',*,*,#203137,.F.); #272867=ORIENTED_EDGE('',*,*,#203140,.F.); #272868=ORIENTED_EDGE('',*,*,#203143,.F.); #272869=ORIENTED_EDGE('',*,*,#203146,.F.); #272870=ORIENTED_EDGE('',*,*,#203149,.F.); #272871=ORIENTED_EDGE('',*,*,#203152,.F.); #272872=ORIENTED_EDGE('',*,*,#203155,.F.); #272873=ORIENTED_EDGE('',*,*,#203158,.F.); #272874=ORIENTED_EDGE('',*,*,#203161,.F.); #272875=ORIENTED_EDGE('',*,*,#203164,.F.); #272876=ORIENTED_EDGE('',*,*,#203167,.F.); #272877=ORIENTED_EDGE('',*,*,#203170,.F.); #272878=ORIENTED_EDGE('',*,*,#203173,.F.); #272879=ORIENTED_EDGE('',*,*,#203176,.F.); #272880=ORIENTED_EDGE('',*,*,#203179,.F.); #272881=ORIENTED_EDGE('',*,*,#203182,.F.); #272882=ORIENTED_EDGE('',*,*,#203185,.F.); #272883=ORIENTED_EDGE('',*,*,#203188,.F.); #272884=ORIENTED_EDGE('',*,*,#203191,.F.); #272885=ORIENTED_EDGE('',*,*,#203194,.F.); #272886=ORIENTED_EDGE('',*,*,#203197,.F.); #272887=ORIENTED_EDGE('',*,*,#203200,.F.); #272888=ORIENTED_EDGE('',*,*,#203203,.F.); #272889=ORIENTED_EDGE('',*,*,#203206,.F.); #272890=ORIENTED_EDGE('',*,*,#203209,.F.); #272891=ORIENTED_EDGE('',*,*,#203212,.F.); #272892=ORIENTED_EDGE('',*,*,#203215,.F.); #272893=ORIENTED_EDGE('',*,*,#203218,.F.); #272894=ORIENTED_EDGE('',*,*,#203221,.F.); #272895=ORIENTED_EDGE('',*,*,#203224,.F.); #272896=ORIENTED_EDGE('',*,*,#203227,.F.); #272897=ORIENTED_EDGE('',*,*,#203230,.F.); #272898=ORIENTED_EDGE('',*,*,#203233,.F.); #272899=ORIENTED_EDGE('',*,*,#203018,.T.); #272900=ORIENTED_EDGE('',*,*,#203015,.T.); #272901=ORIENTED_EDGE('',*,*,#203012,.T.); #272902=ORIENTED_EDGE('',*,*,#203021,.T.); #272903=ORIENTED_EDGE('',*,*,#203238,.F.); #272904=ORIENTED_EDGE('',*,*,#203239,.F.); #272905=ORIENTED_EDGE('',*,*,#203240,.F.); #272906=ORIENTED_EDGE('',*,*,#203241,.T.); #272907=ORIENTED_EDGE('',*,*,#203242,.F.); #272908=ORIENTED_EDGE('',*,*,#203241,.F.); #272909=ORIENTED_EDGE('',*,*,#203243,.F.); #272910=ORIENTED_EDGE('',*,*,#203244,.T.); #272911=ORIENTED_EDGE('',*,*,#203245,.F.); #272912=ORIENTED_EDGE('',*,*,#203244,.F.); #272913=ORIENTED_EDGE('',*,*,#203246,.F.); #272914=ORIENTED_EDGE('',*,*,#203247,.T.); #272915=ORIENTED_EDGE('',*,*,#203248,.F.); #272916=ORIENTED_EDGE('',*,*,#203247,.F.); #272917=ORIENTED_EDGE('',*,*,#203249,.F.); #272918=ORIENTED_EDGE('',*,*,#203239,.T.); #272919=ORIENTED_EDGE('',*,*,#203250,.T.); #272920=ORIENTED_EDGE('',*,*,#203251,.T.); #272921=ORIENTED_EDGE('',*,*,#203252,.F.); #272922=ORIENTED_EDGE('',*,*,#203253,.F.); #272923=ORIENTED_EDGE('',*,*,#203254,.T.); #272924=ORIENTED_EDGE('',*,*,#203253,.T.); #272925=ORIENTED_EDGE('',*,*,#203255,.F.); #272926=ORIENTED_EDGE('',*,*,#203256,.F.); #272927=ORIENTED_EDGE('',*,*,#203257,.T.); #272928=ORIENTED_EDGE('',*,*,#203256,.T.); #272929=ORIENTED_EDGE('',*,*,#203258,.F.); #272930=ORIENTED_EDGE('',*,*,#203259,.F.); #272931=ORIENTED_EDGE('',*,*,#203260,.T.); #272932=ORIENTED_EDGE('',*,*,#203259,.T.); #272933=ORIENTED_EDGE('',*,*,#203261,.F.); #272934=ORIENTED_EDGE('',*,*,#203262,.F.); #272935=ORIENTED_EDGE('',*,*,#203263,.T.); #272936=ORIENTED_EDGE('',*,*,#203262,.T.); #272937=ORIENTED_EDGE('',*,*,#203264,.F.); #272938=ORIENTED_EDGE('',*,*,#203265,.F.); #272939=ORIENTED_EDGE('',*,*,#203266,.T.); #272940=ORIENTED_EDGE('',*,*,#203265,.T.); #272941=ORIENTED_EDGE('',*,*,#203267,.F.); #272942=ORIENTED_EDGE('',*,*,#203268,.F.); #272943=ORIENTED_EDGE('',*,*,#203269,.T.); #272944=ORIENTED_EDGE('',*,*,#203268,.T.); #272945=ORIENTED_EDGE('',*,*,#203270,.F.); #272946=ORIENTED_EDGE('',*,*,#203271,.F.); #272947=ORIENTED_EDGE('',*,*,#203272,.T.); #272948=ORIENTED_EDGE('',*,*,#203271,.T.); #272949=ORIENTED_EDGE('',*,*,#203273,.F.); #272950=ORIENTED_EDGE('',*,*,#203274,.F.); #272951=ORIENTED_EDGE('',*,*,#203275,.T.); #272952=ORIENTED_EDGE('',*,*,#203274,.T.); #272953=ORIENTED_EDGE('',*,*,#203276,.F.); #272954=ORIENTED_EDGE('',*,*,#203277,.F.); #272955=ORIENTED_EDGE('',*,*,#203278,.T.); #272956=ORIENTED_EDGE('',*,*,#203277,.T.); #272957=ORIENTED_EDGE('',*,*,#203279,.F.); #272958=ORIENTED_EDGE('',*,*,#203280,.F.); #272959=ORIENTED_EDGE('',*,*,#203281,.T.); #272960=ORIENTED_EDGE('',*,*,#203280,.T.); #272961=ORIENTED_EDGE('',*,*,#203282,.F.); #272962=ORIENTED_EDGE('',*,*,#203283,.F.); #272963=ORIENTED_EDGE('',*,*,#203284,.T.); #272964=ORIENTED_EDGE('',*,*,#203283,.T.); #272965=ORIENTED_EDGE('',*,*,#203285,.F.); #272966=ORIENTED_EDGE('',*,*,#203286,.F.); #272967=ORIENTED_EDGE('',*,*,#203287,.T.); #272968=ORIENTED_EDGE('',*,*,#203286,.T.); #272969=ORIENTED_EDGE('',*,*,#203288,.F.); #272970=ORIENTED_EDGE('',*,*,#203289,.F.); #272971=ORIENTED_EDGE('',*,*,#203290,.T.); #272972=ORIENTED_EDGE('',*,*,#203289,.T.); #272973=ORIENTED_EDGE('',*,*,#203291,.F.); #272974=ORIENTED_EDGE('',*,*,#203292,.F.); #272975=ORIENTED_EDGE('',*,*,#203293,.T.); #272976=ORIENTED_EDGE('',*,*,#203292,.T.); #272977=ORIENTED_EDGE('',*,*,#203294,.F.); #272978=ORIENTED_EDGE('',*,*,#203295,.F.); #272979=ORIENTED_EDGE('',*,*,#203296,.T.); #272980=ORIENTED_EDGE('',*,*,#203295,.T.); #272981=ORIENTED_EDGE('',*,*,#203297,.F.); #272982=ORIENTED_EDGE('',*,*,#203298,.F.); #272983=ORIENTED_EDGE('',*,*,#203299,.T.); #272984=ORIENTED_EDGE('',*,*,#203298,.T.); #272985=ORIENTED_EDGE('',*,*,#203300,.F.); #272986=ORIENTED_EDGE('',*,*,#203301,.F.); #272987=ORIENTED_EDGE('',*,*,#203302,.T.); #272988=ORIENTED_EDGE('',*,*,#203301,.T.); #272989=ORIENTED_EDGE('',*,*,#203303,.F.); #272990=ORIENTED_EDGE('',*,*,#203304,.F.); #272991=ORIENTED_EDGE('',*,*,#203305,.T.); #272992=ORIENTED_EDGE('',*,*,#203304,.T.); #272993=ORIENTED_EDGE('',*,*,#203306,.F.); #272994=ORIENTED_EDGE('',*,*,#203307,.F.); #272995=ORIENTED_EDGE('',*,*,#203308,.T.); #272996=ORIENTED_EDGE('',*,*,#203307,.T.); #272997=ORIENTED_EDGE('',*,*,#203309,.F.); #272998=ORIENTED_EDGE('',*,*,#203310,.F.); #272999=ORIENTED_EDGE('',*,*,#203311,.T.); #273000=ORIENTED_EDGE('',*,*,#203310,.T.); #273001=ORIENTED_EDGE('',*,*,#203312,.F.); #273002=ORIENTED_EDGE('',*,*,#203313,.F.); #273003=ORIENTED_EDGE('',*,*,#203314,.T.); #273004=ORIENTED_EDGE('',*,*,#203313,.T.); #273005=ORIENTED_EDGE('',*,*,#203315,.F.); #273006=ORIENTED_EDGE('',*,*,#203316,.F.); #273007=ORIENTED_EDGE('',*,*,#203317,.T.); #273008=ORIENTED_EDGE('',*,*,#203316,.T.); #273009=ORIENTED_EDGE('',*,*,#203318,.F.); #273010=ORIENTED_EDGE('',*,*,#203319,.F.); #273011=ORIENTED_EDGE('',*,*,#203320,.T.); #273012=ORIENTED_EDGE('',*,*,#203319,.T.); #273013=ORIENTED_EDGE('',*,*,#203321,.F.); #273014=ORIENTED_EDGE('',*,*,#203322,.F.); #273015=ORIENTED_EDGE('',*,*,#203323,.T.); #273016=ORIENTED_EDGE('',*,*,#203322,.T.); #273017=ORIENTED_EDGE('',*,*,#203324,.F.); #273018=ORIENTED_EDGE('',*,*,#203325,.F.); #273019=ORIENTED_EDGE('',*,*,#203326,.T.); #273020=ORIENTED_EDGE('',*,*,#203325,.T.); #273021=ORIENTED_EDGE('',*,*,#203327,.F.); #273022=ORIENTED_EDGE('',*,*,#203328,.F.); #273023=ORIENTED_EDGE('',*,*,#203329,.T.); #273024=ORIENTED_EDGE('',*,*,#203328,.T.); #273025=ORIENTED_EDGE('',*,*,#203330,.F.); #273026=ORIENTED_EDGE('',*,*,#203331,.F.); #273027=ORIENTED_EDGE('',*,*,#203332,.T.); #273028=ORIENTED_EDGE('',*,*,#203331,.T.); #273029=ORIENTED_EDGE('',*,*,#203333,.F.); #273030=ORIENTED_EDGE('',*,*,#203334,.F.); #273031=ORIENTED_EDGE('',*,*,#203335,.T.); #273032=ORIENTED_EDGE('',*,*,#203334,.T.); #273033=ORIENTED_EDGE('',*,*,#203336,.F.); #273034=ORIENTED_EDGE('',*,*,#203337,.F.); #273035=ORIENTED_EDGE('',*,*,#203338,.T.); #273036=ORIENTED_EDGE('',*,*,#203337,.T.); #273037=ORIENTED_EDGE('',*,*,#203339,.F.); #273038=ORIENTED_EDGE('',*,*,#203340,.F.); #273039=ORIENTED_EDGE('',*,*,#203341,.T.); #273040=ORIENTED_EDGE('',*,*,#203340,.T.); #273041=ORIENTED_EDGE('',*,*,#203342,.F.); #273042=ORIENTED_EDGE('',*,*,#203343,.F.); #273043=ORIENTED_EDGE('',*,*,#203344,.T.); #273044=ORIENTED_EDGE('',*,*,#203343,.T.); #273045=ORIENTED_EDGE('',*,*,#203345,.F.); #273046=ORIENTED_EDGE('',*,*,#203346,.F.); #273047=ORIENTED_EDGE('',*,*,#203347,.T.); #273048=ORIENTED_EDGE('',*,*,#203346,.T.); #273049=ORIENTED_EDGE('',*,*,#203348,.F.); #273050=ORIENTED_EDGE('',*,*,#203349,.F.); #273051=ORIENTED_EDGE('',*,*,#203350,.T.); #273052=ORIENTED_EDGE('',*,*,#203349,.T.); #273053=ORIENTED_EDGE('',*,*,#203351,.F.); #273054=ORIENTED_EDGE('',*,*,#203352,.F.); #273055=ORIENTED_EDGE('',*,*,#203353,.T.); #273056=ORIENTED_EDGE('',*,*,#203352,.T.); #273057=ORIENTED_EDGE('',*,*,#203354,.F.); #273058=ORIENTED_EDGE('',*,*,#203355,.F.); #273059=ORIENTED_EDGE('',*,*,#203356,.T.); #273060=ORIENTED_EDGE('',*,*,#203355,.T.); #273061=ORIENTED_EDGE('',*,*,#203357,.F.); #273062=ORIENTED_EDGE('',*,*,#203358,.F.); #273063=ORIENTED_EDGE('',*,*,#203359,.T.); #273064=ORIENTED_EDGE('',*,*,#203358,.T.); #273065=ORIENTED_EDGE('',*,*,#203360,.F.); #273066=ORIENTED_EDGE('',*,*,#203361,.F.); #273067=ORIENTED_EDGE('',*,*,#203362,.T.); #273068=ORIENTED_EDGE('',*,*,#203361,.T.); #273069=ORIENTED_EDGE('',*,*,#203363,.F.); #273070=ORIENTED_EDGE('',*,*,#203364,.F.); #273071=ORIENTED_EDGE('',*,*,#203365,.T.); #273072=ORIENTED_EDGE('',*,*,#203364,.T.); #273073=ORIENTED_EDGE('',*,*,#203366,.F.); #273074=ORIENTED_EDGE('',*,*,#203367,.F.); #273075=ORIENTED_EDGE('',*,*,#203368,.T.); #273076=ORIENTED_EDGE('',*,*,#203367,.T.); #273077=ORIENTED_EDGE('',*,*,#203369,.F.); #273078=ORIENTED_EDGE('',*,*,#203370,.F.); #273079=ORIENTED_EDGE('',*,*,#203371,.T.); #273080=ORIENTED_EDGE('',*,*,#203370,.T.); #273081=ORIENTED_EDGE('',*,*,#203372,.F.); #273082=ORIENTED_EDGE('',*,*,#203373,.F.); #273083=ORIENTED_EDGE('',*,*,#203374,.T.); #273084=ORIENTED_EDGE('',*,*,#203373,.T.); #273085=ORIENTED_EDGE('',*,*,#203375,.F.); #273086=ORIENTED_EDGE('',*,*,#203376,.F.); #273087=ORIENTED_EDGE('',*,*,#203377,.T.); #273088=ORIENTED_EDGE('',*,*,#203376,.T.); #273089=ORIENTED_EDGE('',*,*,#203378,.F.); #273090=ORIENTED_EDGE('',*,*,#203379,.F.); #273091=ORIENTED_EDGE('',*,*,#203380,.T.); #273092=ORIENTED_EDGE('',*,*,#203379,.T.); #273093=ORIENTED_EDGE('',*,*,#203381,.F.); #273094=ORIENTED_EDGE('',*,*,#203382,.F.); #273095=ORIENTED_EDGE('',*,*,#203383,.T.); #273096=ORIENTED_EDGE('',*,*,#203382,.T.); #273097=ORIENTED_EDGE('',*,*,#203384,.F.); #273098=ORIENTED_EDGE('',*,*,#203385,.F.); #273099=ORIENTED_EDGE('',*,*,#203386,.T.); #273100=ORIENTED_EDGE('',*,*,#203385,.T.); #273101=ORIENTED_EDGE('',*,*,#203387,.F.); #273102=ORIENTED_EDGE('',*,*,#203388,.F.); #273103=ORIENTED_EDGE('',*,*,#203389,.T.); #273104=ORIENTED_EDGE('',*,*,#203388,.T.); #273105=ORIENTED_EDGE('',*,*,#203390,.F.); #273106=ORIENTED_EDGE('',*,*,#203391,.F.); #273107=ORIENTED_EDGE('',*,*,#203392,.T.); #273108=ORIENTED_EDGE('',*,*,#203391,.T.); #273109=ORIENTED_EDGE('',*,*,#203393,.F.); #273110=ORIENTED_EDGE('',*,*,#203394,.F.); #273111=ORIENTED_EDGE('',*,*,#203395,.T.); #273112=ORIENTED_EDGE('',*,*,#203394,.T.); #273113=ORIENTED_EDGE('',*,*,#203396,.F.); #273114=ORIENTED_EDGE('',*,*,#203397,.F.); #273115=ORIENTED_EDGE('',*,*,#203398,.T.); #273116=ORIENTED_EDGE('',*,*,#203397,.T.); #273117=ORIENTED_EDGE('',*,*,#203399,.F.); #273118=ORIENTED_EDGE('',*,*,#203400,.F.); #273119=ORIENTED_EDGE('',*,*,#203401,.T.); #273120=ORIENTED_EDGE('',*,*,#203400,.T.); #273121=ORIENTED_EDGE('',*,*,#203402,.F.); #273122=ORIENTED_EDGE('',*,*,#203403,.F.); #273123=ORIENTED_EDGE('',*,*,#203404,.T.); #273124=ORIENTED_EDGE('',*,*,#203403,.T.); #273125=ORIENTED_EDGE('',*,*,#203405,.F.); #273126=ORIENTED_EDGE('',*,*,#203406,.F.); #273127=ORIENTED_EDGE('',*,*,#203407,.T.); #273128=ORIENTED_EDGE('',*,*,#203406,.T.); #273129=ORIENTED_EDGE('',*,*,#203408,.F.); #273130=ORIENTED_EDGE('',*,*,#203409,.F.); #273131=ORIENTED_EDGE('',*,*,#203410,.T.); #273132=ORIENTED_EDGE('',*,*,#203409,.T.); #273133=ORIENTED_EDGE('',*,*,#203411,.F.); #273134=ORIENTED_EDGE('',*,*,#203412,.F.); #273135=ORIENTED_EDGE('',*,*,#203413,.T.); #273136=ORIENTED_EDGE('',*,*,#203412,.T.); #273137=ORIENTED_EDGE('',*,*,#203414,.F.); #273138=ORIENTED_EDGE('',*,*,#203415,.F.); #273139=ORIENTED_EDGE('',*,*,#203416,.T.); #273140=ORIENTED_EDGE('',*,*,#203415,.T.); #273141=ORIENTED_EDGE('',*,*,#203417,.F.); #273142=ORIENTED_EDGE('',*,*,#203251,.F.); #273143=ORIENTED_EDGE('',*,*,#203417,.T.); #273144=ORIENTED_EDGE('',*,*,#203414,.T.); #273145=ORIENTED_EDGE('',*,*,#203411,.T.); #273146=ORIENTED_EDGE('',*,*,#203408,.T.); #273147=ORIENTED_EDGE('',*,*,#203405,.T.); #273148=ORIENTED_EDGE('',*,*,#203402,.T.); #273149=ORIENTED_EDGE('',*,*,#203399,.T.); #273150=ORIENTED_EDGE('',*,*,#203396,.T.); #273151=ORIENTED_EDGE('',*,*,#203393,.T.); #273152=ORIENTED_EDGE('',*,*,#203390,.T.); #273153=ORIENTED_EDGE('',*,*,#203387,.T.); #273154=ORIENTED_EDGE('',*,*,#203384,.T.); #273155=ORIENTED_EDGE('',*,*,#203381,.T.); #273156=ORIENTED_EDGE('',*,*,#203378,.T.); #273157=ORIENTED_EDGE('',*,*,#203375,.T.); #273158=ORIENTED_EDGE('',*,*,#203372,.T.); #273159=ORIENTED_EDGE('',*,*,#203369,.T.); #273160=ORIENTED_EDGE('',*,*,#203366,.T.); #273161=ORIENTED_EDGE('',*,*,#203363,.T.); #273162=ORIENTED_EDGE('',*,*,#203360,.T.); #273163=ORIENTED_EDGE('',*,*,#203357,.T.); #273164=ORIENTED_EDGE('',*,*,#203354,.T.); #273165=ORIENTED_EDGE('',*,*,#203351,.T.); #273166=ORIENTED_EDGE('',*,*,#203348,.T.); #273167=ORIENTED_EDGE('',*,*,#203345,.T.); #273168=ORIENTED_EDGE('',*,*,#203342,.T.); #273169=ORIENTED_EDGE('',*,*,#203339,.T.); #273170=ORIENTED_EDGE('',*,*,#203336,.T.); #273171=ORIENTED_EDGE('',*,*,#203333,.T.); #273172=ORIENTED_EDGE('',*,*,#203330,.T.); #273173=ORIENTED_EDGE('',*,*,#203327,.T.); #273174=ORIENTED_EDGE('',*,*,#203324,.T.); #273175=ORIENTED_EDGE('',*,*,#203321,.T.); #273176=ORIENTED_EDGE('',*,*,#203318,.T.); #273177=ORIENTED_EDGE('',*,*,#203315,.T.); #273178=ORIENTED_EDGE('',*,*,#203312,.T.); #273179=ORIENTED_EDGE('',*,*,#203309,.T.); #273180=ORIENTED_EDGE('',*,*,#203306,.T.); #273181=ORIENTED_EDGE('',*,*,#203303,.T.); #273182=ORIENTED_EDGE('',*,*,#203300,.T.); #273183=ORIENTED_EDGE('',*,*,#203297,.T.); #273184=ORIENTED_EDGE('',*,*,#203294,.T.); #273185=ORIENTED_EDGE('',*,*,#203291,.T.); #273186=ORIENTED_EDGE('',*,*,#203288,.T.); #273187=ORIENTED_EDGE('',*,*,#203285,.T.); #273188=ORIENTED_EDGE('',*,*,#203282,.T.); #273189=ORIENTED_EDGE('',*,*,#203279,.T.); #273190=ORIENTED_EDGE('',*,*,#203276,.T.); #273191=ORIENTED_EDGE('',*,*,#203273,.T.); #273192=ORIENTED_EDGE('',*,*,#203270,.T.); #273193=ORIENTED_EDGE('',*,*,#203267,.T.); #273194=ORIENTED_EDGE('',*,*,#203264,.T.); #273195=ORIENTED_EDGE('',*,*,#203261,.T.); #273196=ORIENTED_EDGE('',*,*,#203258,.T.); #273197=ORIENTED_EDGE('',*,*,#203255,.T.); #273198=ORIENTED_EDGE('',*,*,#203252,.T.); #273199=ORIENTED_EDGE('',*,*,#203245,.T.); #273200=ORIENTED_EDGE('',*,*,#203248,.T.); #273201=ORIENTED_EDGE('',*,*,#203238,.T.); #273202=ORIENTED_EDGE('',*,*,#203242,.T.); #273203=ORIENTED_EDGE('',*,*,#203416,.F.); #273204=ORIENTED_EDGE('',*,*,#203250,.F.); #273205=ORIENTED_EDGE('',*,*,#203254,.F.); #273206=ORIENTED_EDGE('',*,*,#203257,.F.); #273207=ORIENTED_EDGE('',*,*,#203260,.F.); #273208=ORIENTED_EDGE('',*,*,#203263,.F.); #273209=ORIENTED_EDGE('',*,*,#203266,.F.); #273210=ORIENTED_EDGE('',*,*,#203269,.F.); #273211=ORIENTED_EDGE('',*,*,#203272,.F.); #273212=ORIENTED_EDGE('',*,*,#203275,.F.); #273213=ORIENTED_EDGE('',*,*,#203278,.F.); #273214=ORIENTED_EDGE('',*,*,#203281,.F.); #273215=ORIENTED_EDGE('',*,*,#203284,.F.); #273216=ORIENTED_EDGE('',*,*,#203287,.F.); #273217=ORIENTED_EDGE('',*,*,#203290,.F.); #273218=ORIENTED_EDGE('',*,*,#203293,.F.); #273219=ORIENTED_EDGE('',*,*,#203296,.F.); #273220=ORIENTED_EDGE('',*,*,#203299,.F.); #273221=ORIENTED_EDGE('',*,*,#203302,.F.); #273222=ORIENTED_EDGE('',*,*,#203305,.F.); #273223=ORIENTED_EDGE('',*,*,#203308,.F.); #273224=ORIENTED_EDGE('',*,*,#203311,.F.); #273225=ORIENTED_EDGE('',*,*,#203314,.F.); #273226=ORIENTED_EDGE('',*,*,#203317,.F.); #273227=ORIENTED_EDGE('',*,*,#203320,.F.); #273228=ORIENTED_EDGE('',*,*,#203323,.F.); #273229=ORIENTED_EDGE('',*,*,#203326,.F.); #273230=ORIENTED_EDGE('',*,*,#203329,.F.); #273231=ORIENTED_EDGE('',*,*,#203332,.F.); #273232=ORIENTED_EDGE('',*,*,#203335,.F.); #273233=ORIENTED_EDGE('',*,*,#203338,.F.); #273234=ORIENTED_EDGE('',*,*,#203341,.F.); #273235=ORIENTED_EDGE('',*,*,#203344,.F.); #273236=ORIENTED_EDGE('',*,*,#203347,.F.); #273237=ORIENTED_EDGE('',*,*,#203350,.F.); #273238=ORIENTED_EDGE('',*,*,#203353,.F.); #273239=ORIENTED_EDGE('',*,*,#203356,.F.); #273240=ORIENTED_EDGE('',*,*,#203359,.F.); #273241=ORIENTED_EDGE('',*,*,#203362,.F.); #273242=ORIENTED_EDGE('',*,*,#203365,.F.); #273243=ORIENTED_EDGE('',*,*,#203368,.F.); #273244=ORIENTED_EDGE('',*,*,#203371,.F.); #273245=ORIENTED_EDGE('',*,*,#203374,.F.); #273246=ORIENTED_EDGE('',*,*,#203377,.F.); #273247=ORIENTED_EDGE('',*,*,#203380,.F.); #273248=ORIENTED_EDGE('',*,*,#203383,.F.); #273249=ORIENTED_EDGE('',*,*,#203386,.F.); #273250=ORIENTED_EDGE('',*,*,#203389,.F.); #273251=ORIENTED_EDGE('',*,*,#203392,.F.); #273252=ORIENTED_EDGE('',*,*,#203395,.F.); #273253=ORIENTED_EDGE('',*,*,#203398,.F.); #273254=ORIENTED_EDGE('',*,*,#203401,.F.); #273255=ORIENTED_EDGE('',*,*,#203404,.F.); #273256=ORIENTED_EDGE('',*,*,#203407,.F.); #273257=ORIENTED_EDGE('',*,*,#203410,.F.); #273258=ORIENTED_EDGE('',*,*,#203413,.F.); #273259=ORIENTED_EDGE('',*,*,#203246,.T.); #273260=ORIENTED_EDGE('',*,*,#203243,.T.); #273261=ORIENTED_EDGE('',*,*,#203240,.T.); #273262=ORIENTED_EDGE('',*,*,#203249,.T.); #273263=ORIENTED_EDGE('',*,*,#203418,.F.); #273264=ORIENTED_EDGE('',*,*,#203419,.T.); #273265=ORIENTED_EDGE('',*,*,#203420,.F.); #273266=ORIENTED_EDGE('',*,*,#203419,.F.); #273267=ORIENTED_EDGE('',*,*,#203421,.T.); #273268=ORIENTED_EDGE('',*,*,#203422,.T.); #273269=ORIENTED_EDGE('',*,*,#203423,.F.); #273270=ORIENTED_EDGE('',*,*,#203424,.F.); #273271=ORIENTED_EDGE('',*,*,#203425,.T.); #273272=ORIENTED_EDGE('',*,*,#203424,.T.); #273273=ORIENTED_EDGE('',*,*,#203426,.F.); #273274=ORIENTED_EDGE('',*,*,#203427,.F.); #273275=ORIENTED_EDGE('',*,*,#203428,.T.); #273276=ORIENTED_EDGE('',*,*,#203427,.T.); #273277=ORIENTED_EDGE('',*,*,#203429,.F.); #273278=ORIENTED_EDGE('',*,*,#203430,.F.); #273279=ORIENTED_EDGE('',*,*,#203431,.T.); #273280=ORIENTED_EDGE('',*,*,#203430,.T.); #273281=ORIENTED_EDGE('',*,*,#203432,.F.); #273282=ORIENTED_EDGE('',*,*,#203422,.F.); #273283=ORIENTED_EDGE('',*,*,#203432,.T.); #273284=ORIENTED_EDGE('',*,*,#203429,.T.); #273285=ORIENTED_EDGE('',*,*,#203426,.T.); #273286=ORIENTED_EDGE('',*,*,#203423,.T.); #273287=ORIENTED_EDGE('',*,*,#203420,.T.); #273288=ORIENTED_EDGE('',*,*,#203431,.F.); #273289=ORIENTED_EDGE('',*,*,#203421,.F.); #273290=ORIENTED_EDGE('',*,*,#203425,.F.); #273291=ORIENTED_EDGE('',*,*,#203428,.F.); #273292=ORIENTED_EDGE('',*,*,#203418,.T.); #273293=ORIENTED_EDGE('',*,*,#203433,.F.); #273294=ORIENTED_EDGE('',*,*,#203434,.F.); #273295=ORIENTED_EDGE('',*,*,#203435,.F.); #273296=ORIENTED_EDGE('',*,*,#203436,.T.); #273297=ORIENTED_EDGE('',*,*,#203437,.F.); #273298=ORIENTED_EDGE('',*,*,#203436,.F.); #273299=ORIENTED_EDGE('',*,*,#203438,.F.); #273300=ORIENTED_EDGE('',*,*,#203439,.T.); #273301=ORIENTED_EDGE('',*,*,#203440,.F.); #273302=ORIENTED_EDGE('',*,*,#203439,.F.); #273303=ORIENTED_EDGE('',*,*,#203441,.F.); #273304=ORIENTED_EDGE('',*,*,#203442,.T.); #273305=ORIENTED_EDGE('',*,*,#203443,.F.); #273306=ORIENTED_EDGE('',*,*,#203442,.F.); #273307=ORIENTED_EDGE('',*,*,#203444,.F.); #273308=ORIENTED_EDGE('',*,*,#203434,.T.); #273309=ORIENTED_EDGE('',*,*,#203445,.T.); #273310=ORIENTED_EDGE('',*,*,#203446,.T.); #273311=ORIENTED_EDGE('',*,*,#203447,.F.); #273312=ORIENTED_EDGE('',*,*,#203448,.F.); #273313=ORIENTED_EDGE('',*,*,#203449,.T.); #273314=ORIENTED_EDGE('',*,*,#203448,.T.); #273315=ORIENTED_EDGE('',*,*,#203450,.F.); #273316=ORIENTED_EDGE('',*,*,#203451,.F.); #273317=ORIENTED_EDGE('',*,*,#203452,.T.); #273318=ORIENTED_EDGE('',*,*,#203451,.T.); #273319=ORIENTED_EDGE('',*,*,#203453,.F.); #273320=ORIENTED_EDGE('',*,*,#203454,.F.); #273321=ORIENTED_EDGE('',*,*,#203455,.T.); #273322=ORIENTED_EDGE('',*,*,#203454,.T.); #273323=ORIENTED_EDGE('',*,*,#203456,.F.); #273324=ORIENTED_EDGE('',*,*,#203457,.F.); #273325=ORIENTED_EDGE('',*,*,#203458,.T.); #273326=ORIENTED_EDGE('',*,*,#203457,.T.); #273327=ORIENTED_EDGE('',*,*,#203459,.F.); #273328=ORIENTED_EDGE('',*,*,#203460,.F.); #273329=ORIENTED_EDGE('',*,*,#203461,.T.); #273330=ORIENTED_EDGE('',*,*,#203460,.T.); #273331=ORIENTED_EDGE('',*,*,#203462,.F.); #273332=ORIENTED_EDGE('',*,*,#203463,.F.); #273333=ORIENTED_EDGE('',*,*,#203464,.T.); #273334=ORIENTED_EDGE('',*,*,#203463,.T.); #273335=ORIENTED_EDGE('',*,*,#203465,.F.); #273336=ORIENTED_EDGE('',*,*,#203466,.F.); #273337=ORIENTED_EDGE('',*,*,#203467,.T.); #273338=ORIENTED_EDGE('',*,*,#203466,.T.); #273339=ORIENTED_EDGE('',*,*,#203468,.F.); #273340=ORIENTED_EDGE('',*,*,#203469,.F.); #273341=ORIENTED_EDGE('',*,*,#203470,.T.); #273342=ORIENTED_EDGE('',*,*,#203469,.T.); #273343=ORIENTED_EDGE('',*,*,#203471,.F.); #273344=ORIENTED_EDGE('',*,*,#203472,.F.); #273345=ORIENTED_EDGE('',*,*,#203473,.T.); #273346=ORIENTED_EDGE('',*,*,#203472,.T.); #273347=ORIENTED_EDGE('',*,*,#203474,.F.); #273348=ORIENTED_EDGE('',*,*,#203475,.F.); #273349=ORIENTED_EDGE('',*,*,#203476,.T.); #273350=ORIENTED_EDGE('',*,*,#203475,.T.); #273351=ORIENTED_EDGE('',*,*,#203477,.F.); #273352=ORIENTED_EDGE('',*,*,#203478,.F.); #273353=ORIENTED_EDGE('',*,*,#203479,.T.); #273354=ORIENTED_EDGE('',*,*,#203478,.T.); #273355=ORIENTED_EDGE('',*,*,#203480,.F.); #273356=ORIENTED_EDGE('',*,*,#203481,.F.); #273357=ORIENTED_EDGE('',*,*,#203482,.T.); #273358=ORIENTED_EDGE('',*,*,#203481,.T.); #273359=ORIENTED_EDGE('',*,*,#203483,.F.); #273360=ORIENTED_EDGE('',*,*,#203484,.F.); #273361=ORIENTED_EDGE('',*,*,#203485,.T.); #273362=ORIENTED_EDGE('',*,*,#203484,.T.); #273363=ORIENTED_EDGE('',*,*,#203486,.F.); #273364=ORIENTED_EDGE('',*,*,#203487,.F.); #273365=ORIENTED_EDGE('',*,*,#203488,.T.); #273366=ORIENTED_EDGE('',*,*,#203487,.T.); #273367=ORIENTED_EDGE('',*,*,#203489,.F.); #273368=ORIENTED_EDGE('',*,*,#203490,.F.); #273369=ORIENTED_EDGE('',*,*,#203491,.T.); #273370=ORIENTED_EDGE('',*,*,#203490,.T.); #273371=ORIENTED_EDGE('',*,*,#203492,.F.); #273372=ORIENTED_EDGE('',*,*,#203493,.F.); #273373=ORIENTED_EDGE('',*,*,#203494,.T.); #273374=ORIENTED_EDGE('',*,*,#203493,.T.); #273375=ORIENTED_EDGE('',*,*,#203495,.F.); #273376=ORIENTED_EDGE('',*,*,#203496,.F.); #273377=ORIENTED_EDGE('',*,*,#203497,.T.); #273378=ORIENTED_EDGE('',*,*,#203496,.T.); #273379=ORIENTED_EDGE('',*,*,#203498,.F.); #273380=ORIENTED_EDGE('',*,*,#203499,.F.); #273381=ORIENTED_EDGE('',*,*,#203500,.T.); #273382=ORIENTED_EDGE('',*,*,#203499,.T.); #273383=ORIENTED_EDGE('',*,*,#203501,.F.); #273384=ORIENTED_EDGE('',*,*,#203502,.F.); #273385=ORIENTED_EDGE('',*,*,#203503,.T.); #273386=ORIENTED_EDGE('',*,*,#203502,.T.); #273387=ORIENTED_EDGE('',*,*,#203504,.F.); #273388=ORIENTED_EDGE('',*,*,#203505,.F.); #273389=ORIENTED_EDGE('',*,*,#203506,.T.); #273390=ORIENTED_EDGE('',*,*,#203505,.T.); #273391=ORIENTED_EDGE('',*,*,#203507,.F.); #273392=ORIENTED_EDGE('',*,*,#203508,.F.); #273393=ORIENTED_EDGE('',*,*,#203509,.T.); #273394=ORIENTED_EDGE('',*,*,#203508,.T.); #273395=ORIENTED_EDGE('',*,*,#203510,.F.); #273396=ORIENTED_EDGE('',*,*,#203511,.F.); #273397=ORIENTED_EDGE('',*,*,#203512,.T.); #273398=ORIENTED_EDGE('',*,*,#203511,.T.); #273399=ORIENTED_EDGE('',*,*,#203513,.F.); #273400=ORIENTED_EDGE('',*,*,#203514,.F.); #273401=ORIENTED_EDGE('',*,*,#203515,.T.); #273402=ORIENTED_EDGE('',*,*,#203514,.T.); #273403=ORIENTED_EDGE('',*,*,#203516,.F.); #273404=ORIENTED_EDGE('',*,*,#203517,.F.); #273405=ORIENTED_EDGE('',*,*,#203518,.T.); #273406=ORIENTED_EDGE('',*,*,#203517,.T.); #273407=ORIENTED_EDGE('',*,*,#203519,.F.); #273408=ORIENTED_EDGE('',*,*,#203520,.F.); #273409=ORIENTED_EDGE('',*,*,#203521,.T.); #273410=ORIENTED_EDGE('',*,*,#203520,.T.); #273411=ORIENTED_EDGE('',*,*,#203522,.F.); #273412=ORIENTED_EDGE('',*,*,#203523,.F.); #273413=ORIENTED_EDGE('',*,*,#203524,.T.); #273414=ORIENTED_EDGE('',*,*,#203523,.T.); #273415=ORIENTED_EDGE('',*,*,#203525,.F.); #273416=ORIENTED_EDGE('',*,*,#203526,.F.); #273417=ORIENTED_EDGE('',*,*,#203527,.T.); #273418=ORIENTED_EDGE('',*,*,#203526,.T.); #273419=ORIENTED_EDGE('',*,*,#203528,.F.); #273420=ORIENTED_EDGE('',*,*,#203529,.F.); #273421=ORIENTED_EDGE('',*,*,#203530,.T.); #273422=ORIENTED_EDGE('',*,*,#203529,.T.); #273423=ORIENTED_EDGE('',*,*,#203531,.F.); #273424=ORIENTED_EDGE('',*,*,#203532,.F.); #273425=ORIENTED_EDGE('',*,*,#203533,.T.); #273426=ORIENTED_EDGE('',*,*,#203532,.T.); #273427=ORIENTED_EDGE('',*,*,#203534,.F.); #273428=ORIENTED_EDGE('',*,*,#203535,.F.); #273429=ORIENTED_EDGE('',*,*,#203536,.T.); #273430=ORIENTED_EDGE('',*,*,#203535,.T.); #273431=ORIENTED_EDGE('',*,*,#203537,.F.); #273432=ORIENTED_EDGE('',*,*,#203538,.F.); #273433=ORIENTED_EDGE('',*,*,#203539,.T.); #273434=ORIENTED_EDGE('',*,*,#203538,.T.); #273435=ORIENTED_EDGE('',*,*,#203540,.F.); #273436=ORIENTED_EDGE('',*,*,#203541,.F.); #273437=ORIENTED_EDGE('',*,*,#203542,.T.); #273438=ORIENTED_EDGE('',*,*,#203541,.T.); #273439=ORIENTED_EDGE('',*,*,#203543,.F.); #273440=ORIENTED_EDGE('',*,*,#203544,.F.); #273441=ORIENTED_EDGE('',*,*,#203545,.T.); #273442=ORIENTED_EDGE('',*,*,#203544,.T.); #273443=ORIENTED_EDGE('',*,*,#203546,.F.); #273444=ORIENTED_EDGE('',*,*,#203547,.F.); #273445=ORIENTED_EDGE('',*,*,#203548,.T.); #273446=ORIENTED_EDGE('',*,*,#203547,.T.); #273447=ORIENTED_EDGE('',*,*,#203549,.F.); #273448=ORIENTED_EDGE('',*,*,#203550,.F.); #273449=ORIENTED_EDGE('',*,*,#203551,.T.); #273450=ORIENTED_EDGE('',*,*,#203550,.T.); #273451=ORIENTED_EDGE('',*,*,#203552,.F.); #273452=ORIENTED_EDGE('',*,*,#203553,.F.); #273453=ORIENTED_EDGE('',*,*,#203554,.T.); #273454=ORIENTED_EDGE('',*,*,#203553,.T.); #273455=ORIENTED_EDGE('',*,*,#203555,.F.); #273456=ORIENTED_EDGE('',*,*,#203556,.F.); #273457=ORIENTED_EDGE('',*,*,#203557,.T.); #273458=ORIENTED_EDGE('',*,*,#203556,.T.); #273459=ORIENTED_EDGE('',*,*,#203558,.F.); #273460=ORIENTED_EDGE('',*,*,#203559,.F.); #273461=ORIENTED_EDGE('',*,*,#203560,.T.); #273462=ORIENTED_EDGE('',*,*,#203559,.T.); #273463=ORIENTED_EDGE('',*,*,#203561,.F.); #273464=ORIENTED_EDGE('',*,*,#203562,.F.); #273465=ORIENTED_EDGE('',*,*,#203563,.T.); #273466=ORIENTED_EDGE('',*,*,#203562,.T.); #273467=ORIENTED_EDGE('',*,*,#203564,.F.); #273468=ORIENTED_EDGE('',*,*,#203565,.F.); #273469=ORIENTED_EDGE('',*,*,#203566,.T.); #273470=ORIENTED_EDGE('',*,*,#203565,.T.); #273471=ORIENTED_EDGE('',*,*,#203567,.F.); #273472=ORIENTED_EDGE('',*,*,#203568,.F.); #273473=ORIENTED_EDGE('',*,*,#203569,.T.); #273474=ORIENTED_EDGE('',*,*,#203568,.T.); #273475=ORIENTED_EDGE('',*,*,#203570,.F.); #273476=ORIENTED_EDGE('',*,*,#203571,.F.); #273477=ORIENTED_EDGE('',*,*,#203572,.T.); #273478=ORIENTED_EDGE('',*,*,#203571,.T.); #273479=ORIENTED_EDGE('',*,*,#203573,.F.); #273480=ORIENTED_EDGE('',*,*,#203574,.F.); #273481=ORIENTED_EDGE('',*,*,#203575,.T.); #273482=ORIENTED_EDGE('',*,*,#203574,.T.); #273483=ORIENTED_EDGE('',*,*,#203576,.F.); #273484=ORIENTED_EDGE('',*,*,#203577,.F.); #273485=ORIENTED_EDGE('',*,*,#203578,.T.); #273486=ORIENTED_EDGE('',*,*,#203577,.T.); #273487=ORIENTED_EDGE('',*,*,#203579,.F.); #273488=ORIENTED_EDGE('',*,*,#203580,.F.); #273489=ORIENTED_EDGE('',*,*,#203581,.T.); #273490=ORIENTED_EDGE('',*,*,#203580,.T.); #273491=ORIENTED_EDGE('',*,*,#203582,.F.); #273492=ORIENTED_EDGE('',*,*,#203583,.F.); #273493=ORIENTED_EDGE('',*,*,#203584,.T.); #273494=ORIENTED_EDGE('',*,*,#203583,.T.); #273495=ORIENTED_EDGE('',*,*,#203585,.F.); #273496=ORIENTED_EDGE('',*,*,#203586,.F.); #273497=ORIENTED_EDGE('',*,*,#203587,.T.); #273498=ORIENTED_EDGE('',*,*,#203586,.T.); #273499=ORIENTED_EDGE('',*,*,#203588,.F.); #273500=ORIENTED_EDGE('',*,*,#203589,.F.); #273501=ORIENTED_EDGE('',*,*,#203590,.T.); #273502=ORIENTED_EDGE('',*,*,#203589,.T.); #273503=ORIENTED_EDGE('',*,*,#203591,.F.); #273504=ORIENTED_EDGE('',*,*,#203592,.F.); #273505=ORIENTED_EDGE('',*,*,#203593,.T.); #273506=ORIENTED_EDGE('',*,*,#203592,.T.); #273507=ORIENTED_EDGE('',*,*,#203594,.F.); #273508=ORIENTED_EDGE('',*,*,#203595,.F.); #273509=ORIENTED_EDGE('',*,*,#203596,.T.); #273510=ORIENTED_EDGE('',*,*,#203595,.T.); #273511=ORIENTED_EDGE('',*,*,#203597,.F.); #273512=ORIENTED_EDGE('',*,*,#203598,.F.); #273513=ORIENTED_EDGE('',*,*,#203599,.T.); #273514=ORIENTED_EDGE('',*,*,#203598,.T.); #273515=ORIENTED_EDGE('',*,*,#203600,.F.); #273516=ORIENTED_EDGE('',*,*,#203601,.F.); #273517=ORIENTED_EDGE('',*,*,#203602,.T.); #273518=ORIENTED_EDGE('',*,*,#203601,.T.); #273519=ORIENTED_EDGE('',*,*,#203603,.F.); #273520=ORIENTED_EDGE('',*,*,#203604,.F.); #273521=ORIENTED_EDGE('',*,*,#203605,.T.); #273522=ORIENTED_EDGE('',*,*,#203604,.T.); #273523=ORIENTED_EDGE('',*,*,#203606,.F.); #273524=ORIENTED_EDGE('',*,*,#203607,.F.); #273525=ORIENTED_EDGE('',*,*,#203608,.T.); #273526=ORIENTED_EDGE('',*,*,#203607,.T.); #273527=ORIENTED_EDGE('',*,*,#203609,.F.); #273528=ORIENTED_EDGE('',*,*,#203610,.F.); #273529=ORIENTED_EDGE('',*,*,#203611,.T.); #273530=ORIENTED_EDGE('',*,*,#203610,.T.); #273531=ORIENTED_EDGE('',*,*,#203612,.F.); #273532=ORIENTED_EDGE('',*,*,#203446,.F.); #273533=ORIENTED_EDGE('',*,*,#203612,.T.); #273534=ORIENTED_EDGE('',*,*,#203609,.T.); #273535=ORIENTED_EDGE('',*,*,#203606,.T.); #273536=ORIENTED_EDGE('',*,*,#203603,.T.); #273537=ORIENTED_EDGE('',*,*,#203600,.T.); #273538=ORIENTED_EDGE('',*,*,#203597,.T.); #273539=ORIENTED_EDGE('',*,*,#203594,.T.); #273540=ORIENTED_EDGE('',*,*,#203591,.T.); #273541=ORIENTED_EDGE('',*,*,#203588,.T.); #273542=ORIENTED_EDGE('',*,*,#203585,.T.); #273543=ORIENTED_EDGE('',*,*,#203582,.T.); #273544=ORIENTED_EDGE('',*,*,#203579,.T.); #273545=ORIENTED_EDGE('',*,*,#203576,.T.); #273546=ORIENTED_EDGE('',*,*,#203573,.T.); #273547=ORIENTED_EDGE('',*,*,#203570,.T.); #273548=ORIENTED_EDGE('',*,*,#203567,.T.); #273549=ORIENTED_EDGE('',*,*,#203564,.T.); #273550=ORIENTED_EDGE('',*,*,#203561,.T.); #273551=ORIENTED_EDGE('',*,*,#203558,.T.); #273552=ORIENTED_EDGE('',*,*,#203555,.T.); #273553=ORIENTED_EDGE('',*,*,#203552,.T.); #273554=ORIENTED_EDGE('',*,*,#203549,.T.); #273555=ORIENTED_EDGE('',*,*,#203546,.T.); #273556=ORIENTED_EDGE('',*,*,#203543,.T.); #273557=ORIENTED_EDGE('',*,*,#203540,.T.); #273558=ORIENTED_EDGE('',*,*,#203537,.T.); #273559=ORIENTED_EDGE('',*,*,#203534,.T.); #273560=ORIENTED_EDGE('',*,*,#203531,.T.); #273561=ORIENTED_EDGE('',*,*,#203528,.T.); #273562=ORIENTED_EDGE('',*,*,#203525,.T.); #273563=ORIENTED_EDGE('',*,*,#203522,.T.); #273564=ORIENTED_EDGE('',*,*,#203519,.T.); #273565=ORIENTED_EDGE('',*,*,#203516,.T.); #273566=ORIENTED_EDGE('',*,*,#203513,.T.); #273567=ORIENTED_EDGE('',*,*,#203510,.T.); #273568=ORIENTED_EDGE('',*,*,#203507,.T.); #273569=ORIENTED_EDGE('',*,*,#203504,.T.); #273570=ORIENTED_EDGE('',*,*,#203501,.T.); #273571=ORIENTED_EDGE('',*,*,#203498,.T.); #273572=ORIENTED_EDGE('',*,*,#203495,.T.); #273573=ORIENTED_EDGE('',*,*,#203492,.T.); #273574=ORIENTED_EDGE('',*,*,#203489,.T.); #273575=ORIENTED_EDGE('',*,*,#203486,.T.); #273576=ORIENTED_EDGE('',*,*,#203483,.T.); #273577=ORIENTED_EDGE('',*,*,#203480,.T.); #273578=ORIENTED_EDGE('',*,*,#203477,.T.); #273579=ORIENTED_EDGE('',*,*,#203474,.T.); #273580=ORIENTED_EDGE('',*,*,#203471,.T.); #273581=ORIENTED_EDGE('',*,*,#203468,.T.); #273582=ORIENTED_EDGE('',*,*,#203465,.T.); #273583=ORIENTED_EDGE('',*,*,#203462,.T.); #273584=ORIENTED_EDGE('',*,*,#203459,.T.); #273585=ORIENTED_EDGE('',*,*,#203456,.T.); #273586=ORIENTED_EDGE('',*,*,#203453,.T.); #273587=ORIENTED_EDGE('',*,*,#203450,.T.); #273588=ORIENTED_EDGE('',*,*,#203447,.T.); #273589=ORIENTED_EDGE('',*,*,#203440,.T.); #273590=ORIENTED_EDGE('',*,*,#203443,.T.); #273591=ORIENTED_EDGE('',*,*,#203433,.T.); #273592=ORIENTED_EDGE('',*,*,#203437,.T.); #273593=ORIENTED_EDGE('',*,*,#203611,.F.); #273594=ORIENTED_EDGE('',*,*,#203445,.F.); #273595=ORIENTED_EDGE('',*,*,#203449,.F.); #273596=ORIENTED_EDGE('',*,*,#203452,.F.); #273597=ORIENTED_EDGE('',*,*,#203455,.F.); #273598=ORIENTED_EDGE('',*,*,#203458,.F.); #273599=ORIENTED_EDGE('',*,*,#203461,.F.); #273600=ORIENTED_EDGE('',*,*,#203464,.F.); #273601=ORIENTED_EDGE('',*,*,#203467,.F.); #273602=ORIENTED_EDGE('',*,*,#203470,.F.); #273603=ORIENTED_EDGE('',*,*,#203473,.F.); #273604=ORIENTED_EDGE('',*,*,#203476,.F.); #273605=ORIENTED_EDGE('',*,*,#203479,.F.); #273606=ORIENTED_EDGE('',*,*,#203482,.F.); #273607=ORIENTED_EDGE('',*,*,#203485,.F.); #273608=ORIENTED_EDGE('',*,*,#203488,.F.); #273609=ORIENTED_EDGE('',*,*,#203491,.F.); #273610=ORIENTED_EDGE('',*,*,#203494,.F.); #273611=ORIENTED_EDGE('',*,*,#203497,.F.); #273612=ORIENTED_EDGE('',*,*,#203500,.F.); #273613=ORIENTED_EDGE('',*,*,#203503,.F.); #273614=ORIENTED_EDGE('',*,*,#203506,.F.); #273615=ORIENTED_EDGE('',*,*,#203509,.F.); #273616=ORIENTED_EDGE('',*,*,#203512,.F.); #273617=ORIENTED_EDGE('',*,*,#203515,.F.); #273618=ORIENTED_EDGE('',*,*,#203518,.F.); #273619=ORIENTED_EDGE('',*,*,#203521,.F.); #273620=ORIENTED_EDGE('',*,*,#203524,.F.); #273621=ORIENTED_EDGE('',*,*,#203527,.F.); #273622=ORIENTED_EDGE('',*,*,#203530,.F.); #273623=ORIENTED_EDGE('',*,*,#203533,.F.); #273624=ORIENTED_EDGE('',*,*,#203536,.F.); #273625=ORIENTED_EDGE('',*,*,#203539,.F.); #273626=ORIENTED_EDGE('',*,*,#203542,.F.); #273627=ORIENTED_EDGE('',*,*,#203545,.F.); #273628=ORIENTED_EDGE('',*,*,#203548,.F.); #273629=ORIENTED_EDGE('',*,*,#203551,.F.); #273630=ORIENTED_EDGE('',*,*,#203554,.F.); #273631=ORIENTED_EDGE('',*,*,#203557,.F.); #273632=ORIENTED_EDGE('',*,*,#203560,.F.); #273633=ORIENTED_EDGE('',*,*,#203563,.F.); #273634=ORIENTED_EDGE('',*,*,#203566,.F.); #273635=ORIENTED_EDGE('',*,*,#203569,.F.); #273636=ORIENTED_EDGE('',*,*,#203572,.F.); #273637=ORIENTED_EDGE('',*,*,#203575,.F.); #273638=ORIENTED_EDGE('',*,*,#203578,.F.); #273639=ORIENTED_EDGE('',*,*,#203581,.F.); #273640=ORIENTED_EDGE('',*,*,#203584,.F.); #273641=ORIENTED_EDGE('',*,*,#203587,.F.); #273642=ORIENTED_EDGE('',*,*,#203590,.F.); #273643=ORIENTED_EDGE('',*,*,#203593,.F.); #273644=ORIENTED_EDGE('',*,*,#203596,.F.); #273645=ORIENTED_EDGE('',*,*,#203599,.F.); #273646=ORIENTED_EDGE('',*,*,#203602,.F.); #273647=ORIENTED_EDGE('',*,*,#203605,.F.); #273648=ORIENTED_EDGE('',*,*,#203608,.F.); #273649=ORIENTED_EDGE('',*,*,#203441,.T.); #273650=ORIENTED_EDGE('',*,*,#203438,.T.); #273651=ORIENTED_EDGE('',*,*,#203435,.T.); #273652=ORIENTED_EDGE('',*,*,#203444,.T.); #273653=ORIENTED_EDGE('',*,*,#203613,.F.); #273654=ORIENTED_EDGE('',*,*,#203614,.F.); #273655=ORIENTED_EDGE('',*,*,#203615,.F.); #273656=ORIENTED_EDGE('',*,*,#203616,.T.); #273657=ORIENTED_EDGE('',*,*,#203617,.F.); #273658=ORIENTED_EDGE('',*,*,#203616,.F.); #273659=ORIENTED_EDGE('',*,*,#203618,.F.); #273660=ORIENTED_EDGE('',*,*,#203619,.T.); #273661=ORIENTED_EDGE('',*,*,#203620,.F.); #273662=ORIENTED_EDGE('',*,*,#203619,.F.); #273663=ORIENTED_EDGE('',*,*,#203621,.F.); #273664=ORIENTED_EDGE('',*,*,#203622,.T.); #273665=ORIENTED_EDGE('',*,*,#203623,.F.); #273666=ORIENTED_EDGE('',*,*,#203622,.F.); #273667=ORIENTED_EDGE('',*,*,#203624,.F.); #273668=ORIENTED_EDGE('',*,*,#203614,.T.); #273669=ORIENTED_EDGE('',*,*,#203625,.T.); #273670=ORIENTED_EDGE('',*,*,#203626,.T.); #273671=ORIENTED_EDGE('',*,*,#203627,.F.); #273672=ORIENTED_EDGE('',*,*,#203628,.F.); #273673=ORIENTED_EDGE('',*,*,#203629,.T.); #273674=ORIENTED_EDGE('',*,*,#203628,.T.); #273675=ORIENTED_EDGE('',*,*,#203630,.F.); #273676=ORIENTED_EDGE('',*,*,#203631,.F.); #273677=ORIENTED_EDGE('',*,*,#203632,.T.); #273678=ORIENTED_EDGE('',*,*,#203631,.T.); #273679=ORIENTED_EDGE('',*,*,#203633,.F.); #273680=ORIENTED_EDGE('',*,*,#203634,.F.); #273681=ORIENTED_EDGE('',*,*,#203635,.T.); #273682=ORIENTED_EDGE('',*,*,#203634,.T.); #273683=ORIENTED_EDGE('',*,*,#203636,.F.); #273684=ORIENTED_EDGE('',*,*,#203637,.F.); #273685=ORIENTED_EDGE('',*,*,#203638,.T.); #273686=ORIENTED_EDGE('',*,*,#203637,.T.); #273687=ORIENTED_EDGE('',*,*,#203639,.F.); #273688=ORIENTED_EDGE('',*,*,#203640,.F.); #273689=ORIENTED_EDGE('',*,*,#203641,.T.); #273690=ORIENTED_EDGE('',*,*,#203640,.T.); #273691=ORIENTED_EDGE('',*,*,#203642,.F.); #273692=ORIENTED_EDGE('',*,*,#203643,.F.); #273693=ORIENTED_EDGE('',*,*,#203644,.T.); #273694=ORIENTED_EDGE('',*,*,#203643,.T.); #273695=ORIENTED_EDGE('',*,*,#203645,.F.); #273696=ORIENTED_EDGE('',*,*,#203646,.F.); #273697=ORIENTED_EDGE('',*,*,#203647,.T.); #273698=ORIENTED_EDGE('',*,*,#203646,.T.); #273699=ORIENTED_EDGE('',*,*,#203648,.F.); #273700=ORIENTED_EDGE('',*,*,#203649,.F.); #273701=ORIENTED_EDGE('',*,*,#203650,.T.); #273702=ORIENTED_EDGE('',*,*,#203649,.T.); #273703=ORIENTED_EDGE('',*,*,#203651,.F.); #273704=ORIENTED_EDGE('',*,*,#203652,.F.); #273705=ORIENTED_EDGE('',*,*,#203653,.T.); #273706=ORIENTED_EDGE('',*,*,#203652,.T.); #273707=ORIENTED_EDGE('',*,*,#203654,.F.); #273708=ORIENTED_EDGE('',*,*,#203655,.F.); #273709=ORIENTED_EDGE('',*,*,#203656,.T.); #273710=ORIENTED_EDGE('',*,*,#203655,.T.); #273711=ORIENTED_EDGE('',*,*,#203657,.F.); #273712=ORIENTED_EDGE('',*,*,#203658,.F.); #273713=ORIENTED_EDGE('',*,*,#203659,.T.); #273714=ORIENTED_EDGE('',*,*,#203658,.T.); #273715=ORIENTED_EDGE('',*,*,#203660,.F.); #273716=ORIENTED_EDGE('',*,*,#203661,.F.); #273717=ORIENTED_EDGE('',*,*,#203662,.T.); #273718=ORIENTED_EDGE('',*,*,#203661,.T.); #273719=ORIENTED_EDGE('',*,*,#203663,.F.); #273720=ORIENTED_EDGE('',*,*,#203664,.F.); #273721=ORIENTED_EDGE('',*,*,#203665,.T.); #273722=ORIENTED_EDGE('',*,*,#203664,.T.); #273723=ORIENTED_EDGE('',*,*,#203666,.F.); #273724=ORIENTED_EDGE('',*,*,#203667,.F.); #273725=ORIENTED_EDGE('',*,*,#203668,.T.); #273726=ORIENTED_EDGE('',*,*,#203667,.T.); #273727=ORIENTED_EDGE('',*,*,#203669,.F.); #273728=ORIENTED_EDGE('',*,*,#203670,.F.); #273729=ORIENTED_EDGE('',*,*,#203671,.T.); #273730=ORIENTED_EDGE('',*,*,#203670,.T.); #273731=ORIENTED_EDGE('',*,*,#203672,.F.); #273732=ORIENTED_EDGE('',*,*,#203673,.F.); #273733=ORIENTED_EDGE('',*,*,#203674,.T.); #273734=ORIENTED_EDGE('',*,*,#203673,.T.); #273735=ORIENTED_EDGE('',*,*,#203675,.F.); #273736=ORIENTED_EDGE('',*,*,#203676,.F.); #273737=ORIENTED_EDGE('',*,*,#203677,.T.); #273738=ORIENTED_EDGE('',*,*,#203676,.T.); #273739=ORIENTED_EDGE('',*,*,#203678,.F.); #273740=ORIENTED_EDGE('',*,*,#203679,.F.); #273741=ORIENTED_EDGE('',*,*,#203680,.T.); #273742=ORIENTED_EDGE('',*,*,#203679,.T.); #273743=ORIENTED_EDGE('',*,*,#203681,.F.); #273744=ORIENTED_EDGE('',*,*,#203682,.F.); #273745=ORIENTED_EDGE('',*,*,#203683,.T.); #273746=ORIENTED_EDGE('',*,*,#203682,.T.); #273747=ORIENTED_EDGE('',*,*,#203684,.F.); #273748=ORIENTED_EDGE('',*,*,#203685,.F.); #273749=ORIENTED_EDGE('',*,*,#203686,.T.); #273750=ORIENTED_EDGE('',*,*,#203685,.T.); #273751=ORIENTED_EDGE('',*,*,#203687,.F.); #273752=ORIENTED_EDGE('',*,*,#203688,.F.); #273753=ORIENTED_EDGE('',*,*,#203689,.T.); #273754=ORIENTED_EDGE('',*,*,#203688,.T.); #273755=ORIENTED_EDGE('',*,*,#203690,.F.); #273756=ORIENTED_EDGE('',*,*,#203691,.F.); #273757=ORIENTED_EDGE('',*,*,#203692,.T.); #273758=ORIENTED_EDGE('',*,*,#203691,.T.); #273759=ORIENTED_EDGE('',*,*,#203693,.F.); #273760=ORIENTED_EDGE('',*,*,#203694,.F.); #273761=ORIENTED_EDGE('',*,*,#203695,.T.); #273762=ORIENTED_EDGE('',*,*,#203694,.T.); #273763=ORIENTED_EDGE('',*,*,#203696,.F.); #273764=ORIENTED_EDGE('',*,*,#203697,.F.); #273765=ORIENTED_EDGE('',*,*,#203698,.T.); #273766=ORIENTED_EDGE('',*,*,#203697,.T.); #273767=ORIENTED_EDGE('',*,*,#203699,.F.); #273768=ORIENTED_EDGE('',*,*,#203700,.F.); #273769=ORIENTED_EDGE('',*,*,#203701,.T.); #273770=ORIENTED_EDGE('',*,*,#203700,.T.); #273771=ORIENTED_EDGE('',*,*,#203702,.F.); #273772=ORIENTED_EDGE('',*,*,#203703,.F.); #273773=ORIENTED_EDGE('',*,*,#203704,.T.); #273774=ORIENTED_EDGE('',*,*,#203703,.T.); #273775=ORIENTED_EDGE('',*,*,#203705,.F.); #273776=ORIENTED_EDGE('',*,*,#203706,.F.); #273777=ORIENTED_EDGE('',*,*,#203707,.T.); #273778=ORIENTED_EDGE('',*,*,#203706,.T.); #273779=ORIENTED_EDGE('',*,*,#203708,.F.); #273780=ORIENTED_EDGE('',*,*,#203709,.F.); #273781=ORIENTED_EDGE('',*,*,#203710,.T.); #273782=ORIENTED_EDGE('',*,*,#203709,.T.); #273783=ORIENTED_EDGE('',*,*,#203711,.F.); #273784=ORIENTED_EDGE('',*,*,#203712,.F.); #273785=ORIENTED_EDGE('',*,*,#203713,.T.); #273786=ORIENTED_EDGE('',*,*,#203712,.T.); #273787=ORIENTED_EDGE('',*,*,#203714,.F.); #273788=ORIENTED_EDGE('',*,*,#203715,.F.); #273789=ORIENTED_EDGE('',*,*,#203716,.T.); #273790=ORIENTED_EDGE('',*,*,#203715,.T.); #273791=ORIENTED_EDGE('',*,*,#203717,.F.); #273792=ORIENTED_EDGE('',*,*,#203718,.F.); #273793=ORIENTED_EDGE('',*,*,#203719,.T.); #273794=ORIENTED_EDGE('',*,*,#203718,.T.); #273795=ORIENTED_EDGE('',*,*,#203720,.F.); #273796=ORIENTED_EDGE('',*,*,#203721,.F.); #273797=ORIENTED_EDGE('',*,*,#203722,.T.); #273798=ORIENTED_EDGE('',*,*,#203721,.T.); #273799=ORIENTED_EDGE('',*,*,#203723,.F.); #273800=ORIENTED_EDGE('',*,*,#203724,.F.); #273801=ORIENTED_EDGE('',*,*,#203725,.T.); #273802=ORIENTED_EDGE('',*,*,#203724,.T.); #273803=ORIENTED_EDGE('',*,*,#203726,.F.); #273804=ORIENTED_EDGE('',*,*,#203727,.F.); #273805=ORIENTED_EDGE('',*,*,#203728,.T.); #273806=ORIENTED_EDGE('',*,*,#203727,.T.); #273807=ORIENTED_EDGE('',*,*,#203729,.F.); #273808=ORIENTED_EDGE('',*,*,#203730,.F.); #273809=ORIENTED_EDGE('',*,*,#203731,.T.); #273810=ORIENTED_EDGE('',*,*,#203730,.T.); #273811=ORIENTED_EDGE('',*,*,#203732,.F.); #273812=ORIENTED_EDGE('',*,*,#203733,.F.); #273813=ORIENTED_EDGE('',*,*,#203734,.T.); #273814=ORIENTED_EDGE('',*,*,#203733,.T.); #273815=ORIENTED_EDGE('',*,*,#203735,.F.); #273816=ORIENTED_EDGE('',*,*,#203736,.F.); #273817=ORIENTED_EDGE('',*,*,#203737,.T.); #273818=ORIENTED_EDGE('',*,*,#203736,.T.); #273819=ORIENTED_EDGE('',*,*,#203738,.F.); #273820=ORIENTED_EDGE('',*,*,#203739,.F.); #273821=ORIENTED_EDGE('',*,*,#203740,.T.); #273822=ORIENTED_EDGE('',*,*,#203739,.T.); #273823=ORIENTED_EDGE('',*,*,#203741,.F.); #273824=ORIENTED_EDGE('',*,*,#203742,.F.); #273825=ORIENTED_EDGE('',*,*,#203743,.T.); #273826=ORIENTED_EDGE('',*,*,#203742,.T.); #273827=ORIENTED_EDGE('',*,*,#203744,.F.); #273828=ORIENTED_EDGE('',*,*,#203745,.F.); #273829=ORIENTED_EDGE('',*,*,#203746,.T.); #273830=ORIENTED_EDGE('',*,*,#203745,.T.); #273831=ORIENTED_EDGE('',*,*,#203747,.F.); #273832=ORIENTED_EDGE('',*,*,#203748,.F.); #273833=ORIENTED_EDGE('',*,*,#203749,.T.); #273834=ORIENTED_EDGE('',*,*,#203748,.T.); #273835=ORIENTED_EDGE('',*,*,#203750,.F.); #273836=ORIENTED_EDGE('',*,*,#203751,.F.); #273837=ORIENTED_EDGE('',*,*,#203752,.T.); #273838=ORIENTED_EDGE('',*,*,#203751,.T.); #273839=ORIENTED_EDGE('',*,*,#203753,.F.); #273840=ORIENTED_EDGE('',*,*,#203754,.F.); #273841=ORIENTED_EDGE('',*,*,#203755,.T.); #273842=ORIENTED_EDGE('',*,*,#203754,.T.); #273843=ORIENTED_EDGE('',*,*,#203756,.F.); #273844=ORIENTED_EDGE('',*,*,#203757,.F.); #273845=ORIENTED_EDGE('',*,*,#203758,.T.); #273846=ORIENTED_EDGE('',*,*,#203757,.T.); #273847=ORIENTED_EDGE('',*,*,#203759,.F.); #273848=ORIENTED_EDGE('',*,*,#203760,.F.); #273849=ORIENTED_EDGE('',*,*,#203761,.T.); #273850=ORIENTED_EDGE('',*,*,#203760,.T.); #273851=ORIENTED_EDGE('',*,*,#203762,.F.); #273852=ORIENTED_EDGE('',*,*,#203763,.F.); #273853=ORIENTED_EDGE('',*,*,#203764,.T.); #273854=ORIENTED_EDGE('',*,*,#203763,.T.); #273855=ORIENTED_EDGE('',*,*,#203765,.F.); #273856=ORIENTED_EDGE('',*,*,#203766,.F.); #273857=ORIENTED_EDGE('',*,*,#203767,.T.); #273858=ORIENTED_EDGE('',*,*,#203766,.T.); #273859=ORIENTED_EDGE('',*,*,#203768,.F.); #273860=ORIENTED_EDGE('',*,*,#203769,.F.); #273861=ORIENTED_EDGE('',*,*,#203770,.T.); #273862=ORIENTED_EDGE('',*,*,#203769,.T.); #273863=ORIENTED_EDGE('',*,*,#203771,.F.); #273864=ORIENTED_EDGE('',*,*,#203772,.F.); #273865=ORIENTED_EDGE('',*,*,#203773,.T.); #273866=ORIENTED_EDGE('',*,*,#203772,.T.); #273867=ORIENTED_EDGE('',*,*,#203774,.F.); #273868=ORIENTED_EDGE('',*,*,#203775,.F.); #273869=ORIENTED_EDGE('',*,*,#203776,.T.); #273870=ORIENTED_EDGE('',*,*,#203775,.T.); #273871=ORIENTED_EDGE('',*,*,#203777,.F.); #273872=ORIENTED_EDGE('',*,*,#203778,.F.); #273873=ORIENTED_EDGE('',*,*,#203779,.T.); #273874=ORIENTED_EDGE('',*,*,#203778,.T.); #273875=ORIENTED_EDGE('',*,*,#203780,.F.); #273876=ORIENTED_EDGE('',*,*,#203781,.F.); #273877=ORIENTED_EDGE('',*,*,#203782,.T.); #273878=ORIENTED_EDGE('',*,*,#203781,.T.); #273879=ORIENTED_EDGE('',*,*,#203783,.F.); #273880=ORIENTED_EDGE('',*,*,#203784,.F.); #273881=ORIENTED_EDGE('',*,*,#203785,.T.); #273882=ORIENTED_EDGE('',*,*,#203784,.T.); #273883=ORIENTED_EDGE('',*,*,#203786,.F.); #273884=ORIENTED_EDGE('',*,*,#203787,.F.); #273885=ORIENTED_EDGE('',*,*,#203788,.T.); #273886=ORIENTED_EDGE('',*,*,#203787,.T.); #273887=ORIENTED_EDGE('',*,*,#203789,.F.); #273888=ORIENTED_EDGE('',*,*,#203790,.F.); #273889=ORIENTED_EDGE('',*,*,#203791,.T.); #273890=ORIENTED_EDGE('',*,*,#203790,.T.); #273891=ORIENTED_EDGE('',*,*,#203792,.F.); #273892=ORIENTED_EDGE('',*,*,#203793,.F.); #273893=ORIENTED_EDGE('',*,*,#203794,.T.); #273894=ORIENTED_EDGE('',*,*,#203793,.T.); #273895=ORIENTED_EDGE('',*,*,#203795,.F.); #273896=ORIENTED_EDGE('',*,*,#203796,.F.); #273897=ORIENTED_EDGE('',*,*,#203797,.T.); #273898=ORIENTED_EDGE('',*,*,#203796,.T.); #273899=ORIENTED_EDGE('',*,*,#203798,.F.); #273900=ORIENTED_EDGE('',*,*,#203799,.F.); #273901=ORIENTED_EDGE('',*,*,#203800,.T.); #273902=ORIENTED_EDGE('',*,*,#203799,.T.); #273903=ORIENTED_EDGE('',*,*,#203801,.F.); #273904=ORIENTED_EDGE('',*,*,#203802,.F.); #273905=ORIENTED_EDGE('',*,*,#203803,.T.); #273906=ORIENTED_EDGE('',*,*,#203802,.T.); #273907=ORIENTED_EDGE('',*,*,#203804,.F.); #273908=ORIENTED_EDGE('',*,*,#203805,.F.); #273909=ORIENTED_EDGE('',*,*,#203806,.T.); #273910=ORIENTED_EDGE('',*,*,#203805,.T.); #273911=ORIENTED_EDGE('',*,*,#203807,.F.); #273912=ORIENTED_EDGE('',*,*,#203808,.F.); #273913=ORIENTED_EDGE('',*,*,#203809,.T.); #273914=ORIENTED_EDGE('',*,*,#203808,.T.); #273915=ORIENTED_EDGE('',*,*,#203810,.F.); #273916=ORIENTED_EDGE('',*,*,#203811,.F.); #273917=ORIENTED_EDGE('',*,*,#203812,.T.); #273918=ORIENTED_EDGE('',*,*,#203811,.T.); #273919=ORIENTED_EDGE('',*,*,#203813,.F.); #273920=ORIENTED_EDGE('',*,*,#203814,.F.); #273921=ORIENTED_EDGE('',*,*,#203815,.T.); #273922=ORIENTED_EDGE('',*,*,#203814,.T.); #273923=ORIENTED_EDGE('',*,*,#203816,.F.); #273924=ORIENTED_EDGE('',*,*,#203817,.F.); #273925=ORIENTED_EDGE('',*,*,#203818,.T.); #273926=ORIENTED_EDGE('',*,*,#203817,.T.); #273927=ORIENTED_EDGE('',*,*,#203819,.F.); #273928=ORIENTED_EDGE('',*,*,#203820,.F.); #273929=ORIENTED_EDGE('',*,*,#203821,.T.); #273930=ORIENTED_EDGE('',*,*,#203820,.T.); #273931=ORIENTED_EDGE('',*,*,#203822,.F.); #273932=ORIENTED_EDGE('',*,*,#203823,.F.); #273933=ORIENTED_EDGE('',*,*,#203824,.T.); #273934=ORIENTED_EDGE('',*,*,#203823,.T.); #273935=ORIENTED_EDGE('',*,*,#203825,.F.); #273936=ORIENTED_EDGE('',*,*,#203826,.F.); #273937=ORIENTED_EDGE('',*,*,#203827,.T.); #273938=ORIENTED_EDGE('',*,*,#203826,.T.); #273939=ORIENTED_EDGE('',*,*,#203828,.F.); #273940=ORIENTED_EDGE('',*,*,#203829,.F.); #273941=ORIENTED_EDGE('',*,*,#203830,.T.); #273942=ORIENTED_EDGE('',*,*,#203829,.T.); #273943=ORIENTED_EDGE('',*,*,#203831,.F.); #273944=ORIENTED_EDGE('',*,*,#203832,.F.); #273945=ORIENTED_EDGE('',*,*,#203833,.T.); #273946=ORIENTED_EDGE('',*,*,#203832,.T.); #273947=ORIENTED_EDGE('',*,*,#203834,.F.); #273948=ORIENTED_EDGE('',*,*,#203835,.F.); #273949=ORIENTED_EDGE('',*,*,#203836,.T.); #273950=ORIENTED_EDGE('',*,*,#203835,.T.); #273951=ORIENTED_EDGE('',*,*,#203837,.F.); #273952=ORIENTED_EDGE('',*,*,#203838,.F.); #273953=ORIENTED_EDGE('',*,*,#203839,.T.); #273954=ORIENTED_EDGE('',*,*,#203838,.T.); #273955=ORIENTED_EDGE('',*,*,#203840,.F.); #273956=ORIENTED_EDGE('',*,*,#203626,.F.); #273957=ORIENTED_EDGE('',*,*,#203840,.T.); #273958=ORIENTED_EDGE('',*,*,#203837,.T.); #273959=ORIENTED_EDGE('',*,*,#203834,.T.); #273960=ORIENTED_EDGE('',*,*,#203831,.T.); #273961=ORIENTED_EDGE('',*,*,#203828,.T.); #273962=ORIENTED_EDGE('',*,*,#203825,.T.); #273963=ORIENTED_EDGE('',*,*,#203822,.T.); #273964=ORIENTED_EDGE('',*,*,#203819,.T.); #273965=ORIENTED_EDGE('',*,*,#203816,.T.); #273966=ORIENTED_EDGE('',*,*,#203813,.T.); #273967=ORIENTED_EDGE('',*,*,#203810,.T.); #273968=ORIENTED_EDGE('',*,*,#203807,.T.); #273969=ORIENTED_EDGE('',*,*,#203804,.T.); #273970=ORIENTED_EDGE('',*,*,#203801,.T.); #273971=ORIENTED_EDGE('',*,*,#203798,.T.); #273972=ORIENTED_EDGE('',*,*,#203795,.T.); #273973=ORIENTED_EDGE('',*,*,#203792,.T.); #273974=ORIENTED_EDGE('',*,*,#203789,.T.); #273975=ORIENTED_EDGE('',*,*,#203786,.T.); #273976=ORIENTED_EDGE('',*,*,#203783,.T.); #273977=ORIENTED_EDGE('',*,*,#203780,.T.); #273978=ORIENTED_EDGE('',*,*,#203777,.T.); #273979=ORIENTED_EDGE('',*,*,#203774,.T.); #273980=ORIENTED_EDGE('',*,*,#203771,.T.); #273981=ORIENTED_EDGE('',*,*,#203768,.T.); #273982=ORIENTED_EDGE('',*,*,#203765,.T.); #273983=ORIENTED_EDGE('',*,*,#203762,.T.); #273984=ORIENTED_EDGE('',*,*,#203759,.T.); #273985=ORIENTED_EDGE('',*,*,#203756,.T.); #273986=ORIENTED_EDGE('',*,*,#203753,.T.); #273987=ORIENTED_EDGE('',*,*,#203750,.T.); #273988=ORIENTED_EDGE('',*,*,#203747,.T.); #273989=ORIENTED_EDGE('',*,*,#203744,.T.); #273990=ORIENTED_EDGE('',*,*,#203741,.T.); #273991=ORIENTED_EDGE('',*,*,#203738,.T.); #273992=ORIENTED_EDGE('',*,*,#203735,.T.); #273993=ORIENTED_EDGE('',*,*,#203732,.T.); #273994=ORIENTED_EDGE('',*,*,#203729,.T.); #273995=ORIENTED_EDGE('',*,*,#203726,.T.); #273996=ORIENTED_EDGE('',*,*,#203723,.T.); #273997=ORIENTED_EDGE('',*,*,#203720,.T.); #273998=ORIENTED_EDGE('',*,*,#203717,.T.); #273999=ORIENTED_EDGE('',*,*,#203714,.T.); #274000=ORIENTED_EDGE('',*,*,#203711,.T.); #274001=ORIENTED_EDGE('',*,*,#203708,.T.); #274002=ORIENTED_EDGE('',*,*,#203705,.T.); #274003=ORIENTED_EDGE('',*,*,#203702,.T.); #274004=ORIENTED_EDGE('',*,*,#203699,.T.); #274005=ORIENTED_EDGE('',*,*,#203696,.T.); #274006=ORIENTED_EDGE('',*,*,#203693,.T.); #274007=ORIENTED_EDGE('',*,*,#203690,.T.); #274008=ORIENTED_EDGE('',*,*,#203687,.T.); #274009=ORIENTED_EDGE('',*,*,#203684,.T.); #274010=ORIENTED_EDGE('',*,*,#203681,.T.); #274011=ORIENTED_EDGE('',*,*,#203678,.T.); #274012=ORIENTED_EDGE('',*,*,#203675,.T.); #274013=ORIENTED_EDGE('',*,*,#203672,.T.); #274014=ORIENTED_EDGE('',*,*,#203669,.T.); #274015=ORIENTED_EDGE('',*,*,#203666,.T.); #274016=ORIENTED_EDGE('',*,*,#203663,.T.); #274017=ORIENTED_EDGE('',*,*,#203660,.T.); #274018=ORIENTED_EDGE('',*,*,#203657,.T.); #274019=ORIENTED_EDGE('',*,*,#203654,.T.); #274020=ORIENTED_EDGE('',*,*,#203651,.T.); #274021=ORIENTED_EDGE('',*,*,#203648,.T.); #274022=ORIENTED_EDGE('',*,*,#203645,.T.); #274023=ORIENTED_EDGE('',*,*,#203642,.T.); #274024=ORIENTED_EDGE('',*,*,#203639,.T.); #274025=ORIENTED_EDGE('',*,*,#203636,.T.); #274026=ORIENTED_EDGE('',*,*,#203633,.T.); #274027=ORIENTED_EDGE('',*,*,#203630,.T.); #274028=ORIENTED_EDGE('',*,*,#203627,.T.); #274029=ORIENTED_EDGE('',*,*,#203620,.T.); #274030=ORIENTED_EDGE('',*,*,#203623,.T.); #274031=ORIENTED_EDGE('',*,*,#203613,.T.); #274032=ORIENTED_EDGE('',*,*,#203617,.T.); #274033=ORIENTED_EDGE('',*,*,#203839,.F.); #274034=ORIENTED_EDGE('',*,*,#203625,.F.); #274035=ORIENTED_EDGE('',*,*,#203629,.F.); #274036=ORIENTED_EDGE('',*,*,#203632,.F.); #274037=ORIENTED_EDGE('',*,*,#203635,.F.); #274038=ORIENTED_EDGE('',*,*,#203638,.F.); #274039=ORIENTED_EDGE('',*,*,#203641,.F.); #274040=ORIENTED_EDGE('',*,*,#203644,.F.); #274041=ORIENTED_EDGE('',*,*,#203647,.F.); #274042=ORIENTED_EDGE('',*,*,#203650,.F.); #274043=ORIENTED_EDGE('',*,*,#203653,.F.); #274044=ORIENTED_EDGE('',*,*,#203656,.F.); #274045=ORIENTED_EDGE('',*,*,#203659,.F.); #274046=ORIENTED_EDGE('',*,*,#203662,.F.); #274047=ORIENTED_EDGE('',*,*,#203665,.F.); #274048=ORIENTED_EDGE('',*,*,#203668,.F.); #274049=ORIENTED_EDGE('',*,*,#203671,.F.); #274050=ORIENTED_EDGE('',*,*,#203674,.F.); #274051=ORIENTED_EDGE('',*,*,#203677,.F.); #274052=ORIENTED_EDGE('',*,*,#203680,.F.); #274053=ORIENTED_EDGE('',*,*,#203683,.F.); #274054=ORIENTED_EDGE('',*,*,#203686,.F.); #274055=ORIENTED_EDGE('',*,*,#203689,.F.); #274056=ORIENTED_EDGE('',*,*,#203692,.F.); #274057=ORIENTED_EDGE('',*,*,#203695,.F.); #274058=ORIENTED_EDGE('',*,*,#203698,.F.); #274059=ORIENTED_EDGE('',*,*,#203701,.F.); #274060=ORIENTED_EDGE('',*,*,#203704,.F.); #274061=ORIENTED_EDGE('',*,*,#203707,.F.); #274062=ORIENTED_EDGE('',*,*,#203710,.F.); #274063=ORIENTED_EDGE('',*,*,#203713,.F.); #274064=ORIENTED_EDGE('',*,*,#203716,.F.); #274065=ORIENTED_EDGE('',*,*,#203719,.F.); #274066=ORIENTED_EDGE('',*,*,#203722,.F.); #274067=ORIENTED_EDGE('',*,*,#203725,.F.); #274068=ORIENTED_EDGE('',*,*,#203728,.F.); #274069=ORIENTED_EDGE('',*,*,#203731,.F.); #274070=ORIENTED_EDGE('',*,*,#203734,.F.); #274071=ORIENTED_EDGE('',*,*,#203737,.F.); #274072=ORIENTED_EDGE('',*,*,#203740,.F.); #274073=ORIENTED_EDGE('',*,*,#203743,.F.); #274074=ORIENTED_EDGE('',*,*,#203746,.F.); #274075=ORIENTED_EDGE('',*,*,#203749,.F.); #274076=ORIENTED_EDGE('',*,*,#203752,.F.); #274077=ORIENTED_EDGE('',*,*,#203755,.F.); #274078=ORIENTED_EDGE('',*,*,#203758,.F.); #274079=ORIENTED_EDGE('',*,*,#203761,.F.); #274080=ORIENTED_EDGE('',*,*,#203764,.F.); #274081=ORIENTED_EDGE('',*,*,#203767,.F.); #274082=ORIENTED_EDGE('',*,*,#203770,.F.); #274083=ORIENTED_EDGE('',*,*,#203773,.F.); #274084=ORIENTED_EDGE('',*,*,#203776,.F.); #274085=ORIENTED_EDGE('',*,*,#203779,.F.); #274086=ORIENTED_EDGE('',*,*,#203782,.F.); #274087=ORIENTED_EDGE('',*,*,#203785,.F.); #274088=ORIENTED_EDGE('',*,*,#203788,.F.); #274089=ORIENTED_EDGE('',*,*,#203791,.F.); #274090=ORIENTED_EDGE('',*,*,#203794,.F.); #274091=ORIENTED_EDGE('',*,*,#203797,.F.); #274092=ORIENTED_EDGE('',*,*,#203800,.F.); #274093=ORIENTED_EDGE('',*,*,#203803,.F.); #274094=ORIENTED_EDGE('',*,*,#203806,.F.); #274095=ORIENTED_EDGE('',*,*,#203809,.F.); #274096=ORIENTED_EDGE('',*,*,#203812,.F.); #274097=ORIENTED_EDGE('',*,*,#203815,.F.); #274098=ORIENTED_EDGE('',*,*,#203818,.F.); #274099=ORIENTED_EDGE('',*,*,#203821,.F.); #274100=ORIENTED_EDGE('',*,*,#203824,.F.); #274101=ORIENTED_EDGE('',*,*,#203827,.F.); #274102=ORIENTED_EDGE('',*,*,#203830,.F.); #274103=ORIENTED_EDGE('',*,*,#203833,.F.); #274104=ORIENTED_EDGE('',*,*,#203836,.F.); #274105=ORIENTED_EDGE('',*,*,#203621,.T.); #274106=ORIENTED_EDGE('',*,*,#203618,.T.); #274107=ORIENTED_EDGE('',*,*,#203615,.T.); #274108=ORIENTED_EDGE('',*,*,#203624,.T.); #274109=ORIENTED_EDGE('',*,*,#203841,.F.); #274110=ORIENTED_EDGE('',*,*,#203842,.T.); #274111=ORIENTED_EDGE('',*,*,#203843,.F.); #274112=ORIENTED_EDGE('',*,*,#203842,.F.); #274113=ORIENTED_EDGE('',*,*,#203844,.T.); #274114=ORIENTED_EDGE('',*,*,#203845,.T.); #274115=ORIENTED_EDGE('',*,*,#203846,.F.); #274116=ORIENTED_EDGE('',*,*,#203847,.F.); #274117=ORIENTED_EDGE('',*,*,#203848,.T.); #274118=ORIENTED_EDGE('',*,*,#203847,.T.); #274119=ORIENTED_EDGE('',*,*,#203849,.F.); #274120=ORIENTED_EDGE('',*,*,#203850,.F.); #274121=ORIENTED_EDGE('',*,*,#203851,.T.); #274122=ORIENTED_EDGE('',*,*,#203850,.T.); #274123=ORIENTED_EDGE('',*,*,#203852,.F.); #274124=ORIENTED_EDGE('',*,*,#203845,.F.); #274125=ORIENTED_EDGE('',*,*,#203852,.T.); #274126=ORIENTED_EDGE('',*,*,#203849,.T.); #274127=ORIENTED_EDGE('',*,*,#203846,.T.); #274128=ORIENTED_EDGE('',*,*,#203843,.T.); #274129=ORIENTED_EDGE('',*,*,#203851,.F.); #274130=ORIENTED_EDGE('',*,*,#203844,.F.); #274131=ORIENTED_EDGE('',*,*,#203848,.F.); #274132=ORIENTED_EDGE('',*,*,#203841,.T.); #274133=ORIENTED_EDGE('',*,*,#203853,.F.); #274134=ORIENTED_EDGE('',*,*,#203854,.T.); #274135=ORIENTED_EDGE('',*,*,#203855,.F.); #274136=ORIENTED_EDGE('',*,*,#203854,.F.); #274137=ORIENTED_EDGE('',*,*,#203856,.T.); #274138=ORIENTED_EDGE('',*,*,#203857,.T.); #274139=ORIENTED_EDGE('',*,*,#203858,.F.); #274140=ORIENTED_EDGE('',*,*,#203859,.F.); #274141=ORIENTED_EDGE('',*,*,#203860,.T.); #274142=ORIENTED_EDGE('',*,*,#203859,.T.); #274143=ORIENTED_EDGE('',*,*,#203861,.F.); #274144=ORIENTED_EDGE('',*,*,#203862,.F.); #274145=ORIENTED_EDGE('',*,*,#203863,.T.); #274146=ORIENTED_EDGE('',*,*,#203862,.T.); #274147=ORIENTED_EDGE('',*,*,#203864,.F.); #274148=ORIENTED_EDGE('',*,*,#203857,.F.); #274149=ORIENTED_EDGE('',*,*,#203864,.T.); #274150=ORIENTED_EDGE('',*,*,#203861,.T.); #274151=ORIENTED_EDGE('',*,*,#203858,.T.); #274152=ORIENTED_EDGE('',*,*,#203855,.T.); #274153=ORIENTED_EDGE('',*,*,#203863,.F.); #274154=ORIENTED_EDGE('',*,*,#203856,.F.); #274155=ORIENTED_EDGE('',*,*,#203860,.F.); #274156=ORIENTED_EDGE('',*,*,#203853,.T.); #274157=ORIENTED_EDGE('',*,*,#203865,.F.); #274158=ORIENTED_EDGE('',*,*,#203866,.F.); #274159=ORIENTED_EDGE('',*,*,#203867,.F.); #274160=ORIENTED_EDGE('',*,*,#203868,.T.); #274161=ORIENTED_EDGE('',*,*,#203869,.F.); #274162=ORIENTED_EDGE('',*,*,#203868,.F.); #274163=ORIENTED_EDGE('',*,*,#203870,.F.); #274164=ORIENTED_EDGE('',*,*,#203871,.T.); #274165=ORIENTED_EDGE('',*,*,#203872,.F.); #274166=ORIENTED_EDGE('',*,*,#203871,.F.); #274167=ORIENTED_EDGE('',*,*,#203873,.F.); #274168=ORIENTED_EDGE('',*,*,#203874,.T.); #274169=ORIENTED_EDGE('',*,*,#203875,.F.); #274170=ORIENTED_EDGE('',*,*,#203874,.F.); #274171=ORIENTED_EDGE('',*,*,#203876,.F.); #274172=ORIENTED_EDGE('',*,*,#203866,.T.); #274173=ORIENTED_EDGE('',*,*,#203877,.T.); #274174=ORIENTED_EDGE('',*,*,#203878,.T.); #274175=ORIENTED_EDGE('',*,*,#203879,.F.); #274176=ORIENTED_EDGE('',*,*,#203880,.F.); #274177=ORIENTED_EDGE('',*,*,#203881,.T.); #274178=ORIENTED_EDGE('',*,*,#203880,.T.); #274179=ORIENTED_EDGE('',*,*,#203882,.F.); #274180=ORIENTED_EDGE('',*,*,#203883,.F.); #274181=ORIENTED_EDGE('',*,*,#203884,.T.); #274182=ORIENTED_EDGE('',*,*,#203883,.T.); #274183=ORIENTED_EDGE('',*,*,#203885,.F.); #274184=ORIENTED_EDGE('',*,*,#203886,.F.); #274185=ORIENTED_EDGE('',*,*,#203887,.T.); #274186=ORIENTED_EDGE('',*,*,#203886,.T.); #274187=ORIENTED_EDGE('',*,*,#203888,.F.); #274188=ORIENTED_EDGE('',*,*,#203889,.F.); #274189=ORIENTED_EDGE('',*,*,#203890,.T.); #274190=ORIENTED_EDGE('',*,*,#203889,.T.); #274191=ORIENTED_EDGE('',*,*,#203891,.F.); #274192=ORIENTED_EDGE('',*,*,#203892,.F.); #274193=ORIENTED_EDGE('',*,*,#203893,.T.); #274194=ORIENTED_EDGE('',*,*,#203892,.T.); #274195=ORIENTED_EDGE('',*,*,#203894,.F.); #274196=ORIENTED_EDGE('',*,*,#203895,.F.); #274197=ORIENTED_EDGE('',*,*,#203896,.T.); #274198=ORIENTED_EDGE('',*,*,#203895,.T.); #274199=ORIENTED_EDGE('',*,*,#203897,.F.); #274200=ORIENTED_EDGE('',*,*,#203898,.F.); #274201=ORIENTED_EDGE('',*,*,#203899,.T.); #274202=ORIENTED_EDGE('',*,*,#203898,.T.); #274203=ORIENTED_EDGE('',*,*,#203900,.F.); #274204=ORIENTED_EDGE('',*,*,#203901,.F.); #274205=ORIENTED_EDGE('',*,*,#203902,.T.); #274206=ORIENTED_EDGE('',*,*,#203901,.T.); #274207=ORIENTED_EDGE('',*,*,#203903,.F.); #274208=ORIENTED_EDGE('',*,*,#203904,.F.); #274209=ORIENTED_EDGE('',*,*,#203905,.T.); #274210=ORIENTED_EDGE('',*,*,#203904,.T.); #274211=ORIENTED_EDGE('',*,*,#203906,.F.); #274212=ORIENTED_EDGE('',*,*,#203907,.F.); #274213=ORIENTED_EDGE('',*,*,#203908,.T.); #274214=ORIENTED_EDGE('',*,*,#203907,.T.); #274215=ORIENTED_EDGE('',*,*,#203909,.F.); #274216=ORIENTED_EDGE('',*,*,#203910,.F.); #274217=ORIENTED_EDGE('',*,*,#203911,.T.); #274218=ORIENTED_EDGE('',*,*,#203910,.T.); #274219=ORIENTED_EDGE('',*,*,#203912,.F.); #274220=ORIENTED_EDGE('',*,*,#203913,.F.); #274221=ORIENTED_EDGE('',*,*,#203914,.T.); #274222=ORIENTED_EDGE('',*,*,#203913,.T.); #274223=ORIENTED_EDGE('',*,*,#203915,.F.); #274224=ORIENTED_EDGE('',*,*,#203916,.F.); #274225=ORIENTED_EDGE('',*,*,#203917,.T.); #274226=ORIENTED_EDGE('',*,*,#203916,.T.); #274227=ORIENTED_EDGE('',*,*,#203918,.F.); #274228=ORIENTED_EDGE('',*,*,#203919,.F.); #274229=ORIENTED_EDGE('',*,*,#203920,.T.); #274230=ORIENTED_EDGE('',*,*,#203919,.T.); #274231=ORIENTED_EDGE('',*,*,#203921,.F.); #274232=ORIENTED_EDGE('',*,*,#203922,.F.); #274233=ORIENTED_EDGE('',*,*,#203923,.T.); #274234=ORIENTED_EDGE('',*,*,#203922,.T.); #274235=ORIENTED_EDGE('',*,*,#203924,.F.); #274236=ORIENTED_EDGE('',*,*,#203925,.F.); #274237=ORIENTED_EDGE('',*,*,#203926,.T.); #274238=ORIENTED_EDGE('',*,*,#203925,.T.); #274239=ORIENTED_EDGE('',*,*,#203927,.F.); #274240=ORIENTED_EDGE('',*,*,#203928,.F.); #274241=ORIENTED_EDGE('',*,*,#203929,.T.); #274242=ORIENTED_EDGE('',*,*,#203928,.T.); #274243=ORIENTED_EDGE('',*,*,#203930,.F.); #274244=ORIENTED_EDGE('',*,*,#203931,.F.); #274245=ORIENTED_EDGE('',*,*,#203932,.T.); #274246=ORIENTED_EDGE('',*,*,#203931,.T.); #274247=ORIENTED_EDGE('',*,*,#203933,.F.); #274248=ORIENTED_EDGE('',*,*,#203934,.F.); #274249=ORIENTED_EDGE('',*,*,#203935,.T.); #274250=ORIENTED_EDGE('',*,*,#203934,.T.); #274251=ORIENTED_EDGE('',*,*,#203936,.F.); #274252=ORIENTED_EDGE('',*,*,#203937,.F.); #274253=ORIENTED_EDGE('',*,*,#203938,.T.); #274254=ORIENTED_EDGE('',*,*,#203937,.T.); #274255=ORIENTED_EDGE('',*,*,#203939,.F.); #274256=ORIENTED_EDGE('',*,*,#203940,.F.); #274257=ORIENTED_EDGE('',*,*,#203941,.T.); #274258=ORIENTED_EDGE('',*,*,#203940,.T.); #274259=ORIENTED_EDGE('',*,*,#203942,.F.); #274260=ORIENTED_EDGE('',*,*,#203943,.F.); #274261=ORIENTED_EDGE('',*,*,#203944,.T.); #274262=ORIENTED_EDGE('',*,*,#203943,.T.); #274263=ORIENTED_EDGE('',*,*,#203945,.F.); #274264=ORIENTED_EDGE('',*,*,#203946,.F.); #274265=ORIENTED_EDGE('',*,*,#203947,.T.); #274266=ORIENTED_EDGE('',*,*,#203946,.T.); #274267=ORIENTED_EDGE('',*,*,#203948,.F.); #274268=ORIENTED_EDGE('',*,*,#203949,.F.); #274269=ORIENTED_EDGE('',*,*,#203950,.T.); #274270=ORIENTED_EDGE('',*,*,#203949,.T.); #274271=ORIENTED_EDGE('',*,*,#203951,.F.); #274272=ORIENTED_EDGE('',*,*,#203952,.F.); #274273=ORIENTED_EDGE('',*,*,#203953,.T.); #274274=ORIENTED_EDGE('',*,*,#203952,.T.); #274275=ORIENTED_EDGE('',*,*,#203954,.F.); #274276=ORIENTED_EDGE('',*,*,#203955,.F.); #274277=ORIENTED_EDGE('',*,*,#203956,.T.); #274278=ORIENTED_EDGE('',*,*,#203955,.T.); #274279=ORIENTED_EDGE('',*,*,#203957,.F.); #274280=ORIENTED_EDGE('',*,*,#203958,.F.); #274281=ORIENTED_EDGE('',*,*,#203959,.T.); #274282=ORIENTED_EDGE('',*,*,#203958,.T.); #274283=ORIENTED_EDGE('',*,*,#203960,.F.); #274284=ORIENTED_EDGE('',*,*,#203961,.F.); #274285=ORIENTED_EDGE('',*,*,#203962,.T.); #274286=ORIENTED_EDGE('',*,*,#203961,.T.); #274287=ORIENTED_EDGE('',*,*,#203963,.F.); #274288=ORIENTED_EDGE('',*,*,#203964,.F.); #274289=ORIENTED_EDGE('',*,*,#203965,.T.); #274290=ORIENTED_EDGE('',*,*,#203964,.T.); #274291=ORIENTED_EDGE('',*,*,#203966,.F.); #274292=ORIENTED_EDGE('',*,*,#203967,.F.); #274293=ORIENTED_EDGE('',*,*,#203968,.T.); #274294=ORIENTED_EDGE('',*,*,#203967,.T.); #274295=ORIENTED_EDGE('',*,*,#203969,.F.); #274296=ORIENTED_EDGE('',*,*,#203970,.F.); #274297=ORIENTED_EDGE('',*,*,#203971,.T.); #274298=ORIENTED_EDGE('',*,*,#203970,.T.); #274299=ORIENTED_EDGE('',*,*,#203972,.F.); #274300=ORIENTED_EDGE('',*,*,#203973,.F.); #274301=ORIENTED_EDGE('',*,*,#203974,.T.); #274302=ORIENTED_EDGE('',*,*,#203973,.T.); #274303=ORIENTED_EDGE('',*,*,#203975,.F.); #274304=ORIENTED_EDGE('',*,*,#203976,.F.); #274305=ORIENTED_EDGE('',*,*,#203977,.T.); #274306=ORIENTED_EDGE('',*,*,#203976,.T.); #274307=ORIENTED_EDGE('',*,*,#203978,.F.); #274308=ORIENTED_EDGE('',*,*,#203979,.F.); #274309=ORIENTED_EDGE('',*,*,#203980,.T.); #274310=ORIENTED_EDGE('',*,*,#203979,.T.); #274311=ORIENTED_EDGE('',*,*,#203981,.F.); #274312=ORIENTED_EDGE('',*,*,#203982,.F.); #274313=ORIENTED_EDGE('',*,*,#203983,.T.); #274314=ORIENTED_EDGE('',*,*,#203982,.T.); #274315=ORIENTED_EDGE('',*,*,#203984,.F.); #274316=ORIENTED_EDGE('',*,*,#203985,.F.); #274317=ORIENTED_EDGE('',*,*,#203986,.T.); #274318=ORIENTED_EDGE('',*,*,#203985,.T.); #274319=ORIENTED_EDGE('',*,*,#203987,.F.); #274320=ORIENTED_EDGE('',*,*,#203988,.F.); #274321=ORIENTED_EDGE('',*,*,#203989,.T.); #274322=ORIENTED_EDGE('',*,*,#203988,.T.); #274323=ORIENTED_EDGE('',*,*,#203990,.F.); #274324=ORIENTED_EDGE('',*,*,#203991,.F.); #274325=ORIENTED_EDGE('',*,*,#203992,.T.); #274326=ORIENTED_EDGE('',*,*,#203991,.T.); #274327=ORIENTED_EDGE('',*,*,#203993,.F.); #274328=ORIENTED_EDGE('',*,*,#203994,.F.); #274329=ORIENTED_EDGE('',*,*,#203995,.T.); #274330=ORIENTED_EDGE('',*,*,#203994,.T.); #274331=ORIENTED_EDGE('',*,*,#203996,.F.); #274332=ORIENTED_EDGE('',*,*,#203997,.F.); #274333=ORIENTED_EDGE('',*,*,#203998,.T.); #274334=ORIENTED_EDGE('',*,*,#203997,.T.); #274335=ORIENTED_EDGE('',*,*,#203999,.F.); #274336=ORIENTED_EDGE('',*,*,#204000,.F.); #274337=ORIENTED_EDGE('',*,*,#204001,.T.); #274338=ORIENTED_EDGE('',*,*,#204000,.T.); #274339=ORIENTED_EDGE('',*,*,#204002,.F.); #274340=ORIENTED_EDGE('',*,*,#204003,.F.); #274341=ORIENTED_EDGE('',*,*,#204004,.T.); #274342=ORIENTED_EDGE('',*,*,#204003,.T.); #274343=ORIENTED_EDGE('',*,*,#204005,.F.); #274344=ORIENTED_EDGE('',*,*,#204006,.F.); #274345=ORIENTED_EDGE('',*,*,#204007,.T.); #274346=ORIENTED_EDGE('',*,*,#204006,.T.); #274347=ORIENTED_EDGE('',*,*,#204008,.F.); #274348=ORIENTED_EDGE('',*,*,#204009,.F.); #274349=ORIENTED_EDGE('',*,*,#204010,.T.); #274350=ORIENTED_EDGE('',*,*,#204009,.T.); #274351=ORIENTED_EDGE('',*,*,#204011,.F.); #274352=ORIENTED_EDGE('',*,*,#204012,.F.); #274353=ORIENTED_EDGE('',*,*,#204013,.T.); #274354=ORIENTED_EDGE('',*,*,#204012,.T.); #274355=ORIENTED_EDGE('',*,*,#204014,.F.); #274356=ORIENTED_EDGE('',*,*,#204015,.F.); #274357=ORIENTED_EDGE('',*,*,#204016,.T.); #274358=ORIENTED_EDGE('',*,*,#204015,.T.); #274359=ORIENTED_EDGE('',*,*,#204017,.F.); #274360=ORIENTED_EDGE('',*,*,#204018,.F.); #274361=ORIENTED_EDGE('',*,*,#204019,.T.); #274362=ORIENTED_EDGE('',*,*,#204018,.T.); #274363=ORIENTED_EDGE('',*,*,#204020,.F.); #274364=ORIENTED_EDGE('',*,*,#204021,.F.); #274365=ORIENTED_EDGE('',*,*,#204022,.T.); #274366=ORIENTED_EDGE('',*,*,#204021,.T.); #274367=ORIENTED_EDGE('',*,*,#204023,.F.); #274368=ORIENTED_EDGE('',*,*,#204024,.F.); #274369=ORIENTED_EDGE('',*,*,#204025,.T.); #274370=ORIENTED_EDGE('',*,*,#204024,.T.); #274371=ORIENTED_EDGE('',*,*,#204026,.F.); #274372=ORIENTED_EDGE('',*,*,#204027,.F.); #274373=ORIENTED_EDGE('',*,*,#204028,.T.); #274374=ORIENTED_EDGE('',*,*,#204027,.T.); #274375=ORIENTED_EDGE('',*,*,#204029,.F.); #274376=ORIENTED_EDGE('',*,*,#204030,.F.); #274377=ORIENTED_EDGE('',*,*,#204031,.T.); #274378=ORIENTED_EDGE('',*,*,#204030,.T.); #274379=ORIENTED_EDGE('',*,*,#204032,.F.); #274380=ORIENTED_EDGE('',*,*,#204033,.F.); #274381=ORIENTED_EDGE('',*,*,#204034,.T.); #274382=ORIENTED_EDGE('',*,*,#204033,.T.); #274383=ORIENTED_EDGE('',*,*,#204035,.F.); #274384=ORIENTED_EDGE('',*,*,#204036,.F.); #274385=ORIENTED_EDGE('',*,*,#204037,.T.); #274386=ORIENTED_EDGE('',*,*,#204036,.T.); #274387=ORIENTED_EDGE('',*,*,#204038,.F.); #274388=ORIENTED_EDGE('',*,*,#204039,.F.); #274389=ORIENTED_EDGE('',*,*,#204040,.T.); #274390=ORIENTED_EDGE('',*,*,#204039,.T.); #274391=ORIENTED_EDGE('',*,*,#204041,.F.); #274392=ORIENTED_EDGE('',*,*,#204042,.F.); #274393=ORIENTED_EDGE('',*,*,#204043,.T.); #274394=ORIENTED_EDGE('',*,*,#204042,.T.); #274395=ORIENTED_EDGE('',*,*,#204044,.F.); #274396=ORIENTED_EDGE('',*,*,#203878,.F.); #274397=ORIENTED_EDGE('',*,*,#204044,.T.); #274398=ORIENTED_EDGE('',*,*,#204041,.T.); #274399=ORIENTED_EDGE('',*,*,#204038,.T.); #274400=ORIENTED_EDGE('',*,*,#204035,.T.); #274401=ORIENTED_EDGE('',*,*,#204032,.T.); #274402=ORIENTED_EDGE('',*,*,#204029,.T.); #274403=ORIENTED_EDGE('',*,*,#204026,.T.); #274404=ORIENTED_EDGE('',*,*,#204023,.T.); #274405=ORIENTED_EDGE('',*,*,#204020,.T.); #274406=ORIENTED_EDGE('',*,*,#204017,.T.); #274407=ORIENTED_EDGE('',*,*,#204014,.T.); #274408=ORIENTED_EDGE('',*,*,#204011,.T.); #274409=ORIENTED_EDGE('',*,*,#204008,.T.); #274410=ORIENTED_EDGE('',*,*,#204005,.T.); #274411=ORIENTED_EDGE('',*,*,#204002,.T.); #274412=ORIENTED_EDGE('',*,*,#203999,.T.); #274413=ORIENTED_EDGE('',*,*,#203996,.T.); #274414=ORIENTED_EDGE('',*,*,#203993,.T.); #274415=ORIENTED_EDGE('',*,*,#203990,.T.); #274416=ORIENTED_EDGE('',*,*,#203987,.T.); #274417=ORIENTED_EDGE('',*,*,#203984,.T.); #274418=ORIENTED_EDGE('',*,*,#203981,.T.); #274419=ORIENTED_EDGE('',*,*,#203978,.T.); #274420=ORIENTED_EDGE('',*,*,#203975,.T.); #274421=ORIENTED_EDGE('',*,*,#203972,.T.); #274422=ORIENTED_EDGE('',*,*,#203969,.T.); #274423=ORIENTED_EDGE('',*,*,#203966,.T.); #274424=ORIENTED_EDGE('',*,*,#203963,.T.); #274425=ORIENTED_EDGE('',*,*,#203960,.T.); #274426=ORIENTED_EDGE('',*,*,#203957,.T.); #274427=ORIENTED_EDGE('',*,*,#203954,.T.); #274428=ORIENTED_EDGE('',*,*,#203951,.T.); #274429=ORIENTED_EDGE('',*,*,#203948,.T.); #274430=ORIENTED_EDGE('',*,*,#203945,.T.); #274431=ORIENTED_EDGE('',*,*,#203942,.T.); #274432=ORIENTED_EDGE('',*,*,#203939,.T.); #274433=ORIENTED_EDGE('',*,*,#203936,.T.); #274434=ORIENTED_EDGE('',*,*,#203933,.T.); #274435=ORIENTED_EDGE('',*,*,#203930,.T.); #274436=ORIENTED_EDGE('',*,*,#203927,.T.); #274437=ORIENTED_EDGE('',*,*,#203924,.T.); #274438=ORIENTED_EDGE('',*,*,#203921,.T.); #274439=ORIENTED_EDGE('',*,*,#203918,.T.); #274440=ORIENTED_EDGE('',*,*,#203915,.T.); #274441=ORIENTED_EDGE('',*,*,#203912,.T.); #274442=ORIENTED_EDGE('',*,*,#203909,.T.); #274443=ORIENTED_EDGE('',*,*,#203906,.T.); #274444=ORIENTED_EDGE('',*,*,#203903,.T.); #274445=ORIENTED_EDGE('',*,*,#203900,.T.); #274446=ORIENTED_EDGE('',*,*,#203897,.T.); #274447=ORIENTED_EDGE('',*,*,#203894,.T.); #274448=ORIENTED_EDGE('',*,*,#203891,.T.); #274449=ORIENTED_EDGE('',*,*,#203888,.T.); #274450=ORIENTED_EDGE('',*,*,#203885,.T.); #274451=ORIENTED_EDGE('',*,*,#203882,.T.); #274452=ORIENTED_EDGE('',*,*,#203879,.T.); #274453=ORIENTED_EDGE('',*,*,#203872,.T.); #274454=ORIENTED_EDGE('',*,*,#203875,.T.); #274455=ORIENTED_EDGE('',*,*,#203865,.T.); #274456=ORIENTED_EDGE('',*,*,#203869,.T.); #274457=ORIENTED_EDGE('',*,*,#204043,.F.); #274458=ORIENTED_EDGE('',*,*,#203877,.F.); #274459=ORIENTED_EDGE('',*,*,#203881,.F.); #274460=ORIENTED_EDGE('',*,*,#203884,.F.); #274461=ORIENTED_EDGE('',*,*,#203887,.F.); #274462=ORIENTED_EDGE('',*,*,#203890,.F.); #274463=ORIENTED_EDGE('',*,*,#203893,.F.); #274464=ORIENTED_EDGE('',*,*,#203896,.F.); #274465=ORIENTED_EDGE('',*,*,#203899,.F.); #274466=ORIENTED_EDGE('',*,*,#203902,.F.); #274467=ORIENTED_EDGE('',*,*,#203905,.F.); #274468=ORIENTED_EDGE('',*,*,#203908,.F.); #274469=ORIENTED_EDGE('',*,*,#203911,.F.); #274470=ORIENTED_EDGE('',*,*,#203914,.F.); #274471=ORIENTED_EDGE('',*,*,#203917,.F.); #274472=ORIENTED_EDGE('',*,*,#203920,.F.); #274473=ORIENTED_EDGE('',*,*,#203923,.F.); #274474=ORIENTED_EDGE('',*,*,#203926,.F.); #274475=ORIENTED_EDGE('',*,*,#203929,.F.); #274476=ORIENTED_EDGE('',*,*,#203932,.F.); #274477=ORIENTED_EDGE('',*,*,#203935,.F.); #274478=ORIENTED_EDGE('',*,*,#203938,.F.); #274479=ORIENTED_EDGE('',*,*,#203941,.F.); #274480=ORIENTED_EDGE('',*,*,#203944,.F.); #274481=ORIENTED_EDGE('',*,*,#203947,.F.); #274482=ORIENTED_EDGE('',*,*,#203950,.F.); #274483=ORIENTED_EDGE('',*,*,#203953,.F.); #274484=ORIENTED_EDGE('',*,*,#203956,.F.); #274485=ORIENTED_EDGE('',*,*,#203959,.F.); #274486=ORIENTED_EDGE('',*,*,#203962,.F.); #274487=ORIENTED_EDGE('',*,*,#203965,.F.); #274488=ORIENTED_EDGE('',*,*,#203968,.F.); #274489=ORIENTED_EDGE('',*,*,#203971,.F.); #274490=ORIENTED_EDGE('',*,*,#203974,.F.); #274491=ORIENTED_EDGE('',*,*,#203977,.F.); #274492=ORIENTED_EDGE('',*,*,#203980,.F.); #274493=ORIENTED_EDGE('',*,*,#203983,.F.); #274494=ORIENTED_EDGE('',*,*,#203986,.F.); #274495=ORIENTED_EDGE('',*,*,#203989,.F.); #274496=ORIENTED_EDGE('',*,*,#203992,.F.); #274497=ORIENTED_EDGE('',*,*,#203995,.F.); #274498=ORIENTED_EDGE('',*,*,#203998,.F.); #274499=ORIENTED_EDGE('',*,*,#204001,.F.); #274500=ORIENTED_EDGE('',*,*,#204004,.F.); #274501=ORIENTED_EDGE('',*,*,#204007,.F.); #274502=ORIENTED_EDGE('',*,*,#204010,.F.); #274503=ORIENTED_EDGE('',*,*,#204013,.F.); #274504=ORIENTED_EDGE('',*,*,#204016,.F.); #274505=ORIENTED_EDGE('',*,*,#204019,.F.); #274506=ORIENTED_EDGE('',*,*,#204022,.F.); #274507=ORIENTED_EDGE('',*,*,#204025,.F.); #274508=ORIENTED_EDGE('',*,*,#204028,.F.); #274509=ORIENTED_EDGE('',*,*,#204031,.F.); #274510=ORIENTED_EDGE('',*,*,#204034,.F.); #274511=ORIENTED_EDGE('',*,*,#204037,.F.); #274512=ORIENTED_EDGE('',*,*,#204040,.F.); #274513=ORIENTED_EDGE('',*,*,#203873,.T.); #274514=ORIENTED_EDGE('',*,*,#203870,.T.); #274515=ORIENTED_EDGE('',*,*,#203867,.T.); #274516=ORIENTED_EDGE('',*,*,#203876,.T.); #274517=ORIENTED_EDGE('',*,*,#204045,.F.); #274518=ORIENTED_EDGE('',*,*,#204046,.T.); #274519=ORIENTED_EDGE('',*,*,#204047,.F.); #274520=ORIENTED_EDGE('',*,*,#204046,.F.); #274521=ORIENTED_EDGE('',*,*,#204048,.T.); #274522=ORIENTED_EDGE('',*,*,#204049,.T.); #274523=ORIENTED_EDGE('',*,*,#204050,.F.); #274524=ORIENTED_EDGE('',*,*,#204051,.F.); #274525=ORIENTED_EDGE('',*,*,#204052,.T.); #274526=ORIENTED_EDGE('',*,*,#204051,.T.); #274527=ORIENTED_EDGE('',*,*,#204053,.F.); #274528=ORIENTED_EDGE('',*,*,#204054,.F.); #274529=ORIENTED_EDGE('',*,*,#204055,.T.); #274530=ORIENTED_EDGE('',*,*,#204054,.T.); #274531=ORIENTED_EDGE('',*,*,#204056,.F.); #274532=ORIENTED_EDGE('',*,*,#204049,.F.); #274533=ORIENTED_EDGE('',*,*,#204056,.T.); #274534=ORIENTED_EDGE('',*,*,#204053,.T.); #274535=ORIENTED_EDGE('',*,*,#204050,.T.); #274536=ORIENTED_EDGE('',*,*,#204047,.T.); #274537=ORIENTED_EDGE('',*,*,#204055,.F.); #274538=ORIENTED_EDGE('',*,*,#204048,.F.); #274539=ORIENTED_EDGE('',*,*,#204052,.F.); #274540=ORIENTED_EDGE('',*,*,#204045,.T.); #274541=ORIENTED_EDGE('',*,*,#204057,.F.); #274542=ORIENTED_EDGE('',*,*,#204058,.T.); #274543=ORIENTED_EDGE('',*,*,#204059,.F.); #274544=ORIENTED_EDGE('',*,*,#204058,.F.); #274545=ORIENTED_EDGE('',*,*,#204060,.T.); #274546=ORIENTED_EDGE('',*,*,#204061,.T.); #274547=ORIENTED_EDGE('',*,*,#204062,.F.); #274548=ORIENTED_EDGE('',*,*,#204063,.F.); #274549=ORIENTED_EDGE('',*,*,#204064,.T.); #274550=ORIENTED_EDGE('',*,*,#204063,.T.); #274551=ORIENTED_EDGE('',*,*,#204065,.F.); #274552=ORIENTED_EDGE('',*,*,#204066,.F.); #274553=ORIENTED_EDGE('',*,*,#204067,.T.); #274554=ORIENTED_EDGE('',*,*,#204066,.T.); #274555=ORIENTED_EDGE('',*,*,#204068,.F.); #274556=ORIENTED_EDGE('',*,*,#204061,.F.); #274557=ORIENTED_EDGE('',*,*,#204068,.T.); #274558=ORIENTED_EDGE('',*,*,#204065,.T.); #274559=ORIENTED_EDGE('',*,*,#204062,.T.); #274560=ORIENTED_EDGE('',*,*,#204059,.T.); #274561=ORIENTED_EDGE('',*,*,#204067,.F.); #274562=ORIENTED_EDGE('',*,*,#204060,.F.); #274563=ORIENTED_EDGE('',*,*,#204064,.F.); #274564=ORIENTED_EDGE('',*,*,#204057,.T.); #274565=ORIENTED_EDGE('',*,*,#204069,.F.); #274566=ORIENTED_EDGE('',*,*,#204070,.T.); #274567=ORIENTED_EDGE('',*,*,#204071,.F.); #274568=ORIENTED_EDGE('',*,*,#204070,.F.); #274569=ORIENTED_EDGE('',*,*,#204072,.T.); #274570=ORIENTED_EDGE('',*,*,#204073,.T.); #274571=ORIENTED_EDGE('',*,*,#204074,.F.); #274572=ORIENTED_EDGE('',*,*,#204075,.F.); #274573=ORIENTED_EDGE('',*,*,#204076,.T.); #274574=ORIENTED_EDGE('',*,*,#204075,.T.); #274575=ORIENTED_EDGE('',*,*,#204077,.F.); #274576=ORIENTED_EDGE('',*,*,#204078,.F.); #274577=ORIENTED_EDGE('',*,*,#204079,.T.); #274578=ORIENTED_EDGE('',*,*,#204078,.T.); #274579=ORIENTED_EDGE('',*,*,#204080,.F.); #274580=ORIENTED_EDGE('',*,*,#204073,.F.); #274581=ORIENTED_EDGE('',*,*,#204080,.T.); #274582=ORIENTED_EDGE('',*,*,#204077,.T.); #274583=ORIENTED_EDGE('',*,*,#204074,.T.); #274584=ORIENTED_EDGE('',*,*,#204071,.T.); #274585=ORIENTED_EDGE('',*,*,#204079,.F.); #274586=ORIENTED_EDGE('',*,*,#204072,.F.); #274587=ORIENTED_EDGE('',*,*,#204076,.F.); #274588=ORIENTED_EDGE('',*,*,#204069,.T.); #274589=ORIENTED_EDGE('',*,*,#204081,.F.); #274590=ORIENTED_EDGE('',*,*,#204082,.T.); #274591=ORIENTED_EDGE('',*,*,#204083,.F.); #274592=ORIENTED_EDGE('',*,*,#204082,.F.); #274593=ORIENTED_EDGE('',*,*,#204084,.T.); #274594=ORIENTED_EDGE('',*,*,#204085,.T.); #274595=ORIENTED_EDGE('',*,*,#204086,.F.); #274596=ORIENTED_EDGE('',*,*,#204087,.F.); #274597=ORIENTED_EDGE('',*,*,#204088,.T.); #274598=ORIENTED_EDGE('',*,*,#204087,.T.); #274599=ORIENTED_EDGE('',*,*,#204089,.F.); #274600=ORIENTED_EDGE('',*,*,#204090,.F.); #274601=ORIENTED_EDGE('',*,*,#204091,.T.); #274602=ORIENTED_EDGE('',*,*,#204090,.T.); #274603=ORIENTED_EDGE('',*,*,#204092,.F.); #274604=ORIENTED_EDGE('',*,*,#204093,.F.); #274605=ORIENTED_EDGE('',*,*,#204094,.T.); #274606=ORIENTED_EDGE('',*,*,#204093,.T.); #274607=ORIENTED_EDGE('',*,*,#204095,.F.); #274608=ORIENTED_EDGE('',*,*,#204085,.F.); #274609=ORIENTED_EDGE('',*,*,#204095,.T.); #274610=ORIENTED_EDGE('',*,*,#204092,.T.); #274611=ORIENTED_EDGE('',*,*,#204089,.T.); #274612=ORIENTED_EDGE('',*,*,#204086,.T.); #274613=ORIENTED_EDGE('',*,*,#204083,.T.); #274614=ORIENTED_EDGE('',*,*,#204094,.F.); #274615=ORIENTED_EDGE('',*,*,#204084,.F.); #274616=ORIENTED_EDGE('',*,*,#204088,.F.); #274617=ORIENTED_EDGE('',*,*,#204091,.F.); #274618=ORIENTED_EDGE('',*,*,#204081,.T.); #274619=ORIENTED_EDGE('',*,*,#204096,.F.); #274620=ORIENTED_EDGE('',*,*,#204097,.T.); #274621=ORIENTED_EDGE('',*,*,#204098,.F.); #274622=ORIENTED_EDGE('',*,*,#204097,.F.); #274623=ORIENTED_EDGE('',*,*,#204099,.T.); #274624=ORIENTED_EDGE('',*,*,#204100,.T.); #274625=ORIENTED_EDGE('',*,*,#204101,.F.); #274626=ORIENTED_EDGE('',*,*,#204102,.F.); #274627=ORIENTED_EDGE('',*,*,#204103,.T.); #274628=ORIENTED_EDGE('',*,*,#204102,.T.); #274629=ORIENTED_EDGE('',*,*,#204104,.F.); #274630=ORIENTED_EDGE('',*,*,#204105,.F.); #274631=ORIENTED_EDGE('',*,*,#204106,.T.); #274632=ORIENTED_EDGE('',*,*,#204105,.T.); #274633=ORIENTED_EDGE('',*,*,#204107,.F.); #274634=ORIENTED_EDGE('',*,*,#204100,.F.); #274635=ORIENTED_EDGE('',*,*,#204107,.T.); #274636=ORIENTED_EDGE('',*,*,#204104,.T.); #274637=ORIENTED_EDGE('',*,*,#204101,.T.); #274638=ORIENTED_EDGE('',*,*,#204098,.T.); #274639=ORIENTED_EDGE('',*,*,#204106,.F.); #274640=ORIENTED_EDGE('',*,*,#204099,.F.); #274641=ORIENTED_EDGE('',*,*,#204103,.F.); #274642=ORIENTED_EDGE('',*,*,#204096,.T.); #274643=ORIENTED_EDGE('',*,*,#204108,.T.); #274644=ORIENTED_EDGE('',*,*,#204109,.T.); #274645=ORIENTED_EDGE('',*,*,#204110,.F.); #274646=ORIENTED_EDGE('',*,*,#204111,.F.); #274647=ORIENTED_EDGE('',*,*,#204112,.T.); #274648=ORIENTED_EDGE('',*,*,#204111,.T.); #274649=ORIENTED_EDGE('',*,*,#204113,.F.); #274650=ORIENTED_EDGE('',*,*,#204114,.F.); #274651=ORIENTED_EDGE('',*,*,#204115,.T.); #274652=ORIENTED_EDGE('',*,*,#204114,.T.); #274653=ORIENTED_EDGE('',*,*,#204116,.F.); #274654=ORIENTED_EDGE('',*,*,#204117,.F.); #274655=ORIENTED_EDGE('',*,*,#204118,.T.); #274656=ORIENTED_EDGE('',*,*,#204117,.T.); #274657=ORIENTED_EDGE('',*,*,#204119,.F.); #274658=ORIENTED_EDGE('',*,*,#204109,.F.); #274659=ORIENTED_EDGE('',*,*,#204119,.T.); #274660=ORIENTED_EDGE('',*,*,#204116,.T.); #274661=ORIENTED_EDGE('',*,*,#204113,.T.); #274662=ORIENTED_EDGE('',*,*,#204110,.T.); #274663=ORIENTED_EDGE('',*,*,#204118,.F.); #274664=ORIENTED_EDGE('',*,*,#204108,.F.); #274665=ORIENTED_EDGE('',*,*,#204112,.F.); #274666=ORIENTED_EDGE('',*,*,#204115,.F.); #274667=ORIENTED_EDGE('',*,*,#204120,.T.); #274668=ORIENTED_EDGE('',*,*,#204121,.T.); #274669=ORIENTED_EDGE('',*,*,#204122,.F.); #274670=ORIENTED_EDGE('',*,*,#204123,.F.); #274671=ORIENTED_EDGE('',*,*,#204124,.T.); #274672=ORIENTED_EDGE('',*,*,#204123,.T.); #274673=ORIENTED_EDGE('',*,*,#204125,.F.); #274674=ORIENTED_EDGE('',*,*,#204126,.F.); #274675=ORIENTED_EDGE('',*,*,#204127,.T.); #274676=ORIENTED_EDGE('',*,*,#204126,.T.); #274677=ORIENTED_EDGE('',*,*,#204128,.F.); #274678=ORIENTED_EDGE('',*,*,#204129,.F.); #274679=ORIENTED_EDGE('',*,*,#204130,.T.); #274680=ORIENTED_EDGE('',*,*,#204129,.T.); #274681=ORIENTED_EDGE('',*,*,#204131,.F.); #274682=ORIENTED_EDGE('',*,*,#204121,.F.); #274683=ORIENTED_EDGE('',*,*,#204131,.T.); #274684=ORIENTED_EDGE('',*,*,#204128,.T.); #274685=ORIENTED_EDGE('',*,*,#204125,.T.); #274686=ORIENTED_EDGE('',*,*,#204122,.T.); #274687=ORIENTED_EDGE('',*,*,#204130,.F.); #274688=ORIENTED_EDGE('',*,*,#204120,.F.); #274689=ORIENTED_EDGE('',*,*,#204124,.F.); #274690=ORIENTED_EDGE('',*,*,#204127,.F.); #274691=ORIENTED_EDGE('',*,*,#204132,.T.); #274692=ORIENTED_EDGE('',*,*,#204133,.T.); #274693=ORIENTED_EDGE('',*,*,#204134,.F.); #274694=ORIENTED_EDGE('',*,*,#204135,.F.); #274695=ORIENTED_EDGE('',*,*,#204136,.T.); #274696=ORIENTED_EDGE('',*,*,#204135,.T.); #274697=ORIENTED_EDGE('',*,*,#204137,.F.); #274698=ORIENTED_EDGE('',*,*,#204138,.F.); #274699=ORIENTED_EDGE('',*,*,#204139,.T.); #274700=ORIENTED_EDGE('',*,*,#204138,.T.); #274701=ORIENTED_EDGE('',*,*,#204140,.F.); #274702=ORIENTED_EDGE('',*,*,#204141,.F.); #274703=ORIENTED_EDGE('',*,*,#204142,.T.); #274704=ORIENTED_EDGE('',*,*,#204141,.T.); #274705=ORIENTED_EDGE('',*,*,#204143,.F.); #274706=ORIENTED_EDGE('',*,*,#204133,.F.); #274707=ORIENTED_EDGE('',*,*,#204143,.T.); #274708=ORIENTED_EDGE('',*,*,#204140,.T.); #274709=ORIENTED_EDGE('',*,*,#204137,.T.); #274710=ORIENTED_EDGE('',*,*,#204134,.T.); #274711=ORIENTED_EDGE('',*,*,#204142,.F.); #274712=ORIENTED_EDGE('',*,*,#204132,.F.); #274713=ORIENTED_EDGE('',*,*,#204136,.F.); #274714=ORIENTED_EDGE('',*,*,#204139,.F.); #274715=ORIENTED_EDGE('',*,*,#204144,.T.); #274716=ORIENTED_EDGE('',*,*,#204145,.T.); #274717=ORIENTED_EDGE('',*,*,#204146,.F.); #274718=ORIENTED_EDGE('',*,*,#204147,.F.); #274719=ORIENTED_EDGE('',*,*,#204148,.T.); #274720=ORIENTED_EDGE('',*,*,#204147,.T.); #274721=ORIENTED_EDGE('',*,*,#204149,.F.); #274722=ORIENTED_EDGE('',*,*,#204150,.F.); #274723=ORIENTED_EDGE('',*,*,#204151,.T.); #274724=ORIENTED_EDGE('',*,*,#204150,.T.); #274725=ORIENTED_EDGE('',*,*,#204152,.F.); #274726=ORIENTED_EDGE('',*,*,#204153,.F.); #274727=ORIENTED_EDGE('',*,*,#204154,.T.); #274728=ORIENTED_EDGE('',*,*,#204153,.T.); #274729=ORIENTED_EDGE('',*,*,#204155,.F.); #274730=ORIENTED_EDGE('',*,*,#204145,.F.); #274731=ORIENTED_EDGE('',*,*,#204155,.T.); #274732=ORIENTED_EDGE('',*,*,#204152,.T.); #274733=ORIENTED_EDGE('',*,*,#204149,.T.); #274734=ORIENTED_EDGE('',*,*,#204146,.T.); #274735=ORIENTED_EDGE('',*,*,#204154,.F.); #274736=ORIENTED_EDGE('',*,*,#204144,.F.); #274737=ORIENTED_EDGE('',*,*,#204148,.F.); #274738=ORIENTED_EDGE('',*,*,#204151,.F.); #274739=ORIENTED_EDGE('',*,*,#204156,.T.); #274740=ORIENTED_EDGE('',*,*,#204157,.T.); #274741=ORIENTED_EDGE('',*,*,#204158,.F.); #274742=ORIENTED_EDGE('',*,*,#204159,.F.); #274743=ORIENTED_EDGE('',*,*,#204160,.T.); #274744=ORIENTED_EDGE('',*,*,#204159,.T.); #274745=ORIENTED_EDGE('',*,*,#204161,.F.); #274746=ORIENTED_EDGE('',*,*,#204162,.F.); #274747=ORIENTED_EDGE('',*,*,#204163,.T.); #274748=ORIENTED_EDGE('',*,*,#204162,.T.); #274749=ORIENTED_EDGE('',*,*,#204164,.F.); #274750=ORIENTED_EDGE('',*,*,#204165,.F.); #274751=ORIENTED_EDGE('',*,*,#204166,.T.); #274752=ORIENTED_EDGE('',*,*,#204165,.T.); #274753=ORIENTED_EDGE('',*,*,#204167,.F.); #274754=ORIENTED_EDGE('',*,*,#204157,.F.); #274755=ORIENTED_EDGE('',*,*,#204167,.T.); #274756=ORIENTED_EDGE('',*,*,#204164,.T.); #274757=ORIENTED_EDGE('',*,*,#204161,.T.); #274758=ORIENTED_EDGE('',*,*,#204158,.T.); #274759=ORIENTED_EDGE('',*,*,#204166,.F.); #274760=ORIENTED_EDGE('',*,*,#204156,.F.); #274761=ORIENTED_EDGE('',*,*,#204160,.F.); #274762=ORIENTED_EDGE('',*,*,#204163,.F.); #274763=ORIENTED_EDGE('',*,*,#204168,.T.); #274764=ORIENTED_EDGE('',*,*,#204169,.T.); #274765=ORIENTED_EDGE('',*,*,#204170,.F.); #274766=ORIENTED_EDGE('',*,*,#204171,.F.); #274767=ORIENTED_EDGE('',*,*,#204172,.T.); #274768=ORIENTED_EDGE('',*,*,#204171,.T.); #274769=ORIENTED_EDGE('',*,*,#204173,.F.); #274770=ORIENTED_EDGE('',*,*,#204174,.F.); #274771=ORIENTED_EDGE('',*,*,#204175,.T.); #274772=ORIENTED_EDGE('',*,*,#204174,.T.); #274773=ORIENTED_EDGE('',*,*,#204176,.F.); #274774=ORIENTED_EDGE('',*,*,#204177,.F.); #274775=ORIENTED_EDGE('',*,*,#204178,.T.); #274776=ORIENTED_EDGE('',*,*,#204177,.T.); #274777=ORIENTED_EDGE('',*,*,#204179,.F.); #274778=ORIENTED_EDGE('',*,*,#204169,.F.); #274779=ORIENTED_EDGE('',*,*,#204179,.T.); #274780=ORIENTED_EDGE('',*,*,#204176,.T.); #274781=ORIENTED_EDGE('',*,*,#204173,.T.); #274782=ORIENTED_EDGE('',*,*,#204170,.T.); #274783=ORIENTED_EDGE('',*,*,#204178,.F.); #274784=ORIENTED_EDGE('',*,*,#204168,.F.); #274785=ORIENTED_EDGE('',*,*,#204172,.F.); #274786=ORIENTED_EDGE('',*,*,#204175,.F.); #274787=ORIENTED_EDGE('',*,*,#204180,.T.); #274788=ORIENTED_EDGE('',*,*,#204181,.T.); #274789=ORIENTED_EDGE('',*,*,#204182,.F.); #274790=ORIENTED_EDGE('',*,*,#204183,.F.); #274791=ORIENTED_EDGE('',*,*,#204184,.T.); #274792=ORIENTED_EDGE('',*,*,#204183,.T.); #274793=ORIENTED_EDGE('',*,*,#204185,.F.); #274794=ORIENTED_EDGE('',*,*,#204186,.F.); #274795=ORIENTED_EDGE('',*,*,#204187,.T.); #274796=ORIENTED_EDGE('',*,*,#204186,.T.); #274797=ORIENTED_EDGE('',*,*,#204188,.F.); #274798=ORIENTED_EDGE('',*,*,#204189,.F.); #274799=ORIENTED_EDGE('',*,*,#204190,.T.); #274800=ORIENTED_EDGE('',*,*,#204189,.T.); #274801=ORIENTED_EDGE('',*,*,#204191,.F.); #274802=ORIENTED_EDGE('',*,*,#204181,.F.); #274803=ORIENTED_EDGE('',*,*,#204191,.T.); #274804=ORIENTED_EDGE('',*,*,#204188,.T.); #274805=ORIENTED_EDGE('',*,*,#204185,.T.); #274806=ORIENTED_EDGE('',*,*,#204182,.T.); #274807=ORIENTED_EDGE('',*,*,#204190,.F.); #274808=ORIENTED_EDGE('',*,*,#204180,.F.); #274809=ORIENTED_EDGE('',*,*,#204184,.F.); #274810=ORIENTED_EDGE('',*,*,#204187,.F.); #274811=ORIENTED_EDGE('',*,*,#204192,.T.); #274812=ORIENTED_EDGE('',*,*,#204193,.T.); #274813=ORIENTED_EDGE('',*,*,#204194,.F.); #274814=ORIENTED_EDGE('',*,*,#204195,.F.); #274815=ORIENTED_EDGE('',*,*,#204196,.T.); #274816=ORIENTED_EDGE('',*,*,#204195,.T.); #274817=ORIENTED_EDGE('',*,*,#204197,.F.); #274818=ORIENTED_EDGE('',*,*,#204198,.F.); #274819=ORIENTED_EDGE('',*,*,#204199,.T.); #274820=ORIENTED_EDGE('',*,*,#204198,.T.); #274821=ORIENTED_EDGE('',*,*,#204200,.F.); #274822=ORIENTED_EDGE('',*,*,#204201,.F.); #274823=ORIENTED_EDGE('',*,*,#204202,.T.); #274824=ORIENTED_EDGE('',*,*,#204201,.T.); #274825=ORIENTED_EDGE('',*,*,#204203,.F.); #274826=ORIENTED_EDGE('',*,*,#204193,.F.); #274827=ORIENTED_EDGE('',*,*,#204203,.T.); #274828=ORIENTED_EDGE('',*,*,#204200,.T.); #274829=ORIENTED_EDGE('',*,*,#204197,.T.); #274830=ORIENTED_EDGE('',*,*,#204194,.T.); #274831=ORIENTED_EDGE('',*,*,#204202,.F.); #274832=ORIENTED_EDGE('',*,*,#204192,.F.); #274833=ORIENTED_EDGE('',*,*,#204196,.F.); #274834=ORIENTED_EDGE('',*,*,#204199,.F.); #274835=ORIENTED_EDGE('',*,*,#204204,.T.); #274836=ORIENTED_EDGE('',*,*,#204205,.T.); #274837=ORIENTED_EDGE('',*,*,#204206,.F.); #274838=ORIENTED_EDGE('',*,*,#204207,.F.); #274839=ORIENTED_EDGE('',*,*,#204208,.T.); #274840=ORIENTED_EDGE('',*,*,#204207,.T.); #274841=ORIENTED_EDGE('',*,*,#204209,.F.); #274842=ORIENTED_EDGE('',*,*,#204210,.F.); #274843=ORIENTED_EDGE('',*,*,#204211,.T.); #274844=ORIENTED_EDGE('',*,*,#204210,.T.); #274845=ORIENTED_EDGE('',*,*,#204212,.F.); #274846=ORIENTED_EDGE('',*,*,#204213,.F.); #274847=ORIENTED_EDGE('',*,*,#204214,.T.); #274848=ORIENTED_EDGE('',*,*,#204213,.T.); #274849=ORIENTED_EDGE('',*,*,#204215,.F.); #274850=ORIENTED_EDGE('',*,*,#204205,.F.); #274851=ORIENTED_EDGE('',*,*,#204215,.T.); #274852=ORIENTED_EDGE('',*,*,#204212,.T.); #274853=ORIENTED_EDGE('',*,*,#204209,.T.); #274854=ORIENTED_EDGE('',*,*,#204206,.T.); #274855=ORIENTED_EDGE('',*,*,#204214,.F.); #274856=ORIENTED_EDGE('',*,*,#204204,.F.); #274857=ORIENTED_EDGE('',*,*,#204208,.F.); #274858=ORIENTED_EDGE('',*,*,#204211,.F.); #274859=ORIENTED_EDGE('',*,*,#204216,.T.); #274860=ORIENTED_EDGE('',*,*,#204217,.T.); #274861=ORIENTED_EDGE('',*,*,#204218,.F.); #274862=ORIENTED_EDGE('',*,*,#204219,.F.); #274863=ORIENTED_EDGE('',*,*,#204220,.T.); #274864=ORIENTED_EDGE('',*,*,#204219,.T.); #274865=ORIENTED_EDGE('',*,*,#204221,.F.); #274866=ORIENTED_EDGE('',*,*,#204222,.F.); #274867=ORIENTED_EDGE('',*,*,#204223,.T.); #274868=ORIENTED_EDGE('',*,*,#204222,.T.); #274869=ORIENTED_EDGE('',*,*,#204224,.F.); #274870=ORIENTED_EDGE('',*,*,#204225,.F.); #274871=ORIENTED_EDGE('',*,*,#204226,.T.); #274872=ORIENTED_EDGE('',*,*,#204225,.T.); #274873=ORIENTED_EDGE('',*,*,#204227,.F.); #274874=ORIENTED_EDGE('',*,*,#204217,.F.); #274875=ORIENTED_EDGE('',*,*,#204227,.T.); #274876=ORIENTED_EDGE('',*,*,#204224,.T.); #274877=ORIENTED_EDGE('',*,*,#204221,.T.); #274878=ORIENTED_EDGE('',*,*,#204218,.T.); #274879=ORIENTED_EDGE('',*,*,#204226,.F.); #274880=ORIENTED_EDGE('',*,*,#204216,.F.); #274881=ORIENTED_EDGE('',*,*,#204220,.F.); #274882=ORIENTED_EDGE('',*,*,#204223,.F.); #274883=ORIENTED_EDGE('',*,*,#204228,.T.); #274884=ORIENTED_EDGE('',*,*,#204229,.T.); #274885=ORIENTED_EDGE('',*,*,#204230,.F.); #274886=ORIENTED_EDGE('',*,*,#204231,.F.); #274887=ORIENTED_EDGE('',*,*,#204232,.T.); #274888=ORIENTED_EDGE('',*,*,#204231,.T.); #274889=ORIENTED_EDGE('',*,*,#204233,.F.); #274890=ORIENTED_EDGE('',*,*,#204234,.F.); #274891=ORIENTED_EDGE('',*,*,#204235,.T.); #274892=ORIENTED_EDGE('',*,*,#204234,.T.); #274893=ORIENTED_EDGE('',*,*,#204236,.F.); #274894=ORIENTED_EDGE('',*,*,#204237,.F.); #274895=ORIENTED_EDGE('',*,*,#204238,.T.); #274896=ORIENTED_EDGE('',*,*,#204237,.T.); #274897=ORIENTED_EDGE('',*,*,#204239,.F.); #274898=ORIENTED_EDGE('',*,*,#204229,.F.); #274899=ORIENTED_EDGE('',*,*,#204239,.T.); #274900=ORIENTED_EDGE('',*,*,#204236,.T.); #274901=ORIENTED_EDGE('',*,*,#204233,.T.); #274902=ORIENTED_EDGE('',*,*,#204230,.T.); #274903=ORIENTED_EDGE('',*,*,#204238,.F.); #274904=ORIENTED_EDGE('',*,*,#204228,.F.); #274905=ORIENTED_EDGE('',*,*,#204232,.F.); #274906=ORIENTED_EDGE('',*,*,#204235,.F.); #274907=ORIENTED_EDGE('',*,*,#204240,.T.); #274908=ORIENTED_EDGE('',*,*,#204241,.T.); #274909=ORIENTED_EDGE('',*,*,#204242,.F.); #274910=ORIENTED_EDGE('',*,*,#204243,.F.); #274911=ORIENTED_EDGE('',*,*,#204244,.T.); #274912=ORIENTED_EDGE('',*,*,#204243,.T.); #274913=ORIENTED_EDGE('',*,*,#204245,.F.); #274914=ORIENTED_EDGE('',*,*,#204246,.F.); #274915=ORIENTED_EDGE('',*,*,#204247,.T.); #274916=ORIENTED_EDGE('',*,*,#204246,.T.); #274917=ORIENTED_EDGE('',*,*,#204248,.F.); #274918=ORIENTED_EDGE('',*,*,#204249,.F.); #274919=ORIENTED_EDGE('',*,*,#204250,.T.); #274920=ORIENTED_EDGE('',*,*,#204249,.T.); #274921=ORIENTED_EDGE('',*,*,#204251,.F.); #274922=ORIENTED_EDGE('',*,*,#204241,.F.); #274923=ORIENTED_EDGE('',*,*,#204251,.T.); #274924=ORIENTED_EDGE('',*,*,#204248,.T.); #274925=ORIENTED_EDGE('',*,*,#204245,.T.); #274926=ORIENTED_EDGE('',*,*,#204242,.T.); #274927=ORIENTED_EDGE('',*,*,#204250,.F.); #274928=ORIENTED_EDGE('',*,*,#204240,.F.); #274929=ORIENTED_EDGE('',*,*,#204244,.F.); #274930=ORIENTED_EDGE('',*,*,#204247,.F.); #274931=ORIENTED_EDGE('',*,*,#204252,.T.); #274932=ORIENTED_EDGE('',*,*,#204253,.T.); #274933=ORIENTED_EDGE('',*,*,#204254,.F.); #274934=ORIENTED_EDGE('',*,*,#204255,.F.); #274935=ORIENTED_EDGE('',*,*,#204256,.T.); #274936=ORIENTED_EDGE('',*,*,#204255,.T.); #274937=ORIENTED_EDGE('',*,*,#204257,.F.); #274938=ORIENTED_EDGE('',*,*,#204258,.F.); #274939=ORIENTED_EDGE('',*,*,#204259,.T.); #274940=ORIENTED_EDGE('',*,*,#204258,.T.); #274941=ORIENTED_EDGE('',*,*,#204260,.F.); #274942=ORIENTED_EDGE('',*,*,#204261,.F.); #274943=ORIENTED_EDGE('',*,*,#204262,.T.); #274944=ORIENTED_EDGE('',*,*,#204261,.T.); #274945=ORIENTED_EDGE('',*,*,#204263,.F.); #274946=ORIENTED_EDGE('',*,*,#204253,.F.); #274947=ORIENTED_EDGE('',*,*,#204263,.T.); #274948=ORIENTED_EDGE('',*,*,#204260,.T.); #274949=ORIENTED_EDGE('',*,*,#204257,.T.); #274950=ORIENTED_EDGE('',*,*,#204254,.T.); #274951=ORIENTED_EDGE('',*,*,#204262,.F.); #274952=ORIENTED_EDGE('',*,*,#204252,.F.); #274953=ORIENTED_EDGE('',*,*,#204256,.F.); #274954=ORIENTED_EDGE('',*,*,#204259,.F.); #274955=ORIENTED_EDGE('',*,*,#204264,.F.); #274956=ORIENTED_EDGE('',*,*,#204265,.T.); #274957=ORIENTED_EDGE('',*,*,#204266,.F.); #274958=ORIENTED_EDGE('',*,*,#204265,.F.); #274959=ORIENTED_EDGE('',*,*,#204267,.F.); #274960=ORIENTED_EDGE('',*,*,#204268,.T.); #274961=ORIENTED_EDGE('',*,*,#204269,.F.); #274962=ORIENTED_EDGE('',*,*,#204268,.F.); #274963=ORIENTED_EDGE('',*,*,#204270,.F.); #274964=ORIENTED_EDGE('',*,*,#204271,.T.); #274965=ORIENTED_EDGE('',*,*,#204272,.F.); #274966=ORIENTED_EDGE('',*,*,#204271,.F.); #274967=ORIENTED_EDGE('',*,*,#204273,.F.); #274968=ORIENTED_EDGE('',*,*,#204274,.T.); #274969=ORIENTED_EDGE('',*,*,#204275,.F.); #274970=ORIENTED_EDGE('',*,*,#204274,.F.); #274971=ORIENTED_EDGE('',*,*,#204276,.F.); #274972=ORIENTED_EDGE('',*,*,#204277,.T.); #274973=ORIENTED_EDGE('',*,*,#204278,.F.); #274974=ORIENTED_EDGE('',*,*,#204277,.F.); #274975=ORIENTED_EDGE('',*,*,#204279,.F.); #274976=ORIENTED_EDGE('',*,*,#204280,.T.); #274977=ORIENTED_EDGE('',*,*,#204281,.F.); #274978=ORIENTED_EDGE('',*,*,#204280,.F.); #274979=ORIENTED_EDGE('',*,*,#204282,.T.); #274980=ORIENTED_EDGE('',*,*,#204283,.T.); #274981=ORIENTED_EDGE('',*,*,#204284,.F.); #274982=ORIENTED_EDGE('',*,*,#204285,.F.); #274983=ORIENTED_EDGE('',*,*,#204286,.T.); #274984=ORIENTED_EDGE('',*,*,#204285,.T.); #274985=ORIENTED_EDGE('',*,*,#204287,.F.); #274986=ORIENTED_EDGE('',*,*,#204288,.F.); #274987=ORIENTED_EDGE('',*,*,#204289,.T.); #274988=ORIENTED_EDGE('',*,*,#204288,.T.); #274989=ORIENTED_EDGE('',*,*,#204290,.F.); #274990=ORIENTED_EDGE('',*,*,#204291,.F.); #274991=ORIENTED_EDGE('',*,*,#204292,.T.); #274992=ORIENTED_EDGE('',*,*,#204291,.T.); #274993=ORIENTED_EDGE('',*,*,#204293,.F.); #274994=ORIENTED_EDGE('',*,*,#204283,.F.); #274995=ORIENTED_EDGE('',*,*,#204293,.T.); #274996=ORIENTED_EDGE('',*,*,#204290,.T.); #274997=ORIENTED_EDGE('',*,*,#204287,.T.); #274998=ORIENTED_EDGE('',*,*,#204284,.T.); #274999=ORIENTED_EDGE('',*,*,#204266,.T.); #275000=ORIENTED_EDGE('',*,*,#204269,.T.); #275001=ORIENTED_EDGE('',*,*,#204272,.T.); #275002=ORIENTED_EDGE('',*,*,#204275,.T.); #275003=ORIENTED_EDGE('',*,*,#204278,.T.); #275004=ORIENTED_EDGE('',*,*,#204281,.T.); #275005=ORIENTED_EDGE('',*,*,#204292,.F.); #275006=ORIENTED_EDGE('',*,*,#204282,.F.); #275007=ORIENTED_EDGE('',*,*,#204286,.F.); #275008=ORIENTED_EDGE('',*,*,#204289,.F.); #275009=ORIENTED_EDGE('',*,*,#204264,.T.); #275010=ORIENTED_EDGE('',*,*,#204267,.T.); #275011=ORIENTED_EDGE('',*,*,#204270,.T.); #275012=ORIENTED_EDGE('',*,*,#204273,.T.); #275013=ORIENTED_EDGE('',*,*,#204276,.T.); #275014=ORIENTED_EDGE('',*,*,#204279,.T.); #275015=ORIENTED_EDGE('',*,*,#204294,.T.); #275016=ORIENTED_EDGE('',*,*,#204295,.T.); #275017=ORIENTED_EDGE('',*,*,#204296,.F.); #275018=ORIENTED_EDGE('',*,*,#204297,.F.); #275019=ORIENTED_EDGE('',*,*,#204298,.T.); #275020=ORIENTED_EDGE('',*,*,#204297,.T.); #275021=ORIENTED_EDGE('',*,*,#204299,.F.); #275022=ORIENTED_EDGE('',*,*,#204300,.F.); #275023=ORIENTED_EDGE('',*,*,#204301,.T.); #275024=ORIENTED_EDGE('',*,*,#204300,.T.); #275025=ORIENTED_EDGE('',*,*,#204302,.F.); #275026=ORIENTED_EDGE('',*,*,#204303,.F.); #275027=ORIENTED_EDGE('',*,*,#204304,.T.); #275028=ORIENTED_EDGE('',*,*,#204303,.T.); #275029=ORIENTED_EDGE('',*,*,#204305,.F.); #275030=ORIENTED_EDGE('',*,*,#204295,.F.); #275031=ORIENTED_EDGE('',*,*,#204305,.T.); #275032=ORIENTED_EDGE('',*,*,#204302,.T.); #275033=ORIENTED_EDGE('',*,*,#204299,.T.); #275034=ORIENTED_EDGE('',*,*,#204296,.T.); #275035=ORIENTED_EDGE('',*,*,#204304,.F.); #275036=ORIENTED_EDGE('',*,*,#204294,.F.); #275037=ORIENTED_EDGE('',*,*,#204298,.F.); #275038=ORIENTED_EDGE('',*,*,#204301,.F.); #275039=ORIENTED_EDGE('',*,*,#204306,.T.); #275040=ORIENTED_EDGE('',*,*,#204307,.T.); #275041=ORIENTED_EDGE('',*,*,#204308,.F.); #275042=ORIENTED_EDGE('',*,*,#204309,.F.); #275043=ORIENTED_EDGE('',*,*,#204310,.T.); #275044=ORIENTED_EDGE('',*,*,#204309,.T.); #275045=ORIENTED_EDGE('',*,*,#204311,.F.); #275046=ORIENTED_EDGE('',*,*,#204312,.F.); #275047=ORIENTED_EDGE('',*,*,#204313,.T.); #275048=ORIENTED_EDGE('',*,*,#204312,.T.); #275049=ORIENTED_EDGE('',*,*,#204314,.F.); #275050=ORIENTED_EDGE('',*,*,#204315,.F.); #275051=ORIENTED_EDGE('',*,*,#204316,.T.); #275052=ORIENTED_EDGE('',*,*,#204315,.T.); #275053=ORIENTED_EDGE('',*,*,#204317,.F.); #275054=ORIENTED_EDGE('',*,*,#204307,.F.); #275055=ORIENTED_EDGE('',*,*,#204317,.T.); #275056=ORIENTED_EDGE('',*,*,#204314,.T.); #275057=ORIENTED_EDGE('',*,*,#204311,.T.); #275058=ORIENTED_EDGE('',*,*,#204308,.T.); #275059=ORIENTED_EDGE('',*,*,#204316,.F.); #275060=ORIENTED_EDGE('',*,*,#204306,.F.); #275061=ORIENTED_EDGE('',*,*,#204310,.F.); #275062=ORIENTED_EDGE('',*,*,#204313,.F.); #275063=ORIENTED_EDGE('',*,*,#204318,.T.); #275064=ORIENTED_EDGE('',*,*,#204319,.T.); #275065=ORIENTED_EDGE('',*,*,#204320,.F.); #275066=ORIENTED_EDGE('',*,*,#204321,.F.); #275067=ORIENTED_EDGE('',*,*,#204322,.T.); #275068=ORIENTED_EDGE('',*,*,#204321,.T.); #275069=ORIENTED_EDGE('',*,*,#204323,.F.); #275070=ORIENTED_EDGE('',*,*,#204324,.F.); #275071=ORIENTED_EDGE('',*,*,#204325,.T.); #275072=ORIENTED_EDGE('',*,*,#204324,.T.); #275073=ORIENTED_EDGE('',*,*,#204326,.F.); #275074=ORIENTED_EDGE('',*,*,#204327,.F.); #275075=ORIENTED_EDGE('',*,*,#204328,.T.); #275076=ORIENTED_EDGE('',*,*,#204327,.T.); #275077=ORIENTED_EDGE('',*,*,#204329,.F.); #275078=ORIENTED_EDGE('',*,*,#204319,.F.); #275079=ORIENTED_EDGE('',*,*,#204329,.T.); #275080=ORIENTED_EDGE('',*,*,#204326,.T.); #275081=ORIENTED_EDGE('',*,*,#204323,.T.); #275082=ORIENTED_EDGE('',*,*,#204320,.T.); #275083=ORIENTED_EDGE('',*,*,#204328,.F.); #275084=ORIENTED_EDGE('',*,*,#204318,.F.); #275085=ORIENTED_EDGE('',*,*,#204322,.F.); #275086=ORIENTED_EDGE('',*,*,#204325,.F.); #275087=ORIENTED_EDGE('',*,*,#204330,.T.); #275088=ORIENTED_EDGE('',*,*,#204331,.T.); #275089=ORIENTED_EDGE('',*,*,#204332,.F.); #275090=ORIENTED_EDGE('',*,*,#204333,.F.); #275091=ORIENTED_EDGE('',*,*,#204334,.T.); #275092=ORIENTED_EDGE('',*,*,#204333,.T.); #275093=ORIENTED_EDGE('',*,*,#204335,.F.); #275094=ORIENTED_EDGE('',*,*,#204336,.F.); #275095=ORIENTED_EDGE('',*,*,#204337,.T.); #275096=ORIENTED_EDGE('',*,*,#204336,.T.); #275097=ORIENTED_EDGE('',*,*,#204338,.F.); #275098=ORIENTED_EDGE('',*,*,#204339,.F.); #275099=ORIENTED_EDGE('',*,*,#204340,.T.); #275100=ORIENTED_EDGE('',*,*,#204339,.T.); #275101=ORIENTED_EDGE('',*,*,#204341,.F.); #275102=ORIENTED_EDGE('',*,*,#204331,.F.); #275103=ORIENTED_EDGE('',*,*,#204341,.T.); #275104=ORIENTED_EDGE('',*,*,#204338,.T.); #275105=ORIENTED_EDGE('',*,*,#204335,.T.); #275106=ORIENTED_EDGE('',*,*,#204332,.T.); #275107=ORIENTED_EDGE('',*,*,#204340,.F.); #275108=ORIENTED_EDGE('',*,*,#204330,.F.); #275109=ORIENTED_EDGE('',*,*,#204334,.F.); #275110=ORIENTED_EDGE('',*,*,#204337,.F.); #275111=ORIENTED_EDGE('',*,*,#204342,.T.); #275112=ORIENTED_EDGE('',*,*,#204343,.T.); #275113=ORIENTED_EDGE('',*,*,#204344,.F.); #275114=ORIENTED_EDGE('',*,*,#204345,.F.); #275115=ORIENTED_EDGE('',*,*,#204346,.T.); #275116=ORIENTED_EDGE('',*,*,#204345,.T.); #275117=ORIENTED_EDGE('',*,*,#204347,.F.); #275118=ORIENTED_EDGE('',*,*,#204348,.F.); #275119=ORIENTED_EDGE('',*,*,#204349,.T.); #275120=ORIENTED_EDGE('',*,*,#204348,.T.); #275121=ORIENTED_EDGE('',*,*,#204350,.F.); #275122=ORIENTED_EDGE('',*,*,#204351,.F.); #275123=ORIENTED_EDGE('',*,*,#204352,.T.); #275124=ORIENTED_EDGE('',*,*,#204351,.T.); #275125=ORIENTED_EDGE('',*,*,#204353,.F.); #275126=ORIENTED_EDGE('',*,*,#204343,.F.); #275127=ORIENTED_EDGE('',*,*,#204353,.T.); #275128=ORIENTED_EDGE('',*,*,#204350,.T.); #275129=ORIENTED_EDGE('',*,*,#204347,.T.); #275130=ORIENTED_EDGE('',*,*,#204344,.T.); #275131=ORIENTED_EDGE('',*,*,#204352,.F.); #275132=ORIENTED_EDGE('',*,*,#204342,.F.); #275133=ORIENTED_EDGE('',*,*,#204346,.F.); #275134=ORIENTED_EDGE('',*,*,#204349,.F.); #275135=ORIENTED_EDGE('',*,*,#204354,.T.); #275136=ORIENTED_EDGE('',*,*,#204355,.T.); #275137=ORIENTED_EDGE('',*,*,#204356,.F.); #275138=ORIENTED_EDGE('',*,*,#204357,.F.); #275139=ORIENTED_EDGE('',*,*,#204358,.T.); #275140=ORIENTED_EDGE('',*,*,#204357,.T.); #275141=ORIENTED_EDGE('',*,*,#204359,.F.); #275142=ORIENTED_EDGE('',*,*,#204360,.F.); #275143=ORIENTED_EDGE('',*,*,#204361,.T.); #275144=ORIENTED_EDGE('',*,*,#204360,.T.); #275145=ORIENTED_EDGE('',*,*,#204362,.F.); #275146=ORIENTED_EDGE('',*,*,#204363,.F.); #275147=ORIENTED_EDGE('',*,*,#204364,.T.); #275148=ORIENTED_EDGE('',*,*,#204363,.T.); #275149=ORIENTED_EDGE('',*,*,#204365,.F.); #275150=ORIENTED_EDGE('',*,*,#204355,.F.); #275151=ORIENTED_EDGE('',*,*,#204365,.T.); #275152=ORIENTED_EDGE('',*,*,#204362,.T.); #275153=ORIENTED_EDGE('',*,*,#204359,.T.); #275154=ORIENTED_EDGE('',*,*,#204356,.T.); #275155=ORIENTED_EDGE('',*,*,#204364,.F.); #275156=ORIENTED_EDGE('',*,*,#204354,.F.); #275157=ORIENTED_EDGE('',*,*,#204358,.F.); #275158=ORIENTED_EDGE('',*,*,#204361,.F.); #275159=ORIENTED_EDGE('',*,*,#204366,.T.); #275160=ORIENTED_EDGE('',*,*,#204367,.T.); #275161=ORIENTED_EDGE('',*,*,#204368,.F.); #275162=ORIENTED_EDGE('',*,*,#204369,.F.); #275163=ORIENTED_EDGE('',*,*,#204370,.T.); #275164=ORIENTED_EDGE('',*,*,#204369,.T.); #275165=ORIENTED_EDGE('',*,*,#204371,.F.); #275166=ORIENTED_EDGE('',*,*,#204372,.F.); #275167=ORIENTED_EDGE('',*,*,#204373,.T.); #275168=ORIENTED_EDGE('',*,*,#204372,.T.); #275169=ORIENTED_EDGE('',*,*,#204374,.F.); #275170=ORIENTED_EDGE('',*,*,#204375,.F.); #275171=ORIENTED_EDGE('',*,*,#204376,.T.); #275172=ORIENTED_EDGE('',*,*,#204375,.T.); #275173=ORIENTED_EDGE('',*,*,#204377,.F.); #275174=ORIENTED_EDGE('',*,*,#204367,.F.); #275175=ORIENTED_EDGE('',*,*,#204377,.T.); #275176=ORIENTED_EDGE('',*,*,#204374,.T.); #275177=ORIENTED_EDGE('',*,*,#204371,.T.); #275178=ORIENTED_EDGE('',*,*,#204368,.T.); #275179=ORIENTED_EDGE('',*,*,#204376,.F.); #275180=ORIENTED_EDGE('',*,*,#204366,.F.); #275181=ORIENTED_EDGE('',*,*,#204370,.F.); #275182=ORIENTED_EDGE('',*,*,#204373,.F.); #275183=ORIENTED_EDGE('',*,*,#204378,.T.); #275184=ORIENTED_EDGE('',*,*,#204379,.T.); #275185=ORIENTED_EDGE('',*,*,#204380,.F.); #275186=ORIENTED_EDGE('',*,*,#204381,.F.); #275187=ORIENTED_EDGE('',*,*,#204382,.T.); #275188=ORIENTED_EDGE('',*,*,#204381,.T.); #275189=ORIENTED_EDGE('',*,*,#204383,.F.); #275190=ORIENTED_EDGE('',*,*,#204384,.F.); #275191=ORIENTED_EDGE('',*,*,#204385,.T.); #275192=ORIENTED_EDGE('',*,*,#204384,.T.); #275193=ORIENTED_EDGE('',*,*,#204386,.F.); #275194=ORIENTED_EDGE('',*,*,#204387,.F.); #275195=ORIENTED_EDGE('',*,*,#204388,.T.); #275196=ORIENTED_EDGE('',*,*,#204387,.T.); #275197=ORIENTED_EDGE('',*,*,#204389,.F.); #275198=ORIENTED_EDGE('',*,*,#204379,.F.); #275199=ORIENTED_EDGE('',*,*,#204389,.T.); #275200=ORIENTED_EDGE('',*,*,#204386,.T.); #275201=ORIENTED_EDGE('',*,*,#204383,.T.); #275202=ORIENTED_EDGE('',*,*,#204380,.T.); #275203=ORIENTED_EDGE('',*,*,#204388,.F.); #275204=ORIENTED_EDGE('',*,*,#204378,.F.); #275205=ORIENTED_EDGE('',*,*,#204382,.F.); #275206=ORIENTED_EDGE('',*,*,#204385,.F.); #275207=ORIENTED_EDGE('',*,*,#204390,.T.); #275208=ORIENTED_EDGE('',*,*,#204391,.T.); #275209=ORIENTED_EDGE('',*,*,#204392,.F.); #275210=ORIENTED_EDGE('',*,*,#204393,.F.); #275211=ORIENTED_EDGE('',*,*,#204394,.T.); #275212=ORIENTED_EDGE('',*,*,#204393,.T.); #275213=ORIENTED_EDGE('',*,*,#204395,.F.); #275214=ORIENTED_EDGE('',*,*,#204396,.F.); #275215=ORIENTED_EDGE('',*,*,#204397,.T.); #275216=ORIENTED_EDGE('',*,*,#204396,.T.); #275217=ORIENTED_EDGE('',*,*,#204398,.F.); #275218=ORIENTED_EDGE('',*,*,#204399,.F.); #275219=ORIENTED_EDGE('',*,*,#204400,.T.); #275220=ORIENTED_EDGE('',*,*,#204399,.T.); #275221=ORIENTED_EDGE('',*,*,#204401,.F.); #275222=ORIENTED_EDGE('',*,*,#204391,.F.); #275223=ORIENTED_EDGE('',*,*,#204401,.T.); #275224=ORIENTED_EDGE('',*,*,#204398,.T.); #275225=ORIENTED_EDGE('',*,*,#204395,.T.); #275226=ORIENTED_EDGE('',*,*,#204392,.T.); #275227=ORIENTED_EDGE('',*,*,#204400,.F.); #275228=ORIENTED_EDGE('',*,*,#204390,.F.); #275229=ORIENTED_EDGE('',*,*,#204394,.F.); #275230=ORIENTED_EDGE('',*,*,#204397,.F.); #275231=ORIENTED_EDGE('',*,*,#204402,.T.); #275232=ORIENTED_EDGE('',*,*,#204403,.T.); #275233=ORIENTED_EDGE('',*,*,#204404,.F.); #275234=ORIENTED_EDGE('',*,*,#204405,.F.); #275235=ORIENTED_EDGE('',*,*,#204406,.T.); #275236=ORIENTED_EDGE('',*,*,#204405,.T.); #275237=ORIENTED_EDGE('',*,*,#204407,.F.); #275238=ORIENTED_EDGE('',*,*,#204408,.F.); #275239=ORIENTED_EDGE('',*,*,#204409,.T.); #275240=ORIENTED_EDGE('',*,*,#204408,.T.); #275241=ORIENTED_EDGE('',*,*,#204410,.F.); #275242=ORIENTED_EDGE('',*,*,#204411,.F.); #275243=ORIENTED_EDGE('',*,*,#204412,.T.); #275244=ORIENTED_EDGE('',*,*,#204411,.T.); #275245=ORIENTED_EDGE('',*,*,#204413,.F.); #275246=ORIENTED_EDGE('',*,*,#204403,.F.); #275247=ORIENTED_EDGE('',*,*,#204413,.T.); #275248=ORIENTED_EDGE('',*,*,#204410,.T.); #275249=ORIENTED_EDGE('',*,*,#204407,.T.); #275250=ORIENTED_EDGE('',*,*,#204404,.T.); #275251=ORIENTED_EDGE('',*,*,#204412,.F.); #275252=ORIENTED_EDGE('',*,*,#204402,.F.); #275253=ORIENTED_EDGE('',*,*,#204406,.F.); #275254=ORIENTED_EDGE('',*,*,#204409,.F.); #275255=ORIENTED_EDGE('',*,*,#204414,.T.); #275256=ORIENTED_EDGE('',*,*,#204415,.T.); #275257=ORIENTED_EDGE('',*,*,#204416,.F.); #275258=ORIENTED_EDGE('',*,*,#204417,.F.); #275259=ORIENTED_EDGE('',*,*,#204418,.T.); #275260=ORIENTED_EDGE('',*,*,#204417,.T.); #275261=ORIENTED_EDGE('',*,*,#204419,.F.); #275262=ORIENTED_EDGE('',*,*,#204420,.F.); #275263=ORIENTED_EDGE('',*,*,#204421,.T.); #275264=ORIENTED_EDGE('',*,*,#204420,.T.); #275265=ORIENTED_EDGE('',*,*,#204422,.F.); #275266=ORIENTED_EDGE('',*,*,#204423,.F.); #275267=ORIENTED_EDGE('',*,*,#204424,.T.); #275268=ORIENTED_EDGE('',*,*,#204423,.T.); #275269=ORIENTED_EDGE('',*,*,#204425,.F.); #275270=ORIENTED_EDGE('',*,*,#204415,.F.); #275271=ORIENTED_EDGE('',*,*,#204425,.T.); #275272=ORIENTED_EDGE('',*,*,#204422,.T.); #275273=ORIENTED_EDGE('',*,*,#204419,.T.); #275274=ORIENTED_EDGE('',*,*,#204416,.T.); #275275=ORIENTED_EDGE('',*,*,#204424,.F.); #275276=ORIENTED_EDGE('',*,*,#204414,.F.); #275277=ORIENTED_EDGE('',*,*,#204418,.F.); #275278=ORIENTED_EDGE('',*,*,#204421,.F.); #275279=ORIENTED_EDGE('',*,*,#204426,.T.); #275280=ORIENTED_EDGE('',*,*,#204427,.T.); #275281=ORIENTED_EDGE('',*,*,#204428,.F.); #275282=ORIENTED_EDGE('',*,*,#204429,.F.); #275283=ORIENTED_EDGE('',*,*,#204430,.T.); #275284=ORIENTED_EDGE('',*,*,#204429,.T.); #275285=ORIENTED_EDGE('',*,*,#204431,.F.); #275286=ORIENTED_EDGE('',*,*,#204432,.F.); #275287=ORIENTED_EDGE('',*,*,#204433,.T.); #275288=ORIENTED_EDGE('',*,*,#204432,.T.); #275289=ORIENTED_EDGE('',*,*,#204434,.F.); #275290=ORIENTED_EDGE('',*,*,#204435,.F.); #275291=ORIENTED_EDGE('',*,*,#204436,.T.); #275292=ORIENTED_EDGE('',*,*,#204435,.T.); #275293=ORIENTED_EDGE('',*,*,#204437,.F.); #275294=ORIENTED_EDGE('',*,*,#204427,.F.); #275295=ORIENTED_EDGE('',*,*,#204437,.T.); #275296=ORIENTED_EDGE('',*,*,#204434,.T.); #275297=ORIENTED_EDGE('',*,*,#204431,.T.); #275298=ORIENTED_EDGE('',*,*,#204428,.T.); #275299=ORIENTED_EDGE('',*,*,#204436,.F.); #275300=ORIENTED_EDGE('',*,*,#204426,.F.); #275301=ORIENTED_EDGE('',*,*,#204430,.F.); #275302=ORIENTED_EDGE('',*,*,#204433,.F.); #275303=ORIENTED_EDGE('',*,*,#204438,.T.); #275304=ORIENTED_EDGE('',*,*,#204439,.T.); #275305=ORIENTED_EDGE('',*,*,#204440,.F.); #275306=ORIENTED_EDGE('',*,*,#204441,.F.); #275307=ORIENTED_EDGE('',*,*,#204442,.T.); #275308=ORIENTED_EDGE('',*,*,#204441,.T.); #275309=ORIENTED_EDGE('',*,*,#204443,.F.); #275310=ORIENTED_EDGE('',*,*,#204444,.F.); #275311=ORIENTED_EDGE('',*,*,#204445,.T.); #275312=ORIENTED_EDGE('',*,*,#204444,.T.); #275313=ORIENTED_EDGE('',*,*,#204446,.F.); #275314=ORIENTED_EDGE('',*,*,#204447,.F.); #275315=ORIENTED_EDGE('',*,*,#204448,.T.); #275316=ORIENTED_EDGE('',*,*,#204447,.T.); #275317=ORIENTED_EDGE('',*,*,#204449,.F.); #275318=ORIENTED_EDGE('',*,*,#204439,.F.); #275319=ORIENTED_EDGE('',*,*,#204449,.T.); #275320=ORIENTED_EDGE('',*,*,#204446,.T.); #275321=ORIENTED_EDGE('',*,*,#204443,.T.); #275322=ORIENTED_EDGE('',*,*,#204440,.T.); #275323=ORIENTED_EDGE('',*,*,#204448,.F.); #275324=ORIENTED_EDGE('',*,*,#204438,.F.); #275325=ORIENTED_EDGE('',*,*,#204442,.F.); #275326=ORIENTED_EDGE('',*,*,#204445,.F.); #275327=ORIENTED_EDGE('',*,*,#204450,.T.); #275328=ORIENTED_EDGE('',*,*,#204451,.T.); #275329=ORIENTED_EDGE('',*,*,#204452,.F.); #275330=ORIENTED_EDGE('',*,*,#204453,.F.); #275331=ORIENTED_EDGE('',*,*,#204454,.T.); #275332=ORIENTED_EDGE('',*,*,#204453,.T.); #275333=ORIENTED_EDGE('',*,*,#204455,.F.); #275334=ORIENTED_EDGE('',*,*,#204456,.F.); #275335=ORIENTED_EDGE('',*,*,#204457,.T.); #275336=ORIENTED_EDGE('',*,*,#204456,.T.); #275337=ORIENTED_EDGE('',*,*,#204458,.F.); #275338=ORIENTED_EDGE('',*,*,#204459,.F.); #275339=ORIENTED_EDGE('',*,*,#204460,.T.); #275340=ORIENTED_EDGE('',*,*,#204459,.T.); #275341=ORIENTED_EDGE('',*,*,#204461,.F.); #275342=ORIENTED_EDGE('',*,*,#204451,.F.); #275343=ORIENTED_EDGE('',*,*,#204461,.T.); #275344=ORIENTED_EDGE('',*,*,#204458,.T.); #275345=ORIENTED_EDGE('',*,*,#204455,.T.); #275346=ORIENTED_EDGE('',*,*,#204452,.T.); #275347=ORIENTED_EDGE('',*,*,#204460,.F.); #275348=ORIENTED_EDGE('',*,*,#204450,.F.); #275349=ORIENTED_EDGE('',*,*,#204454,.F.); #275350=ORIENTED_EDGE('',*,*,#204457,.F.); #275351=ORIENTED_EDGE('',*,*,#204462,.T.); #275352=ORIENTED_EDGE('',*,*,#204463,.T.); #275353=ORIENTED_EDGE('',*,*,#204464,.F.); #275354=ORIENTED_EDGE('',*,*,#204465,.F.); #275355=ORIENTED_EDGE('',*,*,#204466,.T.); #275356=ORIENTED_EDGE('',*,*,#204465,.T.); #275357=ORIENTED_EDGE('',*,*,#204467,.F.); #275358=ORIENTED_EDGE('',*,*,#204468,.F.); #275359=ORIENTED_EDGE('',*,*,#204469,.T.); #275360=ORIENTED_EDGE('',*,*,#204468,.T.); #275361=ORIENTED_EDGE('',*,*,#204470,.F.); #275362=ORIENTED_EDGE('',*,*,#204471,.F.); #275363=ORIENTED_EDGE('',*,*,#204472,.T.); #275364=ORIENTED_EDGE('',*,*,#204471,.T.); #275365=ORIENTED_EDGE('',*,*,#204473,.F.); #275366=ORIENTED_EDGE('',*,*,#204463,.F.); #275367=ORIENTED_EDGE('',*,*,#204473,.T.); #275368=ORIENTED_EDGE('',*,*,#204470,.T.); #275369=ORIENTED_EDGE('',*,*,#204467,.T.); #275370=ORIENTED_EDGE('',*,*,#204464,.T.); #275371=ORIENTED_EDGE('',*,*,#204472,.F.); #275372=ORIENTED_EDGE('',*,*,#204462,.F.); #275373=ORIENTED_EDGE('',*,*,#204466,.F.); #275374=ORIENTED_EDGE('',*,*,#204469,.F.); #275375=ORIENTED_EDGE('',*,*,#204474,.T.); #275376=ORIENTED_EDGE('',*,*,#204475,.T.); #275377=ORIENTED_EDGE('',*,*,#204476,.F.); #275378=ORIENTED_EDGE('',*,*,#204477,.F.); #275379=ORIENTED_EDGE('',*,*,#204478,.T.); #275380=ORIENTED_EDGE('',*,*,#204477,.T.); #275381=ORIENTED_EDGE('',*,*,#204479,.F.); #275382=ORIENTED_EDGE('',*,*,#204480,.F.); #275383=ORIENTED_EDGE('',*,*,#204481,.T.); #275384=ORIENTED_EDGE('',*,*,#204480,.T.); #275385=ORIENTED_EDGE('',*,*,#204482,.F.); #275386=ORIENTED_EDGE('',*,*,#204483,.F.); #275387=ORIENTED_EDGE('',*,*,#204484,.T.); #275388=ORIENTED_EDGE('',*,*,#204483,.T.); #275389=ORIENTED_EDGE('',*,*,#204485,.F.); #275390=ORIENTED_EDGE('',*,*,#204475,.F.); #275391=ORIENTED_EDGE('',*,*,#204485,.T.); #275392=ORIENTED_EDGE('',*,*,#204482,.T.); #275393=ORIENTED_EDGE('',*,*,#204479,.T.); #275394=ORIENTED_EDGE('',*,*,#204476,.T.); #275395=ORIENTED_EDGE('',*,*,#204484,.F.); #275396=ORIENTED_EDGE('',*,*,#204474,.F.); #275397=ORIENTED_EDGE('',*,*,#204478,.F.); #275398=ORIENTED_EDGE('',*,*,#204481,.F.); #275399=ORIENTED_EDGE('',*,*,#204486,.T.); #275400=ORIENTED_EDGE('',*,*,#204487,.T.); #275401=ORIENTED_EDGE('',*,*,#204488,.F.); #275402=ORIENTED_EDGE('',*,*,#204489,.F.); #275403=ORIENTED_EDGE('',*,*,#204490,.T.); #275404=ORIENTED_EDGE('',*,*,#204489,.T.); #275405=ORIENTED_EDGE('',*,*,#204491,.F.); #275406=ORIENTED_EDGE('',*,*,#204492,.F.); #275407=ORIENTED_EDGE('',*,*,#204493,.T.); #275408=ORIENTED_EDGE('',*,*,#204492,.T.); #275409=ORIENTED_EDGE('',*,*,#204494,.F.); #275410=ORIENTED_EDGE('',*,*,#204495,.F.); #275411=ORIENTED_EDGE('',*,*,#204496,.T.); #275412=ORIENTED_EDGE('',*,*,#204495,.T.); #275413=ORIENTED_EDGE('',*,*,#204497,.F.); #275414=ORIENTED_EDGE('',*,*,#204487,.F.); #275415=ORIENTED_EDGE('',*,*,#204497,.T.); #275416=ORIENTED_EDGE('',*,*,#204494,.T.); #275417=ORIENTED_EDGE('',*,*,#204491,.T.); #275418=ORIENTED_EDGE('',*,*,#204488,.T.); #275419=ORIENTED_EDGE('',*,*,#204496,.F.); #275420=ORIENTED_EDGE('',*,*,#204486,.F.); #275421=ORIENTED_EDGE('',*,*,#204490,.F.); #275422=ORIENTED_EDGE('',*,*,#204493,.F.); #275423=ORIENTED_EDGE('',*,*,#204498,.T.); #275424=ORIENTED_EDGE('',*,*,#204499,.T.); #275425=ORIENTED_EDGE('',*,*,#204500,.F.); #275426=ORIENTED_EDGE('',*,*,#204501,.F.); #275427=ORIENTED_EDGE('',*,*,#204502,.T.); #275428=ORIENTED_EDGE('',*,*,#204501,.T.); #275429=ORIENTED_EDGE('',*,*,#204503,.F.); #275430=ORIENTED_EDGE('',*,*,#204504,.F.); #275431=ORIENTED_EDGE('',*,*,#204505,.T.); #275432=ORIENTED_EDGE('',*,*,#204504,.T.); #275433=ORIENTED_EDGE('',*,*,#204506,.F.); #275434=ORIENTED_EDGE('',*,*,#204507,.F.); #275435=ORIENTED_EDGE('',*,*,#204508,.T.); #275436=ORIENTED_EDGE('',*,*,#204507,.T.); #275437=ORIENTED_EDGE('',*,*,#204509,.F.); #275438=ORIENTED_EDGE('',*,*,#204499,.F.); #275439=ORIENTED_EDGE('',*,*,#204509,.T.); #275440=ORIENTED_EDGE('',*,*,#204506,.T.); #275441=ORIENTED_EDGE('',*,*,#204503,.T.); #275442=ORIENTED_EDGE('',*,*,#204500,.T.); #275443=ORIENTED_EDGE('',*,*,#204508,.F.); #275444=ORIENTED_EDGE('',*,*,#204498,.F.); #275445=ORIENTED_EDGE('',*,*,#204502,.F.); #275446=ORIENTED_EDGE('',*,*,#204505,.F.); #275447=ORIENTED_EDGE('',*,*,#204510,.T.); #275448=ORIENTED_EDGE('',*,*,#204511,.T.); #275449=ORIENTED_EDGE('',*,*,#204512,.F.); #275450=ORIENTED_EDGE('',*,*,#204513,.F.); #275451=ORIENTED_EDGE('',*,*,#204514,.T.); #275452=ORIENTED_EDGE('',*,*,#204513,.T.); #275453=ORIENTED_EDGE('',*,*,#204515,.F.); #275454=ORIENTED_EDGE('',*,*,#204516,.F.); #275455=ORIENTED_EDGE('',*,*,#204517,.T.); #275456=ORIENTED_EDGE('',*,*,#204516,.T.); #275457=ORIENTED_EDGE('',*,*,#204518,.F.); #275458=ORIENTED_EDGE('',*,*,#204519,.F.); #275459=ORIENTED_EDGE('',*,*,#204520,.T.); #275460=ORIENTED_EDGE('',*,*,#204519,.T.); #275461=ORIENTED_EDGE('',*,*,#204521,.F.); #275462=ORIENTED_EDGE('',*,*,#204511,.F.); #275463=ORIENTED_EDGE('',*,*,#204521,.T.); #275464=ORIENTED_EDGE('',*,*,#204518,.T.); #275465=ORIENTED_EDGE('',*,*,#204515,.T.); #275466=ORIENTED_EDGE('',*,*,#204512,.T.); #275467=ORIENTED_EDGE('',*,*,#204520,.F.); #275468=ORIENTED_EDGE('',*,*,#204510,.F.); #275469=ORIENTED_EDGE('',*,*,#204514,.F.); #275470=ORIENTED_EDGE('',*,*,#204517,.F.); #275471=ORIENTED_EDGE('',*,*,#204522,.T.); #275472=ORIENTED_EDGE('',*,*,#204523,.T.); #275473=ORIENTED_EDGE('',*,*,#204524,.F.); #275474=ORIENTED_EDGE('',*,*,#204525,.F.); #275475=ORIENTED_EDGE('',*,*,#204526,.T.); #275476=ORIENTED_EDGE('',*,*,#204525,.T.); #275477=ORIENTED_EDGE('',*,*,#204527,.F.); #275478=ORIENTED_EDGE('',*,*,#204528,.F.); #275479=ORIENTED_EDGE('',*,*,#204529,.T.); #275480=ORIENTED_EDGE('',*,*,#204528,.T.); #275481=ORIENTED_EDGE('',*,*,#204530,.F.); #275482=ORIENTED_EDGE('',*,*,#204531,.F.); #275483=ORIENTED_EDGE('',*,*,#204532,.T.); #275484=ORIENTED_EDGE('',*,*,#204531,.T.); #275485=ORIENTED_EDGE('',*,*,#204533,.F.); #275486=ORIENTED_EDGE('',*,*,#204523,.F.); #275487=ORIENTED_EDGE('',*,*,#204533,.T.); #275488=ORIENTED_EDGE('',*,*,#204530,.T.); #275489=ORIENTED_EDGE('',*,*,#204527,.T.); #275490=ORIENTED_EDGE('',*,*,#204524,.T.); #275491=ORIENTED_EDGE('',*,*,#204532,.F.); #275492=ORIENTED_EDGE('',*,*,#204522,.F.); #275493=ORIENTED_EDGE('',*,*,#204526,.F.); #275494=ORIENTED_EDGE('',*,*,#204529,.F.); #275495=ORIENTED_EDGE('',*,*,#204534,.T.); #275496=ORIENTED_EDGE('',*,*,#204535,.T.); #275497=ORIENTED_EDGE('',*,*,#204536,.F.); #275498=ORIENTED_EDGE('',*,*,#204537,.F.); #275499=ORIENTED_EDGE('',*,*,#204538,.T.); #275500=ORIENTED_EDGE('',*,*,#204537,.T.); #275501=ORIENTED_EDGE('',*,*,#204539,.F.); #275502=ORIENTED_EDGE('',*,*,#204540,.F.); #275503=ORIENTED_EDGE('',*,*,#204541,.T.); #275504=ORIENTED_EDGE('',*,*,#204540,.T.); #275505=ORIENTED_EDGE('',*,*,#204542,.F.); #275506=ORIENTED_EDGE('',*,*,#204543,.F.); #275507=ORIENTED_EDGE('',*,*,#204544,.T.); #275508=ORIENTED_EDGE('',*,*,#204543,.T.); #275509=ORIENTED_EDGE('',*,*,#204545,.F.); #275510=ORIENTED_EDGE('',*,*,#204535,.F.); #275511=ORIENTED_EDGE('',*,*,#204545,.T.); #275512=ORIENTED_EDGE('',*,*,#204542,.T.); #275513=ORIENTED_EDGE('',*,*,#204539,.T.); #275514=ORIENTED_EDGE('',*,*,#204536,.T.); #275515=ORIENTED_EDGE('',*,*,#204544,.F.); #275516=ORIENTED_EDGE('',*,*,#204534,.F.); #275517=ORIENTED_EDGE('',*,*,#204538,.F.); #275518=ORIENTED_EDGE('',*,*,#204541,.F.); #275519=ORIENTED_EDGE('',*,*,#204546,.T.); #275520=ORIENTED_EDGE('',*,*,#204547,.T.); #275521=ORIENTED_EDGE('',*,*,#204548,.F.); #275522=ORIENTED_EDGE('',*,*,#204549,.F.); #275523=ORIENTED_EDGE('',*,*,#204550,.T.); #275524=ORIENTED_EDGE('',*,*,#204549,.T.); #275525=ORIENTED_EDGE('',*,*,#204551,.F.); #275526=ORIENTED_EDGE('',*,*,#204552,.F.); #275527=ORIENTED_EDGE('',*,*,#204553,.T.); #275528=ORIENTED_EDGE('',*,*,#204552,.T.); #275529=ORIENTED_EDGE('',*,*,#204554,.F.); #275530=ORIENTED_EDGE('',*,*,#204555,.F.); #275531=ORIENTED_EDGE('',*,*,#204556,.T.); #275532=ORIENTED_EDGE('',*,*,#204555,.T.); #275533=ORIENTED_EDGE('',*,*,#204557,.F.); #275534=ORIENTED_EDGE('',*,*,#204547,.F.); #275535=ORIENTED_EDGE('',*,*,#204557,.T.); #275536=ORIENTED_EDGE('',*,*,#204554,.T.); #275537=ORIENTED_EDGE('',*,*,#204551,.T.); #275538=ORIENTED_EDGE('',*,*,#204548,.T.); #275539=ORIENTED_EDGE('',*,*,#204556,.F.); #275540=ORIENTED_EDGE('',*,*,#204546,.F.); #275541=ORIENTED_EDGE('',*,*,#204550,.F.); #275542=ORIENTED_EDGE('',*,*,#204553,.F.); #275543=ORIENTED_EDGE('',*,*,#204558,.T.); #275544=ORIENTED_EDGE('',*,*,#204559,.T.); #275545=ORIENTED_EDGE('',*,*,#204560,.F.); #275546=ORIENTED_EDGE('',*,*,#204561,.F.); #275547=ORIENTED_EDGE('',*,*,#204562,.T.); #275548=ORIENTED_EDGE('',*,*,#204561,.T.); #275549=ORIENTED_EDGE('',*,*,#204563,.F.); #275550=ORIENTED_EDGE('',*,*,#204564,.F.); #275551=ORIENTED_EDGE('',*,*,#204565,.T.); #275552=ORIENTED_EDGE('',*,*,#204564,.T.); #275553=ORIENTED_EDGE('',*,*,#204566,.F.); #275554=ORIENTED_EDGE('',*,*,#204567,.F.); #275555=ORIENTED_EDGE('',*,*,#204568,.T.); #275556=ORIENTED_EDGE('',*,*,#204567,.T.); #275557=ORIENTED_EDGE('',*,*,#204569,.F.); #275558=ORIENTED_EDGE('',*,*,#204559,.F.); #275559=ORIENTED_EDGE('',*,*,#204569,.T.); #275560=ORIENTED_EDGE('',*,*,#204566,.T.); #275561=ORIENTED_EDGE('',*,*,#204563,.T.); #275562=ORIENTED_EDGE('',*,*,#204560,.T.); #275563=ORIENTED_EDGE('',*,*,#204568,.F.); #275564=ORIENTED_EDGE('',*,*,#204558,.F.); #275565=ORIENTED_EDGE('',*,*,#204562,.F.); #275566=ORIENTED_EDGE('',*,*,#204565,.F.); #275567=ORIENTED_EDGE('',*,*,#204570,.T.); #275568=ORIENTED_EDGE('',*,*,#204571,.T.); #275569=ORIENTED_EDGE('',*,*,#204572,.F.); #275570=ORIENTED_EDGE('',*,*,#204573,.F.); #275571=ORIENTED_EDGE('',*,*,#204574,.T.); #275572=ORIENTED_EDGE('',*,*,#204573,.T.); #275573=ORIENTED_EDGE('',*,*,#204575,.F.); #275574=ORIENTED_EDGE('',*,*,#204576,.F.); #275575=ORIENTED_EDGE('',*,*,#204577,.T.); #275576=ORIENTED_EDGE('',*,*,#204576,.T.); #275577=ORIENTED_EDGE('',*,*,#204578,.F.); #275578=ORIENTED_EDGE('',*,*,#204579,.F.); #275579=ORIENTED_EDGE('',*,*,#204580,.T.); #275580=ORIENTED_EDGE('',*,*,#204579,.T.); #275581=ORIENTED_EDGE('',*,*,#204581,.F.); #275582=ORIENTED_EDGE('',*,*,#204571,.F.); #275583=ORIENTED_EDGE('',*,*,#204581,.T.); #275584=ORIENTED_EDGE('',*,*,#204578,.T.); #275585=ORIENTED_EDGE('',*,*,#204575,.T.); #275586=ORIENTED_EDGE('',*,*,#204572,.T.); #275587=ORIENTED_EDGE('',*,*,#204580,.F.); #275588=ORIENTED_EDGE('',*,*,#204570,.F.); #275589=ORIENTED_EDGE('',*,*,#204574,.F.); #275590=ORIENTED_EDGE('',*,*,#204577,.F.); #275591=ORIENTED_EDGE('',*,*,#204582,.T.); #275592=ORIENTED_EDGE('',*,*,#204583,.T.); #275593=ORIENTED_EDGE('',*,*,#204584,.F.); #275594=ORIENTED_EDGE('',*,*,#204585,.F.); #275595=ORIENTED_EDGE('',*,*,#204586,.T.); #275596=ORIENTED_EDGE('',*,*,#204585,.T.); #275597=ORIENTED_EDGE('',*,*,#204587,.F.); #275598=ORIENTED_EDGE('',*,*,#204588,.F.); #275599=ORIENTED_EDGE('',*,*,#204589,.T.); #275600=ORIENTED_EDGE('',*,*,#204588,.T.); #275601=ORIENTED_EDGE('',*,*,#204590,.F.); #275602=ORIENTED_EDGE('',*,*,#204591,.F.); #275603=ORIENTED_EDGE('',*,*,#204592,.T.); #275604=ORIENTED_EDGE('',*,*,#204591,.T.); #275605=ORIENTED_EDGE('',*,*,#204593,.F.); #275606=ORIENTED_EDGE('',*,*,#204583,.F.); #275607=ORIENTED_EDGE('',*,*,#204593,.T.); #275608=ORIENTED_EDGE('',*,*,#204590,.T.); #275609=ORIENTED_EDGE('',*,*,#204587,.T.); #275610=ORIENTED_EDGE('',*,*,#204584,.T.); #275611=ORIENTED_EDGE('',*,*,#204592,.F.); #275612=ORIENTED_EDGE('',*,*,#204582,.F.); #275613=ORIENTED_EDGE('',*,*,#204586,.F.); #275614=ORIENTED_EDGE('',*,*,#204589,.F.); #275615=ORIENTED_EDGE('',*,*,#204594,.T.); #275616=ORIENTED_EDGE('',*,*,#204595,.T.); #275617=ORIENTED_EDGE('',*,*,#204596,.F.); #275618=ORIENTED_EDGE('',*,*,#204597,.F.); #275619=ORIENTED_EDGE('',*,*,#204598,.T.); #275620=ORIENTED_EDGE('',*,*,#204597,.T.); #275621=ORIENTED_EDGE('',*,*,#204599,.F.); #275622=ORIENTED_EDGE('',*,*,#204600,.F.); #275623=ORIENTED_EDGE('',*,*,#204601,.T.); #275624=ORIENTED_EDGE('',*,*,#204600,.T.); #275625=ORIENTED_EDGE('',*,*,#204602,.F.); #275626=ORIENTED_EDGE('',*,*,#204603,.F.); #275627=ORIENTED_EDGE('',*,*,#204604,.T.); #275628=ORIENTED_EDGE('',*,*,#204603,.T.); #275629=ORIENTED_EDGE('',*,*,#204605,.F.); #275630=ORIENTED_EDGE('',*,*,#204595,.F.); #275631=ORIENTED_EDGE('',*,*,#204605,.T.); #275632=ORIENTED_EDGE('',*,*,#204602,.T.); #275633=ORIENTED_EDGE('',*,*,#204599,.T.); #275634=ORIENTED_EDGE('',*,*,#204596,.T.); #275635=ORIENTED_EDGE('',*,*,#204604,.F.); #275636=ORIENTED_EDGE('',*,*,#204594,.F.); #275637=ORIENTED_EDGE('',*,*,#204598,.F.); #275638=ORIENTED_EDGE('',*,*,#204601,.F.); #275639=ORIENTED_EDGE('',*,*,#204606,.F.); #275640=ORIENTED_EDGE('',*,*,#204607,.T.); #275641=ORIENTED_EDGE('',*,*,#204608,.F.); #275642=ORIENTED_EDGE('',*,*,#204607,.F.); #275643=ORIENTED_EDGE('',*,*,#204609,.F.); #275644=ORIENTED_EDGE('',*,*,#204610,.T.); #275645=ORIENTED_EDGE('',*,*,#204611,.F.); #275646=ORIENTED_EDGE('',*,*,#204610,.F.); #275647=ORIENTED_EDGE('',*,*,#204612,.F.); #275648=ORIENTED_EDGE('',*,*,#204613,.T.); #275649=ORIENTED_EDGE('',*,*,#204614,.F.); #275650=ORIENTED_EDGE('',*,*,#204613,.F.); #275651=ORIENTED_EDGE('',*,*,#204615,.F.); #275652=ORIENTED_EDGE('',*,*,#204616,.T.); #275653=ORIENTED_EDGE('',*,*,#204617,.F.); #275654=ORIENTED_EDGE('',*,*,#204616,.F.); #275655=ORIENTED_EDGE('',*,*,#204618,.F.); #275656=ORIENTED_EDGE('',*,*,#204619,.T.); #275657=ORIENTED_EDGE('',*,*,#204620,.F.); #275658=ORIENTED_EDGE('',*,*,#204619,.F.); #275659=ORIENTED_EDGE('',*,*,#204621,.F.); #275660=ORIENTED_EDGE('',*,*,#204622,.T.); #275661=ORIENTED_EDGE('',*,*,#204623,.F.); #275662=ORIENTED_EDGE('',*,*,#204622,.F.); #275663=ORIENTED_EDGE('',*,*,#204624,.T.); #275664=ORIENTED_EDGE('',*,*,#204625,.T.); #275665=ORIENTED_EDGE('',*,*,#204626,.F.); #275666=ORIENTED_EDGE('',*,*,#204627,.F.); #275667=ORIENTED_EDGE('',*,*,#204628,.T.); #275668=ORIENTED_EDGE('',*,*,#204627,.T.); #275669=ORIENTED_EDGE('',*,*,#204629,.F.); #275670=ORIENTED_EDGE('',*,*,#204630,.F.); #275671=ORIENTED_EDGE('',*,*,#204631,.T.); #275672=ORIENTED_EDGE('',*,*,#204630,.T.); #275673=ORIENTED_EDGE('',*,*,#204632,.F.); #275674=ORIENTED_EDGE('',*,*,#204633,.F.); #275675=ORIENTED_EDGE('',*,*,#204634,.T.); #275676=ORIENTED_EDGE('',*,*,#204633,.T.); #275677=ORIENTED_EDGE('',*,*,#204635,.F.); #275678=ORIENTED_EDGE('',*,*,#204625,.F.); #275679=ORIENTED_EDGE('',*,*,#204635,.T.); #275680=ORIENTED_EDGE('',*,*,#204632,.T.); #275681=ORIENTED_EDGE('',*,*,#204629,.T.); #275682=ORIENTED_EDGE('',*,*,#204626,.T.); #275683=ORIENTED_EDGE('',*,*,#204608,.T.); #275684=ORIENTED_EDGE('',*,*,#204611,.T.); #275685=ORIENTED_EDGE('',*,*,#204614,.T.); #275686=ORIENTED_EDGE('',*,*,#204617,.T.); #275687=ORIENTED_EDGE('',*,*,#204620,.T.); #275688=ORIENTED_EDGE('',*,*,#204623,.T.); #275689=ORIENTED_EDGE('',*,*,#204634,.F.); #275690=ORIENTED_EDGE('',*,*,#204624,.F.); #275691=ORIENTED_EDGE('',*,*,#204628,.F.); #275692=ORIENTED_EDGE('',*,*,#204631,.F.); #275693=ORIENTED_EDGE('',*,*,#204606,.T.); #275694=ORIENTED_EDGE('',*,*,#204609,.T.); #275695=ORIENTED_EDGE('',*,*,#204612,.T.); #275696=ORIENTED_EDGE('',*,*,#204615,.T.); #275697=ORIENTED_EDGE('',*,*,#204618,.T.); #275698=ORIENTED_EDGE('',*,*,#204621,.T.); #275699=ORIENTED_EDGE('',*,*,#204636,.T.); #275700=ORIENTED_EDGE('',*,*,#204637,.T.); #275701=ORIENTED_EDGE('',*,*,#204638,.F.); #275702=ORIENTED_EDGE('',*,*,#204639,.F.); #275703=ORIENTED_EDGE('',*,*,#204640,.T.); #275704=ORIENTED_EDGE('',*,*,#204639,.T.); #275705=ORIENTED_EDGE('',*,*,#204641,.F.); #275706=ORIENTED_EDGE('',*,*,#204642,.F.); #275707=ORIENTED_EDGE('',*,*,#204643,.T.); #275708=ORIENTED_EDGE('',*,*,#204642,.T.); #275709=ORIENTED_EDGE('',*,*,#204644,.F.); #275710=ORIENTED_EDGE('',*,*,#204645,.F.); #275711=ORIENTED_EDGE('',*,*,#204646,.T.); #275712=ORIENTED_EDGE('',*,*,#204645,.T.); #275713=ORIENTED_EDGE('',*,*,#204647,.F.); #275714=ORIENTED_EDGE('',*,*,#204637,.F.); #275715=ORIENTED_EDGE('',*,*,#204647,.T.); #275716=ORIENTED_EDGE('',*,*,#204644,.T.); #275717=ORIENTED_EDGE('',*,*,#204641,.T.); #275718=ORIENTED_EDGE('',*,*,#204638,.T.); #275719=ORIENTED_EDGE('',*,*,#204646,.F.); #275720=ORIENTED_EDGE('',*,*,#204636,.F.); #275721=ORIENTED_EDGE('',*,*,#204640,.F.); #275722=ORIENTED_EDGE('',*,*,#204643,.F.); #275723=ORIENTED_EDGE('',*,*,#204648,.T.); #275724=ORIENTED_EDGE('',*,*,#204649,.T.); #275725=ORIENTED_EDGE('',*,*,#204650,.F.); #275726=ORIENTED_EDGE('',*,*,#204651,.F.); #275727=ORIENTED_EDGE('',*,*,#204652,.T.); #275728=ORIENTED_EDGE('',*,*,#204651,.T.); #275729=ORIENTED_EDGE('',*,*,#204653,.F.); #275730=ORIENTED_EDGE('',*,*,#204654,.F.); #275731=ORIENTED_EDGE('',*,*,#204655,.T.); #275732=ORIENTED_EDGE('',*,*,#204654,.T.); #275733=ORIENTED_EDGE('',*,*,#204656,.F.); #275734=ORIENTED_EDGE('',*,*,#204657,.F.); #275735=ORIENTED_EDGE('',*,*,#204658,.T.); #275736=ORIENTED_EDGE('',*,*,#204657,.T.); #275737=ORIENTED_EDGE('',*,*,#204659,.F.); #275738=ORIENTED_EDGE('',*,*,#204649,.F.); #275739=ORIENTED_EDGE('',*,*,#204659,.T.); #275740=ORIENTED_EDGE('',*,*,#204656,.T.); #275741=ORIENTED_EDGE('',*,*,#204653,.T.); #275742=ORIENTED_EDGE('',*,*,#204650,.T.); #275743=ORIENTED_EDGE('',*,*,#204658,.F.); #275744=ORIENTED_EDGE('',*,*,#204648,.F.); #275745=ORIENTED_EDGE('',*,*,#204652,.F.); #275746=ORIENTED_EDGE('',*,*,#204655,.F.); #275747=ORIENTED_EDGE('',*,*,#204660,.T.); #275748=ORIENTED_EDGE('',*,*,#204661,.T.); #275749=ORIENTED_EDGE('',*,*,#204662,.F.); #275750=ORIENTED_EDGE('',*,*,#204663,.F.); #275751=ORIENTED_EDGE('',*,*,#204664,.T.); #275752=ORIENTED_EDGE('',*,*,#204663,.T.); #275753=ORIENTED_EDGE('',*,*,#204665,.F.); #275754=ORIENTED_EDGE('',*,*,#204666,.F.); #275755=ORIENTED_EDGE('',*,*,#204667,.T.); #275756=ORIENTED_EDGE('',*,*,#204666,.T.); #275757=ORIENTED_EDGE('',*,*,#204668,.F.); #275758=ORIENTED_EDGE('',*,*,#204669,.F.); #275759=ORIENTED_EDGE('',*,*,#204670,.T.); #275760=ORIENTED_EDGE('',*,*,#204669,.T.); #275761=ORIENTED_EDGE('',*,*,#204671,.F.); #275762=ORIENTED_EDGE('',*,*,#204661,.F.); #275763=ORIENTED_EDGE('',*,*,#204671,.T.); #275764=ORIENTED_EDGE('',*,*,#204668,.T.); #275765=ORIENTED_EDGE('',*,*,#204665,.T.); #275766=ORIENTED_EDGE('',*,*,#204662,.T.); #275767=ORIENTED_EDGE('',*,*,#204670,.F.); #275768=ORIENTED_EDGE('',*,*,#204660,.F.); #275769=ORIENTED_EDGE('',*,*,#204664,.F.); #275770=ORIENTED_EDGE('',*,*,#204667,.F.); #275771=ORIENTED_EDGE('',*,*,#204672,.T.); #275772=ORIENTED_EDGE('',*,*,#204673,.T.); #275773=ORIENTED_EDGE('',*,*,#204674,.F.); #275774=ORIENTED_EDGE('',*,*,#204675,.F.); #275775=ORIENTED_EDGE('',*,*,#204676,.T.); #275776=ORIENTED_EDGE('',*,*,#204675,.T.); #275777=ORIENTED_EDGE('',*,*,#204677,.F.); #275778=ORIENTED_EDGE('',*,*,#204678,.F.); #275779=ORIENTED_EDGE('',*,*,#204679,.T.); #275780=ORIENTED_EDGE('',*,*,#204678,.T.); #275781=ORIENTED_EDGE('',*,*,#204680,.F.); #275782=ORIENTED_EDGE('',*,*,#204681,.F.); #275783=ORIENTED_EDGE('',*,*,#204682,.T.); #275784=ORIENTED_EDGE('',*,*,#204681,.T.); #275785=ORIENTED_EDGE('',*,*,#204683,.F.); #275786=ORIENTED_EDGE('',*,*,#204673,.F.); #275787=ORIENTED_EDGE('',*,*,#204683,.T.); #275788=ORIENTED_EDGE('',*,*,#204680,.T.); #275789=ORIENTED_EDGE('',*,*,#204677,.T.); #275790=ORIENTED_EDGE('',*,*,#204674,.T.); #275791=ORIENTED_EDGE('',*,*,#204682,.F.); #275792=ORIENTED_EDGE('',*,*,#204672,.F.); #275793=ORIENTED_EDGE('',*,*,#204676,.F.); #275794=ORIENTED_EDGE('',*,*,#204679,.F.); #275795=ORIENTED_EDGE('',*,*,#204684,.T.); #275796=ORIENTED_EDGE('',*,*,#204685,.T.); #275797=ORIENTED_EDGE('',*,*,#204686,.F.); #275798=ORIENTED_EDGE('',*,*,#204687,.F.); #275799=ORIENTED_EDGE('',*,*,#204688,.T.); #275800=ORIENTED_EDGE('',*,*,#204687,.T.); #275801=ORIENTED_EDGE('',*,*,#204689,.F.); #275802=ORIENTED_EDGE('',*,*,#204690,.F.); #275803=ORIENTED_EDGE('',*,*,#204691,.T.); #275804=ORIENTED_EDGE('',*,*,#204690,.T.); #275805=ORIENTED_EDGE('',*,*,#204692,.F.); #275806=ORIENTED_EDGE('',*,*,#204693,.F.); #275807=ORIENTED_EDGE('',*,*,#204694,.T.); #275808=ORIENTED_EDGE('',*,*,#204693,.T.); #275809=ORIENTED_EDGE('',*,*,#204695,.F.); #275810=ORIENTED_EDGE('',*,*,#204685,.F.); #275811=ORIENTED_EDGE('',*,*,#204695,.T.); #275812=ORIENTED_EDGE('',*,*,#204692,.T.); #275813=ORIENTED_EDGE('',*,*,#204689,.T.); #275814=ORIENTED_EDGE('',*,*,#204686,.T.); #275815=ORIENTED_EDGE('',*,*,#204694,.F.); #275816=ORIENTED_EDGE('',*,*,#204684,.F.); #275817=ORIENTED_EDGE('',*,*,#204688,.F.); #275818=ORIENTED_EDGE('',*,*,#204691,.F.); #275819=ORIENTED_EDGE('',*,*,#204696,.T.); #275820=ORIENTED_EDGE('',*,*,#204697,.T.); #275821=ORIENTED_EDGE('',*,*,#204698,.F.); #275822=ORIENTED_EDGE('',*,*,#204699,.F.); #275823=ORIENTED_EDGE('',*,*,#204700,.T.); #275824=ORIENTED_EDGE('',*,*,#204699,.T.); #275825=ORIENTED_EDGE('',*,*,#204701,.F.); #275826=ORIENTED_EDGE('',*,*,#204702,.F.); #275827=ORIENTED_EDGE('',*,*,#204703,.T.); #275828=ORIENTED_EDGE('',*,*,#204702,.T.); #275829=ORIENTED_EDGE('',*,*,#204704,.F.); #275830=ORIENTED_EDGE('',*,*,#204705,.F.); #275831=ORIENTED_EDGE('',*,*,#204706,.T.); #275832=ORIENTED_EDGE('',*,*,#204705,.T.); #275833=ORIENTED_EDGE('',*,*,#204707,.F.); #275834=ORIENTED_EDGE('',*,*,#204697,.F.); #275835=ORIENTED_EDGE('',*,*,#204707,.T.); #275836=ORIENTED_EDGE('',*,*,#204704,.T.); #275837=ORIENTED_EDGE('',*,*,#204701,.T.); #275838=ORIENTED_EDGE('',*,*,#204698,.T.); #275839=ORIENTED_EDGE('',*,*,#204706,.F.); #275840=ORIENTED_EDGE('',*,*,#204696,.F.); #275841=ORIENTED_EDGE('',*,*,#204700,.F.); #275842=ORIENTED_EDGE('',*,*,#204703,.F.); #275843=ORIENTED_EDGE('',*,*,#204708,.F.); #275844=ORIENTED_EDGE('',*,*,#204709,.T.); #275845=ORIENTED_EDGE('',*,*,#204710,.F.); #275846=ORIENTED_EDGE('',*,*,#204709,.F.); #275847=ORIENTED_EDGE('',*,*,#204711,.F.); #275848=ORIENTED_EDGE('',*,*,#204712,.T.); #275849=ORIENTED_EDGE('',*,*,#204713,.F.); #275850=ORIENTED_EDGE('',*,*,#204712,.F.); #275851=ORIENTED_EDGE('',*,*,#204714,.F.); #275852=ORIENTED_EDGE('',*,*,#204715,.T.); #275853=ORIENTED_EDGE('',*,*,#204716,.F.); #275854=ORIENTED_EDGE('',*,*,#204715,.F.); #275855=ORIENTED_EDGE('',*,*,#204717,.F.); #275856=ORIENTED_EDGE('',*,*,#204718,.T.); #275857=ORIENTED_EDGE('',*,*,#204719,.F.); #275858=ORIENTED_EDGE('',*,*,#204718,.F.); #275859=ORIENTED_EDGE('',*,*,#204720,.F.); #275860=ORIENTED_EDGE('',*,*,#204721,.T.); #275861=ORIENTED_EDGE('',*,*,#204722,.F.); #275862=ORIENTED_EDGE('',*,*,#204721,.F.); #275863=ORIENTED_EDGE('',*,*,#204723,.F.); #275864=ORIENTED_EDGE('',*,*,#204724,.T.); #275865=ORIENTED_EDGE('',*,*,#204725,.F.); #275866=ORIENTED_EDGE('',*,*,#204724,.F.); #275867=ORIENTED_EDGE('',*,*,#204726,.T.); #275868=ORIENTED_EDGE('',*,*,#204727,.T.); #275869=ORIENTED_EDGE('',*,*,#204728,.F.); #275870=ORIENTED_EDGE('',*,*,#204729,.F.); #275871=ORIENTED_EDGE('',*,*,#204730,.T.); #275872=ORIENTED_EDGE('',*,*,#204729,.T.); #275873=ORIENTED_EDGE('',*,*,#204731,.F.); #275874=ORIENTED_EDGE('',*,*,#204732,.F.); #275875=ORIENTED_EDGE('',*,*,#204733,.T.); #275876=ORIENTED_EDGE('',*,*,#204732,.T.); #275877=ORIENTED_EDGE('',*,*,#204734,.F.); #275878=ORIENTED_EDGE('',*,*,#204735,.F.); #275879=ORIENTED_EDGE('',*,*,#204736,.T.); #275880=ORIENTED_EDGE('',*,*,#204735,.T.); #275881=ORIENTED_EDGE('',*,*,#204737,.F.); #275882=ORIENTED_EDGE('',*,*,#204727,.F.); #275883=ORIENTED_EDGE('',*,*,#204737,.T.); #275884=ORIENTED_EDGE('',*,*,#204734,.T.); #275885=ORIENTED_EDGE('',*,*,#204731,.T.); #275886=ORIENTED_EDGE('',*,*,#204728,.T.); #275887=ORIENTED_EDGE('',*,*,#204710,.T.); #275888=ORIENTED_EDGE('',*,*,#204713,.T.); #275889=ORIENTED_EDGE('',*,*,#204716,.T.); #275890=ORIENTED_EDGE('',*,*,#204719,.T.); #275891=ORIENTED_EDGE('',*,*,#204722,.T.); #275892=ORIENTED_EDGE('',*,*,#204725,.T.); #275893=ORIENTED_EDGE('',*,*,#204736,.F.); #275894=ORIENTED_EDGE('',*,*,#204726,.F.); #275895=ORIENTED_EDGE('',*,*,#204730,.F.); #275896=ORIENTED_EDGE('',*,*,#204733,.F.); #275897=ORIENTED_EDGE('',*,*,#204708,.T.); #275898=ORIENTED_EDGE('',*,*,#204711,.T.); #275899=ORIENTED_EDGE('',*,*,#204714,.T.); #275900=ORIENTED_EDGE('',*,*,#204717,.T.); #275901=ORIENTED_EDGE('',*,*,#204720,.T.); #275902=ORIENTED_EDGE('',*,*,#204723,.T.); #275903=ORIENTED_EDGE('',*,*,#204738,.T.); #275904=ORIENTED_EDGE('',*,*,#204739,.T.); #275905=ORIENTED_EDGE('',*,*,#204740,.F.); #275906=ORIENTED_EDGE('',*,*,#204741,.F.); #275907=ORIENTED_EDGE('',*,*,#204742,.T.); #275908=ORIENTED_EDGE('',*,*,#204741,.T.); #275909=ORIENTED_EDGE('',*,*,#204743,.F.); #275910=ORIENTED_EDGE('',*,*,#204744,.F.); #275911=ORIENTED_EDGE('',*,*,#204745,.T.); #275912=ORIENTED_EDGE('',*,*,#204744,.T.); #275913=ORIENTED_EDGE('',*,*,#204746,.F.); #275914=ORIENTED_EDGE('',*,*,#204747,.F.); #275915=ORIENTED_EDGE('',*,*,#204748,.T.); #275916=ORIENTED_EDGE('',*,*,#204747,.T.); #275917=ORIENTED_EDGE('',*,*,#204749,.F.); #275918=ORIENTED_EDGE('',*,*,#204739,.F.); #275919=ORIENTED_EDGE('',*,*,#204749,.T.); #275920=ORIENTED_EDGE('',*,*,#204746,.T.); #275921=ORIENTED_EDGE('',*,*,#204743,.T.); #275922=ORIENTED_EDGE('',*,*,#204740,.T.); #275923=ORIENTED_EDGE('',*,*,#204748,.F.); #275924=ORIENTED_EDGE('',*,*,#204738,.F.); #275925=ORIENTED_EDGE('',*,*,#204742,.F.); #275926=ORIENTED_EDGE('',*,*,#204745,.F.); #275927=ORIENTED_EDGE('',*,*,#204750,.T.); #275928=ORIENTED_EDGE('',*,*,#204751,.T.); #275929=ORIENTED_EDGE('',*,*,#204752,.F.); #275930=ORIENTED_EDGE('',*,*,#204753,.F.); #275931=ORIENTED_EDGE('',*,*,#204754,.T.); #275932=ORIENTED_EDGE('',*,*,#204753,.T.); #275933=ORIENTED_EDGE('',*,*,#204755,.F.); #275934=ORIENTED_EDGE('',*,*,#204756,.F.); #275935=ORIENTED_EDGE('',*,*,#204757,.T.); #275936=ORIENTED_EDGE('',*,*,#204756,.T.); #275937=ORIENTED_EDGE('',*,*,#204758,.F.); #275938=ORIENTED_EDGE('',*,*,#204759,.F.); #275939=ORIENTED_EDGE('',*,*,#204760,.T.); #275940=ORIENTED_EDGE('',*,*,#204759,.T.); #275941=ORIENTED_EDGE('',*,*,#204761,.F.); #275942=ORIENTED_EDGE('',*,*,#204751,.F.); #275943=ORIENTED_EDGE('',*,*,#204761,.T.); #275944=ORIENTED_EDGE('',*,*,#204758,.T.); #275945=ORIENTED_EDGE('',*,*,#204755,.T.); #275946=ORIENTED_EDGE('',*,*,#204752,.T.); #275947=ORIENTED_EDGE('',*,*,#204760,.F.); #275948=ORIENTED_EDGE('',*,*,#204750,.F.); #275949=ORIENTED_EDGE('',*,*,#204754,.F.); #275950=ORIENTED_EDGE('',*,*,#204757,.F.); #275951=ORIENTED_EDGE('',*,*,#204762,.F.); #275952=ORIENTED_EDGE('',*,*,#204763,.T.); #275953=ORIENTED_EDGE('',*,*,#204764,.F.); #275954=ORIENTED_EDGE('',*,*,#204763,.F.); #275955=ORIENTED_EDGE('',*,*,#204765,.F.); #275956=ORIENTED_EDGE('',*,*,#204766,.T.); #275957=ORIENTED_EDGE('',*,*,#204767,.F.); #275958=ORIENTED_EDGE('',*,*,#204766,.F.); #275959=ORIENTED_EDGE('',*,*,#204768,.F.); #275960=ORIENTED_EDGE('',*,*,#204769,.T.); #275961=ORIENTED_EDGE('',*,*,#204770,.F.); #275962=ORIENTED_EDGE('',*,*,#204769,.F.); #275963=ORIENTED_EDGE('',*,*,#204771,.F.); #275964=ORIENTED_EDGE('',*,*,#204772,.T.); #275965=ORIENTED_EDGE('',*,*,#204773,.F.); #275966=ORIENTED_EDGE('',*,*,#204772,.F.); #275967=ORIENTED_EDGE('',*,*,#204774,.F.); #275968=ORIENTED_EDGE('',*,*,#204775,.T.); #275969=ORIENTED_EDGE('',*,*,#204776,.F.); #275970=ORIENTED_EDGE('',*,*,#204775,.F.); #275971=ORIENTED_EDGE('',*,*,#204777,.F.); #275972=ORIENTED_EDGE('',*,*,#204778,.T.); #275973=ORIENTED_EDGE('',*,*,#204779,.F.); #275974=ORIENTED_EDGE('',*,*,#204778,.F.); #275975=ORIENTED_EDGE('',*,*,#204780,.F.); #275976=ORIENTED_EDGE('',*,*,#204781,.T.); #275977=ORIENTED_EDGE('',*,*,#204782,.F.); #275978=ORIENTED_EDGE('',*,*,#204781,.F.); #275979=ORIENTED_EDGE('',*,*,#204783,.F.); #275980=ORIENTED_EDGE('',*,*,#204784,.T.); #275981=ORIENTED_EDGE('',*,*,#204785,.F.); #275982=ORIENTED_EDGE('',*,*,#204784,.F.); #275983=ORIENTED_EDGE('',*,*,#204786,.F.); #275984=ORIENTED_EDGE('',*,*,#204787,.T.); #275985=ORIENTED_EDGE('',*,*,#204788,.F.); #275986=ORIENTED_EDGE('',*,*,#204787,.F.); #275987=ORIENTED_EDGE('',*,*,#204789,.F.); #275988=ORIENTED_EDGE('',*,*,#204790,.T.); #275989=ORIENTED_EDGE('',*,*,#204791,.F.); #275990=ORIENTED_EDGE('',*,*,#204790,.F.); #275991=ORIENTED_EDGE('',*,*,#204792,.F.); #275992=ORIENTED_EDGE('',*,*,#204793,.T.); #275993=ORIENTED_EDGE('',*,*,#204794,.F.); #275994=ORIENTED_EDGE('',*,*,#204793,.F.); #275995=ORIENTED_EDGE('',*,*,#204795,.F.); #275996=ORIENTED_EDGE('',*,*,#204796,.T.); #275997=ORIENTED_EDGE('',*,*,#204797,.F.); #275998=ORIENTED_EDGE('',*,*,#204796,.F.); #275999=ORIENTED_EDGE('',*,*,#204798,.F.); #276000=ORIENTED_EDGE('',*,*,#204799,.T.); #276001=ORIENTED_EDGE('',*,*,#204800,.F.); #276002=ORIENTED_EDGE('',*,*,#204799,.F.); #276003=ORIENTED_EDGE('',*,*,#204801,.F.); #276004=ORIENTED_EDGE('',*,*,#204802,.T.); #276005=ORIENTED_EDGE('',*,*,#204803,.F.); #276006=ORIENTED_EDGE('',*,*,#204802,.F.); #276007=ORIENTED_EDGE('',*,*,#204804,.F.); #276008=ORIENTED_EDGE('',*,*,#204805,.T.); #276009=ORIENTED_EDGE('',*,*,#204806,.F.); #276010=ORIENTED_EDGE('',*,*,#204805,.F.); #276011=ORIENTED_EDGE('',*,*,#204807,.F.); #276012=ORIENTED_EDGE('',*,*,#204808,.T.); #276013=ORIENTED_EDGE('',*,*,#204809,.F.); #276014=ORIENTED_EDGE('',*,*,#204808,.F.); #276015=ORIENTED_EDGE('',*,*,#204810,.F.); #276016=ORIENTED_EDGE('',*,*,#204811,.T.); #276017=ORIENTED_EDGE('',*,*,#204812,.F.); #276018=ORIENTED_EDGE('',*,*,#204811,.F.); #276019=ORIENTED_EDGE('',*,*,#204813,.F.); #276020=ORIENTED_EDGE('',*,*,#204814,.T.); #276021=ORIENTED_EDGE('',*,*,#204815,.F.); #276022=ORIENTED_EDGE('',*,*,#204814,.F.); #276023=ORIENTED_EDGE('',*,*,#204816,.F.); #276024=ORIENTED_EDGE('',*,*,#204817,.T.); #276025=ORIENTED_EDGE('',*,*,#204818,.F.); #276026=ORIENTED_EDGE('',*,*,#204817,.F.); #276027=ORIENTED_EDGE('',*,*,#204819,.F.); #276028=ORIENTED_EDGE('',*,*,#204820,.T.); #276029=ORIENTED_EDGE('',*,*,#204821,.F.); #276030=ORIENTED_EDGE('',*,*,#204820,.F.); #276031=ORIENTED_EDGE('',*,*,#204822,.F.); #276032=ORIENTED_EDGE('',*,*,#204823,.T.); #276033=ORIENTED_EDGE('',*,*,#204824,.F.); #276034=ORIENTED_EDGE('',*,*,#204823,.F.); #276035=ORIENTED_EDGE('',*,*,#204825,.F.); #276036=ORIENTED_EDGE('',*,*,#204826,.T.); #276037=ORIENTED_EDGE('',*,*,#204827,.F.); #276038=ORIENTED_EDGE('',*,*,#204826,.F.); #276039=ORIENTED_EDGE('',*,*,#204828,.F.); #276040=ORIENTED_EDGE('',*,*,#204829,.T.); #276041=ORIENTED_EDGE('',*,*,#204830,.F.); #276042=ORIENTED_EDGE('',*,*,#204829,.F.); #276043=ORIENTED_EDGE('',*,*,#204831,.F.); #276044=ORIENTED_EDGE('',*,*,#204832,.T.); #276045=ORIENTED_EDGE('',*,*,#204833,.F.); #276046=ORIENTED_EDGE('',*,*,#204832,.F.); #276047=ORIENTED_EDGE('',*,*,#204834,.F.); #276048=ORIENTED_EDGE('',*,*,#204835,.T.); #276049=ORIENTED_EDGE('',*,*,#204836,.F.); #276050=ORIENTED_EDGE('',*,*,#204835,.F.); #276051=ORIENTED_EDGE('',*,*,#204837,.F.); #276052=ORIENTED_EDGE('',*,*,#204838,.T.); #276053=ORIENTED_EDGE('',*,*,#204839,.F.); #276054=ORIENTED_EDGE('',*,*,#204838,.F.); #276055=ORIENTED_EDGE('',*,*,#204840,.F.); #276056=ORIENTED_EDGE('',*,*,#204841,.T.); #276057=ORIENTED_EDGE('',*,*,#204842,.F.); #276058=ORIENTED_EDGE('',*,*,#204841,.F.); #276059=ORIENTED_EDGE('',*,*,#204843,.F.); #276060=ORIENTED_EDGE('',*,*,#204844,.T.); #276061=ORIENTED_EDGE('',*,*,#204845,.F.); #276062=ORIENTED_EDGE('',*,*,#204844,.F.); #276063=ORIENTED_EDGE('',*,*,#204846,.F.); #276064=ORIENTED_EDGE('',*,*,#204847,.T.); #276065=ORIENTED_EDGE('',*,*,#204848,.F.); #276066=ORIENTED_EDGE('',*,*,#204847,.F.); #276067=ORIENTED_EDGE('',*,*,#204849,.F.); #276068=ORIENTED_EDGE('',*,*,#204850,.T.); #276069=ORIENTED_EDGE('',*,*,#204851,.F.); #276070=ORIENTED_EDGE('',*,*,#204850,.F.); #276071=ORIENTED_EDGE('',*,*,#204852,.F.); #276072=ORIENTED_EDGE('',*,*,#204853,.T.); #276073=ORIENTED_EDGE('',*,*,#204854,.F.); #276074=ORIENTED_EDGE('',*,*,#204853,.F.); #276075=ORIENTED_EDGE('',*,*,#204855,.F.); #276076=ORIENTED_EDGE('',*,*,#204856,.T.); #276077=ORIENTED_EDGE('',*,*,#204857,.F.); #276078=ORIENTED_EDGE('',*,*,#204856,.F.); #276079=ORIENTED_EDGE('',*,*,#204858,.F.); #276080=ORIENTED_EDGE('',*,*,#204859,.T.); #276081=ORIENTED_EDGE('',*,*,#204860,.F.); #276082=ORIENTED_EDGE('',*,*,#204859,.F.); #276083=ORIENTED_EDGE('',*,*,#204861,.F.); #276084=ORIENTED_EDGE('',*,*,#204862,.T.); #276085=ORIENTED_EDGE('',*,*,#204863,.F.); #276086=ORIENTED_EDGE('',*,*,#204862,.F.); #276087=ORIENTED_EDGE('',*,*,#204864,.F.); #276088=ORIENTED_EDGE('',*,*,#204865,.T.); #276089=ORIENTED_EDGE('',*,*,#204866,.F.); #276090=ORIENTED_EDGE('',*,*,#204865,.F.); #276091=ORIENTED_EDGE('',*,*,#204867,.F.); #276092=ORIENTED_EDGE('',*,*,#204868,.T.); #276093=ORIENTED_EDGE('',*,*,#204869,.F.); #276094=ORIENTED_EDGE('',*,*,#204868,.F.); #276095=ORIENTED_EDGE('',*,*,#204870,.F.); #276096=ORIENTED_EDGE('',*,*,#204871,.T.); #276097=ORIENTED_EDGE('',*,*,#204872,.F.); #276098=ORIENTED_EDGE('',*,*,#204871,.F.); #276099=ORIENTED_EDGE('',*,*,#204873,.F.); #276100=ORIENTED_EDGE('',*,*,#204874,.T.); #276101=ORIENTED_EDGE('',*,*,#204875,.F.); #276102=ORIENTED_EDGE('',*,*,#204874,.F.); #276103=ORIENTED_EDGE('',*,*,#204876,.F.); #276104=ORIENTED_EDGE('',*,*,#204877,.T.); #276105=ORIENTED_EDGE('',*,*,#204878,.F.); #276106=ORIENTED_EDGE('',*,*,#204877,.F.); #276107=ORIENTED_EDGE('',*,*,#204879,.F.); #276108=ORIENTED_EDGE('',*,*,#204880,.T.); #276109=ORIENTED_EDGE('',*,*,#204881,.F.); #276110=ORIENTED_EDGE('',*,*,#204880,.F.); #276111=ORIENTED_EDGE('',*,*,#204882,.F.); #276112=ORIENTED_EDGE('',*,*,#204883,.T.); #276113=ORIENTED_EDGE('',*,*,#204884,.F.); #276114=ORIENTED_EDGE('',*,*,#204883,.F.); #276115=ORIENTED_EDGE('',*,*,#204885,.F.); #276116=ORIENTED_EDGE('',*,*,#204886,.T.); #276117=ORIENTED_EDGE('',*,*,#204887,.F.); #276118=ORIENTED_EDGE('',*,*,#204886,.F.); #276119=ORIENTED_EDGE('',*,*,#204888,.F.); #276120=ORIENTED_EDGE('',*,*,#204889,.T.); #276121=ORIENTED_EDGE('',*,*,#204890,.F.); #276122=ORIENTED_EDGE('',*,*,#204889,.F.); #276123=ORIENTED_EDGE('',*,*,#204891,.F.); #276124=ORIENTED_EDGE('',*,*,#204892,.T.); #276125=ORIENTED_EDGE('',*,*,#204893,.F.); #276126=ORIENTED_EDGE('',*,*,#204892,.F.); #276127=ORIENTED_EDGE('',*,*,#204894,.F.); #276128=ORIENTED_EDGE('',*,*,#204895,.T.); #276129=ORIENTED_EDGE('',*,*,#204896,.F.); #276130=ORIENTED_EDGE('',*,*,#204895,.F.); #276131=ORIENTED_EDGE('',*,*,#204897,.F.); #276132=ORIENTED_EDGE('',*,*,#204898,.T.); #276133=ORIENTED_EDGE('',*,*,#204899,.F.); #276134=ORIENTED_EDGE('',*,*,#204898,.F.); #276135=ORIENTED_EDGE('',*,*,#204900,.F.); #276136=ORIENTED_EDGE('',*,*,#204901,.T.); #276137=ORIENTED_EDGE('',*,*,#204902,.F.); #276138=ORIENTED_EDGE('',*,*,#204901,.F.); #276139=ORIENTED_EDGE('',*,*,#204903,.F.); #276140=ORIENTED_EDGE('',*,*,#204904,.T.); #276141=ORIENTED_EDGE('',*,*,#204905,.F.); #276142=ORIENTED_EDGE('',*,*,#204904,.F.); #276143=ORIENTED_EDGE('',*,*,#204906,.F.); #276144=ORIENTED_EDGE('',*,*,#204907,.T.); #276145=ORIENTED_EDGE('',*,*,#204908,.F.); #276146=ORIENTED_EDGE('',*,*,#204907,.F.); #276147=ORIENTED_EDGE('',*,*,#204909,.T.); #276148=ORIENTED_EDGE('',*,*,#204910,.T.); #276149=ORIENTED_EDGE('',*,*,#204911,.F.); #276150=ORIENTED_EDGE('',*,*,#204912,.F.); #276151=ORIENTED_EDGE('',*,*,#204913,.T.); #276152=ORIENTED_EDGE('',*,*,#204912,.T.); #276153=ORIENTED_EDGE('',*,*,#204914,.F.); #276154=ORIENTED_EDGE('',*,*,#204915,.F.); #276155=ORIENTED_EDGE('',*,*,#204916,.T.); #276156=ORIENTED_EDGE('',*,*,#204915,.T.); #276157=ORIENTED_EDGE('',*,*,#204917,.F.); #276158=ORIENTED_EDGE('',*,*,#204918,.F.); #276159=ORIENTED_EDGE('',*,*,#204919,.T.); #276160=ORIENTED_EDGE('',*,*,#204918,.T.); #276161=ORIENTED_EDGE('',*,*,#204920,.F.); #276162=ORIENTED_EDGE('',*,*,#204910,.F.); #276163=ORIENTED_EDGE('',*,*,#204920,.T.); #276164=ORIENTED_EDGE('',*,*,#204917,.T.); #276165=ORIENTED_EDGE('',*,*,#204914,.T.); #276166=ORIENTED_EDGE('',*,*,#204911,.T.); #276167=ORIENTED_EDGE('',*,*,#204764,.T.); #276168=ORIENTED_EDGE('',*,*,#204767,.T.); #276169=ORIENTED_EDGE('',*,*,#204770,.T.); #276170=ORIENTED_EDGE('',*,*,#204773,.T.); #276171=ORIENTED_EDGE('',*,*,#204776,.T.); #276172=ORIENTED_EDGE('',*,*,#204779,.T.); #276173=ORIENTED_EDGE('',*,*,#204782,.T.); #276174=ORIENTED_EDGE('',*,*,#204785,.T.); #276175=ORIENTED_EDGE('',*,*,#204788,.T.); #276176=ORIENTED_EDGE('',*,*,#204791,.T.); #276177=ORIENTED_EDGE('',*,*,#204794,.T.); #276178=ORIENTED_EDGE('',*,*,#204797,.T.); #276179=ORIENTED_EDGE('',*,*,#204800,.T.); #276180=ORIENTED_EDGE('',*,*,#204803,.T.); #276181=ORIENTED_EDGE('',*,*,#204806,.T.); #276182=ORIENTED_EDGE('',*,*,#204809,.T.); #276183=ORIENTED_EDGE('',*,*,#204812,.T.); #276184=ORIENTED_EDGE('',*,*,#204815,.T.); #276185=ORIENTED_EDGE('',*,*,#204818,.T.); #276186=ORIENTED_EDGE('',*,*,#204821,.T.); #276187=ORIENTED_EDGE('',*,*,#204824,.T.); #276188=ORIENTED_EDGE('',*,*,#204827,.T.); #276189=ORIENTED_EDGE('',*,*,#204830,.T.); #276190=ORIENTED_EDGE('',*,*,#204833,.T.); #276191=ORIENTED_EDGE('',*,*,#204836,.T.); #276192=ORIENTED_EDGE('',*,*,#204839,.T.); #276193=ORIENTED_EDGE('',*,*,#204842,.T.); #276194=ORIENTED_EDGE('',*,*,#204845,.T.); #276195=ORIENTED_EDGE('',*,*,#204848,.T.); #276196=ORIENTED_EDGE('',*,*,#204851,.T.); #276197=ORIENTED_EDGE('',*,*,#204854,.T.); #276198=ORIENTED_EDGE('',*,*,#204857,.T.); #276199=ORIENTED_EDGE('',*,*,#204860,.T.); #276200=ORIENTED_EDGE('',*,*,#204863,.T.); #276201=ORIENTED_EDGE('',*,*,#204866,.T.); #276202=ORIENTED_EDGE('',*,*,#204869,.T.); #276203=ORIENTED_EDGE('',*,*,#204872,.T.); #276204=ORIENTED_EDGE('',*,*,#204875,.T.); #276205=ORIENTED_EDGE('',*,*,#204878,.T.); #276206=ORIENTED_EDGE('',*,*,#204881,.T.); #276207=ORIENTED_EDGE('',*,*,#204884,.T.); #276208=ORIENTED_EDGE('',*,*,#204887,.T.); #276209=ORIENTED_EDGE('',*,*,#204890,.T.); #276210=ORIENTED_EDGE('',*,*,#204893,.T.); #276211=ORIENTED_EDGE('',*,*,#204896,.T.); #276212=ORIENTED_EDGE('',*,*,#204899,.T.); #276213=ORIENTED_EDGE('',*,*,#204902,.T.); #276214=ORIENTED_EDGE('',*,*,#204905,.T.); #276215=ORIENTED_EDGE('',*,*,#204908,.T.); #276216=ORIENTED_EDGE('',*,*,#204919,.F.); #276217=ORIENTED_EDGE('',*,*,#204909,.F.); #276218=ORIENTED_EDGE('',*,*,#204913,.F.); #276219=ORIENTED_EDGE('',*,*,#204916,.F.); #276220=ORIENTED_EDGE('',*,*,#204762,.T.); #276221=ORIENTED_EDGE('',*,*,#204765,.T.); #276222=ORIENTED_EDGE('',*,*,#204768,.T.); #276223=ORIENTED_EDGE('',*,*,#204771,.T.); #276224=ORIENTED_EDGE('',*,*,#204774,.T.); #276225=ORIENTED_EDGE('',*,*,#204777,.T.); #276226=ORIENTED_EDGE('',*,*,#204780,.T.); #276227=ORIENTED_EDGE('',*,*,#204783,.T.); #276228=ORIENTED_EDGE('',*,*,#204786,.T.); #276229=ORIENTED_EDGE('',*,*,#204789,.T.); #276230=ORIENTED_EDGE('',*,*,#204792,.T.); #276231=ORIENTED_EDGE('',*,*,#204795,.T.); #276232=ORIENTED_EDGE('',*,*,#204798,.T.); #276233=ORIENTED_EDGE('',*,*,#204801,.T.); #276234=ORIENTED_EDGE('',*,*,#204804,.T.); #276235=ORIENTED_EDGE('',*,*,#204807,.T.); #276236=ORIENTED_EDGE('',*,*,#204810,.T.); #276237=ORIENTED_EDGE('',*,*,#204813,.T.); #276238=ORIENTED_EDGE('',*,*,#204816,.T.); #276239=ORIENTED_EDGE('',*,*,#204819,.T.); #276240=ORIENTED_EDGE('',*,*,#204822,.T.); #276241=ORIENTED_EDGE('',*,*,#204825,.T.); #276242=ORIENTED_EDGE('',*,*,#204828,.T.); #276243=ORIENTED_EDGE('',*,*,#204831,.T.); #276244=ORIENTED_EDGE('',*,*,#204834,.T.); #276245=ORIENTED_EDGE('',*,*,#204837,.T.); #276246=ORIENTED_EDGE('',*,*,#204840,.T.); #276247=ORIENTED_EDGE('',*,*,#204843,.T.); #276248=ORIENTED_EDGE('',*,*,#204846,.T.); #276249=ORIENTED_EDGE('',*,*,#204849,.T.); #276250=ORIENTED_EDGE('',*,*,#204852,.T.); #276251=ORIENTED_EDGE('',*,*,#204855,.T.); #276252=ORIENTED_EDGE('',*,*,#204858,.T.); #276253=ORIENTED_EDGE('',*,*,#204861,.T.); #276254=ORIENTED_EDGE('',*,*,#204864,.T.); #276255=ORIENTED_EDGE('',*,*,#204867,.T.); #276256=ORIENTED_EDGE('',*,*,#204870,.T.); #276257=ORIENTED_EDGE('',*,*,#204873,.T.); #276258=ORIENTED_EDGE('',*,*,#204876,.T.); #276259=ORIENTED_EDGE('',*,*,#204879,.T.); #276260=ORIENTED_EDGE('',*,*,#204882,.T.); #276261=ORIENTED_EDGE('',*,*,#204885,.T.); #276262=ORIENTED_EDGE('',*,*,#204888,.T.); #276263=ORIENTED_EDGE('',*,*,#204891,.T.); #276264=ORIENTED_EDGE('',*,*,#204894,.T.); #276265=ORIENTED_EDGE('',*,*,#204897,.T.); #276266=ORIENTED_EDGE('',*,*,#204900,.T.); #276267=ORIENTED_EDGE('',*,*,#204903,.T.); #276268=ORIENTED_EDGE('',*,*,#204906,.T.); #276269=ORIENTED_EDGE('',*,*,#204921,.T.); #276270=ORIENTED_EDGE('',*,*,#204922,.T.); #276271=ORIENTED_EDGE('',*,*,#204923,.F.); #276272=ORIENTED_EDGE('',*,*,#204924,.F.); #276273=ORIENTED_EDGE('',*,*,#204925,.T.); #276274=ORIENTED_EDGE('',*,*,#204924,.T.); #276275=ORIENTED_EDGE('',*,*,#204926,.F.); #276276=ORIENTED_EDGE('',*,*,#204927,.F.); #276277=ORIENTED_EDGE('',*,*,#204928,.T.); #276278=ORIENTED_EDGE('',*,*,#204927,.T.); #276279=ORIENTED_EDGE('',*,*,#204929,.F.); #276280=ORIENTED_EDGE('',*,*,#204930,.F.); #276281=ORIENTED_EDGE('',*,*,#204931,.T.); #276282=ORIENTED_EDGE('',*,*,#204930,.T.); #276283=ORIENTED_EDGE('',*,*,#204932,.F.); #276284=ORIENTED_EDGE('',*,*,#204922,.F.); #276285=ORIENTED_EDGE('',*,*,#204932,.T.); #276286=ORIENTED_EDGE('',*,*,#204929,.T.); #276287=ORIENTED_EDGE('',*,*,#204926,.T.); #276288=ORIENTED_EDGE('',*,*,#204923,.T.); #276289=ORIENTED_EDGE('',*,*,#204931,.F.); #276290=ORIENTED_EDGE('',*,*,#204921,.F.); #276291=ORIENTED_EDGE('',*,*,#204925,.F.); #276292=ORIENTED_EDGE('',*,*,#204928,.F.); #276293=ORIENTED_EDGE('',*,*,#204933,.T.); #276294=ORIENTED_EDGE('',*,*,#204934,.T.); #276295=ORIENTED_EDGE('',*,*,#204935,.F.); #276296=ORIENTED_EDGE('',*,*,#204936,.F.); #276297=ORIENTED_EDGE('',*,*,#204937,.T.); #276298=ORIENTED_EDGE('',*,*,#204936,.T.); #276299=ORIENTED_EDGE('',*,*,#204938,.F.); #276300=ORIENTED_EDGE('',*,*,#204939,.F.); #276301=ORIENTED_EDGE('',*,*,#204940,.T.); #276302=ORIENTED_EDGE('',*,*,#204939,.T.); #276303=ORIENTED_EDGE('',*,*,#204941,.F.); #276304=ORIENTED_EDGE('',*,*,#204942,.F.); #276305=ORIENTED_EDGE('',*,*,#204943,.T.); #276306=ORIENTED_EDGE('',*,*,#204942,.T.); #276307=ORIENTED_EDGE('',*,*,#204944,.F.); #276308=ORIENTED_EDGE('',*,*,#204934,.F.); #276309=ORIENTED_EDGE('',*,*,#204944,.T.); #276310=ORIENTED_EDGE('',*,*,#204941,.T.); #276311=ORIENTED_EDGE('',*,*,#204938,.T.); #276312=ORIENTED_EDGE('',*,*,#204935,.T.); #276313=ORIENTED_EDGE('',*,*,#204943,.F.); #276314=ORIENTED_EDGE('',*,*,#204933,.F.); #276315=ORIENTED_EDGE('',*,*,#204937,.F.); #276316=ORIENTED_EDGE('',*,*,#204940,.F.); #276317=ORIENTED_EDGE('',*,*,#204945,.T.); #276318=ORIENTED_EDGE('',*,*,#204946,.T.); #276319=ORIENTED_EDGE('',*,*,#204947,.F.); #276320=ORIENTED_EDGE('',*,*,#204948,.F.); #276321=ORIENTED_EDGE('',*,*,#204949,.T.); #276322=ORIENTED_EDGE('',*,*,#204948,.T.); #276323=ORIENTED_EDGE('',*,*,#204950,.F.); #276324=ORIENTED_EDGE('',*,*,#204951,.F.); #276325=ORIENTED_EDGE('',*,*,#204952,.T.); #276326=ORIENTED_EDGE('',*,*,#204951,.T.); #276327=ORIENTED_EDGE('',*,*,#204953,.F.); #276328=ORIENTED_EDGE('',*,*,#204954,.F.); #276329=ORIENTED_EDGE('',*,*,#204955,.T.); #276330=ORIENTED_EDGE('',*,*,#204954,.T.); #276331=ORIENTED_EDGE('',*,*,#204956,.F.); #276332=ORIENTED_EDGE('',*,*,#204946,.F.); #276333=ORIENTED_EDGE('',*,*,#204956,.T.); #276334=ORIENTED_EDGE('',*,*,#204953,.T.); #276335=ORIENTED_EDGE('',*,*,#204950,.T.); #276336=ORIENTED_EDGE('',*,*,#204947,.T.); #276337=ORIENTED_EDGE('',*,*,#204955,.F.); #276338=ORIENTED_EDGE('',*,*,#204945,.F.); #276339=ORIENTED_EDGE('',*,*,#204949,.F.); #276340=ORIENTED_EDGE('',*,*,#204952,.F.); #276341=ORIENTED_EDGE('',*,*,#204957,.T.); #276342=ORIENTED_EDGE('',*,*,#204958,.T.); #276343=ORIENTED_EDGE('',*,*,#204959,.F.); #276344=ORIENTED_EDGE('',*,*,#204960,.F.); #276345=ORIENTED_EDGE('',*,*,#204961,.T.); #276346=ORIENTED_EDGE('',*,*,#204960,.T.); #276347=ORIENTED_EDGE('',*,*,#204962,.F.); #276348=ORIENTED_EDGE('',*,*,#204963,.F.); #276349=ORIENTED_EDGE('',*,*,#204964,.T.); #276350=ORIENTED_EDGE('',*,*,#204963,.T.); #276351=ORIENTED_EDGE('',*,*,#204965,.F.); #276352=ORIENTED_EDGE('',*,*,#204966,.F.); #276353=ORIENTED_EDGE('',*,*,#204967,.T.); #276354=ORIENTED_EDGE('',*,*,#204966,.T.); #276355=ORIENTED_EDGE('',*,*,#204968,.F.); #276356=ORIENTED_EDGE('',*,*,#204958,.F.); #276357=ORIENTED_EDGE('',*,*,#204968,.T.); #276358=ORIENTED_EDGE('',*,*,#204965,.T.); #276359=ORIENTED_EDGE('',*,*,#204962,.T.); #276360=ORIENTED_EDGE('',*,*,#204959,.T.); #276361=ORIENTED_EDGE('',*,*,#204967,.F.); #276362=ORIENTED_EDGE('',*,*,#204957,.F.); #276363=ORIENTED_EDGE('',*,*,#204961,.F.); #276364=ORIENTED_EDGE('',*,*,#204964,.F.); #276365=ORIENTED_EDGE('',*,*,#204969,.T.); #276366=ORIENTED_EDGE('',*,*,#204970,.T.); #276367=ORIENTED_EDGE('',*,*,#204971,.F.); #276368=ORIENTED_EDGE('',*,*,#204972,.F.); #276369=ORIENTED_EDGE('',*,*,#204973,.T.); #276370=ORIENTED_EDGE('',*,*,#204972,.T.); #276371=ORIENTED_EDGE('',*,*,#204974,.F.); #276372=ORIENTED_EDGE('',*,*,#204975,.F.); #276373=ORIENTED_EDGE('',*,*,#204976,.T.); #276374=ORIENTED_EDGE('',*,*,#204975,.T.); #276375=ORIENTED_EDGE('',*,*,#204977,.F.); #276376=ORIENTED_EDGE('',*,*,#204978,.F.); #276377=ORIENTED_EDGE('',*,*,#204979,.T.); #276378=ORIENTED_EDGE('',*,*,#204978,.T.); #276379=ORIENTED_EDGE('',*,*,#204980,.F.); #276380=ORIENTED_EDGE('',*,*,#204970,.F.); #276381=ORIENTED_EDGE('',*,*,#204980,.T.); #276382=ORIENTED_EDGE('',*,*,#204977,.T.); #276383=ORIENTED_EDGE('',*,*,#204974,.T.); #276384=ORIENTED_EDGE('',*,*,#204971,.T.); #276385=ORIENTED_EDGE('',*,*,#204979,.F.); #276386=ORIENTED_EDGE('',*,*,#204969,.F.); #276387=ORIENTED_EDGE('',*,*,#204973,.F.); #276388=ORIENTED_EDGE('',*,*,#204976,.F.); #276389=ORIENTED_EDGE('',*,*,#204981,.T.); #276390=ORIENTED_EDGE('',*,*,#204982,.T.); #276391=ORIENTED_EDGE('',*,*,#204983,.F.); #276392=ORIENTED_EDGE('',*,*,#204984,.F.); #276393=ORIENTED_EDGE('',*,*,#204985,.T.); #276394=ORIENTED_EDGE('',*,*,#204984,.T.); #276395=ORIENTED_EDGE('',*,*,#204986,.F.); #276396=ORIENTED_EDGE('',*,*,#204987,.F.); #276397=ORIENTED_EDGE('',*,*,#204988,.T.); #276398=ORIENTED_EDGE('',*,*,#204987,.T.); #276399=ORIENTED_EDGE('',*,*,#204989,.F.); #276400=ORIENTED_EDGE('',*,*,#204990,.F.); #276401=ORIENTED_EDGE('',*,*,#204991,.T.); #276402=ORIENTED_EDGE('',*,*,#204990,.T.); #276403=ORIENTED_EDGE('',*,*,#204992,.F.); #276404=ORIENTED_EDGE('',*,*,#204982,.F.); #276405=ORIENTED_EDGE('',*,*,#204992,.T.); #276406=ORIENTED_EDGE('',*,*,#204989,.T.); #276407=ORIENTED_EDGE('',*,*,#204986,.T.); #276408=ORIENTED_EDGE('',*,*,#204983,.T.); #276409=ORIENTED_EDGE('',*,*,#204991,.F.); #276410=ORIENTED_EDGE('',*,*,#204981,.F.); #276411=ORIENTED_EDGE('',*,*,#204985,.F.); #276412=ORIENTED_EDGE('',*,*,#204988,.F.); #276413=ORIENTED_EDGE('',*,*,#204993,.T.); #276414=ORIENTED_EDGE('',*,*,#204994,.T.); #276415=ORIENTED_EDGE('',*,*,#204995,.F.); #276416=ORIENTED_EDGE('',*,*,#204996,.F.); #276417=ORIENTED_EDGE('',*,*,#204997,.T.); #276418=ORIENTED_EDGE('',*,*,#204996,.T.); #276419=ORIENTED_EDGE('',*,*,#204998,.F.); #276420=ORIENTED_EDGE('',*,*,#204999,.F.); #276421=ORIENTED_EDGE('',*,*,#205000,.T.); #276422=ORIENTED_EDGE('',*,*,#204999,.T.); #276423=ORIENTED_EDGE('',*,*,#205001,.F.); #276424=ORIENTED_EDGE('',*,*,#205002,.F.); #276425=ORIENTED_EDGE('',*,*,#205003,.T.); #276426=ORIENTED_EDGE('',*,*,#205002,.T.); #276427=ORIENTED_EDGE('',*,*,#205004,.F.); #276428=ORIENTED_EDGE('',*,*,#204994,.F.); #276429=ORIENTED_EDGE('',*,*,#205004,.T.); #276430=ORIENTED_EDGE('',*,*,#205001,.T.); #276431=ORIENTED_EDGE('',*,*,#204998,.T.); #276432=ORIENTED_EDGE('',*,*,#204995,.T.); #276433=ORIENTED_EDGE('',*,*,#205003,.F.); #276434=ORIENTED_EDGE('',*,*,#204993,.F.); #276435=ORIENTED_EDGE('',*,*,#204997,.F.); #276436=ORIENTED_EDGE('',*,*,#205000,.F.); #276437=ORIENTED_EDGE('',*,*,#205005,.T.); #276438=ORIENTED_EDGE('',*,*,#205006,.T.); #276439=ORIENTED_EDGE('',*,*,#205007,.F.); #276440=ORIENTED_EDGE('',*,*,#205008,.F.); #276441=ORIENTED_EDGE('',*,*,#205009,.T.); #276442=ORIENTED_EDGE('',*,*,#205008,.T.); #276443=ORIENTED_EDGE('',*,*,#205010,.F.); #276444=ORIENTED_EDGE('',*,*,#205011,.F.); #276445=ORIENTED_EDGE('',*,*,#205012,.T.); #276446=ORIENTED_EDGE('',*,*,#205011,.T.); #276447=ORIENTED_EDGE('',*,*,#205013,.F.); #276448=ORIENTED_EDGE('',*,*,#205014,.F.); #276449=ORIENTED_EDGE('',*,*,#205015,.T.); #276450=ORIENTED_EDGE('',*,*,#205014,.T.); #276451=ORIENTED_EDGE('',*,*,#205016,.F.); #276452=ORIENTED_EDGE('',*,*,#205006,.F.); #276453=ORIENTED_EDGE('',*,*,#205016,.T.); #276454=ORIENTED_EDGE('',*,*,#205013,.T.); #276455=ORIENTED_EDGE('',*,*,#205010,.T.); #276456=ORIENTED_EDGE('',*,*,#205007,.T.); #276457=ORIENTED_EDGE('',*,*,#205015,.F.); #276458=ORIENTED_EDGE('',*,*,#205005,.F.); #276459=ORIENTED_EDGE('',*,*,#205009,.F.); #276460=ORIENTED_EDGE('',*,*,#205012,.F.); #276461=ORIENTED_EDGE('',*,*,#205017,.T.); #276462=ORIENTED_EDGE('',*,*,#205018,.T.); #276463=ORIENTED_EDGE('',*,*,#205019,.F.); #276464=ORIENTED_EDGE('',*,*,#205020,.F.); #276465=ORIENTED_EDGE('',*,*,#205021,.T.); #276466=ORIENTED_EDGE('',*,*,#205020,.T.); #276467=ORIENTED_EDGE('',*,*,#205022,.F.); #276468=ORIENTED_EDGE('',*,*,#205023,.F.); #276469=ORIENTED_EDGE('',*,*,#205024,.T.); #276470=ORIENTED_EDGE('',*,*,#205023,.T.); #276471=ORIENTED_EDGE('',*,*,#205025,.F.); #276472=ORIENTED_EDGE('',*,*,#205026,.F.); #276473=ORIENTED_EDGE('',*,*,#205027,.T.); #276474=ORIENTED_EDGE('',*,*,#205026,.T.); #276475=ORIENTED_EDGE('',*,*,#205028,.F.); #276476=ORIENTED_EDGE('',*,*,#205018,.F.); #276477=ORIENTED_EDGE('',*,*,#205028,.T.); #276478=ORIENTED_EDGE('',*,*,#205025,.T.); #276479=ORIENTED_EDGE('',*,*,#205022,.T.); #276480=ORIENTED_EDGE('',*,*,#205019,.T.); #276481=ORIENTED_EDGE('',*,*,#205027,.F.); #276482=ORIENTED_EDGE('',*,*,#205017,.F.); #276483=ORIENTED_EDGE('',*,*,#205021,.F.); #276484=ORIENTED_EDGE('',*,*,#205024,.F.); #276485=ORIENTED_EDGE('',*,*,#205029,.T.); #276486=ORIENTED_EDGE('',*,*,#205030,.T.); #276487=ORIENTED_EDGE('',*,*,#205031,.F.); #276488=ORIENTED_EDGE('',*,*,#205032,.F.); #276489=ORIENTED_EDGE('',*,*,#205033,.T.); #276490=ORIENTED_EDGE('',*,*,#205032,.T.); #276491=ORIENTED_EDGE('',*,*,#205034,.F.); #276492=ORIENTED_EDGE('',*,*,#205035,.F.); #276493=ORIENTED_EDGE('',*,*,#205036,.T.); #276494=ORIENTED_EDGE('',*,*,#205035,.T.); #276495=ORIENTED_EDGE('',*,*,#205037,.F.); #276496=ORIENTED_EDGE('',*,*,#205038,.F.); #276497=ORIENTED_EDGE('',*,*,#205039,.T.); #276498=ORIENTED_EDGE('',*,*,#205038,.T.); #276499=ORIENTED_EDGE('',*,*,#205040,.F.); #276500=ORIENTED_EDGE('',*,*,#205030,.F.); #276501=ORIENTED_EDGE('',*,*,#205040,.T.); #276502=ORIENTED_EDGE('',*,*,#205037,.T.); #276503=ORIENTED_EDGE('',*,*,#205034,.T.); #276504=ORIENTED_EDGE('',*,*,#205031,.T.); #276505=ORIENTED_EDGE('',*,*,#205039,.F.); #276506=ORIENTED_EDGE('',*,*,#205029,.F.); #276507=ORIENTED_EDGE('',*,*,#205033,.F.); #276508=ORIENTED_EDGE('',*,*,#205036,.F.); #276509=ORIENTED_EDGE('',*,*,#205041,.T.); #276510=ORIENTED_EDGE('',*,*,#205042,.T.); #276511=ORIENTED_EDGE('',*,*,#205043,.F.); #276512=ORIENTED_EDGE('',*,*,#205044,.F.); #276513=ORIENTED_EDGE('',*,*,#205045,.T.); #276514=ORIENTED_EDGE('',*,*,#205044,.T.); #276515=ORIENTED_EDGE('',*,*,#205046,.F.); #276516=ORIENTED_EDGE('',*,*,#205047,.F.); #276517=ORIENTED_EDGE('',*,*,#205048,.T.); #276518=ORIENTED_EDGE('',*,*,#205047,.T.); #276519=ORIENTED_EDGE('',*,*,#205049,.F.); #276520=ORIENTED_EDGE('',*,*,#205050,.F.); #276521=ORIENTED_EDGE('',*,*,#205051,.T.); #276522=ORIENTED_EDGE('',*,*,#205050,.T.); #276523=ORIENTED_EDGE('',*,*,#205052,.F.); #276524=ORIENTED_EDGE('',*,*,#205042,.F.); #276525=ORIENTED_EDGE('',*,*,#205052,.T.); #276526=ORIENTED_EDGE('',*,*,#205049,.T.); #276527=ORIENTED_EDGE('',*,*,#205046,.T.); #276528=ORIENTED_EDGE('',*,*,#205043,.T.); #276529=ORIENTED_EDGE('',*,*,#205051,.F.); #276530=ORIENTED_EDGE('',*,*,#205041,.F.); #276531=ORIENTED_EDGE('',*,*,#205045,.F.); #276532=ORIENTED_EDGE('',*,*,#205048,.F.); #276533=ORIENTED_EDGE('',*,*,#205053,.T.); #276534=ORIENTED_EDGE('',*,*,#205054,.T.); #276535=ORIENTED_EDGE('',*,*,#205055,.F.); #276536=ORIENTED_EDGE('',*,*,#205056,.F.); #276537=ORIENTED_EDGE('',*,*,#205057,.T.); #276538=ORIENTED_EDGE('',*,*,#205056,.T.); #276539=ORIENTED_EDGE('',*,*,#205058,.F.); #276540=ORIENTED_EDGE('',*,*,#205059,.F.); #276541=ORIENTED_EDGE('',*,*,#205060,.T.); #276542=ORIENTED_EDGE('',*,*,#205059,.T.); #276543=ORIENTED_EDGE('',*,*,#205061,.F.); #276544=ORIENTED_EDGE('',*,*,#205062,.F.); #276545=ORIENTED_EDGE('',*,*,#205063,.T.); #276546=ORIENTED_EDGE('',*,*,#205062,.T.); #276547=ORIENTED_EDGE('',*,*,#205064,.F.); #276548=ORIENTED_EDGE('',*,*,#205054,.F.); #276549=ORIENTED_EDGE('',*,*,#205064,.T.); #276550=ORIENTED_EDGE('',*,*,#205061,.T.); #276551=ORIENTED_EDGE('',*,*,#205058,.T.); #276552=ORIENTED_EDGE('',*,*,#205055,.T.); #276553=ORIENTED_EDGE('',*,*,#205063,.F.); #276554=ORIENTED_EDGE('',*,*,#205053,.F.); #276555=ORIENTED_EDGE('',*,*,#205057,.F.); #276556=ORIENTED_EDGE('',*,*,#205060,.F.); #276557=ORIENTED_EDGE('',*,*,#205065,.T.); #276558=ORIENTED_EDGE('',*,*,#205066,.T.); #276559=ORIENTED_EDGE('',*,*,#205067,.F.); #276560=ORIENTED_EDGE('',*,*,#205068,.F.); #276561=ORIENTED_EDGE('',*,*,#205069,.T.); #276562=ORIENTED_EDGE('',*,*,#205068,.T.); #276563=ORIENTED_EDGE('',*,*,#205070,.F.); #276564=ORIENTED_EDGE('',*,*,#205071,.F.); #276565=ORIENTED_EDGE('',*,*,#205072,.T.); #276566=ORIENTED_EDGE('',*,*,#205071,.T.); #276567=ORIENTED_EDGE('',*,*,#205073,.F.); #276568=ORIENTED_EDGE('',*,*,#205074,.F.); #276569=ORIENTED_EDGE('',*,*,#205075,.T.); #276570=ORIENTED_EDGE('',*,*,#205074,.T.); #276571=ORIENTED_EDGE('',*,*,#205076,.F.); #276572=ORIENTED_EDGE('',*,*,#205066,.F.); #276573=ORIENTED_EDGE('',*,*,#205076,.T.); #276574=ORIENTED_EDGE('',*,*,#205073,.T.); #276575=ORIENTED_EDGE('',*,*,#205070,.T.); #276576=ORIENTED_EDGE('',*,*,#205067,.T.); #276577=ORIENTED_EDGE('',*,*,#205075,.F.); #276578=ORIENTED_EDGE('',*,*,#205065,.F.); #276579=ORIENTED_EDGE('',*,*,#205069,.F.); #276580=ORIENTED_EDGE('',*,*,#205072,.F.); #276581=ORIENTED_EDGE('',*,*,#205077,.T.); #276582=ORIENTED_EDGE('',*,*,#205078,.T.); #276583=ORIENTED_EDGE('',*,*,#205079,.F.); #276584=ORIENTED_EDGE('',*,*,#205080,.F.); #276585=ORIENTED_EDGE('',*,*,#205081,.T.); #276586=ORIENTED_EDGE('',*,*,#205080,.T.); #276587=ORIENTED_EDGE('',*,*,#205082,.F.); #276588=ORIENTED_EDGE('',*,*,#205083,.F.); #276589=ORIENTED_EDGE('',*,*,#205084,.T.); #276590=ORIENTED_EDGE('',*,*,#205083,.T.); #276591=ORIENTED_EDGE('',*,*,#205085,.F.); #276592=ORIENTED_EDGE('',*,*,#205086,.F.); #276593=ORIENTED_EDGE('',*,*,#205087,.T.); #276594=ORIENTED_EDGE('',*,*,#205086,.T.); #276595=ORIENTED_EDGE('',*,*,#205088,.F.); #276596=ORIENTED_EDGE('',*,*,#205078,.F.); #276597=ORIENTED_EDGE('',*,*,#205088,.T.); #276598=ORIENTED_EDGE('',*,*,#205085,.T.); #276599=ORIENTED_EDGE('',*,*,#205082,.T.); #276600=ORIENTED_EDGE('',*,*,#205079,.T.); #276601=ORIENTED_EDGE('',*,*,#205087,.F.); #276602=ORIENTED_EDGE('',*,*,#205077,.F.); #276603=ORIENTED_EDGE('',*,*,#205081,.F.); #276604=ORIENTED_EDGE('',*,*,#205084,.F.); #276605=ORIENTED_EDGE('',*,*,#205089,.T.); #276606=ORIENTED_EDGE('',*,*,#205090,.T.); #276607=ORIENTED_EDGE('',*,*,#205091,.F.); #276608=ORIENTED_EDGE('',*,*,#205092,.F.); #276609=ORIENTED_EDGE('',*,*,#205093,.T.); #276610=ORIENTED_EDGE('',*,*,#205092,.T.); #276611=ORIENTED_EDGE('',*,*,#205094,.F.); #276612=ORIENTED_EDGE('',*,*,#205095,.F.); #276613=ORIENTED_EDGE('',*,*,#205096,.T.); #276614=ORIENTED_EDGE('',*,*,#205095,.T.); #276615=ORIENTED_EDGE('',*,*,#205097,.F.); #276616=ORIENTED_EDGE('',*,*,#205098,.F.); #276617=ORIENTED_EDGE('',*,*,#205099,.T.); #276618=ORIENTED_EDGE('',*,*,#205098,.T.); #276619=ORIENTED_EDGE('',*,*,#205100,.F.); #276620=ORIENTED_EDGE('',*,*,#205090,.F.); #276621=ORIENTED_EDGE('',*,*,#205100,.T.); #276622=ORIENTED_EDGE('',*,*,#205097,.T.); #276623=ORIENTED_EDGE('',*,*,#205094,.T.); #276624=ORIENTED_EDGE('',*,*,#205091,.T.); #276625=ORIENTED_EDGE('',*,*,#205099,.F.); #276626=ORIENTED_EDGE('',*,*,#205089,.F.); #276627=ORIENTED_EDGE('',*,*,#205093,.F.); #276628=ORIENTED_EDGE('',*,*,#205096,.F.); #276629=ORIENTED_EDGE('',*,*,#205101,.T.); #276630=ORIENTED_EDGE('',*,*,#205102,.T.); #276631=ORIENTED_EDGE('',*,*,#205103,.F.); #276632=ORIENTED_EDGE('',*,*,#205104,.F.); #276633=ORIENTED_EDGE('',*,*,#205105,.T.); #276634=ORIENTED_EDGE('',*,*,#205104,.T.); #276635=ORIENTED_EDGE('',*,*,#205106,.F.); #276636=ORIENTED_EDGE('',*,*,#205107,.F.); #276637=ORIENTED_EDGE('',*,*,#205108,.T.); #276638=ORIENTED_EDGE('',*,*,#205107,.T.); #276639=ORIENTED_EDGE('',*,*,#205109,.F.); #276640=ORIENTED_EDGE('',*,*,#205110,.F.); #276641=ORIENTED_EDGE('',*,*,#205111,.T.); #276642=ORIENTED_EDGE('',*,*,#205110,.T.); #276643=ORIENTED_EDGE('',*,*,#205112,.F.); #276644=ORIENTED_EDGE('',*,*,#205102,.F.); #276645=ORIENTED_EDGE('',*,*,#205112,.T.); #276646=ORIENTED_EDGE('',*,*,#205109,.T.); #276647=ORIENTED_EDGE('',*,*,#205106,.T.); #276648=ORIENTED_EDGE('',*,*,#205103,.T.); #276649=ORIENTED_EDGE('',*,*,#205111,.F.); #276650=ORIENTED_EDGE('',*,*,#205101,.F.); #276651=ORIENTED_EDGE('',*,*,#205105,.F.); #276652=ORIENTED_EDGE('',*,*,#205108,.F.); #276653=ORIENTED_EDGE('',*,*,#205113,.T.); #276654=ORIENTED_EDGE('',*,*,#205114,.T.); #276655=ORIENTED_EDGE('',*,*,#205115,.F.); #276656=ORIENTED_EDGE('',*,*,#205116,.F.); #276657=ORIENTED_EDGE('',*,*,#205117,.T.); #276658=ORIENTED_EDGE('',*,*,#205116,.T.); #276659=ORIENTED_EDGE('',*,*,#205118,.F.); #276660=ORIENTED_EDGE('',*,*,#205119,.F.); #276661=ORIENTED_EDGE('',*,*,#205120,.T.); #276662=ORIENTED_EDGE('',*,*,#205119,.T.); #276663=ORIENTED_EDGE('',*,*,#205121,.F.); #276664=ORIENTED_EDGE('',*,*,#205122,.F.); #276665=ORIENTED_EDGE('',*,*,#205123,.T.); #276666=ORIENTED_EDGE('',*,*,#205122,.T.); #276667=ORIENTED_EDGE('',*,*,#205124,.F.); #276668=ORIENTED_EDGE('',*,*,#205114,.F.); #276669=ORIENTED_EDGE('',*,*,#205124,.T.); #276670=ORIENTED_EDGE('',*,*,#205121,.T.); #276671=ORIENTED_EDGE('',*,*,#205118,.T.); #276672=ORIENTED_EDGE('',*,*,#205115,.T.); #276673=ORIENTED_EDGE('',*,*,#205123,.F.); #276674=ORIENTED_EDGE('',*,*,#205113,.F.); #276675=ORIENTED_EDGE('',*,*,#205117,.F.); #276676=ORIENTED_EDGE('',*,*,#205120,.F.); #276677=ORIENTED_EDGE('',*,*,#205125,.T.); #276678=ORIENTED_EDGE('',*,*,#205126,.T.); #276679=ORIENTED_EDGE('',*,*,#205127,.F.); #276680=ORIENTED_EDGE('',*,*,#205128,.F.); #276681=ORIENTED_EDGE('',*,*,#205129,.T.); #276682=ORIENTED_EDGE('',*,*,#205128,.T.); #276683=ORIENTED_EDGE('',*,*,#205130,.F.); #276684=ORIENTED_EDGE('',*,*,#205131,.F.); #276685=ORIENTED_EDGE('',*,*,#205132,.T.); #276686=ORIENTED_EDGE('',*,*,#205131,.T.); #276687=ORIENTED_EDGE('',*,*,#205133,.F.); #276688=ORIENTED_EDGE('',*,*,#205134,.F.); #276689=ORIENTED_EDGE('',*,*,#205135,.T.); #276690=ORIENTED_EDGE('',*,*,#205134,.T.); #276691=ORIENTED_EDGE('',*,*,#205136,.F.); #276692=ORIENTED_EDGE('',*,*,#205126,.F.); #276693=ORIENTED_EDGE('',*,*,#205136,.T.); #276694=ORIENTED_EDGE('',*,*,#205133,.T.); #276695=ORIENTED_EDGE('',*,*,#205130,.T.); #276696=ORIENTED_EDGE('',*,*,#205127,.T.); #276697=ORIENTED_EDGE('',*,*,#205135,.F.); #276698=ORIENTED_EDGE('',*,*,#205125,.F.); #276699=ORIENTED_EDGE('',*,*,#205129,.F.); #276700=ORIENTED_EDGE('',*,*,#205132,.F.); #276701=ORIENTED_EDGE('',*,*,#205137,.F.); #276702=ORIENTED_EDGE('',*,*,#205138,.T.); #276703=ORIENTED_EDGE('',*,*,#205139,.F.); #276704=ORIENTED_EDGE('',*,*,#205138,.F.); #276705=ORIENTED_EDGE('',*,*,#205140,.T.); #276706=ORIENTED_EDGE('',*,*,#205141,.T.); #276707=ORIENTED_EDGE('',*,*,#205142,.F.); #276708=ORIENTED_EDGE('',*,*,#205143,.F.); #276709=ORIENTED_EDGE('',*,*,#205144,.T.); #276710=ORIENTED_EDGE('',*,*,#205143,.T.); #276711=ORIENTED_EDGE('',*,*,#205145,.F.); #276712=ORIENTED_EDGE('',*,*,#205146,.F.); #276713=ORIENTED_EDGE('',*,*,#205147,.T.); #276714=ORIENTED_EDGE('',*,*,#205146,.T.); #276715=ORIENTED_EDGE('',*,*,#205148,.F.); #276716=ORIENTED_EDGE('',*,*,#205149,.F.); #276717=ORIENTED_EDGE('',*,*,#205150,.T.); #276718=ORIENTED_EDGE('',*,*,#205149,.T.); #276719=ORIENTED_EDGE('',*,*,#205151,.F.); #276720=ORIENTED_EDGE('',*,*,#205141,.F.); #276721=ORIENTED_EDGE('',*,*,#205151,.T.); #276722=ORIENTED_EDGE('',*,*,#205148,.T.); #276723=ORIENTED_EDGE('',*,*,#205145,.T.); #276724=ORIENTED_EDGE('',*,*,#205142,.T.); #276725=ORIENTED_EDGE('',*,*,#205139,.T.); #276726=ORIENTED_EDGE('',*,*,#205150,.F.); #276727=ORIENTED_EDGE('',*,*,#205140,.F.); #276728=ORIENTED_EDGE('',*,*,#205144,.F.); #276729=ORIENTED_EDGE('',*,*,#205147,.F.); #276730=ORIENTED_EDGE('',*,*,#205137,.T.); #276731=ORIENTED_EDGE('',*,*,#205152,.T.); #276732=ORIENTED_EDGE('',*,*,#205153,.T.); #276733=ORIENTED_EDGE('',*,*,#205154,.F.); #276734=ORIENTED_EDGE('',*,*,#205155,.F.); #276735=ORIENTED_EDGE('',*,*,#205156,.T.); #276736=ORIENTED_EDGE('',*,*,#205155,.T.); #276737=ORIENTED_EDGE('',*,*,#205157,.F.); #276738=ORIENTED_EDGE('',*,*,#205158,.F.); #276739=ORIENTED_EDGE('',*,*,#205159,.T.); #276740=ORIENTED_EDGE('',*,*,#205158,.T.); #276741=ORIENTED_EDGE('',*,*,#205160,.F.); #276742=ORIENTED_EDGE('',*,*,#205161,.F.); #276743=ORIENTED_EDGE('',*,*,#205162,.T.); #276744=ORIENTED_EDGE('',*,*,#205161,.T.); #276745=ORIENTED_EDGE('',*,*,#205163,.F.); #276746=ORIENTED_EDGE('',*,*,#205153,.F.); #276747=ORIENTED_EDGE('',*,*,#205163,.T.); #276748=ORIENTED_EDGE('',*,*,#205160,.T.); #276749=ORIENTED_EDGE('',*,*,#205157,.T.); #276750=ORIENTED_EDGE('',*,*,#205154,.T.); #276751=ORIENTED_EDGE('',*,*,#205162,.F.); #276752=ORIENTED_EDGE('',*,*,#205152,.F.); #276753=ORIENTED_EDGE('',*,*,#205156,.F.); #276754=ORIENTED_EDGE('',*,*,#205159,.F.); #276755=ORIENTED_EDGE('',*,*,#205164,.T.); #276756=ORIENTED_EDGE('',*,*,#205165,.T.); #276757=ORIENTED_EDGE('',*,*,#205166,.F.); #276758=ORIENTED_EDGE('',*,*,#205167,.F.); #276759=ORIENTED_EDGE('',*,*,#205168,.T.); #276760=ORIENTED_EDGE('',*,*,#205167,.T.); #276761=ORIENTED_EDGE('',*,*,#205169,.F.); #276762=ORIENTED_EDGE('',*,*,#205170,.F.); #276763=ORIENTED_EDGE('',*,*,#205171,.T.); #276764=ORIENTED_EDGE('',*,*,#205170,.T.); #276765=ORIENTED_EDGE('',*,*,#205172,.F.); #276766=ORIENTED_EDGE('',*,*,#205173,.F.); #276767=ORIENTED_EDGE('',*,*,#205174,.T.); #276768=ORIENTED_EDGE('',*,*,#205173,.T.); #276769=ORIENTED_EDGE('',*,*,#205175,.F.); #276770=ORIENTED_EDGE('',*,*,#205165,.F.); #276771=ORIENTED_EDGE('',*,*,#205175,.T.); #276772=ORIENTED_EDGE('',*,*,#205172,.T.); #276773=ORIENTED_EDGE('',*,*,#205169,.T.); #276774=ORIENTED_EDGE('',*,*,#205166,.T.); #276775=ORIENTED_EDGE('',*,*,#205174,.F.); #276776=ORIENTED_EDGE('',*,*,#205164,.F.); #276777=ORIENTED_EDGE('',*,*,#205168,.F.); #276778=ORIENTED_EDGE('',*,*,#205171,.F.); #276779=ORIENTED_EDGE('',*,*,#205176,.F.); #276780=ORIENTED_EDGE('',*,*,#205177,.T.); #276781=ORIENTED_EDGE('',*,*,#205178,.F.); #276782=ORIENTED_EDGE('',*,*,#205177,.F.); #276783=ORIENTED_EDGE('',*,*,#205179,.T.); #276784=ORIENTED_EDGE('',*,*,#205180,.T.); #276785=ORIENTED_EDGE('',*,*,#205181,.F.); #276786=ORIENTED_EDGE('',*,*,#205182,.F.); #276787=ORIENTED_EDGE('',*,*,#205183,.T.); #276788=ORIENTED_EDGE('',*,*,#205182,.T.); #276789=ORIENTED_EDGE('',*,*,#205184,.F.); #276790=ORIENTED_EDGE('',*,*,#205185,.F.); #276791=ORIENTED_EDGE('',*,*,#205186,.T.); #276792=ORIENTED_EDGE('',*,*,#205185,.T.); #276793=ORIENTED_EDGE('',*,*,#205187,.F.); #276794=ORIENTED_EDGE('',*,*,#205188,.F.); #276795=ORIENTED_EDGE('',*,*,#205189,.T.); #276796=ORIENTED_EDGE('',*,*,#205188,.T.); #276797=ORIENTED_EDGE('',*,*,#205190,.F.); #276798=ORIENTED_EDGE('',*,*,#205180,.F.); #276799=ORIENTED_EDGE('',*,*,#205190,.T.); #276800=ORIENTED_EDGE('',*,*,#205187,.T.); #276801=ORIENTED_EDGE('',*,*,#205184,.T.); #276802=ORIENTED_EDGE('',*,*,#205181,.T.); #276803=ORIENTED_EDGE('',*,*,#205178,.T.); #276804=ORIENTED_EDGE('',*,*,#205189,.F.); #276805=ORIENTED_EDGE('',*,*,#205179,.F.); #276806=ORIENTED_EDGE('',*,*,#205183,.F.); #276807=ORIENTED_EDGE('',*,*,#205186,.F.); #276808=ORIENTED_EDGE('',*,*,#205176,.T.); #276809=ORIENTED_EDGE('',*,*,#205191,.T.); #276810=ORIENTED_EDGE('',*,*,#205192,.T.); #276811=ORIENTED_EDGE('',*,*,#205193,.F.); #276812=ORIENTED_EDGE('',*,*,#205194,.F.); #276813=ORIENTED_EDGE('',*,*,#205195,.T.); #276814=ORIENTED_EDGE('',*,*,#205194,.T.); #276815=ORIENTED_EDGE('',*,*,#205196,.F.); #276816=ORIENTED_EDGE('',*,*,#205197,.F.); #276817=ORIENTED_EDGE('',*,*,#205198,.T.); #276818=ORIENTED_EDGE('',*,*,#205197,.T.); #276819=ORIENTED_EDGE('',*,*,#205199,.F.); #276820=ORIENTED_EDGE('',*,*,#205200,.F.); #276821=ORIENTED_EDGE('',*,*,#205201,.T.); #276822=ORIENTED_EDGE('',*,*,#205200,.T.); #276823=ORIENTED_EDGE('',*,*,#205202,.F.); #276824=ORIENTED_EDGE('',*,*,#205192,.F.); #276825=ORIENTED_EDGE('',*,*,#205202,.T.); #276826=ORIENTED_EDGE('',*,*,#205199,.T.); #276827=ORIENTED_EDGE('',*,*,#205196,.T.); #276828=ORIENTED_EDGE('',*,*,#205193,.T.); #276829=ORIENTED_EDGE('',*,*,#205201,.F.); #276830=ORIENTED_EDGE('',*,*,#205191,.F.); #276831=ORIENTED_EDGE('',*,*,#205195,.F.); #276832=ORIENTED_EDGE('',*,*,#205198,.F.); #276833=ORIENTED_EDGE('',*,*,#205203,.T.); #276834=ORIENTED_EDGE('',*,*,#205204,.T.); #276835=ORIENTED_EDGE('',*,*,#205205,.F.); #276836=ORIENTED_EDGE('',*,*,#205206,.F.); #276837=ORIENTED_EDGE('',*,*,#205207,.T.); #276838=ORIENTED_EDGE('',*,*,#205206,.T.); #276839=ORIENTED_EDGE('',*,*,#205208,.F.); #276840=ORIENTED_EDGE('',*,*,#205209,.F.); #276841=ORIENTED_EDGE('',*,*,#205210,.T.); #276842=ORIENTED_EDGE('',*,*,#205209,.T.); #276843=ORIENTED_EDGE('',*,*,#205211,.F.); #276844=ORIENTED_EDGE('',*,*,#205212,.F.); #276845=ORIENTED_EDGE('',*,*,#205213,.T.); #276846=ORIENTED_EDGE('',*,*,#205212,.T.); #276847=ORIENTED_EDGE('',*,*,#205214,.F.); #276848=ORIENTED_EDGE('',*,*,#205204,.F.); #276849=ORIENTED_EDGE('',*,*,#205214,.T.); #276850=ORIENTED_EDGE('',*,*,#205211,.T.); #276851=ORIENTED_EDGE('',*,*,#205208,.T.); #276852=ORIENTED_EDGE('',*,*,#205205,.T.); #276853=ORIENTED_EDGE('',*,*,#205213,.F.); #276854=ORIENTED_EDGE('',*,*,#205203,.F.); #276855=ORIENTED_EDGE('',*,*,#205207,.F.); #276856=ORIENTED_EDGE('',*,*,#205210,.F.); #276857=ORIENTED_EDGE('',*,*,#205215,.T.); #276858=ORIENTED_EDGE('',*,*,#205216,.T.); #276859=ORIENTED_EDGE('',*,*,#205217,.F.); #276860=ORIENTED_EDGE('',*,*,#205218,.F.); #276861=ORIENTED_EDGE('',*,*,#205219,.T.); #276862=ORIENTED_EDGE('',*,*,#205218,.T.); #276863=ORIENTED_EDGE('',*,*,#205220,.F.); #276864=ORIENTED_EDGE('',*,*,#205221,.F.); #276865=ORIENTED_EDGE('',*,*,#205222,.T.); #276866=ORIENTED_EDGE('',*,*,#205221,.T.); #276867=ORIENTED_EDGE('',*,*,#205223,.F.); #276868=ORIENTED_EDGE('',*,*,#205224,.F.); #276869=ORIENTED_EDGE('',*,*,#205225,.T.); #276870=ORIENTED_EDGE('',*,*,#205224,.T.); #276871=ORIENTED_EDGE('',*,*,#205226,.F.); #276872=ORIENTED_EDGE('',*,*,#205216,.F.); #276873=ORIENTED_EDGE('',*,*,#205226,.T.); #276874=ORIENTED_EDGE('',*,*,#205223,.T.); #276875=ORIENTED_EDGE('',*,*,#205220,.T.); #276876=ORIENTED_EDGE('',*,*,#205217,.T.); #276877=ORIENTED_EDGE('',*,*,#205225,.F.); #276878=ORIENTED_EDGE('',*,*,#205215,.F.); #276879=ORIENTED_EDGE('',*,*,#205219,.F.); #276880=ORIENTED_EDGE('',*,*,#205222,.F.); #276881=ORIENTED_EDGE('',*,*,#205227,.T.); #276882=ORIENTED_EDGE('',*,*,#205228,.T.); #276883=ORIENTED_EDGE('',*,*,#205229,.F.); #276884=ORIENTED_EDGE('',*,*,#205230,.F.); #276885=ORIENTED_EDGE('',*,*,#205231,.T.); #276886=ORIENTED_EDGE('',*,*,#205230,.T.); #276887=ORIENTED_EDGE('',*,*,#205232,.F.); #276888=ORIENTED_EDGE('',*,*,#205233,.F.); #276889=ORIENTED_EDGE('',*,*,#205234,.T.); #276890=ORIENTED_EDGE('',*,*,#205233,.T.); #276891=ORIENTED_EDGE('',*,*,#205235,.F.); #276892=ORIENTED_EDGE('',*,*,#205236,.F.); #276893=ORIENTED_EDGE('',*,*,#205237,.T.); #276894=ORIENTED_EDGE('',*,*,#205236,.T.); #276895=ORIENTED_EDGE('',*,*,#205238,.F.); #276896=ORIENTED_EDGE('',*,*,#205228,.F.); #276897=ORIENTED_EDGE('',*,*,#205238,.T.); #276898=ORIENTED_EDGE('',*,*,#205235,.T.); #276899=ORIENTED_EDGE('',*,*,#205232,.T.); #276900=ORIENTED_EDGE('',*,*,#205229,.T.); #276901=ORIENTED_EDGE('',*,*,#205237,.F.); #276902=ORIENTED_EDGE('',*,*,#205227,.F.); #276903=ORIENTED_EDGE('',*,*,#205231,.F.); #276904=ORIENTED_EDGE('',*,*,#205234,.F.); #276905=ORIENTED_EDGE('',*,*,#205239,.T.); #276906=ORIENTED_EDGE('',*,*,#205240,.T.); #276907=ORIENTED_EDGE('',*,*,#205241,.F.); #276908=ORIENTED_EDGE('',*,*,#205242,.F.); #276909=ORIENTED_EDGE('',*,*,#205243,.T.); #276910=ORIENTED_EDGE('',*,*,#205242,.T.); #276911=ORIENTED_EDGE('',*,*,#205244,.F.); #276912=ORIENTED_EDGE('',*,*,#205245,.F.); #276913=ORIENTED_EDGE('',*,*,#205246,.T.); #276914=ORIENTED_EDGE('',*,*,#205245,.T.); #276915=ORIENTED_EDGE('',*,*,#205247,.F.); #276916=ORIENTED_EDGE('',*,*,#205248,.F.); #276917=ORIENTED_EDGE('',*,*,#205249,.T.); #276918=ORIENTED_EDGE('',*,*,#205248,.T.); #276919=ORIENTED_EDGE('',*,*,#205250,.F.); #276920=ORIENTED_EDGE('',*,*,#205240,.F.); #276921=ORIENTED_EDGE('',*,*,#205250,.T.); #276922=ORIENTED_EDGE('',*,*,#205247,.T.); #276923=ORIENTED_EDGE('',*,*,#205244,.T.); #276924=ORIENTED_EDGE('',*,*,#205241,.T.); #276925=ORIENTED_EDGE('',*,*,#205249,.F.); #276926=ORIENTED_EDGE('',*,*,#205239,.F.); #276927=ORIENTED_EDGE('',*,*,#205243,.F.); #276928=ORIENTED_EDGE('',*,*,#205246,.F.); #276929=ORIENTED_EDGE('',*,*,#205251,.T.); #276930=ORIENTED_EDGE('',*,*,#205252,.T.); #276931=ORIENTED_EDGE('',*,*,#205253,.F.); #276932=ORIENTED_EDGE('',*,*,#205254,.F.); #276933=ORIENTED_EDGE('',*,*,#205255,.T.); #276934=ORIENTED_EDGE('',*,*,#205254,.T.); #276935=ORIENTED_EDGE('',*,*,#205256,.F.); #276936=ORIENTED_EDGE('',*,*,#205257,.F.); #276937=ORIENTED_EDGE('',*,*,#205258,.T.); #276938=ORIENTED_EDGE('',*,*,#205257,.T.); #276939=ORIENTED_EDGE('',*,*,#205259,.F.); #276940=ORIENTED_EDGE('',*,*,#205260,.F.); #276941=ORIENTED_EDGE('',*,*,#205261,.T.); #276942=ORIENTED_EDGE('',*,*,#205260,.T.); #276943=ORIENTED_EDGE('',*,*,#205262,.F.); #276944=ORIENTED_EDGE('',*,*,#205252,.F.); #276945=ORIENTED_EDGE('',*,*,#205262,.T.); #276946=ORIENTED_EDGE('',*,*,#205259,.T.); #276947=ORIENTED_EDGE('',*,*,#205256,.T.); #276948=ORIENTED_EDGE('',*,*,#205253,.T.); #276949=ORIENTED_EDGE('',*,*,#205261,.F.); #276950=ORIENTED_EDGE('',*,*,#205251,.F.); #276951=ORIENTED_EDGE('',*,*,#205255,.F.); #276952=ORIENTED_EDGE('',*,*,#205258,.F.); #276953=ORIENTED_EDGE('',*,*,#205263,.T.); #276954=ORIENTED_EDGE('',*,*,#205264,.T.); #276955=ORIENTED_EDGE('',*,*,#205265,.F.); #276956=ORIENTED_EDGE('',*,*,#205266,.F.); #276957=ORIENTED_EDGE('',*,*,#205267,.T.); #276958=ORIENTED_EDGE('',*,*,#205266,.T.); #276959=ORIENTED_EDGE('',*,*,#205268,.F.); #276960=ORIENTED_EDGE('',*,*,#205269,.F.); #276961=ORIENTED_EDGE('',*,*,#205270,.T.); #276962=ORIENTED_EDGE('',*,*,#205269,.T.); #276963=ORIENTED_EDGE('',*,*,#205271,.F.); #276964=ORIENTED_EDGE('',*,*,#205272,.F.); #276965=ORIENTED_EDGE('',*,*,#205273,.T.); #276966=ORIENTED_EDGE('',*,*,#205272,.T.); #276967=ORIENTED_EDGE('',*,*,#205274,.F.); #276968=ORIENTED_EDGE('',*,*,#205264,.F.); #276969=ORIENTED_EDGE('',*,*,#205274,.T.); #276970=ORIENTED_EDGE('',*,*,#205271,.T.); #276971=ORIENTED_EDGE('',*,*,#205268,.T.); #276972=ORIENTED_EDGE('',*,*,#205265,.T.); #276973=ORIENTED_EDGE('',*,*,#205273,.F.); #276974=ORIENTED_EDGE('',*,*,#205263,.F.); #276975=ORIENTED_EDGE('',*,*,#205267,.F.); #276976=ORIENTED_EDGE('',*,*,#205270,.F.); #276977=ORIENTED_EDGE('',*,*,#205275,.T.); #276978=ORIENTED_EDGE('',*,*,#205276,.T.); #276979=ORIENTED_EDGE('',*,*,#205277,.F.); #276980=ORIENTED_EDGE('',*,*,#205278,.F.); #276981=ORIENTED_EDGE('',*,*,#205279,.T.); #276982=ORIENTED_EDGE('',*,*,#205278,.T.); #276983=ORIENTED_EDGE('',*,*,#205280,.F.); #276984=ORIENTED_EDGE('',*,*,#205281,.F.); #276985=ORIENTED_EDGE('',*,*,#205282,.T.); #276986=ORIENTED_EDGE('',*,*,#205281,.T.); #276987=ORIENTED_EDGE('',*,*,#205283,.F.); #276988=ORIENTED_EDGE('',*,*,#205284,.F.); #276989=ORIENTED_EDGE('',*,*,#205285,.T.); #276990=ORIENTED_EDGE('',*,*,#205284,.T.); #276991=ORIENTED_EDGE('',*,*,#205286,.F.); #276992=ORIENTED_EDGE('',*,*,#205276,.F.); #276993=ORIENTED_EDGE('',*,*,#205286,.T.); #276994=ORIENTED_EDGE('',*,*,#205283,.T.); #276995=ORIENTED_EDGE('',*,*,#205280,.T.); #276996=ORIENTED_EDGE('',*,*,#205277,.T.); #276997=ORIENTED_EDGE('',*,*,#205285,.F.); #276998=ORIENTED_EDGE('',*,*,#205275,.F.); #276999=ORIENTED_EDGE('',*,*,#205279,.F.); #277000=ORIENTED_EDGE('',*,*,#205282,.F.); #277001=ORIENTED_EDGE('',*,*,#205287,.T.); #277002=ORIENTED_EDGE('',*,*,#205288,.T.); #277003=ORIENTED_EDGE('',*,*,#205289,.F.); #277004=ORIENTED_EDGE('',*,*,#205290,.F.); #277005=ORIENTED_EDGE('',*,*,#205291,.T.); #277006=ORIENTED_EDGE('',*,*,#205290,.T.); #277007=ORIENTED_EDGE('',*,*,#205292,.F.); #277008=ORIENTED_EDGE('',*,*,#205293,.F.); #277009=ORIENTED_EDGE('',*,*,#205294,.T.); #277010=ORIENTED_EDGE('',*,*,#205293,.T.); #277011=ORIENTED_EDGE('',*,*,#205295,.F.); #277012=ORIENTED_EDGE('',*,*,#205296,.F.); #277013=ORIENTED_EDGE('',*,*,#205297,.T.); #277014=ORIENTED_EDGE('',*,*,#205296,.T.); #277015=ORIENTED_EDGE('',*,*,#205298,.F.); #277016=ORIENTED_EDGE('',*,*,#205288,.F.); #277017=ORIENTED_EDGE('',*,*,#205298,.T.); #277018=ORIENTED_EDGE('',*,*,#205295,.T.); #277019=ORIENTED_EDGE('',*,*,#205292,.T.); #277020=ORIENTED_EDGE('',*,*,#205289,.T.); #277021=ORIENTED_EDGE('',*,*,#205297,.F.); #277022=ORIENTED_EDGE('',*,*,#205287,.F.); #277023=ORIENTED_EDGE('',*,*,#205291,.F.); #277024=ORIENTED_EDGE('',*,*,#205294,.F.); #277025=ORIENTED_EDGE('',*,*,#205299,.T.); #277026=ORIENTED_EDGE('',*,*,#205300,.T.); #277027=ORIENTED_EDGE('',*,*,#205301,.F.); #277028=ORIENTED_EDGE('',*,*,#205302,.F.); #277029=ORIENTED_EDGE('',*,*,#205303,.T.); #277030=ORIENTED_EDGE('',*,*,#205302,.T.); #277031=ORIENTED_EDGE('',*,*,#205304,.F.); #277032=ORIENTED_EDGE('',*,*,#205305,.F.); #277033=ORIENTED_EDGE('',*,*,#205306,.T.); #277034=ORIENTED_EDGE('',*,*,#205305,.T.); #277035=ORIENTED_EDGE('',*,*,#205307,.F.); #277036=ORIENTED_EDGE('',*,*,#205308,.F.); #277037=ORIENTED_EDGE('',*,*,#205309,.T.); #277038=ORIENTED_EDGE('',*,*,#205308,.T.); #277039=ORIENTED_EDGE('',*,*,#205310,.F.); #277040=ORIENTED_EDGE('',*,*,#205300,.F.); #277041=ORIENTED_EDGE('',*,*,#205310,.T.); #277042=ORIENTED_EDGE('',*,*,#205307,.T.); #277043=ORIENTED_EDGE('',*,*,#205304,.T.); #277044=ORIENTED_EDGE('',*,*,#205301,.T.); #277045=ORIENTED_EDGE('',*,*,#205309,.F.); #277046=ORIENTED_EDGE('',*,*,#205299,.F.); #277047=ORIENTED_EDGE('',*,*,#205303,.F.); #277048=ORIENTED_EDGE('',*,*,#205306,.F.); #277049=ORIENTED_EDGE('',*,*,#205311,.T.); #277050=ORIENTED_EDGE('',*,*,#205312,.T.); #277051=ORIENTED_EDGE('',*,*,#205313,.F.); #277052=ORIENTED_EDGE('',*,*,#205314,.F.); #277053=ORIENTED_EDGE('',*,*,#205315,.T.); #277054=ORIENTED_EDGE('',*,*,#205314,.T.); #277055=ORIENTED_EDGE('',*,*,#205316,.F.); #277056=ORIENTED_EDGE('',*,*,#205317,.F.); #277057=ORIENTED_EDGE('',*,*,#205318,.T.); #277058=ORIENTED_EDGE('',*,*,#205317,.T.); #277059=ORIENTED_EDGE('',*,*,#205319,.F.); #277060=ORIENTED_EDGE('',*,*,#205320,.F.); #277061=ORIENTED_EDGE('',*,*,#205321,.T.); #277062=ORIENTED_EDGE('',*,*,#205320,.T.); #277063=ORIENTED_EDGE('',*,*,#205322,.F.); #277064=ORIENTED_EDGE('',*,*,#205312,.F.); #277065=ORIENTED_EDGE('',*,*,#205322,.T.); #277066=ORIENTED_EDGE('',*,*,#205319,.T.); #277067=ORIENTED_EDGE('',*,*,#205316,.T.); #277068=ORIENTED_EDGE('',*,*,#205313,.T.); #277069=ORIENTED_EDGE('',*,*,#205321,.F.); #277070=ORIENTED_EDGE('',*,*,#205311,.F.); #277071=ORIENTED_EDGE('',*,*,#205315,.F.); #277072=ORIENTED_EDGE('',*,*,#205318,.F.); #277073=ORIENTED_EDGE('',*,*,#205323,.F.); #277074=ORIENTED_EDGE('',*,*,#205324,.F.); #277075=ORIENTED_EDGE('',*,*,#205325,.F.); #277076=ORIENTED_EDGE('',*,*,#205326,.F.); #277077=ORIENTED_EDGE('',*,*,#205327,.F.); #277078=ORIENTED_EDGE('',*,*,#205328,.F.); #277079=ORIENTED_EDGE('',*,*,#205329,.F.); #277080=ORIENTED_EDGE('',*,*,#205330,.F.); #277081=ORIENTED_EDGE('',*,*,#205331,.F.); #277082=ORIENTED_EDGE('',*,*,#205332,.T.); #277083=ORIENTED_EDGE('',*,*,#205333,.F.); #277084=ORIENTED_EDGE('',*,*,#205332,.F.); #277085=ORIENTED_EDGE('',*,*,#205334,.F.); #277086=ORIENTED_EDGE('',*,*,#205335,.F.); #277087=ORIENTED_EDGE('',*,*,#205336,.F.); #277088=ORIENTED_EDGE('',*,*,#205337,.F.); #277089=ORIENTED_EDGE('',*,*,#205338,.F.); #277090=ORIENTED_EDGE('',*,*,#205339,.F.); #277091=ORIENTED_EDGE('',*,*,#205340,.F.); #277092=ORIENTED_EDGE('',*,*,#205341,.F.); #277093=ORIENTED_EDGE('',*,*,#205342,.F.); #277094=ORIENTED_EDGE('',*,*,#205343,.F.); #277095=ORIENTED_EDGE('',*,*,#205344,.F.); #277096=ORIENTED_EDGE('',*,*,#205345,.F.); #277097=ORIENTED_EDGE('',*,*,#205346,.F.); #277098=ORIENTED_EDGE('',*,*,#205347,.F.); #277099=ORIENTED_EDGE('',*,*,#205348,.F.); #277100=ORIENTED_EDGE('',*,*,#205349,.F.); #277101=ORIENTED_EDGE('',*,*,#205350,.F.); #277102=ORIENTED_EDGE('',*,*,#205351,.F.); #277103=ORIENTED_EDGE('',*,*,#205352,.F.); #277104=ORIENTED_EDGE('',*,*,#205353,.F.); #277105=ORIENTED_EDGE('',*,*,#205354,.F.); #277106=ORIENTED_EDGE('',*,*,#205355,.F.); #277107=ORIENTED_EDGE('',*,*,#205356,.F.); #277108=ORIENTED_EDGE('',*,*,#205357,.F.); #277109=ORIENTED_EDGE('',*,*,#205323,.T.); #277110=ORIENTED_EDGE('',*,*,#205358,.F.); #277111=ORIENTED_EDGE('',*,*,#205359,.F.); #277112=ORIENTED_EDGE('',*,*,#205360,.T.); #277113=ORIENTED_EDGE('',*,*,#205325,.T.); #277114=ORIENTED_EDGE('',*,*,#205361,.T.); #277115=ORIENTED_EDGE('',*,*,#205327,.T.); #277116=ORIENTED_EDGE('',*,*,#205362,.F.); #277117=ORIENTED_EDGE('',*,*,#205342,.T.); #277118=ORIENTED_EDGE('',*,*,#205363,.F.); #277119=ORIENTED_EDGE('',*,*,#205364,.F.); #277120=ORIENTED_EDGE('',*,*,#205365,.T.); #277121=ORIENTED_EDGE('',*,*,#205344,.T.); #277122=ORIENTED_EDGE('',*,*,#205366,.T.); #277123=ORIENTED_EDGE('',*,*,#205346,.T.); #277124=ORIENTED_EDGE('',*,*,#205367,.F.); #277125=ORIENTED_EDGE('',*,*,#205338,.T.); #277126=ORIENTED_EDGE('',*,*,#205368,.F.); #277127=ORIENTED_EDGE('',*,*,#205348,.T.); #277128=ORIENTED_EDGE('',*,*,#205369,.T.); #277129=ORIENTED_EDGE('',*,*,#205340,.T.); #277130=ORIENTED_EDGE('',*,*,#205370,.T.); #277131=ORIENTED_EDGE('',*,*,#205359,.T.); #277132=ORIENTED_EDGE('',*,*,#205371,.F.); #277133=ORIENTED_EDGE('',*,*,#205334,.T.); #277134=ORIENTED_EDGE('',*,*,#205372,.F.); #277135=ORIENTED_EDGE('',*,*,#205352,.T.); #277136=ORIENTED_EDGE('',*,*,#205373,.T.); #277137=ORIENTED_EDGE('',*,*,#205336,.T.); #277138=ORIENTED_EDGE('',*,*,#205374,.T.); #277139=ORIENTED_EDGE('',*,*,#205364,.T.); #277140=ORIENTED_EDGE('',*,*,#205375,.F.); #277141=ORIENTED_EDGE('',*,*,#205350,.T.); #277142=ORIENTED_EDGE('',*,*,#205376,.F.); #277143=ORIENTED_EDGE('',*,*,#205354,.T.); #277144=ORIENTED_EDGE('',*,*,#205377,.T.); #277145=ORIENTED_EDGE('',*,*,#205329,.T.); #277146=ORIENTED_EDGE('',*,*,#205378,.T.); #277147=ORIENTED_EDGE('',*,*,#205356,.T.); #277148=ORIENTED_EDGE('',*,*,#205379,.F.); #277149=ORIENTED_EDGE('',*,*,#205324,.T.); #277150=ORIENTED_EDGE('',*,*,#205360,.F.); #277151=ORIENTED_EDGE('',*,*,#205370,.F.); #277152=ORIENTED_EDGE('',*,*,#205339,.T.); #277153=ORIENTED_EDGE('',*,*,#205369,.F.); #277154=ORIENTED_EDGE('',*,*,#205347,.T.); #277155=ORIENTED_EDGE('',*,*,#205366,.F.); #277156=ORIENTED_EDGE('',*,*,#205343,.T.); #277157=ORIENTED_EDGE('',*,*,#205365,.F.); #277158=ORIENTED_EDGE('',*,*,#205374,.F.); #277159=ORIENTED_EDGE('',*,*,#205335,.T.); #277160=ORIENTED_EDGE('',*,*,#205373,.F.); #277161=ORIENTED_EDGE('',*,*,#205351,.T.); #277162=ORIENTED_EDGE('',*,*,#205377,.F.); #277163=ORIENTED_EDGE('',*,*,#205357,.T.); #277164=ORIENTED_EDGE('',*,*,#205378,.F.); #277165=ORIENTED_EDGE('',*,*,#205328,.T.); #277166=ORIENTED_EDGE('',*,*,#205361,.F.); #277167=ORIENTED_EDGE('',*,*,#205331,.T.); #277168=ORIENTED_EDGE('',*,*,#205326,.T.); #277169=ORIENTED_EDGE('',*,*,#205362,.T.); #277170=ORIENTED_EDGE('',*,*,#205330,.T.); #277171=ORIENTED_EDGE('',*,*,#205379,.T.); #277172=ORIENTED_EDGE('',*,*,#205355,.T.); #277173=ORIENTED_EDGE('',*,*,#205376,.T.); #277174=ORIENTED_EDGE('',*,*,#205353,.T.); #277175=ORIENTED_EDGE('',*,*,#205372,.T.); #277176=ORIENTED_EDGE('',*,*,#205337,.T.); #277177=ORIENTED_EDGE('',*,*,#205375,.T.); #277178=ORIENTED_EDGE('',*,*,#205363,.T.); #277179=ORIENTED_EDGE('',*,*,#205345,.T.); #277180=ORIENTED_EDGE('',*,*,#205367,.T.); #277181=ORIENTED_EDGE('',*,*,#205349,.T.); #277182=ORIENTED_EDGE('',*,*,#205368,.T.); #277183=ORIENTED_EDGE('',*,*,#205341,.T.); #277184=ORIENTED_EDGE('',*,*,#205371,.T.); #277185=ORIENTED_EDGE('',*,*,#205358,.T.); #277186=ORIENTED_EDGE('',*,*,#205333,.T.); #277187=ORIENTED_EDGE('',*,*,#205380,.F.); #277188=ORIENTED_EDGE('',*,*,#205381,.T.); #277189=ORIENTED_EDGE('',*,*,#205382,.F.); #277190=ORIENTED_EDGE('',*,*,#205381,.F.); #277191=ORIENTED_EDGE('',*,*,#205383,.T.); #277192=ORIENTED_EDGE('',*,*,#205384,.T.); #277193=ORIENTED_EDGE('',*,*,#205385,.F.); #277194=ORIENTED_EDGE('',*,*,#205386,.F.); #277195=ORIENTED_EDGE('',*,*,#205387,.T.); #277196=ORIENTED_EDGE('',*,*,#205386,.T.); #277197=ORIENTED_EDGE('',*,*,#205388,.F.); #277198=ORIENTED_EDGE('',*,*,#205389,.F.); #277199=ORIENTED_EDGE('',*,*,#205390,.T.); #277200=ORIENTED_EDGE('',*,*,#205389,.T.); #277201=ORIENTED_EDGE('',*,*,#205391,.F.); #277202=ORIENTED_EDGE('',*,*,#205392,.F.); #277203=ORIENTED_EDGE('',*,*,#205393,.T.); #277204=ORIENTED_EDGE('',*,*,#205392,.T.); #277205=ORIENTED_EDGE('',*,*,#205394,.F.); #277206=ORIENTED_EDGE('',*,*,#205384,.F.); #277207=ORIENTED_EDGE('',*,*,#205394,.T.); #277208=ORIENTED_EDGE('',*,*,#205391,.T.); #277209=ORIENTED_EDGE('',*,*,#205388,.T.); #277210=ORIENTED_EDGE('',*,*,#205385,.T.); #277211=ORIENTED_EDGE('',*,*,#205382,.T.); #277212=ORIENTED_EDGE('',*,*,#205393,.F.); #277213=ORIENTED_EDGE('',*,*,#205383,.F.); #277214=ORIENTED_EDGE('',*,*,#205387,.F.); #277215=ORIENTED_EDGE('',*,*,#205390,.F.); #277216=ORIENTED_EDGE('',*,*,#205380,.T.); #277217=ORIENTED_EDGE('',*,*,#205395,.T.); #277218=ORIENTED_EDGE('',*,*,#205396,.T.); #277219=ORIENTED_EDGE('',*,*,#205397,.F.); #277220=ORIENTED_EDGE('',*,*,#205398,.F.); #277221=ORIENTED_EDGE('',*,*,#205399,.T.); #277222=ORIENTED_EDGE('',*,*,#205398,.T.); #277223=ORIENTED_EDGE('',*,*,#205400,.F.); #277224=ORIENTED_EDGE('',*,*,#205401,.F.); #277225=ORIENTED_EDGE('',*,*,#205402,.T.); #277226=ORIENTED_EDGE('',*,*,#205401,.T.); #277227=ORIENTED_EDGE('',*,*,#205403,.F.); #277228=ORIENTED_EDGE('',*,*,#205404,.F.); #277229=ORIENTED_EDGE('',*,*,#205405,.T.); #277230=ORIENTED_EDGE('',*,*,#205404,.T.); #277231=ORIENTED_EDGE('',*,*,#205406,.F.); #277232=ORIENTED_EDGE('',*,*,#205396,.F.); #277233=ORIENTED_EDGE('',*,*,#205406,.T.); #277234=ORIENTED_EDGE('',*,*,#205403,.T.); #277235=ORIENTED_EDGE('',*,*,#205400,.T.); #277236=ORIENTED_EDGE('',*,*,#205397,.T.); #277237=ORIENTED_EDGE('',*,*,#205405,.F.); #277238=ORIENTED_EDGE('',*,*,#205395,.F.); #277239=ORIENTED_EDGE('',*,*,#205399,.F.); #277240=ORIENTED_EDGE('',*,*,#205402,.F.); #277241=ORIENTED_EDGE('',*,*,#205407,.T.); #277242=ORIENTED_EDGE('',*,*,#205408,.T.); #277243=ORIENTED_EDGE('',*,*,#205409,.F.); #277244=ORIENTED_EDGE('',*,*,#205410,.F.); #277245=ORIENTED_EDGE('',*,*,#205411,.T.); #277246=ORIENTED_EDGE('',*,*,#205410,.T.); #277247=ORIENTED_EDGE('',*,*,#205412,.F.); #277248=ORIENTED_EDGE('',*,*,#205413,.F.); #277249=ORIENTED_EDGE('',*,*,#205414,.T.); #277250=ORIENTED_EDGE('',*,*,#205413,.T.); #277251=ORIENTED_EDGE('',*,*,#205415,.F.); #277252=ORIENTED_EDGE('',*,*,#205416,.F.); #277253=ORIENTED_EDGE('',*,*,#205417,.T.); #277254=ORIENTED_EDGE('',*,*,#205416,.T.); #277255=ORIENTED_EDGE('',*,*,#205418,.F.); #277256=ORIENTED_EDGE('',*,*,#205408,.F.); #277257=ORIENTED_EDGE('',*,*,#205418,.T.); #277258=ORIENTED_EDGE('',*,*,#205415,.T.); #277259=ORIENTED_EDGE('',*,*,#205412,.T.); #277260=ORIENTED_EDGE('',*,*,#205409,.T.); #277261=ORIENTED_EDGE('',*,*,#205417,.F.); #277262=ORIENTED_EDGE('',*,*,#205407,.F.); #277263=ORIENTED_EDGE('',*,*,#205411,.F.); #277264=ORIENTED_EDGE('',*,*,#205414,.F.); #277265=ORIENTED_EDGE('',*,*,#205419,.T.); #277266=ORIENTED_EDGE('',*,*,#205420,.T.); #277267=ORIENTED_EDGE('',*,*,#205421,.F.); #277268=ORIENTED_EDGE('',*,*,#205422,.F.); #277269=ORIENTED_EDGE('',*,*,#205423,.T.); #277270=ORIENTED_EDGE('',*,*,#205422,.T.); #277271=ORIENTED_EDGE('',*,*,#205424,.F.); #277272=ORIENTED_EDGE('',*,*,#205425,.F.); #277273=ORIENTED_EDGE('',*,*,#205426,.T.); #277274=ORIENTED_EDGE('',*,*,#205425,.T.); #277275=ORIENTED_EDGE('',*,*,#205427,.F.); #277276=ORIENTED_EDGE('',*,*,#205428,.F.); #277277=ORIENTED_EDGE('',*,*,#205429,.T.); #277278=ORIENTED_EDGE('',*,*,#205428,.T.); #277279=ORIENTED_EDGE('',*,*,#205430,.F.); #277280=ORIENTED_EDGE('',*,*,#205420,.F.); #277281=ORIENTED_EDGE('',*,*,#205430,.T.); #277282=ORIENTED_EDGE('',*,*,#205427,.T.); #277283=ORIENTED_EDGE('',*,*,#205424,.T.); #277284=ORIENTED_EDGE('',*,*,#205421,.T.); #277285=ORIENTED_EDGE('',*,*,#205429,.F.); #277286=ORIENTED_EDGE('',*,*,#205419,.F.); #277287=ORIENTED_EDGE('',*,*,#205423,.F.); #277288=ORIENTED_EDGE('',*,*,#205426,.F.); #277289=ORIENTED_EDGE('',*,*,#205431,.T.); #277290=ORIENTED_EDGE('',*,*,#205432,.T.); #277291=ORIENTED_EDGE('',*,*,#205433,.F.); #277292=ORIENTED_EDGE('',*,*,#205434,.F.); #277293=ORIENTED_EDGE('',*,*,#205435,.T.); #277294=ORIENTED_EDGE('',*,*,#205434,.T.); #277295=ORIENTED_EDGE('',*,*,#205436,.F.); #277296=ORIENTED_EDGE('',*,*,#205437,.F.); #277297=ORIENTED_EDGE('',*,*,#205438,.T.); #277298=ORIENTED_EDGE('',*,*,#205437,.T.); #277299=ORIENTED_EDGE('',*,*,#205439,.F.); #277300=ORIENTED_EDGE('',*,*,#205440,.F.); #277301=ORIENTED_EDGE('',*,*,#205441,.T.); #277302=ORIENTED_EDGE('',*,*,#205440,.T.); #277303=ORIENTED_EDGE('',*,*,#205442,.F.); #277304=ORIENTED_EDGE('',*,*,#205432,.F.); #277305=ORIENTED_EDGE('',*,*,#205442,.T.); #277306=ORIENTED_EDGE('',*,*,#205439,.T.); #277307=ORIENTED_EDGE('',*,*,#205436,.T.); #277308=ORIENTED_EDGE('',*,*,#205433,.T.); #277309=ORIENTED_EDGE('',*,*,#205441,.F.); #277310=ORIENTED_EDGE('',*,*,#205431,.F.); #277311=ORIENTED_EDGE('',*,*,#205435,.F.); #277312=ORIENTED_EDGE('',*,*,#205438,.F.); #277313=ORIENTED_EDGE('',*,*,#205443,.T.); #277314=ORIENTED_EDGE('',*,*,#205444,.T.); #277315=ORIENTED_EDGE('',*,*,#205445,.F.); #277316=ORIENTED_EDGE('',*,*,#205446,.F.); #277317=ORIENTED_EDGE('',*,*,#205447,.T.); #277318=ORIENTED_EDGE('',*,*,#205446,.T.); #277319=ORIENTED_EDGE('',*,*,#205448,.F.); #277320=ORIENTED_EDGE('',*,*,#205449,.F.); #277321=ORIENTED_EDGE('',*,*,#205450,.T.); #277322=ORIENTED_EDGE('',*,*,#205449,.T.); #277323=ORIENTED_EDGE('',*,*,#205451,.F.); #277324=ORIENTED_EDGE('',*,*,#205452,.F.); #277325=ORIENTED_EDGE('',*,*,#205453,.T.); #277326=ORIENTED_EDGE('',*,*,#205452,.T.); #277327=ORIENTED_EDGE('',*,*,#205454,.F.); #277328=ORIENTED_EDGE('',*,*,#205444,.F.); #277329=ORIENTED_EDGE('',*,*,#205454,.T.); #277330=ORIENTED_EDGE('',*,*,#205451,.T.); #277331=ORIENTED_EDGE('',*,*,#205448,.T.); #277332=ORIENTED_EDGE('',*,*,#205445,.T.); #277333=ORIENTED_EDGE('',*,*,#205453,.F.); #277334=ORIENTED_EDGE('',*,*,#205443,.F.); #277335=ORIENTED_EDGE('',*,*,#205447,.F.); #277336=ORIENTED_EDGE('',*,*,#205450,.F.); #277337=ORIENTED_EDGE('',*,*,#205455,.F.); #277338=ORIENTED_EDGE('',*,*,#205456,.T.); #277339=ORIENTED_EDGE('',*,*,#205457,.F.); #277340=ORIENTED_EDGE('',*,*,#205456,.F.); #277341=ORIENTED_EDGE('',*,*,#205458,.T.); #277342=ORIENTED_EDGE('',*,*,#205459,.T.); #277343=ORIENTED_EDGE('',*,*,#205460,.F.); #277344=ORIENTED_EDGE('',*,*,#205461,.F.); #277345=ORIENTED_EDGE('',*,*,#205462,.T.); #277346=ORIENTED_EDGE('',*,*,#205461,.T.); #277347=ORIENTED_EDGE('',*,*,#205463,.F.); #277348=ORIENTED_EDGE('',*,*,#205464,.F.); #277349=ORIENTED_EDGE('',*,*,#205465,.T.); #277350=ORIENTED_EDGE('',*,*,#205464,.T.); #277351=ORIENTED_EDGE('',*,*,#205466,.F.); #277352=ORIENTED_EDGE('',*,*,#205467,.F.); #277353=ORIENTED_EDGE('',*,*,#205468,.T.); #277354=ORIENTED_EDGE('',*,*,#205467,.T.); #277355=ORIENTED_EDGE('',*,*,#205469,.F.); #277356=ORIENTED_EDGE('',*,*,#205459,.F.); #277357=ORIENTED_EDGE('',*,*,#205469,.T.); #277358=ORIENTED_EDGE('',*,*,#205466,.T.); #277359=ORIENTED_EDGE('',*,*,#205463,.T.); #277360=ORIENTED_EDGE('',*,*,#205460,.T.); #277361=ORIENTED_EDGE('',*,*,#205457,.T.); #277362=ORIENTED_EDGE('',*,*,#205468,.F.); #277363=ORIENTED_EDGE('',*,*,#205458,.F.); #277364=ORIENTED_EDGE('',*,*,#205462,.F.); #277365=ORIENTED_EDGE('',*,*,#205465,.F.); #277366=ORIENTED_EDGE('',*,*,#205455,.T.); #277367=ORIENTED_EDGE('',*,*,#205470,.F.); #277368=ORIENTED_EDGE('',*,*,#205471,.T.); #277369=ORIENTED_EDGE('',*,*,#205472,.F.); #277370=ORIENTED_EDGE('',*,*,#205471,.F.); #277371=ORIENTED_EDGE('',*,*,#205473,.T.); #277372=ORIENTED_EDGE('',*,*,#205474,.T.); #277373=ORIENTED_EDGE('',*,*,#205475,.F.); #277374=ORIENTED_EDGE('',*,*,#205476,.F.); #277375=ORIENTED_EDGE('',*,*,#205477,.T.); #277376=ORIENTED_EDGE('',*,*,#205476,.T.); #277377=ORIENTED_EDGE('',*,*,#205478,.F.); #277378=ORIENTED_EDGE('',*,*,#205479,.F.); #277379=ORIENTED_EDGE('',*,*,#205480,.T.); #277380=ORIENTED_EDGE('',*,*,#205479,.T.); #277381=ORIENTED_EDGE('',*,*,#205481,.F.); #277382=ORIENTED_EDGE('',*,*,#205482,.F.); #277383=ORIENTED_EDGE('',*,*,#205483,.T.); #277384=ORIENTED_EDGE('',*,*,#205482,.T.); #277385=ORIENTED_EDGE('',*,*,#205484,.F.); #277386=ORIENTED_EDGE('',*,*,#205474,.F.); #277387=ORIENTED_EDGE('',*,*,#205484,.T.); #277388=ORIENTED_EDGE('',*,*,#205481,.T.); #277389=ORIENTED_EDGE('',*,*,#205478,.T.); #277390=ORIENTED_EDGE('',*,*,#205475,.T.); #277391=ORIENTED_EDGE('',*,*,#205472,.T.); #277392=ORIENTED_EDGE('',*,*,#205483,.F.); #277393=ORIENTED_EDGE('',*,*,#205473,.F.); #277394=ORIENTED_EDGE('',*,*,#205477,.F.); #277395=ORIENTED_EDGE('',*,*,#205480,.F.); #277396=ORIENTED_EDGE('',*,*,#205470,.T.); #277397=ORIENTED_EDGE('',*,*,#205485,.T.); #277398=ORIENTED_EDGE('',*,*,#205486,.T.); #277399=ORIENTED_EDGE('',*,*,#205487,.F.); #277400=ORIENTED_EDGE('',*,*,#205488,.F.); #277401=ORIENTED_EDGE('',*,*,#205489,.T.); #277402=ORIENTED_EDGE('',*,*,#205488,.T.); #277403=ORIENTED_EDGE('',*,*,#205490,.F.); #277404=ORIENTED_EDGE('',*,*,#205491,.F.); #277405=ORIENTED_EDGE('',*,*,#205492,.T.); #277406=ORIENTED_EDGE('',*,*,#205491,.T.); #277407=ORIENTED_EDGE('',*,*,#205493,.F.); #277408=ORIENTED_EDGE('',*,*,#205494,.F.); #277409=ORIENTED_EDGE('',*,*,#205495,.T.); #277410=ORIENTED_EDGE('',*,*,#205494,.T.); #277411=ORIENTED_EDGE('',*,*,#205496,.F.); #277412=ORIENTED_EDGE('',*,*,#205486,.F.); #277413=ORIENTED_EDGE('',*,*,#205496,.T.); #277414=ORIENTED_EDGE('',*,*,#205493,.T.); #277415=ORIENTED_EDGE('',*,*,#205490,.T.); #277416=ORIENTED_EDGE('',*,*,#205487,.T.); #277417=ORIENTED_EDGE('',*,*,#205495,.F.); #277418=ORIENTED_EDGE('',*,*,#205485,.F.); #277419=ORIENTED_EDGE('',*,*,#205489,.F.); #277420=ORIENTED_EDGE('',*,*,#205492,.F.); #277421=ORIENTED_EDGE('',*,*,#205497,.T.); #277422=ORIENTED_EDGE('',*,*,#205498,.T.); #277423=ORIENTED_EDGE('',*,*,#205499,.F.); #277424=ORIENTED_EDGE('',*,*,#205500,.F.); #277425=ORIENTED_EDGE('',*,*,#205501,.T.); #277426=ORIENTED_EDGE('',*,*,#205500,.T.); #277427=ORIENTED_EDGE('',*,*,#205502,.F.); #277428=ORIENTED_EDGE('',*,*,#205503,.F.); #277429=ORIENTED_EDGE('',*,*,#205504,.T.); #277430=ORIENTED_EDGE('',*,*,#205503,.T.); #277431=ORIENTED_EDGE('',*,*,#205505,.F.); #277432=ORIENTED_EDGE('',*,*,#205506,.F.); #277433=ORIENTED_EDGE('',*,*,#205507,.T.); #277434=ORIENTED_EDGE('',*,*,#205506,.T.); #277435=ORIENTED_EDGE('',*,*,#205508,.F.); #277436=ORIENTED_EDGE('',*,*,#205498,.F.); #277437=ORIENTED_EDGE('',*,*,#205508,.T.); #277438=ORIENTED_EDGE('',*,*,#205505,.T.); #277439=ORIENTED_EDGE('',*,*,#205502,.T.); #277440=ORIENTED_EDGE('',*,*,#205499,.T.); #277441=ORIENTED_EDGE('',*,*,#205507,.F.); #277442=ORIENTED_EDGE('',*,*,#205497,.F.); #277443=ORIENTED_EDGE('',*,*,#205501,.F.); #277444=ORIENTED_EDGE('',*,*,#205504,.F.); #277445=ORIENTED_EDGE('',*,*,#205509,.T.); #277446=ORIENTED_EDGE('',*,*,#205510,.T.); #277447=ORIENTED_EDGE('',*,*,#205511,.F.); #277448=ORIENTED_EDGE('',*,*,#205512,.F.); #277449=ORIENTED_EDGE('',*,*,#205513,.T.); #277450=ORIENTED_EDGE('',*,*,#205512,.T.); #277451=ORIENTED_EDGE('',*,*,#205514,.F.); #277452=ORIENTED_EDGE('',*,*,#205515,.F.); #277453=ORIENTED_EDGE('',*,*,#205516,.T.); #277454=ORIENTED_EDGE('',*,*,#205515,.T.); #277455=ORIENTED_EDGE('',*,*,#205517,.F.); #277456=ORIENTED_EDGE('',*,*,#205518,.F.); #277457=ORIENTED_EDGE('',*,*,#205519,.T.); #277458=ORIENTED_EDGE('',*,*,#205518,.T.); #277459=ORIENTED_EDGE('',*,*,#205520,.F.); #277460=ORIENTED_EDGE('',*,*,#205510,.F.); #277461=ORIENTED_EDGE('',*,*,#205520,.T.); #277462=ORIENTED_EDGE('',*,*,#205517,.T.); #277463=ORIENTED_EDGE('',*,*,#205514,.T.); #277464=ORIENTED_EDGE('',*,*,#205511,.T.); #277465=ORIENTED_EDGE('',*,*,#205519,.F.); #277466=ORIENTED_EDGE('',*,*,#205509,.F.); #277467=ORIENTED_EDGE('',*,*,#205513,.F.); #277468=ORIENTED_EDGE('',*,*,#205516,.F.); #277469=ORIENTED_EDGE('',*,*,#205521,.T.); #277470=ORIENTED_EDGE('',*,*,#205522,.T.); #277471=ORIENTED_EDGE('',*,*,#205523,.F.); #277472=ORIENTED_EDGE('',*,*,#205524,.F.); #277473=ORIENTED_EDGE('',*,*,#205525,.T.); #277474=ORIENTED_EDGE('',*,*,#205524,.T.); #277475=ORIENTED_EDGE('',*,*,#205526,.F.); #277476=ORIENTED_EDGE('',*,*,#205527,.F.); #277477=ORIENTED_EDGE('',*,*,#205528,.T.); #277478=ORIENTED_EDGE('',*,*,#205527,.T.); #277479=ORIENTED_EDGE('',*,*,#205529,.F.); #277480=ORIENTED_EDGE('',*,*,#205530,.F.); #277481=ORIENTED_EDGE('',*,*,#205531,.T.); #277482=ORIENTED_EDGE('',*,*,#205530,.T.); #277483=ORIENTED_EDGE('',*,*,#205532,.F.); #277484=ORIENTED_EDGE('',*,*,#205522,.F.); #277485=ORIENTED_EDGE('',*,*,#205532,.T.); #277486=ORIENTED_EDGE('',*,*,#205529,.T.); #277487=ORIENTED_EDGE('',*,*,#205526,.T.); #277488=ORIENTED_EDGE('',*,*,#205523,.T.); #277489=ORIENTED_EDGE('',*,*,#205531,.F.); #277490=ORIENTED_EDGE('',*,*,#205521,.F.); #277491=ORIENTED_EDGE('',*,*,#205525,.F.); #277492=ORIENTED_EDGE('',*,*,#205528,.F.); #277493=ORIENTED_EDGE('',*,*,#205533,.T.); #277494=ORIENTED_EDGE('',*,*,#205534,.T.); #277495=ORIENTED_EDGE('',*,*,#205535,.F.); #277496=ORIENTED_EDGE('',*,*,#205536,.F.); #277497=ORIENTED_EDGE('',*,*,#205537,.T.); #277498=ORIENTED_EDGE('',*,*,#205536,.T.); #277499=ORIENTED_EDGE('',*,*,#205538,.F.); #277500=ORIENTED_EDGE('',*,*,#205539,.F.); #277501=ORIENTED_EDGE('',*,*,#205540,.T.); #277502=ORIENTED_EDGE('',*,*,#205539,.T.); #277503=ORIENTED_EDGE('',*,*,#205541,.F.); #277504=ORIENTED_EDGE('',*,*,#205542,.F.); #277505=ORIENTED_EDGE('',*,*,#205543,.T.); #277506=ORIENTED_EDGE('',*,*,#205542,.T.); #277507=ORIENTED_EDGE('',*,*,#205544,.F.); #277508=ORIENTED_EDGE('',*,*,#205534,.F.); #277509=ORIENTED_EDGE('',*,*,#205544,.T.); #277510=ORIENTED_EDGE('',*,*,#205541,.T.); #277511=ORIENTED_EDGE('',*,*,#205538,.T.); #277512=ORIENTED_EDGE('',*,*,#205535,.T.); #277513=ORIENTED_EDGE('',*,*,#205543,.F.); #277514=ORIENTED_EDGE('',*,*,#205533,.F.); #277515=ORIENTED_EDGE('',*,*,#205537,.F.); #277516=ORIENTED_EDGE('',*,*,#205540,.F.); #277517=ORIENTED_EDGE('',*,*,#205545,.T.); #277518=ORIENTED_EDGE('',*,*,#205546,.T.); #277519=ORIENTED_EDGE('',*,*,#205547,.F.); #277520=ORIENTED_EDGE('',*,*,#205548,.F.); #277521=ORIENTED_EDGE('',*,*,#205549,.T.); #277522=ORIENTED_EDGE('',*,*,#205548,.T.); #277523=ORIENTED_EDGE('',*,*,#205550,.F.); #277524=ORIENTED_EDGE('',*,*,#205551,.F.); #277525=ORIENTED_EDGE('',*,*,#205552,.T.); #277526=ORIENTED_EDGE('',*,*,#205551,.T.); #277527=ORIENTED_EDGE('',*,*,#205553,.F.); #277528=ORIENTED_EDGE('',*,*,#205554,.F.); #277529=ORIENTED_EDGE('',*,*,#205555,.T.); #277530=ORIENTED_EDGE('',*,*,#205554,.T.); #277531=ORIENTED_EDGE('',*,*,#205556,.F.); #277532=ORIENTED_EDGE('',*,*,#205546,.F.); #277533=ORIENTED_EDGE('',*,*,#205556,.T.); #277534=ORIENTED_EDGE('',*,*,#205553,.T.); #277535=ORIENTED_EDGE('',*,*,#205550,.T.); #277536=ORIENTED_EDGE('',*,*,#205547,.T.); #277537=ORIENTED_EDGE('',*,*,#205555,.F.); #277538=ORIENTED_EDGE('',*,*,#205545,.F.); #277539=ORIENTED_EDGE('',*,*,#205549,.F.); #277540=ORIENTED_EDGE('',*,*,#205552,.F.); #277541=ORIENTED_EDGE('',*,*,#205557,.T.); #277542=ORIENTED_EDGE('',*,*,#205558,.T.); #277543=ORIENTED_EDGE('',*,*,#205559,.F.); #277544=ORIENTED_EDGE('',*,*,#205560,.F.); #277545=ORIENTED_EDGE('',*,*,#205561,.T.); #277546=ORIENTED_EDGE('',*,*,#205560,.T.); #277547=ORIENTED_EDGE('',*,*,#205562,.F.); #277548=ORIENTED_EDGE('',*,*,#205563,.F.); #277549=ORIENTED_EDGE('',*,*,#205564,.T.); #277550=ORIENTED_EDGE('',*,*,#205563,.T.); #277551=ORIENTED_EDGE('',*,*,#205565,.F.); #277552=ORIENTED_EDGE('',*,*,#205566,.F.); #277553=ORIENTED_EDGE('',*,*,#205567,.T.); #277554=ORIENTED_EDGE('',*,*,#205566,.T.); #277555=ORIENTED_EDGE('',*,*,#205568,.F.); #277556=ORIENTED_EDGE('',*,*,#205558,.F.); #277557=ORIENTED_EDGE('',*,*,#205568,.T.); #277558=ORIENTED_EDGE('',*,*,#205565,.T.); #277559=ORIENTED_EDGE('',*,*,#205562,.T.); #277560=ORIENTED_EDGE('',*,*,#205559,.T.); #277561=ORIENTED_EDGE('',*,*,#205567,.F.); #277562=ORIENTED_EDGE('',*,*,#205557,.F.); #277563=ORIENTED_EDGE('',*,*,#205561,.F.); #277564=ORIENTED_EDGE('',*,*,#205564,.F.); #277565=ORIENTED_EDGE('',*,*,#205569,.T.); #277566=ORIENTED_EDGE('',*,*,#205570,.T.); #277567=ORIENTED_EDGE('',*,*,#205571,.F.); #277568=ORIENTED_EDGE('',*,*,#205572,.F.); #277569=ORIENTED_EDGE('',*,*,#205573,.T.); #277570=ORIENTED_EDGE('',*,*,#205572,.T.); #277571=ORIENTED_EDGE('',*,*,#205574,.F.); #277572=ORIENTED_EDGE('',*,*,#205575,.F.); #277573=ORIENTED_EDGE('',*,*,#205576,.T.); #277574=ORIENTED_EDGE('',*,*,#205575,.T.); #277575=ORIENTED_EDGE('',*,*,#205577,.F.); #277576=ORIENTED_EDGE('',*,*,#205578,.F.); #277577=ORIENTED_EDGE('',*,*,#205579,.T.); #277578=ORIENTED_EDGE('',*,*,#205578,.T.); #277579=ORIENTED_EDGE('',*,*,#205580,.F.); #277580=ORIENTED_EDGE('',*,*,#205570,.F.); #277581=ORIENTED_EDGE('',*,*,#205580,.T.); #277582=ORIENTED_EDGE('',*,*,#205577,.T.); #277583=ORIENTED_EDGE('',*,*,#205574,.T.); #277584=ORIENTED_EDGE('',*,*,#205571,.T.); #277585=ORIENTED_EDGE('',*,*,#205579,.F.); #277586=ORIENTED_EDGE('',*,*,#205569,.F.); #277587=ORIENTED_EDGE('',*,*,#205573,.F.); #277588=ORIENTED_EDGE('',*,*,#205576,.F.); #277589=ORIENTED_EDGE('',*,*,#205581,.T.); #277590=ORIENTED_EDGE('',*,*,#205582,.T.); #277591=ORIENTED_EDGE('',*,*,#205583,.F.); #277592=ORIENTED_EDGE('',*,*,#205584,.F.); #277593=ORIENTED_EDGE('',*,*,#205585,.T.); #277594=ORIENTED_EDGE('',*,*,#205584,.T.); #277595=ORIENTED_EDGE('',*,*,#205586,.F.); #277596=ORIENTED_EDGE('',*,*,#205587,.F.); #277597=ORIENTED_EDGE('',*,*,#205588,.T.); #277598=ORIENTED_EDGE('',*,*,#205587,.T.); #277599=ORIENTED_EDGE('',*,*,#205589,.F.); #277600=ORIENTED_EDGE('',*,*,#205590,.F.); #277601=ORIENTED_EDGE('',*,*,#205591,.T.); #277602=ORIENTED_EDGE('',*,*,#205590,.T.); #277603=ORIENTED_EDGE('',*,*,#205592,.F.); #277604=ORIENTED_EDGE('',*,*,#205582,.F.); #277605=ORIENTED_EDGE('',*,*,#205592,.T.); #277606=ORIENTED_EDGE('',*,*,#205589,.T.); #277607=ORIENTED_EDGE('',*,*,#205586,.T.); #277608=ORIENTED_EDGE('',*,*,#205583,.T.); #277609=ORIENTED_EDGE('',*,*,#205591,.F.); #277610=ORIENTED_EDGE('',*,*,#205581,.F.); #277611=ORIENTED_EDGE('',*,*,#205585,.F.); #277612=ORIENTED_EDGE('',*,*,#205588,.F.); #277613=ORIENTED_EDGE('',*,*,#205593,.T.); #277614=ORIENTED_EDGE('',*,*,#205594,.T.); #277615=ORIENTED_EDGE('',*,*,#205595,.F.); #277616=ORIENTED_EDGE('',*,*,#205596,.F.); #277617=ORIENTED_EDGE('',*,*,#205597,.T.); #277618=ORIENTED_EDGE('',*,*,#205596,.T.); #277619=ORIENTED_EDGE('',*,*,#205598,.F.); #277620=ORIENTED_EDGE('',*,*,#205599,.F.); #277621=ORIENTED_EDGE('',*,*,#205600,.T.); #277622=ORIENTED_EDGE('',*,*,#205599,.T.); #277623=ORIENTED_EDGE('',*,*,#205601,.F.); #277624=ORIENTED_EDGE('',*,*,#205602,.F.); #277625=ORIENTED_EDGE('',*,*,#205603,.T.); #277626=ORIENTED_EDGE('',*,*,#205602,.T.); #277627=ORIENTED_EDGE('',*,*,#205604,.F.); #277628=ORIENTED_EDGE('',*,*,#205594,.F.); #277629=ORIENTED_EDGE('',*,*,#205604,.T.); #277630=ORIENTED_EDGE('',*,*,#205601,.T.); #277631=ORIENTED_EDGE('',*,*,#205598,.T.); #277632=ORIENTED_EDGE('',*,*,#205595,.T.); #277633=ORIENTED_EDGE('',*,*,#205603,.F.); #277634=ORIENTED_EDGE('',*,*,#205593,.F.); #277635=ORIENTED_EDGE('',*,*,#205597,.F.); #277636=ORIENTED_EDGE('',*,*,#205600,.F.); #277637=ORIENTED_EDGE('',*,*,#205605,.T.); #277638=ORIENTED_EDGE('',*,*,#205606,.T.); #277639=ORIENTED_EDGE('',*,*,#205607,.F.); #277640=ORIENTED_EDGE('',*,*,#205608,.F.); #277641=ORIENTED_EDGE('',*,*,#205609,.T.); #277642=ORIENTED_EDGE('',*,*,#205608,.T.); #277643=ORIENTED_EDGE('',*,*,#205610,.F.); #277644=ORIENTED_EDGE('',*,*,#205611,.F.); #277645=ORIENTED_EDGE('',*,*,#205612,.T.); #277646=ORIENTED_EDGE('',*,*,#205611,.T.); #277647=ORIENTED_EDGE('',*,*,#205613,.F.); #277648=ORIENTED_EDGE('',*,*,#205614,.F.); #277649=ORIENTED_EDGE('',*,*,#205615,.T.); #277650=ORIENTED_EDGE('',*,*,#205614,.T.); #277651=ORIENTED_EDGE('',*,*,#205616,.F.); #277652=ORIENTED_EDGE('',*,*,#205606,.F.); #277653=ORIENTED_EDGE('',*,*,#205616,.T.); #277654=ORIENTED_EDGE('',*,*,#205613,.T.); #277655=ORIENTED_EDGE('',*,*,#205610,.T.); #277656=ORIENTED_EDGE('',*,*,#205607,.T.); #277657=ORIENTED_EDGE('',*,*,#205615,.F.); #277658=ORIENTED_EDGE('',*,*,#205605,.F.); #277659=ORIENTED_EDGE('',*,*,#205609,.F.); #277660=ORIENTED_EDGE('',*,*,#205612,.F.); #277661=ORIENTED_EDGE('',*,*,#205617,.F.); #277662=ORIENTED_EDGE('',*,*,#205618,.T.); #277663=ORIENTED_EDGE('',*,*,#205619,.F.); #277664=ORIENTED_EDGE('',*,*,#205618,.F.); #277665=ORIENTED_EDGE('',*,*,#205620,.F.); #277666=ORIENTED_EDGE('',*,*,#205621,.T.); #277667=ORIENTED_EDGE('',*,*,#205622,.F.); #277668=ORIENTED_EDGE('',*,*,#205621,.F.); #277669=ORIENTED_EDGE('',*,*,#205623,.F.); #277670=ORIENTED_EDGE('',*,*,#205624,.T.); #277671=ORIENTED_EDGE('',*,*,#205625,.F.); #277672=ORIENTED_EDGE('',*,*,#205624,.F.); #277673=ORIENTED_EDGE('',*,*,#205626,.F.); #277674=ORIENTED_EDGE('',*,*,#205627,.T.); #277675=ORIENTED_EDGE('',*,*,#205628,.F.); #277676=ORIENTED_EDGE('',*,*,#205627,.F.); #277677=ORIENTED_EDGE('',*,*,#205629,.F.); #277678=ORIENTED_EDGE('',*,*,#205630,.T.); #277679=ORIENTED_EDGE('',*,*,#205631,.F.); #277680=ORIENTED_EDGE('',*,*,#205630,.F.); #277681=ORIENTED_EDGE('',*,*,#205632,.F.); #277682=ORIENTED_EDGE('',*,*,#205633,.T.); #277683=ORIENTED_EDGE('',*,*,#205634,.F.); #277684=ORIENTED_EDGE('',*,*,#205633,.F.); #277685=ORIENTED_EDGE('',*,*,#205635,.T.); #277686=ORIENTED_EDGE('',*,*,#205636,.T.); #277687=ORIENTED_EDGE('',*,*,#205637,.F.); #277688=ORIENTED_EDGE('',*,*,#205638,.F.); #277689=ORIENTED_EDGE('',*,*,#205639,.T.); #277690=ORIENTED_EDGE('',*,*,#205638,.T.); #277691=ORIENTED_EDGE('',*,*,#205640,.F.); #277692=ORIENTED_EDGE('',*,*,#205641,.F.); #277693=ORIENTED_EDGE('',*,*,#205642,.T.); #277694=ORIENTED_EDGE('',*,*,#205641,.T.); #277695=ORIENTED_EDGE('',*,*,#205643,.F.); #277696=ORIENTED_EDGE('',*,*,#205644,.F.); #277697=ORIENTED_EDGE('',*,*,#205645,.T.); #277698=ORIENTED_EDGE('',*,*,#205644,.T.); #277699=ORIENTED_EDGE('',*,*,#205646,.F.); #277700=ORIENTED_EDGE('',*,*,#205636,.F.); #277701=ORIENTED_EDGE('',*,*,#205646,.T.); #277702=ORIENTED_EDGE('',*,*,#205643,.T.); #277703=ORIENTED_EDGE('',*,*,#205640,.T.); #277704=ORIENTED_EDGE('',*,*,#205637,.T.); #277705=ORIENTED_EDGE('',*,*,#205619,.T.); #277706=ORIENTED_EDGE('',*,*,#205622,.T.); #277707=ORIENTED_EDGE('',*,*,#205625,.T.); #277708=ORIENTED_EDGE('',*,*,#205628,.T.); #277709=ORIENTED_EDGE('',*,*,#205631,.T.); #277710=ORIENTED_EDGE('',*,*,#205634,.T.); #277711=ORIENTED_EDGE('',*,*,#205645,.F.); #277712=ORIENTED_EDGE('',*,*,#205635,.F.); #277713=ORIENTED_EDGE('',*,*,#205639,.F.); #277714=ORIENTED_EDGE('',*,*,#205642,.F.); #277715=ORIENTED_EDGE('',*,*,#205617,.T.); #277716=ORIENTED_EDGE('',*,*,#205620,.T.); #277717=ORIENTED_EDGE('',*,*,#205623,.T.); #277718=ORIENTED_EDGE('',*,*,#205626,.T.); #277719=ORIENTED_EDGE('',*,*,#205629,.T.); #277720=ORIENTED_EDGE('',*,*,#205632,.T.); #277721=ORIENTED_EDGE('',*,*,#205647,.T.); #277722=ORIENTED_EDGE('',*,*,#205648,.T.); #277723=ORIENTED_EDGE('',*,*,#205649,.F.); #277724=ORIENTED_EDGE('',*,*,#205650,.F.); #277725=ORIENTED_EDGE('',*,*,#205651,.T.); #277726=ORIENTED_EDGE('',*,*,#205650,.T.); #277727=ORIENTED_EDGE('',*,*,#205652,.F.); #277728=ORIENTED_EDGE('',*,*,#205653,.F.); #277729=ORIENTED_EDGE('',*,*,#205654,.T.); #277730=ORIENTED_EDGE('',*,*,#205653,.T.); #277731=ORIENTED_EDGE('',*,*,#205655,.F.); #277732=ORIENTED_EDGE('',*,*,#205656,.F.); #277733=ORIENTED_EDGE('',*,*,#205657,.T.); #277734=ORIENTED_EDGE('',*,*,#205656,.T.); #277735=ORIENTED_EDGE('',*,*,#205658,.F.); #277736=ORIENTED_EDGE('',*,*,#205648,.F.); #277737=ORIENTED_EDGE('',*,*,#205658,.T.); #277738=ORIENTED_EDGE('',*,*,#205655,.T.); #277739=ORIENTED_EDGE('',*,*,#205652,.T.); #277740=ORIENTED_EDGE('',*,*,#205649,.T.); #277741=ORIENTED_EDGE('',*,*,#205657,.F.); #277742=ORIENTED_EDGE('',*,*,#205647,.F.); #277743=ORIENTED_EDGE('',*,*,#205651,.F.); #277744=ORIENTED_EDGE('',*,*,#205654,.F.); #277745=ORIENTED_EDGE('',*,*,#205659,.T.); #277746=ORIENTED_EDGE('',*,*,#205660,.T.); #277747=ORIENTED_EDGE('',*,*,#205661,.F.); #277748=ORIENTED_EDGE('',*,*,#205662,.F.); #277749=ORIENTED_EDGE('',*,*,#205663,.T.); #277750=ORIENTED_EDGE('',*,*,#205662,.T.); #277751=ORIENTED_EDGE('',*,*,#205664,.F.); #277752=ORIENTED_EDGE('',*,*,#205665,.F.); #277753=ORIENTED_EDGE('',*,*,#205666,.T.); #277754=ORIENTED_EDGE('',*,*,#205665,.T.); #277755=ORIENTED_EDGE('',*,*,#205667,.F.); #277756=ORIENTED_EDGE('',*,*,#205668,.F.); #277757=ORIENTED_EDGE('',*,*,#205669,.T.); #277758=ORIENTED_EDGE('',*,*,#205668,.T.); #277759=ORIENTED_EDGE('',*,*,#205670,.F.); #277760=ORIENTED_EDGE('',*,*,#205660,.F.); #277761=ORIENTED_EDGE('',*,*,#205670,.T.); #277762=ORIENTED_EDGE('',*,*,#205667,.T.); #277763=ORIENTED_EDGE('',*,*,#205664,.T.); #277764=ORIENTED_EDGE('',*,*,#205661,.T.); #277765=ORIENTED_EDGE('',*,*,#205669,.F.); #277766=ORIENTED_EDGE('',*,*,#205659,.F.); #277767=ORIENTED_EDGE('',*,*,#205663,.F.); #277768=ORIENTED_EDGE('',*,*,#205666,.F.); #277769=ORIENTED_EDGE('',*,*,#205671,.T.); #277770=ORIENTED_EDGE('',*,*,#205672,.T.); #277771=ORIENTED_EDGE('',*,*,#205673,.F.); #277772=ORIENTED_EDGE('',*,*,#205674,.F.); #277773=ORIENTED_EDGE('',*,*,#205675,.T.); #277774=ORIENTED_EDGE('',*,*,#205674,.T.); #277775=ORIENTED_EDGE('',*,*,#205676,.F.); #277776=ORIENTED_EDGE('',*,*,#205677,.F.); #277777=ORIENTED_EDGE('',*,*,#205678,.T.); #277778=ORIENTED_EDGE('',*,*,#205677,.T.); #277779=ORIENTED_EDGE('',*,*,#205679,.F.); #277780=ORIENTED_EDGE('',*,*,#205680,.F.); #277781=ORIENTED_EDGE('',*,*,#205681,.T.); #277782=ORIENTED_EDGE('',*,*,#205680,.T.); #277783=ORIENTED_EDGE('',*,*,#205682,.F.); #277784=ORIENTED_EDGE('',*,*,#205672,.F.); #277785=ORIENTED_EDGE('',*,*,#205682,.T.); #277786=ORIENTED_EDGE('',*,*,#205679,.T.); #277787=ORIENTED_EDGE('',*,*,#205676,.T.); #277788=ORIENTED_EDGE('',*,*,#205673,.T.); #277789=ORIENTED_EDGE('',*,*,#205681,.F.); #277790=ORIENTED_EDGE('',*,*,#205671,.F.); #277791=ORIENTED_EDGE('',*,*,#205675,.F.); #277792=ORIENTED_EDGE('',*,*,#205678,.F.); #277793=ORIENTED_EDGE('',*,*,#205683,.F.); #277794=ORIENTED_EDGE('',*,*,#205684,.F.); #277795=ORIENTED_EDGE('',*,*,#205685,.F.); #277796=ORIENTED_EDGE('',*,*,#205686,.F.); #277797=ORIENTED_EDGE('',*,*,#205687,.F.); #277798=ORIENTED_EDGE('',*,*,#205688,.T.); #277799=ORIENTED_EDGE('',*,*,#205689,.F.); #277800=ORIENTED_EDGE('',*,*,#205688,.F.); #277801=ORIENTED_EDGE('',*,*,#205690,.F.); #277802=ORIENTED_EDGE('',*,*,#205691,.F.); #277803=ORIENTED_EDGE('',*,*,#205692,.F.); #277804=ORIENTED_EDGE('',*,*,#205693,.F.); #277805=ORIENTED_EDGE('',*,*,#205694,.F.); #277806=ORIENTED_EDGE('',*,*,#205695,.F.); #277807=ORIENTED_EDGE('',*,*,#205696,.F.); #277808=ORIENTED_EDGE('',*,*,#205697,.F.); #277809=ORIENTED_EDGE('',*,*,#205698,.F.); #277810=ORIENTED_EDGE('',*,*,#205699,.F.); #277811=ORIENTED_EDGE('',*,*,#205700,.F.); #277812=ORIENTED_EDGE('',*,*,#205701,.F.); #277813=ORIENTED_EDGE('',*,*,#205702,.F.); #277814=ORIENTED_EDGE('',*,*,#205703,.T.); #277815=ORIENTED_EDGE('',*,*,#205704,.F.); #277816=ORIENTED_EDGE('',*,*,#205703,.F.); #277817=ORIENTED_EDGE('',*,*,#205696,.T.); #277818=ORIENTED_EDGE('',*,*,#205705,.T.); #277819=ORIENTED_EDGE('',*,*,#205706,.T.); #277820=ORIENTED_EDGE('',*,*,#205707,.F.); #277821=ORIENTED_EDGE('',*,*,#205690,.T.); #277822=ORIENTED_EDGE('',*,*,#205708,.F.); #277823=ORIENTED_EDGE('',*,*,#205709,.F.); #277824=ORIENTED_EDGE('',*,*,#205710,.T.); #277825=ORIENTED_EDGE('',*,*,#205692,.T.); #277826=ORIENTED_EDGE('',*,*,#205711,.T.); #277827=ORIENTED_EDGE('',*,*,#205694,.T.); #277828=ORIENTED_EDGE('',*,*,#205712,.F.); #277829=ORIENTED_EDGE('',*,*,#205700,.T.); #277830=ORIENTED_EDGE('',*,*,#205713,.T.); #277831=ORIENTED_EDGE('',*,*,#205714,.T.); #277832=ORIENTED_EDGE('',*,*,#205715,.F.); #277833=ORIENTED_EDGE('',*,*,#205683,.T.); #277834=ORIENTED_EDGE('',*,*,#205716,.F.); #277835=ORIENTED_EDGE('',*,*,#205717,.F.); #277836=ORIENTED_EDGE('',*,*,#205718,.T.); #277837=ORIENTED_EDGE('',*,*,#205685,.T.); #277838=ORIENTED_EDGE('',*,*,#205719,.T.); #277839=ORIENTED_EDGE('',*,*,#205698,.T.); #277840=ORIENTED_EDGE('',*,*,#205720,.F.); #277841=ORIENTED_EDGE('',*,*,#205684,.T.); #277842=ORIENTED_EDGE('',*,*,#205718,.F.); #277843=ORIENTED_EDGE('',*,*,#205721,.F.); #277844=ORIENTED_EDGE('',*,*,#205705,.F.); #277845=ORIENTED_EDGE('',*,*,#205695,.T.); #277846=ORIENTED_EDGE('',*,*,#205711,.F.); #277847=ORIENTED_EDGE('',*,*,#205691,.T.); #277848=ORIENTED_EDGE('',*,*,#205710,.F.); #277849=ORIENTED_EDGE('',*,*,#205722,.F.); #277850=ORIENTED_EDGE('',*,*,#205713,.F.); #277851=ORIENTED_EDGE('',*,*,#205699,.T.); #277852=ORIENTED_EDGE('',*,*,#205719,.F.); #277853=ORIENTED_EDGE('',*,*,#205702,.T.); #277854=ORIENTED_EDGE('',*,*,#205687,.T.); #277855=ORIENTED_EDGE('',*,*,#205721,.T.); #277856=ORIENTED_EDGE('',*,*,#205717,.T.); #277857=ORIENTED_EDGE('',*,*,#205723,.F.); #277858=ORIENTED_EDGE('',*,*,#205706,.F.); #277859=ORIENTED_EDGE('',*,*,#205722,.T.); #277860=ORIENTED_EDGE('',*,*,#205709,.T.); #277861=ORIENTED_EDGE('',*,*,#205724,.F.); #277862=ORIENTED_EDGE('',*,*,#205714,.F.); #277863=ORIENTED_EDGE('',*,*,#205686,.T.); #277864=ORIENTED_EDGE('',*,*,#205720,.T.); #277865=ORIENTED_EDGE('',*,*,#205701,.T.); #277866=ORIENTED_EDGE('',*,*,#205715,.T.); #277867=ORIENTED_EDGE('',*,*,#205724,.T.); #277868=ORIENTED_EDGE('',*,*,#205708,.T.); #277869=ORIENTED_EDGE('',*,*,#205693,.T.); #277870=ORIENTED_EDGE('',*,*,#205712,.T.); #277871=ORIENTED_EDGE('',*,*,#205697,.T.); #277872=ORIENTED_EDGE('',*,*,#205707,.T.); #277873=ORIENTED_EDGE('',*,*,#205723,.T.); #277874=ORIENTED_EDGE('',*,*,#205716,.T.); #277875=ORIENTED_EDGE('',*,*,#205689,.T.); #277876=ORIENTED_EDGE('',*,*,#205704,.T.); #277877=ORIENTED_EDGE('',*,*,#205725,.T.); #277878=ORIENTED_EDGE('',*,*,#205726,.T.); #277879=ORIENTED_EDGE('',*,*,#205727,.F.); #277880=ORIENTED_EDGE('',*,*,#205728,.F.); #277881=ORIENTED_EDGE('',*,*,#205729,.T.); #277882=ORIENTED_EDGE('',*,*,#205728,.T.); #277883=ORIENTED_EDGE('',*,*,#205730,.F.); #277884=ORIENTED_EDGE('',*,*,#205731,.F.); #277885=ORIENTED_EDGE('',*,*,#205732,.T.); #277886=ORIENTED_EDGE('',*,*,#205731,.T.); #277887=ORIENTED_EDGE('',*,*,#205733,.F.); #277888=ORIENTED_EDGE('',*,*,#205734,.F.); #277889=ORIENTED_EDGE('',*,*,#205735,.T.); #277890=ORIENTED_EDGE('',*,*,#205734,.T.); #277891=ORIENTED_EDGE('',*,*,#205736,.F.); #277892=ORIENTED_EDGE('',*,*,#205726,.F.); #277893=ORIENTED_EDGE('',*,*,#205736,.T.); #277894=ORIENTED_EDGE('',*,*,#205733,.T.); #277895=ORIENTED_EDGE('',*,*,#205730,.T.); #277896=ORIENTED_EDGE('',*,*,#205727,.T.); #277897=ORIENTED_EDGE('',*,*,#205735,.F.); #277898=ORIENTED_EDGE('',*,*,#205725,.F.); #277899=ORIENTED_EDGE('',*,*,#205729,.F.); #277900=ORIENTED_EDGE('',*,*,#205732,.F.); #277901=ORIENTED_EDGE('',*,*,#205737,.T.); #277902=ORIENTED_EDGE('',*,*,#205738,.T.); #277903=ORIENTED_EDGE('',*,*,#205739,.F.); #277904=ORIENTED_EDGE('',*,*,#205740,.F.); #277905=ORIENTED_EDGE('',*,*,#205741,.T.); #277906=ORIENTED_EDGE('',*,*,#205740,.T.); #277907=ORIENTED_EDGE('',*,*,#205742,.F.); #277908=ORIENTED_EDGE('',*,*,#205743,.F.); #277909=ORIENTED_EDGE('',*,*,#205744,.T.); #277910=ORIENTED_EDGE('',*,*,#205743,.T.); #277911=ORIENTED_EDGE('',*,*,#205745,.F.); #277912=ORIENTED_EDGE('',*,*,#205746,.F.); #277913=ORIENTED_EDGE('',*,*,#205747,.T.); #277914=ORIENTED_EDGE('',*,*,#205746,.T.); #277915=ORIENTED_EDGE('',*,*,#205748,.F.); #277916=ORIENTED_EDGE('',*,*,#205738,.F.); #277917=ORIENTED_EDGE('',*,*,#205748,.T.); #277918=ORIENTED_EDGE('',*,*,#205745,.T.); #277919=ORIENTED_EDGE('',*,*,#205742,.T.); #277920=ORIENTED_EDGE('',*,*,#205739,.T.); #277921=ORIENTED_EDGE('',*,*,#205747,.F.); #277922=ORIENTED_EDGE('',*,*,#205737,.F.); #277923=ORIENTED_EDGE('',*,*,#205741,.F.); #277924=ORIENTED_EDGE('',*,*,#205744,.F.); #277925=ORIENTED_EDGE('',*,*,#205749,.T.); #277926=ORIENTED_EDGE('',*,*,#205750,.T.); #277927=ORIENTED_EDGE('',*,*,#205751,.F.); #277928=ORIENTED_EDGE('',*,*,#205752,.F.); #277929=ORIENTED_EDGE('',*,*,#205753,.T.); #277930=ORIENTED_EDGE('',*,*,#205752,.T.); #277931=ORIENTED_EDGE('',*,*,#205754,.F.); #277932=ORIENTED_EDGE('',*,*,#205755,.F.); #277933=ORIENTED_EDGE('',*,*,#205756,.T.); #277934=ORIENTED_EDGE('',*,*,#205755,.T.); #277935=ORIENTED_EDGE('',*,*,#205757,.F.); #277936=ORIENTED_EDGE('',*,*,#205758,.F.); #277937=ORIENTED_EDGE('',*,*,#205759,.T.); #277938=ORIENTED_EDGE('',*,*,#205758,.T.); #277939=ORIENTED_EDGE('',*,*,#205760,.F.); #277940=ORIENTED_EDGE('',*,*,#205750,.F.); #277941=ORIENTED_EDGE('',*,*,#205760,.T.); #277942=ORIENTED_EDGE('',*,*,#205757,.T.); #277943=ORIENTED_EDGE('',*,*,#205754,.T.); #277944=ORIENTED_EDGE('',*,*,#205751,.T.); #277945=ORIENTED_EDGE('',*,*,#205759,.F.); #277946=ORIENTED_EDGE('',*,*,#205749,.F.); #277947=ORIENTED_EDGE('',*,*,#205753,.F.); #277948=ORIENTED_EDGE('',*,*,#205756,.F.); #277949=ORIENTED_EDGE('',*,*,#205761,.T.); #277950=ORIENTED_EDGE('',*,*,#205762,.T.); #277951=ORIENTED_EDGE('',*,*,#205763,.F.); #277952=ORIENTED_EDGE('',*,*,#205764,.F.); #277953=ORIENTED_EDGE('',*,*,#205765,.T.); #277954=ORIENTED_EDGE('',*,*,#205764,.T.); #277955=ORIENTED_EDGE('',*,*,#205766,.F.); #277956=ORIENTED_EDGE('',*,*,#205767,.F.); #277957=ORIENTED_EDGE('',*,*,#205768,.T.); #277958=ORIENTED_EDGE('',*,*,#205767,.T.); #277959=ORIENTED_EDGE('',*,*,#205769,.F.); #277960=ORIENTED_EDGE('',*,*,#205770,.F.); #277961=ORIENTED_EDGE('',*,*,#205771,.T.); #277962=ORIENTED_EDGE('',*,*,#205770,.T.); #277963=ORIENTED_EDGE('',*,*,#205772,.F.); #277964=ORIENTED_EDGE('',*,*,#205762,.F.); #277965=ORIENTED_EDGE('',*,*,#205772,.T.); #277966=ORIENTED_EDGE('',*,*,#205769,.T.); #277967=ORIENTED_EDGE('',*,*,#205766,.T.); #277968=ORIENTED_EDGE('',*,*,#205763,.T.); #277969=ORIENTED_EDGE('',*,*,#205771,.F.); #277970=ORIENTED_EDGE('',*,*,#205761,.F.); #277971=ORIENTED_EDGE('',*,*,#205765,.F.); #277972=ORIENTED_EDGE('',*,*,#205768,.F.); #277973=ORIENTED_EDGE('',*,*,#205773,.T.); #277974=ORIENTED_EDGE('',*,*,#205774,.T.); #277975=ORIENTED_EDGE('',*,*,#205775,.F.); #277976=ORIENTED_EDGE('',*,*,#205776,.F.); #277977=ORIENTED_EDGE('',*,*,#205777,.T.); #277978=ORIENTED_EDGE('',*,*,#205776,.T.); #277979=ORIENTED_EDGE('',*,*,#205778,.F.); #277980=ORIENTED_EDGE('',*,*,#205779,.F.); #277981=ORIENTED_EDGE('',*,*,#205780,.T.); #277982=ORIENTED_EDGE('',*,*,#205779,.T.); #277983=ORIENTED_EDGE('',*,*,#205781,.F.); #277984=ORIENTED_EDGE('',*,*,#205782,.F.); #277985=ORIENTED_EDGE('',*,*,#205783,.T.); #277986=ORIENTED_EDGE('',*,*,#205782,.T.); #277987=ORIENTED_EDGE('',*,*,#205784,.F.); #277988=ORIENTED_EDGE('',*,*,#205774,.F.); #277989=ORIENTED_EDGE('',*,*,#205784,.T.); #277990=ORIENTED_EDGE('',*,*,#205781,.T.); #277991=ORIENTED_EDGE('',*,*,#205778,.T.); #277992=ORIENTED_EDGE('',*,*,#205775,.T.); #277993=ORIENTED_EDGE('',*,*,#205783,.F.); #277994=ORIENTED_EDGE('',*,*,#205773,.F.); #277995=ORIENTED_EDGE('',*,*,#205777,.F.); #277996=ORIENTED_EDGE('',*,*,#205780,.F.); #277997=ORIENTED_EDGE('',*,*,#205785,.T.); #277998=ORIENTED_EDGE('',*,*,#205786,.T.); #277999=ORIENTED_EDGE('',*,*,#205787,.F.); #278000=ORIENTED_EDGE('',*,*,#205788,.F.); #278001=ORIENTED_EDGE('',*,*,#205789,.T.); #278002=ORIENTED_EDGE('',*,*,#205788,.T.); #278003=ORIENTED_EDGE('',*,*,#205790,.F.); #278004=ORIENTED_EDGE('',*,*,#205791,.F.); #278005=ORIENTED_EDGE('',*,*,#205792,.T.); #278006=ORIENTED_EDGE('',*,*,#205791,.T.); #278007=ORIENTED_EDGE('',*,*,#205793,.F.); #278008=ORIENTED_EDGE('',*,*,#205794,.F.); #278009=ORIENTED_EDGE('',*,*,#205795,.T.); #278010=ORIENTED_EDGE('',*,*,#205794,.T.); #278011=ORIENTED_EDGE('',*,*,#205796,.F.); #278012=ORIENTED_EDGE('',*,*,#205786,.F.); #278013=ORIENTED_EDGE('',*,*,#205796,.T.); #278014=ORIENTED_EDGE('',*,*,#205793,.T.); #278015=ORIENTED_EDGE('',*,*,#205790,.T.); #278016=ORIENTED_EDGE('',*,*,#205787,.T.); #278017=ORIENTED_EDGE('',*,*,#205795,.F.); #278018=ORIENTED_EDGE('',*,*,#205785,.F.); #278019=ORIENTED_EDGE('',*,*,#205789,.F.); #278020=ORIENTED_EDGE('',*,*,#205792,.F.); #278021=ORIENTED_EDGE('',*,*,#205797,.T.); #278022=ORIENTED_EDGE('',*,*,#205798,.T.); #278023=ORIENTED_EDGE('',*,*,#205799,.F.); #278024=ORIENTED_EDGE('',*,*,#205800,.F.); #278025=ORIENTED_EDGE('',*,*,#205801,.T.); #278026=ORIENTED_EDGE('',*,*,#205800,.T.); #278027=ORIENTED_EDGE('',*,*,#205802,.F.); #278028=ORIENTED_EDGE('',*,*,#205803,.F.); #278029=ORIENTED_EDGE('',*,*,#205804,.T.); #278030=ORIENTED_EDGE('',*,*,#205803,.T.); #278031=ORIENTED_EDGE('',*,*,#205805,.F.); #278032=ORIENTED_EDGE('',*,*,#205806,.F.); #278033=ORIENTED_EDGE('',*,*,#205807,.T.); #278034=ORIENTED_EDGE('',*,*,#205806,.T.); #278035=ORIENTED_EDGE('',*,*,#205808,.F.); #278036=ORIENTED_EDGE('',*,*,#205798,.F.); #278037=ORIENTED_EDGE('',*,*,#205808,.T.); #278038=ORIENTED_EDGE('',*,*,#205805,.T.); #278039=ORIENTED_EDGE('',*,*,#205802,.T.); #278040=ORIENTED_EDGE('',*,*,#205799,.T.); #278041=ORIENTED_EDGE('',*,*,#205807,.F.); #278042=ORIENTED_EDGE('',*,*,#205797,.F.); #278043=ORIENTED_EDGE('',*,*,#205801,.F.); #278044=ORIENTED_EDGE('',*,*,#205804,.F.); #278045=ORIENTED_EDGE('',*,*,#205809,.T.); #278046=ORIENTED_EDGE('',*,*,#205810,.T.); #278047=ORIENTED_EDGE('',*,*,#205811,.F.); #278048=ORIENTED_EDGE('',*,*,#205812,.F.); #278049=ORIENTED_EDGE('',*,*,#205813,.T.); #278050=ORIENTED_EDGE('',*,*,#205812,.T.); #278051=ORIENTED_EDGE('',*,*,#205814,.F.); #278052=ORIENTED_EDGE('',*,*,#205815,.F.); #278053=ORIENTED_EDGE('',*,*,#205816,.T.); #278054=ORIENTED_EDGE('',*,*,#205815,.T.); #278055=ORIENTED_EDGE('',*,*,#205817,.F.); #278056=ORIENTED_EDGE('',*,*,#205818,.F.); #278057=ORIENTED_EDGE('',*,*,#205819,.T.); #278058=ORIENTED_EDGE('',*,*,#205818,.T.); #278059=ORIENTED_EDGE('',*,*,#205820,.F.); #278060=ORIENTED_EDGE('',*,*,#205810,.F.); #278061=ORIENTED_EDGE('',*,*,#205820,.T.); #278062=ORIENTED_EDGE('',*,*,#205817,.T.); #278063=ORIENTED_EDGE('',*,*,#205814,.T.); #278064=ORIENTED_EDGE('',*,*,#205811,.T.); #278065=ORIENTED_EDGE('',*,*,#205819,.F.); #278066=ORIENTED_EDGE('',*,*,#205809,.F.); #278067=ORIENTED_EDGE('',*,*,#205813,.F.); #278068=ORIENTED_EDGE('',*,*,#205816,.F.); #278069=ORIENTED_EDGE('',*,*,#205821,.T.); #278070=ORIENTED_EDGE('',*,*,#205822,.T.); #278071=ORIENTED_EDGE('',*,*,#205823,.F.); #278072=ORIENTED_EDGE('',*,*,#205824,.F.); #278073=ORIENTED_EDGE('',*,*,#205825,.T.); #278074=ORIENTED_EDGE('',*,*,#205824,.T.); #278075=ORIENTED_EDGE('',*,*,#205826,.F.); #278076=ORIENTED_EDGE('',*,*,#205827,.F.); #278077=ORIENTED_EDGE('',*,*,#205828,.T.); #278078=ORIENTED_EDGE('',*,*,#205827,.T.); #278079=ORIENTED_EDGE('',*,*,#205829,.F.); #278080=ORIENTED_EDGE('',*,*,#205830,.F.); #278081=ORIENTED_EDGE('',*,*,#205831,.T.); #278082=ORIENTED_EDGE('',*,*,#205830,.T.); #278083=ORIENTED_EDGE('',*,*,#205832,.F.); #278084=ORIENTED_EDGE('',*,*,#205822,.F.); #278085=ORIENTED_EDGE('',*,*,#205832,.T.); #278086=ORIENTED_EDGE('',*,*,#205829,.T.); #278087=ORIENTED_EDGE('',*,*,#205826,.T.); #278088=ORIENTED_EDGE('',*,*,#205823,.T.); #278089=ORIENTED_EDGE('',*,*,#205831,.F.); #278090=ORIENTED_EDGE('',*,*,#205821,.F.); #278091=ORIENTED_EDGE('',*,*,#205825,.F.); #278092=ORIENTED_EDGE('',*,*,#205828,.F.); #278093=ORIENTED_EDGE('',*,*,#205833,.T.); #278094=ORIENTED_EDGE('',*,*,#205834,.T.); #278095=ORIENTED_EDGE('',*,*,#205835,.F.); #278096=ORIENTED_EDGE('',*,*,#205836,.F.); #278097=ORIENTED_EDGE('',*,*,#205837,.T.); #278098=ORIENTED_EDGE('',*,*,#205836,.T.); #278099=ORIENTED_EDGE('',*,*,#205838,.F.); #278100=ORIENTED_EDGE('',*,*,#205839,.F.); #278101=ORIENTED_EDGE('',*,*,#205840,.T.); #278102=ORIENTED_EDGE('',*,*,#205839,.T.); #278103=ORIENTED_EDGE('',*,*,#205841,.F.); #278104=ORIENTED_EDGE('',*,*,#205842,.F.); #278105=ORIENTED_EDGE('',*,*,#205843,.T.); #278106=ORIENTED_EDGE('',*,*,#205842,.T.); #278107=ORIENTED_EDGE('',*,*,#205844,.F.); #278108=ORIENTED_EDGE('',*,*,#205834,.F.); #278109=ORIENTED_EDGE('',*,*,#205844,.T.); #278110=ORIENTED_EDGE('',*,*,#205841,.T.); #278111=ORIENTED_EDGE('',*,*,#205838,.T.); #278112=ORIENTED_EDGE('',*,*,#205835,.T.); #278113=ORIENTED_EDGE('',*,*,#205843,.F.); #278114=ORIENTED_EDGE('',*,*,#205833,.F.); #278115=ORIENTED_EDGE('',*,*,#205837,.F.); #278116=ORIENTED_EDGE('',*,*,#205840,.F.); #278117=ORIENTED_EDGE('',*,*,#205845,.T.); #278118=ORIENTED_EDGE('',*,*,#205846,.T.); #278119=ORIENTED_EDGE('',*,*,#205847,.F.); #278120=ORIENTED_EDGE('',*,*,#205848,.F.); #278121=ORIENTED_EDGE('',*,*,#205849,.T.); #278122=ORIENTED_EDGE('',*,*,#205848,.T.); #278123=ORIENTED_EDGE('',*,*,#205850,.F.); #278124=ORIENTED_EDGE('',*,*,#205851,.F.); #278125=ORIENTED_EDGE('',*,*,#205852,.T.); #278126=ORIENTED_EDGE('',*,*,#205851,.T.); #278127=ORIENTED_EDGE('',*,*,#205853,.F.); #278128=ORIENTED_EDGE('',*,*,#205854,.F.); #278129=ORIENTED_EDGE('',*,*,#205855,.T.); #278130=ORIENTED_EDGE('',*,*,#205854,.T.); #278131=ORIENTED_EDGE('',*,*,#205856,.F.); #278132=ORIENTED_EDGE('',*,*,#205846,.F.); #278133=ORIENTED_EDGE('',*,*,#205856,.T.); #278134=ORIENTED_EDGE('',*,*,#205853,.T.); #278135=ORIENTED_EDGE('',*,*,#205850,.T.); #278136=ORIENTED_EDGE('',*,*,#205847,.T.); #278137=ORIENTED_EDGE('',*,*,#205855,.F.); #278138=ORIENTED_EDGE('',*,*,#205845,.F.); #278139=ORIENTED_EDGE('',*,*,#205849,.F.); #278140=ORIENTED_EDGE('',*,*,#205852,.F.); #278141=ORIENTED_EDGE('',*,*,#205857,.T.); #278142=ORIENTED_EDGE('',*,*,#205858,.T.); #278143=ORIENTED_EDGE('',*,*,#205859,.F.); #278144=ORIENTED_EDGE('',*,*,#205860,.F.); #278145=ORIENTED_EDGE('',*,*,#205861,.T.); #278146=ORIENTED_EDGE('',*,*,#205860,.T.); #278147=ORIENTED_EDGE('',*,*,#205862,.F.); #278148=ORIENTED_EDGE('',*,*,#205863,.F.); #278149=ORIENTED_EDGE('',*,*,#205864,.T.); #278150=ORIENTED_EDGE('',*,*,#205863,.T.); #278151=ORIENTED_EDGE('',*,*,#205865,.F.); #278152=ORIENTED_EDGE('',*,*,#205866,.F.); #278153=ORIENTED_EDGE('',*,*,#205867,.T.); #278154=ORIENTED_EDGE('',*,*,#205866,.T.); #278155=ORIENTED_EDGE('',*,*,#205868,.F.); #278156=ORIENTED_EDGE('',*,*,#205858,.F.); #278157=ORIENTED_EDGE('',*,*,#205868,.T.); #278158=ORIENTED_EDGE('',*,*,#205865,.T.); #278159=ORIENTED_EDGE('',*,*,#205862,.T.); #278160=ORIENTED_EDGE('',*,*,#205859,.T.); #278161=ORIENTED_EDGE('',*,*,#205867,.F.); #278162=ORIENTED_EDGE('',*,*,#205857,.F.); #278163=ORIENTED_EDGE('',*,*,#205861,.F.); #278164=ORIENTED_EDGE('',*,*,#205864,.F.); #278165=ORIENTED_EDGE('',*,*,#205869,.T.); #278166=ORIENTED_EDGE('',*,*,#205870,.T.); #278167=ORIENTED_EDGE('',*,*,#205871,.F.); #278168=ORIENTED_EDGE('',*,*,#205872,.F.); #278169=ORIENTED_EDGE('',*,*,#205873,.T.); #278170=ORIENTED_EDGE('',*,*,#205872,.T.); #278171=ORIENTED_EDGE('',*,*,#205874,.F.); #278172=ORIENTED_EDGE('',*,*,#205875,.F.); #278173=ORIENTED_EDGE('',*,*,#205876,.T.); #278174=ORIENTED_EDGE('',*,*,#205875,.T.); #278175=ORIENTED_EDGE('',*,*,#205877,.F.); #278176=ORIENTED_EDGE('',*,*,#205878,.F.); #278177=ORIENTED_EDGE('',*,*,#205879,.T.); #278178=ORIENTED_EDGE('',*,*,#205878,.T.); #278179=ORIENTED_EDGE('',*,*,#205880,.F.); #278180=ORIENTED_EDGE('',*,*,#205870,.F.); #278181=ORIENTED_EDGE('',*,*,#205880,.T.); #278182=ORIENTED_EDGE('',*,*,#205877,.T.); #278183=ORIENTED_EDGE('',*,*,#205874,.T.); #278184=ORIENTED_EDGE('',*,*,#205871,.T.); #278185=ORIENTED_EDGE('',*,*,#205879,.F.); #278186=ORIENTED_EDGE('',*,*,#205869,.F.); #278187=ORIENTED_EDGE('',*,*,#205873,.F.); #278188=ORIENTED_EDGE('',*,*,#205876,.F.); #278189=ORIENTED_EDGE('',*,*,#205881,.T.); #278190=ORIENTED_EDGE('',*,*,#205882,.T.); #278191=ORIENTED_EDGE('',*,*,#205883,.F.); #278192=ORIENTED_EDGE('',*,*,#205884,.F.); #278193=ORIENTED_EDGE('',*,*,#205885,.T.); #278194=ORIENTED_EDGE('',*,*,#205884,.T.); #278195=ORIENTED_EDGE('',*,*,#205886,.F.); #278196=ORIENTED_EDGE('',*,*,#205887,.F.); #278197=ORIENTED_EDGE('',*,*,#205888,.T.); #278198=ORIENTED_EDGE('',*,*,#205887,.T.); #278199=ORIENTED_EDGE('',*,*,#205889,.F.); #278200=ORIENTED_EDGE('',*,*,#205890,.F.); #278201=ORIENTED_EDGE('',*,*,#205891,.T.); #278202=ORIENTED_EDGE('',*,*,#205890,.T.); #278203=ORIENTED_EDGE('',*,*,#205892,.F.); #278204=ORIENTED_EDGE('',*,*,#205882,.F.); #278205=ORIENTED_EDGE('',*,*,#205892,.T.); #278206=ORIENTED_EDGE('',*,*,#205889,.T.); #278207=ORIENTED_EDGE('',*,*,#205886,.T.); #278208=ORIENTED_EDGE('',*,*,#205883,.T.); #278209=ORIENTED_EDGE('',*,*,#205891,.F.); #278210=ORIENTED_EDGE('',*,*,#205881,.F.); #278211=ORIENTED_EDGE('',*,*,#205885,.F.); #278212=ORIENTED_EDGE('',*,*,#205888,.F.); #278213=ORIENTED_EDGE('',*,*,#205893,.T.); #278214=ORIENTED_EDGE('',*,*,#205894,.T.); #278215=ORIENTED_EDGE('',*,*,#205895,.F.); #278216=ORIENTED_EDGE('',*,*,#205896,.F.); #278217=ORIENTED_EDGE('',*,*,#205897,.T.); #278218=ORIENTED_EDGE('',*,*,#205896,.T.); #278219=ORIENTED_EDGE('',*,*,#205898,.F.); #278220=ORIENTED_EDGE('',*,*,#205899,.F.); #278221=ORIENTED_EDGE('',*,*,#205900,.T.); #278222=ORIENTED_EDGE('',*,*,#205899,.T.); #278223=ORIENTED_EDGE('',*,*,#205901,.F.); #278224=ORIENTED_EDGE('',*,*,#205902,.F.); #278225=ORIENTED_EDGE('',*,*,#205903,.T.); #278226=ORIENTED_EDGE('',*,*,#205902,.T.); #278227=ORIENTED_EDGE('',*,*,#205904,.F.); #278228=ORIENTED_EDGE('',*,*,#205894,.F.); #278229=ORIENTED_EDGE('',*,*,#205904,.T.); #278230=ORIENTED_EDGE('',*,*,#205901,.T.); #278231=ORIENTED_EDGE('',*,*,#205898,.T.); #278232=ORIENTED_EDGE('',*,*,#205895,.T.); #278233=ORIENTED_EDGE('',*,*,#205903,.F.); #278234=ORIENTED_EDGE('',*,*,#205893,.F.); #278235=ORIENTED_EDGE('',*,*,#205897,.F.); #278236=ORIENTED_EDGE('',*,*,#205900,.F.); #278237=ORIENTED_EDGE('',*,*,#205905,.T.); #278238=ORIENTED_EDGE('',*,*,#205906,.T.); #278239=ORIENTED_EDGE('',*,*,#205907,.F.); #278240=ORIENTED_EDGE('',*,*,#205908,.F.); #278241=ORIENTED_EDGE('',*,*,#205909,.T.); #278242=ORIENTED_EDGE('',*,*,#205908,.T.); #278243=ORIENTED_EDGE('',*,*,#205910,.F.); #278244=ORIENTED_EDGE('',*,*,#205911,.F.); #278245=ORIENTED_EDGE('',*,*,#205912,.T.); #278246=ORIENTED_EDGE('',*,*,#205911,.T.); #278247=ORIENTED_EDGE('',*,*,#205913,.F.); #278248=ORIENTED_EDGE('',*,*,#205914,.F.); #278249=ORIENTED_EDGE('',*,*,#205915,.T.); #278250=ORIENTED_EDGE('',*,*,#205914,.T.); #278251=ORIENTED_EDGE('',*,*,#205916,.F.); #278252=ORIENTED_EDGE('',*,*,#205906,.F.); #278253=ORIENTED_EDGE('',*,*,#205916,.T.); #278254=ORIENTED_EDGE('',*,*,#205913,.T.); #278255=ORIENTED_EDGE('',*,*,#205910,.T.); #278256=ORIENTED_EDGE('',*,*,#205907,.T.); #278257=ORIENTED_EDGE('',*,*,#205915,.F.); #278258=ORIENTED_EDGE('',*,*,#205905,.F.); #278259=ORIENTED_EDGE('',*,*,#205909,.F.); #278260=ORIENTED_EDGE('',*,*,#205912,.F.); #278261=ORIENTED_EDGE('',*,*,#205917,.T.); #278262=ORIENTED_EDGE('',*,*,#205918,.T.); #278263=ORIENTED_EDGE('',*,*,#205919,.F.); #278264=ORIENTED_EDGE('',*,*,#205920,.F.); #278265=ORIENTED_EDGE('',*,*,#205921,.T.); #278266=ORIENTED_EDGE('',*,*,#205920,.T.); #278267=ORIENTED_EDGE('',*,*,#205922,.F.); #278268=ORIENTED_EDGE('',*,*,#205923,.F.); #278269=ORIENTED_EDGE('',*,*,#205924,.T.); #278270=ORIENTED_EDGE('',*,*,#205923,.T.); #278271=ORIENTED_EDGE('',*,*,#205925,.F.); #278272=ORIENTED_EDGE('',*,*,#205926,.F.); #278273=ORIENTED_EDGE('',*,*,#205927,.T.); #278274=ORIENTED_EDGE('',*,*,#205926,.T.); #278275=ORIENTED_EDGE('',*,*,#205928,.F.); #278276=ORIENTED_EDGE('',*,*,#205918,.F.); #278277=ORIENTED_EDGE('',*,*,#205928,.T.); #278278=ORIENTED_EDGE('',*,*,#205925,.T.); #278279=ORIENTED_EDGE('',*,*,#205922,.T.); #278280=ORIENTED_EDGE('',*,*,#205919,.T.); #278281=ORIENTED_EDGE('',*,*,#205927,.F.); #278282=ORIENTED_EDGE('',*,*,#205917,.F.); #278283=ORIENTED_EDGE('',*,*,#205921,.F.); #278284=ORIENTED_EDGE('',*,*,#205924,.F.); #278285=ORIENTED_EDGE('',*,*,#205929,.T.); #278286=ORIENTED_EDGE('',*,*,#205930,.T.); #278287=ORIENTED_EDGE('',*,*,#205931,.F.); #278288=ORIENTED_EDGE('',*,*,#205932,.F.); #278289=ORIENTED_EDGE('',*,*,#205933,.T.); #278290=ORIENTED_EDGE('',*,*,#205932,.T.); #278291=ORIENTED_EDGE('',*,*,#205934,.F.); #278292=ORIENTED_EDGE('',*,*,#205935,.F.); #278293=ORIENTED_EDGE('',*,*,#205936,.T.); #278294=ORIENTED_EDGE('',*,*,#205935,.T.); #278295=ORIENTED_EDGE('',*,*,#205937,.F.); #278296=ORIENTED_EDGE('',*,*,#205938,.F.); #278297=ORIENTED_EDGE('',*,*,#205939,.T.); #278298=ORIENTED_EDGE('',*,*,#205938,.T.); #278299=ORIENTED_EDGE('',*,*,#205940,.F.); #278300=ORIENTED_EDGE('',*,*,#205930,.F.); #278301=ORIENTED_EDGE('',*,*,#205940,.T.); #278302=ORIENTED_EDGE('',*,*,#205937,.T.); #278303=ORIENTED_EDGE('',*,*,#205934,.T.); #278304=ORIENTED_EDGE('',*,*,#205931,.T.); #278305=ORIENTED_EDGE('',*,*,#205939,.F.); #278306=ORIENTED_EDGE('',*,*,#205929,.F.); #278307=ORIENTED_EDGE('',*,*,#205933,.F.); #278308=ORIENTED_EDGE('',*,*,#205936,.F.); #278309=ORIENTED_EDGE('',*,*,#205941,.T.); #278310=ORIENTED_EDGE('',*,*,#205942,.T.); #278311=ORIENTED_EDGE('',*,*,#205943,.F.); #278312=ORIENTED_EDGE('',*,*,#205944,.F.); #278313=ORIENTED_EDGE('',*,*,#205945,.T.); #278314=ORIENTED_EDGE('',*,*,#205944,.T.); #278315=ORIENTED_EDGE('',*,*,#205946,.F.); #278316=ORIENTED_EDGE('',*,*,#205947,.F.); #278317=ORIENTED_EDGE('',*,*,#205948,.T.); #278318=ORIENTED_EDGE('',*,*,#205947,.T.); #278319=ORIENTED_EDGE('',*,*,#205949,.F.); #278320=ORIENTED_EDGE('',*,*,#205950,.F.); #278321=ORIENTED_EDGE('',*,*,#205951,.T.); #278322=ORIENTED_EDGE('',*,*,#205950,.T.); #278323=ORIENTED_EDGE('',*,*,#205952,.F.); #278324=ORIENTED_EDGE('',*,*,#205942,.F.); #278325=ORIENTED_EDGE('',*,*,#205952,.T.); #278326=ORIENTED_EDGE('',*,*,#205949,.T.); #278327=ORIENTED_EDGE('',*,*,#205946,.T.); #278328=ORIENTED_EDGE('',*,*,#205943,.T.); #278329=ORIENTED_EDGE('',*,*,#205951,.F.); #278330=ORIENTED_EDGE('',*,*,#205941,.F.); #278331=ORIENTED_EDGE('',*,*,#205945,.F.); #278332=ORIENTED_EDGE('',*,*,#205948,.F.); #278333=ORIENTED_EDGE('',*,*,#205953,.T.); #278334=ORIENTED_EDGE('',*,*,#205954,.T.); #278335=ORIENTED_EDGE('',*,*,#205955,.F.); #278336=ORIENTED_EDGE('',*,*,#205956,.F.); #278337=ORIENTED_EDGE('',*,*,#205957,.T.); #278338=ORIENTED_EDGE('',*,*,#205956,.T.); #278339=ORIENTED_EDGE('',*,*,#205958,.F.); #278340=ORIENTED_EDGE('',*,*,#205959,.F.); #278341=ORIENTED_EDGE('',*,*,#205960,.T.); #278342=ORIENTED_EDGE('',*,*,#205959,.T.); #278343=ORIENTED_EDGE('',*,*,#205961,.F.); #278344=ORIENTED_EDGE('',*,*,#205962,.F.); #278345=ORIENTED_EDGE('',*,*,#205963,.T.); #278346=ORIENTED_EDGE('',*,*,#205962,.T.); #278347=ORIENTED_EDGE('',*,*,#205964,.F.); #278348=ORIENTED_EDGE('',*,*,#205954,.F.); #278349=ORIENTED_EDGE('',*,*,#205964,.T.); #278350=ORIENTED_EDGE('',*,*,#205961,.T.); #278351=ORIENTED_EDGE('',*,*,#205958,.T.); #278352=ORIENTED_EDGE('',*,*,#205955,.T.); #278353=ORIENTED_EDGE('',*,*,#205963,.F.); #278354=ORIENTED_EDGE('',*,*,#205953,.F.); #278355=ORIENTED_EDGE('',*,*,#205957,.F.); #278356=ORIENTED_EDGE('',*,*,#205960,.F.); #278357=ORIENTED_EDGE('',*,*,#205965,.T.); #278358=ORIENTED_EDGE('',*,*,#205966,.T.); #278359=ORIENTED_EDGE('',*,*,#205967,.F.); #278360=ORIENTED_EDGE('',*,*,#205968,.F.); #278361=ORIENTED_EDGE('',*,*,#205969,.T.); #278362=ORIENTED_EDGE('',*,*,#205968,.T.); #278363=ORIENTED_EDGE('',*,*,#205970,.F.); #278364=ORIENTED_EDGE('',*,*,#205971,.F.); #278365=ORIENTED_EDGE('',*,*,#205972,.T.); #278366=ORIENTED_EDGE('',*,*,#205971,.T.); #278367=ORIENTED_EDGE('',*,*,#205973,.F.); #278368=ORIENTED_EDGE('',*,*,#205974,.F.); #278369=ORIENTED_EDGE('',*,*,#205975,.T.); #278370=ORIENTED_EDGE('',*,*,#205974,.T.); #278371=ORIENTED_EDGE('',*,*,#205976,.F.); #278372=ORIENTED_EDGE('',*,*,#205966,.F.); #278373=ORIENTED_EDGE('',*,*,#205976,.T.); #278374=ORIENTED_EDGE('',*,*,#205973,.T.); #278375=ORIENTED_EDGE('',*,*,#205970,.T.); #278376=ORIENTED_EDGE('',*,*,#205967,.T.); #278377=ORIENTED_EDGE('',*,*,#205975,.F.); #278378=ORIENTED_EDGE('',*,*,#205965,.F.); #278379=ORIENTED_EDGE('',*,*,#205969,.F.); #278380=ORIENTED_EDGE('',*,*,#205972,.F.); #278381=ORIENTED_EDGE('',*,*,#205977,.F.); #278382=ORIENTED_EDGE('',*,*,#205978,.T.); #278383=ORIENTED_EDGE('',*,*,#205979,.F.); #278384=ORIENTED_EDGE('',*,*,#205978,.F.); #278385=ORIENTED_EDGE('',*,*,#205980,.T.); #278386=ORIENTED_EDGE('',*,*,#205981,.T.); #278387=ORIENTED_EDGE('',*,*,#205982,.F.); #278388=ORIENTED_EDGE('',*,*,#205983,.F.); #278389=ORIENTED_EDGE('',*,*,#205984,.T.); #278390=ORIENTED_EDGE('',*,*,#205983,.T.); #278391=ORIENTED_EDGE('',*,*,#205985,.F.); #278392=ORIENTED_EDGE('',*,*,#205986,.F.); #278393=ORIENTED_EDGE('',*,*,#205987,.T.); #278394=ORIENTED_EDGE('',*,*,#205986,.T.); #278395=ORIENTED_EDGE('',*,*,#205988,.F.); #278396=ORIENTED_EDGE('',*,*,#205981,.F.); #278397=ORIENTED_EDGE('',*,*,#205988,.T.); #278398=ORIENTED_EDGE('',*,*,#205985,.T.); #278399=ORIENTED_EDGE('',*,*,#205982,.T.); #278400=ORIENTED_EDGE('',*,*,#205979,.T.); #278401=ORIENTED_EDGE('',*,*,#205987,.F.); #278402=ORIENTED_EDGE('',*,*,#205980,.F.); #278403=ORIENTED_EDGE('',*,*,#205984,.F.); #278404=ORIENTED_EDGE('',*,*,#205977,.T.); #278405=ORIENTED_EDGE('',*,*,#205989,.T.); #278406=ORIENTED_EDGE('',*,*,#205990,.T.); #278407=ORIENTED_EDGE('',*,*,#205991,.F.); #278408=ORIENTED_EDGE('',*,*,#205992,.F.); #278409=ORIENTED_EDGE('',*,*,#205993,.T.); #278410=ORIENTED_EDGE('',*,*,#205992,.T.); #278411=ORIENTED_EDGE('',*,*,#205994,.F.); #278412=ORIENTED_EDGE('',*,*,#205995,.F.); #278413=ORIENTED_EDGE('',*,*,#205996,.T.); #278414=ORIENTED_EDGE('',*,*,#205995,.T.); #278415=ORIENTED_EDGE('',*,*,#205997,.F.); #278416=ORIENTED_EDGE('',*,*,#205998,.F.); #278417=ORIENTED_EDGE('',*,*,#205999,.T.); #278418=ORIENTED_EDGE('',*,*,#205998,.T.); #278419=ORIENTED_EDGE('',*,*,#206000,.F.); #278420=ORIENTED_EDGE('',*,*,#205990,.F.); #278421=ORIENTED_EDGE('',*,*,#206000,.T.); #278422=ORIENTED_EDGE('',*,*,#205997,.T.); #278423=ORIENTED_EDGE('',*,*,#205994,.T.); #278424=ORIENTED_EDGE('',*,*,#205991,.T.); #278425=ORIENTED_EDGE('',*,*,#205999,.F.); #278426=ORIENTED_EDGE('',*,*,#205989,.F.); #278427=ORIENTED_EDGE('',*,*,#205993,.F.); #278428=ORIENTED_EDGE('',*,*,#205996,.F.); #278429=ORIENTED_EDGE('',*,*,#206001,.T.); #278430=ORIENTED_EDGE('',*,*,#206002,.T.); #278431=ORIENTED_EDGE('',*,*,#206003,.F.); #278432=ORIENTED_EDGE('',*,*,#206004,.F.); #278433=ORIENTED_EDGE('',*,*,#206005,.T.); #278434=ORIENTED_EDGE('',*,*,#206004,.T.); #278435=ORIENTED_EDGE('',*,*,#206006,.F.); #278436=ORIENTED_EDGE('',*,*,#206007,.F.); #278437=ORIENTED_EDGE('',*,*,#206008,.T.); #278438=ORIENTED_EDGE('',*,*,#206007,.T.); #278439=ORIENTED_EDGE('',*,*,#206009,.F.); #278440=ORIENTED_EDGE('',*,*,#206010,.F.); #278441=ORIENTED_EDGE('',*,*,#206011,.T.); #278442=ORIENTED_EDGE('',*,*,#206010,.T.); #278443=ORIENTED_EDGE('',*,*,#206012,.F.); #278444=ORIENTED_EDGE('',*,*,#206002,.F.); #278445=ORIENTED_EDGE('',*,*,#206012,.T.); #278446=ORIENTED_EDGE('',*,*,#206009,.T.); #278447=ORIENTED_EDGE('',*,*,#206006,.T.); #278448=ORIENTED_EDGE('',*,*,#206003,.T.); #278449=ORIENTED_EDGE('',*,*,#206011,.F.); #278450=ORIENTED_EDGE('',*,*,#206001,.F.); #278451=ORIENTED_EDGE('',*,*,#206005,.F.); #278452=ORIENTED_EDGE('',*,*,#206008,.F.); #278453=ORIENTED_EDGE('',*,*,#206013,.F.); #278454=ORIENTED_EDGE('',*,*,#206014,.T.); #278455=ORIENTED_EDGE('',*,*,#206015,.F.); #278456=ORIENTED_EDGE('',*,*,#206014,.F.); #278457=ORIENTED_EDGE('',*,*,#206016,.F.); #278458=ORIENTED_EDGE('',*,*,#206017,.T.); #278459=ORIENTED_EDGE('',*,*,#206018,.F.); #278460=ORIENTED_EDGE('',*,*,#206017,.F.); #278461=ORIENTED_EDGE('',*,*,#206019,.T.); #278462=ORIENTED_EDGE('',*,*,#206020,.T.); #278463=ORIENTED_EDGE('',*,*,#206021,.F.); #278464=ORIENTED_EDGE('',*,*,#206022,.F.); #278465=ORIENTED_EDGE('',*,*,#206023,.T.); #278466=ORIENTED_EDGE('',*,*,#206022,.T.); #278467=ORIENTED_EDGE('',*,*,#206024,.F.); #278468=ORIENTED_EDGE('',*,*,#206025,.F.); #278469=ORIENTED_EDGE('',*,*,#206026,.T.); #278470=ORIENTED_EDGE('',*,*,#206025,.T.); #278471=ORIENTED_EDGE('',*,*,#206027,.F.); #278472=ORIENTED_EDGE('',*,*,#206028,.F.); #278473=ORIENTED_EDGE('',*,*,#206029,.T.); #278474=ORIENTED_EDGE('',*,*,#206028,.T.); #278475=ORIENTED_EDGE('',*,*,#206030,.F.); #278476=ORIENTED_EDGE('',*,*,#206020,.F.); #278477=ORIENTED_EDGE('',*,*,#206030,.T.); #278478=ORIENTED_EDGE('',*,*,#206027,.T.); #278479=ORIENTED_EDGE('',*,*,#206024,.T.); #278480=ORIENTED_EDGE('',*,*,#206021,.T.); #278481=ORIENTED_EDGE('',*,*,#206015,.T.); #278482=ORIENTED_EDGE('',*,*,#206018,.T.); #278483=ORIENTED_EDGE('',*,*,#206029,.F.); #278484=ORIENTED_EDGE('',*,*,#206019,.F.); #278485=ORIENTED_EDGE('',*,*,#206023,.F.); #278486=ORIENTED_EDGE('',*,*,#206026,.F.); #278487=ORIENTED_EDGE('',*,*,#206013,.T.); #278488=ORIENTED_EDGE('',*,*,#206016,.T.); #278489=ORIENTED_EDGE('',*,*,#206031,.T.); #278490=ORIENTED_EDGE('',*,*,#206032,.T.); #278491=ORIENTED_EDGE('',*,*,#206033,.F.); #278492=ORIENTED_EDGE('',*,*,#206034,.F.); #278493=ORIENTED_EDGE('',*,*,#206035,.T.); #278494=ORIENTED_EDGE('',*,*,#206034,.T.); #278495=ORIENTED_EDGE('',*,*,#206036,.F.); #278496=ORIENTED_EDGE('',*,*,#206037,.F.); #278497=ORIENTED_EDGE('',*,*,#206038,.T.); #278498=ORIENTED_EDGE('',*,*,#206037,.T.); #278499=ORIENTED_EDGE('',*,*,#206039,.F.); #278500=ORIENTED_EDGE('',*,*,#206040,.F.); #278501=ORIENTED_EDGE('',*,*,#206041,.T.); #278502=ORIENTED_EDGE('',*,*,#206040,.T.); #278503=ORIENTED_EDGE('',*,*,#206042,.F.); #278504=ORIENTED_EDGE('',*,*,#206032,.F.); #278505=ORIENTED_EDGE('',*,*,#206042,.T.); #278506=ORIENTED_EDGE('',*,*,#206039,.T.); #278507=ORIENTED_EDGE('',*,*,#206036,.T.); #278508=ORIENTED_EDGE('',*,*,#206033,.T.); #278509=ORIENTED_EDGE('',*,*,#206041,.F.); #278510=ORIENTED_EDGE('',*,*,#206031,.F.); #278511=ORIENTED_EDGE('',*,*,#206035,.F.); #278512=ORIENTED_EDGE('',*,*,#206038,.F.); #278513=ORIENTED_EDGE('',*,*,#206043,.F.); #278514=ORIENTED_EDGE('',*,*,#206044,.T.); #278515=ORIENTED_EDGE('',*,*,#206045,.F.); #278516=ORIENTED_EDGE('',*,*,#206044,.F.); #278517=ORIENTED_EDGE('',*,*,#206046,.F.); #278518=ORIENTED_EDGE('',*,*,#206047,.T.); #278519=ORIENTED_EDGE('',*,*,#206048,.F.); #278520=ORIENTED_EDGE('',*,*,#206047,.F.); #278521=ORIENTED_EDGE('',*,*,#206049,.T.); #278522=ORIENTED_EDGE('',*,*,#206050,.T.); #278523=ORIENTED_EDGE('',*,*,#206051,.F.); #278524=ORIENTED_EDGE('',*,*,#206052,.F.); #278525=ORIENTED_EDGE('',*,*,#206053,.T.); #278526=ORIENTED_EDGE('',*,*,#206052,.T.); #278527=ORIENTED_EDGE('',*,*,#206054,.F.); #278528=ORIENTED_EDGE('',*,*,#206055,.F.); #278529=ORIENTED_EDGE('',*,*,#206056,.T.); #278530=ORIENTED_EDGE('',*,*,#206055,.T.); #278531=ORIENTED_EDGE('',*,*,#206057,.F.); #278532=ORIENTED_EDGE('',*,*,#206058,.F.); #278533=ORIENTED_EDGE('',*,*,#206059,.T.); #278534=ORIENTED_EDGE('',*,*,#206058,.T.); #278535=ORIENTED_EDGE('',*,*,#206060,.F.); #278536=ORIENTED_EDGE('',*,*,#206050,.F.); #278537=ORIENTED_EDGE('',*,*,#206060,.T.); #278538=ORIENTED_EDGE('',*,*,#206057,.T.); #278539=ORIENTED_EDGE('',*,*,#206054,.T.); #278540=ORIENTED_EDGE('',*,*,#206051,.T.); #278541=ORIENTED_EDGE('',*,*,#206045,.T.); #278542=ORIENTED_EDGE('',*,*,#206048,.T.); #278543=ORIENTED_EDGE('',*,*,#206059,.F.); #278544=ORIENTED_EDGE('',*,*,#206049,.F.); #278545=ORIENTED_EDGE('',*,*,#206053,.F.); #278546=ORIENTED_EDGE('',*,*,#206056,.F.); #278547=ORIENTED_EDGE('',*,*,#206043,.T.); #278548=ORIENTED_EDGE('',*,*,#206046,.T.); #278549=ORIENTED_EDGE('',*,*,#206061,.T.); #278550=ORIENTED_EDGE('',*,*,#206062,.T.); #278551=ORIENTED_EDGE('',*,*,#206063,.F.); #278552=ORIENTED_EDGE('',*,*,#206064,.F.); #278553=ORIENTED_EDGE('',*,*,#206065,.T.); #278554=ORIENTED_EDGE('',*,*,#206064,.T.); #278555=ORIENTED_EDGE('',*,*,#206066,.F.); #278556=ORIENTED_EDGE('',*,*,#206067,.F.); #278557=ORIENTED_EDGE('',*,*,#206068,.T.); #278558=ORIENTED_EDGE('',*,*,#206067,.T.); #278559=ORIENTED_EDGE('',*,*,#206069,.F.); #278560=ORIENTED_EDGE('',*,*,#206070,.F.); #278561=ORIENTED_EDGE('',*,*,#206071,.T.); #278562=ORIENTED_EDGE('',*,*,#206070,.T.); #278563=ORIENTED_EDGE('',*,*,#206072,.F.); #278564=ORIENTED_EDGE('',*,*,#206062,.F.); #278565=ORIENTED_EDGE('',*,*,#206072,.T.); #278566=ORIENTED_EDGE('',*,*,#206069,.T.); #278567=ORIENTED_EDGE('',*,*,#206066,.T.); #278568=ORIENTED_EDGE('',*,*,#206063,.T.); #278569=ORIENTED_EDGE('',*,*,#206071,.F.); #278570=ORIENTED_EDGE('',*,*,#206061,.F.); #278571=ORIENTED_EDGE('',*,*,#206065,.F.); #278572=ORIENTED_EDGE('',*,*,#206068,.F.); #278573=ORIENTED_EDGE('',*,*,#206073,.F.); #278574=ORIENTED_EDGE('',*,*,#206074,.T.); #278575=ORIENTED_EDGE('',*,*,#206075,.F.); #278576=ORIENTED_EDGE('',*,*,#206074,.F.); #278577=ORIENTED_EDGE('',*,*,#206076,.F.); #278578=ORIENTED_EDGE('',*,*,#206077,.T.); #278579=ORIENTED_EDGE('',*,*,#206078,.F.); #278580=ORIENTED_EDGE('',*,*,#206077,.F.); #278581=ORIENTED_EDGE('',*,*,#206079,.T.); #278582=ORIENTED_EDGE('',*,*,#206080,.T.); #278583=ORIENTED_EDGE('',*,*,#206081,.F.); #278584=ORIENTED_EDGE('',*,*,#206082,.F.); #278585=ORIENTED_EDGE('',*,*,#206083,.T.); #278586=ORIENTED_EDGE('',*,*,#206082,.T.); #278587=ORIENTED_EDGE('',*,*,#206084,.F.); #278588=ORIENTED_EDGE('',*,*,#206085,.F.); #278589=ORIENTED_EDGE('',*,*,#206086,.T.); #278590=ORIENTED_EDGE('',*,*,#206085,.T.); #278591=ORIENTED_EDGE('',*,*,#206087,.F.); #278592=ORIENTED_EDGE('',*,*,#206088,.F.); #278593=ORIENTED_EDGE('',*,*,#206089,.T.); #278594=ORIENTED_EDGE('',*,*,#206088,.T.); #278595=ORIENTED_EDGE('',*,*,#206090,.F.); #278596=ORIENTED_EDGE('',*,*,#206080,.F.); #278597=ORIENTED_EDGE('',*,*,#206090,.T.); #278598=ORIENTED_EDGE('',*,*,#206087,.T.); #278599=ORIENTED_EDGE('',*,*,#206084,.T.); #278600=ORIENTED_EDGE('',*,*,#206081,.T.); #278601=ORIENTED_EDGE('',*,*,#206075,.T.); #278602=ORIENTED_EDGE('',*,*,#206078,.T.); #278603=ORIENTED_EDGE('',*,*,#206089,.F.); #278604=ORIENTED_EDGE('',*,*,#206079,.F.); #278605=ORIENTED_EDGE('',*,*,#206083,.F.); #278606=ORIENTED_EDGE('',*,*,#206086,.F.); #278607=ORIENTED_EDGE('',*,*,#206073,.T.); #278608=ORIENTED_EDGE('',*,*,#206076,.T.); #278609=ORIENTED_EDGE('',*,*,#206091,.T.); #278610=ORIENTED_EDGE('',*,*,#206092,.T.); #278611=ORIENTED_EDGE('',*,*,#206093,.F.); #278612=ORIENTED_EDGE('',*,*,#206094,.F.); #278613=ORIENTED_EDGE('',*,*,#206095,.T.); #278614=ORIENTED_EDGE('',*,*,#206094,.T.); #278615=ORIENTED_EDGE('',*,*,#206096,.F.); #278616=ORIENTED_EDGE('',*,*,#206097,.F.); #278617=ORIENTED_EDGE('',*,*,#206098,.T.); #278618=ORIENTED_EDGE('',*,*,#206097,.T.); #278619=ORIENTED_EDGE('',*,*,#206099,.F.); #278620=ORIENTED_EDGE('',*,*,#206100,.F.); #278621=ORIENTED_EDGE('',*,*,#206101,.T.); #278622=ORIENTED_EDGE('',*,*,#206100,.T.); #278623=ORIENTED_EDGE('',*,*,#206102,.F.); #278624=ORIENTED_EDGE('',*,*,#206092,.F.); #278625=ORIENTED_EDGE('',*,*,#206102,.T.); #278626=ORIENTED_EDGE('',*,*,#206099,.T.); #278627=ORIENTED_EDGE('',*,*,#206096,.T.); #278628=ORIENTED_EDGE('',*,*,#206093,.T.); #278629=ORIENTED_EDGE('',*,*,#206101,.F.); #278630=ORIENTED_EDGE('',*,*,#206091,.F.); #278631=ORIENTED_EDGE('',*,*,#206095,.F.); #278632=ORIENTED_EDGE('',*,*,#206098,.F.); #278633=ORIENTED_EDGE('',*,*,#206103,.F.); #278634=ORIENTED_EDGE('',*,*,#206104,.T.); #278635=ORIENTED_EDGE('',*,*,#206105,.F.); #278636=ORIENTED_EDGE('',*,*,#206104,.F.); #278637=ORIENTED_EDGE('',*,*,#206106,.F.); #278638=ORIENTED_EDGE('',*,*,#206107,.T.); #278639=ORIENTED_EDGE('',*,*,#206108,.F.); #278640=ORIENTED_EDGE('',*,*,#206107,.F.); #278641=ORIENTED_EDGE('',*,*,#206109,.T.); #278642=ORIENTED_EDGE('',*,*,#206110,.T.); #278643=ORIENTED_EDGE('',*,*,#206111,.F.); #278644=ORIENTED_EDGE('',*,*,#206112,.F.); #278645=ORIENTED_EDGE('',*,*,#206113,.T.); #278646=ORIENTED_EDGE('',*,*,#206112,.T.); #278647=ORIENTED_EDGE('',*,*,#206114,.F.); #278648=ORIENTED_EDGE('',*,*,#206115,.F.); #278649=ORIENTED_EDGE('',*,*,#206116,.T.); #278650=ORIENTED_EDGE('',*,*,#206115,.T.); #278651=ORIENTED_EDGE('',*,*,#206117,.F.); #278652=ORIENTED_EDGE('',*,*,#206118,.F.); #278653=ORIENTED_EDGE('',*,*,#206119,.T.); #278654=ORIENTED_EDGE('',*,*,#206118,.T.); #278655=ORIENTED_EDGE('',*,*,#206120,.F.); #278656=ORIENTED_EDGE('',*,*,#206110,.F.); #278657=ORIENTED_EDGE('',*,*,#206120,.T.); #278658=ORIENTED_EDGE('',*,*,#206117,.T.); #278659=ORIENTED_EDGE('',*,*,#206114,.T.); #278660=ORIENTED_EDGE('',*,*,#206111,.T.); #278661=ORIENTED_EDGE('',*,*,#206105,.T.); #278662=ORIENTED_EDGE('',*,*,#206108,.T.); #278663=ORIENTED_EDGE('',*,*,#206119,.F.); #278664=ORIENTED_EDGE('',*,*,#206109,.F.); #278665=ORIENTED_EDGE('',*,*,#206113,.F.); #278666=ORIENTED_EDGE('',*,*,#206116,.F.); #278667=ORIENTED_EDGE('',*,*,#206103,.T.); #278668=ORIENTED_EDGE('',*,*,#206106,.T.); #278669=ORIENTED_EDGE('',*,*,#206121,.T.); #278670=ORIENTED_EDGE('',*,*,#206122,.T.); #278671=ORIENTED_EDGE('',*,*,#206123,.F.); #278672=ORIENTED_EDGE('',*,*,#206124,.F.); #278673=ORIENTED_EDGE('',*,*,#206125,.T.); #278674=ORIENTED_EDGE('',*,*,#206124,.T.); #278675=ORIENTED_EDGE('',*,*,#206126,.F.); #278676=ORIENTED_EDGE('',*,*,#206127,.F.); #278677=ORIENTED_EDGE('',*,*,#206128,.T.); #278678=ORIENTED_EDGE('',*,*,#206127,.T.); #278679=ORIENTED_EDGE('',*,*,#206129,.F.); #278680=ORIENTED_EDGE('',*,*,#206130,.F.); #278681=ORIENTED_EDGE('',*,*,#206131,.T.); #278682=ORIENTED_EDGE('',*,*,#206130,.T.); #278683=ORIENTED_EDGE('',*,*,#206132,.F.); #278684=ORIENTED_EDGE('',*,*,#206122,.F.); #278685=ORIENTED_EDGE('',*,*,#206132,.T.); #278686=ORIENTED_EDGE('',*,*,#206129,.T.); #278687=ORIENTED_EDGE('',*,*,#206126,.T.); #278688=ORIENTED_EDGE('',*,*,#206123,.T.); #278689=ORIENTED_EDGE('',*,*,#206131,.F.); #278690=ORIENTED_EDGE('',*,*,#206121,.F.); #278691=ORIENTED_EDGE('',*,*,#206125,.F.); #278692=ORIENTED_EDGE('',*,*,#206128,.F.); #278693=ORIENTED_EDGE('',*,*,#206133,.T.); #278694=ORIENTED_EDGE('',*,*,#206134,.T.); #278695=ORIENTED_EDGE('',*,*,#206135,.F.); #278696=ORIENTED_EDGE('',*,*,#206136,.F.); #278697=ORIENTED_EDGE('',*,*,#206137,.T.); #278698=ORIENTED_EDGE('',*,*,#206136,.T.); #278699=ORIENTED_EDGE('',*,*,#206138,.F.); #278700=ORIENTED_EDGE('',*,*,#206139,.F.); #278701=ORIENTED_EDGE('',*,*,#206140,.T.); #278702=ORIENTED_EDGE('',*,*,#206139,.T.); #278703=ORIENTED_EDGE('',*,*,#206141,.F.); #278704=ORIENTED_EDGE('',*,*,#206142,.F.); #278705=ORIENTED_EDGE('',*,*,#206143,.T.); #278706=ORIENTED_EDGE('',*,*,#206142,.T.); #278707=ORIENTED_EDGE('',*,*,#206144,.F.); #278708=ORIENTED_EDGE('',*,*,#206145,.F.); #278709=ORIENTED_EDGE('',*,*,#206146,.T.); #278710=ORIENTED_EDGE('',*,*,#206145,.T.); #278711=ORIENTED_EDGE('',*,*,#206147,.F.); #278712=ORIENTED_EDGE('',*,*,#206148,.F.); #278713=ORIENTED_EDGE('',*,*,#206149,.T.); #278714=ORIENTED_EDGE('',*,*,#206148,.T.); #278715=ORIENTED_EDGE('',*,*,#206150,.F.); #278716=ORIENTED_EDGE('',*,*,#206151,.F.); #278717=ORIENTED_EDGE('',*,*,#206152,.T.); #278718=ORIENTED_EDGE('',*,*,#206151,.T.); #278719=ORIENTED_EDGE('',*,*,#206153,.F.); #278720=ORIENTED_EDGE('',*,*,#206154,.F.); #278721=ORIENTED_EDGE('',*,*,#206155,.T.); #278722=ORIENTED_EDGE('',*,*,#206154,.T.); #278723=ORIENTED_EDGE('',*,*,#206156,.F.); #278724=ORIENTED_EDGE('',*,*,#206157,.F.); #278725=ORIENTED_EDGE('',*,*,#206158,.T.); #278726=ORIENTED_EDGE('',*,*,#206157,.T.); #278727=ORIENTED_EDGE('',*,*,#206159,.F.); #278728=ORIENTED_EDGE('',*,*,#206160,.F.); #278729=ORIENTED_EDGE('',*,*,#206161,.T.); #278730=ORIENTED_EDGE('',*,*,#206160,.T.); #278731=ORIENTED_EDGE('',*,*,#206162,.F.); #278732=ORIENTED_EDGE('',*,*,#206163,.F.); #278733=ORIENTED_EDGE('',*,*,#206164,.T.); #278734=ORIENTED_EDGE('',*,*,#206163,.T.); #278735=ORIENTED_EDGE('',*,*,#206165,.F.); #278736=ORIENTED_EDGE('',*,*,#206166,.F.); #278737=ORIENTED_EDGE('',*,*,#206167,.T.); #278738=ORIENTED_EDGE('',*,*,#206166,.T.); #278739=ORIENTED_EDGE('',*,*,#206168,.F.); #278740=ORIENTED_EDGE('',*,*,#206169,.F.); #278741=ORIENTED_EDGE('',*,*,#206170,.T.); #278742=ORIENTED_EDGE('',*,*,#206169,.T.); #278743=ORIENTED_EDGE('',*,*,#206171,.F.); #278744=ORIENTED_EDGE('',*,*,#206172,.F.); #278745=ORIENTED_EDGE('',*,*,#206173,.T.); #278746=ORIENTED_EDGE('',*,*,#206172,.T.); #278747=ORIENTED_EDGE('',*,*,#206174,.F.); #278748=ORIENTED_EDGE('',*,*,#206175,.F.); #278749=ORIENTED_EDGE('',*,*,#206176,.T.); #278750=ORIENTED_EDGE('',*,*,#206175,.T.); #278751=ORIENTED_EDGE('',*,*,#206177,.F.); #278752=ORIENTED_EDGE('',*,*,#206178,.F.); #278753=ORIENTED_EDGE('',*,*,#206179,.T.); #278754=ORIENTED_EDGE('',*,*,#206178,.T.); #278755=ORIENTED_EDGE('',*,*,#206180,.F.); #278756=ORIENTED_EDGE('',*,*,#206181,.F.); #278757=ORIENTED_EDGE('',*,*,#206182,.T.); #278758=ORIENTED_EDGE('',*,*,#206181,.T.); #278759=ORIENTED_EDGE('',*,*,#206183,.F.); #278760=ORIENTED_EDGE('',*,*,#206184,.F.); #278761=ORIENTED_EDGE('',*,*,#206185,.T.); #278762=ORIENTED_EDGE('',*,*,#206184,.T.); #278763=ORIENTED_EDGE('',*,*,#206186,.F.); #278764=ORIENTED_EDGE('',*,*,#206187,.F.); #278765=ORIENTED_EDGE('',*,*,#206188,.T.); #278766=ORIENTED_EDGE('',*,*,#206187,.T.); #278767=ORIENTED_EDGE('',*,*,#206189,.F.); #278768=ORIENTED_EDGE('',*,*,#206190,.F.); #278769=ORIENTED_EDGE('',*,*,#206191,.T.); #278770=ORIENTED_EDGE('',*,*,#206190,.T.); #278771=ORIENTED_EDGE('',*,*,#206192,.F.); #278772=ORIENTED_EDGE('',*,*,#206193,.F.); #278773=ORIENTED_EDGE('',*,*,#206194,.T.); #278774=ORIENTED_EDGE('',*,*,#206193,.T.); #278775=ORIENTED_EDGE('',*,*,#206195,.F.); #278776=ORIENTED_EDGE('',*,*,#206196,.F.); #278777=ORIENTED_EDGE('',*,*,#206197,.T.); #278778=ORIENTED_EDGE('',*,*,#206196,.T.); #278779=ORIENTED_EDGE('',*,*,#206198,.F.); #278780=ORIENTED_EDGE('',*,*,#206199,.F.); #278781=ORIENTED_EDGE('',*,*,#206200,.T.); #278782=ORIENTED_EDGE('',*,*,#206199,.T.); #278783=ORIENTED_EDGE('',*,*,#206201,.F.); #278784=ORIENTED_EDGE('',*,*,#206202,.F.); #278785=ORIENTED_EDGE('',*,*,#206203,.T.); #278786=ORIENTED_EDGE('',*,*,#206202,.T.); #278787=ORIENTED_EDGE('',*,*,#206204,.F.); #278788=ORIENTED_EDGE('',*,*,#206205,.F.); #278789=ORIENTED_EDGE('',*,*,#206206,.T.); #278790=ORIENTED_EDGE('',*,*,#206205,.T.); #278791=ORIENTED_EDGE('',*,*,#206207,.F.); #278792=ORIENTED_EDGE('',*,*,#206208,.F.); #278793=ORIENTED_EDGE('',*,*,#206209,.T.); #278794=ORIENTED_EDGE('',*,*,#206208,.T.); #278795=ORIENTED_EDGE('',*,*,#206210,.F.); #278796=ORIENTED_EDGE('',*,*,#206211,.F.); #278797=ORIENTED_EDGE('',*,*,#206212,.T.); #278798=ORIENTED_EDGE('',*,*,#206211,.T.); #278799=ORIENTED_EDGE('',*,*,#206213,.F.); #278800=ORIENTED_EDGE('',*,*,#206214,.F.); #278801=ORIENTED_EDGE('',*,*,#206215,.T.); #278802=ORIENTED_EDGE('',*,*,#206214,.T.); #278803=ORIENTED_EDGE('',*,*,#206216,.F.); #278804=ORIENTED_EDGE('',*,*,#206217,.F.); #278805=ORIENTED_EDGE('',*,*,#206218,.T.); #278806=ORIENTED_EDGE('',*,*,#206217,.T.); #278807=ORIENTED_EDGE('',*,*,#206219,.F.); #278808=ORIENTED_EDGE('',*,*,#206220,.F.); #278809=ORIENTED_EDGE('',*,*,#206221,.T.); #278810=ORIENTED_EDGE('',*,*,#206220,.T.); #278811=ORIENTED_EDGE('',*,*,#206222,.F.); #278812=ORIENTED_EDGE('',*,*,#206223,.F.); #278813=ORIENTED_EDGE('',*,*,#206224,.T.); #278814=ORIENTED_EDGE('',*,*,#206223,.T.); #278815=ORIENTED_EDGE('',*,*,#206225,.F.); #278816=ORIENTED_EDGE('',*,*,#206226,.F.); #278817=ORIENTED_EDGE('',*,*,#206227,.T.); #278818=ORIENTED_EDGE('',*,*,#206226,.T.); #278819=ORIENTED_EDGE('',*,*,#206228,.F.); #278820=ORIENTED_EDGE('',*,*,#206229,.F.); #278821=ORIENTED_EDGE('',*,*,#206230,.T.); #278822=ORIENTED_EDGE('',*,*,#206229,.T.); #278823=ORIENTED_EDGE('',*,*,#206231,.F.); #278824=ORIENTED_EDGE('',*,*,#206232,.F.); #278825=ORIENTED_EDGE('',*,*,#206233,.T.); #278826=ORIENTED_EDGE('',*,*,#206232,.T.); #278827=ORIENTED_EDGE('',*,*,#206234,.F.); #278828=ORIENTED_EDGE('',*,*,#206235,.F.); #278829=ORIENTED_EDGE('',*,*,#206236,.T.); #278830=ORIENTED_EDGE('',*,*,#206235,.T.); #278831=ORIENTED_EDGE('',*,*,#206237,.F.); #278832=ORIENTED_EDGE('',*,*,#206238,.F.); #278833=ORIENTED_EDGE('',*,*,#206239,.T.); #278834=ORIENTED_EDGE('',*,*,#206238,.T.); #278835=ORIENTED_EDGE('',*,*,#206240,.F.); #278836=ORIENTED_EDGE('',*,*,#206241,.F.); #278837=ORIENTED_EDGE('',*,*,#206242,.T.); #278838=ORIENTED_EDGE('',*,*,#206241,.T.); #278839=ORIENTED_EDGE('',*,*,#206243,.F.); #278840=ORIENTED_EDGE('',*,*,#206244,.F.); #278841=ORIENTED_EDGE('',*,*,#206245,.T.); #278842=ORIENTED_EDGE('',*,*,#206244,.T.); #278843=ORIENTED_EDGE('',*,*,#206246,.F.); #278844=ORIENTED_EDGE('',*,*,#206247,.F.); #278845=ORIENTED_EDGE('',*,*,#206248,.T.); #278846=ORIENTED_EDGE('',*,*,#206247,.T.); #278847=ORIENTED_EDGE('',*,*,#206249,.F.); #278848=ORIENTED_EDGE('',*,*,#206250,.F.); #278849=ORIENTED_EDGE('',*,*,#206251,.T.); #278850=ORIENTED_EDGE('',*,*,#206250,.T.); #278851=ORIENTED_EDGE('',*,*,#206252,.F.); #278852=ORIENTED_EDGE('',*,*,#206253,.F.); #278853=ORIENTED_EDGE('',*,*,#206254,.T.); #278854=ORIENTED_EDGE('',*,*,#206253,.T.); #278855=ORIENTED_EDGE('',*,*,#206255,.F.); #278856=ORIENTED_EDGE('',*,*,#206256,.F.); #278857=ORIENTED_EDGE('',*,*,#206257,.T.); #278858=ORIENTED_EDGE('',*,*,#206256,.T.); #278859=ORIENTED_EDGE('',*,*,#206258,.F.); #278860=ORIENTED_EDGE('',*,*,#206259,.F.); #278861=ORIENTED_EDGE('',*,*,#206260,.T.); #278862=ORIENTED_EDGE('',*,*,#206259,.T.); #278863=ORIENTED_EDGE('',*,*,#206261,.F.); #278864=ORIENTED_EDGE('',*,*,#206262,.F.); #278865=ORIENTED_EDGE('',*,*,#206263,.T.); #278866=ORIENTED_EDGE('',*,*,#206262,.T.); #278867=ORIENTED_EDGE('',*,*,#206264,.F.); #278868=ORIENTED_EDGE('',*,*,#206265,.F.); #278869=ORIENTED_EDGE('',*,*,#206266,.T.); #278870=ORIENTED_EDGE('',*,*,#206265,.T.); #278871=ORIENTED_EDGE('',*,*,#206267,.F.); #278872=ORIENTED_EDGE('',*,*,#206268,.F.); #278873=ORIENTED_EDGE('',*,*,#206269,.T.); #278874=ORIENTED_EDGE('',*,*,#206268,.T.); #278875=ORIENTED_EDGE('',*,*,#206270,.F.); #278876=ORIENTED_EDGE('',*,*,#206271,.F.); #278877=ORIENTED_EDGE('',*,*,#206272,.T.); #278878=ORIENTED_EDGE('',*,*,#206271,.T.); #278879=ORIENTED_EDGE('',*,*,#206273,.F.); #278880=ORIENTED_EDGE('',*,*,#206274,.F.); #278881=ORIENTED_EDGE('',*,*,#206275,.T.); #278882=ORIENTED_EDGE('',*,*,#206274,.T.); #278883=ORIENTED_EDGE('',*,*,#206276,.F.); #278884=ORIENTED_EDGE('',*,*,#206277,.F.); #278885=ORIENTED_EDGE('',*,*,#206278,.T.); #278886=ORIENTED_EDGE('',*,*,#206277,.T.); #278887=ORIENTED_EDGE('',*,*,#206279,.F.); #278888=ORIENTED_EDGE('',*,*,#206280,.F.); #278889=ORIENTED_EDGE('',*,*,#206281,.T.); #278890=ORIENTED_EDGE('',*,*,#206280,.T.); #278891=ORIENTED_EDGE('',*,*,#206282,.F.); #278892=ORIENTED_EDGE('',*,*,#206283,.F.); #278893=ORIENTED_EDGE('',*,*,#206284,.T.); #278894=ORIENTED_EDGE('',*,*,#206283,.T.); #278895=ORIENTED_EDGE('',*,*,#206285,.F.); #278896=ORIENTED_EDGE('',*,*,#206286,.F.); #278897=ORIENTED_EDGE('',*,*,#206287,.T.); #278898=ORIENTED_EDGE('',*,*,#206286,.T.); #278899=ORIENTED_EDGE('',*,*,#206288,.F.); #278900=ORIENTED_EDGE('',*,*,#206289,.F.); #278901=ORIENTED_EDGE('',*,*,#206290,.T.); #278902=ORIENTED_EDGE('',*,*,#206289,.T.); #278903=ORIENTED_EDGE('',*,*,#206291,.F.); #278904=ORIENTED_EDGE('',*,*,#206292,.F.); #278905=ORIENTED_EDGE('',*,*,#206293,.T.); #278906=ORIENTED_EDGE('',*,*,#206292,.T.); #278907=ORIENTED_EDGE('',*,*,#206294,.F.); #278908=ORIENTED_EDGE('',*,*,#206295,.F.); #278909=ORIENTED_EDGE('',*,*,#206296,.T.); #278910=ORIENTED_EDGE('',*,*,#206295,.T.); #278911=ORIENTED_EDGE('',*,*,#206297,.F.); #278912=ORIENTED_EDGE('',*,*,#206298,.F.); #278913=ORIENTED_EDGE('',*,*,#206299,.T.); #278914=ORIENTED_EDGE('',*,*,#206298,.T.); #278915=ORIENTED_EDGE('',*,*,#206300,.F.); #278916=ORIENTED_EDGE('',*,*,#206301,.F.); #278917=ORIENTED_EDGE('',*,*,#206302,.T.); #278918=ORIENTED_EDGE('',*,*,#206301,.T.); #278919=ORIENTED_EDGE('',*,*,#206303,.F.); #278920=ORIENTED_EDGE('',*,*,#206304,.F.); #278921=ORIENTED_EDGE('',*,*,#206305,.T.); #278922=ORIENTED_EDGE('',*,*,#206304,.T.); #278923=ORIENTED_EDGE('',*,*,#206306,.F.); #278924=ORIENTED_EDGE('',*,*,#206134,.F.); #278925=ORIENTED_EDGE('',*,*,#206306,.T.); #278926=ORIENTED_EDGE('',*,*,#206303,.T.); #278927=ORIENTED_EDGE('',*,*,#206300,.T.); #278928=ORIENTED_EDGE('',*,*,#206297,.T.); #278929=ORIENTED_EDGE('',*,*,#206294,.T.); #278930=ORIENTED_EDGE('',*,*,#206291,.T.); #278931=ORIENTED_EDGE('',*,*,#206288,.T.); #278932=ORIENTED_EDGE('',*,*,#206285,.T.); #278933=ORIENTED_EDGE('',*,*,#206282,.T.); #278934=ORIENTED_EDGE('',*,*,#206279,.T.); #278935=ORIENTED_EDGE('',*,*,#206276,.T.); #278936=ORIENTED_EDGE('',*,*,#206273,.T.); #278937=ORIENTED_EDGE('',*,*,#206270,.T.); #278938=ORIENTED_EDGE('',*,*,#206267,.T.); #278939=ORIENTED_EDGE('',*,*,#206264,.T.); #278940=ORIENTED_EDGE('',*,*,#206261,.T.); #278941=ORIENTED_EDGE('',*,*,#206258,.T.); #278942=ORIENTED_EDGE('',*,*,#206255,.T.); #278943=ORIENTED_EDGE('',*,*,#206252,.T.); #278944=ORIENTED_EDGE('',*,*,#206249,.T.); #278945=ORIENTED_EDGE('',*,*,#206246,.T.); #278946=ORIENTED_EDGE('',*,*,#206243,.T.); #278947=ORIENTED_EDGE('',*,*,#206240,.T.); #278948=ORIENTED_EDGE('',*,*,#206237,.T.); #278949=ORIENTED_EDGE('',*,*,#206234,.T.); #278950=ORIENTED_EDGE('',*,*,#206231,.T.); #278951=ORIENTED_EDGE('',*,*,#206228,.T.); #278952=ORIENTED_EDGE('',*,*,#206225,.T.); #278953=ORIENTED_EDGE('',*,*,#206222,.T.); #278954=ORIENTED_EDGE('',*,*,#206219,.T.); #278955=ORIENTED_EDGE('',*,*,#206216,.T.); #278956=ORIENTED_EDGE('',*,*,#206213,.T.); #278957=ORIENTED_EDGE('',*,*,#206210,.T.); #278958=ORIENTED_EDGE('',*,*,#206207,.T.); #278959=ORIENTED_EDGE('',*,*,#206204,.T.); #278960=ORIENTED_EDGE('',*,*,#206201,.T.); #278961=ORIENTED_EDGE('',*,*,#206198,.T.); #278962=ORIENTED_EDGE('',*,*,#206195,.T.); #278963=ORIENTED_EDGE('',*,*,#206192,.T.); #278964=ORIENTED_EDGE('',*,*,#206189,.T.); #278965=ORIENTED_EDGE('',*,*,#206186,.T.); #278966=ORIENTED_EDGE('',*,*,#206183,.T.); #278967=ORIENTED_EDGE('',*,*,#206180,.T.); #278968=ORIENTED_EDGE('',*,*,#206177,.T.); #278969=ORIENTED_EDGE('',*,*,#206174,.T.); #278970=ORIENTED_EDGE('',*,*,#206171,.T.); #278971=ORIENTED_EDGE('',*,*,#206168,.T.); #278972=ORIENTED_EDGE('',*,*,#206165,.T.); #278973=ORIENTED_EDGE('',*,*,#206162,.T.); #278974=ORIENTED_EDGE('',*,*,#206159,.T.); #278975=ORIENTED_EDGE('',*,*,#206156,.T.); #278976=ORIENTED_EDGE('',*,*,#206153,.T.); #278977=ORIENTED_EDGE('',*,*,#206150,.T.); #278978=ORIENTED_EDGE('',*,*,#206147,.T.); #278979=ORIENTED_EDGE('',*,*,#206144,.T.); #278980=ORIENTED_EDGE('',*,*,#206141,.T.); #278981=ORIENTED_EDGE('',*,*,#206138,.T.); #278982=ORIENTED_EDGE('',*,*,#206135,.T.); #278983=ORIENTED_EDGE('',*,*,#206305,.F.); #278984=ORIENTED_EDGE('',*,*,#206133,.F.); #278985=ORIENTED_EDGE('',*,*,#206137,.F.); #278986=ORIENTED_EDGE('',*,*,#206140,.F.); #278987=ORIENTED_EDGE('',*,*,#206143,.F.); #278988=ORIENTED_EDGE('',*,*,#206146,.F.); #278989=ORIENTED_EDGE('',*,*,#206149,.F.); #278990=ORIENTED_EDGE('',*,*,#206152,.F.); #278991=ORIENTED_EDGE('',*,*,#206155,.F.); #278992=ORIENTED_EDGE('',*,*,#206158,.F.); #278993=ORIENTED_EDGE('',*,*,#206161,.F.); #278994=ORIENTED_EDGE('',*,*,#206164,.F.); #278995=ORIENTED_EDGE('',*,*,#206167,.F.); #278996=ORIENTED_EDGE('',*,*,#206170,.F.); #278997=ORIENTED_EDGE('',*,*,#206173,.F.); #278998=ORIENTED_EDGE('',*,*,#206176,.F.); #278999=ORIENTED_EDGE('',*,*,#206179,.F.); #279000=ORIENTED_EDGE('',*,*,#206182,.F.); #279001=ORIENTED_EDGE('',*,*,#206185,.F.); #279002=ORIENTED_EDGE('',*,*,#206188,.F.); #279003=ORIENTED_EDGE('',*,*,#206191,.F.); #279004=ORIENTED_EDGE('',*,*,#206194,.F.); #279005=ORIENTED_EDGE('',*,*,#206197,.F.); #279006=ORIENTED_EDGE('',*,*,#206200,.F.); #279007=ORIENTED_EDGE('',*,*,#206203,.F.); #279008=ORIENTED_EDGE('',*,*,#206206,.F.); #279009=ORIENTED_EDGE('',*,*,#206209,.F.); #279010=ORIENTED_EDGE('',*,*,#206212,.F.); #279011=ORIENTED_EDGE('',*,*,#206215,.F.); #279012=ORIENTED_EDGE('',*,*,#206218,.F.); #279013=ORIENTED_EDGE('',*,*,#206221,.F.); #279014=ORIENTED_EDGE('',*,*,#206224,.F.); #279015=ORIENTED_EDGE('',*,*,#206227,.F.); #279016=ORIENTED_EDGE('',*,*,#206230,.F.); #279017=ORIENTED_EDGE('',*,*,#206233,.F.); #279018=ORIENTED_EDGE('',*,*,#206236,.F.); #279019=ORIENTED_EDGE('',*,*,#206239,.F.); #279020=ORIENTED_EDGE('',*,*,#206242,.F.); #279021=ORIENTED_EDGE('',*,*,#206245,.F.); #279022=ORIENTED_EDGE('',*,*,#206248,.F.); #279023=ORIENTED_EDGE('',*,*,#206251,.F.); #279024=ORIENTED_EDGE('',*,*,#206254,.F.); #279025=ORIENTED_EDGE('',*,*,#206257,.F.); #279026=ORIENTED_EDGE('',*,*,#206260,.F.); #279027=ORIENTED_EDGE('',*,*,#206263,.F.); #279028=ORIENTED_EDGE('',*,*,#206266,.F.); #279029=ORIENTED_EDGE('',*,*,#206269,.F.); #279030=ORIENTED_EDGE('',*,*,#206272,.F.); #279031=ORIENTED_EDGE('',*,*,#206275,.F.); #279032=ORIENTED_EDGE('',*,*,#206278,.F.); #279033=ORIENTED_EDGE('',*,*,#206281,.F.); #279034=ORIENTED_EDGE('',*,*,#206284,.F.); #279035=ORIENTED_EDGE('',*,*,#206287,.F.); #279036=ORIENTED_EDGE('',*,*,#206290,.F.); #279037=ORIENTED_EDGE('',*,*,#206293,.F.); #279038=ORIENTED_EDGE('',*,*,#206296,.F.); #279039=ORIENTED_EDGE('',*,*,#206299,.F.); #279040=ORIENTED_EDGE('',*,*,#206302,.F.); #279041=ORIENTED_EDGE('',*,*,#206307,.F.); #279042=ORIENTED_EDGE('',*,*,#206308,.T.); #279043=ORIENTED_EDGE('',*,*,#206309,.F.); #279044=ORIENTED_EDGE('',*,*,#206308,.F.); #279045=ORIENTED_EDGE('',*,*,#206310,.F.); #279046=ORIENTED_EDGE('',*,*,#206311,.T.); #279047=ORIENTED_EDGE('',*,*,#206312,.F.); #279048=ORIENTED_EDGE('',*,*,#206311,.F.); #279049=ORIENTED_EDGE('',*,*,#206313,.T.); #279050=ORIENTED_EDGE('',*,*,#206314,.T.); #279051=ORIENTED_EDGE('',*,*,#206315,.F.); #279052=ORIENTED_EDGE('',*,*,#206316,.F.); #279053=ORIENTED_EDGE('',*,*,#206317,.T.); #279054=ORIENTED_EDGE('',*,*,#206316,.T.); #279055=ORIENTED_EDGE('',*,*,#206318,.F.); #279056=ORIENTED_EDGE('',*,*,#206319,.F.); #279057=ORIENTED_EDGE('',*,*,#206320,.T.); #279058=ORIENTED_EDGE('',*,*,#206319,.T.); #279059=ORIENTED_EDGE('',*,*,#206321,.F.); #279060=ORIENTED_EDGE('',*,*,#206322,.F.); #279061=ORIENTED_EDGE('',*,*,#206323,.T.); #279062=ORIENTED_EDGE('',*,*,#206322,.T.); #279063=ORIENTED_EDGE('',*,*,#206324,.F.); #279064=ORIENTED_EDGE('',*,*,#206325,.F.); #279065=ORIENTED_EDGE('',*,*,#206326,.T.); #279066=ORIENTED_EDGE('',*,*,#206325,.T.); #279067=ORIENTED_EDGE('',*,*,#206327,.F.); #279068=ORIENTED_EDGE('',*,*,#206328,.F.); #279069=ORIENTED_EDGE('',*,*,#206329,.T.); #279070=ORIENTED_EDGE('',*,*,#206328,.T.); #279071=ORIENTED_EDGE('',*,*,#206330,.F.); #279072=ORIENTED_EDGE('',*,*,#206331,.F.); #279073=ORIENTED_EDGE('',*,*,#206332,.T.); #279074=ORIENTED_EDGE('',*,*,#206331,.T.); #279075=ORIENTED_EDGE('',*,*,#206333,.F.); #279076=ORIENTED_EDGE('',*,*,#206334,.F.); #279077=ORIENTED_EDGE('',*,*,#206335,.T.); #279078=ORIENTED_EDGE('',*,*,#206334,.T.); #279079=ORIENTED_EDGE('',*,*,#206336,.F.); #279080=ORIENTED_EDGE('',*,*,#206314,.F.); #279081=ORIENTED_EDGE('',*,*,#206336,.T.); #279082=ORIENTED_EDGE('',*,*,#206333,.T.); #279083=ORIENTED_EDGE('',*,*,#206330,.T.); #279084=ORIENTED_EDGE('',*,*,#206327,.T.); #279085=ORIENTED_EDGE('',*,*,#206324,.T.); #279086=ORIENTED_EDGE('',*,*,#206321,.T.); #279087=ORIENTED_EDGE('',*,*,#206318,.T.); #279088=ORIENTED_EDGE('',*,*,#206315,.T.); #279089=ORIENTED_EDGE('',*,*,#206309,.T.); #279090=ORIENTED_EDGE('',*,*,#206312,.T.); #279091=ORIENTED_EDGE('',*,*,#206335,.F.); #279092=ORIENTED_EDGE('',*,*,#206313,.F.); #279093=ORIENTED_EDGE('',*,*,#206317,.F.); #279094=ORIENTED_EDGE('',*,*,#206320,.F.); #279095=ORIENTED_EDGE('',*,*,#206323,.F.); #279096=ORIENTED_EDGE('',*,*,#206326,.F.); #279097=ORIENTED_EDGE('',*,*,#206329,.F.); #279098=ORIENTED_EDGE('',*,*,#206332,.F.); #279099=ORIENTED_EDGE('',*,*,#206307,.T.); #279100=ORIENTED_EDGE('',*,*,#206310,.T.); #279101=ORIENTED_EDGE('',*,*,#206337,.F.); #279102=ORIENTED_EDGE('',*,*,#206338,.T.); #279103=ORIENTED_EDGE('',*,*,#206339,.F.); #279104=ORIENTED_EDGE('',*,*,#206338,.F.); #279105=ORIENTED_EDGE('',*,*,#206340,.T.); #279106=ORIENTED_EDGE('',*,*,#206341,.T.); #279107=ORIENTED_EDGE('',*,*,#206342,.F.); #279108=ORIENTED_EDGE('',*,*,#206343,.F.); #279109=ORIENTED_EDGE('',*,*,#206344,.T.); #279110=ORIENTED_EDGE('',*,*,#206343,.T.); #279111=ORIENTED_EDGE('',*,*,#206345,.F.); #279112=ORIENTED_EDGE('',*,*,#206346,.F.); #279113=ORIENTED_EDGE('',*,*,#206347,.T.); #279114=ORIENTED_EDGE('',*,*,#206346,.T.); #279115=ORIENTED_EDGE('',*,*,#206348,.F.); #279116=ORIENTED_EDGE('',*,*,#206349,.F.); #279117=ORIENTED_EDGE('',*,*,#206350,.T.); #279118=ORIENTED_EDGE('',*,*,#206349,.T.); #279119=ORIENTED_EDGE('',*,*,#206351,.F.); #279120=ORIENTED_EDGE('',*,*,#206352,.F.); #279121=ORIENTED_EDGE('',*,*,#206353,.T.); #279122=ORIENTED_EDGE('',*,*,#206352,.T.); #279123=ORIENTED_EDGE('',*,*,#206354,.F.); #279124=ORIENTED_EDGE('',*,*,#206355,.F.); #279125=ORIENTED_EDGE('',*,*,#206356,.T.); #279126=ORIENTED_EDGE('',*,*,#206355,.T.); #279127=ORIENTED_EDGE('',*,*,#206357,.F.); #279128=ORIENTED_EDGE('',*,*,#206358,.F.); #279129=ORIENTED_EDGE('',*,*,#206359,.T.); #279130=ORIENTED_EDGE('',*,*,#206358,.T.); #279131=ORIENTED_EDGE('',*,*,#206360,.F.); #279132=ORIENTED_EDGE('',*,*,#206361,.F.); #279133=ORIENTED_EDGE('',*,*,#206362,.T.); #279134=ORIENTED_EDGE('',*,*,#206361,.T.); #279135=ORIENTED_EDGE('',*,*,#206363,.F.); #279136=ORIENTED_EDGE('',*,*,#206364,.F.); #279137=ORIENTED_EDGE('',*,*,#206365,.T.); #279138=ORIENTED_EDGE('',*,*,#206364,.T.); #279139=ORIENTED_EDGE('',*,*,#206366,.F.); #279140=ORIENTED_EDGE('',*,*,#206367,.F.); #279141=ORIENTED_EDGE('',*,*,#206368,.T.); #279142=ORIENTED_EDGE('',*,*,#206367,.T.); #279143=ORIENTED_EDGE('',*,*,#206369,.F.); #279144=ORIENTED_EDGE('',*,*,#206341,.F.); #279145=ORIENTED_EDGE('',*,*,#206369,.T.); #279146=ORIENTED_EDGE('',*,*,#206366,.T.); #279147=ORIENTED_EDGE('',*,*,#206363,.T.); #279148=ORIENTED_EDGE('',*,*,#206360,.T.); #279149=ORIENTED_EDGE('',*,*,#206357,.T.); #279150=ORIENTED_EDGE('',*,*,#206354,.T.); #279151=ORIENTED_EDGE('',*,*,#206351,.T.); #279152=ORIENTED_EDGE('',*,*,#206348,.T.); #279153=ORIENTED_EDGE('',*,*,#206345,.T.); #279154=ORIENTED_EDGE('',*,*,#206342,.T.); #279155=ORIENTED_EDGE('',*,*,#206339,.T.); #279156=ORIENTED_EDGE('',*,*,#206368,.F.); #279157=ORIENTED_EDGE('',*,*,#206340,.F.); #279158=ORIENTED_EDGE('',*,*,#206344,.F.); #279159=ORIENTED_EDGE('',*,*,#206347,.F.); #279160=ORIENTED_EDGE('',*,*,#206350,.F.); #279161=ORIENTED_EDGE('',*,*,#206353,.F.); #279162=ORIENTED_EDGE('',*,*,#206356,.F.); #279163=ORIENTED_EDGE('',*,*,#206359,.F.); #279164=ORIENTED_EDGE('',*,*,#206362,.F.); #279165=ORIENTED_EDGE('',*,*,#206365,.F.); #279166=ORIENTED_EDGE('',*,*,#206337,.T.); #279167=ORIENTED_EDGE('',*,*,#206370,.F.); #279168=ORIENTED_EDGE('',*,*,#206371,.T.); #279169=ORIENTED_EDGE('',*,*,#206372,.F.); #279170=ORIENTED_EDGE('',*,*,#206371,.F.); #279171=ORIENTED_EDGE('',*,*,#206373,.T.); #279172=ORIENTED_EDGE('',*,*,#206374,.T.); #279173=ORIENTED_EDGE('',*,*,#206375,.F.); #279174=ORIENTED_EDGE('',*,*,#206376,.F.); #279175=ORIENTED_EDGE('',*,*,#206377,.T.); #279176=ORIENTED_EDGE('',*,*,#206376,.T.); #279177=ORIENTED_EDGE('',*,*,#206378,.F.); #279178=ORIENTED_EDGE('',*,*,#206379,.F.); #279179=ORIENTED_EDGE('',*,*,#206380,.T.); #279180=ORIENTED_EDGE('',*,*,#206379,.T.); #279181=ORIENTED_EDGE('',*,*,#206381,.F.); #279182=ORIENTED_EDGE('',*,*,#206382,.F.); #279183=ORIENTED_EDGE('',*,*,#206383,.T.); #279184=ORIENTED_EDGE('',*,*,#206382,.T.); #279185=ORIENTED_EDGE('',*,*,#206384,.F.); #279186=ORIENTED_EDGE('',*,*,#206385,.F.); #279187=ORIENTED_EDGE('',*,*,#206386,.T.); #279188=ORIENTED_EDGE('',*,*,#206385,.T.); #279189=ORIENTED_EDGE('',*,*,#206387,.F.); #279190=ORIENTED_EDGE('',*,*,#206388,.F.); #279191=ORIENTED_EDGE('',*,*,#206389,.T.); #279192=ORIENTED_EDGE('',*,*,#206388,.T.); #279193=ORIENTED_EDGE('',*,*,#206390,.F.); #279194=ORIENTED_EDGE('',*,*,#206391,.F.); #279195=ORIENTED_EDGE('',*,*,#206392,.T.); #279196=ORIENTED_EDGE('',*,*,#206391,.T.); #279197=ORIENTED_EDGE('',*,*,#206393,.F.); #279198=ORIENTED_EDGE('',*,*,#206394,.F.); #279199=ORIENTED_EDGE('',*,*,#206395,.T.); #279200=ORIENTED_EDGE('',*,*,#206394,.T.); #279201=ORIENTED_EDGE('',*,*,#206396,.F.); #279202=ORIENTED_EDGE('',*,*,#206397,.F.); #279203=ORIENTED_EDGE('',*,*,#206398,.T.); #279204=ORIENTED_EDGE('',*,*,#206397,.T.); #279205=ORIENTED_EDGE('',*,*,#206399,.F.); #279206=ORIENTED_EDGE('',*,*,#206400,.F.); #279207=ORIENTED_EDGE('',*,*,#206401,.T.); #279208=ORIENTED_EDGE('',*,*,#206400,.T.); #279209=ORIENTED_EDGE('',*,*,#206402,.F.); #279210=ORIENTED_EDGE('',*,*,#206403,.F.); #279211=ORIENTED_EDGE('',*,*,#206404,.T.); #279212=ORIENTED_EDGE('',*,*,#206403,.T.); #279213=ORIENTED_EDGE('',*,*,#206405,.F.); #279214=ORIENTED_EDGE('',*,*,#206406,.F.); #279215=ORIENTED_EDGE('',*,*,#206407,.T.); #279216=ORIENTED_EDGE('',*,*,#206406,.T.); #279217=ORIENTED_EDGE('',*,*,#206408,.F.); #279218=ORIENTED_EDGE('',*,*,#206409,.F.); #279219=ORIENTED_EDGE('',*,*,#206410,.T.); #279220=ORIENTED_EDGE('',*,*,#206409,.T.); #279221=ORIENTED_EDGE('',*,*,#206411,.F.); #279222=ORIENTED_EDGE('',*,*,#206374,.F.); #279223=ORIENTED_EDGE('',*,*,#206411,.T.); #279224=ORIENTED_EDGE('',*,*,#206408,.T.); #279225=ORIENTED_EDGE('',*,*,#206405,.T.); #279226=ORIENTED_EDGE('',*,*,#206402,.T.); #279227=ORIENTED_EDGE('',*,*,#206399,.T.); #279228=ORIENTED_EDGE('',*,*,#206396,.T.); #279229=ORIENTED_EDGE('',*,*,#206393,.T.); #279230=ORIENTED_EDGE('',*,*,#206390,.T.); #279231=ORIENTED_EDGE('',*,*,#206387,.T.); #279232=ORIENTED_EDGE('',*,*,#206384,.T.); #279233=ORIENTED_EDGE('',*,*,#206381,.T.); #279234=ORIENTED_EDGE('',*,*,#206378,.T.); #279235=ORIENTED_EDGE('',*,*,#206375,.T.); #279236=ORIENTED_EDGE('',*,*,#206372,.T.); #279237=ORIENTED_EDGE('',*,*,#206410,.F.); #279238=ORIENTED_EDGE('',*,*,#206373,.F.); #279239=ORIENTED_EDGE('',*,*,#206377,.F.); #279240=ORIENTED_EDGE('',*,*,#206380,.F.); #279241=ORIENTED_EDGE('',*,*,#206383,.F.); #279242=ORIENTED_EDGE('',*,*,#206386,.F.); #279243=ORIENTED_EDGE('',*,*,#206389,.F.); #279244=ORIENTED_EDGE('',*,*,#206392,.F.); #279245=ORIENTED_EDGE('',*,*,#206395,.F.); #279246=ORIENTED_EDGE('',*,*,#206398,.F.); #279247=ORIENTED_EDGE('',*,*,#206401,.F.); #279248=ORIENTED_EDGE('',*,*,#206404,.F.); #279249=ORIENTED_EDGE('',*,*,#206407,.F.); #279250=ORIENTED_EDGE('',*,*,#206370,.T.); #279251=ORIENTED_EDGE('',*,*,#206412,.F.); #279252=ORIENTED_EDGE('',*,*,#206413,.T.); #279253=ORIENTED_EDGE('',*,*,#206414,.F.); #279254=ORIENTED_EDGE('',*,*,#206413,.F.); #279255=ORIENTED_EDGE('',*,*,#206415,.F.); #279256=ORIENTED_EDGE('',*,*,#206416,.T.); #279257=ORIENTED_EDGE('',*,*,#206417,.F.); #279258=ORIENTED_EDGE('',*,*,#206416,.F.); #279259=ORIENTED_EDGE('',*,*,#206418,.T.); #279260=ORIENTED_EDGE('',*,*,#206419,.T.); #279261=ORIENTED_EDGE('',*,*,#206420,.F.); #279262=ORIENTED_EDGE('',*,*,#206421,.F.); #279263=ORIENTED_EDGE('',*,*,#206422,.T.); #279264=ORIENTED_EDGE('',*,*,#206421,.T.); #279265=ORIENTED_EDGE('',*,*,#206423,.F.); #279266=ORIENTED_EDGE('',*,*,#206424,.F.); #279267=ORIENTED_EDGE('',*,*,#206425,.T.); #279268=ORIENTED_EDGE('',*,*,#206424,.T.); #279269=ORIENTED_EDGE('',*,*,#206426,.F.); #279270=ORIENTED_EDGE('',*,*,#206427,.F.); #279271=ORIENTED_EDGE('',*,*,#206428,.T.); #279272=ORIENTED_EDGE('',*,*,#206427,.T.); #279273=ORIENTED_EDGE('',*,*,#206429,.F.); #279274=ORIENTED_EDGE('',*,*,#206430,.F.); #279275=ORIENTED_EDGE('',*,*,#206431,.T.); #279276=ORIENTED_EDGE('',*,*,#206430,.T.); #279277=ORIENTED_EDGE('',*,*,#206432,.F.); #279278=ORIENTED_EDGE('',*,*,#206433,.F.); #279279=ORIENTED_EDGE('',*,*,#206434,.T.); #279280=ORIENTED_EDGE('',*,*,#206433,.T.); #279281=ORIENTED_EDGE('',*,*,#206435,.F.); #279282=ORIENTED_EDGE('',*,*,#206436,.F.); #279283=ORIENTED_EDGE('',*,*,#206437,.T.); #279284=ORIENTED_EDGE('',*,*,#206436,.T.); #279285=ORIENTED_EDGE('',*,*,#206438,.F.); #279286=ORIENTED_EDGE('',*,*,#206439,.F.); #279287=ORIENTED_EDGE('',*,*,#206440,.T.); #279288=ORIENTED_EDGE('',*,*,#206439,.T.); #279289=ORIENTED_EDGE('',*,*,#206441,.F.); #279290=ORIENTED_EDGE('',*,*,#206442,.F.); #279291=ORIENTED_EDGE('',*,*,#206443,.T.); #279292=ORIENTED_EDGE('',*,*,#206442,.T.); #279293=ORIENTED_EDGE('',*,*,#206444,.F.); #279294=ORIENTED_EDGE('',*,*,#206445,.F.); #279295=ORIENTED_EDGE('',*,*,#206446,.T.); #279296=ORIENTED_EDGE('',*,*,#206445,.T.); #279297=ORIENTED_EDGE('',*,*,#206447,.F.); #279298=ORIENTED_EDGE('',*,*,#206448,.F.); #279299=ORIENTED_EDGE('',*,*,#206449,.T.); #279300=ORIENTED_EDGE('',*,*,#206448,.T.); #279301=ORIENTED_EDGE('',*,*,#206450,.F.); #279302=ORIENTED_EDGE('',*,*,#206451,.F.); #279303=ORIENTED_EDGE('',*,*,#206452,.T.); #279304=ORIENTED_EDGE('',*,*,#206451,.T.); #279305=ORIENTED_EDGE('',*,*,#206453,.F.); #279306=ORIENTED_EDGE('',*,*,#206454,.F.); #279307=ORIENTED_EDGE('',*,*,#206455,.T.); #279308=ORIENTED_EDGE('',*,*,#206454,.T.); #279309=ORIENTED_EDGE('',*,*,#206456,.F.); #279310=ORIENTED_EDGE('',*,*,#206457,.F.); #279311=ORIENTED_EDGE('',*,*,#206458,.T.); #279312=ORIENTED_EDGE('',*,*,#206457,.T.); #279313=ORIENTED_EDGE('',*,*,#206459,.F.); #279314=ORIENTED_EDGE('',*,*,#206460,.F.); #279315=ORIENTED_EDGE('',*,*,#206461,.T.); #279316=ORIENTED_EDGE('',*,*,#206460,.T.); #279317=ORIENTED_EDGE('',*,*,#206462,.F.); #279318=ORIENTED_EDGE('',*,*,#206463,.F.); #279319=ORIENTED_EDGE('',*,*,#206464,.T.); #279320=ORIENTED_EDGE('',*,*,#206463,.T.); #279321=ORIENTED_EDGE('',*,*,#206465,.F.); #279322=ORIENTED_EDGE('',*,*,#206466,.F.); #279323=ORIENTED_EDGE('',*,*,#206467,.T.); #279324=ORIENTED_EDGE('',*,*,#206466,.T.); #279325=ORIENTED_EDGE('',*,*,#206468,.F.); #279326=ORIENTED_EDGE('',*,*,#206469,.F.); #279327=ORIENTED_EDGE('',*,*,#206470,.T.); #279328=ORIENTED_EDGE('',*,*,#206469,.T.); #279329=ORIENTED_EDGE('',*,*,#206471,.F.); #279330=ORIENTED_EDGE('',*,*,#206472,.F.); #279331=ORIENTED_EDGE('',*,*,#206473,.T.); #279332=ORIENTED_EDGE('',*,*,#206472,.T.); #279333=ORIENTED_EDGE('',*,*,#206474,.F.); #279334=ORIENTED_EDGE('',*,*,#206475,.F.); #279335=ORIENTED_EDGE('',*,*,#206476,.T.); #279336=ORIENTED_EDGE('',*,*,#206475,.T.); #279337=ORIENTED_EDGE('',*,*,#206477,.F.); #279338=ORIENTED_EDGE('',*,*,#206478,.F.); #279339=ORIENTED_EDGE('',*,*,#206479,.T.); #279340=ORIENTED_EDGE('',*,*,#206478,.T.); #279341=ORIENTED_EDGE('',*,*,#206480,.F.); #279342=ORIENTED_EDGE('',*,*,#206481,.F.); #279343=ORIENTED_EDGE('',*,*,#206482,.T.); #279344=ORIENTED_EDGE('',*,*,#206481,.T.); #279345=ORIENTED_EDGE('',*,*,#206483,.F.); #279346=ORIENTED_EDGE('',*,*,#206484,.F.); #279347=ORIENTED_EDGE('',*,*,#206485,.T.); #279348=ORIENTED_EDGE('',*,*,#206484,.T.); #279349=ORIENTED_EDGE('',*,*,#206486,.F.); #279350=ORIENTED_EDGE('',*,*,#206487,.F.); #279351=ORIENTED_EDGE('',*,*,#206488,.T.); #279352=ORIENTED_EDGE('',*,*,#206487,.T.); #279353=ORIENTED_EDGE('',*,*,#206489,.F.); #279354=ORIENTED_EDGE('',*,*,#206490,.F.); #279355=ORIENTED_EDGE('',*,*,#206491,.T.); #279356=ORIENTED_EDGE('',*,*,#206490,.T.); #279357=ORIENTED_EDGE('',*,*,#206492,.F.); #279358=ORIENTED_EDGE('',*,*,#206493,.F.); #279359=ORIENTED_EDGE('',*,*,#206494,.T.); #279360=ORIENTED_EDGE('',*,*,#206493,.T.); #279361=ORIENTED_EDGE('',*,*,#206495,.F.); #279362=ORIENTED_EDGE('',*,*,#206496,.F.); #279363=ORIENTED_EDGE('',*,*,#206497,.T.); #279364=ORIENTED_EDGE('',*,*,#206496,.T.); #279365=ORIENTED_EDGE('',*,*,#206498,.F.); #279366=ORIENTED_EDGE('',*,*,#206499,.F.); #279367=ORIENTED_EDGE('',*,*,#206500,.T.); #279368=ORIENTED_EDGE('',*,*,#206499,.T.); #279369=ORIENTED_EDGE('',*,*,#206501,.F.); #279370=ORIENTED_EDGE('',*,*,#206502,.F.); #279371=ORIENTED_EDGE('',*,*,#206503,.T.); #279372=ORIENTED_EDGE('',*,*,#206502,.T.); #279373=ORIENTED_EDGE('',*,*,#206504,.F.); #279374=ORIENTED_EDGE('',*,*,#206505,.F.); #279375=ORIENTED_EDGE('',*,*,#206506,.T.); #279376=ORIENTED_EDGE('',*,*,#206505,.T.); #279377=ORIENTED_EDGE('',*,*,#206507,.F.); #279378=ORIENTED_EDGE('',*,*,#206508,.F.); #279379=ORIENTED_EDGE('',*,*,#206509,.T.); #279380=ORIENTED_EDGE('',*,*,#206508,.T.); #279381=ORIENTED_EDGE('',*,*,#206510,.F.); #279382=ORIENTED_EDGE('',*,*,#206511,.F.); #279383=ORIENTED_EDGE('',*,*,#206512,.T.); #279384=ORIENTED_EDGE('',*,*,#206511,.T.); #279385=ORIENTED_EDGE('',*,*,#206513,.F.); #279386=ORIENTED_EDGE('',*,*,#206514,.F.); #279387=ORIENTED_EDGE('',*,*,#206515,.T.); #279388=ORIENTED_EDGE('',*,*,#206514,.T.); #279389=ORIENTED_EDGE('',*,*,#206516,.F.); #279390=ORIENTED_EDGE('',*,*,#206517,.F.); #279391=ORIENTED_EDGE('',*,*,#206518,.T.); #279392=ORIENTED_EDGE('',*,*,#206517,.T.); #279393=ORIENTED_EDGE('',*,*,#206519,.F.); #279394=ORIENTED_EDGE('',*,*,#206520,.F.); #279395=ORIENTED_EDGE('',*,*,#206521,.T.); #279396=ORIENTED_EDGE('',*,*,#206520,.T.); #279397=ORIENTED_EDGE('',*,*,#206522,.F.); #279398=ORIENTED_EDGE('',*,*,#206523,.F.); #279399=ORIENTED_EDGE('',*,*,#206524,.T.); #279400=ORIENTED_EDGE('',*,*,#206523,.T.); #279401=ORIENTED_EDGE('',*,*,#206525,.F.); #279402=ORIENTED_EDGE('',*,*,#206526,.F.); #279403=ORIENTED_EDGE('',*,*,#206527,.T.); #279404=ORIENTED_EDGE('',*,*,#206526,.T.); #279405=ORIENTED_EDGE('',*,*,#206528,.F.); #279406=ORIENTED_EDGE('',*,*,#206529,.F.); #279407=ORIENTED_EDGE('',*,*,#206530,.T.); #279408=ORIENTED_EDGE('',*,*,#206529,.T.); #279409=ORIENTED_EDGE('',*,*,#206531,.F.); #279410=ORIENTED_EDGE('',*,*,#206532,.F.); #279411=ORIENTED_EDGE('',*,*,#206533,.T.); #279412=ORIENTED_EDGE('',*,*,#206532,.T.); #279413=ORIENTED_EDGE('',*,*,#206534,.F.); #279414=ORIENTED_EDGE('',*,*,#206535,.F.); #279415=ORIENTED_EDGE('',*,*,#206536,.T.); #279416=ORIENTED_EDGE('',*,*,#206535,.T.); #279417=ORIENTED_EDGE('',*,*,#206537,.F.); #279418=ORIENTED_EDGE('',*,*,#206538,.F.); #279419=ORIENTED_EDGE('',*,*,#206539,.T.); #279420=ORIENTED_EDGE('',*,*,#206538,.T.); #279421=ORIENTED_EDGE('',*,*,#206540,.F.); #279422=ORIENTED_EDGE('',*,*,#206541,.F.); #279423=ORIENTED_EDGE('',*,*,#206542,.T.); #279424=ORIENTED_EDGE('',*,*,#206541,.T.); #279425=ORIENTED_EDGE('',*,*,#206543,.F.); #279426=ORIENTED_EDGE('',*,*,#206544,.F.); #279427=ORIENTED_EDGE('',*,*,#206545,.T.); #279428=ORIENTED_EDGE('',*,*,#206544,.T.); #279429=ORIENTED_EDGE('',*,*,#206546,.F.); #279430=ORIENTED_EDGE('',*,*,#206547,.F.); #279431=ORIENTED_EDGE('',*,*,#206548,.T.); #279432=ORIENTED_EDGE('',*,*,#206547,.T.); #279433=ORIENTED_EDGE('',*,*,#206549,.F.); #279434=ORIENTED_EDGE('',*,*,#206419,.F.); #279435=ORIENTED_EDGE('',*,*,#206549,.T.); #279436=ORIENTED_EDGE('',*,*,#206546,.T.); #279437=ORIENTED_EDGE('',*,*,#206543,.T.); #279438=ORIENTED_EDGE('',*,*,#206540,.T.); #279439=ORIENTED_EDGE('',*,*,#206537,.T.); #279440=ORIENTED_EDGE('',*,*,#206534,.T.); #279441=ORIENTED_EDGE('',*,*,#206531,.T.); #279442=ORIENTED_EDGE('',*,*,#206528,.T.); #279443=ORIENTED_EDGE('',*,*,#206525,.T.); #279444=ORIENTED_EDGE('',*,*,#206522,.T.); #279445=ORIENTED_EDGE('',*,*,#206519,.T.); #279446=ORIENTED_EDGE('',*,*,#206516,.T.); #279447=ORIENTED_EDGE('',*,*,#206513,.T.); #279448=ORIENTED_EDGE('',*,*,#206510,.T.); #279449=ORIENTED_EDGE('',*,*,#206507,.T.); #279450=ORIENTED_EDGE('',*,*,#206504,.T.); #279451=ORIENTED_EDGE('',*,*,#206501,.T.); #279452=ORIENTED_EDGE('',*,*,#206498,.T.); #279453=ORIENTED_EDGE('',*,*,#206495,.T.); #279454=ORIENTED_EDGE('',*,*,#206492,.T.); #279455=ORIENTED_EDGE('',*,*,#206489,.T.); #279456=ORIENTED_EDGE('',*,*,#206486,.T.); #279457=ORIENTED_EDGE('',*,*,#206483,.T.); #279458=ORIENTED_EDGE('',*,*,#206480,.T.); #279459=ORIENTED_EDGE('',*,*,#206477,.T.); #279460=ORIENTED_EDGE('',*,*,#206474,.T.); #279461=ORIENTED_EDGE('',*,*,#206471,.T.); #279462=ORIENTED_EDGE('',*,*,#206468,.T.); #279463=ORIENTED_EDGE('',*,*,#206465,.T.); #279464=ORIENTED_EDGE('',*,*,#206462,.T.); #279465=ORIENTED_EDGE('',*,*,#206459,.T.); #279466=ORIENTED_EDGE('',*,*,#206456,.T.); #279467=ORIENTED_EDGE('',*,*,#206453,.T.); #279468=ORIENTED_EDGE('',*,*,#206450,.T.); #279469=ORIENTED_EDGE('',*,*,#206447,.T.); #279470=ORIENTED_EDGE('',*,*,#206444,.T.); #279471=ORIENTED_EDGE('',*,*,#206441,.T.); #279472=ORIENTED_EDGE('',*,*,#206438,.T.); #279473=ORIENTED_EDGE('',*,*,#206435,.T.); #279474=ORIENTED_EDGE('',*,*,#206432,.T.); #279475=ORIENTED_EDGE('',*,*,#206429,.T.); #279476=ORIENTED_EDGE('',*,*,#206426,.T.); #279477=ORIENTED_EDGE('',*,*,#206423,.T.); #279478=ORIENTED_EDGE('',*,*,#206420,.T.); #279479=ORIENTED_EDGE('',*,*,#206414,.T.); #279480=ORIENTED_EDGE('',*,*,#206417,.T.); #279481=ORIENTED_EDGE('',*,*,#206548,.F.); #279482=ORIENTED_EDGE('',*,*,#206418,.F.); #279483=ORIENTED_EDGE('',*,*,#206422,.F.); #279484=ORIENTED_EDGE('',*,*,#206425,.F.); #279485=ORIENTED_EDGE('',*,*,#206428,.F.); #279486=ORIENTED_EDGE('',*,*,#206431,.F.); #279487=ORIENTED_EDGE('',*,*,#206434,.F.); #279488=ORIENTED_EDGE('',*,*,#206437,.F.); #279489=ORIENTED_EDGE('',*,*,#206440,.F.); #279490=ORIENTED_EDGE('',*,*,#206443,.F.); #279491=ORIENTED_EDGE('',*,*,#206446,.F.); #279492=ORIENTED_EDGE('',*,*,#206449,.F.); #279493=ORIENTED_EDGE('',*,*,#206452,.F.); #279494=ORIENTED_EDGE('',*,*,#206455,.F.); #279495=ORIENTED_EDGE('',*,*,#206458,.F.); #279496=ORIENTED_EDGE('',*,*,#206461,.F.); #279497=ORIENTED_EDGE('',*,*,#206464,.F.); #279498=ORIENTED_EDGE('',*,*,#206467,.F.); #279499=ORIENTED_EDGE('',*,*,#206470,.F.); #279500=ORIENTED_EDGE('',*,*,#206473,.F.); #279501=ORIENTED_EDGE('',*,*,#206476,.F.); #279502=ORIENTED_EDGE('',*,*,#206479,.F.); #279503=ORIENTED_EDGE('',*,*,#206482,.F.); #279504=ORIENTED_EDGE('',*,*,#206485,.F.); #279505=ORIENTED_EDGE('',*,*,#206488,.F.); #279506=ORIENTED_EDGE('',*,*,#206491,.F.); #279507=ORIENTED_EDGE('',*,*,#206494,.F.); #279508=ORIENTED_EDGE('',*,*,#206497,.F.); #279509=ORIENTED_EDGE('',*,*,#206500,.F.); #279510=ORIENTED_EDGE('',*,*,#206503,.F.); #279511=ORIENTED_EDGE('',*,*,#206506,.F.); #279512=ORIENTED_EDGE('',*,*,#206509,.F.); #279513=ORIENTED_EDGE('',*,*,#206512,.F.); #279514=ORIENTED_EDGE('',*,*,#206515,.F.); #279515=ORIENTED_EDGE('',*,*,#206518,.F.); #279516=ORIENTED_EDGE('',*,*,#206521,.F.); #279517=ORIENTED_EDGE('',*,*,#206524,.F.); #279518=ORIENTED_EDGE('',*,*,#206527,.F.); #279519=ORIENTED_EDGE('',*,*,#206530,.F.); #279520=ORIENTED_EDGE('',*,*,#206533,.F.); #279521=ORIENTED_EDGE('',*,*,#206536,.F.); #279522=ORIENTED_EDGE('',*,*,#206539,.F.); #279523=ORIENTED_EDGE('',*,*,#206542,.F.); #279524=ORIENTED_EDGE('',*,*,#206545,.F.); #279525=ORIENTED_EDGE('',*,*,#206412,.T.); #279526=ORIENTED_EDGE('',*,*,#206415,.T.); #279527=ORIENTED_EDGE('',*,*,#206550,.F.); #279528=ORIENTED_EDGE('',*,*,#206551,.T.); #279529=ORIENTED_EDGE('',*,*,#206552,.F.); #279530=ORIENTED_EDGE('',*,*,#206551,.F.); #279531=ORIENTED_EDGE('',*,*,#206553,.F.); #279532=ORIENTED_EDGE('',*,*,#206554,.T.); #279533=ORIENTED_EDGE('',*,*,#206555,.F.); #279534=ORIENTED_EDGE('',*,*,#206554,.F.); #279535=ORIENTED_EDGE('',*,*,#206556,.T.); #279536=ORIENTED_EDGE('',*,*,#206557,.T.); #279537=ORIENTED_EDGE('',*,*,#206558,.F.); #279538=ORIENTED_EDGE('',*,*,#206559,.F.); #279539=ORIENTED_EDGE('',*,*,#206560,.T.); #279540=ORIENTED_EDGE('',*,*,#206559,.T.); #279541=ORIENTED_EDGE('',*,*,#206561,.F.); #279542=ORIENTED_EDGE('',*,*,#206562,.F.); #279543=ORIENTED_EDGE('',*,*,#206563,.T.); #279544=ORIENTED_EDGE('',*,*,#206562,.T.); #279545=ORIENTED_EDGE('',*,*,#206564,.F.); #279546=ORIENTED_EDGE('',*,*,#206565,.F.); #279547=ORIENTED_EDGE('',*,*,#206566,.T.); #279548=ORIENTED_EDGE('',*,*,#206565,.T.); #279549=ORIENTED_EDGE('',*,*,#206567,.F.); #279550=ORIENTED_EDGE('',*,*,#206568,.F.); #279551=ORIENTED_EDGE('',*,*,#206569,.T.); #279552=ORIENTED_EDGE('',*,*,#206568,.T.); #279553=ORIENTED_EDGE('',*,*,#206570,.F.); #279554=ORIENTED_EDGE('',*,*,#206571,.F.); #279555=ORIENTED_EDGE('',*,*,#206572,.T.); #279556=ORIENTED_EDGE('',*,*,#206571,.T.); #279557=ORIENTED_EDGE('',*,*,#206573,.F.); #279558=ORIENTED_EDGE('',*,*,#206574,.F.); #279559=ORIENTED_EDGE('',*,*,#206575,.T.); #279560=ORIENTED_EDGE('',*,*,#206574,.T.); #279561=ORIENTED_EDGE('',*,*,#206576,.F.); #279562=ORIENTED_EDGE('',*,*,#206577,.F.); #279563=ORIENTED_EDGE('',*,*,#206578,.T.); #279564=ORIENTED_EDGE('',*,*,#206577,.T.); #279565=ORIENTED_EDGE('',*,*,#206579,.F.); #279566=ORIENTED_EDGE('',*,*,#206580,.F.); #279567=ORIENTED_EDGE('',*,*,#206581,.T.); #279568=ORIENTED_EDGE('',*,*,#206580,.T.); #279569=ORIENTED_EDGE('',*,*,#206582,.F.); #279570=ORIENTED_EDGE('',*,*,#206583,.F.); #279571=ORIENTED_EDGE('',*,*,#206584,.T.); #279572=ORIENTED_EDGE('',*,*,#206583,.T.); #279573=ORIENTED_EDGE('',*,*,#206585,.F.); #279574=ORIENTED_EDGE('',*,*,#206586,.F.); #279575=ORIENTED_EDGE('',*,*,#206587,.T.); #279576=ORIENTED_EDGE('',*,*,#206586,.T.); #279577=ORIENTED_EDGE('',*,*,#206588,.F.); #279578=ORIENTED_EDGE('',*,*,#206557,.F.); #279579=ORIENTED_EDGE('',*,*,#206588,.T.); #279580=ORIENTED_EDGE('',*,*,#206585,.T.); #279581=ORIENTED_EDGE('',*,*,#206582,.T.); #279582=ORIENTED_EDGE('',*,*,#206579,.T.); #279583=ORIENTED_EDGE('',*,*,#206576,.T.); #279584=ORIENTED_EDGE('',*,*,#206573,.T.); #279585=ORIENTED_EDGE('',*,*,#206570,.T.); #279586=ORIENTED_EDGE('',*,*,#206567,.T.); #279587=ORIENTED_EDGE('',*,*,#206564,.T.); #279588=ORIENTED_EDGE('',*,*,#206561,.T.); #279589=ORIENTED_EDGE('',*,*,#206558,.T.); #279590=ORIENTED_EDGE('',*,*,#206552,.T.); #279591=ORIENTED_EDGE('',*,*,#206555,.T.); #279592=ORIENTED_EDGE('',*,*,#206587,.F.); #279593=ORIENTED_EDGE('',*,*,#206556,.F.); #279594=ORIENTED_EDGE('',*,*,#206560,.F.); #279595=ORIENTED_EDGE('',*,*,#206563,.F.); #279596=ORIENTED_EDGE('',*,*,#206566,.F.); #279597=ORIENTED_EDGE('',*,*,#206569,.F.); #279598=ORIENTED_EDGE('',*,*,#206572,.F.); #279599=ORIENTED_EDGE('',*,*,#206575,.F.); #279600=ORIENTED_EDGE('',*,*,#206578,.F.); #279601=ORIENTED_EDGE('',*,*,#206581,.F.); #279602=ORIENTED_EDGE('',*,*,#206584,.F.); #279603=ORIENTED_EDGE('',*,*,#206550,.T.); #279604=ORIENTED_EDGE('',*,*,#206553,.T.); #279605=ORIENTED_EDGE('',*,*,#206589,.F.); #279606=ORIENTED_EDGE('',*,*,#206590,.T.); #279607=ORIENTED_EDGE('',*,*,#206591,.F.); #279608=ORIENTED_EDGE('',*,*,#206590,.F.); #279609=ORIENTED_EDGE('',*,*,#206592,.T.); #279610=ORIENTED_EDGE('',*,*,#206593,.T.); #279611=ORIENTED_EDGE('',*,*,#206594,.F.); #279612=ORIENTED_EDGE('',*,*,#206595,.F.); #279613=ORIENTED_EDGE('',*,*,#206596,.T.); #279614=ORIENTED_EDGE('',*,*,#206595,.T.); #279615=ORIENTED_EDGE('',*,*,#206597,.F.); #279616=ORIENTED_EDGE('',*,*,#206598,.F.); #279617=ORIENTED_EDGE('',*,*,#206599,.T.); #279618=ORIENTED_EDGE('',*,*,#206598,.T.); #279619=ORIENTED_EDGE('',*,*,#206600,.F.); #279620=ORIENTED_EDGE('',*,*,#206601,.F.); #279621=ORIENTED_EDGE('',*,*,#206602,.T.); #279622=ORIENTED_EDGE('',*,*,#206601,.T.); #279623=ORIENTED_EDGE('',*,*,#206603,.F.); #279624=ORIENTED_EDGE('',*,*,#206604,.F.); #279625=ORIENTED_EDGE('',*,*,#206605,.T.); #279626=ORIENTED_EDGE('',*,*,#206604,.T.); #279627=ORIENTED_EDGE('',*,*,#206606,.F.); #279628=ORIENTED_EDGE('',*,*,#206607,.F.); #279629=ORIENTED_EDGE('',*,*,#206608,.T.); #279630=ORIENTED_EDGE('',*,*,#206607,.T.); #279631=ORIENTED_EDGE('',*,*,#206609,.F.); #279632=ORIENTED_EDGE('',*,*,#206610,.F.); #279633=ORIENTED_EDGE('',*,*,#206611,.T.); #279634=ORIENTED_EDGE('',*,*,#206610,.T.); #279635=ORIENTED_EDGE('',*,*,#206612,.F.); #279636=ORIENTED_EDGE('',*,*,#206613,.F.); #279637=ORIENTED_EDGE('',*,*,#206614,.T.); #279638=ORIENTED_EDGE('',*,*,#206613,.T.); #279639=ORIENTED_EDGE('',*,*,#206615,.F.); #279640=ORIENTED_EDGE('',*,*,#206616,.F.); #279641=ORIENTED_EDGE('',*,*,#206617,.T.); #279642=ORIENTED_EDGE('',*,*,#206616,.T.); #279643=ORIENTED_EDGE('',*,*,#206618,.F.); #279644=ORIENTED_EDGE('',*,*,#206619,.F.); #279645=ORIENTED_EDGE('',*,*,#206620,.T.); #279646=ORIENTED_EDGE('',*,*,#206619,.T.); #279647=ORIENTED_EDGE('',*,*,#206621,.F.); #279648=ORIENTED_EDGE('',*,*,#206622,.F.); #279649=ORIENTED_EDGE('',*,*,#206623,.T.); #279650=ORIENTED_EDGE('',*,*,#206622,.T.); #279651=ORIENTED_EDGE('',*,*,#206624,.F.); #279652=ORIENTED_EDGE('',*,*,#206625,.F.); #279653=ORIENTED_EDGE('',*,*,#206626,.T.); #279654=ORIENTED_EDGE('',*,*,#206625,.T.); #279655=ORIENTED_EDGE('',*,*,#206627,.F.); #279656=ORIENTED_EDGE('',*,*,#206628,.F.); #279657=ORIENTED_EDGE('',*,*,#206629,.T.); #279658=ORIENTED_EDGE('',*,*,#206628,.T.); #279659=ORIENTED_EDGE('',*,*,#206630,.F.); #279660=ORIENTED_EDGE('',*,*,#206631,.F.); #279661=ORIENTED_EDGE('',*,*,#206632,.T.); #279662=ORIENTED_EDGE('',*,*,#206631,.T.); #279663=ORIENTED_EDGE('',*,*,#206633,.F.); #279664=ORIENTED_EDGE('',*,*,#206634,.F.); #279665=ORIENTED_EDGE('',*,*,#206635,.T.); #279666=ORIENTED_EDGE('',*,*,#206634,.T.); #279667=ORIENTED_EDGE('',*,*,#206636,.F.); #279668=ORIENTED_EDGE('',*,*,#206637,.F.); #279669=ORIENTED_EDGE('',*,*,#206638,.T.); #279670=ORIENTED_EDGE('',*,*,#206637,.T.); #279671=ORIENTED_EDGE('',*,*,#206639,.F.); #279672=ORIENTED_EDGE('',*,*,#206640,.F.); #279673=ORIENTED_EDGE('',*,*,#206641,.T.); #279674=ORIENTED_EDGE('',*,*,#206640,.T.); #279675=ORIENTED_EDGE('',*,*,#206642,.F.); #279676=ORIENTED_EDGE('',*,*,#206643,.F.); #279677=ORIENTED_EDGE('',*,*,#206644,.T.); #279678=ORIENTED_EDGE('',*,*,#206643,.T.); #279679=ORIENTED_EDGE('',*,*,#206645,.F.); #279680=ORIENTED_EDGE('',*,*,#206646,.F.); #279681=ORIENTED_EDGE('',*,*,#206647,.T.); #279682=ORIENTED_EDGE('',*,*,#206646,.T.); #279683=ORIENTED_EDGE('',*,*,#206648,.F.); #279684=ORIENTED_EDGE('',*,*,#206649,.F.); #279685=ORIENTED_EDGE('',*,*,#206650,.T.); #279686=ORIENTED_EDGE('',*,*,#206649,.T.); #279687=ORIENTED_EDGE('',*,*,#206651,.F.); #279688=ORIENTED_EDGE('',*,*,#206652,.F.); #279689=ORIENTED_EDGE('',*,*,#206653,.T.); #279690=ORIENTED_EDGE('',*,*,#206652,.T.); #279691=ORIENTED_EDGE('',*,*,#206654,.F.); #279692=ORIENTED_EDGE('',*,*,#206655,.F.); #279693=ORIENTED_EDGE('',*,*,#206656,.T.); #279694=ORIENTED_EDGE('',*,*,#206655,.T.); #279695=ORIENTED_EDGE('',*,*,#206657,.F.); #279696=ORIENTED_EDGE('',*,*,#206658,.F.); #279697=ORIENTED_EDGE('',*,*,#206659,.T.); #279698=ORIENTED_EDGE('',*,*,#206658,.T.); #279699=ORIENTED_EDGE('',*,*,#206660,.F.); #279700=ORIENTED_EDGE('',*,*,#206661,.F.); #279701=ORIENTED_EDGE('',*,*,#206662,.T.); #279702=ORIENTED_EDGE('',*,*,#206661,.T.); #279703=ORIENTED_EDGE('',*,*,#206663,.F.); #279704=ORIENTED_EDGE('',*,*,#206593,.F.); #279705=ORIENTED_EDGE('',*,*,#206663,.T.); #279706=ORIENTED_EDGE('',*,*,#206660,.T.); #279707=ORIENTED_EDGE('',*,*,#206657,.T.); #279708=ORIENTED_EDGE('',*,*,#206654,.T.); #279709=ORIENTED_EDGE('',*,*,#206651,.T.); #279710=ORIENTED_EDGE('',*,*,#206648,.T.); #279711=ORIENTED_EDGE('',*,*,#206645,.T.); #279712=ORIENTED_EDGE('',*,*,#206642,.T.); #279713=ORIENTED_EDGE('',*,*,#206639,.T.); #279714=ORIENTED_EDGE('',*,*,#206636,.T.); #279715=ORIENTED_EDGE('',*,*,#206633,.T.); #279716=ORIENTED_EDGE('',*,*,#206630,.T.); #279717=ORIENTED_EDGE('',*,*,#206627,.T.); #279718=ORIENTED_EDGE('',*,*,#206624,.T.); #279719=ORIENTED_EDGE('',*,*,#206621,.T.); #279720=ORIENTED_EDGE('',*,*,#206618,.T.); #279721=ORIENTED_EDGE('',*,*,#206615,.T.); #279722=ORIENTED_EDGE('',*,*,#206612,.T.); #279723=ORIENTED_EDGE('',*,*,#206609,.T.); #279724=ORIENTED_EDGE('',*,*,#206606,.T.); #279725=ORIENTED_EDGE('',*,*,#206603,.T.); #279726=ORIENTED_EDGE('',*,*,#206600,.T.); #279727=ORIENTED_EDGE('',*,*,#206597,.T.); #279728=ORIENTED_EDGE('',*,*,#206594,.T.); #279729=ORIENTED_EDGE('',*,*,#206591,.T.); #279730=ORIENTED_EDGE('',*,*,#206662,.F.); #279731=ORIENTED_EDGE('',*,*,#206592,.F.); #279732=ORIENTED_EDGE('',*,*,#206596,.F.); #279733=ORIENTED_EDGE('',*,*,#206599,.F.); #279734=ORIENTED_EDGE('',*,*,#206602,.F.); #279735=ORIENTED_EDGE('',*,*,#206605,.F.); #279736=ORIENTED_EDGE('',*,*,#206608,.F.); #279737=ORIENTED_EDGE('',*,*,#206611,.F.); #279738=ORIENTED_EDGE('',*,*,#206614,.F.); #279739=ORIENTED_EDGE('',*,*,#206617,.F.); #279740=ORIENTED_EDGE('',*,*,#206620,.F.); #279741=ORIENTED_EDGE('',*,*,#206623,.F.); #279742=ORIENTED_EDGE('',*,*,#206626,.F.); #279743=ORIENTED_EDGE('',*,*,#206629,.F.); #279744=ORIENTED_EDGE('',*,*,#206632,.F.); #279745=ORIENTED_EDGE('',*,*,#206635,.F.); #279746=ORIENTED_EDGE('',*,*,#206638,.F.); #279747=ORIENTED_EDGE('',*,*,#206641,.F.); #279748=ORIENTED_EDGE('',*,*,#206644,.F.); #279749=ORIENTED_EDGE('',*,*,#206647,.F.); #279750=ORIENTED_EDGE('',*,*,#206650,.F.); #279751=ORIENTED_EDGE('',*,*,#206653,.F.); #279752=ORIENTED_EDGE('',*,*,#206656,.F.); #279753=ORIENTED_EDGE('',*,*,#206659,.F.); #279754=ORIENTED_EDGE('',*,*,#206589,.T.); #279755=ORIENTED_EDGE('',*,*,#206664,.F.); #279756=ORIENTED_EDGE('',*,*,#206665,.T.); #279757=ORIENTED_EDGE('',*,*,#206666,.F.); #279758=ORIENTED_EDGE('',*,*,#206665,.F.); #279759=ORIENTED_EDGE('',*,*,#206667,.T.); #279760=ORIENTED_EDGE('',*,*,#206668,.T.); #279761=ORIENTED_EDGE('',*,*,#206669,.F.); #279762=ORIENTED_EDGE('',*,*,#206670,.F.); #279763=ORIENTED_EDGE('',*,*,#206671,.T.); #279764=ORIENTED_EDGE('',*,*,#206670,.T.); #279765=ORIENTED_EDGE('',*,*,#206672,.F.); #279766=ORIENTED_EDGE('',*,*,#206673,.F.); #279767=ORIENTED_EDGE('',*,*,#206674,.T.); #279768=ORIENTED_EDGE('',*,*,#206673,.T.); #279769=ORIENTED_EDGE('',*,*,#206675,.F.); #279770=ORIENTED_EDGE('',*,*,#206676,.F.); #279771=ORIENTED_EDGE('',*,*,#206677,.T.); #279772=ORIENTED_EDGE('',*,*,#206676,.T.); #279773=ORIENTED_EDGE('',*,*,#206678,.F.); #279774=ORIENTED_EDGE('',*,*,#206679,.F.); #279775=ORIENTED_EDGE('',*,*,#206680,.T.); #279776=ORIENTED_EDGE('',*,*,#206679,.T.); #279777=ORIENTED_EDGE('',*,*,#206681,.F.); #279778=ORIENTED_EDGE('',*,*,#206682,.F.); #279779=ORIENTED_EDGE('',*,*,#206683,.T.); #279780=ORIENTED_EDGE('',*,*,#206682,.T.); #279781=ORIENTED_EDGE('',*,*,#206684,.F.); #279782=ORIENTED_EDGE('',*,*,#206685,.F.); #279783=ORIENTED_EDGE('',*,*,#206686,.T.); #279784=ORIENTED_EDGE('',*,*,#206685,.T.); #279785=ORIENTED_EDGE('',*,*,#206687,.F.); #279786=ORIENTED_EDGE('',*,*,#206688,.F.); #279787=ORIENTED_EDGE('',*,*,#206689,.T.); #279788=ORIENTED_EDGE('',*,*,#206688,.T.); #279789=ORIENTED_EDGE('',*,*,#206690,.F.); #279790=ORIENTED_EDGE('',*,*,#206691,.F.); #279791=ORIENTED_EDGE('',*,*,#206692,.T.); #279792=ORIENTED_EDGE('',*,*,#206691,.T.); #279793=ORIENTED_EDGE('',*,*,#206693,.F.); #279794=ORIENTED_EDGE('',*,*,#206694,.F.); #279795=ORIENTED_EDGE('',*,*,#206695,.T.); #279796=ORIENTED_EDGE('',*,*,#206694,.T.); #279797=ORIENTED_EDGE('',*,*,#206696,.F.); #279798=ORIENTED_EDGE('',*,*,#206697,.F.); #279799=ORIENTED_EDGE('',*,*,#206698,.T.); #279800=ORIENTED_EDGE('',*,*,#206697,.T.); #279801=ORIENTED_EDGE('',*,*,#206699,.F.); #279802=ORIENTED_EDGE('',*,*,#206700,.F.); #279803=ORIENTED_EDGE('',*,*,#206701,.T.); #279804=ORIENTED_EDGE('',*,*,#206700,.T.); #279805=ORIENTED_EDGE('',*,*,#206702,.F.); #279806=ORIENTED_EDGE('',*,*,#206703,.F.); #279807=ORIENTED_EDGE('',*,*,#206704,.T.); #279808=ORIENTED_EDGE('',*,*,#206703,.T.); #279809=ORIENTED_EDGE('',*,*,#206705,.F.); #279810=ORIENTED_EDGE('',*,*,#206706,.F.); #279811=ORIENTED_EDGE('',*,*,#206707,.T.); #279812=ORIENTED_EDGE('',*,*,#206706,.T.); #279813=ORIENTED_EDGE('',*,*,#206708,.F.); #279814=ORIENTED_EDGE('',*,*,#206709,.F.); #279815=ORIENTED_EDGE('',*,*,#206710,.T.); #279816=ORIENTED_EDGE('',*,*,#206709,.T.); #279817=ORIENTED_EDGE('',*,*,#206711,.F.); #279818=ORIENTED_EDGE('',*,*,#206712,.F.); #279819=ORIENTED_EDGE('',*,*,#206713,.T.); #279820=ORIENTED_EDGE('',*,*,#206712,.T.); #279821=ORIENTED_EDGE('',*,*,#206714,.F.); #279822=ORIENTED_EDGE('',*,*,#206715,.F.); #279823=ORIENTED_EDGE('',*,*,#206716,.T.); #279824=ORIENTED_EDGE('',*,*,#206715,.T.); #279825=ORIENTED_EDGE('',*,*,#206717,.F.); #279826=ORIENTED_EDGE('',*,*,#206718,.F.); #279827=ORIENTED_EDGE('',*,*,#206719,.T.); #279828=ORIENTED_EDGE('',*,*,#206718,.T.); #279829=ORIENTED_EDGE('',*,*,#206720,.F.); #279830=ORIENTED_EDGE('',*,*,#206721,.F.); #279831=ORIENTED_EDGE('',*,*,#206722,.T.); #279832=ORIENTED_EDGE('',*,*,#206721,.T.); #279833=ORIENTED_EDGE('',*,*,#206723,.F.); #279834=ORIENTED_EDGE('',*,*,#206724,.F.); #279835=ORIENTED_EDGE('',*,*,#206725,.T.); #279836=ORIENTED_EDGE('',*,*,#206724,.T.); #279837=ORIENTED_EDGE('',*,*,#206726,.F.); #279838=ORIENTED_EDGE('',*,*,#206727,.F.); #279839=ORIENTED_EDGE('',*,*,#206728,.T.); #279840=ORIENTED_EDGE('',*,*,#206727,.T.); #279841=ORIENTED_EDGE('',*,*,#206729,.F.); #279842=ORIENTED_EDGE('',*,*,#206730,.F.); #279843=ORIENTED_EDGE('',*,*,#206731,.T.); #279844=ORIENTED_EDGE('',*,*,#206730,.T.); #279845=ORIENTED_EDGE('',*,*,#206732,.F.); #279846=ORIENTED_EDGE('',*,*,#206733,.F.); #279847=ORIENTED_EDGE('',*,*,#206734,.T.); #279848=ORIENTED_EDGE('',*,*,#206733,.T.); #279849=ORIENTED_EDGE('',*,*,#206735,.F.); #279850=ORIENTED_EDGE('',*,*,#206736,.F.); #279851=ORIENTED_EDGE('',*,*,#206737,.T.); #279852=ORIENTED_EDGE('',*,*,#206736,.T.); #279853=ORIENTED_EDGE('',*,*,#206738,.F.); #279854=ORIENTED_EDGE('',*,*,#206739,.F.); #279855=ORIENTED_EDGE('',*,*,#206740,.T.); #279856=ORIENTED_EDGE('',*,*,#206739,.T.); #279857=ORIENTED_EDGE('',*,*,#206741,.F.); #279858=ORIENTED_EDGE('',*,*,#206742,.F.); #279859=ORIENTED_EDGE('',*,*,#206743,.T.); #279860=ORIENTED_EDGE('',*,*,#206742,.T.); #279861=ORIENTED_EDGE('',*,*,#206744,.F.); #279862=ORIENTED_EDGE('',*,*,#206668,.F.); #279863=ORIENTED_EDGE('',*,*,#206744,.T.); #279864=ORIENTED_EDGE('',*,*,#206741,.T.); #279865=ORIENTED_EDGE('',*,*,#206738,.T.); #279866=ORIENTED_EDGE('',*,*,#206735,.T.); #279867=ORIENTED_EDGE('',*,*,#206732,.T.); #279868=ORIENTED_EDGE('',*,*,#206729,.T.); #279869=ORIENTED_EDGE('',*,*,#206726,.T.); #279870=ORIENTED_EDGE('',*,*,#206723,.T.); #279871=ORIENTED_EDGE('',*,*,#206720,.T.); #279872=ORIENTED_EDGE('',*,*,#206717,.T.); #279873=ORIENTED_EDGE('',*,*,#206714,.T.); #279874=ORIENTED_EDGE('',*,*,#206711,.T.); #279875=ORIENTED_EDGE('',*,*,#206708,.T.); #279876=ORIENTED_EDGE('',*,*,#206705,.T.); #279877=ORIENTED_EDGE('',*,*,#206702,.T.); #279878=ORIENTED_EDGE('',*,*,#206699,.T.); #279879=ORIENTED_EDGE('',*,*,#206696,.T.); #279880=ORIENTED_EDGE('',*,*,#206693,.T.); #279881=ORIENTED_EDGE('',*,*,#206690,.T.); #279882=ORIENTED_EDGE('',*,*,#206687,.T.); #279883=ORIENTED_EDGE('',*,*,#206684,.T.); #279884=ORIENTED_EDGE('',*,*,#206681,.T.); #279885=ORIENTED_EDGE('',*,*,#206678,.T.); #279886=ORIENTED_EDGE('',*,*,#206675,.T.); #279887=ORIENTED_EDGE('',*,*,#206672,.T.); #279888=ORIENTED_EDGE('',*,*,#206669,.T.); #279889=ORIENTED_EDGE('',*,*,#206666,.T.); #279890=ORIENTED_EDGE('',*,*,#206743,.F.); #279891=ORIENTED_EDGE('',*,*,#206667,.F.); #279892=ORIENTED_EDGE('',*,*,#206671,.F.); #279893=ORIENTED_EDGE('',*,*,#206674,.F.); #279894=ORIENTED_EDGE('',*,*,#206677,.F.); #279895=ORIENTED_EDGE('',*,*,#206680,.F.); #279896=ORIENTED_EDGE('',*,*,#206683,.F.); #279897=ORIENTED_EDGE('',*,*,#206686,.F.); #279898=ORIENTED_EDGE('',*,*,#206689,.F.); #279899=ORIENTED_EDGE('',*,*,#206692,.F.); #279900=ORIENTED_EDGE('',*,*,#206695,.F.); #279901=ORIENTED_EDGE('',*,*,#206698,.F.); #279902=ORIENTED_EDGE('',*,*,#206701,.F.); #279903=ORIENTED_EDGE('',*,*,#206704,.F.); #279904=ORIENTED_EDGE('',*,*,#206707,.F.); #279905=ORIENTED_EDGE('',*,*,#206710,.F.); #279906=ORIENTED_EDGE('',*,*,#206713,.F.); #279907=ORIENTED_EDGE('',*,*,#206716,.F.); #279908=ORIENTED_EDGE('',*,*,#206719,.F.); #279909=ORIENTED_EDGE('',*,*,#206722,.F.); #279910=ORIENTED_EDGE('',*,*,#206725,.F.); #279911=ORIENTED_EDGE('',*,*,#206728,.F.); #279912=ORIENTED_EDGE('',*,*,#206731,.F.); #279913=ORIENTED_EDGE('',*,*,#206734,.F.); #279914=ORIENTED_EDGE('',*,*,#206737,.F.); #279915=ORIENTED_EDGE('',*,*,#206740,.F.); #279916=ORIENTED_EDGE('',*,*,#206664,.T.); #279917=ORIENTED_EDGE('',*,*,#206745,.F.); #279918=ORIENTED_EDGE('',*,*,#206746,.T.); #279919=ORIENTED_EDGE('',*,*,#206747,.F.); #279920=ORIENTED_EDGE('',*,*,#206746,.F.); #279921=ORIENTED_EDGE('',*,*,#206748,.F.); #279922=ORIENTED_EDGE('',*,*,#206749,.T.); #279923=ORIENTED_EDGE('',*,*,#206750,.F.); #279924=ORIENTED_EDGE('',*,*,#206749,.F.); #279925=ORIENTED_EDGE('',*,*,#206751,.T.); #279926=ORIENTED_EDGE('',*,*,#206752,.T.); #279927=ORIENTED_EDGE('',*,*,#206753,.F.); #279928=ORIENTED_EDGE('',*,*,#206754,.F.); #279929=ORIENTED_EDGE('',*,*,#206755,.T.); #279930=ORIENTED_EDGE('',*,*,#206754,.T.); #279931=ORIENTED_EDGE('',*,*,#206756,.F.); #279932=ORIENTED_EDGE('',*,*,#206757,.F.); #279933=ORIENTED_EDGE('',*,*,#206758,.T.); #279934=ORIENTED_EDGE('',*,*,#206757,.T.); #279935=ORIENTED_EDGE('',*,*,#206759,.F.); #279936=ORIENTED_EDGE('',*,*,#206760,.F.); #279937=ORIENTED_EDGE('',*,*,#206761,.T.); #279938=ORIENTED_EDGE('',*,*,#206760,.T.); #279939=ORIENTED_EDGE('',*,*,#206762,.F.); #279940=ORIENTED_EDGE('',*,*,#206763,.F.); #279941=ORIENTED_EDGE('',*,*,#206764,.T.); #279942=ORIENTED_EDGE('',*,*,#206763,.T.); #279943=ORIENTED_EDGE('',*,*,#206765,.F.); #279944=ORIENTED_EDGE('',*,*,#206766,.F.); #279945=ORIENTED_EDGE('',*,*,#206767,.T.); #279946=ORIENTED_EDGE('',*,*,#206766,.T.); #279947=ORIENTED_EDGE('',*,*,#206768,.F.); #279948=ORIENTED_EDGE('',*,*,#206769,.F.); #279949=ORIENTED_EDGE('',*,*,#206770,.T.); #279950=ORIENTED_EDGE('',*,*,#206769,.T.); #279951=ORIENTED_EDGE('',*,*,#206771,.F.); #279952=ORIENTED_EDGE('',*,*,#206772,.F.); #279953=ORIENTED_EDGE('',*,*,#206773,.T.); #279954=ORIENTED_EDGE('',*,*,#206772,.T.); #279955=ORIENTED_EDGE('',*,*,#206774,.F.); #279956=ORIENTED_EDGE('',*,*,#206775,.F.); #279957=ORIENTED_EDGE('',*,*,#206776,.T.); #279958=ORIENTED_EDGE('',*,*,#206775,.T.); #279959=ORIENTED_EDGE('',*,*,#206777,.F.); #279960=ORIENTED_EDGE('',*,*,#206778,.F.); #279961=ORIENTED_EDGE('',*,*,#206779,.T.); #279962=ORIENTED_EDGE('',*,*,#206778,.T.); #279963=ORIENTED_EDGE('',*,*,#206780,.F.); #279964=ORIENTED_EDGE('',*,*,#206781,.F.); #279965=ORIENTED_EDGE('',*,*,#206782,.T.); #279966=ORIENTED_EDGE('',*,*,#206781,.T.); #279967=ORIENTED_EDGE('',*,*,#206783,.F.); #279968=ORIENTED_EDGE('',*,*,#206784,.F.); #279969=ORIENTED_EDGE('',*,*,#206785,.T.); #279970=ORIENTED_EDGE('',*,*,#206784,.T.); #279971=ORIENTED_EDGE('',*,*,#206786,.F.); #279972=ORIENTED_EDGE('',*,*,#206787,.F.); #279973=ORIENTED_EDGE('',*,*,#206788,.T.); #279974=ORIENTED_EDGE('',*,*,#206787,.T.); #279975=ORIENTED_EDGE('',*,*,#206789,.F.); #279976=ORIENTED_EDGE('',*,*,#206790,.F.); #279977=ORIENTED_EDGE('',*,*,#206791,.T.); #279978=ORIENTED_EDGE('',*,*,#206790,.T.); #279979=ORIENTED_EDGE('',*,*,#206792,.F.); #279980=ORIENTED_EDGE('',*,*,#206793,.F.); #279981=ORIENTED_EDGE('',*,*,#206794,.T.); #279982=ORIENTED_EDGE('',*,*,#206793,.T.); #279983=ORIENTED_EDGE('',*,*,#206795,.F.); #279984=ORIENTED_EDGE('',*,*,#206796,.F.); #279985=ORIENTED_EDGE('',*,*,#206797,.T.); #279986=ORIENTED_EDGE('',*,*,#206796,.T.); #279987=ORIENTED_EDGE('',*,*,#206798,.F.); #279988=ORIENTED_EDGE('',*,*,#206799,.F.); #279989=ORIENTED_EDGE('',*,*,#206800,.T.); #279990=ORIENTED_EDGE('',*,*,#206799,.T.); #279991=ORIENTED_EDGE('',*,*,#206801,.F.); #279992=ORIENTED_EDGE('',*,*,#206802,.F.); #279993=ORIENTED_EDGE('',*,*,#206803,.T.); #279994=ORIENTED_EDGE('',*,*,#206802,.T.); #279995=ORIENTED_EDGE('',*,*,#206804,.F.); #279996=ORIENTED_EDGE('',*,*,#206805,.F.); #279997=ORIENTED_EDGE('',*,*,#206806,.T.); #279998=ORIENTED_EDGE('',*,*,#206805,.T.); #279999=ORIENTED_EDGE('',*,*,#206807,.F.); #280000=ORIENTED_EDGE('',*,*,#206808,.F.); #280001=ORIENTED_EDGE('',*,*,#206809,.T.); #280002=ORIENTED_EDGE('',*,*,#206808,.T.); #280003=ORIENTED_EDGE('',*,*,#206810,.F.); #280004=ORIENTED_EDGE('',*,*,#206811,.F.); #280005=ORIENTED_EDGE('',*,*,#206812,.T.); #280006=ORIENTED_EDGE('',*,*,#206811,.T.); #280007=ORIENTED_EDGE('',*,*,#206813,.F.); #280008=ORIENTED_EDGE('',*,*,#206814,.F.); #280009=ORIENTED_EDGE('',*,*,#206815,.T.); #280010=ORIENTED_EDGE('',*,*,#206814,.T.); #280011=ORIENTED_EDGE('',*,*,#206816,.F.); #280012=ORIENTED_EDGE('',*,*,#206817,.F.); #280013=ORIENTED_EDGE('',*,*,#206818,.T.); #280014=ORIENTED_EDGE('',*,*,#206817,.T.); #280015=ORIENTED_EDGE('',*,*,#206819,.F.); #280016=ORIENTED_EDGE('',*,*,#206820,.F.); #280017=ORIENTED_EDGE('',*,*,#206821,.T.); #280018=ORIENTED_EDGE('',*,*,#206820,.T.); #280019=ORIENTED_EDGE('',*,*,#206822,.F.); #280020=ORIENTED_EDGE('',*,*,#206823,.F.); #280021=ORIENTED_EDGE('',*,*,#206824,.T.); #280022=ORIENTED_EDGE('',*,*,#206823,.T.); #280023=ORIENTED_EDGE('',*,*,#206825,.F.); #280024=ORIENTED_EDGE('',*,*,#206826,.F.); #280025=ORIENTED_EDGE('',*,*,#206827,.T.); #280026=ORIENTED_EDGE('',*,*,#206826,.T.); #280027=ORIENTED_EDGE('',*,*,#206828,.F.); #280028=ORIENTED_EDGE('',*,*,#206829,.F.); #280029=ORIENTED_EDGE('',*,*,#206830,.T.); #280030=ORIENTED_EDGE('',*,*,#206829,.T.); #280031=ORIENTED_EDGE('',*,*,#206831,.F.); #280032=ORIENTED_EDGE('',*,*,#206832,.F.); #280033=ORIENTED_EDGE('',*,*,#206833,.T.); #280034=ORIENTED_EDGE('',*,*,#206832,.T.); #280035=ORIENTED_EDGE('',*,*,#206834,.F.); #280036=ORIENTED_EDGE('',*,*,#206835,.F.); #280037=ORIENTED_EDGE('',*,*,#206836,.T.); #280038=ORIENTED_EDGE('',*,*,#206835,.T.); #280039=ORIENTED_EDGE('',*,*,#206837,.F.); #280040=ORIENTED_EDGE('',*,*,#206838,.F.); #280041=ORIENTED_EDGE('',*,*,#206839,.T.); #280042=ORIENTED_EDGE('',*,*,#206838,.T.); #280043=ORIENTED_EDGE('',*,*,#206840,.F.); #280044=ORIENTED_EDGE('',*,*,#206841,.F.); #280045=ORIENTED_EDGE('',*,*,#206842,.T.); #280046=ORIENTED_EDGE('',*,*,#206841,.T.); #280047=ORIENTED_EDGE('',*,*,#206843,.F.); #280048=ORIENTED_EDGE('',*,*,#206844,.F.); #280049=ORIENTED_EDGE('',*,*,#206845,.T.); #280050=ORIENTED_EDGE('',*,*,#206844,.T.); #280051=ORIENTED_EDGE('',*,*,#206846,.F.); #280052=ORIENTED_EDGE('',*,*,#206847,.F.); #280053=ORIENTED_EDGE('',*,*,#206848,.T.); #280054=ORIENTED_EDGE('',*,*,#206847,.T.); #280055=ORIENTED_EDGE('',*,*,#206849,.F.); #280056=ORIENTED_EDGE('',*,*,#206850,.F.); #280057=ORIENTED_EDGE('',*,*,#206851,.T.); #280058=ORIENTED_EDGE('',*,*,#206850,.T.); #280059=ORIENTED_EDGE('',*,*,#206852,.F.); #280060=ORIENTED_EDGE('',*,*,#206853,.F.); #280061=ORIENTED_EDGE('',*,*,#206854,.T.); #280062=ORIENTED_EDGE('',*,*,#206853,.T.); #280063=ORIENTED_EDGE('',*,*,#206855,.F.); #280064=ORIENTED_EDGE('',*,*,#206856,.F.); #280065=ORIENTED_EDGE('',*,*,#206857,.T.); #280066=ORIENTED_EDGE('',*,*,#206856,.T.); #280067=ORIENTED_EDGE('',*,*,#206858,.F.); #280068=ORIENTED_EDGE('',*,*,#206859,.F.); #280069=ORIENTED_EDGE('',*,*,#206860,.T.); #280070=ORIENTED_EDGE('',*,*,#206859,.T.); #280071=ORIENTED_EDGE('',*,*,#206861,.F.); #280072=ORIENTED_EDGE('',*,*,#206862,.F.); #280073=ORIENTED_EDGE('',*,*,#206863,.T.); #280074=ORIENTED_EDGE('',*,*,#206862,.T.); #280075=ORIENTED_EDGE('',*,*,#206864,.F.); #280076=ORIENTED_EDGE('',*,*,#206865,.F.); #280077=ORIENTED_EDGE('',*,*,#206866,.T.); #280078=ORIENTED_EDGE('',*,*,#206865,.T.); #280079=ORIENTED_EDGE('',*,*,#206867,.F.); #280080=ORIENTED_EDGE('',*,*,#206868,.F.); #280081=ORIENTED_EDGE('',*,*,#206869,.T.); #280082=ORIENTED_EDGE('',*,*,#206868,.T.); #280083=ORIENTED_EDGE('',*,*,#206870,.F.); #280084=ORIENTED_EDGE('',*,*,#206871,.F.); #280085=ORIENTED_EDGE('',*,*,#206872,.T.); #280086=ORIENTED_EDGE('',*,*,#206871,.T.); #280087=ORIENTED_EDGE('',*,*,#206873,.F.); #280088=ORIENTED_EDGE('',*,*,#206874,.F.); #280089=ORIENTED_EDGE('',*,*,#206875,.T.); #280090=ORIENTED_EDGE('',*,*,#206874,.T.); #280091=ORIENTED_EDGE('',*,*,#206876,.F.); #280092=ORIENTED_EDGE('',*,*,#206877,.F.); #280093=ORIENTED_EDGE('',*,*,#206878,.T.); #280094=ORIENTED_EDGE('',*,*,#206877,.T.); #280095=ORIENTED_EDGE('',*,*,#206879,.F.); #280096=ORIENTED_EDGE('',*,*,#206880,.F.); #280097=ORIENTED_EDGE('',*,*,#206881,.T.); #280098=ORIENTED_EDGE('',*,*,#206880,.T.); #280099=ORIENTED_EDGE('',*,*,#206882,.F.); #280100=ORIENTED_EDGE('',*,*,#206883,.F.); #280101=ORIENTED_EDGE('',*,*,#206884,.T.); #280102=ORIENTED_EDGE('',*,*,#206883,.T.); #280103=ORIENTED_EDGE('',*,*,#206885,.F.); #280104=ORIENTED_EDGE('',*,*,#206886,.F.); #280105=ORIENTED_EDGE('',*,*,#206887,.T.); #280106=ORIENTED_EDGE('',*,*,#206886,.T.); #280107=ORIENTED_EDGE('',*,*,#206888,.F.); #280108=ORIENTED_EDGE('',*,*,#206889,.F.); #280109=ORIENTED_EDGE('',*,*,#206890,.T.); #280110=ORIENTED_EDGE('',*,*,#206889,.T.); #280111=ORIENTED_EDGE('',*,*,#206891,.F.); #280112=ORIENTED_EDGE('',*,*,#206892,.F.); #280113=ORIENTED_EDGE('',*,*,#206893,.T.); #280114=ORIENTED_EDGE('',*,*,#206892,.T.); #280115=ORIENTED_EDGE('',*,*,#206894,.F.); #280116=ORIENTED_EDGE('',*,*,#206895,.F.); #280117=ORIENTED_EDGE('',*,*,#206896,.T.); #280118=ORIENTED_EDGE('',*,*,#206895,.T.); #280119=ORIENTED_EDGE('',*,*,#206897,.F.); #280120=ORIENTED_EDGE('',*,*,#206898,.F.); #280121=ORIENTED_EDGE('',*,*,#206899,.T.); #280122=ORIENTED_EDGE('',*,*,#206898,.T.); #280123=ORIENTED_EDGE('',*,*,#206900,.F.); #280124=ORIENTED_EDGE('',*,*,#206901,.F.); #280125=ORIENTED_EDGE('',*,*,#206902,.T.); #280126=ORIENTED_EDGE('',*,*,#206901,.T.); #280127=ORIENTED_EDGE('',*,*,#206903,.F.); #280128=ORIENTED_EDGE('',*,*,#206904,.F.); #280129=ORIENTED_EDGE('',*,*,#206905,.T.); #280130=ORIENTED_EDGE('',*,*,#206904,.T.); #280131=ORIENTED_EDGE('',*,*,#206906,.F.); #280132=ORIENTED_EDGE('',*,*,#206907,.F.); #280133=ORIENTED_EDGE('',*,*,#206908,.T.); #280134=ORIENTED_EDGE('',*,*,#206907,.T.); #280135=ORIENTED_EDGE('',*,*,#206909,.F.); #280136=ORIENTED_EDGE('',*,*,#206910,.F.); #280137=ORIENTED_EDGE('',*,*,#206911,.T.); #280138=ORIENTED_EDGE('',*,*,#206910,.T.); #280139=ORIENTED_EDGE('',*,*,#206912,.F.); #280140=ORIENTED_EDGE('',*,*,#206913,.F.); #280141=ORIENTED_EDGE('',*,*,#206914,.T.); #280142=ORIENTED_EDGE('',*,*,#206913,.T.); #280143=ORIENTED_EDGE('',*,*,#206915,.F.); #280144=ORIENTED_EDGE('',*,*,#206916,.F.); #280145=ORIENTED_EDGE('',*,*,#206917,.T.); #280146=ORIENTED_EDGE('',*,*,#206916,.T.); #280147=ORIENTED_EDGE('',*,*,#206918,.F.); #280148=ORIENTED_EDGE('',*,*,#206919,.F.); #280149=ORIENTED_EDGE('',*,*,#206920,.T.); #280150=ORIENTED_EDGE('',*,*,#206919,.T.); #280151=ORIENTED_EDGE('',*,*,#206921,.F.); #280152=ORIENTED_EDGE('',*,*,#206922,.F.); #280153=ORIENTED_EDGE('',*,*,#206923,.T.); #280154=ORIENTED_EDGE('',*,*,#206922,.T.); #280155=ORIENTED_EDGE('',*,*,#206924,.F.); #280156=ORIENTED_EDGE('',*,*,#206925,.F.); #280157=ORIENTED_EDGE('',*,*,#206926,.T.); #280158=ORIENTED_EDGE('',*,*,#206925,.T.); #280159=ORIENTED_EDGE('',*,*,#206927,.F.); #280160=ORIENTED_EDGE('',*,*,#206928,.F.); #280161=ORIENTED_EDGE('',*,*,#206929,.T.); #280162=ORIENTED_EDGE('',*,*,#206928,.T.); #280163=ORIENTED_EDGE('',*,*,#206930,.F.); #280164=ORIENTED_EDGE('',*,*,#206931,.F.); #280165=ORIENTED_EDGE('',*,*,#206932,.T.); #280166=ORIENTED_EDGE('',*,*,#206931,.T.); #280167=ORIENTED_EDGE('',*,*,#206933,.F.); #280168=ORIENTED_EDGE('',*,*,#206752,.F.); #280169=ORIENTED_EDGE('',*,*,#206933,.T.); #280170=ORIENTED_EDGE('',*,*,#206930,.T.); #280171=ORIENTED_EDGE('',*,*,#206927,.T.); #280172=ORIENTED_EDGE('',*,*,#206924,.T.); #280173=ORIENTED_EDGE('',*,*,#206921,.T.); #280174=ORIENTED_EDGE('',*,*,#206918,.T.); #280175=ORIENTED_EDGE('',*,*,#206915,.T.); #280176=ORIENTED_EDGE('',*,*,#206912,.T.); #280177=ORIENTED_EDGE('',*,*,#206909,.T.); #280178=ORIENTED_EDGE('',*,*,#206906,.T.); #280179=ORIENTED_EDGE('',*,*,#206903,.T.); #280180=ORIENTED_EDGE('',*,*,#206900,.T.); #280181=ORIENTED_EDGE('',*,*,#206897,.T.); #280182=ORIENTED_EDGE('',*,*,#206894,.T.); #280183=ORIENTED_EDGE('',*,*,#206891,.T.); #280184=ORIENTED_EDGE('',*,*,#206888,.T.); #280185=ORIENTED_EDGE('',*,*,#206885,.T.); #280186=ORIENTED_EDGE('',*,*,#206882,.T.); #280187=ORIENTED_EDGE('',*,*,#206879,.T.); #280188=ORIENTED_EDGE('',*,*,#206876,.T.); #280189=ORIENTED_EDGE('',*,*,#206873,.T.); #280190=ORIENTED_EDGE('',*,*,#206870,.T.); #280191=ORIENTED_EDGE('',*,*,#206867,.T.); #280192=ORIENTED_EDGE('',*,*,#206864,.T.); #280193=ORIENTED_EDGE('',*,*,#206861,.T.); #280194=ORIENTED_EDGE('',*,*,#206858,.T.); #280195=ORIENTED_EDGE('',*,*,#206855,.T.); #280196=ORIENTED_EDGE('',*,*,#206852,.T.); #280197=ORIENTED_EDGE('',*,*,#206849,.T.); #280198=ORIENTED_EDGE('',*,*,#206846,.T.); #280199=ORIENTED_EDGE('',*,*,#206843,.T.); #280200=ORIENTED_EDGE('',*,*,#206840,.T.); #280201=ORIENTED_EDGE('',*,*,#206837,.T.); #280202=ORIENTED_EDGE('',*,*,#206834,.T.); #280203=ORIENTED_EDGE('',*,*,#206831,.T.); #280204=ORIENTED_EDGE('',*,*,#206828,.T.); #280205=ORIENTED_EDGE('',*,*,#206825,.T.); #280206=ORIENTED_EDGE('',*,*,#206822,.T.); #280207=ORIENTED_EDGE('',*,*,#206819,.T.); #280208=ORIENTED_EDGE('',*,*,#206816,.T.); #280209=ORIENTED_EDGE('',*,*,#206813,.T.); #280210=ORIENTED_EDGE('',*,*,#206810,.T.); #280211=ORIENTED_EDGE('',*,*,#206807,.T.); #280212=ORIENTED_EDGE('',*,*,#206804,.T.); #280213=ORIENTED_EDGE('',*,*,#206801,.T.); #280214=ORIENTED_EDGE('',*,*,#206798,.T.); #280215=ORIENTED_EDGE('',*,*,#206795,.T.); #280216=ORIENTED_EDGE('',*,*,#206792,.T.); #280217=ORIENTED_EDGE('',*,*,#206789,.T.); #280218=ORIENTED_EDGE('',*,*,#206786,.T.); #280219=ORIENTED_EDGE('',*,*,#206783,.T.); #280220=ORIENTED_EDGE('',*,*,#206780,.T.); #280221=ORIENTED_EDGE('',*,*,#206777,.T.); #280222=ORIENTED_EDGE('',*,*,#206774,.T.); #280223=ORIENTED_EDGE('',*,*,#206771,.T.); #280224=ORIENTED_EDGE('',*,*,#206768,.T.); #280225=ORIENTED_EDGE('',*,*,#206765,.T.); #280226=ORIENTED_EDGE('',*,*,#206762,.T.); #280227=ORIENTED_EDGE('',*,*,#206759,.T.); #280228=ORIENTED_EDGE('',*,*,#206756,.T.); #280229=ORIENTED_EDGE('',*,*,#206753,.T.); #280230=ORIENTED_EDGE('',*,*,#206747,.T.); #280231=ORIENTED_EDGE('',*,*,#206750,.T.); #280232=ORIENTED_EDGE('',*,*,#206932,.F.); #280233=ORIENTED_EDGE('',*,*,#206751,.F.); #280234=ORIENTED_EDGE('',*,*,#206755,.F.); #280235=ORIENTED_EDGE('',*,*,#206758,.F.); #280236=ORIENTED_EDGE('',*,*,#206761,.F.); #280237=ORIENTED_EDGE('',*,*,#206764,.F.); #280238=ORIENTED_EDGE('',*,*,#206767,.F.); #280239=ORIENTED_EDGE('',*,*,#206770,.F.); #280240=ORIENTED_EDGE('',*,*,#206773,.F.); #280241=ORIENTED_EDGE('',*,*,#206776,.F.); #280242=ORIENTED_EDGE('',*,*,#206779,.F.); #280243=ORIENTED_EDGE('',*,*,#206782,.F.); #280244=ORIENTED_EDGE('',*,*,#206785,.F.); #280245=ORIENTED_EDGE('',*,*,#206788,.F.); #280246=ORIENTED_EDGE('',*,*,#206791,.F.); #280247=ORIENTED_EDGE('',*,*,#206794,.F.); #280248=ORIENTED_EDGE('',*,*,#206797,.F.); #280249=ORIENTED_EDGE('',*,*,#206800,.F.); #280250=ORIENTED_EDGE('',*,*,#206803,.F.); #280251=ORIENTED_EDGE('',*,*,#206806,.F.); #280252=ORIENTED_EDGE('',*,*,#206809,.F.); #280253=ORIENTED_EDGE('',*,*,#206812,.F.); #280254=ORIENTED_EDGE('',*,*,#206815,.F.); #280255=ORIENTED_EDGE('',*,*,#206818,.F.); #280256=ORIENTED_EDGE('',*,*,#206821,.F.); #280257=ORIENTED_EDGE('',*,*,#206824,.F.); #280258=ORIENTED_EDGE('',*,*,#206827,.F.); #280259=ORIENTED_EDGE('',*,*,#206830,.F.); #280260=ORIENTED_EDGE('',*,*,#206833,.F.); #280261=ORIENTED_EDGE('',*,*,#206836,.F.); #280262=ORIENTED_EDGE('',*,*,#206839,.F.); #280263=ORIENTED_EDGE('',*,*,#206842,.F.); #280264=ORIENTED_EDGE('',*,*,#206845,.F.); #280265=ORIENTED_EDGE('',*,*,#206848,.F.); #280266=ORIENTED_EDGE('',*,*,#206851,.F.); #280267=ORIENTED_EDGE('',*,*,#206854,.F.); #280268=ORIENTED_EDGE('',*,*,#206857,.F.); #280269=ORIENTED_EDGE('',*,*,#206860,.F.); #280270=ORIENTED_EDGE('',*,*,#206863,.F.); #280271=ORIENTED_EDGE('',*,*,#206866,.F.); #280272=ORIENTED_EDGE('',*,*,#206869,.F.); #280273=ORIENTED_EDGE('',*,*,#206872,.F.); #280274=ORIENTED_EDGE('',*,*,#206875,.F.); #280275=ORIENTED_EDGE('',*,*,#206878,.F.); #280276=ORIENTED_EDGE('',*,*,#206881,.F.); #280277=ORIENTED_EDGE('',*,*,#206884,.F.); #280278=ORIENTED_EDGE('',*,*,#206887,.F.); #280279=ORIENTED_EDGE('',*,*,#206890,.F.); #280280=ORIENTED_EDGE('',*,*,#206893,.F.); #280281=ORIENTED_EDGE('',*,*,#206896,.F.); #280282=ORIENTED_EDGE('',*,*,#206899,.F.); #280283=ORIENTED_EDGE('',*,*,#206902,.F.); #280284=ORIENTED_EDGE('',*,*,#206905,.F.); #280285=ORIENTED_EDGE('',*,*,#206908,.F.); #280286=ORIENTED_EDGE('',*,*,#206911,.F.); #280287=ORIENTED_EDGE('',*,*,#206914,.F.); #280288=ORIENTED_EDGE('',*,*,#206917,.F.); #280289=ORIENTED_EDGE('',*,*,#206920,.F.); #280290=ORIENTED_EDGE('',*,*,#206923,.F.); #280291=ORIENTED_EDGE('',*,*,#206926,.F.); #280292=ORIENTED_EDGE('',*,*,#206929,.F.); #280293=ORIENTED_EDGE('',*,*,#206745,.T.); #280294=ORIENTED_EDGE('',*,*,#206748,.T.); #280295=ORIENTED_EDGE('',*,*,#206934,.F.); #280296=ORIENTED_EDGE('',*,*,#206935,.T.); #280297=ORIENTED_EDGE('',*,*,#206936,.F.); #280298=ORIENTED_EDGE('',*,*,#206935,.F.); #280299=ORIENTED_EDGE('',*,*,#206937,.F.); #280300=ORIENTED_EDGE('',*,*,#206938,.T.); #280301=ORIENTED_EDGE('',*,*,#206939,.F.); #280302=ORIENTED_EDGE('',*,*,#206938,.F.); #280303=ORIENTED_EDGE('',*,*,#206940,.T.); #280304=ORIENTED_EDGE('',*,*,#206941,.T.); #280305=ORIENTED_EDGE('',*,*,#206942,.F.); #280306=ORIENTED_EDGE('',*,*,#206943,.F.); #280307=ORIENTED_EDGE('',*,*,#206944,.T.); #280308=ORIENTED_EDGE('',*,*,#206943,.T.); #280309=ORIENTED_EDGE('',*,*,#206945,.F.); #280310=ORIENTED_EDGE('',*,*,#206946,.F.); #280311=ORIENTED_EDGE('',*,*,#206947,.T.); #280312=ORIENTED_EDGE('',*,*,#206946,.T.); #280313=ORIENTED_EDGE('',*,*,#206948,.F.); #280314=ORIENTED_EDGE('',*,*,#206949,.F.); #280315=ORIENTED_EDGE('',*,*,#206950,.T.); #280316=ORIENTED_EDGE('',*,*,#206949,.T.); #280317=ORIENTED_EDGE('',*,*,#206951,.F.); #280318=ORIENTED_EDGE('',*,*,#206952,.F.); #280319=ORIENTED_EDGE('',*,*,#206953,.T.); #280320=ORIENTED_EDGE('',*,*,#206952,.T.); #280321=ORIENTED_EDGE('',*,*,#206954,.F.); #280322=ORIENTED_EDGE('',*,*,#206955,.F.); #280323=ORIENTED_EDGE('',*,*,#206956,.T.); #280324=ORIENTED_EDGE('',*,*,#206955,.T.); #280325=ORIENTED_EDGE('',*,*,#206957,.F.); #280326=ORIENTED_EDGE('',*,*,#206958,.F.); #280327=ORIENTED_EDGE('',*,*,#206959,.T.); #280328=ORIENTED_EDGE('',*,*,#206958,.T.); #280329=ORIENTED_EDGE('',*,*,#206960,.F.); #280330=ORIENTED_EDGE('',*,*,#206961,.F.); #280331=ORIENTED_EDGE('',*,*,#206962,.T.); #280332=ORIENTED_EDGE('',*,*,#206961,.T.); #280333=ORIENTED_EDGE('',*,*,#206963,.F.); #280334=ORIENTED_EDGE('',*,*,#206941,.F.); #280335=ORIENTED_EDGE('',*,*,#206963,.T.); #280336=ORIENTED_EDGE('',*,*,#206960,.T.); #280337=ORIENTED_EDGE('',*,*,#206957,.T.); #280338=ORIENTED_EDGE('',*,*,#206954,.T.); #280339=ORIENTED_EDGE('',*,*,#206951,.T.); #280340=ORIENTED_EDGE('',*,*,#206948,.T.); #280341=ORIENTED_EDGE('',*,*,#206945,.T.); #280342=ORIENTED_EDGE('',*,*,#206942,.T.); #280343=ORIENTED_EDGE('',*,*,#206936,.T.); #280344=ORIENTED_EDGE('',*,*,#206939,.T.); #280345=ORIENTED_EDGE('',*,*,#206962,.F.); #280346=ORIENTED_EDGE('',*,*,#206940,.F.); #280347=ORIENTED_EDGE('',*,*,#206944,.F.); #280348=ORIENTED_EDGE('',*,*,#206947,.F.); #280349=ORIENTED_EDGE('',*,*,#206950,.F.); #280350=ORIENTED_EDGE('',*,*,#206953,.F.); #280351=ORIENTED_EDGE('',*,*,#206956,.F.); #280352=ORIENTED_EDGE('',*,*,#206959,.F.); #280353=ORIENTED_EDGE('',*,*,#206934,.T.); #280354=ORIENTED_EDGE('',*,*,#206937,.T.); #280355=ORIENTED_EDGE('',*,*,#206964,.F.); #280356=ORIENTED_EDGE('',*,*,#206965,.T.); #280357=ORIENTED_EDGE('',*,*,#206966,.F.); #280358=ORIENTED_EDGE('',*,*,#206965,.F.); #280359=ORIENTED_EDGE('',*,*,#206967,.F.); #280360=ORIENTED_EDGE('',*,*,#206968,.T.); #280361=ORIENTED_EDGE('',*,*,#206969,.F.); #280362=ORIENTED_EDGE('',*,*,#206968,.F.); #280363=ORIENTED_EDGE('',*,*,#206970,.T.); #280364=ORIENTED_EDGE('',*,*,#206971,.T.); #280365=ORIENTED_EDGE('',*,*,#206972,.F.); #280366=ORIENTED_EDGE('',*,*,#206973,.F.); #280367=ORIENTED_EDGE('',*,*,#206974,.T.); #280368=ORIENTED_EDGE('',*,*,#206973,.T.); #280369=ORIENTED_EDGE('',*,*,#206975,.F.); #280370=ORIENTED_EDGE('',*,*,#206976,.F.); #280371=ORIENTED_EDGE('',*,*,#206977,.T.); #280372=ORIENTED_EDGE('',*,*,#206976,.T.); #280373=ORIENTED_EDGE('',*,*,#206978,.F.); #280374=ORIENTED_EDGE('',*,*,#206979,.F.); #280375=ORIENTED_EDGE('',*,*,#206980,.T.); #280376=ORIENTED_EDGE('',*,*,#206979,.T.); #280377=ORIENTED_EDGE('',*,*,#206981,.F.); #280378=ORIENTED_EDGE('',*,*,#206982,.F.); #280379=ORIENTED_EDGE('',*,*,#206983,.T.); #280380=ORIENTED_EDGE('',*,*,#206982,.T.); #280381=ORIENTED_EDGE('',*,*,#206984,.F.); #280382=ORIENTED_EDGE('',*,*,#206985,.F.); #280383=ORIENTED_EDGE('',*,*,#206986,.T.); #280384=ORIENTED_EDGE('',*,*,#206985,.T.); #280385=ORIENTED_EDGE('',*,*,#206987,.F.); #280386=ORIENTED_EDGE('',*,*,#206988,.F.); #280387=ORIENTED_EDGE('',*,*,#206989,.T.); #280388=ORIENTED_EDGE('',*,*,#206988,.T.); #280389=ORIENTED_EDGE('',*,*,#206990,.F.); #280390=ORIENTED_EDGE('',*,*,#206991,.F.); #280391=ORIENTED_EDGE('',*,*,#206992,.T.); #280392=ORIENTED_EDGE('',*,*,#206991,.T.); #280393=ORIENTED_EDGE('',*,*,#206993,.F.); #280394=ORIENTED_EDGE('',*,*,#206971,.F.); #280395=ORIENTED_EDGE('',*,*,#206993,.T.); #280396=ORIENTED_EDGE('',*,*,#206990,.T.); #280397=ORIENTED_EDGE('',*,*,#206987,.T.); #280398=ORIENTED_EDGE('',*,*,#206984,.T.); #280399=ORIENTED_EDGE('',*,*,#206981,.T.); #280400=ORIENTED_EDGE('',*,*,#206978,.T.); #280401=ORIENTED_EDGE('',*,*,#206975,.T.); #280402=ORIENTED_EDGE('',*,*,#206972,.T.); #280403=ORIENTED_EDGE('',*,*,#206966,.T.); #280404=ORIENTED_EDGE('',*,*,#206969,.T.); #280405=ORIENTED_EDGE('',*,*,#206992,.F.); #280406=ORIENTED_EDGE('',*,*,#206970,.F.); #280407=ORIENTED_EDGE('',*,*,#206974,.F.); #280408=ORIENTED_EDGE('',*,*,#206977,.F.); #280409=ORIENTED_EDGE('',*,*,#206980,.F.); #280410=ORIENTED_EDGE('',*,*,#206983,.F.); #280411=ORIENTED_EDGE('',*,*,#206986,.F.); #280412=ORIENTED_EDGE('',*,*,#206989,.F.); #280413=ORIENTED_EDGE('',*,*,#206964,.T.); #280414=ORIENTED_EDGE('',*,*,#206967,.T.); #280415=ORIENTED_EDGE('',*,*,#206994,.F.); #280416=ORIENTED_EDGE('',*,*,#206995,.T.); #280417=ORIENTED_EDGE('',*,*,#206996,.F.); #280418=ORIENTED_EDGE('',*,*,#206995,.F.); #280419=ORIENTED_EDGE('',*,*,#206997,.F.); #280420=ORIENTED_EDGE('',*,*,#206998,.T.); #280421=ORIENTED_EDGE('',*,*,#206999,.F.); #280422=ORIENTED_EDGE('',*,*,#206998,.F.); #280423=ORIENTED_EDGE('',*,*,#207000,.T.); #280424=ORIENTED_EDGE('',*,*,#207001,.T.); #280425=ORIENTED_EDGE('',*,*,#207002,.F.); #280426=ORIENTED_EDGE('',*,*,#207003,.F.); #280427=ORIENTED_EDGE('',*,*,#207004,.T.); #280428=ORIENTED_EDGE('',*,*,#207003,.T.); #280429=ORIENTED_EDGE('',*,*,#207005,.F.); #280430=ORIENTED_EDGE('',*,*,#207006,.F.); #280431=ORIENTED_EDGE('',*,*,#207007,.T.); #280432=ORIENTED_EDGE('',*,*,#207006,.T.); #280433=ORIENTED_EDGE('',*,*,#207008,.F.); #280434=ORIENTED_EDGE('',*,*,#207009,.F.); #280435=ORIENTED_EDGE('',*,*,#207010,.T.); #280436=ORIENTED_EDGE('',*,*,#207009,.T.); #280437=ORIENTED_EDGE('',*,*,#207011,.F.); #280438=ORIENTED_EDGE('',*,*,#207012,.F.); #280439=ORIENTED_EDGE('',*,*,#207013,.T.); #280440=ORIENTED_EDGE('',*,*,#207012,.T.); #280441=ORIENTED_EDGE('',*,*,#207014,.F.); #280442=ORIENTED_EDGE('',*,*,#207015,.F.); #280443=ORIENTED_EDGE('',*,*,#207016,.T.); #280444=ORIENTED_EDGE('',*,*,#207015,.T.); #280445=ORIENTED_EDGE('',*,*,#207017,.F.); #280446=ORIENTED_EDGE('',*,*,#207018,.F.); #280447=ORIENTED_EDGE('',*,*,#207019,.T.); #280448=ORIENTED_EDGE('',*,*,#207018,.T.); #280449=ORIENTED_EDGE('',*,*,#207020,.F.); #280450=ORIENTED_EDGE('',*,*,#207021,.F.); #280451=ORIENTED_EDGE('',*,*,#207022,.T.); #280452=ORIENTED_EDGE('',*,*,#207021,.T.); #280453=ORIENTED_EDGE('',*,*,#207023,.F.); #280454=ORIENTED_EDGE('',*,*,#207001,.F.); #280455=ORIENTED_EDGE('',*,*,#207023,.T.); #280456=ORIENTED_EDGE('',*,*,#207020,.T.); #280457=ORIENTED_EDGE('',*,*,#207017,.T.); #280458=ORIENTED_EDGE('',*,*,#207014,.T.); #280459=ORIENTED_EDGE('',*,*,#207011,.T.); #280460=ORIENTED_EDGE('',*,*,#207008,.T.); #280461=ORIENTED_EDGE('',*,*,#207005,.T.); #280462=ORIENTED_EDGE('',*,*,#207002,.T.); #280463=ORIENTED_EDGE('',*,*,#206996,.T.); #280464=ORIENTED_EDGE('',*,*,#206999,.T.); #280465=ORIENTED_EDGE('',*,*,#207022,.F.); #280466=ORIENTED_EDGE('',*,*,#207000,.F.); #280467=ORIENTED_EDGE('',*,*,#207004,.F.); #280468=ORIENTED_EDGE('',*,*,#207007,.F.); #280469=ORIENTED_EDGE('',*,*,#207010,.F.); #280470=ORIENTED_EDGE('',*,*,#207013,.F.); #280471=ORIENTED_EDGE('',*,*,#207016,.F.); #280472=ORIENTED_EDGE('',*,*,#207019,.F.); #280473=ORIENTED_EDGE('',*,*,#206994,.T.); #280474=ORIENTED_EDGE('',*,*,#206997,.T.); #280475=ORIENTED_EDGE('',*,*,#207024,.F.); #280476=ORIENTED_EDGE('',*,*,#207025,.T.); #280477=ORIENTED_EDGE('',*,*,#207026,.F.); #280478=ORIENTED_EDGE('',*,*,#207025,.F.); #280479=ORIENTED_EDGE('',*,*,#207027,.F.); #280480=ORIENTED_EDGE('',*,*,#207028,.T.); #280481=ORIENTED_EDGE('',*,*,#207029,.F.); #280482=ORIENTED_EDGE('',*,*,#207028,.F.); #280483=ORIENTED_EDGE('',*,*,#207030,.T.); #280484=ORIENTED_EDGE('',*,*,#207031,.T.); #280485=ORIENTED_EDGE('',*,*,#207032,.F.); #280486=ORIENTED_EDGE('',*,*,#207033,.F.); #280487=ORIENTED_EDGE('',*,*,#207034,.T.); #280488=ORIENTED_EDGE('',*,*,#207033,.T.); #280489=ORIENTED_EDGE('',*,*,#207035,.F.); #280490=ORIENTED_EDGE('',*,*,#207036,.F.); #280491=ORIENTED_EDGE('',*,*,#207037,.T.); #280492=ORIENTED_EDGE('',*,*,#207036,.T.); #280493=ORIENTED_EDGE('',*,*,#207038,.F.); #280494=ORIENTED_EDGE('',*,*,#207039,.F.); #280495=ORIENTED_EDGE('',*,*,#207040,.T.); #280496=ORIENTED_EDGE('',*,*,#207039,.T.); #280497=ORIENTED_EDGE('',*,*,#207041,.F.); #280498=ORIENTED_EDGE('',*,*,#207042,.F.); #280499=ORIENTED_EDGE('',*,*,#207043,.T.); #280500=ORIENTED_EDGE('',*,*,#207042,.T.); #280501=ORIENTED_EDGE('',*,*,#207044,.F.); #280502=ORIENTED_EDGE('',*,*,#207045,.F.); #280503=ORIENTED_EDGE('',*,*,#207046,.T.); #280504=ORIENTED_EDGE('',*,*,#207045,.T.); #280505=ORIENTED_EDGE('',*,*,#207047,.F.); #280506=ORIENTED_EDGE('',*,*,#207048,.F.); #280507=ORIENTED_EDGE('',*,*,#207049,.T.); #280508=ORIENTED_EDGE('',*,*,#207048,.T.); #280509=ORIENTED_EDGE('',*,*,#207050,.F.); #280510=ORIENTED_EDGE('',*,*,#207051,.F.); #280511=ORIENTED_EDGE('',*,*,#207052,.T.); #280512=ORIENTED_EDGE('',*,*,#207051,.T.); #280513=ORIENTED_EDGE('',*,*,#207053,.F.); #280514=ORIENTED_EDGE('',*,*,#207054,.F.); #280515=ORIENTED_EDGE('',*,*,#207055,.T.); #280516=ORIENTED_EDGE('',*,*,#207054,.T.); #280517=ORIENTED_EDGE('',*,*,#207056,.F.); #280518=ORIENTED_EDGE('',*,*,#207057,.F.); #280519=ORIENTED_EDGE('',*,*,#207058,.T.); #280520=ORIENTED_EDGE('',*,*,#207057,.T.); #280521=ORIENTED_EDGE('',*,*,#207059,.F.); #280522=ORIENTED_EDGE('',*,*,#207060,.F.); #280523=ORIENTED_EDGE('',*,*,#207061,.T.); #280524=ORIENTED_EDGE('',*,*,#207060,.T.); #280525=ORIENTED_EDGE('',*,*,#207062,.F.); #280526=ORIENTED_EDGE('',*,*,#207063,.F.); #280527=ORIENTED_EDGE('',*,*,#207064,.T.); #280528=ORIENTED_EDGE('',*,*,#207063,.T.); #280529=ORIENTED_EDGE('',*,*,#207065,.F.); #280530=ORIENTED_EDGE('',*,*,#207066,.F.); #280531=ORIENTED_EDGE('',*,*,#207067,.T.); #280532=ORIENTED_EDGE('',*,*,#207066,.T.); #280533=ORIENTED_EDGE('',*,*,#207068,.F.); #280534=ORIENTED_EDGE('',*,*,#207031,.F.); #280535=ORIENTED_EDGE('',*,*,#207068,.T.); #280536=ORIENTED_EDGE('',*,*,#207065,.T.); #280537=ORIENTED_EDGE('',*,*,#207062,.T.); #280538=ORIENTED_EDGE('',*,*,#207059,.T.); #280539=ORIENTED_EDGE('',*,*,#207056,.T.); #280540=ORIENTED_EDGE('',*,*,#207053,.T.); #280541=ORIENTED_EDGE('',*,*,#207050,.T.); #280542=ORIENTED_EDGE('',*,*,#207047,.T.); #280543=ORIENTED_EDGE('',*,*,#207044,.T.); #280544=ORIENTED_EDGE('',*,*,#207041,.T.); #280545=ORIENTED_EDGE('',*,*,#207038,.T.); #280546=ORIENTED_EDGE('',*,*,#207035,.T.); #280547=ORIENTED_EDGE('',*,*,#207032,.T.); #280548=ORIENTED_EDGE('',*,*,#207026,.T.); #280549=ORIENTED_EDGE('',*,*,#207029,.T.); #280550=ORIENTED_EDGE('',*,*,#207067,.F.); #280551=ORIENTED_EDGE('',*,*,#207030,.F.); #280552=ORIENTED_EDGE('',*,*,#207034,.F.); #280553=ORIENTED_EDGE('',*,*,#207037,.F.); #280554=ORIENTED_EDGE('',*,*,#207040,.F.); #280555=ORIENTED_EDGE('',*,*,#207043,.F.); #280556=ORIENTED_EDGE('',*,*,#207046,.F.); #280557=ORIENTED_EDGE('',*,*,#207049,.F.); #280558=ORIENTED_EDGE('',*,*,#207052,.F.); #280559=ORIENTED_EDGE('',*,*,#207055,.F.); #280560=ORIENTED_EDGE('',*,*,#207058,.F.); #280561=ORIENTED_EDGE('',*,*,#207061,.F.); #280562=ORIENTED_EDGE('',*,*,#207064,.F.); #280563=ORIENTED_EDGE('',*,*,#207024,.T.); #280564=ORIENTED_EDGE('',*,*,#207027,.T.); #280565=ORIENTED_EDGE('',*,*,#207069,.F.); #280566=ORIENTED_EDGE('',*,*,#207070,.T.); #280567=ORIENTED_EDGE('',*,*,#207071,.F.); #280568=ORIENTED_EDGE('',*,*,#207070,.F.); #280569=ORIENTED_EDGE('',*,*,#207072,.T.); #280570=ORIENTED_EDGE('',*,*,#207073,.T.); #280571=ORIENTED_EDGE('',*,*,#207074,.F.); #280572=ORIENTED_EDGE('',*,*,#207075,.F.); #280573=ORIENTED_EDGE('',*,*,#207076,.T.); #280574=ORIENTED_EDGE('',*,*,#207075,.T.); #280575=ORIENTED_EDGE('',*,*,#207077,.F.); #280576=ORIENTED_EDGE('',*,*,#207078,.F.); #280577=ORIENTED_EDGE('',*,*,#207079,.T.); #280578=ORIENTED_EDGE('',*,*,#207078,.T.); #280579=ORIENTED_EDGE('',*,*,#207080,.F.); #280580=ORIENTED_EDGE('',*,*,#207081,.F.); #280581=ORIENTED_EDGE('',*,*,#207082,.T.); #280582=ORIENTED_EDGE('',*,*,#207081,.T.); #280583=ORIENTED_EDGE('',*,*,#207083,.F.); #280584=ORIENTED_EDGE('',*,*,#207073,.F.); #280585=ORIENTED_EDGE('',*,*,#207083,.T.); #280586=ORIENTED_EDGE('',*,*,#207080,.T.); #280587=ORIENTED_EDGE('',*,*,#207077,.T.); #280588=ORIENTED_EDGE('',*,*,#207074,.T.); #280589=ORIENTED_EDGE('',*,*,#207071,.T.); #280590=ORIENTED_EDGE('',*,*,#207082,.F.); #280591=ORIENTED_EDGE('',*,*,#207072,.F.); #280592=ORIENTED_EDGE('',*,*,#207076,.F.); #280593=ORIENTED_EDGE('',*,*,#207079,.F.); #280594=ORIENTED_EDGE('',*,*,#207069,.T.); #280595=ORIENTED_EDGE('',*,*,#207084,.F.); #280596=ORIENTED_EDGE('',*,*,#207085,.T.); #280597=ORIENTED_EDGE('',*,*,#207086,.F.); #280598=ORIENTED_EDGE('',*,*,#207085,.F.); #280599=ORIENTED_EDGE('',*,*,#207087,.T.); #280600=ORIENTED_EDGE('',*,*,#207088,.T.); #280601=ORIENTED_EDGE('',*,*,#207089,.F.); #280602=ORIENTED_EDGE('',*,*,#207090,.F.); #280603=ORIENTED_EDGE('',*,*,#207091,.T.); #280604=ORIENTED_EDGE('',*,*,#207090,.T.); #280605=ORIENTED_EDGE('',*,*,#207092,.F.); #280606=ORIENTED_EDGE('',*,*,#207093,.F.); #280607=ORIENTED_EDGE('',*,*,#207094,.T.); #280608=ORIENTED_EDGE('',*,*,#207093,.T.); #280609=ORIENTED_EDGE('',*,*,#207095,.F.); #280610=ORIENTED_EDGE('',*,*,#207096,.F.); #280611=ORIENTED_EDGE('',*,*,#207097,.T.); #280612=ORIENTED_EDGE('',*,*,#207096,.T.); #280613=ORIENTED_EDGE('',*,*,#207098,.F.); #280614=ORIENTED_EDGE('',*,*,#207088,.F.); #280615=ORIENTED_EDGE('',*,*,#207098,.T.); #280616=ORIENTED_EDGE('',*,*,#207095,.T.); #280617=ORIENTED_EDGE('',*,*,#207092,.T.); #280618=ORIENTED_EDGE('',*,*,#207089,.T.); #280619=ORIENTED_EDGE('',*,*,#207086,.T.); #280620=ORIENTED_EDGE('',*,*,#207097,.F.); #280621=ORIENTED_EDGE('',*,*,#207087,.F.); #280622=ORIENTED_EDGE('',*,*,#207091,.F.); #280623=ORIENTED_EDGE('',*,*,#207094,.F.); #280624=ORIENTED_EDGE('',*,*,#207084,.T.); #280625=ORIENTED_EDGE('',*,*,#207099,.F.); #280626=ORIENTED_EDGE('',*,*,#207100,.T.); #280627=ORIENTED_EDGE('',*,*,#207101,.F.); #280628=ORIENTED_EDGE('',*,*,#207100,.F.); #280629=ORIENTED_EDGE('',*,*,#207102,.T.); #280630=ORIENTED_EDGE('',*,*,#207103,.T.); #280631=ORIENTED_EDGE('',*,*,#207104,.F.); #280632=ORIENTED_EDGE('',*,*,#207105,.F.); #280633=ORIENTED_EDGE('',*,*,#207106,.T.); #280634=ORIENTED_EDGE('',*,*,#207105,.T.); #280635=ORIENTED_EDGE('',*,*,#207107,.F.); #280636=ORIENTED_EDGE('',*,*,#207108,.F.); #280637=ORIENTED_EDGE('',*,*,#207109,.T.); #280638=ORIENTED_EDGE('',*,*,#207108,.T.); #280639=ORIENTED_EDGE('',*,*,#207110,.F.); #280640=ORIENTED_EDGE('',*,*,#207111,.F.); #280641=ORIENTED_EDGE('',*,*,#207112,.T.); #280642=ORIENTED_EDGE('',*,*,#207111,.T.); #280643=ORIENTED_EDGE('',*,*,#207113,.F.); #280644=ORIENTED_EDGE('',*,*,#207103,.F.); #280645=ORIENTED_EDGE('',*,*,#207113,.T.); #280646=ORIENTED_EDGE('',*,*,#207110,.T.); #280647=ORIENTED_EDGE('',*,*,#207107,.T.); #280648=ORIENTED_EDGE('',*,*,#207104,.T.); #280649=ORIENTED_EDGE('',*,*,#207101,.T.); #280650=ORIENTED_EDGE('',*,*,#207112,.F.); #280651=ORIENTED_EDGE('',*,*,#207102,.F.); #280652=ORIENTED_EDGE('',*,*,#207106,.F.); #280653=ORIENTED_EDGE('',*,*,#207109,.F.); #280654=ORIENTED_EDGE('',*,*,#207099,.T.); #280655=ORIENTED_EDGE('',*,*,#207114,.F.); #280656=ORIENTED_EDGE('',*,*,#207115,.T.); #280657=ORIENTED_EDGE('',*,*,#207116,.F.); #280658=ORIENTED_EDGE('',*,*,#207115,.F.); #280659=ORIENTED_EDGE('',*,*,#207117,.T.); #280660=ORIENTED_EDGE('',*,*,#207118,.T.); #280661=ORIENTED_EDGE('',*,*,#207119,.F.); #280662=ORIENTED_EDGE('',*,*,#207120,.F.); #280663=ORIENTED_EDGE('',*,*,#207121,.T.); #280664=ORIENTED_EDGE('',*,*,#207120,.T.); #280665=ORIENTED_EDGE('',*,*,#207122,.F.); #280666=ORIENTED_EDGE('',*,*,#207123,.F.); #280667=ORIENTED_EDGE('',*,*,#207124,.T.); #280668=ORIENTED_EDGE('',*,*,#207123,.T.); #280669=ORIENTED_EDGE('',*,*,#207125,.F.); #280670=ORIENTED_EDGE('',*,*,#207126,.F.); #280671=ORIENTED_EDGE('',*,*,#207127,.T.); #280672=ORIENTED_EDGE('',*,*,#207126,.T.); #280673=ORIENTED_EDGE('',*,*,#207128,.F.); #280674=ORIENTED_EDGE('',*,*,#207129,.F.); #280675=ORIENTED_EDGE('',*,*,#207130,.T.); #280676=ORIENTED_EDGE('',*,*,#207129,.T.); #280677=ORIENTED_EDGE('',*,*,#207131,.F.); #280678=ORIENTED_EDGE('',*,*,#207132,.F.); #280679=ORIENTED_EDGE('',*,*,#207133,.T.); #280680=ORIENTED_EDGE('',*,*,#207132,.T.); #280681=ORIENTED_EDGE('',*,*,#207134,.F.); #280682=ORIENTED_EDGE('',*,*,#207135,.F.); #280683=ORIENTED_EDGE('',*,*,#207136,.T.); #280684=ORIENTED_EDGE('',*,*,#207135,.T.); #280685=ORIENTED_EDGE('',*,*,#207137,.F.); #280686=ORIENTED_EDGE('',*,*,#207138,.F.); #280687=ORIENTED_EDGE('',*,*,#207139,.T.); #280688=ORIENTED_EDGE('',*,*,#207138,.T.); #280689=ORIENTED_EDGE('',*,*,#207140,.F.); #280690=ORIENTED_EDGE('',*,*,#207141,.F.); #280691=ORIENTED_EDGE('',*,*,#207142,.T.); #280692=ORIENTED_EDGE('',*,*,#207141,.T.); #280693=ORIENTED_EDGE('',*,*,#207143,.F.); #280694=ORIENTED_EDGE('',*,*,#207144,.F.); #280695=ORIENTED_EDGE('',*,*,#207145,.T.); #280696=ORIENTED_EDGE('',*,*,#207144,.T.); #280697=ORIENTED_EDGE('',*,*,#207146,.F.); #280698=ORIENTED_EDGE('',*,*,#207118,.F.); #280699=ORIENTED_EDGE('',*,*,#207146,.T.); #280700=ORIENTED_EDGE('',*,*,#207143,.T.); #280701=ORIENTED_EDGE('',*,*,#207140,.T.); #280702=ORIENTED_EDGE('',*,*,#207137,.T.); #280703=ORIENTED_EDGE('',*,*,#207134,.T.); #280704=ORIENTED_EDGE('',*,*,#207131,.T.); #280705=ORIENTED_EDGE('',*,*,#207128,.T.); #280706=ORIENTED_EDGE('',*,*,#207125,.T.); #280707=ORIENTED_EDGE('',*,*,#207122,.T.); #280708=ORIENTED_EDGE('',*,*,#207119,.T.); #280709=ORIENTED_EDGE('',*,*,#207116,.T.); #280710=ORIENTED_EDGE('',*,*,#207145,.F.); #280711=ORIENTED_EDGE('',*,*,#207117,.F.); #280712=ORIENTED_EDGE('',*,*,#207121,.F.); #280713=ORIENTED_EDGE('',*,*,#207124,.F.); #280714=ORIENTED_EDGE('',*,*,#207127,.F.); #280715=ORIENTED_EDGE('',*,*,#207130,.F.); #280716=ORIENTED_EDGE('',*,*,#207133,.F.); #280717=ORIENTED_EDGE('',*,*,#207136,.F.); #280718=ORIENTED_EDGE('',*,*,#207139,.F.); #280719=ORIENTED_EDGE('',*,*,#207142,.F.); #280720=ORIENTED_EDGE('',*,*,#207114,.T.); #280721=ORIENTED_EDGE('',*,*,#207147,.F.); #280722=ORIENTED_EDGE('',*,*,#207148,.T.); #280723=ORIENTED_EDGE('',*,*,#207149,.F.); #280724=ORIENTED_EDGE('',*,*,#207148,.F.); #280725=ORIENTED_EDGE('',*,*,#207150,.F.); #280726=ORIENTED_EDGE('',*,*,#207151,.T.); #280727=ORIENTED_EDGE('',*,*,#207152,.F.); #280728=ORIENTED_EDGE('',*,*,#207151,.F.); #280729=ORIENTED_EDGE('',*,*,#207153,.T.); #280730=ORIENTED_EDGE('',*,*,#207154,.T.); #280731=ORIENTED_EDGE('',*,*,#207155,.F.); #280732=ORIENTED_EDGE('',*,*,#207156,.F.); #280733=ORIENTED_EDGE('',*,*,#207157,.T.); #280734=ORIENTED_EDGE('',*,*,#207156,.T.); #280735=ORIENTED_EDGE('',*,*,#207158,.F.); #280736=ORIENTED_EDGE('',*,*,#207159,.F.); #280737=ORIENTED_EDGE('',*,*,#207160,.T.); #280738=ORIENTED_EDGE('',*,*,#207159,.T.); #280739=ORIENTED_EDGE('',*,*,#207161,.F.); #280740=ORIENTED_EDGE('',*,*,#207162,.F.); #280741=ORIENTED_EDGE('',*,*,#207163,.T.); #280742=ORIENTED_EDGE('',*,*,#207162,.T.); #280743=ORIENTED_EDGE('',*,*,#207164,.F.); #280744=ORIENTED_EDGE('',*,*,#207165,.F.); #280745=ORIENTED_EDGE('',*,*,#207166,.T.); #280746=ORIENTED_EDGE('',*,*,#207165,.T.); #280747=ORIENTED_EDGE('',*,*,#207167,.F.); #280748=ORIENTED_EDGE('',*,*,#207168,.F.); #280749=ORIENTED_EDGE('',*,*,#207169,.T.); #280750=ORIENTED_EDGE('',*,*,#207168,.T.); #280751=ORIENTED_EDGE('',*,*,#207170,.F.); #280752=ORIENTED_EDGE('',*,*,#207171,.F.); #280753=ORIENTED_EDGE('',*,*,#207172,.T.); #280754=ORIENTED_EDGE('',*,*,#207171,.T.); #280755=ORIENTED_EDGE('',*,*,#207173,.F.); #280756=ORIENTED_EDGE('',*,*,#207174,.F.); #280757=ORIENTED_EDGE('',*,*,#207175,.T.); #280758=ORIENTED_EDGE('',*,*,#207174,.T.); #280759=ORIENTED_EDGE('',*,*,#207176,.F.); #280760=ORIENTED_EDGE('',*,*,#207177,.F.); #280761=ORIENTED_EDGE('',*,*,#207178,.T.); #280762=ORIENTED_EDGE('',*,*,#207177,.T.); #280763=ORIENTED_EDGE('',*,*,#207179,.F.); #280764=ORIENTED_EDGE('',*,*,#207180,.F.); #280765=ORIENTED_EDGE('',*,*,#207181,.T.); #280766=ORIENTED_EDGE('',*,*,#207180,.T.); #280767=ORIENTED_EDGE('',*,*,#207182,.F.); #280768=ORIENTED_EDGE('',*,*,#207183,.F.); #280769=ORIENTED_EDGE('',*,*,#207184,.T.); #280770=ORIENTED_EDGE('',*,*,#207183,.T.); #280771=ORIENTED_EDGE('',*,*,#207185,.F.); #280772=ORIENTED_EDGE('',*,*,#207186,.F.); #280773=ORIENTED_EDGE('',*,*,#207187,.T.); #280774=ORIENTED_EDGE('',*,*,#207186,.T.); #280775=ORIENTED_EDGE('',*,*,#207188,.F.); #280776=ORIENTED_EDGE('',*,*,#207189,.F.); #280777=ORIENTED_EDGE('',*,*,#207190,.T.); #280778=ORIENTED_EDGE('',*,*,#207189,.T.); #280779=ORIENTED_EDGE('',*,*,#207191,.F.); #280780=ORIENTED_EDGE('',*,*,#207192,.F.); #280781=ORIENTED_EDGE('',*,*,#207193,.T.); #280782=ORIENTED_EDGE('',*,*,#207192,.T.); #280783=ORIENTED_EDGE('',*,*,#207194,.F.); #280784=ORIENTED_EDGE('',*,*,#207195,.F.); #280785=ORIENTED_EDGE('',*,*,#207196,.T.); #280786=ORIENTED_EDGE('',*,*,#207195,.T.); #280787=ORIENTED_EDGE('',*,*,#207197,.F.); #280788=ORIENTED_EDGE('',*,*,#207198,.F.); #280789=ORIENTED_EDGE('',*,*,#207199,.T.); #280790=ORIENTED_EDGE('',*,*,#207198,.T.); #280791=ORIENTED_EDGE('',*,*,#207200,.F.); #280792=ORIENTED_EDGE('',*,*,#207201,.F.); #280793=ORIENTED_EDGE('',*,*,#207202,.T.); #280794=ORIENTED_EDGE('',*,*,#207201,.T.); #280795=ORIENTED_EDGE('',*,*,#207203,.F.); #280796=ORIENTED_EDGE('',*,*,#207204,.F.); #280797=ORIENTED_EDGE('',*,*,#207205,.T.); #280798=ORIENTED_EDGE('',*,*,#207204,.T.); #280799=ORIENTED_EDGE('',*,*,#207206,.F.); #280800=ORIENTED_EDGE('',*,*,#207207,.F.); #280801=ORIENTED_EDGE('',*,*,#207208,.T.); #280802=ORIENTED_EDGE('',*,*,#207207,.T.); #280803=ORIENTED_EDGE('',*,*,#207209,.F.); #280804=ORIENTED_EDGE('',*,*,#207210,.F.); #280805=ORIENTED_EDGE('',*,*,#207211,.T.); #280806=ORIENTED_EDGE('',*,*,#207210,.T.); #280807=ORIENTED_EDGE('',*,*,#207212,.F.); #280808=ORIENTED_EDGE('',*,*,#207213,.F.); #280809=ORIENTED_EDGE('',*,*,#207214,.T.); #280810=ORIENTED_EDGE('',*,*,#207213,.T.); #280811=ORIENTED_EDGE('',*,*,#207215,.F.); #280812=ORIENTED_EDGE('',*,*,#207216,.F.); #280813=ORIENTED_EDGE('',*,*,#207217,.T.); #280814=ORIENTED_EDGE('',*,*,#207216,.T.); #280815=ORIENTED_EDGE('',*,*,#207218,.F.); #280816=ORIENTED_EDGE('',*,*,#207219,.F.); #280817=ORIENTED_EDGE('',*,*,#207220,.T.); #280818=ORIENTED_EDGE('',*,*,#207219,.T.); #280819=ORIENTED_EDGE('',*,*,#207221,.F.); #280820=ORIENTED_EDGE('',*,*,#207222,.F.); #280821=ORIENTED_EDGE('',*,*,#207223,.T.); #280822=ORIENTED_EDGE('',*,*,#207222,.T.); #280823=ORIENTED_EDGE('',*,*,#207224,.F.); #280824=ORIENTED_EDGE('',*,*,#207225,.F.); #280825=ORIENTED_EDGE('',*,*,#207226,.T.); #280826=ORIENTED_EDGE('',*,*,#207225,.T.); #280827=ORIENTED_EDGE('',*,*,#207227,.F.); #280828=ORIENTED_EDGE('',*,*,#207228,.F.); #280829=ORIENTED_EDGE('',*,*,#207229,.T.); #280830=ORIENTED_EDGE('',*,*,#207228,.T.); #280831=ORIENTED_EDGE('',*,*,#207230,.F.); #280832=ORIENTED_EDGE('',*,*,#207231,.F.); #280833=ORIENTED_EDGE('',*,*,#207232,.T.); #280834=ORIENTED_EDGE('',*,*,#207231,.T.); #280835=ORIENTED_EDGE('',*,*,#207233,.F.); #280836=ORIENTED_EDGE('',*,*,#207234,.F.); #280837=ORIENTED_EDGE('',*,*,#207235,.T.); #280838=ORIENTED_EDGE('',*,*,#207234,.T.); #280839=ORIENTED_EDGE('',*,*,#207236,.F.); #280840=ORIENTED_EDGE('',*,*,#207237,.F.); #280841=ORIENTED_EDGE('',*,*,#207238,.T.); #280842=ORIENTED_EDGE('',*,*,#207237,.T.); #280843=ORIENTED_EDGE('',*,*,#207239,.F.); #280844=ORIENTED_EDGE('',*,*,#207240,.F.); #280845=ORIENTED_EDGE('',*,*,#207241,.T.); #280846=ORIENTED_EDGE('',*,*,#207240,.T.); #280847=ORIENTED_EDGE('',*,*,#207242,.F.); #280848=ORIENTED_EDGE('',*,*,#207243,.F.); #280849=ORIENTED_EDGE('',*,*,#207244,.T.); #280850=ORIENTED_EDGE('',*,*,#207243,.T.); #280851=ORIENTED_EDGE('',*,*,#207245,.F.); #280852=ORIENTED_EDGE('',*,*,#207246,.F.); #280853=ORIENTED_EDGE('',*,*,#207247,.T.); #280854=ORIENTED_EDGE('',*,*,#207246,.T.); #280855=ORIENTED_EDGE('',*,*,#207248,.F.); #280856=ORIENTED_EDGE('',*,*,#207249,.F.); #280857=ORIENTED_EDGE('',*,*,#207250,.T.); #280858=ORIENTED_EDGE('',*,*,#207249,.T.); #280859=ORIENTED_EDGE('',*,*,#207251,.F.); #280860=ORIENTED_EDGE('',*,*,#207252,.F.); #280861=ORIENTED_EDGE('',*,*,#207253,.T.); #280862=ORIENTED_EDGE('',*,*,#207252,.T.); #280863=ORIENTED_EDGE('',*,*,#207254,.F.); #280864=ORIENTED_EDGE('',*,*,#207255,.F.); #280865=ORIENTED_EDGE('',*,*,#207256,.T.); #280866=ORIENTED_EDGE('',*,*,#207255,.T.); #280867=ORIENTED_EDGE('',*,*,#207257,.F.); #280868=ORIENTED_EDGE('',*,*,#207258,.F.); #280869=ORIENTED_EDGE('',*,*,#207259,.T.); #280870=ORIENTED_EDGE('',*,*,#207258,.T.); #280871=ORIENTED_EDGE('',*,*,#207260,.F.); #280872=ORIENTED_EDGE('',*,*,#207261,.F.); #280873=ORIENTED_EDGE('',*,*,#207262,.T.); #280874=ORIENTED_EDGE('',*,*,#207261,.T.); #280875=ORIENTED_EDGE('',*,*,#207263,.F.); #280876=ORIENTED_EDGE('',*,*,#207264,.F.); #280877=ORIENTED_EDGE('',*,*,#207265,.T.); #280878=ORIENTED_EDGE('',*,*,#207264,.T.); #280879=ORIENTED_EDGE('',*,*,#207266,.F.); #280880=ORIENTED_EDGE('',*,*,#207267,.F.); #280881=ORIENTED_EDGE('',*,*,#207268,.T.); #280882=ORIENTED_EDGE('',*,*,#207267,.T.); #280883=ORIENTED_EDGE('',*,*,#207269,.F.); #280884=ORIENTED_EDGE('',*,*,#207270,.F.); #280885=ORIENTED_EDGE('',*,*,#207271,.T.); #280886=ORIENTED_EDGE('',*,*,#207270,.T.); #280887=ORIENTED_EDGE('',*,*,#207272,.F.); #280888=ORIENTED_EDGE('',*,*,#207273,.F.); #280889=ORIENTED_EDGE('',*,*,#207274,.T.); #280890=ORIENTED_EDGE('',*,*,#207273,.T.); #280891=ORIENTED_EDGE('',*,*,#207275,.F.); #280892=ORIENTED_EDGE('',*,*,#207276,.F.); #280893=ORIENTED_EDGE('',*,*,#207277,.T.); #280894=ORIENTED_EDGE('',*,*,#207276,.T.); #280895=ORIENTED_EDGE('',*,*,#207278,.F.); #280896=ORIENTED_EDGE('',*,*,#207279,.F.); #280897=ORIENTED_EDGE('',*,*,#207280,.T.); #280898=ORIENTED_EDGE('',*,*,#207279,.T.); #280899=ORIENTED_EDGE('',*,*,#207281,.F.); #280900=ORIENTED_EDGE('',*,*,#207282,.F.); #280901=ORIENTED_EDGE('',*,*,#207283,.T.); #280902=ORIENTED_EDGE('',*,*,#207282,.T.); #280903=ORIENTED_EDGE('',*,*,#207284,.F.); #280904=ORIENTED_EDGE('',*,*,#207285,.F.); #280905=ORIENTED_EDGE('',*,*,#207286,.T.); #280906=ORIENTED_EDGE('',*,*,#207285,.T.); #280907=ORIENTED_EDGE('',*,*,#207287,.F.); #280908=ORIENTED_EDGE('',*,*,#207288,.F.); #280909=ORIENTED_EDGE('',*,*,#207289,.T.); #280910=ORIENTED_EDGE('',*,*,#207288,.T.); #280911=ORIENTED_EDGE('',*,*,#207290,.F.); #280912=ORIENTED_EDGE('',*,*,#207291,.F.); #280913=ORIENTED_EDGE('',*,*,#207292,.T.); #280914=ORIENTED_EDGE('',*,*,#207291,.T.); #280915=ORIENTED_EDGE('',*,*,#207293,.F.); #280916=ORIENTED_EDGE('',*,*,#207294,.F.); #280917=ORIENTED_EDGE('',*,*,#207295,.T.); #280918=ORIENTED_EDGE('',*,*,#207294,.T.); #280919=ORIENTED_EDGE('',*,*,#207296,.F.); #280920=ORIENTED_EDGE('',*,*,#207297,.F.); #280921=ORIENTED_EDGE('',*,*,#207298,.T.); #280922=ORIENTED_EDGE('',*,*,#207297,.T.); #280923=ORIENTED_EDGE('',*,*,#207299,.F.); #280924=ORIENTED_EDGE('',*,*,#207300,.F.); #280925=ORIENTED_EDGE('',*,*,#207301,.T.); #280926=ORIENTED_EDGE('',*,*,#207300,.T.); #280927=ORIENTED_EDGE('',*,*,#207302,.F.); #280928=ORIENTED_EDGE('',*,*,#207303,.F.); #280929=ORIENTED_EDGE('',*,*,#207304,.T.); #280930=ORIENTED_EDGE('',*,*,#207303,.T.); #280931=ORIENTED_EDGE('',*,*,#207305,.F.); #280932=ORIENTED_EDGE('',*,*,#207306,.F.); #280933=ORIENTED_EDGE('',*,*,#207307,.T.); #280934=ORIENTED_EDGE('',*,*,#207306,.T.); #280935=ORIENTED_EDGE('',*,*,#207308,.F.); #280936=ORIENTED_EDGE('',*,*,#207309,.F.); #280937=ORIENTED_EDGE('',*,*,#207310,.T.); #280938=ORIENTED_EDGE('',*,*,#207309,.T.); #280939=ORIENTED_EDGE('',*,*,#207311,.F.); #280940=ORIENTED_EDGE('',*,*,#207312,.F.); #280941=ORIENTED_EDGE('',*,*,#207313,.T.); #280942=ORIENTED_EDGE('',*,*,#207312,.T.); #280943=ORIENTED_EDGE('',*,*,#207314,.F.); #280944=ORIENTED_EDGE('',*,*,#207315,.F.); #280945=ORIENTED_EDGE('',*,*,#207316,.T.); #280946=ORIENTED_EDGE('',*,*,#207315,.T.); #280947=ORIENTED_EDGE('',*,*,#207317,.F.); #280948=ORIENTED_EDGE('',*,*,#207318,.F.); #280949=ORIENTED_EDGE('',*,*,#207319,.T.); #280950=ORIENTED_EDGE('',*,*,#207318,.T.); #280951=ORIENTED_EDGE('',*,*,#207320,.F.); #280952=ORIENTED_EDGE('',*,*,#207321,.F.); #280953=ORIENTED_EDGE('',*,*,#207322,.T.); #280954=ORIENTED_EDGE('',*,*,#207321,.T.); #280955=ORIENTED_EDGE('',*,*,#207323,.F.); #280956=ORIENTED_EDGE('',*,*,#207324,.F.); #280957=ORIENTED_EDGE('',*,*,#207325,.T.); #280958=ORIENTED_EDGE('',*,*,#207324,.T.); #280959=ORIENTED_EDGE('',*,*,#207326,.F.); #280960=ORIENTED_EDGE('',*,*,#207327,.F.); #280961=ORIENTED_EDGE('',*,*,#207328,.T.); #280962=ORIENTED_EDGE('',*,*,#207327,.T.); #280963=ORIENTED_EDGE('',*,*,#207329,.F.); #280964=ORIENTED_EDGE('',*,*,#207330,.F.); #280965=ORIENTED_EDGE('',*,*,#207331,.T.); #280966=ORIENTED_EDGE('',*,*,#207330,.T.); #280967=ORIENTED_EDGE('',*,*,#207332,.F.); #280968=ORIENTED_EDGE('',*,*,#207333,.F.); #280969=ORIENTED_EDGE('',*,*,#207334,.T.); #280970=ORIENTED_EDGE('',*,*,#207333,.T.); #280971=ORIENTED_EDGE('',*,*,#207335,.F.); #280972=ORIENTED_EDGE('',*,*,#207154,.F.); #280973=ORIENTED_EDGE('',*,*,#207335,.T.); #280974=ORIENTED_EDGE('',*,*,#207332,.T.); #280975=ORIENTED_EDGE('',*,*,#207329,.T.); #280976=ORIENTED_EDGE('',*,*,#207326,.T.); #280977=ORIENTED_EDGE('',*,*,#207323,.T.); #280978=ORIENTED_EDGE('',*,*,#207320,.T.); #280979=ORIENTED_EDGE('',*,*,#207317,.T.); #280980=ORIENTED_EDGE('',*,*,#207314,.T.); #280981=ORIENTED_EDGE('',*,*,#207311,.T.); #280982=ORIENTED_EDGE('',*,*,#207308,.T.); #280983=ORIENTED_EDGE('',*,*,#207305,.T.); #280984=ORIENTED_EDGE('',*,*,#207302,.T.); #280985=ORIENTED_EDGE('',*,*,#207299,.T.); #280986=ORIENTED_EDGE('',*,*,#207296,.T.); #280987=ORIENTED_EDGE('',*,*,#207293,.T.); #280988=ORIENTED_EDGE('',*,*,#207290,.T.); #280989=ORIENTED_EDGE('',*,*,#207287,.T.); #280990=ORIENTED_EDGE('',*,*,#207284,.T.); #280991=ORIENTED_EDGE('',*,*,#207281,.T.); #280992=ORIENTED_EDGE('',*,*,#207278,.T.); #280993=ORIENTED_EDGE('',*,*,#207275,.T.); #280994=ORIENTED_EDGE('',*,*,#207272,.T.); #280995=ORIENTED_EDGE('',*,*,#207269,.T.); #280996=ORIENTED_EDGE('',*,*,#207266,.T.); #280997=ORIENTED_EDGE('',*,*,#207263,.T.); #280998=ORIENTED_EDGE('',*,*,#207260,.T.); #280999=ORIENTED_EDGE('',*,*,#207257,.T.); #281000=ORIENTED_EDGE('',*,*,#207254,.T.); #281001=ORIENTED_EDGE('',*,*,#207251,.T.); #281002=ORIENTED_EDGE('',*,*,#207248,.T.); #281003=ORIENTED_EDGE('',*,*,#207245,.T.); #281004=ORIENTED_EDGE('',*,*,#207242,.T.); #281005=ORIENTED_EDGE('',*,*,#207239,.T.); #281006=ORIENTED_EDGE('',*,*,#207236,.T.); #281007=ORIENTED_EDGE('',*,*,#207233,.T.); #281008=ORIENTED_EDGE('',*,*,#207230,.T.); #281009=ORIENTED_EDGE('',*,*,#207227,.T.); #281010=ORIENTED_EDGE('',*,*,#207224,.T.); #281011=ORIENTED_EDGE('',*,*,#207221,.T.); #281012=ORIENTED_EDGE('',*,*,#207218,.T.); #281013=ORIENTED_EDGE('',*,*,#207215,.T.); #281014=ORIENTED_EDGE('',*,*,#207212,.T.); #281015=ORIENTED_EDGE('',*,*,#207209,.T.); #281016=ORIENTED_EDGE('',*,*,#207206,.T.); #281017=ORIENTED_EDGE('',*,*,#207203,.T.); #281018=ORIENTED_EDGE('',*,*,#207200,.T.); #281019=ORIENTED_EDGE('',*,*,#207197,.T.); #281020=ORIENTED_EDGE('',*,*,#207194,.T.); #281021=ORIENTED_EDGE('',*,*,#207191,.T.); #281022=ORIENTED_EDGE('',*,*,#207188,.T.); #281023=ORIENTED_EDGE('',*,*,#207185,.T.); #281024=ORIENTED_EDGE('',*,*,#207182,.T.); #281025=ORIENTED_EDGE('',*,*,#207179,.T.); #281026=ORIENTED_EDGE('',*,*,#207176,.T.); #281027=ORIENTED_EDGE('',*,*,#207173,.T.); #281028=ORIENTED_EDGE('',*,*,#207170,.T.); #281029=ORIENTED_EDGE('',*,*,#207167,.T.); #281030=ORIENTED_EDGE('',*,*,#207164,.T.); #281031=ORIENTED_EDGE('',*,*,#207161,.T.); #281032=ORIENTED_EDGE('',*,*,#207158,.T.); #281033=ORIENTED_EDGE('',*,*,#207155,.T.); #281034=ORIENTED_EDGE('',*,*,#207149,.T.); #281035=ORIENTED_EDGE('',*,*,#207152,.T.); #281036=ORIENTED_EDGE('',*,*,#207334,.F.); #281037=ORIENTED_EDGE('',*,*,#207153,.F.); #281038=ORIENTED_EDGE('',*,*,#207157,.F.); #281039=ORIENTED_EDGE('',*,*,#207160,.F.); #281040=ORIENTED_EDGE('',*,*,#207163,.F.); #281041=ORIENTED_EDGE('',*,*,#207166,.F.); #281042=ORIENTED_EDGE('',*,*,#207169,.F.); #281043=ORIENTED_EDGE('',*,*,#207172,.F.); #281044=ORIENTED_EDGE('',*,*,#207175,.F.); #281045=ORIENTED_EDGE('',*,*,#207178,.F.); #281046=ORIENTED_EDGE('',*,*,#207181,.F.); #281047=ORIENTED_EDGE('',*,*,#207184,.F.); #281048=ORIENTED_EDGE('',*,*,#207187,.F.); #281049=ORIENTED_EDGE('',*,*,#207190,.F.); #281050=ORIENTED_EDGE('',*,*,#207193,.F.); #281051=ORIENTED_EDGE('',*,*,#207196,.F.); #281052=ORIENTED_EDGE('',*,*,#207199,.F.); #281053=ORIENTED_EDGE('',*,*,#207202,.F.); #281054=ORIENTED_EDGE('',*,*,#207205,.F.); #281055=ORIENTED_EDGE('',*,*,#207208,.F.); #281056=ORIENTED_EDGE('',*,*,#207211,.F.); #281057=ORIENTED_EDGE('',*,*,#207214,.F.); #281058=ORIENTED_EDGE('',*,*,#207217,.F.); #281059=ORIENTED_EDGE('',*,*,#207220,.F.); #281060=ORIENTED_EDGE('',*,*,#207223,.F.); #281061=ORIENTED_EDGE('',*,*,#207226,.F.); #281062=ORIENTED_EDGE('',*,*,#207229,.F.); #281063=ORIENTED_EDGE('',*,*,#207232,.F.); #281064=ORIENTED_EDGE('',*,*,#207235,.F.); #281065=ORIENTED_EDGE('',*,*,#207238,.F.); #281066=ORIENTED_EDGE('',*,*,#207241,.F.); #281067=ORIENTED_EDGE('',*,*,#207244,.F.); #281068=ORIENTED_EDGE('',*,*,#207247,.F.); #281069=ORIENTED_EDGE('',*,*,#207250,.F.); #281070=ORIENTED_EDGE('',*,*,#207253,.F.); #281071=ORIENTED_EDGE('',*,*,#207256,.F.); #281072=ORIENTED_EDGE('',*,*,#207259,.F.); #281073=ORIENTED_EDGE('',*,*,#207262,.F.); #281074=ORIENTED_EDGE('',*,*,#207265,.F.); #281075=ORIENTED_EDGE('',*,*,#207268,.F.); #281076=ORIENTED_EDGE('',*,*,#207271,.F.); #281077=ORIENTED_EDGE('',*,*,#207274,.F.); #281078=ORIENTED_EDGE('',*,*,#207277,.F.); #281079=ORIENTED_EDGE('',*,*,#207280,.F.); #281080=ORIENTED_EDGE('',*,*,#207283,.F.); #281081=ORIENTED_EDGE('',*,*,#207286,.F.); #281082=ORIENTED_EDGE('',*,*,#207289,.F.); #281083=ORIENTED_EDGE('',*,*,#207292,.F.); #281084=ORIENTED_EDGE('',*,*,#207295,.F.); #281085=ORIENTED_EDGE('',*,*,#207298,.F.); #281086=ORIENTED_EDGE('',*,*,#207301,.F.); #281087=ORIENTED_EDGE('',*,*,#207304,.F.); #281088=ORIENTED_EDGE('',*,*,#207307,.F.); #281089=ORIENTED_EDGE('',*,*,#207310,.F.); #281090=ORIENTED_EDGE('',*,*,#207313,.F.); #281091=ORIENTED_EDGE('',*,*,#207316,.F.); #281092=ORIENTED_EDGE('',*,*,#207319,.F.); #281093=ORIENTED_EDGE('',*,*,#207322,.F.); #281094=ORIENTED_EDGE('',*,*,#207325,.F.); #281095=ORIENTED_EDGE('',*,*,#207328,.F.); #281096=ORIENTED_EDGE('',*,*,#207331,.F.); #281097=ORIENTED_EDGE('',*,*,#207147,.T.); #281098=ORIENTED_EDGE('',*,*,#207150,.T.); #281099=ORIENTED_EDGE('',*,*,#207336,.F.); #281100=ORIENTED_EDGE('',*,*,#207337,.T.); #281101=ORIENTED_EDGE('',*,*,#207338,.F.); #281102=ORIENTED_EDGE('',*,*,#207337,.F.); #281103=ORIENTED_EDGE('',*,*,#207339,.F.); #281104=ORIENTED_EDGE('',*,*,#207340,.T.); #281105=ORIENTED_EDGE('',*,*,#207341,.F.); #281106=ORIENTED_EDGE('',*,*,#207340,.F.); #281107=ORIENTED_EDGE('',*,*,#207342,.T.); #281108=ORIENTED_EDGE('',*,*,#207343,.T.); #281109=ORIENTED_EDGE('',*,*,#207344,.F.); #281110=ORIENTED_EDGE('',*,*,#207345,.F.); #281111=ORIENTED_EDGE('',*,*,#207346,.T.); #281112=ORIENTED_EDGE('',*,*,#207345,.T.); #281113=ORIENTED_EDGE('',*,*,#207347,.F.); #281114=ORIENTED_EDGE('',*,*,#207348,.F.); #281115=ORIENTED_EDGE('',*,*,#207349,.T.); #281116=ORIENTED_EDGE('',*,*,#207348,.T.); #281117=ORIENTED_EDGE('',*,*,#207350,.F.); #281118=ORIENTED_EDGE('',*,*,#207351,.F.); #281119=ORIENTED_EDGE('',*,*,#207352,.T.); #281120=ORIENTED_EDGE('',*,*,#207351,.T.); #281121=ORIENTED_EDGE('',*,*,#207353,.F.); #281122=ORIENTED_EDGE('',*,*,#207354,.F.); #281123=ORIENTED_EDGE('',*,*,#207355,.T.); #281124=ORIENTED_EDGE('',*,*,#207354,.T.); #281125=ORIENTED_EDGE('',*,*,#207356,.F.); #281126=ORIENTED_EDGE('',*,*,#207357,.F.); #281127=ORIENTED_EDGE('',*,*,#207358,.T.); #281128=ORIENTED_EDGE('',*,*,#207357,.T.); #281129=ORIENTED_EDGE('',*,*,#207359,.F.); #281130=ORIENTED_EDGE('',*,*,#207360,.F.); #281131=ORIENTED_EDGE('',*,*,#207361,.T.); #281132=ORIENTED_EDGE('',*,*,#207360,.T.); #281133=ORIENTED_EDGE('',*,*,#207362,.F.); #281134=ORIENTED_EDGE('',*,*,#207343,.F.); #281135=ORIENTED_EDGE('',*,*,#207362,.T.); #281136=ORIENTED_EDGE('',*,*,#207359,.T.); #281137=ORIENTED_EDGE('',*,*,#207356,.T.); #281138=ORIENTED_EDGE('',*,*,#207353,.T.); #281139=ORIENTED_EDGE('',*,*,#207350,.T.); #281140=ORIENTED_EDGE('',*,*,#207347,.T.); #281141=ORIENTED_EDGE('',*,*,#207344,.T.); #281142=ORIENTED_EDGE('',*,*,#207338,.T.); #281143=ORIENTED_EDGE('',*,*,#207341,.T.); #281144=ORIENTED_EDGE('',*,*,#207361,.F.); #281145=ORIENTED_EDGE('',*,*,#207342,.F.); #281146=ORIENTED_EDGE('',*,*,#207346,.F.); #281147=ORIENTED_EDGE('',*,*,#207349,.F.); #281148=ORIENTED_EDGE('',*,*,#207352,.F.); #281149=ORIENTED_EDGE('',*,*,#207355,.F.); #281150=ORIENTED_EDGE('',*,*,#207358,.F.); #281151=ORIENTED_EDGE('',*,*,#207336,.T.); #281152=ORIENTED_EDGE('',*,*,#207339,.T.); #281153=ORIENTED_EDGE('',*,*,#207363,.F.); #281154=ORIENTED_EDGE('',*,*,#207364,.T.); #281155=ORIENTED_EDGE('',*,*,#207365,.F.); #281156=ORIENTED_EDGE('',*,*,#207364,.F.); #281157=ORIENTED_EDGE('',*,*,#207366,.T.); #281158=ORIENTED_EDGE('',*,*,#207367,.T.); #281159=ORIENTED_EDGE('',*,*,#207368,.F.); #281160=ORIENTED_EDGE('',*,*,#207369,.F.); #281161=ORIENTED_EDGE('',*,*,#207370,.T.); #281162=ORIENTED_EDGE('',*,*,#207369,.T.); #281163=ORIENTED_EDGE('',*,*,#207371,.F.); #281164=ORIENTED_EDGE('',*,*,#207372,.F.); #281165=ORIENTED_EDGE('',*,*,#207373,.T.); #281166=ORIENTED_EDGE('',*,*,#207372,.T.); #281167=ORIENTED_EDGE('',*,*,#207374,.F.); #281168=ORIENTED_EDGE('',*,*,#207375,.F.); #281169=ORIENTED_EDGE('',*,*,#207376,.T.); #281170=ORIENTED_EDGE('',*,*,#207375,.T.); #281171=ORIENTED_EDGE('',*,*,#207377,.F.); #281172=ORIENTED_EDGE('',*,*,#207378,.F.); #281173=ORIENTED_EDGE('',*,*,#207379,.T.); #281174=ORIENTED_EDGE('',*,*,#207378,.T.); #281175=ORIENTED_EDGE('',*,*,#207380,.F.); #281176=ORIENTED_EDGE('',*,*,#207381,.F.); #281177=ORIENTED_EDGE('',*,*,#207382,.T.); #281178=ORIENTED_EDGE('',*,*,#207381,.T.); #281179=ORIENTED_EDGE('',*,*,#207383,.F.); #281180=ORIENTED_EDGE('',*,*,#207384,.F.); #281181=ORIENTED_EDGE('',*,*,#207385,.T.); #281182=ORIENTED_EDGE('',*,*,#207384,.T.); #281183=ORIENTED_EDGE('',*,*,#207386,.F.); #281184=ORIENTED_EDGE('',*,*,#207387,.F.); #281185=ORIENTED_EDGE('',*,*,#207388,.T.); #281186=ORIENTED_EDGE('',*,*,#207387,.T.); #281187=ORIENTED_EDGE('',*,*,#207389,.F.); #281188=ORIENTED_EDGE('',*,*,#207390,.F.); #281189=ORIENTED_EDGE('',*,*,#207391,.T.); #281190=ORIENTED_EDGE('',*,*,#207390,.T.); #281191=ORIENTED_EDGE('',*,*,#207392,.F.); #281192=ORIENTED_EDGE('',*,*,#207393,.F.); #281193=ORIENTED_EDGE('',*,*,#207394,.T.); #281194=ORIENTED_EDGE('',*,*,#207393,.T.); #281195=ORIENTED_EDGE('',*,*,#207395,.F.); #281196=ORIENTED_EDGE('',*,*,#207367,.F.); #281197=ORIENTED_EDGE('',*,*,#207395,.T.); #281198=ORIENTED_EDGE('',*,*,#207392,.T.); #281199=ORIENTED_EDGE('',*,*,#207389,.T.); #281200=ORIENTED_EDGE('',*,*,#207386,.T.); #281201=ORIENTED_EDGE('',*,*,#207383,.T.); #281202=ORIENTED_EDGE('',*,*,#207380,.T.); #281203=ORIENTED_EDGE('',*,*,#207377,.T.); #281204=ORIENTED_EDGE('',*,*,#207374,.T.); #281205=ORIENTED_EDGE('',*,*,#207371,.T.); #281206=ORIENTED_EDGE('',*,*,#207368,.T.); #281207=ORIENTED_EDGE('',*,*,#207365,.T.); #281208=ORIENTED_EDGE('',*,*,#207394,.F.); #281209=ORIENTED_EDGE('',*,*,#207366,.F.); #281210=ORIENTED_EDGE('',*,*,#207370,.F.); #281211=ORIENTED_EDGE('',*,*,#207373,.F.); #281212=ORIENTED_EDGE('',*,*,#207376,.F.); #281213=ORIENTED_EDGE('',*,*,#207379,.F.); #281214=ORIENTED_EDGE('',*,*,#207382,.F.); #281215=ORIENTED_EDGE('',*,*,#207385,.F.); #281216=ORIENTED_EDGE('',*,*,#207388,.F.); #281217=ORIENTED_EDGE('',*,*,#207391,.F.); #281218=ORIENTED_EDGE('',*,*,#207363,.T.); #281219=ORIENTED_EDGE('',*,*,#207396,.F.); #281220=ORIENTED_EDGE('',*,*,#207397,.T.); #281221=ORIENTED_EDGE('',*,*,#207398,.F.); #281222=ORIENTED_EDGE('',*,*,#207397,.F.); #281223=ORIENTED_EDGE('',*,*,#207399,.T.); #281224=ORIENTED_EDGE('',*,*,#207400,.T.); #281225=ORIENTED_EDGE('',*,*,#207401,.F.); #281226=ORIENTED_EDGE('',*,*,#207402,.F.); #281227=ORIENTED_EDGE('',*,*,#207403,.T.); #281228=ORIENTED_EDGE('',*,*,#207402,.T.); #281229=ORIENTED_EDGE('',*,*,#207404,.F.); #281230=ORIENTED_EDGE('',*,*,#207405,.F.); #281231=ORIENTED_EDGE('',*,*,#207406,.T.); #281232=ORIENTED_EDGE('',*,*,#207405,.T.); #281233=ORIENTED_EDGE('',*,*,#207407,.F.); #281234=ORIENTED_EDGE('',*,*,#207408,.F.); #281235=ORIENTED_EDGE('',*,*,#207409,.T.); #281236=ORIENTED_EDGE('',*,*,#207408,.T.); #281237=ORIENTED_EDGE('',*,*,#207410,.F.); #281238=ORIENTED_EDGE('',*,*,#207411,.F.); #281239=ORIENTED_EDGE('',*,*,#207412,.T.); #281240=ORIENTED_EDGE('',*,*,#207411,.T.); #281241=ORIENTED_EDGE('',*,*,#207413,.F.); #281242=ORIENTED_EDGE('',*,*,#207414,.F.); #281243=ORIENTED_EDGE('',*,*,#207415,.T.); #281244=ORIENTED_EDGE('',*,*,#207414,.T.); #281245=ORIENTED_EDGE('',*,*,#207416,.F.); #281246=ORIENTED_EDGE('',*,*,#207417,.F.); #281247=ORIENTED_EDGE('',*,*,#207418,.T.); #281248=ORIENTED_EDGE('',*,*,#207417,.T.); #281249=ORIENTED_EDGE('',*,*,#207419,.F.); #281250=ORIENTED_EDGE('',*,*,#207420,.F.); #281251=ORIENTED_EDGE('',*,*,#207421,.T.); #281252=ORIENTED_EDGE('',*,*,#207420,.T.); #281253=ORIENTED_EDGE('',*,*,#207422,.F.); #281254=ORIENTED_EDGE('',*,*,#207423,.F.); #281255=ORIENTED_EDGE('',*,*,#207424,.T.); #281256=ORIENTED_EDGE('',*,*,#207423,.T.); #281257=ORIENTED_EDGE('',*,*,#207425,.F.); #281258=ORIENTED_EDGE('',*,*,#207426,.F.); #281259=ORIENTED_EDGE('',*,*,#207427,.T.); #281260=ORIENTED_EDGE('',*,*,#207426,.T.); #281261=ORIENTED_EDGE('',*,*,#207428,.F.); #281262=ORIENTED_EDGE('',*,*,#207400,.F.); #281263=ORIENTED_EDGE('',*,*,#207428,.T.); #281264=ORIENTED_EDGE('',*,*,#207425,.T.); #281265=ORIENTED_EDGE('',*,*,#207422,.T.); #281266=ORIENTED_EDGE('',*,*,#207419,.T.); #281267=ORIENTED_EDGE('',*,*,#207416,.T.); #281268=ORIENTED_EDGE('',*,*,#207413,.T.); #281269=ORIENTED_EDGE('',*,*,#207410,.T.); #281270=ORIENTED_EDGE('',*,*,#207407,.T.); #281271=ORIENTED_EDGE('',*,*,#207404,.T.); #281272=ORIENTED_EDGE('',*,*,#207401,.T.); #281273=ORIENTED_EDGE('',*,*,#207398,.T.); #281274=ORIENTED_EDGE('',*,*,#207427,.F.); #281275=ORIENTED_EDGE('',*,*,#207399,.F.); #281276=ORIENTED_EDGE('',*,*,#207403,.F.); #281277=ORIENTED_EDGE('',*,*,#207406,.F.); #281278=ORIENTED_EDGE('',*,*,#207409,.F.); #281279=ORIENTED_EDGE('',*,*,#207412,.F.); #281280=ORIENTED_EDGE('',*,*,#207415,.F.); #281281=ORIENTED_EDGE('',*,*,#207418,.F.); #281282=ORIENTED_EDGE('',*,*,#207421,.F.); #281283=ORIENTED_EDGE('',*,*,#207424,.F.); #281284=ORIENTED_EDGE('',*,*,#207396,.T.); #281285=ORIENTED_EDGE('',*,*,#207429,.F.); #281286=ORIENTED_EDGE('',*,*,#207430,.T.); #281287=ORIENTED_EDGE('',*,*,#207431,.F.); #281288=ORIENTED_EDGE('',*,*,#207430,.F.); #281289=ORIENTED_EDGE('',*,*,#207432,.T.); #281290=ORIENTED_EDGE('',*,*,#207433,.T.); #281291=ORIENTED_EDGE('',*,*,#207434,.F.); #281292=ORIENTED_EDGE('',*,*,#207435,.F.); #281293=ORIENTED_EDGE('',*,*,#207436,.T.); #281294=ORIENTED_EDGE('',*,*,#207435,.T.); #281295=ORIENTED_EDGE('',*,*,#207437,.F.); #281296=ORIENTED_EDGE('',*,*,#207438,.F.); #281297=ORIENTED_EDGE('',*,*,#207439,.T.); #281298=ORIENTED_EDGE('',*,*,#207438,.T.); #281299=ORIENTED_EDGE('',*,*,#207440,.F.); #281300=ORIENTED_EDGE('',*,*,#207441,.F.); #281301=ORIENTED_EDGE('',*,*,#207442,.T.); #281302=ORIENTED_EDGE('',*,*,#207441,.T.); #281303=ORIENTED_EDGE('',*,*,#207443,.F.); #281304=ORIENTED_EDGE('',*,*,#207444,.F.); #281305=ORIENTED_EDGE('',*,*,#207445,.T.); #281306=ORIENTED_EDGE('',*,*,#207444,.T.); #281307=ORIENTED_EDGE('',*,*,#207446,.F.); #281308=ORIENTED_EDGE('',*,*,#207447,.F.); #281309=ORIENTED_EDGE('',*,*,#207448,.T.); #281310=ORIENTED_EDGE('',*,*,#207447,.T.); #281311=ORIENTED_EDGE('',*,*,#207449,.F.); #281312=ORIENTED_EDGE('',*,*,#207450,.F.); #281313=ORIENTED_EDGE('',*,*,#207451,.T.); #281314=ORIENTED_EDGE('',*,*,#207450,.T.); #281315=ORIENTED_EDGE('',*,*,#207452,.F.); #281316=ORIENTED_EDGE('',*,*,#207453,.F.); #281317=ORIENTED_EDGE('',*,*,#207454,.T.); #281318=ORIENTED_EDGE('',*,*,#207453,.T.); #281319=ORIENTED_EDGE('',*,*,#207455,.F.); #281320=ORIENTED_EDGE('',*,*,#207456,.F.); #281321=ORIENTED_EDGE('',*,*,#207457,.T.); #281322=ORIENTED_EDGE('',*,*,#207456,.T.); #281323=ORIENTED_EDGE('',*,*,#207458,.F.); #281324=ORIENTED_EDGE('',*,*,#207459,.F.); #281325=ORIENTED_EDGE('',*,*,#207460,.T.); #281326=ORIENTED_EDGE('',*,*,#207459,.T.); #281327=ORIENTED_EDGE('',*,*,#207461,.F.); #281328=ORIENTED_EDGE('',*,*,#207462,.F.); #281329=ORIENTED_EDGE('',*,*,#207463,.T.); #281330=ORIENTED_EDGE('',*,*,#207462,.T.); #281331=ORIENTED_EDGE('',*,*,#207464,.F.); #281332=ORIENTED_EDGE('',*,*,#207465,.F.); #281333=ORIENTED_EDGE('',*,*,#207466,.T.); #281334=ORIENTED_EDGE('',*,*,#207465,.T.); #281335=ORIENTED_EDGE('',*,*,#207467,.F.); #281336=ORIENTED_EDGE('',*,*,#207468,.F.); #281337=ORIENTED_EDGE('',*,*,#207469,.T.); #281338=ORIENTED_EDGE('',*,*,#207468,.T.); #281339=ORIENTED_EDGE('',*,*,#207470,.F.); #281340=ORIENTED_EDGE('',*,*,#207471,.F.); #281341=ORIENTED_EDGE('',*,*,#207472,.T.); #281342=ORIENTED_EDGE('',*,*,#207471,.T.); #281343=ORIENTED_EDGE('',*,*,#207473,.F.); #281344=ORIENTED_EDGE('',*,*,#207474,.F.); #281345=ORIENTED_EDGE('',*,*,#207475,.T.); #281346=ORIENTED_EDGE('',*,*,#207474,.T.); #281347=ORIENTED_EDGE('',*,*,#207476,.F.); #281348=ORIENTED_EDGE('',*,*,#207477,.F.); #281349=ORIENTED_EDGE('',*,*,#207478,.T.); #281350=ORIENTED_EDGE('',*,*,#207477,.T.); #281351=ORIENTED_EDGE('',*,*,#207479,.F.); #281352=ORIENTED_EDGE('',*,*,#207480,.F.); #281353=ORIENTED_EDGE('',*,*,#207481,.T.); #281354=ORIENTED_EDGE('',*,*,#207480,.T.); #281355=ORIENTED_EDGE('',*,*,#207482,.F.); #281356=ORIENTED_EDGE('',*,*,#207433,.F.); #281357=ORIENTED_EDGE('',*,*,#207482,.T.); #281358=ORIENTED_EDGE('',*,*,#207479,.T.); #281359=ORIENTED_EDGE('',*,*,#207476,.T.); #281360=ORIENTED_EDGE('',*,*,#207473,.T.); #281361=ORIENTED_EDGE('',*,*,#207470,.T.); #281362=ORIENTED_EDGE('',*,*,#207467,.T.); #281363=ORIENTED_EDGE('',*,*,#207464,.T.); #281364=ORIENTED_EDGE('',*,*,#207461,.T.); #281365=ORIENTED_EDGE('',*,*,#207458,.T.); #281366=ORIENTED_EDGE('',*,*,#207455,.T.); #281367=ORIENTED_EDGE('',*,*,#207452,.T.); #281368=ORIENTED_EDGE('',*,*,#207449,.T.); #281369=ORIENTED_EDGE('',*,*,#207446,.T.); #281370=ORIENTED_EDGE('',*,*,#207443,.T.); #281371=ORIENTED_EDGE('',*,*,#207440,.T.); #281372=ORIENTED_EDGE('',*,*,#207437,.T.); #281373=ORIENTED_EDGE('',*,*,#207434,.T.); #281374=ORIENTED_EDGE('',*,*,#207431,.T.); #281375=ORIENTED_EDGE('',*,*,#207481,.F.); #281376=ORIENTED_EDGE('',*,*,#207432,.F.); #281377=ORIENTED_EDGE('',*,*,#207436,.F.); #281378=ORIENTED_EDGE('',*,*,#207439,.F.); #281379=ORIENTED_EDGE('',*,*,#207442,.F.); #281380=ORIENTED_EDGE('',*,*,#207445,.F.); #281381=ORIENTED_EDGE('',*,*,#207448,.F.); #281382=ORIENTED_EDGE('',*,*,#207451,.F.); #281383=ORIENTED_EDGE('',*,*,#207454,.F.); #281384=ORIENTED_EDGE('',*,*,#207457,.F.); #281385=ORIENTED_EDGE('',*,*,#207460,.F.); #281386=ORIENTED_EDGE('',*,*,#207463,.F.); #281387=ORIENTED_EDGE('',*,*,#207466,.F.); #281388=ORIENTED_EDGE('',*,*,#207469,.F.); #281389=ORIENTED_EDGE('',*,*,#207472,.F.); #281390=ORIENTED_EDGE('',*,*,#207475,.F.); #281391=ORIENTED_EDGE('',*,*,#207478,.F.); #281392=ORIENTED_EDGE('',*,*,#207429,.T.); #281393=ORIENTED_EDGE('',*,*,#207483,.F.); #281394=ORIENTED_EDGE('',*,*,#207484,.T.); #281395=ORIENTED_EDGE('',*,*,#207485,.F.); #281396=ORIENTED_EDGE('',*,*,#207484,.F.); #281397=ORIENTED_EDGE('',*,*,#207486,.T.); #281398=ORIENTED_EDGE('',*,*,#207487,.T.); #281399=ORIENTED_EDGE('',*,*,#207488,.F.); #281400=ORIENTED_EDGE('',*,*,#207489,.F.); #281401=ORIENTED_EDGE('',*,*,#207490,.T.); #281402=ORIENTED_EDGE('',*,*,#207489,.T.); #281403=ORIENTED_EDGE('',*,*,#207491,.F.); #281404=ORIENTED_EDGE('',*,*,#207492,.F.); #281405=ORIENTED_EDGE('',*,*,#207493,.T.); #281406=ORIENTED_EDGE('',*,*,#207492,.T.); #281407=ORIENTED_EDGE('',*,*,#207494,.F.); #281408=ORIENTED_EDGE('',*,*,#207495,.F.); #281409=ORIENTED_EDGE('',*,*,#207496,.T.); #281410=ORIENTED_EDGE('',*,*,#207495,.T.); #281411=ORIENTED_EDGE('',*,*,#207497,.F.); #281412=ORIENTED_EDGE('',*,*,#207498,.F.); #281413=ORIENTED_EDGE('',*,*,#207499,.T.); #281414=ORIENTED_EDGE('',*,*,#207498,.T.); #281415=ORIENTED_EDGE('',*,*,#207500,.F.); #281416=ORIENTED_EDGE('',*,*,#207501,.F.); #281417=ORIENTED_EDGE('',*,*,#207502,.T.); #281418=ORIENTED_EDGE('',*,*,#207501,.T.); #281419=ORIENTED_EDGE('',*,*,#207503,.F.); #281420=ORIENTED_EDGE('',*,*,#207504,.F.); #281421=ORIENTED_EDGE('',*,*,#207505,.T.); #281422=ORIENTED_EDGE('',*,*,#207504,.T.); #281423=ORIENTED_EDGE('',*,*,#207506,.F.); #281424=ORIENTED_EDGE('',*,*,#207507,.F.); #281425=ORIENTED_EDGE('',*,*,#207508,.T.); #281426=ORIENTED_EDGE('',*,*,#207507,.T.); #281427=ORIENTED_EDGE('',*,*,#207509,.F.); #281428=ORIENTED_EDGE('',*,*,#207510,.F.); #281429=ORIENTED_EDGE('',*,*,#207511,.T.); #281430=ORIENTED_EDGE('',*,*,#207510,.T.); #281431=ORIENTED_EDGE('',*,*,#207512,.F.); #281432=ORIENTED_EDGE('',*,*,#207513,.F.); #281433=ORIENTED_EDGE('',*,*,#207514,.T.); #281434=ORIENTED_EDGE('',*,*,#207513,.T.); #281435=ORIENTED_EDGE('',*,*,#207515,.F.); #281436=ORIENTED_EDGE('',*,*,#207516,.F.); #281437=ORIENTED_EDGE('',*,*,#207517,.T.); #281438=ORIENTED_EDGE('',*,*,#207516,.T.); #281439=ORIENTED_EDGE('',*,*,#207518,.F.); #281440=ORIENTED_EDGE('',*,*,#207519,.F.); #281441=ORIENTED_EDGE('',*,*,#207520,.T.); #281442=ORIENTED_EDGE('',*,*,#207519,.T.); #281443=ORIENTED_EDGE('',*,*,#207521,.F.); #281444=ORIENTED_EDGE('',*,*,#207522,.F.); #281445=ORIENTED_EDGE('',*,*,#207523,.T.); #281446=ORIENTED_EDGE('',*,*,#207522,.T.); #281447=ORIENTED_EDGE('',*,*,#207524,.F.); #281448=ORIENTED_EDGE('',*,*,#207525,.F.); #281449=ORIENTED_EDGE('',*,*,#207526,.T.); #281450=ORIENTED_EDGE('',*,*,#207525,.T.); #281451=ORIENTED_EDGE('',*,*,#207527,.F.); #281452=ORIENTED_EDGE('',*,*,#207528,.F.); #281453=ORIENTED_EDGE('',*,*,#207529,.T.); #281454=ORIENTED_EDGE('',*,*,#207528,.T.); #281455=ORIENTED_EDGE('',*,*,#207530,.F.); #281456=ORIENTED_EDGE('',*,*,#207487,.F.); #281457=ORIENTED_EDGE('',*,*,#207530,.T.); #281458=ORIENTED_EDGE('',*,*,#207527,.T.); #281459=ORIENTED_EDGE('',*,*,#207524,.T.); #281460=ORIENTED_EDGE('',*,*,#207521,.T.); #281461=ORIENTED_EDGE('',*,*,#207518,.T.); #281462=ORIENTED_EDGE('',*,*,#207515,.T.); #281463=ORIENTED_EDGE('',*,*,#207512,.T.); #281464=ORIENTED_EDGE('',*,*,#207509,.T.); #281465=ORIENTED_EDGE('',*,*,#207506,.T.); #281466=ORIENTED_EDGE('',*,*,#207503,.T.); #281467=ORIENTED_EDGE('',*,*,#207500,.T.); #281468=ORIENTED_EDGE('',*,*,#207497,.T.); #281469=ORIENTED_EDGE('',*,*,#207494,.T.); #281470=ORIENTED_EDGE('',*,*,#207491,.T.); #281471=ORIENTED_EDGE('',*,*,#207488,.T.); #281472=ORIENTED_EDGE('',*,*,#207485,.T.); #281473=ORIENTED_EDGE('',*,*,#207529,.F.); #281474=ORIENTED_EDGE('',*,*,#207486,.F.); #281475=ORIENTED_EDGE('',*,*,#207490,.F.); #281476=ORIENTED_EDGE('',*,*,#207493,.F.); #281477=ORIENTED_EDGE('',*,*,#207496,.F.); #281478=ORIENTED_EDGE('',*,*,#207499,.F.); #281479=ORIENTED_EDGE('',*,*,#207502,.F.); #281480=ORIENTED_EDGE('',*,*,#207505,.F.); #281481=ORIENTED_EDGE('',*,*,#207508,.F.); #281482=ORIENTED_EDGE('',*,*,#207511,.F.); #281483=ORIENTED_EDGE('',*,*,#207514,.F.); #281484=ORIENTED_EDGE('',*,*,#207517,.F.); #281485=ORIENTED_EDGE('',*,*,#207520,.F.); #281486=ORIENTED_EDGE('',*,*,#207523,.F.); #281487=ORIENTED_EDGE('',*,*,#207526,.F.); #281488=ORIENTED_EDGE('',*,*,#207483,.T.); #281489=ORIENTED_EDGE('',*,*,#207531,.F.); #281490=ORIENTED_EDGE('',*,*,#207532,.T.); #281491=ORIENTED_EDGE('',*,*,#207533,.F.); #281492=ORIENTED_EDGE('',*,*,#207532,.F.); #281493=ORIENTED_EDGE('',*,*,#207534,.T.); #281494=ORIENTED_EDGE('',*,*,#207535,.T.); #281495=ORIENTED_EDGE('',*,*,#207536,.F.); #281496=ORIENTED_EDGE('',*,*,#207537,.F.); #281497=ORIENTED_EDGE('',*,*,#207538,.T.); #281498=ORIENTED_EDGE('',*,*,#207537,.T.); #281499=ORIENTED_EDGE('',*,*,#207539,.F.); #281500=ORIENTED_EDGE('',*,*,#207540,.F.); #281501=ORIENTED_EDGE('',*,*,#207541,.T.); #281502=ORIENTED_EDGE('',*,*,#207540,.T.); #281503=ORIENTED_EDGE('',*,*,#207542,.F.); #281504=ORIENTED_EDGE('',*,*,#207535,.F.); #281505=ORIENTED_EDGE('',*,*,#207542,.T.); #281506=ORIENTED_EDGE('',*,*,#207539,.T.); #281507=ORIENTED_EDGE('',*,*,#207536,.T.); #281508=ORIENTED_EDGE('',*,*,#207533,.T.); #281509=ORIENTED_EDGE('',*,*,#207541,.F.); #281510=ORIENTED_EDGE('',*,*,#207534,.F.); #281511=ORIENTED_EDGE('',*,*,#207538,.F.); #281512=ORIENTED_EDGE('',*,*,#207531,.T.); #281513=ORIENTED_EDGE('',*,*,#207543,.F.); #281514=ORIENTED_EDGE('',*,*,#207544,.T.); #281515=ORIENTED_EDGE('',*,*,#207545,.F.); #281516=ORIENTED_EDGE('',*,*,#207544,.F.); #281517=ORIENTED_EDGE('',*,*,#207546,.T.); #281518=ORIENTED_EDGE('',*,*,#207547,.T.); #281519=ORIENTED_EDGE('',*,*,#207548,.F.); #281520=ORIENTED_EDGE('',*,*,#207549,.F.); #281521=ORIENTED_EDGE('',*,*,#207550,.T.); #281522=ORIENTED_EDGE('',*,*,#207549,.T.); #281523=ORIENTED_EDGE('',*,*,#207551,.F.); #281524=ORIENTED_EDGE('',*,*,#207552,.F.); #281525=ORIENTED_EDGE('',*,*,#207553,.T.); #281526=ORIENTED_EDGE('',*,*,#207552,.T.); #281527=ORIENTED_EDGE('',*,*,#207554,.F.); #281528=ORIENTED_EDGE('',*,*,#207555,.F.); #281529=ORIENTED_EDGE('',*,*,#207556,.T.); #281530=ORIENTED_EDGE('',*,*,#207555,.T.); #281531=ORIENTED_EDGE('',*,*,#207557,.F.); #281532=ORIENTED_EDGE('',*,*,#207558,.F.); #281533=ORIENTED_EDGE('',*,*,#207559,.T.); #281534=ORIENTED_EDGE('',*,*,#207558,.T.); #281535=ORIENTED_EDGE('',*,*,#207560,.F.); #281536=ORIENTED_EDGE('',*,*,#207561,.F.); #281537=ORIENTED_EDGE('',*,*,#207562,.T.); #281538=ORIENTED_EDGE('',*,*,#207561,.T.); #281539=ORIENTED_EDGE('',*,*,#207563,.F.); #281540=ORIENTED_EDGE('',*,*,#207564,.F.); #281541=ORIENTED_EDGE('',*,*,#207565,.T.); #281542=ORIENTED_EDGE('',*,*,#207564,.T.); #281543=ORIENTED_EDGE('',*,*,#207566,.F.); #281544=ORIENTED_EDGE('',*,*,#207567,.F.); #281545=ORIENTED_EDGE('',*,*,#207568,.T.); #281546=ORIENTED_EDGE('',*,*,#207567,.T.); #281547=ORIENTED_EDGE('',*,*,#207569,.F.); #281548=ORIENTED_EDGE('',*,*,#207570,.F.); #281549=ORIENTED_EDGE('',*,*,#207571,.T.); #281550=ORIENTED_EDGE('',*,*,#207570,.T.); #281551=ORIENTED_EDGE('',*,*,#207572,.F.); #281552=ORIENTED_EDGE('',*,*,#207573,.F.); #281553=ORIENTED_EDGE('',*,*,#207574,.T.); #281554=ORIENTED_EDGE('',*,*,#207573,.T.); #281555=ORIENTED_EDGE('',*,*,#207575,.F.); #281556=ORIENTED_EDGE('',*,*,#207576,.F.); #281557=ORIENTED_EDGE('',*,*,#207577,.T.); #281558=ORIENTED_EDGE('',*,*,#207576,.T.); #281559=ORIENTED_EDGE('',*,*,#207578,.F.); #281560=ORIENTED_EDGE('',*,*,#207579,.F.); #281561=ORIENTED_EDGE('',*,*,#207580,.T.); #281562=ORIENTED_EDGE('',*,*,#207579,.T.); #281563=ORIENTED_EDGE('',*,*,#207581,.F.); #281564=ORIENTED_EDGE('',*,*,#207582,.F.); #281565=ORIENTED_EDGE('',*,*,#207583,.T.); #281566=ORIENTED_EDGE('',*,*,#207582,.T.); #281567=ORIENTED_EDGE('',*,*,#207584,.F.); #281568=ORIENTED_EDGE('',*,*,#207585,.F.); #281569=ORIENTED_EDGE('',*,*,#207586,.T.); #281570=ORIENTED_EDGE('',*,*,#207585,.T.); #281571=ORIENTED_EDGE('',*,*,#207587,.F.); #281572=ORIENTED_EDGE('',*,*,#207588,.F.); #281573=ORIENTED_EDGE('',*,*,#207589,.T.); #281574=ORIENTED_EDGE('',*,*,#207588,.T.); #281575=ORIENTED_EDGE('',*,*,#207590,.F.); #281576=ORIENTED_EDGE('',*,*,#207591,.F.); #281577=ORIENTED_EDGE('',*,*,#207592,.T.); #281578=ORIENTED_EDGE('',*,*,#207591,.T.); #281579=ORIENTED_EDGE('',*,*,#207593,.F.); #281580=ORIENTED_EDGE('',*,*,#207594,.F.); #281581=ORIENTED_EDGE('',*,*,#207595,.T.); #281582=ORIENTED_EDGE('',*,*,#207594,.T.); #281583=ORIENTED_EDGE('',*,*,#207596,.F.); #281584=ORIENTED_EDGE('',*,*,#207597,.F.); #281585=ORIENTED_EDGE('',*,*,#207598,.T.); #281586=ORIENTED_EDGE('',*,*,#207597,.T.); #281587=ORIENTED_EDGE('',*,*,#207599,.F.); #281588=ORIENTED_EDGE('',*,*,#207600,.F.); #281589=ORIENTED_EDGE('',*,*,#207601,.T.); #281590=ORIENTED_EDGE('',*,*,#207600,.T.); #281591=ORIENTED_EDGE('',*,*,#207602,.F.); #281592=ORIENTED_EDGE('',*,*,#207603,.F.); #281593=ORIENTED_EDGE('',*,*,#207604,.T.); #281594=ORIENTED_EDGE('',*,*,#207603,.T.); #281595=ORIENTED_EDGE('',*,*,#207605,.F.); #281596=ORIENTED_EDGE('',*,*,#207606,.F.); #281597=ORIENTED_EDGE('',*,*,#207607,.T.); #281598=ORIENTED_EDGE('',*,*,#207606,.T.); #281599=ORIENTED_EDGE('',*,*,#207608,.F.); #281600=ORIENTED_EDGE('',*,*,#207547,.F.); #281601=ORIENTED_EDGE('',*,*,#207608,.T.); #281602=ORIENTED_EDGE('',*,*,#207605,.T.); #281603=ORIENTED_EDGE('',*,*,#207602,.T.); #281604=ORIENTED_EDGE('',*,*,#207599,.T.); #281605=ORIENTED_EDGE('',*,*,#207596,.T.); #281606=ORIENTED_EDGE('',*,*,#207593,.T.); #281607=ORIENTED_EDGE('',*,*,#207590,.T.); #281608=ORIENTED_EDGE('',*,*,#207587,.T.); #281609=ORIENTED_EDGE('',*,*,#207584,.T.); #281610=ORIENTED_EDGE('',*,*,#207581,.T.); #281611=ORIENTED_EDGE('',*,*,#207578,.T.); #281612=ORIENTED_EDGE('',*,*,#207575,.T.); #281613=ORIENTED_EDGE('',*,*,#207572,.T.); #281614=ORIENTED_EDGE('',*,*,#207569,.T.); #281615=ORIENTED_EDGE('',*,*,#207566,.T.); #281616=ORIENTED_EDGE('',*,*,#207563,.T.); #281617=ORIENTED_EDGE('',*,*,#207560,.T.); #281618=ORIENTED_EDGE('',*,*,#207557,.T.); #281619=ORIENTED_EDGE('',*,*,#207554,.T.); #281620=ORIENTED_EDGE('',*,*,#207551,.T.); #281621=ORIENTED_EDGE('',*,*,#207548,.T.); #281622=ORIENTED_EDGE('',*,*,#207545,.T.); #281623=ORIENTED_EDGE('',*,*,#207607,.F.); #281624=ORIENTED_EDGE('',*,*,#207546,.F.); #281625=ORIENTED_EDGE('',*,*,#207550,.F.); #281626=ORIENTED_EDGE('',*,*,#207553,.F.); #281627=ORIENTED_EDGE('',*,*,#207556,.F.); #281628=ORIENTED_EDGE('',*,*,#207559,.F.); #281629=ORIENTED_EDGE('',*,*,#207562,.F.); #281630=ORIENTED_EDGE('',*,*,#207565,.F.); #281631=ORIENTED_EDGE('',*,*,#207568,.F.); #281632=ORIENTED_EDGE('',*,*,#207571,.F.); #281633=ORIENTED_EDGE('',*,*,#207574,.F.); #281634=ORIENTED_EDGE('',*,*,#207577,.F.); #281635=ORIENTED_EDGE('',*,*,#207580,.F.); #281636=ORIENTED_EDGE('',*,*,#207583,.F.); #281637=ORIENTED_EDGE('',*,*,#207586,.F.); #281638=ORIENTED_EDGE('',*,*,#207589,.F.); #281639=ORIENTED_EDGE('',*,*,#207592,.F.); #281640=ORIENTED_EDGE('',*,*,#207595,.F.); #281641=ORIENTED_EDGE('',*,*,#207598,.F.); #281642=ORIENTED_EDGE('',*,*,#207601,.F.); #281643=ORIENTED_EDGE('',*,*,#207604,.F.); #281644=ORIENTED_EDGE('',*,*,#207543,.T.); #281645=ORIENTED_EDGE('',*,*,#207609,.F.); #281646=ORIENTED_EDGE('',*,*,#207610,.T.); #281647=ORIENTED_EDGE('',*,*,#207611,.F.); #281648=ORIENTED_EDGE('',*,*,#207610,.F.); #281649=ORIENTED_EDGE('',*,*,#207612,.T.); #281650=ORIENTED_EDGE('',*,*,#207613,.T.); #281651=ORIENTED_EDGE('',*,*,#207614,.F.); #281652=ORIENTED_EDGE('',*,*,#207615,.F.); #281653=ORIENTED_EDGE('',*,*,#207616,.T.); #281654=ORIENTED_EDGE('',*,*,#207615,.T.); #281655=ORIENTED_EDGE('',*,*,#207617,.F.); #281656=ORIENTED_EDGE('',*,*,#207618,.F.); #281657=ORIENTED_EDGE('',*,*,#207619,.T.); #281658=ORIENTED_EDGE('',*,*,#207618,.T.); #281659=ORIENTED_EDGE('',*,*,#207620,.F.); #281660=ORIENTED_EDGE('',*,*,#207621,.F.); #281661=ORIENTED_EDGE('',*,*,#207622,.T.); #281662=ORIENTED_EDGE('',*,*,#207621,.T.); #281663=ORIENTED_EDGE('',*,*,#207623,.F.); #281664=ORIENTED_EDGE('',*,*,#207624,.F.); #281665=ORIENTED_EDGE('',*,*,#207625,.T.); #281666=ORIENTED_EDGE('',*,*,#207624,.T.); #281667=ORIENTED_EDGE('',*,*,#207626,.F.); #281668=ORIENTED_EDGE('',*,*,#207627,.F.); #281669=ORIENTED_EDGE('',*,*,#207628,.T.); #281670=ORIENTED_EDGE('',*,*,#207627,.T.); #281671=ORIENTED_EDGE('',*,*,#207629,.F.); #281672=ORIENTED_EDGE('',*,*,#207630,.F.); #281673=ORIENTED_EDGE('',*,*,#207631,.T.); #281674=ORIENTED_EDGE('',*,*,#207630,.T.); #281675=ORIENTED_EDGE('',*,*,#207632,.F.); #281676=ORIENTED_EDGE('',*,*,#207633,.F.); #281677=ORIENTED_EDGE('',*,*,#207634,.T.); #281678=ORIENTED_EDGE('',*,*,#207633,.T.); #281679=ORIENTED_EDGE('',*,*,#207635,.F.); #281680=ORIENTED_EDGE('',*,*,#207636,.F.); #281681=ORIENTED_EDGE('',*,*,#207637,.T.); #281682=ORIENTED_EDGE('',*,*,#207636,.T.); #281683=ORIENTED_EDGE('',*,*,#207638,.F.); #281684=ORIENTED_EDGE('',*,*,#207639,.F.); #281685=ORIENTED_EDGE('',*,*,#207640,.T.); #281686=ORIENTED_EDGE('',*,*,#207639,.T.); #281687=ORIENTED_EDGE('',*,*,#207641,.F.); #281688=ORIENTED_EDGE('',*,*,#207642,.F.); #281689=ORIENTED_EDGE('',*,*,#207643,.T.); #281690=ORIENTED_EDGE('',*,*,#207642,.T.); #281691=ORIENTED_EDGE('',*,*,#207644,.F.); #281692=ORIENTED_EDGE('',*,*,#207645,.F.); #281693=ORIENTED_EDGE('',*,*,#207646,.T.); #281694=ORIENTED_EDGE('',*,*,#207645,.T.); #281695=ORIENTED_EDGE('',*,*,#207647,.F.); #281696=ORIENTED_EDGE('',*,*,#207648,.F.); #281697=ORIENTED_EDGE('',*,*,#207649,.T.); #281698=ORIENTED_EDGE('',*,*,#207648,.T.); #281699=ORIENTED_EDGE('',*,*,#207650,.F.); #281700=ORIENTED_EDGE('',*,*,#207651,.F.); #281701=ORIENTED_EDGE('',*,*,#207652,.T.); #281702=ORIENTED_EDGE('',*,*,#207651,.T.); #281703=ORIENTED_EDGE('',*,*,#207653,.F.); #281704=ORIENTED_EDGE('',*,*,#207654,.F.); #281705=ORIENTED_EDGE('',*,*,#207655,.T.); #281706=ORIENTED_EDGE('',*,*,#207654,.T.); #281707=ORIENTED_EDGE('',*,*,#207656,.F.); #281708=ORIENTED_EDGE('',*,*,#207613,.F.); #281709=ORIENTED_EDGE('',*,*,#207656,.T.); #281710=ORIENTED_EDGE('',*,*,#207653,.T.); #281711=ORIENTED_EDGE('',*,*,#207650,.T.); #281712=ORIENTED_EDGE('',*,*,#207647,.T.); #281713=ORIENTED_EDGE('',*,*,#207644,.T.); #281714=ORIENTED_EDGE('',*,*,#207641,.T.); #281715=ORIENTED_EDGE('',*,*,#207638,.T.); #281716=ORIENTED_EDGE('',*,*,#207635,.T.); #281717=ORIENTED_EDGE('',*,*,#207632,.T.); #281718=ORIENTED_EDGE('',*,*,#207629,.T.); #281719=ORIENTED_EDGE('',*,*,#207626,.T.); #281720=ORIENTED_EDGE('',*,*,#207623,.T.); #281721=ORIENTED_EDGE('',*,*,#207620,.T.); #281722=ORIENTED_EDGE('',*,*,#207617,.T.); #281723=ORIENTED_EDGE('',*,*,#207614,.T.); #281724=ORIENTED_EDGE('',*,*,#207611,.T.); #281725=ORIENTED_EDGE('',*,*,#207655,.F.); #281726=ORIENTED_EDGE('',*,*,#207612,.F.); #281727=ORIENTED_EDGE('',*,*,#207616,.F.); #281728=ORIENTED_EDGE('',*,*,#207619,.F.); #281729=ORIENTED_EDGE('',*,*,#207622,.F.); #281730=ORIENTED_EDGE('',*,*,#207625,.F.); #281731=ORIENTED_EDGE('',*,*,#207628,.F.); #281732=ORIENTED_EDGE('',*,*,#207631,.F.); #281733=ORIENTED_EDGE('',*,*,#207634,.F.); #281734=ORIENTED_EDGE('',*,*,#207637,.F.); #281735=ORIENTED_EDGE('',*,*,#207640,.F.); #281736=ORIENTED_EDGE('',*,*,#207643,.F.); #281737=ORIENTED_EDGE('',*,*,#207646,.F.); #281738=ORIENTED_EDGE('',*,*,#207649,.F.); #281739=ORIENTED_EDGE('',*,*,#207652,.F.); #281740=ORIENTED_EDGE('',*,*,#207609,.T.); #281741=ORIENTED_EDGE('',*,*,#207657,.T.); #281742=ORIENTED_EDGE('',*,*,#207658,.T.); #281743=ORIENTED_EDGE('',*,*,#207659,.F.); #281744=ORIENTED_EDGE('',*,*,#207660,.F.); #281745=ORIENTED_EDGE('',*,*,#207661,.T.); #281746=ORIENTED_EDGE('',*,*,#207660,.T.); #281747=ORIENTED_EDGE('',*,*,#207662,.F.); #281748=ORIENTED_EDGE('',*,*,#207663,.F.); #281749=ORIENTED_EDGE('',*,*,#207664,.T.); #281750=ORIENTED_EDGE('',*,*,#207663,.T.); #281751=ORIENTED_EDGE('',*,*,#207665,.F.); #281752=ORIENTED_EDGE('',*,*,#207666,.F.); #281753=ORIENTED_EDGE('',*,*,#207667,.T.); #281754=ORIENTED_EDGE('',*,*,#207666,.T.); #281755=ORIENTED_EDGE('',*,*,#207668,.F.); #281756=ORIENTED_EDGE('',*,*,#207669,.F.); #281757=ORIENTED_EDGE('',*,*,#207670,.T.); #281758=ORIENTED_EDGE('',*,*,#207669,.T.); #281759=ORIENTED_EDGE('',*,*,#207671,.F.); #281760=ORIENTED_EDGE('',*,*,#207672,.F.); #281761=ORIENTED_EDGE('',*,*,#207673,.T.); #281762=ORIENTED_EDGE('',*,*,#207672,.T.); #281763=ORIENTED_EDGE('',*,*,#207674,.F.); #281764=ORIENTED_EDGE('',*,*,#207675,.F.); #281765=ORIENTED_EDGE('',*,*,#207676,.T.); #281766=ORIENTED_EDGE('',*,*,#207675,.T.); #281767=ORIENTED_EDGE('',*,*,#207677,.F.); #281768=ORIENTED_EDGE('',*,*,#207678,.F.); #281769=ORIENTED_EDGE('',*,*,#207679,.T.); #281770=ORIENTED_EDGE('',*,*,#207678,.T.); #281771=ORIENTED_EDGE('',*,*,#207680,.F.); #281772=ORIENTED_EDGE('',*,*,#207681,.F.); #281773=ORIENTED_EDGE('',*,*,#207682,.T.); #281774=ORIENTED_EDGE('',*,*,#207681,.T.); #281775=ORIENTED_EDGE('',*,*,#207683,.F.); #281776=ORIENTED_EDGE('',*,*,#207684,.F.); #281777=ORIENTED_EDGE('',*,*,#207685,.T.); #281778=ORIENTED_EDGE('',*,*,#207684,.T.); #281779=ORIENTED_EDGE('',*,*,#207686,.F.); #281780=ORIENTED_EDGE('',*,*,#207687,.F.); #281781=ORIENTED_EDGE('',*,*,#207688,.T.); #281782=ORIENTED_EDGE('',*,*,#207687,.T.); #281783=ORIENTED_EDGE('',*,*,#207689,.F.); #281784=ORIENTED_EDGE('',*,*,#207690,.F.); #281785=ORIENTED_EDGE('',*,*,#207691,.T.); #281786=ORIENTED_EDGE('',*,*,#207690,.T.); #281787=ORIENTED_EDGE('',*,*,#207692,.F.); #281788=ORIENTED_EDGE('',*,*,#207693,.F.); #281789=ORIENTED_EDGE('',*,*,#207694,.T.); #281790=ORIENTED_EDGE('',*,*,#207693,.T.); #281791=ORIENTED_EDGE('',*,*,#207695,.F.); #281792=ORIENTED_EDGE('',*,*,#207696,.F.); #281793=ORIENTED_EDGE('',*,*,#207697,.T.); #281794=ORIENTED_EDGE('',*,*,#207696,.T.); #281795=ORIENTED_EDGE('',*,*,#207698,.F.); #281796=ORIENTED_EDGE('',*,*,#207658,.F.); #281797=ORIENTED_EDGE('',*,*,#207698,.T.); #281798=ORIENTED_EDGE('',*,*,#207695,.T.); #281799=ORIENTED_EDGE('',*,*,#207692,.T.); #281800=ORIENTED_EDGE('',*,*,#207689,.T.); #281801=ORIENTED_EDGE('',*,*,#207686,.T.); #281802=ORIENTED_EDGE('',*,*,#207683,.T.); #281803=ORIENTED_EDGE('',*,*,#207680,.T.); #281804=ORIENTED_EDGE('',*,*,#207677,.T.); #281805=ORIENTED_EDGE('',*,*,#207674,.T.); #281806=ORIENTED_EDGE('',*,*,#207671,.T.); #281807=ORIENTED_EDGE('',*,*,#207668,.T.); #281808=ORIENTED_EDGE('',*,*,#207665,.T.); #281809=ORIENTED_EDGE('',*,*,#207662,.T.); #281810=ORIENTED_EDGE('',*,*,#207659,.T.); #281811=ORIENTED_EDGE('',*,*,#207697,.F.); #281812=ORIENTED_EDGE('',*,*,#207657,.F.); #281813=ORIENTED_EDGE('',*,*,#207661,.F.); #281814=ORIENTED_EDGE('',*,*,#207664,.F.); #281815=ORIENTED_EDGE('',*,*,#207667,.F.); #281816=ORIENTED_EDGE('',*,*,#207670,.F.); #281817=ORIENTED_EDGE('',*,*,#207673,.F.); #281818=ORIENTED_EDGE('',*,*,#207676,.F.); #281819=ORIENTED_EDGE('',*,*,#207679,.F.); #281820=ORIENTED_EDGE('',*,*,#207682,.F.); #281821=ORIENTED_EDGE('',*,*,#207685,.F.); #281822=ORIENTED_EDGE('',*,*,#207688,.F.); #281823=ORIENTED_EDGE('',*,*,#207691,.F.); #281824=ORIENTED_EDGE('',*,*,#207694,.F.); #281825=ORIENTED_EDGE('',*,*,#207699,.T.); #281826=ORIENTED_EDGE('',*,*,#207700,.T.); #281827=ORIENTED_EDGE('',*,*,#207701,.F.); #281828=ORIENTED_EDGE('',*,*,#207702,.F.); #281829=ORIENTED_EDGE('',*,*,#207703,.T.); #281830=ORIENTED_EDGE('',*,*,#207702,.T.); #281831=ORIENTED_EDGE('',*,*,#207704,.F.); #281832=ORIENTED_EDGE('',*,*,#207705,.F.); #281833=ORIENTED_EDGE('',*,*,#207706,.T.); #281834=ORIENTED_EDGE('',*,*,#207705,.T.); #281835=ORIENTED_EDGE('',*,*,#207707,.F.); #281836=ORIENTED_EDGE('',*,*,#207708,.F.); #281837=ORIENTED_EDGE('',*,*,#207709,.T.); #281838=ORIENTED_EDGE('',*,*,#207708,.T.); #281839=ORIENTED_EDGE('',*,*,#207710,.F.); #281840=ORIENTED_EDGE('',*,*,#207711,.F.); #281841=ORIENTED_EDGE('',*,*,#207712,.T.); #281842=ORIENTED_EDGE('',*,*,#207711,.T.); #281843=ORIENTED_EDGE('',*,*,#207713,.F.); #281844=ORIENTED_EDGE('',*,*,#207714,.F.); #281845=ORIENTED_EDGE('',*,*,#207715,.T.); #281846=ORIENTED_EDGE('',*,*,#207714,.T.); #281847=ORIENTED_EDGE('',*,*,#207716,.F.); #281848=ORIENTED_EDGE('',*,*,#207717,.F.); #281849=ORIENTED_EDGE('',*,*,#207718,.T.); #281850=ORIENTED_EDGE('',*,*,#207717,.T.); #281851=ORIENTED_EDGE('',*,*,#207719,.F.); #281852=ORIENTED_EDGE('',*,*,#207720,.F.); #281853=ORIENTED_EDGE('',*,*,#207721,.T.); #281854=ORIENTED_EDGE('',*,*,#207720,.T.); #281855=ORIENTED_EDGE('',*,*,#207722,.F.); #281856=ORIENTED_EDGE('',*,*,#207723,.F.); #281857=ORIENTED_EDGE('',*,*,#207724,.T.); #281858=ORIENTED_EDGE('',*,*,#207723,.T.); #281859=ORIENTED_EDGE('',*,*,#207725,.F.); #281860=ORIENTED_EDGE('',*,*,#207726,.F.); #281861=ORIENTED_EDGE('',*,*,#207727,.T.); #281862=ORIENTED_EDGE('',*,*,#207726,.T.); #281863=ORIENTED_EDGE('',*,*,#207728,.F.); #281864=ORIENTED_EDGE('',*,*,#207729,.F.); #281865=ORIENTED_EDGE('',*,*,#207730,.T.); #281866=ORIENTED_EDGE('',*,*,#207729,.T.); #281867=ORIENTED_EDGE('',*,*,#207731,.F.); #281868=ORIENTED_EDGE('',*,*,#207732,.F.); #281869=ORIENTED_EDGE('',*,*,#207733,.T.); #281870=ORIENTED_EDGE('',*,*,#207732,.T.); #281871=ORIENTED_EDGE('',*,*,#207734,.F.); #281872=ORIENTED_EDGE('',*,*,#207735,.F.); #281873=ORIENTED_EDGE('',*,*,#207736,.T.); #281874=ORIENTED_EDGE('',*,*,#207735,.T.); #281875=ORIENTED_EDGE('',*,*,#207737,.F.); #281876=ORIENTED_EDGE('',*,*,#207738,.F.); #281877=ORIENTED_EDGE('',*,*,#207739,.T.); #281878=ORIENTED_EDGE('',*,*,#207738,.T.); #281879=ORIENTED_EDGE('',*,*,#207740,.F.); #281880=ORIENTED_EDGE('',*,*,#207700,.F.); #281881=ORIENTED_EDGE('',*,*,#207740,.T.); #281882=ORIENTED_EDGE('',*,*,#207737,.T.); #281883=ORIENTED_EDGE('',*,*,#207734,.T.); #281884=ORIENTED_EDGE('',*,*,#207731,.T.); #281885=ORIENTED_EDGE('',*,*,#207728,.T.); #281886=ORIENTED_EDGE('',*,*,#207725,.T.); #281887=ORIENTED_EDGE('',*,*,#207722,.T.); #281888=ORIENTED_EDGE('',*,*,#207719,.T.); #281889=ORIENTED_EDGE('',*,*,#207716,.T.); #281890=ORIENTED_EDGE('',*,*,#207713,.T.); #281891=ORIENTED_EDGE('',*,*,#207710,.T.); #281892=ORIENTED_EDGE('',*,*,#207707,.T.); #281893=ORIENTED_EDGE('',*,*,#207704,.T.); #281894=ORIENTED_EDGE('',*,*,#207701,.T.); #281895=ORIENTED_EDGE('',*,*,#207739,.F.); #281896=ORIENTED_EDGE('',*,*,#207699,.F.); #281897=ORIENTED_EDGE('',*,*,#207703,.F.); #281898=ORIENTED_EDGE('',*,*,#207706,.F.); #281899=ORIENTED_EDGE('',*,*,#207709,.F.); #281900=ORIENTED_EDGE('',*,*,#207712,.F.); #281901=ORIENTED_EDGE('',*,*,#207715,.F.); #281902=ORIENTED_EDGE('',*,*,#207718,.F.); #281903=ORIENTED_EDGE('',*,*,#207721,.F.); #281904=ORIENTED_EDGE('',*,*,#207724,.F.); #281905=ORIENTED_EDGE('',*,*,#207727,.F.); #281906=ORIENTED_EDGE('',*,*,#207730,.F.); #281907=ORIENTED_EDGE('',*,*,#207733,.F.); #281908=ORIENTED_EDGE('',*,*,#207736,.F.); #281909=ORIENTED_EDGE('',*,*,#207741,.F.); #281910=ORIENTED_EDGE('',*,*,#207742,.T.); #281911=ORIENTED_EDGE('',*,*,#207743,.F.); #281912=ORIENTED_EDGE('',*,*,#207742,.F.); #281913=ORIENTED_EDGE('',*,*,#207744,.T.); #281914=ORIENTED_EDGE('',*,*,#207745,.T.); #281915=ORIENTED_EDGE('',*,*,#207746,.F.); #281916=ORIENTED_EDGE('',*,*,#207747,.F.); #281917=ORIENTED_EDGE('',*,*,#207748,.T.); #281918=ORIENTED_EDGE('',*,*,#207747,.T.); #281919=ORIENTED_EDGE('',*,*,#207749,.F.); #281920=ORIENTED_EDGE('',*,*,#207750,.F.); #281921=ORIENTED_EDGE('',*,*,#207751,.T.); #281922=ORIENTED_EDGE('',*,*,#207750,.T.); #281923=ORIENTED_EDGE('',*,*,#207752,.F.); #281924=ORIENTED_EDGE('',*,*,#207753,.F.); #281925=ORIENTED_EDGE('',*,*,#207754,.T.); #281926=ORIENTED_EDGE('',*,*,#207753,.T.); #281927=ORIENTED_EDGE('',*,*,#207755,.F.); #281928=ORIENTED_EDGE('',*,*,#207756,.F.); #281929=ORIENTED_EDGE('',*,*,#207757,.T.); #281930=ORIENTED_EDGE('',*,*,#207756,.T.); #281931=ORIENTED_EDGE('',*,*,#207758,.F.); #281932=ORIENTED_EDGE('',*,*,#207759,.F.); #281933=ORIENTED_EDGE('',*,*,#207760,.T.); #281934=ORIENTED_EDGE('',*,*,#207759,.T.); #281935=ORIENTED_EDGE('',*,*,#207761,.F.); #281936=ORIENTED_EDGE('',*,*,#207762,.F.); #281937=ORIENTED_EDGE('',*,*,#207763,.T.); #281938=ORIENTED_EDGE('',*,*,#207762,.T.); #281939=ORIENTED_EDGE('',*,*,#207764,.F.); #281940=ORIENTED_EDGE('',*,*,#207765,.F.); #281941=ORIENTED_EDGE('',*,*,#207766,.T.); #281942=ORIENTED_EDGE('',*,*,#207765,.T.); #281943=ORIENTED_EDGE('',*,*,#207767,.F.); #281944=ORIENTED_EDGE('',*,*,#207768,.F.); #281945=ORIENTED_EDGE('',*,*,#207769,.T.); #281946=ORIENTED_EDGE('',*,*,#207768,.T.); #281947=ORIENTED_EDGE('',*,*,#207770,.F.); #281948=ORIENTED_EDGE('',*,*,#207771,.F.); #281949=ORIENTED_EDGE('',*,*,#207772,.T.); #281950=ORIENTED_EDGE('',*,*,#207771,.T.); #281951=ORIENTED_EDGE('',*,*,#207773,.F.); #281952=ORIENTED_EDGE('',*,*,#207774,.F.); #281953=ORIENTED_EDGE('',*,*,#207775,.T.); #281954=ORIENTED_EDGE('',*,*,#207774,.T.); #281955=ORIENTED_EDGE('',*,*,#207776,.F.); #281956=ORIENTED_EDGE('',*,*,#207777,.F.); #281957=ORIENTED_EDGE('',*,*,#207778,.T.); #281958=ORIENTED_EDGE('',*,*,#207777,.T.); #281959=ORIENTED_EDGE('',*,*,#207779,.F.); #281960=ORIENTED_EDGE('',*,*,#207780,.F.); #281961=ORIENTED_EDGE('',*,*,#207781,.T.); #281962=ORIENTED_EDGE('',*,*,#207780,.T.); #281963=ORIENTED_EDGE('',*,*,#207782,.F.); #281964=ORIENTED_EDGE('',*,*,#207783,.F.); #281965=ORIENTED_EDGE('',*,*,#207784,.T.); #281966=ORIENTED_EDGE('',*,*,#207783,.T.); #281967=ORIENTED_EDGE('',*,*,#207785,.F.); #281968=ORIENTED_EDGE('',*,*,#207786,.F.); #281969=ORIENTED_EDGE('',*,*,#207787,.T.); #281970=ORIENTED_EDGE('',*,*,#207786,.T.); #281971=ORIENTED_EDGE('',*,*,#207788,.F.); #281972=ORIENTED_EDGE('',*,*,#207789,.F.); #281973=ORIENTED_EDGE('',*,*,#207790,.T.); #281974=ORIENTED_EDGE('',*,*,#207789,.T.); #281975=ORIENTED_EDGE('',*,*,#207791,.F.); #281976=ORIENTED_EDGE('',*,*,#207792,.F.); #281977=ORIENTED_EDGE('',*,*,#207793,.T.); #281978=ORIENTED_EDGE('',*,*,#207792,.T.); #281979=ORIENTED_EDGE('',*,*,#207794,.F.); #281980=ORIENTED_EDGE('',*,*,#207795,.F.); #281981=ORIENTED_EDGE('',*,*,#207796,.T.); #281982=ORIENTED_EDGE('',*,*,#207795,.T.); #281983=ORIENTED_EDGE('',*,*,#207797,.F.); #281984=ORIENTED_EDGE('',*,*,#207798,.F.); #281985=ORIENTED_EDGE('',*,*,#207799,.T.); #281986=ORIENTED_EDGE('',*,*,#207798,.T.); #281987=ORIENTED_EDGE('',*,*,#207800,.F.); #281988=ORIENTED_EDGE('',*,*,#207801,.F.); #281989=ORIENTED_EDGE('',*,*,#207802,.T.); #281990=ORIENTED_EDGE('',*,*,#207801,.T.); #281991=ORIENTED_EDGE('',*,*,#207803,.F.); #281992=ORIENTED_EDGE('',*,*,#207804,.F.); #281993=ORIENTED_EDGE('',*,*,#207805,.T.); #281994=ORIENTED_EDGE('',*,*,#207804,.T.); #281995=ORIENTED_EDGE('',*,*,#207806,.F.); #281996=ORIENTED_EDGE('',*,*,#207807,.F.); #281997=ORIENTED_EDGE('',*,*,#207808,.T.); #281998=ORIENTED_EDGE('',*,*,#207807,.T.); #281999=ORIENTED_EDGE('',*,*,#207809,.F.); #282000=ORIENTED_EDGE('',*,*,#207810,.F.); #282001=ORIENTED_EDGE('',*,*,#207811,.T.); #282002=ORIENTED_EDGE('',*,*,#207810,.T.); #282003=ORIENTED_EDGE('',*,*,#207812,.F.); #282004=ORIENTED_EDGE('',*,*,#207813,.F.); #282005=ORIENTED_EDGE('',*,*,#207814,.T.); #282006=ORIENTED_EDGE('',*,*,#207813,.T.); #282007=ORIENTED_EDGE('',*,*,#207815,.F.); #282008=ORIENTED_EDGE('',*,*,#207816,.F.); #282009=ORIENTED_EDGE('',*,*,#207817,.T.); #282010=ORIENTED_EDGE('',*,*,#207816,.T.); #282011=ORIENTED_EDGE('',*,*,#207818,.F.); #282012=ORIENTED_EDGE('',*,*,#207819,.F.); #282013=ORIENTED_EDGE('',*,*,#207820,.T.); #282014=ORIENTED_EDGE('',*,*,#207819,.T.); #282015=ORIENTED_EDGE('',*,*,#207821,.F.); #282016=ORIENTED_EDGE('',*,*,#207822,.F.); #282017=ORIENTED_EDGE('',*,*,#207823,.T.); #282018=ORIENTED_EDGE('',*,*,#207822,.T.); #282019=ORIENTED_EDGE('',*,*,#207824,.F.); #282020=ORIENTED_EDGE('',*,*,#207825,.F.); #282021=ORIENTED_EDGE('',*,*,#207826,.T.); #282022=ORIENTED_EDGE('',*,*,#207825,.T.); #282023=ORIENTED_EDGE('',*,*,#207827,.F.); #282024=ORIENTED_EDGE('',*,*,#207828,.F.); #282025=ORIENTED_EDGE('',*,*,#207829,.T.); #282026=ORIENTED_EDGE('',*,*,#207828,.T.); #282027=ORIENTED_EDGE('',*,*,#207830,.F.); #282028=ORIENTED_EDGE('',*,*,#207831,.F.); #282029=ORIENTED_EDGE('',*,*,#207832,.T.); #282030=ORIENTED_EDGE('',*,*,#207831,.T.); #282031=ORIENTED_EDGE('',*,*,#207833,.F.); #282032=ORIENTED_EDGE('',*,*,#207834,.F.); #282033=ORIENTED_EDGE('',*,*,#207835,.T.); #282034=ORIENTED_EDGE('',*,*,#207834,.T.); #282035=ORIENTED_EDGE('',*,*,#207836,.F.); #282036=ORIENTED_EDGE('',*,*,#207837,.F.); #282037=ORIENTED_EDGE('',*,*,#207838,.T.); #282038=ORIENTED_EDGE('',*,*,#207837,.T.); #282039=ORIENTED_EDGE('',*,*,#207839,.F.); #282040=ORIENTED_EDGE('',*,*,#207840,.F.); #282041=ORIENTED_EDGE('',*,*,#207841,.T.); #282042=ORIENTED_EDGE('',*,*,#207840,.T.); #282043=ORIENTED_EDGE('',*,*,#207842,.F.); #282044=ORIENTED_EDGE('',*,*,#207843,.F.); #282045=ORIENTED_EDGE('',*,*,#207844,.T.); #282046=ORIENTED_EDGE('',*,*,#207843,.T.); #282047=ORIENTED_EDGE('',*,*,#207845,.F.); #282048=ORIENTED_EDGE('',*,*,#207846,.F.); #282049=ORIENTED_EDGE('',*,*,#207847,.T.); #282050=ORIENTED_EDGE('',*,*,#207846,.T.); #282051=ORIENTED_EDGE('',*,*,#207848,.F.); #282052=ORIENTED_EDGE('',*,*,#207849,.F.); #282053=ORIENTED_EDGE('',*,*,#207850,.T.); #282054=ORIENTED_EDGE('',*,*,#207849,.T.); #282055=ORIENTED_EDGE('',*,*,#207851,.F.); #282056=ORIENTED_EDGE('',*,*,#207852,.F.); #282057=ORIENTED_EDGE('',*,*,#207853,.T.); #282058=ORIENTED_EDGE('',*,*,#207852,.T.); #282059=ORIENTED_EDGE('',*,*,#207854,.F.); #282060=ORIENTED_EDGE('',*,*,#207855,.F.); #282061=ORIENTED_EDGE('',*,*,#207856,.T.); #282062=ORIENTED_EDGE('',*,*,#207855,.T.); #282063=ORIENTED_EDGE('',*,*,#207857,.F.); #282064=ORIENTED_EDGE('',*,*,#207858,.F.); #282065=ORIENTED_EDGE('',*,*,#207859,.T.); #282066=ORIENTED_EDGE('',*,*,#207858,.T.); #282067=ORIENTED_EDGE('',*,*,#207860,.F.); #282068=ORIENTED_EDGE('',*,*,#207745,.F.); #282069=ORIENTED_EDGE('',*,*,#207860,.T.); #282070=ORIENTED_EDGE('',*,*,#207857,.T.); #282071=ORIENTED_EDGE('',*,*,#207854,.T.); #282072=ORIENTED_EDGE('',*,*,#207851,.T.); #282073=ORIENTED_EDGE('',*,*,#207848,.T.); #282074=ORIENTED_EDGE('',*,*,#207845,.T.); #282075=ORIENTED_EDGE('',*,*,#207842,.T.); #282076=ORIENTED_EDGE('',*,*,#207839,.T.); #282077=ORIENTED_EDGE('',*,*,#207836,.T.); #282078=ORIENTED_EDGE('',*,*,#207833,.T.); #282079=ORIENTED_EDGE('',*,*,#207830,.T.); #282080=ORIENTED_EDGE('',*,*,#207827,.T.); #282081=ORIENTED_EDGE('',*,*,#207824,.T.); #282082=ORIENTED_EDGE('',*,*,#207821,.T.); #282083=ORIENTED_EDGE('',*,*,#207818,.T.); #282084=ORIENTED_EDGE('',*,*,#207815,.T.); #282085=ORIENTED_EDGE('',*,*,#207812,.T.); #282086=ORIENTED_EDGE('',*,*,#207809,.T.); #282087=ORIENTED_EDGE('',*,*,#207806,.T.); #282088=ORIENTED_EDGE('',*,*,#207803,.T.); #282089=ORIENTED_EDGE('',*,*,#207800,.T.); #282090=ORIENTED_EDGE('',*,*,#207797,.T.); #282091=ORIENTED_EDGE('',*,*,#207794,.T.); #282092=ORIENTED_EDGE('',*,*,#207791,.T.); #282093=ORIENTED_EDGE('',*,*,#207788,.T.); #282094=ORIENTED_EDGE('',*,*,#207785,.T.); #282095=ORIENTED_EDGE('',*,*,#207782,.T.); #282096=ORIENTED_EDGE('',*,*,#207779,.T.); #282097=ORIENTED_EDGE('',*,*,#207776,.T.); #282098=ORIENTED_EDGE('',*,*,#207773,.T.); #282099=ORIENTED_EDGE('',*,*,#207770,.T.); #282100=ORIENTED_EDGE('',*,*,#207767,.T.); #282101=ORIENTED_EDGE('',*,*,#207764,.T.); #282102=ORIENTED_EDGE('',*,*,#207761,.T.); #282103=ORIENTED_EDGE('',*,*,#207758,.T.); #282104=ORIENTED_EDGE('',*,*,#207755,.T.); #282105=ORIENTED_EDGE('',*,*,#207752,.T.); #282106=ORIENTED_EDGE('',*,*,#207749,.T.); #282107=ORIENTED_EDGE('',*,*,#207746,.T.); #282108=ORIENTED_EDGE('',*,*,#207743,.T.); #282109=ORIENTED_EDGE('',*,*,#207859,.F.); #282110=ORIENTED_EDGE('',*,*,#207744,.F.); #282111=ORIENTED_EDGE('',*,*,#207748,.F.); #282112=ORIENTED_EDGE('',*,*,#207751,.F.); #282113=ORIENTED_EDGE('',*,*,#207754,.F.); #282114=ORIENTED_EDGE('',*,*,#207757,.F.); #282115=ORIENTED_EDGE('',*,*,#207760,.F.); #282116=ORIENTED_EDGE('',*,*,#207763,.F.); #282117=ORIENTED_EDGE('',*,*,#207766,.F.); #282118=ORIENTED_EDGE('',*,*,#207769,.F.); #282119=ORIENTED_EDGE('',*,*,#207772,.F.); #282120=ORIENTED_EDGE('',*,*,#207775,.F.); #282121=ORIENTED_EDGE('',*,*,#207778,.F.); #282122=ORIENTED_EDGE('',*,*,#207781,.F.); #282123=ORIENTED_EDGE('',*,*,#207784,.F.); #282124=ORIENTED_EDGE('',*,*,#207787,.F.); #282125=ORIENTED_EDGE('',*,*,#207790,.F.); #282126=ORIENTED_EDGE('',*,*,#207793,.F.); #282127=ORIENTED_EDGE('',*,*,#207796,.F.); #282128=ORIENTED_EDGE('',*,*,#207799,.F.); #282129=ORIENTED_EDGE('',*,*,#207802,.F.); #282130=ORIENTED_EDGE('',*,*,#207805,.F.); #282131=ORIENTED_EDGE('',*,*,#207808,.F.); #282132=ORIENTED_EDGE('',*,*,#207811,.F.); #282133=ORIENTED_EDGE('',*,*,#207814,.F.); #282134=ORIENTED_EDGE('',*,*,#207817,.F.); #282135=ORIENTED_EDGE('',*,*,#207820,.F.); #282136=ORIENTED_EDGE('',*,*,#207823,.F.); #282137=ORIENTED_EDGE('',*,*,#207826,.F.); #282138=ORIENTED_EDGE('',*,*,#207829,.F.); #282139=ORIENTED_EDGE('',*,*,#207832,.F.); #282140=ORIENTED_EDGE('',*,*,#207835,.F.); #282141=ORIENTED_EDGE('',*,*,#207838,.F.); #282142=ORIENTED_EDGE('',*,*,#207841,.F.); #282143=ORIENTED_EDGE('',*,*,#207844,.F.); #282144=ORIENTED_EDGE('',*,*,#207847,.F.); #282145=ORIENTED_EDGE('',*,*,#207850,.F.); #282146=ORIENTED_EDGE('',*,*,#207853,.F.); #282147=ORIENTED_EDGE('',*,*,#207856,.F.); #282148=ORIENTED_EDGE('',*,*,#207741,.T.); #282149=ORIENTED_EDGE('',*,*,#207861,.F.); #282150=ORIENTED_EDGE('',*,*,#207862,.T.); #282151=ORIENTED_EDGE('',*,*,#207863,.F.); #282152=ORIENTED_EDGE('',*,*,#207862,.F.); #282153=ORIENTED_EDGE('',*,*,#207864,.F.); #282154=ORIENTED_EDGE('',*,*,#207865,.T.); #282155=ORIENTED_EDGE('',*,*,#207866,.F.); #282156=ORIENTED_EDGE('',*,*,#207865,.F.); #282157=ORIENTED_EDGE('',*,*,#207867,.T.); #282158=ORIENTED_EDGE('',*,*,#207868,.T.); #282159=ORIENTED_EDGE('',*,*,#207869,.F.); #282160=ORIENTED_EDGE('',*,*,#207870,.F.); #282161=ORIENTED_EDGE('',*,*,#207871,.T.); #282162=ORIENTED_EDGE('',*,*,#207870,.T.); #282163=ORIENTED_EDGE('',*,*,#207872,.F.); #282164=ORIENTED_EDGE('',*,*,#207873,.F.); #282165=ORIENTED_EDGE('',*,*,#207874,.T.); #282166=ORIENTED_EDGE('',*,*,#207873,.T.); #282167=ORIENTED_EDGE('',*,*,#207875,.F.); #282168=ORIENTED_EDGE('',*,*,#207876,.F.); #282169=ORIENTED_EDGE('',*,*,#207877,.T.); #282170=ORIENTED_EDGE('',*,*,#207876,.T.); #282171=ORIENTED_EDGE('',*,*,#207878,.F.); #282172=ORIENTED_EDGE('',*,*,#207879,.F.); #282173=ORIENTED_EDGE('',*,*,#207880,.T.); #282174=ORIENTED_EDGE('',*,*,#207879,.T.); #282175=ORIENTED_EDGE('',*,*,#207881,.F.); #282176=ORIENTED_EDGE('',*,*,#207882,.F.); #282177=ORIENTED_EDGE('',*,*,#207883,.T.); #282178=ORIENTED_EDGE('',*,*,#207882,.T.); #282179=ORIENTED_EDGE('',*,*,#207884,.F.); #282180=ORIENTED_EDGE('',*,*,#207885,.F.); #282181=ORIENTED_EDGE('',*,*,#207886,.T.); #282182=ORIENTED_EDGE('',*,*,#207885,.T.); #282183=ORIENTED_EDGE('',*,*,#207887,.F.); #282184=ORIENTED_EDGE('',*,*,#207868,.F.); #282185=ORIENTED_EDGE('',*,*,#207887,.T.); #282186=ORIENTED_EDGE('',*,*,#207884,.T.); #282187=ORIENTED_EDGE('',*,*,#207881,.T.); #282188=ORIENTED_EDGE('',*,*,#207878,.T.); #282189=ORIENTED_EDGE('',*,*,#207875,.T.); #282190=ORIENTED_EDGE('',*,*,#207872,.T.); #282191=ORIENTED_EDGE('',*,*,#207869,.T.); #282192=ORIENTED_EDGE('',*,*,#207863,.T.); #282193=ORIENTED_EDGE('',*,*,#207866,.T.); #282194=ORIENTED_EDGE('',*,*,#207886,.F.); #282195=ORIENTED_EDGE('',*,*,#207867,.F.); #282196=ORIENTED_EDGE('',*,*,#207871,.F.); #282197=ORIENTED_EDGE('',*,*,#207874,.F.); #282198=ORIENTED_EDGE('',*,*,#207877,.F.); #282199=ORIENTED_EDGE('',*,*,#207880,.F.); #282200=ORIENTED_EDGE('',*,*,#207883,.F.); #282201=ORIENTED_EDGE('',*,*,#207861,.T.); #282202=ORIENTED_EDGE('',*,*,#207864,.T.); #282203=ORIENTED_EDGE('',*,*,#207888,.F.); #282204=ORIENTED_EDGE('',*,*,#207889,.T.); #282205=ORIENTED_EDGE('',*,*,#207890,.F.); #282206=ORIENTED_EDGE('',*,*,#207889,.F.); #282207=ORIENTED_EDGE('',*,*,#207891,.T.); #282208=ORIENTED_EDGE('',*,*,#207892,.T.); #282209=ORIENTED_EDGE('',*,*,#207893,.F.); #282210=ORIENTED_EDGE('',*,*,#207894,.F.); #282211=ORIENTED_EDGE('',*,*,#207895,.T.); #282212=ORIENTED_EDGE('',*,*,#207894,.T.); #282213=ORIENTED_EDGE('',*,*,#207896,.F.); #282214=ORIENTED_EDGE('',*,*,#207897,.F.); #282215=ORIENTED_EDGE('',*,*,#207898,.T.); #282216=ORIENTED_EDGE('',*,*,#207897,.T.); #282217=ORIENTED_EDGE('',*,*,#207899,.F.); #282218=ORIENTED_EDGE('',*,*,#207900,.F.); #282219=ORIENTED_EDGE('',*,*,#207901,.T.); #282220=ORIENTED_EDGE('',*,*,#207900,.T.); #282221=ORIENTED_EDGE('',*,*,#207902,.F.); #282222=ORIENTED_EDGE('',*,*,#207892,.F.); #282223=ORIENTED_EDGE('',*,*,#207902,.T.); #282224=ORIENTED_EDGE('',*,*,#207899,.T.); #282225=ORIENTED_EDGE('',*,*,#207896,.T.); #282226=ORIENTED_EDGE('',*,*,#207893,.T.); #282227=ORIENTED_EDGE('',*,*,#207890,.T.); #282228=ORIENTED_EDGE('',*,*,#207901,.F.); #282229=ORIENTED_EDGE('',*,*,#207891,.F.); #282230=ORIENTED_EDGE('',*,*,#207895,.F.); #282231=ORIENTED_EDGE('',*,*,#207898,.F.); #282232=ORIENTED_EDGE('',*,*,#207888,.T.); #282233=ORIENTED_EDGE('',*,*,#207903,.F.); #282234=ORIENTED_EDGE('',*,*,#207904,.T.); #282235=ORIENTED_EDGE('',*,*,#207905,.F.); #282236=ORIENTED_EDGE('',*,*,#207904,.F.); #282237=ORIENTED_EDGE('',*,*,#207906,.F.); #282238=ORIENTED_EDGE('',*,*,#207907,.T.); #282239=ORIENTED_EDGE('',*,*,#207908,.F.); #282240=ORIENTED_EDGE('',*,*,#207907,.F.); #282241=ORIENTED_EDGE('',*,*,#207909,.T.); #282242=ORIENTED_EDGE('',*,*,#207910,.T.); #282243=ORIENTED_EDGE('',*,*,#207911,.F.); #282244=ORIENTED_EDGE('',*,*,#207912,.F.); #282245=ORIENTED_EDGE('',*,*,#207913,.T.); #282246=ORIENTED_EDGE('',*,*,#207912,.T.); #282247=ORIENTED_EDGE('',*,*,#207914,.F.); #282248=ORIENTED_EDGE('',*,*,#207915,.F.); #282249=ORIENTED_EDGE('',*,*,#207916,.T.); #282250=ORIENTED_EDGE('',*,*,#207915,.T.); #282251=ORIENTED_EDGE('',*,*,#207917,.F.); #282252=ORIENTED_EDGE('',*,*,#207918,.F.); #282253=ORIENTED_EDGE('',*,*,#207919,.T.); #282254=ORIENTED_EDGE('',*,*,#207918,.T.); #282255=ORIENTED_EDGE('',*,*,#207920,.F.); #282256=ORIENTED_EDGE('',*,*,#207921,.F.); #282257=ORIENTED_EDGE('',*,*,#207922,.T.); #282258=ORIENTED_EDGE('',*,*,#207921,.T.); #282259=ORIENTED_EDGE('',*,*,#207923,.F.); #282260=ORIENTED_EDGE('',*,*,#207924,.F.); #282261=ORIENTED_EDGE('',*,*,#207925,.T.); #282262=ORIENTED_EDGE('',*,*,#207924,.T.); #282263=ORIENTED_EDGE('',*,*,#207926,.F.); #282264=ORIENTED_EDGE('',*,*,#207927,.F.); #282265=ORIENTED_EDGE('',*,*,#207928,.T.); #282266=ORIENTED_EDGE('',*,*,#207927,.T.); #282267=ORIENTED_EDGE('',*,*,#207929,.F.); #282268=ORIENTED_EDGE('',*,*,#207930,.F.); #282269=ORIENTED_EDGE('',*,*,#207931,.T.); #282270=ORIENTED_EDGE('',*,*,#207930,.T.); #282271=ORIENTED_EDGE('',*,*,#207932,.F.); #282272=ORIENTED_EDGE('',*,*,#207933,.F.); #282273=ORIENTED_EDGE('',*,*,#207934,.T.); #282274=ORIENTED_EDGE('',*,*,#207933,.T.); #282275=ORIENTED_EDGE('',*,*,#207935,.F.); #282276=ORIENTED_EDGE('',*,*,#207936,.F.); #282277=ORIENTED_EDGE('',*,*,#207937,.T.); #282278=ORIENTED_EDGE('',*,*,#207936,.T.); #282279=ORIENTED_EDGE('',*,*,#207938,.F.); #282280=ORIENTED_EDGE('',*,*,#207939,.F.); #282281=ORIENTED_EDGE('',*,*,#207940,.T.); #282282=ORIENTED_EDGE('',*,*,#207939,.T.); #282283=ORIENTED_EDGE('',*,*,#207941,.F.); #282284=ORIENTED_EDGE('',*,*,#207942,.F.); #282285=ORIENTED_EDGE('',*,*,#207943,.T.); #282286=ORIENTED_EDGE('',*,*,#207942,.T.); #282287=ORIENTED_EDGE('',*,*,#207944,.F.); #282288=ORIENTED_EDGE('',*,*,#207945,.F.); #282289=ORIENTED_EDGE('',*,*,#207946,.T.); #282290=ORIENTED_EDGE('',*,*,#207945,.T.); #282291=ORIENTED_EDGE('',*,*,#207947,.F.); #282292=ORIENTED_EDGE('',*,*,#207948,.F.); #282293=ORIENTED_EDGE('',*,*,#207949,.T.); #282294=ORIENTED_EDGE('',*,*,#207948,.T.); #282295=ORIENTED_EDGE('',*,*,#207950,.F.); #282296=ORIENTED_EDGE('',*,*,#207951,.F.); #282297=ORIENTED_EDGE('',*,*,#207952,.T.); #282298=ORIENTED_EDGE('',*,*,#207951,.T.); #282299=ORIENTED_EDGE('',*,*,#207953,.F.); #282300=ORIENTED_EDGE('',*,*,#207954,.F.); #282301=ORIENTED_EDGE('',*,*,#207955,.T.); #282302=ORIENTED_EDGE('',*,*,#207954,.T.); #282303=ORIENTED_EDGE('',*,*,#207956,.F.); #282304=ORIENTED_EDGE('',*,*,#207957,.F.); #282305=ORIENTED_EDGE('',*,*,#207958,.T.); #282306=ORIENTED_EDGE('',*,*,#207957,.T.); #282307=ORIENTED_EDGE('',*,*,#207959,.F.); #282308=ORIENTED_EDGE('',*,*,#207960,.F.); #282309=ORIENTED_EDGE('',*,*,#207961,.T.); #282310=ORIENTED_EDGE('',*,*,#207960,.T.); #282311=ORIENTED_EDGE('',*,*,#207962,.F.); #282312=ORIENTED_EDGE('',*,*,#207963,.F.); #282313=ORIENTED_EDGE('',*,*,#207964,.T.); #282314=ORIENTED_EDGE('',*,*,#207963,.T.); #282315=ORIENTED_EDGE('',*,*,#207965,.F.); #282316=ORIENTED_EDGE('',*,*,#207966,.F.); #282317=ORIENTED_EDGE('',*,*,#207967,.T.); #282318=ORIENTED_EDGE('',*,*,#207966,.T.); #282319=ORIENTED_EDGE('',*,*,#207968,.F.); #282320=ORIENTED_EDGE('',*,*,#207969,.F.); #282321=ORIENTED_EDGE('',*,*,#207970,.T.); #282322=ORIENTED_EDGE('',*,*,#207969,.T.); #282323=ORIENTED_EDGE('',*,*,#207971,.F.); #282324=ORIENTED_EDGE('',*,*,#207972,.F.); #282325=ORIENTED_EDGE('',*,*,#207973,.T.); #282326=ORIENTED_EDGE('',*,*,#207972,.T.); #282327=ORIENTED_EDGE('',*,*,#207974,.F.); #282328=ORIENTED_EDGE('',*,*,#207975,.F.); #282329=ORIENTED_EDGE('',*,*,#207976,.T.); #282330=ORIENTED_EDGE('',*,*,#207975,.T.); #282331=ORIENTED_EDGE('',*,*,#207977,.F.); #282332=ORIENTED_EDGE('',*,*,#207910,.F.); #282333=ORIENTED_EDGE('',*,*,#207977,.T.); #282334=ORIENTED_EDGE('',*,*,#207974,.T.); #282335=ORIENTED_EDGE('',*,*,#207971,.T.); #282336=ORIENTED_EDGE('',*,*,#207968,.T.); #282337=ORIENTED_EDGE('',*,*,#207965,.T.); #282338=ORIENTED_EDGE('',*,*,#207962,.T.); #282339=ORIENTED_EDGE('',*,*,#207959,.T.); #282340=ORIENTED_EDGE('',*,*,#207956,.T.); #282341=ORIENTED_EDGE('',*,*,#207953,.T.); #282342=ORIENTED_EDGE('',*,*,#207950,.T.); #282343=ORIENTED_EDGE('',*,*,#207947,.T.); #282344=ORIENTED_EDGE('',*,*,#207944,.T.); #282345=ORIENTED_EDGE('',*,*,#207941,.T.); #282346=ORIENTED_EDGE('',*,*,#207938,.T.); #282347=ORIENTED_EDGE('',*,*,#207935,.T.); #282348=ORIENTED_EDGE('',*,*,#207932,.T.); #282349=ORIENTED_EDGE('',*,*,#207929,.T.); #282350=ORIENTED_EDGE('',*,*,#207926,.T.); #282351=ORIENTED_EDGE('',*,*,#207923,.T.); #282352=ORIENTED_EDGE('',*,*,#207920,.T.); #282353=ORIENTED_EDGE('',*,*,#207917,.T.); #282354=ORIENTED_EDGE('',*,*,#207914,.T.); #282355=ORIENTED_EDGE('',*,*,#207911,.T.); #282356=ORIENTED_EDGE('',*,*,#207905,.T.); #282357=ORIENTED_EDGE('',*,*,#207908,.T.); #282358=ORIENTED_EDGE('',*,*,#207976,.F.); #282359=ORIENTED_EDGE('',*,*,#207909,.F.); #282360=ORIENTED_EDGE('',*,*,#207913,.F.); #282361=ORIENTED_EDGE('',*,*,#207916,.F.); #282362=ORIENTED_EDGE('',*,*,#207919,.F.); #282363=ORIENTED_EDGE('',*,*,#207922,.F.); #282364=ORIENTED_EDGE('',*,*,#207925,.F.); #282365=ORIENTED_EDGE('',*,*,#207928,.F.); #282366=ORIENTED_EDGE('',*,*,#207931,.F.); #282367=ORIENTED_EDGE('',*,*,#207934,.F.); #282368=ORIENTED_EDGE('',*,*,#207937,.F.); #282369=ORIENTED_EDGE('',*,*,#207940,.F.); #282370=ORIENTED_EDGE('',*,*,#207943,.F.); #282371=ORIENTED_EDGE('',*,*,#207946,.F.); #282372=ORIENTED_EDGE('',*,*,#207949,.F.); #282373=ORIENTED_EDGE('',*,*,#207952,.F.); #282374=ORIENTED_EDGE('',*,*,#207955,.F.); #282375=ORIENTED_EDGE('',*,*,#207958,.F.); #282376=ORIENTED_EDGE('',*,*,#207961,.F.); #282377=ORIENTED_EDGE('',*,*,#207964,.F.); #282378=ORIENTED_EDGE('',*,*,#207967,.F.); #282379=ORIENTED_EDGE('',*,*,#207970,.F.); #282380=ORIENTED_EDGE('',*,*,#207973,.F.); #282381=ORIENTED_EDGE('',*,*,#207903,.T.); #282382=ORIENTED_EDGE('',*,*,#207906,.T.); #282383=ORIENTED_EDGE('',*,*,#207978,.F.); #282384=ORIENTED_EDGE('',*,*,#207979,.T.); #282385=ORIENTED_EDGE('',*,*,#207980,.F.); #282386=ORIENTED_EDGE('',*,*,#207979,.F.); #282387=ORIENTED_EDGE('',*,*,#207981,.F.); #282388=ORIENTED_EDGE('',*,*,#207982,.T.); #282389=ORIENTED_EDGE('',*,*,#207983,.F.); #282390=ORIENTED_EDGE('',*,*,#207982,.F.); #282391=ORIENTED_EDGE('',*,*,#207984,.T.); #282392=ORIENTED_EDGE('',*,*,#207985,.T.); #282393=ORIENTED_EDGE('',*,*,#207986,.F.); #282394=ORIENTED_EDGE('',*,*,#207987,.F.); #282395=ORIENTED_EDGE('',*,*,#207988,.T.); #282396=ORIENTED_EDGE('',*,*,#207987,.T.); #282397=ORIENTED_EDGE('',*,*,#207989,.F.); #282398=ORIENTED_EDGE('',*,*,#207990,.F.); #282399=ORIENTED_EDGE('',*,*,#207991,.T.); #282400=ORIENTED_EDGE('',*,*,#207990,.T.); #282401=ORIENTED_EDGE('',*,*,#207992,.F.); #282402=ORIENTED_EDGE('',*,*,#207993,.F.); #282403=ORIENTED_EDGE('',*,*,#207994,.T.); #282404=ORIENTED_EDGE('',*,*,#207993,.T.); #282405=ORIENTED_EDGE('',*,*,#207995,.F.); #282406=ORIENTED_EDGE('',*,*,#207996,.F.); #282407=ORIENTED_EDGE('',*,*,#207997,.T.); #282408=ORIENTED_EDGE('',*,*,#207996,.T.); #282409=ORIENTED_EDGE('',*,*,#207998,.F.); #282410=ORIENTED_EDGE('',*,*,#207999,.F.); #282411=ORIENTED_EDGE('',*,*,#208000,.T.); #282412=ORIENTED_EDGE('',*,*,#207999,.T.); #282413=ORIENTED_EDGE('',*,*,#208001,.F.); #282414=ORIENTED_EDGE('',*,*,#208002,.F.); #282415=ORIENTED_EDGE('',*,*,#208003,.T.); #282416=ORIENTED_EDGE('',*,*,#208002,.T.); #282417=ORIENTED_EDGE('',*,*,#208004,.F.); #282418=ORIENTED_EDGE('',*,*,#208005,.F.); #282419=ORIENTED_EDGE('',*,*,#208006,.T.); #282420=ORIENTED_EDGE('',*,*,#208005,.T.); #282421=ORIENTED_EDGE('',*,*,#208007,.F.); #282422=ORIENTED_EDGE('',*,*,#207985,.F.); #282423=ORIENTED_EDGE('',*,*,#208007,.T.); #282424=ORIENTED_EDGE('',*,*,#208004,.T.); #282425=ORIENTED_EDGE('',*,*,#208001,.T.); #282426=ORIENTED_EDGE('',*,*,#207998,.T.); #282427=ORIENTED_EDGE('',*,*,#207995,.T.); #282428=ORIENTED_EDGE('',*,*,#207992,.T.); #282429=ORIENTED_EDGE('',*,*,#207989,.T.); #282430=ORIENTED_EDGE('',*,*,#207986,.T.); #282431=ORIENTED_EDGE('',*,*,#207980,.T.); #282432=ORIENTED_EDGE('',*,*,#207983,.T.); #282433=ORIENTED_EDGE('',*,*,#208006,.F.); #282434=ORIENTED_EDGE('',*,*,#207984,.F.); #282435=ORIENTED_EDGE('',*,*,#207988,.F.); #282436=ORIENTED_EDGE('',*,*,#207991,.F.); #282437=ORIENTED_EDGE('',*,*,#207994,.F.); #282438=ORIENTED_EDGE('',*,*,#207997,.F.); #282439=ORIENTED_EDGE('',*,*,#208000,.F.); #282440=ORIENTED_EDGE('',*,*,#208003,.F.); #282441=ORIENTED_EDGE('',*,*,#207978,.T.); #282442=ORIENTED_EDGE('',*,*,#207981,.T.); #282443=ORIENTED_EDGE('',*,*,#208008,.F.); #282444=ORIENTED_EDGE('',*,*,#208009,.T.); #282445=ORIENTED_EDGE('',*,*,#208010,.F.); #282446=ORIENTED_EDGE('',*,*,#208009,.F.); #282447=ORIENTED_EDGE('',*,*,#208011,.T.); #282448=ORIENTED_EDGE('',*,*,#208012,.T.); #282449=ORIENTED_EDGE('',*,*,#208013,.F.); #282450=ORIENTED_EDGE('',*,*,#208014,.F.); #282451=ORIENTED_EDGE('',*,*,#208015,.T.); #282452=ORIENTED_EDGE('',*,*,#208014,.T.); #282453=ORIENTED_EDGE('',*,*,#208016,.F.); #282454=ORIENTED_EDGE('',*,*,#208017,.F.); #282455=ORIENTED_EDGE('',*,*,#208018,.T.); #282456=ORIENTED_EDGE('',*,*,#208017,.T.); #282457=ORIENTED_EDGE('',*,*,#208019,.F.); #282458=ORIENTED_EDGE('',*,*,#208020,.F.); #282459=ORIENTED_EDGE('',*,*,#208021,.T.); #282460=ORIENTED_EDGE('',*,*,#208020,.T.); #282461=ORIENTED_EDGE('',*,*,#208022,.F.); #282462=ORIENTED_EDGE('',*,*,#208012,.F.); #282463=ORIENTED_EDGE('',*,*,#208022,.T.); #282464=ORIENTED_EDGE('',*,*,#208019,.T.); #282465=ORIENTED_EDGE('',*,*,#208016,.T.); #282466=ORIENTED_EDGE('',*,*,#208013,.T.); #282467=ORIENTED_EDGE('',*,*,#208010,.T.); #282468=ORIENTED_EDGE('',*,*,#208021,.F.); #282469=ORIENTED_EDGE('',*,*,#208011,.F.); #282470=ORIENTED_EDGE('',*,*,#208015,.F.); #282471=ORIENTED_EDGE('',*,*,#208018,.F.); #282472=ORIENTED_EDGE('',*,*,#208008,.T.); #282473=ORIENTED_EDGE('',*,*,#208023,.F.); #282474=ORIENTED_EDGE('',*,*,#208024,.T.); #282475=ORIENTED_EDGE('',*,*,#208025,.F.); #282476=ORIENTED_EDGE('',*,*,#208024,.F.); #282477=ORIENTED_EDGE('',*,*,#208026,.F.); #282478=ORIENTED_EDGE('',*,*,#208027,.T.); #282479=ORIENTED_EDGE('',*,*,#208028,.F.); #282480=ORIENTED_EDGE('',*,*,#208027,.F.); #282481=ORIENTED_EDGE('',*,*,#208029,.F.); #282482=ORIENTED_EDGE('',*,*,#208030,.T.); #282483=ORIENTED_EDGE('',*,*,#208031,.F.); #282484=ORIENTED_EDGE('',*,*,#208030,.F.); #282485=ORIENTED_EDGE('',*,*,#208032,.F.); #282486=ORIENTED_EDGE('',*,*,#208033,.T.); #282487=ORIENTED_EDGE('',*,*,#208034,.F.); #282488=ORIENTED_EDGE('',*,*,#208033,.F.); #282489=ORIENTED_EDGE('',*,*,#208035,.T.); #282490=ORIENTED_EDGE('',*,*,#208036,.T.); #282491=ORIENTED_EDGE('',*,*,#208037,.F.); #282492=ORIENTED_EDGE('',*,*,#208038,.F.); #282493=ORIENTED_EDGE('',*,*,#208039,.T.); #282494=ORIENTED_EDGE('',*,*,#208038,.T.); #282495=ORIENTED_EDGE('',*,*,#208040,.F.); #282496=ORIENTED_EDGE('',*,*,#208041,.F.); #282497=ORIENTED_EDGE('',*,*,#208042,.T.); #282498=ORIENTED_EDGE('',*,*,#208041,.T.); #282499=ORIENTED_EDGE('',*,*,#208043,.F.); #282500=ORIENTED_EDGE('',*,*,#208044,.F.); #282501=ORIENTED_EDGE('',*,*,#208045,.T.); #282502=ORIENTED_EDGE('',*,*,#208044,.T.); #282503=ORIENTED_EDGE('',*,*,#208046,.F.); #282504=ORIENTED_EDGE('',*,*,#208047,.F.); #282505=ORIENTED_EDGE('',*,*,#208048,.T.); #282506=ORIENTED_EDGE('',*,*,#208047,.T.); #282507=ORIENTED_EDGE('',*,*,#208049,.F.); #282508=ORIENTED_EDGE('',*,*,#208050,.F.); #282509=ORIENTED_EDGE('',*,*,#208051,.T.); #282510=ORIENTED_EDGE('',*,*,#208050,.T.); #282511=ORIENTED_EDGE('',*,*,#208052,.F.); #282512=ORIENTED_EDGE('',*,*,#208053,.F.); #282513=ORIENTED_EDGE('',*,*,#208054,.T.); #282514=ORIENTED_EDGE('',*,*,#208053,.T.); #282515=ORIENTED_EDGE('',*,*,#208055,.F.); #282516=ORIENTED_EDGE('',*,*,#208056,.F.); #282517=ORIENTED_EDGE('',*,*,#208057,.T.); #282518=ORIENTED_EDGE('',*,*,#208056,.T.); #282519=ORIENTED_EDGE('',*,*,#208058,.F.); #282520=ORIENTED_EDGE('',*,*,#208059,.F.); #282521=ORIENTED_EDGE('',*,*,#208060,.T.); #282522=ORIENTED_EDGE('',*,*,#208059,.T.); #282523=ORIENTED_EDGE('',*,*,#208061,.F.); #282524=ORIENTED_EDGE('',*,*,#208062,.F.); #282525=ORIENTED_EDGE('',*,*,#208063,.T.); #282526=ORIENTED_EDGE('',*,*,#208062,.T.); #282527=ORIENTED_EDGE('',*,*,#208064,.F.); #282528=ORIENTED_EDGE('',*,*,#208065,.F.); #282529=ORIENTED_EDGE('',*,*,#208066,.T.); #282530=ORIENTED_EDGE('',*,*,#208065,.T.); #282531=ORIENTED_EDGE('',*,*,#208067,.F.); #282532=ORIENTED_EDGE('',*,*,#208068,.F.); #282533=ORIENTED_EDGE('',*,*,#208069,.T.); #282534=ORIENTED_EDGE('',*,*,#208068,.T.); #282535=ORIENTED_EDGE('',*,*,#208070,.F.); #282536=ORIENTED_EDGE('',*,*,#208071,.F.); #282537=ORIENTED_EDGE('',*,*,#208072,.T.); #282538=ORIENTED_EDGE('',*,*,#208071,.T.); #282539=ORIENTED_EDGE('',*,*,#208073,.F.); #282540=ORIENTED_EDGE('',*,*,#208074,.F.); #282541=ORIENTED_EDGE('',*,*,#208075,.T.); #282542=ORIENTED_EDGE('',*,*,#208074,.T.); #282543=ORIENTED_EDGE('',*,*,#208076,.F.); #282544=ORIENTED_EDGE('',*,*,#208077,.F.); #282545=ORIENTED_EDGE('',*,*,#208078,.T.); #282546=ORIENTED_EDGE('',*,*,#208077,.T.); #282547=ORIENTED_EDGE('',*,*,#208079,.F.); #282548=ORIENTED_EDGE('',*,*,#208080,.F.); #282549=ORIENTED_EDGE('',*,*,#208081,.T.); #282550=ORIENTED_EDGE('',*,*,#208080,.T.); #282551=ORIENTED_EDGE('',*,*,#208082,.F.); #282552=ORIENTED_EDGE('',*,*,#208036,.F.); #282553=ORIENTED_EDGE('',*,*,#208083,.T.); #282554=ORIENTED_EDGE('',*,*,#208084,.T.); #282555=ORIENTED_EDGE('',*,*,#208085,.F.); #282556=ORIENTED_EDGE('',*,*,#208086,.F.); #282557=ORIENTED_EDGE('',*,*,#208087,.T.); #282558=ORIENTED_EDGE('',*,*,#208086,.T.); #282559=ORIENTED_EDGE('',*,*,#208088,.F.); #282560=ORIENTED_EDGE('',*,*,#208089,.F.); #282561=ORIENTED_EDGE('',*,*,#208090,.T.); #282562=ORIENTED_EDGE('',*,*,#208089,.T.); #282563=ORIENTED_EDGE('',*,*,#208091,.F.); #282564=ORIENTED_EDGE('',*,*,#208092,.F.); #282565=ORIENTED_EDGE('',*,*,#208093,.T.); #282566=ORIENTED_EDGE('',*,*,#208092,.T.); #282567=ORIENTED_EDGE('',*,*,#208094,.F.); #282568=ORIENTED_EDGE('',*,*,#208095,.F.); #282569=ORIENTED_EDGE('',*,*,#208096,.T.); #282570=ORIENTED_EDGE('',*,*,#208095,.T.); #282571=ORIENTED_EDGE('',*,*,#208097,.F.); #282572=ORIENTED_EDGE('',*,*,#208098,.F.); #282573=ORIENTED_EDGE('',*,*,#208099,.T.); #282574=ORIENTED_EDGE('',*,*,#208098,.T.); #282575=ORIENTED_EDGE('',*,*,#208100,.F.); #282576=ORIENTED_EDGE('',*,*,#208101,.F.); #282577=ORIENTED_EDGE('',*,*,#208102,.T.); #282578=ORIENTED_EDGE('',*,*,#208101,.T.); #282579=ORIENTED_EDGE('',*,*,#208103,.F.); #282580=ORIENTED_EDGE('',*,*,#208104,.F.); #282581=ORIENTED_EDGE('',*,*,#208105,.T.); #282582=ORIENTED_EDGE('',*,*,#208104,.T.); #282583=ORIENTED_EDGE('',*,*,#208106,.F.); #282584=ORIENTED_EDGE('',*,*,#208107,.F.); #282585=ORIENTED_EDGE('',*,*,#208108,.T.); #282586=ORIENTED_EDGE('',*,*,#208107,.T.); #282587=ORIENTED_EDGE('',*,*,#208109,.F.); #282588=ORIENTED_EDGE('',*,*,#208110,.F.); #282589=ORIENTED_EDGE('',*,*,#208111,.T.); #282590=ORIENTED_EDGE('',*,*,#208110,.T.); #282591=ORIENTED_EDGE('',*,*,#208112,.F.); #282592=ORIENTED_EDGE('',*,*,#208113,.F.); #282593=ORIENTED_EDGE('',*,*,#208114,.T.); #282594=ORIENTED_EDGE('',*,*,#208113,.T.); #282595=ORIENTED_EDGE('',*,*,#208115,.F.); #282596=ORIENTED_EDGE('',*,*,#208116,.F.); #282597=ORIENTED_EDGE('',*,*,#208117,.T.); #282598=ORIENTED_EDGE('',*,*,#208116,.T.); #282599=ORIENTED_EDGE('',*,*,#208118,.F.); #282600=ORIENTED_EDGE('',*,*,#208119,.F.); #282601=ORIENTED_EDGE('',*,*,#208120,.T.); #282602=ORIENTED_EDGE('',*,*,#208119,.T.); #282603=ORIENTED_EDGE('',*,*,#208121,.F.); #282604=ORIENTED_EDGE('',*,*,#208122,.F.); #282605=ORIENTED_EDGE('',*,*,#208123,.T.); #282606=ORIENTED_EDGE('',*,*,#208122,.T.); #282607=ORIENTED_EDGE('',*,*,#208124,.F.); #282608=ORIENTED_EDGE('',*,*,#208125,.F.); #282609=ORIENTED_EDGE('',*,*,#208126,.T.); #282610=ORIENTED_EDGE('',*,*,#208125,.T.); #282611=ORIENTED_EDGE('',*,*,#208127,.F.); #282612=ORIENTED_EDGE('',*,*,#208128,.F.); #282613=ORIENTED_EDGE('',*,*,#208129,.T.); #282614=ORIENTED_EDGE('',*,*,#208128,.T.); #282615=ORIENTED_EDGE('',*,*,#208130,.F.); #282616=ORIENTED_EDGE('',*,*,#208131,.F.); #282617=ORIENTED_EDGE('',*,*,#208132,.T.); #282618=ORIENTED_EDGE('',*,*,#208131,.T.); #282619=ORIENTED_EDGE('',*,*,#208133,.F.); #282620=ORIENTED_EDGE('',*,*,#208134,.F.); #282621=ORIENTED_EDGE('',*,*,#208135,.T.); #282622=ORIENTED_EDGE('',*,*,#208134,.T.); #282623=ORIENTED_EDGE('',*,*,#208136,.F.); #282624=ORIENTED_EDGE('',*,*,#208137,.F.); #282625=ORIENTED_EDGE('',*,*,#208138,.T.); #282626=ORIENTED_EDGE('',*,*,#208137,.T.); #282627=ORIENTED_EDGE('',*,*,#208139,.F.); #282628=ORIENTED_EDGE('',*,*,#208140,.F.); #282629=ORIENTED_EDGE('',*,*,#208141,.T.); #282630=ORIENTED_EDGE('',*,*,#208140,.T.); #282631=ORIENTED_EDGE('',*,*,#208142,.F.); #282632=ORIENTED_EDGE('',*,*,#208143,.F.); #282633=ORIENTED_EDGE('',*,*,#208144,.T.); #282634=ORIENTED_EDGE('',*,*,#208143,.T.); #282635=ORIENTED_EDGE('',*,*,#208145,.F.); #282636=ORIENTED_EDGE('',*,*,#208146,.F.); #282637=ORIENTED_EDGE('',*,*,#208147,.T.); #282638=ORIENTED_EDGE('',*,*,#208146,.T.); #282639=ORIENTED_EDGE('',*,*,#208148,.F.); #282640=ORIENTED_EDGE('',*,*,#208149,.F.); #282641=ORIENTED_EDGE('',*,*,#208150,.T.); #282642=ORIENTED_EDGE('',*,*,#208149,.T.); #282643=ORIENTED_EDGE('',*,*,#208151,.F.); #282644=ORIENTED_EDGE('',*,*,#208152,.F.); #282645=ORIENTED_EDGE('',*,*,#208153,.T.); #282646=ORIENTED_EDGE('',*,*,#208152,.T.); #282647=ORIENTED_EDGE('',*,*,#208154,.F.); #282648=ORIENTED_EDGE('',*,*,#208155,.F.); #282649=ORIENTED_EDGE('',*,*,#208156,.T.); #282650=ORIENTED_EDGE('',*,*,#208155,.T.); #282651=ORIENTED_EDGE('',*,*,#208157,.F.); #282652=ORIENTED_EDGE('',*,*,#208158,.F.); #282653=ORIENTED_EDGE('',*,*,#208159,.T.); #282654=ORIENTED_EDGE('',*,*,#208158,.T.); #282655=ORIENTED_EDGE('',*,*,#208160,.F.); #282656=ORIENTED_EDGE('',*,*,#208161,.F.); #282657=ORIENTED_EDGE('',*,*,#208162,.T.); #282658=ORIENTED_EDGE('',*,*,#208161,.T.); #282659=ORIENTED_EDGE('',*,*,#208163,.F.); #282660=ORIENTED_EDGE('',*,*,#208164,.F.); #282661=ORIENTED_EDGE('',*,*,#208165,.T.); #282662=ORIENTED_EDGE('',*,*,#208164,.T.); #282663=ORIENTED_EDGE('',*,*,#208166,.F.); #282664=ORIENTED_EDGE('',*,*,#208167,.F.); #282665=ORIENTED_EDGE('',*,*,#208168,.T.); #282666=ORIENTED_EDGE('',*,*,#208167,.T.); #282667=ORIENTED_EDGE('',*,*,#208169,.F.); #282668=ORIENTED_EDGE('',*,*,#208170,.F.); #282669=ORIENTED_EDGE('',*,*,#208171,.T.); #282670=ORIENTED_EDGE('',*,*,#208170,.T.); #282671=ORIENTED_EDGE('',*,*,#208172,.F.); #282672=ORIENTED_EDGE('',*,*,#208173,.F.); #282673=ORIENTED_EDGE('',*,*,#208174,.T.); #282674=ORIENTED_EDGE('',*,*,#208173,.T.); #282675=ORIENTED_EDGE('',*,*,#208175,.F.); #282676=ORIENTED_EDGE('',*,*,#208176,.F.); #282677=ORIENTED_EDGE('',*,*,#208177,.T.); #282678=ORIENTED_EDGE('',*,*,#208176,.T.); #282679=ORIENTED_EDGE('',*,*,#208178,.F.); #282680=ORIENTED_EDGE('',*,*,#208179,.F.); #282681=ORIENTED_EDGE('',*,*,#208180,.T.); #282682=ORIENTED_EDGE('',*,*,#208179,.T.); #282683=ORIENTED_EDGE('',*,*,#208181,.F.); #282684=ORIENTED_EDGE('',*,*,#208182,.F.); #282685=ORIENTED_EDGE('',*,*,#208183,.T.); #282686=ORIENTED_EDGE('',*,*,#208182,.T.); #282687=ORIENTED_EDGE('',*,*,#208184,.F.); #282688=ORIENTED_EDGE('',*,*,#208185,.F.); #282689=ORIENTED_EDGE('',*,*,#208186,.T.); #282690=ORIENTED_EDGE('',*,*,#208185,.T.); #282691=ORIENTED_EDGE('',*,*,#208187,.F.); #282692=ORIENTED_EDGE('',*,*,#208188,.F.); #282693=ORIENTED_EDGE('',*,*,#208189,.T.); #282694=ORIENTED_EDGE('',*,*,#208188,.T.); #282695=ORIENTED_EDGE('',*,*,#208190,.F.); #282696=ORIENTED_EDGE('',*,*,#208191,.F.); #282697=ORIENTED_EDGE('',*,*,#208192,.T.); #282698=ORIENTED_EDGE('',*,*,#208191,.T.); #282699=ORIENTED_EDGE('',*,*,#208193,.F.); #282700=ORIENTED_EDGE('',*,*,#208194,.F.); #282701=ORIENTED_EDGE('',*,*,#208195,.T.); #282702=ORIENTED_EDGE('',*,*,#208194,.T.); #282703=ORIENTED_EDGE('',*,*,#208196,.F.); #282704=ORIENTED_EDGE('',*,*,#208197,.F.); #282705=ORIENTED_EDGE('',*,*,#208198,.T.); #282706=ORIENTED_EDGE('',*,*,#208197,.T.); #282707=ORIENTED_EDGE('',*,*,#208199,.F.); #282708=ORIENTED_EDGE('',*,*,#208200,.F.); #282709=ORIENTED_EDGE('',*,*,#208201,.T.); #282710=ORIENTED_EDGE('',*,*,#208200,.T.); #282711=ORIENTED_EDGE('',*,*,#208202,.F.); #282712=ORIENTED_EDGE('',*,*,#208203,.F.); #282713=ORIENTED_EDGE('',*,*,#208204,.T.); #282714=ORIENTED_EDGE('',*,*,#208203,.T.); #282715=ORIENTED_EDGE('',*,*,#208205,.F.); #282716=ORIENTED_EDGE('',*,*,#208206,.F.); #282717=ORIENTED_EDGE('',*,*,#208207,.T.); #282718=ORIENTED_EDGE('',*,*,#208206,.T.); #282719=ORIENTED_EDGE('',*,*,#208208,.F.); #282720=ORIENTED_EDGE('',*,*,#208209,.F.); #282721=ORIENTED_EDGE('',*,*,#208210,.T.); #282722=ORIENTED_EDGE('',*,*,#208209,.T.); #282723=ORIENTED_EDGE('',*,*,#208211,.F.); #282724=ORIENTED_EDGE('',*,*,#208212,.F.); #282725=ORIENTED_EDGE('',*,*,#208213,.T.); #282726=ORIENTED_EDGE('',*,*,#208212,.T.); #282727=ORIENTED_EDGE('',*,*,#208214,.F.); #282728=ORIENTED_EDGE('',*,*,#208215,.F.); #282729=ORIENTED_EDGE('',*,*,#208216,.T.); #282730=ORIENTED_EDGE('',*,*,#208215,.T.); #282731=ORIENTED_EDGE('',*,*,#208217,.F.); #282732=ORIENTED_EDGE('',*,*,#208218,.F.); #282733=ORIENTED_EDGE('',*,*,#208219,.T.); #282734=ORIENTED_EDGE('',*,*,#208218,.T.); #282735=ORIENTED_EDGE('',*,*,#208220,.F.); #282736=ORIENTED_EDGE('',*,*,#208221,.F.); #282737=ORIENTED_EDGE('',*,*,#208222,.T.); #282738=ORIENTED_EDGE('',*,*,#208221,.T.); #282739=ORIENTED_EDGE('',*,*,#208223,.F.); #282740=ORIENTED_EDGE('',*,*,#208224,.F.); #282741=ORIENTED_EDGE('',*,*,#208225,.T.); #282742=ORIENTED_EDGE('',*,*,#208224,.T.); #282743=ORIENTED_EDGE('',*,*,#208226,.F.); #282744=ORIENTED_EDGE('',*,*,#208227,.F.); #282745=ORIENTED_EDGE('',*,*,#208228,.T.); #282746=ORIENTED_EDGE('',*,*,#208227,.T.); #282747=ORIENTED_EDGE('',*,*,#208229,.F.); #282748=ORIENTED_EDGE('',*,*,#208230,.F.); #282749=ORIENTED_EDGE('',*,*,#208231,.T.); #282750=ORIENTED_EDGE('',*,*,#208230,.T.); #282751=ORIENTED_EDGE('',*,*,#208232,.F.); #282752=ORIENTED_EDGE('',*,*,#208233,.F.); #282753=ORIENTED_EDGE('',*,*,#208234,.T.); #282754=ORIENTED_EDGE('',*,*,#208233,.T.); #282755=ORIENTED_EDGE('',*,*,#208235,.F.); #282756=ORIENTED_EDGE('',*,*,#208236,.F.); #282757=ORIENTED_EDGE('',*,*,#208237,.T.); #282758=ORIENTED_EDGE('',*,*,#208236,.T.); #282759=ORIENTED_EDGE('',*,*,#208238,.F.); #282760=ORIENTED_EDGE('',*,*,#208239,.F.); #282761=ORIENTED_EDGE('',*,*,#208240,.T.); #282762=ORIENTED_EDGE('',*,*,#208239,.T.); #282763=ORIENTED_EDGE('',*,*,#208241,.F.); #282764=ORIENTED_EDGE('',*,*,#208242,.F.); #282765=ORIENTED_EDGE('',*,*,#208243,.T.); #282766=ORIENTED_EDGE('',*,*,#208242,.T.); #282767=ORIENTED_EDGE('',*,*,#208244,.F.); #282768=ORIENTED_EDGE('',*,*,#208245,.F.); #282769=ORIENTED_EDGE('',*,*,#208246,.T.); #282770=ORIENTED_EDGE('',*,*,#208245,.T.); #282771=ORIENTED_EDGE('',*,*,#208247,.F.); #282772=ORIENTED_EDGE('',*,*,#208248,.F.); #282773=ORIENTED_EDGE('',*,*,#208249,.T.); #282774=ORIENTED_EDGE('',*,*,#208248,.T.); #282775=ORIENTED_EDGE('',*,*,#208250,.F.); #282776=ORIENTED_EDGE('',*,*,#208251,.F.); #282777=ORIENTED_EDGE('',*,*,#208252,.T.); #282778=ORIENTED_EDGE('',*,*,#208251,.T.); #282779=ORIENTED_EDGE('',*,*,#208253,.F.); #282780=ORIENTED_EDGE('',*,*,#208254,.F.); #282781=ORIENTED_EDGE('',*,*,#208255,.T.); #282782=ORIENTED_EDGE('',*,*,#208254,.T.); #282783=ORIENTED_EDGE('',*,*,#208256,.F.); #282784=ORIENTED_EDGE('',*,*,#208257,.F.); #282785=ORIENTED_EDGE('',*,*,#208258,.T.); #282786=ORIENTED_EDGE('',*,*,#208257,.T.); #282787=ORIENTED_EDGE('',*,*,#208259,.F.); #282788=ORIENTED_EDGE('',*,*,#208260,.F.); #282789=ORIENTED_EDGE('',*,*,#208261,.T.); #282790=ORIENTED_EDGE('',*,*,#208260,.T.); #282791=ORIENTED_EDGE('',*,*,#208262,.F.); #282792=ORIENTED_EDGE('',*,*,#208263,.F.); #282793=ORIENTED_EDGE('',*,*,#208264,.T.); #282794=ORIENTED_EDGE('',*,*,#208263,.T.); #282795=ORIENTED_EDGE('',*,*,#208265,.F.); #282796=ORIENTED_EDGE('',*,*,#208266,.F.); #282797=ORIENTED_EDGE('',*,*,#208267,.T.); #282798=ORIENTED_EDGE('',*,*,#208266,.T.); #282799=ORIENTED_EDGE('',*,*,#208268,.F.); #282800=ORIENTED_EDGE('',*,*,#208269,.F.); #282801=ORIENTED_EDGE('',*,*,#208270,.T.); #282802=ORIENTED_EDGE('',*,*,#208269,.T.); #282803=ORIENTED_EDGE('',*,*,#208271,.F.); #282804=ORIENTED_EDGE('',*,*,#208272,.F.); #282805=ORIENTED_EDGE('',*,*,#208273,.T.); #282806=ORIENTED_EDGE('',*,*,#208272,.T.); #282807=ORIENTED_EDGE('',*,*,#208274,.F.); #282808=ORIENTED_EDGE('',*,*,#208275,.F.); #282809=ORIENTED_EDGE('',*,*,#208276,.T.); #282810=ORIENTED_EDGE('',*,*,#208275,.T.); #282811=ORIENTED_EDGE('',*,*,#208277,.F.); #282812=ORIENTED_EDGE('',*,*,#208278,.F.); #282813=ORIENTED_EDGE('',*,*,#208279,.T.); #282814=ORIENTED_EDGE('',*,*,#208278,.T.); #282815=ORIENTED_EDGE('',*,*,#208280,.F.); #282816=ORIENTED_EDGE('',*,*,#208281,.F.); #282817=ORIENTED_EDGE('',*,*,#208282,.T.); #282818=ORIENTED_EDGE('',*,*,#208281,.T.); #282819=ORIENTED_EDGE('',*,*,#208283,.F.); #282820=ORIENTED_EDGE('',*,*,#208284,.F.); #282821=ORIENTED_EDGE('',*,*,#208285,.T.); #282822=ORIENTED_EDGE('',*,*,#208284,.T.); #282823=ORIENTED_EDGE('',*,*,#208286,.F.); #282824=ORIENTED_EDGE('',*,*,#208287,.F.); #282825=ORIENTED_EDGE('',*,*,#208288,.T.); #282826=ORIENTED_EDGE('',*,*,#208287,.T.); #282827=ORIENTED_EDGE('',*,*,#208289,.F.); #282828=ORIENTED_EDGE('',*,*,#208290,.F.); #282829=ORIENTED_EDGE('',*,*,#208291,.T.); #282830=ORIENTED_EDGE('',*,*,#208290,.T.); #282831=ORIENTED_EDGE('',*,*,#208292,.F.); #282832=ORIENTED_EDGE('',*,*,#208293,.F.); #282833=ORIENTED_EDGE('',*,*,#208294,.T.); #282834=ORIENTED_EDGE('',*,*,#208293,.T.); #282835=ORIENTED_EDGE('',*,*,#208295,.F.); #282836=ORIENTED_EDGE('',*,*,#208296,.F.); #282837=ORIENTED_EDGE('',*,*,#208297,.T.); #282838=ORIENTED_EDGE('',*,*,#208296,.T.); #282839=ORIENTED_EDGE('',*,*,#208298,.F.); #282840=ORIENTED_EDGE('',*,*,#208299,.F.); #282841=ORIENTED_EDGE('',*,*,#208300,.T.); #282842=ORIENTED_EDGE('',*,*,#208299,.T.); #282843=ORIENTED_EDGE('',*,*,#208301,.F.); #282844=ORIENTED_EDGE('',*,*,#208302,.F.); #282845=ORIENTED_EDGE('',*,*,#208303,.T.); #282846=ORIENTED_EDGE('',*,*,#208302,.T.); #282847=ORIENTED_EDGE('',*,*,#208304,.F.); #282848=ORIENTED_EDGE('',*,*,#208084,.F.); #282849=ORIENTED_EDGE('',*,*,#208304,.T.); #282850=ORIENTED_EDGE('',*,*,#208301,.T.); #282851=ORIENTED_EDGE('',*,*,#208298,.T.); #282852=ORIENTED_EDGE('',*,*,#208295,.T.); #282853=ORIENTED_EDGE('',*,*,#208292,.T.); #282854=ORIENTED_EDGE('',*,*,#208289,.T.); #282855=ORIENTED_EDGE('',*,*,#208286,.T.); #282856=ORIENTED_EDGE('',*,*,#208283,.T.); #282857=ORIENTED_EDGE('',*,*,#208280,.T.); #282858=ORIENTED_EDGE('',*,*,#208277,.T.); #282859=ORIENTED_EDGE('',*,*,#208274,.T.); #282860=ORIENTED_EDGE('',*,*,#208271,.T.); #282861=ORIENTED_EDGE('',*,*,#208268,.T.); #282862=ORIENTED_EDGE('',*,*,#208265,.T.); #282863=ORIENTED_EDGE('',*,*,#208262,.T.); #282864=ORIENTED_EDGE('',*,*,#208259,.T.); #282865=ORIENTED_EDGE('',*,*,#208256,.T.); #282866=ORIENTED_EDGE('',*,*,#208253,.T.); #282867=ORIENTED_EDGE('',*,*,#208250,.T.); #282868=ORIENTED_EDGE('',*,*,#208247,.T.); #282869=ORIENTED_EDGE('',*,*,#208244,.T.); #282870=ORIENTED_EDGE('',*,*,#208241,.T.); #282871=ORIENTED_EDGE('',*,*,#208238,.T.); #282872=ORIENTED_EDGE('',*,*,#208235,.T.); #282873=ORIENTED_EDGE('',*,*,#208232,.T.); #282874=ORIENTED_EDGE('',*,*,#208229,.T.); #282875=ORIENTED_EDGE('',*,*,#208226,.T.); #282876=ORIENTED_EDGE('',*,*,#208223,.T.); #282877=ORIENTED_EDGE('',*,*,#208220,.T.); #282878=ORIENTED_EDGE('',*,*,#208217,.T.); #282879=ORIENTED_EDGE('',*,*,#208214,.T.); #282880=ORIENTED_EDGE('',*,*,#208211,.T.); #282881=ORIENTED_EDGE('',*,*,#208208,.T.); #282882=ORIENTED_EDGE('',*,*,#208205,.T.); #282883=ORIENTED_EDGE('',*,*,#208202,.T.); #282884=ORIENTED_EDGE('',*,*,#208199,.T.); #282885=ORIENTED_EDGE('',*,*,#208196,.T.); #282886=ORIENTED_EDGE('',*,*,#208193,.T.); #282887=ORIENTED_EDGE('',*,*,#208190,.T.); #282888=ORIENTED_EDGE('',*,*,#208187,.T.); #282889=ORIENTED_EDGE('',*,*,#208184,.T.); #282890=ORIENTED_EDGE('',*,*,#208181,.T.); #282891=ORIENTED_EDGE('',*,*,#208178,.T.); #282892=ORIENTED_EDGE('',*,*,#208175,.T.); #282893=ORIENTED_EDGE('',*,*,#208172,.T.); #282894=ORIENTED_EDGE('',*,*,#208169,.T.); #282895=ORIENTED_EDGE('',*,*,#208166,.T.); #282896=ORIENTED_EDGE('',*,*,#208163,.T.); #282897=ORIENTED_EDGE('',*,*,#208160,.T.); #282898=ORIENTED_EDGE('',*,*,#208157,.T.); #282899=ORIENTED_EDGE('',*,*,#208154,.T.); #282900=ORIENTED_EDGE('',*,*,#208151,.T.); #282901=ORIENTED_EDGE('',*,*,#208148,.T.); #282902=ORIENTED_EDGE('',*,*,#208145,.T.); #282903=ORIENTED_EDGE('',*,*,#208142,.T.); #282904=ORIENTED_EDGE('',*,*,#208139,.T.); #282905=ORIENTED_EDGE('',*,*,#208136,.T.); #282906=ORIENTED_EDGE('',*,*,#208133,.T.); #282907=ORIENTED_EDGE('',*,*,#208130,.T.); #282908=ORIENTED_EDGE('',*,*,#208127,.T.); #282909=ORIENTED_EDGE('',*,*,#208124,.T.); #282910=ORIENTED_EDGE('',*,*,#208121,.T.); #282911=ORIENTED_EDGE('',*,*,#208118,.T.); #282912=ORIENTED_EDGE('',*,*,#208115,.T.); #282913=ORIENTED_EDGE('',*,*,#208112,.T.); #282914=ORIENTED_EDGE('',*,*,#208109,.T.); #282915=ORIENTED_EDGE('',*,*,#208106,.T.); #282916=ORIENTED_EDGE('',*,*,#208103,.T.); #282917=ORIENTED_EDGE('',*,*,#208100,.T.); #282918=ORIENTED_EDGE('',*,*,#208097,.T.); #282919=ORIENTED_EDGE('',*,*,#208094,.T.); #282920=ORIENTED_EDGE('',*,*,#208091,.T.); #282921=ORIENTED_EDGE('',*,*,#208088,.T.); #282922=ORIENTED_EDGE('',*,*,#208085,.T.); #282923=ORIENTED_EDGE('',*,*,#208025,.T.); #282924=ORIENTED_EDGE('',*,*,#208028,.T.); #282925=ORIENTED_EDGE('',*,*,#208031,.T.); #282926=ORIENTED_EDGE('',*,*,#208034,.T.); #282927=ORIENTED_EDGE('',*,*,#208082,.T.); #282928=ORIENTED_EDGE('',*,*,#208079,.T.); #282929=ORIENTED_EDGE('',*,*,#208076,.T.); #282930=ORIENTED_EDGE('',*,*,#208073,.T.); #282931=ORIENTED_EDGE('',*,*,#208070,.T.); #282932=ORIENTED_EDGE('',*,*,#208067,.T.); #282933=ORIENTED_EDGE('',*,*,#208064,.T.); #282934=ORIENTED_EDGE('',*,*,#208061,.T.); #282935=ORIENTED_EDGE('',*,*,#208058,.T.); #282936=ORIENTED_EDGE('',*,*,#208055,.T.); #282937=ORIENTED_EDGE('',*,*,#208052,.T.); #282938=ORIENTED_EDGE('',*,*,#208049,.T.); #282939=ORIENTED_EDGE('',*,*,#208046,.T.); #282940=ORIENTED_EDGE('',*,*,#208043,.T.); #282941=ORIENTED_EDGE('',*,*,#208040,.T.); #282942=ORIENTED_EDGE('',*,*,#208037,.T.); #282943=ORIENTED_EDGE('',*,*,#208303,.F.); #282944=ORIENTED_EDGE('',*,*,#208083,.F.); #282945=ORIENTED_EDGE('',*,*,#208087,.F.); #282946=ORIENTED_EDGE('',*,*,#208090,.F.); #282947=ORIENTED_EDGE('',*,*,#208093,.F.); #282948=ORIENTED_EDGE('',*,*,#208096,.F.); #282949=ORIENTED_EDGE('',*,*,#208099,.F.); #282950=ORIENTED_EDGE('',*,*,#208102,.F.); #282951=ORIENTED_EDGE('',*,*,#208105,.F.); #282952=ORIENTED_EDGE('',*,*,#208108,.F.); #282953=ORIENTED_EDGE('',*,*,#208111,.F.); #282954=ORIENTED_EDGE('',*,*,#208114,.F.); #282955=ORIENTED_EDGE('',*,*,#208117,.F.); #282956=ORIENTED_EDGE('',*,*,#208120,.F.); #282957=ORIENTED_EDGE('',*,*,#208123,.F.); #282958=ORIENTED_EDGE('',*,*,#208126,.F.); #282959=ORIENTED_EDGE('',*,*,#208129,.F.); #282960=ORIENTED_EDGE('',*,*,#208132,.F.); #282961=ORIENTED_EDGE('',*,*,#208135,.F.); #282962=ORIENTED_EDGE('',*,*,#208138,.F.); #282963=ORIENTED_EDGE('',*,*,#208141,.F.); #282964=ORIENTED_EDGE('',*,*,#208144,.F.); #282965=ORIENTED_EDGE('',*,*,#208147,.F.); #282966=ORIENTED_EDGE('',*,*,#208150,.F.); #282967=ORIENTED_EDGE('',*,*,#208153,.F.); #282968=ORIENTED_EDGE('',*,*,#208156,.F.); #282969=ORIENTED_EDGE('',*,*,#208159,.F.); #282970=ORIENTED_EDGE('',*,*,#208162,.F.); #282971=ORIENTED_EDGE('',*,*,#208165,.F.); #282972=ORIENTED_EDGE('',*,*,#208168,.F.); #282973=ORIENTED_EDGE('',*,*,#208171,.F.); #282974=ORIENTED_EDGE('',*,*,#208174,.F.); #282975=ORIENTED_EDGE('',*,*,#208177,.F.); #282976=ORIENTED_EDGE('',*,*,#208180,.F.); #282977=ORIENTED_EDGE('',*,*,#208183,.F.); #282978=ORIENTED_EDGE('',*,*,#208186,.F.); #282979=ORIENTED_EDGE('',*,*,#208189,.F.); #282980=ORIENTED_EDGE('',*,*,#208192,.F.); #282981=ORIENTED_EDGE('',*,*,#208195,.F.); #282982=ORIENTED_EDGE('',*,*,#208198,.F.); #282983=ORIENTED_EDGE('',*,*,#208201,.F.); #282984=ORIENTED_EDGE('',*,*,#208204,.F.); #282985=ORIENTED_EDGE('',*,*,#208207,.F.); #282986=ORIENTED_EDGE('',*,*,#208210,.F.); #282987=ORIENTED_EDGE('',*,*,#208213,.F.); #282988=ORIENTED_EDGE('',*,*,#208216,.F.); #282989=ORIENTED_EDGE('',*,*,#208219,.F.); #282990=ORIENTED_EDGE('',*,*,#208222,.F.); #282991=ORIENTED_EDGE('',*,*,#208225,.F.); #282992=ORIENTED_EDGE('',*,*,#208228,.F.); #282993=ORIENTED_EDGE('',*,*,#208231,.F.); #282994=ORIENTED_EDGE('',*,*,#208234,.F.); #282995=ORIENTED_EDGE('',*,*,#208237,.F.); #282996=ORIENTED_EDGE('',*,*,#208240,.F.); #282997=ORIENTED_EDGE('',*,*,#208243,.F.); #282998=ORIENTED_EDGE('',*,*,#208246,.F.); #282999=ORIENTED_EDGE('',*,*,#208249,.F.); #283000=ORIENTED_EDGE('',*,*,#208252,.F.); #283001=ORIENTED_EDGE('',*,*,#208255,.F.); #283002=ORIENTED_EDGE('',*,*,#208258,.F.); #283003=ORIENTED_EDGE('',*,*,#208261,.F.); #283004=ORIENTED_EDGE('',*,*,#208264,.F.); #283005=ORIENTED_EDGE('',*,*,#208267,.F.); #283006=ORIENTED_EDGE('',*,*,#208270,.F.); #283007=ORIENTED_EDGE('',*,*,#208273,.F.); #283008=ORIENTED_EDGE('',*,*,#208276,.F.); #283009=ORIENTED_EDGE('',*,*,#208279,.F.); #283010=ORIENTED_EDGE('',*,*,#208282,.F.); #283011=ORIENTED_EDGE('',*,*,#208285,.F.); #283012=ORIENTED_EDGE('',*,*,#208288,.F.); #283013=ORIENTED_EDGE('',*,*,#208291,.F.); #283014=ORIENTED_EDGE('',*,*,#208294,.F.); #283015=ORIENTED_EDGE('',*,*,#208297,.F.); #283016=ORIENTED_EDGE('',*,*,#208300,.F.); #283017=ORIENTED_EDGE('',*,*,#208023,.T.); #283018=ORIENTED_EDGE('',*,*,#208026,.T.); #283019=ORIENTED_EDGE('',*,*,#208029,.T.); #283020=ORIENTED_EDGE('',*,*,#208032,.T.); #283021=ORIENTED_EDGE('',*,*,#208081,.F.); #283022=ORIENTED_EDGE('',*,*,#208035,.F.); #283023=ORIENTED_EDGE('',*,*,#208039,.F.); #283024=ORIENTED_EDGE('',*,*,#208042,.F.); #283025=ORIENTED_EDGE('',*,*,#208045,.F.); #283026=ORIENTED_EDGE('',*,*,#208048,.F.); #283027=ORIENTED_EDGE('',*,*,#208051,.F.); #283028=ORIENTED_EDGE('',*,*,#208054,.F.); #283029=ORIENTED_EDGE('',*,*,#208057,.F.); #283030=ORIENTED_EDGE('',*,*,#208060,.F.); #283031=ORIENTED_EDGE('',*,*,#208063,.F.); #283032=ORIENTED_EDGE('',*,*,#208066,.F.); #283033=ORIENTED_EDGE('',*,*,#208069,.F.); #283034=ORIENTED_EDGE('',*,*,#208072,.F.); #283035=ORIENTED_EDGE('',*,*,#208075,.F.); #283036=ORIENTED_EDGE('',*,*,#208078,.F.); #283037=ORIENTED_EDGE('',*,*,#208305,.F.); #283038=ORIENTED_EDGE('',*,*,#208306,.T.); #283039=ORIENTED_EDGE('',*,*,#208307,.F.); #283040=ORIENTED_EDGE('',*,*,#208306,.F.); #283041=ORIENTED_EDGE('',*,*,#208308,.T.); #283042=ORIENTED_EDGE('',*,*,#208309,.T.); #283043=ORIENTED_EDGE('',*,*,#208310,.F.); #283044=ORIENTED_EDGE('',*,*,#208311,.F.); #283045=ORIENTED_EDGE('',*,*,#208312,.T.); #283046=ORIENTED_EDGE('',*,*,#208311,.T.); #283047=ORIENTED_EDGE('',*,*,#208313,.F.); #283048=ORIENTED_EDGE('',*,*,#208314,.F.); #283049=ORIENTED_EDGE('',*,*,#208315,.T.); #283050=ORIENTED_EDGE('',*,*,#208314,.T.); #283051=ORIENTED_EDGE('',*,*,#208316,.F.); #283052=ORIENTED_EDGE('',*,*,#208317,.F.); #283053=ORIENTED_EDGE('',*,*,#208318,.T.); #283054=ORIENTED_EDGE('',*,*,#208317,.T.); #283055=ORIENTED_EDGE('',*,*,#208319,.F.); #283056=ORIENTED_EDGE('',*,*,#208320,.F.); #283057=ORIENTED_EDGE('',*,*,#208321,.T.); #283058=ORIENTED_EDGE('',*,*,#208320,.T.); #283059=ORIENTED_EDGE('',*,*,#208322,.F.); #283060=ORIENTED_EDGE('',*,*,#208323,.F.); #283061=ORIENTED_EDGE('',*,*,#208324,.T.); #283062=ORIENTED_EDGE('',*,*,#208323,.T.); #283063=ORIENTED_EDGE('',*,*,#208325,.F.); #283064=ORIENTED_EDGE('',*,*,#208326,.F.); #283065=ORIENTED_EDGE('',*,*,#208327,.T.); #283066=ORIENTED_EDGE('',*,*,#208326,.T.); #283067=ORIENTED_EDGE('',*,*,#208328,.F.); #283068=ORIENTED_EDGE('',*,*,#208329,.F.); #283069=ORIENTED_EDGE('',*,*,#208330,.T.); #283070=ORIENTED_EDGE('',*,*,#208329,.T.); #283071=ORIENTED_EDGE('',*,*,#208331,.F.); #283072=ORIENTED_EDGE('',*,*,#208332,.F.); #283073=ORIENTED_EDGE('',*,*,#208333,.T.); #283074=ORIENTED_EDGE('',*,*,#208332,.T.); #283075=ORIENTED_EDGE('',*,*,#208334,.F.); #283076=ORIENTED_EDGE('',*,*,#208335,.F.); #283077=ORIENTED_EDGE('',*,*,#208336,.T.); #283078=ORIENTED_EDGE('',*,*,#208335,.T.); #283079=ORIENTED_EDGE('',*,*,#208337,.F.); #283080=ORIENTED_EDGE('',*,*,#208338,.F.); #283081=ORIENTED_EDGE('',*,*,#208339,.T.); #283082=ORIENTED_EDGE('',*,*,#208338,.T.); #283083=ORIENTED_EDGE('',*,*,#208340,.F.); #283084=ORIENTED_EDGE('',*,*,#208341,.F.); #283085=ORIENTED_EDGE('',*,*,#208342,.T.); #283086=ORIENTED_EDGE('',*,*,#208341,.T.); #283087=ORIENTED_EDGE('',*,*,#208343,.F.); #283088=ORIENTED_EDGE('',*,*,#208344,.F.); #283089=ORIENTED_EDGE('',*,*,#208345,.T.); #283090=ORIENTED_EDGE('',*,*,#208344,.T.); #283091=ORIENTED_EDGE('',*,*,#208346,.F.); #283092=ORIENTED_EDGE('',*,*,#208347,.F.); #283093=ORIENTED_EDGE('',*,*,#208348,.T.); #283094=ORIENTED_EDGE('',*,*,#208347,.T.); #283095=ORIENTED_EDGE('',*,*,#208349,.F.); #283096=ORIENTED_EDGE('',*,*,#208350,.F.); #283097=ORIENTED_EDGE('',*,*,#208351,.T.); #283098=ORIENTED_EDGE('',*,*,#208350,.T.); #283099=ORIENTED_EDGE('',*,*,#208352,.F.); #283100=ORIENTED_EDGE('',*,*,#208353,.F.); #283101=ORIENTED_EDGE('',*,*,#208354,.T.); #283102=ORIENTED_EDGE('',*,*,#208353,.T.); #283103=ORIENTED_EDGE('',*,*,#208355,.F.); #283104=ORIENTED_EDGE('',*,*,#208356,.F.); #283105=ORIENTED_EDGE('',*,*,#208357,.T.); #283106=ORIENTED_EDGE('',*,*,#208356,.T.); #283107=ORIENTED_EDGE('',*,*,#208358,.F.); #283108=ORIENTED_EDGE('',*,*,#208359,.F.); #283109=ORIENTED_EDGE('',*,*,#208360,.T.); #283110=ORIENTED_EDGE('',*,*,#208359,.T.); #283111=ORIENTED_EDGE('',*,*,#208361,.F.); #283112=ORIENTED_EDGE('',*,*,#208362,.F.); #283113=ORIENTED_EDGE('',*,*,#208363,.T.); #283114=ORIENTED_EDGE('',*,*,#208362,.T.); #283115=ORIENTED_EDGE('',*,*,#208364,.F.); #283116=ORIENTED_EDGE('',*,*,#208365,.F.); #283117=ORIENTED_EDGE('',*,*,#208366,.T.); #283118=ORIENTED_EDGE('',*,*,#208365,.T.); #283119=ORIENTED_EDGE('',*,*,#208367,.F.); #283120=ORIENTED_EDGE('',*,*,#208368,.F.); #283121=ORIENTED_EDGE('',*,*,#208369,.T.); #283122=ORIENTED_EDGE('',*,*,#208368,.T.); #283123=ORIENTED_EDGE('',*,*,#208370,.F.); #283124=ORIENTED_EDGE('',*,*,#208371,.F.); #283125=ORIENTED_EDGE('',*,*,#208372,.T.); #283126=ORIENTED_EDGE('',*,*,#208371,.T.); #283127=ORIENTED_EDGE('',*,*,#208373,.F.); #283128=ORIENTED_EDGE('',*,*,#208374,.F.); #283129=ORIENTED_EDGE('',*,*,#208375,.T.); #283130=ORIENTED_EDGE('',*,*,#208374,.T.); #283131=ORIENTED_EDGE('',*,*,#208376,.F.); #283132=ORIENTED_EDGE('',*,*,#208377,.F.); #283133=ORIENTED_EDGE('',*,*,#208378,.T.); #283134=ORIENTED_EDGE('',*,*,#208377,.T.); #283135=ORIENTED_EDGE('',*,*,#208379,.F.); #283136=ORIENTED_EDGE('',*,*,#208380,.F.); #283137=ORIENTED_EDGE('',*,*,#208381,.T.); #283138=ORIENTED_EDGE('',*,*,#208380,.T.); #283139=ORIENTED_EDGE('',*,*,#208382,.F.); #283140=ORIENTED_EDGE('',*,*,#208383,.F.); #283141=ORIENTED_EDGE('',*,*,#208384,.T.); #283142=ORIENTED_EDGE('',*,*,#208383,.T.); #283143=ORIENTED_EDGE('',*,*,#208385,.F.); #283144=ORIENTED_EDGE('',*,*,#208386,.F.); #283145=ORIENTED_EDGE('',*,*,#208387,.T.); #283146=ORIENTED_EDGE('',*,*,#208386,.T.); #283147=ORIENTED_EDGE('',*,*,#208388,.F.); #283148=ORIENTED_EDGE('',*,*,#208389,.F.); #283149=ORIENTED_EDGE('',*,*,#208390,.T.); #283150=ORIENTED_EDGE('',*,*,#208389,.T.); #283151=ORIENTED_EDGE('',*,*,#208391,.F.); #283152=ORIENTED_EDGE('',*,*,#208392,.F.); #283153=ORIENTED_EDGE('',*,*,#208393,.T.); #283154=ORIENTED_EDGE('',*,*,#208392,.T.); #283155=ORIENTED_EDGE('',*,*,#208394,.F.); #283156=ORIENTED_EDGE('',*,*,#208395,.F.); #283157=ORIENTED_EDGE('',*,*,#208396,.T.); #283158=ORIENTED_EDGE('',*,*,#208395,.T.); #283159=ORIENTED_EDGE('',*,*,#208397,.F.); #283160=ORIENTED_EDGE('',*,*,#208398,.F.); #283161=ORIENTED_EDGE('',*,*,#208399,.T.); #283162=ORIENTED_EDGE('',*,*,#208398,.T.); #283163=ORIENTED_EDGE('',*,*,#208400,.F.); #283164=ORIENTED_EDGE('',*,*,#208401,.F.); #283165=ORIENTED_EDGE('',*,*,#208402,.T.); #283166=ORIENTED_EDGE('',*,*,#208401,.T.); #283167=ORIENTED_EDGE('',*,*,#208403,.F.); #283168=ORIENTED_EDGE('',*,*,#208404,.F.); #283169=ORIENTED_EDGE('',*,*,#208405,.T.); #283170=ORIENTED_EDGE('',*,*,#208404,.T.); #283171=ORIENTED_EDGE('',*,*,#208406,.F.); #283172=ORIENTED_EDGE('',*,*,#208407,.F.); #283173=ORIENTED_EDGE('',*,*,#208408,.T.); #283174=ORIENTED_EDGE('',*,*,#208407,.T.); #283175=ORIENTED_EDGE('',*,*,#208409,.F.); #283176=ORIENTED_EDGE('',*,*,#208410,.F.); #283177=ORIENTED_EDGE('',*,*,#208411,.T.); #283178=ORIENTED_EDGE('',*,*,#208410,.T.); #283179=ORIENTED_EDGE('',*,*,#208412,.F.); #283180=ORIENTED_EDGE('',*,*,#208413,.F.); #283181=ORIENTED_EDGE('',*,*,#208414,.T.); #283182=ORIENTED_EDGE('',*,*,#208413,.T.); #283183=ORIENTED_EDGE('',*,*,#208415,.F.); #283184=ORIENTED_EDGE('',*,*,#208416,.F.); #283185=ORIENTED_EDGE('',*,*,#208417,.T.); #283186=ORIENTED_EDGE('',*,*,#208416,.T.); #283187=ORIENTED_EDGE('',*,*,#208418,.F.); #283188=ORIENTED_EDGE('',*,*,#208419,.F.); #283189=ORIENTED_EDGE('',*,*,#208420,.T.); #283190=ORIENTED_EDGE('',*,*,#208419,.T.); #283191=ORIENTED_EDGE('',*,*,#208421,.F.); #283192=ORIENTED_EDGE('',*,*,#208422,.F.); #283193=ORIENTED_EDGE('',*,*,#208423,.T.); #283194=ORIENTED_EDGE('',*,*,#208422,.T.); #283195=ORIENTED_EDGE('',*,*,#208424,.F.); #283196=ORIENTED_EDGE('',*,*,#208425,.F.); #283197=ORIENTED_EDGE('',*,*,#208426,.T.); #283198=ORIENTED_EDGE('',*,*,#208425,.T.); #283199=ORIENTED_EDGE('',*,*,#208427,.F.); #283200=ORIENTED_EDGE('',*,*,#208428,.F.); #283201=ORIENTED_EDGE('',*,*,#208429,.T.); #283202=ORIENTED_EDGE('',*,*,#208428,.T.); #283203=ORIENTED_EDGE('',*,*,#208430,.F.); #283204=ORIENTED_EDGE('',*,*,#208431,.F.); #283205=ORIENTED_EDGE('',*,*,#208432,.T.); #283206=ORIENTED_EDGE('',*,*,#208431,.T.); #283207=ORIENTED_EDGE('',*,*,#208433,.F.); #283208=ORIENTED_EDGE('',*,*,#208434,.F.); #283209=ORIENTED_EDGE('',*,*,#208435,.T.); #283210=ORIENTED_EDGE('',*,*,#208434,.T.); #283211=ORIENTED_EDGE('',*,*,#208436,.F.); #283212=ORIENTED_EDGE('',*,*,#208437,.F.); #283213=ORIENTED_EDGE('',*,*,#208438,.T.); #283214=ORIENTED_EDGE('',*,*,#208437,.T.); #283215=ORIENTED_EDGE('',*,*,#208439,.F.); #283216=ORIENTED_EDGE('',*,*,#208440,.F.); #283217=ORIENTED_EDGE('',*,*,#208441,.T.); #283218=ORIENTED_EDGE('',*,*,#208440,.T.); #283219=ORIENTED_EDGE('',*,*,#208442,.F.); #283220=ORIENTED_EDGE('',*,*,#208443,.F.); #283221=ORIENTED_EDGE('',*,*,#208444,.T.); #283222=ORIENTED_EDGE('',*,*,#208443,.T.); #283223=ORIENTED_EDGE('',*,*,#208445,.F.); #283224=ORIENTED_EDGE('',*,*,#208446,.F.); #283225=ORIENTED_EDGE('',*,*,#208447,.T.); #283226=ORIENTED_EDGE('',*,*,#208446,.T.); #283227=ORIENTED_EDGE('',*,*,#208448,.F.); #283228=ORIENTED_EDGE('',*,*,#208449,.F.); #283229=ORIENTED_EDGE('',*,*,#208450,.T.); #283230=ORIENTED_EDGE('',*,*,#208449,.T.); #283231=ORIENTED_EDGE('',*,*,#208451,.F.); #283232=ORIENTED_EDGE('',*,*,#208309,.F.); #283233=ORIENTED_EDGE('',*,*,#208451,.T.); #283234=ORIENTED_EDGE('',*,*,#208448,.T.); #283235=ORIENTED_EDGE('',*,*,#208445,.T.); #283236=ORIENTED_EDGE('',*,*,#208442,.T.); #283237=ORIENTED_EDGE('',*,*,#208439,.T.); #283238=ORIENTED_EDGE('',*,*,#208436,.T.); #283239=ORIENTED_EDGE('',*,*,#208433,.T.); #283240=ORIENTED_EDGE('',*,*,#208430,.T.); #283241=ORIENTED_EDGE('',*,*,#208427,.T.); #283242=ORIENTED_EDGE('',*,*,#208424,.T.); #283243=ORIENTED_EDGE('',*,*,#208421,.T.); #283244=ORIENTED_EDGE('',*,*,#208418,.T.); #283245=ORIENTED_EDGE('',*,*,#208415,.T.); #283246=ORIENTED_EDGE('',*,*,#208412,.T.); #283247=ORIENTED_EDGE('',*,*,#208409,.T.); #283248=ORIENTED_EDGE('',*,*,#208406,.T.); #283249=ORIENTED_EDGE('',*,*,#208403,.T.); #283250=ORIENTED_EDGE('',*,*,#208400,.T.); #283251=ORIENTED_EDGE('',*,*,#208397,.T.); #283252=ORIENTED_EDGE('',*,*,#208394,.T.); #283253=ORIENTED_EDGE('',*,*,#208391,.T.); #283254=ORIENTED_EDGE('',*,*,#208388,.T.); #283255=ORIENTED_EDGE('',*,*,#208385,.T.); #283256=ORIENTED_EDGE('',*,*,#208382,.T.); #283257=ORIENTED_EDGE('',*,*,#208379,.T.); #283258=ORIENTED_EDGE('',*,*,#208376,.T.); #283259=ORIENTED_EDGE('',*,*,#208373,.T.); #283260=ORIENTED_EDGE('',*,*,#208370,.T.); #283261=ORIENTED_EDGE('',*,*,#208367,.T.); #283262=ORIENTED_EDGE('',*,*,#208364,.T.); #283263=ORIENTED_EDGE('',*,*,#208361,.T.); #283264=ORIENTED_EDGE('',*,*,#208358,.T.); #283265=ORIENTED_EDGE('',*,*,#208355,.T.); #283266=ORIENTED_EDGE('',*,*,#208352,.T.); #283267=ORIENTED_EDGE('',*,*,#208349,.T.); #283268=ORIENTED_EDGE('',*,*,#208346,.T.); #283269=ORIENTED_EDGE('',*,*,#208343,.T.); #283270=ORIENTED_EDGE('',*,*,#208340,.T.); #283271=ORIENTED_EDGE('',*,*,#208337,.T.); #283272=ORIENTED_EDGE('',*,*,#208334,.T.); #283273=ORIENTED_EDGE('',*,*,#208331,.T.); #283274=ORIENTED_EDGE('',*,*,#208328,.T.); #283275=ORIENTED_EDGE('',*,*,#208325,.T.); #283276=ORIENTED_EDGE('',*,*,#208322,.T.); #283277=ORIENTED_EDGE('',*,*,#208319,.T.); #283278=ORIENTED_EDGE('',*,*,#208316,.T.); #283279=ORIENTED_EDGE('',*,*,#208313,.T.); #283280=ORIENTED_EDGE('',*,*,#208310,.T.); #283281=ORIENTED_EDGE('',*,*,#208307,.T.); #283282=ORIENTED_EDGE('',*,*,#208450,.F.); #283283=ORIENTED_EDGE('',*,*,#208308,.F.); #283284=ORIENTED_EDGE('',*,*,#208312,.F.); #283285=ORIENTED_EDGE('',*,*,#208315,.F.); #283286=ORIENTED_EDGE('',*,*,#208318,.F.); #283287=ORIENTED_EDGE('',*,*,#208321,.F.); #283288=ORIENTED_EDGE('',*,*,#208324,.F.); #283289=ORIENTED_EDGE('',*,*,#208327,.F.); #283290=ORIENTED_EDGE('',*,*,#208330,.F.); #283291=ORIENTED_EDGE('',*,*,#208333,.F.); #283292=ORIENTED_EDGE('',*,*,#208336,.F.); #283293=ORIENTED_EDGE('',*,*,#208339,.F.); #283294=ORIENTED_EDGE('',*,*,#208342,.F.); #283295=ORIENTED_EDGE('',*,*,#208345,.F.); #283296=ORIENTED_EDGE('',*,*,#208348,.F.); #283297=ORIENTED_EDGE('',*,*,#208351,.F.); #283298=ORIENTED_EDGE('',*,*,#208354,.F.); #283299=ORIENTED_EDGE('',*,*,#208357,.F.); #283300=ORIENTED_EDGE('',*,*,#208360,.F.); #283301=ORIENTED_EDGE('',*,*,#208363,.F.); #283302=ORIENTED_EDGE('',*,*,#208366,.F.); #283303=ORIENTED_EDGE('',*,*,#208369,.F.); #283304=ORIENTED_EDGE('',*,*,#208372,.F.); #283305=ORIENTED_EDGE('',*,*,#208375,.F.); #283306=ORIENTED_EDGE('',*,*,#208378,.F.); #283307=ORIENTED_EDGE('',*,*,#208381,.F.); #283308=ORIENTED_EDGE('',*,*,#208384,.F.); #283309=ORIENTED_EDGE('',*,*,#208387,.F.); #283310=ORIENTED_EDGE('',*,*,#208390,.F.); #283311=ORIENTED_EDGE('',*,*,#208393,.F.); #283312=ORIENTED_EDGE('',*,*,#208396,.F.); #283313=ORIENTED_EDGE('',*,*,#208399,.F.); #283314=ORIENTED_EDGE('',*,*,#208402,.F.); #283315=ORIENTED_EDGE('',*,*,#208405,.F.); #283316=ORIENTED_EDGE('',*,*,#208408,.F.); #283317=ORIENTED_EDGE('',*,*,#208411,.F.); #283318=ORIENTED_EDGE('',*,*,#208414,.F.); #283319=ORIENTED_EDGE('',*,*,#208417,.F.); #283320=ORIENTED_EDGE('',*,*,#208420,.F.); #283321=ORIENTED_EDGE('',*,*,#208423,.F.); #283322=ORIENTED_EDGE('',*,*,#208426,.F.); #283323=ORIENTED_EDGE('',*,*,#208429,.F.); #283324=ORIENTED_EDGE('',*,*,#208432,.F.); #283325=ORIENTED_EDGE('',*,*,#208435,.F.); #283326=ORIENTED_EDGE('',*,*,#208438,.F.); #283327=ORIENTED_EDGE('',*,*,#208441,.F.); #283328=ORIENTED_EDGE('',*,*,#208444,.F.); #283329=ORIENTED_EDGE('',*,*,#208447,.F.); #283330=ORIENTED_EDGE('',*,*,#208305,.T.); #283331=ORIENTED_EDGE('',*,*,#208452,.F.); #283332=ORIENTED_EDGE('',*,*,#208453,.T.); #283333=ORIENTED_EDGE('',*,*,#208454,.F.); #283334=ORIENTED_EDGE('',*,*,#208453,.F.); #283335=ORIENTED_EDGE('',*,*,#208455,.F.); #283336=ORIENTED_EDGE('',*,*,#208456,.T.); #283337=ORIENTED_EDGE('',*,*,#208457,.F.); #283338=ORIENTED_EDGE('',*,*,#208456,.F.); #283339=ORIENTED_EDGE('',*,*,#208458,.F.); #283340=ORIENTED_EDGE('',*,*,#208459,.T.); #283341=ORIENTED_EDGE('',*,*,#208460,.F.); #283342=ORIENTED_EDGE('',*,*,#208459,.F.); #283343=ORIENTED_EDGE('',*,*,#208461,.F.); #283344=ORIENTED_EDGE('',*,*,#208462,.T.); #283345=ORIENTED_EDGE('',*,*,#208463,.F.); #283346=ORIENTED_EDGE('',*,*,#208462,.F.); #283347=ORIENTED_EDGE('',*,*,#208464,.F.); #283348=ORIENTED_EDGE('',*,*,#208465,.T.); #283349=ORIENTED_EDGE('',*,*,#208466,.F.); #283350=ORIENTED_EDGE('',*,*,#208465,.F.); #283351=ORIENTED_EDGE('',*,*,#208467,.F.); #283352=ORIENTED_EDGE('',*,*,#208468,.T.); #283353=ORIENTED_EDGE('',*,*,#208469,.F.); #283354=ORIENTED_EDGE('',*,*,#208468,.F.); #283355=ORIENTED_EDGE('',*,*,#208470,.F.); #283356=ORIENTED_EDGE('',*,*,#208471,.T.); #283357=ORIENTED_EDGE('',*,*,#208472,.F.); #283358=ORIENTED_EDGE('',*,*,#208471,.F.); #283359=ORIENTED_EDGE('',*,*,#208473,.F.); #283360=ORIENTED_EDGE('',*,*,#208474,.T.); #283361=ORIENTED_EDGE('',*,*,#208475,.F.); #283362=ORIENTED_EDGE('',*,*,#208474,.F.); #283363=ORIENTED_EDGE('',*,*,#208476,.F.); #283364=ORIENTED_EDGE('',*,*,#208477,.T.); #283365=ORIENTED_EDGE('',*,*,#208478,.F.); #283366=ORIENTED_EDGE('',*,*,#208477,.F.); #283367=ORIENTED_EDGE('',*,*,#208479,.F.); #283368=ORIENTED_EDGE('',*,*,#208480,.T.); #283369=ORIENTED_EDGE('',*,*,#208481,.F.); #283370=ORIENTED_EDGE('',*,*,#208480,.F.); #283371=ORIENTED_EDGE('',*,*,#208482,.F.); #283372=ORIENTED_EDGE('',*,*,#208483,.T.); #283373=ORIENTED_EDGE('',*,*,#208484,.F.); #283374=ORIENTED_EDGE('',*,*,#208483,.F.); #283375=ORIENTED_EDGE('',*,*,#208485,.F.); #283376=ORIENTED_EDGE('',*,*,#208486,.T.); #283377=ORIENTED_EDGE('',*,*,#208487,.F.); #283378=ORIENTED_EDGE('',*,*,#208486,.F.); #283379=ORIENTED_EDGE('',*,*,#208488,.T.); #283380=ORIENTED_EDGE('',*,*,#208489,.T.); #283381=ORIENTED_EDGE('',*,*,#208490,.F.); #283382=ORIENTED_EDGE('',*,*,#208491,.F.); #283383=ORIENTED_EDGE('',*,*,#208492,.T.); #283384=ORIENTED_EDGE('',*,*,#208491,.T.); #283385=ORIENTED_EDGE('',*,*,#208493,.F.); #283386=ORIENTED_EDGE('',*,*,#208494,.F.); #283387=ORIENTED_EDGE('',*,*,#208495,.T.); #283388=ORIENTED_EDGE('',*,*,#208494,.T.); #283389=ORIENTED_EDGE('',*,*,#208496,.F.); #283390=ORIENTED_EDGE('',*,*,#208497,.F.); #283391=ORIENTED_EDGE('',*,*,#208498,.T.); #283392=ORIENTED_EDGE('',*,*,#208497,.T.); #283393=ORIENTED_EDGE('',*,*,#208499,.F.); #283394=ORIENTED_EDGE('',*,*,#208500,.F.); #283395=ORIENTED_EDGE('',*,*,#208501,.T.); #283396=ORIENTED_EDGE('',*,*,#208500,.T.); #283397=ORIENTED_EDGE('',*,*,#208502,.F.); #283398=ORIENTED_EDGE('',*,*,#208503,.F.); #283399=ORIENTED_EDGE('',*,*,#208504,.T.); #283400=ORIENTED_EDGE('',*,*,#208503,.T.); #283401=ORIENTED_EDGE('',*,*,#208505,.F.); #283402=ORIENTED_EDGE('',*,*,#208506,.F.); #283403=ORIENTED_EDGE('',*,*,#208507,.T.); #283404=ORIENTED_EDGE('',*,*,#208506,.T.); #283405=ORIENTED_EDGE('',*,*,#208508,.F.); #283406=ORIENTED_EDGE('',*,*,#208509,.F.); #283407=ORIENTED_EDGE('',*,*,#208510,.T.); #283408=ORIENTED_EDGE('',*,*,#208509,.T.); #283409=ORIENTED_EDGE('',*,*,#208511,.F.); #283410=ORIENTED_EDGE('',*,*,#208512,.F.); #283411=ORIENTED_EDGE('',*,*,#208513,.T.); #283412=ORIENTED_EDGE('',*,*,#208512,.T.); #283413=ORIENTED_EDGE('',*,*,#208514,.F.); #283414=ORIENTED_EDGE('',*,*,#208515,.F.); #283415=ORIENTED_EDGE('',*,*,#208516,.T.); #283416=ORIENTED_EDGE('',*,*,#208515,.T.); #283417=ORIENTED_EDGE('',*,*,#208517,.F.); #283418=ORIENTED_EDGE('',*,*,#208518,.F.); #283419=ORIENTED_EDGE('',*,*,#208519,.T.); #283420=ORIENTED_EDGE('',*,*,#208518,.T.); #283421=ORIENTED_EDGE('',*,*,#208520,.F.); #283422=ORIENTED_EDGE('',*,*,#208521,.F.); #283423=ORIENTED_EDGE('',*,*,#208522,.T.); #283424=ORIENTED_EDGE('',*,*,#208521,.T.); #283425=ORIENTED_EDGE('',*,*,#208523,.F.); #283426=ORIENTED_EDGE('',*,*,#208524,.F.); #283427=ORIENTED_EDGE('',*,*,#208525,.T.); #283428=ORIENTED_EDGE('',*,*,#208524,.T.); #283429=ORIENTED_EDGE('',*,*,#208526,.F.); #283430=ORIENTED_EDGE('',*,*,#208527,.F.); #283431=ORIENTED_EDGE('',*,*,#208528,.T.); #283432=ORIENTED_EDGE('',*,*,#208527,.T.); #283433=ORIENTED_EDGE('',*,*,#208529,.F.); #283434=ORIENTED_EDGE('',*,*,#208530,.F.); #283435=ORIENTED_EDGE('',*,*,#208531,.T.); #283436=ORIENTED_EDGE('',*,*,#208530,.T.); #283437=ORIENTED_EDGE('',*,*,#208532,.F.); #283438=ORIENTED_EDGE('',*,*,#208533,.F.); #283439=ORIENTED_EDGE('',*,*,#208534,.T.); #283440=ORIENTED_EDGE('',*,*,#208533,.T.); #283441=ORIENTED_EDGE('',*,*,#208535,.F.); #283442=ORIENTED_EDGE('',*,*,#208536,.F.); #283443=ORIENTED_EDGE('',*,*,#208537,.T.); #283444=ORIENTED_EDGE('',*,*,#208536,.T.); #283445=ORIENTED_EDGE('',*,*,#208538,.F.); #283446=ORIENTED_EDGE('',*,*,#208539,.F.); #283447=ORIENTED_EDGE('',*,*,#208540,.T.); #283448=ORIENTED_EDGE('',*,*,#208539,.T.); #283449=ORIENTED_EDGE('',*,*,#208541,.F.); #283450=ORIENTED_EDGE('',*,*,#208542,.F.); #283451=ORIENTED_EDGE('',*,*,#208543,.T.); #283452=ORIENTED_EDGE('',*,*,#208542,.T.); #283453=ORIENTED_EDGE('',*,*,#208544,.F.); #283454=ORIENTED_EDGE('',*,*,#208545,.F.); #283455=ORIENTED_EDGE('',*,*,#208546,.T.); #283456=ORIENTED_EDGE('',*,*,#208545,.T.); #283457=ORIENTED_EDGE('',*,*,#208547,.F.); #283458=ORIENTED_EDGE('',*,*,#208548,.F.); #283459=ORIENTED_EDGE('',*,*,#208549,.T.); #283460=ORIENTED_EDGE('',*,*,#208548,.T.); #283461=ORIENTED_EDGE('',*,*,#208550,.F.); #283462=ORIENTED_EDGE('',*,*,#208551,.F.); #283463=ORIENTED_EDGE('',*,*,#208552,.T.); #283464=ORIENTED_EDGE('',*,*,#208551,.T.); #283465=ORIENTED_EDGE('',*,*,#208553,.F.); #283466=ORIENTED_EDGE('',*,*,#208554,.F.); #283467=ORIENTED_EDGE('',*,*,#208555,.T.); #283468=ORIENTED_EDGE('',*,*,#208554,.T.); #283469=ORIENTED_EDGE('',*,*,#208556,.F.); #283470=ORIENTED_EDGE('',*,*,#208557,.F.); #283471=ORIENTED_EDGE('',*,*,#208558,.T.); #283472=ORIENTED_EDGE('',*,*,#208557,.T.); #283473=ORIENTED_EDGE('',*,*,#208559,.F.); #283474=ORIENTED_EDGE('',*,*,#208560,.F.); #283475=ORIENTED_EDGE('',*,*,#208561,.T.); #283476=ORIENTED_EDGE('',*,*,#208560,.T.); #283477=ORIENTED_EDGE('',*,*,#208562,.F.); #283478=ORIENTED_EDGE('',*,*,#208563,.F.); #283479=ORIENTED_EDGE('',*,*,#208564,.T.); #283480=ORIENTED_EDGE('',*,*,#208563,.T.); #283481=ORIENTED_EDGE('',*,*,#208565,.F.); #283482=ORIENTED_EDGE('',*,*,#208566,.F.); #283483=ORIENTED_EDGE('',*,*,#208567,.T.); #283484=ORIENTED_EDGE('',*,*,#208566,.T.); #283485=ORIENTED_EDGE('',*,*,#208568,.F.); #283486=ORIENTED_EDGE('',*,*,#208569,.F.); #283487=ORIENTED_EDGE('',*,*,#208570,.T.); #283488=ORIENTED_EDGE('',*,*,#208569,.T.); #283489=ORIENTED_EDGE('',*,*,#208571,.F.); #283490=ORIENTED_EDGE('',*,*,#208572,.F.); #283491=ORIENTED_EDGE('',*,*,#208573,.T.); #283492=ORIENTED_EDGE('',*,*,#208572,.T.); #283493=ORIENTED_EDGE('',*,*,#208574,.F.); #283494=ORIENTED_EDGE('',*,*,#208575,.F.); #283495=ORIENTED_EDGE('',*,*,#208576,.T.); #283496=ORIENTED_EDGE('',*,*,#208575,.T.); #283497=ORIENTED_EDGE('',*,*,#208577,.F.); #283498=ORIENTED_EDGE('',*,*,#208578,.F.); #283499=ORIENTED_EDGE('',*,*,#208579,.T.); #283500=ORIENTED_EDGE('',*,*,#208578,.T.); #283501=ORIENTED_EDGE('',*,*,#208580,.F.); #283502=ORIENTED_EDGE('',*,*,#208581,.F.); #283503=ORIENTED_EDGE('',*,*,#208582,.T.); #283504=ORIENTED_EDGE('',*,*,#208581,.T.); #283505=ORIENTED_EDGE('',*,*,#208583,.F.); #283506=ORIENTED_EDGE('',*,*,#208584,.F.); #283507=ORIENTED_EDGE('',*,*,#208585,.T.); #283508=ORIENTED_EDGE('',*,*,#208584,.T.); #283509=ORIENTED_EDGE('',*,*,#208586,.F.); #283510=ORIENTED_EDGE('',*,*,#208587,.F.); #283511=ORIENTED_EDGE('',*,*,#208588,.T.); #283512=ORIENTED_EDGE('',*,*,#208587,.T.); #283513=ORIENTED_EDGE('',*,*,#208589,.F.); #283514=ORIENTED_EDGE('',*,*,#208590,.F.); #283515=ORIENTED_EDGE('',*,*,#208591,.T.); #283516=ORIENTED_EDGE('',*,*,#208590,.T.); #283517=ORIENTED_EDGE('',*,*,#208592,.F.); #283518=ORIENTED_EDGE('',*,*,#208593,.F.); #283519=ORIENTED_EDGE('',*,*,#208594,.T.); #283520=ORIENTED_EDGE('',*,*,#208593,.T.); #283521=ORIENTED_EDGE('',*,*,#208595,.F.); #283522=ORIENTED_EDGE('',*,*,#208596,.F.); #283523=ORIENTED_EDGE('',*,*,#208597,.T.); #283524=ORIENTED_EDGE('',*,*,#208596,.T.); #283525=ORIENTED_EDGE('',*,*,#208598,.F.); #283526=ORIENTED_EDGE('',*,*,#208599,.F.); #283527=ORIENTED_EDGE('',*,*,#208600,.T.); #283528=ORIENTED_EDGE('',*,*,#208599,.T.); #283529=ORIENTED_EDGE('',*,*,#208601,.F.); #283530=ORIENTED_EDGE('',*,*,#208602,.F.); #283531=ORIENTED_EDGE('',*,*,#208603,.T.); #283532=ORIENTED_EDGE('',*,*,#208602,.T.); #283533=ORIENTED_EDGE('',*,*,#208604,.F.); #283534=ORIENTED_EDGE('',*,*,#208605,.F.); #283535=ORIENTED_EDGE('',*,*,#208606,.T.); #283536=ORIENTED_EDGE('',*,*,#208605,.T.); #283537=ORIENTED_EDGE('',*,*,#208607,.F.); #283538=ORIENTED_EDGE('',*,*,#208608,.F.); #283539=ORIENTED_EDGE('',*,*,#208609,.T.); #283540=ORIENTED_EDGE('',*,*,#208608,.T.); #283541=ORIENTED_EDGE('',*,*,#208610,.F.); #283542=ORIENTED_EDGE('',*,*,#208611,.F.); #283543=ORIENTED_EDGE('',*,*,#208612,.T.); #283544=ORIENTED_EDGE('',*,*,#208611,.T.); #283545=ORIENTED_EDGE('',*,*,#208613,.F.); #283546=ORIENTED_EDGE('',*,*,#208614,.F.); #283547=ORIENTED_EDGE('',*,*,#208615,.T.); #283548=ORIENTED_EDGE('',*,*,#208614,.T.); #283549=ORIENTED_EDGE('',*,*,#208616,.F.); #283550=ORIENTED_EDGE('',*,*,#208617,.F.); #283551=ORIENTED_EDGE('',*,*,#208618,.T.); #283552=ORIENTED_EDGE('',*,*,#208617,.T.); #283553=ORIENTED_EDGE('',*,*,#208619,.F.); #283554=ORIENTED_EDGE('',*,*,#208620,.F.); #283555=ORIENTED_EDGE('',*,*,#208621,.T.); #283556=ORIENTED_EDGE('',*,*,#208620,.T.); #283557=ORIENTED_EDGE('',*,*,#208622,.F.); #283558=ORIENTED_EDGE('',*,*,#208623,.F.); #283559=ORIENTED_EDGE('',*,*,#208624,.T.); #283560=ORIENTED_EDGE('',*,*,#208623,.T.); #283561=ORIENTED_EDGE('',*,*,#208625,.F.); #283562=ORIENTED_EDGE('',*,*,#208626,.F.); #283563=ORIENTED_EDGE('',*,*,#208627,.T.); #283564=ORIENTED_EDGE('',*,*,#208626,.T.); #283565=ORIENTED_EDGE('',*,*,#208628,.F.); #283566=ORIENTED_EDGE('',*,*,#208629,.F.); #283567=ORIENTED_EDGE('',*,*,#208630,.T.); #283568=ORIENTED_EDGE('',*,*,#208629,.T.); #283569=ORIENTED_EDGE('',*,*,#208631,.F.); #283570=ORIENTED_EDGE('',*,*,#208632,.F.); #283571=ORIENTED_EDGE('',*,*,#208633,.T.); #283572=ORIENTED_EDGE('',*,*,#208632,.T.); #283573=ORIENTED_EDGE('',*,*,#208634,.F.); #283574=ORIENTED_EDGE('',*,*,#208635,.F.); #283575=ORIENTED_EDGE('',*,*,#208636,.T.); #283576=ORIENTED_EDGE('',*,*,#208635,.T.); #283577=ORIENTED_EDGE('',*,*,#208637,.F.); #283578=ORIENTED_EDGE('',*,*,#208638,.F.); #283579=ORIENTED_EDGE('',*,*,#208639,.T.); #283580=ORIENTED_EDGE('',*,*,#208638,.T.); #283581=ORIENTED_EDGE('',*,*,#208640,.F.); #283582=ORIENTED_EDGE('',*,*,#208641,.F.); #283583=ORIENTED_EDGE('',*,*,#208642,.T.); #283584=ORIENTED_EDGE('',*,*,#208641,.T.); #283585=ORIENTED_EDGE('',*,*,#208643,.F.); #283586=ORIENTED_EDGE('',*,*,#208644,.F.); #283587=ORIENTED_EDGE('',*,*,#208645,.T.); #283588=ORIENTED_EDGE('',*,*,#208644,.T.); #283589=ORIENTED_EDGE('',*,*,#208646,.F.); #283590=ORIENTED_EDGE('',*,*,#208647,.F.); #283591=ORIENTED_EDGE('',*,*,#208648,.T.); #283592=ORIENTED_EDGE('',*,*,#208647,.T.); #283593=ORIENTED_EDGE('',*,*,#208649,.F.); #283594=ORIENTED_EDGE('',*,*,#208650,.F.); #283595=ORIENTED_EDGE('',*,*,#208651,.T.); #283596=ORIENTED_EDGE('',*,*,#208650,.T.); #283597=ORIENTED_EDGE('',*,*,#208652,.F.); #283598=ORIENTED_EDGE('',*,*,#208653,.F.); #283599=ORIENTED_EDGE('',*,*,#208654,.T.); #283600=ORIENTED_EDGE('',*,*,#208653,.T.); #283601=ORIENTED_EDGE('',*,*,#208655,.F.); #283602=ORIENTED_EDGE('',*,*,#208656,.F.); #283603=ORIENTED_EDGE('',*,*,#208657,.T.); #283604=ORIENTED_EDGE('',*,*,#208656,.T.); #283605=ORIENTED_EDGE('',*,*,#208658,.F.); #283606=ORIENTED_EDGE('',*,*,#208659,.F.); #283607=ORIENTED_EDGE('',*,*,#208660,.T.); #283608=ORIENTED_EDGE('',*,*,#208659,.T.); #283609=ORIENTED_EDGE('',*,*,#208661,.F.); #283610=ORIENTED_EDGE('',*,*,#208662,.F.); #283611=ORIENTED_EDGE('',*,*,#208663,.T.); #283612=ORIENTED_EDGE('',*,*,#208662,.T.); #283613=ORIENTED_EDGE('',*,*,#208664,.F.); #283614=ORIENTED_EDGE('',*,*,#208665,.F.); #283615=ORIENTED_EDGE('',*,*,#208666,.T.); #283616=ORIENTED_EDGE('',*,*,#208665,.T.); #283617=ORIENTED_EDGE('',*,*,#208667,.F.); #283618=ORIENTED_EDGE('',*,*,#208668,.F.); #283619=ORIENTED_EDGE('',*,*,#208669,.T.); #283620=ORIENTED_EDGE('',*,*,#208668,.T.); #283621=ORIENTED_EDGE('',*,*,#208670,.F.); #283622=ORIENTED_EDGE('',*,*,#208671,.F.); #283623=ORIENTED_EDGE('',*,*,#208672,.T.); #283624=ORIENTED_EDGE('',*,*,#208671,.T.); #283625=ORIENTED_EDGE('',*,*,#208673,.F.); #283626=ORIENTED_EDGE('',*,*,#208674,.F.); #283627=ORIENTED_EDGE('',*,*,#208675,.T.); #283628=ORIENTED_EDGE('',*,*,#208674,.T.); #283629=ORIENTED_EDGE('',*,*,#208676,.F.); #283630=ORIENTED_EDGE('',*,*,#208677,.F.); #283631=ORIENTED_EDGE('',*,*,#208678,.T.); #283632=ORIENTED_EDGE('',*,*,#208677,.T.); #283633=ORIENTED_EDGE('',*,*,#208679,.F.); #283634=ORIENTED_EDGE('',*,*,#208680,.F.); #283635=ORIENTED_EDGE('',*,*,#208681,.T.); #283636=ORIENTED_EDGE('',*,*,#208680,.T.); #283637=ORIENTED_EDGE('',*,*,#208682,.F.); #283638=ORIENTED_EDGE('',*,*,#208683,.F.); #283639=ORIENTED_EDGE('',*,*,#208684,.T.); #283640=ORIENTED_EDGE('',*,*,#208683,.T.); #283641=ORIENTED_EDGE('',*,*,#208685,.F.); #283642=ORIENTED_EDGE('',*,*,#208686,.F.); #283643=ORIENTED_EDGE('',*,*,#208687,.T.); #283644=ORIENTED_EDGE('',*,*,#208686,.T.); #283645=ORIENTED_EDGE('',*,*,#208688,.F.); #283646=ORIENTED_EDGE('',*,*,#208689,.F.); #283647=ORIENTED_EDGE('',*,*,#208690,.T.); #283648=ORIENTED_EDGE('',*,*,#208689,.T.); #283649=ORIENTED_EDGE('',*,*,#208691,.F.); #283650=ORIENTED_EDGE('',*,*,#208692,.F.); #283651=ORIENTED_EDGE('',*,*,#208693,.T.); #283652=ORIENTED_EDGE('',*,*,#208692,.T.); #283653=ORIENTED_EDGE('',*,*,#208694,.F.); #283654=ORIENTED_EDGE('',*,*,#208695,.F.); #283655=ORIENTED_EDGE('',*,*,#208696,.T.); #283656=ORIENTED_EDGE('',*,*,#208695,.T.); #283657=ORIENTED_EDGE('',*,*,#208697,.F.); #283658=ORIENTED_EDGE('',*,*,#208698,.F.); #283659=ORIENTED_EDGE('',*,*,#208699,.T.); #283660=ORIENTED_EDGE('',*,*,#208698,.T.); #283661=ORIENTED_EDGE('',*,*,#208700,.F.); #283662=ORIENTED_EDGE('',*,*,#208701,.F.); #283663=ORIENTED_EDGE('',*,*,#208702,.T.); #283664=ORIENTED_EDGE('',*,*,#208701,.T.); #283665=ORIENTED_EDGE('',*,*,#208703,.F.); #283666=ORIENTED_EDGE('',*,*,#208704,.F.); #283667=ORIENTED_EDGE('',*,*,#208705,.T.); #283668=ORIENTED_EDGE('',*,*,#208704,.T.); #283669=ORIENTED_EDGE('',*,*,#208706,.F.); #283670=ORIENTED_EDGE('',*,*,#208707,.F.); #283671=ORIENTED_EDGE('',*,*,#208708,.T.); #283672=ORIENTED_EDGE('',*,*,#208707,.T.); #283673=ORIENTED_EDGE('',*,*,#208709,.F.); #283674=ORIENTED_EDGE('',*,*,#208710,.F.); #283675=ORIENTED_EDGE('',*,*,#208711,.T.); #283676=ORIENTED_EDGE('',*,*,#208710,.T.); #283677=ORIENTED_EDGE('',*,*,#208712,.F.); #283678=ORIENTED_EDGE('',*,*,#208713,.F.); #283679=ORIENTED_EDGE('',*,*,#208714,.T.); #283680=ORIENTED_EDGE('',*,*,#208713,.T.); #283681=ORIENTED_EDGE('',*,*,#208715,.F.); #283682=ORIENTED_EDGE('',*,*,#208716,.F.); #283683=ORIENTED_EDGE('',*,*,#208717,.T.); #283684=ORIENTED_EDGE('',*,*,#208716,.T.); #283685=ORIENTED_EDGE('',*,*,#208718,.F.); #283686=ORIENTED_EDGE('',*,*,#208719,.F.); #283687=ORIENTED_EDGE('',*,*,#208720,.T.); #283688=ORIENTED_EDGE('',*,*,#208719,.T.); #283689=ORIENTED_EDGE('',*,*,#208721,.F.); #283690=ORIENTED_EDGE('',*,*,#208722,.F.); #283691=ORIENTED_EDGE('',*,*,#208723,.T.); #283692=ORIENTED_EDGE('',*,*,#208722,.T.); #283693=ORIENTED_EDGE('',*,*,#208724,.F.); #283694=ORIENTED_EDGE('',*,*,#208725,.F.); #283695=ORIENTED_EDGE('',*,*,#208726,.T.); #283696=ORIENTED_EDGE('',*,*,#208725,.T.); #283697=ORIENTED_EDGE('',*,*,#208727,.F.); #283698=ORIENTED_EDGE('',*,*,#208728,.F.); #283699=ORIENTED_EDGE('',*,*,#208729,.T.); #283700=ORIENTED_EDGE('',*,*,#208728,.T.); #283701=ORIENTED_EDGE('',*,*,#208730,.F.); #283702=ORIENTED_EDGE('',*,*,#208731,.F.); #283703=ORIENTED_EDGE('',*,*,#208732,.T.); #283704=ORIENTED_EDGE('',*,*,#208731,.T.); #283705=ORIENTED_EDGE('',*,*,#208733,.F.); #283706=ORIENTED_EDGE('',*,*,#208734,.F.); #283707=ORIENTED_EDGE('',*,*,#208735,.T.); #283708=ORIENTED_EDGE('',*,*,#208734,.T.); #283709=ORIENTED_EDGE('',*,*,#208736,.F.); #283710=ORIENTED_EDGE('',*,*,#208737,.F.); #283711=ORIENTED_EDGE('',*,*,#208738,.T.); #283712=ORIENTED_EDGE('',*,*,#208737,.T.); #283713=ORIENTED_EDGE('',*,*,#208739,.F.); #283714=ORIENTED_EDGE('',*,*,#208740,.F.); #283715=ORIENTED_EDGE('',*,*,#208741,.T.); #283716=ORIENTED_EDGE('',*,*,#208740,.T.); #283717=ORIENTED_EDGE('',*,*,#208742,.F.); #283718=ORIENTED_EDGE('',*,*,#208743,.F.); #283719=ORIENTED_EDGE('',*,*,#208744,.T.); #283720=ORIENTED_EDGE('',*,*,#208743,.T.); #283721=ORIENTED_EDGE('',*,*,#208745,.F.); #283722=ORIENTED_EDGE('',*,*,#208746,.F.); #283723=ORIENTED_EDGE('',*,*,#208747,.T.); #283724=ORIENTED_EDGE('',*,*,#208746,.T.); #283725=ORIENTED_EDGE('',*,*,#208748,.F.); #283726=ORIENTED_EDGE('',*,*,#208749,.F.); #283727=ORIENTED_EDGE('',*,*,#208750,.T.); #283728=ORIENTED_EDGE('',*,*,#208749,.T.); #283729=ORIENTED_EDGE('',*,*,#208751,.F.); #283730=ORIENTED_EDGE('',*,*,#208752,.F.); #283731=ORIENTED_EDGE('',*,*,#208753,.T.); #283732=ORIENTED_EDGE('',*,*,#208752,.T.); #283733=ORIENTED_EDGE('',*,*,#208754,.F.); #283734=ORIENTED_EDGE('',*,*,#208755,.F.); #283735=ORIENTED_EDGE('',*,*,#208756,.T.); #283736=ORIENTED_EDGE('',*,*,#208755,.T.); #283737=ORIENTED_EDGE('',*,*,#208757,.F.); #283738=ORIENTED_EDGE('',*,*,#208758,.F.); #283739=ORIENTED_EDGE('',*,*,#208759,.T.); #283740=ORIENTED_EDGE('',*,*,#208758,.T.); #283741=ORIENTED_EDGE('',*,*,#208760,.F.); #283742=ORIENTED_EDGE('',*,*,#208761,.F.); #283743=ORIENTED_EDGE('',*,*,#208762,.T.); #283744=ORIENTED_EDGE('',*,*,#208761,.T.); #283745=ORIENTED_EDGE('',*,*,#208763,.F.); #283746=ORIENTED_EDGE('',*,*,#208764,.F.); #283747=ORIENTED_EDGE('',*,*,#208765,.T.); #283748=ORIENTED_EDGE('',*,*,#208764,.T.); #283749=ORIENTED_EDGE('',*,*,#208766,.F.); #283750=ORIENTED_EDGE('',*,*,#208767,.F.); #283751=ORIENTED_EDGE('',*,*,#208768,.T.); #283752=ORIENTED_EDGE('',*,*,#208767,.T.); #283753=ORIENTED_EDGE('',*,*,#208769,.F.); #283754=ORIENTED_EDGE('',*,*,#208770,.F.); #283755=ORIENTED_EDGE('',*,*,#208771,.T.); #283756=ORIENTED_EDGE('',*,*,#208770,.T.); #283757=ORIENTED_EDGE('',*,*,#208772,.F.); #283758=ORIENTED_EDGE('',*,*,#208773,.F.); #283759=ORIENTED_EDGE('',*,*,#208774,.T.); #283760=ORIENTED_EDGE('',*,*,#208773,.T.); #283761=ORIENTED_EDGE('',*,*,#208775,.F.); #283762=ORIENTED_EDGE('',*,*,#208776,.F.); #283763=ORIENTED_EDGE('',*,*,#208777,.T.); #283764=ORIENTED_EDGE('',*,*,#208776,.T.); #283765=ORIENTED_EDGE('',*,*,#208778,.F.); #283766=ORIENTED_EDGE('',*,*,#208489,.F.); #283767=ORIENTED_EDGE('',*,*,#208778,.T.); #283768=ORIENTED_EDGE('',*,*,#208775,.T.); #283769=ORIENTED_EDGE('',*,*,#208772,.T.); #283770=ORIENTED_EDGE('',*,*,#208769,.T.); #283771=ORIENTED_EDGE('',*,*,#208766,.T.); #283772=ORIENTED_EDGE('',*,*,#208763,.T.); #283773=ORIENTED_EDGE('',*,*,#208760,.T.); #283774=ORIENTED_EDGE('',*,*,#208757,.T.); #283775=ORIENTED_EDGE('',*,*,#208754,.T.); #283776=ORIENTED_EDGE('',*,*,#208751,.T.); #283777=ORIENTED_EDGE('',*,*,#208748,.T.); #283778=ORIENTED_EDGE('',*,*,#208745,.T.); #283779=ORIENTED_EDGE('',*,*,#208742,.T.); #283780=ORIENTED_EDGE('',*,*,#208739,.T.); #283781=ORIENTED_EDGE('',*,*,#208736,.T.); #283782=ORIENTED_EDGE('',*,*,#208733,.T.); #283783=ORIENTED_EDGE('',*,*,#208730,.T.); #283784=ORIENTED_EDGE('',*,*,#208727,.T.); #283785=ORIENTED_EDGE('',*,*,#208724,.T.); #283786=ORIENTED_EDGE('',*,*,#208721,.T.); #283787=ORIENTED_EDGE('',*,*,#208718,.T.); #283788=ORIENTED_EDGE('',*,*,#208715,.T.); #283789=ORIENTED_EDGE('',*,*,#208712,.T.); #283790=ORIENTED_EDGE('',*,*,#208709,.T.); #283791=ORIENTED_EDGE('',*,*,#208706,.T.); #283792=ORIENTED_EDGE('',*,*,#208703,.T.); #283793=ORIENTED_EDGE('',*,*,#208700,.T.); #283794=ORIENTED_EDGE('',*,*,#208697,.T.); #283795=ORIENTED_EDGE('',*,*,#208694,.T.); #283796=ORIENTED_EDGE('',*,*,#208691,.T.); #283797=ORIENTED_EDGE('',*,*,#208688,.T.); #283798=ORIENTED_EDGE('',*,*,#208685,.T.); #283799=ORIENTED_EDGE('',*,*,#208682,.T.); #283800=ORIENTED_EDGE('',*,*,#208679,.T.); #283801=ORIENTED_EDGE('',*,*,#208676,.T.); #283802=ORIENTED_EDGE('',*,*,#208673,.T.); #283803=ORIENTED_EDGE('',*,*,#208670,.T.); #283804=ORIENTED_EDGE('',*,*,#208667,.T.); #283805=ORIENTED_EDGE('',*,*,#208664,.T.); #283806=ORIENTED_EDGE('',*,*,#208661,.T.); #283807=ORIENTED_EDGE('',*,*,#208658,.T.); #283808=ORIENTED_EDGE('',*,*,#208655,.T.); #283809=ORIENTED_EDGE('',*,*,#208652,.T.); #283810=ORIENTED_EDGE('',*,*,#208649,.T.); #283811=ORIENTED_EDGE('',*,*,#208646,.T.); #283812=ORIENTED_EDGE('',*,*,#208643,.T.); #283813=ORIENTED_EDGE('',*,*,#208640,.T.); #283814=ORIENTED_EDGE('',*,*,#208637,.T.); #283815=ORIENTED_EDGE('',*,*,#208634,.T.); #283816=ORIENTED_EDGE('',*,*,#208631,.T.); #283817=ORIENTED_EDGE('',*,*,#208628,.T.); #283818=ORIENTED_EDGE('',*,*,#208625,.T.); #283819=ORIENTED_EDGE('',*,*,#208622,.T.); #283820=ORIENTED_EDGE('',*,*,#208619,.T.); #283821=ORIENTED_EDGE('',*,*,#208616,.T.); #283822=ORIENTED_EDGE('',*,*,#208613,.T.); #283823=ORIENTED_EDGE('',*,*,#208610,.T.); #283824=ORIENTED_EDGE('',*,*,#208607,.T.); #283825=ORIENTED_EDGE('',*,*,#208604,.T.); #283826=ORIENTED_EDGE('',*,*,#208601,.T.); #283827=ORIENTED_EDGE('',*,*,#208598,.T.); #283828=ORIENTED_EDGE('',*,*,#208595,.T.); #283829=ORIENTED_EDGE('',*,*,#208592,.T.); #283830=ORIENTED_EDGE('',*,*,#208589,.T.); #283831=ORIENTED_EDGE('',*,*,#208586,.T.); #283832=ORIENTED_EDGE('',*,*,#208583,.T.); #283833=ORIENTED_EDGE('',*,*,#208580,.T.); #283834=ORIENTED_EDGE('',*,*,#208577,.T.); #283835=ORIENTED_EDGE('',*,*,#208574,.T.); #283836=ORIENTED_EDGE('',*,*,#208571,.T.); #283837=ORIENTED_EDGE('',*,*,#208568,.T.); #283838=ORIENTED_EDGE('',*,*,#208565,.T.); #283839=ORIENTED_EDGE('',*,*,#208562,.T.); #283840=ORIENTED_EDGE('',*,*,#208559,.T.); #283841=ORIENTED_EDGE('',*,*,#208556,.T.); #283842=ORIENTED_EDGE('',*,*,#208553,.T.); #283843=ORIENTED_EDGE('',*,*,#208550,.T.); #283844=ORIENTED_EDGE('',*,*,#208547,.T.); #283845=ORIENTED_EDGE('',*,*,#208544,.T.); #283846=ORIENTED_EDGE('',*,*,#208541,.T.); #283847=ORIENTED_EDGE('',*,*,#208538,.T.); #283848=ORIENTED_EDGE('',*,*,#208535,.T.); #283849=ORIENTED_EDGE('',*,*,#208532,.T.); #283850=ORIENTED_EDGE('',*,*,#208529,.T.); #283851=ORIENTED_EDGE('',*,*,#208526,.T.); #283852=ORIENTED_EDGE('',*,*,#208523,.T.); #283853=ORIENTED_EDGE('',*,*,#208520,.T.); #283854=ORIENTED_EDGE('',*,*,#208517,.T.); #283855=ORIENTED_EDGE('',*,*,#208514,.T.); #283856=ORIENTED_EDGE('',*,*,#208511,.T.); #283857=ORIENTED_EDGE('',*,*,#208508,.T.); #283858=ORIENTED_EDGE('',*,*,#208505,.T.); #283859=ORIENTED_EDGE('',*,*,#208502,.T.); #283860=ORIENTED_EDGE('',*,*,#208499,.T.); #283861=ORIENTED_EDGE('',*,*,#208496,.T.); #283862=ORIENTED_EDGE('',*,*,#208493,.T.); #283863=ORIENTED_EDGE('',*,*,#208490,.T.); #283864=ORIENTED_EDGE('',*,*,#208454,.T.); #283865=ORIENTED_EDGE('',*,*,#208457,.T.); #283866=ORIENTED_EDGE('',*,*,#208460,.T.); #283867=ORIENTED_EDGE('',*,*,#208463,.T.); #283868=ORIENTED_EDGE('',*,*,#208466,.T.); #283869=ORIENTED_EDGE('',*,*,#208469,.T.); #283870=ORIENTED_EDGE('',*,*,#208472,.T.); #283871=ORIENTED_EDGE('',*,*,#208475,.T.); #283872=ORIENTED_EDGE('',*,*,#208478,.T.); #283873=ORIENTED_EDGE('',*,*,#208481,.T.); #283874=ORIENTED_EDGE('',*,*,#208484,.T.); #283875=ORIENTED_EDGE('',*,*,#208487,.T.); #283876=ORIENTED_EDGE('',*,*,#208777,.F.); #283877=ORIENTED_EDGE('',*,*,#208488,.F.); #283878=ORIENTED_EDGE('',*,*,#208492,.F.); #283879=ORIENTED_EDGE('',*,*,#208495,.F.); #283880=ORIENTED_EDGE('',*,*,#208498,.F.); #283881=ORIENTED_EDGE('',*,*,#208501,.F.); #283882=ORIENTED_EDGE('',*,*,#208504,.F.); #283883=ORIENTED_EDGE('',*,*,#208507,.F.); #283884=ORIENTED_EDGE('',*,*,#208510,.F.); #283885=ORIENTED_EDGE('',*,*,#208513,.F.); #283886=ORIENTED_EDGE('',*,*,#208516,.F.); #283887=ORIENTED_EDGE('',*,*,#208519,.F.); #283888=ORIENTED_EDGE('',*,*,#208522,.F.); #283889=ORIENTED_EDGE('',*,*,#208525,.F.); #283890=ORIENTED_EDGE('',*,*,#208528,.F.); #283891=ORIENTED_EDGE('',*,*,#208531,.F.); #283892=ORIENTED_EDGE('',*,*,#208534,.F.); #283893=ORIENTED_EDGE('',*,*,#208537,.F.); #283894=ORIENTED_EDGE('',*,*,#208540,.F.); #283895=ORIENTED_EDGE('',*,*,#208543,.F.); #283896=ORIENTED_EDGE('',*,*,#208546,.F.); #283897=ORIENTED_EDGE('',*,*,#208549,.F.); #283898=ORIENTED_EDGE('',*,*,#208552,.F.); #283899=ORIENTED_EDGE('',*,*,#208555,.F.); #283900=ORIENTED_EDGE('',*,*,#208558,.F.); #283901=ORIENTED_EDGE('',*,*,#208561,.F.); #283902=ORIENTED_EDGE('',*,*,#208564,.F.); #283903=ORIENTED_EDGE('',*,*,#208567,.F.); #283904=ORIENTED_EDGE('',*,*,#208570,.F.); #283905=ORIENTED_EDGE('',*,*,#208573,.F.); #283906=ORIENTED_EDGE('',*,*,#208576,.F.); #283907=ORIENTED_EDGE('',*,*,#208579,.F.); #283908=ORIENTED_EDGE('',*,*,#208582,.F.); #283909=ORIENTED_EDGE('',*,*,#208585,.F.); #283910=ORIENTED_EDGE('',*,*,#208588,.F.); #283911=ORIENTED_EDGE('',*,*,#208591,.F.); #283912=ORIENTED_EDGE('',*,*,#208594,.F.); #283913=ORIENTED_EDGE('',*,*,#208597,.F.); #283914=ORIENTED_EDGE('',*,*,#208600,.F.); #283915=ORIENTED_EDGE('',*,*,#208603,.F.); #283916=ORIENTED_EDGE('',*,*,#208606,.F.); #283917=ORIENTED_EDGE('',*,*,#208609,.F.); #283918=ORIENTED_EDGE('',*,*,#208612,.F.); #283919=ORIENTED_EDGE('',*,*,#208615,.F.); #283920=ORIENTED_EDGE('',*,*,#208618,.F.); #283921=ORIENTED_EDGE('',*,*,#208621,.F.); #283922=ORIENTED_EDGE('',*,*,#208624,.F.); #283923=ORIENTED_EDGE('',*,*,#208627,.F.); #283924=ORIENTED_EDGE('',*,*,#208630,.F.); #283925=ORIENTED_EDGE('',*,*,#208633,.F.); #283926=ORIENTED_EDGE('',*,*,#208636,.F.); #283927=ORIENTED_EDGE('',*,*,#208639,.F.); #283928=ORIENTED_EDGE('',*,*,#208642,.F.); #283929=ORIENTED_EDGE('',*,*,#208645,.F.); #283930=ORIENTED_EDGE('',*,*,#208648,.F.); #283931=ORIENTED_EDGE('',*,*,#208651,.F.); #283932=ORIENTED_EDGE('',*,*,#208654,.F.); #283933=ORIENTED_EDGE('',*,*,#208657,.F.); #283934=ORIENTED_EDGE('',*,*,#208660,.F.); #283935=ORIENTED_EDGE('',*,*,#208663,.F.); #283936=ORIENTED_EDGE('',*,*,#208666,.F.); #283937=ORIENTED_EDGE('',*,*,#208669,.F.); #283938=ORIENTED_EDGE('',*,*,#208672,.F.); #283939=ORIENTED_EDGE('',*,*,#208675,.F.); #283940=ORIENTED_EDGE('',*,*,#208678,.F.); #283941=ORIENTED_EDGE('',*,*,#208681,.F.); #283942=ORIENTED_EDGE('',*,*,#208684,.F.); #283943=ORIENTED_EDGE('',*,*,#208687,.F.); #283944=ORIENTED_EDGE('',*,*,#208690,.F.); #283945=ORIENTED_EDGE('',*,*,#208693,.F.); #283946=ORIENTED_EDGE('',*,*,#208696,.F.); #283947=ORIENTED_EDGE('',*,*,#208699,.F.); #283948=ORIENTED_EDGE('',*,*,#208702,.F.); #283949=ORIENTED_EDGE('',*,*,#208705,.F.); #283950=ORIENTED_EDGE('',*,*,#208708,.F.); #283951=ORIENTED_EDGE('',*,*,#208711,.F.); #283952=ORIENTED_EDGE('',*,*,#208714,.F.); #283953=ORIENTED_EDGE('',*,*,#208717,.F.); #283954=ORIENTED_EDGE('',*,*,#208720,.F.); #283955=ORIENTED_EDGE('',*,*,#208723,.F.); #283956=ORIENTED_EDGE('',*,*,#208726,.F.); #283957=ORIENTED_EDGE('',*,*,#208729,.F.); #283958=ORIENTED_EDGE('',*,*,#208732,.F.); #283959=ORIENTED_EDGE('',*,*,#208735,.F.); #283960=ORIENTED_EDGE('',*,*,#208738,.F.); #283961=ORIENTED_EDGE('',*,*,#208741,.F.); #283962=ORIENTED_EDGE('',*,*,#208744,.F.); #283963=ORIENTED_EDGE('',*,*,#208747,.F.); #283964=ORIENTED_EDGE('',*,*,#208750,.F.); #283965=ORIENTED_EDGE('',*,*,#208753,.F.); #283966=ORIENTED_EDGE('',*,*,#208756,.F.); #283967=ORIENTED_EDGE('',*,*,#208759,.F.); #283968=ORIENTED_EDGE('',*,*,#208762,.F.); #283969=ORIENTED_EDGE('',*,*,#208765,.F.); #283970=ORIENTED_EDGE('',*,*,#208768,.F.); #283971=ORIENTED_EDGE('',*,*,#208771,.F.); #283972=ORIENTED_EDGE('',*,*,#208774,.F.); #283973=ORIENTED_EDGE('',*,*,#208452,.T.); #283974=ORIENTED_EDGE('',*,*,#208455,.T.); #283975=ORIENTED_EDGE('',*,*,#208458,.T.); #283976=ORIENTED_EDGE('',*,*,#208461,.T.); #283977=ORIENTED_EDGE('',*,*,#208464,.T.); #283978=ORIENTED_EDGE('',*,*,#208467,.T.); #283979=ORIENTED_EDGE('',*,*,#208470,.T.); #283980=ORIENTED_EDGE('',*,*,#208473,.T.); #283981=ORIENTED_EDGE('',*,*,#208476,.T.); #283982=ORIENTED_EDGE('',*,*,#208479,.T.); #283983=ORIENTED_EDGE('',*,*,#208482,.T.); #283984=ORIENTED_EDGE('',*,*,#208485,.T.); #283985=ORIENTED_EDGE('',*,*,#208779,.T.); #283986=ORIENTED_EDGE('',*,*,#208780,.T.); #283987=ORIENTED_EDGE('',*,*,#208781,.F.); #283988=ORIENTED_EDGE('',*,*,#208782,.F.); #283989=ORIENTED_EDGE('',*,*,#208783,.T.); #283990=ORIENTED_EDGE('',*,*,#208782,.T.); #283991=ORIENTED_EDGE('',*,*,#208784,.F.); #283992=ORIENTED_EDGE('',*,*,#208785,.F.); #283993=ORIENTED_EDGE('',*,*,#208786,.T.); #283994=ORIENTED_EDGE('',*,*,#208785,.T.); #283995=ORIENTED_EDGE('',*,*,#208787,.F.); #283996=ORIENTED_EDGE('',*,*,#208788,.F.); #283997=ORIENTED_EDGE('',*,*,#208789,.T.); #283998=ORIENTED_EDGE('',*,*,#208788,.T.); #283999=ORIENTED_EDGE('',*,*,#208790,.F.); #284000=ORIENTED_EDGE('',*,*,#208791,.F.); #284001=ORIENTED_EDGE('',*,*,#208792,.T.); #284002=ORIENTED_EDGE('',*,*,#208791,.T.); #284003=ORIENTED_EDGE('',*,*,#208793,.F.); #284004=ORIENTED_EDGE('',*,*,#208794,.F.); #284005=ORIENTED_EDGE('',*,*,#208795,.T.); #284006=ORIENTED_EDGE('',*,*,#208794,.T.); #284007=ORIENTED_EDGE('',*,*,#208796,.F.); #284008=ORIENTED_EDGE('',*,*,#208797,.F.); #284009=ORIENTED_EDGE('',*,*,#208798,.T.); #284010=ORIENTED_EDGE('',*,*,#208797,.T.); #284011=ORIENTED_EDGE('',*,*,#208799,.F.); #284012=ORIENTED_EDGE('',*,*,#208800,.F.); #284013=ORIENTED_EDGE('',*,*,#208801,.T.); #284014=ORIENTED_EDGE('',*,*,#208800,.T.); #284015=ORIENTED_EDGE('',*,*,#208802,.F.); #284016=ORIENTED_EDGE('',*,*,#208803,.F.); #284017=ORIENTED_EDGE('',*,*,#208804,.T.); #284018=ORIENTED_EDGE('',*,*,#208803,.T.); #284019=ORIENTED_EDGE('',*,*,#208805,.F.); #284020=ORIENTED_EDGE('',*,*,#208806,.F.); #284021=ORIENTED_EDGE('',*,*,#208807,.T.); #284022=ORIENTED_EDGE('',*,*,#208806,.T.); #284023=ORIENTED_EDGE('',*,*,#208808,.F.); #284024=ORIENTED_EDGE('',*,*,#208809,.F.); #284025=ORIENTED_EDGE('',*,*,#208810,.T.); #284026=ORIENTED_EDGE('',*,*,#208809,.T.); #284027=ORIENTED_EDGE('',*,*,#208811,.F.); #284028=ORIENTED_EDGE('',*,*,#208812,.F.); #284029=ORIENTED_EDGE('',*,*,#208813,.T.); #284030=ORIENTED_EDGE('',*,*,#208812,.T.); #284031=ORIENTED_EDGE('',*,*,#208814,.F.); #284032=ORIENTED_EDGE('',*,*,#208815,.F.); #284033=ORIENTED_EDGE('',*,*,#208816,.T.); #284034=ORIENTED_EDGE('',*,*,#208815,.T.); #284035=ORIENTED_EDGE('',*,*,#208817,.F.); #284036=ORIENTED_EDGE('',*,*,#208818,.F.); #284037=ORIENTED_EDGE('',*,*,#208819,.T.); #284038=ORIENTED_EDGE('',*,*,#208818,.T.); #284039=ORIENTED_EDGE('',*,*,#208820,.F.); #284040=ORIENTED_EDGE('',*,*,#208821,.F.); #284041=ORIENTED_EDGE('',*,*,#208822,.T.); #284042=ORIENTED_EDGE('',*,*,#208821,.T.); #284043=ORIENTED_EDGE('',*,*,#208823,.F.); #284044=ORIENTED_EDGE('',*,*,#208824,.F.); #284045=ORIENTED_EDGE('',*,*,#208825,.T.); #284046=ORIENTED_EDGE('',*,*,#208824,.T.); #284047=ORIENTED_EDGE('',*,*,#208826,.F.); #284048=ORIENTED_EDGE('',*,*,#208827,.F.); #284049=ORIENTED_EDGE('',*,*,#208828,.T.); #284050=ORIENTED_EDGE('',*,*,#208827,.T.); #284051=ORIENTED_EDGE('',*,*,#208829,.F.); #284052=ORIENTED_EDGE('',*,*,#208830,.F.); #284053=ORIENTED_EDGE('',*,*,#208831,.T.); #284054=ORIENTED_EDGE('',*,*,#208830,.T.); #284055=ORIENTED_EDGE('',*,*,#208832,.F.); #284056=ORIENTED_EDGE('',*,*,#208833,.F.); #284057=ORIENTED_EDGE('',*,*,#208834,.T.); #284058=ORIENTED_EDGE('',*,*,#208833,.T.); #284059=ORIENTED_EDGE('',*,*,#208835,.F.); #284060=ORIENTED_EDGE('',*,*,#208836,.F.); #284061=ORIENTED_EDGE('',*,*,#208837,.T.); #284062=ORIENTED_EDGE('',*,*,#208836,.T.); #284063=ORIENTED_EDGE('',*,*,#208838,.F.); #284064=ORIENTED_EDGE('',*,*,#208839,.F.); #284065=ORIENTED_EDGE('',*,*,#208840,.T.); #284066=ORIENTED_EDGE('',*,*,#208839,.T.); #284067=ORIENTED_EDGE('',*,*,#208841,.F.); #284068=ORIENTED_EDGE('',*,*,#208780,.F.); #284069=ORIENTED_EDGE('',*,*,#208841,.T.); #284070=ORIENTED_EDGE('',*,*,#208838,.T.); #284071=ORIENTED_EDGE('',*,*,#208835,.T.); #284072=ORIENTED_EDGE('',*,*,#208832,.T.); #284073=ORIENTED_EDGE('',*,*,#208829,.T.); #284074=ORIENTED_EDGE('',*,*,#208826,.T.); #284075=ORIENTED_EDGE('',*,*,#208823,.T.); #284076=ORIENTED_EDGE('',*,*,#208820,.T.); #284077=ORIENTED_EDGE('',*,*,#208817,.T.); #284078=ORIENTED_EDGE('',*,*,#208814,.T.); #284079=ORIENTED_EDGE('',*,*,#208811,.T.); #284080=ORIENTED_EDGE('',*,*,#208808,.T.); #284081=ORIENTED_EDGE('',*,*,#208805,.T.); #284082=ORIENTED_EDGE('',*,*,#208802,.T.); #284083=ORIENTED_EDGE('',*,*,#208799,.T.); #284084=ORIENTED_EDGE('',*,*,#208796,.T.); #284085=ORIENTED_EDGE('',*,*,#208793,.T.); #284086=ORIENTED_EDGE('',*,*,#208790,.T.); #284087=ORIENTED_EDGE('',*,*,#208787,.T.); #284088=ORIENTED_EDGE('',*,*,#208784,.T.); #284089=ORIENTED_EDGE('',*,*,#208781,.T.); #284090=ORIENTED_EDGE('',*,*,#208840,.F.); #284091=ORIENTED_EDGE('',*,*,#208779,.F.); #284092=ORIENTED_EDGE('',*,*,#208783,.F.); #284093=ORIENTED_EDGE('',*,*,#208786,.F.); #284094=ORIENTED_EDGE('',*,*,#208789,.F.); #284095=ORIENTED_EDGE('',*,*,#208792,.F.); #284096=ORIENTED_EDGE('',*,*,#208795,.F.); #284097=ORIENTED_EDGE('',*,*,#208798,.F.); #284098=ORIENTED_EDGE('',*,*,#208801,.F.); #284099=ORIENTED_EDGE('',*,*,#208804,.F.); #284100=ORIENTED_EDGE('',*,*,#208807,.F.); #284101=ORIENTED_EDGE('',*,*,#208810,.F.); #284102=ORIENTED_EDGE('',*,*,#208813,.F.); #284103=ORIENTED_EDGE('',*,*,#208816,.F.); #284104=ORIENTED_EDGE('',*,*,#208819,.F.); #284105=ORIENTED_EDGE('',*,*,#208822,.F.); #284106=ORIENTED_EDGE('',*,*,#208825,.F.); #284107=ORIENTED_EDGE('',*,*,#208828,.F.); #284108=ORIENTED_EDGE('',*,*,#208831,.F.); #284109=ORIENTED_EDGE('',*,*,#208834,.F.); #284110=ORIENTED_EDGE('',*,*,#208837,.F.); #284111=ORIENTED_EDGE('',*,*,#208842,.T.); #284112=ORIENTED_EDGE('',*,*,#208843,.T.); #284113=ORIENTED_EDGE('',*,*,#208844,.F.); #284114=ORIENTED_EDGE('',*,*,#208845,.F.); #284115=ORIENTED_EDGE('',*,*,#208846,.T.); #284116=ORIENTED_EDGE('',*,*,#208845,.T.); #284117=ORIENTED_EDGE('',*,*,#208847,.F.); #284118=ORIENTED_EDGE('',*,*,#208848,.F.); #284119=ORIENTED_EDGE('',*,*,#208849,.T.); #284120=ORIENTED_EDGE('',*,*,#208848,.T.); #284121=ORIENTED_EDGE('',*,*,#208850,.F.); #284122=ORIENTED_EDGE('',*,*,#208851,.F.); #284123=ORIENTED_EDGE('',*,*,#208852,.T.); #284124=ORIENTED_EDGE('',*,*,#208851,.T.); #284125=ORIENTED_EDGE('',*,*,#208853,.F.); #284126=ORIENTED_EDGE('',*,*,#208854,.F.); #284127=ORIENTED_EDGE('',*,*,#208855,.T.); #284128=ORIENTED_EDGE('',*,*,#208854,.T.); #284129=ORIENTED_EDGE('',*,*,#208856,.F.); #284130=ORIENTED_EDGE('',*,*,#208857,.F.); #284131=ORIENTED_EDGE('',*,*,#208858,.T.); #284132=ORIENTED_EDGE('',*,*,#208857,.T.); #284133=ORIENTED_EDGE('',*,*,#208859,.F.); #284134=ORIENTED_EDGE('',*,*,#208860,.F.); #284135=ORIENTED_EDGE('',*,*,#208861,.T.); #284136=ORIENTED_EDGE('',*,*,#208860,.T.); #284137=ORIENTED_EDGE('',*,*,#208862,.F.); #284138=ORIENTED_EDGE('',*,*,#208863,.F.); #284139=ORIENTED_EDGE('',*,*,#208864,.T.); #284140=ORIENTED_EDGE('',*,*,#208863,.T.); #284141=ORIENTED_EDGE('',*,*,#208865,.F.); #284142=ORIENTED_EDGE('',*,*,#208866,.F.); #284143=ORIENTED_EDGE('',*,*,#208867,.T.); #284144=ORIENTED_EDGE('',*,*,#208866,.T.); #284145=ORIENTED_EDGE('',*,*,#208868,.F.); #284146=ORIENTED_EDGE('',*,*,#208869,.F.); #284147=ORIENTED_EDGE('',*,*,#208870,.T.); #284148=ORIENTED_EDGE('',*,*,#208869,.T.); #284149=ORIENTED_EDGE('',*,*,#208871,.F.); #284150=ORIENTED_EDGE('',*,*,#208872,.F.); #284151=ORIENTED_EDGE('',*,*,#208873,.T.); #284152=ORIENTED_EDGE('',*,*,#208872,.T.); #284153=ORIENTED_EDGE('',*,*,#208874,.F.); #284154=ORIENTED_EDGE('',*,*,#208875,.F.); #284155=ORIENTED_EDGE('',*,*,#208876,.T.); #284156=ORIENTED_EDGE('',*,*,#208875,.T.); #284157=ORIENTED_EDGE('',*,*,#208877,.F.); #284158=ORIENTED_EDGE('',*,*,#208878,.F.); #284159=ORIENTED_EDGE('',*,*,#208879,.T.); #284160=ORIENTED_EDGE('',*,*,#208878,.T.); #284161=ORIENTED_EDGE('',*,*,#208880,.F.); #284162=ORIENTED_EDGE('',*,*,#208881,.F.); #284163=ORIENTED_EDGE('',*,*,#208882,.T.); #284164=ORIENTED_EDGE('',*,*,#208881,.T.); #284165=ORIENTED_EDGE('',*,*,#208883,.F.); #284166=ORIENTED_EDGE('',*,*,#208884,.F.); #284167=ORIENTED_EDGE('',*,*,#208885,.T.); #284168=ORIENTED_EDGE('',*,*,#208884,.T.); #284169=ORIENTED_EDGE('',*,*,#208886,.F.); #284170=ORIENTED_EDGE('',*,*,#208887,.F.); #284171=ORIENTED_EDGE('',*,*,#208888,.T.); #284172=ORIENTED_EDGE('',*,*,#208887,.T.); #284173=ORIENTED_EDGE('',*,*,#208889,.F.); #284174=ORIENTED_EDGE('',*,*,#208890,.F.); #284175=ORIENTED_EDGE('',*,*,#208891,.T.); #284176=ORIENTED_EDGE('',*,*,#208890,.T.); #284177=ORIENTED_EDGE('',*,*,#208892,.F.); #284178=ORIENTED_EDGE('',*,*,#208893,.F.); #284179=ORIENTED_EDGE('',*,*,#208894,.T.); #284180=ORIENTED_EDGE('',*,*,#208893,.T.); #284181=ORIENTED_EDGE('',*,*,#208895,.F.); #284182=ORIENTED_EDGE('',*,*,#208896,.F.); #284183=ORIENTED_EDGE('',*,*,#208897,.T.); #284184=ORIENTED_EDGE('',*,*,#208896,.T.); #284185=ORIENTED_EDGE('',*,*,#208898,.F.); #284186=ORIENTED_EDGE('',*,*,#208899,.F.); #284187=ORIENTED_EDGE('',*,*,#208900,.T.); #284188=ORIENTED_EDGE('',*,*,#208899,.T.); #284189=ORIENTED_EDGE('',*,*,#208901,.F.); #284190=ORIENTED_EDGE('',*,*,#208843,.F.); #284191=ORIENTED_EDGE('',*,*,#208901,.T.); #284192=ORIENTED_EDGE('',*,*,#208898,.T.); #284193=ORIENTED_EDGE('',*,*,#208895,.T.); #284194=ORIENTED_EDGE('',*,*,#208892,.T.); #284195=ORIENTED_EDGE('',*,*,#208889,.T.); #284196=ORIENTED_EDGE('',*,*,#208886,.T.); #284197=ORIENTED_EDGE('',*,*,#208883,.T.); #284198=ORIENTED_EDGE('',*,*,#208880,.T.); #284199=ORIENTED_EDGE('',*,*,#208877,.T.); #284200=ORIENTED_EDGE('',*,*,#208874,.T.); #284201=ORIENTED_EDGE('',*,*,#208871,.T.); #284202=ORIENTED_EDGE('',*,*,#208868,.T.); #284203=ORIENTED_EDGE('',*,*,#208865,.T.); #284204=ORIENTED_EDGE('',*,*,#208862,.T.); #284205=ORIENTED_EDGE('',*,*,#208859,.T.); #284206=ORIENTED_EDGE('',*,*,#208856,.T.); #284207=ORIENTED_EDGE('',*,*,#208853,.T.); #284208=ORIENTED_EDGE('',*,*,#208850,.T.); #284209=ORIENTED_EDGE('',*,*,#208847,.T.); #284210=ORIENTED_EDGE('',*,*,#208844,.T.); #284211=ORIENTED_EDGE('',*,*,#208900,.F.); #284212=ORIENTED_EDGE('',*,*,#208842,.F.); #284213=ORIENTED_EDGE('',*,*,#208846,.F.); #284214=ORIENTED_EDGE('',*,*,#208849,.F.); #284215=ORIENTED_EDGE('',*,*,#208852,.F.); #284216=ORIENTED_EDGE('',*,*,#208855,.F.); #284217=ORIENTED_EDGE('',*,*,#208858,.F.); #284218=ORIENTED_EDGE('',*,*,#208861,.F.); #284219=ORIENTED_EDGE('',*,*,#208864,.F.); #284220=ORIENTED_EDGE('',*,*,#208867,.F.); #284221=ORIENTED_EDGE('',*,*,#208870,.F.); #284222=ORIENTED_EDGE('',*,*,#208873,.F.); #284223=ORIENTED_EDGE('',*,*,#208876,.F.); #284224=ORIENTED_EDGE('',*,*,#208879,.F.); #284225=ORIENTED_EDGE('',*,*,#208882,.F.); #284226=ORIENTED_EDGE('',*,*,#208885,.F.); #284227=ORIENTED_EDGE('',*,*,#208888,.F.); #284228=ORIENTED_EDGE('',*,*,#208891,.F.); #284229=ORIENTED_EDGE('',*,*,#208894,.F.); #284230=ORIENTED_EDGE('',*,*,#208897,.F.); #284231=ORIENTED_EDGE('',*,*,#208902,.T.); #284232=ORIENTED_EDGE('',*,*,#208903,.T.); #284233=ORIENTED_EDGE('',*,*,#208904,.F.); #284234=ORIENTED_EDGE('',*,*,#208905,.F.); #284235=ORIENTED_EDGE('',*,*,#208906,.T.); #284236=ORIENTED_EDGE('',*,*,#208905,.T.); #284237=ORIENTED_EDGE('',*,*,#208907,.F.); #284238=ORIENTED_EDGE('',*,*,#208908,.F.); #284239=ORIENTED_EDGE('',*,*,#208909,.T.); #284240=ORIENTED_EDGE('',*,*,#208908,.T.); #284241=ORIENTED_EDGE('',*,*,#208910,.F.); #284242=ORIENTED_EDGE('',*,*,#208911,.F.); #284243=ORIENTED_EDGE('',*,*,#208912,.T.); #284244=ORIENTED_EDGE('',*,*,#208911,.T.); #284245=ORIENTED_EDGE('',*,*,#208913,.F.); #284246=ORIENTED_EDGE('',*,*,#208914,.F.); #284247=ORIENTED_EDGE('',*,*,#208915,.T.); #284248=ORIENTED_EDGE('',*,*,#208914,.T.); #284249=ORIENTED_EDGE('',*,*,#208916,.F.); #284250=ORIENTED_EDGE('',*,*,#208917,.F.); #284251=ORIENTED_EDGE('',*,*,#208918,.T.); #284252=ORIENTED_EDGE('',*,*,#208917,.T.); #284253=ORIENTED_EDGE('',*,*,#208919,.F.); #284254=ORIENTED_EDGE('',*,*,#208920,.F.); #284255=ORIENTED_EDGE('',*,*,#208921,.T.); #284256=ORIENTED_EDGE('',*,*,#208920,.T.); #284257=ORIENTED_EDGE('',*,*,#208922,.F.); #284258=ORIENTED_EDGE('',*,*,#208923,.F.); #284259=ORIENTED_EDGE('',*,*,#208924,.T.); #284260=ORIENTED_EDGE('',*,*,#208923,.T.); #284261=ORIENTED_EDGE('',*,*,#208925,.F.); #284262=ORIENTED_EDGE('',*,*,#208926,.F.); #284263=ORIENTED_EDGE('',*,*,#208927,.T.); #284264=ORIENTED_EDGE('',*,*,#208926,.T.); #284265=ORIENTED_EDGE('',*,*,#208928,.F.); #284266=ORIENTED_EDGE('',*,*,#208929,.F.); #284267=ORIENTED_EDGE('',*,*,#208930,.T.); #284268=ORIENTED_EDGE('',*,*,#208929,.T.); #284269=ORIENTED_EDGE('',*,*,#208931,.F.); #284270=ORIENTED_EDGE('',*,*,#208932,.F.); #284271=ORIENTED_EDGE('',*,*,#208933,.T.); #284272=ORIENTED_EDGE('',*,*,#208932,.T.); #284273=ORIENTED_EDGE('',*,*,#208934,.F.); #284274=ORIENTED_EDGE('',*,*,#208935,.F.); #284275=ORIENTED_EDGE('',*,*,#208936,.T.); #284276=ORIENTED_EDGE('',*,*,#208935,.T.); #284277=ORIENTED_EDGE('',*,*,#208937,.F.); #284278=ORIENTED_EDGE('',*,*,#208938,.F.); #284279=ORIENTED_EDGE('',*,*,#208939,.T.); #284280=ORIENTED_EDGE('',*,*,#208938,.T.); #284281=ORIENTED_EDGE('',*,*,#208940,.F.); #284282=ORIENTED_EDGE('',*,*,#208941,.F.); #284283=ORIENTED_EDGE('',*,*,#208942,.T.); #284284=ORIENTED_EDGE('',*,*,#208941,.T.); #284285=ORIENTED_EDGE('',*,*,#208943,.F.); #284286=ORIENTED_EDGE('',*,*,#208944,.F.); #284287=ORIENTED_EDGE('',*,*,#208945,.T.); #284288=ORIENTED_EDGE('',*,*,#208944,.T.); #284289=ORIENTED_EDGE('',*,*,#208946,.F.); #284290=ORIENTED_EDGE('',*,*,#208947,.F.); #284291=ORIENTED_EDGE('',*,*,#208948,.T.); #284292=ORIENTED_EDGE('',*,*,#208947,.T.); #284293=ORIENTED_EDGE('',*,*,#208949,.F.); #284294=ORIENTED_EDGE('',*,*,#208950,.F.); #284295=ORIENTED_EDGE('',*,*,#208951,.T.); #284296=ORIENTED_EDGE('',*,*,#208950,.T.); #284297=ORIENTED_EDGE('',*,*,#208952,.F.); #284298=ORIENTED_EDGE('',*,*,#208953,.F.); #284299=ORIENTED_EDGE('',*,*,#208954,.T.); #284300=ORIENTED_EDGE('',*,*,#208953,.T.); #284301=ORIENTED_EDGE('',*,*,#208955,.F.); #284302=ORIENTED_EDGE('',*,*,#208956,.F.); #284303=ORIENTED_EDGE('',*,*,#208957,.T.); #284304=ORIENTED_EDGE('',*,*,#208956,.T.); #284305=ORIENTED_EDGE('',*,*,#208958,.F.); #284306=ORIENTED_EDGE('',*,*,#208959,.F.); #284307=ORIENTED_EDGE('',*,*,#208960,.T.); #284308=ORIENTED_EDGE('',*,*,#208959,.T.); #284309=ORIENTED_EDGE('',*,*,#208961,.F.); #284310=ORIENTED_EDGE('',*,*,#208962,.F.); #284311=ORIENTED_EDGE('',*,*,#208963,.T.); #284312=ORIENTED_EDGE('',*,*,#208962,.T.); #284313=ORIENTED_EDGE('',*,*,#208964,.F.); #284314=ORIENTED_EDGE('',*,*,#208965,.F.); #284315=ORIENTED_EDGE('',*,*,#208966,.T.); #284316=ORIENTED_EDGE('',*,*,#208965,.T.); #284317=ORIENTED_EDGE('',*,*,#208967,.F.); #284318=ORIENTED_EDGE('',*,*,#208968,.F.); #284319=ORIENTED_EDGE('',*,*,#208969,.T.); #284320=ORIENTED_EDGE('',*,*,#208968,.T.); #284321=ORIENTED_EDGE('',*,*,#208970,.F.); #284322=ORIENTED_EDGE('',*,*,#208971,.F.); #284323=ORIENTED_EDGE('',*,*,#208972,.T.); #284324=ORIENTED_EDGE('',*,*,#208971,.T.); #284325=ORIENTED_EDGE('',*,*,#208973,.F.); #284326=ORIENTED_EDGE('',*,*,#208903,.F.); #284327=ORIENTED_EDGE('',*,*,#208973,.T.); #284328=ORIENTED_EDGE('',*,*,#208970,.T.); #284329=ORIENTED_EDGE('',*,*,#208967,.T.); #284330=ORIENTED_EDGE('',*,*,#208964,.T.); #284331=ORIENTED_EDGE('',*,*,#208961,.T.); #284332=ORIENTED_EDGE('',*,*,#208958,.T.); #284333=ORIENTED_EDGE('',*,*,#208955,.T.); #284334=ORIENTED_EDGE('',*,*,#208952,.T.); #284335=ORIENTED_EDGE('',*,*,#208949,.T.); #284336=ORIENTED_EDGE('',*,*,#208946,.T.); #284337=ORIENTED_EDGE('',*,*,#208943,.T.); #284338=ORIENTED_EDGE('',*,*,#208940,.T.); #284339=ORIENTED_EDGE('',*,*,#208937,.T.); #284340=ORIENTED_EDGE('',*,*,#208934,.T.); #284341=ORIENTED_EDGE('',*,*,#208931,.T.); #284342=ORIENTED_EDGE('',*,*,#208928,.T.); #284343=ORIENTED_EDGE('',*,*,#208925,.T.); #284344=ORIENTED_EDGE('',*,*,#208922,.T.); #284345=ORIENTED_EDGE('',*,*,#208919,.T.); #284346=ORIENTED_EDGE('',*,*,#208916,.T.); #284347=ORIENTED_EDGE('',*,*,#208913,.T.); #284348=ORIENTED_EDGE('',*,*,#208910,.T.); #284349=ORIENTED_EDGE('',*,*,#208907,.T.); #284350=ORIENTED_EDGE('',*,*,#208904,.T.); #284351=ORIENTED_EDGE('',*,*,#208972,.F.); #284352=ORIENTED_EDGE('',*,*,#208902,.F.); #284353=ORIENTED_EDGE('',*,*,#208906,.F.); #284354=ORIENTED_EDGE('',*,*,#208909,.F.); #284355=ORIENTED_EDGE('',*,*,#208912,.F.); #284356=ORIENTED_EDGE('',*,*,#208915,.F.); #284357=ORIENTED_EDGE('',*,*,#208918,.F.); #284358=ORIENTED_EDGE('',*,*,#208921,.F.); #284359=ORIENTED_EDGE('',*,*,#208924,.F.); #284360=ORIENTED_EDGE('',*,*,#208927,.F.); #284361=ORIENTED_EDGE('',*,*,#208930,.F.); #284362=ORIENTED_EDGE('',*,*,#208933,.F.); #284363=ORIENTED_EDGE('',*,*,#208936,.F.); #284364=ORIENTED_EDGE('',*,*,#208939,.F.); #284365=ORIENTED_EDGE('',*,*,#208942,.F.); #284366=ORIENTED_EDGE('',*,*,#208945,.F.); #284367=ORIENTED_EDGE('',*,*,#208948,.F.); #284368=ORIENTED_EDGE('',*,*,#208951,.F.); #284369=ORIENTED_EDGE('',*,*,#208954,.F.); #284370=ORIENTED_EDGE('',*,*,#208957,.F.); #284371=ORIENTED_EDGE('',*,*,#208960,.F.); #284372=ORIENTED_EDGE('',*,*,#208963,.F.); #284373=ORIENTED_EDGE('',*,*,#208966,.F.); #284374=ORIENTED_EDGE('',*,*,#208969,.F.); #284375=ORIENTED_EDGE('',*,*,#208974,.T.); #284376=ORIENTED_EDGE('',*,*,#208975,.T.); #284377=ORIENTED_EDGE('',*,*,#208976,.F.); #284378=ORIENTED_EDGE('',*,*,#208977,.F.); #284379=ORIENTED_EDGE('',*,*,#208978,.T.); #284380=ORIENTED_EDGE('',*,*,#208977,.T.); #284381=ORIENTED_EDGE('',*,*,#208979,.F.); #284382=ORIENTED_EDGE('',*,*,#208980,.F.); #284383=ORIENTED_EDGE('',*,*,#208981,.T.); #284384=ORIENTED_EDGE('',*,*,#208980,.T.); #284385=ORIENTED_EDGE('',*,*,#208982,.F.); #284386=ORIENTED_EDGE('',*,*,#208983,.F.); #284387=ORIENTED_EDGE('',*,*,#208984,.T.); #284388=ORIENTED_EDGE('',*,*,#208983,.T.); #284389=ORIENTED_EDGE('',*,*,#208985,.F.); #284390=ORIENTED_EDGE('',*,*,#208986,.F.); #284391=ORIENTED_EDGE('',*,*,#208987,.T.); #284392=ORIENTED_EDGE('',*,*,#208986,.T.); #284393=ORIENTED_EDGE('',*,*,#208988,.F.); #284394=ORIENTED_EDGE('',*,*,#208989,.F.); #284395=ORIENTED_EDGE('',*,*,#208990,.T.); #284396=ORIENTED_EDGE('',*,*,#208989,.T.); #284397=ORIENTED_EDGE('',*,*,#208991,.F.); #284398=ORIENTED_EDGE('',*,*,#208992,.F.); #284399=ORIENTED_EDGE('',*,*,#208993,.T.); #284400=ORIENTED_EDGE('',*,*,#208992,.T.); #284401=ORIENTED_EDGE('',*,*,#208994,.F.); #284402=ORIENTED_EDGE('',*,*,#208995,.F.); #284403=ORIENTED_EDGE('',*,*,#208996,.T.); #284404=ORIENTED_EDGE('',*,*,#208995,.T.); #284405=ORIENTED_EDGE('',*,*,#208997,.F.); #284406=ORIENTED_EDGE('',*,*,#208998,.F.); #284407=ORIENTED_EDGE('',*,*,#208999,.T.); #284408=ORIENTED_EDGE('',*,*,#208998,.T.); #284409=ORIENTED_EDGE('',*,*,#209000,.F.); #284410=ORIENTED_EDGE('',*,*,#209001,.F.); #284411=ORIENTED_EDGE('',*,*,#209002,.T.); #284412=ORIENTED_EDGE('',*,*,#209001,.T.); #284413=ORIENTED_EDGE('',*,*,#209003,.F.); #284414=ORIENTED_EDGE('',*,*,#209004,.F.); #284415=ORIENTED_EDGE('',*,*,#209005,.T.); #284416=ORIENTED_EDGE('',*,*,#209004,.T.); #284417=ORIENTED_EDGE('',*,*,#209006,.F.); #284418=ORIENTED_EDGE('',*,*,#209007,.F.); #284419=ORIENTED_EDGE('',*,*,#209008,.T.); #284420=ORIENTED_EDGE('',*,*,#209007,.T.); #284421=ORIENTED_EDGE('',*,*,#209009,.F.); #284422=ORIENTED_EDGE('',*,*,#209010,.F.); #284423=ORIENTED_EDGE('',*,*,#209011,.T.); #284424=ORIENTED_EDGE('',*,*,#209010,.T.); #284425=ORIENTED_EDGE('',*,*,#209012,.F.); #284426=ORIENTED_EDGE('',*,*,#209013,.F.); #284427=ORIENTED_EDGE('',*,*,#209014,.T.); #284428=ORIENTED_EDGE('',*,*,#209013,.T.); #284429=ORIENTED_EDGE('',*,*,#209015,.F.); #284430=ORIENTED_EDGE('',*,*,#209016,.F.); #284431=ORIENTED_EDGE('',*,*,#209017,.T.); #284432=ORIENTED_EDGE('',*,*,#209016,.T.); #284433=ORIENTED_EDGE('',*,*,#209018,.F.); #284434=ORIENTED_EDGE('',*,*,#209019,.F.); #284435=ORIENTED_EDGE('',*,*,#209020,.T.); #284436=ORIENTED_EDGE('',*,*,#209019,.T.); #284437=ORIENTED_EDGE('',*,*,#209021,.F.); #284438=ORIENTED_EDGE('',*,*,#209022,.F.); #284439=ORIENTED_EDGE('',*,*,#209023,.T.); #284440=ORIENTED_EDGE('',*,*,#209022,.T.); #284441=ORIENTED_EDGE('',*,*,#209024,.F.); #284442=ORIENTED_EDGE('',*,*,#209025,.F.); #284443=ORIENTED_EDGE('',*,*,#209026,.T.); #284444=ORIENTED_EDGE('',*,*,#209025,.T.); #284445=ORIENTED_EDGE('',*,*,#209027,.F.); #284446=ORIENTED_EDGE('',*,*,#209028,.F.); #284447=ORIENTED_EDGE('',*,*,#209029,.T.); #284448=ORIENTED_EDGE('',*,*,#209028,.T.); #284449=ORIENTED_EDGE('',*,*,#209030,.F.); #284450=ORIENTED_EDGE('',*,*,#209031,.F.); #284451=ORIENTED_EDGE('',*,*,#209032,.T.); #284452=ORIENTED_EDGE('',*,*,#209031,.T.); #284453=ORIENTED_EDGE('',*,*,#209033,.F.); #284454=ORIENTED_EDGE('',*,*,#209034,.F.); #284455=ORIENTED_EDGE('',*,*,#209035,.T.); #284456=ORIENTED_EDGE('',*,*,#209034,.T.); #284457=ORIENTED_EDGE('',*,*,#209036,.F.); #284458=ORIENTED_EDGE('',*,*,#208975,.F.); #284459=ORIENTED_EDGE('',*,*,#209036,.T.); #284460=ORIENTED_EDGE('',*,*,#209033,.T.); #284461=ORIENTED_EDGE('',*,*,#209030,.T.); #284462=ORIENTED_EDGE('',*,*,#209027,.T.); #284463=ORIENTED_EDGE('',*,*,#209024,.T.); #284464=ORIENTED_EDGE('',*,*,#209021,.T.); #284465=ORIENTED_EDGE('',*,*,#209018,.T.); #284466=ORIENTED_EDGE('',*,*,#209015,.T.); #284467=ORIENTED_EDGE('',*,*,#209012,.T.); #284468=ORIENTED_EDGE('',*,*,#209009,.T.); #284469=ORIENTED_EDGE('',*,*,#209006,.T.); #284470=ORIENTED_EDGE('',*,*,#209003,.T.); #284471=ORIENTED_EDGE('',*,*,#209000,.T.); #284472=ORIENTED_EDGE('',*,*,#208997,.T.); #284473=ORIENTED_EDGE('',*,*,#208994,.T.); #284474=ORIENTED_EDGE('',*,*,#208991,.T.); #284475=ORIENTED_EDGE('',*,*,#208988,.T.); #284476=ORIENTED_EDGE('',*,*,#208985,.T.); #284477=ORIENTED_EDGE('',*,*,#208982,.T.); #284478=ORIENTED_EDGE('',*,*,#208979,.T.); #284479=ORIENTED_EDGE('',*,*,#208976,.T.); #284480=ORIENTED_EDGE('',*,*,#209035,.F.); #284481=ORIENTED_EDGE('',*,*,#208974,.F.); #284482=ORIENTED_EDGE('',*,*,#208978,.F.); #284483=ORIENTED_EDGE('',*,*,#208981,.F.); #284484=ORIENTED_EDGE('',*,*,#208984,.F.); #284485=ORIENTED_EDGE('',*,*,#208987,.F.); #284486=ORIENTED_EDGE('',*,*,#208990,.F.); #284487=ORIENTED_EDGE('',*,*,#208993,.F.); #284488=ORIENTED_EDGE('',*,*,#208996,.F.); #284489=ORIENTED_EDGE('',*,*,#208999,.F.); #284490=ORIENTED_EDGE('',*,*,#209002,.F.); #284491=ORIENTED_EDGE('',*,*,#209005,.F.); #284492=ORIENTED_EDGE('',*,*,#209008,.F.); #284493=ORIENTED_EDGE('',*,*,#209011,.F.); #284494=ORIENTED_EDGE('',*,*,#209014,.F.); #284495=ORIENTED_EDGE('',*,*,#209017,.F.); #284496=ORIENTED_EDGE('',*,*,#209020,.F.); #284497=ORIENTED_EDGE('',*,*,#209023,.F.); #284498=ORIENTED_EDGE('',*,*,#209026,.F.); #284499=ORIENTED_EDGE('',*,*,#209029,.F.); #284500=ORIENTED_EDGE('',*,*,#209032,.F.); #284501=ORIENTED_EDGE('',*,*,#209037,.T.); #284502=ORIENTED_EDGE('',*,*,#209038,.T.); #284503=ORIENTED_EDGE('',*,*,#209039,.F.); #284504=ORIENTED_EDGE('',*,*,#209040,.F.); #284505=ORIENTED_EDGE('',*,*,#209041,.T.); #284506=ORIENTED_EDGE('',*,*,#209040,.T.); #284507=ORIENTED_EDGE('',*,*,#209042,.F.); #284508=ORIENTED_EDGE('',*,*,#209043,.F.); #284509=ORIENTED_EDGE('',*,*,#209044,.T.); #284510=ORIENTED_EDGE('',*,*,#209043,.T.); #284511=ORIENTED_EDGE('',*,*,#209045,.F.); #284512=ORIENTED_EDGE('',*,*,#209046,.F.); #284513=ORIENTED_EDGE('',*,*,#209047,.T.); #284514=ORIENTED_EDGE('',*,*,#209046,.T.); #284515=ORIENTED_EDGE('',*,*,#209048,.F.); #284516=ORIENTED_EDGE('',*,*,#209049,.F.); #284517=ORIENTED_EDGE('',*,*,#209050,.T.); #284518=ORIENTED_EDGE('',*,*,#209049,.T.); #284519=ORIENTED_EDGE('',*,*,#209051,.F.); #284520=ORIENTED_EDGE('',*,*,#209052,.F.); #284521=ORIENTED_EDGE('',*,*,#209053,.T.); #284522=ORIENTED_EDGE('',*,*,#209052,.T.); #284523=ORIENTED_EDGE('',*,*,#209054,.F.); #284524=ORIENTED_EDGE('',*,*,#209055,.F.); #284525=ORIENTED_EDGE('',*,*,#209056,.T.); #284526=ORIENTED_EDGE('',*,*,#209055,.T.); #284527=ORIENTED_EDGE('',*,*,#209057,.F.); #284528=ORIENTED_EDGE('',*,*,#209058,.F.); #284529=ORIENTED_EDGE('',*,*,#209059,.T.); #284530=ORIENTED_EDGE('',*,*,#209058,.T.); #284531=ORIENTED_EDGE('',*,*,#209060,.F.); #284532=ORIENTED_EDGE('',*,*,#209061,.F.); #284533=ORIENTED_EDGE('',*,*,#209062,.T.); #284534=ORIENTED_EDGE('',*,*,#209061,.T.); #284535=ORIENTED_EDGE('',*,*,#209063,.F.); #284536=ORIENTED_EDGE('',*,*,#209064,.F.); #284537=ORIENTED_EDGE('',*,*,#209065,.T.); #284538=ORIENTED_EDGE('',*,*,#209064,.T.); #284539=ORIENTED_EDGE('',*,*,#209066,.F.); #284540=ORIENTED_EDGE('',*,*,#209067,.F.); #284541=ORIENTED_EDGE('',*,*,#209068,.T.); #284542=ORIENTED_EDGE('',*,*,#209067,.T.); #284543=ORIENTED_EDGE('',*,*,#209069,.F.); #284544=ORIENTED_EDGE('',*,*,#209070,.F.); #284545=ORIENTED_EDGE('',*,*,#209071,.T.); #284546=ORIENTED_EDGE('',*,*,#209070,.T.); #284547=ORIENTED_EDGE('',*,*,#209072,.F.); #284548=ORIENTED_EDGE('',*,*,#209073,.F.); #284549=ORIENTED_EDGE('',*,*,#209074,.T.); #284550=ORIENTED_EDGE('',*,*,#209073,.T.); #284551=ORIENTED_EDGE('',*,*,#209075,.F.); #284552=ORIENTED_EDGE('',*,*,#209076,.F.); #284553=ORIENTED_EDGE('',*,*,#209077,.T.); #284554=ORIENTED_EDGE('',*,*,#209076,.T.); #284555=ORIENTED_EDGE('',*,*,#209078,.F.); #284556=ORIENTED_EDGE('',*,*,#209079,.F.); #284557=ORIENTED_EDGE('',*,*,#209080,.T.); #284558=ORIENTED_EDGE('',*,*,#209079,.T.); #284559=ORIENTED_EDGE('',*,*,#209081,.F.); #284560=ORIENTED_EDGE('',*,*,#209082,.F.); #284561=ORIENTED_EDGE('',*,*,#209083,.T.); #284562=ORIENTED_EDGE('',*,*,#209082,.T.); #284563=ORIENTED_EDGE('',*,*,#209084,.F.); #284564=ORIENTED_EDGE('',*,*,#209085,.F.); #284565=ORIENTED_EDGE('',*,*,#209086,.T.); #284566=ORIENTED_EDGE('',*,*,#209085,.T.); #284567=ORIENTED_EDGE('',*,*,#209087,.F.); #284568=ORIENTED_EDGE('',*,*,#209088,.F.); #284569=ORIENTED_EDGE('',*,*,#209089,.T.); #284570=ORIENTED_EDGE('',*,*,#209088,.T.); #284571=ORIENTED_EDGE('',*,*,#209090,.F.); #284572=ORIENTED_EDGE('',*,*,#209091,.F.); #284573=ORIENTED_EDGE('',*,*,#209092,.T.); #284574=ORIENTED_EDGE('',*,*,#209091,.T.); #284575=ORIENTED_EDGE('',*,*,#209093,.F.); #284576=ORIENTED_EDGE('',*,*,#209094,.F.); #284577=ORIENTED_EDGE('',*,*,#209095,.T.); #284578=ORIENTED_EDGE('',*,*,#209094,.T.); #284579=ORIENTED_EDGE('',*,*,#209096,.F.); #284580=ORIENTED_EDGE('',*,*,#209097,.F.); #284581=ORIENTED_EDGE('',*,*,#209098,.T.); #284582=ORIENTED_EDGE('',*,*,#209097,.T.); #284583=ORIENTED_EDGE('',*,*,#209099,.F.); #284584=ORIENTED_EDGE('',*,*,#209100,.F.); #284585=ORIENTED_EDGE('',*,*,#209101,.T.); #284586=ORIENTED_EDGE('',*,*,#209100,.T.); #284587=ORIENTED_EDGE('',*,*,#209102,.F.); #284588=ORIENTED_EDGE('',*,*,#209103,.F.); #284589=ORIENTED_EDGE('',*,*,#209104,.T.); #284590=ORIENTED_EDGE('',*,*,#209103,.T.); #284591=ORIENTED_EDGE('',*,*,#209105,.F.); #284592=ORIENTED_EDGE('',*,*,#209106,.F.); #284593=ORIENTED_EDGE('',*,*,#209107,.T.); #284594=ORIENTED_EDGE('',*,*,#209106,.T.); #284595=ORIENTED_EDGE('',*,*,#209108,.F.); #284596=ORIENTED_EDGE('',*,*,#209109,.F.); #284597=ORIENTED_EDGE('',*,*,#209110,.T.); #284598=ORIENTED_EDGE('',*,*,#209109,.T.); #284599=ORIENTED_EDGE('',*,*,#209111,.F.); #284600=ORIENTED_EDGE('',*,*,#209112,.F.); #284601=ORIENTED_EDGE('',*,*,#209113,.T.); #284602=ORIENTED_EDGE('',*,*,#209112,.T.); #284603=ORIENTED_EDGE('',*,*,#209114,.F.); #284604=ORIENTED_EDGE('',*,*,#209115,.F.); #284605=ORIENTED_EDGE('',*,*,#209116,.T.); #284606=ORIENTED_EDGE('',*,*,#209115,.T.); #284607=ORIENTED_EDGE('',*,*,#209117,.F.); #284608=ORIENTED_EDGE('',*,*,#209118,.F.); #284609=ORIENTED_EDGE('',*,*,#209119,.T.); #284610=ORIENTED_EDGE('',*,*,#209118,.T.); #284611=ORIENTED_EDGE('',*,*,#209120,.F.); #284612=ORIENTED_EDGE('',*,*,#209121,.F.); #284613=ORIENTED_EDGE('',*,*,#209122,.T.); #284614=ORIENTED_EDGE('',*,*,#209121,.T.); #284615=ORIENTED_EDGE('',*,*,#209123,.F.); #284616=ORIENTED_EDGE('',*,*,#209124,.F.); #284617=ORIENTED_EDGE('',*,*,#209125,.T.); #284618=ORIENTED_EDGE('',*,*,#209124,.T.); #284619=ORIENTED_EDGE('',*,*,#209126,.F.); #284620=ORIENTED_EDGE('',*,*,#209127,.F.); #284621=ORIENTED_EDGE('',*,*,#209128,.T.); #284622=ORIENTED_EDGE('',*,*,#209127,.T.); #284623=ORIENTED_EDGE('',*,*,#209129,.F.); #284624=ORIENTED_EDGE('',*,*,#209130,.F.); #284625=ORIENTED_EDGE('',*,*,#209131,.T.); #284626=ORIENTED_EDGE('',*,*,#209130,.T.); #284627=ORIENTED_EDGE('',*,*,#209132,.F.); #284628=ORIENTED_EDGE('',*,*,#209133,.F.); #284629=ORIENTED_EDGE('',*,*,#209134,.T.); #284630=ORIENTED_EDGE('',*,*,#209133,.T.); #284631=ORIENTED_EDGE('',*,*,#209135,.F.); #284632=ORIENTED_EDGE('',*,*,#209136,.F.); #284633=ORIENTED_EDGE('',*,*,#209137,.T.); #284634=ORIENTED_EDGE('',*,*,#209136,.T.); #284635=ORIENTED_EDGE('',*,*,#209138,.F.); #284636=ORIENTED_EDGE('',*,*,#209139,.F.); #284637=ORIENTED_EDGE('',*,*,#209140,.T.); #284638=ORIENTED_EDGE('',*,*,#209139,.T.); #284639=ORIENTED_EDGE('',*,*,#209141,.F.); #284640=ORIENTED_EDGE('',*,*,#209038,.F.); #284641=ORIENTED_EDGE('',*,*,#209141,.T.); #284642=ORIENTED_EDGE('',*,*,#209138,.T.); #284643=ORIENTED_EDGE('',*,*,#209135,.T.); #284644=ORIENTED_EDGE('',*,*,#209132,.T.); #284645=ORIENTED_EDGE('',*,*,#209129,.T.); #284646=ORIENTED_EDGE('',*,*,#209126,.T.); #284647=ORIENTED_EDGE('',*,*,#209123,.T.); #284648=ORIENTED_EDGE('',*,*,#209120,.T.); #284649=ORIENTED_EDGE('',*,*,#209117,.T.); #284650=ORIENTED_EDGE('',*,*,#209114,.T.); #284651=ORIENTED_EDGE('',*,*,#209111,.T.); #284652=ORIENTED_EDGE('',*,*,#209108,.T.); #284653=ORIENTED_EDGE('',*,*,#209105,.T.); #284654=ORIENTED_EDGE('',*,*,#209102,.T.); #284655=ORIENTED_EDGE('',*,*,#209099,.T.); #284656=ORIENTED_EDGE('',*,*,#209096,.T.); #284657=ORIENTED_EDGE('',*,*,#209093,.T.); #284658=ORIENTED_EDGE('',*,*,#209090,.T.); #284659=ORIENTED_EDGE('',*,*,#209087,.T.); #284660=ORIENTED_EDGE('',*,*,#209084,.T.); #284661=ORIENTED_EDGE('',*,*,#209081,.T.); #284662=ORIENTED_EDGE('',*,*,#209078,.T.); #284663=ORIENTED_EDGE('',*,*,#209075,.T.); #284664=ORIENTED_EDGE('',*,*,#209072,.T.); #284665=ORIENTED_EDGE('',*,*,#209069,.T.); #284666=ORIENTED_EDGE('',*,*,#209066,.T.); #284667=ORIENTED_EDGE('',*,*,#209063,.T.); #284668=ORIENTED_EDGE('',*,*,#209060,.T.); #284669=ORIENTED_EDGE('',*,*,#209057,.T.); #284670=ORIENTED_EDGE('',*,*,#209054,.T.); #284671=ORIENTED_EDGE('',*,*,#209051,.T.); #284672=ORIENTED_EDGE('',*,*,#209048,.T.); #284673=ORIENTED_EDGE('',*,*,#209045,.T.); #284674=ORIENTED_EDGE('',*,*,#209042,.T.); #284675=ORIENTED_EDGE('',*,*,#209039,.T.); #284676=ORIENTED_EDGE('',*,*,#209140,.F.); #284677=ORIENTED_EDGE('',*,*,#209037,.F.); #284678=ORIENTED_EDGE('',*,*,#209041,.F.); #284679=ORIENTED_EDGE('',*,*,#209044,.F.); #284680=ORIENTED_EDGE('',*,*,#209047,.F.); #284681=ORIENTED_EDGE('',*,*,#209050,.F.); #284682=ORIENTED_EDGE('',*,*,#209053,.F.); #284683=ORIENTED_EDGE('',*,*,#209056,.F.); #284684=ORIENTED_EDGE('',*,*,#209059,.F.); #284685=ORIENTED_EDGE('',*,*,#209062,.F.); #284686=ORIENTED_EDGE('',*,*,#209065,.F.); #284687=ORIENTED_EDGE('',*,*,#209068,.F.); #284688=ORIENTED_EDGE('',*,*,#209071,.F.); #284689=ORIENTED_EDGE('',*,*,#209074,.F.); #284690=ORIENTED_EDGE('',*,*,#209077,.F.); #284691=ORIENTED_EDGE('',*,*,#209080,.F.); #284692=ORIENTED_EDGE('',*,*,#209083,.F.); #284693=ORIENTED_EDGE('',*,*,#209086,.F.); #284694=ORIENTED_EDGE('',*,*,#209089,.F.); #284695=ORIENTED_EDGE('',*,*,#209092,.F.); #284696=ORIENTED_EDGE('',*,*,#209095,.F.); #284697=ORIENTED_EDGE('',*,*,#209098,.F.); #284698=ORIENTED_EDGE('',*,*,#209101,.F.); #284699=ORIENTED_EDGE('',*,*,#209104,.F.); #284700=ORIENTED_EDGE('',*,*,#209107,.F.); #284701=ORIENTED_EDGE('',*,*,#209110,.F.); #284702=ORIENTED_EDGE('',*,*,#209113,.F.); #284703=ORIENTED_EDGE('',*,*,#209116,.F.); #284704=ORIENTED_EDGE('',*,*,#209119,.F.); #284705=ORIENTED_EDGE('',*,*,#209122,.F.); #284706=ORIENTED_EDGE('',*,*,#209125,.F.); #284707=ORIENTED_EDGE('',*,*,#209128,.F.); #284708=ORIENTED_EDGE('',*,*,#209131,.F.); #284709=ORIENTED_EDGE('',*,*,#209134,.F.); #284710=ORIENTED_EDGE('',*,*,#209137,.F.); #284711=ORIENTED_EDGE('',*,*,#209142,.T.); #284712=ORIENTED_EDGE('',*,*,#209143,.T.); #284713=ORIENTED_EDGE('',*,*,#209144,.F.); #284714=ORIENTED_EDGE('',*,*,#209145,.F.); #284715=ORIENTED_EDGE('',*,*,#209146,.T.); #284716=ORIENTED_EDGE('',*,*,#209145,.T.); #284717=ORIENTED_EDGE('',*,*,#209147,.F.); #284718=ORIENTED_EDGE('',*,*,#209148,.F.); #284719=ORIENTED_EDGE('',*,*,#209149,.T.); #284720=ORIENTED_EDGE('',*,*,#209148,.T.); #284721=ORIENTED_EDGE('',*,*,#209150,.F.); #284722=ORIENTED_EDGE('',*,*,#209151,.F.); #284723=ORIENTED_EDGE('',*,*,#209152,.T.); #284724=ORIENTED_EDGE('',*,*,#209151,.T.); #284725=ORIENTED_EDGE('',*,*,#209153,.F.); #284726=ORIENTED_EDGE('',*,*,#209154,.F.); #284727=ORIENTED_EDGE('',*,*,#209155,.T.); #284728=ORIENTED_EDGE('',*,*,#209154,.T.); #284729=ORIENTED_EDGE('',*,*,#209156,.F.); #284730=ORIENTED_EDGE('',*,*,#209157,.F.); #284731=ORIENTED_EDGE('',*,*,#209158,.T.); #284732=ORIENTED_EDGE('',*,*,#209157,.T.); #284733=ORIENTED_EDGE('',*,*,#209159,.F.); #284734=ORIENTED_EDGE('',*,*,#209160,.F.); #284735=ORIENTED_EDGE('',*,*,#209161,.T.); #284736=ORIENTED_EDGE('',*,*,#209160,.T.); #284737=ORIENTED_EDGE('',*,*,#209162,.F.); #284738=ORIENTED_EDGE('',*,*,#209163,.F.); #284739=ORIENTED_EDGE('',*,*,#209164,.T.); #284740=ORIENTED_EDGE('',*,*,#209163,.T.); #284741=ORIENTED_EDGE('',*,*,#209165,.F.); #284742=ORIENTED_EDGE('',*,*,#209166,.F.); #284743=ORIENTED_EDGE('',*,*,#209167,.T.); #284744=ORIENTED_EDGE('',*,*,#209166,.T.); #284745=ORIENTED_EDGE('',*,*,#209168,.F.); #284746=ORIENTED_EDGE('',*,*,#209169,.F.); #284747=ORIENTED_EDGE('',*,*,#209170,.T.); #284748=ORIENTED_EDGE('',*,*,#209169,.T.); #284749=ORIENTED_EDGE('',*,*,#209171,.F.); #284750=ORIENTED_EDGE('',*,*,#209172,.F.); #284751=ORIENTED_EDGE('',*,*,#209173,.T.); #284752=ORIENTED_EDGE('',*,*,#209172,.T.); #284753=ORIENTED_EDGE('',*,*,#209174,.F.); #284754=ORIENTED_EDGE('',*,*,#209175,.F.); #284755=ORIENTED_EDGE('',*,*,#209176,.T.); #284756=ORIENTED_EDGE('',*,*,#209175,.T.); #284757=ORIENTED_EDGE('',*,*,#209177,.F.); #284758=ORIENTED_EDGE('',*,*,#209178,.F.); #284759=ORIENTED_EDGE('',*,*,#209179,.T.); #284760=ORIENTED_EDGE('',*,*,#209178,.T.); #284761=ORIENTED_EDGE('',*,*,#209180,.F.); #284762=ORIENTED_EDGE('',*,*,#209181,.F.); #284763=ORIENTED_EDGE('',*,*,#209182,.T.); #284764=ORIENTED_EDGE('',*,*,#209181,.T.); #284765=ORIENTED_EDGE('',*,*,#209183,.F.); #284766=ORIENTED_EDGE('',*,*,#209184,.F.); #284767=ORIENTED_EDGE('',*,*,#209185,.T.); #284768=ORIENTED_EDGE('',*,*,#209184,.T.); #284769=ORIENTED_EDGE('',*,*,#209186,.F.); #284770=ORIENTED_EDGE('',*,*,#209187,.F.); #284771=ORIENTED_EDGE('',*,*,#209188,.T.); #284772=ORIENTED_EDGE('',*,*,#209187,.T.); #284773=ORIENTED_EDGE('',*,*,#209189,.F.); #284774=ORIENTED_EDGE('',*,*,#209190,.F.); #284775=ORIENTED_EDGE('',*,*,#209191,.T.); #284776=ORIENTED_EDGE('',*,*,#209190,.T.); #284777=ORIENTED_EDGE('',*,*,#209192,.F.); #284778=ORIENTED_EDGE('',*,*,#209193,.F.); #284779=ORIENTED_EDGE('',*,*,#209194,.T.); #284780=ORIENTED_EDGE('',*,*,#209193,.T.); #284781=ORIENTED_EDGE('',*,*,#209195,.F.); #284782=ORIENTED_EDGE('',*,*,#209196,.F.); #284783=ORIENTED_EDGE('',*,*,#209197,.T.); #284784=ORIENTED_EDGE('',*,*,#209196,.T.); #284785=ORIENTED_EDGE('',*,*,#209198,.F.); #284786=ORIENTED_EDGE('',*,*,#209199,.F.); #284787=ORIENTED_EDGE('',*,*,#209200,.T.); #284788=ORIENTED_EDGE('',*,*,#209199,.T.); #284789=ORIENTED_EDGE('',*,*,#209201,.F.); #284790=ORIENTED_EDGE('',*,*,#209202,.F.); #284791=ORIENTED_EDGE('',*,*,#209203,.T.); #284792=ORIENTED_EDGE('',*,*,#209202,.T.); #284793=ORIENTED_EDGE('',*,*,#209204,.F.); #284794=ORIENTED_EDGE('',*,*,#209143,.F.); #284795=ORIENTED_EDGE('',*,*,#209204,.T.); #284796=ORIENTED_EDGE('',*,*,#209201,.T.); #284797=ORIENTED_EDGE('',*,*,#209198,.T.); #284798=ORIENTED_EDGE('',*,*,#209195,.T.); #284799=ORIENTED_EDGE('',*,*,#209192,.T.); #284800=ORIENTED_EDGE('',*,*,#209189,.T.); #284801=ORIENTED_EDGE('',*,*,#209186,.T.); #284802=ORIENTED_EDGE('',*,*,#209183,.T.); #284803=ORIENTED_EDGE('',*,*,#209180,.T.); #284804=ORIENTED_EDGE('',*,*,#209177,.T.); #284805=ORIENTED_EDGE('',*,*,#209174,.T.); #284806=ORIENTED_EDGE('',*,*,#209171,.T.); #284807=ORIENTED_EDGE('',*,*,#209168,.T.); #284808=ORIENTED_EDGE('',*,*,#209165,.T.); #284809=ORIENTED_EDGE('',*,*,#209162,.T.); #284810=ORIENTED_EDGE('',*,*,#209159,.T.); #284811=ORIENTED_EDGE('',*,*,#209156,.T.); #284812=ORIENTED_EDGE('',*,*,#209153,.T.); #284813=ORIENTED_EDGE('',*,*,#209150,.T.); #284814=ORIENTED_EDGE('',*,*,#209147,.T.); #284815=ORIENTED_EDGE('',*,*,#209144,.T.); #284816=ORIENTED_EDGE('',*,*,#209203,.F.); #284817=ORIENTED_EDGE('',*,*,#209142,.F.); #284818=ORIENTED_EDGE('',*,*,#209146,.F.); #284819=ORIENTED_EDGE('',*,*,#209149,.F.); #284820=ORIENTED_EDGE('',*,*,#209152,.F.); #284821=ORIENTED_EDGE('',*,*,#209155,.F.); #284822=ORIENTED_EDGE('',*,*,#209158,.F.); #284823=ORIENTED_EDGE('',*,*,#209161,.F.); #284824=ORIENTED_EDGE('',*,*,#209164,.F.); #284825=ORIENTED_EDGE('',*,*,#209167,.F.); #284826=ORIENTED_EDGE('',*,*,#209170,.F.); #284827=ORIENTED_EDGE('',*,*,#209173,.F.); #284828=ORIENTED_EDGE('',*,*,#209176,.F.); #284829=ORIENTED_EDGE('',*,*,#209179,.F.); #284830=ORIENTED_EDGE('',*,*,#209182,.F.); #284831=ORIENTED_EDGE('',*,*,#209185,.F.); #284832=ORIENTED_EDGE('',*,*,#209188,.F.); #284833=ORIENTED_EDGE('',*,*,#209191,.F.); #284834=ORIENTED_EDGE('',*,*,#209194,.F.); #284835=ORIENTED_EDGE('',*,*,#209197,.F.); #284836=ORIENTED_EDGE('',*,*,#209200,.F.); #284837=ORIENTED_EDGE('',*,*,#209205,.T.); #284838=ORIENTED_EDGE('',*,*,#209206,.T.); #284839=ORIENTED_EDGE('',*,*,#209207,.F.); #284840=ORIENTED_EDGE('',*,*,#209208,.F.); #284841=ORIENTED_EDGE('',*,*,#209209,.T.); #284842=ORIENTED_EDGE('',*,*,#209208,.T.); #284843=ORIENTED_EDGE('',*,*,#209210,.F.); #284844=ORIENTED_EDGE('',*,*,#209211,.F.); #284845=ORIENTED_EDGE('',*,*,#209212,.T.); #284846=ORIENTED_EDGE('',*,*,#209211,.T.); #284847=ORIENTED_EDGE('',*,*,#209213,.F.); #284848=ORIENTED_EDGE('',*,*,#209214,.F.); #284849=ORIENTED_EDGE('',*,*,#209215,.T.); #284850=ORIENTED_EDGE('',*,*,#209214,.T.); #284851=ORIENTED_EDGE('',*,*,#209216,.F.); #284852=ORIENTED_EDGE('',*,*,#209217,.F.); #284853=ORIENTED_EDGE('',*,*,#209218,.T.); #284854=ORIENTED_EDGE('',*,*,#209217,.T.); #284855=ORIENTED_EDGE('',*,*,#209219,.F.); #284856=ORIENTED_EDGE('',*,*,#209220,.F.); #284857=ORIENTED_EDGE('',*,*,#209221,.T.); #284858=ORIENTED_EDGE('',*,*,#209220,.T.); #284859=ORIENTED_EDGE('',*,*,#209222,.F.); #284860=ORIENTED_EDGE('',*,*,#209223,.F.); #284861=ORIENTED_EDGE('',*,*,#209224,.T.); #284862=ORIENTED_EDGE('',*,*,#209223,.T.); #284863=ORIENTED_EDGE('',*,*,#209225,.F.); #284864=ORIENTED_EDGE('',*,*,#209226,.F.); #284865=ORIENTED_EDGE('',*,*,#209227,.T.); #284866=ORIENTED_EDGE('',*,*,#209226,.T.); #284867=ORIENTED_EDGE('',*,*,#209228,.F.); #284868=ORIENTED_EDGE('',*,*,#209229,.F.); #284869=ORIENTED_EDGE('',*,*,#209230,.T.); #284870=ORIENTED_EDGE('',*,*,#209229,.T.); #284871=ORIENTED_EDGE('',*,*,#209231,.F.); #284872=ORIENTED_EDGE('',*,*,#209232,.F.); #284873=ORIENTED_EDGE('',*,*,#209233,.T.); #284874=ORIENTED_EDGE('',*,*,#209232,.T.); #284875=ORIENTED_EDGE('',*,*,#209234,.F.); #284876=ORIENTED_EDGE('',*,*,#209235,.F.); #284877=ORIENTED_EDGE('',*,*,#209236,.T.); #284878=ORIENTED_EDGE('',*,*,#209235,.T.); #284879=ORIENTED_EDGE('',*,*,#209237,.F.); #284880=ORIENTED_EDGE('',*,*,#209238,.F.); #284881=ORIENTED_EDGE('',*,*,#209239,.T.); #284882=ORIENTED_EDGE('',*,*,#209238,.T.); #284883=ORIENTED_EDGE('',*,*,#209240,.F.); #284884=ORIENTED_EDGE('',*,*,#209241,.F.); #284885=ORIENTED_EDGE('',*,*,#209242,.T.); #284886=ORIENTED_EDGE('',*,*,#209241,.T.); #284887=ORIENTED_EDGE('',*,*,#209243,.F.); #284888=ORIENTED_EDGE('',*,*,#209244,.F.); #284889=ORIENTED_EDGE('',*,*,#209245,.T.); #284890=ORIENTED_EDGE('',*,*,#209244,.T.); #284891=ORIENTED_EDGE('',*,*,#209246,.F.); #284892=ORIENTED_EDGE('',*,*,#209247,.F.); #284893=ORIENTED_EDGE('',*,*,#209248,.T.); #284894=ORIENTED_EDGE('',*,*,#209247,.T.); #284895=ORIENTED_EDGE('',*,*,#209249,.F.); #284896=ORIENTED_EDGE('',*,*,#209250,.F.); #284897=ORIENTED_EDGE('',*,*,#209251,.T.); #284898=ORIENTED_EDGE('',*,*,#209250,.T.); #284899=ORIENTED_EDGE('',*,*,#209252,.F.); #284900=ORIENTED_EDGE('',*,*,#209253,.F.); #284901=ORIENTED_EDGE('',*,*,#209254,.T.); #284902=ORIENTED_EDGE('',*,*,#209253,.T.); #284903=ORIENTED_EDGE('',*,*,#209255,.F.); #284904=ORIENTED_EDGE('',*,*,#209256,.F.); #284905=ORIENTED_EDGE('',*,*,#209257,.T.); #284906=ORIENTED_EDGE('',*,*,#209256,.T.); #284907=ORIENTED_EDGE('',*,*,#209258,.F.); #284908=ORIENTED_EDGE('',*,*,#209259,.F.); #284909=ORIENTED_EDGE('',*,*,#209260,.T.); #284910=ORIENTED_EDGE('',*,*,#209259,.T.); #284911=ORIENTED_EDGE('',*,*,#209261,.F.); #284912=ORIENTED_EDGE('',*,*,#209262,.F.); #284913=ORIENTED_EDGE('',*,*,#209263,.T.); #284914=ORIENTED_EDGE('',*,*,#209262,.T.); #284915=ORIENTED_EDGE('',*,*,#209264,.F.); #284916=ORIENTED_EDGE('',*,*,#209265,.F.); #284917=ORIENTED_EDGE('',*,*,#209266,.T.); #284918=ORIENTED_EDGE('',*,*,#209265,.T.); #284919=ORIENTED_EDGE('',*,*,#209267,.F.); #284920=ORIENTED_EDGE('',*,*,#209268,.F.); #284921=ORIENTED_EDGE('',*,*,#209269,.T.); #284922=ORIENTED_EDGE('',*,*,#209268,.T.); #284923=ORIENTED_EDGE('',*,*,#209270,.F.); #284924=ORIENTED_EDGE('',*,*,#209271,.F.); #284925=ORIENTED_EDGE('',*,*,#209272,.T.); #284926=ORIENTED_EDGE('',*,*,#209271,.T.); #284927=ORIENTED_EDGE('',*,*,#209273,.F.); #284928=ORIENTED_EDGE('',*,*,#209274,.F.); #284929=ORIENTED_EDGE('',*,*,#209275,.T.); #284930=ORIENTED_EDGE('',*,*,#209274,.T.); #284931=ORIENTED_EDGE('',*,*,#209276,.F.); #284932=ORIENTED_EDGE('',*,*,#209277,.F.); #284933=ORIENTED_EDGE('',*,*,#209278,.T.); #284934=ORIENTED_EDGE('',*,*,#209277,.T.); #284935=ORIENTED_EDGE('',*,*,#209279,.F.); #284936=ORIENTED_EDGE('',*,*,#209280,.F.); #284937=ORIENTED_EDGE('',*,*,#209281,.T.); #284938=ORIENTED_EDGE('',*,*,#209280,.T.); #284939=ORIENTED_EDGE('',*,*,#209282,.F.); #284940=ORIENTED_EDGE('',*,*,#209283,.F.); #284941=ORIENTED_EDGE('',*,*,#209284,.T.); #284942=ORIENTED_EDGE('',*,*,#209283,.T.); #284943=ORIENTED_EDGE('',*,*,#209285,.F.); #284944=ORIENTED_EDGE('',*,*,#209286,.F.); #284945=ORIENTED_EDGE('',*,*,#209287,.T.); #284946=ORIENTED_EDGE('',*,*,#209286,.T.); #284947=ORIENTED_EDGE('',*,*,#209288,.F.); #284948=ORIENTED_EDGE('',*,*,#209289,.F.); #284949=ORIENTED_EDGE('',*,*,#209290,.T.); #284950=ORIENTED_EDGE('',*,*,#209289,.T.); #284951=ORIENTED_EDGE('',*,*,#209291,.F.); #284952=ORIENTED_EDGE('',*,*,#209292,.F.); #284953=ORIENTED_EDGE('',*,*,#209293,.T.); #284954=ORIENTED_EDGE('',*,*,#209292,.T.); #284955=ORIENTED_EDGE('',*,*,#209294,.F.); #284956=ORIENTED_EDGE('',*,*,#209295,.F.); #284957=ORIENTED_EDGE('',*,*,#209296,.T.); #284958=ORIENTED_EDGE('',*,*,#209295,.T.); #284959=ORIENTED_EDGE('',*,*,#209297,.F.); #284960=ORIENTED_EDGE('',*,*,#209206,.F.); #284961=ORIENTED_EDGE('',*,*,#209297,.T.); #284962=ORIENTED_EDGE('',*,*,#209294,.T.); #284963=ORIENTED_EDGE('',*,*,#209291,.T.); #284964=ORIENTED_EDGE('',*,*,#209288,.T.); #284965=ORIENTED_EDGE('',*,*,#209285,.T.); #284966=ORIENTED_EDGE('',*,*,#209282,.T.); #284967=ORIENTED_EDGE('',*,*,#209279,.T.); #284968=ORIENTED_EDGE('',*,*,#209276,.T.); #284969=ORIENTED_EDGE('',*,*,#209273,.T.); #284970=ORIENTED_EDGE('',*,*,#209270,.T.); #284971=ORIENTED_EDGE('',*,*,#209267,.T.); #284972=ORIENTED_EDGE('',*,*,#209264,.T.); #284973=ORIENTED_EDGE('',*,*,#209261,.T.); #284974=ORIENTED_EDGE('',*,*,#209258,.T.); #284975=ORIENTED_EDGE('',*,*,#209255,.T.); #284976=ORIENTED_EDGE('',*,*,#209252,.T.); #284977=ORIENTED_EDGE('',*,*,#209249,.T.); #284978=ORIENTED_EDGE('',*,*,#209246,.T.); #284979=ORIENTED_EDGE('',*,*,#209243,.T.); #284980=ORIENTED_EDGE('',*,*,#209240,.T.); #284981=ORIENTED_EDGE('',*,*,#209237,.T.); #284982=ORIENTED_EDGE('',*,*,#209234,.T.); #284983=ORIENTED_EDGE('',*,*,#209231,.T.); #284984=ORIENTED_EDGE('',*,*,#209228,.T.); #284985=ORIENTED_EDGE('',*,*,#209225,.T.); #284986=ORIENTED_EDGE('',*,*,#209222,.T.); #284987=ORIENTED_EDGE('',*,*,#209219,.T.); #284988=ORIENTED_EDGE('',*,*,#209216,.T.); #284989=ORIENTED_EDGE('',*,*,#209213,.T.); #284990=ORIENTED_EDGE('',*,*,#209210,.T.); #284991=ORIENTED_EDGE('',*,*,#209207,.T.); #284992=ORIENTED_EDGE('',*,*,#209296,.F.); #284993=ORIENTED_EDGE('',*,*,#209205,.F.); #284994=ORIENTED_EDGE('',*,*,#209209,.F.); #284995=ORIENTED_EDGE('',*,*,#209212,.F.); #284996=ORIENTED_EDGE('',*,*,#209215,.F.); #284997=ORIENTED_EDGE('',*,*,#209218,.F.); #284998=ORIENTED_EDGE('',*,*,#209221,.F.); #284999=ORIENTED_EDGE('',*,*,#209224,.F.); #285000=ORIENTED_EDGE('',*,*,#209227,.F.); #285001=ORIENTED_EDGE('',*,*,#209230,.F.); #285002=ORIENTED_EDGE('',*,*,#209233,.F.); #285003=ORIENTED_EDGE('',*,*,#209236,.F.); #285004=ORIENTED_EDGE('',*,*,#209239,.F.); #285005=ORIENTED_EDGE('',*,*,#209242,.F.); #285006=ORIENTED_EDGE('',*,*,#209245,.F.); #285007=ORIENTED_EDGE('',*,*,#209248,.F.); #285008=ORIENTED_EDGE('',*,*,#209251,.F.); #285009=ORIENTED_EDGE('',*,*,#209254,.F.); #285010=ORIENTED_EDGE('',*,*,#209257,.F.); #285011=ORIENTED_EDGE('',*,*,#209260,.F.); #285012=ORIENTED_EDGE('',*,*,#209263,.F.); #285013=ORIENTED_EDGE('',*,*,#209266,.F.); #285014=ORIENTED_EDGE('',*,*,#209269,.F.); #285015=ORIENTED_EDGE('',*,*,#209272,.F.); #285016=ORIENTED_EDGE('',*,*,#209275,.F.); #285017=ORIENTED_EDGE('',*,*,#209278,.F.); #285018=ORIENTED_EDGE('',*,*,#209281,.F.); #285019=ORIENTED_EDGE('',*,*,#209284,.F.); #285020=ORIENTED_EDGE('',*,*,#209287,.F.); #285021=ORIENTED_EDGE('',*,*,#209290,.F.); #285022=ORIENTED_EDGE('',*,*,#209293,.F.); #285023=ORIENTED_EDGE('',*,*,#209298,.T.); #285024=ORIENTED_EDGE('',*,*,#209299,.T.); #285025=ORIENTED_EDGE('',*,*,#209300,.F.); #285026=ORIENTED_EDGE('',*,*,#209301,.F.); #285027=ORIENTED_EDGE('',*,*,#209302,.T.); #285028=ORIENTED_EDGE('',*,*,#209301,.T.); #285029=ORIENTED_EDGE('',*,*,#209303,.F.); #285030=ORIENTED_EDGE('',*,*,#209304,.F.); #285031=ORIENTED_EDGE('',*,*,#209305,.T.); #285032=ORIENTED_EDGE('',*,*,#209304,.T.); #285033=ORIENTED_EDGE('',*,*,#209306,.F.); #285034=ORIENTED_EDGE('',*,*,#209307,.F.); #285035=ORIENTED_EDGE('',*,*,#209308,.T.); #285036=ORIENTED_EDGE('',*,*,#209307,.T.); #285037=ORIENTED_EDGE('',*,*,#209309,.F.); #285038=ORIENTED_EDGE('',*,*,#209310,.F.); #285039=ORIENTED_EDGE('',*,*,#209311,.T.); #285040=ORIENTED_EDGE('',*,*,#209310,.T.); #285041=ORIENTED_EDGE('',*,*,#209312,.F.); #285042=ORIENTED_EDGE('',*,*,#209313,.F.); #285043=ORIENTED_EDGE('',*,*,#209314,.T.); #285044=ORIENTED_EDGE('',*,*,#209313,.T.); #285045=ORIENTED_EDGE('',*,*,#209315,.F.); #285046=ORIENTED_EDGE('',*,*,#209316,.F.); #285047=ORIENTED_EDGE('',*,*,#209317,.T.); #285048=ORIENTED_EDGE('',*,*,#209316,.T.); #285049=ORIENTED_EDGE('',*,*,#209318,.F.); #285050=ORIENTED_EDGE('',*,*,#209319,.F.); #285051=ORIENTED_EDGE('',*,*,#209320,.T.); #285052=ORIENTED_EDGE('',*,*,#209319,.T.); #285053=ORIENTED_EDGE('',*,*,#209321,.F.); #285054=ORIENTED_EDGE('',*,*,#209322,.F.); #285055=ORIENTED_EDGE('',*,*,#209323,.T.); #285056=ORIENTED_EDGE('',*,*,#209322,.T.); #285057=ORIENTED_EDGE('',*,*,#209324,.F.); #285058=ORIENTED_EDGE('',*,*,#209325,.F.); #285059=ORIENTED_EDGE('',*,*,#209326,.T.); #285060=ORIENTED_EDGE('',*,*,#209325,.T.); #285061=ORIENTED_EDGE('',*,*,#209327,.F.); #285062=ORIENTED_EDGE('',*,*,#209328,.F.); #285063=ORIENTED_EDGE('',*,*,#209329,.T.); #285064=ORIENTED_EDGE('',*,*,#209328,.T.); #285065=ORIENTED_EDGE('',*,*,#209330,.F.); #285066=ORIENTED_EDGE('',*,*,#209331,.F.); #285067=ORIENTED_EDGE('',*,*,#209332,.T.); #285068=ORIENTED_EDGE('',*,*,#209331,.T.); #285069=ORIENTED_EDGE('',*,*,#209333,.F.); #285070=ORIENTED_EDGE('',*,*,#209334,.F.); #285071=ORIENTED_EDGE('',*,*,#209335,.T.); #285072=ORIENTED_EDGE('',*,*,#209334,.T.); #285073=ORIENTED_EDGE('',*,*,#209336,.F.); #285074=ORIENTED_EDGE('',*,*,#209337,.F.); #285075=ORIENTED_EDGE('',*,*,#209338,.T.); #285076=ORIENTED_EDGE('',*,*,#209337,.T.); #285077=ORIENTED_EDGE('',*,*,#209339,.F.); #285078=ORIENTED_EDGE('',*,*,#209340,.F.); #285079=ORIENTED_EDGE('',*,*,#209341,.T.); #285080=ORIENTED_EDGE('',*,*,#209340,.T.); #285081=ORIENTED_EDGE('',*,*,#209342,.F.); #285082=ORIENTED_EDGE('',*,*,#209343,.F.); #285083=ORIENTED_EDGE('',*,*,#209344,.T.); #285084=ORIENTED_EDGE('',*,*,#209343,.T.); #285085=ORIENTED_EDGE('',*,*,#209345,.F.); #285086=ORIENTED_EDGE('',*,*,#209346,.F.); #285087=ORIENTED_EDGE('',*,*,#209347,.T.); #285088=ORIENTED_EDGE('',*,*,#209346,.T.); #285089=ORIENTED_EDGE('',*,*,#209348,.F.); #285090=ORIENTED_EDGE('',*,*,#209349,.F.); #285091=ORIENTED_EDGE('',*,*,#209350,.T.); #285092=ORIENTED_EDGE('',*,*,#209349,.T.); #285093=ORIENTED_EDGE('',*,*,#209351,.F.); #285094=ORIENTED_EDGE('',*,*,#209352,.F.); #285095=ORIENTED_EDGE('',*,*,#209353,.T.); #285096=ORIENTED_EDGE('',*,*,#209352,.T.); #285097=ORIENTED_EDGE('',*,*,#209354,.F.); #285098=ORIENTED_EDGE('',*,*,#209355,.F.); #285099=ORIENTED_EDGE('',*,*,#209356,.T.); #285100=ORIENTED_EDGE('',*,*,#209355,.T.); #285101=ORIENTED_EDGE('',*,*,#209357,.F.); #285102=ORIENTED_EDGE('',*,*,#209358,.F.); #285103=ORIENTED_EDGE('',*,*,#209359,.T.); #285104=ORIENTED_EDGE('',*,*,#209358,.T.); #285105=ORIENTED_EDGE('',*,*,#209360,.F.); #285106=ORIENTED_EDGE('',*,*,#209361,.F.); #285107=ORIENTED_EDGE('',*,*,#209362,.T.); #285108=ORIENTED_EDGE('',*,*,#209361,.T.); #285109=ORIENTED_EDGE('',*,*,#209363,.F.); #285110=ORIENTED_EDGE('',*,*,#209299,.F.); #285111=ORIENTED_EDGE('',*,*,#209363,.T.); #285112=ORIENTED_EDGE('',*,*,#209360,.T.); #285113=ORIENTED_EDGE('',*,*,#209357,.T.); #285114=ORIENTED_EDGE('',*,*,#209354,.T.); #285115=ORIENTED_EDGE('',*,*,#209351,.T.); #285116=ORIENTED_EDGE('',*,*,#209348,.T.); #285117=ORIENTED_EDGE('',*,*,#209345,.T.); #285118=ORIENTED_EDGE('',*,*,#209342,.T.); #285119=ORIENTED_EDGE('',*,*,#209339,.T.); #285120=ORIENTED_EDGE('',*,*,#209336,.T.); #285121=ORIENTED_EDGE('',*,*,#209333,.T.); #285122=ORIENTED_EDGE('',*,*,#209330,.T.); #285123=ORIENTED_EDGE('',*,*,#209327,.T.); #285124=ORIENTED_EDGE('',*,*,#209324,.T.); #285125=ORIENTED_EDGE('',*,*,#209321,.T.); #285126=ORIENTED_EDGE('',*,*,#209318,.T.); #285127=ORIENTED_EDGE('',*,*,#209315,.T.); #285128=ORIENTED_EDGE('',*,*,#209312,.T.); #285129=ORIENTED_EDGE('',*,*,#209309,.T.); #285130=ORIENTED_EDGE('',*,*,#209306,.T.); #285131=ORIENTED_EDGE('',*,*,#209303,.T.); #285132=ORIENTED_EDGE('',*,*,#209300,.T.); #285133=ORIENTED_EDGE('',*,*,#209362,.F.); #285134=ORIENTED_EDGE('',*,*,#209298,.F.); #285135=ORIENTED_EDGE('',*,*,#209302,.F.); #285136=ORIENTED_EDGE('',*,*,#209305,.F.); #285137=ORIENTED_EDGE('',*,*,#209308,.F.); #285138=ORIENTED_EDGE('',*,*,#209311,.F.); #285139=ORIENTED_EDGE('',*,*,#209314,.F.); #285140=ORIENTED_EDGE('',*,*,#209317,.F.); #285141=ORIENTED_EDGE('',*,*,#209320,.F.); #285142=ORIENTED_EDGE('',*,*,#209323,.F.); #285143=ORIENTED_EDGE('',*,*,#209326,.F.); #285144=ORIENTED_EDGE('',*,*,#209329,.F.); #285145=ORIENTED_EDGE('',*,*,#209332,.F.); #285146=ORIENTED_EDGE('',*,*,#209335,.F.); #285147=ORIENTED_EDGE('',*,*,#209338,.F.); #285148=ORIENTED_EDGE('',*,*,#209341,.F.); #285149=ORIENTED_EDGE('',*,*,#209344,.F.); #285150=ORIENTED_EDGE('',*,*,#209347,.F.); #285151=ORIENTED_EDGE('',*,*,#209350,.F.); #285152=ORIENTED_EDGE('',*,*,#209353,.F.); #285153=ORIENTED_EDGE('',*,*,#209356,.F.); #285154=ORIENTED_EDGE('',*,*,#209359,.F.); #285155=ORIENTED_EDGE('',*,*,#209364,.T.); #285156=ORIENTED_EDGE('',*,*,#209365,.T.); #285157=ORIENTED_EDGE('',*,*,#209366,.F.); #285158=ORIENTED_EDGE('',*,*,#209367,.F.); #285159=ORIENTED_EDGE('',*,*,#209368,.T.); #285160=ORIENTED_EDGE('',*,*,#209367,.T.); #285161=ORIENTED_EDGE('',*,*,#209369,.F.); #285162=ORIENTED_EDGE('',*,*,#209370,.F.); #285163=ORIENTED_EDGE('',*,*,#209371,.T.); #285164=ORIENTED_EDGE('',*,*,#209370,.T.); #285165=ORIENTED_EDGE('',*,*,#209372,.F.); #285166=ORIENTED_EDGE('',*,*,#209373,.F.); #285167=ORIENTED_EDGE('',*,*,#209374,.T.); #285168=ORIENTED_EDGE('',*,*,#209373,.T.); #285169=ORIENTED_EDGE('',*,*,#209375,.F.); #285170=ORIENTED_EDGE('',*,*,#209376,.F.); #285171=ORIENTED_EDGE('',*,*,#209377,.T.); #285172=ORIENTED_EDGE('',*,*,#209376,.T.); #285173=ORIENTED_EDGE('',*,*,#209378,.F.); #285174=ORIENTED_EDGE('',*,*,#209379,.F.); #285175=ORIENTED_EDGE('',*,*,#209380,.T.); #285176=ORIENTED_EDGE('',*,*,#209379,.T.); #285177=ORIENTED_EDGE('',*,*,#209381,.F.); #285178=ORIENTED_EDGE('',*,*,#209382,.F.); #285179=ORIENTED_EDGE('',*,*,#209383,.T.); #285180=ORIENTED_EDGE('',*,*,#209382,.T.); #285181=ORIENTED_EDGE('',*,*,#209384,.F.); #285182=ORIENTED_EDGE('',*,*,#209385,.F.); #285183=ORIENTED_EDGE('',*,*,#209386,.T.); #285184=ORIENTED_EDGE('',*,*,#209385,.T.); #285185=ORIENTED_EDGE('',*,*,#209387,.F.); #285186=ORIENTED_EDGE('',*,*,#209388,.F.); #285187=ORIENTED_EDGE('',*,*,#209389,.T.); #285188=ORIENTED_EDGE('',*,*,#209388,.T.); #285189=ORIENTED_EDGE('',*,*,#209390,.F.); #285190=ORIENTED_EDGE('',*,*,#209391,.F.); #285191=ORIENTED_EDGE('',*,*,#209392,.T.); #285192=ORIENTED_EDGE('',*,*,#209391,.T.); #285193=ORIENTED_EDGE('',*,*,#209393,.F.); #285194=ORIENTED_EDGE('',*,*,#209394,.F.); #285195=ORIENTED_EDGE('',*,*,#209395,.T.); #285196=ORIENTED_EDGE('',*,*,#209394,.T.); #285197=ORIENTED_EDGE('',*,*,#209396,.F.); #285198=ORIENTED_EDGE('',*,*,#209397,.F.); #285199=ORIENTED_EDGE('',*,*,#209398,.T.); #285200=ORIENTED_EDGE('',*,*,#209397,.T.); #285201=ORIENTED_EDGE('',*,*,#209399,.F.); #285202=ORIENTED_EDGE('',*,*,#209400,.F.); #285203=ORIENTED_EDGE('',*,*,#209401,.T.); #285204=ORIENTED_EDGE('',*,*,#209400,.T.); #285205=ORIENTED_EDGE('',*,*,#209402,.F.); #285206=ORIENTED_EDGE('',*,*,#209403,.F.); #285207=ORIENTED_EDGE('',*,*,#209404,.T.); #285208=ORIENTED_EDGE('',*,*,#209403,.T.); #285209=ORIENTED_EDGE('',*,*,#209405,.F.); #285210=ORIENTED_EDGE('',*,*,#209406,.F.); #285211=ORIENTED_EDGE('',*,*,#209407,.T.); #285212=ORIENTED_EDGE('',*,*,#209406,.T.); #285213=ORIENTED_EDGE('',*,*,#209408,.F.); #285214=ORIENTED_EDGE('',*,*,#209409,.F.); #285215=ORIENTED_EDGE('',*,*,#209410,.T.); #285216=ORIENTED_EDGE('',*,*,#209409,.T.); #285217=ORIENTED_EDGE('',*,*,#209411,.F.); #285218=ORIENTED_EDGE('',*,*,#209412,.F.); #285219=ORIENTED_EDGE('',*,*,#209413,.T.); #285220=ORIENTED_EDGE('',*,*,#209412,.T.); #285221=ORIENTED_EDGE('',*,*,#209414,.F.); #285222=ORIENTED_EDGE('',*,*,#209415,.F.); #285223=ORIENTED_EDGE('',*,*,#209416,.T.); #285224=ORIENTED_EDGE('',*,*,#209415,.T.); #285225=ORIENTED_EDGE('',*,*,#209417,.F.); #285226=ORIENTED_EDGE('',*,*,#209418,.F.); #285227=ORIENTED_EDGE('',*,*,#209419,.T.); #285228=ORIENTED_EDGE('',*,*,#209418,.T.); #285229=ORIENTED_EDGE('',*,*,#209420,.F.); #285230=ORIENTED_EDGE('',*,*,#209421,.F.); #285231=ORIENTED_EDGE('',*,*,#209422,.T.); #285232=ORIENTED_EDGE('',*,*,#209421,.T.); #285233=ORIENTED_EDGE('',*,*,#209423,.F.); #285234=ORIENTED_EDGE('',*,*,#209365,.F.); #285235=ORIENTED_EDGE('',*,*,#209423,.T.); #285236=ORIENTED_EDGE('',*,*,#209420,.T.); #285237=ORIENTED_EDGE('',*,*,#209417,.T.); #285238=ORIENTED_EDGE('',*,*,#209414,.T.); #285239=ORIENTED_EDGE('',*,*,#209411,.T.); #285240=ORIENTED_EDGE('',*,*,#209408,.T.); #285241=ORIENTED_EDGE('',*,*,#209405,.T.); #285242=ORIENTED_EDGE('',*,*,#209402,.T.); #285243=ORIENTED_EDGE('',*,*,#209399,.T.); #285244=ORIENTED_EDGE('',*,*,#209396,.T.); #285245=ORIENTED_EDGE('',*,*,#209393,.T.); #285246=ORIENTED_EDGE('',*,*,#209390,.T.); #285247=ORIENTED_EDGE('',*,*,#209387,.T.); #285248=ORIENTED_EDGE('',*,*,#209384,.T.); #285249=ORIENTED_EDGE('',*,*,#209381,.T.); #285250=ORIENTED_EDGE('',*,*,#209378,.T.); #285251=ORIENTED_EDGE('',*,*,#209375,.T.); #285252=ORIENTED_EDGE('',*,*,#209372,.T.); #285253=ORIENTED_EDGE('',*,*,#209369,.T.); #285254=ORIENTED_EDGE('',*,*,#209366,.T.); #285255=ORIENTED_EDGE('',*,*,#209422,.F.); #285256=ORIENTED_EDGE('',*,*,#209364,.F.); #285257=ORIENTED_EDGE('',*,*,#209368,.F.); #285258=ORIENTED_EDGE('',*,*,#209371,.F.); #285259=ORIENTED_EDGE('',*,*,#209374,.F.); #285260=ORIENTED_EDGE('',*,*,#209377,.F.); #285261=ORIENTED_EDGE('',*,*,#209380,.F.); #285262=ORIENTED_EDGE('',*,*,#209383,.F.); #285263=ORIENTED_EDGE('',*,*,#209386,.F.); #285264=ORIENTED_EDGE('',*,*,#209389,.F.); #285265=ORIENTED_EDGE('',*,*,#209392,.F.); #285266=ORIENTED_EDGE('',*,*,#209395,.F.); #285267=ORIENTED_EDGE('',*,*,#209398,.F.); #285268=ORIENTED_EDGE('',*,*,#209401,.F.); #285269=ORIENTED_EDGE('',*,*,#209404,.F.); #285270=ORIENTED_EDGE('',*,*,#209407,.F.); #285271=ORIENTED_EDGE('',*,*,#209410,.F.); #285272=ORIENTED_EDGE('',*,*,#209413,.F.); #285273=ORIENTED_EDGE('',*,*,#209416,.F.); #285274=ORIENTED_EDGE('',*,*,#209419,.F.); #285275=ORIENTED_EDGE('',*,*,#209424,.F.); #285276=ORIENTED_EDGE('',*,*,#209425,.T.); #285277=ORIENTED_EDGE('',*,*,#209426,.F.); #285278=ORIENTED_EDGE('',*,*,#209425,.F.); #285279=ORIENTED_EDGE('',*,*,#209427,.F.); #285280=ORIENTED_EDGE('',*,*,#209428,.T.); #285281=ORIENTED_EDGE('',*,*,#209429,.F.); #285282=ORIENTED_EDGE('',*,*,#209428,.F.); #285283=ORIENTED_EDGE('',*,*,#209430,.F.); #285284=ORIENTED_EDGE('',*,*,#209431,.T.); #285285=ORIENTED_EDGE('',*,*,#209432,.F.); #285286=ORIENTED_EDGE('',*,*,#209431,.F.); #285287=ORIENTED_EDGE('',*,*,#209433,.F.); #285288=ORIENTED_EDGE('',*,*,#209434,.T.); #285289=ORIENTED_EDGE('',*,*,#209435,.F.); #285290=ORIENTED_EDGE('',*,*,#209434,.F.); #285291=ORIENTED_EDGE('',*,*,#209436,.F.); #285292=ORIENTED_EDGE('',*,*,#209437,.T.); #285293=ORIENTED_EDGE('',*,*,#209438,.F.); #285294=ORIENTED_EDGE('',*,*,#209437,.F.); #285295=ORIENTED_EDGE('',*,*,#209439,.F.); #285296=ORIENTED_EDGE('',*,*,#209440,.T.); #285297=ORIENTED_EDGE('',*,*,#209441,.F.); #285298=ORIENTED_EDGE('',*,*,#209440,.F.); #285299=ORIENTED_EDGE('',*,*,#209442,.F.); #285300=ORIENTED_EDGE('',*,*,#209443,.T.); #285301=ORIENTED_EDGE('',*,*,#209444,.F.); #285302=ORIENTED_EDGE('',*,*,#209443,.F.); #285303=ORIENTED_EDGE('',*,*,#209445,.F.); #285304=ORIENTED_EDGE('',*,*,#209446,.T.); #285305=ORIENTED_EDGE('',*,*,#209447,.F.); #285306=ORIENTED_EDGE('',*,*,#209446,.F.); #285307=ORIENTED_EDGE('',*,*,#209448,.F.); #285308=ORIENTED_EDGE('',*,*,#209449,.T.); #285309=ORIENTED_EDGE('',*,*,#209450,.F.); #285310=ORIENTED_EDGE('',*,*,#209449,.F.); #285311=ORIENTED_EDGE('',*,*,#209451,.F.); #285312=ORIENTED_EDGE('',*,*,#209452,.T.); #285313=ORIENTED_EDGE('',*,*,#209453,.F.); #285314=ORIENTED_EDGE('',*,*,#209452,.F.); #285315=ORIENTED_EDGE('',*,*,#209454,.F.); #285316=ORIENTED_EDGE('',*,*,#209455,.T.); #285317=ORIENTED_EDGE('',*,*,#209456,.F.); #285318=ORIENTED_EDGE('',*,*,#209455,.F.); #285319=ORIENTED_EDGE('',*,*,#209457,.F.); #285320=ORIENTED_EDGE('',*,*,#209458,.T.); #285321=ORIENTED_EDGE('',*,*,#209459,.F.); #285322=ORIENTED_EDGE('',*,*,#209458,.F.); #285323=ORIENTED_EDGE('',*,*,#209460,.F.); #285324=ORIENTED_EDGE('',*,*,#209461,.T.); #285325=ORIENTED_EDGE('',*,*,#209462,.F.); #285326=ORIENTED_EDGE('',*,*,#209461,.F.); #285327=ORIENTED_EDGE('',*,*,#209463,.F.); #285328=ORIENTED_EDGE('',*,*,#209464,.T.); #285329=ORIENTED_EDGE('',*,*,#209465,.F.); #285330=ORIENTED_EDGE('',*,*,#209464,.F.); #285331=ORIENTED_EDGE('',*,*,#209466,.F.); #285332=ORIENTED_EDGE('',*,*,#209467,.T.); #285333=ORIENTED_EDGE('',*,*,#209468,.F.); #285334=ORIENTED_EDGE('',*,*,#209467,.F.); #285335=ORIENTED_EDGE('',*,*,#209469,.F.); #285336=ORIENTED_EDGE('',*,*,#209470,.T.); #285337=ORIENTED_EDGE('',*,*,#209471,.F.); #285338=ORIENTED_EDGE('',*,*,#209470,.F.); #285339=ORIENTED_EDGE('',*,*,#209472,.F.); #285340=ORIENTED_EDGE('',*,*,#209473,.T.); #285341=ORIENTED_EDGE('',*,*,#209474,.F.); #285342=ORIENTED_EDGE('',*,*,#209473,.F.); #285343=ORIENTED_EDGE('',*,*,#209475,.F.); #285344=ORIENTED_EDGE('',*,*,#209476,.T.); #285345=ORIENTED_EDGE('',*,*,#209477,.F.); #285346=ORIENTED_EDGE('',*,*,#209476,.F.); #285347=ORIENTED_EDGE('',*,*,#209478,.F.); #285348=ORIENTED_EDGE('',*,*,#209479,.T.); #285349=ORIENTED_EDGE('',*,*,#209480,.F.); #285350=ORIENTED_EDGE('',*,*,#209479,.F.); #285351=ORIENTED_EDGE('',*,*,#209481,.F.); #285352=ORIENTED_EDGE('',*,*,#209482,.T.); #285353=ORIENTED_EDGE('',*,*,#209483,.F.); #285354=ORIENTED_EDGE('',*,*,#209482,.F.); #285355=ORIENTED_EDGE('',*,*,#209484,.F.); #285356=ORIENTED_EDGE('',*,*,#209485,.T.); #285357=ORIENTED_EDGE('',*,*,#209486,.F.); #285358=ORIENTED_EDGE('',*,*,#209485,.F.); #285359=ORIENTED_EDGE('',*,*,#209487,.F.); #285360=ORIENTED_EDGE('',*,*,#209488,.T.); #285361=ORIENTED_EDGE('',*,*,#209489,.F.); #285362=ORIENTED_EDGE('',*,*,#209488,.F.); #285363=ORIENTED_EDGE('',*,*,#209490,.F.); #285364=ORIENTED_EDGE('',*,*,#209491,.T.); #285365=ORIENTED_EDGE('',*,*,#209492,.F.); #285366=ORIENTED_EDGE('',*,*,#209491,.F.); #285367=ORIENTED_EDGE('',*,*,#209493,.F.); #285368=ORIENTED_EDGE('',*,*,#209494,.T.); #285369=ORIENTED_EDGE('',*,*,#209495,.F.); #285370=ORIENTED_EDGE('',*,*,#209494,.F.); #285371=ORIENTED_EDGE('',*,*,#209496,.F.); #285372=ORIENTED_EDGE('',*,*,#209497,.T.); #285373=ORIENTED_EDGE('',*,*,#209498,.F.); #285374=ORIENTED_EDGE('',*,*,#209497,.F.); #285375=ORIENTED_EDGE('',*,*,#209499,.F.); #285376=ORIENTED_EDGE('',*,*,#209500,.T.); #285377=ORIENTED_EDGE('',*,*,#209501,.F.); #285378=ORIENTED_EDGE('',*,*,#209500,.F.); #285379=ORIENTED_EDGE('',*,*,#209502,.F.); #285380=ORIENTED_EDGE('',*,*,#209503,.T.); #285381=ORIENTED_EDGE('',*,*,#209504,.F.); #285382=ORIENTED_EDGE('',*,*,#209503,.F.); #285383=ORIENTED_EDGE('',*,*,#209505,.F.); #285384=ORIENTED_EDGE('',*,*,#209506,.T.); #285385=ORIENTED_EDGE('',*,*,#209507,.F.); #285386=ORIENTED_EDGE('',*,*,#209506,.F.); #285387=ORIENTED_EDGE('',*,*,#209508,.F.); #285388=ORIENTED_EDGE('',*,*,#209509,.T.); #285389=ORIENTED_EDGE('',*,*,#209510,.F.); #285390=ORIENTED_EDGE('',*,*,#209509,.F.); #285391=ORIENTED_EDGE('',*,*,#209511,.F.); #285392=ORIENTED_EDGE('',*,*,#209512,.T.); #285393=ORIENTED_EDGE('',*,*,#209513,.F.); #285394=ORIENTED_EDGE('',*,*,#209512,.F.); #285395=ORIENTED_EDGE('',*,*,#209514,.F.); #285396=ORIENTED_EDGE('',*,*,#209515,.T.); #285397=ORIENTED_EDGE('',*,*,#209516,.F.); #285398=ORIENTED_EDGE('',*,*,#209515,.F.); #285399=ORIENTED_EDGE('',*,*,#209517,.F.); #285400=ORIENTED_EDGE('',*,*,#209518,.T.); #285401=ORIENTED_EDGE('',*,*,#209519,.F.); #285402=ORIENTED_EDGE('',*,*,#209518,.F.); #285403=ORIENTED_EDGE('',*,*,#209520,.F.); #285404=ORIENTED_EDGE('',*,*,#209521,.T.); #285405=ORIENTED_EDGE('',*,*,#209522,.F.); #285406=ORIENTED_EDGE('',*,*,#209521,.F.); #285407=ORIENTED_EDGE('',*,*,#209523,.F.); #285408=ORIENTED_EDGE('',*,*,#209524,.T.); #285409=ORIENTED_EDGE('',*,*,#209525,.F.); #285410=ORIENTED_EDGE('',*,*,#209524,.F.); #285411=ORIENTED_EDGE('',*,*,#209526,.F.); #285412=ORIENTED_EDGE('',*,*,#209527,.T.); #285413=ORIENTED_EDGE('',*,*,#209528,.F.); #285414=ORIENTED_EDGE('',*,*,#209527,.F.); #285415=ORIENTED_EDGE('',*,*,#209529,.F.); #285416=ORIENTED_EDGE('',*,*,#209530,.T.); #285417=ORIENTED_EDGE('',*,*,#209531,.F.); #285418=ORIENTED_EDGE('',*,*,#209530,.F.); #285419=ORIENTED_EDGE('',*,*,#209532,.F.); #285420=ORIENTED_EDGE('',*,*,#209533,.T.); #285421=ORIENTED_EDGE('',*,*,#209534,.F.); #285422=ORIENTED_EDGE('',*,*,#209533,.F.); #285423=ORIENTED_EDGE('',*,*,#209535,.F.); #285424=ORIENTED_EDGE('',*,*,#209536,.T.); #285425=ORIENTED_EDGE('',*,*,#209537,.F.); #285426=ORIENTED_EDGE('',*,*,#209536,.F.); #285427=ORIENTED_EDGE('',*,*,#209538,.F.); #285428=ORIENTED_EDGE('',*,*,#209539,.T.); #285429=ORIENTED_EDGE('',*,*,#209540,.F.); #285430=ORIENTED_EDGE('',*,*,#209539,.F.); #285431=ORIENTED_EDGE('',*,*,#209541,.F.); #285432=ORIENTED_EDGE('',*,*,#209542,.T.); #285433=ORIENTED_EDGE('',*,*,#209543,.F.); #285434=ORIENTED_EDGE('',*,*,#209542,.F.); #285435=ORIENTED_EDGE('',*,*,#209544,.F.); #285436=ORIENTED_EDGE('',*,*,#209545,.T.); #285437=ORIENTED_EDGE('',*,*,#209546,.F.); #285438=ORIENTED_EDGE('',*,*,#209545,.F.); #285439=ORIENTED_EDGE('',*,*,#209547,.F.); #285440=ORIENTED_EDGE('',*,*,#209548,.T.); #285441=ORIENTED_EDGE('',*,*,#209549,.F.); #285442=ORIENTED_EDGE('',*,*,#209548,.F.); #285443=ORIENTED_EDGE('',*,*,#209550,.F.); #285444=ORIENTED_EDGE('',*,*,#209551,.T.); #285445=ORIENTED_EDGE('',*,*,#209552,.F.); #285446=ORIENTED_EDGE('',*,*,#209551,.F.); #285447=ORIENTED_EDGE('',*,*,#209553,.F.); #285448=ORIENTED_EDGE('',*,*,#209554,.T.); #285449=ORIENTED_EDGE('',*,*,#209555,.F.); #285450=ORIENTED_EDGE('',*,*,#209554,.F.); #285451=ORIENTED_EDGE('',*,*,#209556,.F.); #285452=ORIENTED_EDGE('',*,*,#209557,.T.); #285453=ORIENTED_EDGE('',*,*,#209558,.F.); #285454=ORIENTED_EDGE('',*,*,#209557,.F.); #285455=ORIENTED_EDGE('',*,*,#209559,.F.); #285456=ORIENTED_EDGE('',*,*,#209560,.T.); #285457=ORIENTED_EDGE('',*,*,#209561,.F.); #285458=ORIENTED_EDGE('',*,*,#209560,.F.); #285459=ORIENTED_EDGE('',*,*,#209562,.F.); #285460=ORIENTED_EDGE('',*,*,#209563,.T.); #285461=ORIENTED_EDGE('',*,*,#209564,.F.); #285462=ORIENTED_EDGE('',*,*,#209563,.F.); #285463=ORIENTED_EDGE('',*,*,#209565,.F.); #285464=ORIENTED_EDGE('',*,*,#209566,.T.); #285465=ORIENTED_EDGE('',*,*,#209567,.F.); #285466=ORIENTED_EDGE('',*,*,#209566,.F.); #285467=ORIENTED_EDGE('',*,*,#209568,.F.); #285468=ORIENTED_EDGE('',*,*,#209569,.T.); #285469=ORIENTED_EDGE('',*,*,#209570,.F.); #285470=ORIENTED_EDGE('',*,*,#209569,.F.); #285471=ORIENTED_EDGE('',*,*,#209571,.F.); #285472=ORIENTED_EDGE('',*,*,#209572,.T.); #285473=ORIENTED_EDGE('',*,*,#209573,.F.); #285474=ORIENTED_EDGE('',*,*,#209572,.F.); #285475=ORIENTED_EDGE('',*,*,#209574,.F.); #285476=ORIENTED_EDGE('',*,*,#209575,.T.); #285477=ORIENTED_EDGE('',*,*,#209576,.F.); #285478=ORIENTED_EDGE('',*,*,#209575,.F.); #285479=ORIENTED_EDGE('',*,*,#209577,.F.); #285480=ORIENTED_EDGE('',*,*,#209578,.T.); #285481=ORIENTED_EDGE('',*,*,#209579,.F.); #285482=ORIENTED_EDGE('',*,*,#209578,.F.); #285483=ORIENTED_EDGE('',*,*,#209580,.F.); #285484=ORIENTED_EDGE('',*,*,#209581,.T.); #285485=ORIENTED_EDGE('',*,*,#209582,.F.); #285486=ORIENTED_EDGE('',*,*,#209581,.F.); #285487=ORIENTED_EDGE('',*,*,#209583,.F.); #285488=ORIENTED_EDGE('',*,*,#209584,.T.); #285489=ORIENTED_EDGE('',*,*,#209585,.F.); #285490=ORIENTED_EDGE('',*,*,#209584,.F.); #285491=ORIENTED_EDGE('',*,*,#209586,.F.); #285492=ORIENTED_EDGE('',*,*,#209587,.T.); #285493=ORIENTED_EDGE('',*,*,#209588,.F.); #285494=ORIENTED_EDGE('',*,*,#209587,.F.); #285495=ORIENTED_EDGE('',*,*,#209589,.F.); #285496=ORIENTED_EDGE('',*,*,#209590,.T.); #285497=ORIENTED_EDGE('',*,*,#209591,.F.); #285498=ORIENTED_EDGE('',*,*,#209590,.F.); #285499=ORIENTED_EDGE('',*,*,#209592,.F.); #285500=ORIENTED_EDGE('',*,*,#209593,.T.); #285501=ORIENTED_EDGE('',*,*,#209594,.F.); #285502=ORIENTED_EDGE('',*,*,#209593,.F.); #285503=ORIENTED_EDGE('',*,*,#209595,.F.); #285504=ORIENTED_EDGE('',*,*,#209596,.T.); #285505=ORIENTED_EDGE('',*,*,#209597,.F.); #285506=ORIENTED_EDGE('',*,*,#209596,.F.); #285507=ORIENTED_EDGE('',*,*,#209598,.F.); #285508=ORIENTED_EDGE('',*,*,#209599,.T.); #285509=ORIENTED_EDGE('',*,*,#209600,.F.); #285510=ORIENTED_EDGE('',*,*,#209599,.F.); #285511=ORIENTED_EDGE('',*,*,#209601,.F.); #285512=ORIENTED_EDGE('',*,*,#209602,.T.); #285513=ORIENTED_EDGE('',*,*,#209603,.F.); #285514=ORIENTED_EDGE('',*,*,#209602,.F.); #285515=ORIENTED_EDGE('',*,*,#209604,.F.); #285516=ORIENTED_EDGE('',*,*,#209605,.T.); #285517=ORIENTED_EDGE('',*,*,#209606,.F.); #285518=ORIENTED_EDGE('',*,*,#209605,.F.); #285519=ORIENTED_EDGE('',*,*,#209607,.F.); #285520=ORIENTED_EDGE('',*,*,#209608,.T.); #285521=ORIENTED_EDGE('',*,*,#209609,.F.); #285522=ORIENTED_EDGE('',*,*,#209608,.F.); #285523=ORIENTED_EDGE('',*,*,#209610,.F.); #285524=ORIENTED_EDGE('',*,*,#209611,.T.); #285525=ORIENTED_EDGE('',*,*,#209612,.F.); #285526=ORIENTED_EDGE('',*,*,#209611,.F.); #285527=ORIENTED_EDGE('',*,*,#209613,.F.); #285528=ORIENTED_EDGE('',*,*,#209614,.T.); #285529=ORIENTED_EDGE('',*,*,#209615,.F.); #285530=ORIENTED_EDGE('',*,*,#209614,.F.); #285531=ORIENTED_EDGE('',*,*,#209616,.F.); #285532=ORIENTED_EDGE('',*,*,#209617,.F.); #285533=ORIENTED_EDGE('',*,*,#209618,.F.); #285534=ORIENTED_EDGE('',*,*,#209619,.T.); #285535=ORIENTED_EDGE('',*,*,#209620,.F.); #285536=ORIENTED_EDGE('',*,*,#209619,.F.); #285537=ORIENTED_EDGE('',*,*,#209621,.F.); #285538=ORIENTED_EDGE('',*,*,#209622,.T.); #285539=ORIENTED_EDGE('',*,*,#209623,.F.); #285540=ORIENTED_EDGE('',*,*,#209622,.F.); #285541=ORIENTED_EDGE('',*,*,#209624,.F.); #285542=ORIENTED_EDGE('',*,*,#209625,.T.); #285543=ORIENTED_EDGE('',*,*,#209626,.F.); #285544=ORIENTED_EDGE('',*,*,#209625,.F.); #285545=ORIENTED_EDGE('',*,*,#209627,.F.); #285546=ORIENTED_EDGE('',*,*,#209617,.T.); #285547=ORIENTED_EDGE('',*,*,#209628,.F.); #285548=ORIENTED_EDGE('',*,*,#209629,.F.); #285549=ORIENTED_EDGE('',*,*,#209630,.F.); #285550=ORIENTED_EDGE('',*,*,#209631,.T.); #285551=ORIENTED_EDGE('',*,*,#209632,.F.); #285552=ORIENTED_EDGE('',*,*,#209631,.F.); #285553=ORIENTED_EDGE('',*,*,#209633,.F.); #285554=ORIENTED_EDGE('',*,*,#209634,.T.); #285555=ORIENTED_EDGE('',*,*,#209635,.F.); #285556=ORIENTED_EDGE('',*,*,#209634,.F.); #285557=ORIENTED_EDGE('',*,*,#209636,.F.); #285558=ORIENTED_EDGE('',*,*,#209637,.T.); #285559=ORIENTED_EDGE('',*,*,#209638,.F.); #285560=ORIENTED_EDGE('',*,*,#209637,.F.); #285561=ORIENTED_EDGE('',*,*,#209639,.F.); #285562=ORIENTED_EDGE('',*,*,#209629,.T.); #285563=ORIENTED_EDGE('',*,*,#209640,.F.); #285564=ORIENTED_EDGE('',*,*,#209641,.T.); #285565=ORIENTED_EDGE('',*,*,#209642,.F.); #285566=ORIENTED_EDGE('',*,*,#209641,.F.); #285567=ORIENTED_EDGE('',*,*,#209643,.F.); #285568=ORIENTED_EDGE('',*,*,#209644,.T.); #285569=ORIENTED_EDGE('',*,*,#209645,.F.); #285570=ORIENTED_EDGE('',*,*,#209644,.F.); #285571=ORIENTED_EDGE('',*,*,#209646,.F.); #285572=ORIENTED_EDGE('',*,*,#209647,.F.); #285573=ORIENTED_EDGE('',*,*,#209648,.F.); #285574=ORIENTED_EDGE('',*,*,#209649,.T.); #285575=ORIENTED_EDGE('',*,*,#209650,.F.); #285576=ORIENTED_EDGE('',*,*,#209649,.F.); #285577=ORIENTED_EDGE('',*,*,#209651,.F.); #285578=ORIENTED_EDGE('',*,*,#209652,.T.); #285579=ORIENTED_EDGE('',*,*,#209653,.F.); #285580=ORIENTED_EDGE('',*,*,#209652,.F.); #285581=ORIENTED_EDGE('',*,*,#209654,.F.); #285582=ORIENTED_EDGE('',*,*,#209655,.T.); #285583=ORIENTED_EDGE('',*,*,#209656,.F.); #285584=ORIENTED_EDGE('',*,*,#209655,.F.); #285585=ORIENTED_EDGE('',*,*,#209657,.F.); #285586=ORIENTED_EDGE('',*,*,#209647,.T.); #285587=ORIENTED_EDGE('',*,*,#209658,.F.); #285588=ORIENTED_EDGE('',*,*,#209659,.T.); #285589=ORIENTED_EDGE('',*,*,#209660,.F.); #285590=ORIENTED_EDGE('',*,*,#209659,.F.); #285591=ORIENTED_EDGE('',*,*,#209661,.F.); #285592=ORIENTED_EDGE('',*,*,#209662,.T.); #285593=ORIENTED_EDGE('',*,*,#209663,.F.); #285594=ORIENTED_EDGE('',*,*,#209662,.F.); #285595=ORIENTED_EDGE('',*,*,#209664,.F.); #285596=ORIENTED_EDGE('',*,*,#209665,.T.); #285597=ORIENTED_EDGE('',*,*,#209666,.F.); #285598=ORIENTED_EDGE('',*,*,#209665,.F.); #285599=ORIENTED_EDGE('',*,*,#209667,.F.); #285600=ORIENTED_EDGE('',*,*,#209668,.T.); #285601=ORIENTED_EDGE('',*,*,#209669,.F.); #285602=ORIENTED_EDGE('',*,*,#209668,.F.); #285603=ORIENTED_EDGE('',*,*,#209670,.F.); #285604=ORIENTED_EDGE('',*,*,#209671,.T.); #285605=ORIENTED_EDGE('',*,*,#209672,.F.); #285606=ORIENTED_EDGE('',*,*,#209671,.F.); #285607=ORIENTED_EDGE('',*,*,#209673,.F.); #285608=ORIENTED_EDGE('',*,*,#209674,.F.); #285609=ORIENTED_EDGE('',*,*,#209675,.F.); #285610=ORIENTED_EDGE('',*,*,#209676,.T.); #285611=ORIENTED_EDGE('',*,*,#209677,.F.); #285612=ORIENTED_EDGE('',*,*,#209676,.F.); #285613=ORIENTED_EDGE('',*,*,#209678,.F.); #285614=ORIENTED_EDGE('',*,*,#209679,.T.); #285615=ORIENTED_EDGE('',*,*,#209680,.F.); #285616=ORIENTED_EDGE('',*,*,#209679,.F.); #285617=ORIENTED_EDGE('',*,*,#209681,.F.); #285618=ORIENTED_EDGE('',*,*,#209682,.T.); #285619=ORIENTED_EDGE('',*,*,#209683,.F.); #285620=ORIENTED_EDGE('',*,*,#209682,.F.); #285621=ORIENTED_EDGE('',*,*,#209684,.F.); #285622=ORIENTED_EDGE('',*,*,#209674,.T.); #285623=ORIENTED_EDGE('',*,*,#209685,.F.); #285624=ORIENTED_EDGE('',*,*,#209686,.T.); #285625=ORIENTED_EDGE('',*,*,#209687,.F.); #285626=ORIENTED_EDGE('',*,*,#209686,.F.); #285627=ORIENTED_EDGE('',*,*,#209688,.F.); #285628=ORIENTED_EDGE('',*,*,#209689,.F.); #285629=ORIENTED_EDGE('',*,*,#209690,.F.); #285630=ORIENTED_EDGE('',*,*,#209691,.T.); #285631=ORIENTED_EDGE('',*,*,#209692,.F.); #285632=ORIENTED_EDGE('',*,*,#209691,.F.); #285633=ORIENTED_EDGE('',*,*,#209693,.F.); #285634=ORIENTED_EDGE('',*,*,#209694,.T.); #285635=ORIENTED_EDGE('',*,*,#209695,.F.); #285636=ORIENTED_EDGE('',*,*,#209694,.F.); #285637=ORIENTED_EDGE('',*,*,#209696,.F.); #285638=ORIENTED_EDGE('',*,*,#209697,.T.); #285639=ORIENTED_EDGE('',*,*,#209698,.F.); #285640=ORIENTED_EDGE('',*,*,#209697,.F.); #285641=ORIENTED_EDGE('',*,*,#209699,.F.); #285642=ORIENTED_EDGE('',*,*,#209689,.T.); #285643=ORIENTED_EDGE('',*,*,#209700,.F.); #285644=ORIENTED_EDGE('',*,*,#209701,.F.); #285645=ORIENTED_EDGE('',*,*,#209702,.F.); #285646=ORIENTED_EDGE('',*,*,#209703,.T.); #285647=ORIENTED_EDGE('',*,*,#209704,.F.); #285648=ORIENTED_EDGE('',*,*,#209703,.F.); #285649=ORIENTED_EDGE('',*,*,#209705,.F.); #285650=ORIENTED_EDGE('',*,*,#209706,.T.); #285651=ORIENTED_EDGE('',*,*,#209707,.F.); #285652=ORIENTED_EDGE('',*,*,#209706,.F.); #285653=ORIENTED_EDGE('',*,*,#209708,.F.); #285654=ORIENTED_EDGE('',*,*,#209709,.T.); #285655=ORIENTED_EDGE('',*,*,#209710,.F.); #285656=ORIENTED_EDGE('',*,*,#209709,.F.); #285657=ORIENTED_EDGE('',*,*,#209711,.F.); #285658=ORIENTED_EDGE('',*,*,#209701,.T.); #285659=ORIENTED_EDGE('',*,*,#209712,.F.); #285660=ORIENTED_EDGE('',*,*,#209713,.T.); #285661=ORIENTED_EDGE('',*,*,#209714,.F.); #285662=ORIENTED_EDGE('',*,*,#209713,.F.); #285663=ORIENTED_EDGE('',*,*,#209715,.F.); #285664=ORIENTED_EDGE('',*,*,#209716,.T.); #285665=ORIENTED_EDGE('',*,*,#209717,.F.); #285666=ORIENTED_EDGE('',*,*,#209716,.F.); #285667=ORIENTED_EDGE('',*,*,#209718,.F.); #285668=ORIENTED_EDGE('',*,*,#209719,.T.); #285669=ORIENTED_EDGE('',*,*,#209720,.F.); #285670=ORIENTED_EDGE('',*,*,#209719,.F.); #285671=ORIENTED_EDGE('',*,*,#209721,.F.); #285672=ORIENTED_EDGE('',*,*,#209722,.T.); #285673=ORIENTED_EDGE('',*,*,#209723,.F.); #285674=ORIENTED_EDGE('',*,*,#209722,.F.); #285675=ORIENTED_EDGE('',*,*,#209724,.F.); #285676=ORIENTED_EDGE('',*,*,#209725,.T.); #285677=ORIENTED_EDGE('',*,*,#209726,.F.); #285678=ORIENTED_EDGE('',*,*,#209725,.F.); #285679=ORIENTED_EDGE('',*,*,#209727,.F.); #285680=ORIENTED_EDGE('',*,*,#209728,.T.); #285681=ORIENTED_EDGE('',*,*,#209729,.F.); #285682=ORIENTED_EDGE('',*,*,#209728,.F.); #285683=ORIENTED_EDGE('',*,*,#209730,.F.); #285684=ORIENTED_EDGE('',*,*,#209731,.T.); #285685=ORIENTED_EDGE('',*,*,#209732,.F.); #285686=ORIENTED_EDGE('',*,*,#209731,.F.); #285687=ORIENTED_EDGE('',*,*,#209733,.F.); #285688=ORIENTED_EDGE('',*,*,#209734,.T.); #285689=ORIENTED_EDGE('',*,*,#209735,.F.); #285690=ORIENTED_EDGE('',*,*,#209734,.F.); #285691=ORIENTED_EDGE('',*,*,#209736,.F.); #285692=ORIENTED_EDGE('',*,*,#209737,.T.); #285693=ORIENTED_EDGE('',*,*,#209738,.F.); #285694=ORIENTED_EDGE('',*,*,#209737,.F.); #285695=ORIENTED_EDGE('',*,*,#209739,.F.); #285696=ORIENTED_EDGE('',*,*,#209740,.T.); #285697=ORIENTED_EDGE('',*,*,#209741,.F.); #285698=ORIENTED_EDGE('',*,*,#209740,.F.); #285699=ORIENTED_EDGE('',*,*,#209742,.F.); #285700=ORIENTED_EDGE('',*,*,#209743,.T.); #285701=ORIENTED_EDGE('',*,*,#209744,.F.); #285702=ORIENTED_EDGE('',*,*,#209743,.F.); #285703=ORIENTED_EDGE('',*,*,#209745,.F.); #285704=ORIENTED_EDGE('',*,*,#209746,.T.); #285705=ORIENTED_EDGE('',*,*,#209747,.F.); #285706=ORIENTED_EDGE('',*,*,#209746,.F.); #285707=ORIENTED_EDGE('',*,*,#209748,.F.); #285708=ORIENTED_EDGE('',*,*,#209749,.T.); #285709=ORIENTED_EDGE('',*,*,#209750,.F.); #285710=ORIENTED_EDGE('',*,*,#209749,.F.); #285711=ORIENTED_EDGE('',*,*,#209751,.F.); #285712=ORIENTED_EDGE('',*,*,#209752,.T.); #285713=ORIENTED_EDGE('',*,*,#209753,.F.); #285714=ORIENTED_EDGE('',*,*,#209752,.F.); #285715=ORIENTED_EDGE('',*,*,#209754,.F.); #285716=ORIENTED_EDGE('',*,*,#209755,.T.); #285717=ORIENTED_EDGE('',*,*,#209756,.F.); #285718=ORIENTED_EDGE('',*,*,#209755,.F.); #285719=ORIENTED_EDGE('',*,*,#209757,.F.); #285720=ORIENTED_EDGE('',*,*,#209758,.T.); #285721=ORIENTED_EDGE('',*,*,#209759,.F.); #285722=ORIENTED_EDGE('',*,*,#209758,.F.); #285723=ORIENTED_EDGE('',*,*,#209760,.F.); #285724=ORIENTED_EDGE('',*,*,#209761,.T.); #285725=ORIENTED_EDGE('',*,*,#209762,.F.); #285726=ORIENTED_EDGE('',*,*,#209761,.F.); #285727=ORIENTED_EDGE('',*,*,#209763,.F.); #285728=ORIENTED_EDGE('',*,*,#209764,.T.); #285729=ORIENTED_EDGE('',*,*,#209765,.F.); #285730=ORIENTED_EDGE('',*,*,#209764,.F.); #285731=ORIENTED_EDGE('',*,*,#209766,.F.); #285732=ORIENTED_EDGE('',*,*,#209767,.T.); #285733=ORIENTED_EDGE('',*,*,#209768,.F.); #285734=ORIENTED_EDGE('',*,*,#209767,.F.); #285735=ORIENTED_EDGE('',*,*,#209769,.F.); #285736=ORIENTED_EDGE('',*,*,#209770,.T.); #285737=ORIENTED_EDGE('',*,*,#209771,.F.); #285738=ORIENTED_EDGE('',*,*,#209770,.F.); #285739=ORIENTED_EDGE('',*,*,#209772,.F.); #285740=ORIENTED_EDGE('',*,*,#209773,.T.); #285741=ORIENTED_EDGE('',*,*,#209774,.F.); #285742=ORIENTED_EDGE('',*,*,#209773,.F.); #285743=ORIENTED_EDGE('',*,*,#209775,.F.); #285744=ORIENTED_EDGE('',*,*,#209776,.T.); #285745=ORIENTED_EDGE('',*,*,#209777,.F.); #285746=ORIENTED_EDGE('',*,*,#209776,.F.); #285747=ORIENTED_EDGE('',*,*,#209778,.F.); #285748=ORIENTED_EDGE('',*,*,#209779,.T.); #285749=ORIENTED_EDGE('',*,*,#209780,.F.); #285750=ORIENTED_EDGE('',*,*,#209779,.F.); #285751=ORIENTED_EDGE('',*,*,#209781,.F.); #285752=ORIENTED_EDGE('',*,*,#209782,.T.); #285753=ORIENTED_EDGE('',*,*,#209783,.F.); #285754=ORIENTED_EDGE('',*,*,#209782,.F.); #285755=ORIENTED_EDGE('',*,*,#209784,.F.); #285756=ORIENTED_EDGE('',*,*,#209785,.T.); #285757=ORIENTED_EDGE('',*,*,#209786,.F.); #285758=ORIENTED_EDGE('',*,*,#209785,.F.); #285759=ORIENTED_EDGE('',*,*,#209787,.F.); #285760=ORIENTED_EDGE('',*,*,#209788,.T.); #285761=ORIENTED_EDGE('',*,*,#209789,.F.); #285762=ORIENTED_EDGE('',*,*,#209788,.F.); #285763=ORIENTED_EDGE('',*,*,#209790,.F.); #285764=ORIENTED_EDGE('',*,*,#209791,.T.); #285765=ORIENTED_EDGE('',*,*,#209792,.F.); #285766=ORIENTED_EDGE('',*,*,#209791,.F.); #285767=ORIENTED_EDGE('',*,*,#209793,.F.); #285768=ORIENTED_EDGE('',*,*,#209794,.T.); #285769=ORIENTED_EDGE('',*,*,#209795,.F.); #285770=ORIENTED_EDGE('',*,*,#209794,.F.); #285771=ORIENTED_EDGE('',*,*,#209796,.F.); #285772=ORIENTED_EDGE('',*,*,#209797,.T.); #285773=ORIENTED_EDGE('',*,*,#209798,.F.); #285774=ORIENTED_EDGE('',*,*,#209797,.F.); #285775=ORIENTED_EDGE('',*,*,#209799,.F.); #285776=ORIENTED_EDGE('',*,*,#209800,.T.); #285777=ORIENTED_EDGE('',*,*,#209801,.F.); #285778=ORIENTED_EDGE('',*,*,#209800,.F.); #285779=ORIENTED_EDGE('',*,*,#209802,.F.); #285780=ORIENTED_EDGE('',*,*,#209803,.T.); #285781=ORIENTED_EDGE('',*,*,#209804,.F.); #285782=ORIENTED_EDGE('',*,*,#209803,.F.); #285783=ORIENTED_EDGE('',*,*,#209805,.F.); #285784=ORIENTED_EDGE('',*,*,#209806,.T.); #285785=ORIENTED_EDGE('',*,*,#209807,.F.); #285786=ORIENTED_EDGE('',*,*,#209806,.F.); #285787=ORIENTED_EDGE('',*,*,#209808,.F.); #285788=ORIENTED_EDGE('',*,*,#209809,.T.); #285789=ORIENTED_EDGE('',*,*,#209810,.F.); #285790=ORIENTED_EDGE('',*,*,#209809,.F.); #285791=ORIENTED_EDGE('',*,*,#209811,.F.); #285792=ORIENTED_EDGE('',*,*,#209812,.T.); #285793=ORIENTED_EDGE('',*,*,#209813,.F.); #285794=ORIENTED_EDGE('',*,*,#209812,.F.); #285795=ORIENTED_EDGE('',*,*,#209814,.F.); #285796=ORIENTED_EDGE('',*,*,#209815,.T.); #285797=ORIENTED_EDGE('',*,*,#209816,.F.); #285798=ORIENTED_EDGE('',*,*,#209815,.F.); #285799=ORIENTED_EDGE('',*,*,#209817,.F.); #285800=ORIENTED_EDGE('',*,*,#209818,.T.); #285801=ORIENTED_EDGE('',*,*,#209819,.F.); #285802=ORIENTED_EDGE('',*,*,#209818,.F.); #285803=ORIENTED_EDGE('',*,*,#209820,.F.); #285804=ORIENTED_EDGE('',*,*,#209821,.T.); #285805=ORIENTED_EDGE('',*,*,#209822,.F.); #285806=ORIENTED_EDGE('',*,*,#209821,.F.); #285807=ORIENTED_EDGE('',*,*,#209823,.F.); #285808=ORIENTED_EDGE('',*,*,#209824,.T.); #285809=ORIENTED_EDGE('',*,*,#209825,.F.); #285810=ORIENTED_EDGE('',*,*,#209824,.F.); #285811=ORIENTED_EDGE('',*,*,#209826,.F.); #285812=ORIENTED_EDGE('',*,*,#209827,.T.); #285813=ORIENTED_EDGE('',*,*,#209828,.F.); #285814=ORIENTED_EDGE('',*,*,#209827,.F.); #285815=ORIENTED_EDGE('',*,*,#209829,.F.); #285816=ORIENTED_EDGE('',*,*,#209830,.T.); #285817=ORIENTED_EDGE('',*,*,#209831,.F.); #285818=ORIENTED_EDGE('',*,*,#209830,.F.); #285819=ORIENTED_EDGE('',*,*,#209832,.F.); #285820=ORIENTED_EDGE('',*,*,#209833,.T.); #285821=ORIENTED_EDGE('',*,*,#209834,.F.); #285822=ORIENTED_EDGE('',*,*,#209833,.F.); #285823=ORIENTED_EDGE('',*,*,#209835,.F.); #285824=ORIENTED_EDGE('',*,*,#209836,.T.); #285825=ORIENTED_EDGE('',*,*,#209837,.F.); #285826=ORIENTED_EDGE('',*,*,#209836,.F.); #285827=ORIENTED_EDGE('',*,*,#209838,.F.); #285828=ORIENTED_EDGE('',*,*,#209839,.T.); #285829=ORIENTED_EDGE('',*,*,#209840,.F.); #285830=ORIENTED_EDGE('',*,*,#209839,.F.); #285831=ORIENTED_EDGE('',*,*,#209841,.F.); #285832=ORIENTED_EDGE('',*,*,#209842,.T.); #285833=ORIENTED_EDGE('',*,*,#209843,.F.); #285834=ORIENTED_EDGE('',*,*,#209842,.F.); #285835=ORIENTED_EDGE('',*,*,#209844,.F.); #285836=ORIENTED_EDGE('',*,*,#209845,.T.); #285837=ORIENTED_EDGE('',*,*,#209846,.F.); #285838=ORIENTED_EDGE('',*,*,#209845,.F.); #285839=ORIENTED_EDGE('',*,*,#209847,.F.); #285840=ORIENTED_EDGE('',*,*,#209848,.T.); #285841=ORIENTED_EDGE('',*,*,#209849,.F.); #285842=ORIENTED_EDGE('',*,*,#209848,.F.); #285843=ORIENTED_EDGE('',*,*,#209850,.F.); #285844=ORIENTED_EDGE('',*,*,#209851,.T.); #285845=ORIENTED_EDGE('',*,*,#209852,.F.); #285846=ORIENTED_EDGE('',*,*,#209851,.F.); #285847=ORIENTED_EDGE('',*,*,#209853,.F.); #285848=ORIENTED_EDGE('',*,*,#209854,.T.); #285849=ORIENTED_EDGE('',*,*,#209855,.F.); #285850=ORIENTED_EDGE('',*,*,#209854,.F.); #285851=ORIENTED_EDGE('',*,*,#209856,.F.); #285852=ORIENTED_EDGE('',*,*,#209857,.T.); #285853=ORIENTED_EDGE('',*,*,#209858,.F.); #285854=ORIENTED_EDGE('',*,*,#209857,.F.); #285855=ORIENTED_EDGE('',*,*,#209859,.F.); #285856=ORIENTED_EDGE('',*,*,#209860,.T.); #285857=ORIENTED_EDGE('',*,*,#209861,.F.); #285858=ORIENTED_EDGE('',*,*,#209860,.F.); #285859=ORIENTED_EDGE('',*,*,#209862,.F.); #285860=ORIENTED_EDGE('',*,*,#209863,.T.); #285861=ORIENTED_EDGE('',*,*,#209864,.F.); #285862=ORIENTED_EDGE('',*,*,#209863,.F.); #285863=ORIENTED_EDGE('',*,*,#209865,.F.); #285864=ORIENTED_EDGE('',*,*,#209866,.T.); #285865=ORIENTED_EDGE('',*,*,#209867,.F.); #285866=ORIENTED_EDGE('',*,*,#209866,.F.); #285867=ORIENTED_EDGE('',*,*,#209868,.T.); #285868=ORIENTED_EDGE('',*,*,#209869,.T.); #285869=ORIENTED_EDGE('',*,*,#209870,.F.); #285870=ORIENTED_EDGE('',*,*,#209871,.F.); #285871=ORIENTED_EDGE('',*,*,#209872,.T.); #285872=ORIENTED_EDGE('',*,*,#209871,.T.); #285873=ORIENTED_EDGE('',*,*,#209873,.F.); #285874=ORIENTED_EDGE('',*,*,#209874,.F.); #285875=ORIENTED_EDGE('',*,*,#209875,.T.); #285876=ORIENTED_EDGE('',*,*,#209874,.T.); #285877=ORIENTED_EDGE('',*,*,#209876,.F.); #285878=ORIENTED_EDGE('',*,*,#209877,.F.); #285879=ORIENTED_EDGE('',*,*,#209878,.T.); #285880=ORIENTED_EDGE('',*,*,#209877,.T.); #285881=ORIENTED_EDGE('',*,*,#209879,.F.); #285882=ORIENTED_EDGE('',*,*,#209880,.F.); #285883=ORIENTED_EDGE('',*,*,#209881,.T.); #285884=ORIENTED_EDGE('',*,*,#209880,.T.); #285885=ORIENTED_EDGE('',*,*,#209882,.F.); #285886=ORIENTED_EDGE('',*,*,#209883,.F.); #285887=ORIENTED_EDGE('',*,*,#209884,.T.); #285888=ORIENTED_EDGE('',*,*,#209883,.T.); #285889=ORIENTED_EDGE('',*,*,#209885,.F.); #285890=ORIENTED_EDGE('',*,*,#209886,.F.); #285891=ORIENTED_EDGE('',*,*,#209887,.T.); #285892=ORIENTED_EDGE('',*,*,#209886,.T.); #285893=ORIENTED_EDGE('',*,*,#209888,.F.); #285894=ORIENTED_EDGE('',*,*,#209889,.F.); #285895=ORIENTED_EDGE('',*,*,#209890,.T.); #285896=ORIENTED_EDGE('',*,*,#209889,.T.); #285897=ORIENTED_EDGE('',*,*,#209891,.F.); #285898=ORIENTED_EDGE('',*,*,#209892,.F.); #285899=ORIENTED_EDGE('',*,*,#209893,.T.); #285900=ORIENTED_EDGE('',*,*,#209892,.T.); #285901=ORIENTED_EDGE('',*,*,#209894,.F.); #285902=ORIENTED_EDGE('',*,*,#209895,.F.); #285903=ORIENTED_EDGE('',*,*,#209896,.T.); #285904=ORIENTED_EDGE('',*,*,#209895,.T.); #285905=ORIENTED_EDGE('',*,*,#209897,.F.); #285906=ORIENTED_EDGE('',*,*,#209898,.F.); #285907=ORIENTED_EDGE('',*,*,#209899,.T.); #285908=ORIENTED_EDGE('',*,*,#209898,.T.); #285909=ORIENTED_EDGE('',*,*,#209900,.F.); #285910=ORIENTED_EDGE('',*,*,#209901,.F.); #285911=ORIENTED_EDGE('',*,*,#209902,.T.); #285912=ORIENTED_EDGE('',*,*,#209901,.T.); #285913=ORIENTED_EDGE('',*,*,#209903,.F.); #285914=ORIENTED_EDGE('',*,*,#209904,.F.); #285915=ORIENTED_EDGE('',*,*,#209905,.T.); #285916=ORIENTED_EDGE('',*,*,#209904,.T.); #285917=ORIENTED_EDGE('',*,*,#209906,.F.); #285918=ORIENTED_EDGE('',*,*,#209907,.F.); #285919=ORIENTED_EDGE('',*,*,#209908,.T.); #285920=ORIENTED_EDGE('',*,*,#209907,.T.); #285921=ORIENTED_EDGE('',*,*,#209909,.F.); #285922=ORIENTED_EDGE('',*,*,#209910,.F.); #285923=ORIENTED_EDGE('',*,*,#209911,.T.); #285924=ORIENTED_EDGE('',*,*,#209910,.T.); #285925=ORIENTED_EDGE('',*,*,#209912,.F.); #285926=ORIENTED_EDGE('',*,*,#209913,.F.); #285927=ORIENTED_EDGE('',*,*,#209914,.T.); #285928=ORIENTED_EDGE('',*,*,#209913,.T.); #285929=ORIENTED_EDGE('',*,*,#209915,.F.); #285930=ORIENTED_EDGE('',*,*,#209916,.F.); #285931=ORIENTED_EDGE('',*,*,#209917,.T.); #285932=ORIENTED_EDGE('',*,*,#209916,.T.); #285933=ORIENTED_EDGE('',*,*,#209918,.F.); #285934=ORIENTED_EDGE('',*,*,#209919,.F.); #285935=ORIENTED_EDGE('',*,*,#209920,.T.); #285936=ORIENTED_EDGE('',*,*,#209919,.T.); #285937=ORIENTED_EDGE('',*,*,#209921,.F.); #285938=ORIENTED_EDGE('',*,*,#209922,.F.); #285939=ORIENTED_EDGE('',*,*,#209923,.T.); #285940=ORIENTED_EDGE('',*,*,#209922,.T.); #285941=ORIENTED_EDGE('',*,*,#209924,.F.); #285942=ORIENTED_EDGE('',*,*,#209925,.F.); #285943=ORIENTED_EDGE('',*,*,#209926,.T.); #285944=ORIENTED_EDGE('',*,*,#209925,.T.); #285945=ORIENTED_EDGE('',*,*,#209927,.F.); #285946=ORIENTED_EDGE('',*,*,#209928,.F.); #285947=ORIENTED_EDGE('',*,*,#209929,.T.); #285948=ORIENTED_EDGE('',*,*,#209928,.T.); #285949=ORIENTED_EDGE('',*,*,#209930,.F.); #285950=ORIENTED_EDGE('',*,*,#209931,.F.); #285951=ORIENTED_EDGE('',*,*,#209932,.T.); #285952=ORIENTED_EDGE('',*,*,#209931,.T.); #285953=ORIENTED_EDGE('',*,*,#209933,.F.); #285954=ORIENTED_EDGE('',*,*,#209934,.F.); #285955=ORIENTED_EDGE('',*,*,#209935,.T.); #285956=ORIENTED_EDGE('',*,*,#209934,.T.); #285957=ORIENTED_EDGE('',*,*,#209936,.F.); #285958=ORIENTED_EDGE('',*,*,#209937,.F.); #285959=ORIENTED_EDGE('',*,*,#209938,.T.); #285960=ORIENTED_EDGE('',*,*,#209937,.T.); #285961=ORIENTED_EDGE('',*,*,#209939,.F.); #285962=ORIENTED_EDGE('',*,*,#209940,.F.); #285963=ORIENTED_EDGE('',*,*,#209941,.T.); #285964=ORIENTED_EDGE('',*,*,#209940,.T.); #285965=ORIENTED_EDGE('',*,*,#209942,.F.); #285966=ORIENTED_EDGE('',*,*,#209943,.F.); #285967=ORIENTED_EDGE('',*,*,#209944,.T.); #285968=ORIENTED_EDGE('',*,*,#209943,.T.); #285969=ORIENTED_EDGE('',*,*,#209945,.F.); #285970=ORIENTED_EDGE('',*,*,#209946,.F.); #285971=ORIENTED_EDGE('',*,*,#209947,.T.); #285972=ORIENTED_EDGE('',*,*,#209946,.T.); #285973=ORIENTED_EDGE('',*,*,#209948,.F.); #285974=ORIENTED_EDGE('',*,*,#209949,.F.); #285975=ORIENTED_EDGE('',*,*,#209950,.T.); #285976=ORIENTED_EDGE('',*,*,#209949,.T.); #285977=ORIENTED_EDGE('',*,*,#209951,.F.); #285978=ORIENTED_EDGE('',*,*,#209952,.F.); #285979=ORIENTED_EDGE('',*,*,#209953,.T.); #285980=ORIENTED_EDGE('',*,*,#209952,.T.); #285981=ORIENTED_EDGE('',*,*,#209954,.F.); #285982=ORIENTED_EDGE('',*,*,#209955,.F.); #285983=ORIENTED_EDGE('',*,*,#209956,.T.); #285984=ORIENTED_EDGE('',*,*,#209955,.T.); #285985=ORIENTED_EDGE('',*,*,#209957,.F.); #285986=ORIENTED_EDGE('',*,*,#209958,.F.); #285987=ORIENTED_EDGE('',*,*,#209959,.T.); #285988=ORIENTED_EDGE('',*,*,#209958,.T.); #285989=ORIENTED_EDGE('',*,*,#209960,.F.); #285990=ORIENTED_EDGE('',*,*,#209961,.F.); #285991=ORIENTED_EDGE('',*,*,#209962,.T.); #285992=ORIENTED_EDGE('',*,*,#209961,.T.); #285993=ORIENTED_EDGE('',*,*,#209963,.F.); #285994=ORIENTED_EDGE('',*,*,#209964,.F.); #285995=ORIENTED_EDGE('',*,*,#209965,.T.); #285996=ORIENTED_EDGE('',*,*,#209964,.T.); #285997=ORIENTED_EDGE('',*,*,#209966,.F.); #285998=ORIENTED_EDGE('',*,*,#209967,.F.); #285999=ORIENTED_EDGE('',*,*,#209968,.T.); #286000=ORIENTED_EDGE('',*,*,#209967,.T.); #286001=ORIENTED_EDGE('',*,*,#209969,.F.); #286002=ORIENTED_EDGE('',*,*,#209970,.F.); #286003=ORIENTED_EDGE('',*,*,#209971,.T.); #286004=ORIENTED_EDGE('',*,*,#209970,.T.); #286005=ORIENTED_EDGE('',*,*,#209972,.F.); #286006=ORIENTED_EDGE('',*,*,#209973,.F.); #286007=ORIENTED_EDGE('',*,*,#209974,.T.); #286008=ORIENTED_EDGE('',*,*,#209973,.T.); #286009=ORIENTED_EDGE('',*,*,#209975,.F.); #286010=ORIENTED_EDGE('',*,*,#209976,.F.); #286011=ORIENTED_EDGE('',*,*,#209977,.T.); #286012=ORIENTED_EDGE('',*,*,#209976,.T.); #286013=ORIENTED_EDGE('',*,*,#209978,.F.); #286014=ORIENTED_EDGE('',*,*,#209979,.F.); #286015=ORIENTED_EDGE('',*,*,#209980,.T.); #286016=ORIENTED_EDGE('',*,*,#209979,.T.); #286017=ORIENTED_EDGE('',*,*,#209981,.F.); #286018=ORIENTED_EDGE('',*,*,#209982,.F.); #286019=ORIENTED_EDGE('',*,*,#209983,.T.); #286020=ORIENTED_EDGE('',*,*,#209982,.T.); #286021=ORIENTED_EDGE('',*,*,#209984,.F.); #286022=ORIENTED_EDGE('',*,*,#209985,.F.); #286023=ORIENTED_EDGE('',*,*,#209986,.T.); #286024=ORIENTED_EDGE('',*,*,#209985,.T.); #286025=ORIENTED_EDGE('',*,*,#209987,.F.); #286026=ORIENTED_EDGE('',*,*,#209988,.F.); #286027=ORIENTED_EDGE('',*,*,#209989,.T.); #286028=ORIENTED_EDGE('',*,*,#209988,.T.); #286029=ORIENTED_EDGE('',*,*,#209990,.F.); #286030=ORIENTED_EDGE('',*,*,#209991,.F.); #286031=ORIENTED_EDGE('',*,*,#209992,.T.); #286032=ORIENTED_EDGE('',*,*,#209991,.T.); #286033=ORIENTED_EDGE('',*,*,#209993,.F.); #286034=ORIENTED_EDGE('',*,*,#209994,.F.); #286035=ORIENTED_EDGE('',*,*,#209995,.T.); #286036=ORIENTED_EDGE('',*,*,#209994,.T.); #286037=ORIENTED_EDGE('',*,*,#209996,.F.); #286038=ORIENTED_EDGE('',*,*,#209997,.F.); #286039=ORIENTED_EDGE('',*,*,#209998,.T.); #286040=ORIENTED_EDGE('',*,*,#209997,.T.); #286041=ORIENTED_EDGE('',*,*,#209999,.F.); #286042=ORIENTED_EDGE('',*,*,#210000,.F.); #286043=ORIENTED_EDGE('',*,*,#210001,.T.); #286044=ORIENTED_EDGE('',*,*,#210000,.T.); #286045=ORIENTED_EDGE('',*,*,#210002,.F.); #286046=ORIENTED_EDGE('',*,*,#210003,.F.); #286047=ORIENTED_EDGE('',*,*,#210004,.T.); #286048=ORIENTED_EDGE('',*,*,#210003,.T.); #286049=ORIENTED_EDGE('',*,*,#210005,.F.); #286050=ORIENTED_EDGE('',*,*,#210006,.F.); #286051=ORIENTED_EDGE('',*,*,#210007,.T.); #286052=ORIENTED_EDGE('',*,*,#210006,.T.); #286053=ORIENTED_EDGE('',*,*,#210008,.F.); #286054=ORIENTED_EDGE('',*,*,#210009,.F.); #286055=ORIENTED_EDGE('',*,*,#210010,.T.); #286056=ORIENTED_EDGE('',*,*,#210009,.T.); #286057=ORIENTED_EDGE('',*,*,#210011,.F.); #286058=ORIENTED_EDGE('',*,*,#210012,.F.); #286059=ORIENTED_EDGE('',*,*,#210013,.T.); #286060=ORIENTED_EDGE('',*,*,#210012,.T.); #286061=ORIENTED_EDGE('',*,*,#210014,.F.); #286062=ORIENTED_EDGE('',*,*,#210015,.F.); #286063=ORIENTED_EDGE('',*,*,#210016,.T.); #286064=ORIENTED_EDGE('',*,*,#210015,.T.); #286065=ORIENTED_EDGE('',*,*,#210017,.F.); #286066=ORIENTED_EDGE('',*,*,#210018,.F.); #286067=ORIENTED_EDGE('',*,*,#210019,.T.); #286068=ORIENTED_EDGE('',*,*,#210018,.T.); #286069=ORIENTED_EDGE('',*,*,#210020,.F.); #286070=ORIENTED_EDGE('',*,*,#210021,.F.); #286071=ORIENTED_EDGE('',*,*,#210022,.T.); #286072=ORIENTED_EDGE('',*,*,#210021,.T.); #286073=ORIENTED_EDGE('',*,*,#210023,.F.); #286074=ORIENTED_EDGE('',*,*,#210024,.F.); #286075=ORIENTED_EDGE('',*,*,#210025,.T.); #286076=ORIENTED_EDGE('',*,*,#210024,.T.); #286077=ORIENTED_EDGE('',*,*,#210026,.F.); #286078=ORIENTED_EDGE('',*,*,#210027,.F.); #286079=ORIENTED_EDGE('',*,*,#210028,.T.); #286080=ORIENTED_EDGE('',*,*,#210027,.T.); #286081=ORIENTED_EDGE('',*,*,#210029,.F.); #286082=ORIENTED_EDGE('',*,*,#210030,.F.); #286083=ORIENTED_EDGE('',*,*,#210031,.T.); #286084=ORIENTED_EDGE('',*,*,#210030,.T.); #286085=ORIENTED_EDGE('',*,*,#210032,.F.); #286086=ORIENTED_EDGE('',*,*,#210033,.F.); #286087=ORIENTED_EDGE('',*,*,#210034,.T.); #286088=ORIENTED_EDGE('',*,*,#210033,.T.); #286089=ORIENTED_EDGE('',*,*,#210035,.F.); #286090=ORIENTED_EDGE('',*,*,#210036,.F.); #286091=ORIENTED_EDGE('',*,*,#210037,.T.); #286092=ORIENTED_EDGE('',*,*,#210036,.T.); #286093=ORIENTED_EDGE('',*,*,#210038,.F.); #286094=ORIENTED_EDGE('',*,*,#210039,.F.); #286095=ORIENTED_EDGE('',*,*,#210040,.T.); #286096=ORIENTED_EDGE('',*,*,#210039,.T.); #286097=ORIENTED_EDGE('',*,*,#210041,.F.); #286098=ORIENTED_EDGE('',*,*,#210042,.F.); #286099=ORIENTED_EDGE('',*,*,#210043,.T.); #286100=ORIENTED_EDGE('',*,*,#210042,.T.); #286101=ORIENTED_EDGE('',*,*,#210044,.F.); #286102=ORIENTED_EDGE('',*,*,#210045,.F.); #286103=ORIENTED_EDGE('',*,*,#210046,.T.); #286104=ORIENTED_EDGE('',*,*,#210045,.T.); #286105=ORIENTED_EDGE('',*,*,#210047,.F.); #286106=ORIENTED_EDGE('',*,*,#210048,.F.); #286107=ORIENTED_EDGE('',*,*,#210049,.T.); #286108=ORIENTED_EDGE('',*,*,#210048,.T.); #286109=ORIENTED_EDGE('',*,*,#210050,.F.); #286110=ORIENTED_EDGE('',*,*,#210051,.F.); #286111=ORIENTED_EDGE('',*,*,#210052,.T.); #286112=ORIENTED_EDGE('',*,*,#210051,.T.); #286113=ORIENTED_EDGE('',*,*,#210053,.F.); #286114=ORIENTED_EDGE('',*,*,#210054,.F.); #286115=ORIENTED_EDGE('',*,*,#210055,.T.); #286116=ORIENTED_EDGE('',*,*,#210054,.T.); #286117=ORIENTED_EDGE('',*,*,#210056,.F.); #286118=ORIENTED_EDGE('',*,*,#210057,.F.); #286119=ORIENTED_EDGE('',*,*,#210058,.T.); #286120=ORIENTED_EDGE('',*,*,#210057,.T.); #286121=ORIENTED_EDGE('',*,*,#210059,.F.); #286122=ORIENTED_EDGE('',*,*,#210060,.F.); #286123=ORIENTED_EDGE('',*,*,#210061,.T.); #286124=ORIENTED_EDGE('',*,*,#210060,.T.); #286125=ORIENTED_EDGE('',*,*,#210062,.F.); #286126=ORIENTED_EDGE('',*,*,#210063,.F.); #286127=ORIENTED_EDGE('',*,*,#210064,.T.); #286128=ORIENTED_EDGE('',*,*,#210063,.T.); #286129=ORIENTED_EDGE('',*,*,#210065,.F.); #286130=ORIENTED_EDGE('',*,*,#210066,.F.); #286131=ORIENTED_EDGE('',*,*,#210067,.T.); #286132=ORIENTED_EDGE('',*,*,#210066,.T.); #286133=ORIENTED_EDGE('',*,*,#210068,.F.); #286134=ORIENTED_EDGE('',*,*,#210069,.F.); #286135=ORIENTED_EDGE('',*,*,#210070,.T.); #286136=ORIENTED_EDGE('',*,*,#210069,.T.); #286137=ORIENTED_EDGE('',*,*,#210071,.F.); #286138=ORIENTED_EDGE('',*,*,#210072,.F.); #286139=ORIENTED_EDGE('',*,*,#210073,.T.); #286140=ORIENTED_EDGE('',*,*,#210072,.T.); #286141=ORIENTED_EDGE('',*,*,#210074,.F.); #286142=ORIENTED_EDGE('',*,*,#210075,.F.); #286143=ORIENTED_EDGE('',*,*,#210076,.T.); #286144=ORIENTED_EDGE('',*,*,#210075,.T.); #286145=ORIENTED_EDGE('',*,*,#210077,.F.); #286146=ORIENTED_EDGE('',*,*,#210078,.F.); #286147=ORIENTED_EDGE('',*,*,#210079,.T.); #286148=ORIENTED_EDGE('',*,*,#210078,.T.); #286149=ORIENTED_EDGE('',*,*,#210080,.F.); #286150=ORIENTED_EDGE('',*,*,#210081,.F.); #286151=ORIENTED_EDGE('',*,*,#210082,.T.); #286152=ORIENTED_EDGE('',*,*,#210081,.T.); #286153=ORIENTED_EDGE('',*,*,#210083,.F.); #286154=ORIENTED_EDGE('',*,*,#210084,.F.); #286155=ORIENTED_EDGE('',*,*,#210085,.T.); #286156=ORIENTED_EDGE('',*,*,#210084,.T.); #286157=ORIENTED_EDGE('',*,*,#210086,.F.); #286158=ORIENTED_EDGE('',*,*,#210087,.F.); #286159=ORIENTED_EDGE('',*,*,#210088,.T.); #286160=ORIENTED_EDGE('',*,*,#210087,.T.); #286161=ORIENTED_EDGE('',*,*,#210089,.F.); #286162=ORIENTED_EDGE('',*,*,#210090,.F.); #286163=ORIENTED_EDGE('',*,*,#210091,.T.); #286164=ORIENTED_EDGE('',*,*,#210090,.T.); #286165=ORIENTED_EDGE('',*,*,#210092,.F.); #286166=ORIENTED_EDGE('',*,*,#210093,.F.); #286167=ORIENTED_EDGE('',*,*,#210094,.T.); #286168=ORIENTED_EDGE('',*,*,#210093,.T.); #286169=ORIENTED_EDGE('',*,*,#210095,.F.); #286170=ORIENTED_EDGE('',*,*,#210096,.F.); #286171=ORIENTED_EDGE('',*,*,#210097,.T.); #286172=ORIENTED_EDGE('',*,*,#210096,.T.); #286173=ORIENTED_EDGE('',*,*,#210098,.F.); #286174=ORIENTED_EDGE('',*,*,#210099,.F.); #286175=ORIENTED_EDGE('',*,*,#210100,.T.); #286176=ORIENTED_EDGE('',*,*,#210099,.T.); #286177=ORIENTED_EDGE('',*,*,#210101,.F.); #286178=ORIENTED_EDGE('',*,*,#210102,.F.); #286179=ORIENTED_EDGE('',*,*,#210103,.T.); #286180=ORIENTED_EDGE('',*,*,#210102,.T.); #286181=ORIENTED_EDGE('',*,*,#210104,.F.); #286182=ORIENTED_EDGE('',*,*,#210105,.F.); #286183=ORIENTED_EDGE('',*,*,#210106,.T.); #286184=ORIENTED_EDGE('',*,*,#210105,.T.); #286185=ORIENTED_EDGE('',*,*,#210107,.F.); #286186=ORIENTED_EDGE('',*,*,#210108,.F.); #286187=ORIENTED_EDGE('',*,*,#210109,.T.); #286188=ORIENTED_EDGE('',*,*,#210108,.T.); #286189=ORIENTED_EDGE('',*,*,#210110,.F.); #286190=ORIENTED_EDGE('',*,*,#210111,.F.); #286191=ORIENTED_EDGE('',*,*,#210112,.T.); #286192=ORIENTED_EDGE('',*,*,#210111,.T.); #286193=ORIENTED_EDGE('',*,*,#210113,.F.); #286194=ORIENTED_EDGE('',*,*,#210114,.F.); #286195=ORIENTED_EDGE('',*,*,#210115,.T.); #286196=ORIENTED_EDGE('',*,*,#210114,.T.); #286197=ORIENTED_EDGE('',*,*,#210116,.F.); #286198=ORIENTED_EDGE('',*,*,#210117,.F.); #286199=ORIENTED_EDGE('',*,*,#210118,.T.); #286200=ORIENTED_EDGE('',*,*,#210117,.T.); #286201=ORIENTED_EDGE('',*,*,#210119,.F.); #286202=ORIENTED_EDGE('',*,*,#210120,.F.); #286203=ORIENTED_EDGE('',*,*,#210121,.T.); #286204=ORIENTED_EDGE('',*,*,#210120,.T.); #286205=ORIENTED_EDGE('',*,*,#210122,.F.); #286206=ORIENTED_EDGE('',*,*,#210123,.F.); #286207=ORIENTED_EDGE('',*,*,#210124,.T.); #286208=ORIENTED_EDGE('',*,*,#210123,.T.); #286209=ORIENTED_EDGE('',*,*,#210125,.F.); #286210=ORIENTED_EDGE('',*,*,#210126,.F.); #286211=ORIENTED_EDGE('',*,*,#210127,.T.); #286212=ORIENTED_EDGE('',*,*,#210126,.T.); #286213=ORIENTED_EDGE('',*,*,#210128,.F.); #286214=ORIENTED_EDGE('',*,*,#210129,.F.); #286215=ORIENTED_EDGE('',*,*,#210130,.T.); #286216=ORIENTED_EDGE('',*,*,#210129,.T.); #286217=ORIENTED_EDGE('',*,*,#210131,.F.); #286218=ORIENTED_EDGE('',*,*,#210132,.F.); #286219=ORIENTED_EDGE('',*,*,#210133,.T.); #286220=ORIENTED_EDGE('',*,*,#210132,.T.); #286221=ORIENTED_EDGE('',*,*,#210134,.F.); #286222=ORIENTED_EDGE('',*,*,#210135,.F.); #286223=ORIENTED_EDGE('',*,*,#210136,.T.); #286224=ORIENTED_EDGE('',*,*,#210135,.T.); #286225=ORIENTED_EDGE('',*,*,#210137,.F.); #286226=ORIENTED_EDGE('',*,*,#210138,.F.); #286227=ORIENTED_EDGE('',*,*,#210139,.T.); #286228=ORIENTED_EDGE('',*,*,#210138,.T.); #286229=ORIENTED_EDGE('',*,*,#210140,.F.); #286230=ORIENTED_EDGE('',*,*,#210141,.F.); #286231=ORIENTED_EDGE('',*,*,#210142,.T.); #286232=ORIENTED_EDGE('',*,*,#210141,.T.); #286233=ORIENTED_EDGE('',*,*,#210143,.F.); #286234=ORIENTED_EDGE('',*,*,#210144,.F.); #286235=ORIENTED_EDGE('',*,*,#210145,.T.); #286236=ORIENTED_EDGE('',*,*,#210144,.T.); #286237=ORIENTED_EDGE('',*,*,#210146,.F.); #286238=ORIENTED_EDGE('',*,*,#210147,.F.); #286239=ORIENTED_EDGE('',*,*,#210148,.T.); #286240=ORIENTED_EDGE('',*,*,#210147,.T.); #286241=ORIENTED_EDGE('',*,*,#210149,.F.); #286242=ORIENTED_EDGE('',*,*,#210150,.F.); #286243=ORIENTED_EDGE('',*,*,#210151,.T.); #286244=ORIENTED_EDGE('',*,*,#210150,.T.); #286245=ORIENTED_EDGE('',*,*,#210152,.F.); #286246=ORIENTED_EDGE('',*,*,#210153,.F.); #286247=ORIENTED_EDGE('',*,*,#210154,.T.); #286248=ORIENTED_EDGE('',*,*,#210153,.T.); #286249=ORIENTED_EDGE('',*,*,#210155,.F.); #286250=ORIENTED_EDGE('',*,*,#210156,.F.); #286251=ORIENTED_EDGE('',*,*,#210157,.T.); #286252=ORIENTED_EDGE('',*,*,#210156,.T.); #286253=ORIENTED_EDGE('',*,*,#210158,.F.); #286254=ORIENTED_EDGE('',*,*,#210159,.F.); #286255=ORIENTED_EDGE('',*,*,#210160,.T.); #286256=ORIENTED_EDGE('',*,*,#210159,.T.); #286257=ORIENTED_EDGE('',*,*,#210161,.F.); #286258=ORIENTED_EDGE('',*,*,#210162,.F.); #286259=ORIENTED_EDGE('',*,*,#210163,.T.); #286260=ORIENTED_EDGE('',*,*,#210162,.T.); #286261=ORIENTED_EDGE('',*,*,#210164,.F.); #286262=ORIENTED_EDGE('',*,*,#210165,.F.); #286263=ORIENTED_EDGE('',*,*,#210166,.T.); #286264=ORIENTED_EDGE('',*,*,#210165,.T.); #286265=ORIENTED_EDGE('',*,*,#210167,.F.); #286266=ORIENTED_EDGE('',*,*,#210168,.F.); #286267=ORIENTED_EDGE('',*,*,#210169,.T.); #286268=ORIENTED_EDGE('',*,*,#210168,.T.); #286269=ORIENTED_EDGE('',*,*,#210170,.F.); #286270=ORIENTED_EDGE('',*,*,#210171,.F.); #286271=ORIENTED_EDGE('',*,*,#210172,.T.); #286272=ORIENTED_EDGE('',*,*,#210171,.T.); #286273=ORIENTED_EDGE('',*,*,#210173,.F.); #286274=ORIENTED_EDGE('',*,*,#210174,.F.); #286275=ORIENTED_EDGE('',*,*,#210175,.T.); #286276=ORIENTED_EDGE('',*,*,#210174,.T.); #286277=ORIENTED_EDGE('',*,*,#210176,.F.); #286278=ORIENTED_EDGE('',*,*,#210177,.F.); #286279=ORIENTED_EDGE('',*,*,#210178,.T.); #286280=ORIENTED_EDGE('',*,*,#210177,.T.); #286281=ORIENTED_EDGE('',*,*,#210179,.F.); #286282=ORIENTED_EDGE('',*,*,#210180,.F.); #286283=ORIENTED_EDGE('',*,*,#210181,.T.); #286284=ORIENTED_EDGE('',*,*,#210180,.T.); #286285=ORIENTED_EDGE('',*,*,#210182,.F.); #286286=ORIENTED_EDGE('',*,*,#210183,.F.); #286287=ORIENTED_EDGE('',*,*,#210184,.T.); #286288=ORIENTED_EDGE('',*,*,#210183,.T.); #286289=ORIENTED_EDGE('',*,*,#210185,.F.); #286290=ORIENTED_EDGE('',*,*,#210186,.F.); #286291=ORIENTED_EDGE('',*,*,#210187,.T.); #286292=ORIENTED_EDGE('',*,*,#210186,.T.); #286293=ORIENTED_EDGE('',*,*,#210188,.F.); #286294=ORIENTED_EDGE('',*,*,#210189,.F.); #286295=ORIENTED_EDGE('',*,*,#210190,.T.); #286296=ORIENTED_EDGE('',*,*,#210189,.T.); #286297=ORIENTED_EDGE('',*,*,#210191,.F.); #286298=ORIENTED_EDGE('',*,*,#210192,.F.); #286299=ORIENTED_EDGE('',*,*,#210193,.T.); #286300=ORIENTED_EDGE('',*,*,#210192,.T.); #286301=ORIENTED_EDGE('',*,*,#210194,.F.); #286302=ORIENTED_EDGE('',*,*,#210195,.F.); #286303=ORIENTED_EDGE('',*,*,#210196,.T.); #286304=ORIENTED_EDGE('',*,*,#210195,.T.); #286305=ORIENTED_EDGE('',*,*,#210197,.F.); #286306=ORIENTED_EDGE('',*,*,#210198,.F.); #286307=ORIENTED_EDGE('',*,*,#210199,.T.); #286308=ORIENTED_EDGE('',*,*,#210198,.T.); #286309=ORIENTED_EDGE('',*,*,#210200,.F.); #286310=ORIENTED_EDGE('',*,*,#210201,.F.); #286311=ORIENTED_EDGE('',*,*,#210202,.T.); #286312=ORIENTED_EDGE('',*,*,#210201,.T.); #286313=ORIENTED_EDGE('',*,*,#210203,.F.); #286314=ORIENTED_EDGE('',*,*,#210204,.F.); #286315=ORIENTED_EDGE('',*,*,#210205,.T.); #286316=ORIENTED_EDGE('',*,*,#210204,.T.); #286317=ORIENTED_EDGE('',*,*,#210206,.F.); #286318=ORIENTED_EDGE('',*,*,#210207,.F.); #286319=ORIENTED_EDGE('',*,*,#210208,.T.); #286320=ORIENTED_EDGE('',*,*,#210207,.T.); #286321=ORIENTED_EDGE('',*,*,#210209,.F.); #286322=ORIENTED_EDGE('',*,*,#210210,.F.); #286323=ORIENTED_EDGE('',*,*,#210211,.T.); #286324=ORIENTED_EDGE('',*,*,#210210,.T.); #286325=ORIENTED_EDGE('',*,*,#210212,.F.); #286326=ORIENTED_EDGE('',*,*,#210213,.F.); #286327=ORIENTED_EDGE('',*,*,#210214,.T.); #286328=ORIENTED_EDGE('',*,*,#210213,.T.); #286329=ORIENTED_EDGE('',*,*,#210215,.F.); #286330=ORIENTED_EDGE('',*,*,#210216,.F.); #286331=ORIENTED_EDGE('',*,*,#210217,.T.); #286332=ORIENTED_EDGE('',*,*,#210216,.T.); #286333=ORIENTED_EDGE('',*,*,#210218,.F.); #286334=ORIENTED_EDGE('',*,*,#210219,.F.); #286335=ORIENTED_EDGE('',*,*,#210220,.T.); #286336=ORIENTED_EDGE('',*,*,#210219,.T.); #286337=ORIENTED_EDGE('',*,*,#210221,.F.); #286338=ORIENTED_EDGE('',*,*,#210222,.F.); #286339=ORIENTED_EDGE('',*,*,#210223,.T.); #286340=ORIENTED_EDGE('',*,*,#210222,.T.); #286341=ORIENTED_EDGE('',*,*,#210224,.F.); #286342=ORIENTED_EDGE('',*,*,#210225,.F.); #286343=ORIENTED_EDGE('',*,*,#210226,.T.); #286344=ORIENTED_EDGE('',*,*,#210225,.T.); #286345=ORIENTED_EDGE('',*,*,#210227,.F.); #286346=ORIENTED_EDGE('',*,*,#210228,.F.); #286347=ORIENTED_EDGE('',*,*,#210229,.T.); #286348=ORIENTED_EDGE('',*,*,#210228,.T.); #286349=ORIENTED_EDGE('',*,*,#210230,.F.); #286350=ORIENTED_EDGE('',*,*,#210231,.F.); #286351=ORIENTED_EDGE('',*,*,#210232,.T.); #286352=ORIENTED_EDGE('',*,*,#210231,.T.); #286353=ORIENTED_EDGE('',*,*,#210233,.F.); #286354=ORIENTED_EDGE('',*,*,#210234,.F.); #286355=ORIENTED_EDGE('',*,*,#210235,.T.); #286356=ORIENTED_EDGE('',*,*,#210234,.T.); #286357=ORIENTED_EDGE('',*,*,#210236,.F.); #286358=ORIENTED_EDGE('',*,*,#210237,.F.); #286359=ORIENTED_EDGE('',*,*,#210238,.T.); #286360=ORIENTED_EDGE('',*,*,#210237,.T.); #286361=ORIENTED_EDGE('',*,*,#210239,.F.); #286362=ORIENTED_EDGE('',*,*,#210240,.F.); #286363=ORIENTED_EDGE('',*,*,#210241,.T.); #286364=ORIENTED_EDGE('',*,*,#210240,.T.); #286365=ORIENTED_EDGE('',*,*,#210242,.F.); #286366=ORIENTED_EDGE('',*,*,#210243,.F.); #286367=ORIENTED_EDGE('',*,*,#210244,.T.); #286368=ORIENTED_EDGE('',*,*,#210243,.T.); #286369=ORIENTED_EDGE('',*,*,#210245,.F.); #286370=ORIENTED_EDGE('',*,*,#210246,.F.); #286371=ORIENTED_EDGE('',*,*,#210247,.T.); #286372=ORIENTED_EDGE('',*,*,#210246,.T.); #286373=ORIENTED_EDGE('',*,*,#210248,.F.); #286374=ORIENTED_EDGE('',*,*,#210249,.F.); #286375=ORIENTED_EDGE('',*,*,#210250,.T.); #286376=ORIENTED_EDGE('',*,*,#210249,.T.); #286377=ORIENTED_EDGE('',*,*,#210251,.F.); #286378=ORIENTED_EDGE('',*,*,#210252,.F.); #286379=ORIENTED_EDGE('',*,*,#210253,.T.); #286380=ORIENTED_EDGE('',*,*,#210252,.T.); #286381=ORIENTED_EDGE('',*,*,#210254,.F.); #286382=ORIENTED_EDGE('',*,*,#210255,.F.); #286383=ORIENTED_EDGE('',*,*,#210256,.T.); #286384=ORIENTED_EDGE('',*,*,#210255,.T.); #286385=ORIENTED_EDGE('',*,*,#210257,.F.); #286386=ORIENTED_EDGE('',*,*,#210258,.F.); #286387=ORIENTED_EDGE('',*,*,#210259,.T.); #286388=ORIENTED_EDGE('',*,*,#210258,.T.); #286389=ORIENTED_EDGE('',*,*,#210260,.F.); #286390=ORIENTED_EDGE('',*,*,#210261,.F.); #286391=ORIENTED_EDGE('',*,*,#210262,.T.); #286392=ORIENTED_EDGE('',*,*,#210261,.T.); #286393=ORIENTED_EDGE('',*,*,#210263,.F.); #286394=ORIENTED_EDGE('',*,*,#209869,.F.); #286395=ORIENTED_EDGE('',*,*,#210264,.T.); #286396=ORIENTED_EDGE('',*,*,#210265,.T.); #286397=ORIENTED_EDGE('',*,*,#210266,.F.); #286398=ORIENTED_EDGE('',*,*,#210267,.F.); #286399=ORIENTED_EDGE('',*,*,#210268,.T.); #286400=ORIENTED_EDGE('',*,*,#210267,.T.); #286401=ORIENTED_EDGE('',*,*,#210269,.F.); #286402=ORIENTED_EDGE('',*,*,#210270,.F.); #286403=ORIENTED_EDGE('',*,*,#210271,.T.); #286404=ORIENTED_EDGE('',*,*,#210270,.T.); #286405=ORIENTED_EDGE('',*,*,#210272,.F.); #286406=ORIENTED_EDGE('',*,*,#210273,.F.); #286407=ORIENTED_EDGE('',*,*,#210274,.T.); #286408=ORIENTED_EDGE('',*,*,#210273,.T.); #286409=ORIENTED_EDGE('',*,*,#210275,.F.); #286410=ORIENTED_EDGE('',*,*,#210276,.F.); #286411=ORIENTED_EDGE('',*,*,#210277,.T.); #286412=ORIENTED_EDGE('',*,*,#210276,.T.); #286413=ORIENTED_EDGE('',*,*,#210278,.F.); #286414=ORIENTED_EDGE('',*,*,#210279,.F.); #286415=ORIENTED_EDGE('',*,*,#210280,.T.); #286416=ORIENTED_EDGE('',*,*,#210279,.T.); #286417=ORIENTED_EDGE('',*,*,#210281,.F.); #286418=ORIENTED_EDGE('',*,*,#210282,.F.); #286419=ORIENTED_EDGE('',*,*,#210283,.T.); #286420=ORIENTED_EDGE('',*,*,#210282,.T.); #286421=ORIENTED_EDGE('',*,*,#210284,.F.); #286422=ORIENTED_EDGE('',*,*,#210285,.F.); #286423=ORIENTED_EDGE('',*,*,#210286,.T.); #286424=ORIENTED_EDGE('',*,*,#210285,.T.); #286425=ORIENTED_EDGE('',*,*,#210287,.F.); #286426=ORIENTED_EDGE('',*,*,#210265,.F.); #286427=ORIENTED_EDGE('',*,*,#210288,.T.); #286428=ORIENTED_EDGE('',*,*,#210289,.T.); #286429=ORIENTED_EDGE('',*,*,#210290,.F.); #286430=ORIENTED_EDGE('',*,*,#210291,.F.); #286431=ORIENTED_EDGE('',*,*,#210292,.T.); #286432=ORIENTED_EDGE('',*,*,#210291,.T.); #286433=ORIENTED_EDGE('',*,*,#210293,.F.); #286434=ORIENTED_EDGE('',*,*,#210294,.F.); #286435=ORIENTED_EDGE('',*,*,#210295,.T.); #286436=ORIENTED_EDGE('',*,*,#210294,.T.); #286437=ORIENTED_EDGE('',*,*,#210296,.F.); #286438=ORIENTED_EDGE('',*,*,#210297,.F.); #286439=ORIENTED_EDGE('',*,*,#210298,.T.); #286440=ORIENTED_EDGE('',*,*,#210297,.T.); #286441=ORIENTED_EDGE('',*,*,#210299,.F.); #286442=ORIENTED_EDGE('',*,*,#210300,.F.); #286443=ORIENTED_EDGE('',*,*,#210301,.T.); #286444=ORIENTED_EDGE('',*,*,#210300,.T.); #286445=ORIENTED_EDGE('',*,*,#210302,.F.); #286446=ORIENTED_EDGE('',*,*,#210303,.F.); #286447=ORIENTED_EDGE('',*,*,#210304,.T.); #286448=ORIENTED_EDGE('',*,*,#210303,.T.); #286449=ORIENTED_EDGE('',*,*,#210305,.F.); #286450=ORIENTED_EDGE('',*,*,#210306,.F.); #286451=ORIENTED_EDGE('',*,*,#210307,.T.); #286452=ORIENTED_EDGE('',*,*,#210306,.T.); #286453=ORIENTED_EDGE('',*,*,#210308,.F.); #286454=ORIENTED_EDGE('',*,*,#210309,.F.); #286455=ORIENTED_EDGE('',*,*,#210310,.T.); #286456=ORIENTED_EDGE('',*,*,#210309,.T.); #286457=ORIENTED_EDGE('',*,*,#210311,.F.); #286458=ORIENTED_EDGE('',*,*,#210289,.F.); #286459=ORIENTED_EDGE('',*,*,#210312,.T.); #286460=ORIENTED_EDGE('',*,*,#210313,.T.); #286461=ORIENTED_EDGE('',*,*,#210314,.F.); #286462=ORIENTED_EDGE('',*,*,#210315,.F.); #286463=ORIENTED_EDGE('',*,*,#210316,.T.); #286464=ORIENTED_EDGE('',*,*,#210315,.T.); #286465=ORIENTED_EDGE('',*,*,#210317,.F.); #286466=ORIENTED_EDGE('',*,*,#210318,.F.); #286467=ORIENTED_EDGE('',*,*,#210319,.T.); #286468=ORIENTED_EDGE('',*,*,#210318,.T.); #286469=ORIENTED_EDGE('',*,*,#210320,.F.); #286470=ORIENTED_EDGE('',*,*,#210321,.F.); #286471=ORIENTED_EDGE('',*,*,#210322,.T.); #286472=ORIENTED_EDGE('',*,*,#210321,.T.); #286473=ORIENTED_EDGE('',*,*,#210323,.F.); #286474=ORIENTED_EDGE('',*,*,#210324,.F.); #286475=ORIENTED_EDGE('',*,*,#210325,.T.); #286476=ORIENTED_EDGE('',*,*,#210324,.T.); #286477=ORIENTED_EDGE('',*,*,#210326,.F.); #286478=ORIENTED_EDGE('',*,*,#210327,.F.); #286479=ORIENTED_EDGE('',*,*,#210328,.T.); #286480=ORIENTED_EDGE('',*,*,#210327,.T.); #286481=ORIENTED_EDGE('',*,*,#210329,.F.); #286482=ORIENTED_EDGE('',*,*,#210330,.F.); #286483=ORIENTED_EDGE('',*,*,#210331,.T.); #286484=ORIENTED_EDGE('',*,*,#210330,.T.); #286485=ORIENTED_EDGE('',*,*,#210332,.F.); #286486=ORIENTED_EDGE('',*,*,#210333,.F.); #286487=ORIENTED_EDGE('',*,*,#210334,.T.); #286488=ORIENTED_EDGE('',*,*,#210333,.T.); #286489=ORIENTED_EDGE('',*,*,#210335,.F.); #286490=ORIENTED_EDGE('',*,*,#210313,.F.); #286491=ORIENTED_EDGE('',*,*,#210336,.T.); #286492=ORIENTED_EDGE('',*,*,#210337,.T.); #286493=ORIENTED_EDGE('',*,*,#210338,.F.); #286494=ORIENTED_EDGE('',*,*,#210339,.F.); #286495=ORIENTED_EDGE('',*,*,#210340,.T.); #286496=ORIENTED_EDGE('',*,*,#210339,.T.); #286497=ORIENTED_EDGE('',*,*,#210341,.F.); #286498=ORIENTED_EDGE('',*,*,#210342,.F.); #286499=ORIENTED_EDGE('',*,*,#210343,.T.); #286500=ORIENTED_EDGE('',*,*,#210342,.T.); #286501=ORIENTED_EDGE('',*,*,#210344,.F.); #286502=ORIENTED_EDGE('',*,*,#210345,.F.); #286503=ORIENTED_EDGE('',*,*,#210346,.T.); #286504=ORIENTED_EDGE('',*,*,#210345,.T.); #286505=ORIENTED_EDGE('',*,*,#210347,.F.); #286506=ORIENTED_EDGE('',*,*,#210348,.F.); #286507=ORIENTED_EDGE('',*,*,#210349,.T.); #286508=ORIENTED_EDGE('',*,*,#210348,.T.); #286509=ORIENTED_EDGE('',*,*,#210350,.F.); #286510=ORIENTED_EDGE('',*,*,#210351,.F.); #286511=ORIENTED_EDGE('',*,*,#210352,.T.); #286512=ORIENTED_EDGE('',*,*,#210351,.T.); #286513=ORIENTED_EDGE('',*,*,#210353,.F.); #286514=ORIENTED_EDGE('',*,*,#210354,.F.); #286515=ORIENTED_EDGE('',*,*,#210355,.T.); #286516=ORIENTED_EDGE('',*,*,#210354,.T.); #286517=ORIENTED_EDGE('',*,*,#210356,.F.); #286518=ORIENTED_EDGE('',*,*,#210357,.F.); #286519=ORIENTED_EDGE('',*,*,#210358,.T.); #286520=ORIENTED_EDGE('',*,*,#210357,.T.); #286521=ORIENTED_EDGE('',*,*,#210359,.F.); #286522=ORIENTED_EDGE('',*,*,#210360,.F.); #286523=ORIENTED_EDGE('',*,*,#210361,.T.); #286524=ORIENTED_EDGE('',*,*,#210360,.T.); #286525=ORIENTED_EDGE('',*,*,#210362,.F.); #286526=ORIENTED_EDGE('',*,*,#210363,.F.); #286527=ORIENTED_EDGE('',*,*,#210364,.T.); #286528=ORIENTED_EDGE('',*,*,#210363,.T.); #286529=ORIENTED_EDGE('',*,*,#210365,.F.); #286530=ORIENTED_EDGE('',*,*,#210366,.F.); #286531=ORIENTED_EDGE('',*,*,#210367,.T.); #286532=ORIENTED_EDGE('',*,*,#210366,.T.); #286533=ORIENTED_EDGE('',*,*,#210368,.F.); #286534=ORIENTED_EDGE('',*,*,#210369,.F.); #286535=ORIENTED_EDGE('',*,*,#210370,.T.); #286536=ORIENTED_EDGE('',*,*,#210369,.T.); #286537=ORIENTED_EDGE('',*,*,#210371,.F.); #286538=ORIENTED_EDGE('',*,*,#210372,.F.); #286539=ORIENTED_EDGE('',*,*,#210373,.T.); #286540=ORIENTED_EDGE('',*,*,#210372,.T.); #286541=ORIENTED_EDGE('',*,*,#210374,.F.); #286542=ORIENTED_EDGE('',*,*,#210375,.F.); #286543=ORIENTED_EDGE('',*,*,#210376,.T.); #286544=ORIENTED_EDGE('',*,*,#210375,.T.); #286545=ORIENTED_EDGE('',*,*,#210377,.F.); #286546=ORIENTED_EDGE('',*,*,#210378,.F.); #286547=ORIENTED_EDGE('',*,*,#210379,.T.); #286548=ORIENTED_EDGE('',*,*,#210378,.T.); #286549=ORIENTED_EDGE('',*,*,#210380,.F.); #286550=ORIENTED_EDGE('',*,*,#210381,.F.); #286551=ORIENTED_EDGE('',*,*,#210382,.T.); #286552=ORIENTED_EDGE('',*,*,#210381,.T.); #286553=ORIENTED_EDGE('',*,*,#210383,.F.); #286554=ORIENTED_EDGE('',*,*,#210384,.F.); #286555=ORIENTED_EDGE('',*,*,#210385,.T.); #286556=ORIENTED_EDGE('',*,*,#210384,.T.); #286557=ORIENTED_EDGE('',*,*,#210386,.F.); #286558=ORIENTED_EDGE('',*,*,#210387,.F.); #286559=ORIENTED_EDGE('',*,*,#210388,.T.); #286560=ORIENTED_EDGE('',*,*,#210387,.T.); #286561=ORIENTED_EDGE('',*,*,#210389,.F.); #286562=ORIENTED_EDGE('',*,*,#210390,.F.); #286563=ORIENTED_EDGE('',*,*,#210391,.T.); #286564=ORIENTED_EDGE('',*,*,#210390,.T.); #286565=ORIENTED_EDGE('',*,*,#210392,.F.); #286566=ORIENTED_EDGE('',*,*,#210393,.F.); #286567=ORIENTED_EDGE('',*,*,#210394,.T.); #286568=ORIENTED_EDGE('',*,*,#210393,.T.); #286569=ORIENTED_EDGE('',*,*,#210395,.F.); #286570=ORIENTED_EDGE('',*,*,#210396,.F.); #286571=ORIENTED_EDGE('',*,*,#210397,.T.); #286572=ORIENTED_EDGE('',*,*,#210396,.T.); #286573=ORIENTED_EDGE('',*,*,#210398,.F.); #286574=ORIENTED_EDGE('',*,*,#210399,.F.); #286575=ORIENTED_EDGE('',*,*,#210400,.T.); #286576=ORIENTED_EDGE('',*,*,#210399,.T.); #286577=ORIENTED_EDGE('',*,*,#210401,.F.); #286578=ORIENTED_EDGE('',*,*,#210402,.F.); #286579=ORIENTED_EDGE('',*,*,#210403,.T.); #286580=ORIENTED_EDGE('',*,*,#210402,.T.); #286581=ORIENTED_EDGE('',*,*,#210404,.F.); #286582=ORIENTED_EDGE('',*,*,#210405,.F.); #286583=ORIENTED_EDGE('',*,*,#210406,.T.); #286584=ORIENTED_EDGE('',*,*,#210405,.T.); #286585=ORIENTED_EDGE('',*,*,#210407,.F.); #286586=ORIENTED_EDGE('',*,*,#210408,.F.); #286587=ORIENTED_EDGE('',*,*,#210409,.T.); #286588=ORIENTED_EDGE('',*,*,#210408,.T.); #286589=ORIENTED_EDGE('',*,*,#210410,.F.); #286590=ORIENTED_EDGE('',*,*,#210411,.F.); #286591=ORIENTED_EDGE('',*,*,#210412,.T.); #286592=ORIENTED_EDGE('',*,*,#210411,.T.); #286593=ORIENTED_EDGE('',*,*,#210413,.F.); #286594=ORIENTED_EDGE('',*,*,#210414,.F.); #286595=ORIENTED_EDGE('',*,*,#210415,.T.); #286596=ORIENTED_EDGE('',*,*,#210414,.T.); #286597=ORIENTED_EDGE('',*,*,#210416,.F.); #286598=ORIENTED_EDGE('',*,*,#210417,.F.); #286599=ORIENTED_EDGE('',*,*,#210418,.T.); #286600=ORIENTED_EDGE('',*,*,#210417,.T.); #286601=ORIENTED_EDGE('',*,*,#210419,.F.); #286602=ORIENTED_EDGE('',*,*,#210420,.F.); #286603=ORIENTED_EDGE('',*,*,#210421,.T.); #286604=ORIENTED_EDGE('',*,*,#210420,.T.); #286605=ORIENTED_EDGE('',*,*,#210422,.F.); #286606=ORIENTED_EDGE('',*,*,#210423,.F.); #286607=ORIENTED_EDGE('',*,*,#210424,.T.); #286608=ORIENTED_EDGE('',*,*,#210423,.T.); #286609=ORIENTED_EDGE('',*,*,#210425,.F.); #286610=ORIENTED_EDGE('',*,*,#210426,.F.); #286611=ORIENTED_EDGE('',*,*,#210427,.T.); #286612=ORIENTED_EDGE('',*,*,#210426,.T.); #286613=ORIENTED_EDGE('',*,*,#210428,.F.); #286614=ORIENTED_EDGE('',*,*,#210429,.F.); #286615=ORIENTED_EDGE('',*,*,#210430,.T.); #286616=ORIENTED_EDGE('',*,*,#210429,.T.); #286617=ORIENTED_EDGE('',*,*,#210431,.F.); #286618=ORIENTED_EDGE('',*,*,#210432,.F.); #286619=ORIENTED_EDGE('',*,*,#210433,.T.); #286620=ORIENTED_EDGE('',*,*,#210432,.T.); #286621=ORIENTED_EDGE('',*,*,#210434,.F.); #286622=ORIENTED_EDGE('',*,*,#210435,.F.); #286623=ORIENTED_EDGE('',*,*,#210436,.T.); #286624=ORIENTED_EDGE('',*,*,#210435,.T.); #286625=ORIENTED_EDGE('',*,*,#210437,.F.); #286626=ORIENTED_EDGE('',*,*,#210337,.F.); #286627=ORIENTED_EDGE('',*,*,#210438,.T.); #286628=ORIENTED_EDGE('',*,*,#210439,.T.); #286629=ORIENTED_EDGE('',*,*,#210440,.F.); #286630=ORIENTED_EDGE('',*,*,#210441,.F.); #286631=ORIENTED_EDGE('',*,*,#210442,.T.); #286632=ORIENTED_EDGE('',*,*,#210441,.T.); #286633=ORIENTED_EDGE('',*,*,#210443,.F.); #286634=ORIENTED_EDGE('',*,*,#210444,.F.); #286635=ORIENTED_EDGE('',*,*,#210445,.T.); #286636=ORIENTED_EDGE('',*,*,#210444,.T.); #286637=ORIENTED_EDGE('',*,*,#210446,.F.); #286638=ORIENTED_EDGE('',*,*,#210447,.F.); #286639=ORIENTED_EDGE('',*,*,#210448,.T.); #286640=ORIENTED_EDGE('',*,*,#210447,.T.); #286641=ORIENTED_EDGE('',*,*,#210449,.F.); #286642=ORIENTED_EDGE('',*,*,#210450,.F.); #286643=ORIENTED_EDGE('',*,*,#210451,.T.); #286644=ORIENTED_EDGE('',*,*,#210450,.T.); #286645=ORIENTED_EDGE('',*,*,#210452,.F.); #286646=ORIENTED_EDGE('',*,*,#210453,.F.); #286647=ORIENTED_EDGE('',*,*,#210454,.T.); #286648=ORIENTED_EDGE('',*,*,#210453,.T.); #286649=ORIENTED_EDGE('',*,*,#210455,.F.); #286650=ORIENTED_EDGE('',*,*,#210456,.F.); #286651=ORIENTED_EDGE('',*,*,#210457,.T.); #286652=ORIENTED_EDGE('',*,*,#210456,.T.); #286653=ORIENTED_EDGE('',*,*,#210458,.F.); #286654=ORIENTED_EDGE('',*,*,#210459,.F.); #286655=ORIENTED_EDGE('',*,*,#210460,.T.); #286656=ORIENTED_EDGE('',*,*,#210459,.T.); #286657=ORIENTED_EDGE('',*,*,#210461,.F.); #286658=ORIENTED_EDGE('',*,*,#210462,.F.); #286659=ORIENTED_EDGE('',*,*,#210463,.T.); #286660=ORIENTED_EDGE('',*,*,#210462,.T.); #286661=ORIENTED_EDGE('',*,*,#210464,.F.); #286662=ORIENTED_EDGE('',*,*,#210465,.F.); #286663=ORIENTED_EDGE('',*,*,#210466,.T.); #286664=ORIENTED_EDGE('',*,*,#210465,.T.); #286665=ORIENTED_EDGE('',*,*,#210467,.F.); #286666=ORIENTED_EDGE('',*,*,#210468,.F.); #286667=ORIENTED_EDGE('',*,*,#210469,.T.); #286668=ORIENTED_EDGE('',*,*,#210468,.T.); #286669=ORIENTED_EDGE('',*,*,#210470,.F.); #286670=ORIENTED_EDGE('',*,*,#210471,.F.); #286671=ORIENTED_EDGE('',*,*,#210472,.T.); #286672=ORIENTED_EDGE('',*,*,#210471,.T.); #286673=ORIENTED_EDGE('',*,*,#210473,.F.); #286674=ORIENTED_EDGE('',*,*,#210474,.F.); #286675=ORIENTED_EDGE('',*,*,#210475,.T.); #286676=ORIENTED_EDGE('',*,*,#210474,.T.); #286677=ORIENTED_EDGE('',*,*,#210476,.F.); #286678=ORIENTED_EDGE('',*,*,#210477,.F.); #286679=ORIENTED_EDGE('',*,*,#210478,.T.); #286680=ORIENTED_EDGE('',*,*,#210477,.T.); #286681=ORIENTED_EDGE('',*,*,#210479,.F.); #286682=ORIENTED_EDGE('',*,*,#210480,.F.); #286683=ORIENTED_EDGE('',*,*,#210481,.T.); #286684=ORIENTED_EDGE('',*,*,#210480,.T.); #286685=ORIENTED_EDGE('',*,*,#210482,.F.); #286686=ORIENTED_EDGE('',*,*,#210483,.F.); #286687=ORIENTED_EDGE('',*,*,#210484,.T.); #286688=ORIENTED_EDGE('',*,*,#210483,.T.); #286689=ORIENTED_EDGE('',*,*,#210485,.F.); #286690=ORIENTED_EDGE('',*,*,#210486,.F.); #286691=ORIENTED_EDGE('',*,*,#210487,.T.); #286692=ORIENTED_EDGE('',*,*,#210486,.T.); #286693=ORIENTED_EDGE('',*,*,#210488,.F.); #286694=ORIENTED_EDGE('',*,*,#210489,.F.); #286695=ORIENTED_EDGE('',*,*,#210490,.T.); #286696=ORIENTED_EDGE('',*,*,#210489,.T.); #286697=ORIENTED_EDGE('',*,*,#210491,.F.); #286698=ORIENTED_EDGE('',*,*,#210492,.F.); #286699=ORIENTED_EDGE('',*,*,#210493,.T.); #286700=ORIENTED_EDGE('',*,*,#210492,.T.); #286701=ORIENTED_EDGE('',*,*,#210494,.F.); #286702=ORIENTED_EDGE('',*,*,#210495,.F.); #286703=ORIENTED_EDGE('',*,*,#210496,.T.); #286704=ORIENTED_EDGE('',*,*,#210495,.T.); #286705=ORIENTED_EDGE('',*,*,#210497,.F.); #286706=ORIENTED_EDGE('',*,*,#210498,.F.); #286707=ORIENTED_EDGE('',*,*,#210499,.T.); #286708=ORIENTED_EDGE('',*,*,#210498,.T.); #286709=ORIENTED_EDGE('',*,*,#210500,.F.); #286710=ORIENTED_EDGE('',*,*,#210501,.F.); #286711=ORIENTED_EDGE('',*,*,#210502,.T.); #286712=ORIENTED_EDGE('',*,*,#210501,.T.); #286713=ORIENTED_EDGE('',*,*,#210503,.F.); #286714=ORIENTED_EDGE('',*,*,#210504,.F.); #286715=ORIENTED_EDGE('',*,*,#210505,.T.); #286716=ORIENTED_EDGE('',*,*,#210504,.T.); #286717=ORIENTED_EDGE('',*,*,#210506,.F.); #286718=ORIENTED_EDGE('',*,*,#210507,.F.); #286719=ORIENTED_EDGE('',*,*,#210508,.T.); #286720=ORIENTED_EDGE('',*,*,#210507,.T.); #286721=ORIENTED_EDGE('',*,*,#210509,.F.); #286722=ORIENTED_EDGE('',*,*,#210510,.F.); #286723=ORIENTED_EDGE('',*,*,#210511,.T.); #286724=ORIENTED_EDGE('',*,*,#210510,.T.); #286725=ORIENTED_EDGE('',*,*,#210512,.F.); #286726=ORIENTED_EDGE('',*,*,#210513,.F.); #286727=ORIENTED_EDGE('',*,*,#210514,.T.); #286728=ORIENTED_EDGE('',*,*,#210513,.T.); #286729=ORIENTED_EDGE('',*,*,#210515,.F.); #286730=ORIENTED_EDGE('',*,*,#210516,.F.); #286731=ORIENTED_EDGE('',*,*,#210517,.T.); #286732=ORIENTED_EDGE('',*,*,#210516,.T.); #286733=ORIENTED_EDGE('',*,*,#210518,.F.); #286734=ORIENTED_EDGE('',*,*,#210519,.F.); #286735=ORIENTED_EDGE('',*,*,#210520,.T.); #286736=ORIENTED_EDGE('',*,*,#210519,.T.); #286737=ORIENTED_EDGE('',*,*,#210521,.F.); #286738=ORIENTED_EDGE('',*,*,#210522,.F.); #286739=ORIENTED_EDGE('',*,*,#210523,.T.); #286740=ORIENTED_EDGE('',*,*,#210522,.T.); #286741=ORIENTED_EDGE('',*,*,#210524,.F.); #286742=ORIENTED_EDGE('',*,*,#210525,.F.); #286743=ORIENTED_EDGE('',*,*,#210526,.T.); #286744=ORIENTED_EDGE('',*,*,#210525,.T.); #286745=ORIENTED_EDGE('',*,*,#210527,.F.); #286746=ORIENTED_EDGE('',*,*,#210528,.F.); #286747=ORIENTED_EDGE('',*,*,#210529,.T.); #286748=ORIENTED_EDGE('',*,*,#210528,.T.); #286749=ORIENTED_EDGE('',*,*,#210530,.F.); #286750=ORIENTED_EDGE('',*,*,#210531,.F.); #286751=ORIENTED_EDGE('',*,*,#210532,.T.); #286752=ORIENTED_EDGE('',*,*,#210531,.T.); #286753=ORIENTED_EDGE('',*,*,#210533,.F.); #286754=ORIENTED_EDGE('',*,*,#210534,.F.); #286755=ORIENTED_EDGE('',*,*,#210535,.T.); #286756=ORIENTED_EDGE('',*,*,#210534,.T.); #286757=ORIENTED_EDGE('',*,*,#210536,.F.); #286758=ORIENTED_EDGE('',*,*,#210537,.F.); #286759=ORIENTED_EDGE('',*,*,#210538,.T.); #286760=ORIENTED_EDGE('',*,*,#210537,.T.); #286761=ORIENTED_EDGE('',*,*,#210539,.F.); #286762=ORIENTED_EDGE('',*,*,#210439,.F.); #286763=ORIENTED_EDGE('',*,*,#210540,.T.); #286764=ORIENTED_EDGE('',*,*,#210541,.T.); #286765=ORIENTED_EDGE('',*,*,#210542,.F.); #286766=ORIENTED_EDGE('',*,*,#210543,.F.); #286767=ORIENTED_EDGE('',*,*,#210544,.T.); #286768=ORIENTED_EDGE('',*,*,#210543,.T.); #286769=ORIENTED_EDGE('',*,*,#210545,.F.); #286770=ORIENTED_EDGE('',*,*,#210546,.F.); #286771=ORIENTED_EDGE('',*,*,#210547,.T.); #286772=ORIENTED_EDGE('',*,*,#210546,.T.); #286773=ORIENTED_EDGE('',*,*,#210548,.F.); #286774=ORIENTED_EDGE('',*,*,#210549,.F.); #286775=ORIENTED_EDGE('',*,*,#210550,.T.); #286776=ORIENTED_EDGE('',*,*,#210549,.T.); #286777=ORIENTED_EDGE('',*,*,#210551,.F.); #286778=ORIENTED_EDGE('',*,*,#210552,.F.); #286779=ORIENTED_EDGE('',*,*,#210553,.T.); #286780=ORIENTED_EDGE('',*,*,#210552,.T.); #286781=ORIENTED_EDGE('',*,*,#210554,.F.); #286782=ORIENTED_EDGE('',*,*,#210555,.F.); #286783=ORIENTED_EDGE('',*,*,#210556,.T.); #286784=ORIENTED_EDGE('',*,*,#210555,.T.); #286785=ORIENTED_EDGE('',*,*,#210557,.F.); #286786=ORIENTED_EDGE('',*,*,#210558,.F.); #286787=ORIENTED_EDGE('',*,*,#210559,.T.); #286788=ORIENTED_EDGE('',*,*,#210558,.T.); #286789=ORIENTED_EDGE('',*,*,#210560,.F.); #286790=ORIENTED_EDGE('',*,*,#210561,.F.); #286791=ORIENTED_EDGE('',*,*,#210562,.T.); #286792=ORIENTED_EDGE('',*,*,#210561,.T.); #286793=ORIENTED_EDGE('',*,*,#210563,.F.); #286794=ORIENTED_EDGE('',*,*,#210564,.F.); #286795=ORIENTED_EDGE('',*,*,#210565,.T.); #286796=ORIENTED_EDGE('',*,*,#210564,.T.); #286797=ORIENTED_EDGE('',*,*,#210566,.F.); #286798=ORIENTED_EDGE('',*,*,#210567,.F.); #286799=ORIENTED_EDGE('',*,*,#210568,.T.); #286800=ORIENTED_EDGE('',*,*,#210567,.T.); #286801=ORIENTED_EDGE('',*,*,#210569,.F.); #286802=ORIENTED_EDGE('',*,*,#210570,.F.); #286803=ORIENTED_EDGE('',*,*,#210571,.T.); #286804=ORIENTED_EDGE('',*,*,#210570,.T.); #286805=ORIENTED_EDGE('',*,*,#210572,.F.); #286806=ORIENTED_EDGE('',*,*,#210573,.F.); #286807=ORIENTED_EDGE('',*,*,#210574,.T.); #286808=ORIENTED_EDGE('',*,*,#210573,.T.); #286809=ORIENTED_EDGE('',*,*,#210575,.F.); #286810=ORIENTED_EDGE('',*,*,#210576,.F.); #286811=ORIENTED_EDGE('',*,*,#210577,.T.); #286812=ORIENTED_EDGE('',*,*,#210576,.T.); #286813=ORIENTED_EDGE('',*,*,#210578,.F.); #286814=ORIENTED_EDGE('',*,*,#210579,.F.); #286815=ORIENTED_EDGE('',*,*,#210580,.T.); #286816=ORIENTED_EDGE('',*,*,#210579,.T.); #286817=ORIENTED_EDGE('',*,*,#210581,.F.); #286818=ORIENTED_EDGE('',*,*,#210582,.F.); #286819=ORIENTED_EDGE('',*,*,#210583,.T.); #286820=ORIENTED_EDGE('',*,*,#210582,.T.); #286821=ORIENTED_EDGE('',*,*,#210584,.F.); #286822=ORIENTED_EDGE('',*,*,#210585,.F.); #286823=ORIENTED_EDGE('',*,*,#210586,.T.); #286824=ORIENTED_EDGE('',*,*,#210585,.T.); #286825=ORIENTED_EDGE('',*,*,#210587,.F.); #286826=ORIENTED_EDGE('',*,*,#210588,.F.); #286827=ORIENTED_EDGE('',*,*,#210589,.T.); #286828=ORIENTED_EDGE('',*,*,#210588,.T.); #286829=ORIENTED_EDGE('',*,*,#210590,.F.); #286830=ORIENTED_EDGE('',*,*,#210591,.F.); #286831=ORIENTED_EDGE('',*,*,#210592,.T.); #286832=ORIENTED_EDGE('',*,*,#210591,.T.); #286833=ORIENTED_EDGE('',*,*,#210593,.F.); #286834=ORIENTED_EDGE('',*,*,#210594,.F.); #286835=ORIENTED_EDGE('',*,*,#210595,.T.); #286836=ORIENTED_EDGE('',*,*,#210594,.T.); #286837=ORIENTED_EDGE('',*,*,#210596,.F.); #286838=ORIENTED_EDGE('',*,*,#210597,.F.); #286839=ORIENTED_EDGE('',*,*,#210598,.T.); #286840=ORIENTED_EDGE('',*,*,#210597,.T.); #286841=ORIENTED_EDGE('',*,*,#210599,.F.); #286842=ORIENTED_EDGE('',*,*,#210600,.F.); #286843=ORIENTED_EDGE('',*,*,#210601,.T.); #286844=ORIENTED_EDGE('',*,*,#210600,.T.); #286845=ORIENTED_EDGE('',*,*,#210602,.F.); #286846=ORIENTED_EDGE('',*,*,#210603,.F.); #286847=ORIENTED_EDGE('',*,*,#210604,.T.); #286848=ORIENTED_EDGE('',*,*,#210603,.T.); #286849=ORIENTED_EDGE('',*,*,#210605,.F.); #286850=ORIENTED_EDGE('',*,*,#210606,.F.); #286851=ORIENTED_EDGE('',*,*,#210607,.T.); #286852=ORIENTED_EDGE('',*,*,#210606,.T.); #286853=ORIENTED_EDGE('',*,*,#210608,.F.); #286854=ORIENTED_EDGE('',*,*,#210609,.F.); #286855=ORIENTED_EDGE('',*,*,#210610,.T.); #286856=ORIENTED_EDGE('',*,*,#210609,.T.); #286857=ORIENTED_EDGE('',*,*,#210611,.F.); #286858=ORIENTED_EDGE('',*,*,#210612,.F.); #286859=ORIENTED_EDGE('',*,*,#210613,.T.); #286860=ORIENTED_EDGE('',*,*,#210612,.T.); #286861=ORIENTED_EDGE('',*,*,#210614,.F.); #286862=ORIENTED_EDGE('',*,*,#210615,.F.); #286863=ORIENTED_EDGE('',*,*,#210616,.T.); #286864=ORIENTED_EDGE('',*,*,#210615,.T.); #286865=ORIENTED_EDGE('',*,*,#210617,.F.); #286866=ORIENTED_EDGE('',*,*,#210618,.F.); #286867=ORIENTED_EDGE('',*,*,#210619,.T.); #286868=ORIENTED_EDGE('',*,*,#210618,.T.); #286869=ORIENTED_EDGE('',*,*,#210620,.F.); #286870=ORIENTED_EDGE('',*,*,#210621,.F.); #286871=ORIENTED_EDGE('',*,*,#210622,.T.); #286872=ORIENTED_EDGE('',*,*,#210621,.T.); #286873=ORIENTED_EDGE('',*,*,#210623,.F.); #286874=ORIENTED_EDGE('',*,*,#210624,.F.); #286875=ORIENTED_EDGE('',*,*,#210625,.T.); #286876=ORIENTED_EDGE('',*,*,#210624,.T.); #286877=ORIENTED_EDGE('',*,*,#210626,.F.); #286878=ORIENTED_EDGE('',*,*,#210627,.F.); #286879=ORIENTED_EDGE('',*,*,#210628,.T.); #286880=ORIENTED_EDGE('',*,*,#210627,.T.); #286881=ORIENTED_EDGE('',*,*,#210629,.F.); #286882=ORIENTED_EDGE('',*,*,#210630,.F.); #286883=ORIENTED_EDGE('',*,*,#210631,.T.); #286884=ORIENTED_EDGE('',*,*,#210630,.T.); #286885=ORIENTED_EDGE('',*,*,#210632,.F.); #286886=ORIENTED_EDGE('',*,*,#210633,.F.); #286887=ORIENTED_EDGE('',*,*,#210634,.T.); #286888=ORIENTED_EDGE('',*,*,#210633,.T.); #286889=ORIENTED_EDGE('',*,*,#210635,.F.); #286890=ORIENTED_EDGE('',*,*,#210636,.F.); #286891=ORIENTED_EDGE('',*,*,#210637,.T.); #286892=ORIENTED_EDGE('',*,*,#210636,.T.); #286893=ORIENTED_EDGE('',*,*,#210638,.F.); #286894=ORIENTED_EDGE('',*,*,#210639,.F.); #286895=ORIENTED_EDGE('',*,*,#210640,.T.); #286896=ORIENTED_EDGE('',*,*,#210639,.T.); #286897=ORIENTED_EDGE('',*,*,#210641,.F.); #286898=ORIENTED_EDGE('',*,*,#210642,.F.); #286899=ORIENTED_EDGE('',*,*,#210643,.T.); #286900=ORIENTED_EDGE('',*,*,#210642,.T.); #286901=ORIENTED_EDGE('',*,*,#210644,.F.); #286902=ORIENTED_EDGE('',*,*,#210645,.F.); #286903=ORIENTED_EDGE('',*,*,#210646,.T.); #286904=ORIENTED_EDGE('',*,*,#210645,.T.); #286905=ORIENTED_EDGE('',*,*,#210647,.F.); #286906=ORIENTED_EDGE('',*,*,#210648,.F.); #286907=ORIENTED_EDGE('',*,*,#210649,.T.); #286908=ORIENTED_EDGE('',*,*,#210648,.T.); #286909=ORIENTED_EDGE('',*,*,#210650,.F.); #286910=ORIENTED_EDGE('',*,*,#210651,.F.); #286911=ORIENTED_EDGE('',*,*,#210652,.T.); #286912=ORIENTED_EDGE('',*,*,#210651,.T.); #286913=ORIENTED_EDGE('',*,*,#210653,.F.); #286914=ORIENTED_EDGE('',*,*,#210654,.F.); #286915=ORIENTED_EDGE('',*,*,#210655,.T.); #286916=ORIENTED_EDGE('',*,*,#210654,.T.); #286917=ORIENTED_EDGE('',*,*,#210656,.F.); #286918=ORIENTED_EDGE('',*,*,#210657,.F.); #286919=ORIENTED_EDGE('',*,*,#210658,.T.); #286920=ORIENTED_EDGE('',*,*,#210657,.T.); #286921=ORIENTED_EDGE('',*,*,#210659,.F.); #286922=ORIENTED_EDGE('',*,*,#210541,.F.); #286923=ORIENTED_EDGE('',*,*,#210660,.T.); #286924=ORIENTED_EDGE('',*,*,#210661,.T.); #286925=ORIENTED_EDGE('',*,*,#210662,.F.); #286926=ORIENTED_EDGE('',*,*,#210663,.F.); #286927=ORIENTED_EDGE('',*,*,#210664,.T.); #286928=ORIENTED_EDGE('',*,*,#210663,.T.); #286929=ORIENTED_EDGE('',*,*,#210665,.F.); #286930=ORIENTED_EDGE('',*,*,#210666,.F.); #286931=ORIENTED_EDGE('',*,*,#210667,.T.); #286932=ORIENTED_EDGE('',*,*,#210666,.T.); #286933=ORIENTED_EDGE('',*,*,#210668,.F.); #286934=ORIENTED_EDGE('',*,*,#210669,.F.); #286935=ORIENTED_EDGE('',*,*,#210670,.T.); #286936=ORIENTED_EDGE('',*,*,#210669,.T.); #286937=ORIENTED_EDGE('',*,*,#210671,.F.); #286938=ORIENTED_EDGE('',*,*,#210672,.F.); #286939=ORIENTED_EDGE('',*,*,#210673,.T.); #286940=ORIENTED_EDGE('',*,*,#210672,.T.); #286941=ORIENTED_EDGE('',*,*,#210674,.F.); #286942=ORIENTED_EDGE('',*,*,#210675,.F.); #286943=ORIENTED_EDGE('',*,*,#210676,.T.); #286944=ORIENTED_EDGE('',*,*,#210675,.T.); #286945=ORIENTED_EDGE('',*,*,#210677,.F.); #286946=ORIENTED_EDGE('',*,*,#210678,.F.); #286947=ORIENTED_EDGE('',*,*,#210679,.T.); #286948=ORIENTED_EDGE('',*,*,#210678,.T.); #286949=ORIENTED_EDGE('',*,*,#210680,.F.); #286950=ORIENTED_EDGE('',*,*,#210681,.F.); #286951=ORIENTED_EDGE('',*,*,#210682,.T.); #286952=ORIENTED_EDGE('',*,*,#210681,.T.); #286953=ORIENTED_EDGE('',*,*,#210683,.F.); #286954=ORIENTED_EDGE('',*,*,#210684,.F.); #286955=ORIENTED_EDGE('',*,*,#210685,.T.); #286956=ORIENTED_EDGE('',*,*,#210684,.T.); #286957=ORIENTED_EDGE('',*,*,#210686,.F.); #286958=ORIENTED_EDGE('',*,*,#210687,.F.); #286959=ORIENTED_EDGE('',*,*,#210688,.T.); #286960=ORIENTED_EDGE('',*,*,#210687,.T.); #286961=ORIENTED_EDGE('',*,*,#210689,.F.); #286962=ORIENTED_EDGE('',*,*,#210690,.F.); #286963=ORIENTED_EDGE('',*,*,#210691,.T.); #286964=ORIENTED_EDGE('',*,*,#210690,.T.); #286965=ORIENTED_EDGE('',*,*,#210692,.F.); #286966=ORIENTED_EDGE('',*,*,#210693,.F.); #286967=ORIENTED_EDGE('',*,*,#210694,.T.); #286968=ORIENTED_EDGE('',*,*,#210693,.T.); #286969=ORIENTED_EDGE('',*,*,#210695,.F.); #286970=ORIENTED_EDGE('',*,*,#210696,.F.); #286971=ORIENTED_EDGE('',*,*,#210697,.T.); #286972=ORIENTED_EDGE('',*,*,#210696,.T.); #286973=ORIENTED_EDGE('',*,*,#210698,.F.); #286974=ORIENTED_EDGE('',*,*,#210699,.F.); #286975=ORIENTED_EDGE('',*,*,#210700,.T.); #286976=ORIENTED_EDGE('',*,*,#210699,.T.); #286977=ORIENTED_EDGE('',*,*,#210701,.F.); #286978=ORIENTED_EDGE('',*,*,#210702,.F.); #286979=ORIENTED_EDGE('',*,*,#210703,.T.); #286980=ORIENTED_EDGE('',*,*,#210702,.T.); #286981=ORIENTED_EDGE('',*,*,#210704,.F.); #286982=ORIENTED_EDGE('',*,*,#210705,.F.); #286983=ORIENTED_EDGE('',*,*,#210706,.T.); #286984=ORIENTED_EDGE('',*,*,#210705,.T.); #286985=ORIENTED_EDGE('',*,*,#210707,.F.); #286986=ORIENTED_EDGE('',*,*,#210708,.F.); #286987=ORIENTED_EDGE('',*,*,#210709,.T.); #286988=ORIENTED_EDGE('',*,*,#210708,.T.); #286989=ORIENTED_EDGE('',*,*,#210710,.F.); #286990=ORIENTED_EDGE('',*,*,#210711,.F.); #286991=ORIENTED_EDGE('',*,*,#210712,.T.); #286992=ORIENTED_EDGE('',*,*,#210711,.T.); #286993=ORIENTED_EDGE('',*,*,#210713,.F.); #286994=ORIENTED_EDGE('',*,*,#210714,.F.); #286995=ORIENTED_EDGE('',*,*,#210715,.T.); #286996=ORIENTED_EDGE('',*,*,#210714,.T.); #286997=ORIENTED_EDGE('',*,*,#210716,.F.); #286998=ORIENTED_EDGE('',*,*,#210717,.F.); #286999=ORIENTED_EDGE('',*,*,#210718,.T.); #287000=ORIENTED_EDGE('',*,*,#210717,.T.); #287001=ORIENTED_EDGE('',*,*,#210719,.F.); #287002=ORIENTED_EDGE('',*,*,#210720,.F.); #287003=ORIENTED_EDGE('',*,*,#210721,.T.); #287004=ORIENTED_EDGE('',*,*,#210720,.T.); #287005=ORIENTED_EDGE('',*,*,#210722,.F.); #287006=ORIENTED_EDGE('',*,*,#210723,.F.); #287007=ORIENTED_EDGE('',*,*,#210724,.T.); #287008=ORIENTED_EDGE('',*,*,#210723,.T.); #287009=ORIENTED_EDGE('',*,*,#210725,.F.); #287010=ORIENTED_EDGE('',*,*,#210726,.F.); #287011=ORIENTED_EDGE('',*,*,#210727,.T.); #287012=ORIENTED_EDGE('',*,*,#210726,.T.); #287013=ORIENTED_EDGE('',*,*,#210728,.F.); #287014=ORIENTED_EDGE('',*,*,#210729,.F.); #287015=ORIENTED_EDGE('',*,*,#210730,.T.); #287016=ORIENTED_EDGE('',*,*,#210729,.T.); #287017=ORIENTED_EDGE('',*,*,#210731,.F.); #287018=ORIENTED_EDGE('',*,*,#210732,.F.); #287019=ORIENTED_EDGE('',*,*,#210733,.T.); #287020=ORIENTED_EDGE('',*,*,#210732,.T.); #287021=ORIENTED_EDGE('',*,*,#210734,.F.); #287022=ORIENTED_EDGE('',*,*,#210735,.F.); #287023=ORIENTED_EDGE('',*,*,#210736,.T.); #287024=ORIENTED_EDGE('',*,*,#210735,.T.); #287025=ORIENTED_EDGE('',*,*,#210737,.F.); #287026=ORIENTED_EDGE('',*,*,#210738,.F.); #287027=ORIENTED_EDGE('',*,*,#210739,.T.); #287028=ORIENTED_EDGE('',*,*,#210738,.T.); #287029=ORIENTED_EDGE('',*,*,#210740,.F.); #287030=ORIENTED_EDGE('',*,*,#210741,.F.); #287031=ORIENTED_EDGE('',*,*,#210742,.T.); #287032=ORIENTED_EDGE('',*,*,#210741,.T.); #287033=ORIENTED_EDGE('',*,*,#210743,.F.); #287034=ORIENTED_EDGE('',*,*,#210744,.F.); #287035=ORIENTED_EDGE('',*,*,#210745,.T.); #287036=ORIENTED_EDGE('',*,*,#210744,.T.); #287037=ORIENTED_EDGE('',*,*,#210746,.F.); #287038=ORIENTED_EDGE('',*,*,#210747,.F.); #287039=ORIENTED_EDGE('',*,*,#210748,.T.); #287040=ORIENTED_EDGE('',*,*,#210747,.T.); #287041=ORIENTED_EDGE('',*,*,#210749,.F.); #287042=ORIENTED_EDGE('',*,*,#210750,.F.); #287043=ORIENTED_EDGE('',*,*,#210751,.T.); #287044=ORIENTED_EDGE('',*,*,#210750,.T.); #287045=ORIENTED_EDGE('',*,*,#210752,.F.); #287046=ORIENTED_EDGE('',*,*,#210753,.F.); #287047=ORIENTED_EDGE('',*,*,#210754,.T.); #287048=ORIENTED_EDGE('',*,*,#210753,.T.); #287049=ORIENTED_EDGE('',*,*,#210755,.F.); #287050=ORIENTED_EDGE('',*,*,#210756,.F.); #287051=ORIENTED_EDGE('',*,*,#210757,.T.); #287052=ORIENTED_EDGE('',*,*,#210756,.T.); #287053=ORIENTED_EDGE('',*,*,#210758,.F.); #287054=ORIENTED_EDGE('',*,*,#210759,.F.); #287055=ORIENTED_EDGE('',*,*,#210760,.T.); #287056=ORIENTED_EDGE('',*,*,#210759,.T.); #287057=ORIENTED_EDGE('',*,*,#210761,.F.); #287058=ORIENTED_EDGE('',*,*,#210762,.F.); #287059=ORIENTED_EDGE('',*,*,#210763,.T.); #287060=ORIENTED_EDGE('',*,*,#210762,.T.); #287061=ORIENTED_EDGE('',*,*,#210764,.F.); #287062=ORIENTED_EDGE('',*,*,#210765,.F.); #287063=ORIENTED_EDGE('',*,*,#210766,.T.); #287064=ORIENTED_EDGE('',*,*,#210765,.T.); #287065=ORIENTED_EDGE('',*,*,#210767,.F.); #287066=ORIENTED_EDGE('',*,*,#210768,.F.); #287067=ORIENTED_EDGE('',*,*,#210769,.T.); #287068=ORIENTED_EDGE('',*,*,#210768,.T.); #287069=ORIENTED_EDGE('',*,*,#210770,.F.); #287070=ORIENTED_EDGE('',*,*,#210771,.F.); #287071=ORIENTED_EDGE('',*,*,#210772,.T.); #287072=ORIENTED_EDGE('',*,*,#210771,.T.); #287073=ORIENTED_EDGE('',*,*,#210773,.F.); #287074=ORIENTED_EDGE('',*,*,#210661,.F.); #287075=ORIENTED_EDGE('',*,*,#210774,.T.); #287076=ORIENTED_EDGE('',*,*,#210775,.T.); #287077=ORIENTED_EDGE('',*,*,#210776,.F.); #287078=ORIENTED_EDGE('',*,*,#210777,.F.); #287079=ORIENTED_EDGE('',*,*,#210778,.T.); #287080=ORIENTED_EDGE('',*,*,#210777,.T.); #287081=ORIENTED_EDGE('',*,*,#210779,.F.); #287082=ORIENTED_EDGE('',*,*,#210780,.F.); #287083=ORIENTED_EDGE('',*,*,#210781,.T.); #287084=ORIENTED_EDGE('',*,*,#210780,.T.); #287085=ORIENTED_EDGE('',*,*,#210782,.F.); #287086=ORIENTED_EDGE('',*,*,#210783,.F.); #287087=ORIENTED_EDGE('',*,*,#210784,.T.); #287088=ORIENTED_EDGE('',*,*,#210783,.T.); #287089=ORIENTED_EDGE('',*,*,#210785,.F.); #287090=ORIENTED_EDGE('',*,*,#210786,.F.); #287091=ORIENTED_EDGE('',*,*,#210787,.T.); #287092=ORIENTED_EDGE('',*,*,#210786,.T.); #287093=ORIENTED_EDGE('',*,*,#210788,.F.); #287094=ORIENTED_EDGE('',*,*,#210789,.F.); #287095=ORIENTED_EDGE('',*,*,#210790,.T.); #287096=ORIENTED_EDGE('',*,*,#210789,.T.); #287097=ORIENTED_EDGE('',*,*,#210791,.F.); #287098=ORIENTED_EDGE('',*,*,#210792,.F.); #287099=ORIENTED_EDGE('',*,*,#210793,.T.); #287100=ORIENTED_EDGE('',*,*,#210792,.T.); #287101=ORIENTED_EDGE('',*,*,#210794,.F.); #287102=ORIENTED_EDGE('',*,*,#210795,.F.); #287103=ORIENTED_EDGE('',*,*,#210796,.T.); #287104=ORIENTED_EDGE('',*,*,#210795,.T.); #287105=ORIENTED_EDGE('',*,*,#210797,.F.); #287106=ORIENTED_EDGE('',*,*,#210798,.F.); #287107=ORIENTED_EDGE('',*,*,#210799,.T.); #287108=ORIENTED_EDGE('',*,*,#210798,.T.); #287109=ORIENTED_EDGE('',*,*,#210800,.F.); #287110=ORIENTED_EDGE('',*,*,#210801,.F.); #287111=ORIENTED_EDGE('',*,*,#210802,.T.); #287112=ORIENTED_EDGE('',*,*,#210801,.T.); #287113=ORIENTED_EDGE('',*,*,#210803,.F.); #287114=ORIENTED_EDGE('',*,*,#210804,.F.); #287115=ORIENTED_EDGE('',*,*,#210805,.T.); #287116=ORIENTED_EDGE('',*,*,#210804,.T.); #287117=ORIENTED_EDGE('',*,*,#210806,.F.); #287118=ORIENTED_EDGE('',*,*,#210807,.F.); #287119=ORIENTED_EDGE('',*,*,#210808,.T.); #287120=ORIENTED_EDGE('',*,*,#210807,.T.); #287121=ORIENTED_EDGE('',*,*,#210809,.F.); #287122=ORIENTED_EDGE('',*,*,#210810,.F.); #287123=ORIENTED_EDGE('',*,*,#210811,.T.); #287124=ORIENTED_EDGE('',*,*,#210810,.T.); #287125=ORIENTED_EDGE('',*,*,#210812,.F.); #287126=ORIENTED_EDGE('',*,*,#210813,.F.); #287127=ORIENTED_EDGE('',*,*,#210814,.T.); #287128=ORIENTED_EDGE('',*,*,#210813,.T.); #287129=ORIENTED_EDGE('',*,*,#210815,.F.); #287130=ORIENTED_EDGE('',*,*,#210816,.F.); #287131=ORIENTED_EDGE('',*,*,#210817,.T.); #287132=ORIENTED_EDGE('',*,*,#210816,.T.); #287133=ORIENTED_EDGE('',*,*,#210818,.F.); #287134=ORIENTED_EDGE('',*,*,#210819,.F.); #287135=ORIENTED_EDGE('',*,*,#210820,.T.); #287136=ORIENTED_EDGE('',*,*,#210819,.T.); #287137=ORIENTED_EDGE('',*,*,#210821,.F.); #287138=ORIENTED_EDGE('',*,*,#210822,.F.); #287139=ORIENTED_EDGE('',*,*,#210823,.T.); #287140=ORIENTED_EDGE('',*,*,#210822,.T.); #287141=ORIENTED_EDGE('',*,*,#210824,.F.); #287142=ORIENTED_EDGE('',*,*,#210825,.F.); #287143=ORIENTED_EDGE('',*,*,#210826,.T.); #287144=ORIENTED_EDGE('',*,*,#210825,.T.); #287145=ORIENTED_EDGE('',*,*,#210827,.F.); #287146=ORIENTED_EDGE('',*,*,#210828,.F.); #287147=ORIENTED_EDGE('',*,*,#210829,.T.); #287148=ORIENTED_EDGE('',*,*,#210828,.T.); #287149=ORIENTED_EDGE('',*,*,#210830,.F.); #287150=ORIENTED_EDGE('',*,*,#210831,.F.); #287151=ORIENTED_EDGE('',*,*,#210832,.T.); #287152=ORIENTED_EDGE('',*,*,#210831,.T.); #287153=ORIENTED_EDGE('',*,*,#210833,.F.); #287154=ORIENTED_EDGE('',*,*,#210834,.F.); #287155=ORIENTED_EDGE('',*,*,#210835,.T.); #287156=ORIENTED_EDGE('',*,*,#210834,.T.); #287157=ORIENTED_EDGE('',*,*,#210836,.F.); #287158=ORIENTED_EDGE('',*,*,#210837,.F.); #287159=ORIENTED_EDGE('',*,*,#210838,.T.); #287160=ORIENTED_EDGE('',*,*,#210837,.T.); #287161=ORIENTED_EDGE('',*,*,#210839,.F.); #287162=ORIENTED_EDGE('',*,*,#210840,.F.); #287163=ORIENTED_EDGE('',*,*,#210841,.T.); #287164=ORIENTED_EDGE('',*,*,#210840,.T.); #287165=ORIENTED_EDGE('',*,*,#210842,.F.); #287166=ORIENTED_EDGE('',*,*,#210843,.F.); #287167=ORIENTED_EDGE('',*,*,#210844,.T.); #287168=ORIENTED_EDGE('',*,*,#210843,.T.); #287169=ORIENTED_EDGE('',*,*,#210845,.F.); #287170=ORIENTED_EDGE('',*,*,#210775,.F.); #287171=ORIENTED_EDGE('',*,*,#210846,.T.); #287172=ORIENTED_EDGE('',*,*,#210847,.T.); #287173=ORIENTED_EDGE('',*,*,#210848,.F.); #287174=ORIENTED_EDGE('',*,*,#210849,.F.); #287175=ORIENTED_EDGE('',*,*,#210850,.T.); #287176=ORIENTED_EDGE('',*,*,#210849,.T.); #287177=ORIENTED_EDGE('',*,*,#210851,.F.); #287178=ORIENTED_EDGE('',*,*,#210852,.F.); #287179=ORIENTED_EDGE('',*,*,#210853,.T.); #287180=ORIENTED_EDGE('',*,*,#210852,.T.); #287181=ORIENTED_EDGE('',*,*,#210854,.F.); #287182=ORIENTED_EDGE('',*,*,#210855,.F.); #287183=ORIENTED_EDGE('',*,*,#210856,.T.); #287184=ORIENTED_EDGE('',*,*,#210855,.T.); #287185=ORIENTED_EDGE('',*,*,#210857,.F.); #287186=ORIENTED_EDGE('',*,*,#210858,.F.); #287187=ORIENTED_EDGE('',*,*,#210859,.T.); #287188=ORIENTED_EDGE('',*,*,#210858,.T.); #287189=ORIENTED_EDGE('',*,*,#210860,.F.); #287190=ORIENTED_EDGE('',*,*,#210861,.F.); #287191=ORIENTED_EDGE('',*,*,#210862,.T.); #287192=ORIENTED_EDGE('',*,*,#210861,.T.); #287193=ORIENTED_EDGE('',*,*,#210863,.F.); #287194=ORIENTED_EDGE('',*,*,#210864,.F.); #287195=ORIENTED_EDGE('',*,*,#210865,.T.); #287196=ORIENTED_EDGE('',*,*,#210864,.T.); #287197=ORIENTED_EDGE('',*,*,#210866,.F.); #287198=ORIENTED_EDGE('',*,*,#210867,.F.); #287199=ORIENTED_EDGE('',*,*,#210868,.T.); #287200=ORIENTED_EDGE('',*,*,#210867,.T.); #287201=ORIENTED_EDGE('',*,*,#210869,.F.); #287202=ORIENTED_EDGE('',*,*,#210870,.F.); #287203=ORIENTED_EDGE('',*,*,#210871,.T.); #287204=ORIENTED_EDGE('',*,*,#210870,.T.); #287205=ORIENTED_EDGE('',*,*,#210872,.F.); #287206=ORIENTED_EDGE('',*,*,#210873,.F.); #287207=ORIENTED_EDGE('',*,*,#210874,.T.); #287208=ORIENTED_EDGE('',*,*,#210873,.T.); #287209=ORIENTED_EDGE('',*,*,#210875,.F.); #287210=ORIENTED_EDGE('',*,*,#210876,.F.); #287211=ORIENTED_EDGE('',*,*,#210877,.T.); #287212=ORIENTED_EDGE('',*,*,#210876,.T.); #287213=ORIENTED_EDGE('',*,*,#210878,.F.); #287214=ORIENTED_EDGE('',*,*,#210879,.F.); #287215=ORIENTED_EDGE('',*,*,#210880,.T.); #287216=ORIENTED_EDGE('',*,*,#210879,.T.); #287217=ORIENTED_EDGE('',*,*,#210881,.F.); #287218=ORIENTED_EDGE('',*,*,#210882,.F.); #287219=ORIENTED_EDGE('',*,*,#210883,.T.); #287220=ORIENTED_EDGE('',*,*,#210882,.T.); #287221=ORIENTED_EDGE('',*,*,#210884,.F.); #287222=ORIENTED_EDGE('',*,*,#210885,.F.); #287223=ORIENTED_EDGE('',*,*,#210886,.T.); #287224=ORIENTED_EDGE('',*,*,#210885,.T.); #287225=ORIENTED_EDGE('',*,*,#210887,.F.); #287226=ORIENTED_EDGE('',*,*,#210888,.F.); #287227=ORIENTED_EDGE('',*,*,#210889,.T.); #287228=ORIENTED_EDGE('',*,*,#210888,.T.); #287229=ORIENTED_EDGE('',*,*,#210890,.F.); #287230=ORIENTED_EDGE('',*,*,#210891,.F.); #287231=ORIENTED_EDGE('',*,*,#210892,.T.); #287232=ORIENTED_EDGE('',*,*,#210891,.T.); #287233=ORIENTED_EDGE('',*,*,#210893,.F.); #287234=ORIENTED_EDGE('',*,*,#210894,.F.); #287235=ORIENTED_EDGE('',*,*,#210895,.T.); #287236=ORIENTED_EDGE('',*,*,#210894,.T.); #287237=ORIENTED_EDGE('',*,*,#210896,.F.); #287238=ORIENTED_EDGE('',*,*,#210897,.F.); #287239=ORIENTED_EDGE('',*,*,#210898,.T.); #287240=ORIENTED_EDGE('',*,*,#210897,.T.); #287241=ORIENTED_EDGE('',*,*,#210899,.F.); #287242=ORIENTED_EDGE('',*,*,#210900,.F.); #287243=ORIENTED_EDGE('',*,*,#210901,.T.); #287244=ORIENTED_EDGE('',*,*,#210900,.T.); #287245=ORIENTED_EDGE('',*,*,#210902,.F.); #287246=ORIENTED_EDGE('',*,*,#210903,.F.); #287247=ORIENTED_EDGE('',*,*,#210904,.T.); #287248=ORIENTED_EDGE('',*,*,#210903,.T.); #287249=ORIENTED_EDGE('',*,*,#210905,.F.); #287250=ORIENTED_EDGE('',*,*,#210906,.F.); #287251=ORIENTED_EDGE('',*,*,#210907,.T.); #287252=ORIENTED_EDGE('',*,*,#210906,.T.); #287253=ORIENTED_EDGE('',*,*,#210908,.F.); #287254=ORIENTED_EDGE('',*,*,#210909,.F.); #287255=ORIENTED_EDGE('',*,*,#210910,.T.); #287256=ORIENTED_EDGE('',*,*,#210909,.T.); #287257=ORIENTED_EDGE('',*,*,#210911,.F.); #287258=ORIENTED_EDGE('',*,*,#210912,.F.); #287259=ORIENTED_EDGE('',*,*,#210913,.T.); #287260=ORIENTED_EDGE('',*,*,#210912,.T.); #287261=ORIENTED_EDGE('',*,*,#210914,.F.); #287262=ORIENTED_EDGE('',*,*,#210915,.F.); #287263=ORIENTED_EDGE('',*,*,#210916,.T.); #287264=ORIENTED_EDGE('',*,*,#210915,.T.); #287265=ORIENTED_EDGE('',*,*,#210917,.F.); #287266=ORIENTED_EDGE('',*,*,#210918,.F.); #287267=ORIENTED_EDGE('',*,*,#210919,.T.); #287268=ORIENTED_EDGE('',*,*,#210918,.T.); #287269=ORIENTED_EDGE('',*,*,#210920,.F.); #287270=ORIENTED_EDGE('',*,*,#210921,.F.); #287271=ORIENTED_EDGE('',*,*,#210922,.T.); #287272=ORIENTED_EDGE('',*,*,#210921,.T.); #287273=ORIENTED_EDGE('',*,*,#210923,.F.); #287274=ORIENTED_EDGE('',*,*,#210924,.F.); #287275=ORIENTED_EDGE('',*,*,#210925,.T.); #287276=ORIENTED_EDGE('',*,*,#210924,.T.); #287277=ORIENTED_EDGE('',*,*,#210926,.F.); #287278=ORIENTED_EDGE('',*,*,#210927,.F.); #287279=ORIENTED_EDGE('',*,*,#210928,.T.); #287280=ORIENTED_EDGE('',*,*,#210927,.T.); #287281=ORIENTED_EDGE('',*,*,#210929,.F.); #287282=ORIENTED_EDGE('',*,*,#210930,.F.); #287283=ORIENTED_EDGE('',*,*,#210931,.T.); #287284=ORIENTED_EDGE('',*,*,#210930,.T.); #287285=ORIENTED_EDGE('',*,*,#210932,.F.); #287286=ORIENTED_EDGE('',*,*,#210933,.F.); #287287=ORIENTED_EDGE('',*,*,#210934,.T.); #287288=ORIENTED_EDGE('',*,*,#210933,.T.); #287289=ORIENTED_EDGE('',*,*,#210935,.F.); #287290=ORIENTED_EDGE('',*,*,#210936,.F.); #287291=ORIENTED_EDGE('',*,*,#210937,.T.); #287292=ORIENTED_EDGE('',*,*,#210936,.T.); #287293=ORIENTED_EDGE('',*,*,#210938,.F.); #287294=ORIENTED_EDGE('',*,*,#210939,.F.); #287295=ORIENTED_EDGE('',*,*,#210940,.T.); #287296=ORIENTED_EDGE('',*,*,#210939,.T.); #287297=ORIENTED_EDGE('',*,*,#210941,.F.); #287298=ORIENTED_EDGE('',*,*,#210942,.F.); #287299=ORIENTED_EDGE('',*,*,#210943,.T.); #287300=ORIENTED_EDGE('',*,*,#210942,.T.); #287301=ORIENTED_EDGE('',*,*,#210944,.F.); #287302=ORIENTED_EDGE('',*,*,#210945,.F.); #287303=ORIENTED_EDGE('',*,*,#210946,.T.); #287304=ORIENTED_EDGE('',*,*,#210945,.T.); #287305=ORIENTED_EDGE('',*,*,#210947,.F.); #287306=ORIENTED_EDGE('',*,*,#210948,.F.); #287307=ORIENTED_EDGE('',*,*,#210949,.T.); #287308=ORIENTED_EDGE('',*,*,#210948,.T.); #287309=ORIENTED_EDGE('',*,*,#210950,.F.); #287310=ORIENTED_EDGE('',*,*,#210951,.F.); #287311=ORIENTED_EDGE('',*,*,#210952,.T.); #287312=ORIENTED_EDGE('',*,*,#210951,.T.); #287313=ORIENTED_EDGE('',*,*,#210953,.F.); #287314=ORIENTED_EDGE('',*,*,#210954,.F.); #287315=ORIENTED_EDGE('',*,*,#210955,.T.); #287316=ORIENTED_EDGE('',*,*,#210954,.T.); #287317=ORIENTED_EDGE('',*,*,#210956,.F.); #287318=ORIENTED_EDGE('',*,*,#210957,.F.); #287319=ORIENTED_EDGE('',*,*,#210958,.T.); #287320=ORIENTED_EDGE('',*,*,#210957,.T.); #287321=ORIENTED_EDGE('',*,*,#210959,.F.); #287322=ORIENTED_EDGE('',*,*,#210960,.F.); #287323=ORIENTED_EDGE('',*,*,#210961,.T.); #287324=ORIENTED_EDGE('',*,*,#210960,.T.); #287325=ORIENTED_EDGE('',*,*,#210962,.F.); #287326=ORIENTED_EDGE('',*,*,#210963,.F.); #287327=ORIENTED_EDGE('',*,*,#210964,.T.); #287328=ORIENTED_EDGE('',*,*,#210963,.T.); #287329=ORIENTED_EDGE('',*,*,#210965,.F.); #287330=ORIENTED_EDGE('',*,*,#210966,.F.); #287331=ORIENTED_EDGE('',*,*,#210967,.T.); #287332=ORIENTED_EDGE('',*,*,#210966,.T.); #287333=ORIENTED_EDGE('',*,*,#210968,.F.); #287334=ORIENTED_EDGE('',*,*,#210969,.F.); #287335=ORIENTED_EDGE('',*,*,#210970,.T.); #287336=ORIENTED_EDGE('',*,*,#210969,.T.); #287337=ORIENTED_EDGE('',*,*,#210971,.F.); #287338=ORIENTED_EDGE('',*,*,#210972,.F.); #287339=ORIENTED_EDGE('',*,*,#210973,.T.); #287340=ORIENTED_EDGE('',*,*,#210972,.T.); #287341=ORIENTED_EDGE('',*,*,#210974,.F.); #287342=ORIENTED_EDGE('',*,*,#210975,.F.); #287343=ORIENTED_EDGE('',*,*,#210976,.T.); #287344=ORIENTED_EDGE('',*,*,#210975,.T.); #287345=ORIENTED_EDGE('',*,*,#210977,.F.); #287346=ORIENTED_EDGE('',*,*,#210978,.F.); #287347=ORIENTED_EDGE('',*,*,#210979,.T.); #287348=ORIENTED_EDGE('',*,*,#210978,.T.); #287349=ORIENTED_EDGE('',*,*,#210980,.F.); #287350=ORIENTED_EDGE('',*,*,#210981,.F.); #287351=ORIENTED_EDGE('',*,*,#210982,.T.); #287352=ORIENTED_EDGE('',*,*,#210981,.T.); #287353=ORIENTED_EDGE('',*,*,#210983,.F.); #287354=ORIENTED_EDGE('',*,*,#210984,.F.); #287355=ORIENTED_EDGE('',*,*,#210985,.T.); #287356=ORIENTED_EDGE('',*,*,#210984,.T.); #287357=ORIENTED_EDGE('',*,*,#210986,.F.); #287358=ORIENTED_EDGE('',*,*,#210987,.F.); #287359=ORIENTED_EDGE('',*,*,#210988,.T.); #287360=ORIENTED_EDGE('',*,*,#210987,.T.); #287361=ORIENTED_EDGE('',*,*,#210989,.F.); #287362=ORIENTED_EDGE('',*,*,#210990,.F.); #287363=ORIENTED_EDGE('',*,*,#210991,.T.); #287364=ORIENTED_EDGE('',*,*,#210990,.T.); #287365=ORIENTED_EDGE('',*,*,#210992,.F.); #287366=ORIENTED_EDGE('',*,*,#210993,.F.); #287367=ORIENTED_EDGE('',*,*,#210994,.T.); #287368=ORIENTED_EDGE('',*,*,#210993,.T.); #287369=ORIENTED_EDGE('',*,*,#210995,.F.); #287370=ORIENTED_EDGE('',*,*,#210996,.F.); #287371=ORIENTED_EDGE('',*,*,#210997,.T.); #287372=ORIENTED_EDGE('',*,*,#210996,.T.); #287373=ORIENTED_EDGE('',*,*,#210998,.F.); #287374=ORIENTED_EDGE('',*,*,#210999,.F.); #287375=ORIENTED_EDGE('',*,*,#211000,.T.); #287376=ORIENTED_EDGE('',*,*,#210999,.T.); #287377=ORIENTED_EDGE('',*,*,#211001,.F.); #287378=ORIENTED_EDGE('',*,*,#211002,.F.); #287379=ORIENTED_EDGE('',*,*,#211003,.T.); #287380=ORIENTED_EDGE('',*,*,#211002,.T.); #287381=ORIENTED_EDGE('',*,*,#211004,.F.); #287382=ORIENTED_EDGE('',*,*,#211005,.F.); #287383=ORIENTED_EDGE('',*,*,#211006,.T.); #287384=ORIENTED_EDGE('',*,*,#211005,.T.); #287385=ORIENTED_EDGE('',*,*,#211007,.F.); #287386=ORIENTED_EDGE('',*,*,#211008,.F.); #287387=ORIENTED_EDGE('',*,*,#211009,.T.); #287388=ORIENTED_EDGE('',*,*,#211008,.T.); #287389=ORIENTED_EDGE('',*,*,#211010,.F.); #287390=ORIENTED_EDGE('',*,*,#211011,.F.); #287391=ORIENTED_EDGE('',*,*,#211012,.T.); #287392=ORIENTED_EDGE('',*,*,#211011,.T.); #287393=ORIENTED_EDGE('',*,*,#211013,.F.); #287394=ORIENTED_EDGE('',*,*,#211014,.F.); #287395=ORIENTED_EDGE('',*,*,#211015,.T.); #287396=ORIENTED_EDGE('',*,*,#211014,.T.); #287397=ORIENTED_EDGE('',*,*,#211016,.F.); #287398=ORIENTED_EDGE('',*,*,#211017,.F.); #287399=ORIENTED_EDGE('',*,*,#211018,.T.); #287400=ORIENTED_EDGE('',*,*,#211017,.T.); #287401=ORIENTED_EDGE('',*,*,#211019,.F.); #287402=ORIENTED_EDGE('',*,*,#211020,.F.); #287403=ORIENTED_EDGE('',*,*,#211021,.T.); #287404=ORIENTED_EDGE('',*,*,#211020,.T.); #287405=ORIENTED_EDGE('',*,*,#211022,.F.); #287406=ORIENTED_EDGE('',*,*,#211023,.F.); #287407=ORIENTED_EDGE('',*,*,#211024,.T.); #287408=ORIENTED_EDGE('',*,*,#211023,.T.); #287409=ORIENTED_EDGE('',*,*,#211025,.F.); #287410=ORIENTED_EDGE('',*,*,#211026,.F.); #287411=ORIENTED_EDGE('',*,*,#211027,.T.); #287412=ORIENTED_EDGE('',*,*,#211026,.T.); #287413=ORIENTED_EDGE('',*,*,#211028,.F.); #287414=ORIENTED_EDGE('',*,*,#211029,.F.); #287415=ORIENTED_EDGE('',*,*,#211030,.T.); #287416=ORIENTED_EDGE('',*,*,#211029,.T.); #287417=ORIENTED_EDGE('',*,*,#211031,.F.); #287418=ORIENTED_EDGE('',*,*,#211032,.F.); #287419=ORIENTED_EDGE('',*,*,#211033,.T.); #287420=ORIENTED_EDGE('',*,*,#211032,.T.); #287421=ORIENTED_EDGE('',*,*,#211034,.F.); #287422=ORIENTED_EDGE('',*,*,#211035,.F.); #287423=ORIENTED_EDGE('',*,*,#211036,.T.); #287424=ORIENTED_EDGE('',*,*,#211035,.T.); #287425=ORIENTED_EDGE('',*,*,#211037,.F.); #287426=ORIENTED_EDGE('',*,*,#211038,.F.); #287427=ORIENTED_EDGE('',*,*,#211039,.T.); #287428=ORIENTED_EDGE('',*,*,#211038,.T.); #287429=ORIENTED_EDGE('',*,*,#211040,.F.); #287430=ORIENTED_EDGE('',*,*,#211041,.F.); #287431=ORIENTED_EDGE('',*,*,#211042,.T.); #287432=ORIENTED_EDGE('',*,*,#211041,.T.); #287433=ORIENTED_EDGE('',*,*,#211043,.F.); #287434=ORIENTED_EDGE('',*,*,#211044,.F.); #287435=ORIENTED_EDGE('',*,*,#211045,.T.); #287436=ORIENTED_EDGE('',*,*,#211044,.T.); #287437=ORIENTED_EDGE('',*,*,#211046,.F.); #287438=ORIENTED_EDGE('',*,*,#211047,.F.); #287439=ORIENTED_EDGE('',*,*,#211048,.T.); #287440=ORIENTED_EDGE('',*,*,#211047,.T.); #287441=ORIENTED_EDGE('',*,*,#211049,.F.); #287442=ORIENTED_EDGE('',*,*,#211050,.F.); #287443=ORIENTED_EDGE('',*,*,#211051,.T.); #287444=ORIENTED_EDGE('',*,*,#211050,.T.); #287445=ORIENTED_EDGE('',*,*,#211052,.F.); #287446=ORIENTED_EDGE('',*,*,#211053,.F.); #287447=ORIENTED_EDGE('',*,*,#211054,.T.); #287448=ORIENTED_EDGE('',*,*,#211053,.T.); #287449=ORIENTED_EDGE('',*,*,#211055,.F.); #287450=ORIENTED_EDGE('',*,*,#211056,.F.); #287451=ORIENTED_EDGE('',*,*,#211057,.T.); #287452=ORIENTED_EDGE('',*,*,#211056,.T.); #287453=ORIENTED_EDGE('',*,*,#211058,.F.); #287454=ORIENTED_EDGE('',*,*,#211059,.F.); #287455=ORIENTED_EDGE('',*,*,#211060,.T.); #287456=ORIENTED_EDGE('',*,*,#211059,.T.); #287457=ORIENTED_EDGE('',*,*,#211061,.F.); #287458=ORIENTED_EDGE('',*,*,#211062,.F.); #287459=ORIENTED_EDGE('',*,*,#211063,.T.); #287460=ORIENTED_EDGE('',*,*,#211062,.T.); #287461=ORIENTED_EDGE('',*,*,#211064,.F.); #287462=ORIENTED_EDGE('',*,*,#211065,.F.); #287463=ORIENTED_EDGE('',*,*,#211066,.T.); #287464=ORIENTED_EDGE('',*,*,#211065,.T.); #287465=ORIENTED_EDGE('',*,*,#211067,.F.); #287466=ORIENTED_EDGE('',*,*,#211068,.F.); #287467=ORIENTED_EDGE('',*,*,#211069,.T.); #287468=ORIENTED_EDGE('',*,*,#211068,.T.); #287469=ORIENTED_EDGE('',*,*,#211070,.F.); #287470=ORIENTED_EDGE('',*,*,#211071,.F.); #287471=ORIENTED_EDGE('',*,*,#211072,.T.); #287472=ORIENTED_EDGE('',*,*,#211071,.T.); #287473=ORIENTED_EDGE('',*,*,#211073,.F.); #287474=ORIENTED_EDGE('',*,*,#211074,.F.); #287475=ORIENTED_EDGE('',*,*,#211075,.T.); #287476=ORIENTED_EDGE('',*,*,#211074,.T.); #287477=ORIENTED_EDGE('',*,*,#211076,.F.); #287478=ORIENTED_EDGE('',*,*,#211077,.F.); #287479=ORIENTED_EDGE('',*,*,#211078,.T.); #287480=ORIENTED_EDGE('',*,*,#211077,.T.); #287481=ORIENTED_EDGE('',*,*,#211079,.F.); #287482=ORIENTED_EDGE('',*,*,#211080,.F.); #287483=ORIENTED_EDGE('',*,*,#211081,.T.); #287484=ORIENTED_EDGE('',*,*,#211080,.T.); #287485=ORIENTED_EDGE('',*,*,#211082,.F.); #287486=ORIENTED_EDGE('',*,*,#211083,.F.); #287487=ORIENTED_EDGE('',*,*,#211084,.T.); #287488=ORIENTED_EDGE('',*,*,#211083,.T.); #287489=ORIENTED_EDGE('',*,*,#211085,.F.); #287490=ORIENTED_EDGE('',*,*,#211086,.F.); #287491=ORIENTED_EDGE('',*,*,#211087,.T.); #287492=ORIENTED_EDGE('',*,*,#211086,.T.); #287493=ORIENTED_EDGE('',*,*,#211088,.F.); #287494=ORIENTED_EDGE('',*,*,#211089,.F.); #287495=ORIENTED_EDGE('',*,*,#211090,.T.); #287496=ORIENTED_EDGE('',*,*,#211089,.T.); #287497=ORIENTED_EDGE('',*,*,#211091,.F.); #287498=ORIENTED_EDGE('',*,*,#211092,.F.); #287499=ORIENTED_EDGE('',*,*,#211093,.T.); #287500=ORIENTED_EDGE('',*,*,#211092,.T.); #287501=ORIENTED_EDGE('',*,*,#211094,.F.); #287502=ORIENTED_EDGE('',*,*,#211095,.F.); #287503=ORIENTED_EDGE('',*,*,#211096,.T.); #287504=ORIENTED_EDGE('',*,*,#211095,.T.); #287505=ORIENTED_EDGE('',*,*,#211097,.F.); #287506=ORIENTED_EDGE('',*,*,#211098,.F.); #287507=ORIENTED_EDGE('',*,*,#211099,.T.); #287508=ORIENTED_EDGE('',*,*,#211098,.T.); #287509=ORIENTED_EDGE('',*,*,#211100,.F.); #287510=ORIENTED_EDGE('',*,*,#211101,.F.); #287511=ORIENTED_EDGE('',*,*,#211102,.T.); #287512=ORIENTED_EDGE('',*,*,#211101,.T.); #287513=ORIENTED_EDGE('',*,*,#211103,.F.); #287514=ORIENTED_EDGE('',*,*,#211104,.F.); #287515=ORIENTED_EDGE('',*,*,#211105,.T.); #287516=ORIENTED_EDGE('',*,*,#211104,.T.); #287517=ORIENTED_EDGE('',*,*,#211106,.F.); #287518=ORIENTED_EDGE('',*,*,#211107,.F.); #287519=ORIENTED_EDGE('',*,*,#211108,.T.); #287520=ORIENTED_EDGE('',*,*,#211107,.T.); #287521=ORIENTED_EDGE('',*,*,#211109,.F.); #287522=ORIENTED_EDGE('',*,*,#211110,.F.); #287523=ORIENTED_EDGE('',*,*,#211111,.T.); #287524=ORIENTED_EDGE('',*,*,#211110,.T.); #287525=ORIENTED_EDGE('',*,*,#211112,.F.); #287526=ORIENTED_EDGE('',*,*,#211113,.F.); #287527=ORIENTED_EDGE('',*,*,#211114,.T.); #287528=ORIENTED_EDGE('',*,*,#211113,.T.); #287529=ORIENTED_EDGE('',*,*,#211115,.F.); #287530=ORIENTED_EDGE('',*,*,#211116,.F.); #287531=ORIENTED_EDGE('',*,*,#211117,.T.); #287532=ORIENTED_EDGE('',*,*,#211116,.T.); #287533=ORIENTED_EDGE('',*,*,#211118,.F.); #287534=ORIENTED_EDGE('',*,*,#211119,.F.); #287535=ORIENTED_EDGE('',*,*,#211120,.T.); #287536=ORIENTED_EDGE('',*,*,#211119,.T.); #287537=ORIENTED_EDGE('',*,*,#211121,.F.); #287538=ORIENTED_EDGE('',*,*,#211122,.F.); #287539=ORIENTED_EDGE('',*,*,#211123,.T.); #287540=ORIENTED_EDGE('',*,*,#211122,.T.); #287541=ORIENTED_EDGE('',*,*,#211124,.F.); #287542=ORIENTED_EDGE('',*,*,#211125,.F.); #287543=ORIENTED_EDGE('',*,*,#211126,.T.); #287544=ORIENTED_EDGE('',*,*,#211125,.T.); #287545=ORIENTED_EDGE('',*,*,#211127,.F.); #287546=ORIENTED_EDGE('',*,*,#211128,.F.); #287547=ORIENTED_EDGE('',*,*,#211129,.T.); #287548=ORIENTED_EDGE('',*,*,#211128,.T.); #287549=ORIENTED_EDGE('',*,*,#211130,.F.); #287550=ORIENTED_EDGE('',*,*,#211131,.F.); #287551=ORIENTED_EDGE('',*,*,#211132,.T.); #287552=ORIENTED_EDGE('',*,*,#211131,.T.); #287553=ORIENTED_EDGE('',*,*,#211133,.F.); #287554=ORIENTED_EDGE('',*,*,#211134,.F.); #287555=ORIENTED_EDGE('',*,*,#211135,.T.); #287556=ORIENTED_EDGE('',*,*,#211134,.T.); #287557=ORIENTED_EDGE('',*,*,#211136,.F.); #287558=ORIENTED_EDGE('',*,*,#211137,.F.); #287559=ORIENTED_EDGE('',*,*,#211138,.T.); #287560=ORIENTED_EDGE('',*,*,#211137,.T.); #287561=ORIENTED_EDGE('',*,*,#211139,.F.); #287562=ORIENTED_EDGE('',*,*,#211140,.F.); #287563=ORIENTED_EDGE('',*,*,#211141,.T.); #287564=ORIENTED_EDGE('',*,*,#211140,.T.); #287565=ORIENTED_EDGE('',*,*,#211142,.F.); #287566=ORIENTED_EDGE('',*,*,#211143,.F.); #287567=ORIENTED_EDGE('',*,*,#211144,.T.); #287568=ORIENTED_EDGE('',*,*,#211143,.T.); #287569=ORIENTED_EDGE('',*,*,#211145,.F.); #287570=ORIENTED_EDGE('',*,*,#211146,.F.); #287571=ORIENTED_EDGE('',*,*,#211147,.T.); #287572=ORIENTED_EDGE('',*,*,#211146,.T.); #287573=ORIENTED_EDGE('',*,*,#211148,.F.); #287574=ORIENTED_EDGE('',*,*,#211149,.F.); #287575=ORIENTED_EDGE('',*,*,#211150,.T.); #287576=ORIENTED_EDGE('',*,*,#211149,.T.); #287577=ORIENTED_EDGE('',*,*,#211151,.F.); #287578=ORIENTED_EDGE('',*,*,#211152,.F.); #287579=ORIENTED_EDGE('',*,*,#211153,.T.); #287580=ORIENTED_EDGE('',*,*,#211152,.T.); #287581=ORIENTED_EDGE('',*,*,#211154,.F.); #287582=ORIENTED_EDGE('',*,*,#211155,.F.); #287583=ORIENTED_EDGE('',*,*,#211156,.T.); #287584=ORIENTED_EDGE('',*,*,#211155,.T.); #287585=ORIENTED_EDGE('',*,*,#211157,.F.); #287586=ORIENTED_EDGE('',*,*,#211158,.F.); #287587=ORIENTED_EDGE('',*,*,#211159,.T.); #287588=ORIENTED_EDGE('',*,*,#211158,.T.); #287589=ORIENTED_EDGE('',*,*,#211160,.F.); #287590=ORIENTED_EDGE('',*,*,#211161,.F.); #287591=ORIENTED_EDGE('',*,*,#211162,.T.); #287592=ORIENTED_EDGE('',*,*,#211161,.T.); #287593=ORIENTED_EDGE('',*,*,#211163,.F.); #287594=ORIENTED_EDGE('',*,*,#211164,.F.); #287595=ORIENTED_EDGE('',*,*,#211165,.T.); #287596=ORIENTED_EDGE('',*,*,#211164,.T.); #287597=ORIENTED_EDGE('',*,*,#211166,.F.); #287598=ORIENTED_EDGE('',*,*,#211167,.F.); #287599=ORIENTED_EDGE('',*,*,#211168,.T.); #287600=ORIENTED_EDGE('',*,*,#211167,.T.); #287601=ORIENTED_EDGE('',*,*,#211169,.F.); #287602=ORIENTED_EDGE('',*,*,#211170,.F.); #287603=ORIENTED_EDGE('',*,*,#211171,.T.); #287604=ORIENTED_EDGE('',*,*,#211170,.T.); #287605=ORIENTED_EDGE('',*,*,#211172,.F.); #287606=ORIENTED_EDGE('',*,*,#211173,.F.); #287607=ORIENTED_EDGE('',*,*,#211174,.T.); #287608=ORIENTED_EDGE('',*,*,#211173,.T.); #287609=ORIENTED_EDGE('',*,*,#211175,.F.); #287610=ORIENTED_EDGE('',*,*,#211176,.F.); #287611=ORIENTED_EDGE('',*,*,#211177,.T.); #287612=ORIENTED_EDGE('',*,*,#211176,.T.); #287613=ORIENTED_EDGE('',*,*,#211178,.F.); #287614=ORIENTED_EDGE('',*,*,#211179,.F.); #287615=ORIENTED_EDGE('',*,*,#211180,.T.); #287616=ORIENTED_EDGE('',*,*,#211179,.T.); #287617=ORIENTED_EDGE('',*,*,#211181,.F.); #287618=ORIENTED_EDGE('',*,*,#211182,.F.); #287619=ORIENTED_EDGE('',*,*,#211183,.T.); #287620=ORIENTED_EDGE('',*,*,#211182,.T.); #287621=ORIENTED_EDGE('',*,*,#211184,.F.); #287622=ORIENTED_EDGE('',*,*,#211185,.F.); #287623=ORIENTED_EDGE('',*,*,#211186,.T.); #287624=ORIENTED_EDGE('',*,*,#211185,.T.); #287625=ORIENTED_EDGE('',*,*,#211187,.F.); #287626=ORIENTED_EDGE('',*,*,#211188,.F.); #287627=ORIENTED_EDGE('',*,*,#211189,.T.); #287628=ORIENTED_EDGE('',*,*,#211188,.T.); #287629=ORIENTED_EDGE('',*,*,#211190,.F.); #287630=ORIENTED_EDGE('',*,*,#211191,.F.); #287631=ORIENTED_EDGE('',*,*,#211192,.T.); #287632=ORIENTED_EDGE('',*,*,#211191,.T.); #287633=ORIENTED_EDGE('',*,*,#211193,.F.); #287634=ORIENTED_EDGE('',*,*,#211194,.F.); #287635=ORIENTED_EDGE('',*,*,#211195,.T.); #287636=ORIENTED_EDGE('',*,*,#211194,.T.); #287637=ORIENTED_EDGE('',*,*,#211196,.F.); #287638=ORIENTED_EDGE('',*,*,#211197,.F.); #287639=ORIENTED_EDGE('',*,*,#211198,.T.); #287640=ORIENTED_EDGE('',*,*,#211197,.T.); #287641=ORIENTED_EDGE('',*,*,#211199,.F.); #287642=ORIENTED_EDGE('',*,*,#211200,.F.); #287643=ORIENTED_EDGE('',*,*,#211201,.T.); #287644=ORIENTED_EDGE('',*,*,#211200,.T.); #287645=ORIENTED_EDGE('',*,*,#211202,.F.); #287646=ORIENTED_EDGE('',*,*,#211203,.F.); #287647=ORIENTED_EDGE('',*,*,#211204,.T.); #287648=ORIENTED_EDGE('',*,*,#211203,.T.); #287649=ORIENTED_EDGE('',*,*,#211205,.F.); #287650=ORIENTED_EDGE('',*,*,#211206,.F.); #287651=ORIENTED_EDGE('',*,*,#211207,.T.); #287652=ORIENTED_EDGE('',*,*,#211206,.T.); #287653=ORIENTED_EDGE('',*,*,#211208,.F.); #287654=ORIENTED_EDGE('',*,*,#211209,.F.); #287655=ORIENTED_EDGE('',*,*,#211210,.T.); #287656=ORIENTED_EDGE('',*,*,#211209,.T.); #287657=ORIENTED_EDGE('',*,*,#211211,.F.); #287658=ORIENTED_EDGE('',*,*,#211212,.F.); #287659=ORIENTED_EDGE('',*,*,#211213,.T.); #287660=ORIENTED_EDGE('',*,*,#211212,.T.); #287661=ORIENTED_EDGE('',*,*,#211214,.F.); #287662=ORIENTED_EDGE('',*,*,#211215,.F.); #287663=ORIENTED_EDGE('',*,*,#211216,.T.); #287664=ORIENTED_EDGE('',*,*,#211215,.T.); #287665=ORIENTED_EDGE('',*,*,#211217,.F.); #287666=ORIENTED_EDGE('',*,*,#211218,.F.); #287667=ORIENTED_EDGE('',*,*,#211219,.T.); #287668=ORIENTED_EDGE('',*,*,#211218,.T.); #287669=ORIENTED_EDGE('',*,*,#211220,.F.); #287670=ORIENTED_EDGE('',*,*,#211221,.F.); #287671=ORIENTED_EDGE('',*,*,#211222,.T.); #287672=ORIENTED_EDGE('',*,*,#211221,.T.); #287673=ORIENTED_EDGE('',*,*,#211223,.F.); #287674=ORIENTED_EDGE('',*,*,#211224,.F.); #287675=ORIENTED_EDGE('',*,*,#211225,.T.); #287676=ORIENTED_EDGE('',*,*,#211224,.T.); #287677=ORIENTED_EDGE('',*,*,#211226,.F.); #287678=ORIENTED_EDGE('',*,*,#211227,.F.); #287679=ORIENTED_EDGE('',*,*,#211228,.T.); #287680=ORIENTED_EDGE('',*,*,#211227,.T.); #287681=ORIENTED_EDGE('',*,*,#211229,.F.); #287682=ORIENTED_EDGE('',*,*,#211230,.F.); #287683=ORIENTED_EDGE('',*,*,#211231,.T.); #287684=ORIENTED_EDGE('',*,*,#211230,.T.); #287685=ORIENTED_EDGE('',*,*,#211232,.F.); #287686=ORIENTED_EDGE('',*,*,#211233,.F.); #287687=ORIENTED_EDGE('',*,*,#211234,.T.); #287688=ORIENTED_EDGE('',*,*,#211233,.T.); #287689=ORIENTED_EDGE('',*,*,#211235,.F.); #287690=ORIENTED_EDGE('',*,*,#211236,.F.); #287691=ORIENTED_EDGE('',*,*,#211237,.T.); #287692=ORIENTED_EDGE('',*,*,#211236,.T.); #287693=ORIENTED_EDGE('',*,*,#211238,.F.); #287694=ORIENTED_EDGE('',*,*,#211239,.F.); #287695=ORIENTED_EDGE('',*,*,#211240,.T.); #287696=ORIENTED_EDGE('',*,*,#211239,.T.); #287697=ORIENTED_EDGE('',*,*,#211241,.F.); #287698=ORIENTED_EDGE('',*,*,#211242,.F.); #287699=ORIENTED_EDGE('',*,*,#211243,.T.); #287700=ORIENTED_EDGE('',*,*,#211242,.T.); #287701=ORIENTED_EDGE('',*,*,#211244,.F.); #287702=ORIENTED_EDGE('',*,*,#211245,.F.); #287703=ORIENTED_EDGE('',*,*,#211246,.T.); #287704=ORIENTED_EDGE('',*,*,#211245,.T.); #287705=ORIENTED_EDGE('',*,*,#211247,.F.); #287706=ORIENTED_EDGE('',*,*,#211248,.F.); #287707=ORIENTED_EDGE('',*,*,#211249,.T.); #287708=ORIENTED_EDGE('',*,*,#211248,.T.); #287709=ORIENTED_EDGE('',*,*,#211250,.F.); #287710=ORIENTED_EDGE('',*,*,#211251,.F.); #287711=ORIENTED_EDGE('',*,*,#211252,.T.); #287712=ORIENTED_EDGE('',*,*,#211251,.T.); #287713=ORIENTED_EDGE('',*,*,#211253,.F.); #287714=ORIENTED_EDGE('',*,*,#211254,.F.); #287715=ORIENTED_EDGE('',*,*,#211255,.T.); #287716=ORIENTED_EDGE('',*,*,#211254,.T.); #287717=ORIENTED_EDGE('',*,*,#211256,.F.); #287718=ORIENTED_EDGE('',*,*,#211257,.F.); #287719=ORIENTED_EDGE('',*,*,#211258,.T.); #287720=ORIENTED_EDGE('',*,*,#211257,.T.); #287721=ORIENTED_EDGE('',*,*,#211259,.F.); #287722=ORIENTED_EDGE('',*,*,#211260,.F.); #287723=ORIENTED_EDGE('',*,*,#211261,.T.); #287724=ORIENTED_EDGE('',*,*,#211260,.T.); #287725=ORIENTED_EDGE('',*,*,#211262,.F.); #287726=ORIENTED_EDGE('',*,*,#211263,.F.); #287727=ORIENTED_EDGE('',*,*,#211264,.T.); #287728=ORIENTED_EDGE('',*,*,#211263,.T.); #287729=ORIENTED_EDGE('',*,*,#211265,.F.); #287730=ORIENTED_EDGE('',*,*,#211266,.F.); #287731=ORIENTED_EDGE('',*,*,#211267,.T.); #287732=ORIENTED_EDGE('',*,*,#211266,.T.); #287733=ORIENTED_EDGE('',*,*,#211268,.F.); #287734=ORIENTED_EDGE('',*,*,#211269,.F.); #287735=ORIENTED_EDGE('',*,*,#211270,.T.); #287736=ORIENTED_EDGE('',*,*,#211269,.T.); #287737=ORIENTED_EDGE('',*,*,#211271,.F.); #287738=ORIENTED_EDGE('',*,*,#211272,.F.); #287739=ORIENTED_EDGE('',*,*,#211273,.T.); #287740=ORIENTED_EDGE('',*,*,#211272,.T.); #287741=ORIENTED_EDGE('',*,*,#211274,.F.); #287742=ORIENTED_EDGE('',*,*,#211275,.F.); #287743=ORIENTED_EDGE('',*,*,#211276,.T.); #287744=ORIENTED_EDGE('',*,*,#211275,.T.); #287745=ORIENTED_EDGE('',*,*,#211277,.F.); #287746=ORIENTED_EDGE('',*,*,#211278,.F.); #287747=ORIENTED_EDGE('',*,*,#211279,.T.); #287748=ORIENTED_EDGE('',*,*,#211278,.T.); #287749=ORIENTED_EDGE('',*,*,#211280,.F.); #287750=ORIENTED_EDGE('',*,*,#211281,.F.); #287751=ORIENTED_EDGE('',*,*,#211282,.T.); #287752=ORIENTED_EDGE('',*,*,#211281,.T.); #287753=ORIENTED_EDGE('',*,*,#211283,.F.); #287754=ORIENTED_EDGE('',*,*,#211284,.F.); #287755=ORIENTED_EDGE('',*,*,#211285,.T.); #287756=ORIENTED_EDGE('',*,*,#211284,.T.); #287757=ORIENTED_EDGE('',*,*,#211286,.F.); #287758=ORIENTED_EDGE('',*,*,#211287,.F.); #287759=ORIENTED_EDGE('',*,*,#211288,.T.); #287760=ORIENTED_EDGE('',*,*,#211287,.T.); #287761=ORIENTED_EDGE('',*,*,#211289,.F.); #287762=ORIENTED_EDGE('',*,*,#211290,.F.); #287763=ORIENTED_EDGE('',*,*,#211291,.T.); #287764=ORIENTED_EDGE('',*,*,#211290,.T.); #287765=ORIENTED_EDGE('',*,*,#211292,.F.); #287766=ORIENTED_EDGE('',*,*,#211293,.F.); #287767=ORIENTED_EDGE('',*,*,#211294,.T.); #287768=ORIENTED_EDGE('',*,*,#211293,.T.); #287769=ORIENTED_EDGE('',*,*,#211295,.F.); #287770=ORIENTED_EDGE('',*,*,#211296,.F.); #287771=ORIENTED_EDGE('',*,*,#211297,.T.); #287772=ORIENTED_EDGE('',*,*,#211296,.T.); #287773=ORIENTED_EDGE('',*,*,#211298,.F.); #287774=ORIENTED_EDGE('',*,*,#211299,.F.); #287775=ORIENTED_EDGE('',*,*,#211300,.T.); #287776=ORIENTED_EDGE('',*,*,#211299,.T.); #287777=ORIENTED_EDGE('',*,*,#211301,.F.); #287778=ORIENTED_EDGE('',*,*,#211302,.F.); #287779=ORIENTED_EDGE('',*,*,#211303,.T.); #287780=ORIENTED_EDGE('',*,*,#211302,.T.); #287781=ORIENTED_EDGE('',*,*,#211304,.F.); #287782=ORIENTED_EDGE('',*,*,#211305,.F.); #287783=ORIENTED_EDGE('',*,*,#211306,.T.); #287784=ORIENTED_EDGE('',*,*,#211305,.T.); #287785=ORIENTED_EDGE('',*,*,#211307,.F.); #287786=ORIENTED_EDGE('',*,*,#211308,.F.); #287787=ORIENTED_EDGE('',*,*,#211309,.T.); #287788=ORIENTED_EDGE('',*,*,#211308,.T.); #287789=ORIENTED_EDGE('',*,*,#211310,.F.); #287790=ORIENTED_EDGE('',*,*,#211311,.F.); #287791=ORIENTED_EDGE('',*,*,#211312,.T.); #287792=ORIENTED_EDGE('',*,*,#211311,.T.); #287793=ORIENTED_EDGE('',*,*,#211313,.F.); #287794=ORIENTED_EDGE('',*,*,#211314,.F.); #287795=ORIENTED_EDGE('',*,*,#211315,.T.); #287796=ORIENTED_EDGE('',*,*,#211314,.T.); #287797=ORIENTED_EDGE('',*,*,#211316,.F.); #287798=ORIENTED_EDGE('',*,*,#211317,.F.); #287799=ORIENTED_EDGE('',*,*,#211318,.T.); #287800=ORIENTED_EDGE('',*,*,#211317,.T.); #287801=ORIENTED_EDGE('',*,*,#211319,.F.); #287802=ORIENTED_EDGE('',*,*,#211320,.F.); #287803=ORIENTED_EDGE('',*,*,#211321,.T.); #287804=ORIENTED_EDGE('',*,*,#211320,.T.); #287805=ORIENTED_EDGE('',*,*,#211322,.F.); #287806=ORIENTED_EDGE('',*,*,#211323,.F.); #287807=ORIENTED_EDGE('',*,*,#211324,.T.); #287808=ORIENTED_EDGE('',*,*,#211323,.T.); #287809=ORIENTED_EDGE('',*,*,#211325,.F.); #287810=ORIENTED_EDGE('',*,*,#211326,.F.); #287811=ORIENTED_EDGE('',*,*,#211327,.T.); #287812=ORIENTED_EDGE('',*,*,#211326,.T.); #287813=ORIENTED_EDGE('',*,*,#211328,.F.); #287814=ORIENTED_EDGE('',*,*,#211329,.F.); #287815=ORIENTED_EDGE('',*,*,#211330,.T.); #287816=ORIENTED_EDGE('',*,*,#211329,.T.); #287817=ORIENTED_EDGE('',*,*,#211331,.F.); #287818=ORIENTED_EDGE('',*,*,#211332,.F.); #287819=ORIENTED_EDGE('',*,*,#211333,.T.); #287820=ORIENTED_EDGE('',*,*,#211332,.T.); #287821=ORIENTED_EDGE('',*,*,#211334,.F.); #287822=ORIENTED_EDGE('',*,*,#211335,.F.); #287823=ORIENTED_EDGE('',*,*,#211336,.T.); #287824=ORIENTED_EDGE('',*,*,#211335,.T.); #287825=ORIENTED_EDGE('',*,*,#211337,.F.); #287826=ORIENTED_EDGE('',*,*,#211338,.F.); #287827=ORIENTED_EDGE('',*,*,#211339,.T.); #287828=ORIENTED_EDGE('',*,*,#211338,.T.); #287829=ORIENTED_EDGE('',*,*,#211340,.F.); #287830=ORIENTED_EDGE('',*,*,#211341,.F.); #287831=ORIENTED_EDGE('',*,*,#211342,.T.); #287832=ORIENTED_EDGE('',*,*,#211341,.T.); #287833=ORIENTED_EDGE('',*,*,#211343,.F.); #287834=ORIENTED_EDGE('',*,*,#211344,.F.); #287835=ORIENTED_EDGE('',*,*,#211345,.T.); #287836=ORIENTED_EDGE('',*,*,#211344,.T.); #287837=ORIENTED_EDGE('',*,*,#211346,.F.); #287838=ORIENTED_EDGE('',*,*,#211347,.F.); #287839=ORIENTED_EDGE('',*,*,#211348,.T.); #287840=ORIENTED_EDGE('',*,*,#211347,.T.); #287841=ORIENTED_EDGE('',*,*,#211349,.F.); #287842=ORIENTED_EDGE('',*,*,#211350,.F.); #287843=ORIENTED_EDGE('',*,*,#211351,.T.); #287844=ORIENTED_EDGE('',*,*,#211350,.T.); #287845=ORIENTED_EDGE('',*,*,#211352,.F.); #287846=ORIENTED_EDGE('',*,*,#211353,.F.); #287847=ORIENTED_EDGE('',*,*,#211354,.T.); #287848=ORIENTED_EDGE('',*,*,#211353,.T.); #287849=ORIENTED_EDGE('',*,*,#211355,.F.); #287850=ORIENTED_EDGE('',*,*,#211356,.F.); #287851=ORIENTED_EDGE('',*,*,#211357,.T.); #287852=ORIENTED_EDGE('',*,*,#211356,.T.); #287853=ORIENTED_EDGE('',*,*,#211358,.F.); #287854=ORIENTED_EDGE('',*,*,#211359,.F.); #287855=ORIENTED_EDGE('',*,*,#211360,.T.); #287856=ORIENTED_EDGE('',*,*,#211359,.T.); #287857=ORIENTED_EDGE('',*,*,#211361,.F.); #287858=ORIENTED_EDGE('',*,*,#211362,.F.); #287859=ORIENTED_EDGE('',*,*,#211363,.T.); #287860=ORIENTED_EDGE('',*,*,#211362,.T.); #287861=ORIENTED_EDGE('',*,*,#211364,.F.); #287862=ORIENTED_EDGE('',*,*,#211365,.F.); #287863=ORIENTED_EDGE('',*,*,#211366,.T.); #287864=ORIENTED_EDGE('',*,*,#211365,.T.); #287865=ORIENTED_EDGE('',*,*,#211367,.F.); #287866=ORIENTED_EDGE('',*,*,#211368,.F.); #287867=ORIENTED_EDGE('',*,*,#211369,.T.); #287868=ORIENTED_EDGE('',*,*,#211368,.T.); #287869=ORIENTED_EDGE('',*,*,#211370,.F.); #287870=ORIENTED_EDGE('',*,*,#211371,.F.); #287871=ORIENTED_EDGE('',*,*,#211372,.T.); #287872=ORIENTED_EDGE('',*,*,#211371,.T.); #287873=ORIENTED_EDGE('',*,*,#211373,.F.); #287874=ORIENTED_EDGE('',*,*,#211374,.F.); #287875=ORIENTED_EDGE('',*,*,#211375,.T.); #287876=ORIENTED_EDGE('',*,*,#211374,.T.); #287877=ORIENTED_EDGE('',*,*,#211376,.F.); #287878=ORIENTED_EDGE('',*,*,#211377,.F.); #287879=ORIENTED_EDGE('',*,*,#211378,.T.); #287880=ORIENTED_EDGE('',*,*,#211377,.T.); #287881=ORIENTED_EDGE('',*,*,#211379,.F.); #287882=ORIENTED_EDGE('',*,*,#211380,.F.); #287883=ORIENTED_EDGE('',*,*,#211381,.T.); #287884=ORIENTED_EDGE('',*,*,#211380,.T.); #287885=ORIENTED_EDGE('',*,*,#211382,.F.); #287886=ORIENTED_EDGE('',*,*,#211383,.F.); #287887=ORIENTED_EDGE('',*,*,#211384,.T.); #287888=ORIENTED_EDGE('',*,*,#211383,.T.); #287889=ORIENTED_EDGE('',*,*,#211385,.F.); #287890=ORIENTED_EDGE('',*,*,#211386,.F.); #287891=ORIENTED_EDGE('',*,*,#211387,.T.); #287892=ORIENTED_EDGE('',*,*,#211386,.T.); #287893=ORIENTED_EDGE('',*,*,#211388,.F.); #287894=ORIENTED_EDGE('',*,*,#211389,.F.); #287895=ORIENTED_EDGE('',*,*,#211390,.T.); #287896=ORIENTED_EDGE('',*,*,#211389,.T.); #287897=ORIENTED_EDGE('',*,*,#211391,.F.); #287898=ORIENTED_EDGE('',*,*,#211392,.F.); #287899=ORIENTED_EDGE('',*,*,#211393,.T.); #287900=ORIENTED_EDGE('',*,*,#211392,.T.); #287901=ORIENTED_EDGE('',*,*,#211394,.F.); #287902=ORIENTED_EDGE('',*,*,#211395,.F.); #287903=ORIENTED_EDGE('',*,*,#211396,.T.); #287904=ORIENTED_EDGE('',*,*,#211395,.T.); #287905=ORIENTED_EDGE('',*,*,#211397,.F.); #287906=ORIENTED_EDGE('',*,*,#211398,.F.); #287907=ORIENTED_EDGE('',*,*,#211399,.T.); #287908=ORIENTED_EDGE('',*,*,#211398,.T.); #287909=ORIENTED_EDGE('',*,*,#211400,.F.); #287910=ORIENTED_EDGE('',*,*,#211401,.F.); #287911=ORIENTED_EDGE('',*,*,#211402,.T.); #287912=ORIENTED_EDGE('',*,*,#211401,.T.); #287913=ORIENTED_EDGE('',*,*,#211403,.F.); #287914=ORIENTED_EDGE('',*,*,#211404,.F.); #287915=ORIENTED_EDGE('',*,*,#211405,.T.); #287916=ORIENTED_EDGE('',*,*,#211404,.T.); #287917=ORIENTED_EDGE('',*,*,#211406,.F.); #287918=ORIENTED_EDGE('',*,*,#211407,.F.); #287919=ORIENTED_EDGE('',*,*,#211408,.T.); #287920=ORIENTED_EDGE('',*,*,#211407,.T.); #287921=ORIENTED_EDGE('',*,*,#211409,.F.); #287922=ORIENTED_EDGE('',*,*,#211410,.F.); #287923=ORIENTED_EDGE('',*,*,#211411,.T.); #287924=ORIENTED_EDGE('',*,*,#211410,.T.); #287925=ORIENTED_EDGE('',*,*,#211412,.F.); #287926=ORIENTED_EDGE('',*,*,#211413,.F.); #287927=ORIENTED_EDGE('',*,*,#211414,.T.); #287928=ORIENTED_EDGE('',*,*,#211413,.T.); #287929=ORIENTED_EDGE('',*,*,#211415,.F.); #287930=ORIENTED_EDGE('',*,*,#211416,.F.); #287931=ORIENTED_EDGE('',*,*,#211417,.T.); #287932=ORIENTED_EDGE('',*,*,#211416,.T.); #287933=ORIENTED_EDGE('',*,*,#211418,.F.); #287934=ORIENTED_EDGE('',*,*,#211419,.F.); #287935=ORIENTED_EDGE('',*,*,#211420,.T.); #287936=ORIENTED_EDGE('',*,*,#211419,.T.); #287937=ORIENTED_EDGE('',*,*,#211421,.F.); #287938=ORIENTED_EDGE('',*,*,#211422,.F.); #287939=ORIENTED_EDGE('',*,*,#211423,.T.); #287940=ORIENTED_EDGE('',*,*,#211422,.T.); #287941=ORIENTED_EDGE('',*,*,#211424,.F.); #287942=ORIENTED_EDGE('',*,*,#211425,.F.); #287943=ORIENTED_EDGE('',*,*,#211426,.T.); #287944=ORIENTED_EDGE('',*,*,#211425,.T.); #287945=ORIENTED_EDGE('',*,*,#211427,.F.); #287946=ORIENTED_EDGE('',*,*,#211428,.F.); #287947=ORIENTED_EDGE('',*,*,#211429,.T.); #287948=ORIENTED_EDGE('',*,*,#211428,.T.); #287949=ORIENTED_EDGE('',*,*,#211430,.F.); #287950=ORIENTED_EDGE('',*,*,#211431,.F.); #287951=ORIENTED_EDGE('',*,*,#211432,.T.); #287952=ORIENTED_EDGE('',*,*,#211431,.T.); #287953=ORIENTED_EDGE('',*,*,#211433,.F.); #287954=ORIENTED_EDGE('',*,*,#211434,.F.); #287955=ORIENTED_EDGE('',*,*,#211435,.T.); #287956=ORIENTED_EDGE('',*,*,#211434,.T.); #287957=ORIENTED_EDGE('',*,*,#211436,.F.); #287958=ORIENTED_EDGE('',*,*,#211437,.F.); #287959=ORIENTED_EDGE('',*,*,#211438,.T.); #287960=ORIENTED_EDGE('',*,*,#211437,.T.); #287961=ORIENTED_EDGE('',*,*,#211439,.F.); #287962=ORIENTED_EDGE('',*,*,#211440,.F.); #287963=ORIENTED_EDGE('',*,*,#211441,.T.); #287964=ORIENTED_EDGE('',*,*,#211440,.T.); #287965=ORIENTED_EDGE('',*,*,#211442,.F.); #287966=ORIENTED_EDGE('',*,*,#211443,.F.); #287967=ORIENTED_EDGE('',*,*,#211444,.T.); #287968=ORIENTED_EDGE('',*,*,#211443,.T.); #287969=ORIENTED_EDGE('',*,*,#211445,.F.); #287970=ORIENTED_EDGE('',*,*,#211446,.F.); #287971=ORIENTED_EDGE('',*,*,#211447,.T.); #287972=ORIENTED_EDGE('',*,*,#211446,.T.); #287973=ORIENTED_EDGE('',*,*,#211448,.F.); #287974=ORIENTED_EDGE('',*,*,#211449,.F.); #287975=ORIENTED_EDGE('',*,*,#211450,.T.); #287976=ORIENTED_EDGE('',*,*,#211449,.T.); #287977=ORIENTED_EDGE('',*,*,#211451,.F.); #287978=ORIENTED_EDGE('',*,*,#211452,.F.); #287979=ORIENTED_EDGE('',*,*,#211453,.T.); #287980=ORIENTED_EDGE('',*,*,#211452,.T.); #287981=ORIENTED_EDGE('',*,*,#211454,.F.); #287982=ORIENTED_EDGE('',*,*,#211455,.F.); #287983=ORIENTED_EDGE('',*,*,#211456,.T.); #287984=ORIENTED_EDGE('',*,*,#211455,.T.); #287985=ORIENTED_EDGE('',*,*,#211457,.F.); #287986=ORIENTED_EDGE('',*,*,#211458,.F.); #287987=ORIENTED_EDGE('',*,*,#211459,.T.); #287988=ORIENTED_EDGE('',*,*,#211458,.T.); #287989=ORIENTED_EDGE('',*,*,#211460,.F.); #287990=ORIENTED_EDGE('',*,*,#211461,.F.); #287991=ORIENTED_EDGE('',*,*,#211462,.T.); #287992=ORIENTED_EDGE('',*,*,#211461,.T.); #287993=ORIENTED_EDGE('',*,*,#211463,.F.); #287994=ORIENTED_EDGE('',*,*,#211464,.F.); #287995=ORIENTED_EDGE('',*,*,#211465,.T.); #287996=ORIENTED_EDGE('',*,*,#211464,.T.); #287997=ORIENTED_EDGE('',*,*,#211466,.F.); #287998=ORIENTED_EDGE('',*,*,#211467,.F.); #287999=ORIENTED_EDGE('',*,*,#211468,.T.); #288000=ORIENTED_EDGE('',*,*,#211467,.T.); #288001=ORIENTED_EDGE('',*,*,#211469,.F.); #288002=ORIENTED_EDGE('',*,*,#211470,.F.); #288003=ORIENTED_EDGE('',*,*,#211471,.T.); #288004=ORIENTED_EDGE('',*,*,#211470,.T.); #288005=ORIENTED_EDGE('',*,*,#211472,.F.); #288006=ORIENTED_EDGE('',*,*,#211473,.F.); #288007=ORIENTED_EDGE('',*,*,#211474,.T.); #288008=ORIENTED_EDGE('',*,*,#211473,.T.); #288009=ORIENTED_EDGE('',*,*,#211475,.F.); #288010=ORIENTED_EDGE('',*,*,#211476,.F.); #288011=ORIENTED_EDGE('',*,*,#211477,.T.); #288012=ORIENTED_EDGE('',*,*,#211476,.T.); #288013=ORIENTED_EDGE('',*,*,#211478,.F.); #288014=ORIENTED_EDGE('',*,*,#211479,.F.); #288015=ORIENTED_EDGE('',*,*,#211480,.T.); #288016=ORIENTED_EDGE('',*,*,#211479,.T.); #288017=ORIENTED_EDGE('',*,*,#211481,.F.); #288018=ORIENTED_EDGE('',*,*,#211482,.F.); #288019=ORIENTED_EDGE('',*,*,#211483,.T.); #288020=ORIENTED_EDGE('',*,*,#211482,.T.); #288021=ORIENTED_EDGE('',*,*,#211484,.F.); #288022=ORIENTED_EDGE('',*,*,#211485,.F.); #288023=ORIENTED_EDGE('',*,*,#211486,.T.); #288024=ORIENTED_EDGE('',*,*,#211485,.T.); #288025=ORIENTED_EDGE('',*,*,#211487,.F.); #288026=ORIENTED_EDGE('',*,*,#211488,.F.); #288027=ORIENTED_EDGE('',*,*,#211489,.T.); #288028=ORIENTED_EDGE('',*,*,#211488,.T.); #288029=ORIENTED_EDGE('',*,*,#211490,.F.); #288030=ORIENTED_EDGE('',*,*,#211491,.F.); #288031=ORIENTED_EDGE('',*,*,#211492,.T.); #288032=ORIENTED_EDGE('',*,*,#211491,.T.); #288033=ORIENTED_EDGE('',*,*,#211493,.F.); #288034=ORIENTED_EDGE('',*,*,#211494,.F.); #288035=ORIENTED_EDGE('',*,*,#211495,.T.); #288036=ORIENTED_EDGE('',*,*,#211494,.T.); #288037=ORIENTED_EDGE('',*,*,#211496,.F.); #288038=ORIENTED_EDGE('',*,*,#211497,.F.); #288039=ORIENTED_EDGE('',*,*,#211498,.T.); #288040=ORIENTED_EDGE('',*,*,#211497,.T.); #288041=ORIENTED_EDGE('',*,*,#211499,.F.); #288042=ORIENTED_EDGE('',*,*,#211500,.F.); #288043=ORIENTED_EDGE('',*,*,#211501,.T.); #288044=ORIENTED_EDGE('',*,*,#211500,.T.); #288045=ORIENTED_EDGE('',*,*,#211502,.F.); #288046=ORIENTED_EDGE('',*,*,#211503,.F.); #288047=ORIENTED_EDGE('',*,*,#211504,.T.); #288048=ORIENTED_EDGE('',*,*,#211503,.T.); #288049=ORIENTED_EDGE('',*,*,#211505,.F.); #288050=ORIENTED_EDGE('',*,*,#211506,.F.); #288051=ORIENTED_EDGE('',*,*,#211507,.T.); #288052=ORIENTED_EDGE('',*,*,#211506,.T.); #288053=ORIENTED_EDGE('',*,*,#211508,.F.); #288054=ORIENTED_EDGE('',*,*,#211509,.F.); #288055=ORIENTED_EDGE('',*,*,#211510,.T.); #288056=ORIENTED_EDGE('',*,*,#211509,.T.); #288057=ORIENTED_EDGE('',*,*,#211511,.F.); #288058=ORIENTED_EDGE('',*,*,#211512,.F.); #288059=ORIENTED_EDGE('',*,*,#211513,.T.); #288060=ORIENTED_EDGE('',*,*,#211512,.T.); #288061=ORIENTED_EDGE('',*,*,#211514,.F.); #288062=ORIENTED_EDGE('',*,*,#211515,.F.); #288063=ORIENTED_EDGE('',*,*,#211516,.T.); #288064=ORIENTED_EDGE('',*,*,#211515,.T.); #288065=ORIENTED_EDGE('',*,*,#211517,.F.); #288066=ORIENTED_EDGE('',*,*,#211518,.F.); #288067=ORIENTED_EDGE('',*,*,#211519,.T.); #288068=ORIENTED_EDGE('',*,*,#211518,.T.); #288069=ORIENTED_EDGE('',*,*,#211520,.F.); #288070=ORIENTED_EDGE('',*,*,#211521,.F.); #288071=ORIENTED_EDGE('',*,*,#211522,.T.); #288072=ORIENTED_EDGE('',*,*,#211521,.T.); #288073=ORIENTED_EDGE('',*,*,#211523,.F.); #288074=ORIENTED_EDGE('',*,*,#211524,.F.); #288075=ORIENTED_EDGE('',*,*,#211525,.T.); #288076=ORIENTED_EDGE('',*,*,#211524,.T.); #288077=ORIENTED_EDGE('',*,*,#211526,.F.); #288078=ORIENTED_EDGE('',*,*,#211527,.F.); #288079=ORIENTED_EDGE('',*,*,#211528,.T.); #288080=ORIENTED_EDGE('',*,*,#211527,.T.); #288081=ORIENTED_EDGE('',*,*,#211529,.F.); #288082=ORIENTED_EDGE('',*,*,#211530,.F.); #288083=ORIENTED_EDGE('',*,*,#211531,.T.); #288084=ORIENTED_EDGE('',*,*,#211530,.T.); #288085=ORIENTED_EDGE('',*,*,#211532,.F.); #288086=ORIENTED_EDGE('',*,*,#211533,.F.); #288087=ORIENTED_EDGE('',*,*,#211534,.T.); #288088=ORIENTED_EDGE('',*,*,#211533,.T.); #288089=ORIENTED_EDGE('',*,*,#211535,.F.); #288090=ORIENTED_EDGE('',*,*,#211536,.F.); #288091=ORIENTED_EDGE('',*,*,#211537,.T.); #288092=ORIENTED_EDGE('',*,*,#211536,.T.); #288093=ORIENTED_EDGE('',*,*,#211538,.F.); #288094=ORIENTED_EDGE('',*,*,#211539,.F.); #288095=ORIENTED_EDGE('',*,*,#211540,.T.); #288096=ORIENTED_EDGE('',*,*,#211539,.T.); #288097=ORIENTED_EDGE('',*,*,#211541,.F.); #288098=ORIENTED_EDGE('',*,*,#211542,.F.); #288099=ORIENTED_EDGE('',*,*,#211543,.T.); #288100=ORIENTED_EDGE('',*,*,#211542,.T.); #288101=ORIENTED_EDGE('',*,*,#211544,.F.); #288102=ORIENTED_EDGE('',*,*,#211545,.F.); #288103=ORIENTED_EDGE('',*,*,#211546,.T.); #288104=ORIENTED_EDGE('',*,*,#211545,.T.); #288105=ORIENTED_EDGE('',*,*,#211547,.F.); #288106=ORIENTED_EDGE('',*,*,#211548,.F.); #288107=ORIENTED_EDGE('',*,*,#211549,.T.); #288108=ORIENTED_EDGE('',*,*,#211548,.T.); #288109=ORIENTED_EDGE('',*,*,#211550,.F.); #288110=ORIENTED_EDGE('',*,*,#211551,.F.); #288111=ORIENTED_EDGE('',*,*,#211552,.T.); #288112=ORIENTED_EDGE('',*,*,#211551,.T.); #288113=ORIENTED_EDGE('',*,*,#211553,.F.); #288114=ORIENTED_EDGE('',*,*,#211554,.F.); #288115=ORIENTED_EDGE('',*,*,#211555,.T.); #288116=ORIENTED_EDGE('',*,*,#211554,.T.); #288117=ORIENTED_EDGE('',*,*,#211556,.F.); #288118=ORIENTED_EDGE('',*,*,#211557,.F.); #288119=ORIENTED_EDGE('',*,*,#211558,.T.); #288120=ORIENTED_EDGE('',*,*,#211557,.T.); #288121=ORIENTED_EDGE('',*,*,#211559,.F.); #288122=ORIENTED_EDGE('',*,*,#211560,.F.); #288123=ORIENTED_EDGE('',*,*,#211561,.T.); #288124=ORIENTED_EDGE('',*,*,#211560,.T.); #288125=ORIENTED_EDGE('',*,*,#211562,.F.); #288126=ORIENTED_EDGE('',*,*,#211563,.F.); #288127=ORIENTED_EDGE('',*,*,#211564,.T.); #288128=ORIENTED_EDGE('',*,*,#211563,.T.); #288129=ORIENTED_EDGE('',*,*,#211565,.F.); #288130=ORIENTED_EDGE('',*,*,#211566,.F.); #288131=ORIENTED_EDGE('',*,*,#211567,.T.); #288132=ORIENTED_EDGE('',*,*,#211566,.T.); #288133=ORIENTED_EDGE('',*,*,#211568,.F.); #288134=ORIENTED_EDGE('',*,*,#211569,.F.); #288135=ORIENTED_EDGE('',*,*,#211570,.T.); #288136=ORIENTED_EDGE('',*,*,#211569,.T.); #288137=ORIENTED_EDGE('',*,*,#211571,.F.); #288138=ORIENTED_EDGE('',*,*,#211572,.F.); #288139=ORIENTED_EDGE('',*,*,#211573,.T.); #288140=ORIENTED_EDGE('',*,*,#211572,.T.); #288141=ORIENTED_EDGE('',*,*,#211574,.F.); #288142=ORIENTED_EDGE('',*,*,#211575,.F.); #288143=ORIENTED_EDGE('',*,*,#211576,.T.); #288144=ORIENTED_EDGE('',*,*,#211575,.T.); #288145=ORIENTED_EDGE('',*,*,#211577,.F.); #288146=ORIENTED_EDGE('',*,*,#211578,.F.); #288147=ORIENTED_EDGE('',*,*,#211579,.T.); #288148=ORIENTED_EDGE('',*,*,#211578,.T.); #288149=ORIENTED_EDGE('',*,*,#211580,.F.); #288150=ORIENTED_EDGE('',*,*,#211581,.F.); #288151=ORIENTED_EDGE('',*,*,#211582,.T.); #288152=ORIENTED_EDGE('',*,*,#211581,.T.); #288153=ORIENTED_EDGE('',*,*,#211583,.F.); #288154=ORIENTED_EDGE('',*,*,#211584,.F.); #288155=ORIENTED_EDGE('',*,*,#211585,.T.); #288156=ORIENTED_EDGE('',*,*,#211584,.T.); #288157=ORIENTED_EDGE('',*,*,#211586,.F.); #288158=ORIENTED_EDGE('',*,*,#211587,.F.); #288159=ORIENTED_EDGE('',*,*,#211588,.T.); #288160=ORIENTED_EDGE('',*,*,#211587,.T.); #288161=ORIENTED_EDGE('',*,*,#211589,.F.); #288162=ORIENTED_EDGE('',*,*,#211590,.F.); #288163=ORIENTED_EDGE('',*,*,#211591,.T.); #288164=ORIENTED_EDGE('',*,*,#211590,.T.); #288165=ORIENTED_EDGE('',*,*,#211592,.F.); #288166=ORIENTED_EDGE('',*,*,#211593,.F.); #288167=ORIENTED_EDGE('',*,*,#211594,.T.); #288168=ORIENTED_EDGE('',*,*,#211593,.T.); #288169=ORIENTED_EDGE('',*,*,#211595,.F.); #288170=ORIENTED_EDGE('',*,*,#211596,.F.); #288171=ORIENTED_EDGE('',*,*,#211597,.T.); #288172=ORIENTED_EDGE('',*,*,#211596,.T.); #288173=ORIENTED_EDGE('',*,*,#211598,.F.); #288174=ORIENTED_EDGE('',*,*,#211599,.F.); #288175=ORIENTED_EDGE('',*,*,#211600,.T.); #288176=ORIENTED_EDGE('',*,*,#211599,.T.); #288177=ORIENTED_EDGE('',*,*,#211601,.F.); #288178=ORIENTED_EDGE('',*,*,#211602,.F.); #288179=ORIENTED_EDGE('',*,*,#211603,.T.); #288180=ORIENTED_EDGE('',*,*,#211602,.T.); #288181=ORIENTED_EDGE('',*,*,#211604,.F.); #288182=ORIENTED_EDGE('',*,*,#211605,.F.); #288183=ORIENTED_EDGE('',*,*,#211606,.T.); #288184=ORIENTED_EDGE('',*,*,#211605,.T.); #288185=ORIENTED_EDGE('',*,*,#211607,.F.); #288186=ORIENTED_EDGE('',*,*,#211608,.F.); #288187=ORIENTED_EDGE('',*,*,#211609,.T.); #288188=ORIENTED_EDGE('',*,*,#211608,.T.); #288189=ORIENTED_EDGE('',*,*,#211610,.F.); #288190=ORIENTED_EDGE('',*,*,#211611,.F.); #288191=ORIENTED_EDGE('',*,*,#211612,.T.); #288192=ORIENTED_EDGE('',*,*,#211611,.T.); #288193=ORIENTED_EDGE('',*,*,#211613,.F.); #288194=ORIENTED_EDGE('',*,*,#211614,.F.); #288195=ORIENTED_EDGE('',*,*,#211615,.T.); #288196=ORIENTED_EDGE('',*,*,#211614,.T.); #288197=ORIENTED_EDGE('',*,*,#211616,.F.); #288198=ORIENTED_EDGE('',*,*,#211617,.F.); #288199=ORIENTED_EDGE('',*,*,#211618,.T.); #288200=ORIENTED_EDGE('',*,*,#211617,.T.); #288201=ORIENTED_EDGE('',*,*,#211619,.F.); #288202=ORIENTED_EDGE('',*,*,#211620,.F.); #288203=ORIENTED_EDGE('',*,*,#211621,.T.); #288204=ORIENTED_EDGE('',*,*,#211620,.T.); #288205=ORIENTED_EDGE('',*,*,#211622,.F.); #288206=ORIENTED_EDGE('',*,*,#211623,.F.); #288207=ORIENTED_EDGE('',*,*,#211624,.T.); #288208=ORIENTED_EDGE('',*,*,#211623,.T.); #288209=ORIENTED_EDGE('',*,*,#211625,.F.); #288210=ORIENTED_EDGE('',*,*,#211626,.F.); #288211=ORIENTED_EDGE('',*,*,#211627,.T.); #288212=ORIENTED_EDGE('',*,*,#211626,.T.); #288213=ORIENTED_EDGE('',*,*,#211628,.F.); #288214=ORIENTED_EDGE('',*,*,#211629,.F.); #288215=ORIENTED_EDGE('',*,*,#211630,.T.); #288216=ORIENTED_EDGE('',*,*,#211629,.T.); #288217=ORIENTED_EDGE('',*,*,#211631,.F.); #288218=ORIENTED_EDGE('',*,*,#211632,.F.); #288219=ORIENTED_EDGE('',*,*,#211633,.T.); #288220=ORIENTED_EDGE('',*,*,#211632,.T.); #288221=ORIENTED_EDGE('',*,*,#211634,.F.); #288222=ORIENTED_EDGE('',*,*,#211635,.F.); #288223=ORIENTED_EDGE('',*,*,#211636,.T.); #288224=ORIENTED_EDGE('',*,*,#211635,.T.); #288225=ORIENTED_EDGE('',*,*,#211637,.F.); #288226=ORIENTED_EDGE('',*,*,#211638,.F.); #288227=ORIENTED_EDGE('',*,*,#211639,.T.); #288228=ORIENTED_EDGE('',*,*,#211638,.T.); #288229=ORIENTED_EDGE('',*,*,#211640,.F.); #288230=ORIENTED_EDGE('',*,*,#211641,.F.); #288231=ORIENTED_EDGE('',*,*,#211642,.T.); #288232=ORIENTED_EDGE('',*,*,#211641,.T.); #288233=ORIENTED_EDGE('',*,*,#211643,.F.); #288234=ORIENTED_EDGE('',*,*,#211644,.F.); #288235=ORIENTED_EDGE('',*,*,#211645,.T.); #288236=ORIENTED_EDGE('',*,*,#211644,.T.); #288237=ORIENTED_EDGE('',*,*,#211646,.F.); #288238=ORIENTED_EDGE('',*,*,#211647,.F.); #288239=ORIENTED_EDGE('',*,*,#211648,.T.); #288240=ORIENTED_EDGE('',*,*,#211647,.T.); #288241=ORIENTED_EDGE('',*,*,#211649,.F.); #288242=ORIENTED_EDGE('',*,*,#211650,.F.); #288243=ORIENTED_EDGE('',*,*,#211651,.T.); #288244=ORIENTED_EDGE('',*,*,#211650,.T.); #288245=ORIENTED_EDGE('',*,*,#211652,.F.); #288246=ORIENTED_EDGE('',*,*,#211653,.F.); #288247=ORIENTED_EDGE('',*,*,#211654,.T.); #288248=ORIENTED_EDGE('',*,*,#211653,.T.); #288249=ORIENTED_EDGE('',*,*,#211655,.F.); #288250=ORIENTED_EDGE('',*,*,#211656,.F.); #288251=ORIENTED_EDGE('',*,*,#211657,.T.); #288252=ORIENTED_EDGE('',*,*,#211656,.T.); #288253=ORIENTED_EDGE('',*,*,#211658,.F.); #288254=ORIENTED_EDGE('',*,*,#211659,.F.); #288255=ORIENTED_EDGE('',*,*,#211660,.T.); #288256=ORIENTED_EDGE('',*,*,#211659,.T.); #288257=ORIENTED_EDGE('',*,*,#211661,.F.); #288258=ORIENTED_EDGE('',*,*,#211662,.F.); #288259=ORIENTED_EDGE('',*,*,#211663,.T.); #288260=ORIENTED_EDGE('',*,*,#211662,.T.); #288261=ORIENTED_EDGE('',*,*,#211664,.F.); #288262=ORIENTED_EDGE('',*,*,#211665,.F.); #288263=ORIENTED_EDGE('',*,*,#211666,.T.); #288264=ORIENTED_EDGE('',*,*,#211665,.T.); #288265=ORIENTED_EDGE('',*,*,#211667,.F.); #288266=ORIENTED_EDGE('',*,*,#211668,.F.); #288267=ORIENTED_EDGE('',*,*,#211669,.T.); #288268=ORIENTED_EDGE('',*,*,#211668,.T.); #288269=ORIENTED_EDGE('',*,*,#211670,.F.); #288270=ORIENTED_EDGE('',*,*,#211671,.F.); #288271=ORIENTED_EDGE('',*,*,#211672,.T.); #288272=ORIENTED_EDGE('',*,*,#211671,.T.); #288273=ORIENTED_EDGE('',*,*,#211673,.F.); #288274=ORIENTED_EDGE('',*,*,#211674,.F.); #288275=ORIENTED_EDGE('',*,*,#211675,.T.); #288276=ORIENTED_EDGE('',*,*,#211674,.T.); #288277=ORIENTED_EDGE('',*,*,#211676,.F.); #288278=ORIENTED_EDGE('',*,*,#211677,.F.); #288279=ORIENTED_EDGE('',*,*,#211678,.T.); #288280=ORIENTED_EDGE('',*,*,#211677,.T.); #288281=ORIENTED_EDGE('',*,*,#211679,.F.); #288282=ORIENTED_EDGE('',*,*,#211680,.F.); #288283=ORIENTED_EDGE('',*,*,#211681,.T.); #288284=ORIENTED_EDGE('',*,*,#211680,.T.); #288285=ORIENTED_EDGE('',*,*,#211682,.F.); #288286=ORIENTED_EDGE('',*,*,#211683,.F.); #288287=ORIENTED_EDGE('',*,*,#211684,.T.); #288288=ORIENTED_EDGE('',*,*,#211683,.T.); #288289=ORIENTED_EDGE('',*,*,#211685,.F.); #288290=ORIENTED_EDGE('',*,*,#211686,.F.); #288291=ORIENTED_EDGE('',*,*,#211687,.T.); #288292=ORIENTED_EDGE('',*,*,#211686,.T.); #288293=ORIENTED_EDGE('',*,*,#211688,.F.); #288294=ORIENTED_EDGE('',*,*,#211689,.F.); #288295=ORIENTED_EDGE('',*,*,#211690,.T.); #288296=ORIENTED_EDGE('',*,*,#211689,.T.); #288297=ORIENTED_EDGE('',*,*,#211691,.F.); #288298=ORIENTED_EDGE('',*,*,#211692,.F.); #288299=ORIENTED_EDGE('',*,*,#211693,.T.); #288300=ORIENTED_EDGE('',*,*,#211692,.T.); #288301=ORIENTED_EDGE('',*,*,#211694,.F.); #288302=ORIENTED_EDGE('',*,*,#211695,.F.); #288303=ORIENTED_EDGE('',*,*,#211696,.T.); #288304=ORIENTED_EDGE('',*,*,#211695,.T.); #288305=ORIENTED_EDGE('',*,*,#211697,.F.); #288306=ORIENTED_EDGE('',*,*,#211698,.F.); #288307=ORIENTED_EDGE('',*,*,#211699,.T.); #288308=ORIENTED_EDGE('',*,*,#211698,.T.); #288309=ORIENTED_EDGE('',*,*,#211700,.F.); #288310=ORIENTED_EDGE('',*,*,#211701,.F.); #288311=ORIENTED_EDGE('',*,*,#211702,.T.); #288312=ORIENTED_EDGE('',*,*,#211701,.T.); #288313=ORIENTED_EDGE('',*,*,#211703,.F.); #288314=ORIENTED_EDGE('',*,*,#211704,.F.); #288315=ORIENTED_EDGE('',*,*,#211705,.T.); #288316=ORIENTED_EDGE('',*,*,#211704,.T.); #288317=ORIENTED_EDGE('',*,*,#211706,.F.); #288318=ORIENTED_EDGE('',*,*,#211707,.F.); #288319=ORIENTED_EDGE('',*,*,#211708,.T.); #288320=ORIENTED_EDGE('',*,*,#211707,.T.); #288321=ORIENTED_EDGE('',*,*,#211709,.F.); #288322=ORIENTED_EDGE('',*,*,#211710,.F.); #288323=ORIENTED_EDGE('',*,*,#211711,.T.); #288324=ORIENTED_EDGE('',*,*,#211710,.T.); #288325=ORIENTED_EDGE('',*,*,#211712,.F.); #288326=ORIENTED_EDGE('',*,*,#211713,.F.); #288327=ORIENTED_EDGE('',*,*,#211714,.T.); #288328=ORIENTED_EDGE('',*,*,#211713,.T.); #288329=ORIENTED_EDGE('',*,*,#211715,.F.); #288330=ORIENTED_EDGE('',*,*,#211716,.F.); #288331=ORIENTED_EDGE('',*,*,#211717,.T.); #288332=ORIENTED_EDGE('',*,*,#211716,.T.); #288333=ORIENTED_EDGE('',*,*,#211718,.F.); #288334=ORIENTED_EDGE('',*,*,#211719,.F.); #288335=ORIENTED_EDGE('',*,*,#211720,.T.); #288336=ORIENTED_EDGE('',*,*,#211719,.T.); #288337=ORIENTED_EDGE('',*,*,#211721,.F.); #288338=ORIENTED_EDGE('',*,*,#211722,.F.); #288339=ORIENTED_EDGE('',*,*,#211723,.T.); #288340=ORIENTED_EDGE('',*,*,#211722,.T.); #288341=ORIENTED_EDGE('',*,*,#211724,.F.); #288342=ORIENTED_EDGE('',*,*,#211725,.F.); #288343=ORIENTED_EDGE('',*,*,#211726,.T.); #288344=ORIENTED_EDGE('',*,*,#211725,.T.); #288345=ORIENTED_EDGE('',*,*,#211727,.F.); #288346=ORIENTED_EDGE('',*,*,#211728,.F.); #288347=ORIENTED_EDGE('',*,*,#211729,.T.); #288348=ORIENTED_EDGE('',*,*,#211728,.T.); #288349=ORIENTED_EDGE('',*,*,#211730,.F.); #288350=ORIENTED_EDGE('',*,*,#211731,.F.); #288351=ORIENTED_EDGE('',*,*,#211732,.T.); #288352=ORIENTED_EDGE('',*,*,#211731,.T.); #288353=ORIENTED_EDGE('',*,*,#211733,.F.); #288354=ORIENTED_EDGE('',*,*,#211734,.F.); #288355=ORIENTED_EDGE('',*,*,#211735,.T.); #288356=ORIENTED_EDGE('',*,*,#211734,.T.); #288357=ORIENTED_EDGE('',*,*,#211736,.F.); #288358=ORIENTED_EDGE('',*,*,#211737,.F.); #288359=ORIENTED_EDGE('',*,*,#211738,.T.); #288360=ORIENTED_EDGE('',*,*,#211737,.T.); #288361=ORIENTED_EDGE('',*,*,#211739,.F.); #288362=ORIENTED_EDGE('',*,*,#211740,.F.); #288363=ORIENTED_EDGE('',*,*,#211741,.T.); #288364=ORIENTED_EDGE('',*,*,#211740,.T.); #288365=ORIENTED_EDGE('',*,*,#211742,.F.); #288366=ORIENTED_EDGE('',*,*,#211743,.F.); #288367=ORIENTED_EDGE('',*,*,#211744,.T.); #288368=ORIENTED_EDGE('',*,*,#211743,.T.); #288369=ORIENTED_EDGE('',*,*,#211745,.F.); #288370=ORIENTED_EDGE('',*,*,#211746,.F.); #288371=ORIENTED_EDGE('',*,*,#211747,.T.); #288372=ORIENTED_EDGE('',*,*,#211746,.T.); #288373=ORIENTED_EDGE('',*,*,#211748,.F.); #288374=ORIENTED_EDGE('',*,*,#211749,.F.); #288375=ORIENTED_EDGE('',*,*,#211750,.T.); #288376=ORIENTED_EDGE('',*,*,#211749,.T.); #288377=ORIENTED_EDGE('',*,*,#211751,.F.); #288378=ORIENTED_EDGE('',*,*,#211752,.F.); #288379=ORIENTED_EDGE('',*,*,#211753,.T.); #288380=ORIENTED_EDGE('',*,*,#211752,.T.); #288381=ORIENTED_EDGE('',*,*,#211754,.F.); #288382=ORIENTED_EDGE('',*,*,#211755,.F.); #288383=ORIENTED_EDGE('',*,*,#211756,.T.); #288384=ORIENTED_EDGE('',*,*,#211755,.T.); #288385=ORIENTED_EDGE('',*,*,#211757,.F.); #288386=ORIENTED_EDGE('',*,*,#211758,.F.); #288387=ORIENTED_EDGE('',*,*,#211759,.T.); #288388=ORIENTED_EDGE('',*,*,#211758,.T.); #288389=ORIENTED_EDGE('',*,*,#211760,.F.); #288390=ORIENTED_EDGE('',*,*,#211761,.F.); #288391=ORIENTED_EDGE('',*,*,#211762,.T.); #288392=ORIENTED_EDGE('',*,*,#211761,.T.); #288393=ORIENTED_EDGE('',*,*,#211763,.F.); #288394=ORIENTED_EDGE('',*,*,#211764,.F.); #288395=ORIENTED_EDGE('',*,*,#211765,.T.); #288396=ORIENTED_EDGE('',*,*,#211764,.T.); #288397=ORIENTED_EDGE('',*,*,#211766,.F.); #288398=ORIENTED_EDGE('',*,*,#211767,.F.); #288399=ORIENTED_EDGE('',*,*,#211768,.T.); #288400=ORIENTED_EDGE('',*,*,#211767,.T.); #288401=ORIENTED_EDGE('',*,*,#211769,.F.); #288402=ORIENTED_EDGE('',*,*,#211770,.F.); #288403=ORIENTED_EDGE('',*,*,#211771,.T.); #288404=ORIENTED_EDGE('',*,*,#211770,.T.); #288405=ORIENTED_EDGE('',*,*,#211772,.F.); #288406=ORIENTED_EDGE('',*,*,#211773,.F.); #288407=ORIENTED_EDGE('',*,*,#211774,.T.); #288408=ORIENTED_EDGE('',*,*,#211773,.T.); #288409=ORIENTED_EDGE('',*,*,#211775,.F.); #288410=ORIENTED_EDGE('',*,*,#211776,.F.); #288411=ORIENTED_EDGE('',*,*,#211777,.T.); #288412=ORIENTED_EDGE('',*,*,#211776,.T.); #288413=ORIENTED_EDGE('',*,*,#211778,.F.); #288414=ORIENTED_EDGE('',*,*,#211779,.F.); #288415=ORIENTED_EDGE('',*,*,#211780,.T.); #288416=ORIENTED_EDGE('',*,*,#211779,.T.); #288417=ORIENTED_EDGE('',*,*,#211781,.F.); #288418=ORIENTED_EDGE('',*,*,#211782,.F.); #288419=ORIENTED_EDGE('',*,*,#211783,.T.); #288420=ORIENTED_EDGE('',*,*,#211782,.T.); #288421=ORIENTED_EDGE('',*,*,#211784,.F.); #288422=ORIENTED_EDGE('',*,*,#211785,.F.); #288423=ORIENTED_EDGE('',*,*,#211786,.T.); #288424=ORIENTED_EDGE('',*,*,#211785,.T.); #288425=ORIENTED_EDGE('',*,*,#211787,.F.); #288426=ORIENTED_EDGE('',*,*,#211788,.F.); #288427=ORIENTED_EDGE('',*,*,#211789,.T.); #288428=ORIENTED_EDGE('',*,*,#211788,.T.); #288429=ORIENTED_EDGE('',*,*,#211790,.F.); #288430=ORIENTED_EDGE('',*,*,#211791,.F.); #288431=ORIENTED_EDGE('',*,*,#211792,.T.); #288432=ORIENTED_EDGE('',*,*,#211791,.T.); #288433=ORIENTED_EDGE('',*,*,#211793,.F.); #288434=ORIENTED_EDGE('',*,*,#211794,.F.); #288435=ORIENTED_EDGE('',*,*,#211795,.T.); #288436=ORIENTED_EDGE('',*,*,#211794,.T.); #288437=ORIENTED_EDGE('',*,*,#211796,.F.); #288438=ORIENTED_EDGE('',*,*,#211797,.F.); #288439=ORIENTED_EDGE('',*,*,#211798,.T.); #288440=ORIENTED_EDGE('',*,*,#211797,.T.); #288441=ORIENTED_EDGE('',*,*,#211799,.F.); #288442=ORIENTED_EDGE('',*,*,#211800,.F.); #288443=ORIENTED_EDGE('',*,*,#211801,.T.); #288444=ORIENTED_EDGE('',*,*,#211800,.T.); #288445=ORIENTED_EDGE('',*,*,#211802,.F.); #288446=ORIENTED_EDGE('',*,*,#211803,.F.); #288447=ORIENTED_EDGE('',*,*,#211804,.T.); #288448=ORIENTED_EDGE('',*,*,#211803,.T.); #288449=ORIENTED_EDGE('',*,*,#211805,.F.); #288450=ORIENTED_EDGE('',*,*,#211806,.F.); #288451=ORIENTED_EDGE('',*,*,#211807,.T.); #288452=ORIENTED_EDGE('',*,*,#211806,.T.); #288453=ORIENTED_EDGE('',*,*,#211808,.F.); #288454=ORIENTED_EDGE('',*,*,#211809,.F.); #288455=ORIENTED_EDGE('',*,*,#211810,.T.); #288456=ORIENTED_EDGE('',*,*,#211809,.T.); #288457=ORIENTED_EDGE('',*,*,#211811,.F.); #288458=ORIENTED_EDGE('',*,*,#211812,.F.); #288459=ORIENTED_EDGE('',*,*,#211813,.T.); #288460=ORIENTED_EDGE('',*,*,#211812,.T.); #288461=ORIENTED_EDGE('',*,*,#211814,.F.); #288462=ORIENTED_EDGE('',*,*,#211815,.F.); #288463=ORIENTED_EDGE('',*,*,#211816,.T.); #288464=ORIENTED_EDGE('',*,*,#211815,.T.); #288465=ORIENTED_EDGE('',*,*,#211817,.F.); #288466=ORIENTED_EDGE('',*,*,#211818,.F.); #288467=ORIENTED_EDGE('',*,*,#211819,.T.); #288468=ORIENTED_EDGE('',*,*,#211818,.T.); #288469=ORIENTED_EDGE('',*,*,#211820,.F.); #288470=ORIENTED_EDGE('',*,*,#211821,.F.); #288471=ORIENTED_EDGE('',*,*,#211822,.T.); #288472=ORIENTED_EDGE('',*,*,#211821,.T.); #288473=ORIENTED_EDGE('',*,*,#211823,.F.); #288474=ORIENTED_EDGE('',*,*,#211824,.F.); #288475=ORIENTED_EDGE('',*,*,#211825,.T.); #288476=ORIENTED_EDGE('',*,*,#211824,.T.); #288477=ORIENTED_EDGE('',*,*,#211826,.F.); #288478=ORIENTED_EDGE('',*,*,#211827,.F.); #288479=ORIENTED_EDGE('',*,*,#211828,.T.); #288480=ORIENTED_EDGE('',*,*,#211827,.T.); #288481=ORIENTED_EDGE('',*,*,#211829,.F.); #288482=ORIENTED_EDGE('',*,*,#211830,.F.); #288483=ORIENTED_EDGE('',*,*,#211831,.T.); #288484=ORIENTED_EDGE('',*,*,#211830,.T.); #288485=ORIENTED_EDGE('',*,*,#211832,.F.); #288486=ORIENTED_EDGE('',*,*,#211833,.F.); #288487=ORIENTED_EDGE('',*,*,#211834,.T.); #288488=ORIENTED_EDGE('',*,*,#211833,.T.); #288489=ORIENTED_EDGE('',*,*,#211835,.F.); #288490=ORIENTED_EDGE('',*,*,#211836,.F.); #288491=ORIENTED_EDGE('',*,*,#211837,.T.); #288492=ORIENTED_EDGE('',*,*,#211836,.T.); #288493=ORIENTED_EDGE('',*,*,#211838,.F.); #288494=ORIENTED_EDGE('',*,*,#211839,.F.); #288495=ORIENTED_EDGE('',*,*,#211840,.T.); #288496=ORIENTED_EDGE('',*,*,#211839,.T.); #288497=ORIENTED_EDGE('',*,*,#211841,.F.); #288498=ORIENTED_EDGE('',*,*,#211842,.F.); #288499=ORIENTED_EDGE('',*,*,#211843,.T.); #288500=ORIENTED_EDGE('',*,*,#211842,.T.); #288501=ORIENTED_EDGE('',*,*,#211844,.F.); #288502=ORIENTED_EDGE('',*,*,#211845,.F.); #288503=ORIENTED_EDGE('',*,*,#211846,.T.); #288504=ORIENTED_EDGE('',*,*,#211845,.T.); #288505=ORIENTED_EDGE('',*,*,#211847,.F.); #288506=ORIENTED_EDGE('',*,*,#211848,.F.); #288507=ORIENTED_EDGE('',*,*,#211849,.T.); #288508=ORIENTED_EDGE('',*,*,#211848,.T.); #288509=ORIENTED_EDGE('',*,*,#211850,.F.); #288510=ORIENTED_EDGE('',*,*,#211851,.F.); #288511=ORIENTED_EDGE('',*,*,#211852,.T.); #288512=ORIENTED_EDGE('',*,*,#211851,.T.); #288513=ORIENTED_EDGE('',*,*,#211853,.F.); #288514=ORIENTED_EDGE('',*,*,#211854,.F.); #288515=ORIENTED_EDGE('',*,*,#211855,.T.); #288516=ORIENTED_EDGE('',*,*,#211854,.T.); #288517=ORIENTED_EDGE('',*,*,#211856,.F.); #288518=ORIENTED_EDGE('',*,*,#211857,.F.); #288519=ORIENTED_EDGE('',*,*,#211858,.T.); #288520=ORIENTED_EDGE('',*,*,#211857,.T.); #288521=ORIENTED_EDGE('',*,*,#211859,.F.); #288522=ORIENTED_EDGE('',*,*,#211860,.F.); #288523=ORIENTED_EDGE('',*,*,#211861,.T.); #288524=ORIENTED_EDGE('',*,*,#211860,.T.); #288525=ORIENTED_EDGE('',*,*,#211862,.F.); #288526=ORIENTED_EDGE('',*,*,#211863,.F.); #288527=ORIENTED_EDGE('',*,*,#211864,.T.); #288528=ORIENTED_EDGE('',*,*,#211863,.T.); #288529=ORIENTED_EDGE('',*,*,#211865,.F.); #288530=ORIENTED_EDGE('',*,*,#211866,.F.); #288531=ORIENTED_EDGE('',*,*,#211867,.T.); #288532=ORIENTED_EDGE('',*,*,#211866,.T.); #288533=ORIENTED_EDGE('',*,*,#211868,.F.); #288534=ORIENTED_EDGE('',*,*,#211869,.F.); #288535=ORIENTED_EDGE('',*,*,#211870,.T.); #288536=ORIENTED_EDGE('',*,*,#211869,.T.); #288537=ORIENTED_EDGE('',*,*,#211871,.F.); #288538=ORIENTED_EDGE('',*,*,#211872,.F.); #288539=ORIENTED_EDGE('',*,*,#211873,.T.); #288540=ORIENTED_EDGE('',*,*,#211872,.T.); #288541=ORIENTED_EDGE('',*,*,#211874,.F.); #288542=ORIENTED_EDGE('',*,*,#211875,.F.); #288543=ORIENTED_EDGE('',*,*,#211876,.T.); #288544=ORIENTED_EDGE('',*,*,#211875,.T.); #288545=ORIENTED_EDGE('',*,*,#211877,.F.); #288546=ORIENTED_EDGE('',*,*,#211878,.F.); #288547=ORIENTED_EDGE('',*,*,#211879,.T.); #288548=ORIENTED_EDGE('',*,*,#211878,.T.); #288549=ORIENTED_EDGE('',*,*,#211880,.F.); #288550=ORIENTED_EDGE('',*,*,#211881,.F.); #288551=ORIENTED_EDGE('',*,*,#211882,.T.); #288552=ORIENTED_EDGE('',*,*,#211881,.T.); #288553=ORIENTED_EDGE('',*,*,#211883,.F.); #288554=ORIENTED_EDGE('',*,*,#211884,.F.); #288555=ORIENTED_EDGE('',*,*,#211885,.T.); #288556=ORIENTED_EDGE('',*,*,#211884,.T.); #288557=ORIENTED_EDGE('',*,*,#211886,.F.); #288558=ORIENTED_EDGE('',*,*,#211887,.F.); #288559=ORIENTED_EDGE('',*,*,#211888,.T.); #288560=ORIENTED_EDGE('',*,*,#211887,.T.); #288561=ORIENTED_EDGE('',*,*,#211889,.F.); #288562=ORIENTED_EDGE('',*,*,#211890,.F.); #288563=ORIENTED_EDGE('',*,*,#211891,.T.); #288564=ORIENTED_EDGE('',*,*,#211890,.T.); #288565=ORIENTED_EDGE('',*,*,#211892,.F.); #288566=ORIENTED_EDGE('',*,*,#211893,.F.); #288567=ORIENTED_EDGE('',*,*,#211894,.T.); #288568=ORIENTED_EDGE('',*,*,#211893,.T.); #288569=ORIENTED_EDGE('',*,*,#211895,.F.); #288570=ORIENTED_EDGE('',*,*,#211896,.F.); #288571=ORIENTED_EDGE('',*,*,#211897,.T.); #288572=ORIENTED_EDGE('',*,*,#211896,.T.); #288573=ORIENTED_EDGE('',*,*,#211898,.F.); #288574=ORIENTED_EDGE('',*,*,#211899,.F.); #288575=ORIENTED_EDGE('',*,*,#211900,.T.); #288576=ORIENTED_EDGE('',*,*,#211899,.T.); #288577=ORIENTED_EDGE('',*,*,#211901,.F.); #288578=ORIENTED_EDGE('',*,*,#211902,.F.); #288579=ORIENTED_EDGE('',*,*,#211903,.T.); #288580=ORIENTED_EDGE('',*,*,#211902,.T.); #288581=ORIENTED_EDGE('',*,*,#211904,.F.); #288582=ORIENTED_EDGE('',*,*,#211905,.F.); #288583=ORIENTED_EDGE('',*,*,#211906,.T.); #288584=ORIENTED_EDGE('',*,*,#211905,.T.); #288585=ORIENTED_EDGE('',*,*,#211907,.F.); #288586=ORIENTED_EDGE('',*,*,#211908,.F.); #288587=ORIENTED_EDGE('',*,*,#211909,.T.); #288588=ORIENTED_EDGE('',*,*,#211908,.T.); #288589=ORIENTED_EDGE('',*,*,#211910,.F.); #288590=ORIENTED_EDGE('',*,*,#211911,.F.); #288591=ORIENTED_EDGE('',*,*,#211912,.T.); #288592=ORIENTED_EDGE('',*,*,#211911,.T.); #288593=ORIENTED_EDGE('',*,*,#211913,.F.); #288594=ORIENTED_EDGE('',*,*,#211914,.F.); #288595=ORIENTED_EDGE('',*,*,#211915,.T.); #288596=ORIENTED_EDGE('',*,*,#211914,.T.); #288597=ORIENTED_EDGE('',*,*,#211916,.F.); #288598=ORIENTED_EDGE('',*,*,#211917,.F.); #288599=ORIENTED_EDGE('',*,*,#211918,.T.); #288600=ORIENTED_EDGE('',*,*,#211917,.T.); #288601=ORIENTED_EDGE('',*,*,#211919,.F.); #288602=ORIENTED_EDGE('',*,*,#211920,.F.); #288603=ORIENTED_EDGE('',*,*,#211921,.T.); #288604=ORIENTED_EDGE('',*,*,#211920,.T.); #288605=ORIENTED_EDGE('',*,*,#211922,.F.); #288606=ORIENTED_EDGE('',*,*,#211923,.F.); #288607=ORIENTED_EDGE('',*,*,#211924,.T.); #288608=ORIENTED_EDGE('',*,*,#211923,.T.); #288609=ORIENTED_EDGE('',*,*,#211925,.F.); #288610=ORIENTED_EDGE('',*,*,#211926,.F.); #288611=ORIENTED_EDGE('',*,*,#211927,.T.); #288612=ORIENTED_EDGE('',*,*,#211926,.T.); #288613=ORIENTED_EDGE('',*,*,#211928,.F.); #288614=ORIENTED_EDGE('',*,*,#211929,.F.); #288615=ORIENTED_EDGE('',*,*,#211930,.T.); #288616=ORIENTED_EDGE('',*,*,#211929,.T.); #288617=ORIENTED_EDGE('',*,*,#211931,.F.); #288618=ORIENTED_EDGE('',*,*,#211932,.F.); #288619=ORIENTED_EDGE('',*,*,#211933,.T.); #288620=ORIENTED_EDGE('',*,*,#211932,.T.); #288621=ORIENTED_EDGE('',*,*,#211934,.F.); #288622=ORIENTED_EDGE('',*,*,#211935,.F.); #288623=ORIENTED_EDGE('',*,*,#211936,.T.); #288624=ORIENTED_EDGE('',*,*,#211935,.T.); #288625=ORIENTED_EDGE('',*,*,#211937,.F.); #288626=ORIENTED_EDGE('',*,*,#211938,.F.); #288627=ORIENTED_EDGE('',*,*,#211939,.T.); #288628=ORIENTED_EDGE('',*,*,#211938,.T.); #288629=ORIENTED_EDGE('',*,*,#211940,.F.); #288630=ORIENTED_EDGE('',*,*,#211941,.F.); #288631=ORIENTED_EDGE('',*,*,#211942,.T.); #288632=ORIENTED_EDGE('',*,*,#211941,.T.); #288633=ORIENTED_EDGE('',*,*,#211943,.F.); #288634=ORIENTED_EDGE('',*,*,#211944,.F.); #288635=ORIENTED_EDGE('',*,*,#211945,.T.); #288636=ORIENTED_EDGE('',*,*,#211944,.T.); #288637=ORIENTED_EDGE('',*,*,#211946,.F.); #288638=ORIENTED_EDGE('',*,*,#211947,.F.); #288639=ORIENTED_EDGE('',*,*,#211948,.T.); #288640=ORIENTED_EDGE('',*,*,#211947,.T.); #288641=ORIENTED_EDGE('',*,*,#211949,.F.); #288642=ORIENTED_EDGE('',*,*,#211950,.F.); #288643=ORIENTED_EDGE('',*,*,#211951,.T.); #288644=ORIENTED_EDGE('',*,*,#211950,.T.); #288645=ORIENTED_EDGE('',*,*,#211952,.F.); #288646=ORIENTED_EDGE('',*,*,#211953,.F.); #288647=ORIENTED_EDGE('',*,*,#211954,.T.); #288648=ORIENTED_EDGE('',*,*,#211953,.T.); #288649=ORIENTED_EDGE('',*,*,#211955,.F.); #288650=ORIENTED_EDGE('',*,*,#211956,.F.); #288651=ORIENTED_EDGE('',*,*,#211957,.T.); #288652=ORIENTED_EDGE('',*,*,#211956,.T.); #288653=ORIENTED_EDGE('',*,*,#211958,.F.); #288654=ORIENTED_EDGE('',*,*,#211959,.F.); #288655=ORIENTED_EDGE('',*,*,#211960,.T.); #288656=ORIENTED_EDGE('',*,*,#211959,.T.); #288657=ORIENTED_EDGE('',*,*,#211961,.F.); #288658=ORIENTED_EDGE('',*,*,#211962,.F.); #288659=ORIENTED_EDGE('',*,*,#211963,.T.); #288660=ORIENTED_EDGE('',*,*,#211962,.T.); #288661=ORIENTED_EDGE('',*,*,#211964,.F.); #288662=ORIENTED_EDGE('',*,*,#211965,.F.); #288663=ORIENTED_EDGE('',*,*,#211966,.T.); #288664=ORIENTED_EDGE('',*,*,#211965,.T.); #288665=ORIENTED_EDGE('',*,*,#211967,.F.); #288666=ORIENTED_EDGE('',*,*,#211968,.F.); #288667=ORIENTED_EDGE('',*,*,#211969,.T.); #288668=ORIENTED_EDGE('',*,*,#211968,.T.); #288669=ORIENTED_EDGE('',*,*,#211970,.F.); #288670=ORIENTED_EDGE('',*,*,#211971,.F.); #288671=ORIENTED_EDGE('',*,*,#211972,.T.); #288672=ORIENTED_EDGE('',*,*,#211971,.T.); #288673=ORIENTED_EDGE('',*,*,#211973,.F.); #288674=ORIENTED_EDGE('',*,*,#211974,.F.); #288675=ORIENTED_EDGE('',*,*,#211975,.T.); #288676=ORIENTED_EDGE('',*,*,#211974,.T.); #288677=ORIENTED_EDGE('',*,*,#211976,.F.); #288678=ORIENTED_EDGE('',*,*,#211977,.F.); #288679=ORIENTED_EDGE('',*,*,#211978,.T.); #288680=ORIENTED_EDGE('',*,*,#211977,.T.); #288681=ORIENTED_EDGE('',*,*,#211979,.F.); #288682=ORIENTED_EDGE('',*,*,#211980,.F.); #288683=ORIENTED_EDGE('',*,*,#211981,.T.); #288684=ORIENTED_EDGE('',*,*,#211980,.T.); #288685=ORIENTED_EDGE('',*,*,#211982,.F.); #288686=ORIENTED_EDGE('',*,*,#211983,.F.); #288687=ORIENTED_EDGE('',*,*,#211984,.T.); #288688=ORIENTED_EDGE('',*,*,#211983,.T.); #288689=ORIENTED_EDGE('',*,*,#211985,.F.); #288690=ORIENTED_EDGE('',*,*,#211986,.F.); #288691=ORIENTED_EDGE('',*,*,#211987,.T.); #288692=ORIENTED_EDGE('',*,*,#211986,.T.); #288693=ORIENTED_EDGE('',*,*,#211988,.F.); #288694=ORIENTED_EDGE('',*,*,#211989,.F.); #288695=ORIENTED_EDGE('',*,*,#211990,.T.); #288696=ORIENTED_EDGE('',*,*,#211989,.T.); #288697=ORIENTED_EDGE('',*,*,#211991,.F.); #288698=ORIENTED_EDGE('',*,*,#211992,.F.); #288699=ORIENTED_EDGE('',*,*,#211993,.T.); #288700=ORIENTED_EDGE('',*,*,#211992,.T.); #288701=ORIENTED_EDGE('',*,*,#211994,.F.); #288702=ORIENTED_EDGE('',*,*,#211995,.F.); #288703=ORIENTED_EDGE('',*,*,#211996,.T.); #288704=ORIENTED_EDGE('',*,*,#211995,.T.); #288705=ORIENTED_EDGE('',*,*,#211997,.F.); #288706=ORIENTED_EDGE('',*,*,#211998,.F.); #288707=ORIENTED_EDGE('',*,*,#211999,.T.); #288708=ORIENTED_EDGE('',*,*,#211998,.T.); #288709=ORIENTED_EDGE('',*,*,#212000,.F.); #288710=ORIENTED_EDGE('',*,*,#212001,.F.); #288711=ORIENTED_EDGE('',*,*,#212002,.T.); #288712=ORIENTED_EDGE('',*,*,#212001,.T.); #288713=ORIENTED_EDGE('',*,*,#212003,.F.); #288714=ORIENTED_EDGE('',*,*,#212004,.F.); #288715=ORIENTED_EDGE('',*,*,#212005,.T.); #288716=ORIENTED_EDGE('',*,*,#212004,.T.); #288717=ORIENTED_EDGE('',*,*,#212006,.F.); #288718=ORIENTED_EDGE('',*,*,#212007,.F.); #288719=ORIENTED_EDGE('',*,*,#212008,.T.); #288720=ORIENTED_EDGE('',*,*,#212007,.T.); #288721=ORIENTED_EDGE('',*,*,#212009,.F.); #288722=ORIENTED_EDGE('',*,*,#212010,.F.); #288723=ORIENTED_EDGE('',*,*,#212011,.T.); #288724=ORIENTED_EDGE('',*,*,#212010,.T.); #288725=ORIENTED_EDGE('',*,*,#212012,.F.); #288726=ORIENTED_EDGE('',*,*,#212013,.F.); #288727=ORIENTED_EDGE('',*,*,#212014,.T.); #288728=ORIENTED_EDGE('',*,*,#212013,.T.); #288729=ORIENTED_EDGE('',*,*,#212015,.F.); #288730=ORIENTED_EDGE('',*,*,#212016,.F.); #288731=ORIENTED_EDGE('',*,*,#212017,.T.); #288732=ORIENTED_EDGE('',*,*,#212016,.T.); #288733=ORIENTED_EDGE('',*,*,#212018,.F.); #288734=ORIENTED_EDGE('',*,*,#212019,.F.); #288735=ORIENTED_EDGE('',*,*,#212020,.T.); #288736=ORIENTED_EDGE('',*,*,#212019,.T.); #288737=ORIENTED_EDGE('',*,*,#212021,.F.); #288738=ORIENTED_EDGE('',*,*,#212022,.F.); #288739=ORIENTED_EDGE('',*,*,#212023,.T.); #288740=ORIENTED_EDGE('',*,*,#212022,.T.); #288741=ORIENTED_EDGE('',*,*,#212024,.F.); #288742=ORIENTED_EDGE('',*,*,#212025,.F.); #288743=ORIENTED_EDGE('',*,*,#212026,.T.); #288744=ORIENTED_EDGE('',*,*,#212025,.T.); #288745=ORIENTED_EDGE('',*,*,#212027,.F.); #288746=ORIENTED_EDGE('',*,*,#212028,.F.); #288747=ORIENTED_EDGE('',*,*,#212029,.T.); #288748=ORIENTED_EDGE('',*,*,#212028,.T.); #288749=ORIENTED_EDGE('',*,*,#212030,.F.); #288750=ORIENTED_EDGE('',*,*,#212031,.F.); #288751=ORIENTED_EDGE('',*,*,#212032,.T.); #288752=ORIENTED_EDGE('',*,*,#212031,.T.); #288753=ORIENTED_EDGE('',*,*,#212033,.F.); #288754=ORIENTED_EDGE('',*,*,#212034,.F.); #288755=ORIENTED_EDGE('',*,*,#212035,.T.); #288756=ORIENTED_EDGE('',*,*,#212034,.T.); #288757=ORIENTED_EDGE('',*,*,#212036,.F.); #288758=ORIENTED_EDGE('',*,*,#212037,.F.); #288759=ORIENTED_EDGE('',*,*,#212038,.T.); #288760=ORIENTED_EDGE('',*,*,#212037,.T.); #288761=ORIENTED_EDGE('',*,*,#212039,.F.); #288762=ORIENTED_EDGE('',*,*,#212040,.F.); #288763=ORIENTED_EDGE('',*,*,#212041,.T.); #288764=ORIENTED_EDGE('',*,*,#212040,.T.); #288765=ORIENTED_EDGE('',*,*,#212042,.F.); #288766=ORIENTED_EDGE('',*,*,#212043,.F.); #288767=ORIENTED_EDGE('',*,*,#212044,.T.); #288768=ORIENTED_EDGE('',*,*,#212043,.T.); #288769=ORIENTED_EDGE('',*,*,#212045,.F.); #288770=ORIENTED_EDGE('',*,*,#212046,.F.); #288771=ORIENTED_EDGE('',*,*,#212047,.T.); #288772=ORIENTED_EDGE('',*,*,#212046,.T.); #288773=ORIENTED_EDGE('',*,*,#212048,.F.); #288774=ORIENTED_EDGE('',*,*,#212049,.F.); #288775=ORIENTED_EDGE('',*,*,#212050,.T.); #288776=ORIENTED_EDGE('',*,*,#212049,.T.); #288777=ORIENTED_EDGE('',*,*,#212051,.F.); #288778=ORIENTED_EDGE('',*,*,#212052,.F.); #288779=ORIENTED_EDGE('',*,*,#212053,.T.); #288780=ORIENTED_EDGE('',*,*,#212052,.T.); #288781=ORIENTED_EDGE('',*,*,#212054,.F.); #288782=ORIENTED_EDGE('',*,*,#212055,.F.); #288783=ORIENTED_EDGE('',*,*,#212056,.T.); #288784=ORIENTED_EDGE('',*,*,#212055,.T.); #288785=ORIENTED_EDGE('',*,*,#212057,.F.); #288786=ORIENTED_EDGE('',*,*,#212058,.F.); #288787=ORIENTED_EDGE('',*,*,#212059,.T.); #288788=ORIENTED_EDGE('',*,*,#212058,.T.); #288789=ORIENTED_EDGE('',*,*,#212060,.F.); #288790=ORIENTED_EDGE('',*,*,#212061,.F.); #288791=ORIENTED_EDGE('',*,*,#212062,.T.); #288792=ORIENTED_EDGE('',*,*,#212061,.T.); #288793=ORIENTED_EDGE('',*,*,#212063,.F.); #288794=ORIENTED_EDGE('',*,*,#212064,.F.); #288795=ORIENTED_EDGE('',*,*,#212065,.T.); #288796=ORIENTED_EDGE('',*,*,#212064,.T.); #288797=ORIENTED_EDGE('',*,*,#212066,.F.); #288798=ORIENTED_EDGE('',*,*,#212067,.F.); #288799=ORIENTED_EDGE('',*,*,#212068,.T.); #288800=ORIENTED_EDGE('',*,*,#212067,.T.); #288801=ORIENTED_EDGE('',*,*,#212069,.F.); #288802=ORIENTED_EDGE('',*,*,#212070,.F.); #288803=ORIENTED_EDGE('',*,*,#212071,.T.); #288804=ORIENTED_EDGE('',*,*,#212070,.T.); #288805=ORIENTED_EDGE('',*,*,#212072,.F.); #288806=ORIENTED_EDGE('',*,*,#212073,.F.); #288807=ORIENTED_EDGE('',*,*,#212074,.T.); #288808=ORIENTED_EDGE('',*,*,#212073,.T.); #288809=ORIENTED_EDGE('',*,*,#212075,.F.); #288810=ORIENTED_EDGE('',*,*,#212076,.F.); #288811=ORIENTED_EDGE('',*,*,#212077,.T.); #288812=ORIENTED_EDGE('',*,*,#212076,.T.); #288813=ORIENTED_EDGE('',*,*,#212078,.F.); #288814=ORIENTED_EDGE('',*,*,#212079,.F.); #288815=ORIENTED_EDGE('',*,*,#212080,.T.); #288816=ORIENTED_EDGE('',*,*,#212079,.T.); #288817=ORIENTED_EDGE('',*,*,#212081,.F.); #288818=ORIENTED_EDGE('',*,*,#212082,.F.); #288819=ORIENTED_EDGE('',*,*,#212083,.T.); #288820=ORIENTED_EDGE('',*,*,#212082,.T.); #288821=ORIENTED_EDGE('',*,*,#212084,.F.); #288822=ORIENTED_EDGE('',*,*,#212085,.F.); #288823=ORIENTED_EDGE('',*,*,#212086,.T.); #288824=ORIENTED_EDGE('',*,*,#212085,.T.); #288825=ORIENTED_EDGE('',*,*,#212087,.F.); #288826=ORIENTED_EDGE('',*,*,#212088,.F.); #288827=ORIENTED_EDGE('',*,*,#212089,.T.); #288828=ORIENTED_EDGE('',*,*,#212088,.T.); #288829=ORIENTED_EDGE('',*,*,#212090,.F.); #288830=ORIENTED_EDGE('',*,*,#212091,.F.); #288831=ORIENTED_EDGE('',*,*,#212092,.T.); #288832=ORIENTED_EDGE('',*,*,#212091,.T.); #288833=ORIENTED_EDGE('',*,*,#212093,.F.); #288834=ORIENTED_EDGE('',*,*,#212094,.F.); #288835=ORIENTED_EDGE('',*,*,#212095,.T.); #288836=ORIENTED_EDGE('',*,*,#212094,.T.); #288837=ORIENTED_EDGE('',*,*,#212096,.F.); #288838=ORIENTED_EDGE('',*,*,#212097,.F.); #288839=ORIENTED_EDGE('',*,*,#212098,.T.); #288840=ORIENTED_EDGE('',*,*,#212097,.T.); #288841=ORIENTED_EDGE('',*,*,#212099,.F.); #288842=ORIENTED_EDGE('',*,*,#212100,.F.); #288843=ORIENTED_EDGE('',*,*,#212101,.T.); #288844=ORIENTED_EDGE('',*,*,#212100,.T.); #288845=ORIENTED_EDGE('',*,*,#212102,.F.); #288846=ORIENTED_EDGE('',*,*,#212103,.F.); #288847=ORIENTED_EDGE('',*,*,#212104,.T.); #288848=ORIENTED_EDGE('',*,*,#212103,.T.); #288849=ORIENTED_EDGE('',*,*,#212105,.F.); #288850=ORIENTED_EDGE('',*,*,#212106,.F.); #288851=ORIENTED_EDGE('',*,*,#212107,.T.); #288852=ORIENTED_EDGE('',*,*,#212106,.T.); #288853=ORIENTED_EDGE('',*,*,#212108,.F.); #288854=ORIENTED_EDGE('',*,*,#212109,.F.); #288855=ORIENTED_EDGE('',*,*,#212110,.T.); #288856=ORIENTED_EDGE('',*,*,#212109,.T.); #288857=ORIENTED_EDGE('',*,*,#212111,.F.); #288858=ORIENTED_EDGE('',*,*,#212112,.F.); #288859=ORIENTED_EDGE('',*,*,#212113,.T.); #288860=ORIENTED_EDGE('',*,*,#212112,.T.); #288861=ORIENTED_EDGE('',*,*,#212114,.F.); #288862=ORIENTED_EDGE('',*,*,#212115,.F.); #288863=ORIENTED_EDGE('',*,*,#212116,.T.); #288864=ORIENTED_EDGE('',*,*,#212115,.T.); #288865=ORIENTED_EDGE('',*,*,#212117,.F.); #288866=ORIENTED_EDGE('',*,*,#212118,.F.); #288867=ORIENTED_EDGE('',*,*,#212119,.T.); #288868=ORIENTED_EDGE('',*,*,#212118,.T.); #288869=ORIENTED_EDGE('',*,*,#212120,.F.); #288870=ORIENTED_EDGE('',*,*,#212121,.F.); #288871=ORIENTED_EDGE('',*,*,#212122,.T.); #288872=ORIENTED_EDGE('',*,*,#212121,.T.); #288873=ORIENTED_EDGE('',*,*,#212123,.F.); #288874=ORIENTED_EDGE('',*,*,#212124,.F.); #288875=ORIENTED_EDGE('',*,*,#212125,.T.); #288876=ORIENTED_EDGE('',*,*,#212124,.T.); #288877=ORIENTED_EDGE('',*,*,#212126,.F.); #288878=ORIENTED_EDGE('',*,*,#212127,.F.); #288879=ORIENTED_EDGE('',*,*,#212128,.T.); #288880=ORIENTED_EDGE('',*,*,#212127,.T.); #288881=ORIENTED_EDGE('',*,*,#212129,.F.); #288882=ORIENTED_EDGE('',*,*,#212130,.F.); #288883=ORIENTED_EDGE('',*,*,#212131,.T.); #288884=ORIENTED_EDGE('',*,*,#212130,.T.); #288885=ORIENTED_EDGE('',*,*,#212132,.F.); #288886=ORIENTED_EDGE('',*,*,#212133,.F.); #288887=ORIENTED_EDGE('',*,*,#212134,.T.); #288888=ORIENTED_EDGE('',*,*,#212133,.T.); #288889=ORIENTED_EDGE('',*,*,#212135,.F.); #288890=ORIENTED_EDGE('',*,*,#212136,.F.); #288891=ORIENTED_EDGE('',*,*,#212137,.T.); #288892=ORIENTED_EDGE('',*,*,#212136,.T.); #288893=ORIENTED_EDGE('',*,*,#212138,.F.); #288894=ORIENTED_EDGE('',*,*,#212139,.F.); #288895=ORIENTED_EDGE('',*,*,#212140,.T.); #288896=ORIENTED_EDGE('',*,*,#212139,.T.); #288897=ORIENTED_EDGE('',*,*,#212141,.F.); #288898=ORIENTED_EDGE('',*,*,#212142,.F.); #288899=ORIENTED_EDGE('',*,*,#212143,.T.); #288900=ORIENTED_EDGE('',*,*,#212142,.T.); #288901=ORIENTED_EDGE('',*,*,#212144,.F.); #288902=ORIENTED_EDGE('',*,*,#212145,.F.); #288903=ORIENTED_EDGE('',*,*,#212146,.T.); #288904=ORIENTED_EDGE('',*,*,#212145,.T.); #288905=ORIENTED_EDGE('',*,*,#212147,.F.); #288906=ORIENTED_EDGE('',*,*,#212148,.F.); #288907=ORIENTED_EDGE('',*,*,#212149,.T.); #288908=ORIENTED_EDGE('',*,*,#212148,.T.); #288909=ORIENTED_EDGE('',*,*,#212150,.F.); #288910=ORIENTED_EDGE('',*,*,#212151,.F.); #288911=ORIENTED_EDGE('',*,*,#212152,.T.); #288912=ORIENTED_EDGE('',*,*,#212151,.T.); #288913=ORIENTED_EDGE('',*,*,#212153,.F.); #288914=ORIENTED_EDGE('',*,*,#212154,.F.); #288915=ORIENTED_EDGE('',*,*,#212155,.T.); #288916=ORIENTED_EDGE('',*,*,#212154,.T.); #288917=ORIENTED_EDGE('',*,*,#212156,.F.); #288918=ORIENTED_EDGE('',*,*,#212157,.F.); #288919=ORIENTED_EDGE('',*,*,#212158,.T.); #288920=ORIENTED_EDGE('',*,*,#212157,.T.); #288921=ORIENTED_EDGE('',*,*,#212159,.F.); #288922=ORIENTED_EDGE('',*,*,#212160,.F.); #288923=ORIENTED_EDGE('',*,*,#212161,.T.); #288924=ORIENTED_EDGE('',*,*,#212160,.T.); #288925=ORIENTED_EDGE('',*,*,#212162,.F.); #288926=ORIENTED_EDGE('',*,*,#212163,.F.); #288927=ORIENTED_EDGE('',*,*,#212164,.T.); #288928=ORIENTED_EDGE('',*,*,#212163,.T.); #288929=ORIENTED_EDGE('',*,*,#212165,.F.); #288930=ORIENTED_EDGE('',*,*,#212166,.F.); #288931=ORIENTED_EDGE('',*,*,#212167,.T.); #288932=ORIENTED_EDGE('',*,*,#212166,.T.); #288933=ORIENTED_EDGE('',*,*,#212168,.F.); #288934=ORIENTED_EDGE('',*,*,#212169,.F.); #288935=ORIENTED_EDGE('',*,*,#212170,.T.); #288936=ORIENTED_EDGE('',*,*,#212169,.T.); #288937=ORIENTED_EDGE('',*,*,#212171,.F.); #288938=ORIENTED_EDGE('',*,*,#212172,.F.); #288939=ORIENTED_EDGE('',*,*,#212173,.T.); #288940=ORIENTED_EDGE('',*,*,#212172,.T.); #288941=ORIENTED_EDGE('',*,*,#212174,.F.); #288942=ORIENTED_EDGE('',*,*,#212175,.F.); #288943=ORIENTED_EDGE('',*,*,#212176,.T.); #288944=ORIENTED_EDGE('',*,*,#212175,.T.); #288945=ORIENTED_EDGE('',*,*,#212177,.F.); #288946=ORIENTED_EDGE('',*,*,#212178,.F.); #288947=ORIENTED_EDGE('',*,*,#212179,.T.); #288948=ORIENTED_EDGE('',*,*,#212178,.T.); #288949=ORIENTED_EDGE('',*,*,#212180,.F.); #288950=ORIENTED_EDGE('',*,*,#212181,.F.); #288951=ORIENTED_EDGE('',*,*,#212182,.T.); #288952=ORIENTED_EDGE('',*,*,#212181,.T.); #288953=ORIENTED_EDGE('',*,*,#212183,.F.); #288954=ORIENTED_EDGE('',*,*,#212184,.F.); #288955=ORIENTED_EDGE('',*,*,#212185,.T.); #288956=ORIENTED_EDGE('',*,*,#212184,.T.); #288957=ORIENTED_EDGE('',*,*,#212186,.F.); #288958=ORIENTED_EDGE('',*,*,#212187,.F.); #288959=ORIENTED_EDGE('',*,*,#212188,.T.); #288960=ORIENTED_EDGE('',*,*,#212187,.T.); #288961=ORIENTED_EDGE('',*,*,#212189,.F.); #288962=ORIENTED_EDGE('',*,*,#212190,.F.); #288963=ORIENTED_EDGE('',*,*,#212191,.T.); #288964=ORIENTED_EDGE('',*,*,#212190,.T.); #288965=ORIENTED_EDGE('',*,*,#212192,.F.); #288966=ORIENTED_EDGE('',*,*,#212193,.F.); #288967=ORIENTED_EDGE('',*,*,#212194,.T.); #288968=ORIENTED_EDGE('',*,*,#212193,.T.); #288969=ORIENTED_EDGE('',*,*,#212195,.F.); #288970=ORIENTED_EDGE('',*,*,#212196,.F.); #288971=ORIENTED_EDGE('',*,*,#212197,.T.); #288972=ORIENTED_EDGE('',*,*,#212196,.T.); #288973=ORIENTED_EDGE('',*,*,#212198,.F.); #288974=ORIENTED_EDGE('',*,*,#212199,.F.); #288975=ORIENTED_EDGE('',*,*,#212200,.T.); #288976=ORIENTED_EDGE('',*,*,#212199,.T.); #288977=ORIENTED_EDGE('',*,*,#212201,.F.); #288978=ORIENTED_EDGE('',*,*,#212202,.F.); #288979=ORIENTED_EDGE('',*,*,#212203,.T.); #288980=ORIENTED_EDGE('',*,*,#212202,.T.); #288981=ORIENTED_EDGE('',*,*,#212204,.F.); #288982=ORIENTED_EDGE('',*,*,#212205,.F.); #288983=ORIENTED_EDGE('',*,*,#212206,.T.); #288984=ORIENTED_EDGE('',*,*,#212205,.T.); #288985=ORIENTED_EDGE('',*,*,#212207,.F.); #288986=ORIENTED_EDGE('',*,*,#212208,.F.); #288987=ORIENTED_EDGE('',*,*,#212209,.T.); #288988=ORIENTED_EDGE('',*,*,#212208,.T.); #288989=ORIENTED_EDGE('',*,*,#212210,.F.); #288990=ORIENTED_EDGE('',*,*,#212211,.F.); #288991=ORIENTED_EDGE('',*,*,#212212,.T.); #288992=ORIENTED_EDGE('',*,*,#212211,.T.); #288993=ORIENTED_EDGE('',*,*,#212213,.F.); #288994=ORIENTED_EDGE('',*,*,#212214,.F.); #288995=ORIENTED_EDGE('',*,*,#212215,.T.); #288996=ORIENTED_EDGE('',*,*,#212214,.T.); #288997=ORIENTED_EDGE('',*,*,#212216,.F.); #288998=ORIENTED_EDGE('',*,*,#212217,.F.); #288999=ORIENTED_EDGE('',*,*,#212218,.T.); #289000=ORIENTED_EDGE('',*,*,#212217,.T.); #289001=ORIENTED_EDGE('',*,*,#212219,.F.); #289002=ORIENTED_EDGE('',*,*,#212220,.F.); #289003=ORIENTED_EDGE('',*,*,#212221,.T.); #289004=ORIENTED_EDGE('',*,*,#212220,.T.); #289005=ORIENTED_EDGE('',*,*,#212222,.F.); #289006=ORIENTED_EDGE('',*,*,#212223,.F.); #289007=ORIENTED_EDGE('',*,*,#212224,.T.); #289008=ORIENTED_EDGE('',*,*,#212223,.T.); #289009=ORIENTED_EDGE('',*,*,#212225,.F.); #289010=ORIENTED_EDGE('',*,*,#212226,.F.); #289011=ORIENTED_EDGE('',*,*,#212227,.T.); #289012=ORIENTED_EDGE('',*,*,#212226,.T.); #289013=ORIENTED_EDGE('',*,*,#212228,.F.); #289014=ORIENTED_EDGE('',*,*,#212229,.F.); #289015=ORIENTED_EDGE('',*,*,#212230,.T.); #289016=ORIENTED_EDGE('',*,*,#212229,.T.); #289017=ORIENTED_EDGE('',*,*,#212231,.F.); #289018=ORIENTED_EDGE('',*,*,#212232,.F.); #289019=ORIENTED_EDGE('',*,*,#212233,.T.); #289020=ORIENTED_EDGE('',*,*,#212232,.T.); #289021=ORIENTED_EDGE('',*,*,#212234,.F.); #289022=ORIENTED_EDGE('',*,*,#212235,.F.); #289023=ORIENTED_EDGE('',*,*,#212236,.T.); #289024=ORIENTED_EDGE('',*,*,#212235,.T.); #289025=ORIENTED_EDGE('',*,*,#212237,.F.); #289026=ORIENTED_EDGE('',*,*,#212238,.F.); #289027=ORIENTED_EDGE('',*,*,#212239,.T.); #289028=ORIENTED_EDGE('',*,*,#212238,.T.); #289029=ORIENTED_EDGE('',*,*,#212240,.F.); #289030=ORIENTED_EDGE('',*,*,#212241,.F.); #289031=ORIENTED_EDGE('',*,*,#212242,.T.); #289032=ORIENTED_EDGE('',*,*,#212241,.T.); #289033=ORIENTED_EDGE('',*,*,#212243,.F.); #289034=ORIENTED_EDGE('',*,*,#212244,.F.); #289035=ORIENTED_EDGE('',*,*,#212245,.T.); #289036=ORIENTED_EDGE('',*,*,#212244,.T.); #289037=ORIENTED_EDGE('',*,*,#212246,.F.); #289038=ORIENTED_EDGE('',*,*,#212247,.F.); #289039=ORIENTED_EDGE('',*,*,#212248,.T.); #289040=ORIENTED_EDGE('',*,*,#212247,.T.); #289041=ORIENTED_EDGE('',*,*,#212249,.F.); #289042=ORIENTED_EDGE('',*,*,#212250,.F.); #289043=ORIENTED_EDGE('',*,*,#212251,.T.); #289044=ORIENTED_EDGE('',*,*,#212250,.T.); #289045=ORIENTED_EDGE('',*,*,#212252,.F.); #289046=ORIENTED_EDGE('',*,*,#212253,.F.); #289047=ORIENTED_EDGE('',*,*,#212254,.T.); #289048=ORIENTED_EDGE('',*,*,#212253,.T.); #289049=ORIENTED_EDGE('',*,*,#212255,.F.); #289050=ORIENTED_EDGE('',*,*,#212256,.F.); #289051=ORIENTED_EDGE('',*,*,#212257,.T.); #289052=ORIENTED_EDGE('',*,*,#212256,.T.); #289053=ORIENTED_EDGE('',*,*,#212258,.F.); #289054=ORIENTED_EDGE('',*,*,#212259,.F.); #289055=ORIENTED_EDGE('',*,*,#212260,.T.); #289056=ORIENTED_EDGE('',*,*,#212259,.T.); #289057=ORIENTED_EDGE('',*,*,#212261,.F.); #289058=ORIENTED_EDGE('',*,*,#212262,.F.); #289059=ORIENTED_EDGE('',*,*,#212263,.T.); #289060=ORIENTED_EDGE('',*,*,#212262,.T.); #289061=ORIENTED_EDGE('',*,*,#212264,.F.); #289062=ORIENTED_EDGE('',*,*,#212265,.F.); #289063=ORIENTED_EDGE('',*,*,#212266,.T.); #289064=ORIENTED_EDGE('',*,*,#212265,.T.); #289065=ORIENTED_EDGE('',*,*,#212267,.F.); #289066=ORIENTED_EDGE('',*,*,#212268,.F.); #289067=ORIENTED_EDGE('',*,*,#212269,.T.); #289068=ORIENTED_EDGE('',*,*,#212268,.T.); #289069=ORIENTED_EDGE('',*,*,#212270,.F.); #289070=ORIENTED_EDGE('',*,*,#212271,.F.); #289071=ORIENTED_EDGE('',*,*,#212272,.T.); #289072=ORIENTED_EDGE('',*,*,#212271,.T.); #289073=ORIENTED_EDGE('',*,*,#212273,.F.); #289074=ORIENTED_EDGE('',*,*,#212274,.F.); #289075=ORIENTED_EDGE('',*,*,#212275,.T.); #289076=ORIENTED_EDGE('',*,*,#212274,.T.); #289077=ORIENTED_EDGE('',*,*,#212276,.F.); #289078=ORIENTED_EDGE('',*,*,#212277,.F.); #289079=ORIENTED_EDGE('',*,*,#212278,.T.); #289080=ORIENTED_EDGE('',*,*,#212277,.T.); #289081=ORIENTED_EDGE('',*,*,#212279,.F.); #289082=ORIENTED_EDGE('',*,*,#212280,.F.); #289083=ORIENTED_EDGE('',*,*,#212281,.T.); #289084=ORIENTED_EDGE('',*,*,#212280,.T.); #289085=ORIENTED_EDGE('',*,*,#212282,.F.); #289086=ORIENTED_EDGE('',*,*,#212283,.F.); #289087=ORIENTED_EDGE('',*,*,#212284,.T.); #289088=ORIENTED_EDGE('',*,*,#212283,.T.); #289089=ORIENTED_EDGE('',*,*,#212285,.F.); #289090=ORIENTED_EDGE('',*,*,#212286,.F.); #289091=ORIENTED_EDGE('',*,*,#212287,.T.); #289092=ORIENTED_EDGE('',*,*,#212286,.T.); #289093=ORIENTED_EDGE('',*,*,#212288,.F.); #289094=ORIENTED_EDGE('',*,*,#212289,.F.); #289095=ORIENTED_EDGE('',*,*,#212290,.T.); #289096=ORIENTED_EDGE('',*,*,#212289,.T.); #289097=ORIENTED_EDGE('',*,*,#212291,.F.); #289098=ORIENTED_EDGE('',*,*,#212292,.F.); #289099=ORIENTED_EDGE('',*,*,#212293,.T.); #289100=ORIENTED_EDGE('',*,*,#212292,.T.); #289101=ORIENTED_EDGE('',*,*,#212294,.F.); #289102=ORIENTED_EDGE('',*,*,#212295,.F.); #289103=ORIENTED_EDGE('',*,*,#212296,.T.); #289104=ORIENTED_EDGE('',*,*,#212295,.T.); #289105=ORIENTED_EDGE('',*,*,#212297,.F.); #289106=ORIENTED_EDGE('',*,*,#212298,.F.); #289107=ORIENTED_EDGE('',*,*,#212299,.T.); #289108=ORIENTED_EDGE('',*,*,#212298,.T.); #289109=ORIENTED_EDGE('',*,*,#212300,.F.); #289110=ORIENTED_EDGE('',*,*,#212301,.F.); #289111=ORIENTED_EDGE('',*,*,#212302,.T.); #289112=ORIENTED_EDGE('',*,*,#212301,.T.); #289113=ORIENTED_EDGE('',*,*,#212303,.F.); #289114=ORIENTED_EDGE('',*,*,#212304,.F.); #289115=ORIENTED_EDGE('',*,*,#212305,.T.); #289116=ORIENTED_EDGE('',*,*,#212304,.T.); #289117=ORIENTED_EDGE('',*,*,#212306,.F.); #289118=ORIENTED_EDGE('',*,*,#212307,.F.); #289119=ORIENTED_EDGE('',*,*,#212308,.T.); #289120=ORIENTED_EDGE('',*,*,#212307,.T.); #289121=ORIENTED_EDGE('',*,*,#212309,.F.); #289122=ORIENTED_EDGE('',*,*,#212310,.F.); #289123=ORIENTED_EDGE('',*,*,#212311,.T.); #289124=ORIENTED_EDGE('',*,*,#212310,.T.); #289125=ORIENTED_EDGE('',*,*,#212312,.F.); #289126=ORIENTED_EDGE('',*,*,#212313,.F.); #289127=ORIENTED_EDGE('',*,*,#212314,.T.); #289128=ORIENTED_EDGE('',*,*,#212313,.T.); #289129=ORIENTED_EDGE('',*,*,#212315,.F.); #289130=ORIENTED_EDGE('',*,*,#212316,.F.); #289131=ORIENTED_EDGE('',*,*,#212317,.T.); #289132=ORIENTED_EDGE('',*,*,#212316,.T.); #289133=ORIENTED_EDGE('',*,*,#212318,.F.); #289134=ORIENTED_EDGE('',*,*,#212319,.F.); #289135=ORIENTED_EDGE('',*,*,#212320,.T.); #289136=ORIENTED_EDGE('',*,*,#212319,.T.); #289137=ORIENTED_EDGE('',*,*,#212321,.F.); #289138=ORIENTED_EDGE('',*,*,#212322,.F.); #289139=ORIENTED_EDGE('',*,*,#212323,.T.); #289140=ORIENTED_EDGE('',*,*,#212322,.T.); #289141=ORIENTED_EDGE('',*,*,#212324,.F.); #289142=ORIENTED_EDGE('',*,*,#212325,.F.); #289143=ORIENTED_EDGE('',*,*,#212326,.T.); #289144=ORIENTED_EDGE('',*,*,#212325,.T.); #289145=ORIENTED_EDGE('',*,*,#212327,.F.); #289146=ORIENTED_EDGE('',*,*,#212328,.F.); #289147=ORIENTED_EDGE('',*,*,#212329,.T.); #289148=ORIENTED_EDGE('',*,*,#212328,.T.); #289149=ORIENTED_EDGE('',*,*,#212330,.F.); #289150=ORIENTED_EDGE('',*,*,#212331,.F.); #289151=ORIENTED_EDGE('',*,*,#212332,.T.); #289152=ORIENTED_EDGE('',*,*,#212331,.T.); #289153=ORIENTED_EDGE('',*,*,#212333,.F.); #289154=ORIENTED_EDGE('',*,*,#212334,.F.); #289155=ORIENTED_EDGE('',*,*,#212335,.T.); #289156=ORIENTED_EDGE('',*,*,#212334,.T.); #289157=ORIENTED_EDGE('',*,*,#212336,.F.); #289158=ORIENTED_EDGE('',*,*,#212337,.F.); #289159=ORIENTED_EDGE('',*,*,#212338,.T.); #289160=ORIENTED_EDGE('',*,*,#212337,.T.); #289161=ORIENTED_EDGE('',*,*,#212339,.F.); #289162=ORIENTED_EDGE('',*,*,#212340,.F.); #289163=ORIENTED_EDGE('',*,*,#212341,.T.); #289164=ORIENTED_EDGE('',*,*,#212340,.T.); #289165=ORIENTED_EDGE('',*,*,#212342,.F.); #289166=ORIENTED_EDGE('',*,*,#212343,.F.); #289167=ORIENTED_EDGE('',*,*,#212344,.T.); #289168=ORIENTED_EDGE('',*,*,#212343,.T.); #289169=ORIENTED_EDGE('',*,*,#212345,.F.); #289170=ORIENTED_EDGE('',*,*,#212346,.F.); #289171=ORIENTED_EDGE('',*,*,#212347,.T.); #289172=ORIENTED_EDGE('',*,*,#212346,.T.); #289173=ORIENTED_EDGE('',*,*,#212348,.F.); #289174=ORIENTED_EDGE('',*,*,#212349,.F.); #289175=ORIENTED_EDGE('',*,*,#212350,.T.); #289176=ORIENTED_EDGE('',*,*,#212349,.T.); #289177=ORIENTED_EDGE('',*,*,#212351,.F.); #289178=ORIENTED_EDGE('',*,*,#212352,.F.); #289179=ORIENTED_EDGE('',*,*,#212353,.T.); #289180=ORIENTED_EDGE('',*,*,#212352,.T.); #289181=ORIENTED_EDGE('',*,*,#212354,.F.); #289182=ORIENTED_EDGE('',*,*,#212355,.F.); #289183=ORIENTED_EDGE('',*,*,#212356,.T.); #289184=ORIENTED_EDGE('',*,*,#212355,.T.); #289185=ORIENTED_EDGE('',*,*,#212357,.F.); #289186=ORIENTED_EDGE('',*,*,#212358,.F.); #289187=ORIENTED_EDGE('',*,*,#212359,.T.); #289188=ORIENTED_EDGE('',*,*,#212358,.T.); #289189=ORIENTED_EDGE('',*,*,#212360,.F.); #289190=ORIENTED_EDGE('',*,*,#212361,.F.); #289191=ORIENTED_EDGE('',*,*,#212362,.T.); #289192=ORIENTED_EDGE('',*,*,#212361,.T.); #289193=ORIENTED_EDGE('',*,*,#212363,.F.); #289194=ORIENTED_EDGE('',*,*,#212364,.F.); #289195=ORIENTED_EDGE('',*,*,#212365,.T.); #289196=ORIENTED_EDGE('',*,*,#212364,.T.); #289197=ORIENTED_EDGE('',*,*,#212366,.F.); #289198=ORIENTED_EDGE('',*,*,#212367,.F.); #289199=ORIENTED_EDGE('',*,*,#212368,.T.); #289200=ORIENTED_EDGE('',*,*,#212367,.T.); #289201=ORIENTED_EDGE('',*,*,#212369,.F.); #289202=ORIENTED_EDGE('',*,*,#212370,.F.); #289203=ORIENTED_EDGE('',*,*,#212371,.T.); #289204=ORIENTED_EDGE('',*,*,#212370,.T.); #289205=ORIENTED_EDGE('',*,*,#212372,.F.); #289206=ORIENTED_EDGE('',*,*,#212373,.F.); #289207=ORIENTED_EDGE('',*,*,#212374,.T.); #289208=ORIENTED_EDGE('',*,*,#212373,.T.); #289209=ORIENTED_EDGE('',*,*,#212375,.F.); #289210=ORIENTED_EDGE('',*,*,#212376,.F.); #289211=ORIENTED_EDGE('',*,*,#212377,.T.); #289212=ORIENTED_EDGE('',*,*,#212376,.T.); #289213=ORIENTED_EDGE('',*,*,#212378,.F.); #289214=ORIENTED_EDGE('',*,*,#212379,.F.); #289215=ORIENTED_EDGE('',*,*,#212380,.T.); #289216=ORIENTED_EDGE('',*,*,#212379,.T.); #289217=ORIENTED_EDGE('',*,*,#212381,.F.); #289218=ORIENTED_EDGE('',*,*,#212382,.F.); #289219=ORIENTED_EDGE('',*,*,#212383,.T.); #289220=ORIENTED_EDGE('',*,*,#212382,.T.); #289221=ORIENTED_EDGE('',*,*,#212384,.F.); #289222=ORIENTED_EDGE('',*,*,#212385,.F.); #289223=ORIENTED_EDGE('',*,*,#212386,.T.); #289224=ORIENTED_EDGE('',*,*,#212385,.T.); #289225=ORIENTED_EDGE('',*,*,#212387,.F.); #289226=ORIENTED_EDGE('',*,*,#212388,.F.); #289227=ORIENTED_EDGE('',*,*,#212389,.T.); #289228=ORIENTED_EDGE('',*,*,#212388,.T.); #289229=ORIENTED_EDGE('',*,*,#212390,.F.); #289230=ORIENTED_EDGE('',*,*,#212391,.F.); #289231=ORIENTED_EDGE('',*,*,#212392,.T.); #289232=ORIENTED_EDGE('',*,*,#212391,.T.); #289233=ORIENTED_EDGE('',*,*,#212393,.F.); #289234=ORIENTED_EDGE('',*,*,#212394,.F.); #289235=ORIENTED_EDGE('',*,*,#212395,.T.); #289236=ORIENTED_EDGE('',*,*,#212394,.T.); #289237=ORIENTED_EDGE('',*,*,#212396,.F.); #289238=ORIENTED_EDGE('',*,*,#212397,.F.); #289239=ORIENTED_EDGE('',*,*,#212398,.T.); #289240=ORIENTED_EDGE('',*,*,#212397,.T.); #289241=ORIENTED_EDGE('',*,*,#212399,.F.); #289242=ORIENTED_EDGE('',*,*,#212400,.F.); #289243=ORIENTED_EDGE('',*,*,#212401,.T.); #289244=ORIENTED_EDGE('',*,*,#212400,.T.); #289245=ORIENTED_EDGE('',*,*,#212402,.F.); #289246=ORIENTED_EDGE('',*,*,#212403,.F.); #289247=ORIENTED_EDGE('',*,*,#212404,.T.); #289248=ORIENTED_EDGE('',*,*,#212403,.T.); #289249=ORIENTED_EDGE('',*,*,#212405,.F.); #289250=ORIENTED_EDGE('',*,*,#212406,.F.); #289251=ORIENTED_EDGE('',*,*,#212407,.T.); #289252=ORIENTED_EDGE('',*,*,#212406,.T.); #289253=ORIENTED_EDGE('',*,*,#212408,.F.); #289254=ORIENTED_EDGE('',*,*,#212409,.F.); #289255=ORIENTED_EDGE('',*,*,#212410,.T.); #289256=ORIENTED_EDGE('',*,*,#212409,.T.); #289257=ORIENTED_EDGE('',*,*,#212411,.F.); #289258=ORIENTED_EDGE('',*,*,#212412,.F.); #289259=ORIENTED_EDGE('',*,*,#212413,.T.); #289260=ORIENTED_EDGE('',*,*,#212412,.T.); #289261=ORIENTED_EDGE('',*,*,#212414,.F.); #289262=ORIENTED_EDGE('',*,*,#212415,.F.); #289263=ORIENTED_EDGE('',*,*,#212416,.T.); #289264=ORIENTED_EDGE('',*,*,#212415,.T.); #289265=ORIENTED_EDGE('',*,*,#212417,.F.); #289266=ORIENTED_EDGE('',*,*,#212418,.F.); #289267=ORIENTED_EDGE('',*,*,#212419,.T.); #289268=ORIENTED_EDGE('',*,*,#212418,.T.); #289269=ORIENTED_EDGE('',*,*,#212420,.F.); #289270=ORIENTED_EDGE('',*,*,#212421,.F.); #289271=ORIENTED_EDGE('',*,*,#212422,.T.); #289272=ORIENTED_EDGE('',*,*,#212421,.T.); #289273=ORIENTED_EDGE('',*,*,#212423,.F.); #289274=ORIENTED_EDGE('',*,*,#212424,.F.); #289275=ORIENTED_EDGE('',*,*,#212425,.T.); #289276=ORIENTED_EDGE('',*,*,#212424,.T.); #289277=ORIENTED_EDGE('',*,*,#212426,.F.); #289278=ORIENTED_EDGE('',*,*,#212427,.F.); #289279=ORIENTED_EDGE('',*,*,#212428,.T.); #289280=ORIENTED_EDGE('',*,*,#212427,.T.); #289281=ORIENTED_EDGE('',*,*,#212429,.F.); #289282=ORIENTED_EDGE('',*,*,#212430,.F.); #289283=ORIENTED_EDGE('',*,*,#212431,.T.); #289284=ORIENTED_EDGE('',*,*,#212430,.T.); #289285=ORIENTED_EDGE('',*,*,#212432,.F.); #289286=ORIENTED_EDGE('',*,*,#212433,.F.); #289287=ORIENTED_EDGE('',*,*,#212434,.T.); #289288=ORIENTED_EDGE('',*,*,#212433,.T.); #289289=ORIENTED_EDGE('',*,*,#212435,.F.); #289290=ORIENTED_EDGE('',*,*,#212436,.F.); #289291=ORIENTED_EDGE('',*,*,#212437,.T.); #289292=ORIENTED_EDGE('',*,*,#212436,.T.); #289293=ORIENTED_EDGE('',*,*,#212438,.F.); #289294=ORIENTED_EDGE('',*,*,#212439,.F.); #289295=ORIENTED_EDGE('',*,*,#212440,.T.); #289296=ORIENTED_EDGE('',*,*,#212439,.T.); #289297=ORIENTED_EDGE('',*,*,#212441,.F.); #289298=ORIENTED_EDGE('',*,*,#212442,.F.); #289299=ORIENTED_EDGE('',*,*,#212443,.T.); #289300=ORIENTED_EDGE('',*,*,#212442,.T.); #289301=ORIENTED_EDGE('',*,*,#212444,.F.); #289302=ORIENTED_EDGE('',*,*,#212445,.F.); #289303=ORIENTED_EDGE('',*,*,#212446,.T.); #289304=ORIENTED_EDGE('',*,*,#212445,.T.); #289305=ORIENTED_EDGE('',*,*,#212447,.F.); #289306=ORIENTED_EDGE('',*,*,#212448,.F.); #289307=ORIENTED_EDGE('',*,*,#212449,.T.); #289308=ORIENTED_EDGE('',*,*,#212448,.T.); #289309=ORIENTED_EDGE('',*,*,#212450,.F.); #289310=ORIENTED_EDGE('',*,*,#212451,.F.); #289311=ORIENTED_EDGE('',*,*,#212452,.T.); #289312=ORIENTED_EDGE('',*,*,#212451,.T.); #289313=ORIENTED_EDGE('',*,*,#212453,.F.); #289314=ORIENTED_EDGE('',*,*,#212454,.F.); #289315=ORIENTED_EDGE('',*,*,#212455,.T.); #289316=ORIENTED_EDGE('',*,*,#212454,.T.); #289317=ORIENTED_EDGE('',*,*,#212456,.F.); #289318=ORIENTED_EDGE('',*,*,#212457,.F.); #289319=ORIENTED_EDGE('',*,*,#212458,.T.); #289320=ORIENTED_EDGE('',*,*,#212457,.T.); #289321=ORIENTED_EDGE('',*,*,#212459,.F.); #289322=ORIENTED_EDGE('',*,*,#212460,.F.); #289323=ORIENTED_EDGE('',*,*,#212461,.T.); #289324=ORIENTED_EDGE('',*,*,#212460,.T.); #289325=ORIENTED_EDGE('',*,*,#212462,.F.); #289326=ORIENTED_EDGE('',*,*,#212463,.F.); #289327=ORIENTED_EDGE('',*,*,#212464,.T.); #289328=ORIENTED_EDGE('',*,*,#212463,.T.); #289329=ORIENTED_EDGE('',*,*,#212465,.F.); #289330=ORIENTED_EDGE('',*,*,#212466,.F.); #289331=ORIENTED_EDGE('',*,*,#212467,.T.); #289332=ORIENTED_EDGE('',*,*,#212466,.T.); #289333=ORIENTED_EDGE('',*,*,#212468,.F.); #289334=ORIENTED_EDGE('',*,*,#212469,.F.); #289335=ORIENTED_EDGE('',*,*,#212470,.T.); #289336=ORIENTED_EDGE('',*,*,#212469,.T.); #289337=ORIENTED_EDGE('',*,*,#212471,.F.); #289338=ORIENTED_EDGE('',*,*,#212472,.F.); #289339=ORIENTED_EDGE('',*,*,#212473,.T.); #289340=ORIENTED_EDGE('',*,*,#212472,.T.); #289341=ORIENTED_EDGE('',*,*,#212474,.F.); #289342=ORIENTED_EDGE('',*,*,#212475,.F.); #289343=ORIENTED_EDGE('',*,*,#212476,.T.); #289344=ORIENTED_EDGE('',*,*,#212475,.T.); #289345=ORIENTED_EDGE('',*,*,#212477,.F.); #289346=ORIENTED_EDGE('',*,*,#212478,.F.); #289347=ORIENTED_EDGE('',*,*,#212479,.T.); #289348=ORIENTED_EDGE('',*,*,#212478,.T.); #289349=ORIENTED_EDGE('',*,*,#212480,.F.); #289350=ORIENTED_EDGE('',*,*,#212481,.F.); #289351=ORIENTED_EDGE('',*,*,#212482,.T.); #289352=ORIENTED_EDGE('',*,*,#212481,.T.); #289353=ORIENTED_EDGE('',*,*,#212483,.F.); #289354=ORIENTED_EDGE('',*,*,#212484,.F.); #289355=ORIENTED_EDGE('',*,*,#212485,.T.); #289356=ORIENTED_EDGE('',*,*,#212484,.T.); #289357=ORIENTED_EDGE('',*,*,#212486,.F.); #289358=ORIENTED_EDGE('',*,*,#212487,.F.); #289359=ORIENTED_EDGE('',*,*,#212488,.T.); #289360=ORIENTED_EDGE('',*,*,#212487,.T.); #289361=ORIENTED_EDGE('',*,*,#212489,.F.); #289362=ORIENTED_EDGE('',*,*,#212490,.F.); #289363=ORIENTED_EDGE('',*,*,#212491,.T.); #289364=ORIENTED_EDGE('',*,*,#212490,.T.); #289365=ORIENTED_EDGE('',*,*,#212492,.F.); #289366=ORIENTED_EDGE('',*,*,#212493,.F.); #289367=ORIENTED_EDGE('',*,*,#212494,.T.); #289368=ORIENTED_EDGE('',*,*,#212493,.T.); #289369=ORIENTED_EDGE('',*,*,#212495,.F.); #289370=ORIENTED_EDGE('',*,*,#212496,.F.); #289371=ORIENTED_EDGE('',*,*,#212497,.T.); #289372=ORIENTED_EDGE('',*,*,#212496,.T.); #289373=ORIENTED_EDGE('',*,*,#212498,.F.); #289374=ORIENTED_EDGE('',*,*,#212499,.F.); #289375=ORIENTED_EDGE('',*,*,#212500,.T.); #289376=ORIENTED_EDGE('',*,*,#212499,.T.); #289377=ORIENTED_EDGE('',*,*,#212501,.F.); #289378=ORIENTED_EDGE('',*,*,#212502,.F.); #289379=ORIENTED_EDGE('',*,*,#212503,.T.); #289380=ORIENTED_EDGE('',*,*,#212502,.T.); #289381=ORIENTED_EDGE('',*,*,#212504,.F.); #289382=ORIENTED_EDGE('',*,*,#212505,.F.); #289383=ORIENTED_EDGE('',*,*,#212506,.T.); #289384=ORIENTED_EDGE('',*,*,#212505,.T.); #289385=ORIENTED_EDGE('',*,*,#212507,.F.); #289386=ORIENTED_EDGE('',*,*,#212508,.F.); #289387=ORIENTED_EDGE('',*,*,#212509,.T.); #289388=ORIENTED_EDGE('',*,*,#212508,.T.); #289389=ORIENTED_EDGE('',*,*,#212510,.F.); #289390=ORIENTED_EDGE('',*,*,#212511,.F.); #289391=ORIENTED_EDGE('',*,*,#212512,.T.); #289392=ORIENTED_EDGE('',*,*,#212511,.T.); #289393=ORIENTED_EDGE('',*,*,#212513,.F.); #289394=ORIENTED_EDGE('',*,*,#212514,.F.); #289395=ORIENTED_EDGE('',*,*,#212515,.T.); #289396=ORIENTED_EDGE('',*,*,#212514,.T.); #289397=ORIENTED_EDGE('',*,*,#212516,.F.); #289398=ORIENTED_EDGE('',*,*,#212517,.F.); #289399=ORIENTED_EDGE('',*,*,#212518,.T.); #289400=ORIENTED_EDGE('',*,*,#212517,.T.); #289401=ORIENTED_EDGE('',*,*,#212519,.F.); #289402=ORIENTED_EDGE('',*,*,#212520,.F.); #289403=ORIENTED_EDGE('',*,*,#212521,.T.); #289404=ORIENTED_EDGE('',*,*,#212520,.T.); #289405=ORIENTED_EDGE('',*,*,#212522,.F.); #289406=ORIENTED_EDGE('',*,*,#212523,.F.); #289407=ORIENTED_EDGE('',*,*,#212524,.T.); #289408=ORIENTED_EDGE('',*,*,#212523,.T.); #289409=ORIENTED_EDGE('',*,*,#212525,.F.); #289410=ORIENTED_EDGE('',*,*,#212526,.F.); #289411=ORIENTED_EDGE('',*,*,#212527,.T.); #289412=ORIENTED_EDGE('',*,*,#212526,.T.); #289413=ORIENTED_EDGE('',*,*,#212528,.F.); #289414=ORIENTED_EDGE('',*,*,#212529,.F.); #289415=ORIENTED_EDGE('',*,*,#212530,.T.); #289416=ORIENTED_EDGE('',*,*,#212529,.T.); #289417=ORIENTED_EDGE('',*,*,#212531,.F.); #289418=ORIENTED_EDGE('',*,*,#212532,.F.); #289419=ORIENTED_EDGE('',*,*,#212533,.T.); #289420=ORIENTED_EDGE('',*,*,#212532,.T.); #289421=ORIENTED_EDGE('',*,*,#212534,.F.); #289422=ORIENTED_EDGE('',*,*,#212535,.F.); #289423=ORIENTED_EDGE('',*,*,#212536,.T.); #289424=ORIENTED_EDGE('',*,*,#212535,.T.); #289425=ORIENTED_EDGE('',*,*,#212537,.F.); #289426=ORIENTED_EDGE('',*,*,#212538,.F.); #289427=ORIENTED_EDGE('',*,*,#212539,.T.); #289428=ORIENTED_EDGE('',*,*,#212538,.T.); #289429=ORIENTED_EDGE('',*,*,#212540,.F.); #289430=ORIENTED_EDGE('',*,*,#212541,.F.); #289431=ORIENTED_EDGE('',*,*,#212542,.T.); #289432=ORIENTED_EDGE('',*,*,#212541,.T.); #289433=ORIENTED_EDGE('',*,*,#212543,.F.); #289434=ORIENTED_EDGE('',*,*,#212544,.F.); #289435=ORIENTED_EDGE('',*,*,#212545,.T.); #289436=ORIENTED_EDGE('',*,*,#212544,.T.); #289437=ORIENTED_EDGE('',*,*,#212546,.F.); #289438=ORIENTED_EDGE('',*,*,#212547,.F.); #289439=ORIENTED_EDGE('',*,*,#212548,.T.); #289440=ORIENTED_EDGE('',*,*,#212547,.T.); #289441=ORIENTED_EDGE('',*,*,#212549,.F.); #289442=ORIENTED_EDGE('',*,*,#212550,.F.); #289443=ORIENTED_EDGE('',*,*,#212551,.T.); #289444=ORIENTED_EDGE('',*,*,#212550,.T.); #289445=ORIENTED_EDGE('',*,*,#212552,.F.); #289446=ORIENTED_EDGE('',*,*,#212553,.F.); #289447=ORIENTED_EDGE('',*,*,#212554,.T.); #289448=ORIENTED_EDGE('',*,*,#212553,.T.); #289449=ORIENTED_EDGE('',*,*,#212555,.F.); #289450=ORIENTED_EDGE('',*,*,#212556,.F.); #289451=ORIENTED_EDGE('',*,*,#212557,.T.); #289452=ORIENTED_EDGE('',*,*,#212556,.T.); #289453=ORIENTED_EDGE('',*,*,#212558,.F.); #289454=ORIENTED_EDGE('',*,*,#212559,.F.); #289455=ORIENTED_EDGE('',*,*,#212560,.T.); #289456=ORIENTED_EDGE('',*,*,#212559,.T.); #289457=ORIENTED_EDGE('',*,*,#212561,.F.); #289458=ORIENTED_EDGE('',*,*,#212562,.F.); #289459=ORIENTED_EDGE('',*,*,#212563,.T.); #289460=ORIENTED_EDGE('',*,*,#212562,.T.); #289461=ORIENTED_EDGE('',*,*,#212564,.F.); #289462=ORIENTED_EDGE('',*,*,#212565,.F.); #289463=ORIENTED_EDGE('',*,*,#212566,.T.); #289464=ORIENTED_EDGE('',*,*,#212565,.T.); #289465=ORIENTED_EDGE('',*,*,#212567,.F.); #289466=ORIENTED_EDGE('',*,*,#212568,.F.); #289467=ORIENTED_EDGE('',*,*,#212569,.T.); #289468=ORIENTED_EDGE('',*,*,#212568,.T.); #289469=ORIENTED_EDGE('',*,*,#212570,.F.); #289470=ORIENTED_EDGE('',*,*,#212571,.F.); #289471=ORIENTED_EDGE('',*,*,#212572,.T.); #289472=ORIENTED_EDGE('',*,*,#212571,.T.); #289473=ORIENTED_EDGE('',*,*,#212573,.F.); #289474=ORIENTED_EDGE('',*,*,#212574,.F.); #289475=ORIENTED_EDGE('',*,*,#212575,.T.); #289476=ORIENTED_EDGE('',*,*,#212574,.T.); #289477=ORIENTED_EDGE('',*,*,#212576,.F.); #289478=ORIENTED_EDGE('',*,*,#212577,.F.); #289479=ORIENTED_EDGE('',*,*,#212578,.T.); #289480=ORIENTED_EDGE('',*,*,#212577,.T.); #289481=ORIENTED_EDGE('',*,*,#212579,.F.); #289482=ORIENTED_EDGE('',*,*,#212580,.F.); #289483=ORIENTED_EDGE('',*,*,#212581,.T.); #289484=ORIENTED_EDGE('',*,*,#212580,.T.); #289485=ORIENTED_EDGE('',*,*,#212582,.F.); #289486=ORIENTED_EDGE('',*,*,#212583,.F.); #289487=ORIENTED_EDGE('',*,*,#212584,.T.); #289488=ORIENTED_EDGE('',*,*,#212583,.T.); #289489=ORIENTED_EDGE('',*,*,#212585,.F.); #289490=ORIENTED_EDGE('',*,*,#212586,.F.); #289491=ORIENTED_EDGE('',*,*,#212587,.T.); #289492=ORIENTED_EDGE('',*,*,#212586,.T.); #289493=ORIENTED_EDGE('',*,*,#212588,.F.); #289494=ORIENTED_EDGE('',*,*,#212589,.F.); #289495=ORIENTED_EDGE('',*,*,#212590,.T.); #289496=ORIENTED_EDGE('',*,*,#212589,.T.); #289497=ORIENTED_EDGE('',*,*,#212591,.F.); #289498=ORIENTED_EDGE('',*,*,#212592,.F.); #289499=ORIENTED_EDGE('',*,*,#212593,.T.); #289500=ORIENTED_EDGE('',*,*,#212592,.T.); #289501=ORIENTED_EDGE('',*,*,#212594,.F.); #289502=ORIENTED_EDGE('',*,*,#212595,.F.); #289503=ORIENTED_EDGE('',*,*,#212596,.T.); #289504=ORIENTED_EDGE('',*,*,#212595,.T.); #289505=ORIENTED_EDGE('',*,*,#212597,.F.); #289506=ORIENTED_EDGE('',*,*,#212598,.F.); #289507=ORIENTED_EDGE('',*,*,#212599,.T.); #289508=ORIENTED_EDGE('',*,*,#212598,.T.); #289509=ORIENTED_EDGE('',*,*,#212600,.F.); #289510=ORIENTED_EDGE('',*,*,#212601,.F.); #289511=ORIENTED_EDGE('',*,*,#212602,.T.); #289512=ORIENTED_EDGE('',*,*,#212601,.T.); #289513=ORIENTED_EDGE('',*,*,#212603,.F.); #289514=ORIENTED_EDGE('',*,*,#212604,.F.); #289515=ORIENTED_EDGE('',*,*,#212605,.T.); #289516=ORIENTED_EDGE('',*,*,#212604,.T.); #289517=ORIENTED_EDGE('',*,*,#212606,.F.); #289518=ORIENTED_EDGE('',*,*,#212607,.F.); #289519=ORIENTED_EDGE('',*,*,#212608,.T.); #289520=ORIENTED_EDGE('',*,*,#212607,.T.); #289521=ORIENTED_EDGE('',*,*,#212609,.F.); #289522=ORIENTED_EDGE('',*,*,#212610,.F.); #289523=ORIENTED_EDGE('',*,*,#212611,.T.); #289524=ORIENTED_EDGE('',*,*,#212610,.T.); #289525=ORIENTED_EDGE('',*,*,#212612,.F.); #289526=ORIENTED_EDGE('',*,*,#212613,.F.); #289527=ORIENTED_EDGE('',*,*,#212614,.T.); #289528=ORIENTED_EDGE('',*,*,#212613,.T.); #289529=ORIENTED_EDGE('',*,*,#212615,.F.); #289530=ORIENTED_EDGE('',*,*,#212616,.F.); #289531=ORIENTED_EDGE('',*,*,#212617,.T.); #289532=ORIENTED_EDGE('',*,*,#212616,.T.); #289533=ORIENTED_EDGE('',*,*,#212618,.F.); #289534=ORIENTED_EDGE('',*,*,#212619,.F.); #289535=ORIENTED_EDGE('',*,*,#212620,.T.); #289536=ORIENTED_EDGE('',*,*,#212619,.T.); #289537=ORIENTED_EDGE('',*,*,#212621,.F.); #289538=ORIENTED_EDGE('',*,*,#212622,.F.); #289539=ORIENTED_EDGE('',*,*,#212623,.T.); #289540=ORIENTED_EDGE('',*,*,#212622,.T.); #289541=ORIENTED_EDGE('',*,*,#212624,.F.); #289542=ORIENTED_EDGE('',*,*,#212625,.F.); #289543=ORIENTED_EDGE('',*,*,#212626,.T.); #289544=ORIENTED_EDGE('',*,*,#212625,.T.); #289545=ORIENTED_EDGE('',*,*,#212627,.F.); #289546=ORIENTED_EDGE('',*,*,#212628,.F.); #289547=ORIENTED_EDGE('',*,*,#212629,.T.); #289548=ORIENTED_EDGE('',*,*,#212628,.T.); #289549=ORIENTED_EDGE('',*,*,#212630,.F.); #289550=ORIENTED_EDGE('',*,*,#212631,.F.); #289551=ORIENTED_EDGE('',*,*,#212632,.T.); #289552=ORIENTED_EDGE('',*,*,#212631,.T.); #289553=ORIENTED_EDGE('',*,*,#212633,.F.); #289554=ORIENTED_EDGE('',*,*,#212634,.F.); #289555=ORIENTED_EDGE('',*,*,#212635,.T.); #289556=ORIENTED_EDGE('',*,*,#212634,.T.); #289557=ORIENTED_EDGE('',*,*,#212636,.F.); #289558=ORIENTED_EDGE('',*,*,#212637,.F.); #289559=ORIENTED_EDGE('',*,*,#212638,.T.); #289560=ORIENTED_EDGE('',*,*,#212637,.T.); #289561=ORIENTED_EDGE('',*,*,#212639,.F.); #289562=ORIENTED_EDGE('',*,*,#212640,.F.); #289563=ORIENTED_EDGE('',*,*,#212641,.T.); #289564=ORIENTED_EDGE('',*,*,#212640,.T.); #289565=ORIENTED_EDGE('',*,*,#212642,.F.); #289566=ORIENTED_EDGE('',*,*,#212643,.F.); #289567=ORIENTED_EDGE('',*,*,#212644,.T.); #289568=ORIENTED_EDGE('',*,*,#212643,.T.); #289569=ORIENTED_EDGE('',*,*,#212645,.F.); #289570=ORIENTED_EDGE('',*,*,#212646,.F.); #289571=ORIENTED_EDGE('',*,*,#212647,.T.); #289572=ORIENTED_EDGE('',*,*,#212646,.T.); #289573=ORIENTED_EDGE('',*,*,#212648,.F.); #289574=ORIENTED_EDGE('',*,*,#212649,.F.); #289575=ORIENTED_EDGE('',*,*,#212650,.T.); #289576=ORIENTED_EDGE('',*,*,#212649,.T.); #289577=ORIENTED_EDGE('',*,*,#212651,.F.); #289578=ORIENTED_EDGE('',*,*,#212652,.F.); #289579=ORIENTED_EDGE('',*,*,#212653,.T.); #289580=ORIENTED_EDGE('',*,*,#212652,.T.); #289581=ORIENTED_EDGE('',*,*,#212654,.F.); #289582=ORIENTED_EDGE('',*,*,#212655,.F.); #289583=ORIENTED_EDGE('',*,*,#212656,.T.); #289584=ORIENTED_EDGE('',*,*,#212655,.T.); #289585=ORIENTED_EDGE('',*,*,#212657,.F.); #289586=ORIENTED_EDGE('',*,*,#212658,.F.); #289587=ORIENTED_EDGE('',*,*,#212659,.T.); #289588=ORIENTED_EDGE('',*,*,#212658,.T.); #289589=ORIENTED_EDGE('',*,*,#212660,.F.); #289590=ORIENTED_EDGE('',*,*,#212661,.F.); #289591=ORIENTED_EDGE('',*,*,#212662,.T.); #289592=ORIENTED_EDGE('',*,*,#212661,.T.); #289593=ORIENTED_EDGE('',*,*,#212663,.F.); #289594=ORIENTED_EDGE('',*,*,#212664,.F.); #289595=ORIENTED_EDGE('',*,*,#212665,.T.); #289596=ORIENTED_EDGE('',*,*,#212664,.T.); #289597=ORIENTED_EDGE('',*,*,#212666,.F.); #289598=ORIENTED_EDGE('',*,*,#212667,.F.); #289599=ORIENTED_EDGE('',*,*,#212668,.T.); #289600=ORIENTED_EDGE('',*,*,#212667,.T.); #289601=ORIENTED_EDGE('',*,*,#212669,.F.); #289602=ORIENTED_EDGE('',*,*,#212670,.F.); #289603=ORIENTED_EDGE('',*,*,#212671,.T.); #289604=ORIENTED_EDGE('',*,*,#212670,.T.); #289605=ORIENTED_EDGE('',*,*,#212672,.F.); #289606=ORIENTED_EDGE('',*,*,#212673,.F.); #289607=ORIENTED_EDGE('',*,*,#212674,.T.); #289608=ORIENTED_EDGE('',*,*,#212673,.T.); #289609=ORIENTED_EDGE('',*,*,#212675,.F.); #289610=ORIENTED_EDGE('',*,*,#212676,.F.); #289611=ORIENTED_EDGE('',*,*,#212677,.T.); #289612=ORIENTED_EDGE('',*,*,#212676,.T.); #289613=ORIENTED_EDGE('',*,*,#212678,.F.); #289614=ORIENTED_EDGE('',*,*,#212679,.F.); #289615=ORIENTED_EDGE('',*,*,#212680,.T.); #289616=ORIENTED_EDGE('',*,*,#212679,.T.); #289617=ORIENTED_EDGE('',*,*,#212681,.F.); #289618=ORIENTED_EDGE('',*,*,#212682,.F.); #289619=ORIENTED_EDGE('',*,*,#212683,.T.); #289620=ORIENTED_EDGE('',*,*,#212682,.T.); #289621=ORIENTED_EDGE('',*,*,#212684,.F.); #289622=ORIENTED_EDGE('',*,*,#212685,.F.); #289623=ORIENTED_EDGE('',*,*,#212686,.T.); #289624=ORIENTED_EDGE('',*,*,#212685,.T.); #289625=ORIENTED_EDGE('',*,*,#212687,.F.); #289626=ORIENTED_EDGE('',*,*,#212688,.F.); #289627=ORIENTED_EDGE('',*,*,#212689,.T.); #289628=ORIENTED_EDGE('',*,*,#212688,.T.); #289629=ORIENTED_EDGE('',*,*,#212690,.F.); #289630=ORIENTED_EDGE('',*,*,#212691,.F.); #289631=ORIENTED_EDGE('',*,*,#212692,.T.); #289632=ORIENTED_EDGE('',*,*,#212691,.T.); #289633=ORIENTED_EDGE('',*,*,#212693,.F.); #289634=ORIENTED_EDGE('',*,*,#212694,.F.); #289635=ORIENTED_EDGE('',*,*,#212695,.T.); #289636=ORIENTED_EDGE('',*,*,#212694,.T.); #289637=ORIENTED_EDGE('',*,*,#212696,.F.); #289638=ORIENTED_EDGE('',*,*,#212697,.F.); #289639=ORIENTED_EDGE('',*,*,#212698,.T.); #289640=ORIENTED_EDGE('',*,*,#212697,.T.); #289641=ORIENTED_EDGE('',*,*,#212699,.F.); #289642=ORIENTED_EDGE('',*,*,#212700,.F.); #289643=ORIENTED_EDGE('',*,*,#212701,.T.); #289644=ORIENTED_EDGE('',*,*,#212700,.T.); #289645=ORIENTED_EDGE('',*,*,#212702,.F.); #289646=ORIENTED_EDGE('',*,*,#212703,.F.); #289647=ORIENTED_EDGE('',*,*,#212704,.T.); #289648=ORIENTED_EDGE('',*,*,#212703,.T.); #289649=ORIENTED_EDGE('',*,*,#212705,.F.); #289650=ORIENTED_EDGE('',*,*,#212706,.F.); #289651=ORIENTED_EDGE('',*,*,#212707,.T.); #289652=ORIENTED_EDGE('',*,*,#212706,.T.); #289653=ORIENTED_EDGE('',*,*,#212708,.F.); #289654=ORIENTED_EDGE('',*,*,#212709,.F.); #289655=ORIENTED_EDGE('',*,*,#212710,.T.); #289656=ORIENTED_EDGE('',*,*,#212709,.T.); #289657=ORIENTED_EDGE('',*,*,#212711,.F.); #289658=ORIENTED_EDGE('',*,*,#212712,.F.); #289659=ORIENTED_EDGE('',*,*,#212713,.T.); #289660=ORIENTED_EDGE('',*,*,#212712,.T.); #289661=ORIENTED_EDGE('',*,*,#212714,.F.); #289662=ORIENTED_EDGE('',*,*,#212715,.F.); #289663=ORIENTED_EDGE('',*,*,#212716,.T.); #289664=ORIENTED_EDGE('',*,*,#212715,.T.); #289665=ORIENTED_EDGE('',*,*,#212717,.F.); #289666=ORIENTED_EDGE('',*,*,#212718,.F.); #289667=ORIENTED_EDGE('',*,*,#212719,.T.); #289668=ORIENTED_EDGE('',*,*,#212718,.T.); #289669=ORIENTED_EDGE('',*,*,#212720,.F.); #289670=ORIENTED_EDGE('',*,*,#212721,.F.); #289671=ORIENTED_EDGE('',*,*,#212722,.T.); #289672=ORIENTED_EDGE('',*,*,#212721,.T.); #289673=ORIENTED_EDGE('',*,*,#212723,.F.); #289674=ORIENTED_EDGE('',*,*,#212724,.F.); #289675=ORIENTED_EDGE('',*,*,#212725,.T.); #289676=ORIENTED_EDGE('',*,*,#212724,.T.); #289677=ORIENTED_EDGE('',*,*,#212726,.F.); #289678=ORIENTED_EDGE('',*,*,#212727,.F.); #289679=ORIENTED_EDGE('',*,*,#212728,.T.); #289680=ORIENTED_EDGE('',*,*,#212727,.T.); #289681=ORIENTED_EDGE('',*,*,#212729,.F.); #289682=ORIENTED_EDGE('',*,*,#212730,.F.); #289683=ORIENTED_EDGE('',*,*,#212731,.T.); #289684=ORIENTED_EDGE('',*,*,#212730,.T.); #289685=ORIENTED_EDGE('',*,*,#212732,.F.); #289686=ORIENTED_EDGE('',*,*,#212733,.F.); #289687=ORIENTED_EDGE('',*,*,#212734,.T.); #289688=ORIENTED_EDGE('',*,*,#212733,.T.); #289689=ORIENTED_EDGE('',*,*,#212735,.F.); #289690=ORIENTED_EDGE('',*,*,#212736,.F.); #289691=ORIENTED_EDGE('',*,*,#212737,.T.); #289692=ORIENTED_EDGE('',*,*,#212736,.T.); #289693=ORIENTED_EDGE('',*,*,#212738,.F.); #289694=ORIENTED_EDGE('',*,*,#212739,.F.); #289695=ORIENTED_EDGE('',*,*,#212740,.T.); #289696=ORIENTED_EDGE('',*,*,#212739,.T.); #289697=ORIENTED_EDGE('',*,*,#212741,.F.); #289698=ORIENTED_EDGE('',*,*,#212742,.F.); #289699=ORIENTED_EDGE('',*,*,#212743,.T.); #289700=ORIENTED_EDGE('',*,*,#212742,.T.); #289701=ORIENTED_EDGE('',*,*,#212744,.F.); #289702=ORIENTED_EDGE('',*,*,#212745,.F.); #289703=ORIENTED_EDGE('',*,*,#212746,.T.); #289704=ORIENTED_EDGE('',*,*,#212745,.T.); #289705=ORIENTED_EDGE('',*,*,#212747,.F.); #289706=ORIENTED_EDGE('',*,*,#212748,.F.); #289707=ORIENTED_EDGE('',*,*,#212749,.T.); #289708=ORIENTED_EDGE('',*,*,#212748,.T.); #289709=ORIENTED_EDGE('',*,*,#212750,.F.); #289710=ORIENTED_EDGE('',*,*,#212751,.F.); #289711=ORIENTED_EDGE('',*,*,#212752,.T.); #289712=ORIENTED_EDGE('',*,*,#212751,.T.); #289713=ORIENTED_EDGE('',*,*,#212753,.F.); #289714=ORIENTED_EDGE('',*,*,#212754,.F.); #289715=ORIENTED_EDGE('',*,*,#212755,.T.); #289716=ORIENTED_EDGE('',*,*,#212754,.T.); #289717=ORIENTED_EDGE('',*,*,#212756,.F.); #289718=ORIENTED_EDGE('',*,*,#212757,.F.); #289719=ORIENTED_EDGE('',*,*,#212758,.T.); #289720=ORIENTED_EDGE('',*,*,#212757,.T.); #289721=ORIENTED_EDGE('',*,*,#212759,.F.); #289722=ORIENTED_EDGE('',*,*,#212760,.F.); #289723=ORIENTED_EDGE('',*,*,#212761,.T.); #289724=ORIENTED_EDGE('',*,*,#212760,.T.); #289725=ORIENTED_EDGE('',*,*,#212762,.F.); #289726=ORIENTED_EDGE('',*,*,#212763,.F.); #289727=ORIENTED_EDGE('',*,*,#212764,.T.); #289728=ORIENTED_EDGE('',*,*,#212763,.T.); #289729=ORIENTED_EDGE('',*,*,#212765,.F.); #289730=ORIENTED_EDGE('',*,*,#212766,.F.); #289731=ORIENTED_EDGE('',*,*,#212767,.T.); #289732=ORIENTED_EDGE('',*,*,#212766,.T.); #289733=ORIENTED_EDGE('',*,*,#212768,.F.); #289734=ORIENTED_EDGE('',*,*,#212769,.F.); #289735=ORIENTED_EDGE('',*,*,#212770,.T.); #289736=ORIENTED_EDGE('',*,*,#212769,.T.); #289737=ORIENTED_EDGE('',*,*,#212771,.F.); #289738=ORIENTED_EDGE('',*,*,#212772,.F.); #289739=ORIENTED_EDGE('',*,*,#212773,.T.); #289740=ORIENTED_EDGE('',*,*,#212772,.T.); #289741=ORIENTED_EDGE('',*,*,#212774,.F.); #289742=ORIENTED_EDGE('',*,*,#212775,.F.); #289743=ORIENTED_EDGE('',*,*,#212776,.T.); #289744=ORIENTED_EDGE('',*,*,#212775,.T.); #289745=ORIENTED_EDGE('',*,*,#212777,.F.); #289746=ORIENTED_EDGE('',*,*,#212778,.F.); #289747=ORIENTED_EDGE('',*,*,#212779,.T.); #289748=ORIENTED_EDGE('',*,*,#212778,.T.); #289749=ORIENTED_EDGE('',*,*,#212780,.F.); #289750=ORIENTED_EDGE('',*,*,#212781,.F.); #289751=ORIENTED_EDGE('',*,*,#212782,.T.); #289752=ORIENTED_EDGE('',*,*,#212781,.T.); #289753=ORIENTED_EDGE('',*,*,#212783,.F.); #289754=ORIENTED_EDGE('',*,*,#210847,.F.); #289755=ORIENTED_EDGE('',*,*,#212784,.T.); #289756=ORIENTED_EDGE('',*,*,#212785,.T.); #289757=ORIENTED_EDGE('',*,*,#212786,.F.); #289758=ORIENTED_EDGE('',*,*,#212787,.F.); #289759=ORIENTED_EDGE('',*,*,#212788,.T.); #289760=ORIENTED_EDGE('',*,*,#212787,.T.); #289761=ORIENTED_EDGE('',*,*,#212789,.F.); #289762=ORIENTED_EDGE('',*,*,#212790,.F.); #289763=ORIENTED_EDGE('',*,*,#212791,.T.); #289764=ORIENTED_EDGE('',*,*,#212790,.T.); #289765=ORIENTED_EDGE('',*,*,#212792,.F.); #289766=ORIENTED_EDGE('',*,*,#212793,.F.); #289767=ORIENTED_EDGE('',*,*,#212794,.T.); #289768=ORIENTED_EDGE('',*,*,#212793,.T.); #289769=ORIENTED_EDGE('',*,*,#212795,.F.); #289770=ORIENTED_EDGE('',*,*,#212796,.F.); #289771=ORIENTED_EDGE('',*,*,#212797,.T.); #289772=ORIENTED_EDGE('',*,*,#212796,.T.); #289773=ORIENTED_EDGE('',*,*,#212798,.F.); #289774=ORIENTED_EDGE('',*,*,#212799,.F.); #289775=ORIENTED_EDGE('',*,*,#212800,.T.); #289776=ORIENTED_EDGE('',*,*,#212799,.T.); #289777=ORIENTED_EDGE('',*,*,#212801,.F.); #289778=ORIENTED_EDGE('',*,*,#212802,.F.); #289779=ORIENTED_EDGE('',*,*,#212803,.T.); #289780=ORIENTED_EDGE('',*,*,#212802,.T.); #289781=ORIENTED_EDGE('',*,*,#212804,.F.); #289782=ORIENTED_EDGE('',*,*,#212805,.F.); #289783=ORIENTED_EDGE('',*,*,#212806,.T.); #289784=ORIENTED_EDGE('',*,*,#212805,.T.); #289785=ORIENTED_EDGE('',*,*,#212807,.F.); #289786=ORIENTED_EDGE('',*,*,#212808,.F.); #289787=ORIENTED_EDGE('',*,*,#212809,.T.); #289788=ORIENTED_EDGE('',*,*,#212808,.T.); #289789=ORIENTED_EDGE('',*,*,#212810,.F.); #289790=ORIENTED_EDGE('',*,*,#212811,.F.); #289791=ORIENTED_EDGE('',*,*,#212812,.T.); #289792=ORIENTED_EDGE('',*,*,#212811,.T.); #289793=ORIENTED_EDGE('',*,*,#212813,.F.); #289794=ORIENTED_EDGE('',*,*,#212814,.F.); #289795=ORIENTED_EDGE('',*,*,#212815,.T.); #289796=ORIENTED_EDGE('',*,*,#212814,.T.); #289797=ORIENTED_EDGE('',*,*,#212816,.F.); #289798=ORIENTED_EDGE('',*,*,#212817,.F.); #289799=ORIENTED_EDGE('',*,*,#212818,.T.); #289800=ORIENTED_EDGE('',*,*,#212817,.T.); #289801=ORIENTED_EDGE('',*,*,#212819,.F.); #289802=ORIENTED_EDGE('',*,*,#212820,.F.); #289803=ORIENTED_EDGE('',*,*,#212821,.T.); #289804=ORIENTED_EDGE('',*,*,#212820,.T.); #289805=ORIENTED_EDGE('',*,*,#212822,.F.); #289806=ORIENTED_EDGE('',*,*,#212823,.F.); #289807=ORIENTED_EDGE('',*,*,#212824,.T.); #289808=ORIENTED_EDGE('',*,*,#212823,.T.); #289809=ORIENTED_EDGE('',*,*,#212825,.F.); #289810=ORIENTED_EDGE('',*,*,#212826,.F.); #289811=ORIENTED_EDGE('',*,*,#212827,.T.); #289812=ORIENTED_EDGE('',*,*,#212826,.T.); #289813=ORIENTED_EDGE('',*,*,#212828,.F.); #289814=ORIENTED_EDGE('',*,*,#212829,.F.); #289815=ORIENTED_EDGE('',*,*,#212830,.T.); #289816=ORIENTED_EDGE('',*,*,#212829,.T.); #289817=ORIENTED_EDGE('',*,*,#212831,.F.); #289818=ORIENTED_EDGE('',*,*,#212832,.F.); #289819=ORIENTED_EDGE('',*,*,#212833,.T.); #289820=ORIENTED_EDGE('',*,*,#212832,.T.); #289821=ORIENTED_EDGE('',*,*,#212834,.F.); #289822=ORIENTED_EDGE('',*,*,#212835,.F.); #289823=ORIENTED_EDGE('',*,*,#212836,.T.); #289824=ORIENTED_EDGE('',*,*,#212835,.T.); #289825=ORIENTED_EDGE('',*,*,#212837,.F.); #289826=ORIENTED_EDGE('',*,*,#212838,.F.); #289827=ORIENTED_EDGE('',*,*,#212839,.T.); #289828=ORIENTED_EDGE('',*,*,#212838,.T.); #289829=ORIENTED_EDGE('',*,*,#212840,.F.); #289830=ORIENTED_EDGE('',*,*,#212841,.F.); #289831=ORIENTED_EDGE('',*,*,#212842,.T.); #289832=ORIENTED_EDGE('',*,*,#212841,.T.); #289833=ORIENTED_EDGE('',*,*,#212843,.F.); #289834=ORIENTED_EDGE('',*,*,#212844,.F.); #289835=ORIENTED_EDGE('',*,*,#212845,.T.); #289836=ORIENTED_EDGE('',*,*,#212844,.T.); #289837=ORIENTED_EDGE('',*,*,#212846,.F.); #289838=ORIENTED_EDGE('',*,*,#212847,.F.); #289839=ORIENTED_EDGE('',*,*,#212848,.T.); #289840=ORIENTED_EDGE('',*,*,#212847,.T.); #289841=ORIENTED_EDGE('',*,*,#212849,.F.); #289842=ORIENTED_EDGE('',*,*,#212850,.F.); #289843=ORIENTED_EDGE('',*,*,#212851,.T.); #289844=ORIENTED_EDGE('',*,*,#212850,.T.); #289845=ORIENTED_EDGE('',*,*,#212852,.F.); #289846=ORIENTED_EDGE('',*,*,#212853,.F.); #289847=ORIENTED_EDGE('',*,*,#212854,.T.); #289848=ORIENTED_EDGE('',*,*,#212853,.T.); #289849=ORIENTED_EDGE('',*,*,#212855,.F.); #289850=ORIENTED_EDGE('',*,*,#212856,.F.); #289851=ORIENTED_EDGE('',*,*,#212857,.T.); #289852=ORIENTED_EDGE('',*,*,#212856,.T.); #289853=ORIENTED_EDGE('',*,*,#212858,.F.); #289854=ORIENTED_EDGE('',*,*,#212859,.F.); #289855=ORIENTED_EDGE('',*,*,#212860,.T.); #289856=ORIENTED_EDGE('',*,*,#212859,.T.); #289857=ORIENTED_EDGE('',*,*,#212861,.F.); #289858=ORIENTED_EDGE('',*,*,#212862,.F.); #289859=ORIENTED_EDGE('',*,*,#212863,.T.); #289860=ORIENTED_EDGE('',*,*,#212862,.T.); #289861=ORIENTED_EDGE('',*,*,#212864,.F.); #289862=ORIENTED_EDGE('',*,*,#212865,.F.); #289863=ORIENTED_EDGE('',*,*,#212866,.T.); #289864=ORIENTED_EDGE('',*,*,#212865,.T.); #289865=ORIENTED_EDGE('',*,*,#212867,.F.); #289866=ORIENTED_EDGE('',*,*,#212868,.F.); #289867=ORIENTED_EDGE('',*,*,#212869,.T.); #289868=ORIENTED_EDGE('',*,*,#212868,.T.); #289869=ORIENTED_EDGE('',*,*,#212870,.F.); #289870=ORIENTED_EDGE('',*,*,#212871,.F.); #289871=ORIENTED_EDGE('',*,*,#212872,.T.); #289872=ORIENTED_EDGE('',*,*,#212871,.T.); #289873=ORIENTED_EDGE('',*,*,#212873,.F.); #289874=ORIENTED_EDGE('',*,*,#212874,.F.); #289875=ORIENTED_EDGE('',*,*,#212875,.T.); #289876=ORIENTED_EDGE('',*,*,#212874,.T.); #289877=ORIENTED_EDGE('',*,*,#212876,.F.); #289878=ORIENTED_EDGE('',*,*,#212877,.F.); #289879=ORIENTED_EDGE('',*,*,#212878,.T.); #289880=ORIENTED_EDGE('',*,*,#212877,.T.); #289881=ORIENTED_EDGE('',*,*,#212879,.F.); #289882=ORIENTED_EDGE('',*,*,#212880,.F.); #289883=ORIENTED_EDGE('',*,*,#212881,.T.); #289884=ORIENTED_EDGE('',*,*,#212880,.T.); #289885=ORIENTED_EDGE('',*,*,#212882,.F.); #289886=ORIENTED_EDGE('',*,*,#212883,.F.); #289887=ORIENTED_EDGE('',*,*,#212884,.T.); #289888=ORIENTED_EDGE('',*,*,#212883,.T.); #289889=ORIENTED_EDGE('',*,*,#212885,.F.); #289890=ORIENTED_EDGE('',*,*,#212886,.F.); #289891=ORIENTED_EDGE('',*,*,#212887,.T.); #289892=ORIENTED_EDGE('',*,*,#212886,.T.); #289893=ORIENTED_EDGE('',*,*,#212888,.F.); #289894=ORIENTED_EDGE('',*,*,#212889,.F.); #289895=ORIENTED_EDGE('',*,*,#212890,.T.); #289896=ORIENTED_EDGE('',*,*,#212889,.T.); #289897=ORIENTED_EDGE('',*,*,#212891,.F.); #289898=ORIENTED_EDGE('',*,*,#212892,.F.); #289899=ORIENTED_EDGE('',*,*,#212893,.T.); #289900=ORIENTED_EDGE('',*,*,#212892,.T.); #289901=ORIENTED_EDGE('',*,*,#212894,.F.); #289902=ORIENTED_EDGE('',*,*,#212895,.F.); #289903=ORIENTED_EDGE('',*,*,#212896,.T.); #289904=ORIENTED_EDGE('',*,*,#212895,.T.); #289905=ORIENTED_EDGE('',*,*,#212897,.F.); #289906=ORIENTED_EDGE('',*,*,#212898,.F.); #289907=ORIENTED_EDGE('',*,*,#212899,.T.); #289908=ORIENTED_EDGE('',*,*,#212898,.T.); #289909=ORIENTED_EDGE('',*,*,#212900,.F.); #289910=ORIENTED_EDGE('',*,*,#212901,.F.); #289911=ORIENTED_EDGE('',*,*,#212902,.T.); #289912=ORIENTED_EDGE('',*,*,#212901,.T.); #289913=ORIENTED_EDGE('',*,*,#212903,.F.); #289914=ORIENTED_EDGE('',*,*,#212904,.F.); #289915=ORIENTED_EDGE('',*,*,#212905,.T.); #289916=ORIENTED_EDGE('',*,*,#212904,.T.); #289917=ORIENTED_EDGE('',*,*,#212906,.F.); #289918=ORIENTED_EDGE('',*,*,#212907,.F.); #289919=ORIENTED_EDGE('',*,*,#212908,.T.); #289920=ORIENTED_EDGE('',*,*,#212907,.T.); #289921=ORIENTED_EDGE('',*,*,#212909,.F.); #289922=ORIENTED_EDGE('',*,*,#212910,.F.); #289923=ORIENTED_EDGE('',*,*,#212911,.T.); #289924=ORIENTED_EDGE('',*,*,#212910,.T.); #289925=ORIENTED_EDGE('',*,*,#212912,.F.); #289926=ORIENTED_EDGE('',*,*,#212913,.F.); #289927=ORIENTED_EDGE('',*,*,#212914,.T.); #289928=ORIENTED_EDGE('',*,*,#212913,.T.); #289929=ORIENTED_EDGE('',*,*,#212915,.F.); #289930=ORIENTED_EDGE('',*,*,#212916,.F.); #289931=ORIENTED_EDGE('',*,*,#212917,.T.); #289932=ORIENTED_EDGE('',*,*,#212916,.T.); #289933=ORIENTED_EDGE('',*,*,#212918,.F.); #289934=ORIENTED_EDGE('',*,*,#212919,.F.); #289935=ORIENTED_EDGE('',*,*,#212920,.T.); #289936=ORIENTED_EDGE('',*,*,#212919,.T.); #289937=ORIENTED_EDGE('',*,*,#212921,.F.); #289938=ORIENTED_EDGE('',*,*,#212922,.F.); #289939=ORIENTED_EDGE('',*,*,#212923,.T.); #289940=ORIENTED_EDGE('',*,*,#212922,.T.); #289941=ORIENTED_EDGE('',*,*,#212924,.F.); #289942=ORIENTED_EDGE('',*,*,#212925,.F.); #289943=ORIENTED_EDGE('',*,*,#212926,.T.); #289944=ORIENTED_EDGE('',*,*,#212925,.T.); #289945=ORIENTED_EDGE('',*,*,#212927,.F.); #289946=ORIENTED_EDGE('',*,*,#212928,.F.); #289947=ORIENTED_EDGE('',*,*,#212929,.T.); #289948=ORIENTED_EDGE('',*,*,#212928,.T.); #289949=ORIENTED_EDGE('',*,*,#212930,.F.); #289950=ORIENTED_EDGE('',*,*,#212931,.F.); #289951=ORIENTED_EDGE('',*,*,#212932,.T.); #289952=ORIENTED_EDGE('',*,*,#212931,.T.); #289953=ORIENTED_EDGE('',*,*,#212933,.F.); #289954=ORIENTED_EDGE('',*,*,#212934,.F.); #289955=ORIENTED_EDGE('',*,*,#212935,.T.); #289956=ORIENTED_EDGE('',*,*,#212934,.T.); #289957=ORIENTED_EDGE('',*,*,#212936,.F.); #289958=ORIENTED_EDGE('',*,*,#212937,.F.); #289959=ORIENTED_EDGE('',*,*,#212938,.T.); #289960=ORIENTED_EDGE('',*,*,#212937,.T.); #289961=ORIENTED_EDGE('',*,*,#212939,.F.); #289962=ORIENTED_EDGE('',*,*,#212940,.F.); #289963=ORIENTED_EDGE('',*,*,#212941,.T.); #289964=ORIENTED_EDGE('',*,*,#212940,.T.); #289965=ORIENTED_EDGE('',*,*,#212942,.F.); #289966=ORIENTED_EDGE('',*,*,#212943,.F.); #289967=ORIENTED_EDGE('',*,*,#212944,.T.); #289968=ORIENTED_EDGE('',*,*,#212943,.T.); #289969=ORIENTED_EDGE('',*,*,#212945,.F.); #289970=ORIENTED_EDGE('',*,*,#212946,.F.); #289971=ORIENTED_EDGE('',*,*,#212947,.T.); #289972=ORIENTED_EDGE('',*,*,#212946,.T.); #289973=ORIENTED_EDGE('',*,*,#212948,.F.); #289974=ORIENTED_EDGE('',*,*,#212949,.F.); #289975=ORIENTED_EDGE('',*,*,#212950,.T.); #289976=ORIENTED_EDGE('',*,*,#212949,.T.); #289977=ORIENTED_EDGE('',*,*,#212951,.F.); #289978=ORIENTED_EDGE('',*,*,#212952,.F.); #289979=ORIENTED_EDGE('',*,*,#212953,.T.); #289980=ORIENTED_EDGE('',*,*,#212952,.T.); #289981=ORIENTED_EDGE('',*,*,#212954,.F.); #289982=ORIENTED_EDGE('',*,*,#212955,.F.); #289983=ORIENTED_EDGE('',*,*,#212956,.T.); #289984=ORIENTED_EDGE('',*,*,#212955,.T.); #289985=ORIENTED_EDGE('',*,*,#212957,.F.); #289986=ORIENTED_EDGE('',*,*,#212958,.F.); #289987=ORIENTED_EDGE('',*,*,#212959,.T.); #289988=ORIENTED_EDGE('',*,*,#212958,.T.); #289989=ORIENTED_EDGE('',*,*,#212960,.F.); #289990=ORIENTED_EDGE('',*,*,#212961,.F.); #289991=ORIENTED_EDGE('',*,*,#212962,.T.); #289992=ORIENTED_EDGE('',*,*,#212961,.T.); #289993=ORIENTED_EDGE('',*,*,#212963,.F.); #289994=ORIENTED_EDGE('',*,*,#212964,.F.); #289995=ORIENTED_EDGE('',*,*,#212965,.T.); #289996=ORIENTED_EDGE('',*,*,#212964,.T.); #289997=ORIENTED_EDGE('',*,*,#212966,.F.); #289998=ORIENTED_EDGE('',*,*,#212967,.F.); #289999=ORIENTED_EDGE('',*,*,#212968,.T.); #290000=ORIENTED_EDGE('',*,*,#212967,.T.); #290001=ORIENTED_EDGE('',*,*,#212969,.F.); #290002=ORIENTED_EDGE('',*,*,#212970,.F.); #290003=ORIENTED_EDGE('',*,*,#212971,.T.); #290004=ORIENTED_EDGE('',*,*,#212970,.T.); #290005=ORIENTED_EDGE('',*,*,#212972,.F.); #290006=ORIENTED_EDGE('',*,*,#212973,.F.); #290007=ORIENTED_EDGE('',*,*,#212974,.T.); #290008=ORIENTED_EDGE('',*,*,#212973,.T.); #290009=ORIENTED_EDGE('',*,*,#212975,.F.); #290010=ORIENTED_EDGE('',*,*,#212976,.F.); #290011=ORIENTED_EDGE('',*,*,#212977,.T.); #290012=ORIENTED_EDGE('',*,*,#212976,.T.); #290013=ORIENTED_EDGE('',*,*,#212978,.F.); #290014=ORIENTED_EDGE('',*,*,#212979,.F.); #290015=ORIENTED_EDGE('',*,*,#212980,.T.); #290016=ORIENTED_EDGE('',*,*,#212979,.T.); #290017=ORIENTED_EDGE('',*,*,#212981,.F.); #290018=ORIENTED_EDGE('',*,*,#212982,.F.); #290019=ORIENTED_EDGE('',*,*,#212983,.T.); #290020=ORIENTED_EDGE('',*,*,#212982,.T.); #290021=ORIENTED_EDGE('',*,*,#212984,.F.); #290022=ORIENTED_EDGE('',*,*,#212985,.F.); #290023=ORIENTED_EDGE('',*,*,#212986,.T.); #290024=ORIENTED_EDGE('',*,*,#212985,.T.); #290025=ORIENTED_EDGE('',*,*,#212987,.F.); #290026=ORIENTED_EDGE('',*,*,#212988,.F.); #290027=ORIENTED_EDGE('',*,*,#212989,.T.); #290028=ORIENTED_EDGE('',*,*,#212988,.T.); #290029=ORIENTED_EDGE('',*,*,#212990,.F.); #290030=ORIENTED_EDGE('',*,*,#212991,.F.); #290031=ORIENTED_EDGE('',*,*,#212992,.T.); #290032=ORIENTED_EDGE('',*,*,#212991,.T.); #290033=ORIENTED_EDGE('',*,*,#212993,.F.); #290034=ORIENTED_EDGE('',*,*,#212994,.F.); #290035=ORIENTED_EDGE('',*,*,#212995,.T.); #290036=ORIENTED_EDGE('',*,*,#212994,.T.); #290037=ORIENTED_EDGE('',*,*,#212996,.F.); #290038=ORIENTED_EDGE('',*,*,#212997,.F.); #290039=ORIENTED_EDGE('',*,*,#212998,.T.); #290040=ORIENTED_EDGE('',*,*,#212997,.T.); #290041=ORIENTED_EDGE('',*,*,#212999,.F.); #290042=ORIENTED_EDGE('',*,*,#213000,.F.); #290043=ORIENTED_EDGE('',*,*,#213001,.T.); #290044=ORIENTED_EDGE('',*,*,#213000,.T.); #290045=ORIENTED_EDGE('',*,*,#213002,.F.); #290046=ORIENTED_EDGE('',*,*,#213003,.F.); #290047=ORIENTED_EDGE('',*,*,#213004,.T.); #290048=ORIENTED_EDGE('',*,*,#213003,.T.); #290049=ORIENTED_EDGE('',*,*,#213005,.F.); #290050=ORIENTED_EDGE('',*,*,#213006,.F.); #290051=ORIENTED_EDGE('',*,*,#213007,.T.); #290052=ORIENTED_EDGE('',*,*,#213006,.T.); #290053=ORIENTED_EDGE('',*,*,#213008,.F.); #290054=ORIENTED_EDGE('',*,*,#213009,.F.); #290055=ORIENTED_EDGE('',*,*,#213010,.T.); #290056=ORIENTED_EDGE('',*,*,#213009,.T.); #290057=ORIENTED_EDGE('',*,*,#213011,.F.); #290058=ORIENTED_EDGE('',*,*,#213012,.F.); #290059=ORIENTED_EDGE('',*,*,#213013,.T.); #290060=ORIENTED_EDGE('',*,*,#213012,.T.); #290061=ORIENTED_EDGE('',*,*,#213014,.F.); #290062=ORIENTED_EDGE('',*,*,#213015,.F.); #290063=ORIENTED_EDGE('',*,*,#213016,.T.); #290064=ORIENTED_EDGE('',*,*,#213015,.T.); #290065=ORIENTED_EDGE('',*,*,#213017,.F.); #290066=ORIENTED_EDGE('',*,*,#213018,.F.); #290067=ORIENTED_EDGE('',*,*,#213019,.T.); #290068=ORIENTED_EDGE('',*,*,#213018,.T.); #290069=ORIENTED_EDGE('',*,*,#213020,.F.); #290070=ORIENTED_EDGE('',*,*,#213021,.F.); #290071=ORIENTED_EDGE('',*,*,#213022,.T.); #290072=ORIENTED_EDGE('',*,*,#213021,.T.); #290073=ORIENTED_EDGE('',*,*,#213023,.F.); #290074=ORIENTED_EDGE('',*,*,#213024,.F.); #290075=ORIENTED_EDGE('',*,*,#213025,.T.); #290076=ORIENTED_EDGE('',*,*,#213024,.T.); #290077=ORIENTED_EDGE('',*,*,#213026,.F.); #290078=ORIENTED_EDGE('',*,*,#213027,.F.); #290079=ORIENTED_EDGE('',*,*,#213028,.T.); #290080=ORIENTED_EDGE('',*,*,#213027,.T.); #290081=ORIENTED_EDGE('',*,*,#213029,.F.); #290082=ORIENTED_EDGE('',*,*,#213030,.F.); #290083=ORIENTED_EDGE('',*,*,#213031,.T.); #290084=ORIENTED_EDGE('',*,*,#213030,.T.); #290085=ORIENTED_EDGE('',*,*,#213032,.F.); #290086=ORIENTED_EDGE('',*,*,#213033,.F.); #290087=ORIENTED_EDGE('',*,*,#213034,.T.); #290088=ORIENTED_EDGE('',*,*,#213033,.T.); #290089=ORIENTED_EDGE('',*,*,#213035,.F.); #290090=ORIENTED_EDGE('',*,*,#213036,.F.); #290091=ORIENTED_EDGE('',*,*,#213037,.T.); #290092=ORIENTED_EDGE('',*,*,#213036,.T.); #290093=ORIENTED_EDGE('',*,*,#213038,.F.); #290094=ORIENTED_EDGE('',*,*,#213039,.F.); #290095=ORIENTED_EDGE('',*,*,#213040,.T.); #290096=ORIENTED_EDGE('',*,*,#213039,.T.); #290097=ORIENTED_EDGE('',*,*,#213041,.F.); #290098=ORIENTED_EDGE('',*,*,#213042,.F.); #290099=ORIENTED_EDGE('',*,*,#213043,.T.); #290100=ORIENTED_EDGE('',*,*,#213042,.T.); #290101=ORIENTED_EDGE('',*,*,#213044,.F.); #290102=ORIENTED_EDGE('',*,*,#213045,.F.); #290103=ORIENTED_EDGE('',*,*,#213046,.T.); #290104=ORIENTED_EDGE('',*,*,#213045,.T.); #290105=ORIENTED_EDGE('',*,*,#213047,.F.); #290106=ORIENTED_EDGE('',*,*,#213048,.F.); #290107=ORIENTED_EDGE('',*,*,#213049,.T.); #290108=ORIENTED_EDGE('',*,*,#213048,.T.); #290109=ORIENTED_EDGE('',*,*,#213050,.F.); #290110=ORIENTED_EDGE('',*,*,#213051,.F.); #290111=ORIENTED_EDGE('',*,*,#213052,.T.); #290112=ORIENTED_EDGE('',*,*,#213051,.T.); #290113=ORIENTED_EDGE('',*,*,#213053,.F.); #290114=ORIENTED_EDGE('',*,*,#213054,.F.); #290115=ORIENTED_EDGE('',*,*,#213055,.T.); #290116=ORIENTED_EDGE('',*,*,#213054,.T.); #290117=ORIENTED_EDGE('',*,*,#213056,.F.); #290118=ORIENTED_EDGE('',*,*,#213057,.F.); #290119=ORIENTED_EDGE('',*,*,#213058,.T.); #290120=ORIENTED_EDGE('',*,*,#213057,.T.); #290121=ORIENTED_EDGE('',*,*,#213059,.F.); #290122=ORIENTED_EDGE('',*,*,#213060,.F.); #290123=ORIENTED_EDGE('',*,*,#213061,.T.); #290124=ORIENTED_EDGE('',*,*,#213060,.T.); #290125=ORIENTED_EDGE('',*,*,#213062,.F.); #290126=ORIENTED_EDGE('',*,*,#213063,.F.); #290127=ORIENTED_EDGE('',*,*,#213064,.T.); #290128=ORIENTED_EDGE('',*,*,#213063,.T.); #290129=ORIENTED_EDGE('',*,*,#213065,.F.); #290130=ORIENTED_EDGE('',*,*,#213066,.F.); #290131=ORIENTED_EDGE('',*,*,#213067,.T.); #290132=ORIENTED_EDGE('',*,*,#213066,.T.); #290133=ORIENTED_EDGE('',*,*,#213068,.F.); #290134=ORIENTED_EDGE('',*,*,#213069,.F.); #290135=ORIENTED_EDGE('',*,*,#213070,.T.); #290136=ORIENTED_EDGE('',*,*,#213069,.T.); #290137=ORIENTED_EDGE('',*,*,#213071,.F.); #290138=ORIENTED_EDGE('',*,*,#213072,.F.); #290139=ORIENTED_EDGE('',*,*,#213073,.T.); #290140=ORIENTED_EDGE('',*,*,#213072,.T.); #290141=ORIENTED_EDGE('',*,*,#213074,.F.); #290142=ORIENTED_EDGE('',*,*,#213075,.F.); #290143=ORIENTED_EDGE('',*,*,#213076,.T.); #290144=ORIENTED_EDGE('',*,*,#213075,.T.); #290145=ORIENTED_EDGE('',*,*,#213077,.F.); #290146=ORIENTED_EDGE('',*,*,#213078,.F.); #290147=ORIENTED_EDGE('',*,*,#213079,.T.); #290148=ORIENTED_EDGE('',*,*,#213078,.T.); #290149=ORIENTED_EDGE('',*,*,#213080,.F.); #290150=ORIENTED_EDGE('',*,*,#213081,.F.); #290151=ORIENTED_EDGE('',*,*,#213082,.T.); #290152=ORIENTED_EDGE('',*,*,#213081,.T.); #290153=ORIENTED_EDGE('',*,*,#213083,.F.); #290154=ORIENTED_EDGE('',*,*,#212785,.F.); #290155=ORIENTED_EDGE('',*,*,#213084,.T.); #290156=ORIENTED_EDGE('',*,*,#213085,.T.); #290157=ORIENTED_EDGE('',*,*,#213086,.F.); #290158=ORIENTED_EDGE('',*,*,#213087,.F.); #290159=ORIENTED_EDGE('',*,*,#213088,.T.); #290160=ORIENTED_EDGE('',*,*,#213087,.T.); #290161=ORIENTED_EDGE('',*,*,#213089,.F.); #290162=ORIENTED_EDGE('',*,*,#213090,.F.); #290163=ORIENTED_EDGE('',*,*,#213091,.T.); #290164=ORIENTED_EDGE('',*,*,#213090,.T.); #290165=ORIENTED_EDGE('',*,*,#213092,.F.); #290166=ORIENTED_EDGE('',*,*,#213093,.F.); #290167=ORIENTED_EDGE('',*,*,#213094,.T.); #290168=ORIENTED_EDGE('',*,*,#213093,.T.); #290169=ORIENTED_EDGE('',*,*,#213095,.F.); #290170=ORIENTED_EDGE('',*,*,#213096,.F.); #290171=ORIENTED_EDGE('',*,*,#213097,.T.); #290172=ORIENTED_EDGE('',*,*,#213096,.T.); #290173=ORIENTED_EDGE('',*,*,#213098,.F.); #290174=ORIENTED_EDGE('',*,*,#213099,.F.); #290175=ORIENTED_EDGE('',*,*,#213100,.T.); #290176=ORIENTED_EDGE('',*,*,#213099,.T.); #290177=ORIENTED_EDGE('',*,*,#213101,.F.); #290178=ORIENTED_EDGE('',*,*,#213102,.F.); #290179=ORIENTED_EDGE('',*,*,#213103,.T.); #290180=ORIENTED_EDGE('',*,*,#213102,.T.); #290181=ORIENTED_EDGE('',*,*,#213104,.F.); #290182=ORIENTED_EDGE('',*,*,#213105,.F.); #290183=ORIENTED_EDGE('',*,*,#213106,.T.); #290184=ORIENTED_EDGE('',*,*,#213105,.T.); #290185=ORIENTED_EDGE('',*,*,#213107,.F.); #290186=ORIENTED_EDGE('',*,*,#213108,.F.); #290187=ORIENTED_EDGE('',*,*,#213109,.T.); #290188=ORIENTED_EDGE('',*,*,#213108,.T.); #290189=ORIENTED_EDGE('',*,*,#213110,.F.); #290190=ORIENTED_EDGE('',*,*,#213111,.F.); #290191=ORIENTED_EDGE('',*,*,#213112,.T.); #290192=ORIENTED_EDGE('',*,*,#213111,.T.); #290193=ORIENTED_EDGE('',*,*,#213113,.F.); #290194=ORIENTED_EDGE('',*,*,#213114,.F.); #290195=ORIENTED_EDGE('',*,*,#213115,.T.); #290196=ORIENTED_EDGE('',*,*,#213114,.T.); #290197=ORIENTED_EDGE('',*,*,#213116,.F.); #290198=ORIENTED_EDGE('',*,*,#213117,.F.); #290199=ORIENTED_EDGE('',*,*,#213118,.T.); #290200=ORIENTED_EDGE('',*,*,#213117,.T.); #290201=ORIENTED_EDGE('',*,*,#213119,.F.); #290202=ORIENTED_EDGE('',*,*,#213120,.F.); #290203=ORIENTED_EDGE('',*,*,#213121,.T.); #290204=ORIENTED_EDGE('',*,*,#213120,.T.); #290205=ORIENTED_EDGE('',*,*,#213122,.F.); #290206=ORIENTED_EDGE('',*,*,#213123,.F.); #290207=ORIENTED_EDGE('',*,*,#213124,.T.); #290208=ORIENTED_EDGE('',*,*,#213123,.T.); #290209=ORIENTED_EDGE('',*,*,#213125,.F.); #290210=ORIENTED_EDGE('',*,*,#213126,.F.); #290211=ORIENTED_EDGE('',*,*,#213127,.T.); #290212=ORIENTED_EDGE('',*,*,#213126,.T.); #290213=ORIENTED_EDGE('',*,*,#213128,.F.); #290214=ORIENTED_EDGE('',*,*,#213129,.F.); #290215=ORIENTED_EDGE('',*,*,#213130,.T.); #290216=ORIENTED_EDGE('',*,*,#213129,.T.); #290217=ORIENTED_EDGE('',*,*,#213131,.F.); #290218=ORIENTED_EDGE('',*,*,#213132,.F.); #290219=ORIENTED_EDGE('',*,*,#213133,.T.); #290220=ORIENTED_EDGE('',*,*,#213132,.T.); #290221=ORIENTED_EDGE('',*,*,#213134,.F.); #290222=ORIENTED_EDGE('',*,*,#213135,.F.); #290223=ORIENTED_EDGE('',*,*,#213136,.T.); #290224=ORIENTED_EDGE('',*,*,#213135,.T.); #290225=ORIENTED_EDGE('',*,*,#213137,.F.); #290226=ORIENTED_EDGE('',*,*,#213138,.F.); #290227=ORIENTED_EDGE('',*,*,#213139,.T.); #290228=ORIENTED_EDGE('',*,*,#213138,.T.); #290229=ORIENTED_EDGE('',*,*,#213140,.F.); #290230=ORIENTED_EDGE('',*,*,#213141,.F.); #290231=ORIENTED_EDGE('',*,*,#213142,.T.); #290232=ORIENTED_EDGE('',*,*,#213141,.T.); #290233=ORIENTED_EDGE('',*,*,#213143,.F.); #290234=ORIENTED_EDGE('',*,*,#213144,.F.); #290235=ORIENTED_EDGE('',*,*,#213145,.T.); #290236=ORIENTED_EDGE('',*,*,#213144,.T.); #290237=ORIENTED_EDGE('',*,*,#213146,.F.); #290238=ORIENTED_EDGE('',*,*,#213147,.F.); #290239=ORIENTED_EDGE('',*,*,#213148,.T.); #290240=ORIENTED_EDGE('',*,*,#213147,.T.); #290241=ORIENTED_EDGE('',*,*,#213149,.F.); #290242=ORIENTED_EDGE('',*,*,#213150,.F.); #290243=ORIENTED_EDGE('',*,*,#213151,.T.); #290244=ORIENTED_EDGE('',*,*,#213150,.T.); #290245=ORIENTED_EDGE('',*,*,#213152,.F.); #290246=ORIENTED_EDGE('',*,*,#213153,.F.); #290247=ORIENTED_EDGE('',*,*,#213154,.T.); #290248=ORIENTED_EDGE('',*,*,#213153,.T.); #290249=ORIENTED_EDGE('',*,*,#213155,.F.); #290250=ORIENTED_EDGE('',*,*,#213156,.F.); #290251=ORIENTED_EDGE('',*,*,#213157,.T.); #290252=ORIENTED_EDGE('',*,*,#213156,.T.); #290253=ORIENTED_EDGE('',*,*,#213158,.F.); #290254=ORIENTED_EDGE('',*,*,#213159,.F.); #290255=ORIENTED_EDGE('',*,*,#213160,.T.); #290256=ORIENTED_EDGE('',*,*,#213159,.T.); #290257=ORIENTED_EDGE('',*,*,#213161,.F.); #290258=ORIENTED_EDGE('',*,*,#213162,.F.); #290259=ORIENTED_EDGE('',*,*,#213163,.T.); #290260=ORIENTED_EDGE('',*,*,#213162,.T.); #290261=ORIENTED_EDGE('',*,*,#213164,.F.); #290262=ORIENTED_EDGE('',*,*,#213165,.F.); #290263=ORIENTED_EDGE('',*,*,#213166,.T.); #290264=ORIENTED_EDGE('',*,*,#213165,.T.); #290265=ORIENTED_EDGE('',*,*,#213167,.F.); #290266=ORIENTED_EDGE('',*,*,#213168,.F.); #290267=ORIENTED_EDGE('',*,*,#213169,.T.); #290268=ORIENTED_EDGE('',*,*,#213168,.T.); #290269=ORIENTED_EDGE('',*,*,#213170,.F.); #290270=ORIENTED_EDGE('',*,*,#213171,.F.); #290271=ORIENTED_EDGE('',*,*,#213172,.T.); #290272=ORIENTED_EDGE('',*,*,#213171,.T.); #290273=ORIENTED_EDGE('',*,*,#213173,.F.); #290274=ORIENTED_EDGE('',*,*,#213174,.F.); #290275=ORIENTED_EDGE('',*,*,#213175,.T.); #290276=ORIENTED_EDGE('',*,*,#213174,.T.); #290277=ORIENTED_EDGE('',*,*,#213176,.F.); #290278=ORIENTED_EDGE('',*,*,#213177,.F.); #290279=ORIENTED_EDGE('',*,*,#213178,.T.); #290280=ORIENTED_EDGE('',*,*,#213177,.T.); #290281=ORIENTED_EDGE('',*,*,#213179,.F.); #290282=ORIENTED_EDGE('',*,*,#213180,.F.); #290283=ORIENTED_EDGE('',*,*,#213181,.T.); #290284=ORIENTED_EDGE('',*,*,#213180,.T.); #290285=ORIENTED_EDGE('',*,*,#213182,.F.); #290286=ORIENTED_EDGE('',*,*,#213183,.F.); #290287=ORIENTED_EDGE('',*,*,#213184,.T.); #290288=ORIENTED_EDGE('',*,*,#213183,.T.); #290289=ORIENTED_EDGE('',*,*,#213185,.F.); #290290=ORIENTED_EDGE('',*,*,#213186,.F.); #290291=ORIENTED_EDGE('',*,*,#213187,.T.); #290292=ORIENTED_EDGE('',*,*,#213186,.T.); #290293=ORIENTED_EDGE('',*,*,#213188,.F.); #290294=ORIENTED_EDGE('',*,*,#213189,.F.); #290295=ORIENTED_EDGE('',*,*,#213190,.T.); #290296=ORIENTED_EDGE('',*,*,#213189,.T.); #290297=ORIENTED_EDGE('',*,*,#213191,.F.); #290298=ORIENTED_EDGE('',*,*,#213192,.F.); #290299=ORIENTED_EDGE('',*,*,#213193,.T.); #290300=ORIENTED_EDGE('',*,*,#213192,.T.); #290301=ORIENTED_EDGE('',*,*,#213194,.F.); #290302=ORIENTED_EDGE('',*,*,#213195,.F.); #290303=ORIENTED_EDGE('',*,*,#213196,.T.); #290304=ORIENTED_EDGE('',*,*,#213195,.T.); #290305=ORIENTED_EDGE('',*,*,#213197,.F.); #290306=ORIENTED_EDGE('',*,*,#213198,.F.); #290307=ORIENTED_EDGE('',*,*,#213199,.T.); #290308=ORIENTED_EDGE('',*,*,#213198,.T.); #290309=ORIENTED_EDGE('',*,*,#213200,.F.); #290310=ORIENTED_EDGE('',*,*,#213201,.F.); #290311=ORIENTED_EDGE('',*,*,#213202,.T.); #290312=ORIENTED_EDGE('',*,*,#213201,.T.); #290313=ORIENTED_EDGE('',*,*,#213203,.F.); #290314=ORIENTED_EDGE('',*,*,#213204,.F.); #290315=ORIENTED_EDGE('',*,*,#213205,.T.); #290316=ORIENTED_EDGE('',*,*,#213204,.T.); #290317=ORIENTED_EDGE('',*,*,#213206,.F.); #290318=ORIENTED_EDGE('',*,*,#213207,.F.); #290319=ORIENTED_EDGE('',*,*,#213208,.T.); #290320=ORIENTED_EDGE('',*,*,#213207,.T.); #290321=ORIENTED_EDGE('',*,*,#213209,.F.); #290322=ORIENTED_EDGE('',*,*,#213210,.F.); #290323=ORIENTED_EDGE('',*,*,#213211,.T.); #290324=ORIENTED_EDGE('',*,*,#213210,.T.); #290325=ORIENTED_EDGE('',*,*,#213212,.F.); #290326=ORIENTED_EDGE('',*,*,#213213,.F.); #290327=ORIENTED_EDGE('',*,*,#213214,.T.); #290328=ORIENTED_EDGE('',*,*,#213213,.T.); #290329=ORIENTED_EDGE('',*,*,#213215,.F.); #290330=ORIENTED_EDGE('',*,*,#213216,.F.); #290331=ORIENTED_EDGE('',*,*,#213217,.T.); #290332=ORIENTED_EDGE('',*,*,#213216,.T.); #290333=ORIENTED_EDGE('',*,*,#213218,.F.); #290334=ORIENTED_EDGE('',*,*,#213219,.F.); #290335=ORIENTED_EDGE('',*,*,#213220,.T.); #290336=ORIENTED_EDGE('',*,*,#213219,.T.); #290337=ORIENTED_EDGE('',*,*,#213221,.F.); #290338=ORIENTED_EDGE('',*,*,#213222,.F.); #290339=ORIENTED_EDGE('',*,*,#213223,.T.); #290340=ORIENTED_EDGE('',*,*,#213222,.T.); #290341=ORIENTED_EDGE('',*,*,#213224,.F.); #290342=ORIENTED_EDGE('',*,*,#213225,.F.); #290343=ORIENTED_EDGE('',*,*,#213226,.T.); #290344=ORIENTED_EDGE('',*,*,#213225,.T.); #290345=ORIENTED_EDGE('',*,*,#213227,.F.); #290346=ORIENTED_EDGE('',*,*,#213228,.F.); #290347=ORIENTED_EDGE('',*,*,#213229,.T.); #290348=ORIENTED_EDGE('',*,*,#213228,.T.); #290349=ORIENTED_EDGE('',*,*,#213230,.F.); #290350=ORIENTED_EDGE('',*,*,#213231,.F.); #290351=ORIENTED_EDGE('',*,*,#213232,.T.); #290352=ORIENTED_EDGE('',*,*,#213231,.T.); #290353=ORIENTED_EDGE('',*,*,#213233,.F.); #290354=ORIENTED_EDGE('',*,*,#213234,.F.); #290355=ORIENTED_EDGE('',*,*,#213235,.T.); #290356=ORIENTED_EDGE('',*,*,#213234,.T.); #290357=ORIENTED_EDGE('',*,*,#213236,.F.); #290358=ORIENTED_EDGE('',*,*,#213237,.F.); #290359=ORIENTED_EDGE('',*,*,#213238,.T.); #290360=ORIENTED_EDGE('',*,*,#213237,.T.); #290361=ORIENTED_EDGE('',*,*,#213239,.F.); #290362=ORIENTED_EDGE('',*,*,#213240,.F.); #290363=ORIENTED_EDGE('',*,*,#213241,.T.); #290364=ORIENTED_EDGE('',*,*,#213240,.T.); #290365=ORIENTED_EDGE('',*,*,#213242,.F.); #290366=ORIENTED_EDGE('',*,*,#213243,.F.); #290367=ORIENTED_EDGE('',*,*,#213244,.T.); #290368=ORIENTED_EDGE('',*,*,#213243,.T.); #290369=ORIENTED_EDGE('',*,*,#213245,.F.); #290370=ORIENTED_EDGE('',*,*,#213246,.F.); #290371=ORIENTED_EDGE('',*,*,#213247,.T.); #290372=ORIENTED_EDGE('',*,*,#213246,.T.); #290373=ORIENTED_EDGE('',*,*,#213248,.F.); #290374=ORIENTED_EDGE('',*,*,#213249,.F.); #290375=ORIENTED_EDGE('',*,*,#213250,.T.); #290376=ORIENTED_EDGE('',*,*,#213249,.T.); #290377=ORIENTED_EDGE('',*,*,#213251,.F.); #290378=ORIENTED_EDGE('',*,*,#213252,.F.); #290379=ORIENTED_EDGE('',*,*,#213253,.T.); #290380=ORIENTED_EDGE('',*,*,#213252,.T.); #290381=ORIENTED_EDGE('',*,*,#213254,.F.); #290382=ORIENTED_EDGE('',*,*,#213255,.F.); #290383=ORIENTED_EDGE('',*,*,#213256,.T.); #290384=ORIENTED_EDGE('',*,*,#213255,.T.); #290385=ORIENTED_EDGE('',*,*,#213257,.F.); #290386=ORIENTED_EDGE('',*,*,#213258,.F.); #290387=ORIENTED_EDGE('',*,*,#213259,.T.); #290388=ORIENTED_EDGE('',*,*,#213258,.T.); #290389=ORIENTED_EDGE('',*,*,#213260,.F.); #290390=ORIENTED_EDGE('',*,*,#213261,.F.); #290391=ORIENTED_EDGE('',*,*,#213262,.T.); #290392=ORIENTED_EDGE('',*,*,#213261,.T.); #290393=ORIENTED_EDGE('',*,*,#213263,.F.); #290394=ORIENTED_EDGE('',*,*,#213264,.F.); #290395=ORIENTED_EDGE('',*,*,#213265,.T.); #290396=ORIENTED_EDGE('',*,*,#213264,.T.); #290397=ORIENTED_EDGE('',*,*,#213266,.F.); #290398=ORIENTED_EDGE('',*,*,#213267,.F.); #290399=ORIENTED_EDGE('',*,*,#213268,.T.); #290400=ORIENTED_EDGE('',*,*,#213267,.T.); #290401=ORIENTED_EDGE('',*,*,#213269,.F.); #290402=ORIENTED_EDGE('',*,*,#213270,.F.); #290403=ORIENTED_EDGE('',*,*,#213271,.T.); #290404=ORIENTED_EDGE('',*,*,#213270,.T.); #290405=ORIENTED_EDGE('',*,*,#213272,.F.); #290406=ORIENTED_EDGE('',*,*,#213273,.F.); #290407=ORIENTED_EDGE('',*,*,#213274,.T.); #290408=ORIENTED_EDGE('',*,*,#213273,.T.); #290409=ORIENTED_EDGE('',*,*,#213275,.F.); #290410=ORIENTED_EDGE('',*,*,#213276,.F.); #290411=ORIENTED_EDGE('',*,*,#213277,.T.); #290412=ORIENTED_EDGE('',*,*,#213276,.T.); #290413=ORIENTED_EDGE('',*,*,#213278,.F.); #290414=ORIENTED_EDGE('',*,*,#213279,.F.); #290415=ORIENTED_EDGE('',*,*,#213280,.T.); #290416=ORIENTED_EDGE('',*,*,#213279,.T.); #290417=ORIENTED_EDGE('',*,*,#213281,.F.); #290418=ORIENTED_EDGE('',*,*,#213282,.F.); #290419=ORIENTED_EDGE('',*,*,#213283,.T.); #290420=ORIENTED_EDGE('',*,*,#213282,.T.); #290421=ORIENTED_EDGE('',*,*,#213284,.F.); #290422=ORIENTED_EDGE('',*,*,#213285,.F.); #290423=ORIENTED_EDGE('',*,*,#213286,.T.); #290424=ORIENTED_EDGE('',*,*,#213285,.T.); #290425=ORIENTED_EDGE('',*,*,#213287,.F.); #290426=ORIENTED_EDGE('',*,*,#213288,.F.); #290427=ORIENTED_EDGE('',*,*,#213289,.T.); #290428=ORIENTED_EDGE('',*,*,#213288,.T.); #290429=ORIENTED_EDGE('',*,*,#213290,.F.); #290430=ORIENTED_EDGE('',*,*,#213291,.F.); #290431=ORIENTED_EDGE('',*,*,#213292,.T.); #290432=ORIENTED_EDGE('',*,*,#213291,.T.); #290433=ORIENTED_EDGE('',*,*,#213293,.F.); #290434=ORIENTED_EDGE('',*,*,#213294,.F.); #290435=ORIENTED_EDGE('',*,*,#213295,.T.); #290436=ORIENTED_EDGE('',*,*,#213294,.T.); #290437=ORIENTED_EDGE('',*,*,#213296,.F.); #290438=ORIENTED_EDGE('',*,*,#213297,.F.); #290439=ORIENTED_EDGE('',*,*,#213298,.T.); #290440=ORIENTED_EDGE('',*,*,#213297,.T.); #290441=ORIENTED_EDGE('',*,*,#213299,.F.); #290442=ORIENTED_EDGE('',*,*,#213300,.F.); #290443=ORIENTED_EDGE('',*,*,#213301,.T.); #290444=ORIENTED_EDGE('',*,*,#213300,.T.); #290445=ORIENTED_EDGE('',*,*,#213302,.F.); #290446=ORIENTED_EDGE('',*,*,#213303,.F.); #290447=ORIENTED_EDGE('',*,*,#213304,.T.); #290448=ORIENTED_EDGE('',*,*,#213303,.T.); #290449=ORIENTED_EDGE('',*,*,#213305,.F.); #290450=ORIENTED_EDGE('',*,*,#213306,.F.); #290451=ORIENTED_EDGE('',*,*,#213307,.T.); #290452=ORIENTED_EDGE('',*,*,#213306,.T.); #290453=ORIENTED_EDGE('',*,*,#213308,.F.); #290454=ORIENTED_EDGE('',*,*,#213309,.F.); #290455=ORIENTED_EDGE('',*,*,#213310,.T.); #290456=ORIENTED_EDGE('',*,*,#213309,.T.); #290457=ORIENTED_EDGE('',*,*,#213311,.F.); #290458=ORIENTED_EDGE('',*,*,#213312,.F.); #290459=ORIENTED_EDGE('',*,*,#213313,.T.); #290460=ORIENTED_EDGE('',*,*,#213312,.T.); #290461=ORIENTED_EDGE('',*,*,#213314,.F.); #290462=ORIENTED_EDGE('',*,*,#213315,.F.); #290463=ORIENTED_EDGE('',*,*,#213316,.T.); #290464=ORIENTED_EDGE('',*,*,#213315,.T.); #290465=ORIENTED_EDGE('',*,*,#213317,.F.); #290466=ORIENTED_EDGE('',*,*,#213318,.F.); #290467=ORIENTED_EDGE('',*,*,#213319,.T.); #290468=ORIENTED_EDGE('',*,*,#213318,.T.); #290469=ORIENTED_EDGE('',*,*,#213320,.F.); #290470=ORIENTED_EDGE('',*,*,#213321,.F.); #290471=ORIENTED_EDGE('',*,*,#213322,.T.); #290472=ORIENTED_EDGE('',*,*,#213321,.T.); #290473=ORIENTED_EDGE('',*,*,#213323,.F.); #290474=ORIENTED_EDGE('',*,*,#213324,.F.); #290475=ORIENTED_EDGE('',*,*,#213325,.T.); #290476=ORIENTED_EDGE('',*,*,#213324,.T.); #290477=ORIENTED_EDGE('',*,*,#213326,.F.); #290478=ORIENTED_EDGE('',*,*,#213327,.F.); #290479=ORIENTED_EDGE('',*,*,#213328,.T.); #290480=ORIENTED_EDGE('',*,*,#213327,.T.); #290481=ORIENTED_EDGE('',*,*,#213329,.F.); #290482=ORIENTED_EDGE('',*,*,#213330,.F.); #290483=ORIENTED_EDGE('',*,*,#213331,.T.); #290484=ORIENTED_EDGE('',*,*,#213330,.T.); #290485=ORIENTED_EDGE('',*,*,#213332,.F.); #290486=ORIENTED_EDGE('',*,*,#213333,.F.); #290487=ORIENTED_EDGE('',*,*,#213334,.T.); #290488=ORIENTED_EDGE('',*,*,#213333,.T.); #290489=ORIENTED_EDGE('',*,*,#213335,.F.); #290490=ORIENTED_EDGE('',*,*,#213336,.F.); #290491=ORIENTED_EDGE('',*,*,#213337,.T.); #290492=ORIENTED_EDGE('',*,*,#213336,.T.); #290493=ORIENTED_EDGE('',*,*,#213338,.F.); #290494=ORIENTED_EDGE('',*,*,#213339,.F.); #290495=ORIENTED_EDGE('',*,*,#213340,.T.); #290496=ORIENTED_EDGE('',*,*,#213339,.T.); #290497=ORIENTED_EDGE('',*,*,#213341,.F.); #290498=ORIENTED_EDGE('',*,*,#213342,.F.); #290499=ORIENTED_EDGE('',*,*,#213343,.T.); #290500=ORIENTED_EDGE('',*,*,#213342,.T.); #290501=ORIENTED_EDGE('',*,*,#213344,.F.); #290502=ORIENTED_EDGE('',*,*,#213345,.F.); #290503=ORIENTED_EDGE('',*,*,#213346,.T.); #290504=ORIENTED_EDGE('',*,*,#213345,.T.); #290505=ORIENTED_EDGE('',*,*,#213347,.F.); #290506=ORIENTED_EDGE('',*,*,#213348,.F.); #290507=ORIENTED_EDGE('',*,*,#213349,.T.); #290508=ORIENTED_EDGE('',*,*,#213348,.T.); #290509=ORIENTED_EDGE('',*,*,#213350,.F.); #290510=ORIENTED_EDGE('',*,*,#213351,.F.); #290511=ORIENTED_EDGE('',*,*,#213352,.T.); #290512=ORIENTED_EDGE('',*,*,#213351,.T.); #290513=ORIENTED_EDGE('',*,*,#213353,.F.); #290514=ORIENTED_EDGE('',*,*,#213354,.F.); #290515=ORIENTED_EDGE('',*,*,#213355,.T.); #290516=ORIENTED_EDGE('',*,*,#213354,.T.); #290517=ORIENTED_EDGE('',*,*,#213356,.F.); #290518=ORIENTED_EDGE('',*,*,#213357,.F.); #290519=ORIENTED_EDGE('',*,*,#213358,.T.); #290520=ORIENTED_EDGE('',*,*,#213357,.T.); #290521=ORIENTED_EDGE('',*,*,#213359,.F.); #290522=ORIENTED_EDGE('',*,*,#213360,.F.); #290523=ORIENTED_EDGE('',*,*,#213361,.T.); #290524=ORIENTED_EDGE('',*,*,#213360,.T.); #290525=ORIENTED_EDGE('',*,*,#213362,.F.); #290526=ORIENTED_EDGE('',*,*,#213363,.F.); #290527=ORIENTED_EDGE('',*,*,#213364,.T.); #290528=ORIENTED_EDGE('',*,*,#213363,.T.); #290529=ORIENTED_EDGE('',*,*,#213365,.F.); #290530=ORIENTED_EDGE('',*,*,#213366,.F.); #290531=ORIENTED_EDGE('',*,*,#213367,.T.); #290532=ORIENTED_EDGE('',*,*,#213366,.T.); #290533=ORIENTED_EDGE('',*,*,#213368,.F.); #290534=ORIENTED_EDGE('',*,*,#213369,.F.); #290535=ORIENTED_EDGE('',*,*,#213370,.T.); #290536=ORIENTED_EDGE('',*,*,#213369,.T.); #290537=ORIENTED_EDGE('',*,*,#213371,.F.); #290538=ORIENTED_EDGE('',*,*,#213372,.F.); #290539=ORIENTED_EDGE('',*,*,#213373,.T.); #290540=ORIENTED_EDGE('',*,*,#213372,.T.); #290541=ORIENTED_EDGE('',*,*,#213374,.F.); #290542=ORIENTED_EDGE('',*,*,#213375,.F.); #290543=ORIENTED_EDGE('',*,*,#213376,.T.); #290544=ORIENTED_EDGE('',*,*,#213375,.T.); #290545=ORIENTED_EDGE('',*,*,#213377,.F.); #290546=ORIENTED_EDGE('',*,*,#213378,.F.); #290547=ORIENTED_EDGE('',*,*,#213379,.T.); #290548=ORIENTED_EDGE('',*,*,#213378,.T.); #290549=ORIENTED_EDGE('',*,*,#213380,.F.); #290550=ORIENTED_EDGE('',*,*,#213381,.F.); #290551=ORIENTED_EDGE('',*,*,#213382,.T.); #290552=ORIENTED_EDGE('',*,*,#213381,.T.); #290553=ORIENTED_EDGE('',*,*,#213383,.F.); #290554=ORIENTED_EDGE('',*,*,#213384,.F.); #290555=ORIENTED_EDGE('',*,*,#213385,.T.); #290556=ORIENTED_EDGE('',*,*,#213384,.T.); #290557=ORIENTED_EDGE('',*,*,#213386,.F.); #290558=ORIENTED_EDGE('',*,*,#213387,.F.); #290559=ORIENTED_EDGE('',*,*,#213388,.T.); #290560=ORIENTED_EDGE('',*,*,#213387,.T.); #290561=ORIENTED_EDGE('',*,*,#213389,.F.); #290562=ORIENTED_EDGE('',*,*,#213390,.F.); #290563=ORIENTED_EDGE('',*,*,#213391,.T.); #290564=ORIENTED_EDGE('',*,*,#213390,.T.); #290565=ORIENTED_EDGE('',*,*,#213392,.F.); #290566=ORIENTED_EDGE('',*,*,#213393,.F.); #290567=ORIENTED_EDGE('',*,*,#213394,.T.); #290568=ORIENTED_EDGE('',*,*,#213393,.T.); #290569=ORIENTED_EDGE('',*,*,#213395,.F.); #290570=ORIENTED_EDGE('',*,*,#213396,.F.); #290571=ORIENTED_EDGE('',*,*,#213397,.T.); #290572=ORIENTED_EDGE('',*,*,#213396,.T.); #290573=ORIENTED_EDGE('',*,*,#213398,.F.); #290574=ORIENTED_EDGE('',*,*,#213399,.F.); #290575=ORIENTED_EDGE('',*,*,#213400,.T.); #290576=ORIENTED_EDGE('',*,*,#213399,.T.); #290577=ORIENTED_EDGE('',*,*,#213401,.F.); #290578=ORIENTED_EDGE('',*,*,#213402,.F.); #290579=ORIENTED_EDGE('',*,*,#213403,.T.); #290580=ORIENTED_EDGE('',*,*,#213402,.T.); #290581=ORIENTED_EDGE('',*,*,#213404,.F.); #290582=ORIENTED_EDGE('',*,*,#213405,.F.); #290583=ORIENTED_EDGE('',*,*,#213406,.T.); #290584=ORIENTED_EDGE('',*,*,#213405,.T.); #290585=ORIENTED_EDGE('',*,*,#213407,.F.); #290586=ORIENTED_EDGE('',*,*,#213408,.F.); #290587=ORIENTED_EDGE('',*,*,#213409,.T.); #290588=ORIENTED_EDGE('',*,*,#213408,.T.); #290589=ORIENTED_EDGE('',*,*,#213410,.F.); #290590=ORIENTED_EDGE('',*,*,#213411,.F.); #290591=ORIENTED_EDGE('',*,*,#213412,.T.); #290592=ORIENTED_EDGE('',*,*,#213411,.T.); #290593=ORIENTED_EDGE('',*,*,#213413,.F.); #290594=ORIENTED_EDGE('',*,*,#213414,.F.); #290595=ORIENTED_EDGE('',*,*,#213415,.T.); #290596=ORIENTED_EDGE('',*,*,#213414,.T.); #290597=ORIENTED_EDGE('',*,*,#213416,.F.); #290598=ORIENTED_EDGE('',*,*,#213417,.F.); #290599=ORIENTED_EDGE('',*,*,#213418,.T.); #290600=ORIENTED_EDGE('',*,*,#213417,.T.); #290601=ORIENTED_EDGE('',*,*,#213419,.F.); #290602=ORIENTED_EDGE('',*,*,#213420,.F.); #290603=ORIENTED_EDGE('',*,*,#213421,.T.); #290604=ORIENTED_EDGE('',*,*,#213420,.T.); #290605=ORIENTED_EDGE('',*,*,#213422,.F.); #290606=ORIENTED_EDGE('',*,*,#213423,.F.); #290607=ORIENTED_EDGE('',*,*,#213424,.T.); #290608=ORIENTED_EDGE('',*,*,#213423,.T.); #290609=ORIENTED_EDGE('',*,*,#213425,.F.); #290610=ORIENTED_EDGE('',*,*,#213426,.F.); #290611=ORIENTED_EDGE('',*,*,#213427,.T.); #290612=ORIENTED_EDGE('',*,*,#213426,.T.); #290613=ORIENTED_EDGE('',*,*,#213428,.F.); #290614=ORIENTED_EDGE('',*,*,#213429,.F.); #290615=ORIENTED_EDGE('',*,*,#213430,.T.); #290616=ORIENTED_EDGE('',*,*,#213429,.T.); #290617=ORIENTED_EDGE('',*,*,#213431,.F.); #290618=ORIENTED_EDGE('',*,*,#213432,.F.); #290619=ORIENTED_EDGE('',*,*,#213433,.T.); #290620=ORIENTED_EDGE('',*,*,#213432,.T.); #290621=ORIENTED_EDGE('',*,*,#213434,.F.); #290622=ORIENTED_EDGE('',*,*,#213435,.F.); #290623=ORIENTED_EDGE('',*,*,#213436,.T.); #290624=ORIENTED_EDGE('',*,*,#213435,.T.); #290625=ORIENTED_EDGE('',*,*,#213437,.F.); #290626=ORIENTED_EDGE('',*,*,#213438,.F.); #290627=ORIENTED_EDGE('',*,*,#213439,.T.); #290628=ORIENTED_EDGE('',*,*,#213438,.T.); #290629=ORIENTED_EDGE('',*,*,#213440,.F.); #290630=ORIENTED_EDGE('',*,*,#213441,.F.); #290631=ORIENTED_EDGE('',*,*,#213442,.T.); #290632=ORIENTED_EDGE('',*,*,#213441,.T.); #290633=ORIENTED_EDGE('',*,*,#213443,.F.); #290634=ORIENTED_EDGE('',*,*,#213444,.F.); #290635=ORIENTED_EDGE('',*,*,#213445,.T.); #290636=ORIENTED_EDGE('',*,*,#213444,.T.); #290637=ORIENTED_EDGE('',*,*,#213446,.F.); #290638=ORIENTED_EDGE('',*,*,#213447,.F.); #290639=ORIENTED_EDGE('',*,*,#213448,.T.); #290640=ORIENTED_EDGE('',*,*,#213447,.T.); #290641=ORIENTED_EDGE('',*,*,#213449,.F.); #290642=ORIENTED_EDGE('',*,*,#213450,.F.); #290643=ORIENTED_EDGE('',*,*,#213451,.T.); #290644=ORIENTED_EDGE('',*,*,#213450,.T.); #290645=ORIENTED_EDGE('',*,*,#213452,.F.); #290646=ORIENTED_EDGE('',*,*,#213453,.F.); #290647=ORIENTED_EDGE('',*,*,#213454,.T.); #290648=ORIENTED_EDGE('',*,*,#213453,.T.); #290649=ORIENTED_EDGE('',*,*,#213455,.F.); #290650=ORIENTED_EDGE('',*,*,#213456,.F.); #290651=ORIENTED_EDGE('',*,*,#213457,.T.); #290652=ORIENTED_EDGE('',*,*,#213456,.T.); #290653=ORIENTED_EDGE('',*,*,#213458,.F.); #290654=ORIENTED_EDGE('',*,*,#213459,.F.); #290655=ORIENTED_EDGE('',*,*,#213460,.T.); #290656=ORIENTED_EDGE('',*,*,#213459,.T.); #290657=ORIENTED_EDGE('',*,*,#213461,.F.); #290658=ORIENTED_EDGE('',*,*,#213462,.F.); #290659=ORIENTED_EDGE('',*,*,#213463,.T.); #290660=ORIENTED_EDGE('',*,*,#213462,.T.); #290661=ORIENTED_EDGE('',*,*,#213464,.F.); #290662=ORIENTED_EDGE('',*,*,#213465,.F.); #290663=ORIENTED_EDGE('',*,*,#213466,.T.); #290664=ORIENTED_EDGE('',*,*,#213465,.T.); #290665=ORIENTED_EDGE('',*,*,#213467,.F.); #290666=ORIENTED_EDGE('',*,*,#213468,.F.); #290667=ORIENTED_EDGE('',*,*,#213469,.T.); #290668=ORIENTED_EDGE('',*,*,#213468,.T.); #290669=ORIENTED_EDGE('',*,*,#213470,.F.); #290670=ORIENTED_EDGE('',*,*,#213471,.F.); #290671=ORIENTED_EDGE('',*,*,#213472,.T.); #290672=ORIENTED_EDGE('',*,*,#213471,.T.); #290673=ORIENTED_EDGE('',*,*,#213473,.F.); #290674=ORIENTED_EDGE('',*,*,#213474,.F.); #290675=ORIENTED_EDGE('',*,*,#213475,.T.); #290676=ORIENTED_EDGE('',*,*,#213474,.T.); #290677=ORIENTED_EDGE('',*,*,#213476,.F.); #290678=ORIENTED_EDGE('',*,*,#213477,.F.); #290679=ORIENTED_EDGE('',*,*,#213478,.T.); #290680=ORIENTED_EDGE('',*,*,#213477,.T.); #290681=ORIENTED_EDGE('',*,*,#213479,.F.); #290682=ORIENTED_EDGE('',*,*,#213480,.F.); #290683=ORIENTED_EDGE('',*,*,#213481,.T.); #290684=ORIENTED_EDGE('',*,*,#213480,.T.); #290685=ORIENTED_EDGE('',*,*,#213482,.F.); #290686=ORIENTED_EDGE('',*,*,#213483,.F.); #290687=ORIENTED_EDGE('',*,*,#213484,.T.); #290688=ORIENTED_EDGE('',*,*,#213483,.T.); #290689=ORIENTED_EDGE('',*,*,#213485,.F.); #290690=ORIENTED_EDGE('',*,*,#213486,.F.); #290691=ORIENTED_EDGE('',*,*,#213487,.T.); #290692=ORIENTED_EDGE('',*,*,#213486,.T.); #290693=ORIENTED_EDGE('',*,*,#213488,.F.); #290694=ORIENTED_EDGE('',*,*,#213489,.F.); #290695=ORIENTED_EDGE('',*,*,#213490,.T.); #290696=ORIENTED_EDGE('',*,*,#213489,.T.); #290697=ORIENTED_EDGE('',*,*,#213491,.F.); #290698=ORIENTED_EDGE('',*,*,#213492,.F.); #290699=ORIENTED_EDGE('',*,*,#213493,.T.); #290700=ORIENTED_EDGE('',*,*,#213492,.T.); #290701=ORIENTED_EDGE('',*,*,#213494,.F.); #290702=ORIENTED_EDGE('',*,*,#213495,.F.); #290703=ORIENTED_EDGE('',*,*,#213496,.T.); #290704=ORIENTED_EDGE('',*,*,#213495,.T.); #290705=ORIENTED_EDGE('',*,*,#213497,.F.); #290706=ORIENTED_EDGE('',*,*,#213498,.F.); #290707=ORIENTED_EDGE('',*,*,#213499,.T.); #290708=ORIENTED_EDGE('',*,*,#213498,.T.); #290709=ORIENTED_EDGE('',*,*,#213500,.F.); #290710=ORIENTED_EDGE('',*,*,#213501,.F.); #290711=ORIENTED_EDGE('',*,*,#213502,.T.); #290712=ORIENTED_EDGE('',*,*,#213501,.T.); #290713=ORIENTED_EDGE('',*,*,#213503,.F.); #290714=ORIENTED_EDGE('',*,*,#213504,.F.); #290715=ORIENTED_EDGE('',*,*,#213505,.T.); #290716=ORIENTED_EDGE('',*,*,#213504,.T.); #290717=ORIENTED_EDGE('',*,*,#213506,.F.); #290718=ORIENTED_EDGE('',*,*,#213507,.F.); #290719=ORIENTED_EDGE('',*,*,#213508,.T.); #290720=ORIENTED_EDGE('',*,*,#213507,.T.); #290721=ORIENTED_EDGE('',*,*,#213509,.F.); #290722=ORIENTED_EDGE('',*,*,#213510,.F.); #290723=ORIENTED_EDGE('',*,*,#213511,.T.); #290724=ORIENTED_EDGE('',*,*,#213510,.T.); #290725=ORIENTED_EDGE('',*,*,#213512,.F.); #290726=ORIENTED_EDGE('',*,*,#213513,.F.); #290727=ORIENTED_EDGE('',*,*,#213514,.T.); #290728=ORIENTED_EDGE('',*,*,#213513,.T.); #290729=ORIENTED_EDGE('',*,*,#213515,.F.); #290730=ORIENTED_EDGE('',*,*,#213516,.F.); #290731=ORIENTED_EDGE('',*,*,#213517,.T.); #290732=ORIENTED_EDGE('',*,*,#213516,.T.); #290733=ORIENTED_EDGE('',*,*,#213518,.F.); #290734=ORIENTED_EDGE('',*,*,#213519,.F.); #290735=ORIENTED_EDGE('',*,*,#213520,.T.); #290736=ORIENTED_EDGE('',*,*,#213519,.T.); #290737=ORIENTED_EDGE('',*,*,#213521,.F.); #290738=ORIENTED_EDGE('',*,*,#213522,.F.); #290739=ORIENTED_EDGE('',*,*,#213523,.T.); #290740=ORIENTED_EDGE('',*,*,#213522,.T.); #290741=ORIENTED_EDGE('',*,*,#213524,.F.); #290742=ORIENTED_EDGE('',*,*,#213525,.F.); #290743=ORIENTED_EDGE('',*,*,#213526,.T.); #290744=ORIENTED_EDGE('',*,*,#213525,.T.); #290745=ORIENTED_EDGE('',*,*,#213527,.F.); #290746=ORIENTED_EDGE('',*,*,#213528,.F.); #290747=ORIENTED_EDGE('',*,*,#213529,.T.); #290748=ORIENTED_EDGE('',*,*,#213528,.T.); #290749=ORIENTED_EDGE('',*,*,#213530,.F.); #290750=ORIENTED_EDGE('',*,*,#213531,.F.); #290751=ORIENTED_EDGE('',*,*,#213532,.T.); #290752=ORIENTED_EDGE('',*,*,#213531,.T.); #290753=ORIENTED_EDGE('',*,*,#213533,.F.); #290754=ORIENTED_EDGE('',*,*,#213534,.F.); #290755=ORIENTED_EDGE('',*,*,#213535,.T.); #290756=ORIENTED_EDGE('',*,*,#213534,.T.); #290757=ORIENTED_EDGE('',*,*,#213536,.F.); #290758=ORIENTED_EDGE('',*,*,#213537,.F.); #290759=ORIENTED_EDGE('',*,*,#213538,.T.); #290760=ORIENTED_EDGE('',*,*,#213537,.T.); #290761=ORIENTED_EDGE('',*,*,#213539,.F.); #290762=ORIENTED_EDGE('',*,*,#213540,.F.); #290763=ORIENTED_EDGE('',*,*,#213541,.T.); #290764=ORIENTED_EDGE('',*,*,#213540,.T.); #290765=ORIENTED_EDGE('',*,*,#213542,.F.); #290766=ORIENTED_EDGE('',*,*,#213543,.F.); #290767=ORIENTED_EDGE('',*,*,#213544,.T.); #290768=ORIENTED_EDGE('',*,*,#213543,.T.); #290769=ORIENTED_EDGE('',*,*,#213545,.F.); #290770=ORIENTED_EDGE('',*,*,#213546,.F.); #290771=ORIENTED_EDGE('',*,*,#213547,.T.); #290772=ORIENTED_EDGE('',*,*,#213546,.T.); #290773=ORIENTED_EDGE('',*,*,#213548,.F.); #290774=ORIENTED_EDGE('',*,*,#213549,.F.); #290775=ORIENTED_EDGE('',*,*,#213550,.T.); #290776=ORIENTED_EDGE('',*,*,#213549,.T.); #290777=ORIENTED_EDGE('',*,*,#213551,.F.); #290778=ORIENTED_EDGE('',*,*,#213552,.F.); #290779=ORIENTED_EDGE('',*,*,#213553,.T.); #290780=ORIENTED_EDGE('',*,*,#213552,.T.); #290781=ORIENTED_EDGE('',*,*,#213554,.F.); #290782=ORIENTED_EDGE('',*,*,#213555,.F.); #290783=ORIENTED_EDGE('',*,*,#213556,.T.); #290784=ORIENTED_EDGE('',*,*,#213555,.T.); #290785=ORIENTED_EDGE('',*,*,#213557,.F.); #290786=ORIENTED_EDGE('',*,*,#213558,.F.); #290787=ORIENTED_EDGE('',*,*,#213559,.T.); #290788=ORIENTED_EDGE('',*,*,#213558,.T.); #290789=ORIENTED_EDGE('',*,*,#213560,.F.); #290790=ORIENTED_EDGE('',*,*,#213561,.F.); #290791=ORIENTED_EDGE('',*,*,#213562,.T.); #290792=ORIENTED_EDGE('',*,*,#213561,.T.); #290793=ORIENTED_EDGE('',*,*,#213563,.F.); #290794=ORIENTED_EDGE('',*,*,#213564,.F.); #290795=ORIENTED_EDGE('',*,*,#213565,.T.); #290796=ORIENTED_EDGE('',*,*,#213564,.T.); #290797=ORIENTED_EDGE('',*,*,#213566,.F.); #290798=ORIENTED_EDGE('',*,*,#213567,.F.); #290799=ORIENTED_EDGE('',*,*,#213568,.T.); #290800=ORIENTED_EDGE('',*,*,#213567,.T.); #290801=ORIENTED_EDGE('',*,*,#213569,.F.); #290802=ORIENTED_EDGE('',*,*,#213570,.F.); #290803=ORIENTED_EDGE('',*,*,#213571,.T.); #290804=ORIENTED_EDGE('',*,*,#213570,.T.); #290805=ORIENTED_EDGE('',*,*,#213572,.F.); #290806=ORIENTED_EDGE('',*,*,#213573,.F.); #290807=ORIENTED_EDGE('',*,*,#213574,.T.); #290808=ORIENTED_EDGE('',*,*,#213573,.T.); #290809=ORIENTED_EDGE('',*,*,#213575,.F.); #290810=ORIENTED_EDGE('',*,*,#213576,.F.); #290811=ORIENTED_EDGE('',*,*,#213577,.T.); #290812=ORIENTED_EDGE('',*,*,#213576,.T.); #290813=ORIENTED_EDGE('',*,*,#213578,.F.); #290814=ORIENTED_EDGE('',*,*,#213579,.F.); #290815=ORIENTED_EDGE('',*,*,#213580,.T.); #290816=ORIENTED_EDGE('',*,*,#213579,.T.); #290817=ORIENTED_EDGE('',*,*,#213581,.F.); #290818=ORIENTED_EDGE('',*,*,#213582,.F.); #290819=ORIENTED_EDGE('',*,*,#213583,.T.); #290820=ORIENTED_EDGE('',*,*,#213582,.T.); #290821=ORIENTED_EDGE('',*,*,#213584,.F.); #290822=ORIENTED_EDGE('',*,*,#213585,.F.); #290823=ORIENTED_EDGE('',*,*,#213586,.T.); #290824=ORIENTED_EDGE('',*,*,#213585,.T.); #290825=ORIENTED_EDGE('',*,*,#213587,.F.); #290826=ORIENTED_EDGE('',*,*,#213588,.F.); #290827=ORIENTED_EDGE('',*,*,#213589,.T.); #290828=ORIENTED_EDGE('',*,*,#213588,.T.); #290829=ORIENTED_EDGE('',*,*,#213590,.F.); #290830=ORIENTED_EDGE('',*,*,#213591,.F.); #290831=ORIENTED_EDGE('',*,*,#213592,.T.); #290832=ORIENTED_EDGE('',*,*,#213591,.T.); #290833=ORIENTED_EDGE('',*,*,#213593,.F.); #290834=ORIENTED_EDGE('',*,*,#213594,.F.); #290835=ORIENTED_EDGE('',*,*,#213595,.T.); #290836=ORIENTED_EDGE('',*,*,#213594,.T.); #290837=ORIENTED_EDGE('',*,*,#213596,.F.); #290838=ORIENTED_EDGE('',*,*,#213597,.F.); #290839=ORIENTED_EDGE('',*,*,#213598,.T.); #290840=ORIENTED_EDGE('',*,*,#213597,.T.); #290841=ORIENTED_EDGE('',*,*,#213599,.F.); #290842=ORIENTED_EDGE('',*,*,#213600,.F.); #290843=ORIENTED_EDGE('',*,*,#213601,.T.); #290844=ORIENTED_EDGE('',*,*,#213600,.T.); #290845=ORIENTED_EDGE('',*,*,#213602,.F.); #290846=ORIENTED_EDGE('',*,*,#213603,.F.); #290847=ORIENTED_EDGE('',*,*,#213604,.T.); #290848=ORIENTED_EDGE('',*,*,#213603,.T.); #290849=ORIENTED_EDGE('',*,*,#213605,.F.); #290850=ORIENTED_EDGE('',*,*,#213606,.F.); #290851=ORIENTED_EDGE('',*,*,#213607,.T.); #290852=ORIENTED_EDGE('',*,*,#213606,.T.); #290853=ORIENTED_EDGE('',*,*,#213608,.F.); #290854=ORIENTED_EDGE('',*,*,#213609,.F.); #290855=ORIENTED_EDGE('',*,*,#213610,.T.); #290856=ORIENTED_EDGE('',*,*,#213609,.T.); #290857=ORIENTED_EDGE('',*,*,#213611,.F.); #290858=ORIENTED_EDGE('',*,*,#213612,.F.); #290859=ORIENTED_EDGE('',*,*,#213613,.T.); #290860=ORIENTED_EDGE('',*,*,#213612,.T.); #290861=ORIENTED_EDGE('',*,*,#213614,.F.); #290862=ORIENTED_EDGE('',*,*,#213615,.F.); #290863=ORIENTED_EDGE('',*,*,#213616,.T.); #290864=ORIENTED_EDGE('',*,*,#213615,.T.); #290865=ORIENTED_EDGE('',*,*,#213617,.F.); #290866=ORIENTED_EDGE('',*,*,#213618,.F.); #290867=ORIENTED_EDGE('',*,*,#213619,.T.); #290868=ORIENTED_EDGE('',*,*,#213618,.T.); #290869=ORIENTED_EDGE('',*,*,#213620,.F.); #290870=ORIENTED_EDGE('',*,*,#213621,.F.); #290871=ORIENTED_EDGE('',*,*,#213622,.T.); #290872=ORIENTED_EDGE('',*,*,#213621,.T.); #290873=ORIENTED_EDGE('',*,*,#213623,.F.); #290874=ORIENTED_EDGE('',*,*,#213624,.F.); #290875=ORIENTED_EDGE('',*,*,#213625,.T.); #290876=ORIENTED_EDGE('',*,*,#213624,.T.); #290877=ORIENTED_EDGE('',*,*,#213626,.F.); #290878=ORIENTED_EDGE('',*,*,#213627,.F.); #290879=ORIENTED_EDGE('',*,*,#213628,.T.); #290880=ORIENTED_EDGE('',*,*,#213627,.T.); #290881=ORIENTED_EDGE('',*,*,#213629,.F.); #290882=ORIENTED_EDGE('',*,*,#213630,.F.); #290883=ORIENTED_EDGE('',*,*,#213631,.T.); #290884=ORIENTED_EDGE('',*,*,#213630,.T.); #290885=ORIENTED_EDGE('',*,*,#213632,.F.); #290886=ORIENTED_EDGE('',*,*,#213633,.F.); #290887=ORIENTED_EDGE('',*,*,#213634,.T.); #290888=ORIENTED_EDGE('',*,*,#213633,.T.); #290889=ORIENTED_EDGE('',*,*,#213635,.F.); #290890=ORIENTED_EDGE('',*,*,#213636,.F.); #290891=ORIENTED_EDGE('',*,*,#213637,.T.); #290892=ORIENTED_EDGE('',*,*,#213636,.T.); #290893=ORIENTED_EDGE('',*,*,#213638,.F.); #290894=ORIENTED_EDGE('',*,*,#213639,.F.); #290895=ORIENTED_EDGE('',*,*,#213640,.T.); #290896=ORIENTED_EDGE('',*,*,#213639,.T.); #290897=ORIENTED_EDGE('',*,*,#213641,.F.); #290898=ORIENTED_EDGE('',*,*,#213642,.F.); #290899=ORIENTED_EDGE('',*,*,#213643,.T.); #290900=ORIENTED_EDGE('',*,*,#213642,.T.); #290901=ORIENTED_EDGE('',*,*,#213644,.F.); #290902=ORIENTED_EDGE('',*,*,#213645,.F.); #290903=ORIENTED_EDGE('',*,*,#213646,.T.); #290904=ORIENTED_EDGE('',*,*,#213645,.T.); #290905=ORIENTED_EDGE('',*,*,#213647,.F.); #290906=ORIENTED_EDGE('',*,*,#213648,.F.); #290907=ORIENTED_EDGE('',*,*,#213649,.T.); #290908=ORIENTED_EDGE('',*,*,#213648,.T.); #290909=ORIENTED_EDGE('',*,*,#213650,.F.); #290910=ORIENTED_EDGE('',*,*,#213651,.F.); #290911=ORIENTED_EDGE('',*,*,#213652,.T.); #290912=ORIENTED_EDGE('',*,*,#213651,.T.); #290913=ORIENTED_EDGE('',*,*,#213653,.F.); #290914=ORIENTED_EDGE('',*,*,#213654,.F.); #290915=ORIENTED_EDGE('',*,*,#213655,.T.); #290916=ORIENTED_EDGE('',*,*,#213654,.T.); #290917=ORIENTED_EDGE('',*,*,#213656,.F.); #290918=ORIENTED_EDGE('',*,*,#213657,.F.); #290919=ORIENTED_EDGE('',*,*,#213658,.T.); #290920=ORIENTED_EDGE('',*,*,#213657,.T.); #290921=ORIENTED_EDGE('',*,*,#213659,.F.); #290922=ORIENTED_EDGE('',*,*,#213660,.F.); #290923=ORIENTED_EDGE('',*,*,#213661,.T.); #290924=ORIENTED_EDGE('',*,*,#213660,.T.); #290925=ORIENTED_EDGE('',*,*,#213662,.F.); #290926=ORIENTED_EDGE('',*,*,#213663,.F.); #290927=ORIENTED_EDGE('',*,*,#213664,.T.); #290928=ORIENTED_EDGE('',*,*,#213663,.T.); #290929=ORIENTED_EDGE('',*,*,#213665,.F.); #290930=ORIENTED_EDGE('',*,*,#213666,.F.); #290931=ORIENTED_EDGE('',*,*,#213667,.T.); #290932=ORIENTED_EDGE('',*,*,#213666,.T.); #290933=ORIENTED_EDGE('',*,*,#213668,.F.); #290934=ORIENTED_EDGE('',*,*,#213669,.F.); #290935=ORIENTED_EDGE('',*,*,#213670,.T.); #290936=ORIENTED_EDGE('',*,*,#213669,.T.); #290937=ORIENTED_EDGE('',*,*,#213671,.F.); #290938=ORIENTED_EDGE('',*,*,#213672,.F.); #290939=ORIENTED_EDGE('',*,*,#213673,.T.); #290940=ORIENTED_EDGE('',*,*,#213672,.T.); #290941=ORIENTED_EDGE('',*,*,#213674,.F.); #290942=ORIENTED_EDGE('',*,*,#213675,.F.); #290943=ORIENTED_EDGE('',*,*,#213676,.T.); #290944=ORIENTED_EDGE('',*,*,#213675,.T.); #290945=ORIENTED_EDGE('',*,*,#213677,.F.); #290946=ORIENTED_EDGE('',*,*,#213678,.F.); #290947=ORIENTED_EDGE('',*,*,#213679,.T.); #290948=ORIENTED_EDGE('',*,*,#213678,.T.); #290949=ORIENTED_EDGE('',*,*,#213680,.F.); #290950=ORIENTED_EDGE('',*,*,#213681,.F.); #290951=ORIENTED_EDGE('',*,*,#213682,.T.); #290952=ORIENTED_EDGE('',*,*,#213681,.T.); #290953=ORIENTED_EDGE('',*,*,#213683,.F.); #290954=ORIENTED_EDGE('',*,*,#213684,.F.); #290955=ORIENTED_EDGE('',*,*,#213685,.T.); #290956=ORIENTED_EDGE('',*,*,#213684,.T.); #290957=ORIENTED_EDGE('',*,*,#213686,.F.); #290958=ORIENTED_EDGE('',*,*,#213687,.F.); #290959=ORIENTED_EDGE('',*,*,#213688,.T.); #290960=ORIENTED_EDGE('',*,*,#213687,.T.); #290961=ORIENTED_EDGE('',*,*,#213689,.F.); #290962=ORIENTED_EDGE('',*,*,#213690,.F.); #290963=ORIENTED_EDGE('',*,*,#213691,.T.); #290964=ORIENTED_EDGE('',*,*,#213690,.T.); #290965=ORIENTED_EDGE('',*,*,#213692,.F.); #290966=ORIENTED_EDGE('',*,*,#213693,.F.); #290967=ORIENTED_EDGE('',*,*,#213694,.T.); #290968=ORIENTED_EDGE('',*,*,#213693,.T.); #290969=ORIENTED_EDGE('',*,*,#213695,.F.); #290970=ORIENTED_EDGE('',*,*,#213696,.F.); #290971=ORIENTED_EDGE('',*,*,#213697,.T.); #290972=ORIENTED_EDGE('',*,*,#213696,.T.); #290973=ORIENTED_EDGE('',*,*,#213698,.F.); #290974=ORIENTED_EDGE('',*,*,#213699,.F.); #290975=ORIENTED_EDGE('',*,*,#213700,.T.); #290976=ORIENTED_EDGE('',*,*,#213699,.T.); #290977=ORIENTED_EDGE('',*,*,#213701,.F.); #290978=ORIENTED_EDGE('',*,*,#213702,.F.); #290979=ORIENTED_EDGE('',*,*,#213703,.T.); #290980=ORIENTED_EDGE('',*,*,#213702,.T.); #290981=ORIENTED_EDGE('',*,*,#213704,.F.); #290982=ORIENTED_EDGE('',*,*,#213705,.F.); #290983=ORIENTED_EDGE('',*,*,#213706,.T.); #290984=ORIENTED_EDGE('',*,*,#213705,.T.); #290985=ORIENTED_EDGE('',*,*,#213707,.F.); #290986=ORIENTED_EDGE('',*,*,#213708,.F.); #290987=ORIENTED_EDGE('',*,*,#213709,.T.); #290988=ORIENTED_EDGE('',*,*,#213708,.T.); #290989=ORIENTED_EDGE('',*,*,#213710,.F.); #290990=ORIENTED_EDGE('',*,*,#213711,.F.); #290991=ORIENTED_EDGE('',*,*,#213712,.T.); #290992=ORIENTED_EDGE('',*,*,#213711,.T.); #290993=ORIENTED_EDGE('',*,*,#213713,.F.); #290994=ORIENTED_EDGE('',*,*,#213714,.F.); #290995=ORIENTED_EDGE('',*,*,#213715,.T.); #290996=ORIENTED_EDGE('',*,*,#213714,.T.); #290997=ORIENTED_EDGE('',*,*,#213716,.F.); #290998=ORIENTED_EDGE('',*,*,#213717,.F.); #290999=ORIENTED_EDGE('',*,*,#213718,.T.); #291000=ORIENTED_EDGE('',*,*,#213717,.T.); #291001=ORIENTED_EDGE('',*,*,#213719,.F.); #291002=ORIENTED_EDGE('',*,*,#213720,.F.); #291003=ORIENTED_EDGE('',*,*,#213721,.T.); #291004=ORIENTED_EDGE('',*,*,#213720,.T.); #291005=ORIENTED_EDGE('',*,*,#213722,.F.); #291006=ORIENTED_EDGE('',*,*,#213723,.F.); #291007=ORIENTED_EDGE('',*,*,#213724,.T.); #291008=ORIENTED_EDGE('',*,*,#213723,.T.); #291009=ORIENTED_EDGE('',*,*,#213725,.F.); #291010=ORIENTED_EDGE('',*,*,#213726,.F.); #291011=ORIENTED_EDGE('',*,*,#213727,.T.); #291012=ORIENTED_EDGE('',*,*,#213726,.T.); #291013=ORIENTED_EDGE('',*,*,#213728,.F.); #291014=ORIENTED_EDGE('',*,*,#213729,.F.); #291015=ORIENTED_EDGE('',*,*,#213730,.T.); #291016=ORIENTED_EDGE('',*,*,#213729,.T.); #291017=ORIENTED_EDGE('',*,*,#213731,.F.); #291018=ORIENTED_EDGE('',*,*,#213732,.F.); #291019=ORIENTED_EDGE('',*,*,#213733,.T.); #291020=ORIENTED_EDGE('',*,*,#213732,.T.); #291021=ORIENTED_EDGE('',*,*,#213734,.F.); #291022=ORIENTED_EDGE('',*,*,#213735,.F.); #291023=ORIENTED_EDGE('',*,*,#213736,.T.); #291024=ORIENTED_EDGE('',*,*,#213735,.T.); #291025=ORIENTED_EDGE('',*,*,#213737,.F.); #291026=ORIENTED_EDGE('',*,*,#213738,.F.); #291027=ORIENTED_EDGE('',*,*,#213739,.T.); #291028=ORIENTED_EDGE('',*,*,#213738,.T.); #291029=ORIENTED_EDGE('',*,*,#213740,.F.); #291030=ORIENTED_EDGE('',*,*,#213741,.F.); #291031=ORIENTED_EDGE('',*,*,#213742,.T.); #291032=ORIENTED_EDGE('',*,*,#213741,.T.); #291033=ORIENTED_EDGE('',*,*,#213743,.F.); #291034=ORIENTED_EDGE('',*,*,#213744,.F.); #291035=ORIENTED_EDGE('',*,*,#213745,.T.); #291036=ORIENTED_EDGE('',*,*,#213744,.T.); #291037=ORIENTED_EDGE('',*,*,#213746,.F.); #291038=ORIENTED_EDGE('',*,*,#213747,.F.); #291039=ORIENTED_EDGE('',*,*,#213748,.T.); #291040=ORIENTED_EDGE('',*,*,#213747,.T.); #291041=ORIENTED_EDGE('',*,*,#213749,.F.); #291042=ORIENTED_EDGE('',*,*,#213750,.F.); #291043=ORIENTED_EDGE('',*,*,#213751,.T.); #291044=ORIENTED_EDGE('',*,*,#213750,.T.); #291045=ORIENTED_EDGE('',*,*,#213752,.F.); #291046=ORIENTED_EDGE('',*,*,#213753,.F.); #291047=ORIENTED_EDGE('',*,*,#213754,.T.); #291048=ORIENTED_EDGE('',*,*,#213753,.T.); #291049=ORIENTED_EDGE('',*,*,#213755,.F.); #291050=ORIENTED_EDGE('',*,*,#213756,.F.); #291051=ORIENTED_EDGE('',*,*,#213757,.T.); #291052=ORIENTED_EDGE('',*,*,#213756,.T.); #291053=ORIENTED_EDGE('',*,*,#213758,.F.); #291054=ORIENTED_EDGE('',*,*,#213759,.F.); #291055=ORIENTED_EDGE('',*,*,#213760,.T.); #291056=ORIENTED_EDGE('',*,*,#213759,.T.); #291057=ORIENTED_EDGE('',*,*,#213761,.F.); #291058=ORIENTED_EDGE('',*,*,#213762,.F.); #291059=ORIENTED_EDGE('',*,*,#213763,.T.); #291060=ORIENTED_EDGE('',*,*,#213762,.T.); #291061=ORIENTED_EDGE('',*,*,#213764,.F.); #291062=ORIENTED_EDGE('',*,*,#213765,.F.); #291063=ORIENTED_EDGE('',*,*,#213766,.T.); #291064=ORIENTED_EDGE('',*,*,#213765,.T.); #291065=ORIENTED_EDGE('',*,*,#213767,.F.); #291066=ORIENTED_EDGE('',*,*,#213768,.F.); #291067=ORIENTED_EDGE('',*,*,#213769,.T.); #291068=ORIENTED_EDGE('',*,*,#213768,.T.); #291069=ORIENTED_EDGE('',*,*,#213770,.F.); #291070=ORIENTED_EDGE('',*,*,#213771,.F.); #291071=ORIENTED_EDGE('',*,*,#213772,.T.); #291072=ORIENTED_EDGE('',*,*,#213771,.T.); #291073=ORIENTED_EDGE('',*,*,#213773,.F.); #291074=ORIENTED_EDGE('',*,*,#213774,.F.); #291075=ORIENTED_EDGE('',*,*,#213775,.T.); #291076=ORIENTED_EDGE('',*,*,#213774,.T.); #291077=ORIENTED_EDGE('',*,*,#213776,.F.); #291078=ORIENTED_EDGE('',*,*,#213777,.F.); #291079=ORIENTED_EDGE('',*,*,#213778,.T.); #291080=ORIENTED_EDGE('',*,*,#213777,.T.); #291081=ORIENTED_EDGE('',*,*,#213779,.F.); #291082=ORIENTED_EDGE('',*,*,#213780,.F.); #291083=ORIENTED_EDGE('',*,*,#213781,.T.); #291084=ORIENTED_EDGE('',*,*,#213780,.T.); #291085=ORIENTED_EDGE('',*,*,#213782,.F.); #291086=ORIENTED_EDGE('',*,*,#213783,.F.); #291087=ORIENTED_EDGE('',*,*,#213784,.T.); #291088=ORIENTED_EDGE('',*,*,#213783,.T.); #291089=ORIENTED_EDGE('',*,*,#213785,.F.); #291090=ORIENTED_EDGE('',*,*,#213786,.F.); #291091=ORIENTED_EDGE('',*,*,#213787,.T.); #291092=ORIENTED_EDGE('',*,*,#213786,.T.); #291093=ORIENTED_EDGE('',*,*,#213788,.F.); #291094=ORIENTED_EDGE('',*,*,#213789,.F.); #291095=ORIENTED_EDGE('',*,*,#213790,.T.); #291096=ORIENTED_EDGE('',*,*,#213789,.T.); #291097=ORIENTED_EDGE('',*,*,#213791,.F.); #291098=ORIENTED_EDGE('',*,*,#213792,.F.); #291099=ORIENTED_EDGE('',*,*,#213793,.T.); #291100=ORIENTED_EDGE('',*,*,#213792,.T.); #291101=ORIENTED_EDGE('',*,*,#213794,.F.); #291102=ORIENTED_EDGE('',*,*,#213795,.F.); #291103=ORIENTED_EDGE('',*,*,#213796,.T.); #291104=ORIENTED_EDGE('',*,*,#213795,.T.); #291105=ORIENTED_EDGE('',*,*,#213797,.F.); #291106=ORIENTED_EDGE('',*,*,#213798,.F.); #291107=ORIENTED_EDGE('',*,*,#213799,.T.); #291108=ORIENTED_EDGE('',*,*,#213798,.T.); #291109=ORIENTED_EDGE('',*,*,#213800,.F.); #291110=ORIENTED_EDGE('',*,*,#213801,.F.); #291111=ORIENTED_EDGE('',*,*,#213802,.T.); #291112=ORIENTED_EDGE('',*,*,#213801,.T.); #291113=ORIENTED_EDGE('',*,*,#213803,.F.); #291114=ORIENTED_EDGE('',*,*,#213804,.F.); #291115=ORIENTED_EDGE('',*,*,#213805,.T.); #291116=ORIENTED_EDGE('',*,*,#213804,.T.); #291117=ORIENTED_EDGE('',*,*,#213806,.F.); #291118=ORIENTED_EDGE('',*,*,#213807,.F.); #291119=ORIENTED_EDGE('',*,*,#213808,.T.); #291120=ORIENTED_EDGE('',*,*,#213807,.T.); #291121=ORIENTED_EDGE('',*,*,#213809,.F.); #291122=ORIENTED_EDGE('',*,*,#213810,.F.); #291123=ORIENTED_EDGE('',*,*,#213811,.T.); #291124=ORIENTED_EDGE('',*,*,#213810,.T.); #291125=ORIENTED_EDGE('',*,*,#213812,.F.); #291126=ORIENTED_EDGE('',*,*,#213813,.F.); #291127=ORIENTED_EDGE('',*,*,#213814,.T.); #291128=ORIENTED_EDGE('',*,*,#213813,.T.); #291129=ORIENTED_EDGE('',*,*,#213815,.F.); #291130=ORIENTED_EDGE('',*,*,#213816,.F.); #291131=ORIENTED_EDGE('',*,*,#213817,.T.); #291132=ORIENTED_EDGE('',*,*,#213816,.T.); #291133=ORIENTED_EDGE('',*,*,#213818,.F.); #291134=ORIENTED_EDGE('',*,*,#213819,.F.); #291135=ORIENTED_EDGE('',*,*,#213820,.T.); #291136=ORIENTED_EDGE('',*,*,#213819,.T.); #291137=ORIENTED_EDGE('',*,*,#213821,.F.); #291138=ORIENTED_EDGE('',*,*,#213822,.F.); #291139=ORIENTED_EDGE('',*,*,#213823,.T.); #291140=ORIENTED_EDGE('',*,*,#213822,.T.); #291141=ORIENTED_EDGE('',*,*,#213824,.F.); #291142=ORIENTED_EDGE('',*,*,#213825,.F.); #291143=ORIENTED_EDGE('',*,*,#213826,.T.); #291144=ORIENTED_EDGE('',*,*,#213825,.T.); #291145=ORIENTED_EDGE('',*,*,#213827,.F.); #291146=ORIENTED_EDGE('',*,*,#213828,.F.); #291147=ORIENTED_EDGE('',*,*,#213829,.T.); #291148=ORIENTED_EDGE('',*,*,#213828,.T.); #291149=ORIENTED_EDGE('',*,*,#213830,.F.); #291150=ORIENTED_EDGE('',*,*,#213831,.F.); #291151=ORIENTED_EDGE('',*,*,#213832,.T.); #291152=ORIENTED_EDGE('',*,*,#213831,.T.); #291153=ORIENTED_EDGE('',*,*,#213833,.F.); #291154=ORIENTED_EDGE('',*,*,#213834,.F.); #291155=ORIENTED_EDGE('',*,*,#213835,.T.); #291156=ORIENTED_EDGE('',*,*,#213834,.T.); #291157=ORIENTED_EDGE('',*,*,#213836,.F.); #291158=ORIENTED_EDGE('',*,*,#213085,.F.); #291159=ORIENTED_EDGE('',*,*,#213837,.T.); #291160=ORIENTED_EDGE('',*,*,#213838,.T.); #291161=ORIENTED_EDGE('',*,*,#213839,.F.); #291162=ORIENTED_EDGE('',*,*,#213840,.F.); #291163=ORIENTED_EDGE('',*,*,#213841,.T.); #291164=ORIENTED_EDGE('',*,*,#213840,.T.); #291165=ORIENTED_EDGE('',*,*,#213842,.F.); #291166=ORIENTED_EDGE('',*,*,#213843,.F.); #291167=ORIENTED_EDGE('',*,*,#213844,.T.); #291168=ORIENTED_EDGE('',*,*,#213843,.T.); #291169=ORIENTED_EDGE('',*,*,#213845,.F.); #291170=ORIENTED_EDGE('',*,*,#213846,.F.); #291171=ORIENTED_EDGE('',*,*,#213847,.T.); #291172=ORIENTED_EDGE('',*,*,#213846,.T.); #291173=ORIENTED_EDGE('',*,*,#213848,.F.); #291174=ORIENTED_EDGE('',*,*,#213849,.F.); #291175=ORIENTED_EDGE('',*,*,#213850,.T.); #291176=ORIENTED_EDGE('',*,*,#213849,.T.); #291177=ORIENTED_EDGE('',*,*,#213851,.F.); #291178=ORIENTED_EDGE('',*,*,#213852,.F.); #291179=ORIENTED_EDGE('',*,*,#213853,.T.); #291180=ORIENTED_EDGE('',*,*,#213852,.T.); #291181=ORIENTED_EDGE('',*,*,#213854,.F.); #291182=ORIENTED_EDGE('',*,*,#213855,.F.); #291183=ORIENTED_EDGE('',*,*,#213856,.T.); #291184=ORIENTED_EDGE('',*,*,#213855,.T.); #291185=ORIENTED_EDGE('',*,*,#213857,.F.); #291186=ORIENTED_EDGE('',*,*,#213858,.F.); #291187=ORIENTED_EDGE('',*,*,#213859,.T.); #291188=ORIENTED_EDGE('',*,*,#213858,.T.); #291189=ORIENTED_EDGE('',*,*,#213860,.F.); #291190=ORIENTED_EDGE('',*,*,#213861,.F.); #291191=ORIENTED_EDGE('',*,*,#213862,.T.); #291192=ORIENTED_EDGE('',*,*,#213861,.T.); #291193=ORIENTED_EDGE('',*,*,#213863,.F.); #291194=ORIENTED_EDGE('',*,*,#213864,.F.); #291195=ORIENTED_EDGE('',*,*,#213865,.T.); #291196=ORIENTED_EDGE('',*,*,#213864,.T.); #291197=ORIENTED_EDGE('',*,*,#213866,.F.); #291198=ORIENTED_EDGE('',*,*,#213867,.F.); #291199=ORIENTED_EDGE('',*,*,#213868,.T.); #291200=ORIENTED_EDGE('',*,*,#213867,.T.); #291201=ORIENTED_EDGE('',*,*,#213869,.F.); #291202=ORIENTED_EDGE('',*,*,#213870,.F.); #291203=ORIENTED_EDGE('',*,*,#213871,.T.); #291204=ORIENTED_EDGE('',*,*,#213870,.T.); #291205=ORIENTED_EDGE('',*,*,#213872,.F.); #291206=ORIENTED_EDGE('',*,*,#213873,.F.); #291207=ORIENTED_EDGE('',*,*,#213874,.T.); #291208=ORIENTED_EDGE('',*,*,#213873,.T.); #291209=ORIENTED_EDGE('',*,*,#213875,.F.); #291210=ORIENTED_EDGE('',*,*,#213876,.F.); #291211=ORIENTED_EDGE('',*,*,#213877,.T.); #291212=ORIENTED_EDGE('',*,*,#213876,.T.); #291213=ORIENTED_EDGE('',*,*,#213878,.F.); #291214=ORIENTED_EDGE('',*,*,#213879,.F.); #291215=ORIENTED_EDGE('',*,*,#213880,.T.); #291216=ORIENTED_EDGE('',*,*,#213879,.T.); #291217=ORIENTED_EDGE('',*,*,#213881,.F.); #291218=ORIENTED_EDGE('',*,*,#213882,.F.); #291219=ORIENTED_EDGE('',*,*,#213883,.T.); #291220=ORIENTED_EDGE('',*,*,#213882,.T.); #291221=ORIENTED_EDGE('',*,*,#213884,.F.); #291222=ORIENTED_EDGE('',*,*,#213885,.F.); #291223=ORIENTED_EDGE('',*,*,#213886,.T.); #291224=ORIENTED_EDGE('',*,*,#213885,.T.); #291225=ORIENTED_EDGE('',*,*,#213887,.F.); #291226=ORIENTED_EDGE('',*,*,#213888,.F.); #291227=ORIENTED_EDGE('',*,*,#213889,.T.); #291228=ORIENTED_EDGE('',*,*,#213888,.T.); #291229=ORIENTED_EDGE('',*,*,#213890,.F.); #291230=ORIENTED_EDGE('',*,*,#213891,.F.); #291231=ORIENTED_EDGE('',*,*,#213892,.T.); #291232=ORIENTED_EDGE('',*,*,#213891,.T.); #291233=ORIENTED_EDGE('',*,*,#213893,.F.); #291234=ORIENTED_EDGE('',*,*,#213894,.F.); #291235=ORIENTED_EDGE('',*,*,#213895,.T.); #291236=ORIENTED_EDGE('',*,*,#213894,.T.); #291237=ORIENTED_EDGE('',*,*,#213896,.F.); #291238=ORIENTED_EDGE('',*,*,#213897,.F.); #291239=ORIENTED_EDGE('',*,*,#213898,.T.); #291240=ORIENTED_EDGE('',*,*,#213897,.T.); #291241=ORIENTED_EDGE('',*,*,#213899,.F.); #291242=ORIENTED_EDGE('',*,*,#213900,.F.); #291243=ORIENTED_EDGE('',*,*,#213901,.T.); #291244=ORIENTED_EDGE('',*,*,#213900,.T.); #291245=ORIENTED_EDGE('',*,*,#213902,.F.); #291246=ORIENTED_EDGE('',*,*,#213903,.F.); #291247=ORIENTED_EDGE('',*,*,#213904,.T.); #291248=ORIENTED_EDGE('',*,*,#213903,.T.); #291249=ORIENTED_EDGE('',*,*,#213905,.F.); #291250=ORIENTED_EDGE('',*,*,#213906,.F.); #291251=ORIENTED_EDGE('',*,*,#213907,.T.); #291252=ORIENTED_EDGE('',*,*,#213906,.T.); #291253=ORIENTED_EDGE('',*,*,#213908,.F.); #291254=ORIENTED_EDGE('',*,*,#213909,.F.); #291255=ORIENTED_EDGE('',*,*,#213910,.T.); #291256=ORIENTED_EDGE('',*,*,#213909,.T.); #291257=ORIENTED_EDGE('',*,*,#213911,.F.); #291258=ORIENTED_EDGE('',*,*,#213912,.F.); #291259=ORIENTED_EDGE('',*,*,#213913,.T.); #291260=ORIENTED_EDGE('',*,*,#213912,.T.); #291261=ORIENTED_EDGE('',*,*,#213914,.F.); #291262=ORIENTED_EDGE('',*,*,#213915,.F.); #291263=ORIENTED_EDGE('',*,*,#213916,.T.); #291264=ORIENTED_EDGE('',*,*,#213915,.T.); #291265=ORIENTED_EDGE('',*,*,#213917,.F.); #291266=ORIENTED_EDGE('',*,*,#213918,.F.); #291267=ORIENTED_EDGE('',*,*,#213919,.T.); #291268=ORIENTED_EDGE('',*,*,#213918,.T.); #291269=ORIENTED_EDGE('',*,*,#213920,.F.); #291270=ORIENTED_EDGE('',*,*,#213921,.F.); #291271=ORIENTED_EDGE('',*,*,#213922,.T.); #291272=ORIENTED_EDGE('',*,*,#213921,.T.); #291273=ORIENTED_EDGE('',*,*,#213923,.F.); #291274=ORIENTED_EDGE('',*,*,#213924,.F.); #291275=ORIENTED_EDGE('',*,*,#213925,.T.); #291276=ORIENTED_EDGE('',*,*,#213924,.T.); #291277=ORIENTED_EDGE('',*,*,#213926,.F.); #291278=ORIENTED_EDGE('',*,*,#213838,.F.); #291279=ORIENTED_EDGE('',*,*,#213927,.T.); #291280=ORIENTED_EDGE('',*,*,#213928,.T.); #291281=ORIENTED_EDGE('',*,*,#213929,.F.); #291282=ORIENTED_EDGE('',*,*,#213930,.F.); #291283=ORIENTED_EDGE('',*,*,#213931,.T.); #291284=ORIENTED_EDGE('',*,*,#213930,.T.); #291285=ORIENTED_EDGE('',*,*,#213932,.F.); #291286=ORIENTED_EDGE('',*,*,#213933,.F.); #291287=ORIENTED_EDGE('',*,*,#213934,.T.); #291288=ORIENTED_EDGE('',*,*,#213933,.T.); #291289=ORIENTED_EDGE('',*,*,#213935,.F.); #291290=ORIENTED_EDGE('',*,*,#213936,.F.); #291291=ORIENTED_EDGE('',*,*,#213937,.T.); #291292=ORIENTED_EDGE('',*,*,#213936,.T.); #291293=ORIENTED_EDGE('',*,*,#213938,.F.); #291294=ORIENTED_EDGE('',*,*,#213939,.F.); #291295=ORIENTED_EDGE('',*,*,#213940,.T.); #291296=ORIENTED_EDGE('',*,*,#213939,.T.); #291297=ORIENTED_EDGE('',*,*,#213941,.F.); #291298=ORIENTED_EDGE('',*,*,#213942,.F.); #291299=ORIENTED_EDGE('',*,*,#213943,.T.); #291300=ORIENTED_EDGE('',*,*,#213942,.T.); #291301=ORIENTED_EDGE('',*,*,#213944,.F.); #291302=ORIENTED_EDGE('',*,*,#213945,.F.); #291303=ORIENTED_EDGE('',*,*,#213946,.T.); #291304=ORIENTED_EDGE('',*,*,#213945,.T.); #291305=ORIENTED_EDGE('',*,*,#213947,.F.); #291306=ORIENTED_EDGE('',*,*,#213948,.F.); #291307=ORIENTED_EDGE('',*,*,#213949,.T.); #291308=ORIENTED_EDGE('',*,*,#213948,.T.); #291309=ORIENTED_EDGE('',*,*,#213950,.F.); #291310=ORIENTED_EDGE('',*,*,#213951,.F.); #291311=ORIENTED_EDGE('',*,*,#213952,.T.); #291312=ORIENTED_EDGE('',*,*,#213951,.T.); #291313=ORIENTED_EDGE('',*,*,#213953,.F.); #291314=ORIENTED_EDGE('',*,*,#213954,.F.); #291315=ORIENTED_EDGE('',*,*,#213955,.T.); #291316=ORIENTED_EDGE('',*,*,#213954,.T.); #291317=ORIENTED_EDGE('',*,*,#213956,.F.); #291318=ORIENTED_EDGE('',*,*,#213957,.F.); #291319=ORIENTED_EDGE('',*,*,#213958,.T.); #291320=ORIENTED_EDGE('',*,*,#213957,.T.); #291321=ORIENTED_EDGE('',*,*,#213959,.F.); #291322=ORIENTED_EDGE('',*,*,#213960,.F.); #291323=ORIENTED_EDGE('',*,*,#213961,.T.); #291324=ORIENTED_EDGE('',*,*,#213960,.T.); #291325=ORIENTED_EDGE('',*,*,#213962,.F.); #291326=ORIENTED_EDGE('',*,*,#213963,.F.); #291327=ORIENTED_EDGE('',*,*,#213964,.T.); #291328=ORIENTED_EDGE('',*,*,#213963,.T.); #291329=ORIENTED_EDGE('',*,*,#213965,.F.); #291330=ORIENTED_EDGE('',*,*,#213966,.F.); #291331=ORIENTED_EDGE('',*,*,#213967,.T.); #291332=ORIENTED_EDGE('',*,*,#213966,.T.); #291333=ORIENTED_EDGE('',*,*,#213968,.F.); #291334=ORIENTED_EDGE('',*,*,#213969,.F.); #291335=ORIENTED_EDGE('',*,*,#213970,.T.); #291336=ORIENTED_EDGE('',*,*,#213969,.T.); #291337=ORIENTED_EDGE('',*,*,#213971,.F.); #291338=ORIENTED_EDGE('',*,*,#213972,.F.); #291339=ORIENTED_EDGE('',*,*,#213973,.T.); #291340=ORIENTED_EDGE('',*,*,#213972,.T.); #291341=ORIENTED_EDGE('',*,*,#213974,.F.); #291342=ORIENTED_EDGE('',*,*,#213975,.F.); #291343=ORIENTED_EDGE('',*,*,#213976,.T.); #291344=ORIENTED_EDGE('',*,*,#213975,.T.); #291345=ORIENTED_EDGE('',*,*,#213977,.F.); #291346=ORIENTED_EDGE('',*,*,#213978,.F.); #291347=ORIENTED_EDGE('',*,*,#213979,.T.); #291348=ORIENTED_EDGE('',*,*,#213978,.T.); #291349=ORIENTED_EDGE('',*,*,#213980,.F.); #291350=ORIENTED_EDGE('',*,*,#213981,.F.); #291351=ORIENTED_EDGE('',*,*,#213982,.T.); #291352=ORIENTED_EDGE('',*,*,#213981,.T.); #291353=ORIENTED_EDGE('',*,*,#213983,.F.); #291354=ORIENTED_EDGE('',*,*,#213984,.F.); #291355=ORIENTED_EDGE('',*,*,#213985,.T.); #291356=ORIENTED_EDGE('',*,*,#213984,.T.); #291357=ORIENTED_EDGE('',*,*,#213986,.F.); #291358=ORIENTED_EDGE('',*,*,#213987,.F.); #291359=ORIENTED_EDGE('',*,*,#213988,.T.); #291360=ORIENTED_EDGE('',*,*,#213987,.T.); #291361=ORIENTED_EDGE('',*,*,#213989,.F.); #291362=ORIENTED_EDGE('',*,*,#213990,.F.); #291363=ORIENTED_EDGE('',*,*,#213991,.T.); #291364=ORIENTED_EDGE('',*,*,#213990,.T.); #291365=ORIENTED_EDGE('',*,*,#213992,.F.); #291366=ORIENTED_EDGE('',*,*,#213993,.F.); #291367=ORIENTED_EDGE('',*,*,#213994,.T.); #291368=ORIENTED_EDGE('',*,*,#213993,.T.); #291369=ORIENTED_EDGE('',*,*,#213995,.F.); #291370=ORIENTED_EDGE('',*,*,#213996,.F.); #291371=ORIENTED_EDGE('',*,*,#213997,.T.); #291372=ORIENTED_EDGE('',*,*,#213996,.T.); #291373=ORIENTED_EDGE('',*,*,#213998,.F.); #291374=ORIENTED_EDGE('',*,*,#213999,.F.); #291375=ORIENTED_EDGE('',*,*,#214000,.T.); #291376=ORIENTED_EDGE('',*,*,#213999,.T.); #291377=ORIENTED_EDGE('',*,*,#214001,.F.); #291378=ORIENTED_EDGE('',*,*,#214002,.F.); #291379=ORIENTED_EDGE('',*,*,#214003,.T.); #291380=ORIENTED_EDGE('',*,*,#214002,.T.); #291381=ORIENTED_EDGE('',*,*,#214004,.F.); #291382=ORIENTED_EDGE('',*,*,#214005,.F.); #291383=ORIENTED_EDGE('',*,*,#214006,.T.); #291384=ORIENTED_EDGE('',*,*,#214005,.T.); #291385=ORIENTED_EDGE('',*,*,#214007,.F.); #291386=ORIENTED_EDGE('',*,*,#214008,.F.); #291387=ORIENTED_EDGE('',*,*,#214009,.T.); #291388=ORIENTED_EDGE('',*,*,#214008,.T.); #291389=ORIENTED_EDGE('',*,*,#214010,.F.); #291390=ORIENTED_EDGE('',*,*,#214011,.F.); #291391=ORIENTED_EDGE('',*,*,#214012,.T.); #291392=ORIENTED_EDGE('',*,*,#214011,.T.); #291393=ORIENTED_EDGE('',*,*,#214013,.F.); #291394=ORIENTED_EDGE('',*,*,#214014,.F.); #291395=ORIENTED_EDGE('',*,*,#214015,.T.); #291396=ORIENTED_EDGE('',*,*,#214014,.T.); #291397=ORIENTED_EDGE('',*,*,#214016,.F.); #291398=ORIENTED_EDGE('',*,*,#213928,.F.); #291399=ORIENTED_EDGE('',*,*,#214017,.T.); #291400=ORIENTED_EDGE('',*,*,#214018,.T.); #291401=ORIENTED_EDGE('',*,*,#214019,.F.); #291402=ORIENTED_EDGE('',*,*,#214020,.F.); #291403=ORIENTED_EDGE('',*,*,#214021,.T.); #291404=ORIENTED_EDGE('',*,*,#214020,.T.); #291405=ORIENTED_EDGE('',*,*,#214022,.F.); #291406=ORIENTED_EDGE('',*,*,#214023,.F.); #291407=ORIENTED_EDGE('',*,*,#214024,.T.); #291408=ORIENTED_EDGE('',*,*,#214023,.T.); #291409=ORIENTED_EDGE('',*,*,#214025,.F.); #291410=ORIENTED_EDGE('',*,*,#214026,.F.); #291411=ORIENTED_EDGE('',*,*,#214027,.T.); #291412=ORIENTED_EDGE('',*,*,#214026,.T.); #291413=ORIENTED_EDGE('',*,*,#214028,.F.); #291414=ORIENTED_EDGE('',*,*,#214029,.F.); #291415=ORIENTED_EDGE('',*,*,#214030,.T.); #291416=ORIENTED_EDGE('',*,*,#214029,.T.); #291417=ORIENTED_EDGE('',*,*,#214031,.F.); #291418=ORIENTED_EDGE('',*,*,#214032,.F.); #291419=ORIENTED_EDGE('',*,*,#214033,.T.); #291420=ORIENTED_EDGE('',*,*,#214032,.T.); #291421=ORIENTED_EDGE('',*,*,#214034,.F.); #291422=ORIENTED_EDGE('',*,*,#214035,.F.); #291423=ORIENTED_EDGE('',*,*,#214036,.T.); #291424=ORIENTED_EDGE('',*,*,#214035,.T.); #291425=ORIENTED_EDGE('',*,*,#214037,.F.); #291426=ORIENTED_EDGE('',*,*,#214038,.F.); #291427=ORIENTED_EDGE('',*,*,#214039,.T.); #291428=ORIENTED_EDGE('',*,*,#214038,.T.); #291429=ORIENTED_EDGE('',*,*,#214040,.F.); #291430=ORIENTED_EDGE('',*,*,#214041,.F.); #291431=ORIENTED_EDGE('',*,*,#214042,.T.); #291432=ORIENTED_EDGE('',*,*,#214041,.T.); #291433=ORIENTED_EDGE('',*,*,#214043,.F.); #291434=ORIENTED_EDGE('',*,*,#214044,.F.); #291435=ORIENTED_EDGE('',*,*,#214045,.T.); #291436=ORIENTED_EDGE('',*,*,#214044,.T.); #291437=ORIENTED_EDGE('',*,*,#214046,.F.); #291438=ORIENTED_EDGE('',*,*,#214047,.F.); #291439=ORIENTED_EDGE('',*,*,#214048,.T.); #291440=ORIENTED_EDGE('',*,*,#214047,.T.); #291441=ORIENTED_EDGE('',*,*,#214049,.F.); #291442=ORIENTED_EDGE('',*,*,#214050,.F.); #291443=ORIENTED_EDGE('',*,*,#214051,.T.); #291444=ORIENTED_EDGE('',*,*,#214050,.T.); #291445=ORIENTED_EDGE('',*,*,#214052,.F.); #291446=ORIENTED_EDGE('',*,*,#214053,.F.); #291447=ORIENTED_EDGE('',*,*,#214054,.T.); #291448=ORIENTED_EDGE('',*,*,#214053,.T.); #291449=ORIENTED_EDGE('',*,*,#214055,.F.); #291450=ORIENTED_EDGE('',*,*,#214056,.F.); #291451=ORIENTED_EDGE('',*,*,#214057,.T.); #291452=ORIENTED_EDGE('',*,*,#214056,.T.); #291453=ORIENTED_EDGE('',*,*,#214058,.F.); #291454=ORIENTED_EDGE('',*,*,#214059,.F.); #291455=ORIENTED_EDGE('',*,*,#214060,.T.); #291456=ORIENTED_EDGE('',*,*,#214059,.T.); #291457=ORIENTED_EDGE('',*,*,#214061,.F.); #291458=ORIENTED_EDGE('',*,*,#214062,.F.); #291459=ORIENTED_EDGE('',*,*,#214063,.T.); #291460=ORIENTED_EDGE('',*,*,#214062,.T.); #291461=ORIENTED_EDGE('',*,*,#214064,.F.); #291462=ORIENTED_EDGE('',*,*,#214065,.F.); #291463=ORIENTED_EDGE('',*,*,#214066,.T.); #291464=ORIENTED_EDGE('',*,*,#214065,.T.); #291465=ORIENTED_EDGE('',*,*,#214067,.F.); #291466=ORIENTED_EDGE('',*,*,#214068,.F.); #291467=ORIENTED_EDGE('',*,*,#214069,.T.); #291468=ORIENTED_EDGE('',*,*,#214068,.T.); #291469=ORIENTED_EDGE('',*,*,#214070,.F.); #291470=ORIENTED_EDGE('',*,*,#214071,.F.); #291471=ORIENTED_EDGE('',*,*,#214072,.T.); #291472=ORIENTED_EDGE('',*,*,#214071,.T.); #291473=ORIENTED_EDGE('',*,*,#214073,.F.); #291474=ORIENTED_EDGE('',*,*,#214074,.F.); #291475=ORIENTED_EDGE('',*,*,#214075,.T.); #291476=ORIENTED_EDGE('',*,*,#214074,.T.); #291477=ORIENTED_EDGE('',*,*,#214076,.F.); #291478=ORIENTED_EDGE('',*,*,#214077,.F.); #291479=ORIENTED_EDGE('',*,*,#214078,.T.); #291480=ORIENTED_EDGE('',*,*,#214077,.T.); #291481=ORIENTED_EDGE('',*,*,#214079,.F.); #291482=ORIENTED_EDGE('',*,*,#214080,.F.); #291483=ORIENTED_EDGE('',*,*,#214081,.T.); #291484=ORIENTED_EDGE('',*,*,#214080,.T.); #291485=ORIENTED_EDGE('',*,*,#214082,.F.); #291486=ORIENTED_EDGE('',*,*,#214083,.F.); #291487=ORIENTED_EDGE('',*,*,#214084,.T.); #291488=ORIENTED_EDGE('',*,*,#214083,.T.); #291489=ORIENTED_EDGE('',*,*,#214085,.F.); #291490=ORIENTED_EDGE('',*,*,#214086,.F.); #291491=ORIENTED_EDGE('',*,*,#214087,.T.); #291492=ORIENTED_EDGE('',*,*,#214086,.T.); #291493=ORIENTED_EDGE('',*,*,#214088,.F.); #291494=ORIENTED_EDGE('',*,*,#214089,.F.); #291495=ORIENTED_EDGE('',*,*,#214090,.T.); #291496=ORIENTED_EDGE('',*,*,#214089,.T.); #291497=ORIENTED_EDGE('',*,*,#214091,.F.); #291498=ORIENTED_EDGE('',*,*,#214092,.F.); #291499=ORIENTED_EDGE('',*,*,#214093,.T.); #291500=ORIENTED_EDGE('',*,*,#214092,.T.); #291501=ORIENTED_EDGE('',*,*,#214094,.F.); #291502=ORIENTED_EDGE('',*,*,#214095,.F.); #291503=ORIENTED_EDGE('',*,*,#214096,.T.); #291504=ORIENTED_EDGE('',*,*,#214095,.T.); #291505=ORIENTED_EDGE('',*,*,#214097,.F.); #291506=ORIENTED_EDGE('',*,*,#214098,.F.); #291507=ORIENTED_EDGE('',*,*,#214099,.T.); #291508=ORIENTED_EDGE('',*,*,#214098,.T.); #291509=ORIENTED_EDGE('',*,*,#214100,.F.); #291510=ORIENTED_EDGE('',*,*,#214101,.F.); #291511=ORIENTED_EDGE('',*,*,#214102,.T.); #291512=ORIENTED_EDGE('',*,*,#214101,.T.); #291513=ORIENTED_EDGE('',*,*,#214103,.F.); #291514=ORIENTED_EDGE('',*,*,#214104,.F.); #291515=ORIENTED_EDGE('',*,*,#214105,.T.); #291516=ORIENTED_EDGE('',*,*,#214104,.T.); #291517=ORIENTED_EDGE('',*,*,#214106,.F.); #291518=ORIENTED_EDGE('',*,*,#214018,.F.); #291519=ORIENTED_EDGE('',*,*,#214107,.T.); #291520=ORIENTED_EDGE('',*,*,#214108,.T.); #291521=ORIENTED_EDGE('',*,*,#214109,.F.); #291522=ORIENTED_EDGE('',*,*,#214110,.F.); #291523=ORIENTED_EDGE('',*,*,#214111,.T.); #291524=ORIENTED_EDGE('',*,*,#214110,.T.); #291525=ORIENTED_EDGE('',*,*,#214112,.F.); #291526=ORIENTED_EDGE('',*,*,#214113,.F.); #291527=ORIENTED_EDGE('',*,*,#214114,.T.); #291528=ORIENTED_EDGE('',*,*,#214113,.T.); #291529=ORIENTED_EDGE('',*,*,#214115,.F.); #291530=ORIENTED_EDGE('',*,*,#214116,.F.); #291531=ORIENTED_EDGE('',*,*,#214117,.T.); #291532=ORIENTED_EDGE('',*,*,#214116,.T.); #291533=ORIENTED_EDGE('',*,*,#214118,.F.); #291534=ORIENTED_EDGE('',*,*,#214119,.F.); #291535=ORIENTED_EDGE('',*,*,#214120,.T.); #291536=ORIENTED_EDGE('',*,*,#214119,.T.); #291537=ORIENTED_EDGE('',*,*,#214121,.F.); #291538=ORIENTED_EDGE('',*,*,#214122,.F.); #291539=ORIENTED_EDGE('',*,*,#214123,.T.); #291540=ORIENTED_EDGE('',*,*,#214122,.T.); #291541=ORIENTED_EDGE('',*,*,#214124,.F.); #291542=ORIENTED_EDGE('',*,*,#214125,.F.); #291543=ORIENTED_EDGE('',*,*,#214126,.T.); #291544=ORIENTED_EDGE('',*,*,#214125,.T.); #291545=ORIENTED_EDGE('',*,*,#214127,.F.); #291546=ORIENTED_EDGE('',*,*,#214128,.F.); #291547=ORIENTED_EDGE('',*,*,#214129,.T.); #291548=ORIENTED_EDGE('',*,*,#214128,.T.); #291549=ORIENTED_EDGE('',*,*,#214130,.F.); #291550=ORIENTED_EDGE('',*,*,#214131,.F.); #291551=ORIENTED_EDGE('',*,*,#214132,.T.); #291552=ORIENTED_EDGE('',*,*,#214131,.T.); #291553=ORIENTED_EDGE('',*,*,#214133,.F.); #291554=ORIENTED_EDGE('',*,*,#214134,.F.); #291555=ORIENTED_EDGE('',*,*,#214135,.T.); #291556=ORIENTED_EDGE('',*,*,#214134,.T.); #291557=ORIENTED_EDGE('',*,*,#214136,.F.); #291558=ORIENTED_EDGE('',*,*,#214137,.F.); #291559=ORIENTED_EDGE('',*,*,#214138,.T.); #291560=ORIENTED_EDGE('',*,*,#214137,.T.); #291561=ORIENTED_EDGE('',*,*,#214139,.F.); #291562=ORIENTED_EDGE('',*,*,#214140,.F.); #291563=ORIENTED_EDGE('',*,*,#214141,.T.); #291564=ORIENTED_EDGE('',*,*,#214140,.T.); #291565=ORIENTED_EDGE('',*,*,#214142,.F.); #291566=ORIENTED_EDGE('',*,*,#214143,.F.); #291567=ORIENTED_EDGE('',*,*,#214144,.T.); #291568=ORIENTED_EDGE('',*,*,#214143,.T.); #291569=ORIENTED_EDGE('',*,*,#214145,.F.); #291570=ORIENTED_EDGE('',*,*,#214146,.F.); #291571=ORIENTED_EDGE('',*,*,#214147,.T.); #291572=ORIENTED_EDGE('',*,*,#214146,.T.); #291573=ORIENTED_EDGE('',*,*,#214148,.F.); #291574=ORIENTED_EDGE('',*,*,#214149,.F.); #291575=ORIENTED_EDGE('',*,*,#214150,.T.); #291576=ORIENTED_EDGE('',*,*,#214149,.T.); #291577=ORIENTED_EDGE('',*,*,#214151,.F.); #291578=ORIENTED_EDGE('',*,*,#214152,.F.); #291579=ORIENTED_EDGE('',*,*,#214153,.T.); #291580=ORIENTED_EDGE('',*,*,#214152,.T.); #291581=ORIENTED_EDGE('',*,*,#214154,.F.); #291582=ORIENTED_EDGE('',*,*,#214155,.F.); #291583=ORIENTED_EDGE('',*,*,#214156,.T.); #291584=ORIENTED_EDGE('',*,*,#214155,.T.); #291585=ORIENTED_EDGE('',*,*,#214157,.F.); #291586=ORIENTED_EDGE('',*,*,#214158,.F.); #291587=ORIENTED_EDGE('',*,*,#214159,.T.); #291588=ORIENTED_EDGE('',*,*,#214158,.T.); #291589=ORIENTED_EDGE('',*,*,#214160,.F.); #291590=ORIENTED_EDGE('',*,*,#214161,.F.); #291591=ORIENTED_EDGE('',*,*,#214162,.T.); #291592=ORIENTED_EDGE('',*,*,#214161,.T.); #291593=ORIENTED_EDGE('',*,*,#214163,.F.); #291594=ORIENTED_EDGE('',*,*,#214164,.F.); #291595=ORIENTED_EDGE('',*,*,#214165,.T.); #291596=ORIENTED_EDGE('',*,*,#214164,.T.); #291597=ORIENTED_EDGE('',*,*,#214166,.F.); #291598=ORIENTED_EDGE('',*,*,#214167,.F.); #291599=ORIENTED_EDGE('',*,*,#214168,.T.); #291600=ORIENTED_EDGE('',*,*,#214167,.T.); #291601=ORIENTED_EDGE('',*,*,#214169,.F.); #291602=ORIENTED_EDGE('',*,*,#214170,.F.); #291603=ORIENTED_EDGE('',*,*,#214171,.T.); #291604=ORIENTED_EDGE('',*,*,#214170,.T.); #291605=ORIENTED_EDGE('',*,*,#214172,.F.); #291606=ORIENTED_EDGE('',*,*,#214173,.F.); #291607=ORIENTED_EDGE('',*,*,#214174,.T.); #291608=ORIENTED_EDGE('',*,*,#214173,.T.); #291609=ORIENTED_EDGE('',*,*,#214175,.F.); #291610=ORIENTED_EDGE('',*,*,#214176,.F.); #291611=ORIENTED_EDGE('',*,*,#214177,.T.); #291612=ORIENTED_EDGE('',*,*,#214176,.T.); #291613=ORIENTED_EDGE('',*,*,#214178,.F.); #291614=ORIENTED_EDGE('',*,*,#214179,.F.); #291615=ORIENTED_EDGE('',*,*,#214180,.T.); #291616=ORIENTED_EDGE('',*,*,#214179,.T.); #291617=ORIENTED_EDGE('',*,*,#214181,.F.); #291618=ORIENTED_EDGE('',*,*,#214182,.F.); #291619=ORIENTED_EDGE('',*,*,#214183,.T.); #291620=ORIENTED_EDGE('',*,*,#214182,.T.); #291621=ORIENTED_EDGE('',*,*,#214184,.F.); #291622=ORIENTED_EDGE('',*,*,#214185,.F.); #291623=ORIENTED_EDGE('',*,*,#214186,.T.); #291624=ORIENTED_EDGE('',*,*,#214185,.T.); #291625=ORIENTED_EDGE('',*,*,#214187,.F.); #291626=ORIENTED_EDGE('',*,*,#214188,.F.); #291627=ORIENTED_EDGE('',*,*,#214189,.T.); #291628=ORIENTED_EDGE('',*,*,#214188,.T.); #291629=ORIENTED_EDGE('',*,*,#214190,.F.); #291630=ORIENTED_EDGE('',*,*,#214191,.F.); #291631=ORIENTED_EDGE('',*,*,#214192,.T.); #291632=ORIENTED_EDGE('',*,*,#214191,.T.); #291633=ORIENTED_EDGE('',*,*,#214193,.F.); #291634=ORIENTED_EDGE('',*,*,#214194,.F.); #291635=ORIENTED_EDGE('',*,*,#214195,.T.); #291636=ORIENTED_EDGE('',*,*,#214194,.T.); #291637=ORIENTED_EDGE('',*,*,#214196,.F.); #291638=ORIENTED_EDGE('',*,*,#214197,.F.); #291639=ORIENTED_EDGE('',*,*,#214198,.T.); #291640=ORIENTED_EDGE('',*,*,#214197,.T.); #291641=ORIENTED_EDGE('',*,*,#214199,.F.); #291642=ORIENTED_EDGE('',*,*,#214200,.F.); #291643=ORIENTED_EDGE('',*,*,#214201,.T.); #291644=ORIENTED_EDGE('',*,*,#214200,.T.); #291645=ORIENTED_EDGE('',*,*,#214202,.F.); #291646=ORIENTED_EDGE('',*,*,#214203,.F.); #291647=ORIENTED_EDGE('',*,*,#214204,.T.); #291648=ORIENTED_EDGE('',*,*,#214203,.T.); #291649=ORIENTED_EDGE('',*,*,#214205,.F.); #291650=ORIENTED_EDGE('',*,*,#214206,.F.); #291651=ORIENTED_EDGE('',*,*,#214207,.T.); #291652=ORIENTED_EDGE('',*,*,#214206,.T.); #291653=ORIENTED_EDGE('',*,*,#214208,.F.); #291654=ORIENTED_EDGE('',*,*,#214209,.F.); #291655=ORIENTED_EDGE('',*,*,#214210,.T.); #291656=ORIENTED_EDGE('',*,*,#214209,.T.); #291657=ORIENTED_EDGE('',*,*,#214211,.F.); #291658=ORIENTED_EDGE('',*,*,#214212,.F.); #291659=ORIENTED_EDGE('',*,*,#214213,.T.); #291660=ORIENTED_EDGE('',*,*,#214212,.T.); #291661=ORIENTED_EDGE('',*,*,#214214,.F.); #291662=ORIENTED_EDGE('',*,*,#214215,.F.); #291663=ORIENTED_EDGE('',*,*,#214216,.T.); #291664=ORIENTED_EDGE('',*,*,#214215,.T.); #291665=ORIENTED_EDGE('',*,*,#214217,.F.); #291666=ORIENTED_EDGE('',*,*,#214218,.F.); #291667=ORIENTED_EDGE('',*,*,#214219,.T.); #291668=ORIENTED_EDGE('',*,*,#214218,.T.); #291669=ORIENTED_EDGE('',*,*,#214220,.F.); #291670=ORIENTED_EDGE('',*,*,#214221,.F.); #291671=ORIENTED_EDGE('',*,*,#214222,.T.); #291672=ORIENTED_EDGE('',*,*,#214221,.T.); #291673=ORIENTED_EDGE('',*,*,#214223,.F.); #291674=ORIENTED_EDGE('',*,*,#214224,.F.); #291675=ORIENTED_EDGE('',*,*,#214225,.T.); #291676=ORIENTED_EDGE('',*,*,#214224,.T.); #291677=ORIENTED_EDGE('',*,*,#214226,.F.); #291678=ORIENTED_EDGE('',*,*,#214227,.F.); #291679=ORIENTED_EDGE('',*,*,#214228,.T.); #291680=ORIENTED_EDGE('',*,*,#214227,.T.); #291681=ORIENTED_EDGE('',*,*,#214229,.F.); #291682=ORIENTED_EDGE('',*,*,#214230,.F.); #291683=ORIENTED_EDGE('',*,*,#214231,.T.); #291684=ORIENTED_EDGE('',*,*,#214230,.T.); #291685=ORIENTED_EDGE('',*,*,#214232,.F.); #291686=ORIENTED_EDGE('',*,*,#214233,.F.); #291687=ORIENTED_EDGE('',*,*,#214234,.T.); #291688=ORIENTED_EDGE('',*,*,#214233,.T.); #291689=ORIENTED_EDGE('',*,*,#214235,.F.); #291690=ORIENTED_EDGE('',*,*,#214236,.F.); #291691=ORIENTED_EDGE('',*,*,#214237,.T.); #291692=ORIENTED_EDGE('',*,*,#214236,.T.); #291693=ORIENTED_EDGE('',*,*,#214238,.F.); #291694=ORIENTED_EDGE('',*,*,#214239,.F.); #291695=ORIENTED_EDGE('',*,*,#214240,.T.); #291696=ORIENTED_EDGE('',*,*,#214239,.T.); #291697=ORIENTED_EDGE('',*,*,#214241,.F.); #291698=ORIENTED_EDGE('',*,*,#214242,.F.); #291699=ORIENTED_EDGE('',*,*,#214243,.T.); #291700=ORIENTED_EDGE('',*,*,#214242,.T.); #291701=ORIENTED_EDGE('',*,*,#214244,.F.); #291702=ORIENTED_EDGE('',*,*,#214245,.F.); #291703=ORIENTED_EDGE('',*,*,#214246,.T.); #291704=ORIENTED_EDGE('',*,*,#214245,.T.); #291705=ORIENTED_EDGE('',*,*,#214247,.F.); #291706=ORIENTED_EDGE('',*,*,#214248,.F.); #291707=ORIENTED_EDGE('',*,*,#214249,.T.); #291708=ORIENTED_EDGE('',*,*,#214248,.T.); #291709=ORIENTED_EDGE('',*,*,#214250,.F.); #291710=ORIENTED_EDGE('',*,*,#214251,.F.); #291711=ORIENTED_EDGE('',*,*,#214252,.T.); #291712=ORIENTED_EDGE('',*,*,#214251,.T.); #291713=ORIENTED_EDGE('',*,*,#214253,.F.); #291714=ORIENTED_EDGE('',*,*,#214254,.F.); #291715=ORIENTED_EDGE('',*,*,#214255,.T.); #291716=ORIENTED_EDGE('',*,*,#214254,.T.); #291717=ORIENTED_EDGE('',*,*,#214256,.F.); #291718=ORIENTED_EDGE('',*,*,#214257,.F.); #291719=ORIENTED_EDGE('',*,*,#214258,.T.); #291720=ORIENTED_EDGE('',*,*,#214257,.T.); #291721=ORIENTED_EDGE('',*,*,#214259,.F.); #291722=ORIENTED_EDGE('',*,*,#214260,.F.); #291723=ORIENTED_EDGE('',*,*,#214261,.T.); #291724=ORIENTED_EDGE('',*,*,#214260,.T.); #291725=ORIENTED_EDGE('',*,*,#214262,.F.); #291726=ORIENTED_EDGE('',*,*,#214263,.F.); #291727=ORIENTED_EDGE('',*,*,#214264,.T.); #291728=ORIENTED_EDGE('',*,*,#214263,.T.); #291729=ORIENTED_EDGE('',*,*,#214265,.F.); #291730=ORIENTED_EDGE('',*,*,#214266,.F.); #291731=ORIENTED_EDGE('',*,*,#214267,.T.); #291732=ORIENTED_EDGE('',*,*,#214266,.T.); #291733=ORIENTED_EDGE('',*,*,#214268,.F.); #291734=ORIENTED_EDGE('',*,*,#214269,.F.); #291735=ORIENTED_EDGE('',*,*,#214270,.T.); #291736=ORIENTED_EDGE('',*,*,#214269,.T.); #291737=ORIENTED_EDGE('',*,*,#214271,.F.); #291738=ORIENTED_EDGE('',*,*,#214272,.F.); #291739=ORIENTED_EDGE('',*,*,#214273,.T.); #291740=ORIENTED_EDGE('',*,*,#214272,.T.); #291741=ORIENTED_EDGE('',*,*,#214274,.F.); #291742=ORIENTED_EDGE('',*,*,#214275,.F.); #291743=ORIENTED_EDGE('',*,*,#214276,.T.); #291744=ORIENTED_EDGE('',*,*,#214275,.T.); #291745=ORIENTED_EDGE('',*,*,#214277,.F.); #291746=ORIENTED_EDGE('',*,*,#214278,.F.); #291747=ORIENTED_EDGE('',*,*,#214279,.T.); #291748=ORIENTED_EDGE('',*,*,#214278,.T.); #291749=ORIENTED_EDGE('',*,*,#214280,.F.); #291750=ORIENTED_EDGE('',*,*,#214281,.F.); #291751=ORIENTED_EDGE('',*,*,#214282,.T.); #291752=ORIENTED_EDGE('',*,*,#214281,.T.); #291753=ORIENTED_EDGE('',*,*,#214283,.F.); #291754=ORIENTED_EDGE('',*,*,#214284,.F.); #291755=ORIENTED_EDGE('',*,*,#214285,.T.); #291756=ORIENTED_EDGE('',*,*,#214284,.T.); #291757=ORIENTED_EDGE('',*,*,#214286,.F.); #291758=ORIENTED_EDGE('',*,*,#214287,.F.); #291759=ORIENTED_EDGE('',*,*,#214288,.T.); #291760=ORIENTED_EDGE('',*,*,#214287,.T.); #291761=ORIENTED_EDGE('',*,*,#214289,.F.); #291762=ORIENTED_EDGE('',*,*,#214290,.F.); #291763=ORIENTED_EDGE('',*,*,#214291,.T.); #291764=ORIENTED_EDGE('',*,*,#214290,.T.); #291765=ORIENTED_EDGE('',*,*,#214292,.F.); #291766=ORIENTED_EDGE('',*,*,#214293,.F.); #291767=ORIENTED_EDGE('',*,*,#214294,.T.); #291768=ORIENTED_EDGE('',*,*,#214293,.T.); #291769=ORIENTED_EDGE('',*,*,#214295,.F.); #291770=ORIENTED_EDGE('',*,*,#214296,.F.); #291771=ORIENTED_EDGE('',*,*,#214297,.T.); #291772=ORIENTED_EDGE('',*,*,#214296,.T.); #291773=ORIENTED_EDGE('',*,*,#214298,.F.); #291774=ORIENTED_EDGE('',*,*,#214299,.F.); #291775=ORIENTED_EDGE('',*,*,#214300,.T.); #291776=ORIENTED_EDGE('',*,*,#214299,.T.); #291777=ORIENTED_EDGE('',*,*,#214301,.F.); #291778=ORIENTED_EDGE('',*,*,#214302,.F.); #291779=ORIENTED_EDGE('',*,*,#214303,.T.); #291780=ORIENTED_EDGE('',*,*,#214302,.T.); #291781=ORIENTED_EDGE('',*,*,#214304,.F.); #291782=ORIENTED_EDGE('',*,*,#214305,.F.); #291783=ORIENTED_EDGE('',*,*,#214306,.T.); #291784=ORIENTED_EDGE('',*,*,#214305,.T.); #291785=ORIENTED_EDGE('',*,*,#214307,.F.); #291786=ORIENTED_EDGE('',*,*,#214308,.F.); #291787=ORIENTED_EDGE('',*,*,#214309,.T.); #291788=ORIENTED_EDGE('',*,*,#214308,.T.); #291789=ORIENTED_EDGE('',*,*,#214310,.F.); #291790=ORIENTED_EDGE('',*,*,#214311,.F.); #291791=ORIENTED_EDGE('',*,*,#214312,.T.); #291792=ORIENTED_EDGE('',*,*,#214311,.T.); #291793=ORIENTED_EDGE('',*,*,#214313,.F.); #291794=ORIENTED_EDGE('',*,*,#214314,.F.); #291795=ORIENTED_EDGE('',*,*,#214315,.T.); #291796=ORIENTED_EDGE('',*,*,#214314,.T.); #291797=ORIENTED_EDGE('',*,*,#214316,.F.); #291798=ORIENTED_EDGE('',*,*,#214317,.F.); #291799=ORIENTED_EDGE('',*,*,#214318,.T.); #291800=ORIENTED_EDGE('',*,*,#214317,.T.); #291801=ORIENTED_EDGE('',*,*,#214319,.F.); #291802=ORIENTED_EDGE('',*,*,#214320,.F.); #291803=ORIENTED_EDGE('',*,*,#214321,.T.); #291804=ORIENTED_EDGE('',*,*,#214320,.T.); #291805=ORIENTED_EDGE('',*,*,#214322,.F.); #291806=ORIENTED_EDGE('',*,*,#214323,.F.); #291807=ORIENTED_EDGE('',*,*,#214324,.T.); #291808=ORIENTED_EDGE('',*,*,#214323,.T.); #291809=ORIENTED_EDGE('',*,*,#214325,.F.); #291810=ORIENTED_EDGE('',*,*,#214326,.F.); #291811=ORIENTED_EDGE('',*,*,#214327,.T.); #291812=ORIENTED_EDGE('',*,*,#214326,.T.); #291813=ORIENTED_EDGE('',*,*,#214328,.F.); #291814=ORIENTED_EDGE('',*,*,#214329,.F.); #291815=ORIENTED_EDGE('',*,*,#214330,.T.); #291816=ORIENTED_EDGE('',*,*,#214329,.T.); #291817=ORIENTED_EDGE('',*,*,#214331,.F.); #291818=ORIENTED_EDGE('',*,*,#214332,.F.); #291819=ORIENTED_EDGE('',*,*,#214333,.T.); #291820=ORIENTED_EDGE('',*,*,#214332,.T.); #291821=ORIENTED_EDGE('',*,*,#214334,.F.); #291822=ORIENTED_EDGE('',*,*,#214335,.F.); #291823=ORIENTED_EDGE('',*,*,#214336,.T.); #291824=ORIENTED_EDGE('',*,*,#214335,.T.); #291825=ORIENTED_EDGE('',*,*,#214337,.F.); #291826=ORIENTED_EDGE('',*,*,#214338,.F.); #291827=ORIENTED_EDGE('',*,*,#214339,.T.); #291828=ORIENTED_EDGE('',*,*,#214338,.T.); #291829=ORIENTED_EDGE('',*,*,#214340,.F.); #291830=ORIENTED_EDGE('',*,*,#214341,.F.); #291831=ORIENTED_EDGE('',*,*,#214342,.T.); #291832=ORIENTED_EDGE('',*,*,#214341,.T.); #291833=ORIENTED_EDGE('',*,*,#214343,.F.); #291834=ORIENTED_EDGE('',*,*,#214344,.F.); #291835=ORIENTED_EDGE('',*,*,#214345,.T.); #291836=ORIENTED_EDGE('',*,*,#214344,.T.); #291837=ORIENTED_EDGE('',*,*,#214346,.F.); #291838=ORIENTED_EDGE('',*,*,#214347,.F.); #291839=ORIENTED_EDGE('',*,*,#214348,.T.); #291840=ORIENTED_EDGE('',*,*,#214347,.T.); #291841=ORIENTED_EDGE('',*,*,#214349,.F.); #291842=ORIENTED_EDGE('',*,*,#214350,.F.); #291843=ORIENTED_EDGE('',*,*,#214351,.T.); #291844=ORIENTED_EDGE('',*,*,#214350,.T.); #291845=ORIENTED_EDGE('',*,*,#214352,.F.); #291846=ORIENTED_EDGE('',*,*,#214353,.F.); #291847=ORIENTED_EDGE('',*,*,#214354,.T.); #291848=ORIENTED_EDGE('',*,*,#214353,.T.); #291849=ORIENTED_EDGE('',*,*,#214355,.F.); #291850=ORIENTED_EDGE('',*,*,#214356,.F.); #291851=ORIENTED_EDGE('',*,*,#214357,.T.); #291852=ORIENTED_EDGE('',*,*,#214356,.T.); #291853=ORIENTED_EDGE('',*,*,#214358,.F.); #291854=ORIENTED_EDGE('',*,*,#214359,.F.); #291855=ORIENTED_EDGE('',*,*,#214360,.T.); #291856=ORIENTED_EDGE('',*,*,#214359,.T.); #291857=ORIENTED_EDGE('',*,*,#214361,.F.); #291858=ORIENTED_EDGE('',*,*,#214362,.F.); #291859=ORIENTED_EDGE('',*,*,#214363,.T.); #291860=ORIENTED_EDGE('',*,*,#214362,.T.); #291861=ORIENTED_EDGE('',*,*,#214364,.F.); #291862=ORIENTED_EDGE('',*,*,#214365,.F.); #291863=ORIENTED_EDGE('',*,*,#214366,.T.); #291864=ORIENTED_EDGE('',*,*,#214365,.T.); #291865=ORIENTED_EDGE('',*,*,#214367,.F.); #291866=ORIENTED_EDGE('',*,*,#214368,.F.); #291867=ORIENTED_EDGE('',*,*,#214369,.T.); #291868=ORIENTED_EDGE('',*,*,#214368,.T.); #291869=ORIENTED_EDGE('',*,*,#214370,.F.); #291870=ORIENTED_EDGE('',*,*,#214371,.F.); #291871=ORIENTED_EDGE('',*,*,#214372,.T.); #291872=ORIENTED_EDGE('',*,*,#214371,.T.); #291873=ORIENTED_EDGE('',*,*,#214373,.F.); #291874=ORIENTED_EDGE('',*,*,#214374,.F.); #291875=ORIENTED_EDGE('',*,*,#214375,.T.); #291876=ORIENTED_EDGE('',*,*,#214374,.T.); #291877=ORIENTED_EDGE('',*,*,#214376,.F.); #291878=ORIENTED_EDGE('',*,*,#214377,.F.); #291879=ORIENTED_EDGE('',*,*,#214378,.T.); #291880=ORIENTED_EDGE('',*,*,#214377,.T.); #291881=ORIENTED_EDGE('',*,*,#214379,.F.); #291882=ORIENTED_EDGE('',*,*,#214380,.F.); #291883=ORIENTED_EDGE('',*,*,#214381,.T.); #291884=ORIENTED_EDGE('',*,*,#214380,.T.); #291885=ORIENTED_EDGE('',*,*,#214382,.F.); #291886=ORIENTED_EDGE('',*,*,#214383,.F.); #291887=ORIENTED_EDGE('',*,*,#214384,.T.); #291888=ORIENTED_EDGE('',*,*,#214383,.T.); #291889=ORIENTED_EDGE('',*,*,#214385,.F.); #291890=ORIENTED_EDGE('',*,*,#214386,.F.); #291891=ORIENTED_EDGE('',*,*,#214387,.T.); #291892=ORIENTED_EDGE('',*,*,#214386,.T.); #291893=ORIENTED_EDGE('',*,*,#214388,.F.); #291894=ORIENTED_EDGE('',*,*,#214389,.F.); #291895=ORIENTED_EDGE('',*,*,#214390,.T.); #291896=ORIENTED_EDGE('',*,*,#214389,.T.); #291897=ORIENTED_EDGE('',*,*,#214391,.F.); #291898=ORIENTED_EDGE('',*,*,#214392,.F.); #291899=ORIENTED_EDGE('',*,*,#214393,.T.); #291900=ORIENTED_EDGE('',*,*,#214392,.T.); #291901=ORIENTED_EDGE('',*,*,#214394,.F.); #291902=ORIENTED_EDGE('',*,*,#214395,.F.); #291903=ORIENTED_EDGE('',*,*,#214396,.T.); #291904=ORIENTED_EDGE('',*,*,#214395,.T.); #291905=ORIENTED_EDGE('',*,*,#214397,.F.); #291906=ORIENTED_EDGE('',*,*,#214398,.F.); #291907=ORIENTED_EDGE('',*,*,#214399,.T.); #291908=ORIENTED_EDGE('',*,*,#214398,.T.); #291909=ORIENTED_EDGE('',*,*,#214400,.F.); #291910=ORIENTED_EDGE('',*,*,#214401,.F.); #291911=ORIENTED_EDGE('',*,*,#214402,.T.); #291912=ORIENTED_EDGE('',*,*,#214401,.T.); #291913=ORIENTED_EDGE('',*,*,#214403,.F.); #291914=ORIENTED_EDGE('',*,*,#214404,.F.); #291915=ORIENTED_EDGE('',*,*,#214405,.T.); #291916=ORIENTED_EDGE('',*,*,#214404,.T.); #291917=ORIENTED_EDGE('',*,*,#214406,.F.); #291918=ORIENTED_EDGE('',*,*,#214407,.F.); #291919=ORIENTED_EDGE('',*,*,#214408,.T.); #291920=ORIENTED_EDGE('',*,*,#214407,.T.); #291921=ORIENTED_EDGE('',*,*,#214409,.F.); #291922=ORIENTED_EDGE('',*,*,#214410,.F.); #291923=ORIENTED_EDGE('',*,*,#214411,.T.); #291924=ORIENTED_EDGE('',*,*,#214410,.T.); #291925=ORIENTED_EDGE('',*,*,#214412,.F.); #291926=ORIENTED_EDGE('',*,*,#214413,.F.); #291927=ORIENTED_EDGE('',*,*,#214414,.T.); #291928=ORIENTED_EDGE('',*,*,#214413,.T.); #291929=ORIENTED_EDGE('',*,*,#214415,.F.); #291930=ORIENTED_EDGE('',*,*,#214416,.F.); #291931=ORIENTED_EDGE('',*,*,#214417,.T.); #291932=ORIENTED_EDGE('',*,*,#214416,.T.); #291933=ORIENTED_EDGE('',*,*,#214418,.F.); #291934=ORIENTED_EDGE('',*,*,#214419,.F.); #291935=ORIENTED_EDGE('',*,*,#214420,.T.); #291936=ORIENTED_EDGE('',*,*,#214419,.T.); #291937=ORIENTED_EDGE('',*,*,#214421,.F.); #291938=ORIENTED_EDGE('',*,*,#214422,.F.); #291939=ORIENTED_EDGE('',*,*,#214423,.T.); #291940=ORIENTED_EDGE('',*,*,#214422,.T.); #291941=ORIENTED_EDGE('',*,*,#214424,.F.); #291942=ORIENTED_EDGE('',*,*,#214425,.F.); #291943=ORIENTED_EDGE('',*,*,#214426,.T.); #291944=ORIENTED_EDGE('',*,*,#214425,.T.); #291945=ORIENTED_EDGE('',*,*,#214427,.F.); #291946=ORIENTED_EDGE('',*,*,#214428,.F.); #291947=ORIENTED_EDGE('',*,*,#214429,.T.); #291948=ORIENTED_EDGE('',*,*,#214428,.T.); #291949=ORIENTED_EDGE('',*,*,#214430,.F.); #291950=ORIENTED_EDGE('',*,*,#214431,.F.); #291951=ORIENTED_EDGE('',*,*,#214432,.T.); #291952=ORIENTED_EDGE('',*,*,#214431,.T.); #291953=ORIENTED_EDGE('',*,*,#214433,.F.); #291954=ORIENTED_EDGE('',*,*,#214434,.F.); #291955=ORIENTED_EDGE('',*,*,#214435,.T.); #291956=ORIENTED_EDGE('',*,*,#214434,.T.); #291957=ORIENTED_EDGE('',*,*,#214436,.F.); #291958=ORIENTED_EDGE('',*,*,#214437,.F.); #291959=ORIENTED_EDGE('',*,*,#214438,.T.); #291960=ORIENTED_EDGE('',*,*,#214437,.T.); #291961=ORIENTED_EDGE('',*,*,#214439,.F.); #291962=ORIENTED_EDGE('',*,*,#214440,.F.); #291963=ORIENTED_EDGE('',*,*,#214441,.T.); #291964=ORIENTED_EDGE('',*,*,#214440,.T.); #291965=ORIENTED_EDGE('',*,*,#214442,.F.); #291966=ORIENTED_EDGE('',*,*,#214443,.F.); #291967=ORIENTED_EDGE('',*,*,#214444,.T.); #291968=ORIENTED_EDGE('',*,*,#214443,.T.); #291969=ORIENTED_EDGE('',*,*,#214445,.F.); #291970=ORIENTED_EDGE('',*,*,#214446,.F.); #291971=ORIENTED_EDGE('',*,*,#214447,.T.); #291972=ORIENTED_EDGE('',*,*,#214446,.T.); #291973=ORIENTED_EDGE('',*,*,#214448,.F.); #291974=ORIENTED_EDGE('',*,*,#214449,.F.); #291975=ORIENTED_EDGE('',*,*,#214450,.T.); #291976=ORIENTED_EDGE('',*,*,#214449,.T.); #291977=ORIENTED_EDGE('',*,*,#214451,.F.); #291978=ORIENTED_EDGE('',*,*,#214452,.F.); #291979=ORIENTED_EDGE('',*,*,#214453,.T.); #291980=ORIENTED_EDGE('',*,*,#214452,.T.); #291981=ORIENTED_EDGE('',*,*,#214454,.F.); #291982=ORIENTED_EDGE('',*,*,#214455,.F.); #291983=ORIENTED_EDGE('',*,*,#214456,.T.); #291984=ORIENTED_EDGE('',*,*,#214455,.T.); #291985=ORIENTED_EDGE('',*,*,#214457,.F.); #291986=ORIENTED_EDGE('',*,*,#214458,.F.); #291987=ORIENTED_EDGE('',*,*,#214459,.T.); #291988=ORIENTED_EDGE('',*,*,#214458,.T.); #291989=ORIENTED_EDGE('',*,*,#214460,.F.); #291990=ORIENTED_EDGE('',*,*,#214461,.F.); #291991=ORIENTED_EDGE('',*,*,#214462,.T.); #291992=ORIENTED_EDGE('',*,*,#214461,.T.); #291993=ORIENTED_EDGE('',*,*,#214463,.F.); #291994=ORIENTED_EDGE('',*,*,#214464,.F.); #291995=ORIENTED_EDGE('',*,*,#214465,.T.); #291996=ORIENTED_EDGE('',*,*,#214464,.T.); #291997=ORIENTED_EDGE('',*,*,#214466,.F.); #291998=ORIENTED_EDGE('',*,*,#214108,.F.); #291999=ORIENTED_EDGE('',*,*,#214466,.T.); #292000=ORIENTED_EDGE('',*,*,#214463,.T.); #292001=ORIENTED_EDGE('',*,*,#214460,.T.); #292002=ORIENTED_EDGE('',*,*,#214457,.T.); #292003=ORIENTED_EDGE('',*,*,#214454,.T.); #292004=ORIENTED_EDGE('',*,*,#214451,.T.); #292005=ORIENTED_EDGE('',*,*,#214448,.T.); #292006=ORIENTED_EDGE('',*,*,#214445,.T.); #292007=ORIENTED_EDGE('',*,*,#214442,.T.); #292008=ORIENTED_EDGE('',*,*,#214439,.T.); #292009=ORIENTED_EDGE('',*,*,#214436,.T.); #292010=ORIENTED_EDGE('',*,*,#214433,.T.); #292011=ORIENTED_EDGE('',*,*,#214430,.T.); #292012=ORIENTED_EDGE('',*,*,#214427,.T.); #292013=ORIENTED_EDGE('',*,*,#214424,.T.); #292014=ORIENTED_EDGE('',*,*,#214421,.T.); #292015=ORIENTED_EDGE('',*,*,#214418,.T.); #292016=ORIENTED_EDGE('',*,*,#214415,.T.); #292017=ORIENTED_EDGE('',*,*,#214412,.T.); #292018=ORIENTED_EDGE('',*,*,#214409,.T.); #292019=ORIENTED_EDGE('',*,*,#214406,.T.); #292020=ORIENTED_EDGE('',*,*,#214403,.T.); #292021=ORIENTED_EDGE('',*,*,#214400,.T.); #292022=ORIENTED_EDGE('',*,*,#214397,.T.); #292023=ORIENTED_EDGE('',*,*,#214394,.T.); #292024=ORIENTED_EDGE('',*,*,#214391,.T.); #292025=ORIENTED_EDGE('',*,*,#214388,.T.); #292026=ORIENTED_EDGE('',*,*,#214385,.T.); #292027=ORIENTED_EDGE('',*,*,#214382,.T.); #292028=ORIENTED_EDGE('',*,*,#214379,.T.); #292029=ORIENTED_EDGE('',*,*,#214376,.T.); #292030=ORIENTED_EDGE('',*,*,#214373,.T.); #292031=ORIENTED_EDGE('',*,*,#214370,.T.); #292032=ORIENTED_EDGE('',*,*,#214367,.T.); #292033=ORIENTED_EDGE('',*,*,#214364,.T.); #292034=ORIENTED_EDGE('',*,*,#214361,.T.); #292035=ORIENTED_EDGE('',*,*,#214358,.T.); #292036=ORIENTED_EDGE('',*,*,#214355,.T.); #292037=ORIENTED_EDGE('',*,*,#214352,.T.); #292038=ORIENTED_EDGE('',*,*,#214349,.T.); #292039=ORIENTED_EDGE('',*,*,#214346,.T.); #292040=ORIENTED_EDGE('',*,*,#214343,.T.); #292041=ORIENTED_EDGE('',*,*,#214340,.T.); #292042=ORIENTED_EDGE('',*,*,#214337,.T.); #292043=ORIENTED_EDGE('',*,*,#214334,.T.); #292044=ORIENTED_EDGE('',*,*,#214331,.T.); #292045=ORIENTED_EDGE('',*,*,#214328,.T.); #292046=ORIENTED_EDGE('',*,*,#214325,.T.); #292047=ORIENTED_EDGE('',*,*,#214322,.T.); #292048=ORIENTED_EDGE('',*,*,#214319,.T.); #292049=ORIENTED_EDGE('',*,*,#214316,.T.); #292050=ORIENTED_EDGE('',*,*,#214313,.T.); #292051=ORIENTED_EDGE('',*,*,#214310,.T.); #292052=ORIENTED_EDGE('',*,*,#214307,.T.); #292053=ORIENTED_EDGE('',*,*,#214304,.T.); #292054=ORIENTED_EDGE('',*,*,#214301,.T.); #292055=ORIENTED_EDGE('',*,*,#214298,.T.); #292056=ORIENTED_EDGE('',*,*,#214295,.T.); #292057=ORIENTED_EDGE('',*,*,#214292,.T.); #292058=ORIENTED_EDGE('',*,*,#214289,.T.); #292059=ORIENTED_EDGE('',*,*,#214286,.T.); #292060=ORIENTED_EDGE('',*,*,#214283,.T.); #292061=ORIENTED_EDGE('',*,*,#214280,.T.); #292062=ORIENTED_EDGE('',*,*,#214277,.T.); #292063=ORIENTED_EDGE('',*,*,#214274,.T.); #292064=ORIENTED_EDGE('',*,*,#214271,.T.); #292065=ORIENTED_EDGE('',*,*,#214268,.T.); #292066=ORIENTED_EDGE('',*,*,#214265,.T.); #292067=ORIENTED_EDGE('',*,*,#214262,.T.); #292068=ORIENTED_EDGE('',*,*,#214259,.T.); #292069=ORIENTED_EDGE('',*,*,#214256,.T.); #292070=ORIENTED_EDGE('',*,*,#214253,.T.); #292071=ORIENTED_EDGE('',*,*,#214250,.T.); #292072=ORIENTED_EDGE('',*,*,#214247,.T.); #292073=ORIENTED_EDGE('',*,*,#214244,.T.); #292074=ORIENTED_EDGE('',*,*,#214241,.T.); #292075=ORIENTED_EDGE('',*,*,#214238,.T.); #292076=ORIENTED_EDGE('',*,*,#214235,.T.); #292077=ORIENTED_EDGE('',*,*,#214232,.T.); #292078=ORIENTED_EDGE('',*,*,#214229,.T.); #292079=ORIENTED_EDGE('',*,*,#214226,.T.); #292080=ORIENTED_EDGE('',*,*,#214223,.T.); #292081=ORIENTED_EDGE('',*,*,#214220,.T.); #292082=ORIENTED_EDGE('',*,*,#214217,.T.); #292083=ORIENTED_EDGE('',*,*,#214214,.T.); #292084=ORIENTED_EDGE('',*,*,#214211,.T.); #292085=ORIENTED_EDGE('',*,*,#214208,.T.); #292086=ORIENTED_EDGE('',*,*,#214205,.T.); #292087=ORIENTED_EDGE('',*,*,#214202,.T.); #292088=ORIENTED_EDGE('',*,*,#214199,.T.); #292089=ORIENTED_EDGE('',*,*,#214196,.T.); #292090=ORIENTED_EDGE('',*,*,#214193,.T.); #292091=ORIENTED_EDGE('',*,*,#214190,.T.); #292092=ORIENTED_EDGE('',*,*,#214187,.T.); #292093=ORIENTED_EDGE('',*,*,#214184,.T.); #292094=ORIENTED_EDGE('',*,*,#214181,.T.); #292095=ORIENTED_EDGE('',*,*,#214178,.T.); #292096=ORIENTED_EDGE('',*,*,#214175,.T.); #292097=ORIENTED_EDGE('',*,*,#214172,.T.); #292098=ORIENTED_EDGE('',*,*,#214169,.T.); #292099=ORIENTED_EDGE('',*,*,#214166,.T.); #292100=ORIENTED_EDGE('',*,*,#214163,.T.); #292101=ORIENTED_EDGE('',*,*,#214160,.T.); #292102=ORIENTED_EDGE('',*,*,#214157,.T.); #292103=ORIENTED_EDGE('',*,*,#214154,.T.); #292104=ORIENTED_EDGE('',*,*,#214151,.T.); #292105=ORIENTED_EDGE('',*,*,#214148,.T.); #292106=ORIENTED_EDGE('',*,*,#214145,.T.); #292107=ORIENTED_EDGE('',*,*,#214142,.T.); #292108=ORIENTED_EDGE('',*,*,#214139,.T.); #292109=ORIENTED_EDGE('',*,*,#214136,.T.); #292110=ORIENTED_EDGE('',*,*,#214133,.T.); #292111=ORIENTED_EDGE('',*,*,#214130,.T.); #292112=ORIENTED_EDGE('',*,*,#214127,.T.); #292113=ORIENTED_EDGE('',*,*,#214124,.T.); #292114=ORIENTED_EDGE('',*,*,#214121,.T.); #292115=ORIENTED_EDGE('',*,*,#214118,.T.); #292116=ORIENTED_EDGE('',*,*,#214115,.T.); #292117=ORIENTED_EDGE('',*,*,#214112,.T.); #292118=ORIENTED_EDGE('',*,*,#214109,.T.); #292119=ORIENTED_EDGE('',*,*,#209426,.T.); #292120=ORIENTED_EDGE('',*,*,#209429,.T.); #292121=ORIENTED_EDGE('',*,*,#209432,.T.); #292122=ORIENTED_EDGE('',*,*,#209435,.T.); #292123=ORIENTED_EDGE('',*,*,#209438,.T.); #292124=ORIENTED_EDGE('',*,*,#209441,.T.); #292125=ORIENTED_EDGE('',*,*,#209444,.T.); #292126=ORIENTED_EDGE('',*,*,#209447,.T.); #292127=ORIENTED_EDGE('',*,*,#209450,.T.); #292128=ORIENTED_EDGE('',*,*,#209453,.T.); #292129=ORIENTED_EDGE('',*,*,#209456,.T.); #292130=ORIENTED_EDGE('',*,*,#209459,.T.); #292131=ORIENTED_EDGE('',*,*,#209462,.T.); #292132=ORIENTED_EDGE('',*,*,#209465,.T.); #292133=ORIENTED_EDGE('',*,*,#209468,.T.); #292134=ORIENTED_EDGE('',*,*,#209471,.T.); #292135=ORIENTED_EDGE('',*,*,#209474,.T.); #292136=ORIENTED_EDGE('',*,*,#209477,.T.); #292137=ORIENTED_EDGE('',*,*,#209480,.T.); #292138=ORIENTED_EDGE('',*,*,#209483,.T.); #292139=ORIENTED_EDGE('',*,*,#209486,.T.); #292140=ORIENTED_EDGE('',*,*,#209489,.T.); #292141=ORIENTED_EDGE('',*,*,#209492,.T.); #292142=ORIENTED_EDGE('',*,*,#209495,.T.); #292143=ORIENTED_EDGE('',*,*,#209498,.T.); #292144=ORIENTED_EDGE('',*,*,#209501,.T.); #292145=ORIENTED_EDGE('',*,*,#209504,.T.); #292146=ORIENTED_EDGE('',*,*,#209507,.T.); #292147=ORIENTED_EDGE('',*,*,#209510,.T.); #292148=ORIENTED_EDGE('',*,*,#209513,.T.); #292149=ORIENTED_EDGE('',*,*,#209516,.T.); #292150=ORIENTED_EDGE('',*,*,#209519,.T.); #292151=ORIENTED_EDGE('',*,*,#209522,.T.); #292152=ORIENTED_EDGE('',*,*,#209525,.T.); #292153=ORIENTED_EDGE('',*,*,#209528,.T.); #292154=ORIENTED_EDGE('',*,*,#209531,.T.); #292155=ORIENTED_EDGE('',*,*,#209534,.T.); #292156=ORIENTED_EDGE('',*,*,#209537,.T.); #292157=ORIENTED_EDGE('',*,*,#209540,.T.); #292158=ORIENTED_EDGE('',*,*,#209543,.T.); #292159=ORIENTED_EDGE('',*,*,#209546,.T.); #292160=ORIENTED_EDGE('',*,*,#209549,.T.); #292161=ORIENTED_EDGE('',*,*,#209552,.T.); #292162=ORIENTED_EDGE('',*,*,#209555,.T.); #292163=ORIENTED_EDGE('',*,*,#209558,.T.); #292164=ORIENTED_EDGE('',*,*,#209561,.T.); #292165=ORIENTED_EDGE('',*,*,#209564,.T.); #292166=ORIENTED_EDGE('',*,*,#209567,.T.); #292167=ORIENTED_EDGE('',*,*,#209570,.T.); #292168=ORIENTED_EDGE('',*,*,#209573,.T.); #292169=ORIENTED_EDGE('',*,*,#209576,.T.); #292170=ORIENTED_EDGE('',*,*,#209579,.T.); #292171=ORIENTED_EDGE('',*,*,#209582,.T.); #292172=ORIENTED_EDGE('',*,*,#209585,.T.); #292173=ORIENTED_EDGE('',*,*,#209588,.T.); #292174=ORIENTED_EDGE('',*,*,#209591,.T.); #292175=ORIENTED_EDGE('',*,*,#209594,.T.); #292176=ORIENTED_EDGE('',*,*,#209597,.T.); #292177=ORIENTED_EDGE('',*,*,#209600,.T.); #292178=ORIENTED_EDGE('',*,*,#209603,.T.); #292179=ORIENTED_EDGE('',*,*,#209606,.T.); #292180=ORIENTED_EDGE('',*,*,#209609,.T.); #292181=ORIENTED_EDGE('',*,*,#209612,.T.); #292182=ORIENTED_EDGE('',*,*,#209615,.T.); #292183=ORIENTED_EDGE('',*,*,#209623,.T.); #292184=ORIENTED_EDGE('',*,*,#209626,.T.); #292185=ORIENTED_EDGE('',*,*,#209616,.T.); #292186=ORIENTED_EDGE('',*,*,#209620,.T.); #292187=ORIENTED_EDGE('',*,*,#209635,.T.); #292188=ORIENTED_EDGE('',*,*,#209638,.T.); #292189=ORIENTED_EDGE('',*,*,#209628,.T.); #292190=ORIENTED_EDGE('',*,*,#209632,.T.); #292191=ORIENTED_EDGE('',*,*,#209642,.T.); #292192=ORIENTED_EDGE('',*,*,#209645,.T.); #292193=ORIENTED_EDGE('',*,*,#209653,.T.); #292194=ORIENTED_EDGE('',*,*,#209656,.T.); #292195=ORIENTED_EDGE('',*,*,#209646,.T.); #292196=ORIENTED_EDGE('',*,*,#209650,.T.); #292197=ORIENTED_EDGE('',*,*,#209660,.T.); #292198=ORIENTED_EDGE('',*,*,#209663,.T.); #292199=ORIENTED_EDGE('',*,*,#209666,.T.); #292200=ORIENTED_EDGE('',*,*,#209669,.T.); #292201=ORIENTED_EDGE('',*,*,#209672,.T.); #292202=ORIENTED_EDGE('',*,*,#209680,.T.); #292203=ORIENTED_EDGE('',*,*,#209683,.T.); #292204=ORIENTED_EDGE('',*,*,#209673,.T.); #292205=ORIENTED_EDGE('',*,*,#209677,.T.); #292206=ORIENTED_EDGE('',*,*,#209687,.T.); #292207=ORIENTED_EDGE('',*,*,#209695,.T.); #292208=ORIENTED_EDGE('',*,*,#209698,.T.); #292209=ORIENTED_EDGE('',*,*,#209688,.T.); #292210=ORIENTED_EDGE('',*,*,#209692,.T.); #292211=ORIENTED_EDGE('',*,*,#209707,.T.); #292212=ORIENTED_EDGE('',*,*,#209710,.T.); #292213=ORIENTED_EDGE('',*,*,#209700,.T.); #292214=ORIENTED_EDGE('',*,*,#209704,.T.); #292215=ORIENTED_EDGE('',*,*,#209714,.T.); #292216=ORIENTED_EDGE('',*,*,#209717,.T.); #292217=ORIENTED_EDGE('',*,*,#209720,.T.); #292218=ORIENTED_EDGE('',*,*,#209723,.T.); #292219=ORIENTED_EDGE('',*,*,#209726,.T.); #292220=ORIENTED_EDGE('',*,*,#209729,.T.); #292221=ORIENTED_EDGE('',*,*,#209732,.T.); #292222=ORIENTED_EDGE('',*,*,#209735,.T.); #292223=ORIENTED_EDGE('',*,*,#209738,.T.); #292224=ORIENTED_EDGE('',*,*,#209741,.T.); #292225=ORIENTED_EDGE('',*,*,#209744,.T.); #292226=ORIENTED_EDGE('',*,*,#209747,.T.); #292227=ORIENTED_EDGE('',*,*,#209750,.T.); #292228=ORIENTED_EDGE('',*,*,#209753,.T.); #292229=ORIENTED_EDGE('',*,*,#209756,.T.); #292230=ORIENTED_EDGE('',*,*,#209759,.T.); #292231=ORIENTED_EDGE('',*,*,#209762,.T.); #292232=ORIENTED_EDGE('',*,*,#209765,.T.); #292233=ORIENTED_EDGE('',*,*,#209768,.T.); #292234=ORIENTED_EDGE('',*,*,#209771,.T.); #292235=ORIENTED_EDGE('',*,*,#209774,.T.); #292236=ORIENTED_EDGE('',*,*,#209777,.T.); #292237=ORIENTED_EDGE('',*,*,#209780,.T.); #292238=ORIENTED_EDGE('',*,*,#209783,.T.); #292239=ORIENTED_EDGE('',*,*,#209786,.T.); #292240=ORIENTED_EDGE('',*,*,#209789,.T.); #292241=ORIENTED_EDGE('',*,*,#209792,.T.); #292242=ORIENTED_EDGE('',*,*,#209795,.T.); #292243=ORIENTED_EDGE('',*,*,#209798,.T.); #292244=ORIENTED_EDGE('',*,*,#209801,.T.); #292245=ORIENTED_EDGE('',*,*,#209804,.T.); #292246=ORIENTED_EDGE('',*,*,#209807,.T.); #292247=ORIENTED_EDGE('',*,*,#209810,.T.); #292248=ORIENTED_EDGE('',*,*,#209813,.T.); #292249=ORIENTED_EDGE('',*,*,#209816,.T.); #292250=ORIENTED_EDGE('',*,*,#209819,.T.); #292251=ORIENTED_EDGE('',*,*,#209822,.T.); #292252=ORIENTED_EDGE('',*,*,#209825,.T.); #292253=ORIENTED_EDGE('',*,*,#209828,.T.); #292254=ORIENTED_EDGE('',*,*,#209831,.T.); #292255=ORIENTED_EDGE('',*,*,#209834,.T.); #292256=ORIENTED_EDGE('',*,*,#209837,.T.); #292257=ORIENTED_EDGE('',*,*,#209840,.T.); #292258=ORIENTED_EDGE('',*,*,#209843,.T.); #292259=ORIENTED_EDGE('',*,*,#209846,.T.); #292260=ORIENTED_EDGE('',*,*,#209849,.T.); #292261=ORIENTED_EDGE('',*,*,#209852,.T.); #292262=ORIENTED_EDGE('',*,*,#209855,.T.); #292263=ORIENTED_EDGE('',*,*,#209858,.T.); #292264=ORIENTED_EDGE('',*,*,#209861,.T.); #292265=ORIENTED_EDGE('',*,*,#209864,.T.); #292266=ORIENTED_EDGE('',*,*,#209867,.T.); #292267=ORIENTED_EDGE('',*,*,#214106,.T.); #292268=ORIENTED_EDGE('',*,*,#214103,.T.); #292269=ORIENTED_EDGE('',*,*,#214100,.T.); #292270=ORIENTED_EDGE('',*,*,#214097,.T.); #292271=ORIENTED_EDGE('',*,*,#214094,.T.); #292272=ORIENTED_EDGE('',*,*,#214091,.T.); #292273=ORIENTED_EDGE('',*,*,#214088,.T.); #292274=ORIENTED_EDGE('',*,*,#214085,.T.); #292275=ORIENTED_EDGE('',*,*,#214082,.T.); #292276=ORIENTED_EDGE('',*,*,#214079,.T.); #292277=ORIENTED_EDGE('',*,*,#214076,.T.); #292278=ORIENTED_EDGE('',*,*,#214073,.T.); #292279=ORIENTED_EDGE('',*,*,#214070,.T.); #292280=ORIENTED_EDGE('',*,*,#214067,.T.); #292281=ORIENTED_EDGE('',*,*,#214064,.T.); #292282=ORIENTED_EDGE('',*,*,#214061,.T.); #292283=ORIENTED_EDGE('',*,*,#214058,.T.); #292284=ORIENTED_EDGE('',*,*,#214055,.T.); #292285=ORIENTED_EDGE('',*,*,#214052,.T.); #292286=ORIENTED_EDGE('',*,*,#214049,.T.); #292287=ORIENTED_EDGE('',*,*,#214046,.T.); #292288=ORIENTED_EDGE('',*,*,#214043,.T.); #292289=ORIENTED_EDGE('',*,*,#214040,.T.); #292290=ORIENTED_EDGE('',*,*,#214037,.T.); #292291=ORIENTED_EDGE('',*,*,#214034,.T.); #292292=ORIENTED_EDGE('',*,*,#214031,.T.); #292293=ORIENTED_EDGE('',*,*,#214028,.T.); #292294=ORIENTED_EDGE('',*,*,#214025,.T.); #292295=ORIENTED_EDGE('',*,*,#214022,.T.); #292296=ORIENTED_EDGE('',*,*,#214019,.T.); #292297=ORIENTED_EDGE('',*,*,#214016,.T.); #292298=ORIENTED_EDGE('',*,*,#214013,.T.); #292299=ORIENTED_EDGE('',*,*,#214010,.T.); #292300=ORIENTED_EDGE('',*,*,#214007,.T.); #292301=ORIENTED_EDGE('',*,*,#214004,.T.); #292302=ORIENTED_EDGE('',*,*,#214001,.T.); #292303=ORIENTED_EDGE('',*,*,#213998,.T.); #292304=ORIENTED_EDGE('',*,*,#213995,.T.); #292305=ORIENTED_EDGE('',*,*,#213992,.T.); #292306=ORIENTED_EDGE('',*,*,#213989,.T.); #292307=ORIENTED_EDGE('',*,*,#213986,.T.); #292308=ORIENTED_EDGE('',*,*,#213983,.T.); #292309=ORIENTED_EDGE('',*,*,#213980,.T.); #292310=ORIENTED_EDGE('',*,*,#213977,.T.); #292311=ORIENTED_EDGE('',*,*,#213974,.T.); #292312=ORIENTED_EDGE('',*,*,#213971,.T.); #292313=ORIENTED_EDGE('',*,*,#213968,.T.); #292314=ORIENTED_EDGE('',*,*,#213965,.T.); #292315=ORIENTED_EDGE('',*,*,#213962,.T.); #292316=ORIENTED_EDGE('',*,*,#213959,.T.); #292317=ORIENTED_EDGE('',*,*,#213956,.T.); #292318=ORIENTED_EDGE('',*,*,#213953,.T.); #292319=ORIENTED_EDGE('',*,*,#213950,.T.); #292320=ORIENTED_EDGE('',*,*,#213947,.T.); #292321=ORIENTED_EDGE('',*,*,#213944,.T.); #292322=ORIENTED_EDGE('',*,*,#213941,.T.); #292323=ORIENTED_EDGE('',*,*,#213938,.T.); #292324=ORIENTED_EDGE('',*,*,#213935,.T.); #292325=ORIENTED_EDGE('',*,*,#213932,.T.); #292326=ORIENTED_EDGE('',*,*,#213929,.T.); #292327=ORIENTED_EDGE('',*,*,#213926,.T.); #292328=ORIENTED_EDGE('',*,*,#213923,.T.); #292329=ORIENTED_EDGE('',*,*,#213920,.T.); #292330=ORIENTED_EDGE('',*,*,#213917,.T.); #292331=ORIENTED_EDGE('',*,*,#213914,.T.); #292332=ORIENTED_EDGE('',*,*,#213911,.T.); #292333=ORIENTED_EDGE('',*,*,#213908,.T.); #292334=ORIENTED_EDGE('',*,*,#213905,.T.); #292335=ORIENTED_EDGE('',*,*,#213902,.T.); #292336=ORIENTED_EDGE('',*,*,#213899,.T.); #292337=ORIENTED_EDGE('',*,*,#213896,.T.); #292338=ORIENTED_EDGE('',*,*,#213893,.T.); #292339=ORIENTED_EDGE('',*,*,#213890,.T.); #292340=ORIENTED_EDGE('',*,*,#213887,.T.); #292341=ORIENTED_EDGE('',*,*,#213884,.T.); #292342=ORIENTED_EDGE('',*,*,#213881,.T.); #292343=ORIENTED_EDGE('',*,*,#213878,.T.); #292344=ORIENTED_EDGE('',*,*,#213875,.T.); #292345=ORIENTED_EDGE('',*,*,#213872,.T.); #292346=ORIENTED_EDGE('',*,*,#213869,.T.); #292347=ORIENTED_EDGE('',*,*,#213866,.T.); #292348=ORIENTED_EDGE('',*,*,#213863,.T.); #292349=ORIENTED_EDGE('',*,*,#213860,.T.); #292350=ORIENTED_EDGE('',*,*,#213857,.T.); #292351=ORIENTED_EDGE('',*,*,#213854,.T.); #292352=ORIENTED_EDGE('',*,*,#213851,.T.); #292353=ORIENTED_EDGE('',*,*,#213848,.T.); #292354=ORIENTED_EDGE('',*,*,#213845,.T.); #292355=ORIENTED_EDGE('',*,*,#213842,.T.); #292356=ORIENTED_EDGE('',*,*,#213839,.T.); #292357=ORIENTED_EDGE('',*,*,#213836,.T.); #292358=ORIENTED_EDGE('',*,*,#213833,.T.); #292359=ORIENTED_EDGE('',*,*,#213830,.T.); #292360=ORIENTED_EDGE('',*,*,#213827,.T.); #292361=ORIENTED_EDGE('',*,*,#213824,.T.); #292362=ORIENTED_EDGE('',*,*,#213821,.T.); #292363=ORIENTED_EDGE('',*,*,#213818,.T.); #292364=ORIENTED_EDGE('',*,*,#213815,.T.); #292365=ORIENTED_EDGE('',*,*,#213812,.T.); #292366=ORIENTED_EDGE('',*,*,#213809,.T.); #292367=ORIENTED_EDGE('',*,*,#213806,.T.); #292368=ORIENTED_EDGE('',*,*,#213803,.T.); #292369=ORIENTED_EDGE('',*,*,#213800,.T.); #292370=ORIENTED_EDGE('',*,*,#213797,.T.); #292371=ORIENTED_EDGE('',*,*,#213794,.T.); #292372=ORIENTED_EDGE('',*,*,#213791,.T.); #292373=ORIENTED_EDGE('',*,*,#213788,.T.); #292374=ORIENTED_EDGE('',*,*,#213785,.T.); #292375=ORIENTED_EDGE('',*,*,#213782,.T.); #292376=ORIENTED_EDGE('',*,*,#213779,.T.); #292377=ORIENTED_EDGE('',*,*,#213776,.T.); #292378=ORIENTED_EDGE('',*,*,#213773,.T.); #292379=ORIENTED_EDGE('',*,*,#213770,.T.); #292380=ORIENTED_EDGE('',*,*,#213767,.T.); #292381=ORIENTED_EDGE('',*,*,#213764,.T.); #292382=ORIENTED_EDGE('',*,*,#213761,.T.); #292383=ORIENTED_EDGE('',*,*,#213758,.T.); #292384=ORIENTED_EDGE('',*,*,#213755,.T.); #292385=ORIENTED_EDGE('',*,*,#213752,.T.); #292386=ORIENTED_EDGE('',*,*,#213749,.T.); #292387=ORIENTED_EDGE('',*,*,#213746,.T.); #292388=ORIENTED_EDGE('',*,*,#213743,.T.); #292389=ORIENTED_EDGE('',*,*,#213740,.T.); #292390=ORIENTED_EDGE('',*,*,#213737,.T.); #292391=ORIENTED_EDGE('',*,*,#213734,.T.); #292392=ORIENTED_EDGE('',*,*,#213731,.T.); #292393=ORIENTED_EDGE('',*,*,#213728,.T.); #292394=ORIENTED_EDGE('',*,*,#213725,.T.); #292395=ORIENTED_EDGE('',*,*,#213722,.T.); #292396=ORIENTED_EDGE('',*,*,#213719,.T.); #292397=ORIENTED_EDGE('',*,*,#213716,.T.); #292398=ORIENTED_EDGE('',*,*,#213713,.T.); #292399=ORIENTED_EDGE('',*,*,#213710,.T.); #292400=ORIENTED_EDGE('',*,*,#213707,.T.); #292401=ORIENTED_EDGE('',*,*,#213704,.T.); #292402=ORIENTED_EDGE('',*,*,#213701,.T.); #292403=ORIENTED_EDGE('',*,*,#213698,.T.); #292404=ORIENTED_EDGE('',*,*,#213695,.T.); #292405=ORIENTED_EDGE('',*,*,#213692,.T.); #292406=ORIENTED_EDGE('',*,*,#213689,.T.); #292407=ORIENTED_EDGE('',*,*,#213686,.T.); #292408=ORIENTED_EDGE('',*,*,#213683,.T.); #292409=ORIENTED_EDGE('',*,*,#213680,.T.); #292410=ORIENTED_EDGE('',*,*,#213677,.T.); #292411=ORIENTED_EDGE('',*,*,#213674,.T.); #292412=ORIENTED_EDGE('',*,*,#213671,.T.); #292413=ORIENTED_EDGE('',*,*,#213668,.T.); #292414=ORIENTED_EDGE('',*,*,#213665,.T.); #292415=ORIENTED_EDGE('',*,*,#213662,.T.); #292416=ORIENTED_EDGE('',*,*,#213659,.T.); #292417=ORIENTED_EDGE('',*,*,#213656,.T.); #292418=ORIENTED_EDGE('',*,*,#213653,.T.); #292419=ORIENTED_EDGE('',*,*,#213650,.T.); #292420=ORIENTED_EDGE('',*,*,#213647,.T.); #292421=ORIENTED_EDGE('',*,*,#213644,.T.); #292422=ORIENTED_EDGE('',*,*,#213641,.T.); #292423=ORIENTED_EDGE('',*,*,#213638,.T.); #292424=ORIENTED_EDGE('',*,*,#213635,.T.); #292425=ORIENTED_EDGE('',*,*,#213632,.T.); #292426=ORIENTED_EDGE('',*,*,#213629,.T.); #292427=ORIENTED_EDGE('',*,*,#213626,.T.); #292428=ORIENTED_EDGE('',*,*,#213623,.T.); #292429=ORIENTED_EDGE('',*,*,#213620,.T.); #292430=ORIENTED_EDGE('',*,*,#213617,.T.); #292431=ORIENTED_EDGE('',*,*,#213614,.T.); #292432=ORIENTED_EDGE('',*,*,#213611,.T.); #292433=ORIENTED_EDGE('',*,*,#213608,.T.); #292434=ORIENTED_EDGE('',*,*,#213605,.T.); #292435=ORIENTED_EDGE('',*,*,#213602,.T.); #292436=ORIENTED_EDGE('',*,*,#213599,.T.); #292437=ORIENTED_EDGE('',*,*,#213596,.T.); #292438=ORIENTED_EDGE('',*,*,#213593,.T.); #292439=ORIENTED_EDGE('',*,*,#213590,.T.); #292440=ORIENTED_EDGE('',*,*,#213587,.T.); #292441=ORIENTED_EDGE('',*,*,#213584,.T.); #292442=ORIENTED_EDGE('',*,*,#213581,.T.); #292443=ORIENTED_EDGE('',*,*,#213578,.T.); #292444=ORIENTED_EDGE('',*,*,#213575,.T.); #292445=ORIENTED_EDGE('',*,*,#213572,.T.); #292446=ORIENTED_EDGE('',*,*,#213569,.T.); #292447=ORIENTED_EDGE('',*,*,#213566,.T.); #292448=ORIENTED_EDGE('',*,*,#213563,.T.); #292449=ORIENTED_EDGE('',*,*,#213560,.T.); #292450=ORIENTED_EDGE('',*,*,#213557,.T.); #292451=ORIENTED_EDGE('',*,*,#213554,.T.); #292452=ORIENTED_EDGE('',*,*,#213551,.T.); #292453=ORIENTED_EDGE('',*,*,#213548,.T.); #292454=ORIENTED_EDGE('',*,*,#213545,.T.); #292455=ORIENTED_EDGE('',*,*,#213542,.T.); #292456=ORIENTED_EDGE('',*,*,#213539,.T.); #292457=ORIENTED_EDGE('',*,*,#213536,.T.); #292458=ORIENTED_EDGE('',*,*,#213533,.T.); #292459=ORIENTED_EDGE('',*,*,#213530,.T.); #292460=ORIENTED_EDGE('',*,*,#213527,.T.); #292461=ORIENTED_EDGE('',*,*,#213524,.T.); #292462=ORIENTED_EDGE('',*,*,#213521,.T.); #292463=ORIENTED_EDGE('',*,*,#213518,.T.); #292464=ORIENTED_EDGE('',*,*,#213515,.T.); #292465=ORIENTED_EDGE('',*,*,#213512,.T.); #292466=ORIENTED_EDGE('',*,*,#213509,.T.); #292467=ORIENTED_EDGE('',*,*,#213506,.T.); #292468=ORIENTED_EDGE('',*,*,#213503,.T.); #292469=ORIENTED_EDGE('',*,*,#213500,.T.); #292470=ORIENTED_EDGE('',*,*,#213497,.T.); #292471=ORIENTED_EDGE('',*,*,#213494,.T.); #292472=ORIENTED_EDGE('',*,*,#213491,.T.); #292473=ORIENTED_EDGE('',*,*,#213488,.T.); #292474=ORIENTED_EDGE('',*,*,#213485,.T.); #292475=ORIENTED_EDGE('',*,*,#213482,.T.); #292476=ORIENTED_EDGE('',*,*,#213479,.T.); #292477=ORIENTED_EDGE('',*,*,#213476,.T.); #292478=ORIENTED_EDGE('',*,*,#213473,.T.); #292479=ORIENTED_EDGE('',*,*,#213470,.T.); #292480=ORIENTED_EDGE('',*,*,#213467,.T.); #292481=ORIENTED_EDGE('',*,*,#213464,.T.); #292482=ORIENTED_EDGE('',*,*,#213461,.T.); #292483=ORIENTED_EDGE('',*,*,#213458,.T.); #292484=ORIENTED_EDGE('',*,*,#213455,.T.); #292485=ORIENTED_EDGE('',*,*,#213452,.T.); #292486=ORIENTED_EDGE('',*,*,#213449,.T.); #292487=ORIENTED_EDGE('',*,*,#213446,.T.); #292488=ORIENTED_EDGE('',*,*,#213443,.T.); #292489=ORIENTED_EDGE('',*,*,#213440,.T.); #292490=ORIENTED_EDGE('',*,*,#213437,.T.); #292491=ORIENTED_EDGE('',*,*,#213434,.T.); #292492=ORIENTED_EDGE('',*,*,#213431,.T.); #292493=ORIENTED_EDGE('',*,*,#213428,.T.); #292494=ORIENTED_EDGE('',*,*,#213425,.T.); #292495=ORIENTED_EDGE('',*,*,#213422,.T.); #292496=ORIENTED_EDGE('',*,*,#213419,.T.); #292497=ORIENTED_EDGE('',*,*,#213416,.T.); #292498=ORIENTED_EDGE('',*,*,#213413,.T.); #292499=ORIENTED_EDGE('',*,*,#213410,.T.); #292500=ORIENTED_EDGE('',*,*,#213407,.T.); #292501=ORIENTED_EDGE('',*,*,#213404,.T.); #292502=ORIENTED_EDGE('',*,*,#213401,.T.); #292503=ORIENTED_EDGE('',*,*,#213398,.T.); #292504=ORIENTED_EDGE('',*,*,#213395,.T.); #292505=ORIENTED_EDGE('',*,*,#213392,.T.); #292506=ORIENTED_EDGE('',*,*,#213389,.T.); #292507=ORIENTED_EDGE('',*,*,#213386,.T.); #292508=ORIENTED_EDGE('',*,*,#213383,.T.); #292509=ORIENTED_EDGE('',*,*,#213380,.T.); #292510=ORIENTED_EDGE('',*,*,#213377,.T.); #292511=ORIENTED_EDGE('',*,*,#213374,.T.); #292512=ORIENTED_EDGE('',*,*,#213371,.T.); #292513=ORIENTED_EDGE('',*,*,#213368,.T.); #292514=ORIENTED_EDGE('',*,*,#213365,.T.); #292515=ORIENTED_EDGE('',*,*,#213362,.T.); #292516=ORIENTED_EDGE('',*,*,#213359,.T.); #292517=ORIENTED_EDGE('',*,*,#213356,.T.); #292518=ORIENTED_EDGE('',*,*,#213353,.T.); #292519=ORIENTED_EDGE('',*,*,#213350,.T.); #292520=ORIENTED_EDGE('',*,*,#213347,.T.); #292521=ORIENTED_EDGE('',*,*,#213344,.T.); #292522=ORIENTED_EDGE('',*,*,#213341,.T.); #292523=ORIENTED_EDGE('',*,*,#213338,.T.); #292524=ORIENTED_EDGE('',*,*,#213335,.T.); #292525=ORIENTED_EDGE('',*,*,#213332,.T.); #292526=ORIENTED_EDGE('',*,*,#213329,.T.); #292527=ORIENTED_EDGE('',*,*,#213326,.T.); #292528=ORIENTED_EDGE('',*,*,#213323,.T.); #292529=ORIENTED_EDGE('',*,*,#213320,.T.); #292530=ORIENTED_EDGE('',*,*,#213317,.T.); #292531=ORIENTED_EDGE('',*,*,#213314,.T.); #292532=ORIENTED_EDGE('',*,*,#213311,.T.); #292533=ORIENTED_EDGE('',*,*,#213308,.T.); #292534=ORIENTED_EDGE('',*,*,#213305,.T.); #292535=ORIENTED_EDGE('',*,*,#213302,.T.); #292536=ORIENTED_EDGE('',*,*,#213299,.T.); #292537=ORIENTED_EDGE('',*,*,#213296,.T.); #292538=ORIENTED_EDGE('',*,*,#213293,.T.); #292539=ORIENTED_EDGE('',*,*,#213290,.T.); #292540=ORIENTED_EDGE('',*,*,#213287,.T.); #292541=ORIENTED_EDGE('',*,*,#213284,.T.); #292542=ORIENTED_EDGE('',*,*,#213281,.T.); #292543=ORIENTED_EDGE('',*,*,#213278,.T.); #292544=ORIENTED_EDGE('',*,*,#213275,.T.); #292545=ORIENTED_EDGE('',*,*,#213272,.T.); #292546=ORIENTED_EDGE('',*,*,#213269,.T.); #292547=ORIENTED_EDGE('',*,*,#213266,.T.); #292548=ORIENTED_EDGE('',*,*,#213263,.T.); #292549=ORIENTED_EDGE('',*,*,#213260,.T.); #292550=ORIENTED_EDGE('',*,*,#213257,.T.); #292551=ORIENTED_EDGE('',*,*,#213254,.T.); #292552=ORIENTED_EDGE('',*,*,#213251,.T.); #292553=ORIENTED_EDGE('',*,*,#213248,.T.); #292554=ORIENTED_EDGE('',*,*,#213245,.T.); #292555=ORIENTED_EDGE('',*,*,#213242,.T.); #292556=ORIENTED_EDGE('',*,*,#213239,.T.); #292557=ORIENTED_EDGE('',*,*,#213236,.T.); #292558=ORIENTED_EDGE('',*,*,#213233,.T.); #292559=ORIENTED_EDGE('',*,*,#213230,.T.); #292560=ORIENTED_EDGE('',*,*,#213227,.T.); #292561=ORIENTED_EDGE('',*,*,#213224,.T.); #292562=ORIENTED_EDGE('',*,*,#213221,.T.); #292563=ORIENTED_EDGE('',*,*,#213218,.T.); #292564=ORIENTED_EDGE('',*,*,#213215,.T.); #292565=ORIENTED_EDGE('',*,*,#213212,.T.); #292566=ORIENTED_EDGE('',*,*,#213209,.T.); #292567=ORIENTED_EDGE('',*,*,#213206,.T.); #292568=ORIENTED_EDGE('',*,*,#213203,.T.); #292569=ORIENTED_EDGE('',*,*,#213200,.T.); #292570=ORIENTED_EDGE('',*,*,#213197,.T.); #292571=ORIENTED_EDGE('',*,*,#213194,.T.); #292572=ORIENTED_EDGE('',*,*,#213191,.T.); #292573=ORIENTED_EDGE('',*,*,#213188,.T.); #292574=ORIENTED_EDGE('',*,*,#213185,.T.); #292575=ORIENTED_EDGE('',*,*,#213182,.T.); #292576=ORIENTED_EDGE('',*,*,#213179,.T.); #292577=ORIENTED_EDGE('',*,*,#213176,.T.); #292578=ORIENTED_EDGE('',*,*,#213173,.T.); #292579=ORIENTED_EDGE('',*,*,#213170,.T.); #292580=ORIENTED_EDGE('',*,*,#213167,.T.); #292581=ORIENTED_EDGE('',*,*,#213164,.T.); #292582=ORIENTED_EDGE('',*,*,#213161,.T.); #292583=ORIENTED_EDGE('',*,*,#213158,.T.); #292584=ORIENTED_EDGE('',*,*,#213155,.T.); #292585=ORIENTED_EDGE('',*,*,#213152,.T.); #292586=ORIENTED_EDGE('',*,*,#213149,.T.); #292587=ORIENTED_EDGE('',*,*,#213146,.T.); #292588=ORIENTED_EDGE('',*,*,#213143,.T.); #292589=ORIENTED_EDGE('',*,*,#213140,.T.); #292590=ORIENTED_EDGE('',*,*,#213137,.T.); #292591=ORIENTED_EDGE('',*,*,#213134,.T.); #292592=ORIENTED_EDGE('',*,*,#213131,.T.); #292593=ORIENTED_EDGE('',*,*,#213128,.T.); #292594=ORIENTED_EDGE('',*,*,#213125,.T.); #292595=ORIENTED_EDGE('',*,*,#213122,.T.); #292596=ORIENTED_EDGE('',*,*,#213119,.T.); #292597=ORIENTED_EDGE('',*,*,#213116,.T.); #292598=ORIENTED_EDGE('',*,*,#213113,.T.); #292599=ORIENTED_EDGE('',*,*,#213110,.T.); #292600=ORIENTED_EDGE('',*,*,#213107,.T.); #292601=ORIENTED_EDGE('',*,*,#213104,.T.); #292602=ORIENTED_EDGE('',*,*,#213101,.T.); #292603=ORIENTED_EDGE('',*,*,#213098,.T.); #292604=ORIENTED_EDGE('',*,*,#213095,.T.); #292605=ORIENTED_EDGE('',*,*,#213092,.T.); #292606=ORIENTED_EDGE('',*,*,#213089,.T.); #292607=ORIENTED_EDGE('',*,*,#213086,.T.); #292608=ORIENTED_EDGE('',*,*,#213083,.T.); #292609=ORIENTED_EDGE('',*,*,#213080,.T.); #292610=ORIENTED_EDGE('',*,*,#213077,.T.); #292611=ORIENTED_EDGE('',*,*,#213074,.T.); #292612=ORIENTED_EDGE('',*,*,#213071,.T.); #292613=ORIENTED_EDGE('',*,*,#213068,.T.); #292614=ORIENTED_EDGE('',*,*,#213065,.T.); #292615=ORIENTED_EDGE('',*,*,#213062,.T.); #292616=ORIENTED_EDGE('',*,*,#213059,.T.); #292617=ORIENTED_EDGE('',*,*,#213056,.T.); #292618=ORIENTED_EDGE('',*,*,#213053,.T.); #292619=ORIENTED_EDGE('',*,*,#213050,.T.); #292620=ORIENTED_EDGE('',*,*,#213047,.T.); #292621=ORIENTED_EDGE('',*,*,#213044,.T.); #292622=ORIENTED_EDGE('',*,*,#213041,.T.); #292623=ORIENTED_EDGE('',*,*,#213038,.T.); #292624=ORIENTED_EDGE('',*,*,#213035,.T.); #292625=ORIENTED_EDGE('',*,*,#213032,.T.); #292626=ORIENTED_EDGE('',*,*,#213029,.T.); #292627=ORIENTED_EDGE('',*,*,#213026,.T.); #292628=ORIENTED_EDGE('',*,*,#213023,.T.); #292629=ORIENTED_EDGE('',*,*,#213020,.T.); #292630=ORIENTED_EDGE('',*,*,#213017,.T.); #292631=ORIENTED_EDGE('',*,*,#213014,.T.); #292632=ORIENTED_EDGE('',*,*,#213011,.T.); #292633=ORIENTED_EDGE('',*,*,#213008,.T.); #292634=ORIENTED_EDGE('',*,*,#213005,.T.); #292635=ORIENTED_EDGE('',*,*,#213002,.T.); #292636=ORIENTED_EDGE('',*,*,#212999,.T.); #292637=ORIENTED_EDGE('',*,*,#212996,.T.); #292638=ORIENTED_EDGE('',*,*,#212993,.T.); #292639=ORIENTED_EDGE('',*,*,#212990,.T.); #292640=ORIENTED_EDGE('',*,*,#212987,.T.); #292641=ORIENTED_EDGE('',*,*,#212984,.T.); #292642=ORIENTED_EDGE('',*,*,#212981,.T.); #292643=ORIENTED_EDGE('',*,*,#212978,.T.); #292644=ORIENTED_EDGE('',*,*,#212975,.T.); #292645=ORIENTED_EDGE('',*,*,#212972,.T.); #292646=ORIENTED_EDGE('',*,*,#212969,.T.); #292647=ORIENTED_EDGE('',*,*,#212966,.T.); #292648=ORIENTED_EDGE('',*,*,#212963,.T.); #292649=ORIENTED_EDGE('',*,*,#212960,.T.); #292650=ORIENTED_EDGE('',*,*,#212957,.T.); #292651=ORIENTED_EDGE('',*,*,#212954,.T.); #292652=ORIENTED_EDGE('',*,*,#212951,.T.); #292653=ORIENTED_EDGE('',*,*,#212948,.T.); #292654=ORIENTED_EDGE('',*,*,#212945,.T.); #292655=ORIENTED_EDGE('',*,*,#212942,.T.); #292656=ORIENTED_EDGE('',*,*,#212939,.T.); #292657=ORIENTED_EDGE('',*,*,#212936,.T.); #292658=ORIENTED_EDGE('',*,*,#212933,.T.); #292659=ORIENTED_EDGE('',*,*,#212930,.T.); #292660=ORIENTED_EDGE('',*,*,#212927,.T.); #292661=ORIENTED_EDGE('',*,*,#212924,.T.); #292662=ORIENTED_EDGE('',*,*,#212921,.T.); #292663=ORIENTED_EDGE('',*,*,#212918,.T.); #292664=ORIENTED_EDGE('',*,*,#212915,.T.); #292665=ORIENTED_EDGE('',*,*,#212912,.T.); #292666=ORIENTED_EDGE('',*,*,#212909,.T.); #292667=ORIENTED_EDGE('',*,*,#212906,.T.); #292668=ORIENTED_EDGE('',*,*,#212903,.T.); #292669=ORIENTED_EDGE('',*,*,#212900,.T.); #292670=ORIENTED_EDGE('',*,*,#212897,.T.); #292671=ORIENTED_EDGE('',*,*,#212894,.T.); #292672=ORIENTED_EDGE('',*,*,#212891,.T.); #292673=ORIENTED_EDGE('',*,*,#212888,.T.); #292674=ORIENTED_EDGE('',*,*,#212885,.T.); #292675=ORIENTED_EDGE('',*,*,#212882,.T.); #292676=ORIENTED_EDGE('',*,*,#212879,.T.); #292677=ORIENTED_EDGE('',*,*,#212876,.T.); #292678=ORIENTED_EDGE('',*,*,#212873,.T.); #292679=ORIENTED_EDGE('',*,*,#212870,.T.); #292680=ORIENTED_EDGE('',*,*,#212867,.T.); #292681=ORIENTED_EDGE('',*,*,#212864,.T.); #292682=ORIENTED_EDGE('',*,*,#212861,.T.); #292683=ORIENTED_EDGE('',*,*,#212858,.T.); #292684=ORIENTED_EDGE('',*,*,#212855,.T.); #292685=ORIENTED_EDGE('',*,*,#212852,.T.); #292686=ORIENTED_EDGE('',*,*,#212849,.T.); #292687=ORIENTED_EDGE('',*,*,#212846,.T.); #292688=ORIENTED_EDGE('',*,*,#212843,.T.); #292689=ORIENTED_EDGE('',*,*,#212840,.T.); #292690=ORIENTED_EDGE('',*,*,#212837,.T.); #292691=ORIENTED_EDGE('',*,*,#212834,.T.); #292692=ORIENTED_EDGE('',*,*,#212831,.T.); #292693=ORIENTED_EDGE('',*,*,#212828,.T.); #292694=ORIENTED_EDGE('',*,*,#212825,.T.); #292695=ORIENTED_EDGE('',*,*,#212822,.T.); #292696=ORIENTED_EDGE('',*,*,#212819,.T.); #292697=ORIENTED_EDGE('',*,*,#212816,.T.); #292698=ORIENTED_EDGE('',*,*,#212813,.T.); #292699=ORIENTED_EDGE('',*,*,#212810,.T.); #292700=ORIENTED_EDGE('',*,*,#212807,.T.); #292701=ORIENTED_EDGE('',*,*,#212804,.T.); #292702=ORIENTED_EDGE('',*,*,#212801,.T.); #292703=ORIENTED_EDGE('',*,*,#212798,.T.); #292704=ORIENTED_EDGE('',*,*,#212795,.T.); #292705=ORIENTED_EDGE('',*,*,#212792,.T.); #292706=ORIENTED_EDGE('',*,*,#212789,.T.); #292707=ORIENTED_EDGE('',*,*,#212786,.T.); #292708=ORIENTED_EDGE('',*,*,#212783,.T.); #292709=ORIENTED_EDGE('',*,*,#212780,.T.); #292710=ORIENTED_EDGE('',*,*,#212777,.T.); #292711=ORIENTED_EDGE('',*,*,#212774,.T.); #292712=ORIENTED_EDGE('',*,*,#212771,.T.); #292713=ORIENTED_EDGE('',*,*,#212768,.T.); #292714=ORIENTED_EDGE('',*,*,#212765,.T.); #292715=ORIENTED_EDGE('',*,*,#212762,.T.); #292716=ORIENTED_EDGE('',*,*,#212759,.T.); #292717=ORIENTED_EDGE('',*,*,#212756,.T.); #292718=ORIENTED_EDGE('',*,*,#212753,.T.); #292719=ORIENTED_EDGE('',*,*,#212750,.T.); #292720=ORIENTED_EDGE('',*,*,#212747,.T.); #292721=ORIENTED_EDGE('',*,*,#212744,.T.); #292722=ORIENTED_EDGE('',*,*,#212741,.T.); #292723=ORIENTED_EDGE('',*,*,#212738,.T.); #292724=ORIENTED_EDGE('',*,*,#212735,.T.); #292725=ORIENTED_EDGE('',*,*,#212732,.T.); #292726=ORIENTED_EDGE('',*,*,#212729,.T.); #292727=ORIENTED_EDGE('',*,*,#212726,.T.); #292728=ORIENTED_EDGE('',*,*,#212723,.T.); #292729=ORIENTED_EDGE('',*,*,#212720,.T.); #292730=ORIENTED_EDGE('',*,*,#212717,.T.); #292731=ORIENTED_EDGE('',*,*,#212714,.T.); #292732=ORIENTED_EDGE('',*,*,#212711,.T.); #292733=ORIENTED_EDGE('',*,*,#212708,.T.); #292734=ORIENTED_EDGE('',*,*,#212705,.T.); #292735=ORIENTED_EDGE('',*,*,#212702,.T.); #292736=ORIENTED_EDGE('',*,*,#212699,.T.); #292737=ORIENTED_EDGE('',*,*,#212696,.T.); #292738=ORIENTED_EDGE('',*,*,#212693,.T.); #292739=ORIENTED_EDGE('',*,*,#212690,.T.); #292740=ORIENTED_EDGE('',*,*,#212687,.T.); #292741=ORIENTED_EDGE('',*,*,#212684,.T.); #292742=ORIENTED_EDGE('',*,*,#212681,.T.); #292743=ORIENTED_EDGE('',*,*,#212678,.T.); #292744=ORIENTED_EDGE('',*,*,#212675,.T.); #292745=ORIENTED_EDGE('',*,*,#212672,.T.); #292746=ORIENTED_EDGE('',*,*,#212669,.T.); #292747=ORIENTED_EDGE('',*,*,#212666,.T.); #292748=ORIENTED_EDGE('',*,*,#212663,.T.); #292749=ORIENTED_EDGE('',*,*,#212660,.T.); #292750=ORIENTED_EDGE('',*,*,#212657,.T.); #292751=ORIENTED_EDGE('',*,*,#212654,.T.); #292752=ORIENTED_EDGE('',*,*,#212651,.T.); #292753=ORIENTED_EDGE('',*,*,#212648,.T.); #292754=ORIENTED_EDGE('',*,*,#212645,.T.); #292755=ORIENTED_EDGE('',*,*,#212642,.T.); #292756=ORIENTED_EDGE('',*,*,#212639,.T.); #292757=ORIENTED_EDGE('',*,*,#212636,.T.); #292758=ORIENTED_EDGE('',*,*,#212633,.T.); #292759=ORIENTED_EDGE('',*,*,#212630,.T.); #292760=ORIENTED_EDGE('',*,*,#212627,.T.); #292761=ORIENTED_EDGE('',*,*,#212624,.T.); #292762=ORIENTED_EDGE('',*,*,#212621,.T.); #292763=ORIENTED_EDGE('',*,*,#212618,.T.); #292764=ORIENTED_EDGE('',*,*,#212615,.T.); #292765=ORIENTED_EDGE('',*,*,#212612,.T.); #292766=ORIENTED_EDGE('',*,*,#212609,.T.); #292767=ORIENTED_EDGE('',*,*,#212606,.T.); #292768=ORIENTED_EDGE('',*,*,#212603,.T.); #292769=ORIENTED_EDGE('',*,*,#212600,.T.); #292770=ORIENTED_EDGE('',*,*,#212597,.T.); #292771=ORIENTED_EDGE('',*,*,#212594,.T.); #292772=ORIENTED_EDGE('',*,*,#212591,.T.); #292773=ORIENTED_EDGE('',*,*,#212588,.T.); #292774=ORIENTED_EDGE('',*,*,#212585,.T.); #292775=ORIENTED_EDGE('',*,*,#212582,.T.); #292776=ORIENTED_EDGE('',*,*,#212579,.T.); #292777=ORIENTED_EDGE('',*,*,#212576,.T.); #292778=ORIENTED_EDGE('',*,*,#212573,.T.); #292779=ORIENTED_EDGE('',*,*,#212570,.T.); #292780=ORIENTED_EDGE('',*,*,#212567,.T.); #292781=ORIENTED_EDGE('',*,*,#212564,.T.); #292782=ORIENTED_EDGE('',*,*,#212561,.T.); #292783=ORIENTED_EDGE('',*,*,#212558,.T.); #292784=ORIENTED_EDGE('',*,*,#212555,.T.); #292785=ORIENTED_EDGE('',*,*,#212552,.T.); #292786=ORIENTED_EDGE('',*,*,#212549,.T.); #292787=ORIENTED_EDGE('',*,*,#212546,.T.); #292788=ORIENTED_EDGE('',*,*,#212543,.T.); #292789=ORIENTED_EDGE('',*,*,#212540,.T.); #292790=ORIENTED_EDGE('',*,*,#212537,.T.); #292791=ORIENTED_EDGE('',*,*,#212534,.T.); #292792=ORIENTED_EDGE('',*,*,#212531,.T.); #292793=ORIENTED_EDGE('',*,*,#212528,.T.); #292794=ORIENTED_EDGE('',*,*,#212525,.T.); #292795=ORIENTED_EDGE('',*,*,#212522,.T.); #292796=ORIENTED_EDGE('',*,*,#212519,.T.); #292797=ORIENTED_EDGE('',*,*,#212516,.T.); #292798=ORIENTED_EDGE('',*,*,#212513,.T.); #292799=ORIENTED_EDGE('',*,*,#212510,.T.); #292800=ORIENTED_EDGE('',*,*,#212507,.T.); #292801=ORIENTED_EDGE('',*,*,#212504,.T.); #292802=ORIENTED_EDGE('',*,*,#212501,.T.); #292803=ORIENTED_EDGE('',*,*,#212498,.T.); #292804=ORIENTED_EDGE('',*,*,#212495,.T.); #292805=ORIENTED_EDGE('',*,*,#212492,.T.); #292806=ORIENTED_EDGE('',*,*,#212489,.T.); #292807=ORIENTED_EDGE('',*,*,#212486,.T.); #292808=ORIENTED_EDGE('',*,*,#212483,.T.); #292809=ORIENTED_EDGE('',*,*,#212480,.T.); #292810=ORIENTED_EDGE('',*,*,#212477,.T.); #292811=ORIENTED_EDGE('',*,*,#212474,.T.); #292812=ORIENTED_EDGE('',*,*,#212471,.T.); #292813=ORIENTED_EDGE('',*,*,#212468,.T.); #292814=ORIENTED_EDGE('',*,*,#212465,.T.); #292815=ORIENTED_EDGE('',*,*,#212462,.T.); #292816=ORIENTED_EDGE('',*,*,#212459,.T.); #292817=ORIENTED_EDGE('',*,*,#212456,.T.); #292818=ORIENTED_EDGE('',*,*,#212453,.T.); #292819=ORIENTED_EDGE('',*,*,#212450,.T.); #292820=ORIENTED_EDGE('',*,*,#212447,.T.); #292821=ORIENTED_EDGE('',*,*,#212444,.T.); #292822=ORIENTED_EDGE('',*,*,#212441,.T.); #292823=ORIENTED_EDGE('',*,*,#212438,.T.); #292824=ORIENTED_EDGE('',*,*,#212435,.T.); #292825=ORIENTED_EDGE('',*,*,#212432,.T.); #292826=ORIENTED_EDGE('',*,*,#212429,.T.); #292827=ORIENTED_EDGE('',*,*,#212426,.T.); #292828=ORIENTED_EDGE('',*,*,#212423,.T.); #292829=ORIENTED_EDGE('',*,*,#212420,.T.); #292830=ORIENTED_EDGE('',*,*,#212417,.T.); #292831=ORIENTED_EDGE('',*,*,#212414,.T.); #292832=ORIENTED_EDGE('',*,*,#212411,.T.); #292833=ORIENTED_EDGE('',*,*,#212408,.T.); #292834=ORIENTED_EDGE('',*,*,#212405,.T.); #292835=ORIENTED_EDGE('',*,*,#212402,.T.); #292836=ORIENTED_EDGE('',*,*,#212399,.T.); #292837=ORIENTED_EDGE('',*,*,#212396,.T.); #292838=ORIENTED_EDGE('',*,*,#212393,.T.); #292839=ORIENTED_EDGE('',*,*,#212390,.T.); #292840=ORIENTED_EDGE('',*,*,#212387,.T.); #292841=ORIENTED_EDGE('',*,*,#212384,.T.); #292842=ORIENTED_EDGE('',*,*,#212381,.T.); #292843=ORIENTED_EDGE('',*,*,#212378,.T.); #292844=ORIENTED_EDGE('',*,*,#212375,.T.); #292845=ORIENTED_EDGE('',*,*,#212372,.T.); #292846=ORIENTED_EDGE('',*,*,#212369,.T.); #292847=ORIENTED_EDGE('',*,*,#212366,.T.); #292848=ORIENTED_EDGE('',*,*,#212363,.T.); #292849=ORIENTED_EDGE('',*,*,#212360,.T.); #292850=ORIENTED_EDGE('',*,*,#212357,.T.); #292851=ORIENTED_EDGE('',*,*,#212354,.T.); #292852=ORIENTED_EDGE('',*,*,#212351,.T.); #292853=ORIENTED_EDGE('',*,*,#212348,.T.); #292854=ORIENTED_EDGE('',*,*,#212345,.T.); #292855=ORIENTED_EDGE('',*,*,#212342,.T.); #292856=ORIENTED_EDGE('',*,*,#212339,.T.); #292857=ORIENTED_EDGE('',*,*,#212336,.T.); #292858=ORIENTED_EDGE('',*,*,#212333,.T.); #292859=ORIENTED_EDGE('',*,*,#212330,.T.); #292860=ORIENTED_EDGE('',*,*,#212327,.T.); #292861=ORIENTED_EDGE('',*,*,#212324,.T.); #292862=ORIENTED_EDGE('',*,*,#212321,.T.); #292863=ORIENTED_EDGE('',*,*,#212318,.T.); #292864=ORIENTED_EDGE('',*,*,#212315,.T.); #292865=ORIENTED_EDGE('',*,*,#212312,.T.); #292866=ORIENTED_EDGE('',*,*,#212309,.T.); #292867=ORIENTED_EDGE('',*,*,#212306,.T.); #292868=ORIENTED_EDGE('',*,*,#212303,.T.); #292869=ORIENTED_EDGE('',*,*,#212300,.T.); #292870=ORIENTED_EDGE('',*,*,#212297,.T.); #292871=ORIENTED_EDGE('',*,*,#212294,.T.); #292872=ORIENTED_EDGE('',*,*,#212291,.T.); #292873=ORIENTED_EDGE('',*,*,#212288,.T.); #292874=ORIENTED_EDGE('',*,*,#212285,.T.); #292875=ORIENTED_EDGE('',*,*,#212282,.T.); #292876=ORIENTED_EDGE('',*,*,#212279,.T.); #292877=ORIENTED_EDGE('',*,*,#212276,.T.); #292878=ORIENTED_EDGE('',*,*,#212273,.T.); #292879=ORIENTED_EDGE('',*,*,#212270,.T.); #292880=ORIENTED_EDGE('',*,*,#212267,.T.); #292881=ORIENTED_EDGE('',*,*,#212264,.T.); #292882=ORIENTED_EDGE('',*,*,#212261,.T.); #292883=ORIENTED_EDGE('',*,*,#212258,.T.); #292884=ORIENTED_EDGE('',*,*,#212255,.T.); #292885=ORIENTED_EDGE('',*,*,#212252,.T.); #292886=ORIENTED_EDGE('',*,*,#212249,.T.); #292887=ORIENTED_EDGE('',*,*,#212246,.T.); #292888=ORIENTED_EDGE('',*,*,#212243,.T.); #292889=ORIENTED_EDGE('',*,*,#212240,.T.); #292890=ORIENTED_EDGE('',*,*,#212237,.T.); #292891=ORIENTED_EDGE('',*,*,#212234,.T.); #292892=ORIENTED_EDGE('',*,*,#212231,.T.); #292893=ORIENTED_EDGE('',*,*,#212228,.T.); #292894=ORIENTED_EDGE('',*,*,#212225,.T.); #292895=ORIENTED_EDGE('',*,*,#212222,.T.); #292896=ORIENTED_EDGE('',*,*,#212219,.T.); #292897=ORIENTED_EDGE('',*,*,#212216,.T.); #292898=ORIENTED_EDGE('',*,*,#212213,.T.); #292899=ORIENTED_EDGE('',*,*,#212210,.T.); #292900=ORIENTED_EDGE('',*,*,#212207,.T.); #292901=ORIENTED_EDGE('',*,*,#212204,.T.); #292902=ORIENTED_EDGE('',*,*,#212201,.T.); #292903=ORIENTED_EDGE('',*,*,#212198,.T.); #292904=ORIENTED_EDGE('',*,*,#212195,.T.); #292905=ORIENTED_EDGE('',*,*,#212192,.T.); #292906=ORIENTED_EDGE('',*,*,#212189,.T.); #292907=ORIENTED_EDGE('',*,*,#212186,.T.); #292908=ORIENTED_EDGE('',*,*,#212183,.T.); #292909=ORIENTED_EDGE('',*,*,#212180,.T.); #292910=ORIENTED_EDGE('',*,*,#212177,.T.); #292911=ORIENTED_EDGE('',*,*,#212174,.T.); #292912=ORIENTED_EDGE('',*,*,#212171,.T.); #292913=ORIENTED_EDGE('',*,*,#212168,.T.); #292914=ORIENTED_EDGE('',*,*,#212165,.T.); #292915=ORIENTED_EDGE('',*,*,#212162,.T.); #292916=ORIENTED_EDGE('',*,*,#212159,.T.); #292917=ORIENTED_EDGE('',*,*,#212156,.T.); #292918=ORIENTED_EDGE('',*,*,#212153,.T.); #292919=ORIENTED_EDGE('',*,*,#212150,.T.); #292920=ORIENTED_EDGE('',*,*,#212147,.T.); #292921=ORIENTED_EDGE('',*,*,#212144,.T.); #292922=ORIENTED_EDGE('',*,*,#212141,.T.); #292923=ORIENTED_EDGE('',*,*,#212138,.T.); #292924=ORIENTED_EDGE('',*,*,#212135,.T.); #292925=ORIENTED_EDGE('',*,*,#212132,.T.); #292926=ORIENTED_EDGE('',*,*,#212129,.T.); #292927=ORIENTED_EDGE('',*,*,#212126,.T.); #292928=ORIENTED_EDGE('',*,*,#212123,.T.); #292929=ORIENTED_EDGE('',*,*,#212120,.T.); #292930=ORIENTED_EDGE('',*,*,#212117,.T.); #292931=ORIENTED_EDGE('',*,*,#212114,.T.); #292932=ORIENTED_EDGE('',*,*,#212111,.T.); #292933=ORIENTED_EDGE('',*,*,#212108,.T.); #292934=ORIENTED_EDGE('',*,*,#212105,.T.); #292935=ORIENTED_EDGE('',*,*,#212102,.T.); #292936=ORIENTED_EDGE('',*,*,#212099,.T.); #292937=ORIENTED_EDGE('',*,*,#212096,.T.); #292938=ORIENTED_EDGE('',*,*,#212093,.T.); #292939=ORIENTED_EDGE('',*,*,#212090,.T.); #292940=ORIENTED_EDGE('',*,*,#212087,.T.); #292941=ORIENTED_EDGE('',*,*,#212084,.T.); #292942=ORIENTED_EDGE('',*,*,#212081,.T.); #292943=ORIENTED_EDGE('',*,*,#212078,.T.); #292944=ORIENTED_EDGE('',*,*,#212075,.T.); #292945=ORIENTED_EDGE('',*,*,#212072,.T.); #292946=ORIENTED_EDGE('',*,*,#212069,.T.); #292947=ORIENTED_EDGE('',*,*,#212066,.T.); #292948=ORIENTED_EDGE('',*,*,#212063,.T.); #292949=ORIENTED_EDGE('',*,*,#212060,.T.); #292950=ORIENTED_EDGE('',*,*,#212057,.T.); #292951=ORIENTED_EDGE('',*,*,#212054,.T.); #292952=ORIENTED_EDGE('',*,*,#212051,.T.); #292953=ORIENTED_EDGE('',*,*,#212048,.T.); #292954=ORIENTED_EDGE('',*,*,#212045,.T.); #292955=ORIENTED_EDGE('',*,*,#212042,.T.); #292956=ORIENTED_EDGE('',*,*,#212039,.T.); #292957=ORIENTED_EDGE('',*,*,#212036,.T.); #292958=ORIENTED_EDGE('',*,*,#212033,.T.); #292959=ORIENTED_EDGE('',*,*,#212030,.T.); #292960=ORIENTED_EDGE('',*,*,#212027,.T.); #292961=ORIENTED_EDGE('',*,*,#212024,.T.); #292962=ORIENTED_EDGE('',*,*,#212021,.T.); #292963=ORIENTED_EDGE('',*,*,#212018,.T.); #292964=ORIENTED_EDGE('',*,*,#212015,.T.); #292965=ORIENTED_EDGE('',*,*,#212012,.T.); #292966=ORIENTED_EDGE('',*,*,#212009,.T.); #292967=ORIENTED_EDGE('',*,*,#212006,.T.); #292968=ORIENTED_EDGE('',*,*,#212003,.T.); #292969=ORIENTED_EDGE('',*,*,#212000,.T.); #292970=ORIENTED_EDGE('',*,*,#211997,.T.); #292971=ORIENTED_EDGE('',*,*,#211994,.T.); #292972=ORIENTED_EDGE('',*,*,#211991,.T.); #292973=ORIENTED_EDGE('',*,*,#211988,.T.); #292974=ORIENTED_EDGE('',*,*,#211985,.T.); #292975=ORIENTED_EDGE('',*,*,#211982,.T.); #292976=ORIENTED_EDGE('',*,*,#211979,.T.); #292977=ORIENTED_EDGE('',*,*,#211976,.T.); #292978=ORIENTED_EDGE('',*,*,#211973,.T.); #292979=ORIENTED_EDGE('',*,*,#211970,.T.); #292980=ORIENTED_EDGE('',*,*,#211967,.T.); #292981=ORIENTED_EDGE('',*,*,#211964,.T.); #292982=ORIENTED_EDGE('',*,*,#211961,.T.); #292983=ORIENTED_EDGE('',*,*,#211958,.T.); #292984=ORIENTED_EDGE('',*,*,#211955,.T.); #292985=ORIENTED_EDGE('',*,*,#211952,.T.); #292986=ORIENTED_EDGE('',*,*,#211949,.T.); #292987=ORIENTED_EDGE('',*,*,#211946,.T.); #292988=ORIENTED_EDGE('',*,*,#211943,.T.); #292989=ORIENTED_EDGE('',*,*,#211940,.T.); #292990=ORIENTED_EDGE('',*,*,#211937,.T.); #292991=ORIENTED_EDGE('',*,*,#211934,.T.); #292992=ORIENTED_EDGE('',*,*,#211931,.T.); #292993=ORIENTED_EDGE('',*,*,#211928,.T.); #292994=ORIENTED_EDGE('',*,*,#211925,.T.); #292995=ORIENTED_EDGE('',*,*,#211922,.T.); #292996=ORIENTED_EDGE('',*,*,#211919,.T.); #292997=ORIENTED_EDGE('',*,*,#211916,.T.); #292998=ORIENTED_EDGE('',*,*,#211913,.T.); #292999=ORIENTED_EDGE('',*,*,#211910,.T.); #293000=ORIENTED_EDGE('',*,*,#211907,.T.); #293001=ORIENTED_EDGE('',*,*,#211904,.T.); #293002=ORIENTED_EDGE('',*,*,#211901,.T.); #293003=ORIENTED_EDGE('',*,*,#211898,.T.); #293004=ORIENTED_EDGE('',*,*,#211895,.T.); #293005=ORIENTED_EDGE('',*,*,#211892,.T.); #293006=ORIENTED_EDGE('',*,*,#211889,.T.); #293007=ORIENTED_EDGE('',*,*,#211886,.T.); #293008=ORIENTED_EDGE('',*,*,#211883,.T.); #293009=ORIENTED_EDGE('',*,*,#211880,.T.); #293010=ORIENTED_EDGE('',*,*,#211877,.T.); #293011=ORIENTED_EDGE('',*,*,#211874,.T.); #293012=ORIENTED_EDGE('',*,*,#211871,.T.); #293013=ORIENTED_EDGE('',*,*,#211868,.T.); #293014=ORIENTED_EDGE('',*,*,#211865,.T.); #293015=ORIENTED_EDGE('',*,*,#211862,.T.); #293016=ORIENTED_EDGE('',*,*,#211859,.T.); #293017=ORIENTED_EDGE('',*,*,#211856,.T.); #293018=ORIENTED_EDGE('',*,*,#211853,.T.); #293019=ORIENTED_EDGE('',*,*,#211850,.T.); #293020=ORIENTED_EDGE('',*,*,#211847,.T.); #293021=ORIENTED_EDGE('',*,*,#211844,.T.); #293022=ORIENTED_EDGE('',*,*,#211841,.T.); #293023=ORIENTED_EDGE('',*,*,#211838,.T.); #293024=ORIENTED_EDGE('',*,*,#211835,.T.); #293025=ORIENTED_EDGE('',*,*,#211832,.T.); #293026=ORIENTED_EDGE('',*,*,#211829,.T.); #293027=ORIENTED_EDGE('',*,*,#211826,.T.); #293028=ORIENTED_EDGE('',*,*,#211823,.T.); #293029=ORIENTED_EDGE('',*,*,#211820,.T.); #293030=ORIENTED_EDGE('',*,*,#211817,.T.); #293031=ORIENTED_EDGE('',*,*,#211814,.T.); #293032=ORIENTED_EDGE('',*,*,#211811,.T.); #293033=ORIENTED_EDGE('',*,*,#211808,.T.); #293034=ORIENTED_EDGE('',*,*,#211805,.T.); #293035=ORIENTED_EDGE('',*,*,#211802,.T.); #293036=ORIENTED_EDGE('',*,*,#211799,.T.); #293037=ORIENTED_EDGE('',*,*,#211796,.T.); #293038=ORIENTED_EDGE('',*,*,#211793,.T.); #293039=ORIENTED_EDGE('',*,*,#211790,.T.); #293040=ORIENTED_EDGE('',*,*,#211787,.T.); #293041=ORIENTED_EDGE('',*,*,#211784,.T.); #293042=ORIENTED_EDGE('',*,*,#211781,.T.); #293043=ORIENTED_EDGE('',*,*,#211778,.T.); #293044=ORIENTED_EDGE('',*,*,#211775,.T.); #293045=ORIENTED_EDGE('',*,*,#211772,.T.); #293046=ORIENTED_EDGE('',*,*,#211769,.T.); #293047=ORIENTED_EDGE('',*,*,#211766,.T.); #293048=ORIENTED_EDGE('',*,*,#211763,.T.); #293049=ORIENTED_EDGE('',*,*,#211760,.T.); #293050=ORIENTED_EDGE('',*,*,#211757,.T.); #293051=ORIENTED_EDGE('',*,*,#211754,.T.); #293052=ORIENTED_EDGE('',*,*,#211751,.T.); #293053=ORIENTED_EDGE('',*,*,#211748,.T.); #293054=ORIENTED_EDGE('',*,*,#211745,.T.); #293055=ORIENTED_EDGE('',*,*,#211742,.T.); #293056=ORIENTED_EDGE('',*,*,#211739,.T.); #293057=ORIENTED_EDGE('',*,*,#211736,.T.); #293058=ORIENTED_EDGE('',*,*,#211733,.T.); #293059=ORIENTED_EDGE('',*,*,#211730,.T.); #293060=ORIENTED_EDGE('',*,*,#211727,.T.); #293061=ORIENTED_EDGE('',*,*,#211724,.T.); #293062=ORIENTED_EDGE('',*,*,#211721,.T.); #293063=ORIENTED_EDGE('',*,*,#211718,.T.); #293064=ORIENTED_EDGE('',*,*,#211715,.T.); #293065=ORIENTED_EDGE('',*,*,#211712,.T.); #293066=ORIENTED_EDGE('',*,*,#211709,.T.); #293067=ORIENTED_EDGE('',*,*,#211706,.T.); #293068=ORIENTED_EDGE('',*,*,#211703,.T.); #293069=ORIENTED_EDGE('',*,*,#211700,.T.); #293070=ORIENTED_EDGE('',*,*,#211697,.T.); #293071=ORIENTED_EDGE('',*,*,#211694,.T.); #293072=ORIENTED_EDGE('',*,*,#211691,.T.); #293073=ORIENTED_EDGE('',*,*,#211688,.T.); #293074=ORIENTED_EDGE('',*,*,#211685,.T.); #293075=ORIENTED_EDGE('',*,*,#211682,.T.); #293076=ORIENTED_EDGE('',*,*,#211679,.T.); #293077=ORIENTED_EDGE('',*,*,#211676,.T.); #293078=ORIENTED_EDGE('',*,*,#211673,.T.); #293079=ORIENTED_EDGE('',*,*,#211670,.T.); #293080=ORIENTED_EDGE('',*,*,#211667,.T.); #293081=ORIENTED_EDGE('',*,*,#211664,.T.); #293082=ORIENTED_EDGE('',*,*,#211661,.T.); #293083=ORIENTED_EDGE('',*,*,#211658,.T.); #293084=ORIENTED_EDGE('',*,*,#211655,.T.); #293085=ORIENTED_EDGE('',*,*,#211652,.T.); #293086=ORIENTED_EDGE('',*,*,#211649,.T.); #293087=ORIENTED_EDGE('',*,*,#211646,.T.); #293088=ORIENTED_EDGE('',*,*,#211643,.T.); #293089=ORIENTED_EDGE('',*,*,#211640,.T.); #293090=ORIENTED_EDGE('',*,*,#211637,.T.); #293091=ORIENTED_EDGE('',*,*,#211634,.T.); #293092=ORIENTED_EDGE('',*,*,#211631,.T.); #293093=ORIENTED_EDGE('',*,*,#211628,.T.); #293094=ORIENTED_EDGE('',*,*,#211625,.T.); #293095=ORIENTED_EDGE('',*,*,#211622,.T.); #293096=ORIENTED_EDGE('',*,*,#211619,.T.); #293097=ORIENTED_EDGE('',*,*,#211616,.T.); #293098=ORIENTED_EDGE('',*,*,#211613,.T.); #293099=ORIENTED_EDGE('',*,*,#211610,.T.); #293100=ORIENTED_EDGE('',*,*,#211607,.T.); #293101=ORIENTED_EDGE('',*,*,#211604,.T.); #293102=ORIENTED_EDGE('',*,*,#211601,.T.); #293103=ORIENTED_EDGE('',*,*,#211598,.T.); #293104=ORIENTED_EDGE('',*,*,#211595,.T.); #293105=ORIENTED_EDGE('',*,*,#211592,.T.); #293106=ORIENTED_EDGE('',*,*,#211589,.T.); #293107=ORIENTED_EDGE('',*,*,#211586,.T.); #293108=ORIENTED_EDGE('',*,*,#211583,.T.); #293109=ORIENTED_EDGE('',*,*,#211580,.T.); #293110=ORIENTED_EDGE('',*,*,#211577,.T.); #293111=ORIENTED_EDGE('',*,*,#211574,.T.); #293112=ORIENTED_EDGE('',*,*,#211571,.T.); #293113=ORIENTED_EDGE('',*,*,#211568,.T.); #293114=ORIENTED_EDGE('',*,*,#211565,.T.); #293115=ORIENTED_EDGE('',*,*,#211562,.T.); #293116=ORIENTED_EDGE('',*,*,#211559,.T.); #293117=ORIENTED_EDGE('',*,*,#211556,.T.); #293118=ORIENTED_EDGE('',*,*,#211553,.T.); #293119=ORIENTED_EDGE('',*,*,#211550,.T.); #293120=ORIENTED_EDGE('',*,*,#211547,.T.); #293121=ORIENTED_EDGE('',*,*,#211544,.T.); #293122=ORIENTED_EDGE('',*,*,#211541,.T.); #293123=ORIENTED_EDGE('',*,*,#211538,.T.); #293124=ORIENTED_EDGE('',*,*,#211535,.T.); #293125=ORIENTED_EDGE('',*,*,#211532,.T.); #293126=ORIENTED_EDGE('',*,*,#211529,.T.); #293127=ORIENTED_EDGE('',*,*,#211526,.T.); #293128=ORIENTED_EDGE('',*,*,#211523,.T.); #293129=ORIENTED_EDGE('',*,*,#211520,.T.); #293130=ORIENTED_EDGE('',*,*,#211517,.T.); #293131=ORIENTED_EDGE('',*,*,#211514,.T.); #293132=ORIENTED_EDGE('',*,*,#211511,.T.); #293133=ORIENTED_EDGE('',*,*,#211508,.T.); #293134=ORIENTED_EDGE('',*,*,#211505,.T.); #293135=ORIENTED_EDGE('',*,*,#211502,.T.); #293136=ORIENTED_EDGE('',*,*,#211499,.T.); #293137=ORIENTED_EDGE('',*,*,#211496,.T.); #293138=ORIENTED_EDGE('',*,*,#211493,.T.); #293139=ORIENTED_EDGE('',*,*,#211490,.T.); #293140=ORIENTED_EDGE('',*,*,#211487,.T.); #293141=ORIENTED_EDGE('',*,*,#211484,.T.); #293142=ORIENTED_EDGE('',*,*,#211481,.T.); #293143=ORIENTED_EDGE('',*,*,#211478,.T.); #293144=ORIENTED_EDGE('',*,*,#211475,.T.); #293145=ORIENTED_EDGE('',*,*,#211472,.T.); #293146=ORIENTED_EDGE('',*,*,#211469,.T.); #293147=ORIENTED_EDGE('',*,*,#211466,.T.); #293148=ORIENTED_EDGE('',*,*,#211463,.T.); #293149=ORIENTED_EDGE('',*,*,#211460,.T.); #293150=ORIENTED_EDGE('',*,*,#211457,.T.); #293151=ORIENTED_EDGE('',*,*,#211454,.T.); #293152=ORIENTED_EDGE('',*,*,#211451,.T.); #293153=ORIENTED_EDGE('',*,*,#211448,.T.); #293154=ORIENTED_EDGE('',*,*,#211445,.T.); #293155=ORIENTED_EDGE('',*,*,#211442,.T.); #293156=ORIENTED_EDGE('',*,*,#211439,.T.); #293157=ORIENTED_EDGE('',*,*,#211436,.T.); #293158=ORIENTED_EDGE('',*,*,#211433,.T.); #293159=ORIENTED_EDGE('',*,*,#211430,.T.); #293160=ORIENTED_EDGE('',*,*,#211427,.T.); #293161=ORIENTED_EDGE('',*,*,#211424,.T.); #293162=ORIENTED_EDGE('',*,*,#211421,.T.); #293163=ORIENTED_EDGE('',*,*,#211418,.T.); #293164=ORIENTED_EDGE('',*,*,#211415,.T.); #293165=ORIENTED_EDGE('',*,*,#211412,.T.); #293166=ORIENTED_EDGE('',*,*,#211409,.T.); #293167=ORIENTED_EDGE('',*,*,#211406,.T.); #293168=ORIENTED_EDGE('',*,*,#211403,.T.); #293169=ORIENTED_EDGE('',*,*,#211400,.T.); #293170=ORIENTED_EDGE('',*,*,#211397,.T.); #293171=ORIENTED_EDGE('',*,*,#211394,.T.); #293172=ORIENTED_EDGE('',*,*,#211391,.T.); #293173=ORIENTED_EDGE('',*,*,#211388,.T.); #293174=ORIENTED_EDGE('',*,*,#211385,.T.); #293175=ORIENTED_EDGE('',*,*,#211382,.T.); #293176=ORIENTED_EDGE('',*,*,#211379,.T.); #293177=ORIENTED_EDGE('',*,*,#211376,.T.); #293178=ORIENTED_EDGE('',*,*,#211373,.T.); #293179=ORIENTED_EDGE('',*,*,#211370,.T.); #293180=ORIENTED_EDGE('',*,*,#211367,.T.); #293181=ORIENTED_EDGE('',*,*,#211364,.T.); #293182=ORIENTED_EDGE('',*,*,#211361,.T.); #293183=ORIENTED_EDGE('',*,*,#211358,.T.); #293184=ORIENTED_EDGE('',*,*,#211355,.T.); #293185=ORIENTED_EDGE('',*,*,#211352,.T.); #293186=ORIENTED_EDGE('',*,*,#211349,.T.); #293187=ORIENTED_EDGE('',*,*,#211346,.T.); #293188=ORIENTED_EDGE('',*,*,#211343,.T.); #293189=ORIENTED_EDGE('',*,*,#211340,.T.); #293190=ORIENTED_EDGE('',*,*,#211337,.T.); #293191=ORIENTED_EDGE('',*,*,#211334,.T.); #293192=ORIENTED_EDGE('',*,*,#211331,.T.); #293193=ORIENTED_EDGE('',*,*,#211328,.T.); #293194=ORIENTED_EDGE('',*,*,#211325,.T.); #293195=ORIENTED_EDGE('',*,*,#211322,.T.); #293196=ORIENTED_EDGE('',*,*,#211319,.T.); #293197=ORIENTED_EDGE('',*,*,#211316,.T.); #293198=ORIENTED_EDGE('',*,*,#211313,.T.); #293199=ORIENTED_EDGE('',*,*,#211310,.T.); #293200=ORIENTED_EDGE('',*,*,#211307,.T.); #293201=ORIENTED_EDGE('',*,*,#211304,.T.); #293202=ORIENTED_EDGE('',*,*,#211301,.T.); #293203=ORIENTED_EDGE('',*,*,#211298,.T.); #293204=ORIENTED_EDGE('',*,*,#211295,.T.); #293205=ORIENTED_EDGE('',*,*,#211292,.T.); #293206=ORIENTED_EDGE('',*,*,#211289,.T.); #293207=ORIENTED_EDGE('',*,*,#211286,.T.); #293208=ORIENTED_EDGE('',*,*,#211283,.T.); #293209=ORIENTED_EDGE('',*,*,#211280,.T.); #293210=ORIENTED_EDGE('',*,*,#211277,.T.); #293211=ORIENTED_EDGE('',*,*,#211274,.T.); #293212=ORIENTED_EDGE('',*,*,#211271,.T.); #293213=ORIENTED_EDGE('',*,*,#211268,.T.); #293214=ORIENTED_EDGE('',*,*,#211265,.T.); #293215=ORIENTED_EDGE('',*,*,#211262,.T.); #293216=ORIENTED_EDGE('',*,*,#211259,.T.); #293217=ORIENTED_EDGE('',*,*,#211256,.T.); #293218=ORIENTED_EDGE('',*,*,#211253,.T.); #293219=ORIENTED_EDGE('',*,*,#211250,.T.); #293220=ORIENTED_EDGE('',*,*,#211247,.T.); #293221=ORIENTED_EDGE('',*,*,#211244,.T.); #293222=ORIENTED_EDGE('',*,*,#211241,.T.); #293223=ORIENTED_EDGE('',*,*,#211238,.T.); #293224=ORIENTED_EDGE('',*,*,#211235,.T.); #293225=ORIENTED_EDGE('',*,*,#211232,.T.); #293226=ORIENTED_EDGE('',*,*,#211229,.T.); #293227=ORIENTED_EDGE('',*,*,#211226,.T.); #293228=ORIENTED_EDGE('',*,*,#211223,.T.); #293229=ORIENTED_EDGE('',*,*,#211220,.T.); #293230=ORIENTED_EDGE('',*,*,#211217,.T.); #293231=ORIENTED_EDGE('',*,*,#211214,.T.); #293232=ORIENTED_EDGE('',*,*,#211211,.T.); #293233=ORIENTED_EDGE('',*,*,#211208,.T.); #293234=ORIENTED_EDGE('',*,*,#211205,.T.); #293235=ORIENTED_EDGE('',*,*,#211202,.T.); #293236=ORIENTED_EDGE('',*,*,#211199,.T.); #293237=ORIENTED_EDGE('',*,*,#211196,.T.); #293238=ORIENTED_EDGE('',*,*,#211193,.T.); #293239=ORIENTED_EDGE('',*,*,#211190,.T.); #293240=ORIENTED_EDGE('',*,*,#211187,.T.); #293241=ORIENTED_EDGE('',*,*,#211184,.T.); #293242=ORIENTED_EDGE('',*,*,#211181,.T.); #293243=ORIENTED_EDGE('',*,*,#211178,.T.); #293244=ORIENTED_EDGE('',*,*,#211175,.T.); #293245=ORIENTED_EDGE('',*,*,#211172,.T.); #293246=ORIENTED_EDGE('',*,*,#211169,.T.); #293247=ORIENTED_EDGE('',*,*,#211166,.T.); #293248=ORIENTED_EDGE('',*,*,#211163,.T.); #293249=ORIENTED_EDGE('',*,*,#211160,.T.); #293250=ORIENTED_EDGE('',*,*,#211157,.T.); #293251=ORIENTED_EDGE('',*,*,#211154,.T.); #293252=ORIENTED_EDGE('',*,*,#211151,.T.); #293253=ORIENTED_EDGE('',*,*,#211148,.T.); #293254=ORIENTED_EDGE('',*,*,#211145,.T.); #293255=ORIENTED_EDGE('',*,*,#211142,.T.); #293256=ORIENTED_EDGE('',*,*,#211139,.T.); #293257=ORIENTED_EDGE('',*,*,#211136,.T.); #293258=ORIENTED_EDGE('',*,*,#211133,.T.); #293259=ORIENTED_EDGE('',*,*,#211130,.T.); #293260=ORIENTED_EDGE('',*,*,#211127,.T.); #293261=ORIENTED_EDGE('',*,*,#211124,.T.); #293262=ORIENTED_EDGE('',*,*,#211121,.T.); #293263=ORIENTED_EDGE('',*,*,#211118,.T.); #293264=ORIENTED_EDGE('',*,*,#211115,.T.); #293265=ORIENTED_EDGE('',*,*,#211112,.T.); #293266=ORIENTED_EDGE('',*,*,#211109,.T.); #293267=ORIENTED_EDGE('',*,*,#211106,.T.); #293268=ORIENTED_EDGE('',*,*,#211103,.T.); #293269=ORIENTED_EDGE('',*,*,#211100,.T.); #293270=ORIENTED_EDGE('',*,*,#211097,.T.); #293271=ORIENTED_EDGE('',*,*,#211094,.T.); #293272=ORIENTED_EDGE('',*,*,#211091,.T.); #293273=ORIENTED_EDGE('',*,*,#211088,.T.); #293274=ORIENTED_EDGE('',*,*,#211085,.T.); #293275=ORIENTED_EDGE('',*,*,#211082,.T.); #293276=ORIENTED_EDGE('',*,*,#211079,.T.); #293277=ORIENTED_EDGE('',*,*,#211076,.T.); #293278=ORIENTED_EDGE('',*,*,#211073,.T.); #293279=ORIENTED_EDGE('',*,*,#211070,.T.); #293280=ORIENTED_EDGE('',*,*,#211067,.T.); #293281=ORIENTED_EDGE('',*,*,#211064,.T.); #293282=ORIENTED_EDGE('',*,*,#211061,.T.); #293283=ORIENTED_EDGE('',*,*,#211058,.T.); #293284=ORIENTED_EDGE('',*,*,#211055,.T.); #293285=ORIENTED_EDGE('',*,*,#211052,.T.); #293286=ORIENTED_EDGE('',*,*,#211049,.T.); #293287=ORIENTED_EDGE('',*,*,#211046,.T.); #293288=ORIENTED_EDGE('',*,*,#211043,.T.); #293289=ORIENTED_EDGE('',*,*,#211040,.T.); #293290=ORIENTED_EDGE('',*,*,#211037,.T.); #293291=ORIENTED_EDGE('',*,*,#211034,.T.); #293292=ORIENTED_EDGE('',*,*,#211031,.T.); #293293=ORIENTED_EDGE('',*,*,#211028,.T.); #293294=ORIENTED_EDGE('',*,*,#211025,.T.); #293295=ORIENTED_EDGE('',*,*,#211022,.T.); #293296=ORIENTED_EDGE('',*,*,#211019,.T.); #293297=ORIENTED_EDGE('',*,*,#211016,.T.); #293298=ORIENTED_EDGE('',*,*,#211013,.T.); #293299=ORIENTED_EDGE('',*,*,#211010,.T.); #293300=ORIENTED_EDGE('',*,*,#211007,.T.); #293301=ORIENTED_EDGE('',*,*,#211004,.T.); #293302=ORIENTED_EDGE('',*,*,#211001,.T.); #293303=ORIENTED_EDGE('',*,*,#210998,.T.); #293304=ORIENTED_EDGE('',*,*,#210995,.T.); #293305=ORIENTED_EDGE('',*,*,#210992,.T.); #293306=ORIENTED_EDGE('',*,*,#210989,.T.); #293307=ORIENTED_EDGE('',*,*,#210986,.T.); #293308=ORIENTED_EDGE('',*,*,#210983,.T.); #293309=ORIENTED_EDGE('',*,*,#210980,.T.); #293310=ORIENTED_EDGE('',*,*,#210977,.T.); #293311=ORIENTED_EDGE('',*,*,#210974,.T.); #293312=ORIENTED_EDGE('',*,*,#210971,.T.); #293313=ORIENTED_EDGE('',*,*,#210968,.T.); #293314=ORIENTED_EDGE('',*,*,#210965,.T.); #293315=ORIENTED_EDGE('',*,*,#210962,.T.); #293316=ORIENTED_EDGE('',*,*,#210959,.T.); #293317=ORIENTED_EDGE('',*,*,#210956,.T.); #293318=ORIENTED_EDGE('',*,*,#210953,.T.); #293319=ORIENTED_EDGE('',*,*,#210950,.T.); #293320=ORIENTED_EDGE('',*,*,#210947,.T.); #293321=ORIENTED_EDGE('',*,*,#210944,.T.); #293322=ORIENTED_EDGE('',*,*,#210941,.T.); #293323=ORIENTED_EDGE('',*,*,#210938,.T.); #293324=ORIENTED_EDGE('',*,*,#210935,.T.); #293325=ORIENTED_EDGE('',*,*,#210932,.T.); #293326=ORIENTED_EDGE('',*,*,#210929,.T.); #293327=ORIENTED_EDGE('',*,*,#210926,.T.); #293328=ORIENTED_EDGE('',*,*,#210923,.T.); #293329=ORIENTED_EDGE('',*,*,#210920,.T.); #293330=ORIENTED_EDGE('',*,*,#210917,.T.); #293331=ORIENTED_EDGE('',*,*,#210914,.T.); #293332=ORIENTED_EDGE('',*,*,#210911,.T.); #293333=ORIENTED_EDGE('',*,*,#210908,.T.); #293334=ORIENTED_EDGE('',*,*,#210905,.T.); #293335=ORIENTED_EDGE('',*,*,#210902,.T.); #293336=ORIENTED_EDGE('',*,*,#210899,.T.); #293337=ORIENTED_EDGE('',*,*,#210896,.T.); #293338=ORIENTED_EDGE('',*,*,#210893,.T.); #293339=ORIENTED_EDGE('',*,*,#210890,.T.); #293340=ORIENTED_EDGE('',*,*,#210887,.T.); #293341=ORIENTED_EDGE('',*,*,#210884,.T.); #293342=ORIENTED_EDGE('',*,*,#210881,.T.); #293343=ORIENTED_EDGE('',*,*,#210878,.T.); #293344=ORIENTED_EDGE('',*,*,#210875,.T.); #293345=ORIENTED_EDGE('',*,*,#210872,.T.); #293346=ORIENTED_EDGE('',*,*,#210869,.T.); #293347=ORIENTED_EDGE('',*,*,#210866,.T.); #293348=ORIENTED_EDGE('',*,*,#210863,.T.); #293349=ORIENTED_EDGE('',*,*,#210860,.T.); #293350=ORIENTED_EDGE('',*,*,#210857,.T.); #293351=ORIENTED_EDGE('',*,*,#210854,.T.); #293352=ORIENTED_EDGE('',*,*,#210851,.T.); #293353=ORIENTED_EDGE('',*,*,#210848,.T.); #293354=ORIENTED_EDGE('',*,*,#210845,.T.); #293355=ORIENTED_EDGE('',*,*,#210842,.T.); #293356=ORIENTED_EDGE('',*,*,#210839,.T.); #293357=ORIENTED_EDGE('',*,*,#210836,.T.); #293358=ORIENTED_EDGE('',*,*,#210833,.T.); #293359=ORIENTED_EDGE('',*,*,#210830,.T.); #293360=ORIENTED_EDGE('',*,*,#210827,.T.); #293361=ORIENTED_EDGE('',*,*,#210824,.T.); #293362=ORIENTED_EDGE('',*,*,#210821,.T.); #293363=ORIENTED_EDGE('',*,*,#210818,.T.); #293364=ORIENTED_EDGE('',*,*,#210815,.T.); #293365=ORIENTED_EDGE('',*,*,#210812,.T.); #293366=ORIENTED_EDGE('',*,*,#210809,.T.); #293367=ORIENTED_EDGE('',*,*,#210806,.T.); #293368=ORIENTED_EDGE('',*,*,#210803,.T.); #293369=ORIENTED_EDGE('',*,*,#210800,.T.); #293370=ORIENTED_EDGE('',*,*,#210797,.T.); #293371=ORIENTED_EDGE('',*,*,#210794,.T.); #293372=ORIENTED_EDGE('',*,*,#210791,.T.); #293373=ORIENTED_EDGE('',*,*,#210788,.T.); #293374=ORIENTED_EDGE('',*,*,#210785,.T.); #293375=ORIENTED_EDGE('',*,*,#210782,.T.); #293376=ORIENTED_EDGE('',*,*,#210779,.T.); #293377=ORIENTED_EDGE('',*,*,#210776,.T.); #293378=ORIENTED_EDGE('',*,*,#210773,.T.); #293379=ORIENTED_EDGE('',*,*,#210770,.T.); #293380=ORIENTED_EDGE('',*,*,#210767,.T.); #293381=ORIENTED_EDGE('',*,*,#210764,.T.); #293382=ORIENTED_EDGE('',*,*,#210761,.T.); #293383=ORIENTED_EDGE('',*,*,#210758,.T.); #293384=ORIENTED_EDGE('',*,*,#210755,.T.); #293385=ORIENTED_EDGE('',*,*,#210752,.T.); #293386=ORIENTED_EDGE('',*,*,#210749,.T.); #293387=ORIENTED_EDGE('',*,*,#210746,.T.); #293388=ORIENTED_EDGE('',*,*,#210743,.T.); #293389=ORIENTED_EDGE('',*,*,#210740,.T.); #293390=ORIENTED_EDGE('',*,*,#210737,.T.); #293391=ORIENTED_EDGE('',*,*,#210734,.T.); #293392=ORIENTED_EDGE('',*,*,#210731,.T.); #293393=ORIENTED_EDGE('',*,*,#210728,.T.); #293394=ORIENTED_EDGE('',*,*,#210725,.T.); #293395=ORIENTED_EDGE('',*,*,#210722,.T.); #293396=ORIENTED_EDGE('',*,*,#210719,.T.); #293397=ORIENTED_EDGE('',*,*,#210716,.T.); #293398=ORIENTED_EDGE('',*,*,#210713,.T.); #293399=ORIENTED_EDGE('',*,*,#210710,.T.); #293400=ORIENTED_EDGE('',*,*,#210707,.T.); #293401=ORIENTED_EDGE('',*,*,#210704,.T.); #293402=ORIENTED_EDGE('',*,*,#210701,.T.); #293403=ORIENTED_EDGE('',*,*,#210698,.T.); #293404=ORIENTED_EDGE('',*,*,#210695,.T.); #293405=ORIENTED_EDGE('',*,*,#210692,.T.); #293406=ORIENTED_EDGE('',*,*,#210689,.T.); #293407=ORIENTED_EDGE('',*,*,#210686,.T.); #293408=ORIENTED_EDGE('',*,*,#210683,.T.); #293409=ORIENTED_EDGE('',*,*,#210680,.T.); #293410=ORIENTED_EDGE('',*,*,#210677,.T.); #293411=ORIENTED_EDGE('',*,*,#210674,.T.); #293412=ORIENTED_EDGE('',*,*,#210671,.T.); #293413=ORIENTED_EDGE('',*,*,#210668,.T.); #293414=ORIENTED_EDGE('',*,*,#210665,.T.); #293415=ORIENTED_EDGE('',*,*,#210662,.T.); #293416=ORIENTED_EDGE('',*,*,#210659,.T.); #293417=ORIENTED_EDGE('',*,*,#210656,.T.); #293418=ORIENTED_EDGE('',*,*,#210653,.T.); #293419=ORIENTED_EDGE('',*,*,#210650,.T.); #293420=ORIENTED_EDGE('',*,*,#210647,.T.); #293421=ORIENTED_EDGE('',*,*,#210644,.T.); #293422=ORIENTED_EDGE('',*,*,#210641,.T.); #293423=ORIENTED_EDGE('',*,*,#210638,.T.); #293424=ORIENTED_EDGE('',*,*,#210635,.T.); #293425=ORIENTED_EDGE('',*,*,#210632,.T.); #293426=ORIENTED_EDGE('',*,*,#210629,.T.); #293427=ORIENTED_EDGE('',*,*,#210626,.T.); #293428=ORIENTED_EDGE('',*,*,#210623,.T.); #293429=ORIENTED_EDGE('',*,*,#210620,.T.); #293430=ORIENTED_EDGE('',*,*,#210617,.T.); #293431=ORIENTED_EDGE('',*,*,#210614,.T.); #293432=ORIENTED_EDGE('',*,*,#210611,.T.); #293433=ORIENTED_EDGE('',*,*,#210608,.T.); #293434=ORIENTED_EDGE('',*,*,#210605,.T.); #293435=ORIENTED_EDGE('',*,*,#210602,.T.); #293436=ORIENTED_EDGE('',*,*,#210599,.T.); #293437=ORIENTED_EDGE('',*,*,#210596,.T.); #293438=ORIENTED_EDGE('',*,*,#210593,.T.); #293439=ORIENTED_EDGE('',*,*,#210590,.T.); #293440=ORIENTED_EDGE('',*,*,#210587,.T.); #293441=ORIENTED_EDGE('',*,*,#210584,.T.); #293442=ORIENTED_EDGE('',*,*,#210581,.T.); #293443=ORIENTED_EDGE('',*,*,#210578,.T.); #293444=ORIENTED_EDGE('',*,*,#210575,.T.); #293445=ORIENTED_EDGE('',*,*,#210572,.T.); #293446=ORIENTED_EDGE('',*,*,#210569,.T.); #293447=ORIENTED_EDGE('',*,*,#210566,.T.); #293448=ORIENTED_EDGE('',*,*,#210563,.T.); #293449=ORIENTED_EDGE('',*,*,#210560,.T.); #293450=ORIENTED_EDGE('',*,*,#210557,.T.); #293451=ORIENTED_EDGE('',*,*,#210554,.T.); #293452=ORIENTED_EDGE('',*,*,#210551,.T.); #293453=ORIENTED_EDGE('',*,*,#210548,.T.); #293454=ORIENTED_EDGE('',*,*,#210545,.T.); #293455=ORIENTED_EDGE('',*,*,#210542,.T.); #293456=ORIENTED_EDGE('',*,*,#210539,.T.); #293457=ORIENTED_EDGE('',*,*,#210536,.T.); #293458=ORIENTED_EDGE('',*,*,#210533,.T.); #293459=ORIENTED_EDGE('',*,*,#210530,.T.); #293460=ORIENTED_EDGE('',*,*,#210527,.T.); #293461=ORIENTED_EDGE('',*,*,#210524,.T.); #293462=ORIENTED_EDGE('',*,*,#210521,.T.); #293463=ORIENTED_EDGE('',*,*,#210518,.T.); #293464=ORIENTED_EDGE('',*,*,#210515,.T.); #293465=ORIENTED_EDGE('',*,*,#210512,.T.); #293466=ORIENTED_EDGE('',*,*,#210509,.T.); #293467=ORIENTED_EDGE('',*,*,#210506,.T.); #293468=ORIENTED_EDGE('',*,*,#210503,.T.); #293469=ORIENTED_EDGE('',*,*,#210500,.T.); #293470=ORIENTED_EDGE('',*,*,#210497,.T.); #293471=ORIENTED_EDGE('',*,*,#210494,.T.); #293472=ORIENTED_EDGE('',*,*,#210491,.T.); #293473=ORIENTED_EDGE('',*,*,#210488,.T.); #293474=ORIENTED_EDGE('',*,*,#210485,.T.); #293475=ORIENTED_EDGE('',*,*,#210482,.T.); #293476=ORIENTED_EDGE('',*,*,#210479,.T.); #293477=ORIENTED_EDGE('',*,*,#210476,.T.); #293478=ORIENTED_EDGE('',*,*,#210473,.T.); #293479=ORIENTED_EDGE('',*,*,#210470,.T.); #293480=ORIENTED_EDGE('',*,*,#210467,.T.); #293481=ORIENTED_EDGE('',*,*,#210464,.T.); #293482=ORIENTED_EDGE('',*,*,#210461,.T.); #293483=ORIENTED_EDGE('',*,*,#210458,.T.); #293484=ORIENTED_EDGE('',*,*,#210455,.T.); #293485=ORIENTED_EDGE('',*,*,#210452,.T.); #293486=ORIENTED_EDGE('',*,*,#210449,.T.); #293487=ORIENTED_EDGE('',*,*,#210446,.T.); #293488=ORIENTED_EDGE('',*,*,#210443,.T.); #293489=ORIENTED_EDGE('',*,*,#210440,.T.); #293490=ORIENTED_EDGE('',*,*,#210437,.T.); #293491=ORIENTED_EDGE('',*,*,#210434,.T.); #293492=ORIENTED_EDGE('',*,*,#210431,.T.); #293493=ORIENTED_EDGE('',*,*,#210428,.T.); #293494=ORIENTED_EDGE('',*,*,#210425,.T.); #293495=ORIENTED_EDGE('',*,*,#210422,.T.); #293496=ORIENTED_EDGE('',*,*,#210419,.T.); #293497=ORIENTED_EDGE('',*,*,#210416,.T.); #293498=ORIENTED_EDGE('',*,*,#210413,.T.); #293499=ORIENTED_EDGE('',*,*,#210410,.T.); #293500=ORIENTED_EDGE('',*,*,#210407,.T.); #293501=ORIENTED_EDGE('',*,*,#210404,.T.); #293502=ORIENTED_EDGE('',*,*,#210401,.T.); #293503=ORIENTED_EDGE('',*,*,#210398,.T.); #293504=ORIENTED_EDGE('',*,*,#210395,.T.); #293505=ORIENTED_EDGE('',*,*,#210392,.T.); #293506=ORIENTED_EDGE('',*,*,#210389,.T.); #293507=ORIENTED_EDGE('',*,*,#210386,.T.); #293508=ORIENTED_EDGE('',*,*,#210383,.T.); #293509=ORIENTED_EDGE('',*,*,#210380,.T.); #293510=ORIENTED_EDGE('',*,*,#210377,.T.); #293511=ORIENTED_EDGE('',*,*,#210374,.T.); #293512=ORIENTED_EDGE('',*,*,#210371,.T.); #293513=ORIENTED_EDGE('',*,*,#210368,.T.); #293514=ORIENTED_EDGE('',*,*,#210365,.T.); #293515=ORIENTED_EDGE('',*,*,#210362,.T.); #293516=ORIENTED_EDGE('',*,*,#210359,.T.); #293517=ORIENTED_EDGE('',*,*,#210356,.T.); #293518=ORIENTED_EDGE('',*,*,#210353,.T.); #293519=ORIENTED_EDGE('',*,*,#210350,.T.); #293520=ORIENTED_EDGE('',*,*,#210347,.T.); #293521=ORIENTED_EDGE('',*,*,#210344,.T.); #293522=ORIENTED_EDGE('',*,*,#210341,.T.); #293523=ORIENTED_EDGE('',*,*,#210338,.T.); #293524=ORIENTED_EDGE('',*,*,#210335,.T.); #293525=ORIENTED_EDGE('',*,*,#210332,.T.); #293526=ORIENTED_EDGE('',*,*,#210329,.T.); #293527=ORIENTED_EDGE('',*,*,#210326,.T.); #293528=ORIENTED_EDGE('',*,*,#210323,.T.); #293529=ORIENTED_EDGE('',*,*,#210320,.T.); #293530=ORIENTED_EDGE('',*,*,#210317,.T.); #293531=ORIENTED_EDGE('',*,*,#210314,.T.); #293532=ORIENTED_EDGE('',*,*,#210311,.T.); #293533=ORIENTED_EDGE('',*,*,#210308,.T.); #293534=ORIENTED_EDGE('',*,*,#210305,.T.); #293535=ORIENTED_EDGE('',*,*,#210302,.T.); #293536=ORIENTED_EDGE('',*,*,#210299,.T.); #293537=ORIENTED_EDGE('',*,*,#210296,.T.); #293538=ORIENTED_EDGE('',*,*,#210293,.T.); #293539=ORIENTED_EDGE('',*,*,#210290,.T.); #293540=ORIENTED_EDGE('',*,*,#210287,.T.); #293541=ORIENTED_EDGE('',*,*,#210284,.T.); #293542=ORIENTED_EDGE('',*,*,#210281,.T.); #293543=ORIENTED_EDGE('',*,*,#210278,.T.); #293544=ORIENTED_EDGE('',*,*,#210275,.T.); #293545=ORIENTED_EDGE('',*,*,#210272,.T.); #293546=ORIENTED_EDGE('',*,*,#210269,.T.); #293547=ORIENTED_EDGE('',*,*,#210266,.T.); #293548=ORIENTED_EDGE('',*,*,#210263,.T.); #293549=ORIENTED_EDGE('',*,*,#210260,.T.); #293550=ORIENTED_EDGE('',*,*,#210257,.T.); #293551=ORIENTED_EDGE('',*,*,#210254,.T.); #293552=ORIENTED_EDGE('',*,*,#210251,.T.); #293553=ORIENTED_EDGE('',*,*,#210248,.T.); #293554=ORIENTED_EDGE('',*,*,#210245,.T.); #293555=ORIENTED_EDGE('',*,*,#210242,.T.); #293556=ORIENTED_EDGE('',*,*,#210239,.T.); #293557=ORIENTED_EDGE('',*,*,#210236,.T.); #293558=ORIENTED_EDGE('',*,*,#210233,.T.); #293559=ORIENTED_EDGE('',*,*,#210230,.T.); #293560=ORIENTED_EDGE('',*,*,#210227,.T.); #293561=ORIENTED_EDGE('',*,*,#210224,.T.); #293562=ORIENTED_EDGE('',*,*,#210221,.T.); #293563=ORIENTED_EDGE('',*,*,#210218,.T.); #293564=ORIENTED_EDGE('',*,*,#210215,.T.); #293565=ORIENTED_EDGE('',*,*,#210212,.T.); #293566=ORIENTED_EDGE('',*,*,#210209,.T.); #293567=ORIENTED_EDGE('',*,*,#210206,.T.); #293568=ORIENTED_EDGE('',*,*,#210203,.T.); #293569=ORIENTED_EDGE('',*,*,#210200,.T.); #293570=ORIENTED_EDGE('',*,*,#210197,.T.); #293571=ORIENTED_EDGE('',*,*,#210194,.T.); #293572=ORIENTED_EDGE('',*,*,#210191,.T.); #293573=ORIENTED_EDGE('',*,*,#210188,.T.); #293574=ORIENTED_EDGE('',*,*,#210185,.T.); #293575=ORIENTED_EDGE('',*,*,#210182,.T.); #293576=ORIENTED_EDGE('',*,*,#210179,.T.); #293577=ORIENTED_EDGE('',*,*,#210176,.T.); #293578=ORIENTED_EDGE('',*,*,#210173,.T.); #293579=ORIENTED_EDGE('',*,*,#210170,.T.); #293580=ORIENTED_EDGE('',*,*,#210167,.T.); #293581=ORIENTED_EDGE('',*,*,#210164,.T.); #293582=ORIENTED_EDGE('',*,*,#210161,.T.); #293583=ORIENTED_EDGE('',*,*,#210158,.T.); #293584=ORIENTED_EDGE('',*,*,#210155,.T.); #293585=ORIENTED_EDGE('',*,*,#210152,.T.); #293586=ORIENTED_EDGE('',*,*,#210149,.T.); #293587=ORIENTED_EDGE('',*,*,#210146,.T.); #293588=ORIENTED_EDGE('',*,*,#210143,.T.); #293589=ORIENTED_EDGE('',*,*,#210140,.T.); #293590=ORIENTED_EDGE('',*,*,#210137,.T.); #293591=ORIENTED_EDGE('',*,*,#210134,.T.); #293592=ORIENTED_EDGE('',*,*,#210131,.T.); #293593=ORIENTED_EDGE('',*,*,#210128,.T.); #293594=ORIENTED_EDGE('',*,*,#210125,.T.); #293595=ORIENTED_EDGE('',*,*,#210122,.T.); #293596=ORIENTED_EDGE('',*,*,#210119,.T.); #293597=ORIENTED_EDGE('',*,*,#210116,.T.); #293598=ORIENTED_EDGE('',*,*,#210113,.T.); #293599=ORIENTED_EDGE('',*,*,#210110,.T.); #293600=ORIENTED_EDGE('',*,*,#210107,.T.); #293601=ORIENTED_EDGE('',*,*,#210104,.T.); #293602=ORIENTED_EDGE('',*,*,#210101,.T.); #293603=ORIENTED_EDGE('',*,*,#210098,.T.); #293604=ORIENTED_EDGE('',*,*,#210095,.T.); #293605=ORIENTED_EDGE('',*,*,#210092,.T.); #293606=ORIENTED_EDGE('',*,*,#210089,.T.); #293607=ORIENTED_EDGE('',*,*,#210086,.T.); #293608=ORIENTED_EDGE('',*,*,#210083,.T.); #293609=ORIENTED_EDGE('',*,*,#210080,.T.); #293610=ORIENTED_EDGE('',*,*,#210077,.T.); #293611=ORIENTED_EDGE('',*,*,#210074,.T.); #293612=ORIENTED_EDGE('',*,*,#210071,.T.); #293613=ORIENTED_EDGE('',*,*,#210068,.T.); #293614=ORIENTED_EDGE('',*,*,#210065,.T.); #293615=ORIENTED_EDGE('',*,*,#210062,.T.); #293616=ORIENTED_EDGE('',*,*,#210059,.T.); #293617=ORIENTED_EDGE('',*,*,#210056,.T.); #293618=ORIENTED_EDGE('',*,*,#210053,.T.); #293619=ORIENTED_EDGE('',*,*,#210050,.T.); #293620=ORIENTED_EDGE('',*,*,#210047,.T.); #293621=ORIENTED_EDGE('',*,*,#210044,.T.); #293622=ORIENTED_EDGE('',*,*,#210041,.T.); #293623=ORIENTED_EDGE('',*,*,#210038,.T.); #293624=ORIENTED_EDGE('',*,*,#210035,.T.); #293625=ORIENTED_EDGE('',*,*,#210032,.T.); #293626=ORIENTED_EDGE('',*,*,#210029,.T.); #293627=ORIENTED_EDGE('',*,*,#210026,.T.); #293628=ORIENTED_EDGE('',*,*,#210023,.T.); #293629=ORIENTED_EDGE('',*,*,#210020,.T.); #293630=ORIENTED_EDGE('',*,*,#210017,.T.); #293631=ORIENTED_EDGE('',*,*,#210014,.T.); #293632=ORIENTED_EDGE('',*,*,#210011,.T.); #293633=ORIENTED_EDGE('',*,*,#210008,.T.); #293634=ORIENTED_EDGE('',*,*,#210005,.T.); #293635=ORIENTED_EDGE('',*,*,#210002,.T.); #293636=ORIENTED_EDGE('',*,*,#209999,.T.); #293637=ORIENTED_EDGE('',*,*,#209996,.T.); #293638=ORIENTED_EDGE('',*,*,#209993,.T.); #293639=ORIENTED_EDGE('',*,*,#209990,.T.); #293640=ORIENTED_EDGE('',*,*,#209987,.T.); #293641=ORIENTED_EDGE('',*,*,#209984,.T.); #293642=ORIENTED_EDGE('',*,*,#209981,.T.); #293643=ORIENTED_EDGE('',*,*,#209978,.T.); #293644=ORIENTED_EDGE('',*,*,#209975,.T.); #293645=ORIENTED_EDGE('',*,*,#209972,.T.); #293646=ORIENTED_EDGE('',*,*,#209969,.T.); #293647=ORIENTED_EDGE('',*,*,#209966,.T.); #293648=ORIENTED_EDGE('',*,*,#209963,.T.); #293649=ORIENTED_EDGE('',*,*,#209960,.T.); #293650=ORIENTED_EDGE('',*,*,#209957,.T.); #293651=ORIENTED_EDGE('',*,*,#209954,.T.); #293652=ORIENTED_EDGE('',*,*,#209951,.T.); #293653=ORIENTED_EDGE('',*,*,#209948,.T.); #293654=ORIENTED_EDGE('',*,*,#209945,.T.); #293655=ORIENTED_EDGE('',*,*,#209942,.T.); #293656=ORIENTED_EDGE('',*,*,#209939,.T.); #293657=ORIENTED_EDGE('',*,*,#209936,.T.); #293658=ORIENTED_EDGE('',*,*,#209933,.T.); #293659=ORIENTED_EDGE('',*,*,#209930,.T.); #293660=ORIENTED_EDGE('',*,*,#209927,.T.); #293661=ORIENTED_EDGE('',*,*,#209924,.T.); #293662=ORIENTED_EDGE('',*,*,#209921,.T.); #293663=ORIENTED_EDGE('',*,*,#209918,.T.); #293664=ORIENTED_EDGE('',*,*,#209915,.T.); #293665=ORIENTED_EDGE('',*,*,#209912,.T.); #293666=ORIENTED_EDGE('',*,*,#209909,.T.); #293667=ORIENTED_EDGE('',*,*,#209906,.T.); #293668=ORIENTED_EDGE('',*,*,#209903,.T.); #293669=ORIENTED_EDGE('',*,*,#209900,.T.); #293670=ORIENTED_EDGE('',*,*,#209897,.T.); #293671=ORIENTED_EDGE('',*,*,#209894,.T.); #293672=ORIENTED_EDGE('',*,*,#209891,.T.); #293673=ORIENTED_EDGE('',*,*,#209888,.T.); #293674=ORIENTED_EDGE('',*,*,#209885,.T.); #293675=ORIENTED_EDGE('',*,*,#209882,.T.); #293676=ORIENTED_EDGE('',*,*,#209879,.T.); #293677=ORIENTED_EDGE('',*,*,#209876,.T.); #293678=ORIENTED_EDGE('',*,*,#209873,.T.); #293679=ORIENTED_EDGE('',*,*,#209870,.T.); #293680=ORIENTED_EDGE('',*,*,#214465,.F.); #293681=ORIENTED_EDGE('',*,*,#214107,.F.); #293682=ORIENTED_EDGE('',*,*,#214111,.F.); #293683=ORIENTED_EDGE('',*,*,#214114,.F.); #293684=ORIENTED_EDGE('',*,*,#214117,.F.); #293685=ORIENTED_EDGE('',*,*,#214120,.F.); #293686=ORIENTED_EDGE('',*,*,#214123,.F.); #293687=ORIENTED_EDGE('',*,*,#214126,.F.); #293688=ORIENTED_EDGE('',*,*,#214129,.F.); #293689=ORIENTED_EDGE('',*,*,#214132,.F.); #293690=ORIENTED_EDGE('',*,*,#214135,.F.); #293691=ORIENTED_EDGE('',*,*,#214138,.F.); #293692=ORIENTED_EDGE('',*,*,#214141,.F.); #293693=ORIENTED_EDGE('',*,*,#214144,.F.); #293694=ORIENTED_EDGE('',*,*,#214147,.F.); #293695=ORIENTED_EDGE('',*,*,#214150,.F.); #293696=ORIENTED_EDGE('',*,*,#214153,.F.); #293697=ORIENTED_EDGE('',*,*,#214156,.F.); #293698=ORIENTED_EDGE('',*,*,#214159,.F.); #293699=ORIENTED_EDGE('',*,*,#214162,.F.); #293700=ORIENTED_EDGE('',*,*,#214165,.F.); #293701=ORIENTED_EDGE('',*,*,#214168,.F.); #293702=ORIENTED_EDGE('',*,*,#214171,.F.); #293703=ORIENTED_EDGE('',*,*,#214174,.F.); #293704=ORIENTED_EDGE('',*,*,#214177,.F.); #293705=ORIENTED_EDGE('',*,*,#214180,.F.); #293706=ORIENTED_EDGE('',*,*,#214183,.F.); #293707=ORIENTED_EDGE('',*,*,#214186,.F.); #293708=ORIENTED_EDGE('',*,*,#214189,.F.); #293709=ORIENTED_EDGE('',*,*,#214192,.F.); #293710=ORIENTED_EDGE('',*,*,#214195,.F.); #293711=ORIENTED_EDGE('',*,*,#214198,.F.); #293712=ORIENTED_EDGE('',*,*,#214201,.F.); #293713=ORIENTED_EDGE('',*,*,#214204,.F.); #293714=ORIENTED_EDGE('',*,*,#214207,.F.); #293715=ORIENTED_EDGE('',*,*,#214210,.F.); #293716=ORIENTED_EDGE('',*,*,#214213,.F.); #293717=ORIENTED_EDGE('',*,*,#214216,.F.); #293718=ORIENTED_EDGE('',*,*,#214219,.F.); #293719=ORIENTED_EDGE('',*,*,#214222,.F.); #293720=ORIENTED_EDGE('',*,*,#214225,.F.); #293721=ORIENTED_EDGE('',*,*,#214228,.F.); #293722=ORIENTED_EDGE('',*,*,#214231,.F.); #293723=ORIENTED_EDGE('',*,*,#214234,.F.); #293724=ORIENTED_EDGE('',*,*,#214237,.F.); #293725=ORIENTED_EDGE('',*,*,#214240,.F.); #293726=ORIENTED_EDGE('',*,*,#214243,.F.); #293727=ORIENTED_EDGE('',*,*,#214246,.F.); #293728=ORIENTED_EDGE('',*,*,#214249,.F.); #293729=ORIENTED_EDGE('',*,*,#214252,.F.); #293730=ORIENTED_EDGE('',*,*,#214255,.F.); #293731=ORIENTED_EDGE('',*,*,#214258,.F.); #293732=ORIENTED_EDGE('',*,*,#214261,.F.); #293733=ORIENTED_EDGE('',*,*,#214264,.F.); #293734=ORIENTED_EDGE('',*,*,#214267,.F.); #293735=ORIENTED_EDGE('',*,*,#214270,.F.); #293736=ORIENTED_EDGE('',*,*,#214273,.F.); #293737=ORIENTED_EDGE('',*,*,#214276,.F.); #293738=ORIENTED_EDGE('',*,*,#214279,.F.); #293739=ORIENTED_EDGE('',*,*,#214282,.F.); #293740=ORIENTED_EDGE('',*,*,#214285,.F.); #293741=ORIENTED_EDGE('',*,*,#214288,.F.); #293742=ORIENTED_EDGE('',*,*,#214291,.F.); #293743=ORIENTED_EDGE('',*,*,#214294,.F.); #293744=ORIENTED_EDGE('',*,*,#214297,.F.); #293745=ORIENTED_EDGE('',*,*,#214300,.F.); #293746=ORIENTED_EDGE('',*,*,#214303,.F.); #293747=ORIENTED_EDGE('',*,*,#214306,.F.); #293748=ORIENTED_EDGE('',*,*,#214309,.F.); #293749=ORIENTED_EDGE('',*,*,#214312,.F.); #293750=ORIENTED_EDGE('',*,*,#214315,.F.); #293751=ORIENTED_EDGE('',*,*,#214318,.F.); #293752=ORIENTED_EDGE('',*,*,#214321,.F.); #293753=ORIENTED_EDGE('',*,*,#214324,.F.); #293754=ORIENTED_EDGE('',*,*,#214327,.F.); #293755=ORIENTED_EDGE('',*,*,#214330,.F.); #293756=ORIENTED_EDGE('',*,*,#214333,.F.); #293757=ORIENTED_EDGE('',*,*,#214336,.F.); #293758=ORIENTED_EDGE('',*,*,#214339,.F.); #293759=ORIENTED_EDGE('',*,*,#214342,.F.); #293760=ORIENTED_EDGE('',*,*,#214345,.F.); #293761=ORIENTED_EDGE('',*,*,#214348,.F.); #293762=ORIENTED_EDGE('',*,*,#214351,.F.); #293763=ORIENTED_EDGE('',*,*,#214354,.F.); #293764=ORIENTED_EDGE('',*,*,#214357,.F.); #293765=ORIENTED_EDGE('',*,*,#214360,.F.); #293766=ORIENTED_EDGE('',*,*,#214363,.F.); #293767=ORIENTED_EDGE('',*,*,#214366,.F.); #293768=ORIENTED_EDGE('',*,*,#214369,.F.); #293769=ORIENTED_EDGE('',*,*,#214372,.F.); #293770=ORIENTED_EDGE('',*,*,#214375,.F.); #293771=ORIENTED_EDGE('',*,*,#214378,.F.); #293772=ORIENTED_EDGE('',*,*,#214381,.F.); #293773=ORIENTED_EDGE('',*,*,#214384,.F.); #293774=ORIENTED_EDGE('',*,*,#214387,.F.); #293775=ORIENTED_EDGE('',*,*,#214390,.F.); #293776=ORIENTED_EDGE('',*,*,#214393,.F.); #293777=ORIENTED_EDGE('',*,*,#214396,.F.); #293778=ORIENTED_EDGE('',*,*,#214399,.F.); #293779=ORIENTED_EDGE('',*,*,#214402,.F.); #293780=ORIENTED_EDGE('',*,*,#214405,.F.); #293781=ORIENTED_EDGE('',*,*,#214408,.F.); #293782=ORIENTED_EDGE('',*,*,#214411,.F.); #293783=ORIENTED_EDGE('',*,*,#214414,.F.); #293784=ORIENTED_EDGE('',*,*,#214417,.F.); #293785=ORIENTED_EDGE('',*,*,#214420,.F.); #293786=ORIENTED_EDGE('',*,*,#214423,.F.); #293787=ORIENTED_EDGE('',*,*,#214426,.F.); #293788=ORIENTED_EDGE('',*,*,#214429,.F.); #293789=ORIENTED_EDGE('',*,*,#214432,.F.); #293790=ORIENTED_EDGE('',*,*,#214435,.F.); #293791=ORIENTED_EDGE('',*,*,#214438,.F.); #293792=ORIENTED_EDGE('',*,*,#214441,.F.); #293793=ORIENTED_EDGE('',*,*,#214444,.F.); #293794=ORIENTED_EDGE('',*,*,#214447,.F.); #293795=ORIENTED_EDGE('',*,*,#214450,.F.); #293796=ORIENTED_EDGE('',*,*,#214453,.F.); #293797=ORIENTED_EDGE('',*,*,#214456,.F.); #293798=ORIENTED_EDGE('',*,*,#214459,.F.); #293799=ORIENTED_EDGE('',*,*,#214462,.F.); #293800=ORIENTED_EDGE('',*,*,#209424,.T.); #293801=ORIENTED_EDGE('',*,*,#209427,.T.); #293802=ORIENTED_EDGE('',*,*,#209430,.T.); #293803=ORIENTED_EDGE('',*,*,#209433,.T.); #293804=ORIENTED_EDGE('',*,*,#209436,.T.); #293805=ORIENTED_EDGE('',*,*,#209439,.T.); #293806=ORIENTED_EDGE('',*,*,#209442,.T.); #293807=ORIENTED_EDGE('',*,*,#209445,.T.); #293808=ORIENTED_EDGE('',*,*,#209448,.T.); #293809=ORIENTED_EDGE('',*,*,#209451,.T.); #293810=ORIENTED_EDGE('',*,*,#209454,.T.); #293811=ORIENTED_EDGE('',*,*,#209457,.T.); #293812=ORIENTED_EDGE('',*,*,#209460,.T.); #293813=ORIENTED_EDGE('',*,*,#209463,.T.); #293814=ORIENTED_EDGE('',*,*,#209466,.T.); #293815=ORIENTED_EDGE('',*,*,#209469,.T.); #293816=ORIENTED_EDGE('',*,*,#209472,.T.); #293817=ORIENTED_EDGE('',*,*,#209475,.T.); #293818=ORIENTED_EDGE('',*,*,#209478,.T.); #293819=ORIENTED_EDGE('',*,*,#209481,.T.); #293820=ORIENTED_EDGE('',*,*,#209484,.T.); #293821=ORIENTED_EDGE('',*,*,#209487,.T.); #293822=ORIENTED_EDGE('',*,*,#209490,.T.); #293823=ORIENTED_EDGE('',*,*,#209493,.T.); #293824=ORIENTED_EDGE('',*,*,#209496,.T.); #293825=ORIENTED_EDGE('',*,*,#209499,.T.); #293826=ORIENTED_EDGE('',*,*,#209502,.T.); #293827=ORIENTED_EDGE('',*,*,#209505,.T.); #293828=ORIENTED_EDGE('',*,*,#209508,.T.); #293829=ORIENTED_EDGE('',*,*,#209511,.T.); #293830=ORIENTED_EDGE('',*,*,#209514,.T.); #293831=ORIENTED_EDGE('',*,*,#209517,.T.); #293832=ORIENTED_EDGE('',*,*,#209520,.T.); #293833=ORIENTED_EDGE('',*,*,#209523,.T.); #293834=ORIENTED_EDGE('',*,*,#209526,.T.); #293835=ORIENTED_EDGE('',*,*,#209529,.T.); #293836=ORIENTED_EDGE('',*,*,#209532,.T.); #293837=ORIENTED_EDGE('',*,*,#209535,.T.); #293838=ORIENTED_EDGE('',*,*,#209538,.T.); #293839=ORIENTED_EDGE('',*,*,#209541,.T.); #293840=ORIENTED_EDGE('',*,*,#209544,.T.); #293841=ORIENTED_EDGE('',*,*,#209547,.T.); #293842=ORIENTED_EDGE('',*,*,#209550,.T.); #293843=ORIENTED_EDGE('',*,*,#209553,.T.); #293844=ORIENTED_EDGE('',*,*,#209556,.T.); #293845=ORIENTED_EDGE('',*,*,#209559,.T.); #293846=ORIENTED_EDGE('',*,*,#209562,.T.); #293847=ORIENTED_EDGE('',*,*,#209565,.T.); #293848=ORIENTED_EDGE('',*,*,#209568,.T.); #293849=ORIENTED_EDGE('',*,*,#209571,.T.); #293850=ORIENTED_EDGE('',*,*,#209574,.T.); #293851=ORIENTED_EDGE('',*,*,#209577,.T.); #293852=ORIENTED_EDGE('',*,*,#209580,.T.); #293853=ORIENTED_EDGE('',*,*,#209583,.T.); #293854=ORIENTED_EDGE('',*,*,#209586,.T.); #293855=ORIENTED_EDGE('',*,*,#209589,.T.); #293856=ORIENTED_EDGE('',*,*,#209592,.T.); #293857=ORIENTED_EDGE('',*,*,#209595,.T.); #293858=ORIENTED_EDGE('',*,*,#209598,.T.); #293859=ORIENTED_EDGE('',*,*,#209601,.T.); #293860=ORIENTED_EDGE('',*,*,#209604,.T.); #293861=ORIENTED_EDGE('',*,*,#209607,.T.); #293862=ORIENTED_EDGE('',*,*,#209610,.T.); #293863=ORIENTED_EDGE('',*,*,#209613,.T.); #293864=ORIENTED_EDGE('',*,*,#209624,.T.); #293865=ORIENTED_EDGE('',*,*,#209621,.T.); #293866=ORIENTED_EDGE('',*,*,#209618,.T.); #293867=ORIENTED_EDGE('',*,*,#209627,.T.); #293868=ORIENTED_EDGE('',*,*,#209636,.T.); #293869=ORIENTED_EDGE('',*,*,#209633,.T.); #293870=ORIENTED_EDGE('',*,*,#209630,.T.); #293871=ORIENTED_EDGE('',*,*,#209639,.T.); #293872=ORIENTED_EDGE('',*,*,#209640,.T.); #293873=ORIENTED_EDGE('',*,*,#209643,.T.); #293874=ORIENTED_EDGE('',*,*,#209654,.T.); #293875=ORIENTED_EDGE('',*,*,#209651,.T.); #293876=ORIENTED_EDGE('',*,*,#209648,.T.); #293877=ORIENTED_EDGE('',*,*,#209657,.T.); #293878=ORIENTED_EDGE('',*,*,#209658,.T.); #293879=ORIENTED_EDGE('',*,*,#209661,.T.); #293880=ORIENTED_EDGE('',*,*,#209664,.T.); #293881=ORIENTED_EDGE('',*,*,#209667,.T.); #293882=ORIENTED_EDGE('',*,*,#209670,.T.); #293883=ORIENTED_EDGE('',*,*,#209681,.T.); #293884=ORIENTED_EDGE('',*,*,#209678,.T.); #293885=ORIENTED_EDGE('',*,*,#209675,.T.); #293886=ORIENTED_EDGE('',*,*,#209684,.T.); #293887=ORIENTED_EDGE('',*,*,#209685,.T.); #293888=ORIENTED_EDGE('',*,*,#209696,.T.); #293889=ORIENTED_EDGE('',*,*,#209693,.T.); #293890=ORIENTED_EDGE('',*,*,#209690,.T.); #293891=ORIENTED_EDGE('',*,*,#209699,.T.); #293892=ORIENTED_EDGE('',*,*,#209708,.T.); #293893=ORIENTED_EDGE('',*,*,#209705,.T.); #293894=ORIENTED_EDGE('',*,*,#209702,.T.); #293895=ORIENTED_EDGE('',*,*,#209711,.T.); #293896=ORIENTED_EDGE('',*,*,#209712,.T.); #293897=ORIENTED_EDGE('',*,*,#209715,.T.); #293898=ORIENTED_EDGE('',*,*,#209718,.T.); #293899=ORIENTED_EDGE('',*,*,#209721,.T.); #293900=ORIENTED_EDGE('',*,*,#209724,.T.); #293901=ORIENTED_EDGE('',*,*,#209727,.T.); #293902=ORIENTED_EDGE('',*,*,#209730,.T.); #293903=ORIENTED_EDGE('',*,*,#209733,.T.); #293904=ORIENTED_EDGE('',*,*,#209736,.T.); #293905=ORIENTED_EDGE('',*,*,#209739,.T.); #293906=ORIENTED_EDGE('',*,*,#209742,.T.); #293907=ORIENTED_EDGE('',*,*,#209745,.T.); #293908=ORIENTED_EDGE('',*,*,#209748,.T.); #293909=ORIENTED_EDGE('',*,*,#209751,.T.); #293910=ORIENTED_EDGE('',*,*,#209754,.T.); #293911=ORIENTED_EDGE('',*,*,#209757,.T.); #293912=ORIENTED_EDGE('',*,*,#209760,.T.); #293913=ORIENTED_EDGE('',*,*,#209763,.T.); #293914=ORIENTED_EDGE('',*,*,#209766,.T.); #293915=ORIENTED_EDGE('',*,*,#209769,.T.); #293916=ORIENTED_EDGE('',*,*,#209772,.T.); #293917=ORIENTED_EDGE('',*,*,#209775,.T.); #293918=ORIENTED_EDGE('',*,*,#209778,.T.); #293919=ORIENTED_EDGE('',*,*,#209781,.T.); #293920=ORIENTED_EDGE('',*,*,#209784,.T.); #293921=ORIENTED_EDGE('',*,*,#209787,.T.); #293922=ORIENTED_EDGE('',*,*,#209790,.T.); #293923=ORIENTED_EDGE('',*,*,#209793,.T.); #293924=ORIENTED_EDGE('',*,*,#209796,.T.); #293925=ORIENTED_EDGE('',*,*,#209799,.T.); #293926=ORIENTED_EDGE('',*,*,#209802,.T.); #293927=ORIENTED_EDGE('',*,*,#209805,.T.); #293928=ORIENTED_EDGE('',*,*,#209808,.T.); #293929=ORIENTED_EDGE('',*,*,#209811,.T.); #293930=ORIENTED_EDGE('',*,*,#209814,.T.); #293931=ORIENTED_EDGE('',*,*,#209817,.T.); #293932=ORIENTED_EDGE('',*,*,#209820,.T.); #293933=ORIENTED_EDGE('',*,*,#209823,.T.); #293934=ORIENTED_EDGE('',*,*,#209826,.T.); #293935=ORIENTED_EDGE('',*,*,#209829,.T.); #293936=ORIENTED_EDGE('',*,*,#209832,.T.); #293937=ORIENTED_EDGE('',*,*,#209835,.T.); #293938=ORIENTED_EDGE('',*,*,#209838,.T.); #293939=ORIENTED_EDGE('',*,*,#209841,.T.); #293940=ORIENTED_EDGE('',*,*,#209844,.T.); #293941=ORIENTED_EDGE('',*,*,#209847,.T.); #293942=ORIENTED_EDGE('',*,*,#209850,.T.); #293943=ORIENTED_EDGE('',*,*,#209853,.T.); #293944=ORIENTED_EDGE('',*,*,#209856,.T.); #293945=ORIENTED_EDGE('',*,*,#209859,.T.); #293946=ORIENTED_EDGE('',*,*,#209862,.T.); #293947=ORIENTED_EDGE('',*,*,#209865,.T.); #293948=ORIENTED_EDGE('',*,*,#214105,.F.); #293949=ORIENTED_EDGE('',*,*,#214017,.F.); #293950=ORIENTED_EDGE('',*,*,#214021,.F.); #293951=ORIENTED_EDGE('',*,*,#214024,.F.); #293952=ORIENTED_EDGE('',*,*,#214027,.F.); #293953=ORIENTED_EDGE('',*,*,#214030,.F.); #293954=ORIENTED_EDGE('',*,*,#214033,.F.); #293955=ORIENTED_EDGE('',*,*,#214036,.F.); #293956=ORIENTED_EDGE('',*,*,#214039,.F.); #293957=ORIENTED_EDGE('',*,*,#214042,.F.); #293958=ORIENTED_EDGE('',*,*,#214045,.F.); #293959=ORIENTED_EDGE('',*,*,#214048,.F.); #293960=ORIENTED_EDGE('',*,*,#214051,.F.); #293961=ORIENTED_EDGE('',*,*,#214054,.F.); #293962=ORIENTED_EDGE('',*,*,#214057,.F.); #293963=ORIENTED_EDGE('',*,*,#214060,.F.); #293964=ORIENTED_EDGE('',*,*,#214063,.F.); #293965=ORIENTED_EDGE('',*,*,#214066,.F.); #293966=ORIENTED_EDGE('',*,*,#214069,.F.); #293967=ORIENTED_EDGE('',*,*,#214072,.F.); #293968=ORIENTED_EDGE('',*,*,#214075,.F.); #293969=ORIENTED_EDGE('',*,*,#214078,.F.); #293970=ORIENTED_EDGE('',*,*,#214081,.F.); #293971=ORIENTED_EDGE('',*,*,#214084,.F.); #293972=ORIENTED_EDGE('',*,*,#214087,.F.); #293973=ORIENTED_EDGE('',*,*,#214090,.F.); #293974=ORIENTED_EDGE('',*,*,#214093,.F.); #293975=ORIENTED_EDGE('',*,*,#214096,.F.); #293976=ORIENTED_EDGE('',*,*,#214099,.F.); #293977=ORIENTED_EDGE('',*,*,#214102,.F.); #293978=ORIENTED_EDGE('',*,*,#214015,.F.); #293979=ORIENTED_EDGE('',*,*,#213927,.F.); #293980=ORIENTED_EDGE('',*,*,#213931,.F.); #293981=ORIENTED_EDGE('',*,*,#213934,.F.); #293982=ORIENTED_EDGE('',*,*,#213937,.F.); #293983=ORIENTED_EDGE('',*,*,#213940,.F.); #293984=ORIENTED_EDGE('',*,*,#213943,.F.); #293985=ORIENTED_EDGE('',*,*,#213946,.F.); #293986=ORIENTED_EDGE('',*,*,#213949,.F.); #293987=ORIENTED_EDGE('',*,*,#213952,.F.); #293988=ORIENTED_EDGE('',*,*,#213955,.F.); #293989=ORIENTED_EDGE('',*,*,#213958,.F.); #293990=ORIENTED_EDGE('',*,*,#213961,.F.); #293991=ORIENTED_EDGE('',*,*,#213964,.F.); #293992=ORIENTED_EDGE('',*,*,#213967,.F.); #293993=ORIENTED_EDGE('',*,*,#213970,.F.); #293994=ORIENTED_EDGE('',*,*,#213973,.F.); #293995=ORIENTED_EDGE('',*,*,#213976,.F.); #293996=ORIENTED_EDGE('',*,*,#213979,.F.); #293997=ORIENTED_EDGE('',*,*,#213982,.F.); #293998=ORIENTED_EDGE('',*,*,#213985,.F.); #293999=ORIENTED_EDGE('',*,*,#213988,.F.); #294000=ORIENTED_EDGE('',*,*,#213991,.F.); #294001=ORIENTED_EDGE('',*,*,#213994,.F.); #294002=ORIENTED_EDGE('',*,*,#213997,.F.); #294003=ORIENTED_EDGE('',*,*,#214000,.F.); #294004=ORIENTED_EDGE('',*,*,#214003,.F.); #294005=ORIENTED_EDGE('',*,*,#214006,.F.); #294006=ORIENTED_EDGE('',*,*,#214009,.F.); #294007=ORIENTED_EDGE('',*,*,#214012,.F.); #294008=ORIENTED_EDGE('',*,*,#213925,.F.); #294009=ORIENTED_EDGE('',*,*,#213837,.F.); #294010=ORIENTED_EDGE('',*,*,#213841,.F.); #294011=ORIENTED_EDGE('',*,*,#213844,.F.); #294012=ORIENTED_EDGE('',*,*,#213847,.F.); #294013=ORIENTED_EDGE('',*,*,#213850,.F.); #294014=ORIENTED_EDGE('',*,*,#213853,.F.); #294015=ORIENTED_EDGE('',*,*,#213856,.F.); #294016=ORIENTED_EDGE('',*,*,#213859,.F.); #294017=ORIENTED_EDGE('',*,*,#213862,.F.); #294018=ORIENTED_EDGE('',*,*,#213865,.F.); #294019=ORIENTED_EDGE('',*,*,#213868,.F.); #294020=ORIENTED_EDGE('',*,*,#213871,.F.); #294021=ORIENTED_EDGE('',*,*,#213874,.F.); #294022=ORIENTED_EDGE('',*,*,#213877,.F.); #294023=ORIENTED_EDGE('',*,*,#213880,.F.); #294024=ORIENTED_EDGE('',*,*,#213883,.F.); #294025=ORIENTED_EDGE('',*,*,#213886,.F.); #294026=ORIENTED_EDGE('',*,*,#213889,.F.); #294027=ORIENTED_EDGE('',*,*,#213892,.F.); #294028=ORIENTED_EDGE('',*,*,#213895,.F.); #294029=ORIENTED_EDGE('',*,*,#213898,.F.); #294030=ORIENTED_EDGE('',*,*,#213901,.F.); #294031=ORIENTED_EDGE('',*,*,#213904,.F.); #294032=ORIENTED_EDGE('',*,*,#213907,.F.); #294033=ORIENTED_EDGE('',*,*,#213910,.F.); #294034=ORIENTED_EDGE('',*,*,#213913,.F.); #294035=ORIENTED_EDGE('',*,*,#213916,.F.); #294036=ORIENTED_EDGE('',*,*,#213919,.F.); #294037=ORIENTED_EDGE('',*,*,#213922,.F.); #294038=ORIENTED_EDGE('',*,*,#213835,.F.); #294039=ORIENTED_EDGE('',*,*,#213084,.F.); #294040=ORIENTED_EDGE('',*,*,#213088,.F.); #294041=ORIENTED_EDGE('',*,*,#213091,.F.); #294042=ORIENTED_EDGE('',*,*,#213094,.F.); #294043=ORIENTED_EDGE('',*,*,#213097,.F.); #294044=ORIENTED_EDGE('',*,*,#213100,.F.); #294045=ORIENTED_EDGE('',*,*,#213103,.F.); #294046=ORIENTED_EDGE('',*,*,#213106,.F.); #294047=ORIENTED_EDGE('',*,*,#213109,.F.); #294048=ORIENTED_EDGE('',*,*,#213112,.F.); #294049=ORIENTED_EDGE('',*,*,#213115,.F.); #294050=ORIENTED_EDGE('',*,*,#213118,.F.); #294051=ORIENTED_EDGE('',*,*,#213121,.F.); #294052=ORIENTED_EDGE('',*,*,#213124,.F.); #294053=ORIENTED_EDGE('',*,*,#213127,.F.); #294054=ORIENTED_EDGE('',*,*,#213130,.F.); #294055=ORIENTED_EDGE('',*,*,#213133,.F.); #294056=ORIENTED_EDGE('',*,*,#213136,.F.); #294057=ORIENTED_EDGE('',*,*,#213139,.F.); #294058=ORIENTED_EDGE('',*,*,#213142,.F.); #294059=ORIENTED_EDGE('',*,*,#213145,.F.); #294060=ORIENTED_EDGE('',*,*,#213148,.F.); #294061=ORIENTED_EDGE('',*,*,#213151,.F.); #294062=ORIENTED_EDGE('',*,*,#213154,.F.); #294063=ORIENTED_EDGE('',*,*,#213157,.F.); #294064=ORIENTED_EDGE('',*,*,#213160,.F.); #294065=ORIENTED_EDGE('',*,*,#213163,.F.); #294066=ORIENTED_EDGE('',*,*,#213166,.F.); #294067=ORIENTED_EDGE('',*,*,#213169,.F.); #294068=ORIENTED_EDGE('',*,*,#213172,.F.); #294069=ORIENTED_EDGE('',*,*,#213175,.F.); #294070=ORIENTED_EDGE('',*,*,#213178,.F.); #294071=ORIENTED_EDGE('',*,*,#213181,.F.); #294072=ORIENTED_EDGE('',*,*,#213184,.F.); #294073=ORIENTED_EDGE('',*,*,#213187,.F.); #294074=ORIENTED_EDGE('',*,*,#213190,.F.); #294075=ORIENTED_EDGE('',*,*,#213193,.F.); #294076=ORIENTED_EDGE('',*,*,#213196,.F.); #294077=ORIENTED_EDGE('',*,*,#213199,.F.); #294078=ORIENTED_EDGE('',*,*,#213202,.F.); #294079=ORIENTED_EDGE('',*,*,#213205,.F.); #294080=ORIENTED_EDGE('',*,*,#213208,.F.); #294081=ORIENTED_EDGE('',*,*,#213211,.F.); #294082=ORIENTED_EDGE('',*,*,#213214,.F.); #294083=ORIENTED_EDGE('',*,*,#213217,.F.); #294084=ORIENTED_EDGE('',*,*,#213220,.F.); #294085=ORIENTED_EDGE('',*,*,#213223,.F.); #294086=ORIENTED_EDGE('',*,*,#213226,.F.); #294087=ORIENTED_EDGE('',*,*,#213229,.F.); #294088=ORIENTED_EDGE('',*,*,#213232,.F.); #294089=ORIENTED_EDGE('',*,*,#213235,.F.); #294090=ORIENTED_EDGE('',*,*,#213238,.F.); #294091=ORIENTED_EDGE('',*,*,#213241,.F.); #294092=ORIENTED_EDGE('',*,*,#213244,.F.); #294093=ORIENTED_EDGE('',*,*,#213247,.F.); #294094=ORIENTED_EDGE('',*,*,#213250,.F.); #294095=ORIENTED_EDGE('',*,*,#213253,.F.); #294096=ORIENTED_EDGE('',*,*,#213256,.F.); #294097=ORIENTED_EDGE('',*,*,#213259,.F.); #294098=ORIENTED_EDGE('',*,*,#213262,.F.); #294099=ORIENTED_EDGE('',*,*,#213265,.F.); #294100=ORIENTED_EDGE('',*,*,#213268,.F.); #294101=ORIENTED_EDGE('',*,*,#213271,.F.); #294102=ORIENTED_EDGE('',*,*,#213274,.F.); #294103=ORIENTED_EDGE('',*,*,#213277,.F.); #294104=ORIENTED_EDGE('',*,*,#213280,.F.); #294105=ORIENTED_EDGE('',*,*,#213283,.F.); #294106=ORIENTED_EDGE('',*,*,#213286,.F.); #294107=ORIENTED_EDGE('',*,*,#213289,.F.); #294108=ORIENTED_EDGE('',*,*,#213292,.F.); #294109=ORIENTED_EDGE('',*,*,#213295,.F.); #294110=ORIENTED_EDGE('',*,*,#213298,.F.); #294111=ORIENTED_EDGE('',*,*,#213301,.F.); #294112=ORIENTED_EDGE('',*,*,#213304,.F.); #294113=ORIENTED_EDGE('',*,*,#213307,.F.); #294114=ORIENTED_EDGE('',*,*,#213310,.F.); #294115=ORIENTED_EDGE('',*,*,#213313,.F.); #294116=ORIENTED_EDGE('',*,*,#213316,.F.); #294117=ORIENTED_EDGE('',*,*,#213319,.F.); #294118=ORIENTED_EDGE('',*,*,#213322,.F.); #294119=ORIENTED_EDGE('',*,*,#213325,.F.); #294120=ORIENTED_EDGE('',*,*,#213328,.F.); #294121=ORIENTED_EDGE('',*,*,#213331,.F.); #294122=ORIENTED_EDGE('',*,*,#213334,.F.); #294123=ORIENTED_EDGE('',*,*,#213337,.F.); #294124=ORIENTED_EDGE('',*,*,#213340,.F.); #294125=ORIENTED_EDGE('',*,*,#213343,.F.); #294126=ORIENTED_EDGE('',*,*,#213346,.F.); #294127=ORIENTED_EDGE('',*,*,#213349,.F.); #294128=ORIENTED_EDGE('',*,*,#213352,.F.); #294129=ORIENTED_EDGE('',*,*,#213355,.F.); #294130=ORIENTED_EDGE('',*,*,#213358,.F.); #294131=ORIENTED_EDGE('',*,*,#213361,.F.); #294132=ORIENTED_EDGE('',*,*,#213364,.F.); #294133=ORIENTED_EDGE('',*,*,#213367,.F.); #294134=ORIENTED_EDGE('',*,*,#213370,.F.); #294135=ORIENTED_EDGE('',*,*,#213373,.F.); #294136=ORIENTED_EDGE('',*,*,#213376,.F.); #294137=ORIENTED_EDGE('',*,*,#213379,.F.); #294138=ORIENTED_EDGE('',*,*,#213382,.F.); #294139=ORIENTED_EDGE('',*,*,#213385,.F.); #294140=ORIENTED_EDGE('',*,*,#213388,.F.); #294141=ORIENTED_EDGE('',*,*,#213391,.F.); #294142=ORIENTED_EDGE('',*,*,#213394,.F.); #294143=ORIENTED_EDGE('',*,*,#213397,.F.); #294144=ORIENTED_EDGE('',*,*,#213400,.F.); #294145=ORIENTED_EDGE('',*,*,#213403,.F.); #294146=ORIENTED_EDGE('',*,*,#213406,.F.); #294147=ORIENTED_EDGE('',*,*,#213409,.F.); #294148=ORIENTED_EDGE('',*,*,#213412,.F.); #294149=ORIENTED_EDGE('',*,*,#213415,.F.); #294150=ORIENTED_EDGE('',*,*,#213418,.F.); #294151=ORIENTED_EDGE('',*,*,#213421,.F.); #294152=ORIENTED_EDGE('',*,*,#213424,.F.); #294153=ORIENTED_EDGE('',*,*,#213427,.F.); #294154=ORIENTED_EDGE('',*,*,#213430,.F.); #294155=ORIENTED_EDGE('',*,*,#213433,.F.); #294156=ORIENTED_EDGE('',*,*,#213436,.F.); #294157=ORIENTED_EDGE('',*,*,#213439,.F.); #294158=ORIENTED_EDGE('',*,*,#213442,.F.); #294159=ORIENTED_EDGE('',*,*,#213445,.F.); #294160=ORIENTED_EDGE('',*,*,#213448,.F.); #294161=ORIENTED_EDGE('',*,*,#213451,.F.); #294162=ORIENTED_EDGE('',*,*,#213454,.F.); #294163=ORIENTED_EDGE('',*,*,#213457,.F.); #294164=ORIENTED_EDGE('',*,*,#213460,.F.); #294165=ORIENTED_EDGE('',*,*,#213463,.F.); #294166=ORIENTED_EDGE('',*,*,#213466,.F.); #294167=ORIENTED_EDGE('',*,*,#213469,.F.); #294168=ORIENTED_EDGE('',*,*,#213472,.F.); #294169=ORIENTED_EDGE('',*,*,#213475,.F.); #294170=ORIENTED_EDGE('',*,*,#213478,.F.); #294171=ORIENTED_EDGE('',*,*,#213481,.F.); #294172=ORIENTED_EDGE('',*,*,#213484,.F.); #294173=ORIENTED_EDGE('',*,*,#213487,.F.); #294174=ORIENTED_EDGE('',*,*,#213490,.F.); #294175=ORIENTED_EDGE('',*,*,#213493,.F.); #294176=ORIENTED_EDGE('',*,*,#213496,.F.); #294177=ORIENTED_EDGE('',*,*,#213499,.F.); #294178=ORIENTED_EDGE('',*,*,#213502,.F.); #294179=ORIENTED_EDGE('',*,*,#213505,.F.); #294180=ORIENTED_EDGE('',*,*,#213508,.F.); #294181=ORIENTED_EDGE('',*,*,#213511,.F.); #294182=ORIENTED_EDGE('',*,*,#213514,.F.); #294183=ORIENTED_EDGE('',*,*,#213517,.F.); #294184=ORIENTED_EDGE('',*,*,#213520,.F.); #294185=ORIENTED_EDGE('',*,*,#213523,.F.); #294186=ORIENTED_EDGE('',*,*,#213526,.F.); #294187=ORIENTED_EDGE('',*,*,#213529,.F.); #294188=ORIENTED_EDGE('',*,*,#213532,.F.); #294189=ORIENTED_EDGE('',*,*,#213535,.F.); #294190=ORIENTED_EDGE('',*,*,#213538,.F.); #294191=ORIENTED_EDGE('',*,*,#213541,.F.); #294192=ORIENTED_EDGE('',*,*,#213544,.F.); #294193=ORIENTED_EDGE('',*,*,#213547,.F.); #294194=ORIENTED_EDGE('',*,*,#213550,.F.); #294195=ORIENTED_EDGE('',*,*,#213553,.F.); #294196=ORIENTED_EDGE('',*,*,#213556,.F.); #294197=ORIENTED_EDGE('',*,*,#213559,.F.); #294198=ORIENTED_EDGE('',*,*,#213562,.F.); #294199=ORIENTED_EDGE('',*,*,#213565,.F.); #294200=ORIENTED_EDGE('',*,*,#213568,.F.); #294201=ORIENTED_EDGE('',*,*,#213571,.F.); #294202=ORIENTED_EDGE('',*,*,#213574,.F.); #294203=ORIENTED_EDGE('',*,*,#213577,.F.); #294204=ORIENTED_EDGE('',*,*,#213580,.F.); #294205=ORIENTED_EDGE('',*,*,#213583,.F.); #294206=ORIENTED_EDGE('',*,*,#213586,.F.); #294207=ORIENTED_EDGE('',*,*,#213589,.F.); #294208=ORIENTED_EDGE('',*,*,#213592,.F.); #294209=ORIENTED_EDGE('',*,*,#213595,.F.); #294210=ORIENTED_EDGE('',*,*,#213598,.F.); #294211=ORIENTED_EDGE('',*,*,#213601,.F.); #294212=ORIENTED_EDGE('',*,*,#213604,.F.); #294213=ORIENTED_EDGE('',*,*,#213607,.F.); #294214=ORIENTED_EDGE('',*,*,#213610,.F.); #294215=ORIENTED_EDGE('',*,*,#213613,.F.); #294216=ORIENTED_EDGE('',*,*,#213616,.F.); #294217=ORIENTED_EDGE('',*,*,#213619,.F.); #294218=ORIENTED_EDGE('',*,*,#213622,.F.); #294219=ORIENTED_EDGE('',*,*,#213625,.F.); #294220=ORIENTED_EDGE('',*,*,#213628,.F.); #294221=ORIENTED_EDGE('',*,*,#213631,.F.); #294222=ORIENTED_EDGE('',*,*,#213634,.F.); #294223=ORIENTED_EDGE('',*,*,#213637,.F.); #294224=ORIENTED_EDGE('',*,*,#213640,.F.); #294225=ORIENTED_EDGE('',*,*,#213643,.F.); #294226=ORIENTED_EDGE('',*,*,#213646,.F.); #294227=ORIENTED_EDGE('',*,*,#213649,.F.); #294228=ORIENTED_EDGE('',*,*,#213652,.F.); #294229=ORIENTED_EDGE('',*,*,#213655,.F.); #294230=ORIENTED_EDGE('',*,*,#213658,.F.); #294231=ORIENTED_EDGE('',*,*,#213661,.F.); #294232=ORIENTED_EDGE('',*,*,#213664,.F.); #294233=ORIENTED_EDGE('',*,*,#213667,.F.); #294234=ORIENTED_EDGE('',*,*,#213670,.F.); #294235=ORIENTED_EDGE('',*,*,#213673,.F.); #294236=ORIENTED_EDGE('',*,*,#213676,.F.); #294237=ORIENTED_EDGE('',*,*,#213679,.F.); #294238=ORIENTED_EDGE('',*,*,#213682,.F.); #294239=ORIENTED_EDGE('',*,*,#213685,.F.); #294240=ORIENTED_EDGE('',*,*,#213688,.F.); #294241=ORIENTED_EDGE('',*,*,#213691,.F.); #294242=ORIENTED_EDGE('',*,*,#213694,.F.); #294243=ORIENTED_EDGE('',*,*,#213697,.F.); #294244=ORIENTED_EDGE('',*,*,#213700,.F.); #294245=ORIENTED_EDGE('',*,*,#213703,.F.); #294246=ORIENTED_EDGE('',*,*,#213706,.F.); #294247=ORIENTED_EDGE('',*,*,#213709,.F.); #294248=ORIENTED_EDGE('',*,*,#213712,.F.); #294249=ORIENTED_EDGE('',*,*,#213715,.F.); #294250=ORIENTED_EDGE('',*,*,#213718,.F.); #294251=ORIENTED_EDGE('',*,*,#213721,.F.); #294252=ORIENTED_EDGE('',*,*,#213724,.F.); #294253=ORIENTED_EDGE('',*,*,#213727,.F.); #294254=ORIENTED_EDGE('',*,*,#213730,.F.); #294255=ORIENTED_EDGE('',*,*,#213733,.F.); #294256=ORIENTED_EDGE('',*,*,#213736,.F.); #294257=ORIENTED_EDGE('',*,*,#213739,.F.); #294258=ORIENTED_EDGE('',*,*,#213742,.F.); #294259=ORIENTED_EDGE('',*,*,#213745,.F.); #294260=ORIENTED_EDGE('',*,*,#213748,.F.); #294261=ORIENTED_EDGE('',*,*,#213751,.F.); #294262=ORIENTED_EDGE('',*,*,#213754,.F.); #294263=ORIENTED_EDGE('',*,*,#213757,.F.); #294264=ORIENTED_EDGE('',*,*,#213760,.F.); #294265=ORIENTED_EDGE('',*,*,#213763,.F.); #294266=ORIENTED_EDGE('',*,*,#213766,.F.); #294267=ORIENTED_EDGE('',*,*,#213769,.F.); #294268=ORIENTED_EDGE('',*,*,#213772,.F.); #294269=ORIENTED_EDGE('',*,*,#213775,.F.); #294270=ORIENTED_EDGE('',*,*,#213778,.F.); #294271=ORIENTED_EDGE('',*,*,#213781,.F.); #294272=ORIENTED_EDGE('',*,*,#213784,.F.); #294273=ORIENTED_EDGE('',*,*,#213787,.F.); #294274=ORIENTED_EDGE('',*,*,#213790,.F.); #294275=ORIENTED_EDGE('',*,*,#213793,.F.); #294276=ORIENTED_EDGE('',*,*,#213796,.F.); #294277=ORIENTED_EDGE('',*,*,#213799,.F.); #294278=ORIENTED_EDGE('',*,*,#213802,.F.); #294279=ORIENTED_EDGE('',*,*,#213805,.F.); #294280=ORIENTED_EDGE('',*,*,#213808,.F.); #294281=ORIENTED_EDGE('',*,*,#213811,.F.); #294282=ORIENTED_EDGE('',*,*,#213814,.F.); #294283=ORIENTED_EDGE('',*,*,#213817,.F.); #294284=ORIENTED_EDGE('',*,*,#213820,.F.); #294285=ORIENTED_EDGE('',*,*,#213823,.F.); #294286=ORIENTED_EDGE('',*,*,#213826,.F.); #294287=ORIENTED_EDGE('',*,*,#213829,.F.); #294288=ORIENTED_EDGE('',*,*,#213832,.F.); #294289=ORIENTED_EDGE('',*,*,#213082,.F.); #294290=ORIENTED_EDGE('',*,*,#212784,.F.); #294291=ORIENTED_EDGE('',*,*,#212788,.F.); #294292=ORIENTED_EDGE('',*,*,#212791,.F.); #294293=ORIENTED_EDGE('',*,*,#212794,.F.); #294294=ORIENTED_EDGE('',*,*,#212797,.F.); #294295=ORIENTED_EDGE('',*,*,#212800,.F.); #294296=ORIENTED_EDGE('',*,*,#212803,.F.); #294297=ORIENTED_EDGE('',*,*,#212806,.F.); #294298=ORIENTED_EDGE('',*,*,#212809,.F.); #294299=ORIENTED_EDGE('',*,*,#212812,.F.); #294300=ORIENTED_EDGE('',*,*,#212815,.F.); #294301=ORIENTED_EDGE('',*,*,#212818,.F.); #294302=ORIENTED_EDGE('',*,*,#212821,.F.); #294303=ORIENTED_EDGE('',*,*,#212824,.F.); #294304=ORIENTED_EDGE('',*,*,#212827,.F.); #294305=ORIENTED_EDGE('',*,*,#212830,.F.); #294306=ORIENTED_EDGE('',*,*,#212833,.F.); #294307=ORIENTED_EDGE('',*,*,#212836,.F.); #294308=ORIENTED_EDGE('',*,*,#212839,.F.); #294309=ORIENTED_EDGE('',*,*,#212842,.F.); #294310=ORIENTED_EDGE('',*,*,#212845,.F.); #294311=ORIENTED_EDGE('',*,*,#212848,.F.); #294312=ORIENTED_EDGE('',*,*,#212851,.F.); #294313=ORIENTED_EDGE('',*,*,#212854,.F.); #294314=ORIENTED_EDGE('',*,*,#212857,.F.); #294315=ORIENTED_EDGE('',*,*,#212860,.F.); #294316=ORIENTED_EDGE('',*,*,#212863,.F.); #294317=ORIENTED_EDGE('',*,*,#212866,.F.); #294318=ORIENTED_EDGE('',*,*,#212869,.F.); #294319=ORIENTED_EDGE('',*,*,#212872,.F.); #294320=ORIENTED_EDGE('',*,*,#212875,.F.); #294321=ORIENTED_EDGE('',*,*,#212878,.F.); #294322=ORIENTED_EDGE('',*,*,#212881,.F.); #294323=ORIENTED_EDGE('',*,*,#212884,.F.); #294324=ORIENTED_EDGE('',*,*,#212887,.F.); #294325=ORIENTED_EDGE('',*,*,#212890,.F.); #294326=ORIENTED_EDGE('',*,*,#212893,.F.); #294327=ORIENTED_EDGE('',*,*,#212896,.F.); #294328=ORIENTED_EDGE('',*,*,#212899,.F.); #294329=ORIENTED_EDGE('',*,*,#212902,.F.); #294330=ORIENTED_EDGE('',*,*,#212905,.F.); #294331=ORIENTED_EDGE('',*,*,#212908,.F.); #294332=ORIENTED_EDGE('',*,*,#212911,.F.); #294333=ORIENTED_EDGE('',*,*,#212914,.F.); #294334=ORIENTED_EDGE('',*,*,#212917,.F.); #294335=ORIENTED_EDGE('',*,*,#212920,.F.); #294336=ORIENTED_EDGE('',*,*,#212923,.F.); #294337=ORIENTED_EDGE('',*,*,#212926,.F.); #294338=ORIENTED_EDGE('',*,*,#212929,.F.); #294339=ORIENTED_EDGE('',*,*,#212932,.F.); #294340=ORIENTED_EDGE('',*,*,#212935,.F.); #294341=ORIENTED_EDGE('',*,*,#212938,.F.); #294342=ORIENTED_EDGE('',*,*,#212941,.F.); #294343=ORIENTED_EDGE('',*,*,#212944,.F.); #294344=ORIENTED_EDGE('',*,*,#212947,.F.); #294345=ORIENTED_EDGE('',*,*,#212950,.F.); #294346=ORIENTED_EDGE('',*,*,#212953,.F.); #294347=ORIENTED_EDGE('',*,*,#212956,.F.); #294348=ORIENTED_EDGE('',*,*,#212959,.F.); #294349=ORIENTED_EDGE('',*,*,#212962,.F.); #294350=ORIENTED_EDGE('',*,*,#212965,.F.); #294351=ORIENTED_EDGE('',*,*,#212968,.F.); #294352=ORIENTED_EDGE('',*,*,#212971,.F.); #294353=ORIENTED_EDGE('',*,*,#212974,.F.); #294354=ORIENTED_EDGE('',*,*,#212977,.F.); #294355=ORIENTED_EDGE('',*,*,#212980,.F.); #294356=ORIENTED_EDGE('',*,*,#212983,.F.); #294357=ORIENTED_EDGE('',*,*,#212986,.F.); #294358=ORIENTED_EDGE('',*,*,#212989,.F.); #294359=ORIENTED_EDGE('',*,*,#212992,.F.); #294360=ORIENTED_EDGE('',*,*,#212995,.F.); #294361=ORIENTED_EDGE('',*,*,#212998,.F.); #294362=ORIENTED_EDGE('',*,*,#213001,.F.); #294363=ORIENTED_EDGE('',*,*,#213004,.F.); #294364=ORIENTED_EDGE('',*,*,#213007,.F.); #294365=ORIENTED_EDGE('',*,*,#213010,.F.); #294366=ORIENTED_EDGE('',*,*,#213013,.F.); #294367=ORIENTED_EDGE('',*,*,#213016,.F.); #294368=ORIENTED_EDGE('',*,*,#213019,.F.); #294369=ORIENTED_EDGE('',*,*,#213022,.F.); #294370=ORIENTED_EDGE('',*,*,#213025,.F.); #294371=ORIENTED_EDGE('',*,*,#213028,.F.); #294372=ORIENTED_EDGE('',*,*,#213031,.F.); #294373=ORIENTED_EDGE('',*,*,#213034,.F.); #294374=ORIENTED_EDGE('',*,*,#213037,.F.); #294375=ORIENTED_EDGE('',*,*,#213040,.F.); #294376=ORIENTED_EDGE('',*,*,#213043,.F.); #294377=ORIENTED_EDGE('',*,*,#213046,.F.); #294378=ORIENTED_EDGE('',*,*,#213049,.F.); #294379=ORIENTED_EDGE('',*,*,#213052,.F.); #294380=ORIENTED_EDGE('',*,*,#213055,.F.); #294381=ORIENTED_EDGE('',*,*,#213058,.F.); #294382=ORIENTED_EDGE('',*,*,#213061,.F.); #294383=ORIENTED_EDGE('',*,*,#213064,.F.); #294384=ORIENTED_EDGE('',*,*,#213067,.F.); #294385=ORIENTED_EDGE('',*,*,#213070,.F.); #294386=ORIENTED_EDGE('',*,*,#213073,.F.); #294387=ORIENTED_EDGE('',*,*,#213076,.F.); #294388=ORIENTED_EDGE('',*,*,#213079,.F.); #294389=ORIENTED_EDGE('',*,*,#212782,.F.); #294390=ORIENTED_EDGE('',*,*,#210846,.F.); #294391=ORIENTED_EDGE('',*,*,#210850,.F.); #294392=ORIENTED_EDGE('',*,*,#210853,.F.); #294393=ORIENTED_EDGE('',*,*,#210856,.F.); #294394=ORIENTED_EDGE('',*,*,#210859,.F.); #294395=ORIENTED_EDGE('',*,*,#210862,.F.); #294396=ORIENTED_EDGE('',*,*,#210865,.F.); #294397=ORIENTED_EDGE('',*,*,#210868,.F.); #294398=ORIENTED_EDGE('',*,*,#210871,.F.); #294399=ORIENTED_EDGE('',*,*,#210874,.F.); #294400=ORIENTED_EDGE('',*,*,#210877,.F.); #294401=ORIENTED_EDGE('',*,*,#210880,.F.); #294402=ORIENTED_EDGE('',*,*,#210883,.F.); #294403=ORIENTED_EDGE('',*,*,#210886,.F.); #294404=ORIENTED_EDGE('',*,*,#210889,.F.); #294405=ORIENTED_EDGE('',*,*,#210892,.F.); #294406=ORIENTED_EDGE('',*,*,#210895,.F.); #294407=ORIENTED_EDGE('',*,*,#210898,.F.); #294408=ORIENTED_EDGE('',*,*,#210901,.F.); #294409=ORIENTED_EDGE('',*,*,#210904,.F.); #294410=ORIENTED_EDGE('',*,*,#210907,.F.); #294411=ORIENTED_EDGE('',*,*,#210910,.F.); #294412=ORIENTED_EDGE('',*,*,#210913,.F.); #294413=ORIENTED_EDGE('',*,*,#210916,.F.); #294414=ORIENTED_EDGE('',*,*,#210919,.F.); #294415=ORIENTED_EDGE('',*,*,#210922,.F.); #294416=ORIENTED_EDGE('',*,*,#210925,.F.); #294417=ORIENTED_EDGE('',*,*,#210928,.F.); #294418=ORIENTED_EDGE('',*,*,#210931,.F.); #294419=ORIENTED_EDGE('',*,*,#210934,.F.); #294420=ORIENTED_EDGE('',*,*,#210937,.F.); #294421=ORIENTED_EDGE('',*,*,#210940,.F.); #294422=ORIENTED_EDGE('',*,*,#210943,.F.); #294423=ORIENTED_EDGE('',*,*,#210946,.F.); #294424=ORIENTED_EDGE('',*,*,#210949,.F.); #294425=ORIENTED_EDGE('',*,*,#210952,.F.); #294426=ORIENTED_EDGE('',*,*,#210955,.F.); #294427=ORIENTED_EDGE('',*,*,#210958,.F.); #294428=ORIENTED_EDGE('',*,*,#210961,.F.); #294429=ORIENTED_EDGE('',*,*,#210964,.F.); #294430=ORIENTED_EDGE('',*,*,#210967,.F.); #294431=ORIENTED_EDGE('',*,*,#210970,.F.); #294432=ORIENTED_EDGE('',*,*,#210973,.F.); #294433=ORIENTED_EDGE('',*,*,#210976,.F.); #294434=ORIENTED_EDGE('',*,*,#210979,.F.); #294435=ORIENTED_EDGE('',*,*,#210982,.F.); #294436=ORIENTED_EDGE('',*,*,#210985,.F.); #294437=ORIENTED_EDGE('',*,*,#210988,.F.); #294438=ORIENTED_EDGE('',*,*,#210991,.F.); #294439=ORIENTED_EDGE('',*,*,#210994,.F.); #294440=ORIENTED_EDGE('',*,*,#210997,.F.); #294441=ORIENTED_EDGE('',*,*,#211000,.F.); #294442=ORIENTED_EDGE('',*,*,#211003,.F.); #294443=ORIENTED_EDGE('',*,*,#211006,.F.); #294444=ORIENTED_EDGE('',*,*,#211009,.F.); #294445=ORIENTED_EDGE('',*,*,#211012,.F.); #294446=ORIENTED_EDGE('',*,*,#211015,.F.); #294447=ORIENTED_EDGE('',*,*,#211018,.F.); #294448=ORIENTED_EDGE('',*,*,#211021,.F.); #294449=ORIENTED_EDGE('',*,*,#211024,.F.); #294450=ORIENTED_EDGE('',*,*,#211027,.F.); #294451=ORIENTED_EDGE('',*,*,#211030,.F.); #294452=ORIENTED_EDGE('',*,*,#211033,.F.); #294453=ORIENTED_EDGE('',*,*,#211036,.F.); #294454=ORIENTED_EDGE('',*,*,#211039,.F.); #294455=ORIENTED_EDGE('',*,*,#211042,.F.); #294456=ORIENTED_EDGE('',*,*,#211045,.F.); #294457=ORIENTED_EDGE('',*,*,#211048,.F.); #294458=ORIENTED_EDGE('',*,*,#211051,.F.); #294459=ORIENTED_EDGE('',*,*,#211054,.F.); #294460=ORIENTED_EDGE('',*,*,#211057,.F.); #294461=ORIENTED_EDGE('',*,*,#211060,.F.); #294462=ORIENTED_EDGE('',*,*,#211063,.F.); #294463=ORIENTED_EDGE('',*,*,#211066,.F.); #294464=ORIENTED_EDGE('',*,*,#211069,.F.); #294465=ORIENTED_EDGE('',*,*,#211072,.F.); #294466=ORIENTED_EDGE('',*,*,#211075,.F.); #294467=ORIENTED_EDGE('',*,*,#211078,.F.); #294468=ORIENTED_EDGE('',*,*,#211081,.F.); #294469=ORIENTED_EDGE('',*,*,#211084,.F.); #294470=ORIENTED_EDGE('',*,*,#211087,.F.); #294471=ORIENTED_EDGE('',*,*,#211090,.F.); #294472=ORIENTED_EDGE('',*,*,#211093,.F.); #294473=ORIENTED_EDGE('',*,*,#211096,.F.); #294474=ORIENTED_EDGE('',*,*,#211099,.F.); #294475=ORIENTED_EDGE('',*,*,#211102,.F.); #294476=ORIENTED_EDGE('',*,*,#211105,.F.); #294477=ORIENTED_EDGE('',*,*,#211108,.F.); #294478=ORIENTED_EDGE('',*,*,#211111,.F.); #294479=ORIENTED_EDGE('',*,*,#211114,.F.); #294480=ORIENTED_EDGE('',*,*,#211117,.F.); #294481=ORIENTED_EDGE('',*,*,#211120,.F.); #294482=ORIENTED_EDGE('',*,*,#211123,.F.); #294483=ORIENTED_EDGE('',*,*,#211126,.F.); #294484=ORIENTED_EDGE('',*,*,#211129,.F.); #294485=ORIENTED_EDGE('',*,*,#211132,.F.); #294486=ORIENTED_EDGE('',*,*,#211135,.F.); #294487=ORIENTED_EDGE('',*,*,#211138,.F.); #294488=ORIENTED_EDGE('',*,*,#211141,.F.); #294489=ORIENTED_EDGE('',*,*,#211144,.F.); #294490=ORIENTED_EDGE('',*,*,#211147,.F.); #294491=ORIENTED_EDGE('',*,*,#211150,.F.); #294492=ORIENTED_EDGE('',*,*,#211153,.F.); #294493=ORIENTED_EDGE('',*,*,#211156,.F.); #294494=ORIENTED_EDGE('',*,*,#211159,.F.); #294495=ORIENTED_EDGE('',*,*,#211162,.F.); #294496=ORIENTED_EDGE('',*,*,#211165,.F.); #294497=ORIENTED_EDGE('',*,*,#211168,.F.); #294498=ORIENTED_EDGE('',*,*,#211171,.F.); #294499=ORIENTED_EDGE('',*,*,#211174,.F.); #294500=ORIENTED_EDGE('',*,*,#211177,.F.); #294501=ORIENTED_EDGE('',*,*,#211180,.F.); #294502=ORIENTED_EDGE('',*,*,#211183,.F.); #294503=ORIENTED_EDGE('',*,*,#211186,.F.); #294504=ORIENTED_EDGE('',*,*,#211189,.F.); #294505=ORIENTED_EDGE('',*,*,#211192,.F.); #294506=ORIENTED_EDGE('',*,*,#211195,.F.); #294507=ORIENTED_EDGE('',*,*,#211198,.F.); #294508=ORIENTED_EDGE('',*,*,#211201,.F.); #294509=ORIENTED_EDGE('',*,*,#211204,.F.); #294510=ORIENTED_EDGE('',*,*,#211207,.F.); #294511=ORIENTED_EDGE('',*,*,#211210,.F.); #294512=ORIENTED_EDGE('',*,*,#211213,.F.); #294513=ORIENTED_EDGE('',*,*,#211216,.F.); #294514=ORIENTED_EDGE('',*,*,#211219,.F.); #294515=ORIENTED_EDGE('',*,*,#211222,.F.); #294516=ORIENTED_EDGE('',*,*,#211225,.F.); #294517=ORIENTED_EDGE('',*,*,#211228,.F.); #294518=ORIENTED_EDGE('',*,*,#211231,.F.); #294519=ORIENTED_EDGE('',*,*,#211234,.F.); #294520=ORIENTED_EDGE('',*,*,#211237,.F.); #294521=ORIENTED_EDGE('',*,*,#211240,.F.); #294522=ORIENTED_EDGE('',*,*,#211243,.F.); #294523=ORIENTED_EDGE('',*,*,#211246,.F.); #294524=ORIENTED_EDGE('',*,*,#211249,.F.); #294525=ORIENTED_EDGE('',*,*,#211252,.F.); #294526=ORIENTED_EDGE('',*,*,#211255,.F.); #294527=ORIENTED_EDGE('',*,*,#211258,.F.); #294528=ORIENTED_EDGE('',*,*,#211261,.F.); #294529=ORIENTED_EDGE('',*,*,#211264,.F.); #294530=ORIENTED_EDGE('',*,*,#211267,.F.); #294531=ORIENTED_EDGE('',*,*,#211270,.F.); #294532=ORIENTED_EDGE('',*,*,#211273,.F.); #294533=ORIENTED_EDGE('',*,*,#211276,.F.); #294534=ORIENTED_EDGE('',*,*,#211279,.F.); #294535=ORIENTED_EDGE('',*,*,#211282,.F.); #294536=ORIENTED_EDGE('',*,*,#211285,.F.); #294537=ORIENTED_EDGE('',*,*,#211288,.F.); #294538=ORIENTED_EDGE('',*,*,#211291,.F.); #294539=ORIENTED_EDGE('',*,*,#211294,.F.); #294540=ORIENTED_EDGE('',*,*,#211297,.F.); #294541=ORIENTED_EDGE('',*,*,#211300,.F.); #294542=ORIENTED_EDGE('',*,*,#211303,.F.); #294543=ORIENTED_EDGE('',*,*,#211306,.F.); #294544=ORIENTED_EDGE('',*,*,#211309,.F.); #294545=ORIENTED_EDGE('',*,*,#211312,.F.); #294546=ORIENTED_EDGE('',*,*,#211315,.F.); #294547=ORIENTED_EDGE('',*,*,#211318,.F.); #294548=ORIENTED_EDGE('',*,*,#211321,.F.); #294549=ORIENTED_EDGE('',*,*,#211324,.F.); #294550=ORIENTED_EDGE('',*,*,#211327,.F.); #294551=ORIENTED_EDGE('',*,*,#211330,.F.); #294552=ORIENTED_EDGE('',*,*,#211333,.F.); #294553=ORIENTED_EDGE('',*,*,#211336,.F.); #294554=ORIENTED_EDGE('',*,*,#211339,.F.); #294555=ORIENTED_EDGE('',*,*,#211342,.F.); #294556=ORIENTED_EDGE('',*,*,#211345,.F.); #294557=ORIENTED_EDGE('',*,*,#211348,.F.); #294558=ORIENTED_EDGE('',*,*,#211351,.F.); #294559=ORIENTED_EDGE('',*,*,#211354,.F.); #294560=ORIENTED_EDGE('',*,*,#211357,.F.); #294561=ORIENTED_EDGE('',*,*,#211360,.F.); #294562=ORIENTED_EDGE('',*,*,#211363,.F.); #294563=ORIENTED_EDGE('',*,*,#211366,.F.); #294564=ORIENTED_EDGE('',*,*,#211369,.F.); #294565=ORIENTED_EDGE('',*,*,#211372,.F.); #294566=ORIENTED_EDGE('',*,*,#211375,.F.); #294567=ORIENTED_EDGE('',*,*,#211378,.F.); #294568=ORIENTED_EDGE('',*,*,#211381,.F.); #294569=ORIENTED_EDGE('',*,*,#211384,.F.); #294570=ORIENTED_EDGE('',*,*,#211387,.F.); #294571=ORIENTED_EDGE('',*,*,#211390,.F.); #294572=ORIENTED_EDGE('',*,*,#211393,.F.); #294573=ORIENTED_EDGE('',*,*,#211396,.F.); #294574=ORIENTED_EDGE('',*,*,#211399,.F.); #294575=ORIENTED_EDGE('',*,*,#211402,.F.); #294576=ORIENTED_EDGE('',*,*,#211405,.F.); #294577=ORIENTED_EDGE('',*,*,#211408,.F.); #294578=ORIENTED_EDGE('',*,*,#211411,.F.); #294579=ORIENTED_EDGE('',*,*,#211414,.F.); #294580=ORIENTED_EDGE('',*,*,#211417,.F.); #294581=ORIENTED_EDGE('',*,*,#211420,.F.); #294582=ORIENTED_EDGE('',*,*,#211423,.F.); #294583=ORIENTED_EDGE('',*,*,#211426,.F.); #294584=ORIENTED_EDGE('',*,*,#211429,.F.); #294585=ORIENTED_EDGE('',*,*,#211432,.F.); #294586=ORIENTED_EDGE('',*,*,#211435,.F.); #294587=ORIENTED_EDGE('',*,*,#211438,.F.); #294588=ORIENTED_EDGE('',*,*,#211441,.F.); #294589=ORIENTED_EDGE('',*,*,#211444,.F.); #294590=ORIENTED_EDGE('',*,*,#211447,.F.); #294591=ORIENTED_EDGE('',*,*,#211450,.F.); #294592=ORIENTED_EDGE('',*,*,#211453,.F.); #294593=ORIENTED_EDGE('',*,*,#211456,.F.); #294594=ORIENTED_EDGE('',*,*,#211459,.F.); #294595=ORIENTED_EDGE('',*,*,#211462,.F.); #294596=ORIENTED_EDGE('',*,*,#211465,.F.); #294597=ORIENTED_EDGE('',*,*,#211468,.F.); #294598=ORIENTED_EDGE('',*,*,#211471,.F.); #294599=ORIENTED_EDGE('',*,*,#211474,.F.); #294600=ORIENTED_EDGE('',*,*,#211477,.F.); #294601=ORIENTED_EDGE('',*,*,#211480,.F.); #294602=ORIENTED_EDGE('',*,*,#211483,.F.); #294603=ORIENTED_EDGE('',*,*,#211486,.F.); #294604=ORIENTED_EDGE('',*,*,#211489,.F.); #294605=ORIENTED_EDGE('',*,*,#211492,.F.); #294606=ORIENTED_EDGE('',*,*,#211495,.F.); #294607=ORIENTED_EDGE('',*,*,#211498,.F.); #294608=ORIENTED_EDGE('',*,*,#211501,.F.); #294609=ORIENTED_EDGE('',*,*,#211504,.F.); #294610=ORIENTED_EDGE('',*,*,#211507,.F.); #294611=ORIENTED_EDGE('',*,*,#211510,.F.); #294612=ORIENTED_EDGE('',*,*,#211513,.F.); #294613=ORIENTED_EDGE('',*,*,#211516,.F.); #294614=ORIENTED_EDGE('',*,*,#211519,.F.); #294615=ORIENTED_EDGE('',*,*,#211522,.F.); #294616=ORIENTED_EDGE('',*,*,#211525,.F.); #294617=ORIENTED_EDGE('',*,*,#211528,.F.); #294618=ORIENTED_EDGE('',*,*,#211531,.F.); #294619=ORIENTED_EDGE('',*,*,#211534,.F.); #294620=ORIENTED_EDGE('',*,*,#211537,.F.); #294621=ORIENTED_EDGE('',*,*,#211540,.F.); #294622=ORIENTED_EDGE('',*,*,#211543,.F.); #294623=ORIENTED_EDGE('',*,*,#211546,.F.); #294624=ORIENTED_EDGE('',*,*,#211549,.F.); #294625=ORIENTED_EDGE('',*,*,#211552,.F.); #294626=ORIENTED_EDGE('',*,*,#211555,.F.); #294627=ORIENTED_EDGE('',*,*,#211558,.F.); #294628=ORIENTED_EDGE('',*,*,#211561,.F.); #294629=ORIENTED_EDGE('',*,*,#211564,.F.); #294630=ORIENTED_EDGE('',*,*,#211567,.F.); #294631=ORIENTED_EDGE('',*,*,#211570,.F.); #294632=ORIENTED_EDGE('',*,*,#211573,.F.); #294633=ORIENTED_EDGE('',*,*,#211576,.F.); #294634=ORIENTED_EDGE('',*,*,#211579,.F.); #294635=ORIENTED_EDGE('',*,*,#211582,.F.); #294636=ORIENTED_EDGE('',*,*,#211585,.F.); #294637=ORIENTED_EDGE('',*,*,#211588,.F.); #294638=ORIENTED_EDGE('',*,*,#211591,.F.); #294639=ORIENTED_EDGE('',*,*,#211594,.F.); #294640=ORIENTED_EDGE('',*,*,#211597,.F.); #294641=ORIENTED_EDGE('',*,*,#211600,.F.); #294642=ORIENTED_EDGE('',*,*,#211603,.F.); #294643=ORIENTED_EDGE('',*,*,#211606,.F.); #294644=ORIENTED_EDGE('',*,*,#211609,.F.); #294645=ORIENTED_EDGE('',*,*,#211612,.F.); #294646=ORIENTED_EDGE('',*,*,#211615,.F.); #294647=ORIENTED_EDGE('',*,*,#211618,.F.); #294648=ORIENTED_EDGE('',*,*,#211621,.F.); #294649=ORIENTED_EDGE('',*,*,#211624,.F.); #294650=ORIENTED_EDGE('',*,*,#211627,.F.); #294651=ORIENTED_EDGE('',*,*,#211630,.F.); #294652=ORIENTED_EDGE('',*,*,#211633,.F.); #294653=ORIENTED_EDGE('',*,*,#211636,.F.); #294654=ORIENTED_EDGE('',*,*,#211639,.F.); #294655=ORIENTED_EDGE('',*,*,#211642,.F.); #294656=ORIENTED_EDGE('',*,*,#211645,.F.); #294657=ORIENTED_EDGE('',*,*,#211648,.F.); #294658=ORIENTED_EDGE('',*,*,#211651,.F.); #294659=ORIENTED_EDGE('',*,*,#211654,.F.); #294660=ORIENTED_EDGE('',*,*,#211657,.F.); #294661=ORIENTED_EDGE('',*,*,#211660,.F.); #294662=ORIENTED_EDGE('',*,*,#211663,.F.); #294663=ORIENTED_EDGE('',*,*,#211666,.F.); #294664=ORIENTED_EDGE('',*,*,#211669,.F.); #294665=ORIENTED_EDGE('',*,*,#211672,.F.); #294666=ORIENTED_EDGE('',*,*,#211675,.F.); #294667=ORIENTED_EDGE('',*,*,#211678,.F.); #294668=ORIENTED_EDGE('',*,*,#211681,.F.); #294669=ORIENTED_EDGE('',*,*,#211684,.F.); #294670=ORIENTED_EDGE('',*,*,#211687,.F.); #294671=ORIENTED_EDGE('',*,*,#211690,.F.); #294672=ORIENTED_EDGE('',*,*,#211693,.F.); #294673=ORIENTED_EDGE('',*,*,#211696,.F.); #294674=ORIENTED_EDGE('',*,*,#211699,.F.); #294675=ORIENTED_EDGE('',*,*,#211702,.F.); #294676=ORIENTED_EDGE('',*,*,#211705,.F.); #294677=ORIENTED_EDGE('',*,*,#211708,.F.); #294678=ORIENTED_EDGE('',*,*,#211711,.F.); #294679=ORIENTED_EDGE('',*,*,#211714,.F.); #294680=ORIENTED_EDGE('',*,*,#211717,.F.); #294681=ORIENTED_EDGE('',*,*,#211720,.F.); #294682=ORIENTED_EDGE('',*,*,#211723,.F.); #294683=ORIENTED_EDGE('',*,*,#211726,.F.); #294684=ORIENTED_EDGE('',*,*,#211729,.F.); #294685=ORIENTED_EDGE('',*,*,#211732,.F.); #294686=ORIENTED_EDGE('',*,*,#211735,.F.); #294687=ORIENTED_EDGE('',*,*,#211738,.F.); #294688=ORIENTED_EDGE('',*,*,#211741,.F.); #294689=ORIENTED_EDGE('',*,*,#211744,.F.); #294690=ORIENTED_EDGE('',*,*,#211747,.F.); #294691=ORIENTED_EDGE('',*,*,#211750,.F.); #294692=ORIENTED_EDGE('',*,*,#211753,.F.); #294693=ORIENTED_EDGE('',*,*,#211756,.F.); #294694=ORIENTED_EDGE('',*,*,#211759,.F.); #294695=ORIENTED_EDGE('',*,*,#211762,.F.); #294696=ORIENTED_EDGE('',*,*,#211765,.F.); #294697=ORIENTED_EDGE('',*,*,#211768,.F.); #294698=ORIENTED_EDGE('',*,*,#211771,.F.); #294699=ORIENTED_EDGE('',*,*,#211774,.F.); #294700=ORIENTED_EDGE('',*,*,#211777,.F.); #294701=ORIENTED_EDGE('',*,*,#211780,.F.); #294702=ORIENTED_EDGE('',*,*,#211783,.F.); #294703=ORIENTED_EDGE('',*,*,#211786,.F.); #294704=ORIENTED_EDGE('',*,*,#211789,.F.); #294705=ORIENTED_EDGE('',*,*,#211792,.F.); #294706=ORIENTED_EDGE('',*,*,#211795,.F.); #294707=ORIENTED_EDGE('',*,*,#211798,.F.); #294708=ORIENTED_EDGE('',*,*,#211801,.F.); #294709=ORIENTED_EDGE('',*,*,#211804,.F.); #294710=ORIENTED_EDGE('',*,*,#211807,.F.); #294711=ORIENTED_EDGE('',*,*,#211810,.F.); #294712=ORIENTED_EDGE('',*,*,#211813,.F.); #294713=ORIENTED_EDGE('',*,*,#211816,.F.); #294714=ORIENTED_EDGE('',*,*,#211819,.F.); #294715=ORIENTED_EDGE('',*,*,#211822,.F.); #294716=ORIENTED_EDGE('',*,*,#211825,.F.); #294717=ORIENTED_EDGE('',*,*,#211828,.F.); #294718=ORIENTED_EDGE('',*,*,#211831,.F.); #294719=ORIENTED_EDGE('',*,*,#211834,.F.); #294720=ORIENTED_EDGE('',*,*,#211837,.F.); #294721=ORIENTED_EDGE('',*,*,#211840,.F.); #294722=ORIENTED_EDGE('',*,*,#211843,.F.); #294723=ORIENTED_EDGE('',*,*,#211846,.F.); #294724=ORIENTED_EDGE('',*,*,#211849,.F.); #294725=ORIENTED_EDGE('',*,*,#211852,.F.); #294726=ORIENTED_EDGE('',*,*,#211855,.F.); #294727=ORIENTED_EDGE('',*,*,#211858,.F.); #294728=ORIENTED_EDGE('',*,*,#211861,.F.); #294729=ORIENTED_EDGE('',*,*,#211864,.F.); #294730=ORIENTED_EDGE('',*,*,#211867,.F.); #294731=ORIENTED_EDGE('',*,*,#211870,.F.); #294732=ORIENTED_EDGE('',*,*,#211873,.F.); #294733=ORIENTED_EDGE('',*,*,#211876,.F.); #294734=ORIENTED_EDGE('',*,*,#211879,.F.); #294735=ORIENTED_EDGE('',*,*,#211882,.F.); #294736=ORIENTED_EDGE('',*,*,#211885,.F.); #294737=ORIENTED_EDGE('',*,*,#211888,.F.); #294738=ORIENTED_EDGE('',*,*,#211891,.F.); #294739=ORIENTED_EDGE('',*,*,#211894,.F.); #294740=ORIENTED_EDGE('',*,*,#211897,.F.); #294741=ORIENTED_EDGE('',*,*,#211900,.F.); #294742=ORIENTED_EDGE('',*,*,#211903,.F.); #294743=ORIENTED_EDGE('',*,*,#211906,.F.); #294744=ORIENTED_EDGE('',*,*,#211909,.F.); #294745=ORIENTED_EDGE('',*,*,#211912,.F.); #294746=ORIENTED_EDGE('',*,*,#211915,.F.); #294747=ORIENTED_EDGE('',*,*,#211918,.F.); #294748=ORIENTED_EDGE('',*,*,#211921,.F.); #294749=ORIENTED_EDGE('',*,*,#211924,.F.); #294750=ORIENTED_EDGE('',*,*,#211927,.F.); #294751=ORIENTED_EDGE('',*,*,#211930,.F.); #294752=ORIENTED_EDGE('',*,*,#211933,.F.); #294753=ORIENTED_EDGE('',*,*,#211936,.F.); #294754=ORIENTED_EDGE('',*,*,#211939,.F.); #294755=ORIENTED_EDGE('',*,*,#211942,.F.); #294756=ORIENTED_EDGE('',*,*,#211945,.F.); #294757=ORIENTED_EDGE('',*,*,#211948,.F.); #294758=ORIENTED_EDGE('',*,*,#211951,.F.); #294759=ORIENTED_EDGE('',*,*,#211954,.F.); #294760=ORIENTED_EDGE('',*,*,#211957,.F.); #294761=ORIENTED_EDGE('',*,*,#211960,.F.); #294762=ORIENTED_EDGE('',*,*,#211963,.F.); #294763=ORIENTED_EDGE('',*,*,#211966,.F.); #294764=ORIENTED_EDGE('',*,*,#211969,.F.); #294765=ORIENTED_EDGE('',*,*,#211972,.F.); #294766=ORIENTED_EDGE('',*,*,#211975,.F.); #294767=ORIENTED_EDGE('',*,*,#211978,.F.); #294768=ORIENTED_EDGE('',*,*,#211981,.F.); #294769=ORIENTED_EDGE('',*,*,#211984,.F.); #294770=ORIENTED_EDGE('',*,*,#211987,.F.); #294771=ORIENTED_EDGE('',*,*,#211990,.F.); #294772=ORIENTED_EDGE('',*,*,#211993,.F.); #294773=ORIENTED_EDGE('',*,*,#211996,.F.); #294774=ORIENTED_EDGE('',*,*,#211999,.F.); #294775=ORIENTED_EDGE('',*,*,#212002,.F.); #294776=ORIENTED_EDGE('',*,*,#212005,.F.); #294777=ORIENTED_EDGE('',*,*,#212008,.F.); #294778=ORIENTED_EDGE('',*,*,#212011,.F.); #294779=ORIENTED_EDGE('',*,*,#212014,.F.); #294780=ORIENTED_EDGE('',*,*,#212017,.F.); #294781=ORIENTED_EDGE('',*,*,#212020,.F.); #294782=ORIENTED_EDGE('',*,*,#212023,.F.); #294783=ORIENTED_EDGE('',*,*,#212026,.F.); #294784=ORIENTED_EDGE('',*,*,#212029,.F.); #294785=ORIENTED_EDGE('',*,*,#212032,.F.); #294786=ORIENTED_EDGE('',*,*,#212035,.F.); #294787=ORIENTED_EDGE('',*,*,#212038,.F.); #294788=ORIENTED_EDGE('',*,*,#212041,.F.); #294789=ORIENTED_EDGE('',*,*,#212044,.F.); #294790=ORIENTED_EDGE('',*,*,#212047,.F.); #294791=ORIENTED_EDGE('',*,*,#212050,.F.); #294792=ORIENTED_EDGE('',*,*,#212053,.F.); #294793=ORIENTED_EDGE('',*,*,#212056,.F.); #294794=ORIENTED_EDGE('',*,*,#212059,.F.); #294795=ORIENTED_EDGE('',*,*,#212062,.F.); #294796=ORIENTED_EDGE('',*,*,#212065,.F.); #294797=ORIENTED_EDGE('',*,*,#212068,.F.); #294798=ORIENTED_EDGE('',*,*,#212071,.F.); #294799=ORIENTED_EDGE('',*,*,#212074,.F.); #294800=ORIENTED_EDGE('',*,*,#212077,.F.); #294801=ORIENTED_EDGE('',*,*,#212080,.F.); #294802=ORIENTED_EDGE('',*,*,#212083,.F.); #294803=ORIENTED_EDGE('',*,*,#212086,.F.); #294804=ORIENTED_EDGE('',*,*,#212089,.F.); #294805=ORIENTED_EDGE('',*,*,#212092,.F.); #294806=ORIENTED_EDGE('',*,*,#212095,.F.); #294807=ORIENTED_EDGE('',*,*,#212098,.F.); #294808=ORIENTED_EDGE('',*,*,#212101,.F.); #294809=ORIENTED_EDGE('',*,*,#212104,.F.); #294810=ORIENTED_EDGE('',*,*,#212107,.F.); #294811=ORIENTED_EDGE('',*,*,#212110,.F.); #294812=ORIENTED_EDGE('',*,*,#212113,.F.); #294813=ORIENTED_EDGE('',*,*,#212116,.F.); #294814=ORIENTED_EDGE('',*,*,#212119,.F.); #294815=ORIENTED_EDGE('',*,*,#212122,.F.); #294816=ORIENTED_EDGE('',*,*,#212125,.F.); #294817=ORIENTED_EDGE('',*,*,#212128,.F.); #294818=ORIENTED_EDGE('',*,*,#212131,.F.); #294819=ORIENTED_EDGE('',*,*,#212134,.F.); #294820=ORIENTED_EDGE('',*,*,#212137,.F.); #294821=ORIENTED_EDGE('',*,*,#212140,.F.); #294822=ORIENTED_EDGE('',*,*,#212143,.F.); #294823=ORIENTED_EDGE('',*,*,#212146,.F.); #294824=ORIENTED_EDGE('',*,*,#212149,.F.); #294825=ORIENTED_EDGE('',*,*,#212152,.F.); #294826=ORIENTED_EDGE('',*,*,#212155,.F.); #294827=ORIENTED_EDGE('',*,*,#212158,.F.); #294828=ORIENTED_EDGE('',*,*,#212161,.F.); #294829=ORIENTED_EDGE('',*,*,#212164,.F.); #294830=ORIENTED_EDGE('',*,*,#212167,.F.); #294831=ORIENTED_EDGE('',*,*,#212170,.F.); #294832=ORIENTED_EDGE('',*,*,#212173,.F.); #294833=ORIENTED_EDGE('',*,*,#212176,.F.); #294834=ORIENTED_EDGE('',*,*,#212179,.F.); #294835=ORIENTED_EDGE('',*,*,#212182,.F.); #294836=ORIENTED_EDGE('',*,*,#212185,.F.); #294837=ORIENTED_EDGE('',*,*,#212188,.F.); #294838=ORIENTED_EDGE('',*,*,#212191,.F.); #294839=ORIENTED_EDGE('',*,*,#212194,.F.); #294840=ORIENTED_EDGE('',*,*,#212197,.F.); #294841=ORIENTED_EDGE('',*,*,#212200,.F.); #294842=ORIENTED_EDGE('',*,*,#212203,.F.); #294843=ORIENTED_EDGE('',*,*,#212206,.F.); #294844=ORIENTED_EDGE('',*,*,#212209,.F.); #294845=ORIENTED_EDGE('',*,*,#212212,.F.); #294846=ORIENTED_EDGE('',*,*,#212215,.F.); #294847=ORIENTED_EDGE('',*,*,#212218,.F.); #294848=ORIENTED_EDGE('',*,*,#212221,.F.); #294849=ORIENTED_EDGE('',*,*,#212224,.F.); #294850=ORIENTED_EDGE('',*,*,#212227,.F.); #294851=ORIENTED_EDGE('',*,*,#212230,.F.); #294852=ORIENTED_EDGE('',*,*,#212233,.F.); #294853=ORIENTED_EDGE('',*,*,#212236,.F.); #294854=ORIENTED_EDGE('',*,*,#212239,.F.); #294855=ORIENTED_EDGE('',*,*,#212242,.F.); #294856=ORIENTED_EDGE('',*,*,#212245,.F.); #294857=ORIENTED_EDGE('',*,*,#212248,.F.); #294858=ORIENTED_EDGE('',*,*,#212251,.F.); #294859=ORIENTED_EDGE('',*,*,#212254,.F.); #294860=ORIENTED_EDGE('',*,*,#212257,.F.); #294861=ORIENTED_EDGE('',*,*,#212260,.F.); #294862=ORIENTED_EDGE('',*,*,#212263,.F.); #294863=ORIENTED_EDGE('',*,*,#212266,.F.); #294864=ORIENTED_EDGE('',*,*,#212269,.F.); #294865=ORIENTED_EDGE('',*,*,#212272,.F.); #294866=ORIENTED_EDGE('',*,*,#212275,.F.); #294867=ORIENTED_EDGE('',*,*,#212278,.F.); #294868=ORIENTED_EDGE('',*,*,#212281,.F.); #294869=ORIENTED_EDGE('',*,*,#212284,.F.); #294870=ORIENTED_EDGE('',*,*,#212287,.F.); #294871=ORIENTED_EDGE('',*,*,#212290,.F.); #294872=ORIENTED_EDGE('',*,*,#212293,.F.); #294873=ORIENTED_EDGE('',*,*,#212296,.F.); #294874=ORIENTED_EDGE('',*,*,#212299,.F.); #294875=ORIENTED_EDGE('',*,*,#212302,.F.); #294876=ORIENTED_EDGE('',*,*,#212305,.F.); #294877=ORIENTED_EDGE('',*,*,#212308,.F.); #294878=ORIENTED_EDGE('',*,*,#212311,.F.); #294879=ORIENTED_EDGE('',*,*,#212314,.F.); #294880=ORIENTED_EDGE('',*,*,#212317,.F.); #294881=ORIENTED_EDGE('',*,*,#212320,.F.); #294882=ORIENTED_EDGE('',*,*,#212323,.F.); #294883=ORIENTED_EDGE('',*,*,#212326,.F.); #294884=ORIENTED_EDGE('',*,*,#212329,.F.); #294885=ORIENTED_EDGE('',*,*,#212332,.F.); #294886=ORIENTED_EDGE('',*,*,#212335,.F.); #294887=ORIENTED_EDGE('',*,*,#212338,.F.); #294888=ORIENTED_EDGE('',*,*,#212341,.F.); #294889=ORIENTED_EDGE('',*,*,#212344,.F.); #294890=ORIENTED_EDGE('',*,*,#212347,.F.); #294891=ORIENTED_EDGE('',*,*,#212350,.F.); #294892=ORIENTED_EDGE('',*,*,#212353,.F.); #294893=ORIENTED_EDGE('',*,*,#212356,.F.); #294894=ORIENTED_EDGE('',*,*,#212359,.F.); #294895=ORIENTED_EDGE('',*,*,#212362,.F.); #294896=ORIENTED_EDGE('',*,*,#212365,.F.); #294897=ORIENTED_EDGE('',*,*,#212368,.F.); #294898=ORIENTED_EDGE('',*,*,#212371,.F.); #294899=ORIENTED_EDGE('',*,*,#212374,.F.); #294900=ORIENTED_EDGE('',*,*,#212377,.F.); #294901=ORIENTED_EDGE('',*,*,#212380,.F.); #294902=ORIENTED_EDGE('',*,*,#212383,.F.); #294903=ORIENTED_EDGE('',*,*,#212386,.F.); #294904=ORIENTED_EDGE('',*,*,#212389,.F.); #294905=ORIENTED_EDGE('',*,*,#212392,.F.); #294906=ORIENTED_EDGE('',*,*,#212395,.F.); #294907=ORIENTED_EDGE('',*,*,#212398,.F.); #294908=ORIENTED_EDGE('',*,*,#212401,.F.); #294909=ORIENTED_EDGE('',*,*,#212404,.F.); #294910=ORIENTED_EDGE('',*,*,#212407,.F.); #294911=ORIENTED_EDGE('',*,*,#212410,.F.); #294912=ORIENTED_EDGE('',*,*,#212413,.F.); #294913=ORIENTED_EDGE('',*,*,#212416,.F.); #294914=ORIENTED_EDGE('',*,*,#212419,.F.); #294915=ORIENTED_EDGE('',*,*,#212422,.F.); #294916=ORIENTED_EDGE('',*,*,#212425,.F.); #294917=ORIENTED_EDGE('',*,*,#212428,.F.); #294918=ORIENTED_EDGE('',*,*,#212431,.F.); #294919=ORIENTED_EDGE('',*,*,#212434,.F.); #294920=ORIENTED_EDGE('',*,*,#212437,.F.); #294921=ORIENTED_EDGE('',*,*,#212440,.F.); #294922=ORIENTED_EDGE('',*,*,#212443,.F.); #294923=ORIENTED_EDGE('',*,*,#212446,.F.); #294924=ORIENTED_EDGE('',*,*,#212449,.F.); #294925=ORIENTED_EDGE('',*,*,#212452,.F.); #294926=ORIENTED_EDGE('',*,*,#212455,.F.); #294927=ORIENTED_EDGE('',*,*,#212458,.F.); #294928=ORIENTED_EDGE('',*,*,#212461,.F.); #294929=ORIENTED_EDGE('',*,*,#212464,.F.); #294930=ORIENTED_EDGE('',*,*,#212467,.F.); #294931=ORIENTED_EDGE('',*,*,#212470,.F.); #294932=ORIENTED_EDGE('',*,*,#212473,.F.); #294933=ORIENTED_EDGE('',*,*,#212476,.F.); #294934=ORIENTED_EDGE('',*,*,#212479,.F.); #294935=ORIENTED_EDGE('',*,*,#212482,.F.); #294936=ORIENTED_EDGE('',*,*,#212485,.F.); #294937=ORIENTED_EDGE('',*,*,#212488,.F.); #294938=ORIENTED_EDGE('',*,*,#212491,.F.); #294939=ORIENTED_EDGE('',*,*,#212494,.F.); #294940=ORIENTED_EDGE('',*,*,#212497,.F.); #294941=ORIENTED_EDGE('',*,*,#212500,.F.); #294942=ORIENTED_EDGE('',*,*,#212503,.F.); #294943=ORIENTED_EDGE('',*,*,#212506,.F.); #294944=ORIENTED_EDGE('',*,*,#212509,.F.); #294945=ORIENTED_EDGE('',*,*,#212512,.F.); #294946=ORIENTED_EDGE('',*,*,#212515,.F.); #294947=ORIENTED_EDGE('',*,*,#212518,.F.); #294948=ORIENTED_EDGE('',*,*,#212521,.F.); #294949=ORIENTED_EDGE('',*,*,#212524,.F.); #294950=ORIENTED_EDGE('',*,*,#212527,.F.); #294951=ORIENTED_EDGE('',*,*,#212530,.F.); #294952=ORIENTED_EDGE('',*,*,#212533,.F.); #294953=ORIENTED_EDGE('',*,*,#212536,.F.); #294954=ORIENTED_EDGE('',*,*,#212539,.F.); #294955=ORIENTED_EDGE('',*,*,#212542,.F.); #294956=ORIENTED_EDGE('',*,*,#212545,.F.); #294957=ORIENTED_EDGE('',*,*,#212548,.F.); #294958=ORIENTED_EDGE('',*,*,#212551,.F.); #294959=ORIENTED_EDGE('',*,*,#212554,.F.); #294960=ORIENTED_EDGE('',*,*,#212557,.F.); #294961=ORIENTED_EDGE('',*,*,#212560,.F.); #294962=ORIENTED_EDGE('',*,*,#212563,.F.); #294963=ORIENTED_EDGE('',*,*,#212566,.F.); #294964=ORIENTED_EDGE('',*,*,#212569,.F.); #294965=ORIENTED_EDGE('',*,*,#212572,.F.); #294966=ORIENTED_EDGE('',*,*,#212575,.F.); #294967=ORIENTED_EDGE('',*,*,#212578,.F.); #294968=ORIENTED_EDGE('',*,*,#212581,.F.); #294969=ORIENTED_EDGE('',*,*,#212584,.F.); #294970=ORIENTED_EDGE('',*,*,#212587,.F.); #294971=ORIENTED_EDGE('',*,*,#212590,.F.); #294972=ORIENTED_EDGE('',*,*,#212593,.F.); #294973=ORIENTED_EDGE('',*,*,#212596,.F.); #294974=ORIENTED_EDGE('',*,*,#212599,.F.); #294975=ORIENTED_EDGE('',*,*,#212602,.F.); #294976=ORIENTED_EDGE('',*,*,#212605,.F.); #294977=ORIENTED_EDGE('',*,*,#212608,.F.); #294978=ORIENTED_EDGE('',*,*,#212611,.F.); #294979=ORIENTED_EDGE('',*,*,#212614,.F.); #294980=ORIENTED_EDGE('',*,*,#212617,.F.); #294981=ORIENTED_EDGE('',*,*,#212620,.F.); #294982=ORIENTED_EDGE('',*,*,#212623,.F.); #294983=ORIENTED_EDGE('',*,*,#212626,.F.); #294984=ORIENTED_EDGE('',*,*,#212629,.F.); #294985=ORIENTED_EDGE('',*,*,#212632,.F.); #294986=ORIENTED_EDGE('',*,*,#212635,.F.); #294987=ORIENTED_EDGE('',*,*,#212638,.F.); #294988=ORIENTED_EDGE('',*,*,#212641,.F.); #294989=ORIENTED_EDGE('',*,*,#212644,.F.); #294990=ORIENTED_EDGE('',*,*,#212647,.F.); #294991=ORIENTED_EDGE('',*,*,#212650,.F.); #294992=ORIENTED_EDGE('',*,*,#212653,.F.); #294993=ORIENTED_EDGE('',*,*,#212656,.F.); #294994=ORIENTED_EDGE('',*,*,#212659,.F.); #294995=ORIENTED_EDGE('',*,*,#212662,.F.); #294996=ORIENTED_EDGE('',*,*,#212665,.F.); #294997=ORIENTED_EDGE('',*,*,#212668,.F.); #294998=ORIENTED_EDGE('',*,*,#212671,.F.); #294999=ORIENTED_EDGE('',*,*,#212674,.F.); #295000=ORIENTED_EDGE('',*,*,#212677,.F.); #295001=ORIENTED_EDGE('',*,*,#212680,.F.); #295002=ORIENTED_EDGE('',*,*,#212683,.F.); #295003=ORIENTED_EDGE('',*,*,#212686,.F.); #295004=ORIENTED_EDGE('',*,*,#212689,.F.); #295005=ORIENTED_EDGE('',*,*,#212692,.F.); #295006=ORIENTED_EDGE('',*,*,#212695,.F.); #295007=ORIENTED_EDGE('',*,*,#212698,.F.); #295008=ORIENTED_EDGE('',*,*,#212701,.F.); #295009=ORIENTED_EDGE('',*,*,#212704,.F.); #295010=ORIENTED_EDGE('',*,*,#212707,.F.); #295011=ORIENTED_EDGE('',*,*,#212710,.F.); #295012=ORIENTED_EDGE('',*,*,#212713,.F.); #295013=ORIENTED_EDGE('',*,*,#212716,.F.); #295014=ORIENTED_EDGE('',*,*,#212719,.F.); #295015=ORIENTED_EDGE('',*,*,#212722,.F.); #295016=ORIENTED_EDGE('',*,*,#212725,.F.); #295017=ORIENTED_EDGE('',*,*,#212728,.F.); #295018=ORIENTED_EDGE('',*,*,#212731,.F.); #295019=ORIENTED_EDGE('',*,*,#212734,.F.); #295020=ORIENTED_EDGE('',*,*,#212737,.F.); #295021=ORIENTED_EDGE('',*,*,#212740,.F.); #295022=ORIENTED_EDGE('',*,*,#212743,.F.); #295023=ORIENTED_EDGE('',*,*,#212746,.F.); #295024=ORIENTED_EDGE('',*,*,#212749,.F.); #295025=ORIENTED_EDGE('',*,*,#212752,.F.); #295026=ORIENTED_EDGE('',*,*,#212755,.F.); #295027=ORIENTED_EDGE('',*,*,#212758,.F.); #295028=ORIENTED_EDGE('',*,*,#212761,.F.); #295029=ORIENTED_EDGE('',*,*,#212764,.F.); #295030=ORIENTED_EDGE('',*,*,#212767,.F.); #295031=ORIENTED_EDGE('',*,*,#212770,.F.); #295032=ORIENTED_EDGE('',*,*,#212773,.F.); #295033=ORIENTED_EDGE('',*,*,#212776,.F.); #295034=ORIENTED_EDGE('',*,*,#212779,.F.); #295035=ORIENTED_EDGE('',*,*,#210844,.F.); #295036=ORIENTED_EDGE('',*,*,#210774,.F.); #295037=ORIENTED_EDGE('',*,*,#210778,.F.); #295038=ORIENTED_EDGE('',*,*,#210781,.F.); #295039=ORIENTED_EDGE('',*,*,#210784,.F.); #295040=ORIENTED_EDGE('',*,*,#210787,.F.); #295041=ORIENTED_EDGE('',*,*,#210790,.F.); #295042=ORIENTED_EDGE('',*,*,#210793,.F.); #295043=ORIENTED_EDGE('',*,*,#210796,.F.); #295044=ORIENTED_EDGE('',*,*,#210799,.F.); #295045=ORIENTED_EDGE('',*,*,#210802,.F.); #295046=ORIENTED_EDGE('',*,*,#210805,.F.); #295047=ORIENTED_EDGE('',*,*,#210808,.F.); #295048=ORIENTED_EDGE('',*,*,#210811,.F.); #295049=ORIENTED_EDGE('',*,*,#210814,.F.); #295050=ORIENTED_EDGE('',*,*,#210817,.F.); #295051=ORIENTED_EDGE('',*,*,#210820,.F.); #295052=ORIENTED_EDGE('',*,*,#210823,.F.); #295053=ORIENTED_EDGE('',*,*,#210826,.F.); #295054=ORIENTED_EDGE('',*,*,#210829,.F.); #295055=ORIENTED_EDGE('',*,*,#210832,.F.); #295056=ORIENTED_EDGE('',*,*,#210835,.F.); #295057=ORIENTED_EDGE('',*,*,#210838,.F.); #295058=ORIENTED_EDGE('',*,*,#210841,.F.); #295059=ORIENTED_EDGE('',*,*,#210772,.F.); #295060=ORIENTED_EDGE('',*,*,#210660,.F.); #295061=ORIENTED_EDGE('',*,*,#210664,.F.); #295062=ORIENTED_EDGE('',*,*,#210667,.F.); #295063=ORIENTED_EDGE('',*,*,#210670,.F.); #295064=ORIENTED_EDGE('',*,*,#210673,.F.); #295065=ORIENTED_EDGE('',*,*,#210676,.F.); #295066=ORIENTED_EDGE('',*,*,#210679,.F.); #295067=ORIENTED_EDGE('',*,*,#210682,.F.); #295068=ORIENTED_EDGE('',*,*,#210685,.F.); #295069=ORIENTED_EDGE('',*,*,#210688,.F.); #295070=ORIENTED_EDGE('',*,*,#210691,.F.); #295071=ORIENTED_EDGE('',*,*,#210694,.F.); #295072=ORIENTED_EDGE('',*,*,#210697,.F.); #295073=ORIENTED_EDGE('',*,*,#210700,.F.); #295074=ORIENTED_EDGE('',*,*,#210703,.F.); #295075=ORIENTED_EDGE('',*,*,#210706,.F.); #295076=ORIENTED_EDGE('',*,*,#210709,.F.); #295077=ORIENTED_EDGE('',*,*,#210712,.F.); #295078=ORIENTED_EDGE('',*,*,#210715,.F.); #295079=ORIENTED_EDGE('',*,*,#210718,.F.); #295080=ORIENTED_EDGE('',*,*,#210721,.F.); #295081=ORIENTED_EDGE('',*,*,#210724,.F.); #295082=ORIENTED_EDGE('',*,*,#210727,.F.); #295083=ORIENTED_EDGE('',*,*,#210730,.F.); #295084=ORIENTED_EDGE('',*,*,#210733,.F.); #295085=ORIENTED_EDGE('',*,*,#210736,.F.); #295086=ORIENTED_EDGE('',*,*,#210739,.F.); #295087=ORIENTED_EDGE('',*,*,#210742,.F.); #295088=ORIENTED_EDGE('',*,*,#210745,.F.); #295089=ORIENTED_EDGE('',*,*,#210748,.F.); #295090=ORIENTED_EDGE('',*,*,#210751,.F.); #295091=ORIENTED_EDGE('',*,*,#210754,.F.); #295092=ORIENTED_EDGE('',*,*,#210757,.F.); #295093=ORIENTED_EDGE('',*,*,#210760,.F.); #295094=ORIENTED_EDGE('',*,*,#210763,.F.); #295095=ORIENTED_EDGE('',*,*,#210766,.F.); #295096=ORIENTED_EDGE('',*,*,#210769,.F.); #295097=ORIENTED_EDGE('',*,*,#210658,.F.); #295098=ORIENTED_EDGE('',*,*,#210540,.F.); #295099=ORIENTED_EDGE('',*,*,#210544,.F.); #295100=ORIENTED_EDGE('',*,*,#210547,.F.); #295101=ORIENTED_EDGE('',*,*,#210550,.F.); #295102=ORIENTED_EDGE('',*,*,#210553,.F.); #295103=ORIENTED_EDGE('',*,*,#210556,.F.); #295104=ORIENTED_EDGE('',*,*,#210559,.F.); #295105=ORIENTED_EDGE('',*,*,#210562,.F.); #295106=ORIENTED_EDGE('',*,*,#210565,.F.); #295107=ORIENTED_EDGE('',*,*,#210568,.F.); #295108=ORIENTED_EDGE('',*,*,#210571,.F.); #295109=ORIENTED_EDGE('',*,*,#210574,.F.); #295110=ORIENTED_EDGE('',*,*,#210577,.F.); #295111=ORIENTED_EDGE('',*,*,#210580,.F.); #295112=ORIENTED_EDGE('',*,*,#210583,.F.); #295113=ORIENTED_EDGE('',*,*,#210586,.F.); #295114=ORIENTED_EDGE('',*,*,#210589,.F.); #295115=ORIENTED_EDGE('',*,*,#210592,.F.); #295116=ORIENTED_EDGE('',*,*,#210595,.F.); #295117=ORIENTED_EDGE('',*,*,#210598,.F.); #295118=ORIENTED_EDGE('',*,*,#210601,.F.); #295119=ORIENTED_EDGE('',*,*,#210604,.F.); #295120=ORIENTED_EDGE('',*,*,#210607,.F.); #295121=ORIENTED_EDGE('',*,*,#210610,.F.); #295122=ORIENTED_EDGE('',*,*,#210613,.F.); #295123=ORIENTED_EDGE('',*,*,#210616,.F.); #295124=ORIENTED_EDGE('',*,*,#210619,.F.); #295125=ORIENTED_EDGE('',*,*,#210622,.F.); #295126=ORIENTED_EDGE('',*,*,#210625,.F.); #295127=ORIENTED_EDGE('',*,*,#210628,.F.); #295128=ORIENTED_EDGE('',*,*,#210631,.F.); #295129=ORIENTED_EDGE('',*,*,#210634,.F.); #295130=ORIENTED_EDGE('',*,*,#210637,.F.); #295131=ORIENTED_EDGE('',*,*,#210640,.F.); #295132=ORIENTED_EDGE('',*,*,#210643,.F.); #295133=ORIENTED_EDGE('',*,*,#210646,.F.); #295134=ORIENTED_EDGE('',*,*,#210649,.F.); #295135=ORIENTED_EDGE('',*,*,#210652,.F.); #295136=ORIENTED_EDGE('',*,*,#210655,.F.); #295137=ORIENTED_EDGE('',*,*,#210538,.F.); #295138=ORIENTED_EDGE('',*,*,#210438,.F.); #295139=ORIENTED_EDGE('',*,*,#210442,.F.); #295140=ORIENTED_EDGE('',*,*,#210445,.F.); #295141=ORIENTED_EDGE('',*,*,#210448,.F.); #295142=ORIENTED_EDGE('',*,*,#210451,.F.); #295143=ORIENTED_EDGE('',*,*,#210454,.F.); #295144=ORIENTED_EDGE('',*,*,#210457,.F.); #295145=ORIENTED_EDGE('',*,*,#210460,.F.); #295146=ORIENTED_EDGE('',*,*,#210463,.F.); #295147=ORIENTED_EDGE('',*,*,#210466,.F.); #295148=ORIENTED_EDGE('',*,*,#210469,.F.); #295149=ORIENTED_EDGE('',*,*,#210472,.F.); #295150=ORIENTED_EDGE('',*,*,#210475,.F.); #295151=ORIENTED_EDGE('',*,*,#210478,.F.); #295152=ORIENTED_EDGE('',*,*,#210481,.F.); #295153=ORIENTED_EDGE('',*,*,#210484,.F.); #295154=ORIENTED_EDGE('',*,*,#210487,.F.); #295155=ORIENTED_EDGE('',*,*,#210490,.F.); #295156=ORIENTED_EDGE('',*,*,#210493,.F.); #295157=ORIENTED_EDGE('',*,*,#210496,.F.); #295158=ORIENTED_EDGE('',*,*,#210499,.F.); #295159=ORIENTED_EDGE('',*,*,#210502,.F.); #295160=ORIENTED_EDGE('',*,*,#210505,.F.); #295161=ORIENTED_EDGE('',*,*,#210508,.F.); #295162=ORIENTED_EDGE('',*,*,#210511,.F.); #295163=ORIENTED_EDGE('',*,*,#210514,.F.); #295164=ORIENTED_EDGE('',*,*,#210517,.F.); #295165=ORIENTED_EDGE('',*,*,#210520,.F.); #295166=ORIENTED_EDGE('',*,*,#210523,.F.); #295167=ORIENTED_EDGE('',*,*,#210526,.F.); #295168=ORIENTED_EDGE('',*,*,#210529,.F.); #295169=ORIENTED_EDGE('',*,*,#210532,.F.); #295170=ORIENTED_EDGE('',*,*,#210535,.F.); #295171=ORIENTED_EDGE('',*,*,#210436,.F.); #295172=ORIENTED_EDGE('',*,*,#210336,.F.); #295173=ORIENTED_EDGE('',*,*,#210340,.F.); #295174=ORIENTED_EDGE('',*,*,#210343,.F.); #295175=ORIENTED_EDGE('',*,*,#210346,.F.); #295176=ORIENTED_EDGE('',*,*,#210349,.F.); #295177=ORIENTED_EDGE('',*,*,#210352,.F.); #295178=ORIENTED_EDGE('',*,*,#210355,.F.); #295179=ORIENTED_EDGE('',*,*,#210358,.F.); #295180=ORIENTED_EDGE('',*,*,#210361,.F.); #295181=ORIENTED_EDGE('',*,*,#210364,.F.); #295182=ORIENTED_EDGE('',*,*,#210367,.F.); #295183=ORIENTED_EDGE('',*,*,#210370,.F.); #295184=ORIENTED_EDGE('',*,*,#210373,.F.); #295185=ORIENTED_EDGE('',*,*,#210376,.F.); #295186=ORIENTED_EDGE('',*,*,#210379,.F.); #295187=ORIENTED_EDGE('',*,*,#210382,.F.); #295188=ORIENTED_EDGE('',*,*,#210385,.F.); #295189=ORIENTED_EDGE('',*,*,#210388,.F.); #295190=ORIENTED_EDGE('',*,*,#210391,.F.); #295191=ORIENTED_EDGE('',*,*,#210394,.F.); #295192=ORIENTED_EDGE('',*,*,#210397,.F.); #295193=ORIENTED_EDGE('',*,*,#210400,.F.); #295194=ORIENTED_EDGE('',*,*,#210403,.F.); #295195=ORIENTED_EDGE('',*,*,#210406,.F.); #295196=ORIENTED_EDGE('',*,*,#210409,.F.); #295197=ORIENTED_EDGE('',*,*,#210412,.F.); #295198=ORIENTED_EDGE('',*,*,#210415,.F.); #295199=ORIENTED_EDGE('',*,*,#210418,.F.); #295200=ORIENTED_EDGE('',*,*,#210421,.F.); #295201=ORIENTED_EDGE('',*,*,#210424,.F.); #295202=ORIENTED_EDGE('',*,*,#210427,.F.); #295203=ORIENTED_EDGE('',*,*,#210430,.F.); #295204=ORIENTED_EDGE('',*,*,#210433,.F.); #295205=ORIENTED_EDGE('',*,*,#210334,.F.); #295206=ORIENTED_EDGE('',*,*,#210312,.F.); #295207=ORIENTED_EDGE('',*,*,#210316,.F.); #295208=ORIENTED_EDGE('',*,*,#210319,.F.); #295209=ORIENTED_EDGE('',*,*,#210322,.F.); #295210=ORIENTED_EDGE('',*,*,#210325,.F.); #295211=ORIENTED_EDGE('',*,*,#210328,.F.); #295212=ORIENTED_EDGE('',*,*,#210331,.F.); #295213=ORIENTED_EDGE('',*,*,#210310,.F.); #295214=ORIENTED_EDGE('',*,*,#210288,.F.); #295215=ORIENTED_EDGE('',*,*,#210292,.F.); #295216=ORIENTED_EDGE('',*,*,#210295,.F.); #295217=ORIENTED_EDGE('',*,*,#210298,.F.); #295218=ORIENTED_EDGE('',*,*,#210301,.F.); #295219=ORIENTED_EDGE('',*,*,#210304,.F.); #295220=ORIENTED_EDGE('',*,*,#210307,.F.); #295221=ORIENTED_EDGE('',*,*,#210286,.F.); #295222=ORIENTED_EDGE('',*,*,#210264,.F.); #295223=ORIENTED_EDGE('',*,*,#210268,.F.); #295224=ORIENTED_EDGE('',*,*,#210271,.F.); #295225=ORIENTED_EDGE('',*,*,#210274,.F.); #295226=ORIENTED_EDGE('',*,*,#210277,.F.); #295227=ORIENTED_EDGE('',*,*,#210280,.F.); #295228=ORIENTED_EDGE('',*,*,#210283,.F.); #295229=ORIENTED_EDGE('',*,*,#210262,.F.); #295230=ORIENTED_EDGE('',*,*,#209868,.F.); #295231=ORIENTED_EDGE('',*,*,#209872,.F.); #295232=ORIENTED_EDGE('',*,*,#209875,.F.); #295233=ORIENTED_EDGE('',*,*,#209878,.F.); #295234=ORIENTED_EDGE('',*,*,#209881,.F.); #295235=ORIENTED_EDGE('',*,*,#209884,.F.); #295236=ORIENTED_EDGE('',*,*,#209887,.F.); #295237=ORIENTED_EDGE('',*,*,#209890,.F.); #295238=ORIENTED_EDGE('',*,*,#209893,.F.); #295239=ORIENTED_EDGE('',*,*,#209896,.F.); #295240=ORIENTED_EDGE('',*,*,#209899,.F.); #295241=ORIENTED_EDGE('',*,*,#209902,.F.); #295242=ORIENTED_EDGE('',*,*,#209905,.F.); #295243=ORIENTED_EDGE('',*,*,#209908,.F.); #295244=ORIENTED_EDGE('',*,*,#209911,.F.); #295245=ORIENTED_EDGE('',*,*,#209914,.F.); #295246=ORIENTED_EDGE('',*,*,#209917,.F.); #295247=ORIENTED_EDGE('',*,*,#209920,.F.); #295248=ORIENTED_EDGE('',*,*,#209923,.F.); #295249=ORIENTED_EDGE('',*,*,#209926,.F.); #295250=ORIENTED_EDGE('',*,*,#209929,.F.); #295251=ORIENTED_EDGE('',*,*,#209932,.F.); #295252=ORIENTED_EDGE('',*,*,#209935,.F.); #295253=ORIENTED_EDGE('',*,*,#209938,.F.); #295254=ORIENTED_EDGE('',*,*,#209941,.F.); #295255=ORIENTED_EDGE('',*,*,#209944,.F.); #295256=ORIENTED_EDGE('',*,*,#209947,.F.); #295257=ORIENTED_EDGE('',*,*,#209950,.F.); #295258=ORIENTED_EDGE('',*,*,#209953,.F.); #295259=ORIENTED_EDGE('',*,*,#209956,.F.); #295260=ORIENTED_EDGE('',*,*,#209959,.F.); #295261=ORIENTED_EDGE('',*,*,#209962,.F.); #295262=ORIENTED_EDGE('',*,*,#209965,.F.); #295263=ORIENTED_EDGE('',*,*,#209968,.F.); #295264=ORIENTED_EDGE('',*,*,#209971,.F.); #295265=ORIENTED_EDGE('',*,*,#209974,.F.); #295266=ORIENTED_EDGE('',*,*,#209977,.F.); #295267=ORIENTED_EDGE('',*,*,#209980,.F.); #295268=ORIENTED_EDGE('',*,*,#209983,.F.); #295269=ORIENTED_EDGE('',*,*,#209986,.F.); #295270=ORIENTED_EDGE('',*,*,#209989,.F.); #295271=ORIENTED_EDGE('',*,*,#209992,.F.); #295272=ORIENTED_EDGE('',*,*,#209995,.F.); #295273=ORIENTED_EDGE('',*,*,#209998,.F.); #295274=ORIENTED_EDGE('',*,*,#210001,.F.); #295275=ORIENTED_EDGE('',*,*,#210004,.F.); #295276=ORIENTED_EDGE('',*,*,#210007,.F.); #295277=ORIENTED_EDGE('',*,*,#210010,.F.); #295278=ORIENTED_EDGE('',*,*,#210013,.F.); #295279=ORIENTED_EDGE('',*,*,#210016,.F.); #295280=ORIENTED_EDGE('',*,*,#210019,.F.); #295281=ORIENTED_EDGE('',*,*,#210022,.F.); #295282=ORIENTED_EDGE('',*,*,#210025,.F.); #295283=ORIENTED_EDGE('',*,*,#210028,.F.); #295284=ORIENTED_EDGE('',*,*,#210031,.F.); #295285=ORIENTED_EDGE('',*,*,#210034,.F.); #295286=ORIENTED_EDGE('',*,*,#210037,.F.); #295287=ORIENTED_EDGE('',*,*,#210040,.F.); #295288=ORIENTED_EDGE('',*,*,#210043,.F.); #295289=ORIENTED_EDGE('',*,*,#210046,.F.); #295290=ORIENTED_EDGE('',*,*,#210049,.F.); #295291=ORIENTED_EDGE('',*,*,#210052,.F.); #295292=ORIENTED_EDGE('',*,*,#210055,.F.); #295293=ORIENTED_EDGE('',*,*,#210058,.F.); #295294=ORIENTED_EDGE('',*,*,#210061,.F.); #295295=ORIENTED_EDGE('',*,*,#210064,.F.); #295296=ORIENTED_EDGE('',*,*,#210067,.F.); #295297=ORIENTED_EDGE('',*,*,#210070,.F.); #295298=ORIENTED_EDGE('',*,*,#210073,.F.); #295299=ORIENTED_EDGE('',*,*,#210076,.F.); #295300=ORIENTED_EDGE('',*,*,#210079,.F.); #295301=ORIENTED_EDGE('',*,*,#210082,.F.); #295302=ORIENTED_EDGE('',*,*,#210085,.F.); #295303=ORIENTED_EDGE('',*,*,#210088,.F.); #295304=ORIENTED_EDGE('',*,*,#210091,.F.); #295305=ORIENTED_EDGE('',*,*,#210094,.F.); #295306=ORIENTED_EDGE('',*,*,#210097,.F.); #295307=ORIENTED_EDGE('',*,*,#210100,.F.); #295308=ORIENTED_EDGE('',*,*,#210103,.F.); #295309=ORIENTED_EDGE('',*,*,#210106,.F.); #295310=ORIENTED_EDGE('',*,*,#210109,.F.); #295311=ORIENTED_EDGE('',*,*,#210112,.F.); #295312=ORIENTED_EDGE('',*,*,#210115,.F.); #295313=ORIENTED_EDGE('',*,*,#210118,.F.); #295314=ORIENTED_EDGE('',*,*,#210121,.F.); #295315=ORIENTED_EDGE('',*,*,#210124,.F.); #295316=ORIENTED_EDGE('',*,*,#210127,.F.); #295317=ORIENTED_EDGE('',*,*,#210130,.F.); #295318=ORIENTED_EDGE('',*,*,#210133,.F.); #295319=ORIENTED_EDGE('',*,*,#210136,.F.); #295320=ORIENTED_EDGE('',*,*,#210139,.F.); #295321=ORIENTED_EDGE('',*,*,#210142,.F.); #295322=ORIENTED_EDGE('',*,*,#210145,.F.); #295323=ORIENTED_EDGE('',*,*,#210148,.F.); #295324=ORIENTED_EDGE('',*,*,#210151,.F.); #295325=ORIENTED_EDGE('',*,*,#210154,.F.); #295326=ORIENTED_EDGE('',*,*,#210157,.F.); #295327=ORIENTED_EDGE('',*,*,#210160,.F.); #295328=ORIENTED_EDGE('',*,*,#210163,.F.); #295329=ORIENTED_EDGE('',*,*,#210166,.F.); #295330=ORIENTED_EDGE('',*,*,#210169,.F.); #295331=ORIENTED_EDGE('',*,*,#210172,.F.); #295332=ORIENTED_EDGE('',*,*,#210175,.F.); #295333=ORIENTED_EDGE('',*,*,#210178,.F.); #295334=ORIENTED_EDGE('',*,*,#210181,.F.); #295335=ORIENTED_EDGE('',*,*,#210184,.F.); #295336=ORIENTED_EDGE('',*,*,#210187,.F.); #295337=ORIENTED_EDGE('',*,*,#210190,.F.); #295338=ORIENTED_EDGE('',*,*,#210193,.F.); #295339=ORIENTED_EDGE('',*,*,#210196,.F.); #295340=ORIENTED_EDGE('',*,*,#210199,.F.); #295341=ORIENTED_EDGE('',*,*,#210202,.F.); #295342=ORIENTED_EDGE('',*,*,#210205,.F.); #295343=ORIENTED_EDGE('',*,*,#210208,.F.); #295344=ORIENTED_EDGE('',*,*,#210211,.F.); #295345=ORIENTED_EDGE('',*,*,#210214,.F.); #295346=ORIENTED_EDGE('',*,*,#210217,.F.); #295347=ORIENTED_EDGE('',*,*,#210220,.F.); #295348=ORIENTED_EDGE('',*,*,#210223,.F.); #295349=ORIENTED_EDGE('',*,*,#210226,.F.); #295350=ORIENTED_EDGE('',*,*,#210229,.F.); #295351=ORIENTED_EDGE('',*,*,#210232,.F.); #295352=ORIENTED_EDGE('',*,*,#210235,.F.); #295353=ORIENTED_EDGE('',*,*,#210238,.F.); #295354=ORIENTED_EDGE('',*,*,#210241,.F.); #295355=ORIENTED_EDGE('',*,*,#210244,.F.); #295356=ORIENTED_EDGE('',*,*,#210247,.F.); #295357=ORIENTED_EDGE('',*,*,#210250,.F.); #295358=ORIENTED_EDGE('',*,*,#210253,.F.); #295359=ORIENTED_EDGE('',*,*,#210256,.F.); #295360=ORIENTED_EDGE('',*,*,#210259,.F.); #295361=ORIENTED_EDGE('',*,*,#214467,.T.); #295362=ORIENTED_EDGE('',*,*,#214468,.T.); #295363=ORIENTED_EDGE('',*,*,#214469,.F.); #295364=ORIENTED_EDGE('',*,*,#214470,.F.); #295365=ORIENTED_EDGE('',*,*,#214471,.T.); #295366=ORIENTED_EDGE('',*,*,#214470,.T.); #295367=ORIENTED_EDGE('',*,*,#214472,.F.); #295368=ORIENTED_EDGE('',*,*,#214473,.F.); #295369=ORIENTED_EDGE('',*,*,#214474,.T.); #295370=ORIENTED_EDGE('',*,*,#214473,.T.); #295371=ORIENTED_EDGE('',*,*,#214475,.F.); #295372=ORIENTED_EDGE('',*,*,#214476,.F.); #295373=ORIENTED_EDGE('',*,*,#214477,.T.); #295374=ORIENTED_EDGE('',*,*,#214476,.T.); #295375=ORIENTED_EDGE('',*,*,#214478,.F.); #295376=ORIENTED_EDGE('',*,*,#214479,.F.); #295377=ORIENTED_EDGE('',*,*,#214480,.T.); #295378=ORIENTED_EDGE('',*,*,#214479,.T.); #295379=ORIENTED_EDGE('',*,*,#214481,.F.); #295380=ORIENTED_EDGE('',*,*,#214482,.F.); #295381=ORIENTED_EDGE('',*,*,#214483,.T.); #295382=ORIENTED_EDGE('',*,*,#214482,.T.); #295383=ORIENTED_EDGE('',*,*,#214484,.F.); #295384=ORIENTED_EDGE('',*,*,#214485,.F.); #295385=ORIENTED_EDGE('',*,*,#214486,.T.); #295386=ORIENTED_EDGE('',*,*,#214485,.T.); #295387=ORIENTED_EDGE('',*,*,#214487,.F.); #295388=ORIENTED_EDGE('',*,*,#214488,.F.); #295389=ORIENTED_EDGE('',*,*,#214489,.T.); #295390=ORIENTED_EDGE('',*,*,#214488,.T.); #295391=ORIENTED_EDGE('',*,*,#214490,.F.); #295392=ORIENTED_EDGE('',*,*,#214491,.F.); #295393=ORIENTED_EDGE('',*,*,#214492,.T.); #295394=ORIENTED_EDGE('',*,*,#214491,.T.); #295395=ORIENTED_EDGE('',*,*,#214493,.F.); #295396=ORIENTED_EDGE('',*,*,#214494,.F.); #295397=ORIENTED_EDGE('',*,*,#214495,.T.); #295398=ORIENTED_EDGE('',*,*,#214494,.T.); #295399=ORIENTED_EDGE('',*,*,#214496,.F.); #295400=ORIENTED_EDGE('',*,*,#214497,.F.); #295401=ORIENTED_EDGE('',*,*,#214498,.T.); #295402=ORIENTED_EDGE('',*,*,#214497,.T.); #295403=ORIENTED_EDGE('',*,*,#214499,.F.); #295404=ORIENTED_EDGE('',*,*,#214500,.F.); #295405=ORIENTED_EDGE('',*,*,#214501,.T.); #295406=ORIENTED_EDGE('',*,*,#214500,.T.); #295407=ORIENTED_EDGE('',*,*,#214502,.F.); #295408=ORIENTED_EDGE('',*,*,#214503,.F.); #295409=ORIENTED_EDGE('',*,*,#214504,.T.); #295410=ORIENTED_EDGE('',*,*,#214503,.T.); #295411=ORIENTED_EDGE('',*,*,#214505,.F.); #295412=ORIENTED_EDGE('',*,*,#214506,.F.); #295413=ORIENTED_EDGE('',*,*,#214507,.T.); #295414=ORIENTED_EDGE('',*,*,#214506,.T.); #295415=ORIENTED_EDGE('',*,*,#214508,.F.); #295416=ORIENTED_EDGE('',*,*,#214509,.F.); #295417=ORIENTED_EDGE('',*,*,#214510,.T.); #295418=ORIENTED_EDGE('',*,*,#214509,.T.); #295419=ORIENTED_EDGE('',*,*,#214511,.F.); #295420=ORIENTED_EDGE('',*,*,#214512,.F.); #295421=ORIENTED_EDGE('',*,*,#214513,.T.); #295422=ORIENTED_EDGE('',*,*,#214512,.T.); #295423=ORIENTED_EDGE('',*,*,#214514,.F.); #295424=ORIENTED_EDGE('',*,*,#214515,.F.); #295425=ORIENTED_EDGE('',*,*,#214516,.T.); #295426=ORIENTED_EDGE('',*,*,#214515,.T.); #295427=ORIENTED_EDGE('',*,*,#214517,.F.); #295428=ORIENTED_EDGE('',*,*,#214518,.F.); #295429=ORIENTED_EDGE('',*,*,#214519,.T.); #295430=ORIENTED_EDGE('',*,*,#214518,.T.); #295431=ORIENTED_EDGE('',*,*,#214520,.F.); #295432=ORIENTED_EDGE('',*,*,#214521,.F.); #295433=ORIENTED_EDGE('',*,*,#214522,.T.); #295434=ORIENTED_EDGE('',*,*,#214521,.T.); #295435=ORIENTED_EDGE('',*,*,#214523,.F.); #295436=ORIENTED_EDGE('',*,*,#214524,.F.); #295437=ORIENTED_EDGE('',*,*,#214525,.T.); #295438=ORIENTED_EDGE('',*,*,#214524,.T.); #295439=ORIENTED_EDGE('',*,*,#214526,.F.); #295440=ORIENTED_EDGE('',*,*,#214527,.F.); #295441=ORIENTED_EDGE('',*,*,#214528,.T.); #295442=ORIENTED_EDGE('',*,*,#214527,.T.); #295443=ORIENTED_EDGE('',*,*,#214529,.F.); #295444=ORIENTED_EDGE('',*,*,#214530,.F.); #295445=ORIENTED_EDGE('',*,*,#214531,.T.); #295446=ORIENTED_EDGE('',*,*,#214530,.T.); #295447=ORIENTED_EDGE('',*,*,#214532,.F.); #295448=ORIENTED_EDGE('',*,*,#214533,.F.); #295449=ORIENTED_EDGE('',*,*,#214534,.T.); #295450=ORIENTED_EDGE('',*,*,#214533,.T.); #295451=ORIENTED_EDGE('',*,*,#214535,.F.); #295452=ORIENTED_EDGE('',*,*,#214536,.F.); #295453=ORIENTED_EDGE('',*,*,#214537,.T.); #295454=ORIENTED_EDGE('',*,*,#214536,.T.); #295455=ORIENTED_EDGE('',*,*,#214538,.F.); #295456=ORIENTED_EDGE('',*,*,#214539,.F.); #295457=ORIENTED_EDGE('',*,*,#214540,.T.); #295458=ORIENTED_EDGE('',*,*,#214539,.T.); #295459=ORIENTED_EDGE('',*,*,#214541,.F.); #295460=ORIENTED_EDGE('',*,*,#214542,.F.); #295461=ORIENTED_EDGE('',*,*,#214543,.T.); #295462=ORIENTED_EDGE('',*,*,#214542,.T.); #295463=ORIENTED_EDGE('',*,*,#214544,.F.); #295464=ORIENTED_EDGE('',*,*,#214545,.F.); #295465=ORIENTED_EDGE('',*,*,#214546,.T.); #295466=ORIENTED_EDGE('',*,*,#214545,.T.); #295467=ORIENTED_EDGE('',*,*,#214547,.F.); #295468=ORIENTED_EDGE('',*,*,#214468,.F.); #295469=ORIENTED_EDGE('',*,*,#214547,.T.); #295470=ORIENTED_EDGE('',*,*,#214544,.T.); #295471=ORIENTED_EDGE('',*,*,#214541,.T.); #295472=ORIENTED_EDGE('',*,*,#214538,.T.); #295473=ORIENTED_EDGE('',*,*,#214535,.T.); #295474=ORIENTED_EDGE('',*,*,#214532,.T.); #295475=ORIENTED_EDGE('',*,*,#214529,.T.); #295476=ORIENTED_EDGE('',*,*,#214526,.T.); #295477=ORIENTED_EDGE('',*,*,#214523,.T.); #295478=ORIENTED_EDGE('',*,*,#214520,.T.); #295479=ORIENTED_EDGE('',*,*,#214517,.T.); #295480=ORIENTED_EDGE('',*,*,#214514,.T.); #295481=ORIENTED_EDGE('',*,*,#214511,.T.); #295482=ORIENTED_EDGE('',*,*,#214508,.T.); #295483=ORIENTED_EDGE('',*,*,#214505,.T.); #295484=ORIENTED_EDGE('',*,*,#214502,.T.); #295485=ORIENTED_EDGE('',*,*,#214499,.T.); #295486=ORIENTED_EDGE('',*,*,#214496,.T.); #295487=ORIENTED_EDGE('',*,*,#214493,.T.); #295488=ORIENTED_EDGE('',*,*,#214490,.T.); #295489=ORIENTED_EDGE('',*,*,#214487,.T.); #295490=ORIENTED_EDGE('',*,*,#214484,.T.); #295491=ORIENTED_EDGE('',*,*,#214481,.T.); #295492=ORIENTED_EDGE('',*,*,#214478,.T.); #295493=ORIENTED_EDGE('',*,*,#214475,.T.); #295494=ORIENTED_EDGE('',*,*,#214472,.T.); #295495=ORIENTED_EDGE('',*,*,#214469,.T.); #295496=ORIENTED_EDGE('',*,*,#214546,.F.); #295497=ORIENTED_EDGE('',*,*,#214467,.F.); #295498=ORIENTED_EDGE('',*,*,#214471,.F.); #295499=ORIENTED_EDGE('',*,*,#214474,.F.); #295500=ORIENTED_EDGE('',*,*,#214477,.F.); #295501=ORIENTED_EDGE('',*,*,#214480,.F.); #295502=ORIENTED_EDGE('',*,*,#214483,.F.); #295503=ORIENTED_EDGE('',*,*,#214486,.F.); #295504=ORIENTED_EDGE('',*,*,#214489,.F.); #295505=ORIENTED_EDGE('',*,*,#214492,.F.); #295506=ORIENTED_EDGE('',*,*,#214495,.F.); #295507=ORIENTED_EDGE('',*,*,#214498,.F.); #295508=ORIENTED_EDGE('',*,*,#214501,.F.); #295509=ORIENTED_EDGE('',*,*,#214504,.F.); #295510=ORIENTED_EDGE('',*,*,#214507,.F.); #295511=ORIENTED_EDGE('',*,*,#214510,.F.); #295512=ORIENTED_EDGE('',*,*,#214513,.F.); #295513=ORIENTED_EDGE('',*,*,#214516,.F.); #295514=ORIENTED_EDGE('',*,*,#214519,.F.); #295515=ORIENTED_EDGE('',*,*,#214522,.F.); #295516=ORIENTED_EDGE('',*,*,#214525,.F.); #295517=ORIENTED_EDGE('',*,*,#214528,.F.); #295518=ORIENTED_EDGE('',*,*,#214531,.F.); #295519=ORIENTED_EDGE('',*,*,#214534,.F.); #295520=ORIENTED_EDGE('',*,*,#214537,.F.); #295521=ORIENTED_EDGE('',*,*,#214540,.F.); #295522=ORIENTED_EDGE('',*,*,#214543,.F.); #295523=ORIENTED_EDGE('',*,*,#214548,.T.); #295524=ORIENTED_EDGE('',*,*,#214549,.T.); #295525=ORIENTED_EDGE('',*,*,#214550,.F.); #295526=ORIENTED_EDGE('',*,*,#214551,.F.); #295527=ORIENTED_EDGE('',*,*,#214552,.T.); #295528=ORIENTED_EDGE('',*,*,#214551,.T.); #295529=ORIENTED_EDGE('',*,*,#214553,.F.); #295530=ORIENTED_EDGE('',*,*,#214554,.F.); #295531=ORIENTED_EDGE('',*,*,#214555,.T.); #295532=ORIENTED_EDGE('',*,*,#214554,.T.); #295533=ORIENTED_EDGE('',*,*,#214556,.F.); #295534=ORIENTED_EDGE('',*,*,#214557,.F.); #295535=ORIENTED_EDGE('',*,*,#214558,.T.); #295536=ORIENTED_EDGE('',*,*,#214557,.T.); #295537=ORIENTED_EDGE('',*,*,#214559,.F.); #295538=ORIENTED_EDGE('',*,*,#214560,.F.); #295539=ORIENTED_EDGE('',*,*,#214561,.T.); #295540=ORIENTED_EDGE('',*,*,#214560,.T.); #295541=ORIENTED_EDGE('',*,*,#214562,.F.); #295542=ORIENTED_EDGE('',*,*,#214563,.F.); #295543=ORIENTED_EDGE('',*,*,#214564,.T.); #295544=ORIENTED_EDGE('',*,*,#214563,.T.); #295545=ORIENTED_EDGE('',*,*,#214565,.F.); #295546=ORIENTED_EDGE('',*,*,#214566,.F.); #295547=ORIENTED_EDGE('',*,*,#214567,.T.); #295548=ORIENTED_EDGE('',*,*,#214566,.T.); #295549=ORIENTED_EDGE('',*,*,#214568,.F.); #295550=ORIENTED_EDGE('',*,*,#214569,.F.); #295551=ORIENTED_EDGE('',*,*,#214570,.T.); #295552=ORIENTED_EDGE('',*,*,#214569,.T.); #295553=ORIENTED_EDGE('',*,*,#214571,.F.); #295554=ORIENTED_EDGE('',*,*,#214572,.F.); #295555=ORIENTED_EDGE('',*,*,#214573,.T.); #295556=ORIENTED_EDGE('',*,*,#214572,.T.); #295557=ORIENTED_EDGE('',*,*,#214574,.F.); #295558=ORIENTED_EDGE('',*,*,#214575,.F.); #295559=ORIENTED_EDGE('',*,*,#214576,.T.); #295560=ORIENTED_EDGE('',*,*,#214575,.T.); #295561=ORIENTED_EDGE('',*,*,#214577,.F.); #295562=ORIENTED_EDGE('',*,*,#214578,.F.); #295563=ORIENTED_EDGE('',*,*,#214579,.T.); #295564=ORIENTED_EDGE('',*,*,#214578,.T.); #295565=ORIENTED_EDGE('',*,*,#214580,.F.); #295566=ORIENTED_EDGE('',*,*,#214581,.F.); #295567=ORIENTED_EDGE('',*,*,#214582,.T.); #295568=ORIENTED_EDGE('',*,*,#214581,.T.); #295569=ORIENTED_EDGE('',*,*,#214583,.F.); #295570=ORIENTED_EDGE('',*,*,#214584,.F.); #295571=ORIENTED_EDGE('',*,*,#214585,.T.); #295572=ORIENTED_EDGE('',*,*,#214584,.T.); #295573=ORIENTED_EDGE('',*,*,#214586,.F.); #295574=ORIENTED_EDGE('',*,*,#214587,.F.); #295575=ORIENTED_EDGE('',*,*,#214588,.T.); #295576=ORIENTED_EDGE('',*,*,#214587,.T.); #295577=ORIENTED_EDGE('',*,*,#214589,.F.); #295578=ORIENTED_EDGE('',*,*,#214590,.F.); #295579=ORIENTED_EDGE('',*,*,#214591,.T.); #295580=ORIENTED_EDGE('',*,*,#214590,.T.); #295581=ORIENTED_EDGE('',*,*,#214592,.F.); #295582=ORIENTED_EDGE('',*,*,#214593,.F.); #295583=ORIENTED_EDGE('',*,*,#214594,.T.); #295584=ORIENTED_EDGE('',*,*,#214593,.T.); #295585=ORIENTED_EDGE('',*,*,#214595,.F.); #295586=ORIENTED_EDGE('',*,*,#214596,.F.); #295587=ORIENTED_EDGE('',*,*,#214597,.T.); #295588=ORIENTED_EDGE('',*,*,#214596,.T.); #295589=ORIENTED_EDGE('',*,*,#214598,.F.); #295590=ORIENTED_EDGE('',*,*,#214599,.F.); #295591=ORIENTED_EDGE('',*,*,#214600,.T.); #295592=ORIENTED_EDGE('',*,*,#214599,.T.); #295593=ORIENTED_EDGE('',*,*,#214601,.F.); #295594=ORIENTED_EDGE('',*,*,#214602,.F.); #295595=ORIENTED_EDGE('',*,*,#214603,.T.); #295596=ORIENTED_EDGE('',*,*,#214602,.T.); #295597=ORIENTED_EDGE('',*,*,#214604,.F.); #295598=ORIENTED_EDGE('',*,*,#214605,.F.); #295599=ORIENTED_EDGE('',*,*,#214606,.T.); #295600=ORIENTED_EDGE('',*,*,#214605,.T.); #295601=ORIENTED_EDGE('',*,*,#214607,.F.); #295602=ORIENTED_EDGE('',*,*,#214608,.F.); #295603=ORIENTED_EDGE('',*,*,#214609,.T.); #295604=ORIENTED_EDGE('',*,*,#214608,.T.); #295605=ORIENTED_EDGE('',*,*,#214610,.F.); #295606=ORIENTED_EDGE('',*,*,#214549,.F.); #295607=ORIENTED_EDGE('',*,*,#214610,.T.); #295608=ORIENTED_EDGE('',*,*,#214607,.T.); #295609=ORIENTED_EDGE('',*,*,#214604,.T.); #295610=ORIENTED_EDGE('',*,*,#214601,.T.); #295611=ORIENTED_EDGE('',*,*,#214598,.T.); #295612=ORIENTED_EDGE('',*,*,#214595,.T.); #295613=ORIENTED_EDGE('',*,*,#214592,.T.); #295614=ORIENTED_EDGE('',*,*,#214589,.T.); #295615=ORIENTED_EDGE('',*,*,#214586,.T.); #295616=ORIENTED_EDGE('',*,*,#214583,.T.); #295617=ORIENTED_EDGE('',*,*,#214580,.T.); #295618=ORIENTED_EDGE('',*,*,#214577,.T.); #295619=ORIENTED_EDGE('',*,*,#214574,.T.); #295620=ORIENTED_EDGE('',*,*,#214571,.T.); #295621=ORIENTED_EDGE('',*,*,#214568,.T.); #295622=ORIENTED_EDGE('',*,*,#214565,.T.); #295623=ORIENTED_EDGE('',*,*,#214562,.T.); #295624=ORIENTED_EDGE('',*,*,#214559,.T.); #295625=ORIENTED_EDGE('',*,*,#214556,.T.); #295626=ORIENTED_EDGE('',*,*,#214553,.T.); #295627=ORIENTED_EDGE('',*,*,#214550,.T.); #295628=ORIENTED_EDGE('',*,*,#214609,.F.); #295629=ORIENTED_EDGE('',*,*,#214548,.F.); #295630=ORIENTED_EDGE('',*,*,#214552,.F.); #295631=ORIENTED_EDGE('',*,*,#214555,.F.); #295632=ORIENTED_EDGE('',*,*,#214558,.F.); #295633=ORIENTED_EDGE('',*,*,#214561,.F.); #295634=ORIENTED_EDGE('',*,*,#214564,.F.); #295635=ORIENTED_EDGE('',*,*,#214567,.F.); #295636=ORIENTED_EDGE('',*,*,#214570,.F.); #295637=ORIENTED_EDGE('',*,*,#214573,.F.); #295638=ORIENTED_EDGE('',*,*,#214576,.F.); #295639=ORIENTED_EDGE('',*,*,#214579,.F.); #295640=ORIENTED_EDGE('',*,*,#214582,.F.); #295641=ORIENTED_EDGE('',*,*,#214585,.F.); #295642=ORIENTED_EDGE('',*,*,#214588,.F.); #295643=ORIENTED_EDGE('',*,*,#214591,.F.); #295644=ORIENTED_EDGE('',*,*,#214594,.F.); #295645=ORIENTED_EDGE('',*,*,#214597,.F.); #295646=ORIENTED_EDGE('',*,*,#214600,.F.); #295647=ORIENTED_EDGE('',*,*,#214603,.F.); #295648=ORIENTED_EDGE('',*,*,#214606,.F.); #295649=ORIENTED_EDGE('',*,*,#214611,.T.); #295650=ORIENTED_EDGE('',*,*,#214612,.T.); #295651=ORIENTED_EDGE('',*,*,#214613,.F.); #295652=ORIENTED_EDGE('',*,*,#214614,.F.); #295653=ORIENTED_EDGE('',*,*,#214615,.T.); #295654=ORIENTED_EDGE('',*,*,#214614,.T.); #295655=ORIENTED_EDGE('',*,*,#214616,.F.); #295656=ORIENTED_EDGE('',*,*,#214617,.F.); #295657=ORIENTED_EDGE('',*,*,#214618,.T.); #295658=ORIENTED_EDGE('',*,*,#214617,.T.); #295659=ORIENTED_EDGE('',*,*,#214619,.F.); #295660=ORIENTED_EDGE('',*,*,#214620,.F.); #295661=ORIENTED_EDGE('',*,*,#214621,.T.); #295662=ORIENTED_EDGE('',*,*,#214620,.T.); #295663=ORIENTED_EDGE('',*,*,#214622,.F.); #295664=ORIENTED_EDGE('',*,*,#214623,.F.); #295665=ORIENTED_EDGE('',*,*,#214624,.T.); #295666=ORIENTED_EDGE('',*,*,#214623,.T.); #295667=ORIENTED_EDGE('',*,*,#214625,.F.); #295668=ORIENTED_EDGE('',*,*,#214626,.F.); #295669=ORIENTED_EDGE('',*,*,#214627,.T.); #295670=ORIENTED_EDGE('',*,*,#214626,.T.); #295671=ORIENTED_EDGE('',*,*,#214628,.F.); #295672=ORIENTED_EDGE('',*,*,#214629,.F.); #295673=ORIENTED_EDGE('',*,*,#214630,.T.); #295674=ORIENTED_EDGE('',*,*,#214629,.T.); #295675=ORIENTED_EDGE('',*,*,#214631,.F.); #295676=ORIENTED_EDGE('',*,*,#214632,.F.); #295677=ORIENTED_EDGE('',*,*,#214633,.T.); #295678=ORIENTED_EDGE('',*,*,#214632,.T.); #295679=ORIENTED_EDGE('',*,*,#214634,.F.); #295680=ORIENTED_EDGE('',*,*,#214635,.F.); #295681=ORIENTED_EDGE('',*,*,#214636,.T.); #295682=ORIENTED_EDGE('',*,*,#214635,.T.); #295683=ORIENTED_EDGE('',*,*,#214637,.F.); #295684=ORIENTED_EDGE('',*,*,#214638,.F.); #295685=ORIENTED_EDGE('',*,*,#214639,.T.); #295686=ORIENTED_EDGE('',*,*,#214638,.T.); #295687=ORIENTED_EDGE('',*,*,#214640,.F.); #295688=ORIENTED_EDGE('',*,*,#214641,.F.); #295689=ORIENTED_EDGE('',*,*,#214642,.T.); #295690=ORIENTED_EDGE('',*,*,#214641,.T.); #295691=ORIENTED_EDGE('',*,*,#214643,.F.); #295692=ORIENTED_EDGE('',*,*,#214644,.F.); #295693=ORIENTED_EDGE('',*,*,#214645,.T.); #295694=ORIENTED_EDGE('',*,*,#214644,.T.); #295695=ORIENTED_EDGE('',*,*,#214646,.F.); #295696=ORIENTED_EDGE('',*,*,#214647,.F.); #295697=ORIENTED_EDGE('',*,*,#214648,.T.); #295698=ORIENTED_EDGE('',*,*,#214647,.T.); #295699=ORIENTED_EDGE('',*,*,#214649,.F.); #295700=ORIENTED_EDGE('',*,*,#214650,.F.); #295701=ORIENTED_EDGE('',*,*,#214651,.T.); #295702=ORIENTED_EDGE('',*,*,#214650,.T.); #295703=ORIENTED_EDGE('',*,*,#214652,.F.); #295704=ORIENTED_EDGE('',*,*,#214653,.F.); #295705=ORIENTED_EDGE('',*,*,#214654,.T.); #295706=ORIENTED_EDGE('',*,*,#214653,.T.); #295707=ORIENTED_EDGE('',*,*,#214655,.F.); #295708=ORIENTED_EDGE('',*,*,#214656,.F.); #295709=ORIENTED_EDGE('',*,*,#214657,.T.); #295710=ORIENTED_EDGE('',*,*,#214656,.T.); #295711=ORIENTED_EDGE('',*,*,#214658,.F.); #295712=ORIENTED_EDGE('',*,*,#214659,.F.); #295713=ORIENTED_EDGE('',*,*,#214660,.T.); #295714=ORIENTED_EDGE('',*,*,#214659,.T.); #295715=ORIENTED_EDGE('',*,*,#214661,.F.); #295716=ORIENTED_EDGE('',*,*,#214662,.F.); #295717=ORIENTED_EDGE('',*,*,#214663,.T.); #295718=ORIENTED_EDGE('',*,*,#214662,.T.); #295719=ORIENTED_EDGE('',*,*,#214664,.F.); #295720=ORIENTED_EDGE('',*,*,#214665,.F.); #295721=ORIENTED_EDGE('',*,*,#214666,.T.); #295722=ORIENTED_EDGE('',*,*,#214665,.T.); #295723=ORIENTED_EDGE('',*,*,#214667,.F.); #295724=ORIENTED_EDGE('',*,*,#214668,.F.); #295725=ORIENTED_EDGE('',*,*,#214669,.T.); #295726=ORIENTED_EDGE('',*,*,#214668,.T.); #295727=ORIENTED_EDGE('',*,*,#214670,.F.); #295728=ORIENTED_EDGE('',*,*,#214612,.F.); #295729=ORIENTED_EDGE('',*,*,#214670,.T.); #295730=ORIENTED_EDGE('',*,*,#214667,.T.); #295731=ORIENTED_EDGE('',*,*,#214664,.T.); #295732=ORIENTED_EDGE('',*,*,#214661,.T.); #295733=ORIENTED_EDGE('',*,*,#214658,.T.); #295734=ORIENTED_EDGE('',*,*,#214655,.T.); #295735=ORIENTED_EDGE('',*,*,#214652,.T.); #295736=ORIENTED_EDGE('',*,*,#214649,.T.); #295737=ORIENTED_EDGE('',*,*,#214646,.T.); #295738=ORIENTED_EDGE('',*,*,#214643,.T.); #295739=ORIENTED_EDGE('',*,*,#214640,.T.); #295740=ORIENTED_EDGE('',*,*,#214637,.T.); #295741=ORIENTED_EDGE('',*,*,#214634,.T.); #295742=ORIENTED_EDGE('',*,*,#214631,.T.); #295743=ORIENTED_EDGE('',*,*,#214628,.T.); #295744=ORIENTED_EDGE('',*,*,#214625,.T.); #295745=ORIENTED_EDGE('',*,*,#214622,.T.); #295746=ORIENTED_EDGE('',*,*,#214619,.T.); #295747=ORIENTED_EDGE('',*,*,#214616,.T.); #295748=ORIENTED_EDGE('',*,*,#214613,.T.); #295749=ORIENTED_EDGE('',*,*,#214669,.F.); #295750=ORIENTED_EDGE('',*,*,#214611,.F.); #295751=ORIENTED_EDGE('',*,*,#214615,.F.); #295752=ORIENTED_EDGE('',*,*,#214618,.F.); #295753=ORIENTED_EDGE('',*,*,#214621,.F.); #295754=ORIENTED_EDGE('',*,*,#214624,.F.); #295755=ORIENTED_EDGE('',*,*,#214627,.F.); #295756=ORIENTED_EDGE('',*,*,#214630,.F.); #295757=ORIENTED_EDGE('',*,*,#214633,.F.); #295758=ORIENTED_EDGE('',*,*,#214636,.F.); #295759=ORIENTED_EDGE('',*,*,#214639,.F.); #295760=ORIENTED_EDGE('',*,*,#214642,.F.); #295761=ORIENTED_EDGE('',*,*,#214645,.F.); #295762=ORIENTED_EDGE('',*,*,#214648,.F.); #295763=ORIENTED_EDGE('',*,*,#214651,.F.); #295764=ORIENTED_EDGE('',*,*,#214654,.F.); #295765=ORIENTED_EDGE('',*,*,#214657,.F.); #295766=ORIENTED_EDGE('',*,*,#214660,.F.); #295767=ORIENTED_EDGE('',*,*,#214663,.F.); #295768=ORIENTED_EDGE('',*,*,#214666,.F.); #295769=ORIENTED_EDGE('',*,*,#214671,.T.); #295770=ORIENTED_EDGE('',*,*,#214672,.T.); #295771=ORIENTED_EDGE('',*,*,#214673,.F.); #295772=ORIENTED_EDGE('',*,*,#214674,.F.); #295773=ORIENTED_EDGE('',*,*,#214675,.T.); #295774=ORIENTED_EDGE('',*,*,#214674,.T.); #295775=ORIENTED_EDGE('',*,*,#214676,.F.); #295776=ORIENTED_EDGE('',*,*,#214677,.F.); #295777=ORIENTED_EDGE('',*,*,#214678,.T.); #295778=ORIENTED_EDGE('',*,*,#214677,.T.); #295779=ORIENTED_EDGE('',*,*,#214679,.F.); #295780=ORIENTED_EDGE('',*,*,#214680,.F.); #295781=ORIENTED_EDGE('',*,*,#214681,.T.); #295782=ORIENTED_EDGE('',*,*,#214680,.T.); #295783=ORIENTED_EDGE('',*,*,#214682,.F.); #295784=ORIENTED_EDGE('',*,*,#214683,.F.); #295785=ORIENTED_EDGE('',*,*,#214684,.T.); #295786=ORIENTED_EDGE('',*,*,#214683,.T.); #295787=ORIENTED_EDGE('',*,*,#214685,.F.); #295788=ORIENTED_EDGE('',*,*,#214686,.F.); #295789=ORIENTED_EDGE('',*,*,#214687,.T.); #295790=ORIENTED_EDGE('',*,*,#214686,.T.); #295791=ORIENTED_EDGE('',*,*,#214688,.F.); #295792=ORIENTED_EDGE('',*,*,#214689,.F.); #295793=ORIENTED_EDGE('',*,*,#214690,.T.); #295794=ORIENTED_EDGE('',*,*,#214689,.T.); #295795=ORIENTED_EDGE('',*,*,#214691,.F.); #295796=ORIENTED_EDGE('',*,*,#214692,.F.); #295797=ORIENTED_EDGE('',*,*,#214693,.T.); #295798=ORIENTED_EDGE('',*,*,#214692,.T.); #295799=ORIENTED_EDGE('',*,*,#214694,.F.); #295800=ORIENTED_EDGE('',*,*,#214695,.F.); #295801=ORIENTED_EDGE('',*,*,#214696,.T.); #295802=ORIENTED_EDGE('',*,*,#214695,.T.); #295803=ORIENTED_EDGE('',*,*,#214697,.F.); #295804=ORIENTED_EDGE('',*,*,#214698,.F.); #295805=ORIENTED_EDGE('',*,*,#214699,.T.); #295806=ORIENTED_EDGE('',*,*,#214698,.T.); #295807=ORIENTED_EDGE('',*,*,#214700,.F.); #295808=ORIENTED_EDGE('',*,*,#214701,.F.); #295809=ORIENTED_EDGE('',*,*,#214702,.T.); #295810=ORIENTED_EDGE('',*,*,#214701,.T.); #295811=ORIENTED_EDGE('',*,*,#214703,.F.); #295812=ORIENTED_EDGE('',*,*,#214704,.F.); #295813=ORIENTED_EDGE('',*,*,#214705,.T.); #295814=ORIENTED_EDGE('',*,*,#214704,.T.); #295815=ORIENTED_EDGE('',*,*,#214706,.F.); #295816=ORIENTED_EDGE('',*,*,#214707,.F.); #295817=ORIENTED_EDGE('',*,*,#214708,.T.); #295818=ORIENTED_EDGE('',*,*,#214707,.T.); #295819=ORIENTED_EDGE('',*,*,#214709,.F.); #295820=ORIENTED_EDGE('',*,*,#214710,.F.); #295821=ORIENTED_EDGE('',*,*,#214711,.T.); #295822=ORIENTED_EDGE('',*,*,#214710,.T.); #295823=ORIENTED_EDGE('',*,*,#214712,.F.); #295824=ORIENTED_EDGE('',*,*,#214713,.F.); #295825=ORIENTED_EDGE('',*,*,#214714,.T.); #295826=ORIENTED_EDGE('',*,*,#214713,.T.); #295827=ORIENTED_EDGE('',*,*,#214715,.F.); #295828=ORIENTED_EDGE('',*,*,#214716,.F.); #295829=ORIENTED_EDGE('',*,*,#214717,.T.); #295830=ORIENTED_EDGE('',*,*,#214716,.T.); #295831=ORIENTED_EDGE('',*,*,#214718,.F.); #295832=ORIENTED_EDGE('',*,*,#214719,.F.); #295833=ORIENTED_EDGE('',*,*,#214720,.T.); #295834=ORIENTED_EDGE('',*,*,#214719,.T.); #295835=ORIENTED_EDGE('',*,*,#214721,.F.); #295836=ORIENTED_EDGE('',*,*,#214722,.F.); #295837=ORIENTED_EDGE('',*,*,#214723,.T.); #295838=ORIENTED_EDGE('',*,*,#214722,.T.); #295839=ORIENTED_EDGE('',*,*,#214724,.F.); #295840=ORIENTED_EDGE('',*,*,#214725,.F.); #295841=ORIENTED_EDGE('',*,*,#214726,.T.); #295842=ORIENTED_EDGE('',*,*,#214725,.T.); #295843=ORIENTED_EDGE('',*,*,#214727,.F.); #295844=ORIENTED_EDGE('',*,*,#214728,.F.); #295845=ORIENTED_EDGE('',*,*,#214729,.T.); #295846=ORIENTED_EDGE('',*,*,#214728,.T.); #295847=ORIENTED_EDGE('',*,*,#214730,.F.); #295848=ORIENTED_EDGE('',*,*,#214731,.F.); #295849=ORIENTED_EDGE('',*,*,#214732,.T.); #295850=ORIENTED_EDGE('',*,*,#214731,.T.); #295851=ORIENTED_EDGE('',*,*,#214733,.F.); #295852=ORIENTED_EDGE('',*,*,#214734,.F.); #295853=ORIENTED_EDGE('',*,*,#214735,.T.); #295854=ORIENTED_EDGE('',*,*,#214734,.T.); #295855=ORIENTED_EDGE('',*,*,#214736,.F.); #295856=ORIENTED_EDGE('',*,*,#214737,.F.); #295857=ORIENTED_EDGE('',*,*,#214738,.T.); #295858=ORIENTED_EDGE('',*,*,#214737,.T.); #295859=ORIENTED_EDGE('',*,*,#214739,.F.); #295860=ORIENTED_EDGE('',*,*,#214740,.F.); #295861=ORIENTED_EDGE('',*,*,#214741,.T.); #295862=ORIENTED_EDGE('',*,*,#214740,.T.); #295863=ORIENTED_EDGE('',*,*,#214742,.F.); #295864=ORIENTED_EDGE('',*,*,#214743,.F.); #295865=ORIENTED_EDGE('',*,*,#214744,.T.); #295866=ORIENTED_EDGE('',*,*,#214743,.T.); #295867=ORIENTED_EDGE('',*,*,#214745,.F.); #295868=ORIENTED_EDGE('',*,*,#214746,.F.); #295869=ORIENTED_EDGE('',*,*,#214747,.T.); #295870=ORIENTED_EDGE('',*,*,#214746,.T.); #295871=ORIENTED_EDGE('',*,*,#214748,.F.); #295872=ORIENTED_EDGE('',*,*,#214749,.F.); #295873=ORIENTED_EDGE('',*,*,#214750,.T.); #295874=ORIENTED_EDGE('',*,*,#214749,.T.); #295875=ORIENTED_EDGE('',*,*,#214751,.F.); #295876=ORIENTED_EDGE('',*,*,#214752,.F.); #295877=ORIENTED_EDGE('',*,*,#214753,.T.); #295878=ORIENTED_EDGE('',*,*,#214752,.T.); #295879=ORIENTED_EDGE('',*,*,#214754,.F.); #295880=ORIENTED_EDGE('',*,*,#214755,.F.); #295881=ORIENTED_EDGE('',*,*,#214756,.T.); #295882=ORIENTED_EDGE('',*,*,#214755,.T.); #295883=ORIENTED_EDGE('',*,*,#214757,.F.); #295884=ORIENTED_EDGE('',*,*,#214758,.F.); #295885=ORIENTED_EDGE('',*,*,#214759,.T.); #295886=ORIENTED_EDGE('',*,*,#214758,.T.); #295887=ORIENTED_EDGE('',*,*,#214760,.F.); #295888=ORIENTED_EDGE('',*,*,#214761,.F.); #295889=ORIENTED_EDGE('',*,*,#214762,.T.); #295890=ORIENTED_EDGE('',*,*,#214761,.T.); #295891=ORIENTED_EDGE('',*,*,#214763,.F.); #295892=ORIENTED_EDGE('',*,*,#214764,.F.); #295893=ORIENTED_EDGE('',*,*,#214765,.T.); #295894=ORIENTED_EDGE('',*,*,#214764,.T.); #295895=ORIENTED_EDGE('',*,*,#214766,.F.); #295896=ORIENTED_EDGE('',*,*,#214767,.F.); #295897=ORIENTED_EDGE('',*,*,#214768,.T.); #295898=ORIENTED_EDGE('',*,*,#214767,.T.); #295899=ORIENTED_EDGE('',*,*,#214769,.F.); #295900=ORIENTED_EDGE('',*,*,#214770,.F.); #295901=ORIENTED_EDGE('',*,*,#214771,.T.); #295902=ORIENTED_EDGE('',*,*,#214770,.T.); #295903=ORIENTED_EDGE('',*,*,#214772,.F.); #295904=ORIENTED_EDGE('',*,*,#214773,.F.); #295905=ORIENTED_EDGE('',*,*,#214774,.T.); #295906=ORIENTED_EDGE('',*,*,#214773,.T.); #295907=ORIENTED_EDGE('',*,*,#214775,.F.); #295908=ORIENTED_EDGE('',*,*,#214776,.F.); #295909=ORIENTED_EDGE('',*,*,#214777,.T.); #295910=ORIENTED_EDGE('',*,*,#214776,.T.); #295911=ORIENTED_EDGE('',*,*,#214778,.F.); #295912=ORIENTED_EDGE('',*,*,#214779,.F.); #295913=ORIENTED_EDGE('',*,*,#214780,.T.); #295914=ORIENTED_EDGE('',*,*,#214779,.T.); #295915=ORIENTED_EDGE('',*,*,#214781,.F.); #295916=ORIENTED_EDGE('',*,*,#214782,.F.); #295917=ORIENTED_EDGE('',*,*,#214783,.T.); #295918=ORIENTED_EDGE('',*,*,#214782,.T.); #295919=ORIENTED_EDGE('',*,*,#214784,.F.); #295920=ORIENTED_EDGE('',*,*,#214785,.F.); #295921=ORIENTED_EDGE('',*,*,#214786,.T.); #295922=ORIENTED_EDGE('',*,*,#214785,.T.); #295923=ORIENTED_EDGE('',*,*,#214787,.F.); #295924=ORIENTED_EDGE('',*,*,#214788,.F.); #295925=ORIENTED_EDGE('',*,*,#214789,.T.); #295926=ORIENTED_EDGE('',*,*,#214788,.T.); #295927=ORIENTED_EDGE('',*,*,#214790,.F.); #295928=ORIENTED_EDGE('',*,*,#214791,.F.); #295929=ORIENTED_EDGE('',*,*,#214792,.T.); #295930=ORIENTED_EDGE('',*,*,#214791,.T.); #295931=ORIENTED_EDGE('',*,*,#214793,.F.); #295932=ORIENTED_EDGE('',*,*,#214794,.F.); #295933=ORIENTED_EDGE('',*,*,#214795,.T.); #295934=ORIENTED_EDGE('',*,*,#214794,.T.); #295935=ORIENTED_EDGE('',*,*,#214796,.F.); #295936=ORIENTED_EDGE('',*,*,#214797,.F.); #295937=ORIENTED_EDGE('',*,*,#214798,.T.); #295938=ORIENTED_EDGE('',*,*,#214797,.T.); #295939=ORIENTED_EDGE('',*,*,#214799,.F.); #295940=ORIENTED_EDGE('',*,*,#214800,.F.); #295941=ORIENTED_EDGE('',*,*,#214801,.T.); #295942=ORIENTED_EDGE('',*,*,#214800,.T.); #295943=ORIENTED_EDGE('',*,*,#214802,.F.); #295944=ORIENTED_EDGE('',*,*,#214803,.F.); #295945=ORIENTED_EDGE('',*,*,#214804,.T.); #295946=ORIENTED_EDGE('',*,*,#214803,.T.); #295947=ORIENTED_EDGE('',*,*,#214805,.F.); #295948=ORIENTED_EDGE('',*,*,#214806,.F.); #295949=ORIENTED_EDGE('',*,*,#214807,.T.); #295950=ORIENTED_EDGE('',*,*,#214806,.T.); #295951=ORIENTED_EDGE('',*,*,#214808,.F.); #295952=ORIENTED_EDGE('',*,*,#214809,.F.); #295953=ORIENTED_EDGE('',*,*,#214810,.T.); #295954=ORIENTED_EDGE('',*,*,#214809,.T.); #295955=ORIENTED_EDGE('',*,*,#214811,.F.); #295956=ORIENTED_EDGE('',*,*,#214672,.F.); #295957=ORIENTED_EDGE('',*,*,#214811,.T.); #295958=ORIENTED_EDGE('',*,*,#214808,.T.); #295959=ORIENTED_EDGE('',*,*,#214805,.T.); #295960=ORIENTED_EDGE('',*,*,#214802,.T.); #295961=ORIENTED_EDGE('',*,*,#214799,.T.); #295962=ORIENTED_EDGE('',*,*,#214796,.T.); #295963=ORIENTED_EDGE('',*,*,#214793,.T.); #295964=ORIENTED_EDGE('',*,*,#214790,.T.); #295965=ORIENTED_EDGE('',*,*,#214787,.T.); #295966=ORIENTED_EDGE('',*,*,#214784,.T.); #295967=ORIENTED_EDGE('',*,*,#214781,.T.); #295968=ORIENTED_EDGE('',*,*,#214778,.T.); #295969=ORIENTED_EDGE('',*,*,#214775,.T.); #295970=ORIENTED_EDGE('',*,*,#214772,.T.); #295971=ORIENTED_EDGE('',*,*,#214769,.T.); #295972=ORIENTED_EDGE('',*,*,#214766,.T.); #295973=ORIENTED_EDGE('',*,*,#214763,.T.); #295974=ORIENTED_EDGE('',*,*,#214760,.T.); #295975=ORIENTED_EDGE('',*,*,#214757,.T.); #295976=ORIENTED_EDGE('',*,*,#214754,.T.); #295977=ORIENTED_EDGE('',*,*,#214751,.T.); #295978=ORIENTED_EDGE('',*,*,#214748,.T.); #295979=ORIENTED_EDGE('',*,*,#214745,.T.); #295980=ORIENTED_EDGE('',*,*,#214742,.T.); #295981=ORIENTED_EDGE('',*,*,#214739,.T.); #295982=ORIENTED_EDGE('',*,*,#214736,.T.); #295983=ORIENTED_EDGE('',*,*,#214733,.T.); #295984=ORIENTED_EDGE('',*,*,#214730,.T.); #295985=ORIENTED_EDGE('',*,*,#214727,.T.); #295986=ORIENTED_EDGE('',*,*,#214724,.T.); #295987=ORIENTED_EDGE('',*,*,#214721,.T.); #295988=ORIENTED_EDGE('',*,*,#214718,.T.); #295989=ORIENTED_EDGE('',*,*,#214715,.T.); #295990=ORIENTED_EDGE('',*,*,#214712,.T.); #295991=ORIENTED_EDGE('',*,*,#214709,.T.); #295992=ORIENTED_EDGE('',*,*,#214706,.T.); #295993=ORIENTED_EDGE('',*,*,#214703,.T.); #295994=ORIENTED_EDGE('',*,*,#214700,.T.); #295995=ORIENTED_EDGE('',*,*,#214697,.T.); #295996=ORIENTED_EDGE('',*,*,#214694,.T.); #295997=ORIENTED_EDGE('',*,*,#214691,.T.); #295998=ORIENTED_EDGE('',*,*,#214688,.T.); #295999=ORIENTED_EDGE('',*,*,#214685,.T.); #296000=ORIENTED_EDGE('',*,*,#214682,.T.); #296001=ORIENTED_EDGE('',*,*,#214679,.T.); #296002=ORIENTED_EDGE('',*,*,#214676,.T.); #296003=ORIENTED_EDGE('',*,*,#214673,.T.); #296004=ORIENTED_EDGE('',*,*,#214810,.F.); #296005=ORIENTED_EDGE('',*,*,#214671,.F.); #296006=ORIENTED_EDGE('',*,*,#214675,.F.); #296007=ORIENTED_EDGE('',*,*,#214678,.F.); #296008=ORIENTED_EDGE('',*,*,#214681,.F.); #296009=ORIENTED_EDGE('',*,*,#214684,.F.); #296010=ORIENTED_EDGE('',*,*,#214687,.F.); #296011=ORIENTED_EDGE('',*,*,#214690,.F.); #296012=ORIENTED_EDGE('',*,*,#214693,.F.); #296013=ORIENTED_EDGE('',*,*,#214696,.F.); #296014=ORIENTED_EDGE('',*,*,#214699,.F.); #296015=ORIENTED_EDGE('',*,*,#214702,.F.); #296016=ORIENTED_EDGE('',*,*,#214705,.F.); #296017=ORIENTED_EDGE('',*,*,#214708,.F.); #296018=ORIENTED_EDGE('',*,*,#214711,.F.); #296019=ORIENTED_EDGE('',*,*,#214714,.F.); #296020=ORIENTED_EDGE('',*,*,#214717,.F.); #296021=ORIENTED_EDGE('',*,*,#214720,.F.); #296022=ORIENTED_EDGE('',*,*,#214723,.F.); #296023=ORIENTED_EDGE('',*,*,#214726,.F.); #296024=ORIENTED_EDGE('',*,*,#214729,.F.); #296025=ORIENTED_EDGE('',*,*,#214732,.F.); #296026=ORIENTED_EDGE('',*,*,#214735,.F.); #296027=ORIENTED_EDGE('',*,*,#214738,.F.); #296028=ORIENTED_EDGE('',*,*,#214741,.F.); #296029=ORIENTED_EDGE('',*,*,#214744,.F.); #296030=ORIENTED_EDGE('',*,*,#214747,.F.); #296031=ORIENTED_EDGE('',*,*,#214750,.F.); #296032=ORIENTED_EDGE('',*,*,#214753,.F.); #296033=ORIENTED_EDGE('',*,*,#214756,.F.); #296034=ORIENTED_EDGE('',*,*,#214759,.F.); #296035=ORIENTED_EDGE('',*,*,#214762,.F.); #296036=ORIENTED_EDGE('',*,*,#214765,.F.); #296037=ORIENTED_EDGE('',*,*,#214768,.F.); #296038=ORIENTED_EDGE('',*,*,#214771,.F.); #296039=ORIENTED_EDGE('',*,*,#214774,.F.); #296040=ORIENTED_EDGE('',*,*,#214777,.F.); #296041=ORIENTED_EDGE('',*,*,#214780,.F.); #296042=ORIENTED_EDGE('',*,*,#214783,.F.); #296043=ORIENTED_EDGE('',*,*,#214786,.F.); #296044=ORIENTED_EDGE('',*,*,#214789,.F.); #296045=ORIENTED_EDGE('',*,*,#214792,.F.); #296046=ORIENTED_EDGE('',*,*,#214795,.F.); #296047=ORIENTED_EDGE('',*,*,#214798,.F.); #296048=ORIENTED_EDGE('',*,*,#214801,.F.); #296049=ORIENTED_EDGE('',*,*,#214804,.F.); #296050=ORIENTED_EDGE('',*,*,#214807,.F.); #296051=ORIENTED_EDGE('',*,*,#214812,.T.); #296052=ORIENTED_EDGE('',*,*,#214813,.T.); #296053=ORIENTED_EDGE('',*,*,#214814,.F.); #296054=ORIENTED_EDGE('',*,*,#214815,.F.); #296055=ORIENTED_EDGE('',*,*,#214816,.T.); #296056=ORIENTED_EDGE('',*,*,#214815,.T.); #296057=ORIENTED_EDGE('',*,*,#214817,.F.); #296058=ORIENTED_EDGE('',*,*,#214818,.F.); #296059=ORIENTED_EDGE('',*,*,#214819,.T.); #296060=ORIENTED_EDGE('',*,*,#214818,.T.); #296061=ORIENTED_EDGE('',*,*,#214820,.F.); #296062=ORIENTED_EDGE('',*,*,#214821,.F.); #296063=ORIENTED_EDGE('',*,*,#214822,.T.); #296064=ORIENTED_EDGE('',*,*,#214821,.T.); #296065=ORIENTED_EDGE('',*,*,#214823,.F.); #296066=ORIENTED_EDGE('',*,*,#214824,.F.); #296067=ORIENTED_EDGE('',*,*,#214825,.T.); #296068=ORIENTED_EDGE('',*,*,#214824,.T.); #296069=ORIENTED_EDGE('',*,*,#214826,.F.); #296070=ORIENTED_EDGE('',*,*,#214827,.F.); #296071=ORIENTED_EDGE('',*,*,#214828,.T.); #296072=ORIENTED_EDGE('',*,*,#214827,.T.); #296073=ORIENTED_EDGE('',*,*,#214829,.F.); #296074=ORIENTED_EDGE('',*,*,#214830,.F.); #296075=ORIENTED_EDGE('',*,*,#214831,.T.); #296076=ORIENTED_EDGE('',*,*,#214830,.T.); #296077=ORIENTED_EDGE('',*,*,#214832,.F.); #296078=ORIENTED_EDGE('',*,*,#214833,.F.); #296079=ORIENTED_EDGE('',*,*,#214834,.T.); #296080=ORIENTED_EDGE('',*,*,#214833,.T.); #296081=ORIENTED_EDGE('',*,*,#214835,.F.); #296082=ORIENTED_EDGE('',*,*,#214836,.F.); #296083=ORIENTED_EDGE('',*,*,#214837,.T.); #296084=ORIENTED_EDGE('',*,*,#214836,.T.); #296085=ORIENTED_EDGE('',*,*,#214838,.F.); #296086=ORIENTED_EDGE('',*,*,#214839,.F.); #296087=ORIENTED_EDGE('',*,*,#214840,.T.); #296088=ORIENTED_EDGE('',*,*,#214839,.T.); #296089=ORIENTED_EDGE('',*,*,#214841,.F.); #296090=ORIENTED_EDGE('',*,*,#214842,.F.); #296091=ORIENTED_EDGE('',*,*,#214843,.T.); #296092=ORIENTED_EDGE('',*,*,#214842,.T.); #296093=ORIENTED_EDGE('',*,*,#214844,.F.); #296094=ORIENTED_EDGE('',*,*,#214845,.F.); #296095=ORIENTED_EDGE('',*,*,#214846,.T.); #296096=ORIENTED_EDGE('',*,*,#214845,.T.); #296097=ORIENTED_EDGE('',*,*,#214847,.F.); #296098=ORIENTED_EDGE('',*,*,#214848,.F.); #296099=ORIENTED_EDGE('',*,*,#214849,.T.); #296100=ORIENTED_EDGE('',*,*,#214848,.T.); #296101=ORIENTED_EDGE('',*,*,#214850,.F.); #296102=ORIENTED_EDGE('',*,*,#214851,.F.); #296103=ORIENTED_EDGE('',*,*,#214852,.T.); #296104=ORIENTED_EDGE('',*,*,#214851,.T.); #296105=ORIENTED_EDGE('',*,*,#214853,.F.); #296106=ORIENTED_EDGE('',*,*,#214854,.F.); #296107=ORIENTED_EDGE('',*,*,#214855,.T.); #296108=ORIENTED_EDGE('',*,*,#214854,.T.); #296109=ORIENTED_EDGE('',*,*,#214856,.F.); #296110=ORIENTED_EDGE('',*,*,#214857,.F.); #296111=ORIENTED_EDGE('',*,*,#214858,.T.); #296112=ORIENTED_EDGE('',*,*,#214857,.T.); #296113=ORIENTED_EDGE('',*,*,#214859,.F.); #296114=ORIENTED_EDGE('',*,*,#214860,.F.); #296115=ORIENTED_EDGE('',*,*,#214861,.T.); #296116=ORIENTED_EDGE('',*,*,#214860,.T.); #296117=ORIENTED_EDGE('',*,*,#214862,.F.); #296118=ORIENTED_EDGE('',*,*,#214863,.F.); #296119=ORIENTED_EDGE('',*,*,#214864,.T.); #296120=ORIENTED_EDGE('',*,*,#214863,.T.); #296121=ORIENTED_EDGE('',*,*,#214865,.F.); #296122=ORIENTED_EDGE('',*,*,#214866,.F.); #296123=ORIENTED_EDGE('',*,*,#214867,.T.); #296124=ORIENTED_EDGE('',*,*,#214866,.T.); #296125=ORIENTED_EDGE('',*,*,#214868,.F.); #296126=ORIENTED_EDGE('',*,*,#214869,.F.); #296127=ORIENTED_EDGE('',*,*,#214870,.T.); #296128=ORIENTED_EDGE('',*,*,#214869,.T.); #296129=ORIENTED_EDGE('',*,*,#214871,.F.); #296130=ORIENTED_EDGE('',*,*,#214872,.F.); #296131=ORIENTED_EDGE('',*,*,#214873,.T.); #296132=ORIENTED_EDGE('',*,*,#214872,.T.); #296133=ORIENTED_EDGE('',*,*,#214874,.F.); #296134=ORIENTED_EDGE('',*,*,#214875,.F.); #296135=ORIENTED_EDGE('',*,*,#214876,.T.); #296136=ORIENTED_EDGE('',*,*,#214875,.T.); #296137=ORIENTED_EDGE('',*,*,#214877,.F.); #296138=ORIENTED_EDGE('',*,*,#214878,.F.); #296139=ORIENTED_EDGE('',*,*,#214879,.T.); #296140=ORIENTED_EDGE('',*,*,#214878,.T.); #296141=ORIENTED_EDGE('',*,*,#214880,.F.); #296142=ORIENTED_EDGE('',*,*,#214881,.F.); #296143=ORIENTED_EDGE('',*,*,#214882,.T.); #296144=ORIENTED_EDGE('',*,*,#214881,.T.); #296145=ORIENTED_EDGE('',*,*,#214883,.F.); #296146=ORIENTED_EDGE('',*,*,#214884,.F.); #296147=ORIENTED_EDGE('',*,*,#214885,.T.); #296148=ORIENTED_EDGE('',*,*,#214884,.T.); #296149=ORIENTED_EDGE('',*,*,#214886,.F.); #296150=ORIENTED_EDGE('',*,*,#214887,.F.); #296151=ORIENTED_EDGE('',*,*,#214888,.T.); #296152=ORIENTED_EDGE('',*,*,#214887,.T.); #296153=ORIENTED_EDGE('',*,*,#214889,.F.); #296154=ORIENTED_EDGE('',*,*,#214890,.F.); #296155=ORIENTED_EDGE('',*,*,#214891,.T.); #296156=ORIENTED_EDGE('',*,*,#214890,.T.); #296157=ORIENTED_EDGE('',*,*,#214892,.F.); #296158=ORIENTED_EDGE('',*,*,#214893,.F.); #296159=ORIENTED_EDGE('',*,*,#214894,.T.); #296160=ORIENTED_EDGE('',*,*,#214893,.T.); #296161=ORIENTED_EDGE('',*,*,#214895,.F.); #296162=ORIENTED_EDGE('',*,*,#214896,.F.); #296163=ORIENTED_EDGE('',*,*,#214897,.T.); #296164=ORIENTED_EDGE('',*,*,#214896,.T.); #296165=ORIENTED_EDGE('',*,*,#214898,.F.); #296166=ORIENTED_EDGE('',*,*,#214899,.F.); #296167=ORIENTED_EDGE('',*,*,#214900,.T.); #296168=ORIENTED_EDGE('',*,*,#214899,.T.); #296169=ORIENTED_EDGE('',*,*,#214901,.F.); #296170=ORIENTED_EDGE('',*,*,#214902,.F.); #296171=ORIENTED_EDGE('',*,*,#214903,.T.); #296172=ORIENTED_EDGE('',*,*,#214902,.T.); #296173=ORIENTED_EDGE('',*,*,#214904,.F.); #296174=ORIENTED_EDGE('',*,*,#214905,.F.); #296175=ORIENTED_EDGE('',*,*,#214906,.T.); #296176=ORIENTED_EDGE('',*,*,#214905,.T.); #296177=ORIENTED_EDGE('',*,*,#214907,.F.); #296178=ORIENTED_EDGE('',*,*,#214908,.F.); #296179=ORIENTED_EDGE('',*,*,#214909,.T.); #296180=ORIENTED_EDGE('',*,*,#214908,.T.); #296181=ORIENTED_EDGE('',*,*,#214910,.F.); #296182=ORIENTED_EDGE('',*,*,#214911,.F.); #296183=ORIENTED_EDGE('',*,*,#214912,.T.); #296184=ORIENTED_EDGE('',*,*,#214911,.T.); #296185=ORIENTED_EDGE('',*,*,#214913,.F.); #296186=ORIENTED_EDGE('',*,*,#214813,.F.); #296187=ORIENTED_EDGE('',*,*,#214913,.T.); #296188=ORIENTED_EDGE('',*,*,#214910,.T.); #296189=ORIENTED_EDGE('',*,*,#214907,.T.); #296190=ORIENTED_EDGE('',*,*,#214904,.T.); #296191=ORIENTED_EDGE('',*,*,#214901,.T.); #296192=ORIENTED_EDGE('',*,*,#214898,.T.); #296193=ORIENTED_EDGE('',*,*,#214895,.T.); #296194=ORIENTED_EDGE('',*,*,#214892,.T.); #296195=ORIENTED_EDGE('',*,*,#214889,.T.); #296196=ORIENTED_EDGE('',*,*,#214886,.T.); #296197=ORIENTED_EDGE('',*,*,#214883,.T.); #296198=ORIENTED_EDGE('',*,*,#214880,.T.); #296199=ORIENTED_EDGE('',*,*,#214877,.T.); #296200=ORIENTED_EDGE('',*,*,#214874,.T.); #296201=ORIENTED_EDGE('',*,*,#214871,.T.); #296202=ORIENTED_EDGE('',*,*,#214868,.T.); #296203=ORIENTED_EDGE('',*,*,#214865,.T.); #296204=ORIENTED_EDGE('',*,*,#214862,.T.); #296205=ORIENTED_EDGE('',*,*,#214859,.T.); #296206=ORIENTED_EDGE('',*,*,#214856,.T.); #296207=ORIENTED_EDGE('',*,*,#214853,.T.); #296208=ORIENTED_EDGE('',*,*,#214850,.T.); #296209=ORIENTED_EDGE('',*,*,#214847,.T.); #296210=ORIENTED_EDGE('',*,*,#214844,.T.); #296211=ORIENTED_EDGE('',*,*,#214841,.T.); #296212=ORIENTED_EDGE('',*,*,#214838,.T.); #296213=ORIENTED_EDGE('',*,*,#214835,.T.); #296214=ORIENTED_EDGE('',*,*,#214832,.T.); #296215=ORIENTED_EDGE('',*,*,#214829,.T.); #296216=ORIENTED_EDGE('',*,*,#214826,.T.); #296217=ORIENTED_EDGE('',*,*,#214823,.T.); #296218=ORIENTED_EDGE('',*,*,#214820,.T.); #296219=ORIENTED_EDGE('',*,*,#214817,.T.); #296220=ORIENTED_EDGE('',*,*,#214814,.T.); #296221=ORIENTED_EDGE('',*,*,#214912,.F.); #296222=ORIENTED_EDGE('',*,*,#214812,.F.); #296223=ORIENTED_EDGE('',*,*,#214816,.F.); #296224=ORIENTED_EDGE('',*,*,#214819,.F.); #296225=ORIENTED_EDGE('',*,*,#214822,.F.); #296226=ORIENTED_EDGE('',*,*,#214825,.F.); #296227=ORIENTED_EDGE('',*,*,#214828,.F.); #296228=ORIENTED_EDGE('',*,*,#214831,.F.); #296229=ORIENTED_EDGE('',*,*,#214834,.F.); #296230=ORIENTED_EDGE('',*,*,#214837,.F.); #296231=ORIENTED_EDGE('',*,*,#214840,.F.); #296232=ORIENTED_EDGE('',*,*,#214843,.F.); #296233=ORIENTED_EDGE('',*,*,#214846,.F.); #296234=ORIENTED_EDGE('',*,*,#214849,.F.); #296235=ORIENTED_EDGE('',*,*,#214852,.F.); #296236=ORIENTED_EDGE('',*,*,#214855,.F.); #296237=ORIENTED_EDGE('',*,*,#214858,.F.); #296238=ORIENTED_EDGE('',*,*,#214861,.F.); #296239=ORIENTED_EDGE('',*,*,#214864,.F.); #296240=ORIENTED_EDGE('',*,*,#214867,.F.); #296241=ORIENTED_EDGE('',*,*,#214870,.F.); #296242=ORIENTED_EDGE('',*,*,#214873,.F.); #296243=ORIENTED_EDGE('',*,*,#214876,.F.); #296244=ORIENTED_EDGE('',*,*,#214879,.F.); #296245=ORIENTED_EDGE('',*,*,#214882,.F.); #296246=ORIENTED_EDGE('',*,*,#214885,.F.); #296247=ORIENTED_EDGE('',*,*,#214888,.F.); #296248=ORIENTED_EDGE('',*,*,#214891,.F.); #296249=ORIENTED_EDGE('',*,*,#214894,.F.); #296250=ORIENTED_EDGE('',*,*,#214897,.F.); #296251=ORIENTED_EDGE('',*,*,#214900,.F.); #296252=ORIENTED_EDGE('',*,*,#214903,.F.); #296253=ORIENTED_EDGE('',*,*,#214906,.F.); #296254=ORIENTED_EDGE('',*,*,#214909,.F.); #296255=ORIENTED_EDGE('',*,*,#214914,.T.); #296256=ORIENTED_EDGE('',*,*,#214915,.T.); #296257=ORIENTED_EDGE('',*,*,#214916,.F.); #296258=ORIENTED_EDGE('',*,*,#214917,.F.); #296259=ORIENTED_EDGE('',*,*,#214918,.T.); #296260=ORIENTED_EDGE('',*,*,#214917,.T.); #296261=ORIENTED_EDGE('',*,*,#214919,.F.); #296262=ORIENTED_EDGE('',*,*,#214920,.F.); #296263=ORIENTED_EDGE('',*,*,#214921,.T.); #296264=ORIENTED_EDGE('',*,*,#214920,.T.); #296265=ORIENTED_EDGE('',*,*,#214922,.F.); #296266=ORIENTED_EDGE('',*,*,#214923,.F.); #296267=ORIENTED_EDGE('',*,*,#214924,.T.); #296268=ORIENTED_EDGE('',*,*,#214923,.T.); #296269=ORIENTED_EDGE('',*,*,#214925,.F.); #296270=ORIENTED_EDGE('',*,*,#214926,.F.); #296271=ORIENTED_EDGE('',*,*,#214927,.T.); #296272=ORIENTED_EDGE('',*,*,#214926,.T.); #296273=ORIENTED_EDGE('',*,*,#214928,.F.); #296274=ORIENTED_EDGE('',*,*,#214929,.F.); #296275=ORIENTED_EDGE('',*,*,#214930,.T.); #296276=ORIENTED_EDGE('',*,*,#214929,.T.); #296277=ORIENTED_EDGE('',*,*,#214931,.F.); #296278=ORIENTED_EDGE('',*,*,#214932,.F.); #296279=ORIENTED_EDGE('',*,*,#214933,.T.); #296280=ORIENTED_EDGE('',*,*,#214932,.T.); #296281=ORIENTED_EDGE('',*,*,#214934,.F.); #296282=ORIENTED_EDGE('',*,*,#214935,.F.); #296283=ORIENTED_EDGE('',*,*,#214936,.T.); #296284=ORIENTED_EDGE('',*,*,#214935,.T.); #296285=ORIENTED_EDGE('',*,*,#214937,.F.); #296286=ORIENTED_EDGE('',*,*,#214938,.F.); #296287=ORIENTED_EDGE('',*,*,#214939,.T.); #296288=ORIENTED_EDGE('',*,*,#214938,.T.); #296289=ORIENTED_EDGE('',*,*,#214940,.F.); #296290=ORIENTED_EDGE('',*,*,#214941,.F.); #296291=ORIENTED_EDGE('',*,*,#214942,.T.); #296292=ORIENTED_EDGE('',*,*,#214941,.T.); #296293=ORIENTED_EDGE('',*,*,#214943,.F.); #296294=ORIENTED_EDGE('',*,*,#214944,.F.); #296295=ORIENTED_EDGE('',*,*,#214945,.T.); #296296=ORIENTED_EDGE('',*,*,#214944,.T.); #296297=ORIENTED_EDGE('',*,*,#214946,.F.); #296298=ORIENTED_EDGE('',*,*,#214947,.F.); #296299=ORIENTED_EDGE('',*,*,#214948,.T.); #296300=ORIENTED_EDGE('',*,*,#214947,.T.); #296301=ORIENTED_EDGE('',*,*,#214949,.F.); #296302=ORIENTED_EDGE('',*,*,#214950,.F.); #296303=ORIENTED_EDGE('',*,*,#214951,.T.); #296304=ORIENTED_EDGE('',*,*,#214950,.T.); #296305=ORIENTED_EDGE('',*,*,#214952,.F.); #296306=ORIENTED_EDGE('',*,*,#214953,.F.); #296307=ORIENTED_EDGE('',*,*,#214954,.T.); #296308=ORIENTED_EDGE('',*,*,#214953,.T.); #296309=ORIENTED_EDGE('',*,*,#214955,.F.); #296310=ORIENTED_EDGE('',*,*,#214956,.F.); #296311=ORIENTED_EDGE('',*,*,#214957,.T.); #296312=ORIENTED_EDGE('',*,*,#214956,.T.); #296313=ORIENTED_EDGE('',*,*,#214958,.F.); #296314=ORIENTED_EDGE('',*,*,#214959,.F.); #296315=ORIENTED_EDGE('',*,*,#214960,.T.); #296316=ORIENTED_EDGE('',*,*,#214959,.T.); #296317=ORIENTED_EDGE('',*,*,#214961,.F.); #296318=ORIENTED_EDGE('',*,*,#214962,.F.); #296319=ORIENTED_EDGE('',*,*,#214963,.T.); #296320=ORIENTED_EDGE('',*,*,#214962,.T.); #296321=ORIENTED_EDGE('',*,*,#214964,.F.); #296322=ORIENTED_EDGE('',*,*,#214965,.F.); #296323=ORIENTED_EDGE('',*,*,#214966,.T.); #296324=ORIENTED_EDGE('',*,*,#214965,.T.); #296325=ORIENTED_EDGE('',*,*,#214967,.F.); #296326=ORIENTED_EDGE('',*,*,#214968,.F.); #296327=ORIENTED_EDGE('',*,*,#214969,.T.); #296328=ORIENTED_EDGE('',*,*,#214968,.T.); #296329=ORIENTED_EDGE('',*,*,#214970,.F.); #296330=ORIENTED_EDGE('',*,*,#214971,.F.); #296331=ORIENTED_EDGE('',*,*,#214972,.T.); #296332=ORIENTED_EDGE('',*,*,#214971,.T.); #296333=ORIENTED_EDGE('',*,*,#214973,.F.); #296334=ORIENTED_EDGE('',*,*,#214974,.F.); #296335=ORIENTED_EDGE('',*,*,#214975,.T.); #296336=ORIENTED_EDGE('',*,*,#214974,.T.); #296337=ORIENTED_EDGE('',*,*,#214976,.F.); #296338=ORIENTED_EDGE('',*,*,#214977,.F.); #296339=ORIENTED_EDGE('',*,*,#214978,.T.); #296340=ORIENTED_EDGE('',*,*,#214977,.T.); #296341=ORIENTED_EDGE('',*,*,#214979,.F.); #296342=ORIENTED_EDGE('',*,*,#214915,.F.); #296343=ORIENTED_EDGE('',*,*,#214979,.T.); #296344=ORIENTED_EDGE('',*,*,#214976,.T.); #296345=ORIENTED_EDGE('',*,*,#214973,.T.); #296346=ORIENTED_EDGE('',*,*,#214970,.T.); #296347=ORIENTED_EDGE('',*,*,#214967,.T.); #296348=ORIENTED_EDGE('',*,*,#214964,.T.); #296349=ORIENTED_EDGE('',*,*,#214961,.T.); #296350=ORIENTED_EDGE('',*,*,#214958,.T.); #296351=ORIENTED_EDGE('',*,*,#214955,.T.); #296352=ORIENTED_EDGE('',*,*,#214952,.T.); #296353=ORIENTED_EDGE('',*,*,#214949,.T.); #296354=ORIENTED_EDGE('',*,*,#214946,.T.); #296355=ORIENTED_EDGE('',*,*,#214943,.T.); #296356=ORIENTED_EDGE('',*,*,#214940,.T.); #296357=ORIENTED_EDGE('',*,*,#214937,.T.); #296358=ORIENTED_EDGE('',*,*,#214934,.T.); #296359=ORIENTED_EDGE('',*,*,#214931,.T.); #296360=ORIENTED_EDGE('',*,*,#214928,.T.); #296361=ORIENTED_EDGE('',*,*,#214925,.T.); #296362=ORIENTED_EDGE('',*,*,#214922,.T.); #296363=ORIENTED_EDGE('',*,*,#214919,.T.); #296364=ORIENTED_EDGE('',*,*,#214916,.T.); #296365=ORIENTED_EDGE('',*,*,#214978,.F.); #296366=ORIENTED_EDGE('',*,*,#214914,.F.); #296367=ORIENTED_EDGE('',*,*,#214918,.F.); #296368=ORIENTED_EDGE('',*,*,#214921,.F.); #296369=ORIENTED_EDGE('',*,*,#214924,.F.); #296370=ORIENTED_EDGE('',*,*,#214927,.F.); #296371=ORIENTED_EDGE('',*,*,#214930,.F.); #296372=ORIENTED_EDGE('',*,*,#214933,.F.); #296373=ORIENTED_EDGE('',*,*,#214936,.F.); #296374=ORIENTED_EDGE('',*,*,#214939,.F.); #296375=ORIENTED_EDGE('',*,*,#214942,.F.); #296376=ORIENTED_EDGE('',*,*,#214945,.F.); #296377=ORIENTED_EDGE('',*,*,#214948,.F.); #296378=ORIENTED_EDGE('',*,*,#214951,.F.); #296379=ORIENTED_EDGE('',*,*,#214954,.F.); #296380=ORIENTED_EDGE('',*,*,#214957,.F.); #296381=ORIENTED_EDGE('',*,*,#214960,.F.); #296382=ORIENTED_EDGE('',*,*,#214963,.F.); #296383=ORIENTED_EDGE('',*,*,#214966,.F.); #296384=ORIENTED_EDGE('',*,*,#214969,.F.); #296385=ORIENTED_EDGE('',*,*,#214972,.F.); #296386=ORIENTED_EDGE('',*,*,#214975,.F.); #296387=ORIENTED_EDGE('',*,*,#214980,.T.); #296388=ORIENTED_EDGE('',*,*,#214981,.T.); #296389=ORIENTED_EDGE('',*,*,#214982,.F.); #296390=ORIENTED_EDGE('',*,*,#214983,.F.); #296391=ORIENTED_EDGE('',*,*,#214984,.T.); #296392=ORIENTED_EDGE('',*,*,#214983,.T.); #296393=ORIENTED_EDGE('',*,*,#214985,.F.); #296394=ORIENTED_EDGE('',*,*,#214986,.F.); #296395=ORIENTED_EDGE('',*,*,#214987,.T.); #296396=ORIENTED_EDGE('',*,*,#214986,.T.); #296397=ORIENTED_EDGE('',*,*,#214988,.F.); #296398=ORIENTED_EDGE('',*,*,#214989,.F.); #296399=ORIENTED_EDGE('',*,*,#214990,.T.); #296400=ORIENTED_EDGE('',*,*,#214989,.T.); #296401=ORIENTED_EDGE('',*,*,#214991,.F.); #296402=ORIENTED_EDGE('',*,*,#214992,.F.); #296403=ORIENTED_EDGE('',*,*,#214993,.T.); #296404=ORIENTED_EDGE('',*,*,#214992,.T.); #296405=ORIENTED_EDGE('',*,*,#214994,.F.); #296406=ORIENTED_EDGE('',*,*,#214995,.F.); #296407=ORIENTED_EDGE('',*,*,#214996,.T.); #296408=ORIENTED_EDGE('',*,*,#214995,.T.); #296409=ORIENTED_EDGE('',*,*,#214997,.F.); #296410=ORIENTED_EDGE('',*,*,#214998,.F.); #296411=ORIENTED_EDGE('',*,*,#214999,.T.); #296412=ORIENTED_EDGE('',*,*,#214998,.T.); #296413=ORIENTED_EDGE('',*,*,#215000,.F.); #296414=ORIENTED_EDGE('',*,*,#215001,.F.); #296415=ORIENTED_EDGE('',*,*,#215002,.T.); #296416=ORIENTED_EDGE('',*,*,#215001,.T.); #296417=ORIENTED_EDGE('',*,*,#215003,.F.); #296418=ORIENTED_EDGE('',*,*,#215004,.F.); #296419=ORIENTED_EDGE('',*,*,#215005,.T.); #296420=ORIENTED_EDGE('',*,*,#215004,.T.); #296421=ORIENTED_EDGE('',*,*,#215006,.F.); #296422=ORIENTED_EDGE('',*,*,#215007,.F.); #296423=ORIENTED_EDGE('',*,*,#215008,.T.); #296424=ORIENTED_EDGE('',*,*,#215007,.T.); #296425=ORIENTED_EDGE('',*,*,#215009,.F.); #296426=ORIENTED_EDGE('',*,*,#215010,.F.); #296427=ORIENTED_EDGE('',*,*,#215011,.T.); #296428=ORIENTED_EDGE('',*,*,#215010,.T.); #296429=ORIENTED_EDGE('',*,*,#215012,.F.); #296430=ORIENTED_EDGE('',*,*,#215013,.F.); #296431=ORIENTED_EDGE('',*,*,#215014,.T.); #296432=ORIENTED_EDGE('',*,*,#215013,.T.); #296433=ORIENTED_EDGE('',*,*,#215015,.F.); #296434=ORIENTED_EDGE('',*,*,#215016,.F.); #296435=ORIENTED_EDGE('',*,*,#215017,.T.); #296436=ORIENTED_EDGE('',*,*,#215016,.T.); #296437=ORIENTED_EDGE('',*,*,#215018,.F.); #296438=ORIENTED_EDGE('',*,*,#215019,.F.); #296439=ORIENTED_EDGE('',*,*,#215020,.T.); #296440=ORIENTED_EDGE('',*,*,#215019,.T.); #296441=ORIENTED_EDGE('',*,*,#215021,.F.); #296442=ORIENTED_EDGE('',*,*,#215022,.F.); #296443=ORIENTED_EDGE('',*,*,#215023,.T.); #296444=ORIENTED_EDGE('',*,*,#215022,.T.); #296445=ORIENTED_EDGE('',*,*,#215024,.F.); #296446=ORIENTED_EDGE('',*,*,#215025,.F.); #296447=ORIENTED_EDGE('',*,*,#215026,.T.); #296448=ORIENTED_EDGE('',*,*,#215025,.T.); #296449=ORIENTED_EDGE('',*,*,#215027,.F.); #296450=ORIENTED_EDGE('',*,*,#215028,.F.); #296451=ORIENTED_EDGE('',*,*,#215029,.T.); #296452=ORIENTED_EDGE('',*,*,#215028,.T.); #296453=ORIENTED_EDGE('',*,*,#215030,.F.); #296454=ORIENTED_EDGE('',*,*,#215031,.F.); #296455=ORIENTED_EDGE('',*,*,#215032,.T.); #296456=ORIENTED_EDGE('',*,*,#215031,.T.); #296457=ORIENTED_EDGE('',*,*,#215033,.F.); #296458=ORIENTED_EDGE('',*,*,#215034,.F.); #296459=ORIENTED_EDGE('',*,*,#215035,.T.); #296460=ORIENTED_EDGE('',*,*,#215034,.T.); #296461=ORIENTED_EDGE('',*,*,#215036,.F.); #296462=ORIENTED_EDGE('',*,*,#215037,.F.); #296463=ORIENTED_EDGE('',*,*,#215038,.T.); #296464=ORIENTED_EDGE('',*,*,#215037,.T.); #296465=ORIENTED_EDGE('',*,*,#215039,.F.); #296466=ORIENTED_EDGE('',*,*,#214981,.F.); #296467=ORIENTED_EDGE('',*,*,#215039,.T.); #296468=ORIENTED_EDGE('',*,*,#215036,.T.); #296469=ORIENTED_EDGE('',*,*,#215033,.T.); #296470=ORIENTED_EDGE('',*,*,#215030,.T.); #296471=ORIENTED_EDGE('',*,*,#215027,.T.); #296472=ORIENTED_EDGE('',*,*,#215024,.T.); #296473=ORIENTED_EDGE('',*,*,#215021,.T.); #296474=ORIENTED_EDGE('',*,*,#215018,.T.); #296475=ORIENTED_EDGE('',*,*,#215015,.T.); #296476=ORIENTED_EDGE('',*,*,#215012,.T.); #296477=ORIENTED_EDGE('',*,*,#215009,.T.); #296478=ORIENTED_EDGE('',*,*,#215006,.T.); #296479=ORIENTED_EDGE('',*,*,#215003,.T.); #296480=ORIENTED_EDGE('',*,*,#215000,.T.); #296481=ORIENTED_EDGE('',*,*,#214997,.T.); #296482=ORIENTED_EDGE('',*,*,#214994,.T.); #296483=ORIENTED_EDGE('',*,*,#214991,.T.); #296484=ORIENTED_EDGE('',*,*,#214988,.T.); #296485=ORIENTED_EDGE('',*,*,#214985,.T.); #296486=ORIENTED_EDGE('',*,*,#214982,.T.); #296487=ORIENTED_EDGE('',*,*,#215038,.F.); #296488=ORIENTED_EDGE('',*,*,#214980,.F.); #296489=ORIENTED_EDGE('',*,*,#214984,.F.); #296490=ORIENTED_EDGE('',*,*,#214987,.F.); #296491=ORIENTED_EDGE('',*,*,#214990,.F.); #296492=ORIENTED_EDGE('',*,*,#214993,.F.); #296493=ORIENTED_EDGE('',*,*,#214996,.F.); #296494=ORIENTED_EDGE('',*,*,#214999,.F.); #296495=ORIENTED_EDGE('',*,*,#215002,.F.); #296496=ORIENTED_EDGE('',*,*,#215005,.F.); #296497=ORIENTED_EDGE('',*,*,#215008,.F.); #296498=ORIENTED_EDGE('',*,*,#215011,.F.); #296499=ORIENTED_EDGE('',*,*,#215014,.F.); #296500=ORIENTED_EDGE('',*,*,#215017,.F.); #296501=ORIENTED_EDGE('',*,*,#215020,.F.); #296502=ORIENTED_EDGE('',*,*,#215023,.F.); #296503=ORIENTED_EDGE('',*,*,#215026,.F.); #296504=ORIENTED_EDGE('',*,*,#215029,.F.); #296505=ORIENTED_EDGE('',*,*,#215032,.F.); #296506=ORIENTED_EDGE('',*,*,#215035,.F.); #296507=ORIENTED_EDGE('',*,*,#215040,.T.); #296508=ORIENTED_EDGE('',*,*,#215041,.T.); #296509=ORIENTED_EDGE('',*,*,#215042,.F.); #296510=ORIENTED_EDGE('',*,*,#215043,.F.); #296511=ORIENTED_EDGE('',*,*,#215044,.T.); #296512=ORIENTED_EDGE('',*,*,#215043,.T.); #296513=ORIENTED_EDGE('',*,*,#215045,.F.); #296514=ORIENTED_EDGE('',*,*,#215046,.F.); #296515=ORIENTED_EDGE('',*,*,#215047,.T.); #296516=ORIENTED_EDGE('',*,*,#215046,.T.); #296517=ORIENTED_EDGE('',*,*,#215048,.F.); #296518=ORIENTED_EDGE('',*,*,#215049,.F.); #296519=ORIENTED_EDGE('',*,*,#215050,.T.); #296520=ORIENTED_EDGE('',*,*,#215049,.T.); #296521=ORIENTED_EDGE('',*,*,#215051,.F.); #296522=ORIENTED_EDGE('',*,*,#215052,.F.); #296523=ORIENTED_EDGE('',*,*,#215053,.T.); #296524=ORIENTED_EDGE('',*,*,#215052,.T.); #296525=ORIENTED_EDGE('',*,*,#215054,.F.); #296526=ORIENTED_EDGE('',*,*,#215055,.F.); #296527=ORIENTED_EDGE('',*,*,#215056,.T.); #296528=ORIENTED_EDGE('',*,*,#215055,.T.); #296529=ORIENTED_EDGE('',*,*,#215057,.F.); #296530=ORIENTED_EDGE('',*,*,#215058,.F.); #296531=ORIENTED_EDGE('',*,*,#215059,.T.); #296532=ORIENTED_EDGE('',*,*,#215058,.T.); #296533=ORIENTED_EDGE('',*,*,#215060,.F.); #296534=ORIENTED_EDGE('',*,*,#215061,.F.); #296535=ORIENTED_EDGE('',*,*,#215062,.T.); #296536=ORIENTED_EDGE('',*,*,#215061,.T.); #296537=ORIENTED_EDGE('',*,*,#215063,.F.); #296538=ORIENTED_EDGE('',*,*,#215064,.F.); #296539=ORIENTED_EDGE('',*,*,#215065,.T.); #296540=ORIENTED_EDGE('',*,*,#215064,.T.); #296541=ORIENTED_EDGE('',*,*,#215066,.F.); #296542=ORIENTED_EDGE('',*,*,#215067,.F.); #296543=ORIENTED_EDGE('',*,*,#215068,.T.); #296544=ORIENTED_EDGE('',*,*,#215067,.T.); #296545=ORIENTED_EDGE('',*,*,#215069,.F.); #296546=ORIENTED_EDGE('',*,*,#215070,.F.); #296547=ORIENTED_EDGE('',*,*,#215071,.T.); #296548=ORIENTED_EDGE('',*,*,#215070,.T.); #296549=ORIENTED_EDGE('',*,*,#215072,.F.); #296550=ORIENTED_EDGE('',*,*,#215073,.F.); #296551=ORIENTED_EDGE('',*,*,#215074,.T.); #296552=ORIENTED_EDGE('',*,*,#215073,.T.); #296553=ORIENTED_EDGE('',*,*,#215075,.F.); #296554=ORIENTED_EDGE('',*,*,#215076,.F.); #296555=ORIENTED_EDGE('',*,*,#215077,.T.); #296556=ORIENTED_EDGE('',*,*,#215076,.T.); #296557=ORIENTED_EDGE('',*,*,#215078,.F.); #296558=ORIENTED_EDGE('',*,*,#215079,.F.); #296559=ORIENTED_EDGE('',*,*,#215080,.T.); #296560=ORIENTED_EDGE('',*,*,#215079,.T.); #296561=ORIENTED_EDGE('',*,*,#215081,.F.); #296562=ORIENTED_EDGE('',*,*,#215082,.F.); #296563=ORIENTED_EDGE('',*,*,#215083,.T.); #296564=ORIENTED_EDGE('',*,*,#215082,.T.); #296565=ORIENTED_EDGE('',*,*,#215084,.F.); #296566=ORIENTED_EDGE('',*,*,#215085,.F.); #296567=ORIENTED_EDGE('',*,*,#215086,.T.); #296568=ORIENTED_EDGE('',*,*,#215085,.T.); #296569=ORIENTED_EDGE('',*,*,#215087,.F.); #296570=ORIENTED_EDGE('',*,*,#215088,.F.); #296571=ORIENTED_EDGE('',*,*,#215089,.T.); #296572=ORIENTED_EDGE('',*,*,#215088,.T.); #296573=ORIENTED_EDGE('',*,*,#215090,.F.); #296574=ORIENTED_EDGE('',*,*,#215091,.F.); #296575=ORIENTED_EDGE('',*,*,#215092,.T.); #296576=ORIENTED_EDGE('',*,*,#215091,.T.); #296577=ORIENTED_EDGE('',*,*,#215093,.F.); #296578=ORIENTED_EDGE('',*,*,#215094,.F.); #296579=ORIENTED_EDGE('',*,*,#215095,.T.); #296580=ORIENTED_EDGE('',*,*,#215094,.T.); #296581=ORIENTED_EDGE('',*,*,#215096,.F.); #296582=ORIENTED_EDGE('',*,*,#215097,.F.); #296583=ORIENTED_EDGE('',*,*,#215098,.T.); #296584=ORIENTED_EDGE('',*,*,#215097,.T.); #296585=ORIENTED_EDGE('',*,*,#215099,.F.); #296586=ORIENTED_EDGE('',*,*,#215100,.F.); #296587=ORIENTED_EDGE('',*,*,#215101,.T.); #296588=ORIENTED_EDGE('',*,*,#215100,.T.); #296589=ORIENTED_EDGE('',*,*,#215102,.F.); #296590=ORIENTED_EDGE('',*,*,#215103,.F.); #296591=ORIENTED_EDGE('',*,*,#215104,.T.); #296592=ORIENTED_EDGE('',*,*,#215103,.T.); #296593=ORIENTED_EDGE('',*,*,#215105,.F.); #296594=ORIENTED_EDGE('',*,*,#215106,.F.); #296595=ORIENTED_EDGE('',*,*,#215107,.T.); #296596=ORIENTED_EDGE('',*,*,#215106,.T.); #296597=ORIENTED_EDGE('',*,*,#215108,.F.); #296598=ORIENTED_EDGE('',*,*,#215109,.F.); #296599=ORIENTED_EDGE('',*,*,#215110,.T.); #296600=ORIENTED_EDGE('',*,*,#215109,.T.); #296601=ORIENTED_EDGE('',*,*,#215111,.F.); #296602=ORIENTED_EDGE('',*,*,#215112,.F.); #296603=ORIENTED_EDGE('',*,*,#215113,.T.); #296604=ORIENTED_EDGE('',*,*,#215112,.T.); #296605=ORIENTED_EDGE('',*,*,#215114,.F.); #296606=ORIENTED_EDGE('',*,*,#215115,.F.); #296607=ORIENTED_EDGE('',*,*,#215116,.T.); #296608=ORIENTED_EDGE('',*,*,#215115,.T.); #296609=ORIENTED_EDGE('',*,*,#215117,.F.); #296610=ORIENTED_EDGE('',*,*,#215118,.F.); #296611=ORIENTED_EDGE('',*,*,#215119,.T.); #296612=ORIENTED_EDGE('',*,*,#215118,.T.); #296613=ORIENTED_EDGE('',*,*,#215120,.F.); #296614=ORIENTED_EDGE('',*,*,#215121,.F.); #296615=ORIENTED_EDGE('',*,*,#215122,.T.); #296616=ORIENTED_EDGE('',*,*,#215121,.T.); #296617=ORIENTED_EDGE('',*,*,#215123,.F.); #296618=ORIENTED_EDGE('',*,*,#215124,.F.); #296619=ORIENTED_EDGE('',*,*,#215125,.T.); #296620=ORIENTED_EDGE('',*,*,#215124,.T.); #296621=ORIENTED_EDGE('',*,*,#215126,.F.); #296622=ORIENTED_EDGE('',*,*,#215127,.F.); #296623=ORIENTED_EDGE('',*,*,#215128,.T.); #296624=ORIENTED_EDGE('',*,*,#215127,.T.); #296625=ORIENTED_EDGE('',*,*,#215129,.F.); #296626=ORIENTED_EDGE('',*,*,#215130,.F.); #296627=ORIENTED_EDGE('',*,*,#215131,.T.); #296628=ORIENTED_EDGE('',*,*,#215130,.T.); #296629=ORIENTED_EDGE('',*,*,#215132,.F.); #296630=ORIENTED_EDGE('',*,*,#215133,.F.); #296631=ORIENTED_EDGE('',*,*,#215134,.T.); #296632=ORIENTED_EDGE('',*,*,#215133,.T.); #296633=ORIENTED_EDGE('',*,*,#215135,.F.); #296634=ORIENTED_EDGE('',*,*,#215136,.F.); #296635=ORIENTED_EDGE('',*,*,#215137,.T.); #296636=ORIENTED_EDGE('',*,*,#215136,.T.); #296637=ORIENTED_EDGE('',*,*,#215138,.F.); #296638=ORIENTED_EDGE('',*,*,#215139,.F.); #296639=ORIENTED_EDGE('',*,*,#215140,.T.); #296640=ORIENTED_EDGE('',*,*,#215139,.T.); #296641=ORIENTED_EDGE('',*,*,#215141,.F.); #296642=ORIENTED_EDGE('',*,*,#215142,.F.); #296643=ORIENTED_EDGE('',*,*,#215143,.T.); #296644=ORIENTED_EDGE('',*,*,#215142,.T.); #296645=ORIENTED_EDGE('',*,*,#215144,.F.); #296646=ORIENTED_EDGE('',*,*,#215145,.F.); #296647=ORIENTED_EDGE('',*,*,#215146,.T.); #296648=ORIENTED_EDGE('',*,*,#215145,.T.); #296649=ORIENTED_EDGE('',*,*,#215147,.F.); #296650=ORIENTED_EDGE('',*,*,#215148,.F.); #296651=ORIENTED_EDGE('',*,*,#215149,.T.); #296652=ORIENTED_EDGE('',*,*,#215148,.T.); #296653=ORIENTED_EDGE('',*,*,#215150,.F.); #296654=ORIENTED_EDGE('',*,*,#215151,.F.); #296655=ORIENTED_EDGE('',*,*,#215152,.T.); #296656=ORIENTED_EDGE('',*,*,#215151,.T.); #296657=ORIENTED_EDGE('',*,*,#215153,.F.); #296658=ORIENTED_EDGE('',*,*,#215154,.F.); #296659=ORIENTED_EDGE('',*,*,#215155,.T.); #296660=ORIENTED_EDGE('',*,*,#215154,.T.); #296661=ORIENTED_EDGE('',*,*,#215156,.F.); #296662=ORIENTED_EDGE('',*,*,#215157,.F.); #296663=ORIENTED_EDGE('',*,*,#215158,.T.); #296664=ORIENTED_EDGE('',*,*,#215157,.T.); #296665=ORIENTED_EDGE('',*,*,#215159,.F.); #296666=ORIENTED_EDGE('',*,*,#215160,.F.); #296667=ORIENTED_EDGE('',*,*,#215161,.T.); #296668=ORIENTED_EDGE('',*,*,#215160,.T.); #296669=ORIENTED_EDGE('',*,*,#215162,.F.); #296670=ORIENTED_EDGE('',*,*,#215163,.F.); #296671=ORIENTED_EDGE('',*,*,#215164,.T.); #296672=ORIENTED_EDGE('',*,*,#215163,.T.); #296673=ORIENTED_EDGE('',*,*,#215165,.F.); #296674=ORIENTED_EDGE('',*,*,#215166,.F.); #296675=ORIENTED_EDGE('',*,*,#215167,.T.); #296676=ORIENTED_EDGE('',*,*,#215166,.T.); #296677=ORIENTED_EDGE('',*,*,#215168,.F.); #296678=ORIENTED_EDGE('',*,*,#215169,.F.); #296679=ORIENTED_EDGE('',*,*,#215170,.T.); #296680=ORIENTED_EDGE('',*,*,#215169,.T.); #296681=ORIENTED_EDGE('',*,*,#215171,.F.); #296682=ORIENTED_EDGE('',*,*,#215172,.F.); #296683=ORIENTED_EDGE('',*,*,#215173,.T.); #296684=ORIENTED_EDGE('',*,*,#215172,.T.); #296685=ORIENTED_EDGE('',*,*,#215174,.F.); #296686=ORIENTED_EDGE('',*,*,#215175,.F.); #296687=ORIENTED_EDGE('',*,*,#215176,.T.); #296688=ORIENTED_EDGE('',*,*,#215175,.T.); #296689=ORIENTED_EDGE('',*,*,#215177,.F.); #296690=ORIENTED_EDGE('',*,*,#215178,.F.); #296691=ORIENTED_EDGE('',*,*,#215179,.T.); #296692=ORIENTED_EDGE('',*,*,#215178,.T.); #296693=ORIENTED_EDGE('',*,*,#215180,.F.); #296694=ORIENTED_EDGE('',*,*,#215181,.F.); #296695=ORIENTED_EDGE('',*,*,#215182,.T.); #296696=ORIENTED_EDGE('',*,*,#215181,.T.); #296697=ORIENTED_EDGE('',*,*,#215183,.F.); #296698=ORIENTED_EDGE('',*,*,#215184,.F.); #296699=ORIENTED_EDGE('',*,*,#215185,.T.); #296700=ORIENTED_EDGE('',*,*,#215184,.T.); #296701=ORIENTED_EDGE('',*,*,#215186,.F.); #296702=ORIENTED_EDGE('',*,*,#215187,.F.); #296703=ORIENTED_EDGE('',*,*,#215188,.T.); #296704=ORIENTED_EDGE('',*,*,#215187,.T.); #296705=ORIENTED_EDGE('',*,*,#215189,.F.); #296706=ORIENTED_EDGE('',*,*,#215190,.F.); #296707=ORIENTED_EDGE('',*,*,#215191,.T.); #296708=ORIENTED_EDGE('',*,*,#215190,.T.); #296709=ORIENTED_EDGE('',*,*,#215192,.F.); #296710=ORIENTED_EDGE('',*,*,#215193,.F.); #296711=ORIENTED_EDGE('',*,*,#215194,.T.); #296712=ORIENTED_EDGE('',*,*,#215193,.T.); #296713=ORIENTED_EDGE('',*,*,#215195,.F.); #296714=ORIENTED_EDGE('',*,*,#215196,.F.); #296715=ORIENTED_EDGE('',*,*,#215197,.T.); #296716=ORIENTED_EDGE('',*,*,#215196,.T.); #296717=ORIENTED_EDGE('',*,*,#215198,.F.); #296718=ORIENTED_EDGE('',*,*,#215199,.F.); #296719=ORIENTED_EDGE('',*,*,#215200,.T.); #296720=ORIENTED_EDGE('',*,*,#215199,.T.); #296721=ORIENTED_EDGE('',*,*,#215201,.F.); #296722=ORIENTED_EDGE('',*,*,#215202,.F.); #296723=ORIENTED_EDGE('',*,*,#215203,.T.); #296724=ORIENTED_EDGE('',*,*,#215202,.T.); #296725=ORIENTED_EDGE('',*,*,#215204,.F.); #296726=ORIENTED_EDGE('',*,*,#215205,.F.); #296727=ORIENTED_EDGE('',*,*,#215206,.T.); #296728=ORIENTED_EDGE('',*,*,#215205,.T.); #296729=ORIENTED_EDGE('',*,*,#215207,.F.); #296730=ORIENTED_EDGE('',*,*,#215208,.F.); #296731=ORIENTED_EDGE('',*,*,#215209,.T.); #296732=ORIENTED_EDGE('',*,*,#215208,.T.); #296733=ORIENTED_EDGE('',*,*,#215210,.F.); #296734=ORIENTED_EDGE('',*,*,#215211,.F.); #296735=ORIENTED_EDGE('',*,*,#215212,.T.); #296736=ORIENTED_EDGE('',*,*,#215211,.T.); #296737=ORIENTED_EDGE('',*,*,#215213,.F.); #296738=ORIENTED_EDGE('',*,*,#215214,.F.); #296739=ORIENTED_EDGE('',*,*,#215215,.T.); #296740=ORIENTED_EDGE('',*,*,#215214,.T.); #296741=ORIENTED_EDGE('',*,*,#215216,.F.); #296742=ORIENTED_EDGE('',*,*,#215217,.F.); #296743=ORIENTED_EDGE('',*,*,#215218,.T.); #296744=ORIENTED_EDGE('',*,*,#215217,.T.); #296745=ORIENTED_EDGE('',*,*,#215219,.F.); #296746=ORIENTED_EDGE('',*,*,#215220,.F.); #296747=ORIENTED_EDGE('',*,*,#215221,.T.); #296748=ORIENTED_EDGE('',*,*,#215220,.T.); #296749=ORIENTED_EDGE('',*,*,#215222,.F.); #296750=ORIENTED_EDGE('',*,*,#215223,.F.); #296751=ORIENTED_EDGE('',*,*,#215224,.T.); #296752=ORIENTED_EDGE('',*,*,#215223,.T.); #296753=ORIENTED_EDGE('',*,*,#215225,.F.); #296754=ORIENTED_EDGE('',*,*,#215226,.F.); #296755=ORIENTED_EDGE('',*,*,#215227,.T.); #296756=ORIENTED_EDGE('',*,*,#215226,.T.); #296757=ORIENTED_EDGE('',*,*,#215228,.F.); #296758=ORIENTED_EDGE('',*,*,#215229,.F.); #296759=ORIENTED_EDGE('',*,*,#215230,.T.); #296760=ORIENTED_EDGE('',*,*,#215229,.T.); #296761=ORIENTED_EDGE('',*,*,#215231,.F.); #296762=ORIENTED_EDGE('',*,*,#215232,.F.); #296763=ORIENTED_EDGE('',*,*,#215233,.T.); #296764=ORIENTED_EDGE('',*,*,#215232,.T.); #296765=ORIENTED_EDGE('',*,*,#215234,.F.); #296766=ORIENTED_EDGE('',*,*,#215235,.F.); #296767=ORIENTED_EDGE('',*,*,#215236,.T.); #296768=ORIENTED_EDGE('',*,*,#215235,.T.); #296769=ORIENTED_EDGE('',*,*,#215237,.F.); #296770=ORIENTED_EDGE('',*,*,#215238,.F.); #296771=ORIENTED_EDGE('',*,*,#215239,.T.); #296772=ORIENTED_EDGE('',*,*,#215238,.T.); #296773=ORIENTED_EDGE('',*,*,#215240,.F.); #296774=ORIENTED_EDGE('',*,*,#215241,.F.); #296775=ORIENTED_EDGE('',*,*,#215242,.T.); #296776=ORIENTED_EDGE('',*,*,#215241,.T.); #296777=ORIENTED_EDGE('',*,*,#215243,.F.); #296778=ORIENTED_EDGE('',*,*,#215244,.F.); #296779=ORIENTED_EDGE('',*,*,#215245,.T.); #296780=ORIENTED_EDGE('',*,*,#215244,.T.); #296781=ORIENTED_EDGE('',*,*,#215246,.F.); #296782=ORIENTED_EDGE('',*,*,#215247,.F.); #296783=ORIENTED_EDGE('',*,*,#215248,.T.); #296784=ORIENTED_EDGE('',*,*,#215247,.T.); #296785=ORIENTED_EDGE('',*,*,#215249,.F.); #296786=ORIENTED_EDGE('',*,*,#215250,.F.); #296787=ORIENTED_EDGE('',*,*,#215251,.T.); #296788=ORIENTED_EDGE('',*,*,#215250,.T.); #296789=ORIENTED_EDGE('',*,*,#215252,.F.); #296790=ORIENTED_EDGE('',*,*,#215253,.F.); #296791=ORIENTED_EDGE('',*,*,#215254,.T.); #296792=ORIENTED_EDGE('',*,*,#215253,.T.); #296793=ORIENTED_EDGE('',*,*,#215255,.F.); #296794=ORIENTED_EDGE('',*,*,#215041,.F.); #296795=ORIENTED_EDGE('',*,*,#215255,.T.); #296796=ORIENTED_EDGE('',*,*,#215252,.T.); #296797=ORIENTED_EDGE('',*,*,#215249,.T.); #296798=ORIENTED_EDGE('',*,*,#215246,.T.); #296799=ORIENTED_EDGE('',*,*,#215243,.T.); #296800=ORIENTED_EDGE('',*,*,#215240,.T.); #296801=ORIENTED_EDGE('',*,*,#215237,.T.); #296802=ORIENTED_EDGE('',*,*,#215234,.T.); #296803=ORIENTED_EDGE('',*,*,#215231,.T.); #296804=ORIENTED_EDGE('',*,*,#215228,.T.); #296805=ORIENTED_EDGE('',*,*,#215225,.T.); #296806=ORIENTED_EDGE('',*,*,#215222,.T.); #296807=ORIENTED_EDGE('',*,*,#215219,.T.); #296808=ORIENTED_EDGE('',*,*,#215216,.T.); #296809=ORIENTED_EDGE('',*,*,#215213,.T.); #296810=ORIENTED_EDGE('',*,*,#215210,.T.); #296811=ORIENTED_EDGE('',*,*,#215207,.T.); #296812=ORIENTED_EDGE('',*,*,#215204,.T.); #296813=ORIENTED_EDGE('',*,*,#215201,.T.); #296814=ORIENTED_EDGE('',*,*,#215198,.T.); #296815=ORIENTED_EDGE('',*,*,#215195,.T.); #296816=ORIENTED_EDGE('',*,*,#215192,.T.); #296817=ORIENTED_EDGE('',*,*,#215189,.T.); #296818=ORIENTED_EDGE('',*,*,#215186,.T.); #296819=ORIENTED_EDGE('',*,*,#215183,.T.); #296820=ORIENTED_EDGE('',*,*,#215180,.T.); #296821=ORIENTED_EDGE('',*,*,#215177,.T.); #296822=ORIENTED_EDGE('',*,*,#215174,.T.); #296823=ORIENTED_EDGE('',*,*,#215171,.T.); #296824=ORIENTED_EDGE('',*,*,#215168,.T.); #296825=ORIENTED_EDGE('',*,*,#215165,.T.); #296826=ORIENTED_EDGE('',*,*,#215162,.T.); #296827=ORIENTED_EDGE('',*,*,#215159,.T.); #296828=ORIENTED_EDGE('',*,*,#215156,.T.); #296829=ORIENTED_EDGE('',*,*,#215153,.T.); #296830=ORIENTED_EDGE('',*,*,#215150,.T.); #296831=ORIENTED_EDGE('',*,*,#215147,.T.); #296832=ORIENTED_EDGE('',*,*,#215144,.T.); #296833=ORIENTED_EDGE('',*,*,#215141,.T.); #296834=ORIENTED_EDGE('',*,*,#215138,.T.); #296835=ORIENTED_EDGE('',*,*,#215135,.T.); #296836=ORIENTED_EDGE('',*,*,#215132,.T.); #296837=ORIENTED_EDGE('',*,*,#215129,.T.); #296838=ORIENTED_EDGE('',*,*,#215126,.T.); #296839=ORIENTED_EDGE('',*,*,#215123,.T.); #296840=ORIENTED_EDGE('',*,*,#215120,.T.); #296841=ORIENTED_EDGE('',*,*,#215117,.T.); #296842=ORIENTED_EDGE('',*,*,#215114,.T.); #296843=ORIENTED_EDGE('',*,*,#215111,.T.); #296844=ORIENTED_EDGE('',*,*,#215108,.T.); #296845=ORIENTED_EDGE('',*,*,#215105,.T.); #296846=ORIENTED_EDGE('',*,*,#215102,.T.); #296847=ORIENTED_EDGE('',*,*,#215099,.T.); #296848=ORIENTED_EDGE('',*,*,#215096,.T.); #296849=ORIENTED_EDGE('',*,*,#215093,.T.); #296850=ORIENTED_EDGE('',*,*,#215090,.T.); #296851=ORIENTED_EDGE('',*,*,#215087,.T.); #296852=ORIENTED_EDGE('',*,*,#215084,.T.); #296853=ORIENTED_EDGE('',*,*,#215081,.T.); #296854=ORIENTED_EDGE('',*,*,#215078,.T.); #296855=ORIENTED_EDGE('',*,*,#215075,.T.); #296856=ORIENTED_EDGE('',*,*,#215072,.T.); #296857=ORIENTED_EDGE('',*,*,#215069,.T.); #296858=ORIENTED_EDGE('',*,*,#215066,.T.); #296859=ORIENTED_EDGE('',*,*,#215063,.T.); #296860=ORIENTED_EDGE('',*,*,#215060,.T.); #296861=ORIENTED_EDGE('',*,*,#215057,.T.); #296862=ORIENTED_EDGE('',*,*,#215054,.T.); #296863=ORIENTED_EDGE('',*,*,#215051,.T.); #296864=ORIENTED_EDGE('',*,*,#215048,.T.); #296865=ORIENTED_EDGE('',*,*,#215045,.T.); #296866=ORIENTED_EDGE('',*,*,#215042,.T.); #296867=ORIENTED_EDGE('',*,*,#215254,.F.); #296868=ORIENTED_EDGE('',*,*,#215040,.F.); #296869=ORIENTED_EDGE('',*,*,#215044,.F.); #296870=ORIENTED_EDGE('',*,*,#215047,.F.); #296871=ORIENTED_EDGE('',*,*,#215050,.F.); #296872=ORIENTED_EDGE('',*,*,#215053,.F.); #296873=ORIENTED_EDGE('',*,*,#215056,.F.); #296874=ORIENTED_EDGE('',*,*,#215059,.F.); #296875=ORIENTED_EDGE('',*,*,#215062,.F.); #296876=ORIENTED_EDGE('',*,*,#215065,.F.); #296877=ORIENTED_EDGE('',*,*,#215068,.F.); #296878=ORIENTED_EDGE('',*,*,#215071,.F.); #296879=ORIENTED_EDGE('',*,*,#215074,.F.); #296880=ORIENTED_EDGE('',*,*,#215077,.F.); #296881=ORIENTED_EDGE('',*,*,#215080,.F.); #296882=ORIENTED_EDGE('',*,*,#215083,.F.); #296883=ORIENTED_EDGE('',*,*,#215086,.F.); #296884=ORIENTED_EDGE('',*,*,#215089,.F.); #296885=ORIENTED_EDGE('',*,*,#215092,.F.); #296886=ORIENTED_EDGE('',*,*,#215095,.F.); #296887=ORIENTED_EDGE('',*,*,#215098,.F.); #296888=ORIENTED_EDGE('',*,*,#215101,.F.); #296889=ORIENTED_EDGE('',*,*,#215104,.F.); #296890=ORIENTED_EDGE('',*,*,#215107,.F.); #296891=ORIENTED_EDGE('',*,*,#215110,.F.); #296892=ORIENTED_EDGE('',*,*,#215113,.F.); #296893=ORIENTED_EDGE('',*,*,#215116,.F.); #296894=ORIENTED_EDGE('',*,*,#215119,.F.); #296895=ORIENTED_EDGE('',*,*,#215122,.F.); #296896=ORIENTED_EDGE('',*,*,#215125,.F.); #296897=ORIENTED_EDGE('',*,*,#215128,.F.); #296898=ORIENTED_EDGE('',*,*,#215131,.F.); #296899=ORIENTED_EDGE('',*,*,#215134,.F.); #296900=ORIENTED_EDGE('',*,*,#215137,.F.); #296901=ORIENTED_EDGE('',*,*,#215140,.F.); #296902=ORIENTED_EDGE('',*,*,#215143,.F.); #296903=ORIENTED_EDGE('',*,*,#215146,.F.); #296904=ORIENTED_EDGE('',*,*,#215149,.F.); #296905=ORIENTED_EDGE('',*,*,#215152,.F.); #296906=ORIENTED_EDGE('',*,*,#215155,.F.); #296907=ORIENTED_EDGE('',*,*,#215158,.F.); #296908=ORIENTED_EDGE('',*,*,#215161,.F.); #296909=ORIENTED_EDGE('',*,*,#215164,.F.); #296910=ORIENTED_EDGE('',*,*,#215167,.F.); #296911=ORIENTED_EDGE('',*,*,#215170,.F.); #296912=ORIENTED_EDGE('',*,*,#215173,.F.); #296913=ORIENTED_EDGE('',*,*,#215176,.F.); #296914=ORIENTED_EDGE('',*,*,#215179,.F.); #296915=ORIENTED_EDGE('',*,*,#215182,.F.); #296916=ORIENTED_EDGE('',*,*,#215185,.F.); #296917=ORIENTED_EDGE('',*,*,#215188,.F.); #296918=ORIENTED_EDGE('',*,*,#215191,.F.); #296919=ORIENTED_EDGE('',*,*,#215194,.F.); #296920=ORIENTED_EDGE('',*,*,#215197,.F.); #296921=ORIENTED_EDGE('',*,*,#215200,.F.); #296922=ORIENTED_EDGE('',*,*,#215203,.F.); #296923=ORIENTED_EDGE('',*,*,#215206,.F.); #296924=ORIENTED_EDGE('',*,*,#215209,.F.); #296925=ORIENTED_EDGE('',*,*,#215212,.F.); #296926=ORIENTED_EDGE('',*,*,#215215,.F.); #296927=ORIENTED_EDGE('',*,*,#215218,.F.); #296928=ORIENTED_EDGE('',*,*,#215221,.F.); #296929=ORIENTED_EDGE('',*,*,#215224,.F.); #296930=ORIENTED_EDGE('',*,*,#215227,.F.); #296931=ORIENTED_EDGE('',*,*,#215230,.F.); #296932=ORIENTED_EDGE('',*,*,#215233,.F.); #296933=ORIENTED_EDGE('',*,*,#215236,.F.); #296934=ORIENTED_EDGE('',*,*,#215239,.F.); #296935=ORIENTED_EDGE('',*,*,#215242,.F.); #296936=ORIENTED_EDGE('',*,*,#215245,.F.); #296937=ORIENTED_EDGE('',*,*,#215248,.F.); #296938=ORIENTED_EDGE('',*,*,#215251,.F.); #296939=ORIENTED_EDGE('',*,*,#215256,.T.); #296940=ORIENTED_EDGE('',*,*,#215257,.T.); #296941=ORIENTED_EDGE('',*,*,#215258,.F.); #296942=ORIENTED_EDGE('',*,*,#215259,.F.); #296943=ORIENTED_EDGE('',*,*,#215260,.T.); #296944=ORIENTED_EDGE('',*,*,#215259,.T.); #296945=ORIENTED_EDGE('',*,*,#215261,.F.); #296946=ORIENTED_EDGE('',*,*,#215262,.F.); #296947=ORIENTED_EDGE('',*,*,#215263,.T.); #296948=ORIENTED_EDGE('',*,*,#215262,.T.); #296949=ORIENTED_EDGE('',*,*,#215264,.F.); #296950=ORIENTED_EDGE('',*,*,#215265,.F.); #296951=ORIENTED_EDGE('',*,*,#215266,.T.); #296952=ORIENTED_EDGE('',*,*,#215265,.T.); #296953=ORIENTED_EDGE('',*,*,#215267,.F.); #296954=ORIENTED_EDGE('',*,*,#215268,.F.); #296955=ORIENTED_EDGE('',*,*,#215269,.T.); #296956=ORIENTED_EDGE('',*,*,#215268,.T.); #296957=ORIENTED_EDGE('',*,*,#215270,.F.); #296958=ORIENTED_EDGE('',*,*,#215271,.F.); #296959=ORIENTED_EDGE('',*,*,#215272,.T.); #296960=ORIENTED_EDGE('',*,*,#215271,.T.); #296961=ORIENTED_EDGE('',*,*,#215273,.F.); #296962=ORIENTED_EDGE('',*,*,#215274,.F.); #296963=ORIENTED_EDGE('',*,*,#215275,.T.); #296964=ORIENTED_EDGE('',*,*,#215274,.T.); #296965=ORIENTED_EDGE('',*,*,#215276,.F.); #296966=ORIENTED_EDGE('',*,*,#215277,.F.); #296967=ORIENTED_EDGE('',*,*,#215278,.T.); #296968=ORIENTED_EDGE('',*,*,#215277,.T.); #296969=ORIENTED_EDGE('',*,*,#215279,.F.); #296970=ORIENTED_EDGE('',*,*,#215280,.F.); #296971=ORIENTED_EDGE('',*,*,#215281,.T.); #296972=ORIENTED_EDGE('',*,*,#215280,.T.); #296973=ORIENTED_EDGE('',*,*,#215282,.F.); #296974=ORIENTED_EDGE('',*,*,#215283,.F.); #296975=ORIENTED_EDGE('',*,*,#215284,.T.); #296976=ORIENTED_EDGE('',*,*,#215283,.T.); #296977=ORIENTED_EDGE('',*,*,#215285,.F.); #296978=ORIENTED_EDGE('',*,*,#215286,.F.); #296979=ORIENTED_EDGE('',*,*,#215287,.T.); #296980=ORIENTED_EDGE('',*,*,#215286,.T.); #296981=ORIENTED_EDGE('',*,*,#215288,.F.); #296982=ORIENTED_EDGE('',*,*,#215289,.F.); #296983=ORIENTED_EDGE('',*,*,#215290,.T.); #296984=ORIENTED_EDGE('',*,*,#215289,.T.); #296985=ORIENTED_EDGE('',*,*,#215291,.F.); #296986=ORIENTED_EDGE('',*,*,#215292,.F.); #296987=ORIENTED_EDGE('',*,*,#215293,.T.); #296988=ORIENTED_EDGE('',*,*,#215292,.T.); #296989=ORIENTED_EDGE('',*,*,#215294,.F.); #296990=ORIENTED_EDGE('',*,*,#215295,.F.); #296991=ORIENTED_EDGE('',*,*,#215296,.T.); #296992=ORIENTED_EDGE('',*,*,#215295,.T.); #296993=ORIENTED_EDGE('',*,*,#215297,.F.); #296994=ORIENTED_EDGE('',*,*,#215298,.F.); #296995=ORIENTED_EDGE('',*,*,#215299,.T.); #296996=ORIENTED_EDGE('',*,*,#215298,.T.); #296997=ORIENTED_EDGE('',*,*,#215300,.F.); #296998=ORIENTED_EDGE('',*,*,#215301,.F.); #296999=ORIENTED_EDGE('',*,*,#215302,.T.); #297000=ORIENTED_EDGE('',*,*,#215301,.T.); #297001=ORIENTED_EDGE('',*,*,#215303,.F.); #297002=ORIENTED_EDGE('',*,*,#215304,.F.); #297003=ORIENTED_EDGE('',*,*,#215305,.T.); #297004=ORIENTED_EDGE('',*,*,#215304,.T.); #297005=ORIENTED_EDGE('',*,*,#215306,.F.); #297006=ORIENTED_EDGE('',*,*,#215307,.F.); #297007=ORIENTED_EDGE('',*,*,#215308,.T.); #297008=ORIENTED_EDGE('',*,*,#215307,.T.); #297009=ORIENTED_EDGE('',*,*,#215309,.F.); #297010=ORIENTED_EDGE('',*,*,#215310,.F.); #297011=ORIENTED_EDGE('',*,*,#215311,.T.); #297012=ORIENTED_EDGE('',*,*,#215310,.T.); #297013=ORIENTED_EDGE('',*,*,#215312,.F.); #297014=ORIENTED_EDGE('',*,*,#215313,.F.); #297015=ORIENTED_EDGE('',*,*,#215314,.T.); #297016=ORIENTED_EDGE('',*,*,#215313,.T.); #297017=ORIENTED_EDGE('',*,*,#215315,.F.); #297018=ORIENTED_EDGE('',*,*,#215316,.F.); #297019=ORIENTED_EDGE('',*,*,#215317,.T.); #297020=ORIENTED_EDGE('',*,*,#215316,.T.); #297021=ORIENTED_EDGE('',*,*,#215318,.F.); #297022=ORIENTED_EDGE('',*,*,#215319,.F.); #297023=ORIENTED_EDGE('',*,*,#215320,.T.); #297024=ORIENTED_EDGE('',*,*,#215319,.T.); #297025=ORIENTED_EDGE('',*,*,#215321,.F.); #297026=ORIENTED_EDGE('',*,*,#215322,.F.); #297027=ORIENTED_EDGE('',*,*,#215323,.T.); #297028=ORIENTED_EDGE('',*,*,#215322,.T.); #297029=ORIENTED_EDGE('',*,*,#215324,.F.); #297030=ORIENTED_EDGE('',*,*,#215325,.F.); #297031=ORIENTED_EDGE('',*,*,#215326,.T.); #297032=ORIENTED_EDGE('',*,*,#215325,.T.); #297033=ORIENTED_EDGE('',*,*,#215327,.F.); #297034=ORIENTED_EDGE('',*,*,#215328,.F.); #297035=ORIENTED_EDGE('',*,*,#215329,.T.); #297036=ORIENTED_EDGE('',*,*,#215328,.T.); #297037=ORIENTED_EDGE('',*,*,#215330,.F.); #297038=ORIENTED_EDGE('',*,*,#215331,.F.); #297039=ORIENTED_EDGE('',*,*,#215332,.T.); #297040=ORIENTED_EDGE('',*,*,#215331,.T.); #297041=ORIENTED_EDGE('',*,*,#215333,.F.); #297042=ORIENTED_EDGE('',*,*,#215334,.F.); #297043=ORIENTED_EDGE('',*,*,#215335,.T.); #297044=ORIENTED_EDGE('',*,*,#215334,.T.); #297045=ORIENTED_EDGE('',*,*,#215336,.F.); #297046=ORIENTED_EDGE('',*,*,#215337,.F.); #297047=ORIENTED_EDGE('',*,*,#215338,.T.); #297048=ORIENTED_EDGE('',*,*,#215337,.T.); #297049=ORIENTED_EDGE('',*,*,#215339,.F.); #297050=ORIENTED_EDGE('',*,*,#215257,.F.); #297051=ORIENTED_EDGE('',*,*,#215339,.T.); #297052=ORIENTED_EDGE('',*,*,#215336,.T.); #297053=ORIENTED_EDGE('',*,*,#215333,.T.); #297054=ORIENTED_EDGE('',*,*,#215330,.T.); #297055=ORIENTED_EDGE('',*,*,#215327,.T.); #297056=ORIENTED_EDGE('',*,*,#215324,.T.); #297057=ORIENTED_EDGE('',*,*,#215321,.T.); #297058=ORIENTED_EDGE('',*,*,#215318,.T.); #297059=ORIENTED_EDGE('',*,*,#215315,.T.); #297060=ORIENTED_EDGE('',*,*,#215312,.T.); #297061=ORIENTED_EDGE('',*,*,#215309,.T.); #297062=ORIENTED_EDGE('',*,*,#215306,.T.); #297063=ORIENTED_EDGE('',*,*,#215303,.T.); #297064=ORIENTED_EDGE('',*,*,#215300,.T.); #297065=ORIENTED_EDGE('',*,*,#215297,.T.); #297066=ORIENTED_EDGE('',*,*,#215294,.T.); #297067=ORIENTED_EDGE('',*,*,#215291,.T.); #297068=ORIENTED_EDGE('',*,*,#215288,.T.); #297069=ORIENTED_EDGE('',*,*,#215285,.T.); #297070=ORIENTED_EDGE('',*,*,#215282,.T.); #297071=ORIENTED_EDGE('',*,*,#215279,.T.); #297072=ORIENTED_EDGE('',*,*,#215276,.T.); #297073=ORIENTED_EDGE('',*,*,#215273,.T.); #297074=ORIENTED_EDGE('',*,*,#215270,.T.); #297075=ORIENTED_EDGE('',*,*,#215267,.T.); #297076=ORIENTED_EDGE('',*,*,#215264,.T.); #297077=ORIENTED_EDGE('',*,*,#215261,.T.); #297078=ORIENTED_EDGE('',*,*,#215258,.T.); #297079=ORIENTED_EDGE('',*,*,#215338,.F.); #297080=ORIENTED_EDGE('',*,*,#215256,.F.); #297081=ORIENTED_EDGE('',*,*,#215260,.F.); #297082=ORIENTED_EDGE('',*,*,#215263,.F.); #297083=ORIENTED_EDGE('',*,*,#215266,.F.); #297084=ORIENTED_EDGE('',*,*,#215269,.F.); #297085=ORIENTED_EDGE('',*,*,#215272,.F.); #297086=ORIENTED_EDGE('',*,*,#215275,.F.); #297087=ORIENTED_EDGE('',*,*,#215278,.F.); #297088=ORIENTED_EDGE('',*,*,#215281,.F.); #297089=ORIENTED_EDGE('',*,*,#215284,.F.); #297090=ORIENTED_EDGE('',*,*,#215287,.F.); #297091=ORIENTED_EDGE('',*,*,#215290,.F.); #297092=ORIENTED_EDGE('',*,*,#215293,.F.); #297093=ORIENTED_EDGE('',*,*,#215296,.F.); #297094=ORIENTED_EDGE('',*,*,#215299,.F.); #297095=ORIENTED_EDGE('',*,*,#215302,.F.); #297096=ORIENTED_EDGE('',*,*,#215305,.F.); #297097=ORIENTED_EDGE('',*,*,#215308,.F.); #297098=ORIENTED_EDGE('',*,*,#215311,.F.); #297099=ORIENTED_EDGE('',*,*,#215314,.F.); #297100=ORIENTED_EDGE('',*,*,#215317,.F.); #297101=ORIENTED_EDGE('',*,*,#215320,.F.); #297102=ORIENTED_EDGE('',*,*,#215323,.F.); #297103=ORIENTED_EDGE('',*,*,#215326,.F.); #297104=ORIENTED_EDGE('',*,*,#215329,.F.); #297105=ORIENTED_EDGE('',*,*,#215332,.F.); #297106=ORIENTED_EDGE('',*,*,#215335,.F.); #297107=ORIENTED_EDGE('',*,*,#215340,.F.); #297108=ORIENTED_EDGE('',*,*,#215341,.T.); #297109=ORIENTED_EDGE('',*,*,#215342,.F.); #297110=ORIENTED_EDGE('',*,*,#215341,.F.); #297111=ORIENTED_EDGE('',*,*,#215343,.F.); #297112=ORIENTED_EDGE('',*,*,#215344,.T.); #297113=ORIENTED_EDGE('',*,*,#215345,.F.); #297114=ORIENTED_EDGE('',*,*,#215344,.F.); #297115=ORIENTED_EDGE('',*,*,#215346,.F.); #297116=ORIENTED_EDGE('',*,*,#215347,.T.); #297117=ORIENTED_EDGE('',*,*,#215348,.F.); #297118=ORIENTED_EDGE('',*,*,#215347,.F.); #297119=ORIENTED_EDGE('',*,*,#215349,.F.); #297120=ORIENTED_EDGE('',*,*,#215350,.T.); #297121=ORIENTED_EDGE('',*,*,#215351,.F.); #297122=ORIENTED_EDGE('',*,*,#215350,.F.); #297123=ORIENTED_EDGE('',*,*,#215352,.F.); #297124=ORIENTED_EDGE('',*,*,#215353,.T.); #297125=ORIENTED_EDGE('',*,*,#215354,.F.); #297126=ORIENTED_EDGE('',*,*,#215353,.F.); #297127=ORIENTED_EDGE('',*,*,#215355,.F.); #297128=ORIENTED_EDGE('',*,*,#215356,.T.); #297129=ORIENTED_EDGE('',*,*,#215357,.F.); #297130=ORIENTED_EDGE('',*,*,#215356,.F.); #297131=ORIENTED_EDGE('',*,*,#215358,.F.); #297132=ORIENTED_EDGE('',*,*,#215359,.T.); #297133=ORIENTED_EDGE('',*,*,#215360,.F.); #297134=ORIENTED_EDGE('',*,*,#215359,.F.); #297135=ORIENTED_EDGE('',*,*,#215361,.F.); #297136=ORIENTED_EDGE('',*,*,#215362,.T.); #297137=ORIENTED_EDGE('',*,*,#215363,.F.); #297138=ORIENTED_EDGE('',*,*,#215362,.F.); #297139=ORIENTED_EDGE('',*,*,#215364,.F.); #297140=ORIENTED_EDGE('',*,*,#215365,.T.); #297141=ORIENTED_EDGE('',*,*,#215366,.F.); #297142=ORIENTED_EDGE('',*,*,#215365,.F.); #297143=ORIENTED_EDGE('',*,*,#215367,.F.); #297144=ORIENTED_EDGE('',*,*,#215368,.T.); #297145=ORIENTED_EDGE('',*,*,#215369,.F.); #297146=ORIENTED_EDGE('',*,*,#215368,.F.); #297147=ORIENTED_EDGE('',*,*,#215370,.F.); #297148=ORIENTED_EDGE('',*,*,#215371,.T.); #297149=ORIENTED_EDGE('',*,*,#215372,.F.); #297150=ORIENTED_EDGE('',*,*,#215371,.F.); #297151=ORIENTED_EDGE('',*,*,#215373,.F.); #297152=ORIENTED_EDGE('',*,*,#215374,.T.); #297153=ORIENTED_EDGE('',*,*,#215375,.F.); #297154=ORIENTED_EDGE('',*,*,#215374,.F.); #297155=ORIENTED_EDGE('',*,*,#215376,.F.); #297156=ORIENTED_EDGE('',*,*,#215377,.T.); #297157=ORIENTED_EDGE('',*,*,#215378,.F.); #297158=ORIENTED_EDGE('',*,*,#215377,.F.); #297159=ORIENTED_EDGE('',*,*,#215379,.F.); #297160=ORIENTED_EDGE('',*,*,#215380,.T.); #297161=ORIENTED_EDGE('',*,*,#215381,.F.); #297162=ORIENTED_EDGE('',*,*,#215380,.F.); #297163=ORIENTED_EDGE('',*,*,#215382,.F.); #297164=ORIENTED_EDGE('',*,*,#215383,.T.); #297165=ORIENTED_EDGE('',*,*,#215384,.F.); #297166=ORIENTED_EDGE('',*,*,#215383,.F.); #297167=ORIENTED_EDGE('',*,*,#215385,.F.); #297168=ORIENTED_EDGE('',*,*,#215386,.T.); #297169=ORIENTED_EDGE('',*,*,#215387,.F.); #297170=ORIENTED_EDGE('',*,*,#215386,.F.); #297171=ORIENTED_EDGE('',*,*,#215388,.T.); #297172=ORIENTED_EDGE('',*,*,#215389,.T.); #297173=ORIENTED_EDGE('',*,*,#215390,.F.); #297174=ORIENTED_EDGE('',*,*,#215391,.F.); #297175=ORIENTED_EDGE('',*,*,#215392,.T.); #297176=ORIENTED_EDGE('',*,*,#215391,.T.); #297177=ORIENTED_EDGE('',*,*,#215393,.F.); #297178=ORIENTED_EDGE('',*,*,#215394,.F.); #297179=ORIENTED_EDGE('',*,*,#215395,.T.); #297180=ORIENTED_EDGE('',*,*,#215394,.T.); #297181=ORIENTED_EDGE('',*,*,#215396,.F.); #297182=ORIENTED_EDGE('',*,*,#215397,.F.); #297183=ORIENTED_EDGE('',*,*,#215398,.T.); #297184=ORIENTED_EDGE('',*,*,#215397,.T.); #297185=ORIENTED_EDGE('',*,*,#215399,.F.); #297186=ORIENTED_EDGE('',*,*,#215400,.F.); #297187=ORIENTED_EDGE('',*,*,#215401,.T.); #297188=ORIENTED_EDGE('',*,*,#215400,.T.); #297189=ORIENTED_EDGE('',*,*,#215402,.F.); #297190=ORIENTED_EDGE('',*,*,#215403,.F.); #297191=ORIENTED_EDGE('',*,*,#215404,.T.); #297192=ORIENTED_EDGE('',*,*,#215403,.T.); #297193=ORIENTED_EDGE('',*,*,#215405,.F.); #297194=ORIENTED_EDGE('',*,*,#215406,.F.); #297195=ORIENTED_EDGE('',*,*,#215407,.T.); #297196=ORIENTED_EDGE('',*,*,#215406,.T.); #297197=ORIENTED_EDGE('',*,*,#215408,.F.); #297198=ORIENTED_EDGE('',*,*,#215409,.F.); #297199=ORIENTED_EDGE('',*,*,#215410,.T.); #297200=ORIENTED_EDGE('',*,*,#215409,.T.); #297201=ORIENTED_EDGE('',*,*,#215411,.F.); #297202=ORIENTED_EDGE('',*,*,#215412,.F.); #297203=ORIENTED_EDGE('',*,*,#215413,.T.); #297204=ORIENTED_EDGE('',*,*,#215412,.T.); #297205=ORIENTED_EDGE('',*,*,#215414,.F.); #297206=ORIENTED_EDGE('',*,*,#215415,.F.); #297207=ORIENTED_EDGE('',*,*,#215416,.T.); #297208=ORIENTED_EDGE('',*,*,#215415,.T.); #297209=ORIENTED_EDGE('',*,*,#215417,.F.); #297210=ORIENTED_EDGE('',*,*,#215418,.F.); #297211=ORIENTED_EDGE('',*,*,#215419,.T.); #297212=ORIENTED_EDGE('',*,*,#215418,.T.); #297213=ORIENTED_EDGE('',*,*,#215420,.F.); #297214=ORIENTED_EDGE('',*,*,#215421,.F.); #297215=ORIENTED_EDGE('',*,*,#215422,.T.); #297216=ORIENTED_EDGE('',*,*,#215421,.T.); #297217=ORIENTED_EDGE('',*,*,#215423,.F.); #297218=ORIENTED_EDGE('',*,*,#215424,.F.); #297219=ORIENTED_EDGE('',*,*,#215425,.T.); #297220=ORIENTED_EDGE('',*,*,#215424,.T.); #297221=ORIENTED_EDGE('',*,*,#215426,.F.); #297222=ORIENTED_EDGE('',*,*,#215427,.F.); #297223=ORIENTED_EDGE('',*,*,#215428,.T.); #297224=ORIENTED_EDGE('',*,*,#215427,.T.); #297225=ORIENTED_EDGE('',*,*,#215429,.F.); #297226=ORIENTED_EDGE('',*,*,#215430,.F.); #297227=ORIENTED_EDGE('',*,*,#215431,.T.); #297228=ORIENTED_EDGE('',*,*,#215430,.T.); #297229=ORIENTED_EDGE('',*,*,#215432,.F.); #297230=ORIENTED_EDGE('',*,*,#215433,.F.); #297231=ORIENTED_EDGE('',*,*,#215434,.T.); #297232=ORIENTED_EDGE('',*,*,#215433,.T.); #297233=ORIENTED_EDGE('',*,*,#215435,.F.); #297234=ORIENTED_EDGE('',*,*,#215436,.F.); #297235=ORIENTED_EDGE('',*,*,#215437,.T.); #297236=ORIENTED_EDGE('',*,*,#215436,.T.); #297237=ORIENTED_EDGE('',*,*,#215438,.F.); #297238=ORIENTED_EDGE('',*,*,#215439,.F.); #297239=ORIENTED_EDGE('',*,*,#215440,.T.); #297240=ORIENTED_EDGE('',*,*,#215439,.T.); #297241=ORIENTED_EDGE('',*,*,#215441,.F.); #297242=ORIENTED_EDGE('',*,*,#215442,.F.); #297243=ORIENTED_EDGE('',*,*,#215443,.T.); #297244=ORIENTED_EDGE('',*,*,#215442,.T.); #297245=ORIENTED_EDGE('',*,*,#215444,.F.); #297246=ORIENTED_EDGE('',*,*,#215445,.F.); #297247=ORIENTED_EDGE('',*,*,#215446,.T.); #297248=ORIENTED_EDGE('',*,*,#215445,.T.); #297249=ORIENTED_EDGE('',*,*,#215447,.F.); #297250=ORIENTED_EDGE('',*,*,#215448,.F.); #297251=ORIENTED_EDGE('',*,*,#215449,.T.); #297252=ORIENTED_EDGE('',*,*,#215448,.T.); #297253=ORIENTED_EDGE('',*,*,#215450,.F.); #297254=ORIENTED_EDGE('',*,*,#215451,.F.); #297255=ORIENTED_EDGE('',*,*,#215452,.T.); #297256=ORIENTED_EDGE('',*,*,#215451,.T.); #297257=ORIENTED_EDGE('',*,*,#215453,.F.); #297258=ORIENTED_EDGE('',*,*,#215454,.F.); #297259=ORIENTED_EDGE('',*,*,#215455,.T.); #297260=ORIENTED_EDGE('',*,*,#215454,.T.); #297261=ORIENTED_EDGE('',*,*,#215456,.F.); #297262=ORIENTED_EDGE('',*,*,#215457,.F.); #297263=ORIENTED_EDGE('',*,*,#215458,.T.); #297264=ORIENTED_EDGE('',*,*,#215457,.T.); #297265=ORIENTED_EDGE('',*,*,#215459,.F.); #297266=ORIENTED_EDGE('',*,*,#215460,.F.); #297267=ORIENTED_EDGE('',*,*,#215461,.T.); #297268=ORIENTED_EDGE('',*,*,#215460,.T.); #297269=ORIENTED_EDGE('',*,*,#215462,.F.); #297270=ORIENTED_EDGE('',*,*,#215463,.F.); #297271=ORIENTED_EDGE('',*,*,#215464,.T.); #297272=ORIENTED_EDGE('',*,*,#215463,.T.); #297273=ORIENTED_EDGE('',*,*,#215465,.F.); #297274=ORIENTED_EDGE('',*,*,#215466,.F.); #297275=ORIENTED_EDGE('',*,*,#215467,.T.); #297276=ORIENTED_EDGE('',*,*,#215466,.T.); #297277=ORIENTED_EDGE('',*,*,#215468,.F.); #297278=ORIENTED_EDGE('',*,*,#215469,.F.); #297279=ORIENTED_EDGE('',*,*,#215470,.T.); #297280=ORIENTED_EDGE('',*,*,#215469,.T.); #297281=ORIENTED_EDGE('',*,*,#215471,.F.); #297282=ORIENTED_EDGE('',*,*,#215472,.F.); #297283=ORIENTED_EDGE('',*,*,#215473,.T.); #297284=ORIENTED_EDGE('',*,*,#215472,.T.); #297285=ORIENTED_EDGE('',*,*,#215474,.F.); #297286=ORIENTED_EDGE('',*,*,#215475,.F.); #297287=ORIENTED_EDGE('',*,*,#215476,.T.); #297288=ORIENTED_EDGE('',*,*,#215475,.T.); #297289=ORIENTED_EDGE('',*,*,#215477,.F.); #297290=ORIENTED_EDGE('',*,*,#215478,.F.); #297291=ORIENTED_EDGE('',*,*,#215479,.T.); #297292=ORIENTED_EDGE('',*,*,#215478,.T.); #297293=ORIENTED_EDGE('',*,*,#215480,.F.); #297294=ORIENTED_EDGE('',*,*,#215481,.F.); #297295=ORIENTED_EDGE('',*,*,#215482,.T.); #297296=ORIENTED_EDGE('',*,*,#215481,.T.); #297297=ORIENTED_EDGE('',*,*,#215483,.F.); #297298=ORIENTED_EDGE('',*,*,#215484,.F.); #297299=ORIENTED_EDGE('',*,*,#215485,.T.); #297300=ORIENTED_EDGE('',*,*,#215484,.T.); #297301=ORIENTED_EDGE('',*,*,#215486,.F.); #297302=ORIENTED_EDGE('',*,*,#215487,.F.); #297303=ORIENTED_EDGE('',*,*,#215488,.T.); #297304=ORIENTED_EDGE('',*,*,#215487,.T.); #297305=ORIENTED_EDGE('',*,*,#215489,.F.); #297306=ORIENTED_EDGE('',*,*,#215490,.F.); #297307=ORIENTED_EDGE('',*,*,#215491,.T.); #297308=ORIENTED_EDGE('',*,*,#215490,.T.); #297309=ORIENTED_EDGE('',*,*,#215492,.F.); #297310=ORIENTED_EDGE('',*,*,#215493,.F.); #297311=ORIENTED_EDGE('',*,*,#215494,.T.); #297312=ORIENTED_EDGE('',*,*,#215493,.T.); #297313=ORIENTED_EDGE('',*,*,#215495,.F.); #297314=ORIENTED_EDGE('',*,*,#215496,.F.); #297315=ORIENTED_EDGE('',*,*,#215497,.T.); #297316=ORIENTED_EDGE('',*,*,#215496,.T.); #297317=ORIENTED_EDGE('',*,*,#215498,.F.); #297318=ORIENTED_EDGE('',*,*,#215499,.F.); #297319=ORIENTED_EDGE('',*,*,#215500,.T.); #297320=ORIENTED_EDGE('',*,*,#215499,.T.); #297321=ORIENTED_EDGE('',*,*,#215501,.F.); #297322=ORIENTED_EDGE('',*,*,#215502,.F.); #297323=ORIENTED_EDGE('',*,*,#215503,.T.); #297324=ORIENTED_EDGE('',*,*,#215502,.T.); #297325=ORIENTED_EDGE('',*,*,#215504,.F.); #297326=ORIENTED_EDGE('',*,*,#215505,.F.); #297327=ORIENTED_EDGE('',*,*,#215506,.T.); #297328=ORIENTED_EDGE('',*,*,#215505,.T.); #297329=ORIENTED_EDGE('',*,*,#215507,.F.); #297330=ORIENTED_EDGE('',*,*,#215508,.F.); #297331=ORIENTED_EDGE('',*,*,#215509,.T.); #297332=ORIENTED_EDGE('',*,*,#215508,.T.); #297333=ORIENTED_EDGE('',*,*,#215510,.F.); #297334=ORIENTED_EDGE('',*,*,#215511,.F.); #297335=ORIENTED_EDGE('',*,*,#215512,.T.); #297336=ORIENTED_EDGE('',*,*,#215511,.T.); #297337=ORIENTED_EDGE('',*,*,#215513,.F.); #297338=ORIENTED_EDGE('',*,*,#215514,.F.); #297339=ORIENTED_EDGE('',*,*,#215515,.T.); #297340=ORIENTED_EDGE('',*,*,#215514,.T.); #297341=ORIENTED_EDGE('',*,*,#215516,.F.); #297342=ORIENTED_EDGE('',*,*,#215517,.F.); #297343=ORIENTED_EDGE('',*,*,#215518,.T.); #297344=ORIENTED_EDGE('',*,*,#215517,.T.); #297345=ORIENTED_EDGE('',*,*,#215519,.F.); #297346=ORIENTED_EDGE('',*,*,#215520,.F.); #297347=ORIENTED_EDGE('',*,*,#215521,.T.); #297348=ORIENTED_EDGE('',*,*,#215520,.T.); #297349=ORIENTED_EDGE('',*,*,#215522,.F.); #297350=ORIENTED_EDGE('',*,*,#215523,.F.); #297351=ORIENTED_EDGE('',*,*,#215524,.T.); #297352=ORIENTED_EDGE('',*,*,#215523,.T.); #297353=ORIENTED_EDGE('',*,*,#215525,.F.); #297354=ORIENTED_EDGE('',*,*,#215526,.F.); #297355=ORIENTED_EDGE('',*,*,#215527,.T.); #297356=ORIENTED_EDGE('',*,*,#215526,.T.); #297357=ORIENTED_EDGE('',*,*,#215528,.F.); #297358=ORIENTED_EDGE('',*,*,#215529,.F.); #297359=ORIENTED_EDGE('',*,*,#215530,.T.); #297360=ORIENTED_EDGE('',*,*,#215529,.T.); #297361=ORIENTED_EDGE('',*,*,#215531,.F.); #297362=ORIENTED_EDGE('',*,*,#215532,.F.); #297363=ORIENTED_EDGE('',*,*,#215533,.T.); #297364=ORIENTED_EDGE('',*,*,#215532,.T.); #297365=ORIENTED_EDGE('',*,*,#215534,.F.); #297366=ORIENTED_EDGE('',*,*,#215535,.F.); #297367=ORIENTED_EDGE('',*,*,#215536,.T.); #297368=ORIENTED_EDGE('',*,*,#215535,.T.); #297369=ORIENTED_EDGE('',*,*,#215537,.F.); #297370=ORIENTED_EDGE('',*,*,#215538,.F.); #297371=ORIENTED_EDGE('',*,*,#215539,.T.); #297372=ORIENTED_EDGE('',*,*,#215538,.T.); #297373=ORIENTED_EDGE('',*,*,#215540,.F.); #297374=ORIENTED_EDGE('',*,*,#215541,.F.); #297375=ORIENTED_EDGE('',*,*,#215542,.T.); #297376=ORIENTED_EDGE('',*,*,#215541,.T.); #297377=ORIENTED_EDGE('',*,*,#215543,.F.); #297378=ORIENTED_EDGE('',*,*,#215544,.F.); #297379=ORIENTED_EDGE('',*,*,#215545,.T.); #297380=ORIENTED_EDGE('',*,*,#215544,.T.); #297381=ORIENTED_EDGE('',*,*,#215546,.F.); #297382=ORIENTED_EDGE('',*,*,#215547,.F.); #297383=ORIENTED_EDGE('',*,*,#215548,.T.); #297384=ORIENTED_EDGE('',*,*,#215547,.T.); #297385=ORIENTED_EDGE('',*,*,#215549,.F.); #297386=ORIENTED_EDGE('',*,*,#215550,.F.); #297387=ORIENTED_EDGE('',*,*,#215551,.T.); #297388=ORIENTED_EDGE('',*,*,#215550,.T.); #297389=ORIENTED_EDGE('',*,*,#215552,.F.); #297390=ORIENTED_EDGE('',*,*,#215553,.F.); #297391=ORIENTED_EDGE('',*,*,#215554,.T.); #297392=ORIENTED_EDGE('',*,*,#215553,.T.); #297393=ORIENTED_EDGE('',*,*,#215555,.F.); #297394=ORIENTED_EDGE('',*,*,#215556,.F.); #297395=ORIENTED_EDGE('',*,*,#215557,.T.); #297396=ORIENTED_EDGE('',*,*,#215556,.T.); #297397=ORIENTED_EDGE('',*,*,#215558,.F.); #297398=ORIENTED_EDGE('',*,*,#215559,.F.); #297399=ORIENTED_EDGE('',*,*,#215560,.T.); #297400=ORIENTED_EDGE('',*,*,#215559,.T.); #297401=ORIENTED_EDGE('',*,*,#215561,.F.); #297402=ORIENTED_EDGE('',*,*,#215562,.F.); #297403=ORIENTED_EDGE('',*,*,#215563,.T.); #297404=ORIENTED_EDGE('',*,*,#215562,.T.); #297405=ORIENTED_EDGE('',*,*,#215564,.F.); #297406=ORIENTED_EDGE('',*,*,#215565,.F.); #297407=ORIENTED_EDGE('',*,*,#215566,.T.); #297408=ORIENTED_EDGE('',*,*,#215565,.T.); #297409=ORIENTED_EDGE('',*,*,#215567,.F.); #297410=ORIENTED_EDGE('',*,*,#215568,.F.); #297411=ORIENTED_EDGE('',*,*,#215569,.T.); #297412=ORIENTED_EDGE('',*,*,#215568,.T.); #297413=ORIENTED_EDGE('',*,*,#215570,.F.); #297414=ORIENTED_EDGE('',*,*,#215571,.F.); #297415=ORIENTED_EDGE('',*,*,#215572,.T.); #297416=ORIENTED_EDGE('',*,*,#215571,.T.); #297417=ORIENTED_EDGE('',*,*,#215573,.F.); #297418=ORIENTED_EDGE('',*,*,#215574,.F.); #297419=ORIENTED_EDGE('',*,*,#215575,.T.); #297420=ORIENTED_EDGE('',*,*,#215574,.T.); #297421=ORIENTED_EDGE('',*,*,#215576,.F.); #297422=ORIENTED_EDGE('',*,*,#215577,.F.); #297423=ORIENTED_EDGE('',*,*,#215578,.T.); #297424=ORIENTED_EDGE('',*,*,#215577,.T.); #297425=ORIENTED_EDGE('',*,*,#215579,.F.); #297426=ORIENTED_EDGE('',*,*,#215580,.F.); #297427=ORIENTED_EDGE('',*,*,#215581,.T.); #297428=ORIENTED_EDGE('',*,*,#215580,.T.); #297429=ORIENTED_EDGE('',*,*,#215582,.F.); #297430=ORIENTED_EDGE('',*,*,#215583,.F.); #297431=ORIENTED_EDGE('',*,*,#215584,.T.); #297432=ORIENTED_EDGE('',*,*,#215583,.T.); #297433=ORIENTED_EDGE('',*,*,#215585,.F.); #297434=ORIENTED_EDGE('',*,*,#215586,.F.); #297435=ORIENTED_EDGE('',*,*,#215587,.T.); #297436=ORIENTED_EDGE('',*,*,#215586,.T.); #297437=ORIENTED_EDGE('',*,*,#215588,.F.); #297438=ORIENTED_EDGE('',*,*,#215589,.F.); #297439=ORIENTED_EDGE('',*,*,#215590,.T.); #297440=ORIENTED_EDGE('',*,*,#215589,.T.); #297441=ORIENTED_EDGE('',*,*,#215591,.F.); #297442=ORIENTED_EDGE('',*,*,#215592,.F.); #297443=ORIENTED_EDGE('',*,*,#215593,.T.); #297444=ORIENTED_EDGE('',*,*,#215592,.T.); #297445=ORIENTED_EDGE('',*,*,#215594,.F.); #297446=ORIENTED_EDGE('',*,*,#215595,.F.); #297447=ORIENTED_EDGE('',*,*,#215596,.T.); #297448=ORIENTED_EDGE('',*,*,#215595,.T.); #297449=ORIENTED_EDGE('',*,*,#215597,.F.); #297450=ORIENTED_EDGE('',*,*,#215598,.F.); #297451=ORIENTED_EDGE('',*,*,#215599,.T.); #297452=ORIENTED_EDGE('',*,*,#215598,.T.); #297453=ORIENTED_EDGE('',*,*,#215600,.F.); #297454=ORIENTED_EDGE('',*,*,#215601,.F.); #297455=ORIENTED_EDGE('',*,*,#215602,.T.); #297456=ORIENTED_EDGE('',*,*,#215601,.T.); #297457=ORIENTED_EDGE('',*,*,#215603,.F.); #297458=ORIENTED_EDGE('',*,*,#215604,.F.); #297459=ORIENTED_EDGE('',*,*,#215605,.T.); #297460=ORIENTED_EDGE('',*,*,#215604,.T.); #297461=ORIENTED_EDGE('',*,*,#215606,.F.); #297462=ORIENTED_EDGE('',*,*,#215607,.F.); #297463=ORIENTED_EDGE('',*,*,#215608,.T.); #297464=ORIENTED_EDGE('',*,*,#215607,.T.); #297465=ORIENTED_EDGE('',*,*,#215609,.F.); #297466=ORIENTED_EDGE('',*,*,#215389,.F.); #297467=ORIENTED_EDGE('',*,*,#215609,.T.); #297468=ORIENTED_EDGE('',*,*,#215606,.T.); #297469=ORIENTED_EDGE('',*,*,#215603,.T.); #297470=ORIENTED_EDGE('',*,*,#215600,.T.); #297471=ORIENTED_EDGE('',*,*,#215597,.T.); #297472=ORIENTED_EDGE('',*,*,#215594,.T.); #297473=ORIENTED_EDGE('',*,*,#215591,.T.); #297474=ORIENTED_EDGE('',*,*,#215588,.T.); #297475=ORIENTED_EDGE('',*,*,#215585,.T.); #297476=ORIENTED_EDGE('',*,*,#215582,.T.); #297477=ORIENTED_EDGE('',*,*,#215579,.T.); #297478=ORIENTED_EDGE('',*,*,#215576,.T.); #297479=ORIENTED_EDGE('',*,*,#215573,.T.); #297480=ORIENTED_EDGE('',*,*,#215570,.T.); #297481=ORIENTED_EDGE('',*,*,#215567,.T.); #297482=ORIENTED_EDGE('',*,*,#215564,.T.); #297483=ORIENTED_EDGE('',*,*,#215561,.T.); #297484=ORIENTED_EDGE('',*,*,#215558,.T.); #297485=ORIENTED_EDGE('',*,*,#215555,.T.); #297486=ORIENTED_EDGE('',*,*,#215552,.T.); #297487=ORIENTED_EDGE('',*,*,#215549,.T.); #297488=ORIENTED_EDGE('',*,*,#215546,.T.); #297489=ORIENTED_EDGE('',*,*,#215543,.T.); #297490=ORIENTED_EDGE('',*,*,#215540,.T.); #297491=ORIENTED_EDGE('',*,*,#215537,.T.); #297492=ORIENTED_EDGE('',*,*,#215534,.T.); #297493=ORIENTED_EDGE('',*,*,#215531,.T.); #297494=ORIENTED_EDGE('',*,*,#215528,.T.); #297495=ORIENTED_EDGE('',*,*,#215525,.T.); #297496=ORIENTED_EDGE('',*,*,#215522,.T.); #297497=ORIENTED_EDGE('',*,*,#215519,.T.); #297498=ORIENTED_EDGE('',*,*,#215516,.T.); #297499=ORIENTED_EDGE('',*,*,#215513,.T.); #297500=ORIENTED_EDGE('',*,*,#215510,.T.); #297501=ORIENTED_EDGE('',*,*,#215507,.T.); #297502=ORIENTED_EDGE('',*,*,#215504,.T.); #297503=ORIENTED_EDGE('',*,*,#215501,.T.); #297504=ORIENTED_EDGE('',*,*,#215498,.T.); #297505=ORIENTED_EDGE('',*,*,#215495,.T.); #297506=ORIENTED_EDGE('',*,*,#215492,.T.); #297507=ORIENTED_EDGE('',*,*,#215489,.T.); #297508=ORIENTED_EDGE('',*,*,#215486,.T.); #297509=ORIENTED_EDGE('',*,*,#215483,.T.); #297510=ORIENTED_EDGE('',*,*,#215480,.T.); #297511=ORIENTED_EDGE('',*,*,#215477,.T.); #297512=ORIENTED_EDGE('',*,*,#215474,.T.); #297513=ORIENTED_EDGE('',*,*,#215471,.T.); #297514=ORIENTED_EDGE('',*,*,#215468,.T.); #297515=ORIENTED_EDGE('',*,*,#215465,.T.); #297516=ORIENTED_EDGE('',*,*,#215462,.T.); #297517=ORIENTED_EDGE('',*,*,#215459,.T.); #297518=ORIENTED_EDGE('',*,*,#215456,.T.); #297519=ORIENTED_EDGE('',*,*,#215453,.T.); #297520=ORIENTED_EDGE('',*,*,#215450,.T.); #297521=ORIENTED_EDGE('',*,*,#215447,.T.); #297522=ORIENTED_EDGE('',*,*,#215444,.T.); #297523=ORIENTED_EDGE('',*,*,#215441,.T.); #297524=ORIENTED_EDGE('',*,*,#215438,.T.); #297525=ORIENTED_EDGE('',*,*,#215435,.T.); #297526=ORIENTED_EDGE('',*,*,#215432,.T.); #297527=ORIENTED_EDGE('',*,*,#215429,.T.); #297528=ORIENTED_EDGE('',*,*,#215426,.T.); #297529=ORIENTED_EDGE('',*,*,#215423,.T.); #297530=ORIENTED_EDGE('',*,*,#215420,.T.); #297531=ORIENTED_EDGE('',*,*,#215417,.T.); #297532=ORIENTED_EDGE('',*,*,#215414,.T.); #297533=ORIENTED_EDGE('',*,*,#215411,.T.); #297534=ORIENTED_EDGE('',*,*,#215408,.T.); #297535=ORIENTED_EDGE('',*,*,#215405,.T.); #297536=ORIENTED_EDGE('',*,*,#215402,.T.); #297537=ORIENTED_EDGE('',*,*,#215399,.T.); #297538=ORIENTED_EDGE('',*,*,#215396,.T.); #297539=ORIENTED_EDGE('',*,*,#215393,.T.); #297540=ORIENTED_EDGE('',*,*,#215390,.T.); #297541=ORIENTED_EDGE('',*,*,#215342,.T.); #297542=ORIENTED_EDGE('',*,*,#215345,.T.); #297543=ORIENTED_EDGE('',*,*,#215348,.T.); #297544=ORIENTED_EDGE('',*,*,#215351,.T.); #297545=ORIENTED_EDGE('',*,*,#215354,.T.); #297546=ORIENTED_EDGE('',*,*,#215357,.T.); #297547=ORIENTED_EDGE('',*,*,#215360,.T.); #297548=ORIENTED_EDGE('',*,*,#215363,.T.); #297549=ORIENTED_EDGE('',*,*,#215366,.T.); #297550=ORIENTED_EDGE('',*,*,#215369,.T.); #297551=ORIENTED_EDGE('',*,*,#215372,.T.); #297552=ORIENTED_EDGE('',*,*,#215375,.T.); #297553=ORIENTED_EDGE('',*,*,#215378,.T.); #297554=ORIENTED_EDGE('',*,*,#215381,.T.); #297555=ORIENTED_EDGE('',*,*,#215384,.T.); #297556=ORIENTED_EDGE('',*,*,#215387,.T.); #297557=ORIENTED_EDGE('',*,*,#215608,.F.); #297558=ORIENTED_EDGE('',*,*,#215388,.F.); #297559=ORIENTED_EDGE('',*,*,#215392,.F.); #297560=ORIENTED_EDGE('',*,*,#215395,.F.); #297561=ORIENTED_EDGE('',*,*,#215398,.F.); #297562=ORIENTED_EDGE('',*,*,#215401,.F.); #297563=ORIENTED_EDGE('',*,*,#215404,.F.); #297564=ORIENTED_EDGE('',*,*,#215407,.F.); #297565=ORIENTED_EDGE('',*,*,#215410,.F.); #297566=ORIENTED_EDGE('',*,*,#215413,.F.); #297567=ORIENTED_EDGE('',*,*,#215416,.F.); #297568=ORIENTED_EDGE('',*,*,#215419,.F.); #297569=ORIENTED_EDGE('',*,*,#215422,.F.); #297570=ORIENTED_EDGE('',*,*,#215425,.F.); #297571=ORIENTED_EDGE('',*,*,#215428,.F.); #297572=ORIENTED_EDGE('',*,*,#215431,.F.); #297573=ORIENTED_EDGE('',*,*,#215434,.F.); #297574=ORIENTED_EDGE('',*,*,#215437,.F.); #297575=ORIENTED_EDGE('',*,*,#215440,.F.); #297576=ORIENTED_EDGE('',*,*,#215443,.F.); #297577=ORIENTED_EDGE('',*,*,#215446,.F.); #297578=ORIENTED_EDGE('',*,*,#215449,.F.); #297579=ORIENTED_EDGE('',*,*,#215452,.F.); #297580=ORIENTED_EDGE('',*,*,#215455,.F.); #297581=ORIENTED_EDGE('',*,*,#215458,.F.); #297582=ORIENTED_EDGE('',*,*,#215461,.F.); #297583=ORIENTED_EDGE('',*,*,#215464,.F.); #297584=ORIENTED_EDGE('',*,*,#215467,.F.); #297585=ORIENTED_EDGE('',*,*,#215470,.F.); #297586=ORIENTED_EDGE('',*,*,#215473,.F.); #297587=ORIENTED_EDGE('',*,*,#215476,.F.); #297588=ORIENTED_EDGE('',*,*,#215479,.F.); #297589=ORIENTED_EDGE('',*,*,#215482,.F.); #297590=ORIENTED_EDGE('',*,*,#215485,.F.); #297591=ORIENTED_EDGE('',*,*,#215488,.F.); #297592=ORIENTED_EDGE('',*,*,#215491,.F.); #297593=ORIENTED_EDGE('',*,*,#215494,.F.); #297594=ORIENTED_EDGE('',*,*,#215497,.F.); #297595=ORIENTED_EDGE('',*,*,#215500,.F.); #297596=ORIENTED_EDGE('',*,*,#215503,.F.); #297597=ORIENTED_EDGE('',*,*,#215506,.F.); #297598=ORIENTED_EDGE('',*,*,#215509,.F.); #297599=ORIENTED_EDGE('',*,*,#215512,.F.); #297600=ORIENTED_EDGE('',*,*,#215515,.F.); #297601=ORIENTED_EDGE('',*,*,#215518,.F.); #297602=ORIENTED_EDGE('',*,*,#215521,.F.); #297603=ORIENTED_EDGE('',*,*,#215524,.F.); #297604=ORIENTED_EDGE('',*,*,#215527,.F.); #297605=ORIENTED_EDGE('',*,*,#215530,.F.); #297606=ORIENTED_EDGE('',*,*,#215533,.F.); #297607=ORIENTED_EDGE('',*,*,#215536,.F.); #297608=ORIENTED_EDGE('',*,*,#215539,.F.); #297609=ORIENTED_EDGE('',*,*,#215542,.F.); #297610=ORIENTED_EDGE('',*,*,#215545,.F.); #297611=ORIENTED_EDGE('',*,*,#215548,.F.); #297612=ORIENTED_EDGE('',*,*,#215551,.F.); #297613=ORIENTED_EDGE('',*,*,#215554,.F.); #297614=ORIENTED_EDGE('',*,*,#215557,.F.); #297615=ORIENTED_EDGE('',*,*,#215560,.F.); #297616=ORIENTED_EDGE('',*,*,#215563,.F.); #297617=ORIENTED_EDGE('',*,*,#215566,.F.); #297618=ORIENTED_EDGE('',*,*,#215569,.F.); #297619=ORIENTED_EDGE('',*,*,#215572,.F.); #297620=ORIENTED_EDGE('',*,*,#215575,.F.); #297621=ORIENTED_EDGE('',*,*,#215578,.F.); #297622=ORIENTED_EDGE('',*,*,#215581,.F.); #297623=ORIENTED_EDGE('',*,*,#215584,.F.); #297624=ORIENTED_EDGE('',*,*,#215587,.F.); #297625=ORIENTED_EDGE('',*,*,#215590,.F.); #297626=ORIENTED_EDGE('',*,*,#215593,.F.); #297627=ORIENTED_EDGE('',*,*,#215596,.F.); #297628=ORIENTED_EDGE('',*,*,#215599,.F.); #297629=ORIENTED_EDGE('',*,*,#215602,.F.); #297630=ORIENTED_EDGE('',*,*,#215605,.F.); #297631=ORIENTED_EDGE('',*,*,#215340,.T.); #297632=ORIENTED_EDGE('',*,*,#215343,.T.); #297633=ORIENTED_EDGE('',*,*,#215346,.T.); #297634=ORIENTED_EDGE('',*,*,#215349,.T.); #297635=ORIENTED_EDGE('',*,*,#215352,.T.); #297636=ORIENTED_EDGE('',*,*,#215355,.T.); #297637=ORIENTED_EDGE('',*,*,#215358,.T.); #297638=ORIENTED_EDGE('',*,*,#215361,.T.); #297639=ORIENTED_EDGE('',*,*,#215364,.T.); #297640=ORIENTED_EDGE('',*,*,#215367,.T.); #297641=ORIENTED_EDGE('',*,*,#215370,.T.); #297642=ORIENTED_EDGE('',*,*,#215373,.T.); #297643=ORIENTED_EDGE('',*,*,#215376,.T.); #297644=ORIENTED_EDGE('',*,*,#215379,.T.); #297645=ORIENTED_EDGE('',*,*,#215382,.T.); #297646=ORIENTED_EDGE('',*,*,#215385,.T.); #297647=ORIENTED_EDGE('',*,*,#215610,.F.); #297648=ORIENTED_EDGE('',*,*,#215611,.T.); #297649=ORIENTED_EDGE('',*,*,#215612,.F.); #297650=ORIENTED_EDGE('',*,*,#215611,.F.); #297651=ORIENTED_EDGE('',*,*,#215613,.F.); #297652=ORIENTED_EDGE('',*,*,#215614,.T.); #297653=ORIENTED_EDGE('',*,*,#215615,.F.); #297654=ORIENTED_EDGE('',*,*,#215614,.F.); #297655=ORIENTED_EDGE('',*,*,#215616,.F.); #297656=ORIENTED_EDGE('',*,*,#215617,.T.); #297657=ORIENTED_EDGE('',*,*,#215618,.F.); #297658=ORIENTED_EDGE('',*,*,#215617,.F.); #297659=ORIENTED_EDGE('',*,*,#215619,.F.); #297660=ORIENTED_EDGE('',*,*,#215620,.T.); #297661=ORIENTED_EDGE('',*,*,#215621,.F.); #297662=ORIENTED_EDGE('',*,*,#215620,.F.); #297663=ORIENTED_EDGE('',*,*,#215622,.F.); #297664=ORIENTED_EDGE('',*,*,#215623,.T.); #297665=ORIENTED_EDGE('',*,*,#215624,.F.); #297666=ORIENTED_EDGE('',*,*,#215623,.F.); #297667=ORIENTED_EDGE('',*,*,#215625,.F.); #297668=ORIENTED_EDGE('',*,*,#215626,.T.); #297669=ORIENTED_EDGE('',*,*,#215627,.F.); #297670=ORIENTED_EDGE('',*,*,#215626,.F.); #297671=ORIENTED_EDGE('',*,*,#215628,.F.); #297672=ORIENTED_EDGE('',*,*,#215629,.T.); #297673=ORIENTED_EDGE('',*,*,#215630,.F.); #297674=ORIENTED_EDGE('',*,*,#215629,.F.); #297675=ORIENTED_EDGE('',*,*,#215631,.F.); #297676=ORIENTED_EDGE('',*,*,#215632,.T.); #297677=ORIENTED_EDGE('',*,*,#215633,.F.); #297678=ORIENTED_EDGE('',*,*,#215632,.F.); #297679=ORIENTED_EDGE('',*,*,#215634,.F.); #297680=ORIENTED_EDGE('',*,*,#215635,.T.); #297681=ORIENTED_EDGE('',*,*,#215636,.F.); #297682=ORIENTED_EDGE('',*,*,#215635,.F.); #297683=ORIENTED_EDGE('',*,*,#215637,.F.); #297684=ORIENTED_EDGE('',*,*,#215638,.T.); #297685=ORIENTED_EDGE('',*,*,#215639,.F.); #297686=ORIENTED_EDGE('',*,*,#215638,.F.); #297687=ORIENTED_EDGE('',*,*,#215640,.F.); #297688=ORIENTED_EDGE('',*,*,#215641,.T.); #297689=ORIENTED_EDGE('',*,*,#215642,.F.); #297690=ORIENTED_EDGE('',*,*,#215641,.F.); #297691=ORIENTED_EDGE('',*,*,#215643,.F.); #297692=ORIENTED_EDGE('',*,*,#215644,.T.); #297693=ORIENTED_EDGE('',*,*,#215645,.F.); #297694=ORIENTED_EDGE('',*,*,#215644,.F.); #297695=ORIENTED_EDGE('',*,*,#215646,.F.); #297696=ORIENTED_EDGE('',*,*,#215647,.T.); #297697=ORIENTED_EDGE('',*,*,#215648,.F.); #297698=ORIENTED_EDGE('',*,*,#215647,.F.); #297699=ORIENTED_EDGE('',*,*,#215649,.F.); #297700=ORIENTED_EDGE('',*,*,#215650,.T.); #297701=ORIENTED_EDGE('',*,*,#215651,.F.); #297702=ORIENTED_EDGE('',*,*,#215650,.F.); #297703=ORIENTED_EDGE('',*,*,#215652,.F.); #297704=ORIENTED_EDGE('',*,*,#215653,.T.); #297705=ORIENTED_EDGE('',*,*,#215654,.F.); #297706=ORIENTED_EDGE('',*,*,#215653,.F.); #297707=ORIENTED_EDGE('',*,*,#215655,.F.); #297708=ORIENTED_EDGE('',*,*,#215656,.T.); #297709=ORIENTED_EDGE('',*,*,#215657,.F.); #297710=ORIENTED_EDGE('',*,*,#215656,.F.); #297711=ORIENTED_EDGE('',*,*,#215658,.T.); #297712=ORIENTED_EDGE('',*,*,#215659,.T.); #297713=ORIENTED_EDGE('',*,*,#215660,.F.); #297714=ORIENTED_EDGE('',*,*,#215661,.F.); #297715=ORIENTED_EDGE('',*,*,#215662,.T.); #297716=ORIENTED_EDGE('',*,*,#215661,.T.); #297717=ORIENTED_EDGE('',*,*,#215663,.F.); #297718=ORIENTED_EDGE('',*,*,#215664,.F.); #297719=ORIENTED_EDGE('',*,*,#215665,.T.); #297720=ORIENTED_EDGE('',*,*,#215664,.T.); #297721=ORIENTED_EDGE('',*,*,#215666,.F.); #297722=ORIENTED_EDGE('',*,*,#215667,.F.); #297723=ORIENTED_EDGE('',*,*,#215668,.T.); #297724=ORIENTED_EDGE('',*,*,#215667,.T.); #297725=ORIENTED_EDGE('',*,*,#215669,.F.); #297726=ORIENTED_EDGE('',*,*,#215670,.F.); #297727=ORIENTED_EDGE('',*,*,#215671,.T.); #297728=ORIENTED_EDGE('',*,*,#215670,.T.); #297729=ORIENTED_EDGE('',*,*,#215672,.F.); #297730=ORIENTED_EDGE('',*,*,#215673,.F.); #297731=ORIENTED_EDGE('',*,*,#215674,.T.); #297732=ORIENTED_EDGE('',*,*,#215673,.T.); #297733=ORIENTED_EDGE('',*,*,#215675,.F.); #297734=ORIENTED_EDGE('',*,*,#215676,.F.); #297735=ORIENTED_EDGE('',*,*,#215677,.T.); #297736=ORIENTED_EDGE('',*,*,#215676,.T.); #297737=ORIENTED_EDGE('',*,*,#215678,.F.); #297738=ORIENTED_EDGE('',*,*,#215679,.F.); #297739=ORIENTED_EDGE('',*,*,#215680,.T.); #297740=ORIENTED_EDGE('',*,*,#215679,.T.); #297741=ORIENTED_EDGE('',*,*,#215681,.F.); #297742=ORIENTED_EDGE('',*,*,#215682,.F.); #297743=ORIENTED_EDGE('',*,*,#215683,.T.); #297744=ORIENTED_EDGE('',*,*,#215682,.T.); #297745=ORIENTED_EDGE('',*,*,#215684,.F.); #297746=ORIENTED_EDGE('',*,*,#215685,.F.); #297747=ORIENTED_EDGE('',*,*,#215686,.T.); #297748=ORIENTED_EDGE('',*,*,#215685,.T.); #297749=ORIENTED_EDGE('',*,*,#215687,.F.); #297750=ORIENTED_EDGE('',*,*,#215688,.F.); #297751=ORIENTED_EDGE('',*,*,#215689,.T.); #297752=ORIENTED_EDGE('',*,*,#215688,.T.); #297753=ORIENTED_EDGE('',*,*,#215690,.F.); #297754=ORIENTED_EDGE('',*,*,#215691,.F.); #297755=ORIENTED_EDGE('',*,*,#215692,.T.); #297756=ORIENTED_EDGE('',*,*,#215691,.T.); #297757=ORIENTED_EDGE('',*,*,#215693,.F.); #297758=ORIENTED_EDGE('',*,*,#215694,.F.); #297759=ORIENTED_EDGE('',*,*,#215695,.T.); #297760=ORIENTED_EDGE('',*,*,#215694,.T.); #297761=ORIENTED_EDGE('',*,*,#215696,.F.); #297762=ORIENTED_EDGE('',*,*,#215697,.F.); #297763=ORIENTED_EDGE('',*,*,#215698,.T.); #297764=ORIENTED_EDGE('',*,*,#215697,.T.); #297765=ORIENTED_EDGE('',*,*,#215699,.F.); #297766=ORIENTED_EDGE('',*,*,#215700,.F.); #297767=ORIENTED_EDGE('',*,*,#215701,.T.); #297768=ORIENTED_EDGE('',*,*,#215700,.T.); #297769=ORIENTED_EDGE('',*,*,#215702,.F.); #297770=ORIENTED_EDGE('',*,*,#215703,.F.); #297771=ORIENTED_EDGE('',*,*,#215704,.T.); #297772=ORIENTED_EDGE('',*,*,#215703,.T.); #297773=ORIENTED_EDGE('',*,*,#215705,.F.); #297774=ORIENTED_EDGE('',*,*,#215706,.F.); #297775=ORIENTED_EDGE('',*,*,#215707,.T.); #297776=ORIENTED_EDGE('',*,*,#215706,.T.); #297777=ORIENTED_EDGE('',*,*,#215708,.F.); #297778=ORIENTED_EDGE('',*,*,#215709,.F.); #297779=ORIENTED_EDGE('',*,*,#215710,.T.); #297780=ORIENTED_EDGE('',*,*,#215709,.T.); #297781=ORIENTED_EDGE('',*,*,#215711,.F.); #297782=ORIENTED_EDGE('',*,*,#215712,.F.); #297783=ORIENTED_EDGE('',*,*,#215713,.T.); #297784=ORIENTED_EDGE('',*,*,#215712,.T.); #297785=ORIENTED_EDGE('',*,*,#215714,.F.); #297786=ORIENTED_EDGE('',*,*,#215715,.F.); #297787=ORIENTED_EDGE('',*,*,#215716,.T.); #297788=ORIENTED_EDGE('',*,*,#215715,.T.); #297789=ORIENTED_EDGE('',*,*,#215717,.F.); #297790=ORIENTED_EDGE('',*,*,#215718,.F.); #297791=ORIENTED_EDGE('',*,*,#215719,.T.); #297792=ORIENTED_EDGE('',*,*,#215718,.T.); #297793=ORIENTED_EDGE('',*,*,#215720,.F.); #297794=ORIENTED_EDGE('',*,*,#215721,.F.); #297795=ORIENTED_EDGE('',*,*,#215722,.T.); #297796=ORIENTED_EDGE('',*,*,#215721,.T.); #297797=ORIENTED_EDGE('',*,*,#215723,.F.); #297798=ORIENTED_EDGE('',*,*,#215724,.F.); #297799=ORIENTED_EDGE('',*,*,#215725,.T.); #297800=ORIENTED_EDGE('',*,*,#215724,.T.); #297801=ORIENTED_EDGE('',*,*,#215726,.F.); #297802=ORIENTED_EDGE('',*,*,#215727,.F.); #297803=ORIENTED_EDGE('',*,*,#215728,.T.); #297804=ORIENTED_EDGE('',*,*,#215727,.T.); #297805=ORIENTED_EDGE('',*,*,#215729,.F.); #297806=ORIENTED_EDGE('',*,*,#215730,.F.); #297807=ORIENTED_EDGE('',*,*,#215731,.T.); #297808=ORIENTED_EDGE('',*,*,#215730,.T.); #297809=ORIENTED_EDGE('',*,*,#215732,.F.); #297810=ORIENTED_EDGE('',*,*,#215733,.F.); #297811=ORIENTED_EDGE('',*,*,#215734,.T.); #297812=ORIENTED_EDGE('',*,*,#215733,.T.); #297813=ORIENTED_EDGE('',*,*,#215735,.F.); #297814=ORIENTED_EDGE('',*,*,#215736,.F.); #297815=ORIENTED_EDGE('',*,*,#215737,.T.); #297816=ORIENTED_EDGE('',*,*,#215736,.T.); #297817=ORIENTED_EDGE('',*,*,#215738,.F.); #297818=ORIENTED_EDGE('',*,*,#215739,.F.); #297819=ORIENTED_EDGE('',*,*,#215740,.T.); #297820=ORIENTED_EDGE('',*,*,#215739,.T.); #297821=ORIENTED_EDGE('',*,*,#215741,.F.); #297822=ORIENTED_EDGE('',*,*,#215742,.F.); #297823=ORIENTED_EDGE('',*,*,#215743,.T.); #297824=ORIENTED_EDGE('',*,*,#215742,.T.); #297825=ORIENTED_EDGE('',*,*,#215744,.F.); #297826=ORIENTED_EDGE('',*,*,#215745,.F.); #297827=ORIENTED_EDGE('',*,*,#215746,.T.); #297828=ORIENTED_EDGE('',*,*,#215745,.T.); #297829=ORIENTED_EDGE('',*,*,#215747,.F.); #297830=ORIENTED_EDGE('',*,*,#215748,.F.); #297831=ORIENTED_EDGE('',*,*,#215749,.T.); #297832=ORIENTED_EDGE('',*,*,#215748,.T.); #297833=ORIENTED_EDGE('',*,*,#215750,.F.); #297834=ORIENTED_EDGE('',*,*,#215751,.F.); #297835=ORIENTED_EDGE('',*,*,#215752,.T.); #297836=ORIENTED_EDGE('',*,*,#215751,.T.); #297837=ORIENTED_EDGE('',*,*,#215753,.F.); #297838=ORIENTED_EDGE('',*,*,#215754,.F.); #297839=ORIENTED_EDGE('',*,*,#215755,.T.); #297840=ORIENTED_EDGE('',*,*,#215754,.T.); #297841=ORIENTED_EDGE('',*,*,#215756,.F.); #297842=ORIENTED_EDGE('',*,*,#215757,.F.); #297843=ORIENTED_EDGE('',*,*,#215758,.T.); #297844=ORIENTED_EDGE('',*,*,#215757,.T.); #297845=ORIENTED_EDGE('',*,*,#215759,.F.); #297846=ORIENTED_EDGE('',*,*,#215760,.F.); #297847=ORIENTED_EDGE('',*,*,#215761,.T.); #297848=ORIENTED_EDGE('',*,*,#215760,.T.); #297849=ORIENTED_EDGE('',*,*,#215762,.F.); #297850=ORIENTED_EDGE('',*,*,#215763,.F.); #297851=ORIENTED_EDGE('',*,*,#215764,.T.); #297852=ORIENTED_EDGE('',*,*,#215763,.T.); #297853=ORIENTED_EDGE('',*,*,#215765,.F.); #297854=ORIENTED_EDGE('',*,*,#215766,.F.); #297855=ORIENTED_EDGE('',*,*,#215767,.T.); #297856=ORIENTED_EDGE('',*,*,#215766,.T.); #297857=ORIENTED_EDGE('',*,*,#215768,.F.); #297858=ORIENTED_EDGE('',*,*,#215769,.F.); #297859=ORIENTED_EDGE('',*,*,#215770,.T.); #297860=ORIENTED_EDGE('',*,*,#215769,.T.); #297861=ORIENTED_EDGE('',*,*,#215771,.F.); #297862=ORIENTED_EDGE('',*,*,#215772,.F.); #297863=ORIENTED_EDGE('',*,*,#215773,.T.); #297864=ORIENTED_EDGE('',*,*,#215772,.T.); #297865=ORIENTED_EDGE('',*,*,#215774,.F.); #297866=ORIENTED_EDGE('',*,*,#215775,.F.); #297867=ORIENTED_EDGE('',*,*,#215776,.T.); #297868=ORIENTED_EDGE('',*,*,#215775,.T.); #297869=ORIENTED_EDGE('',*,*,#215777,.F.); #297870=ORIENTED_EDGE('',*,*,#215778,.F.); #297871=ORIENTED_EDGE('',*,*,#215779,.T.); #297872=ORIENTED_EDGE('',*,*,#215778,.T.); #297873=ORIENTED_EDGE('',*,*,#215780,.F.); #297874=ORIENTED_EDGE('',*,*,#215781,.F.); #297875=ORIENTED_EDGE('',*,*,#215782,.T.); #297876=ORIENTED_EDGE('',*,*,#215781,.T.); #297877=ORIENTED_EDGE('',*,*,#215783,.F.); #297878=ORIENTED_EDGE('',*,*,#215784,.F.); #297879=ORIENTED_EDGE('',*,*,#215785,.T.); #297880=ORIENTED_EDGE('',*,*,#215784,.T.); #297881=ORIENTED_EDGE('',*,*,#215786,.F.); #297882=ORIENTED_EDGE('',*,*,#215787,.F.); #297883=ORIENTED_EDGE('',*,*,#215788,.T.); #297884=ORIENTED_EDGE('',*,*,#215787,.T.); #297885=ORIENTED_EDGE('',*,*,#215789,.F.); #297886=ORIENTED_EDGE('',*,*,#215790,.F.); #297887=ORIENTED_EDGE('',*,*,#215791,.T.); #297888=ORIENTED_EDGE('',*,*,#215790,.T.); #297889=ORIENTED_EDGE('',*,*,#215792,.F.); #297890=ORIENTED_EDGE('',*,*,#215793,.F.); #297891=ORIENTED_EDGE('',*,*,#215794,.T.); #297892=ORIENTED_EDGE('',*,*,#215793,.T.); #297893=ORIENTED_EDGE('',*,*,#215795,.F.); #297894=ORIENTED_EDGE('',*,*,#215796,.F.); #297895=ORIENTED_EDGE('',*,*,#215797,.T.); #297896=ORIENTED_EDGE('',*,*,#215796,.T.); #297897=ORIENTED_EDGE('',*,*,#215798,.F.); #297898=ORIENTED_EDGE('',*,*,#215799,.F.); #297899=ORIENTED_EDGE('',*,*,#215800,.T.); #297900=ORIENTED_EDGE('',*,*,#215799,.T.); #297901=ORIENTED_EDGE('',*,*,#215801,.F.); #297902=ORIENTED_EDGE('',*,*,#215802,.F.); #297903=ORIENTED_EDGE('',*,*,#215803,.T.); #297904=ORIENTED_EDGE('',*,*,#215802,.T.); #297905=ORIENTED_EDGE('',*,*,#215804,.F.); #297906=ORIENTED_EDGE('',*,*,#215805,.F.); #297907=ORIENTED_EDGE('',*,*,#215806,.T.); #297908=ORIENTED_EDGE('',*,*,#215805,.T.); #297909=ORIENTED_EDGE('',*,*,#215807,.F.); #297910=ORIENTED_EDGE('',*,*,#215808,.F.); #297911=ORIENTED_EDGE('',*,*,#215809,.T.); #297912=ORIENTED_EDGE('',*,*,#215808,.T.); #297913=ORIENTED_EDGE('',*,*,#215810,.F.); #297914=ORIENTED_EDGE('',*,*,#215811,.F.); #297915=ORIENTED_EDGE('',*,*,#215812,.T.); #297916=ORIENTED_EDGE('',*,*,#215811,.T.); #297917=ORIENTED_EDGE('',*,*,#215813,.F.); #297918=ORIENTED_EDGE('',*,*,#215814,.F.); #297919=ORIENTED_EDGE('',*,*,#215815,.T.); #297920=ORIENTED_EDGE('',*,*,#215814,.T.); #297921=ORIENTED_EDGE('',*,*,#215816,.F.); #297922=ORIENTED_EDGE('',*,*,#215817,.F.); #297923=ORIENTED_EDGE('',*,*,#215818,.T.); #297924=ORIENTED_EDGE('',*,*,#215817,.T.); #297925=ORIENTED_EDGE('',*,*,#215819,.F.); #297926=ORIENTED_EDGE('',*,*,#215820,.F.); #297927=ORIENTED_EDGE('',*,*,#215821,.T.); #297928=ORIENTED_EDGE('',*,*,#215820,.T.); #297929=ORIENTED_EDGE('',*,*,#215822,.F.); #297930=ORIENTED_EDGE('',*,*,#215823,.F.); #297931=ORIENTED_EDGE('',*,*,#215824,.T.); #297932=ORIENTED_EDGE('',*,*,#215823,.T.); #297933=ORIENTED_EDGE('',*,*,#215825,.F.); #297934=ORIENTED_EDGE('',*,*,#215826,.F.); #297935=ORIENTED_EDGE('',*,*,#215827,.T.); #297936=ORIENTED_EDGE('',*,*,#215826,.T.); #297937=ORIENTED_EDGE('',*,*,#215828,.F.); #297938=ORIENTED_EDGE('',*,*,#215829,.F.); #297939=ORIENTED_EDGE('',*,*,#215830,.T.); #297940=ORIENTED_EDGE('',*,*,#215829,.T.); #297941=ORIENTED_EDGE('',*,*,#215831,.F.); #297942=ORIENTED_EDGE('',*,*,#215832,.F.); #297943=ORIENTED_EDGE('',*,*,#215833,.T.); #297944=ORIENTED_EDGE('',*,*,#215832,.T.); #297945=ORIENTED_EDGE('',*,*,#215834,.F.); #297946=ORIENTED_EDGE('',*,*,#215835,.F.); #297947=ORIENTED_EDGE('',*,*,#215836,.T.); #297948=ORIENTED_EDGE('',*,*,#215835,.T.); #297949=ORIENTED_EDGE('',*,*,#215837,.F.); #297950=ORIENTED_EDGE('',*,*,#215838,.F.); #297951=ORIENTED_EDGE('',*,*,#215839,.T.); #297952=ORIENTED_EDGE('',*,*,#215838,.T.); #297953=ORIENTED_EDGE('',*,*,#215840,.F.); #297954=ORIENTED_EDGE('',*,*,#215841,.F.); #297955=ORIENTED_EDGE('',*,*,#215842,.T.); #297956=ORIENTED_EDGE('',*,*,#215841,.T.); #297957=ORIENTED_EDGE('',*,*,#215843,.F.); #297958=ORIENTED_EDGE('',*,*,#215844,.F.); #297959=ORIENTED_EDGE('',*,*,#215845,.T.); #297960=ORIENTED_EDGE('',*,*,#215844,.T.); #297961=ORIENTED_EDGE('',*,*,#215846,.F.); #297962=ORIENTED_EDGE('',*,*,#215847,.F.); #297963=ORIENTED_EDGE('',*,*,#215848,.T.); #297964=ORIENTED_EDGE('',*,*,#215847,.T.); #297965=ORIENTED_EDGE('',*,*,#215849,.F.); #297966=ORIENTED_EDGE('',*,*,#215850,.F.); #297967=ORIENTED_EDGE('',*,*,#215851,.T.); #297968=ORIENTED_EDGE('',*,*,#215850,.T.); #297969=ORIENTED_EDGE('',*,*,#215852,.F.); #297970=ORIENTED_EDGE('',*,*,#215853,.F.); #297971=ORIENTED_EDGE('',*,*,#215854,.T.); #297972=ORIENTED_EDGE('',*,*,#215853,.T.); #297973=ORIENTED_EDGE('',*,*,#215855,.F.); #297974=ORIENTED_EDGE('',*,*,#215856,.F.); #297975=ORIENTED_EDGE('',*,*,#215857,.T.); #297976=ORIENTED_EDGE('',*,*,#215856,.T.); #297977=ORIENTED_EDGE('',*,*,#215858,.F.); #297978=ORIENTED_EDGE('',*,*,#215859,.F.); #297979=ORIENTED_EDGE('',*,*,#215860,.T.); #297980=ORIENTED_EDGE('',*,*,#215859,.T.); #297981=ORIENTED_EDGE('',*,*,#215861,.F.); #297982=ORIENTED_EDGE('',*,*,#215862,.F.); #297983=ORIENTED_EDGE('',*,*,#215863,.T.); #297984=ORIENTED_EDGE('',*,*,#215862,.T.); #297985=ORIENTED_EDGE('',*,*,#215864,.F.); #297986=ORIENTED_EDGE('',*,*,#215865,.F.); #297987=ORIENTED_EDGE('',*,*,#215866,.T.); #297988=ORIENTED_EDGE('',*,*,#215865,.T.); #297989=ORIENTED_EDGE('',*,*,#215867,.F.); #297990=ORIENTED_EDGE('',*,*,#215659,.F.); #297991=ORIENTED_EDGE('',*,*,#215867,.T.); #297992=ORIENTED_EDGE('',*,*,#215864,.T.); #297993=ORIENTED_EDGE('',*,*,#215861,.T.); #297994=ORIENTED_EDGE('',*,*,#215858,.T.); #297995=ORIENTED_EDGE('',*,*,#215855,.T.); #297996=ORIENTED_EDGE('',*,*,#215852,.T.); #297997=ORIENTED_EDGE('',*,*,#215849,.T.); #297998=ORIENTED_EDGE('',*,*,#215846,.T.); #297999=ORIENTED_EDGE('',*,*,#215843,.T.); #298000=ORIENTED_EDGE('',*,*,#215840,.T.); #298001=ORIENTED_EDGE('',*,*,#215837,.T.); #298002=ORIENTED_EDGE('',*,*,#215834,.T.); #298003=ORIENTED_EDGE('',*,*,#215831,.T.); #298004=ORIENTED_EDGE('',*,*,#215828,.T.); #298005=ORIENTED_EDGE('',*,*,#215825,.T.); #298006=ORIENTED_EDGE('',*,*,#215822,.T.); #298007=ORIENTED_EDGE('',*,*,#215819,.T.); #298008=ORIENTED_EDGE('',*,*,#215816,.T.); #298009=ORIENTED_EDGE('',*,*,#215813,.T.); #298010=ORIENTED_EDGE('',*,*,#215810,.T.); #298011=ORIENTED_EDGE('',*,*,#215807,.T.); #298012=ORIENTED_EDGE('',*,*,#215804,.T.); #298013=ORIENTED_EDGE('',*,*,#215801,.T.); #298014=ORIENTED_EDGE('',*,*,#215798,.T.); #298015=ORIENTED_EDGE('',*,*,#215795,.T.); #298016=ORIENTED_EDGE('',*,*,#215792,.T.); #298017=ORIENTED_EDGE('',*,*,#215789,.T.); #298018=ORIENTED_EDGE('',*,*,#215786,.T.); #298019=ORIENTED_EDGE('',*,*,#215783,.T.); #298020=ORIENTED_EDGE('',*,*,#215780,.T.); #298021=ORIENTED_EDGE('',*,*,#215777,.T.); #298022=ORIENTED_EDGE('',*,*,#215774,.T.); #298023=ORIENTED_EDGE('',*,*,#215771,.T.); #298024=ORIENTED_EDGE('',*,*,#215768,.T.); #298025=ORIENTED_EDGE('',*,*,#215765,.T.); #298026=ORIENTED_EDGE('',*,*,#215762,.T.); #298027=ORIENTED_EDGE('',*,*,#215759,.T.); #298028=ORIENTED_EDGE('',*,*,#215756,.T.); #298029=ORIENTED_EDGE('',*,*,#215753,.T.); #298030=ORIENTED_EDGE('',*,*,#215750,.T.); #298031=ORIENTED_EDGE('',*,*,#215747,.T.); #298032=ORIENTED_EDGE('',*,*,#215744,.T.); #298033=ORIENTED_EDGE('',*,*,#215741,.T.); #298034=ORIENTED_EDGE('',*,*,#215738,.T.); #298035=ORIENTED_EDGE('',*,*,#215735,.T.); #298036=ORIENTED_EDGE('',*,*,#215732,.T.); #298037=ORIENTED_EDGE('',*,*,#215729,.T.); #298038=ORIENTED_EDGE('',*,*,#215726,.T.); #298039=ORIENTED_EDGE('',*,*,#215723,.T.); #298040=ORIENTED_EDGE('',*,*,#215720,.T.); #298041=ORIENTED_EDGE('',*,*,#215717,.T.); #298042=ORIENTED_EDGE('',*,*,#215714,.T.); #298043=ORIENTED_EDGE('',*,*,#215711,.T.); #298044=ORIENTED_EDGE('',*,*,#215708,.T.); #298045=ORIENTED_EDGE('',*,*,#215705,.T.); #298046=ORIENTED_EDGE('',*,*,#215702,.T.); #298047=ORIENTED_EDGE('',*,*,#215699,.T.); #298048=ORIENTED_EDGE('',*,*,#215696,.T.); #298049=ORIENTED_EDGE('',*,*,#215693,.T.); #298050=ORIENTED_EDGE('',*,*,#215690,.T.); #298051=ORIENTED_EDGE('',*,*,#215687,.T.); #298052=ORIENTED_EDGE('',*,*,#215684,.T.); #298053=ORIENTED_EDGE('',*,*,#215681,.T.); #298054=ORIENTED_EDGE('',*,*,#215678,.T.); #298055=ORIENTED_EDGE('',*,*,#215675,.T.); #298056=ORIENTED_EDGE('',*,*,#215672,.T.); #298057=ORIENTED_EDGE('',*,*,#215669,.T.); #298058=ORIENTED_EDGE('',*,*,#215666,.T.); #298059=ORIENTED_EDGE('',*,*,#215663,.T.); #298060=ORIENTED_EDGE('',*,*,#215660,.T.); #298061=ORIENTED_EDGE('',*,*,#215612,.T.); #298062=ORIENTED_EDGE('',*,*,#215615,.T.); #298063=ORIENTED_EDGE('',*,*,#215618,.T.); #298064=ORIENTED_EDGE('',*,*,#215621,.T.); #298065=ORIENTED_EDGE('',*,*,#215624,.T.); #298066=ORIENTED_EDGE('',*,*,#215627,.T.); #298067=ORIENTED_EDGE('',*,*,#215630,.T.); #298068=ORIENTED_EDGE('',*,*,#215633,.T.); #298069=ORIENTED_EDGE('',*,*,#215636,.T.); #298070=ORIENTED_EDGE('',*,*,#215639,.T.); #298071=ORIENTED_EDGE('',*,*,#215642,.T.); #298072=ORIENTED_EDGE('',*,*,#215645,.T.); #298073=ORIENTED_EDGE('',*,*,#215648,.T.); #298074=ORIENTED_EDGE('',*,*,#215651,.T.); #298075=ORIENTED_EDGE('',*,*,#215654,.T.); #298076=ORIENTED_EDGE('',*,*,#215657,.T.); #298077=ORIENTED_EDGE('',*,*,#215866,.F.); #298078=ORIENTED_EDGE('',*,*,#215658,.F.); #298079=ORIENTED_EDGE('',*,*,#215662,.F.); #298080=ORIENTED_EDGE('',*,*,#215665,.F.); #298081=ORIENTED_EDGE('',*,*,#215668,.F.); #298082=ORIENTED_EDGE('',*,*,#215671,.F.); #298083=ORIENTED_EDGE('',*,*,#215674,.F.); #298084=ORIENTED_EDGE('',*,*,#215677,.F.); #298085=ORIENTED_EDGE('',*,*,#215680,.F.); #298086=ORIENTED_EDGE('',*,*,#215683,.F.); #298087=ORIENTED_EDGE('',*,*,#215686,.F.); #298088=ORIENTED_EDGE('',*,*,#215689,.F.); #298089=ORIENTED_EDGE('',*,*,#215692,.F.); #298090=ORIENTED_EDGE('',*,*,#215695,.F.); #298091=ORIENTED_EDGE('',*,*,#215698,.F.); #298092=ORIENTED_EDGE('',*,*,#215701,.F.); #298093=ORIENTED_EDGE('',*,*,#215704,.F.); #298094=ORIENTED_EDGE('',*,*,#215707,.F.); #298095=ORIENTED_EDGE('',*,*,#215710,.F.); #298096=ORIENTED_EDGE('',*,*,#215713,.F.); #298097=ORIENTED_EDGE('',*,*,#215716,.F.); #298098=ORIENTED_EDGE('',*,*,#215719,.F.); #298099=ORIENTED_EDGE('',*,*,#215722,.F.); #298100=ORIENTED_EDGE('',*,*,#215725,.F.); #298101=ORIENTED_EDGE('',*,*,#215728,.F.); #298102=ORIENTED_EDGE('',*,*,#215731,.F.); #298103=ORIENTED_EDGE('',*,*,#215734,.F.); #298104=ORIENTED_EDGE('',*,*,#215737,.F.); #298105=ORIENTED_EDGE('',*,*,#215740,.F.); #298106=ORIENTED_EDGE('',*,*,#215743,.F.); #298107=ORIENTED_EDGE('',*,*,#215746,.F.); #298108=ORIENTED_EDGE('',*,*,#215749,.F.); #298109=ORIENTED_EDGE('',*,*,#215752,.F.); #298110=ORIENTED_EDGE('',*,*,#215755,.F.); #298111=ORIENTED_EDGE('',*,*,#215758,.F.); #298112=ORIENTED_EDGE('',*,*,#215761,.F.); #298113=ORIENTED_EDGE('',*,*,#215764,.F.); #298114=ORIENTED_EDGE('',*,*,#215767,.F.); #298115=ORIENTED_EDGE('',*,*,#215770,.F.); #298116=ORIENTED_EDGE('',*,*,#215773,.F.); #298117=ORIENTED_EDGE('',*,*,#215776,.F.); #298118=ORIENTED_EDGE('',*,*,#215779,.F.); #298119=ORIENTED_EDGE('',*,*,#215782,.F.); #298120=ORIENTED_EDGE('',*,*,#215785,.F.); #298121=ORIENTED_EDGE('',*,*,#215788,.F.); #298122=ORIENTED_EDGE('',*,*,#215791,.F.); #298123=ORIENTED_EDGE('',*,*,#215794,.F.); #298124=ORIENTED_EDGE('',*,*,#215797,.F.); #298125=ORIENTED_EDGE('',*,*,#215800,.F.); #298126=ORIENTED_EDGE('',*,*,#215803,.F.); #298127=ORIENTED_EDGE('',*,*,#215806,.F.); #298128=ORIENTED_EDGE('',*,*,#215809,.F.); #298129=ORIENTED_EDGE('',*,*,#215812,.F.); #298130=ORIENTED_EDGE('',*,*,#215815,.F.); #298131=ORIENTED_EDGE('',*,*,#215818,.F.); #298132=ORIENTED_EDGE('',*,*,#215821,.F.); #298133=ORIENTED_EDGE('',*,*,#215824,.F.); #298134=ORIENTED_EDGE('',*,*,#215827,.F.); #298135=ORIENTED_EDGE('',*,*,#215830,.F.); #298136=ORIENTED_EDGE('',*,*,#215833,.F.); #298137=ORIENTED_EDGE('',*,*,#215836,.F.); #298138=ORIENTED_EDGE('',*,*,#215839,.F.); #298139=ORIENTED_EDGE('',*,*,#215842,.F.); #298140=ORIENTED_EDGE('',*,*,#215845,.F.); #298141=ORIENTED_EDGE('',*,*,#215848,.F.); #298142=ORIENTED_EDGE('',*,*,#215851,.F.); #298143=ORIENTED_EDGE('',*,*,#215854,.F.); #298144=ORIENTED_EDGE('',*,*,#215857,.F.); #298145=ORIENTED_EDGE('',*,*,#215860,.F.); #298146=ORIENTED_EDGE('',*,*,#215863,.F.); #298147=ORIENTED_EDGE('',*,*,#215610,.T.); #298148=ORIENTED_EDGE('',*,*,#215613,.T.); #298149=ORIENTED_EDGE('',*,*,#215616,.T.); #298150=ORIENTED_EDGE('',*,*,#215619,.T.); #298151=ORIENTED_EDGE('',*,*,#215622,.T.); #298152=ORIENTED_EDGE('',*,*,#215625,.T.); #298153=ORIENTED_EDGE('',*,*,#215628,.T.); #298154=ORIENTED_EDGE('',*,*,#215631,.T.); #298155=ORIENTED_EDGE('',*,*,#215634,.T.); #298156=ORIENTED_EDGE('',*,*,#215637,.T.); #298157=ORIENTED_EDGE('',*,*,#215640,.T.); #298158=ORIENTED_EDGE('',*,*,#215643,.T.); #298159=ORIENTED_EDGE('',*,*,#215646,.T.); #298160=ORIENTED_EDGE('',*,*,#215649,.T.); #298161=ORIENTED_EDGE('',*,*,#215652,.T.); #298162=ORIENTED_EDGE('',*,*,#215655,.T.); #298163=ORIENTED_EDGE('',*,*,#215868,.F.); #298164=ORIENTED_EDGE('',*,*,#215869,.T.); #298165=ORIENTED_EDGE('',*,*,#215870,.F.); #298166=ORIENTED_EDGE('',*,*,#215869,.F.); #298167=ORIENTED_EDGE('',*,*,#215871,.F.); #298168=ORIENTED_EDGE('',*,*,#215872,.T.); #298169=ORIENTED_EDGE('',*,*,#215873,.F.); #298170=ORIENTED_EDGE('',*,*,#215872,.F.); #298171=ORIENTED_EDGE('',*,*,#215874,.F.); #298172=ORIENTED_EDGE('',*,*,#215875,.T.); #298173=ORIENTED_EDGE('',*,*,#215876,.F.); #298174=ORIENTED_EDGE('',*,*,#215875,.F.); #298175=ORIENTED_EDGE('',*,*,#215877,.F.); #298176=ORIENTED_EDGE('',*,*,#215878,.T.); #298177=ORIENTED_EDGE('',*,*,#215879,.F.); #298178=ORIENTED_EDGE('',*,*,#215878,.F.); #298179=ORIENTED_EDGE('',*,*,#215880,.F.); #298180=ORIENTED_EDGE('',*,*,#215881,.T.); #298181=ORIENTED_EDGE('',*,*,#215882,.F.); #298182=ORIENTED_EDGE('',*,*,#215881,.F.); #298183=ORIENTED_EDGE('',*,*,#215883,.F.); #298184=ORIENTED_EDGE('',*,*,#215884,.T.); #298185=ORIENTED_EDGE('',*,*,#215885,.F.); #298186=ORIENTED_EDGE('',*,*,#215884,.F.); #298187=ORIENTED_EDGE('',*,*,#215886,.F.); #298188=ORIENTED_EDGE('',*,*,#215887,.T.); #298189=ORIENTED_EDGE('',*,*,#215888,.F.); #298190=ORIENTED_EDGE('',*,*,#215887,.F.); #298191=ORIENTED_EDGE('',*,*,#215889,.F.); #298192=ORIENTED_EDGE('',*,*,#215890,.T.); #298193=ORIENTED_EDGE('',*,*,#215891,.F.); #298194=ORIENTED_EDGE('',*,*,#215890,.F.); #298195=ORIENTED_EDGE('',*,*,#215892,.F.); #298196=ORIENTED_EDGE('',*,*,#215893,.T.); #298197=ORIENTED_EDGE('',*,*,#215894,.F.); #298198=ORIENTED_EDGE('',*,*,#215893,.F.); #298199=ORIENTED_EDGE('',*,*,#215895,.F.); #298200=ORIENTED_EDGE('',*,*,#215896,.T.); #298201=ORIENTED_EDGE('',*,*,#215897,.F.); #298202=ORIENTED_EDGE('',*,*,#215896,.F.); #298203=ORIENTED_EDGE('',*,*,#215898,.F.); #298204=ORIENTED_EDGE('',*,*,#215899,.T.); #298205=ORIENTED_EDGE('',*,*,#215900,.F.); #298206=ORIENTED_EDGE('',*,*,#215899,.F.); #298207=ORIENTED_EDGE('',*,*,#215901,.F.); #298208=ORIENTED_EDGE('',*,*,#215902,.T.); #298209=ORIENTED_EDGE('',*,*,#215903,.F.); #298210=ORIENTED_EDGE('',*,*,#215902,.F.); #298211=ORIENTED_EDGE('',*,*,#215904,.F.); #298212=ORIENTED_EDGE('',*,*,#215905,.T.); #298213=ORIENTED_EDGE('',*,*,#215906,.F.); #298214=ORIENTED_EDGE('',*,*,#215905,.F.); #298215=ORIENTED_EDGE('',*,*,#215907,.F.); #298216=ORIENTED_EDGE('',*,*,#215908,.T.); #298217=ORIENTED_EDGE('',*,*,#215909,.F.); #298218=ORIENTED_EDGE('',*,*,#215908,.F.); #298219=ORIENTED_EDGE('',*,*,#215910,.F.); #298220=ORIENTED_EDGE('',*,*,#215911,.T.); #298221=ORIENTED_EDGE('',*,*,#215912,.F.); #298222=ORIENTED_EDGE('',*,*,#215911,.F.); #298223=ORIENTED_EDGE('',*,*,#215913,.F.); #298224=ORIENTED_EDGE('',*,*,#215914,.T.); #298225=ORIENTED_EDGE('',*,*,#215915,.F.); #298226=ORIENTED_EDGE('',*,*,#215914,.F.); #298227=ORIENTED_EDGE('',*,*,#215916,.F.); #298228=ORIENTED_EDGE('',*,*,#215917,.T.); #298229=ORIENTED_EDGE('',*,*,#215918,.F.); #298230=ORIENTED_EDGE('',*,*,#215917,.F.); #298231=ORIENTED_EDGE('',*,*,#215919,.F.); #298232=ORIENTED_EDGE('',*,*,#215920,.T.); #298233=ORIENTED_EDGE('',*,*,#215921,.F.); #298234=ORIENTED_EDGE('',*,*,#215920,.F.); #298235=ORIENTED_EDGE('',*,*,#215922,.F.); #298236=ORIENTED_EDGE('',*,*,#215923,.T.); #298237=ORIENTED_EDGE('',*,*,#215924,.F.); #298238=ORIENTED_EDGE('',*,*,#215923,.F.); #298239=ORIENTED_EDGE('',*,*,#215925,.F.); #298240=ORIENTED_EDGE('',*,*,#215926,.T.); #298241=ORIENTED_EDGE('',*,*,#215927,.F.); #298242=ORIENTED_EDGE('',*,*,#215926,.F.); #298243=ORIENTED_EDGE('',*,*,#215928,.F.); #298244=ORIENTED_EDGE('',*,*,#215929,.T.); #298245=ORIENTED_EDGE('',*,*,#215930,.F.); #298246=ORIENTED_EDGE('',*,*,#215929,.F.); #298247=ORIENTED_EDGE('',*,*,#215931,.F.); #298248=ORIENTED_EDGE('',*,*,#215932,.T.); #298249=ORIENTED_EDGE('',*,*,#215933,.F.); #298250=ORIENTED_EDGE('',*,*,#215932,.F.); #298251=ORIENTED_EDGE('',*,*,#215934,.F.); #298252=ORIENTED_EDGE('',*,*,#215935,.T.); #298253=ORIENTED_EDGE('',*,*,#215936,.F.); #298254=ORIENTED_EDGE('',*,*,#215935,.F.); #298255=ORIENTED_EDGE('',*,*,#215937,.F.); #298256=ORIENTED_EDGE('',*,*,#215938,.T.); #298257=ORIENTED_EDGE('',*,*,#215939,.F.); #298258=ORIENTED_EDGE('',*,*,#215938,.F.); #298259=ORIENTED_EDGE('',*,*,#215940,.F.); #298260=ORIENTED_EDGE('',*,*,#215941,.T.); #298261=ORIENTED_EDGE('',*,*,#215942,.F.); #298262=ORIENTED_EDGE('',*,*,#215941,.F.); #298263=ORIENTED_EDGE('',*,*,#215943,.F.); #298264=ORIENTED_EDGE('',*,*,#215944,.T.); #298265=ORIENTED_EDGE('',*,*,#215945,.F.); #298266=ORIENTED_EDGE('',*,*,#215944,.F.); #298267=ORIENTED_EDGE('',*,*,#215946,.F.); #298268=ORIENTED_EDGE('',*,*,#215947,.T.); #298269=ORIENTED_EDGE('',*,*,#215948,.F.); #298270=ORIENTED_EDGE('',*,*,#215947,.F.); #298271=ORIENTED_EDGE('',*,*,#215949,.F.); #298272=ORIENTED_EDGE('',*,*,#215950,.T.); #298273=ORIENTED_EDGE('',*,*,#215951,.F.); #298274=ORIENTED_EDGE('',*,*,#215950,.F.); #298275=ORIENTED_EDGE('',*,*,#215952,.F.); #298276=ORIENTED_EDGE('',*,*,#215953,.T.); #298277=ORIENTED_EDGE('',*,*,#215954,.F.); #298278=ORIENTED_EDGE('',*,*,#215953,.F.); #298279=ORIENTED_EDGE('',*,*,#215955,.F.); #298280=ORIENTED_EDGE('',*,*,#215956,.T.); #298281=ORIENTED_EDGE('',*,*,#215957,.F.); #298282=ORIENTED_EDGE('',*,*,#215956,.F.); #298283=ORIENTED_EDGE('',*,*,#215958,.T.); #298284=ORIENTED_EDGE('',*,*,#215959,.T.); #298285=ORIENTED_EDGE('',*,*,#215960,.F.); #298286=ORIENTED_EDGE('',*,*,#215961,.F.); #298287=ORIENTED_EDGE('',*,*,#215962,.T.); #298288=ORIENTED_EDGE('',*,*,#215961,.T.); #298289=ORIENTED_EDGE('',*,*,#215963,.F.); #298290=ORIENTED_EDGE('',*,*,#215964,.F.); #298291=ORIENTED_EDGE('',*,*,#215965,.T.); #298292=ORIENTED_EDGE('',*,*,#215964,.T.); #298293=ORIENTED_EDGE('',*,*,#215966,.F.); #298294=ORIENTED_EDGE('',*,*,#215967,.F.); #298295=ORIENTED_EDGE('',*,*,#215968,.T.); #298296=ORIENTED_EDGE('',*,*,#215967,.T.); #298297=ORIENTED_EDGE('',*,*,#215969,.F.); #298298=ORIENTED_EDGE('',*,*,#215970,.F.); #298299=ORIENTED_EDGE('',*,*,#215971,.T.); #298300=ORIENTED_EDGE('',*,*,#215970,.T.); #298301=ORIENTED_EDGE('',*,*,#215972,.F.); #298302=ORIENTED_EDGE('',*,*,#215973,.F.); #298303=ORIENTED_EDGE('',*,*,#215974,.T.); #298304=ORIENTED_EDGE('',*,*,#215973,.T.); #298305=ORIENTED_EDGE('',*,*,#215975,.F.); #298306=ORIENTED_EDGE('',*,*,#215976,.F.); #298307=ORIENTED_EDGE('',*,*,#215977,.T.); #298308=ORIENTED_EDGE('',*,*,#215976,.T.); #298309=ORIENTED_EDGE('',*,*,#215978,.F.); #298310=ORIENTED_EDGE('',*,*,#215979,.F.); #298311=ORIENTED_EDGE('',*,*,#215980,.T.); #298312=ORIENTED_EDGE('',*,*,#215979,.T.); #298313=ORIENTED_EDGE('',*,*,#215981,.F.); #298314=ORIENTED_EDGE('',*,*,#215982,.F.); #298315=ORIENTED_EDGE('',*,*,#215983,.T.); #298316=ORIENTED_EDGE('',*,*,#215982,.T.); #298317=ORIENTED_EDGE('',*,*,#215984,.F.); #298318=ORIENTED_EDGE('',*,*,#215985,.F.); #298319=ORIENTED_EDGE('',*,*,#215986,.T.); #298320=ORIENTED_EDGE('',*,*,#215985,.T.); #298321=ORIENTED_EDGE('',*,*,#215987,.F.); #298322=ORIENTED_EDGE('',*,*,#215988,.F.); #298323=ORIENTED_EDGE('',*,*,#215989,.T.); #298324=ORIENTED_EDGE('',*,*,#215988,.T.); #298325=ORIENTED_EDGE('',*,*,#215990,.F.); #298326=ORIENTED_EDGE('',*,*,#215991,.F.); #298327=ORIENTED_EDGE('',*,*,#215992,.T.); #298328=ORIENTED_EDGE('',*,*,#215991,.T.); #298329=ORIENTED_EDGE('',*,*,#215993,.F.); #298330=ORIENTED_EDGE('',*,*,#215994,.F.); #298331=ORIENTED_EDGE('',*,*,#215995,.T.); #298332=ORIENTED_EDGE('',*,*,#215994,.T.); #298333=ORIENTED_EDGE('',*,*,#215996,.F.); #298334=ORIENTED_EDGE('',*,*,#215997,.F.); #298335=ORIENTED_EDGE('',*,*,#215998,.T.); #298336=ORIENTED_EDGE('',*,*,#215997,.T.); #298337=ORIENTED_EDGE('',*,*,#215999,.F.); #298338=ORIENTED_EDGE('',*,*,#216000,.F.); #298339=ORIENTED_EDGE('',*,*,#216001,.T.); #298340=ORIENTED_EDGE('',*,*,#216000,.T.); #298341=ORIENTED_EDGE('',*,*,#216002,.F.); #298342=ORIENTED_EDGE('',*,*,#216003,.F.); #298343=ORIENTED_EDGE('',*,*,#216004,.T.); #298344=ORIENTED_EDGE('',*,*,#216003,.T.); #298345=ORIENTED_EDGE('',*,*,#216005,.F.); #298346=ORIENTED_EDGE('',*,*,#216006,.F.); #298347=ORIENTED_EDGE('',*,*,#216007,.T.); #298348=ORIENTED_EDGE('',*,*,#216006,.T.); #298349=ORIENTED_EDGE('',*,*,#216008,.F.); #298350=ORIENTED_EDGE('',*,*,#216009,.F.); #298351=ORIENTED_EDGE('',*,*,#216010,.T.); #298352=ORIENTED_EDGE('',*,*,#216009,.T.); #298353=ORIENTED_EDGE('',*,*,#216011,.F.); #298354=ORIENTED_EDGE('',*,*,#216012,.F.); #298355=ORIENTED_EDGE('',*,*,#216013,.T.); #298356=ORIENTED_EDGE('',*,*,#216012,.T.); #298357=ORIENTED_EDGE('',*,*,#216014,.F.); #298358=ORIENTED_EDGE('',*,*,#216015,.F.); #298359=ORIENTED_EDGE('',*,*,#216016,.T.); #298360=ORIENTED_EDGE('',*,*,#216015,.T.); #298361=ORIENTED_EDGE('',*,*,#216017,.F.); #298362=ORIENTED_EDGE('',*,*,#216018,.F.); #298363=ORIENTED_EDGE('',*,*,#216019,.T.); #298364=ORIENTED_EDGE('',*,*,#216018,.T.); #298365=ORIENTED_EDGE('',*,*,#216020,.F.); #298366=ORIENTED_EDGE('',*,*,#216021,.F.); #298367=ORIENTED_EDGE('',*,*,#216022,.T.); #298368=ORIENTED_EDGE('',*,*,#216021,.T.); #298369=ORIENTED_EDGE('',*,*,#216023,.F.); #298370=ORIENTED_EDGE('',*,*,#216024,.F.); #298371=ORIENTED_EDGE('',*,*,#216025,.T.); #298372=ORIENTED_EDGE('',*,*,#216024,.T.); #298373=ORIENTED_EDGE('',*,*,#216026,.F.); #298374=ORIENTED_EDGE('',*,*,#216027,.F.); #298375=ORIENTED_EDGE('',*,*,#216028,.T.); #298376=ORIENTED_EDGE('',*,*,#216027,.T.); #298377=ORIENTED_EDGE('',*,*,#216029,.F.); #298378=ORIENTED_EDGE('',*,*,#216030,.F.); #298379=ORIENTED_EDGE('',*,*,#216031,.T.); #298380=ORIENTED_EDGE('',*,*,#216030,.T.); #298381=ORIENTED_EDGE('',*,*,#216032,.F.); #298382=ORIENTED_EDGE('',*,*,#216033,.F.); #298383=ORIENTED_EDGE('',*,*,#216034,.T.); #298384=ORIENTED_EDGE('',*,*,#216033,.T.); #298385=ORIENTED_EDGE('',*,*,#216035,.F.); #298386=ORIENTED_EDGE('',*,*,#216036,.F.); #298387=ORIENTED_EDGE('',*,*,#216037,.T.); #298388=ORIENTED_EDGE('',*,*,#216036,.T.); #298389=ORIENTED_EDGE('',*,*,#216038,.F.); #298390=ORIENTED_EDGE('',*,*,#216039,.F.); #298391=ORIENTED_EDGE('',*,*,#216040,.T.); #298392=ORIENTED_EDGE('',*,*,#216039,.T.); #298393=ORIENTED_EDGE('',*,*,#216041,.F.); #298394=ORIENTED_EDGE('',*,*,#216042,.F.); #298395=ORIENTED_EDGE('',*,*,#216043,.T.); #298396=ORIENTED_EDGE('',*,*,#216042,.T.); #298397=ORIENTED_EDGE('',*,*,#216044,.F.); #298398=ORIENTED_EDGE('',*,*,#216045,.F.); #298399=ORIENTED_EDGE('',*,*,#216046,.T.); #298400=ORIENTED_EDGE('',*,*,#216045,.T.); #298401=ORIENTED_EDGE('',*,*,#216047,.F.); #298402=ORIENTED_EDGE('',*,*,#216048,.F.); #298403=ORIENTED_EDGE('',*,*,#216049,.T.); #298404=ORIENTED_EDGE('',*,*,#216048,.T.); #298405=ORIENTED_EDGE('',*,*,#216050,.F.); #298406=ORIENTED_EDGE('',*,*,#216051,.F.); #298407=ORIENTED_EDGE('',*,*,#216052,.T.); #298408=ORIENTED_EDGE('',*,*,#216051,.T.); #298409=ORIENTED_EDGE('',*,*,#216053,.F.); #298410=ORIENTED_EDGE('',*,*,#216054,.F.); #298411=ORIENTED_EDGE('',*,*,#216055,.T.); #298412=ORIENTED_EDGE('',*,*,#216054,.T.); #298413=ORIENTED_EDGE('',*,*,#216056,.F.); #298414=ORIENTED_EDGE('',*,*,#216057,.F.); #298415=ORIENTED_EDGE('',*,*,#216058,.T.); #298416=ORIENTED_EDGE('',*,*,#216057,.T.); #298417=ORIENTED_EDGE('',*,*,#216059,.F.); #298418=ORIENTED_EDGE('',*,*,#216060,.F.); #298419=ORIENTED_EDGE('',*,*,#216061,.T.); #298420=ORIENTED_EDGE('',*,*,#216060,.T.); #298421=ORIENTED_EDGE('',*,*,#216062,.F.); #298422=ORIENTED_EDGE('',*,*,#216063,.F.); #298423=ORIENTED_EDGE('',*,*,#216064,.T.); #298424=ORIENTED_EDGE('',*,*,#216063,.T.); #298425=ORIENTED_EDGE('',*,*,#216065,.F.); #298426=ORIENTED_EDGE('',*,*,#216066,.F.); #298427=ORIENTED_EDGE('',*,*,#216067,.T.); #298428=ORIENTED_EDGE('',*,*,#216066,.T.); #298429=ORIENTED_EDGE('',*,*,#216068,.F.); #298430=ORIENTED_EDGE('',*,*,#216069,.F.); #298431=ORIENTED_EDGE('',*,*,#216070,.T.); #298432=ORIENTED_EDGE('',*,*,#216069,.T.); #298433=ORIENTED_EDGE('',*,*,#216071,.F.); #298434=ORIENTED_EDGE('',*,*,#216072,.F.); #298435=ORIENTED_EDGE('',*,*,#216073,.T.); #298436=ORIENTED_EDGE('',*,*,#216072,.T.); #298437=ORIENTED_EDGE('',*,*,#216074,.F.); #298438=ORIENTED_EDGE('',*,*,#216075,.F.); #298439=ORIENTED_EDGE('',*,*,#216076,.T.); #298440=ORIENTED_EDGE('',*,*,#216075,.T.); #298441=ORIENTED_EDGE('',*,*,#216077,.F.); #298442=ORIENTED_EDGE('',*,*,#216078,.F.); #298443=ORIENTED_EDGE('',*,*,#216079,.T.); #298444=ORIENTED_EDGE('',*,*,#216078,.T.); #298445=ORIENTED_EDGE('',*,*,#216080,.F.); #298446=ORIENTED_EDGE('',*,*,#216081,.F.); #298447=ORIENTED_EDGE('',*,*,#216082,.T.); #298448=ORIENTED_EDGE('',*,*,#216081,.T.); #298449=ORIENTED_EDGE('',*,*,#216083,.F.); #298450=ORIENTED_EDGE('',*,*,#216084,.F.); #298451=ORIENTED_EDGE('',*,*,#216085,.T.); #298452=ORIENTED_EDGE('',*,*,#216084,.T.); #298453=ORIENTED_EDGE('',*,*,#216086,.F.); #298454=ORIENTED_EDGE('',*,*,#216087,.F.); #298455=ORIENTED_EDGE('',*,*,#216088,.T.); #298456=ORIENTED_EDGE('',*,*,#216087,.T.); #298457=ORIENTED_EDGE('',*,*,#216089,.F.); #298458=ORIENTED_EDGE('',*,*,#216090,.F.); #298459=ORIENTED_EDGE('',*,*,#216091,.T.); #298460=ORIENTED_EDGE('',*,*,#216090,.T.); #298461=ORIENTED_EDGE('',*,*,#216092,.F.); #298462=ORIENTED_EDGE('',*,*,#215959,.F.); #298463=ORIENTED_EDGE('',*,*,#216092,.T.); #298464=ORIENTED_EDGE('',*,*,#216089,.T.); #298465=ORIENTED_EDGE('',*,*,#216086,.T.); #298466=ORIENTED_EDGE('',*,*,#216083,.T.); #298467=ORIENTED_EDGE('',*,*,#216080,.T.); #298468=ORIENTED_EDGE('',*,*,#216077,.T.); #298469=ORIENTED_EDGE('',*,*,#216074,.T.); #298470=ORIENTED_EDGE('',*,*,#216071,.T.); #298471=ORIENTED_EDGE('',*,*,#216068,.T.); #298472=ORIENTED_EDGE('',*,*,#216065,.T.); #298473=ORIENTED_EDGE('',*,*,#216062,.T.); #298474=ORIENTED_EDGE('',*,*,#216059,.T.); #298475=ORIENTED_EDGE('',*,*,#216056,.T.); #298476=ORIENTED_EDGE('',*,*,#216053,.T.); #298477=ORIENTED_EDGE('',*,*,#216050,.T.); #298478=ORIENTED_EDGE('',*,*,#216047,.T.); #298479=ORIENTED_EDGE('',*,*,#216044,.T.); #298480=ORIENTED_EDGE('',*,*,#216041,.T.); #298481=ORIENTED_EDGE('',*,*,#216038,.T.); #298482=ORIENTED_EDGE('',*,*,#216035,.T.); #298483=ORIENTED_EDGE('',*,*,#216032,.T.); #298484=ORIENTED_EDGE('',*,*,#216029,.T.); #298485=ORIENTED_EDGE('',*,*,#216026,.T.); #298486=ORIENTED_EDGE('',*,*,#216023,.T.); #298487=ORIENTED_EDGE('',*,*,#216020,.T.); #298488=ORIENTED_EDGE('',*,*,#216017,.T.); #298489=ORIENTED_EDGE('',*,*,#216014,.T.); #298490=ORIENTED_EDGE('',*,*,#216011,.T.); #298491=ORIENTED_EDGE('',*,*,#216008,.T.); #298492=ORIENTED_EDGE('',*,*,#216005,.T.); #298493=ORIENTED_EDGE('',*,*,#216002,.T.); #298494=ORIENTED_EDGE('',*,*,#215999,.T.); #298495=ORIENTED_EDGE('',*,*,#215996,.T.); #298496=ORIENTED_EDGE('',*,*,#215993,.T.); #298497=ORIENTED_EDGE('',*,*,#215990,.T.); #298498=ORIENTED_EDGE('',*,*,#215987,.T.); #298499=ORIENTED_EDGE('',*,*,#215984,.T.); #298500=ORIENTED_EDGE('',*,*,#215981,.T.); #298501=ORIENTED_EDGE('',*,*,#215978,.T.); #298502=ORIENTED_EDGE('',*,*,#215975,.T.); #298503=ORIENTED_EDGE('',*,*,#215972,.T.); #298504=ORIENTED_EDGE('',*,*,#215969,.T.); #298505=ORIENTED_EDGE('',*,*,#215966,.T.); #298506=ORIENTED_EDGE('',*,*,#215963,.T.); #298507=ORIENTED_EDGE('',*,*,#215960,.T.); #298508=ORIENTED_EDGE('',*,*,#215870,.T.); #298509=ORIENTED_EDGE('',*,*,#215873,.T.); #298510=ORIENTED_EDGE('',*,*,#215876,.T.); #298511=ORIENTED_EDGE('',*,*,#215879,.T.); #298512=ORIENTED_EDGE('',*,*,#215882,.T.); #298513=ORIENTED_EDGE('',*,*,#215885,.T.); #298514=ORIENTED_EDGE('',*,*,#215888,.T.); #298515=ORIENTED_EDGE('',*,*,#215891,.T.); #298516=ORIENTED_EDGE('',*,*,#215894,.T.); #298517=ORIENTED_EDGE('',*,*,#215897,.T.); #298518=ORIENTED_EDGE('',*,*,#215900,.T.); #298519=ORIENTED_EDGE('',*,*,#215903,.T.); #298520=ORIENTED_EDGE('',*,*,#215906,.T.); #298521=ORIENTED_EDGE('',*,*,#215909,.T.); #298522=ORIENTED_EDGE('',*,*,#215912,.T.); #298523=ORIENTED_EDGE('',*,*,#215915,.T.); #298524=ORIENTED_EDGE('',*,*,#215918,.T.); #298525=ORIENTED_EDGE('',*,*,#215921,.T.); #298526=ORIENTED_EDGE('',*,*,#215924,.T.); #298527=ORIENTED_EDGE('',*,*,#215927,.T.); #298528=ORIENTED_EDGE('',*,*,#215930,.T.); #298529=ORIENTED_EDGE('',*,*,#215933,.T.); #298530=ORIENTED_EDGE('',*,*,#215936,.T.); #298531=ORIENTED_EDGE('',*,*,#215939,.T.); #298532=ORIENTED_EDGE('',*,*,#215942,.T.); #298533=ORIENTED_EDGE('',*,*,#215945,.T.); #298534=ORIENTED_EDGE('',*,*,#215948,.T.); #298535=ORIENTED_EDGE('',*,*,#215951,.T.); #298536=ORIENTED_EDGE('',*,*,#215954,.T.); #298537=ORIENTED_EDGE('',*,*,#215957,.T.); #298538=ORIENTED_EDGE('',*,*,#216091,.F.); #298539=ORIENTED_EDGE('',*,*,#215958,.F.); #298540=ORIENTED_EDGE('',*,*,#215962,.F.); #298541=ORIENTED_EDGE('',*,*,#215965,.F.); #298542=ORIENTED_EDGE('',*,*,#215968,.F.); #298543=ORIENTED_EDGE('',*,*,#215971,.F.); #298544=ORIENTED_EDGE('',*,*,#215974,.F.); #298545=ORIENTED_EDGE('',*,*,#215977,.F.); #298546=ORIENTED_EDGE('',*,*,#215980,.F.); #298547=ORIENTED_EDGE('',*,*,#215983,.F.); #298548=ORIENTED_EDGE('',*,*,#215986,.F.); #298549=ORIENTED_EDGE('',*,*,#215989,.F.); #298550=ORIENTED_EDGE('',*,*,#215992,.F.); #298551=ORIENTED_EDGE('',*,*,#215995,.F.); #298552=ORIENTED_EDGE('',*,*,#215998,.F.); #298553=ORIENTED_EDGE('',*,*,#216001,.F.); #298554=ORIENTED_EDGE('',*,*,#216004,.F.); #298555=ORIENTED_EDGE('',*,*,#216007,.F.); #298556=ORIENTED_EDGE('',*,*,#216010,.F.); #298557=ORIENTED_EDGE('',*,*,#216013,.F.); #298558=ORIENTED_EDGE('',*,*,#216016,.F.); #298559=ORIENTED_EDGE('',*,*,#216019,.F.); #298560=ORIENTED_EDGE('',*,*,#216022,.F.); #298561=ORIENTED_EDGE('',*,*,#216025,.F.); #298562=ORIENTED_EDGE('',*,*,#216028,.F.); #298563=ORIENTED_EDGE('',*,*,#216031,.F.); #298564=ORIENTED_EDGE('',*,*,#216034,.F.); #298565=ORIENTED_EDGE('',*,*,#216037,.F.); #298566=ORIENTED_EDGE('',*,*,#216040,.F.); #298567=ORIENTED_EDGE('',*,*,#216043,.F.); #298568=ORIENTED_EDGE('',*,*,#216046,.F.); #298569=ORIENTED_EDGE('',*,*,#216049,.F.); #298570=ORIENTED_EDGE('',*,*,#216052,.F.); #298571=ORIENTED_EDGE('',*,*,#216055,.F.); #298572=ORIENTED_EDGE('',*,*,#216058,.F.); #298573=ORIENTED_EDGE('',*,*,#216061,.F.); #298574=ORIENTED_EDGE('',*,*,#216064,.F.); #298575=ORIENTED_EDGE('',*,*,#216067,.F.); #298576=ORIENTED_EDGE('',*,*,#216070,.F.); #298577=ORIENTED_EDGE('',*,*,#216073,.F.); #298578=ORIENTED_EDGE('',*,*,#216076,.F.); #298579=ORIENTED_EDGE('',*,*,#216079,.F.); #298580=ORIENTED_EDGE('',*,*,#216082,.F.); #298581=ORIENTED_EDGE('',*,*,#216085,.F.); #298582=ORIENTED_EDGE('',*,*,#216088,.F.); #298583=ORIENTED_EDGE('',*,*,#215868,.T.); #298584=ORIENTED_EDGE('',*,*,#215871,.T.); #298585=ORIENTED_EDGE('',*,*,#215874,.T.); #298586=ORIENTED_EDGE('',*,*,#215877,.T.); #298587=ORIENTED_EDGE('',*,*,#215880,.T.); #298588=ORIENTED_EDGE('',*,*,#215883,.T.); #298589=ORIENTED_EDGE('',*,*,#215886,.T.); #298590=ORIENTED_EDGE('',*,*,#215889,.T.); #298591=ORIENTED_EDGE('',*,*,#215892,.T.); #298592=ORIENTED_EDGE('',*,*,#215895,.T.); #298593=ORIENTED_EDGE('',*,*,#215898,.T.); #298594=ORIENTED_EDGE('',*,*,#215901,.T.); #298595=ORIENTED_EDGE('',*,*,#215904,.T.); #298596=ORIENTED_EDGE('',*,*,#215907,.T.); #298597=ORIENTED_EDGE('',*,*,#215910,.T.); #298598=ORIENTED_EDGE('',*,*,#215913,.T.); #298599=ORIENTED_EDGE('',*,*,#215916,.T.); #298600=ORIENTED_EDGE('',*,*,#215919,.T.); #298601=ORIENTED_EDGE('',*,*,#215922,.T.); #298602=ORIENTED_EDGE('',*,*,#215925,.T.); #298603=ORIENTED_EDGE('',*,*,#215928,.T.); #298604=ORIENTED_EDGE('',*,*,#215931,.T.); #298605=ORIENTED_EDGE('',*,*,#215934,.T.); #298606=ORIENTED_EDGE('',*,*,#215937,.T.); #298607=ORIENTED_EDGE('',*,*,#215940,.T.); #298608=ORIENTED_EDGE('',*,*,#215943,.T.); #298609=ORIENTED_EDGE('',*,*,#215946,.T.); #298610=ORIENTED_EDGE('',*,*,#215949,.T.); #298611=ORIENTED_EDGE('',*,*,#215952,.T.); #298612=ORIENTED_EDGE('',*,*,#215955,.T.); #298613=ORIENTED_EDGE('',*,*,#216093,.F.); #298614=ORIENTED_EDGE('',*,*,#216094,.T.); #298615=ORIENTED_EDGE('',*,*,#216095,.F.); #298616=ORIENTED_EDGE('',*,*,#216094,.F.); #298617=ORIENTED_EDGE('',*,*,#216096,.F.); #298618=ORIENTED_EDGE('',*,*,#216097,.T.); #298619=ORIENTED_EDGE('',*,*,#216098,.F.); #298620=ORIENTED_EDGE('',*,*,#216097,.F.); #298621=ORIENTED_EDGE('',*,*,#216099,.T.); #298622=ORIENTED_EDGE('',*,*,#216100,.T.); #298623=ORIENTED_EDGE('',*,*,#216101,.F.); #298624=ORIENTED_EDGE('',*,*,#216102,.F.); #298625=ORIENTED_EDGE('',*,*,#216103,.T.); #298626=ORIENTED_EDGE('',*,*,#216102,.T.); #298627=ORIENTED_EDGE('',*,*,#216104,.F.); #298628=ORIENTED_EDGE('',*,*,#216105,.F.); #298629=ORIENTED_EDGE('',*,*,#216106,.T.); #298630=ORIENTED_EDGE('',*,*,#216105,.T.); #298631=ORIENTED_EDGE('',*,*,#216107,.F.); #298632=ORIENTED_EDGE('',*,*,#216108,.F.); #298633=ORIENTED_EDGE('',*,*,#216109,.T.); #298634=ORIENTED_EDGE('',*,*,#216108,.T.); #298635=ORIENTED_EDGE('',*,*,#216110,.F.); #298636=ORIENTED_EDGE('',*,*,#216111,.F.); #298637=ORIENTED_EDGE('',*,*,#216112,.T.); #298638=ORIENTED_EDGE('',*,*,#216111,.T.); #298639=ORIENTED_EDGE('',*,*,#216113,.F.); #298640=ORIENTED_EDGE('',*,*,#216114,.F.); #298641=ORIENTED_EDGE('',*,*,#216115,.T.); #298642=ORIENTED_EDGE('',*,*,#216114,.T.); #298643=ORIENTED_EDGE('',*,*,#216116,.F.); #298644=ORIENTED_EDGE('',*,*,#216117,.F.); #298645=ORIENTED_EDGE('',*,*,#216118,.T.); #298646=ORIENTED_EDGE('',*,*,#216117,.T.); #298647=ORIENTED_EDGE('',*,*,#216119,.F.); #298648=ORIENTED_EDGE('',*,*,#216100,.F.); #298649=ORIENTED_EDGE('',*,*,#216119,.T.); #298650=ORIENTED_EDGE('',*,*,#216116,.T.); #298651=ORIENTED_EDGE('',*,*,#216113,.T.); #298652=ORIENTED_EDGE('',*,*,#216110,.T.); #298653=ORIENTED_EDGE('',*,*,#216107,.T.); #298654=ORIENTED_EDGE('',*,*,#216104,.T.); #298655=ORIENTED_EDGE('',*,*,#216101,.T.); #298656=ORIENTED_EDGE('',*,*,#216095,.T.); #298657=ORIENTED_EDGE('',*,*,#216098,.T.); #298658=ORIENTED_EDGE('',*,*,#216118,.F.); #298659=ORIENTED_EDGE('',*,*,#216099,.F.); #298660=ORIENTED_EDGE('',*,*,#216103,.F.); #298661=ORIENTED_EDGE('',*,*,#216106,.F.); #298662=ORIENTED_EDGE('',*,*,#216109,.F.); #298663=ORIENTED_EDGE('',*,*,#216112,.F.); #298664=ORIENTED_EDGE('',*,*,#216115,.F.); #298665=ORIENTED_EDGE('',*,*,#216093,.T.); #298666=ORIENTED_EDGE('',*,*,#216096,.T.); #298667=ORIENTED_EDGE('',*,*,#216120,.F.); #298668=ORIENTED_EDGE('',*,*,#216121,.T.); #298669=ORIENTED_EDGE('',*,*,#216122,.F.); #298670=ORIENTED_EDGE('',*,*,#216121,.F.); #298671=ORIENTED_EDGE('',*,*,#216123,.F.); #298672=ORIENTED_EDGE('',*,*,#216124,.T.); #298673=ORIENTED_EDGE('',*,*,#216125,.F.); #298674=ORIENTED_EDGE('',*,*,#216124,.F.); #298675=ORIENTED_EDGE('',*,*,#216126,.F.); #298676=ORIENTED_EDGE('',*,*,#216127,.T.); #298677=ORIENTED_EDGE('',*,*,#216128,.F.); #298678=ORIENTED_EDGE('',*,*,#216127,.F.); #298679=ORIENTED_EDGE('',*,*,#216129,.F.); #298680=ORIENTED_EDGE('',*,*,#216130,.T.); #298681=ORIENTED_EDGE('',*,*,#216131,.F.); #298682=ORIENTED_EDGE('',*,*,#216130,.F.); #298683=ORIENTED_EDGE('',*,*,#216132,.F.); #298684=ORIENTED_EDGE('',*,*,#216133,.T.); #298685=ORIENTED_EDGE('',*,*,#216134,.F.); #298686=ORIENTED_EDGE('',*,*,#216133,.F.); #298687=ORIENTED_EDGE('',*,*,#216135,.F.); #298688=ORIENTED_EDGE('',*,*,#216136,.T.); #298689=ORIENTED_EDGE('',*,*,#216137,.F.); #298690=ORIENTED_EDGE('',*,*,#216136,.F.); #298691=ORIENTED_EDGE('',*,*,#216138,.F.); #298692=ORIENTED_EDGE('',*,*,#216139,.T.); #298693=ORIENTED_EDGE('',*,*,#216140,.F.); #298694=ORIENTED_EDGE('',*,*,#216139,.F.); #298695=ORIENTED_EDGE('',*,*,#216141,.F.); #298696=ORIENTED_EDGE('',*,*,#216142,.T.); #298697=ORIENTED_EDGE('',*,*,#216143,.F.); #298698=ORIENTED_EDGE('',*,*,#216142,.F.); #298699=ORIENTED_EDGE('',*,*,#216144,.F.); #298700=ORIENTED_EDGE('',*,*,#216145,.T.); #298701=ORIENTED_EDGE('',*,*,#216146,.F.); #298702=ORIENTED_EDGE('',*,*,#216145,.F.); #298703=ORIENTED_EDGE('',*,*,#216147,.F.); #298704=ORIENTED_EDGE('',*,*,#216148,.T.); #298705=ORIENTED_EDGE('',*,*,#216149,.F.); #298706=ORIENTED_EDGE('',*,*,#216148,.F.); #298707=ORIENTED_EDGE('',*,*,#216150,.T.); #298708=ORIENTED_EDGE('',*,*,#216151,.T.); #298709=ORIENTED_EDGE('',*,*,#216152,.F.); #298710=ORIENTED_EDGE('',*,*,#216153,.F.); #298711=ORIENTED_EDGE('',*,*,#216154,.T.); #298712=ORIENTED_EDGE('',*,*,#216153,.T.); #298713=ORIENTED_EDGE('',*,*,#216155,.F.); #298714=ORIENTED_EDGE('',*,*,#216156,.F.); #298715=ORIENTED_EDGE('',*,*,#216157,.T.); #298716=ORIENTED_EDGE('',*,*,#216156,.T.); #298717=ORIENTED_EDGE('',*,*,#216158,.F.); #298718=ORIENTED_EDGE('',*,*,#216159,.F.); #298719=ORIENTED_EDGE('',*,*,#216160,.T.); #298720=ORIENTED_EDGE('',*,*,#216159,.T.); #298721=ORIENTED_EDGE('',*,*,#216161,.F.); #298722=ORIENTED_EDGE('',*,*,#216162,.F.); #298723=ORIENTED_EDGE('',*,*,#216163,.T.); #298724=ORIENTED_EDGE('',*,*,#216162,.T.); #298725=ORIENTED_EDGE('',*,*,#216164,.F.); #298726=ORIENTED_EDGE('',*,*,#216165,.F.); #298727=ORIENTED_EDGE('',*,*,#216166,.T.); #298728=ORIENTED_EDGE('',*,*,#216165,.T.); #298729=ORIENTED_EDGE('',*,*,#216167,.F.); #298730=ORIENTED_EDGE('',*,*,#216168,.F.); #298731=ORIENTED_EDGE('',*,*,#216169,.T.); #298732=ORIENTED_EDGE('',*,*,#216168,.T.); #298733=ORIENTED_EDGE('',*,*,#216170,.F.); #298734=ORIENTED_EDGE('',*,*,#216171,.F.); #298735=ORIENTED_EDGE('',*,*,#216172,.T.); #298736=ORIENTED_EDGE('',*,*,#216171,.T.); #298737=ORIENTED_EDGE('',*,*,#216173,.F.); #298738=ORIENTED_EDGE('',*,*,#216174,.F.); #298739=ORIENTED_EDGE('',*,*,#216175,.T.); #298740=ORIENTED_EDGE('',*,*,#216174,.T.); #298741=ORIENTED_EDGE('',*,*,#216176,.F.); #298742=ORIENTED_EDGE('',*,*,#216177,.F.); #298743=ORIENTED_EDGE('',*,*,#216178,.T.); #298744=ORIENTED_EDGE('',*,*,#216177,.T.); #298745=ORIENTED_EDGE('',*,*,#216179,.F.); #298746=ORIENTED_EDGE('',*,*,#216180,.F.); #298747=ORIENTED_EDGE('',*,*,#216181,.T.); #298748=ORIENTED_EDGE('',*,*,#216180,.T.); #298749=ORIENTED_EDGE('',*,*,#216182,.F.); #298750=ORIENTED_EDGE('',*,*,#216183,.F.); #298751=ORIENTED_EDGE('',*,*,#216184,.T.); #298752=ORIENTED_EDGE('',*,*,#216183,.T.); #298753=ORIENTED_EDGE('',*,*,#216185,.F.); #298754=ORIENTED_EDGE('',*,*,#216186,.F.); #298755=ORIENTED_EDGE('',*,*,#216187,.T.); #298756=ORIENTED_EDGE('',*,*,#216186,.T.); #298757=ORIENTED_EDGE('',*,*,#216188,.F.); #298758=ORIENTED_EDGE('',*,*,#216189,.F.); #298759=ORIENTED_EDGE('',*,*,#216190,.T.); #298760=ORIENTED_EDGE('',*,*,#216189,.T.); #298761=ORIENTED_EDGE('',*,*,#216191,.F.); #298762=ORIENTED_EDGE('',*,*,#216192,.F.); #298763=ORIENTED_EDGE('',*,*,#216193,.T.); #298764=ORIENTED_EDGE('',*,*,#216192,.T.); #298765=ORIENTED_EDGE('',*,*,#216194,.F.); #298766=ORIENTED_EDGE('',*,*,#216195,.F.); #298767=ORIENTED_EDGE('',*,*,#216196,.T.); #298768=ORIENTED_EDGE('',*,*,#216195,.T.); #298769=ORIENTED_EDGE('',*,*,#216197,.F.); #298770=ORIENTED_EDGE('',*,*,#216198,.F.); #298771=ORIENTED_EDGE('',*,*,#216199,.T.); #298772=ORIENTED_EDGE('',*,*,#216198,.T.); #298773=ORIENTED_EDGE('',*,*,#216200,.F.); #298774=ORIENTED_EDGE('',*,*,#216201,.F.); #298775=ORIENTED_EDGE('',*,*,#216202,.T.); #298776=ORIENTED_EDGE('',*,*,#216201,.T.); #298777=ORIENTED_EDGE('',*,*,#216203,.F.); #298778=ORIENTED_EDGE('',*,*,#216204,.F.); #298779=ORIENTED_EDGE('',*,*,#216205,.T.); #298780=ORIENTED_EDGE('',*,*,#216204,.T.); #298781=ORIENTED_EDGE('',*,*,#216206,.F.); #298782=ORIENTED_EDGE('',*,*,#216207,.F.); #298783=ORIENTED_EDGE('',*,*,#216208,.T.); #298784=ORIENTED_EDGE('',*,*,#216207,.T.); #298785=ORIENTED_EDGE('',*,*,#216209,.F.); #298786=ORIENTED_EDGE('',*,*,#216210,.F.); #298787=ORIENTED_EDGE('',*,*,#216211,.T.); #298788=ORIENTED_EDGE('',*,*,#216210,.T.); #298789=ORIENTED_EDGE('',*,*,#216212,.F.); #298790=ORIENTED_EDGE('',*,*,#216213,.F.); #298791=ORIENTED_EDGE('',*,*,#216214,.T.); #298792=ORIENTED_EDGE('',*,*,#216213,.T.); #298793=ORIENTED_EDGE('',*,*,#216215,.F.); #298794=ORIENTED_EDGE('',*,*,#216216,.F.); #298795=ORIENTED_EDGE('',*,*,#216217,.T.); #298796=ORIENTED_EDGE('',*,*,#216216,.T.); #298797=ORIENTED_EDGE('',*,*,#216218,.F.); #298798=ORIENTED_EDGE('',*,*,#216219,.F.); #298799=ORIENTED_EDGE('',*,*,#216220,.T.); #298800=ORIENTED_EDGE('',*,*,#216219,.T.); #298801=ORIENTED_EDGE('',*,*,#216221,.F.); #298802=ORIENTED_EDGE('',*,*,#216151,.F.); #298803=ORIENTED_EDGE('',*,*,#216221,.T.); #298804=ORIENTED_EDGE('',*,*,#216218,.T.); #298805=ORIENTED_EDGE('',*,*,#216215,.T.); #298806=ORIENTED_EDGE('',*,*,#216212,.T.); #298807=ORIENTED_EDGE('',*,*,#216209,.T.); #298808=ORIENTED_EDGE('',*,*,#216206,.T.); #298809=ORIENTED_EDGE('',*,*,#216203,.T.); #298810=ORIENTED_EDGE('',*,*,#216200,.T.); #298811=ORIENTED_EDGE('',*,*,#216197,.T.); #298812=ORIENTED_EDGE('',*,*,#216194,.T.); #298813=ORIENTED_EDGE('',*,*,#216191,.T.); #298814=ORIENTED_EDGE('',*,*,#216188,.T.); #298815=ORIENTED_EDGE('',*,*,#216185,.T.); #298816=ORIENTED_EDGE('',*,*,#216182,.T.); #298817=ORIENTED_EDGE('',*,*,#216179,.T.); #298818=ORIENTED_EDGE('',*,*,#216176,.T.); #298819=ORIENTED_EDGE('',*,*,#216173,.T.); #298820=ORIENTED_EDGE('',*,*,#216170,.T.); #298821=ORIENTED_EDGE('',*,*,#216167,.T.); #298822=ORIENTED_EDGE('',*,*,#216164,.T.); #298823=ORIENTED_EDGE('',*,*,#216161,.T.); #298824=ORIENTED_EDGE('',*,*,#216158,.T.); #298825=ORIENTED_EDGE('',*,*,#216155,.T.); #298826=ORIENTED_EDGE('',*,*,#216152,.T.); #298827=ORIENTED_EDGE('',*,*,#216122,.T.); #298828=ORIENTED_EDGE('',*,*,#216125,.T.); #298829=ORIENTED_EDGE('',*,*,#216128,.T.); #298830=ORIENTED_EDGE('',*,*,#216131,.T.); #298831=ORIENTED_EDGE('',*,*,#216134,.T.); #298832=ORIENTED_EDGE('',*,*,#216137,.T.); #298833=ORIENTED_EDGE('',*,*,#216140,.T.); #298834=ORIENTED_EDGE('',*,*,#216143,.T.); #298835=ORIENTED_EDGE('',*,*,#216146,.T.); #298836=ORIENTED_EDGE('',*,*,#216149,.T.); #298837=ORIENTED_EDGE('',*,*,#216220,.F.); #298838=ORIENTED_EDGE('',*,*,#216150,.F.); #298839=ORIENTED_EDGE('',*,*,#216154,.F.); #298840=ORIENTED_EDGE('',*,*,#216157,.F.); #298841=ORIENTED_EDGE('',*,*,#216160,.F.); #298842=ORIENTED_EDGE('',*,*,#216163,.F.); #298843=ORIENTED_EDGE('',*,*,#216166,.F.); #298844=ORIENTED_EDGE('',*,*,#216169,.F.); #298845=ORIENTED_EDGE('',*,*,#216172,.F.); #298846=ORIENTED_EDGE('',*,*,#216175,.F.); #298847=ORIENTED_EDGE('',*,*,#216178,.F.); #298848=ORIENTED_EDGE('',*,*,#216181,.F.); #298849=ORIENTED_EDGE('',*,*,#216184,.F.); #298850=ORIENTED_EDGE('',*,*,#216187,.F.); #298851=ORIENTED_EDGE('',*,*,#216190,.F.); #298852=ORIENTED_EDGE('',*,*,#216193,.F.); #298853=ORIENTED_EDGE('',*,*,#216196,.F.); #298854=ORIENTED_EDGE('',*,*,#216199,.F.); #298855=ORIENTED_EDGE('',*,*,#216202,.F.); #298856=ORIENTED_EDGE('',*,*,#216205,.F.); #298857=ORIENTED_EDGE('',*,*,#216208,.F.); #298858=ORIENTED_EDGE('',*,*,#216211,.F.); #298859=ORIENTED_EDGE('',*,*,#216214,.F.); #298860=ORIENTED_EDGE('',*,*,#216217,.F.); #298861=ORIENTED_EDGE('',*,*,#216120,.T.); #298862=ORIENTED_EDGE('',*,*,#216123,.T.); #298863=ORIENTED_EDGE('',*,*,#216126,.T.); #298864=ORIENTED_EDGE('',*,*,#216129,.T.); #298865=ORIENTED_EDGE('',*,*,#216132,.T.); #298866=ORIENTED_EDGE('',*,*,#216135,.T.); #298867=ORIENTED_EDGE('',*,*,#216138,.T.); #298868=ORIENTED_EDGE('',*,*,#216141,.T.); #298869=ORIENTED_EDGE('',*,*,#216144,.T.); #298870=ORIENTED_EDGE('',*,*,#216147,.T.); #298871=ORIENTED_EDGE('',*,*,#216222,.F.); #298872=ORIENTED_EDGE('',*,*,#216223,.T.); #298873=ORIENTED_EDGE('',*,*,#216224,.F.); #298874=ORIENTED_EDGE('',*,*,#216223,.F.); #298875=ORIENTED_EDGE('',*,*,#216225,.T.); #298876=ORIENTED_EDGE('',*,*,#216226,.T.); #298877=ORIENTED_EDGE('',*,*,#216227,.F.); #298878=ORIENTED_EDGE('',*,*,#216228,.F.); #298879=ORIENTED_EDGE('',*,*,#216229,.T.); #298880=ORIENTED_EDGE('',*,*,#216228,.T.); #298881=ORIENTED_EDGE('',*,*,#216230,.F.); #298882=ORIENTED_EDGE('',*,*,#216231,.F.); #298883=ORIENTED_EDGE('',*,*,#216232,.T.); #298884=ORIENTED_EDGE('',*,*,#216231,.T.); #298885=ORIENTED_EDGE('',*,*,#216233,.F.); #298886=ORIENTED_EDGE('',*,*,#216226,.F.); #298887=ORIENTED_EDGE('',*,*,#216233,.T.); #298888=ORIENTED_EDGE('',*,*,#216230,.T.); #298889=ORIENTED_EDGE('',*,*,#216227,.T.); #298890=ORIENTED_EDGE('',*,*,#216224,.T.); #298891=ORIENTED_EDGE('',*,*,#216232,.F.); #298892=ORIENTED_EDGE('',*,*,#216225,.F.); #298893=ORIENTED_EDGE('',*,*,#216229,.F.); #298894=ORIENTED_EDGE('',*,*,#216222,.T.); #298895=ORIENTED_EDGE('',*,*,#216234,.F.); #298896=ORIENTED_EDGE('',*,*,#216235,.T.); #298897=ORIENTED_EDGE('',*,*,#216236,.F.); #298898=ORIENTED_EDGE('',*,*,#216235,.F.); #298899=ORIENTED_EDGE('',*,*,#216237,.F.); #298900=ORIENTED_EDGE('',*,*,#216238,.T.); #298901=ORIENTED_EDGE('',*,*,#216239,.F.); #298902=ORIENTED_EDGE('',*,*,#216238,.F.); #298903=ORIENTED_EDGE('',*,*,#216240,.F.); #298904=ORIENTED_EDGE('',*,*,#216241,.T.); #298905=ORIENTED_EDGE('',*,*,#216242,.F.); #298906=ORIENTED_EDGE('',*,*,#216241,.F.); #298907=ORIENTED_EDGE('',*,*,#216243,.F.); #298908=ORIENTED_EDGE('',*,*,#216244,.T.); #298909=ORIENTED_EDGE('',*,*,#216245,.F.); #298910=ORIENTED_EDGE('',*,*,#216244,.F.); #298911=ORIENTED_EDGE('',*,*,#216246,.F.); #298912=ORIENTED_EDGE('',*,*,#216247,.T.); #298913=ORIENTED_EDGE('',*,*,#216248,.F.); #298914=ORIENTED_EDGE('',*,*,#216247,.F.); #298915=ORIENTED_EDGE('',*,*,#216249,.F.); #298916=ORIENTED_EDGE('',*,*,#216250,.T.); #298917=ORIENTED_EDGE('',*,*,#216251,.F.); #298918=ORIENTED_EDGE('',*,*,#216250,.F.); #298919=ORIENTED_EDGE('',*,*,#216252,.F.); #298920=ORIENTED_EDGE('',*,*,#216253,.T.); #298921=ORIENTED_EDGE('',*,*,#216254,.F.); #298922=ORIENTED_EDGE('',*,*,#216253,.F.); #298923=ORIENTED_EDGE('',*,*,#216255,.F.); #298924=ORIENTED_EDGE('',*,*,#216256,.T.); #298925=ORIENTED_EDGE('',*,*,#216257,.F.); #298926=ORIENTED_EDGE('',*,*,#216256,.F.); #298927=ORIENTED_EDGE('',*,*,#216258,.F.); #298928=ORIENTED_EDGE('',*,*,#216259,.T.); #298929=ORIENTED_EDGE('',*,*,#216260,.F.); #298930=ORIENTED_EDGE('',*,*,#216259,.F.); #298931=ORIENTED_EDGE('',*,*,#216261,.F.); #298932=ORIENTED_EDGE('',*,*,#216262,.T.); #298933=ORIENTED_EDGE('',*,*,#216263,.F.); #298934=ORIENTED_EDGE('',*,*,#216262,.F.); #298935=ORIENTED_EDGE('',*,*,#216264,.F.); #298936=ORIENTED_EDGE('',*,*,#216265,.T.); #298937=ORIENTED_EDGE('',*,*,#216266,.F.); #298938=ORIENTED_EDGE('',*,*,#216265,.F.); #298939=ORIENTED_EDGE('',*,*,#216267,.F.); #298940=ORIENTED_EDGE('',*,*,#216268,.T.); #298941=ORIENTED_EDGE('',*,*,#216269,.F.); #298942=ORIENTED_EDGE('',*,*,#216268,.F.); #298943=ORIENTED_EDGE('',*,*,#216270,.F.); #298944=ORIENTED_EDGE('',*,*,#216271,.T.); #298945=ORIENTED_EDGE('',*,*,#216272,.F.); #298946=ORIENTED_EDGE('',*,*,#216271,.F.); #298947=ORIENTED_EDGE('',*,*,#216273,.F.); #298948=ORIENTED_EDGE('',*,*,#216274,.T.); #298949=ORIENTED_EDGE('',*,*,#216275,.F.); #298950=ORIENTED_EDGE('',*,*,#216274,.F.); #298951=ORIENTED_EDGE('',*,*,#216276,.F.); #298952=ORIENTED_EDGE('',*,*,#216277,.T.); #298953=ORIENTED_EDGE('',*,*,#216278,.F.); #298954=ORIENTED_EDGE('',*,*,#216277,.F.); #298955=ORIENTED_EDGE('',*,*,#216279,.F.); #298956=ORIENTED_EDGE('',*,*,#216280,.T.); #298957=ORIENTED_EDGE('',*,*,#216281,.F.); #298958=ORIENTED_EDGE('',*,*,#216280,.F.); #298959=ORIENTED_EDGE('',*,*,#216282,.F.); #298960=ORIENTED_EDGE('',*,*,#216283,.T.); #298961=ORIENTED_EDGE('',*,*,#216284,.F.); #298962=ORIENTED_EDGE('',*,*,#216283,.F.); #298963=ORIENTED_EDGE('',*,*,#216285,.F.); #298964=ORIENTED_EDGE('',*,*,#216286,.T.); #298965=ORIENTED_EDGE('',*,*,#216287,.F.); #298966=ORIENTED_EDGE('',*,*,#216286,.F.); #298967=ORIENTED_EDGE('',*,*,#216288,.F.); #298968=ORIENTED_EDGE('',*,*,#216289,.T.); #298969=ORIENTED_EDGE('',*,*,#216290,.F.); #298970=ORIENTED_EDGE('',*,*,#216289,.F.); #298971=ORIENTED_EDGE('',*,*,#216291,.F.); #298972=ORIENTED_EDGE('',*,*,#216292,.T.); #298973=ORIENTED_EDGE('',*,*,#216293,.F.); #298974=ORIENTED_EDGE('',*,*,#216292,.F.); #298975=ORIENTED_EDGE('',*,*,#216294,.F.); #298976=ORIENTED_EDGE('',*,*,#216295,.T.); #298977=ORIENTED_EDGE('',*,*,#216296,.F.); #298978=ORIENTED_EDGE('',*,*,#216295,.F.); #298979=ORIENTED_EDGE('',*,*,#216297,.F.); #298980=ORIENTED_EDGE('',*,*,#216298,.T.); #298981=ORIENTED_EDGE('',*,*,#216299,.F.); #298982=ORIENTED_EDGE('',*,*,#216298,.F.); #298983=ORIENTED_EDGE('',*,*,#216300,.F.); #298984=ORIENTED_EDGE('',*,*,#216301,.T.); #298985=ORIENTED_EDGE('',*,*,#216302,.F.); #298986=ORIENTED_EDGE('',*,*,#216301,.F.); #298987=ORIENTED_EDGE('',*,*,#216303,.F.); #298988=ORIENTED_EDGE('',*,*,#216304,.T.); #298989=ORIENTED_EDGE('',*,*,#216305,.F.); #298990=ORIENTED_EDGE('',*,*,#216304,.F.); #298991=ORIENTED_EDGE('',*,*,#216306,.F.); #298992=ORIENTED_EDGE('',*,*,#216307,.T.); #298993=ORIENTED_EDGE('',*,*,#216308,.F.); #298994=ORIENTED_EDGE('',*,*,#216307,.F.); #298995=ORIENTED_EDGE('',*,*,#216309,.F.); #298996=ORIENTED_EDGE('',*,*,#216310,.T.); #298997=ORIENTED_EDGE('',*,*,#216311,.F.); #298998=ORIENTED_EDGE('',*,*,#216310,.F.); #298999=ORIENTED_EDGE('',*,*,#216312,.F.); #299000=ORIENTED_EDGE('',*,*,#216313,.T.); #299001=ORIENTED_EDGE('',*,*,#216314,.F.); #299002=ORIENTED_EDGE('',*,*,#216313,.F.); #299003=ORIENTED_EDGE('',*,*,#216315,.F.); #299004=ORIENTED_EDGE('',*,*,#216316,.T.); #299005=ORIENTED_EDGE('',*,*,#216317,.F.); #299006=ORIENTED_EDGE('',*,*,#216316,.F.); #299007=ORIENTED_EDGE('',*,*,#216318,.F.); #299008=ORIENTED_EDGE('',*,*,#216319,.T.); #299009=ORIENTED_EDGE('',*,*,#216320,.F.); #299010=ORIENTED_EDGE('',*,*,#216319,.F.); #299011=ORIENTED_EDGE('',*,*,#216321,.F.); #299012=ORIENTED_EDGE('',*,*,#216322,.T.); #299013=ORIENTED_EDGE('',*,*,#216323,.F.); #299014=ORIENTED_EDGE('',*,*,#216322,.F.); #299015=ORIENTED_EDGE('',*,*,#216324,.T.); #299016=ORIENTED_EDGE('',*,*,#216325,.T.); #299017=ORIENTED_EDGE('',*,*,#216326,.F.); #299018=ORIENTED_EDGE('',*,*,#216327,.F.); #299019=ORIENTED_EDGE('',*,*,#216328,.T.); #299020=ORIENTED_EDGE('',*,*,#216327,.T.); #299021=ORIENTED_EDGE('',*,*,#216329,.F.); #299022=ORIENTED_EDGE('',*,*,#216330,.F.); #299023=ORIENTED_EDGE('',*,*,#216331,.T.); #299024=ORIENTED_EDGE('',*,*,#216330,.T.); #299025=ORIENTED_EDGE('',*,*,#216332,.F.); #299026=ORIENTED_EDGE('',*,*,#216333,.F.); #299027=ORIENTED_EDGE('',*,*,#216334,.T.); #299028=ORIENTED_EDGE('',*,*,#216333,.T.); #299029=ORIENTED_EDGE('',*,*,#216335,.F.); #299030=ORIENTED_EDGE('',*,*,#216336,.F.); #299031=ORIENTED_EDGE('',*,*,#216337,.T.); #299032=ORIENTED_EDGE('',*,*,#216336,.T.); #299033=ORIENTED_EDGE('',*,*,#216338,.F.); #299034=ORIENTED_EDGE('',*,*,#216339,.F.); #299035=ORIENTED_EDGE('',*,*,#216340,.T.); #299036=ORIENTED_EDGE('',*,*,#216339,.T.); #299037=ORIENTED_EDGE('',*,*,#216341,.F.); #299038=ORIENTED_EDGE('',*,*,#216342,.F.); #299039=ORIENTED_EDGE('',*,*,#216343,.T.); #299040=ORIENTED_EDGE('',*,*,#216342,.T.); #299041=ORIENTED_EDGE('',*,*,#216344,.F.); #299042=ORIENTED_EDGE('',*,*,#216345,.F.); #299043=ORIENTED_EDGE('',*,*,#216346,.T.); #299044=ORIENTED_EDGE('',*,*,#216345,.T.); #299045=ORIENTED_EDGE('',*,*,#216347,.F.); #299046=ORIENTED_EDGE('',*,*,#216348,.F.); #299047=ORIENTED_EDGE('',*,*,#216349,.T.); #299048=ORIENTED_EDGE('',*,*,#216348,.T.); #299049=ORIENTED_EDGE('',*,*,#216350,.F.); #299050=ORIENTED_EDGE('',*,*,#216351,.F.); #299051=ORIENTED_EDGE('',*,*,#216352,.T.); #299052=ORIENTED_EDGE('',*,*,#216351,.T.); #299053=ORIENTED_EDGE('',*,*,#216353,.F.); #299054=ORIENTED_EDGE('',*,*,#216354,.F.); #299055=ORIENTED_EDGE('',*,*,#216355,.T.); #299056=ORIENTED_EDGE('',*,*,#216354,.T.); #299057=ORIENTED_EDGE('',*,*,#216356,.F.); #299058=ORIENTED_EDGE('',*,*,#216357,.F.); #299059=ORIENTED_EDGE('',*,*,#216358,.T.); #299060=ORIENTED_EDGE('',*,*,#216357,.T.); #299061=ORIENTED_EDGE('',*,*,#216359,.F.); #299062=ORIENTED_EDGE('',*,*,#216360,.F.); #299063=ORIENTED_EDGE('',*,*,#216361,.T.); #299064=ORIENTED_EDGE('',*,*,#216360,.T.); #299065=ORIENTED_EDGE('',*,*,#216362,.F.); #299066=ORIENTED_EDGE('',*,*,#216363,.F.); #299067=ORIENTED_EDGE('',*,*,#216364,.T.); #299068=ORIENTED_EDGE('',*,*,#216363,.T.); #299069=ORIENTED_EDGE('',*,*,#216365,.F.); #299070=ORIENTED_EDGE('',*,*,#216366,.F.); #299071=ORIENTED_EDGE('',*,*,#216367,.T.); #299072=ORIENTED_EDGE('',*,*,#216366,.T.); #299073=ORIENTED_EDGE('',*,*,#216368,.F.); #299074=ORIENTED_EDGE('',*,*,#216369,.F.); #299075=ORIENTED_EDGE('',*,*,#216370,.T.); #299076=ORIENTED_EDGE('',*,*,#216369,.T.); #299077=ORIENTED_EDGE('',*,*,#216371,.F.); #299078=ORIENTED_EDGE('',*,*,#216372,.F.); #299079=ORIENTED_EDGE('',*,*,#216373,.T.); #299080=ORIENTED_EDGE('',*,*,#216372,.T.); #299081=ORIENTED_EDGE('',*,*,#216374,.F.); #299082=ORIENTED_EDGE('',*,*,#216375,.F.); #299083=ORIENTED_EDGE('',*,*,#216376,.T.); #299084=ORIENTED_EDGE('',*,*,#216375,.T.); #299085=ORIENTED_EDGE('',*,*,#216377,.F.); #299086=ORIENTED_EDGE('',*,*,#216378,.F.); #299087=ORIENTED_EDGE('',*,*,#216379,.T.); #299088=ORIENTED_EDGE('',*,*,#216378,.T.); #299089=ORIENTED_EDGE('',*,*,#216380,.F.); #299090=ORIENTED_EDGE('',*,*,#216381,.F.); #299091=ORIENTED_EDGE('',*,*,#216382,.T.); #299092=ORIENTED_EDGE('',*,*,#216381,.T.); #299093=ORIENTED_EDGE('',*,*,#216383,.F.); #299094=ORIENTED_EDGE('',*,*,#216384,.F.); #299095=ORIENTED_EDGE('',*,*,#216385,.T.); #299096=ORIENTED_EDGE('',*,*,#216384,.T.); #299097=ORIENTED_EDGE('',*,*,#216386,.F.); #299098=ORIENTED_EDGE('',*,*,#216387,.F.); #299099=ORIENTED_EDGE('',*,*,#216388,.T.); #299100=ORIENTED_EDGE('',*,*,#216387,.T.); #299101=ORIENTED_EDGE('',*,*,#216389,.F.); #299102=ORIENTED_EDGE('',*,*,#216390,.F.); #299103=ORIENTED_EDGE('',*,*,#216391,.T.); #299104=ORIENTED_EDGE('',*,*,#216390,.T.); #299105=ORIENTED_EDGE('',*,*,#216392,.F.); #299106=ORIENTED_EDGE('',*,*,#216393,.F.); #299107=ORIENTED_EDGE('',*,*,#216394,.T.); #299108=ORIENTED_EDGE('',*,*,#216393,.T.); #299109=ORIENTED_EDGE('',*,*,#216395,.F.); #299110=ORIENTED_EDGE('',*,*,#216396,.F.); #299111=ORIENTED_EDGE('',*,*,#216397,.T.); #299112=ORIENTED_EDGE('',*,*,#216396,.T.); #299113=ORIENTED_EDGE('',*,*,#216398,.F.); #299114=ORIENTED_EDGE('',*,*,#216399,.F.); #299115=ORIENTED_EDGE('',*,*,#216400,.T.); #299116=ORIENTED_EDGE('',*,*,#216399,.T.); #299117=ORIENTED_EDGE('',*,*,#216401,.F.); #299118=ORIENTED_EDGE('',*,*,#216402,.F.); #299119=ORIENTED_EDGE('',*,*,#216403,.T.); #299120=ORIENTED_EDGE('',*,*,#216402,.T.); #299121=ORIENTED_EDGE('',*,*,#216404,.F.); #299122=ORIENTED_EDGE('',*,*,#216405,.F.); #299123=ORIENTED_EDGE('',*,*,#216406,.T.); #299124=ORIENTED_EDGE('',*,*,#216405,.T.); #299125=ORIENTED_EDGE('',*,*,#216407,.F.); #299126=ORIENTED_EDGE('',*,*,#216408,.F.); #299127=ORIENTED_EDGE('',*,*,#216409,.T.); #299128=ORIENTED_EDGE('',*,*,#216408,.T.); #299129=ORIENTED_EDGE('',*,*,#216410,.F.); #299130=ORIENTED_EDGE('',*,*,#216411,.F.); #299131=ORIENTED_EDGE('',*,*,#216412,.T.); #299132=ORIENTED_EDGE('',*,*,#216411,.T.); #299133=ORIENTED_EDGE('',*,*,#216413,.F.); #299134=ORIENTED_EDGE('',*,*,#216414,.F.); #299135=ORIENTED_EDGE('',*,*,#216415,.T.); #299136=ORIENTED_EDGE('',*,*,#216414,.T.); #299137=ORIENTED_EDGE('',*,*,#216416,.F.); #299138=ORIENTED_EDGE('',*,*,#216417,.F.); #299139=ORIENTED_EDGE('',*,*,#216418,.T.); #299140=ORIENTED_EDGE('',*,*,#216417,.T.); #299141=ORIENTED_EDGE('',*,*,#216419,.F.); #299142=ORIENTED_EDGE('',*,*,#216420,.F.); #299143=ORIENTED_EDGE('',*,*,#216421,.T.); #299144=ORIENTED_EDGE('',*,*,#216420,.T.); #299145=ORIENTED_EDGE('',*,*,#216422,.F.); #299146=ORIENTED_EDGE('',*,*,#216423,.F.); #299147=ORIENTED_EDGE('',*,*,#216424,.T.); #299148=ORIENTED_EDGE('',*,*,#216423,.T.); #299149=ORIENTED_EDGE('',*,*,#216425,.F.); #299150=ORIENTED_EDGE('',*,*,#216426,.F.); #299151=ORIENTED_EDGE('',*,*,#216427,.T.); #299152=ORIENTED_EDGE('',*,*,#216426,.T.); #299153=ORIENTED_EDGE('',*,*,#216428,.F.); #299154=ORIENTED_EDGE('',*,*,#216429,.F.); #299155=ORIENTED_EDGE('',*,*,#216430,.T.); #299156=ORIENTED_EDGE('',*,*,#216429,.T.); #299157=ORIENTED_EDGE('',*,*,#216431,.F.); #299158=ORIENTED_EDGE('',*,*,#216432,.F.); #299159=ORIENTED_EDGE('',*,*,#216433,.T.); #299160=ORIENTED_EDGE('',*,*,#216432,.T.); #299161=ORIENTED_EDGE('',*,*,#216434,.F.); #299162=ORIENTED_EDGE('',*,*,#216435,.F.); #299163=ORIENTED_EDGE('',*,*,#216436,.T.); #299164=ORIENTED_EDGE('',*,*,#216435,.T.); #299165=ORIENTED_EDGE('',*,*,#216437,.F.); #299166=ORIENTED_EDGE('',*,*,#216438,.F.); #299167=ORIENTED_EDGE('',*,*,#216439,.T.); #299168=ORIENTED_EDGE('',*,*,#216438,.T.); #299169=ORIENTED_EDGE('',*,*,#216440,.F.); #299170=ORIENTED_EDGE('',*,*,#216441,.F.); #299171=ORIENTED_EDGE('',*,*,#216442,.T.); #299172=ORIENTED_EDGE('',*,*,#216441,.T.); #299173=ORIENTED_EDGE('',*,*,#216443,.F.); #299174=ORIENTED_EDGE('',*,*,#216444,.F.); #299175=ORIENTED_EDGE('',*,*,#216445,.T.); #299176=ORIENTED_EDGE('',*,*,#216444,.T.); #299177=ORIENTED_EDGE('',*,*,#216446,.F.); #299178=ORIENTED_EDGE('',*,*,#216447,.F.); #299179=ORIENTED_EDGE('',*,*,#216448,.T.); #299180=ORIENTED_EDGE('',*,*,#216447,.T.); #299181=ORIENTED_EDGE('',*,*,#216449,.F.); #299182=ORIENTED_EDGE('',*,*,#216450,.F.); #299183=ORIENTED_EDGE('',*,*,#216451,.T.); #299184=ORIENTED_EDGE('',*,*,#216450,.T.); #299185=ORIENTED_EDGE('',*,*,#216452,.F.); #299186=ORIENTED_EDGE('',*,*,#216453,.F.); #299187=ORIENTED_EDGE('',*,*,#216454,.T.); #299188=ORIENTED_EDGE('',*,*,#216453,.T.); #299189=ORIENTED_EDGE('',*,*,#216455,.F.); #299190=ORIENTED_EDGE('',*,*,#216456,.F.); #299191=ORIENTED_EDGE('',*,*,#216457,.T.); #299192=ORIENTED_EDGE('',*,*,#216456,.T.); #299193=ORIENTED_EDGE('',*,*,#216458,.F.); #299194=ORIENTED_EDGE('',*,*,#216325,.F.); #299195=ORIENTED_EDGE('',*,*,#216458,.T.); #299196=ORIENTED_EDGE('',*,*,#216455,.T.); #299197=ORIENTED_EDGE('',*,*,#216452,.T.); #299198=ORIENTED_EDGE('',*,*,#216449,.T.); #299199=ORIENTED_EDGE('',*,*,#216446,.T.); #299200=ORIENTED_EDGE('',*,*,#216443,.T.); #299201=ORIENTED_EDGE('',*,*,#216440,.T.); #299202=ORIENTED_EDGE('',*,*,#216437,.T.); #299203=ORIENTED_EDGE('',*,*,#216434,.T.); #299204=ORIENTED_EDGE('',*,*,#216431,.T.); #299205=ORIENTED_EDGE('',*,*,#216428,.T.); #299206=ORIENTED_EDGE('',*,*,#216425,.T.); #299207=ORIENTED_EDGE('',*,*,#216422,.T.); #299208=ORIENTED_EDGE('',*,*,#216419,.T.); #299209=ORIENTED_EDGE('',*,*,#216416,.T.); #299210=ORIENTED_EDGE('',*,*,#216413,.T.); #299211=ORIENTED_EDGE('',*,*,#216410,.T.); #299212=ORIENTED_EDGE('',*,*,#216407,.T.); #299213=ORIENTED_EDGE('',*,*,#216404,.T.); #299214=ORIENTED_EDGE('',*,*,#216401,.T.); #299215=ORIENTED_EDGE('',*,*,#216398,.T.); #299216=ORIENTED_EDGE('',*,*,#216395,.T.); #299217=ORIENTED_EDGE('',*,*,#216392,.T.); #299218=ORIENTED_EDGE('',*,*,#216389,.T.); #299219=ORIENTED_EDGE('',*,*,#216386,.T.); #299220=ORIENTED_EDGE('',*,*,#216383,.T.); #299221=ORIENTED_EDGE('',*,*,#216380,.T.); #299222=ORIENTED_EDGE('',*,*,#216377,.T.); #299223=ORIENTED_EDGE('',*,*,#216374,.T.); #299224=ORIENTED_EDGE('',*,*,#216371,.T.); #299225=ORIENTED_EDGE('',*,*,#216368,.T.); #299226=ORIENTED_EDGE('',*,*,#216365,.T.); #299227=ORIENTED_EDGE('',*,*,#216362,.T.); #299228=ORIENTED_EDGE('',*,*,#216359,.T.); #299229=ORIENTED_EDGE('',*,*,#216356,.T.); #299230=ORIENTED_EDGE('',*,*,#216353,.T.); #299231=ORIENTED_EDGE('',*,*,#216350,.T.); #299232=ORIENTED_EDGE('',*,*,#216347,.T.); #299233=ORIENTED_EDGE('',*,*,#216344,.T.); #299234=ORIENTED_EDGE('',*,*,#216341,.T.); #299235=ORIENTED_EDGE('',*,*,#216338,.T.); #299236=ORIENTED_EDGE('',*,*,#216335,.T.); #299237=ORIENTED_EDGE('',*,*,#216332,.T.); #299238=ORIENTED_EDGE('',*,*,#216329,.T.); #299239=ORIENTED_EDGE('',*,*,#216326,.T.); #299240=ORIENTED_EDGE('',*,*,#216236,.T.); #299241=ORIENTED_EDGE('',*,*,#216239,.T.); #299242=ORIENTED_EDGE('',*,*,#216242,.T.); #299243=ORIENTED_EDGE('',*,*,#216245,.T.); #299244=ORIENTED_EDGE('',*,*,#216248,.T.); #299245=ORIENTED_EDGE('',*,*,#216251,.T.); #299246=ORIENTED_EDGE('',*,*,#216254,.T.); #299247=ORIENTED_EDGE('',*,*,#216257,.T.); #299248=ORIENTED_EDGE('',*,*,#216260,.T.); #299249=ORIENTED_EDGE('',*,*,#216263,.T.); #299250=ORIENTED_EDGE('',*,*,#216266,.T.); #299251=ORIENTED_EDGE('',*,*,#216269,.T.); #299252=ORIENTED_EDGE('',*,*,#216272,.T.); #299253=ORIENTED_EDGE('',*,*,#216275,.T.); #299254=ORIENTED_EDGE('',*,*,#216278,.T.); #299255=ORIENTED_EDGE('',*,*,#216281,.T.); #299256=ORIENTED_EDGE('',*,*,#216284,.T.); #299257=ORIENTED_EDGE('',*,*,#216287,.T.); #299258=ORIENTED_EDGE('',*,*,#216290,.T.); #299259=ORIENTED_EDGE('',*,*,#216293,.T.); #299260=ORIENTED_EDGE('',*,*,#216296,.T.); #299261=ORIENTED_EDGE('',*,*,#216299,.T.); #299262=ORIENTED_EDGE('',*,*,#216302,.T.); #299263=ORIENTED_EDGE('',*,*,#216305,.T.); #299264=ORIENTED_EDGE('',*,*,#216308,.T.); #299265=ORIENTED_EDGE('',*,*,#216311,.T.); #299266=ORIENTED_EDGE('',*,*,#216314,.T.); #299267=ORIENTED_EDGE('',*,*,#216317,.T.); #299268=ORIENTED_EDGE('',*,*,#216320,.T.); #299269=ORIENTED_EDGE('',*,*,#216323,.T.); #299270=ORIENTED_EDGE('',*,*,#216457,.F.); #299271=ORIENTED_EDGE('',*,*,#216324,.F.); #299272=ORIENTED_EDGE('',*,*,#216328,.F.); #299273=ORIENTED_EDGE('',*,*,#216331,.F.); #299274=ORIENTED_EDGE('',*,*,#216334,.F.); #299275=ORIENTED_EDGE('',*,*,#216337,.F.); #299276=ORIENTED_EDGE('',*,*,#216340,.F.); #299277=ORIENTED_EDGE('',*,*,#216343,.F.); #299278=ORIENTED_EDGE('',*,*,#216346,.F.); #299279=ORIENTED_EDGE('',*,*,#216349,.F.); #299280=ORIENTED_EDGE('',*,*,#216352,.F.); #299281=ORIENTED_EDGE('',*,*,#216355,.F.); #299282=ORIENTED_EDGE('',*,*,#216358,.F.); #299283=ORIENTED_EDGE('',*,*,#216361,.F.); #299284=ORIENTED_EDGE('',*,*,#216364,.F.); #299285=ORIENTED_EDGE('',*,*,#216367,.F.); #299286=ORIENTED_EDGE('',*,*,#216370,.F.); #299287=ORIENTED_EDGE('',*,*,#216373,.F.); #299288=ORIENTED_EDGE('',*,*,#216376,.F.); #299289=ORIENTED_EDGE('',*,*,#216379,.F.); #299290=ORIENTED_EDGE('',*,*,#216382,.F.); #299291=ORIENTED_EDGE('',*,*,#216385,.F.); #299292=ORIENTED_EDGE('',*,*,#216388,.F.); #299293=ORIENTED_EDGE('',*,*,#216391,.F.); #299294=ORIENTED_EDGE('',*,*,#216394,.F.); #299295=ORIENTED_EDGE('',*,*,#216397,.F.); #299296=ORIENTED_EDGE('',*,*,#216400,.F.); #299297=ORIENTED_EDGE('',*,*,#216403,.F.); #299298=ORIENTED_EDGE('',*,*,#216406,.F.); #299299=ORIENTED_EDGE('',*,*,#216409,.F.); #299300=ORIENTED_EDGE('',*,*,#216412,.F.); #299301=ORIENTED_EDGE('',*,*,#216415,.F.); #299302=ORIENTED_EDGE('',*,*,#216418,.F.); #299303=ORIENTED_EDGE('',*,*,#216421,.F.); #299304=ORIENTED_EDGE('',*,*,#216424,.F.); #299305=ORIENTED_EDGE('',*,*,#216427,.F.); #299306=ORIENTED_EDGE('',*,*,#216430,.F.); #299307=ORIENTED_EDGE('',*,*,#216433,.F.); #299308=ORIENTED_EDGE('',*,*,#216436,.F.); #299309=ORIENTED_EDGE('',*,*,#216439,.F.); #299310=ORIENTED_EDGE('',*,*,#216442,.F.); #299311=ORIENTED_EDGE('',*,*,#216445,.F.); #299312=ORIENTED_EDGE('',*,*,#216448,.F.); #299313=ORIENTED_EDGE('',*,*,#216451,.F.); #299314=ORIENTED_EDGE('',*,*,#216454,.F.); #299315=ORIENTED_EDGE('',*,*,#216234,.T.); #299316=ORIENTED_EDGE('',*,*,#216237,.T.); #299317=ORIENTED_EDGE('',*,*,#216240,.T.); #299318=ORIENTED_EDGE('',*,*,#216243,.T.); #299319=ORIENTED_EDGE('',*,*,#216246,.T.); #299320=ORIENTED_EDGE('',*,*,#216249,.T.); #299321=ORIENTED_EDGE('',*,*,#216252,.T.); #299322=ORIENTED_EDGE('',*,*,#216255,.T.); #299323=ORIENTED_EDGE('',*,*,#216258,.T.); #299324=ORIENTED_EDGE('',*,*,#216261,.T.); #299325=ORIENTED_EDGE('',*,*,#216264,.T.); #299326=ORIENTED_EDGE('',*,*,#216267,.T.); #299327=ORIENTED_EDGE('',*,*,#216270,.T.); #299328=ORIENTED_EDGE('',*,*,#216273,.T.); #299329=ORIENTED_EDGE('',*,*,#216276,.T.); #299330=ORIENTED_EDGE('',*,*,#216279,.T.); #299331=ORIENTED_EDGE('',*,*,#216282,.T.); #299332=ORIENTED_EDGE('',*,*,#216285,.T.); #299333=ORIENTED_EDGE('',*,*,#216288,.T.); #299334=ORIENTED_EDGE('',*,*,#216291,.T.); #299335=ORIENTED_EDGE('',*,*,#216294,.T.); #299336=ORIENTED_EDGE('',*,*,#216297,.T.); #299337=ORIENTED_EDGE('',*,*,#216300,.T.); #299338=ORIENTED_EDGE('',*,*,#216303,.T.); #299339=ORIENTED_EDGE('',*,*,#216306,.T.); #299340=ORIENTED_EDGE('',*,*,#216309,.T.); #299341=ORIENTED_EDGE('',*,*,#216312,.T.); #299342=ORIENTED_EDGE('',*,*,#216315,.T.); #299343=ORIENTED_EDGE('',*,*,#216318,.T.); #299344=ORIENTED_EDGE('',*,*,#216321,.T.); #299345=ORIENTED_EDGE('',*,*,#216459,.F.); #299346=ORIENTED_EDGE('',*,*,#216460,.T.); #299347=ORIENTED_EDGE('',*,*,#216461,.F.); #299348=ORIENTED_EDGE('',*,*,#216460,.F.); #299349=ORIENTED_EDGE('',*,*,#216462,.F.); #299350=ORIENTED_EDGE('',*,*,#216463,.T.); #299351=ORIENTED_EDGE('',*,*,#216464,.F.); #299352=ORIENTED_EDGE('',*,*,#216463,.F.); #299353=ORIENTED_EDGE('',*,*,#216465,.T.); #299354=ORIENTED_EDGE('',*,*,#216466,.T.); #299355=ORIENTED_EDGE('',*,*,#216467,.F.); #299356=ORIENTED_EDGE('',*,*,#216468,.F.); #299357=ORIENTED_EDGE('',*,*,#216469,.T.); #299358=ORIENTED_EDGE('',*,*,#216468,.T.); #299359=ORIENTED_EDGE('',*,*,#216470,.F.); #299360=ORIENTED_EDGE('',*,*,#216471,.F.); #299361=ORIENTED_EDGE('',*,*,#216472,.T.); #299362=ORIENTED_EDGE('',*,*,#216471,.T.); #299363=ORIENTED_EDGE('',*,*,#216473,.F.); #299364=ORIENTED_EDGE('',*,*,#216474,.F.); #299365=ORIENTED_EDGE('',*,*,#216475,.T.); #299366=ORIENTED_EDGE('',*,*,#216474,.T.); #299367=ORIENTED_EDGE('',*,*,#216476,.F.); #299368=ORIENTED_EDGE('',*,*,#216477,.F.); #299369=ORIENTED_EDGE('',*,*,#216478,.T.); #299370=ORIENTED_EDGE('',*,*,#216477,.T.); #299371=ORIENTED_EDGE('',*,*,#216479,.F.); #299372=ORIENTED_EDGE('',*,*,#216480,.F.); #299373=ORIENTED_EDGE('',*,*,#216481,.T.); #299374=ORIENTED_EDGE('',*,*,#216480,.T.); #299375=ORIENTED_EDGE('',*,*,#216482,.F.); #299376=ORIENTED_EDGE('',*,*,#216483,.F.); #299377=ORIENTED_EDGE('',*,*,#216484,.T.); #299378=ORIENTED_EDGE('',*,*,#216483,.T.); #299379=ORIENTED_EDGE('',*,*,#216485,.F.); #299380=ORIENTED_EDGE('',*,*,#216466,.F.); #299381=ORIENTED_EDGE('',*,*,#216485,.T.); #299382=ORIENTED_EDGE('',*,*,#216482,.T.); #299383=ORIENTED_EDGE('',*,*,#216479,.T.); #299384=ORIENTED_EDGE('',*,*,#216476,.T.); #299385=ORIENTED_EDGE('',*,*,#216473,.T.); #299386=ORIENTED_EDGE('',*,*,#216470,.T.); #299387=ORIENTED_EDGE('',*,*,#216467,.T.); #299388=ORIENTED_EDGE('',*,*,#216461,.T.); #299389=ORIENTED_EDGE('',*,*,#216464,.T.); #299390=ORIENTED_EDGE('',*,*,#216484,.F.); #299391=ORIENTED_EDGE('',*,*,#216465,.F.); #299392=ORIENTED_EDGE('',*,*,#216469,.F.); #299393=ORIENTED_EDGE('',*,*,#216472,.F.); #299394=ORIENTED_EDGE('',*,*,#216475,.F.); #299395=ORIENTED_EDGE('',*,*,#216478,.F.); #299396=ORIENTED_EDGE('',*,*,#216481,.F.); #299397=ORIENTED_EDGE('',*,*,#216459,.T.); #299398=ORIENTED_EDGE('',*,*,#216462,.T.); #299399=ORIENTED_EDGE('',*,*,#216486,.F.); #299400=ORIENTED_EDGE('',*,*,#216487,.T.); #299401=ORIENTED_EDGE('',*,*,#216488,.F.); #299402=ORIENTED_EDGE('',*,*,#216487,.F.); #299403=ORIENTED_EDGE('',*,*,#216489,.T.); #299404=ORIENTED_EDGE('',*,*,#216490,.T.); #299405=ORIENTED_EDGE('',*,*,#216491,.F.); #299406=ORIENTED_EDGE('',*,*,#216492,.F.); #299407=ORIENTED_EDGE('',*,*,#216493,.T.); #299408=ORIENTED_EDGE('',*,*,#216492,.T.); #299409=ORIENTED_EDGE('',*,*,#216494,.F.); #299410=ORIENTED_EDGE('',*,*,#216495,.F.); #299411=ORIENTED_EDGE('',*,*,#216496,.T.); #299412=ORIENTED_EDGE('',*,*,#216495,.T.); #299413=ORIENTED_EDGE('',*,*,#216497,.F.); #299414=ORIENTED_EDGE('',*,*,#216490,.F.); #299415=ORIENTED_EDGE('',*,*,#216497,.T.); #299416=ORIENTED_EDGE('',*,*,#216494,.T.); #299417=ORIENTED_EDGE('',*,*,#216491,.T.); #299418=ORIENTED_EDGE('',*,*,#216488,.T.); #299419=ORIENTED_EDGE('',*,*,#216496,.F.); #299420=ORIENTED_EDGE('',*,*,#216489,.F.); #299421=ORIENTED_EDGE('',*,*,#216493,.F.); #299422=ORIENTED_EDGE('',*,*,#216486,.T.); #299423=ORIENTED_EDGE('',*,*,#216498,.F.); #299424=ORIENTED_EDGE('',*,*,#216499,.T.); #299425=ORIENTED_EDGE('',*,*,#216500,.F.); #299426=ORIENTED_EDGE('',*,*,#216499,.F.); #299427=ORIENTED_EDGE('',*,*,#216501,.F.); #299428=ORIENTED_EDGE('',*,*,#216502,.T.); #299429=ORIENTED_EDGE('',*,*,#216503,.F.); #299430=ORIENTED_EDGE('',*,*,#216502,.F.); #299431=ORIENTED_EDGE('',*,*,#216504,.F.); #299432=ORIENTED_EDGE('',*,*,#216505,.T.); #299433=ORIENTED_EDGE('',*,*,#216506,.F.); #299434=ORIENTED_EDGE('',*,*,#216505,.F.); #299435=ORIENTED_EDGE('',*,*,#216507,.F.); #299436=ORIENTED_EDGE('',*,*,#216508,.T.); #299437=ORIENTED_EDGE('',*,*,#216509,.F.); #299438=ORIENTED_EDGE('',*,*,#216508,.F.); #299439=ORIENTED_EDGE('',*,*,#216510,.F.); #299440=ORIENTED_EDGE('',*,*,#216511,.T.); #299441=ORIENTED_EDGE('',*,*,#216512,.F.); #299442=ORIENTED_EDGE('',*,*,#216511,.F.); #299443=ORIENTED_EDGE('',*,*,#216513,.F.); #299444=ORIENTED_EDGE('',*,*,#216514,.T.); #299445=ORIENTED_EDGE('',*,*,#216515,.F.); #299446=ORIENTED_EDGE('',*,*,#216514,.F.); #299447=ORIENTED_EDGE('',*,*,#216516,.F.); #299448=ORIENTED_EDGE('',*,*,#216517,.T.); #299449=ORIENTED_EDGE('',*,*,#216518,.F.); #299450=ORIENTED_EDGE('',*,*,#216517,.F.); #299451=ORIENTED_EDGE('',*,*,#216519,.F.); #299452=ORIENTED_EDGE('',*,*,#216520,.T.); #299453=ORIENTED_EDGE('',*,*,#216521,.F.); #299454=ORIENTED_EDGE('',*,*,#216520,.F.); #299455=ORIENTED_EDGE('',*,*,#216522,.F.); #299456=ORIENTED_EDGE('',*,*,#216523,.T.); #299457=ORIENTED_EDGE('',*,*,#216524,.F.); #299458=ORIENTED_EDGE('',*,*,#216523,.F.); #299459=ORIENTED_EDGE('',*,*,#216525,.F.); #299460=ORIENTED_EDGE('',*,*,#216526,.T.); #299461=ORIENTED_EDGE('',*,*,#216527,.F.); #299462=ORIENTED_EDGE('',*,*,#216526,.F.); #299463=ORIENTED_EDGE('',*,*,#216528,.F.); #299464=ORIENTED_EDGE('',*,*,#216529,.T.); #299465=ORIENTED_EDGE('',*,*,#216530,.F.); #299466=ORIENTED_EDGE('',*,*,#216529,.F.); #299467=ORIENTED_EDGE('',*,*,#216531,.F.); #299468=ORIENTED_EDGE('',*,*,#216532,.T.); #299469=ORIENTED_EDGE('',*,*,#216533,.F.); #299470=ORIENTED_EDGE('',*,*,#216532,.F.); #299471=ORIENTED_EDGE('',*,*,#216534,.F.); #299472=ORIENTED_EDGE('',*,*,#216535,.T.); #299473=ORIENTED_EDGE('',*,*,#216536,.F.); #299474=ORIENTED_EDGE('',*,*,#216535,.F.); #299475=ORIENTED_EDGE('',*,*,#216537,.F.); #299476=ORIENTED_EDGE('',*,*,#216538,.T.); #299477=ORIENTED_EDGE('',*,*,#216539,.F.); #299478=ORIENTED_EDGE('',*,*,#216538,.F.); #299479=ORIENTED_EDGE('',*,*,#216540,.F.); #299480=ORIENTED_EDGE('',*,*,#216541,.T.); #299481=ORIENTED_EDGE('',*,*,#216542,.F.); #299482=ORIENTED_EDGE('',*,*,#216541,.F.); #299483=ORIENTED_EDGE('',*,*,#216543,.T.); #299484=ORIENTED_EDGE('',*,*,#216544,.T.); #299485=ORIENTED_EDGE('',*,*,#216545,.F.); #299486=ORIENTED_EDGE('',*,*,#216546,.F.); #299487=ORIENTED_EDGE('',*,*,#216547,.T.); #299488=ORIENTED_EDGE('',*,*,#216546,.T.); #299489=ORIENTED_EDGE('',*,*,#216548,.F.); #299490=ORIENTED_EDGE('',*,*,#216549,.F.); #299491=ORIENTED_EDGE('',*,*,#216550,.T.); #299492=ORIENTED_EDGE('',*,*,#216549,.T.); #299493=ORIENTED_EDGE('',*,*,#216551,.F.); #299494=ORIENTED_EDGE('',*,*,#216552,.F.); #299495=ORIENTED_EDGE('',*,*,#216553,.T.); #299496=ORIENTED_EDGE('',*,*,#216552,.T.); #299497=ORIENTED_EDGE('',*,*,#216554,.F.); #299498=ORIENTED_EDGE('',*,*,#216555,.F.); #299499=ORIENTED_EDGE('',*,*,#216556,.T.); #299500=ORIENTED_EDGE('',*,*,#216555,.T.); #299501=ORIENTED_EDGE('',*,*,#216557,.F.); #299502=ORIENTED_EDGE('',*,*,#216558,.F.); #299503=ORIENTED_EDGE('',*,*,#216559,.T.); #299504=ORIENTED_EDGE('',*,*,#216558,.T.); #299505=ORIENTED_EDGE('',*,*,#216560,.F.); #299506=ORIENTED_EDGE('',*,*,#216561,.F.); #299507=ORIENTED_EDGE('',*,*,#216562,.T.); #299508=ORIENTED_EDGE('',*,*,#216561,.T.); #299509=ORIENTED_EDGE('',*,*,#216563,.F.); #299510=ORIENTED_EDGE('',*,*,#216564,.F.); #299511=ORIENTED_EDGE('',*,*,#216565,.T.); #299512=ORIENTED_EDGE('',*,*,#216564,.T.); #299513=ORIENTED_EDGE('',*,*,#216566,.F.); #299514=ORIENTED_EDGE('',*,*,#216567,.F.); #299515=ORIENTED_EDGE('',*,*,#216568,.T.); #299516=ORIENTED_EDGE('',*,*,#216567,.T.); #299517=ORIENTED_EDGE('',*,*,#216569,.F.); #299518=ORIENTED_EDGE('',*,*,#216570,.F.); #299519=ORIENTED_EDGE('',*,*,#216571,.T.); #299520=ORIENTED_EDGE('',*,*,#216570,.T.); #299521=ORIENTED_EDGE('',*,*,#216572,.F.); #299522=ORIENTED_EDGE('',*,*,#216573,.F.); #299523=ORIENTED_EDGE('',*,*,#216574,.T.); #299524=ORIENTED_EDGE('',*,*,#216573,.T.); #299525=ORIENTED_EDGE('',*,*,#216575,.F.); #299526=ORIENTED_EDGE('',*,*,#216576,.F.); #299527=ORIENTED_EDGE('',*,*,#216577,.T.); #299528=ORIENTED_EDGE('',*,*,#216576,.T.); #299529=ORIENTED_EDGE('',*,*,#216578,.F.); #299530=ORIENTED_EDGE('',*,*,#216579,.F.); #299531=ORIENTED_EDGE('',*,*,#216580,.T.); #299532=ORIENTED_EDGE('',*,*,#216579,.T.); #299533=ORIENTED_EDGE('',*,*,#216581,.F.); #299534=ORIENTED_EDGE('',*,*,#216582,.F.); #299535=ORIENTED_EDGE('',*,*,#216583,.T.); #299536=ORIENTED_EDGE('',*,*,#216582,.T.); #299537=ORIENTED_EDGE('',*,*,#216584,.F.); #299538=ORIENTED_EDGE('',*,*,#216585,.F.); #299539=ORIENTED_EDGE('',*,*,#216586,.T.); #299540=ORIENTED_EDGE('',*,*,#216585,.T.); #299541=ORIENTED_EDGE('',*,*,#216587,.F.); #299542=ORIENTED_EDGE('',*,*,#216588,.F.); #299543=ORIENTED_EDGE('',*,*,#216589,.T.); #299544=ORIENTED_EDGE('',*,*,#216588,.T.); #299545=ORIENTED_EDGE('',*,*,#216590,.F.); #299546=ORIENTED_EDGE('',*,*,#216591,.F.); #299547=ORIENTED_EDGE('',*,*,#216592,.T.); #299548=ORIENTED_EDGE('',*,*,#216591,.T.); #299549=ORIENTED_EDGE('',*,*,#216593,.F.); #299550=ORIENTED_EDGE('',*,*,#216594,.F.); #299551=ORIENTED_EDGE('',*,*,#216595,.T.); #299552=ORIENTED_EDGE('',*,*,#216594,.T.); #299553=ORIENTED_EDGE('',*,*,#216596,.F.); #299554=ORIENTED_EDGE('',*,*,#216597,.F.); #299555=ORIENTED_EDGE('',*,*,#216598,.T.); #299556=ORIENTED_EDGE('',*,*,#216597,.T.); #299557=ORIENTED_EDGE('',*,*,#216599,.F.); #299558=ORIENTED_EDGE('',*,*,#216600,.F.); #299559=ORIENTED_EDGE('',*,*,#216601,.T.); #299560=ORIENTED_EDGE('',*,*,#216600,.T.); #299561=ORIENTED_EDGE('',*,*,#216602,.F.); #299562=ORIENTED_EDGE('',*,*,#216603,.F.); #299563=ORIENTED_EDGE('',*,*,#216604,.T.); #299564=ORIENTED_EDGE('',*,*,#216603,.T.); #299565=ORIENTED_EDGE('',*,*,#216605,.F.); #299566=ORIENTED_EDGE('',*,*,#216606,.F.); #299567=ORIENTED_EDGE('',*,*,#216607,.T.); #299568=ORIENTED_EDGE('',*,*,#216606,.T.); #299569=ORIENTED_EDGE('',*,*,#216608,.F.); #299570=ORIENTED_EDGE('',*,*,#216609,.F.); #299571=ORIENTED_EDGE('',*,*,#216610,.T.); #299572=ORIENTED_EDGE('',*,*,#216609,.T.); #299573=ORIENTED_EDGE('',*,*,#216611,.F.); #299574=ORIENTED_EDGE('',*,*,#216612,.F.); #299575=ORIENTED_EDGE('',*,*,#216613,.T.); #299576=ORIENTED_EDGE('',*,*,#216612,.T.); #299577=ORIENTED_EDGE('',*,*,#216614,.F.); #299578=ORIENTED_EDGE('',*,*,#216615,.F.); #299579=ORIENTED_EDGE('',*,*,#216616,.T.); #299580=ORIENTED_EDGE('',*,*,#216615,.T.); #299581=ORIENTED_EDGE('',*,*,#216617,.F.); #299582=ORIENTED_EDGE('',*,*,#216618,.F.); #299583=ORIENTED_EDGE('',*,*,#216619,.T.); #299584=ORIENTED_EDGE('',*,*,#216618,.T.); #299585=ORIENTED_EDGE('',*,*,#216620,.F.); #299586=ORIENTED_EDGE('',*,*,#216621,.F.); #299587=ORIENTED_EDGE('',*,*,#216622,.T.); #299588=ORIENTED_EDGE('',*,*,#216621,.T.); #299589=ORIENTED_EDGE('',*,*,#216623,.F.); #299590=ORIENTED_EDGE('',*,*,#216624,.F.); #299591=ORIENTED_EDGE('',*,*,#216625,.T.); #299592=ORIENTED_EDGE('',*,*,#216624,.T.); #299593=ORIENTED_EDGE('',*,*,#216626,.F.); #299594=ORIENTED_EDGE('',*,*,#216627,.F.); #299595=ORIENTED_EDGE('',*,*,#216628,.T.); #299596=ORIENTED_EDGE('',*,*,#216627,.T.); #299597=ORIENTED_EDGE('',*,*,#216629,.F.); #299598=ORIENTED_EDGE('',*,*,#216630,.F.); #299599=ORIENTED_EDGE('',*,*,#216631,.T.); #299600=ORIENTED_EDGE('',*,*,#216630,.T.); #299601=ORIENTED_EDGE('',*,*,#216632,.F.); #299602=ORIENTED_EDGE('',*,*,#216633,.F.); #299603=ORIENTED_EDGE('',*,*,#216634,.T.); #299604=ORIENTED_EDGE('',*,*,#216633,.T.); #299605=ORIENTED_EDGE('',*,*,#216635,.F.); #299606=ORIENTED_EDGE('',*,*,#216636,.F.); #299607=ORIENTED_EDGE('',*,*,#216637,.T.); #299608=ORIENTED_EDGE('',*,*,#216636,.T.); #299609=ORIENTED_EDGE('',*,*,#216638,.F.); #299610=ORIENTED_EDGE('',*,*,#216639,.F.); #299611=ORIENTED_EDGE('',*,*,#216640,.T.); #299612=ORIENTED_EDGE('',*,*,#216639,.T.); #299613=ORIENTED_EDGE('',*,*,#216641,.F.); #299614=ORIENTED_EDGE('',*,*,#216642,.F.); #299615=ORIENTED_EDGE('',*,*,#216643,.T.); #299616=ORIENTED_EDGE('',*,*,#216642,.T.); #299617=ORIENTED_EDGE('',*,*,#216644,.F.); #299618=ORIENTED_EDGE('',*,*,#216645,.F.); #299619=ORIENTED_EDGE('',*,*,#216646,.T.); #299620=ORIENTED_EDGE('',*,*,#216645,.T.); #299621=ORIENTED_EDGE('',*,*,#216647,.F.); #299622=ORIENTED_EDGE('',*,*,#216648,.F.); #299623=ORIENTED_EDGE('',*,*,#216649,.T.); #299624=ORIENTED_EDGE('',*,*,#216648,.T.); #299625=ORIENTED_EDGE('',*,*,#216650,.F.); #299626=ORIENTED_EDGE('',*,*,#216651,.F.); #299627=ORIENTED_EDGE('',*,*,#216652,.T.); #299628=ORIENTED_EDGE('',*,*,#216651,.T.); #299629=ORIENTED_EDGE('',*,*,#216653,.F.); #299630=ORIENTED_EDGE('',*,*,#216654,.F.); #299631=ORIENTED_EDGE('',*,*,#216655,.T.); #299632=ORIENTED_EDGE('',*,*,#216654,.T.); #299633=ORIENTED_EDGE('',*,*,#216656,.F.); #299634=ORIENTED_EDGE('',*,*,#216657,.F.); #299635=ORIENTED_EDGE('',*,*,#216658,.T.); #299636=ORIENTED_EDGE('',*,*,#216657,.T.); #299637=ORIENTED_EDGE('',*,*,#216659,.F.); #299638=ORIENTED_EDGE('',*,*,#216660,.F.); #299639=ORIENTED_EDGE('',*,*,#216661,.T.); #299640=ORIENTED_EDGE('',*,*,#216660,.T.); #299641=ORIENTED_EDGE('',*,*,#216662,.F.); #299642=ORIENTED_EDGE('',*,*,#216663,.F.); #299643=ORIENTED_EDGE('',*,*,#216664,.T.); #299644=ORIENTED_EDGE('',*,*,#216663,.T.); #299645=ORIENTED_EDGE('',*,*,#216665,.F.); #299646=ORIENTED_EDGE('',*,*,#216666,.F.); #299647=ORIENTED_EDGE('',*,*,#216667,.T.); #299648=ORIENTED_EDGE('',*,*,#216666,.T.); #299649=ORIENTED_EDGE('',*,*,#216668,.F.); #299650=ORIENTED_EDGE('',*,*,#216669,.F.); #299651=ORIENTED_EDGE('',*,*,#216670,.T.); #299652=ORIENTED_EDGE('',*,*,#216669,.T.); #299653=ORIENTED_EDGE('',*,*,#216671,.F.); #299654=ORIENTED_EDGE('',*,*,#216672,.F.); #299655=ORIENTED_EDGE('',*,*,#216673,.T.); #299656=ORIENTED_EDGE('',*,*,#216672,.T.); #299657=ORIENTED_EDGE('',*,*,#216674,.F.); #299658=ORIENTED_EDGE('',*,*,#216675,.F.); #299659=ORIENTED_EDGE('',*,*,#216676,.T.); #299660=ORIENTED_EDGE('',*,*,#216675,.T.); #299661=ORIENTED_EDGE('',*,*,#216677,.F.); #299662=ORIENTED_EDGE('',*,*,#216678,.F.); #299663=ORIENTED_EDGE('',*,*,#216679,.T.); #299664=ORIENTED_EDGE('',*,*,#216678,.T.); #299665=ORIENTED_EDGE('',*,*,#216680,.F.); #299666=ORIENTED_EDGE('',*,*,#216681,.F.); #299667=ORIENTED_EDGE('',*,*,#216682,.T.); #299668=ORIENTED_EDGE('',*,*,#216681,.T.); #299669=ORIENTED_EDGE('',*,*,#216683,.F.); #299670=ORIENTED_EDGE('',*,*,#216684,.F.); #299671=ORIENTED_EDGE('',*,*,#216685,.T.); #299672=ORIENTED_EDGE('',*,*,#216684,.T.); #299673=ORIENTED_EDGE('',*,*,#216686,.F.); #299674=ORIENTED_EDGE('',*,*,#216687,.F.); #299675=ORIENTED_EDGE('',*,*,#216688,.T.); #299676=ORIENTED_EDGE('',*,*,#216687,.T.); #299677=ORIENTED_EDGE('',*,*,#216689,.F.); #299678=ORIENTED_EDGE('',*,*,#216690,.F.); #299679=ORIENTED_EDGE('',*,*,#216691,.T.); #299680=ORIENTED_EDGE('',*,*,#216690,.T.); #299681=ORIENTED_EDGE('',*,*,#216692,.F.); #299682=ORIENTED_EDGE('',*,*,#216693,.F.); #299683=ORIENTED_EDGE('',*,*,#216694,.T.); #299684=ORIENTED_EDGE('',*,*,#216693,.T.); #299685=ORIENTED_EDGE('',*,*,#216695,.F.); #299686=ORIENTED_EDGE('',*,*,#216696,.F.); #299687=ORIENTED_EDGE('',*,*,#216697,.T.); #299688=ORIENTED_EDGE('',*,*,#216696,.T.); #299689=ORIENTED_EDGE('',*,*,#216698,.F.); #299690=ORIENTED_EDGE('',*,*,#216699,.F.); #299691=ORIENTED_EDGE('',*,*,#216700,.T.); #299692=ORIENTED_EDGE('',*,*,#216699,.T.); #299693=ORIENTED_EDGE('',*,*,#216701,.F.); #299694=ORIENTED_EDGE('',*,*,#216702,.F.); #299695=ORIENTED_EDGE('',*,*,#216703,.T.); #299696=ORIENTED_EDGE('',*,*,#216702,.T.); #299697=ORIENTED_EDGE('',*,*,#216704,.F.); #299698=ORIENTED_EDGE('',*,*,#216705,.F.); #299699=ORIENTED_EDGE('',*,*,#216706,.T.); #299700=ORIENTED_EDGE('',*,*,#216705,.T.); #299701=ORIENTED_EDGE('',*,*,#216707,.F.); #299702=ORIENTED_EDGE('',*,*,#216708,.F.); #299703=ORIENTED_EDGE('',*,*,#216709,.T.); #299704=ORIENTED_EDGE('',*,*,#216708,.T.); #299705=ORIENTED_EDGE('',*,*,#216710,.F.); #299706=ORIENTED_EDGE('',*,*,#216711,.F.); #299707=ORIENTED_EDGE('',*,*,#216712,.T.); #299708=ORIENTED_EDGE('',*,*,#216711,.T.); #299709=ORIENTED_EDGE('',*,*,#216713,.F.); #299710=ORIENTED_EDGE('',*,*,#216714,.F.); #299711=ORIENTED_EDGE('',*,*,#216715,.T.); #299712=ORIENTED_EDGE('',*,*,#216714,.T.); #299713=ORIENTED_EDGE('',*,*,#216716,.F.); #299714=ORIENTED_EDGE('',*,*,#216717,.F.); #299715=ORIENTED_EDGE('',*,*,#216718,.T.); #299716=ORIENTED_EDGE('',*,*,#216717,.T.); #299717=ORIENTED_EDGE('',*,*,#216719,.F.); #299718=ORIENTED_EDGE('',*,*,#216720,.F.); #299719=ORIENTED_EDGE('',*,*,#216721,.T.); #299720=ORIENTED_EDGE('',*,*,#216720,.T.); #299721=ORIENTED_EDGE('',*,*,#216722,.F.); #299722=ORIENTED_EDGE('',*,*,#216723,.F.); #299723=ORIENTED_EDGE('',*,*,#216724,.T.); #299724=ORIENTED_EDGE('',*,*,#216723,.T.); #299725=ORIENTED_EDGE('',*,*,#216725,.F.); #299726=ORIENTED_EDGE('',*,*,#216726,.F.); #299727=ORIENTED_EDGE('',*,*,#216727,.T.); #299728=ORIENTED_EDGE('',*,*,#216726,.T.); #299729=ORIENTED_EDGE('',*,*,#216728,.F.); #299730=ORIENTED_EDGE('',*,*,#216729,.F.); #299731=ORIENTED_EDGE('',*,*,#216730,.T.); #299732=ORIENTED_EDGE('',*,*,#216729,.T.); #299733=ORIENTED_EDGE('',*,*,#216731,.F.); #299734=ORIENTED_EDGE('',*,*,#216732,.F.); #299735=ORIENTED_EDGE('',*,*,#216733,.T.); #299736=ORIENTED_EDGE('',*,*,#216732,.T.); #299737=ORIENTED_EDGE('',*,*,#216734,.F.); #299738=ORIENTED_EDGE('',*,*,#216735,.F.); #299739=ORIENTED_EDGE('',*,*,#216736,.T.); #299740=ORIENTED_EDGE('',*,*,#216735,.T.); #299741=ORIENTED_EDGE('',*,*,#216737,.F.); #299742=ORIENTED_EDGE('',*,*,#216738,.F.); #299743=ORIENTED_EDGE('',*,*,#216739,.T.); #299744=ORIENTED_EDGE('',*,*,#216738,.T.); #299745=ORIENTED_EDGE('',*,*,#216740,.F.); #299746=ORIENTED_EDGE('',*,*,#216741,.F.); #299747=ORIENTED_EDGE('',*,*,#216742,.T.); #299748=ORIENTED_EDGE('',*,*,#216741,.T.); #299749=ORIENTED_EDGE('',*,*,#216743,.F.); #299750=ORIENTED_EDGE('',*,*,#216744,.F.); #299751=ORIENTED_EDGE('',*,*,#216745,.T.); #299752=ORIENTED_EDGE('',*,*,#216744,.T.); #299753=ORIENTED_EDGE('',*,*,#216746,.F.); #299754=ORIENTED_EDGE('',*,*,#216747,.F.); #299755=ORIENTED_EDGE('',*,*,#216748,.T.); #299756=ORIENTED_EDGE('',*,*,#216747,.T.); #299757=ORIENTED_EDGE('',*,*,#216749,.F.); #299758=ORIENTED_EDGE('',*,*,#216750,.F.); #299759=ORIENTED_EDGE('',*,*,#216751,.T.); #299760=ORIENTED_EDGE('',*,*,#216750,.T.); #299761=ORIENTED_EDGE('',*,*,#216752,.F.); #299762=ORIENTED_EDGE('',*,*,#216753,.F.); #299763=ORIENTED_EDGE('',*,*,#216754,.T.); #299764=ORIENTED_EDGE('',*,*,#216753,.T.); #299765=ORIENTED_EDGE('',*,*,#216755,.F.); #299766=ORIENTED_EDGE('',*,*,#216756,.F.); #299767=ORIENTED_EDGE('',*,*,#216757,.T.); #299768=ORIENTED_EDGE('',*,*,#216756,.T.); #299769=ORIENTED_EDGE('',*,*,#216758,.F.); #299770=ORIENTED_EDGE('',*,*,#216544,.F.); #299771=ORIENTED_EDGE('',*,*,#216759,.T.); #299772=ORIENTED_EDGE('',*,*,#216760,.T.); #299773=ORIENTED_EDGE('',*,*,#216761,.F.); #299774=ORIENTED_EDGE('',*,*,#216762,.F.); #299775=ORIENTED_EDGE('',*,*,#216763,.T.); #299776=ORIENTED_EDGE('',*,*,#216762,.T.); #299777=ORIENTED_EDGE('',*,*,#216764,.F.); #299778=ORIENTED_EDGE('',*,*,#216765,.F.); #299779=ORIENTED_EDGE('',*,*,#216766,.T.); #299780=ORIENTED_EDGE('',*,*,#216765,.T.); #299781=ORIENTED_EDGE('',*,*,#216767,.F.); #299782=ORIENTED_EDGE('',*,*,#216768,.F.); #299783=ORIENTED_EDGE('',*,*,#216769,.T.); #299784=ORIENTED_EDGE('',*,*,#216768,.T.); #299785=ORIENTED_EDGE('',*,*,#216770,.F.); #299786=ORIENTED_EDGE('',*,*,#216771,.F.); #299787=ORIENTED_EDGE('',*,*,#216772,.T.); #299788=ORIENTED_EDGE('',*,*,#216771,.T.); #299789=ORIENTED_EDGE('',*,*,#216773,.F.); #299790=ORIENTED_EDGE('',*,*,#216774,.F.); #299791=ORIENTED_EDGE('',*,*,#216775,.T.); #299792=ORIENTED_EDGE('',*,*,#216774,.T.); #299793=ORIENTED_EDGE('',*,*,#216776,.F.); #299794=ORIENTED_EDGE('',*,*,#216777,.F.); #299795=ORIENTED_EDGE('',*,*,#216778,.T.); #299796=ORIENTED_EDGE('',*,*,#216777,.T.); #299797=ORIENTED_EDGE('',*,*,#216779,.F.); #299798=ORIENTED_EDGE('',*,*,#216780,.F.); #299799=ORIENTED_EDGE('',*,*,#216781,.T.); #299800=ORIENTED_EDGE('',*,*,#216780,.T.); #299801=ORIENTED_EDGE('',*,*,#216782,.F.); #299802=ORIENTED_EDGE('',*,*,#216783,.F.); #299803=ORIENTED_EDGE('',*,*,#216784,.T.); #299804=ORIENTED_EDGE('',*,*,#216783,.T.); #299805=ORIENTED_EDGE('',*,*,#216785,.F.); #299806=ORIENTED_EDGE('',*,*,#216786,.F.); #299807=ORIENTED_EDGE('',*,*,#216787,.T.); #299808=ORIENTED_EDGE('',*,*,#216786,.T.); #299809=ORIENTED_EDGE('',*,*,#216788,.F.); #299810=ORIENTED_EDGE('',*,*,#216789,.F.); #299811=ORIENTED_EDGE('',*,*,#216790,.T.); #299812=ORIENTED_EDGE('',*,*,#216789,.T.); #299813=ORIENTED_EDGE('',*,*,#216791,.F.); #299814=ORIENTED_EDGE('',*,*,#216792,.F.); #299815=ORIENTED_EDGE('',*,*,#216793,.T.); #299816=ORIENTED_EDGE('',*,*,#216792,.T.); #299817=ORIENTED_EDGE('',*,*,#216794,.F.); #299818=ORIENTED_EDGE('',*,*,#216795,.F.); #299819=ORIENTED_EDGE('',*,*,#216796,.T.); #299820=ORIENTED_EDGE('',*,*,#216795,.T.); #299821=ORIENTED_EDGE('',*,*,#216797,.F.); #299822=ORIENTED_EDGE('',*,*,#216798,.F.); #299823=ORIENTED_EDGE('',*,*,#216799,.T.); #299824=ORIENTED_EDGE('',*,*,#216798,.T.); #299825=ORIENTED_EDGE('',*,*,#216800,.F.); #299826=ORIENTED_EDGE('',*,*,#216801,.F.); #299827=ORIENTED_EDGE('',*,*,#216802,.T.); #299828=ORIENTED_EDGE('',*,*,#216801,.T.); #299829=ORIENTED_EDGE('',*,*,#216803,.F.); #299830=ORIENTED_EDGE('',*,*,#216804,.F.); #299831=ORIENTED_EDGE('',*,*,#216805,.T.); #299832=ORIENTED_EDGE('',*,*,#216804,.T.); #299833=ORIENTED_EDGE('',*,*,#216806,.F.); #299834=ORIENTED_EDGE('',*,*,#216807,.F.); #299835=ORIENTED_EDGE('',*,*,#216808,.T.); #299836=ORIENTED_EDGE('',*,*,#216807,.T.); #299837=ORIENTED_EDGE('',*,*,#216809,.F.); #299838=ORIENTED_EDGE('',*,*,#216810,.F.); #299839=ORIENTED_EDGE('',*,*,#216811,.T.); #299840=ORIENTED_EDGE('',*,*,#216810,.T.); #299841=ORIENTED_EDGE('',*,*,#216812,.F.); #299842=ORIENTED_EDGE('',*,*,#216813,.F.); #299843=ORIENTED_EDGE('',*,*,#216814,.T.); #299844=ORIENTED_EDGE('',*,*,#216813,.T.); #299845=ORIENTED_EDGE('',*,*,#216815,.F.); #299846=ORIENTED_EDGE('',*,*,#216816,.F.); #299847=ORIENTED_EDGE('',*,*,#216817,.T.); #299848=ORIENTED_EDGE('',*,*,#216816,.T.); #299849=ORIENTED_EDGE('',*,*,#216818,.F.); #299850=ORIENTED_EDGE('',*,*,#216819,.F.); #299851=ORIENTED_EDGE('',*,*,#216820,.T.); #299852=ORIENTED_EDGE('',*,*,#216819,.T.); #299853=ORIENTED_EDGE('',*,*,#216821,.F.); #299854=ORIENTED_EDGE('',*,*,#216822,.F.); #299855=ORIENTED_EDGE('',*,*,#216823,.T.); #299856=ORIENTED_EDGE('',*,*,#216822,.T.); #299857=ORIENTED_EDGE('',*,*,#216824,.F.); #299858=ORIENTED_EDGE('',*,*,#216825,.F.); #299859=ORIENTED_EDGE('',*,*,#216826,.T.); #299860=ORIENTED_EDGE('',*,*,#216825,.T.); #299861=ORIENTED_EDGE('',*,*,#216827,.F.); #299862=ORIENTED_EDGE('',*,*,#216828,.F.); #299863=ORIENTED_EDGE('',*,*,#216829,.T.); #299864=ORIENTED_EDGE('',*,*,#216828,.T.); #299865=ORIENTED_EDGE('',*,*,#216830,.F.); #299866=ORIENTED_EDGE('',*,*,#216831,.F.); #299867=ORIENTED_EDGE('',*,*,#216832,.T.); #299868=ORIENTED_EDGE('',*,*,#216831,.T.); #299869=ORIENTED_EDGE('',*,*,#216833,.F.); #299870=ORIENTED_EDGE('',*,*,#216834,.F.); #299871=ORIENTED_EDGE('',*,*,#216835,.T.); #299872=ORIENTED_EDGE('',*,*,#216834,.T.); #299873=ORIENTED_EDGE('',*,*,#216836,.F.); #299874=ORIENTED_EDGE('',*,*,#216837,.F.); #299875=ORIENTED_EDGE('',*,*,#216838,.T.); #299876=ORIENTED_EDGE('',*,*,#216837,.T.); #299877=ORIENTED_EDGE('',*,*,#216839,.F.); #299878=ORIENTED_EDGE('',*,*,#216840,.F.); #299879=ORIENTED_EDGE('',*,*,#216841,.T.); #299880=ORIENTED_EDGE('',*,*,#216840,.T.); #299881=ORIENTED_EDGE('',*,*,#216842,.F.); #299882=ORIENTED_EDGE('',*,*,#216843,.F.); #299883=ORIENTED_EDGE('',*,*,#216844,.T.); #299884=ORIENTED_EDGE('',*,*,#216843,.T.); #299885=ORIENTED_EDGE('',*,*,#216845,.F.); #299886=ORIENTED_EDGE('',*,*,#216846,.F.); #299887=ORIENTED_EDGE('',*,*,#216847,.T.); #299888=ORIENTED_EDGE('',*,*,#216846,.T.); #299889=ORIENTED_EDGE('',*,*,#216848,.F.); #299890=ORIENTED_EDGE('',*,*,#216849,.F.); #299891=ORIENTED_EDGE('',*,*,#216850,.T.); #299892=ORIENTED_EDGE('',*,*,#216849,.T.); #299893=ORIENTED_EDGE('',*,*,#216851,.F.); #299894=ORIENTED_EDGE('',*,*,#216852,.F.); #299895=ORIENTED_EDGE('',*,*,#216853,.T.); #299896=ORIENTED_EDGE('',*,*,#216852,.T.); #299897=ORIENTED_EDGE('',*,*,#216854,.F.); #299898=ORIENTED_EDGE('',*,*,#216855,.F.); #299899=ORIENTED_EDGE('',*,*,#216856,.T.); #299900=ORIENTED_EDGE('',*,*,#216855,.T.); #299901=ORIENTED_EDGE('',*,*,#216857,.F.); #299902=ORIENTED_EDGE('',*,*,#216858,.F.); #299903=ORIENTED_EDGE('',*,*,#216859,.T.); #299904=ORIENTED_EDGE('',*,*,#216858,.T.); #299905=ORIENTED_EDGE('',*,*,#216860,.F.); #299906=ORIENTED_EDGE('',*,*,#216861,.F.); #299907=ORIENTED_EDGE('',*,*,#216862,.T.); #299908=ORIENTED_EDGE('',*,*,#216861,.T.); #299909=ORIENTED_EDGE('',*,*,#216863,.F.); #299910=ORIENTED_EDGE('',*,*,#216864,.F.); #299911=ORIENTED_EDGE('',*,*,#216865,.T.); #299912=ORIENTED_EDGE('',*,*,#216864,.T.); #299913=ORIENTED_EDGE('',*,*,#216866,.F.); #299914=ORIENTED_EDGE('',*,*,#216867,.F.); #299915=ORIENTED_EDGE('',*,*,#216868,.T.); #299916=ORIENTED_EDGE('',*,*,#216867,.T.); #299917=ORIENTED_EDGE('',*,*,#216869,.F.); #299918=ORIENTED_EDGE('',*,*,#216870,.F.); #299919=ORIENTED_EDGE('',*,*,#216871,.T.); #299920=ORIENTED_EDGE('',*,*,#216870,.T.); #299921=ORIENTED_EDGE('',*,*,#216872,.F.); #299922=ORIENTED_EDGE('',*,*,#216873,.F.); #299923=ORIENTED_EDGE('',*,*,#216874,.T.); #299924=ORIENTED_EDGE('',*,*,#216873,.T.); #299925=ORIENTED_EDGE('',*,*,#216875,.F.); #299926=ORIENTED_EDGE('',*,*,#216876,.F.); #299927=ORIENTED_EDGE('',*,*,#216877,.T.); #299928=ORIENTED_EDGE('',*,*,#216876,.T.); #299929=ORIENTED_EDGE('',*,*,#216878,.F.); #299930=ORIENTED_EDGE('',*,*,#216879,.F.); #299931=ORIENTED_EDGE('',*,*,#216880,.T.); #299932=ORIENTED_EDGE('',*,*,#216879,.T.); #299933=ORIENTED_EDGE('',*,*,#216881,.F.); #299934=ORIENTED_EDGE('',*,*,#216882,.F.); #299935=ORIENTED_EDGE('',*,*,#216883,.T.); #299936=ORIENTED_EDGE('',*,*,#216882,.T.); #299937=ORIENTED_EDGE('',*,*,#216884,.F.); #299938=ORIENTED_EDGE('',*,*,#216885,.F.); #299939=ORIENTED_EDGE('',*,*,#216886,.T.); #299940=ORIENTED_EDGE('',*,*,#216885,.T.); #299941=ORIENTED_EDGE('',*,*,#216887,.F.); #299942=ORIENTED_EDGE('',*,*,#216888,.F.); #299943=ORIENTED_EDGE('',*,*,#216889,.T.); #299944=ORIENTED_EDGE('',*,*,#216888,.T.); #299945=ORIENTED_EDGE('',*,*,#216890,.F.); #299946=ORIENTED_EDGE('',*,*,#216891,.F.); #299947=ORIENTED_EDGE('',*,*,#216892,.T.); #299948=ORIENTED_EDGE('',*,*,#216891,.T.); #299949=ORIENTED_EDGE('',*,*,#216893,.F.); #299950=ORIENTED_EDGE('',*,*,#216894,.F.); #299951=ORIENTED_EDGE('',*,*,#216895,.T.); #299952=ORIENTED_EDGE('',*,*,#216894,.T.); #299953=ORIENTED_EDGE('',*,*,#216896,.F.); #299954=ORIENTED_EDGE('',*,*,#216897,.F.); #299955=ORIENTED_EDGE('',*,*,#216898,.T.); #299956=ORIENTED_EDGE('',*,*,#216897,.T.); #299957=ORIENTED_EDGE('',*,*,#216899,.F.); #299958=ORIENTED_EDGE('',*,*,#216900,.F.); #299959=ORIENTED_EDGE('',*,*,#216901,.T.); #299960=ORIENTED_EDGE('',*,*,#216900,.T.); #299961=ORIENTED_EDGE('',*,*,#216902,.F.); #299962=ORIENTED_EDGE('',*,*,#216903,.F.); #299963=ORIENTED_EDGE('',*,*,#216904,.T.); #299964=ORIENTED_EDGE('',*,*,#216903,.T.); #299965=ORIENTED_EDGE('',*,*,#216905,.F.); #299966=ORIENTED_EDGE('',*,*,#216906,.F.); #299967=ORIENTED_EDGE('',*,*,#216907,.T.); #299968=ORIENTED_EDGE('',*,*,#216906,.T.); #299969=ORIENTED_EDGE('',*,*,#216908,.F.); #299970=ORIENTED_EDGE('',*,*,#216909,.F.); #299971=ORIENTED_EDGE('',*,*,#216910,.T.); #299972=ORIENTED_EDGE('',*,*,#216909,.T.); #299973=ORIENTED_EDGE('',*,*,#216911,.F.); #299974=ORIENTED_EDGE('',*,*,#216912,.F.); #299975=ORIENTED_EDGE('',*,*,#216913,.T.); #299976=ORIENTED_EDGE('',*,*,#216912,.T.); #299977=ORIENTED_EDGE('',*,*,#216914,.F.); #299978=ORIENTED_EDGE('',*,*,#216915,.F.); #299979=ORIENTED_EDGE('',*,*,#216916,.T.); #299980=ORIENTED_EDGE('',*,*,#216915,.T.); #299981=ORIENTED_EDGE('',*,*,#216917,.F.); #299982=ORIENTED_EDGE('',*,*,#216918,.F.); #299983=ORIENTED_EDGE('',*,*,#216919,.T.); #299984=ORIENTED_EDGE('',*,*,#216918,.T.); #299985=ORIENTED_EDGE('',*,*,#216920,.F.); #299986=ORIENTED_EDGE('',*,*,#216921,.F.); #299987=ORIENTED_EDGE('',*,*,#216922,.T.); #299988=ORIENTED_EDGE('',*,*,#216921,.T.); #299989=ORIENTED_EDGE('',*,*,#216923,.F.); #299990=ORIENTED_EDGE('',*,*,#216924,.F.); #299991=ORIENTED_EDGE('',*,*,#216925,.T.); #299992=ORIENTED_EDGE('',*,*,#216924,.T.); #299993=ORIENTED_EDGE('',*,*,#216926,.F.); #299994=ORIENTED_EDGE('',*,*,#216927,.F.); #299995=ORIENTED_EDGE('',*,*,#216928,.T.); #299996=ORIENTED_EDGE('',*,*,#216927,.T.); #299997=ORIENTED_EDGE('',*,*,#216929,.F.); #299998=ORIENTED_EDGE('',*,*,#216930,.F.); #299999=ORIENTED_EDGE('',*,*,#216931,.T.); #300000=ORIENTED_EDGE('',*,*,#216930,.T.); #300001=ORIENTED_EDGE('',*,*,#216932,.F.); #300002=ORIENTED_EDGE('',*,*,#216933,.F.); #300003=ORIENTED_EDGE('',*,*,#216934,.T.); #300004=ORIENTED_EDGE('',*,*,#216933,.T.); #300005=ORIENTED_EDGE('',*,*,#216935,.F.); #300006=ORIENTED_EDGE('',*,*,#216936,.F.); #300007=ORIENTED_EDGE('',*,*,#216937,.T.); #300008=ORIENTED_EDGE('',*,*,#216936,.T.); #300009=ORIENTED_EDGE('',*,*,#216938,.F.); #300010=ORIENTED_EDGE('',*,*,#216939,.F.); #300011=ORIENTED_EDGE('',*,*,#216940,.T.); #300012=ORIENTED_EDGE('',*,*,#216939,.T.); #300013=ORIENTED_EDGE('',*,*,#216941,.F.); #300014=ORIENTED_EDGE('',*,*,#216942,.F.); #300015=ORIENTED_EDGE('',*,*,#216943,.T.); #300016=ORIENTED_EDGE('',*,*,#216942,.T.); #300017=ORIENTED_EDGE('',*,*,#216944,.F.); #300018=ORIENTED_EDGE('',*,*,#216945,.F.); #300019=ORIENTED_EDGE('',*,*,#216946,.T.); #300020=ORIENTED_EDGE('',*,*,#216945,.T.); #300021=ORIENTED_EDGE('',*,*,#216947,.F.); #300022=ORIENTED_EDGE('',*,*,#216948,.F.); #300023=ORIENTED_EDGE('',*,*,#216949,.T.); #300024=ORIENTED_EDGE('',*,*,#216948,.T.); #300025=ORIENTED_EDGE('',*,*,#216950,.F.); #300026=ORIENTED_EDGE('',*,*,#216951,.F.); #300027=ORIENTED_EDGE('',*,*,#216952,.T.); #300028=ORIENTED_EDGE('',*,*,#216951,.T.); #300029=ORIENTED_EDGE('',*,*,#216953,.F.); #300030=ORIENTED_EDGE('',*,*,#216954,.F.); #300031=ORIENTED_EDGE('',*,*,#216955,.T.); #300032=ORIENTED_EDGE('',*,*,#216954,.T.); #300033=ORIENTED_EDGE('',*,*,#216956,.F.); #300034=ORIENTED_EDGE('',*,*,#216957,.F.); #300035=ORIENTED_EDGE('',*,*,#216958,.T.); #300036=ORIENTED_EDGE('',*,*,#216957,.T.); #300037=ORIENTED_EDGE('',*,*,#216959,.F.); #300038=ORIENTED_EDGE('',*,*,#216960,.F.); #300039=ORIENTED_EDGE('',*,*,#216961,.T.); #300040=ORIENTED_EDGE('',*,*,#216960,.T.); #300041=ORIENTED_EDGE('',*,*,#216962,.F.); #300042=ORIENTED_EDGE('',*,*,#216963,.F.); #300043=ORIENTED_EDGE('',*,*,#216964,.T.); #300044=ORIENTED_EDGE('',*,*,#216963,.T.); #300045=ORIENTED_EDGE('',*,*,#216965,.F.); #300046=ORIENTED_EDGE('',*,*,#216966,.F.); #300047=ORIENTED_EDGE('',*,*,#216967,.T.); #300048=ORIENTED_EDGE('',*,*,#216966,.T.); #300049=ORIENTED_EDGE('',*,*,#216968,.F.); #300050=ORIENTED_EDGE('',*,*,#216969,.F.); #300051=ORIENTED_EDGE('',*,*,#216970,.T.); #300052=ORIENTED_EDGE('',*,*,#216969,.T.); #300053=ORIENTED_EDGE('',*,*,#216971,.F.); #300054=ORIENTED_EDGE('',*,*,#216972,.F.); #300055=ORIENTED_EDGE('',*,*,#216973,.T.); #300056=ORIENTED_EDGE('',*,*,#216972,.T.); #300057=ORIENTED_EDGE('',*,*,#216974,.F.); #300058=ORIENTED_EDGE('',*,*,#216975,.F.); #300059=ORIENTED_EDGE('',*,*,#216976,.T.); #300060=ORIENTED_EDGE('',*,*,#216975,.T.); #300061=ORIENTED_EDGE('',*,*,#216977,.F.); #300062=ORIENTED_EDGE('',*,*,#216978,.F.); #300063=ORIENTED_EDGE('',*,*,#216979,.T.); #300064=ORIENTED_EDGE('',*,*,#216978,.T.); #300065=ORIENTED_EDGE('',*,*,#216980,.F.); #300066=ORIENTED_EDGE('',*,*,#216981,.F.); #300067=ORIENTED_EDGE('',*,*,#216982,.T.); #300068=ORIENTED_EDGE('',*,*,#216981,.T.); #300069=ORIENTED_EDGE('',*,*,#216983,.F.); #300070=ORIENTED_EDGE('',*,*,#216984,.F.); #300071=ORIENTED_EDGE('',*,*,#216985,.T.); #300072=ORIENTED_EDGE('',*,*,#216984,.T.); #300073=ORIENTED_EDGE('',*,*,#216986,.F.); #300074=ORIENTED_EDGE('',*,*,#216987,.F.); #300075=ORIENTED_EDGE('',*,*,#216988,.T.); #300076=ORIENTED_EDGE('',*,*,#216987,.T.); #300077=ORIENTED_EDGE('',*,*,#216989,.F.); #300078=ORIENTED_EDGE('',*,*,#216990,.F.); #300079=ORIENTED_EDGE('',*,*,#216991,.T.); #300080=ORIENTED_EDGE('',*,*,#216990,.T.); #300081=ORIENTED_EDGE('',*,*,#216992,.F.); #300082=ORIENTED_EDGE('',*,*,#216993,.F.); #300083=ORIENTED_EDGE('',*,*,#216994,.T.); #300084=ORIENTED_EDGE('',*,*,#216993,.T.); #300085=ORIENTED_EDGE('',*,*,#216995,.F.); #300086=ORIENTED_EDGE('',*,*,#216996,.F.); #300087=ORIENTED_EDGE('',*,*,#216997,.T.); #300088=ORIENTED_EDGE('',*,*,#216996,.T.); #300089=ORIENTED_EDGE('',*,*,#216998,.F.); #300090=ORIENTED_EDGE('',*,*,#216999,.F.); #300091=ORIENTED_EDGE('',*,*,#217000,.T.); #300092=ORIENTED_EDGE('',*,*,#216999,.T.); #300093=ORIENTED_EDGE('',*,*,#217001,.F.); #300094=ORIENTED_EDGE('',*,*,#217002,.F.); #300095=ORIENTED_EDGE('',*,*,#217003,.T.); #300096=ORIENTED_EDGE('',*,*,#217002,.T.); #300097=ORIENTED_EDGE('',*,*,#217004,.F.); #300098=ORIENTED_EDGE('',*,*,#217005,.F.); #300099=ORIENTED_EDGE('',*,*,#217006,.T.); #300100=ORIENTED_EDGE('',*,*,#217005,.T.); #300101=ORIENTED_EDGE('',*,*,#217007,.F.); #300102=ORIENTED_EDGE('',*,*,#217008,.F.); #300103=ORIENTED_EDGE('',*,*,#217009,.T.); #300104=ORIENTED_EDGE('',*,*,#217008,.T.); #300105=ORIENTED_EDGE('',*,*,#217010,.F.); #300106=ORIENTED_EDGE('',*,*,#217011,.F.); #300107=ORIENTED_EDGE('',*,*,#217012,.T.); #300108=ORIENTED_EDGE('',*,*,#217011,.T.); #300109=ORIENTED_EDGE('',*,*,#217013,.F.); #300110=ORIENTED_EDGE('',*,*,#217014,.F.); #300111=ORIENTED_EDGE('',*,*,#217015,.T.); #300112=ORIENTED_EDGE('',*,*,#217014,.T.); #300113=ORIENTED_EDGE('',*,*,#217016,.F.); #300114=ORIENTED_EDGE('',*,*,#217017,.F.); #300115=ORIENTED_EDGE('',*,*,#217018,.T.); #300116=ORIENTED_EDGE('',*,*,#217017,.T.); #300117=ORIENTED_EDGE('',*,*,#217019,.F.); #300118=ORIENTED_EDGE('',*,*,#217020,.F.); #300119=ORIENTED_EDGE('',*,*,#217021,.T.); #300120=ORIENTED_EDGE('',*,*,#217020,.T.); #300121=ORIENTED_EDGE('',*,*,#217022,.F.); #300122=ORIENTED_EDGE('',*,*,#217023,.F.); #300123=ORIENTED_EDGE('',*,*,#217024,.T.); #300124=ORIENTED_EDGE('',*,*,#217023,.T.); #300125=ORIENTED_EDGE('',*,*,#217025,.F.); #300126=ORIENTED_EDGE('',*,*,#217026,.F.); #300127=ORIENTED_EDGE('',*,*,#217027,.T.); #300128=ORIENTED_EDGE('',*,*,#217026,.T.); #300129=ORIENTED_EDGE('',*,*,#217028,.F.); #300130=ORIENTED_EDGE('',*,*,#217029,.F.); #300131=ORIENTED_EDGE('',*,*,#217030,.T.); #300132=ORIENTED_EDGE('',*,*,#217029,.T.); #300133=ORIENTED_EDGE('',*,*,#217031,.F.); #300134=ORIENTED_EDGE('',*,*,#217032,.F.); #300135=ORIENTED_EDGE('',*,*,#217033,.T.); #300136=ORIENTED_EDGE('',*,*,#217032,.T.); #300137=ORIENTED_EDGE('',*,*,#217034,.F.); #300138=ORIENTED_EDGE('',*,*,#217035,.F.); #300139=ORIENTED_EDGE('',*,*,#217036,.T.); #300140=ORIENTED_EDGE('',*,*,#217035,.T.); #300141=ORIENTED_EDGE('',*,*,#217037,.F.); #300142=ORIENTED_EDGE('',*,*,#217038,.F.); #300143=ORIENTED_EDGE('',*,*,#217039,.T.); #300144=ORIENTED_EDGE('',*,*,#217038,.T.); #300145=ORIENTED_EDGE('',*,*,#217040,.F.); #300146=ORIENTED_EDGE('',*,*,#217041,.F.); #300147=ORIENTED_EDGE('',*,*,#217042,.T.); #300148=ORIENTED_EDGE('',*,*,#217041,.T.); #300149=ORIENTED_EDGE('',*,*,#217043,.F.); #300150=ORIENTED_EDGE('',*,*,#216760,.F.); #300151=ORIENTED_EDGE('',*,*,#217043,.T.); #300152=ORIENTED_EDGE('',*,*,#217040,.T.); #300153=ORIENTED_EDGE('',*,*,#217037,.T.); #300154=ORIENTED_EDGE('',*,*,#217034,.T.); #300155=ORIENTED_EDGE('',*,*,#217031,.T.); #300156=ORIENTED_EDGE('',*,*,#217028,.T.); #300157=ORIENTED_EDGE('',*,*,#217025,.T.); #300158=ORIENTED_EDGE('',*,*,#217022,.T.); #300159=ORIENTED_EDGE('',*,*,#217019,.T.); #300160=ORIENTED_EDGE('',*,*,#217016,.T.); #300161=ORIENTED_EDGE('',*,*,#217013,.T.); #300162=ORIENTED_EDGE('',*,*,#217010,.T.); #300163=ORIENTED_EDGE('',*,*,#217007,.T.); #300164=ORIENTED_EDGE('',*,*,#217004,.T.); #300165=ORIENTED_EDGE('',*,*,#217001,.T.); #300166=ORIENTED_EDGE('',*,*,#216998,.T.); #300167=ORIENTED_EDGE('',*,*,#216995,.T.); #300168=ORIENTED_EDGE('',*,*,#216992,.T.); #300169=ORIENTED_EDGE('',*,*,#216989,.T.); #300170=ORIENTED_EDGE('',*,*,#216986,.T.); #300171=ORIENTED_EDGE('',*,*,#216983,.T.); #300172=ORIENTED_EDGE('',*,*,#216980,.T.); #300173=ORIENTED_EDGE('',*,*,#216977,.T.); #300174=ORIENTED_EDGE('',*,*,#216974,.T.); #300175=ORIENTED_EDGE('',*,*,#216971,.T.); #300176=ORIENTED_EDGE('',*,*,#216968,.T.); #300177=ORIENTED_EDGE('',*,*,#216965,.T.); #300178=ORIENTED_EDGE('',*,*,#216962,.T.); #300179=ORIENTED_EDGE('',*,*,#216959,.T.); #300180=ORIENTED_EDGE('',*,*,#216956,.T.); #300181=ORIENTED_EDGE('',*,*,#216953,.T.); #300182=ORIENTED_EDGE('',*,*,#216950,.T.); #300183=ORIENTED_EDGE('',*,*,#216947,.T.); #300184=ORIENTED_EDGE('',*,*,#216944,.T.); #300185=ORIENTED_EDGE('',*,*,#216941,.T.); #300186=ORIENTED_EDGE('',*,*,#216938,.T.); #300187=ORIENTED_EDGE('',*,*,#216935,.T.); #300188=ORIENTED_EDGE('',*,*,#216932,.T.); #300189=ORIENTED_EDGE('',*,*,#216929,.T.); #300190=ORIENTED_EDGE('',*,*,#216926,.T.); #300191=ORIENTED_EDGE('',*,*,#216923,.T.); #300192=ORIENTED_EDGE('',*,*,#216920,.T.); #300193=ORIENTED_EDGE('',*,*,#216917,.T.); #300194=ORIENTED_EDGE('',*,*,#216914,.T.); #300195=ORIENTED_EDGE('',*,*,#216911,.T.); #300196=ORIENTED_EDGE('',*,*,#216908,.T.); #300197=ORIENTED_EDGE('',*,*,#216905,.T.); #300198=ORIENTED_EDGE('',*,*,#216902,.T.); #300199=ORIENTED_EDGE('',*,*,#216899,.T.); #300200=ORIENTED_EDGE('',*,*,#216896,.T.); #300201=ORIENTED_EDGE('',*,*,#216893,.T.); #300202=ORIENTED_EDGE('',*,*,#216890,.T.); #300203=ORIENTED_EDGE('',*,*,#216887,.T.); #300204=ORIENTED_EDGE('',*,*,#216884,.T.); #300205=ORIENTED_EDGE('',*,*,#216881,.T.); #300206=ORIENTED_EDGE('',*,*,#216878,.T.); #300207=ORIENTED_EDGE('',*,*,#216875,.T.); #300208=ORIENTED_EDGE('',*,*,#216872,.T.); #300209=ORIENTED_EDGE('',*,*,#216869,.T.); #300210=ORIENTED_EDGE('',*,*,#216866,.T.); #300211=ORIENTED_EDGE('',*,*,#216863,.T.); #300212=ORIENTED_EDGE('',*,*,#216860,.T.); #300213=ORIENTED_EDGE('',*,*,#216857,.T.); #300214=ORIENTED_EDGE('',*,*,#216854,.T.); #300215=ORIENTED_EDGE('',*,*,#216851,.T.); #300216=ORIENTED_EDGE('',*,*,#216848,.T.); #300217=ORIENTED_EDGE('',*,*,#216845,.T.); #300218=ORIENTED_EDGE('',*,*,#216842,.T.); #300219=ORIENTED_EDGE('',*,*,#216839,.T.); #300220=ORIENTED_EDGE('',*,*,#216836,.T.); #300221=ORIENTED_EDGE('',*,*,#216833,.T.); #300222=ORIENTED_EDGE('',*,*,#216830,.T.); #300223=ORIENTED_EDGE('',*,*,#216827,.T.); #300224=ORIENTED_EDGE('',*,*,#216824,.T.); #300225=ORIENTED_EDGE('',*,*,#216821,.T.); #300226=ORIENTED_EDGE('',*,*,#216818,.T.); #300227=ORIENTED_EDGE('',*,*,#216815,.T.); #300228=ORIENTED_EDGE('',*,*,#216812,.T.); #300229=ORIENTED_EDGE('',*,*,#216809,.T.); #300230=ORIENTED_EDGE('',*,*,#216806,.T.); #300231=ORIENTED_EDGE('',*,*,#216803,.T.); #300232=ORIENTED_EDGE('',*,*,#216800,.T.); #300233=ORIENTED_EDGE('',*,*,#216797,.T.); #300234=ORIENTED_EDGE('',*,*,#216794,.T.); #300235=ORIENTED_EDGE('',*,*,#216791,.T.); #300236=ORIENTED_EDGE('',*,*,#216788,.T.); #300237=ORIENTED_EDGE('',*,*,#216785,.T.); #300238=ORIENTED_EDGE('',*,*,#216782,.T.); #300239=ORIENTED_EDGE('',*,*,#216779,.T.); #300240=ORIENTED_EDGE('',*,*,#216776,.T.); #300241=ORIENTED_EDGE('',*,*,#216773,.T.); #300242=ORIENTED_EDGE('',*,*,#216770,.T.); #300243=ORIENTED_EDGE('',*,*,#216767,.T.); #300244=ORIENTED_EDGE('',*,*,#216764,.T.); #300245=ORIENTED_EDGE('',*,*,#216761,.T.); #300246=ORIENTED_EDGE('',*,*,#216500,.T.); #300247=ORIENTED_EDGE('',*,*,#216503,.T.); #300248=ORIENTED_EDGE('',*,*,#216506,.T.); #300249=ORIENTED_EDGE('',*,*,#216509,.T.); #300250=ORIENTED_EDGE('',*,*,#216512,.T.); #300251=ORIENTED_EDGE('',*,*,#216515,.T.); #300252=ORIENTED_EDGE('',*,*,#216518,.T.); #300253=ORIENTED_EDGE('',*,*,#216521,.T.); #300254=ORIENTED_EDGE('',*,*,#216524,.T.); #300255=ORIENTED_EDGE('',*,*,#216527,.T.); #300256=ORIENTED_EDGE('',*,*,#216530,.T.); #300257=ORIENTED_EDGE('',*,*,#216533,.T.); #300258=ORIENTED_EDGE('',*,*,#216536,.T.); #300259=ORIENTED_EDGE('',*,*,#216539,.T.); #300260=ORIENTED_EDGE('',*,*,#216542,.T.); #300261=ORIENTED_EDGE('',*,*,#216758,.T.); #300262=ORIENTED_EDGE('',*,*,#216755,.T.); #300263=ORIENTED_EDGE('',*,*,#216752,.T.); #300264=ORIENTED_EDGE('',*,*,#216749,.T.); #300265=ORIENTED_EDGE('',*,*,#216746,.T.); #300266=ORIENTED_EDGE('',*,*,#216743,.T.); #300267=ORIENTED_EDGE('',*,*,#216740,.T.); #300268=ORIENTED_EDGE('',*,*,#216737,.T.); #300269=ORIENTED_EDGE('',*,*,#216734,.T.); #300270=ORIENTED_EDGE('',*,*,#216731,.T.); #300271=ORIENTED_EDGE('',*,*,#216728,.T.); #300272=ORIENTED_EDGE('',*,*,#216725,.T.); #300273=ORIENTED_EDGE('',*,*,#216722,.T.); #300274=ORIENTED_EDGE('',*,*,#216719,.T.); #300275=ORIENTED_EDGE('',*,*,#216716,.T.); #300276=ORIENTED_EDGE('',*,*,#216713,.T.); #300277=ORIENTED_EDGE('',*,*,#216710,.T.); #300278=ORIENTED_EDGE('',*,*,#216707,.T.); #300279=ORIENTED_EDGE('',*,*,#216704,.T.); #300280=ORIENTED_EDGE('',*,*,#216701,.T.); #300281=ORIENTED_EDGE('',*,*,#216698,.T.); #300282=ORIENTED_EDGE('',*,*,#216695,.T.); #300283=ORIENTED_EDGE('',*,*,#216692,.T.); #300284=ORIENTED_EDGE('',*,*,#216689,.T.); #300285=ORIENTED_EDGE('',*,*,#216686,.T.); #300286=ORIENTED_EDGE('',*,*,#216683,.T.); #300287=ORIENTED_EDGE('',*,*,#216680,.T.); #300288=ORIENTED_EDGE('',*,*,#216677,.T.); #300289=ORIENTED_EDGE('',*,*,#216674,.T.); #300290=ORIENTED_EDGE('',*,*,#216671,.T.); #300291=ORIENTED_EDGE('',*,*,#216668,.T.); #300292=ORIENTED_EDGE('',*,*,#216665,.T.); #300293=ORIENTED_EDGE('',*,*,#216662,.T.); #300294=ORIENTED_EDGE('',*,*,#216659,.T.); #300295=ORIENTED_EDGE('',*,*,#216656,.T.); #300296=ORIENTED_EDGE('',*,*,#216653,.T.); #300297=ORIENTED_EDGE('',*,*,#216650,.T.); #300298=ORIENTED_EDGE('',*,*,#216647,.T.); #300299=ORIENTED_EDGE('',*,*,#216644,.T.); #300300=ORIENTED_EDGE('',*,*,#216641,.T.); #300301=ORIENTED_EDGE('',*,*,#216638,.T.); #300302=ORIENTED_EDGE('',*,*,#216635,.T.); #300303=ORIENTED_EDGE('',*,*,#216632,.T.); #300304=ORIENTED_EDGE('',*,*,#216629,.T.); #300305=ORIENTED_EDGE('',*,*,#216626,.T.); #300306=ORIENTED_EDGE('',*,*,#216623,.T.); #300307=ORIENTED_EDGE('',*,*,#216620,.T.); #300308=ORIENTED_EDGE('',*,*,#216617,.T.); #300309=ORIENTED_EDGE('',*,*,#216614,.T.); #300310=ORIENTED_EDGE('',*,*,#216611,.T.); #300311=ORIENTED_EDGE('',*,*,#216608,.T.); #300312=ORIENTED_EDGE('',*,*,#216605,.T.); #300313=ORIENTED_EDGE('',*,*,#216602,.T.); #300314=ORIENTED_EDGE('',*,*,#216599,.T.); #300315=ORIENTED_EDGE('',*,*,#216596,.T.); #300316=ORIENTED_EDGE('',*,*,#216593,.T.); #300317=ORIENTED_EDGE('',*,*,#216590,.T.); #300318=ORIENTED_EDGE('',*,*,#216587,.T.); #300319=ORIENTED_EDGE('',*,*,#216584,.T.); #300320=ORIENTED_EDGE('',*,*,#216581,.T.); #300321=ORIENTED_EDGE('',*,*,#216578,.T.); #300322=ORIENTED_EDGE('',*,*,#216575,.T.); #300323=ORIENTED_EDGE('',*,*,#216572,.T.); #300324=ORIENTED_EDGE('',*,*,#216569,.T.); #300325=ORIENTED_EDGE('',*,*,#216566,.T.); #300326=ORIENTED_EDGE('',*,*,#216563,.T.); #300327=ORIENTED_EDGE('',*,*,#216560,.T.); #300328=ORIENTED_EDGE('',*,*,#216557,.T.); #300329=ORIENTED_EDGE('',*,*,#216554,.T.); #300330=ORIENTED_EDGE('',*,*,#216551,.T.); #300331=ORIENTED_EDGE('',*,*,#216548,.T.); #300332=ORIENTED_EDGE('',*,*,#216545,.T.); #300333=ORIENTED_EDGE('',*,*,#217042,.F.); #300334=ORIENTED_EDGE('',*,*,#216759,.F.); #300335=ORIENTED_EDGE('',*,*,#216763,.F.); #300336=ORIENTED_EDGE('',*,*,#216766,.F.); #300337=ORIENTED_EDGE('',*,*,#216769,.F.); #300338=ORIENTED_EDGE('',*,*,#216772,.F.); #300339=ORIENTED_EDGE('',*,*,#216775,.F.); #300340=ORIENTED_EDGE('',*,*,#216778,.F.); #300341=ORIENTED_EDGE('',*,*,#216781,.F.); #300342=ORIENTED_EDGE('',*,*,#216784,.F.); #300343=ORIENTED_EDGE('',*,*,#216787,.F.); #300344=ORIENTED_EDGE('',*,*,#216790,.F.); #300345=ORIENTED_EDGE('',*,*,#216793,.F.); #300346=ORIENTED_EDGE('',*,*,#216796,.F.); #300347=ORIENTED_EDGE('',*,*,#216799,.F.); #300348=ORIENTED_EDGE('',*,*,#216802,.F.); #300349=ORIENTED_EDGE('',*,*,#216805,.F.); #300350=ORIENTED_EDGE('',*,*,#216808,.F.); #300351=ORIENTED_EDGE('',*,*,#216811,.F.); #300352=ORIENTED_EDGE('',*,*,#216814,.F.); #300353=ORIENTED_EDGE('',*,*,#216817,.F.); #300354=ORIENTED_EDGE('',*,*,#216820,.F.); #300355=ORIENTED_EDGE('',*,*,#216823,.F.); #300356=ORIENTED_EDGE('',*,*,#216826,.F.); #300357=ORIENTED_EDGE('',*,*,#216829,.F.); #300358=ORIENTED_EDGE('',*,*,#216832,.F.); #300359=ORIENTED_EDGE('',*,*,#216835,.F.); #300360=ORIENTED_EDGE('',*,*,#216838,.F.); #300361=ORIENTED_EDGE('',*,*,#216841,.F.); #300362=ORIENTED_EDGE('',*,*,#216844,.F.); #300363=ORIENTED_EDGE('',*,*,#216847,.F.); #300364=ORIENTED_EDGE('',*,*,#216850,.F.); #300365=ORIENTED_EDGE('',*,*,#216853,.F.); #300366=ORIENTED_EDGE('',*,*,#216856,.F.); #300367=ORIENTED_EDGE('',*,*,#216859,.F.); #300368=ORIENTED_EDGE('',*,*,#216862,.F.); #300369=ORIENTED_EDGE('',*,*,#216865,.F.); #300370=ORIENTED_EDGE('',*,*,#216868,.F.); #300371=ORIENTED_EDGE('',*,*,#216871,.F.); #300372=ORIENTED_EDGE('',*,*,#216874,.F.); #300373=ORIENTED_EDGE('',*,*,#216877,.F.); #300374=ORIENTED_EDGE('',*,*,#216880,.F.); #300375=ORIENTED_EDGE('',*,*,#216883,.F.); #300376=ORIENTED_EDGE('',*,*,#216886,.F.); #300377=ORIENTED_EDGE('',*,*,#216889,.F.); #300378=ORIENTED_EDGE('',*,*,#216892,.F.); #300379=ORIENTED_EDGE('',*,*,#216895,.F.); #300380=ORIENTED_EDGE('',*,*,#216898,.F.); #300381=ORIENTED_EDGE('',*,*,#216901,.F.); #300382=ORIENTED_EDGE('',*,*,#216904,.F.); #300383=ORIENTED_EDGE('',*,*,#216907,.F.); #300384=ORIENTED_EDGE('',*,*,#216910,.F.); #300385=ORIENTED_EDGE('',*,*,#216913,.F.); #300386=ORIENTED_EDGE('',*,*,#216916,.F.); #300387=ORIENTED_EDGE('',*,*,#216919,.F.); #300388=ORIENTED_EDGE('',*,*,#216922,.F.); #300389=ORIENTED_EDGE('',*,*,#216925,.F.); #300390=ORIENTED_EDGE('',*,*,#216928,.F.); #300391=ORIENTED_EDGE('',*,*,#216931,.F.); #300392=ORIENTED_EDGE('',*,*,#216934,.F.); #300393=ORIENTED_EDGE('',*,*,#216937,.F.); #300394=ORIENTED_EDGE('',*,*,#216940,.F.); #300395=ORIENTED_EDGE('',*,*,#216943,.F.); #300396=ORIENTED_EDGE('',*,*,#216946,.F.); #300397=ORIENTED_EDGE('',*,*,#216949,.F.); #300398=ORIENTED_EDGE('',*,*,#216952,.F.); #300399=ORIENTED_EDGE('',*,*,#216955,.F.); #300400=ORIENTED_EDGE('',*,*,#216958,.F.); #300401=ORIENTED_EDGE('',*,*,#216961,.F.); #300402=ORIENTED_EDGE('',*,*,#216964,.F.); #300403=ORIENTED_EDGE('',*,*,#216967,.F.); #300404=ORIENTED_EDGE('',*,*,#216970,.F.); #300405=ORIENTED_EDGE('',*,*,#216973,.F.); #300406=ORIENTED_EDGE('',*,*,#216976,.F.); #300407=ORIENTED_EDGE('',*,*,#216979,.F.); #300408=ORIENTED_EDGE('',*,*,#216982,.F.); #300409=ORIENTED_EDGE('',*,*,#216985,.F.); #300410=ORIENTED_EDGE('',*,*,#216988,.F.); #300411=ORIENTED_EDGE('',*,*,#216991,.F.); #300412=ORIENTED_EDGE('',*,*,#216994,.F.); #300413=ORIENTED_EDGE('',*,*,#216997,.F.); #300414=ORIENTED_EDGE('',*,*,#217000,.F.); #300415=ORIENTED_EDGE('',*,*,#217003,.F.); #300416=ORIENTED_EDGE('',*,*,#217006,.F.); #300417=ORIENTED_EDGE('',*,*,#217009,.F.); #300418=ORIENTED_EDGE('',*,*,#217012,.F.); #300419=ORIENTED_EDGE('',*,*,#217015,.F.); #300420=ORIENTED_EDGE('',*,*,#217018,.F.); #300421=ORIENTED_EDGE('',*,*,#217021,.F.); #300422=ORIENTED_EDGE('',*,*,#217024,.F.); #300423=ORIENTED_EDGE('',*,*,#217027,.F.); #300424=ORIENTED_EDGE('',*,*,#217030,.F.); #300425=ORIENTED_EDGE('',*,*,#217033,.F.); #300426=ORIENTED_EDGE('',*,*,#217036,.F.); #300427=ORIENTED_EDGE('',*,*,#217039,.F.); #300428=ORIENTED_EDGE('',*,*,#216498,.T.); #300429=ORIENTED_EDGE('',*,*,#216501,.T.); #300430=ORIENTED_EDGE('',*,*,#216504,.T.); #300431=ORIENTED_EDGE('',*,*,#216507,.T.); #300432=ORIENTED_EDGE('',*,*,#216510,.T.); #300433=ORIENTED_EDGE('',*,*,#216513,.T.); #300434=ORIENTED_EDGE('',*,*,#216516,.T.); #300435=ORIENTED_EDGE('',*,*,#216519,.T.); #300436=ORIENTED_EDGE('',*,*,#216522,.T.); #300437=ORIENTED_EDGE('',*,*,#216525,.T.); #300438=ORIENTED_EDGE('',*,*,#216528,.T.); #300439=ORIENTED_EDGE('',*,*,#216531,.T.); #300440=ORIENTED_EDGE('',*,*,#216534,.T.); #300441=ORIENTED_EDGE('',*,*,#216537,.T.); #300442=ORIENTED_EDGE('',*,*,#216540,.T.); #300443=ORIENTED_EDGE('',*,*,#216757,.F.); #300444=ORIENTED_EDGE('',*,*,#216543,.F.); #300445=ORIENTED_EDGE('',*,*,#216547,.F.); #300446=ORIENTED_EDGE('',*,*,#216550,.F.); #300447=ORIENTED_EDGE('',*,*,#216553,.F.); #300448=ORIENTED_EDGE('',*,*,#216556,.F.); #300449=ORIENTED_EDGE('',*,*,#216559,.F.); #300450=ORIENTED_EDGE('',*,*,#216562,.F.); #300451=ORIENTED_EDGE('',*,*,#216565,.F.); #300452=ORIENTED_EDGE('',*,*,#216568,.F.); #300453=ORIENTED_EDGE('',*,*,#216571,.F.); #300454=ORIENTED_EDGE('',*,*,#216574,.F.); #300455=ORIENTED_EDGE('',*,*,#216577,.F.); #300456=ORIENTED_EDGE('',*,*,#216580,.F.); #300457=ORIENTED_EDGE('',*,*,#216583,.F.); #300458=ORIENTED_EDGE('',*,*,#216586,.F.); #300459=ORIENTED_EDGE('',*,*,#216589,.F.); #300460=ORIENTED_EDGE('',*,*,#216592,.F.); #300461=ORIENTED_EDGE('',*,*,#216595,.F.); #300462=ORIENTED_EDGE('',*,*,#216598,.F.); #300463=ORIENTED_EDGE('',*,*,#216601,.F.); #300464=ORIENTED_EDGE('',*,*,#216604,.F.); #300465=ORIENTED_EDGE('',*,*,#216607,.F.); #300466=ORIENTED_EDGE('',*,*,#216610,.F.); #300467=ORIENTED_EDGE('',*,*,#216613,.F.); #300468=ORIENTED_EDGE('',*,*,#216616,.F.); #300469=ORIENTED_EDGE('',*,*,#216619,.F.); #300470=ORIENTED_EDGE('',*,*,#216622,.F.); #300471=ORIENTED_EDGE('',*,*,#216625,.F.); #300472=ORIENTED_EDGE('',*,*,#216628,.F.); #300473=ORIENTED_EDGE('',*,*,#216631,.F.); #300474=ORIENTED_EDGE('',*,*,#216634,.F.); #300475=ORIENTED_EDGE('',*,*,#216637,.F.); #300476=ORIENTED_EDGE('',*,*,#216640,.F.); #300477=ORIENTED_EDGE('',*,*,#216643,.F.); #300478=ORIENTED_EDGE('',*,*,#216646,.F.); #300479=ORIENTED_EDGE('',*,*,#216649,.F.); #300480=ORIENTED_EDGE('',*,*,#216652,.F.); #300481=ORIENTED_EDGE('',*,*,#216655,.F.); #300482=ORIENTED_EDGE('',*,*,#216658,.F.); #300483=ORIENTED_EDGE('',*,*,#216661,.F.); #300484=ORIENTED_EDGE('',*,*,#216664,.F.); #300485=ORIENTED_EDGE('',*,*,#216667,.F.); #300486=ORIENTED_EDGE('',*,*,#216670,.F.); #300487=ORIENTED_EDGE('',*,*,#216673,.F.); #300488=ORIENTED_EDGE('',*,*,#216676,.F.); #300489=ORIENTED_EDGE('',*,*,#216679,.F.); #300490=ORIENTED_EDGE('',*,*,#216682,.F.); #300491=ORIENTED_EDGE('',*,*,#216685,.F.); #300492=ORIENTED_EDGE('',*,*,#216688,.F.); #300493=ORIENTED_EDGE('',*,*,#216691,.F.); #300494=ORIENTED_EDGE('',*,*,#216694,.F.); #300495=ORIENTED_EDGE('',*,*,#216697,.F.); #300496=ORIENTED_EDGE('',*,*,#216700,.F.); #300497=ORIENTED_EDGE('',*,*,#216703,.F.); #300498=ORIENTED_EDGE('',*,*,#216706,.F.); #300499=ORIENTED_EDGE('',*,*,#216709,.F.); #300500=ORIENTED_EDGE('',*,*,#216712,.F.); #300501=ORIENTED_EDGE('',*,*,#216715,.F.); #300502=ORIENTED_EDGE('',*,*,#216718,.F.); #300503=ORIENTED_EDGE('',*,*,#216721,.F.); #300504=ORIENTED_EDGE('',*,*,#216724,.F.); #300505=ORIENTED_EDGE('',*,*,#216727,.F.); #300506=ORIENTED_EDGE('',*,*,#216730,.F.); #300507=ORIENTED_EDGE('',*,*,#216733,.F.); #300508=ORIENTED_EDGE('',*,*,#216736,.F.); #300509=ORIENTED_EDGE('',*,*,#216739,.F.); #300510=ORIENTED_EDGE('',*,*,#216742,.F.); #300511=ORIENTED_EDGE('',*,*,#216745,.F.); #300512=ORIENTED_EDGE('',*,*,#216748,.F.); #300513=ORIENTED_EDGE('',*,*,#216751,.F.); #300514=ORIENTED_EDGE('',*,*,#216754,.F.); #300515=ORIENTED_EDGE('',*,*,#217044,.F.); #300516=ORIENTED_EDGE('',*,*,#217045,.T.); #300517=ORIENTED_EDGE('',*,*,#217046,.F.); #300518=ORIENTED_EDGE('',*,*,#217045,.F.); #300519=ORIENTED_EDGE('',*,*,#217047,.T.); #300520=ORIENTED_EDGE('',*,*,#217048,.T.); #300521=ORIENTED_EDGE('',*,*,#217049,.F.); #300522=ORIENTED_EDGE('',*,*,#217050,.F.); #300523=ORIENTED_EDGE('',*,*,#217051,.T.); #300524=ORIENTED_EDGE('',*,*,#217050,.T.); #300525=ORIENTED_EDGE('',*,*,#217052,.F.); #300526=ORIENTED_EDGE('',*,*,#217053,.F.); #300527=ORIENTED_EDGE('',*,*,#217054,.T.); #300528=ORIENTED_EDGE('',*,*,#217053,.T.); #300529=ORIENTED_EDGE('',*,*,#217055,.F.); #300530=ORIENTED_EDGE('',*,*,#217048,.F.); #300531=ORIENTED_EDGE('',*,*,#217055,.T.); #300532=ORIENTED_EDGE('',*,*,#217052,.T.); #300533=ORIENTED_EDGE('',*,*,#217049,.T.); #300534=ORIENTED_EDGE('',*,*,#217046,.T.); #300535=ORIENTED_EDGE('',*,*,#217054,.F.); #300536=ORIENTED_EDGE('',*,*,#217047,.F.); #300537=ORIENTED_EDGE('',*,*,#217051,.F.); #300538=ORIENTED_EDGE('',*,*,#217044,.T.); #300539=ORIENTED_EDGE('',*,*,#217056,.F.); #300540=ORIENTED_EDGE('',*,*,#217057,.T.); #300541=ORIENTED_EDGE('',*,*,#217058,.F.); #300542=ORIENTED_EDGE('',*,*,#217057,.F.); #300543=ORIENTED_EDGE('',*,*,#217059,.T.); #300544=ORIENTED_EDGE('',*,*,#217060,.T.); #300545=ORIENTED_EDGE('',*,*,#217061,.F.); #300546=ORIENTED_EDGE('',*,*,#217062,.F.); #300547=ORIENTED_EDGE('',*,*,#217063,.T.); #300548=ORIENTED_EDGE('',*,*,#217062,.T.); #300549=ORIENTED_EDGE('',*,*,#217064,.F.); #300550=ORIENTED_EDGE('',*,*,#217065,.F.); #300551=ORIENTED_EDGE('',*,*,#217066,.T.); #300552=ORIENTED_EDGE('',*,*,#217065,.T.); #300553=ORIENTED_EDGE('',*,*,#217067,.F.); #300554=ORIENTED_EDGE('',*,*,#217060,.F.); #300555=ORIENTED_EDGE('',*,*,#217067,.T.); #300556=ORIENTED_EDGE('',*,*,#217064,.T.); #300557=ORIENTED_EDGE('',*,*,#217061,.T.); #300558=ORIENTED_EDGE('',*,*,#217058,.T.); #300559=ORIENTED_EDGE('',*,*,#217066,.F.); #300560=ORIENTED_EDGE('',*,*,#217059,.F.); #300561=ORIENTED_EDGE('',*,*,#217063,.F.); #300562=ORIENTED_EDGE('',*,*,#217056,.T.); #300563=ORIENTED_EDGE('',*,*,#217068,.F.); #300564=ORIENTED_EDGE('',*,*,#217069,.T.); #300565=ORIENTED_EDGE('',*,*,#217070,.F.); #300566=ORIENTED_EDGE('',*,*,#217069,.F.); #300567=ORIENTED_EDGE('',*,*,#217071,.T.); #300568=ORIENTED_EDGE('',*,*,#217072,.T.); #300569=ORIENTED_EDGE('',*,*,#217073,.F.); #300570=ORIENTED_EDGE('',*,*,#217074,.F.); #300571=ORIENTED_EDGE('',*,*,#217075,.T.); #300572=ORIENTED_EDGE('',*,*,#217074,.T.); #300573=ORIENTED_EDGE('',*,*,#217076,.F.); #300574=ORIENTED_EDGE('',*,*,#217077,.F.); #300575=ORIENTED_EDGE('',*,*,#217078,.T.); #300576=ORIENTED_EDGE('',*,*,#217077,.T.); #300577=ORIENTED_EDGE('',*,*,#217079,.F.); #300578=ORIENTED_EDGE('',*,*,#217072,.F.); #300579=ORIENTED_EDGE('',*,*,#217079,.T.); #300580=ORIENTED_EDGE('',*,*,#217076,.T.); #300581=ORIENTED_EDGE('',*,*,#217073,.T.); #300582=ORIENTED_EDGE('',*,*,#217070,.T.); #300583=ORIENTED_EDGE('',*,*,#217078,.F.); #300584=ORIENTED_EDGE('',*,*,#217071,.F.); #300585=ORIENTED_EDGE('',*,*,#217075,.F.); #300586=ORIENTED_EDGE('',*,*,#217068,.T.); #300587=ORIENTED_EDGE('',*,*,#217080,.F.); #300588=ORIENTED_EDGE('',*,*,#217081,.T.); #300589=ORIENTED_EDGE('',*,*,#217082,.F.); #300590=ORIENTED_EDGE('',*,*,#217081,.F.); #300591=ORIENTED_EDGE('',*,*,#217083,.T.); #300592=ORIENTED_EDGE('',*,*,#217084,.T.); #300593=ORIENTED_EDGE('',*,*,#217085,.F.); #300594=ORIENTED_EDGE('',*,*,#217086,.F.); #300595=ORIENTED_EDGE('',*,*,#217087,.T.); #300596=ORIENTED_EDGE('',*,*,#217086,.T.); #300597=ORIENTED_EDGE('',*,*,#217088,.F.); #300598=ORIENTED_EDGE('',*,*,#217089,.F.); #300599=ORIENTED_EDGE('',*,*,#217090,.T.); #300600=ORIENTED_EDGE('',*,*,#217089,.T.); #300601=ORIENTED_EDGE('',*,*,#217091,.F.); #300602=ORIENTED_EDGE('',*,*,#217084,.F.); #300603=ORIENTED_EDGE('',*,*,#217091,.T.); #300604=ORIENTED_EDGE('',*,*,#217088,.T.); #300605=ORIENTED_EDGE('',*,*,#217085,.T.); #300606=ORIENTED_EDGE('',*,*,#217082,.T.); #300607=ORIENTED_EDGE('',*,*,#217090,.F.); #300608=ORIENTED_EDGE('',*,*,#217083,.F.); #300609=ORIENTED_EDGE('',*,*,#217087,.F.); #300610=ORIENTED_EDGE('',*,*,#217080,.T.); #300611=ORIENTED_EDGE('',*,*,#217092,.F.); #300612=ORIENTED_EDGE('',*,*,#217093,.T.); #300613=ORIENTED_EDGE('',*,*,#217094,.F.); #300614=ORIENTED_EDGE('',*,*,#217093,.F.); #300615=ORIENTED_EDGE('',*,*,#217095,.T.); #300616=ORIENTED_EDGE('',*,*,#217096,.T.); #300617=ORIENTED_EDGE('',*,*,#217097,.F.); #300618=ORIENTED_EDGE('',*,*,#217098,.F.); #300619=ORIENTED_EDGE('',*,*,#217099,.T.); #300620=ORIENTED_EDGE('',*,*,#217098,.T.); #300621=ORIENTED_EDGE('',*,*,#217100,.F.); #300622=ORIENTED_EDGE('',*,*,#217101,.F.); #300623=ORIENTED_EDGE('',*,*,#217102,.T.); #300624=ORIENTED_EDGE('',*,*,#217101,.T.); #300625=ORIENTED_EDGE('',*,*,#217103,.F.); #300626=ORIENTED_EDGE('',*,*,#217104,.F.); #300627=ORIENTED_EDGE('',*,*,#217105,.T.); #300628=ORIENTED_EDGE('',*,*,#217104,.T.); #300629=ORIENTED_EDGE('',*,*,#217106,.F.); #300630=ORIENTED_EDGE('',*,*,#217096,.F.); #300631=ORIENTED_EDGE('',*,*,#217106,.T.); #300632=ORIENTED_EDGE('',*,*,#217103,.T.); #300633=ORIENTED_EDGE('',*,*,#217100,.T.); #300634=ORIENTED_EDGE('',*,*,#217097,.T.); #300635=ORIENTED_EDGE('',*,*,#217094,.T.); #300636=ORIENTED_EDGE('',*,*,#217105,.F.); #300637=ORIENTED_EDGE('',*,*,#217095,.F.); #300638=ORIENTED_EDGE('',*,*,#217099,.F.); #300639=ORIENTED_EDGE('',*,*,#217102,.F.); #300640=ORIENTED_EDGE('',*,*,#217092,.T.); #300641=ORIENTED_EDGE('',*,*,#217107,.F.); #300642=ORIENTED_EDGE('',*,*,#217108,.T.); #300643=ORIENTED_EDGE('',*,*,#217109,.F.); #300644=ORIENTED_EDGE('',*,*,#217108,.F.); #300645=ORIENTED_EDGE('',*,*,#217110,.T.); #300646=ORIENTED_EDGE('',*,*,#217111,.T.); #300647=ORIENTED_EDGE('',*,*,#217112,.F.); #300648=ORIENTED_EDGE('',*,*,#217113,.F.); #300649=ORIENTED_EDGE('',*,*,#217114,.T.); #300650=ORIENTED_EDGE('',*,*,#217113,.T.); #300651=ORIENTED_EDGE('',*,*,#217115,.F.); #300652=ORIENTED_EDGE('',*,*,#217116,.F.); #300653=ORIENTED_EDGE('',*,*,#217117,.T.); #300654=ORIENTED_EDGE('',*,*,#217116,.T.); #300655=ORIENTED_EDGE('',*,*,#217118,.F.); #300656=ORIENTED_EDGE('',*,*,#217111,.F.); #300657=ORIENTED_EDGE('',*,*,#217118,.T.); #300658=ORIENTED_EDGE('',*,*,#217115,.T.); #300659=ORIENTED_EDGE('',*,*,#217112,.T.); #300660=ORIENTED_EDGE('',*,*,#217109,.T.); #300661=ORIENTED_EDGE('',*,*,#217117,.F.); #300662=ORIENTED_EDGE('',*,*,#217110,.F.); #300663=ORIENTED_EDGE('',*,*,#217114,.F.); #300664=ORIENTED_EDGE('',*,*,#217107,.T.); #300665=ORIENTED_EDGE('',*,*,#217119,.F.); #300666=ORIENTED_EDGE('',*,*,#217120,.T.); #300667=ORIENTED_EDGE('',*,*,#217121,.F.); #300668=ORIENTED_EDGE('',*,*,#217120,.F.); #300669=ORIENTED_EDGE('',*,*,#217122,.T.); #300670=ORIENTED_EDGE('',*,*,#217123,.T.); #300671=ORIENTED_EDGE('',*,*,#217124,.F.); #300672=ORIENTED_EDGE('',*,*,#217125,.F.); #300673=ORIENTED_EDGE('',*,*,#217126,.T.); #300674=ORIENTED_EDGE('',*,*,#217125,.T.); #300675=ORIENTED_EDGE('',*,*,#217127,.F.); #300676=ORIENTED_EDGE('',*,*,#217128,.F.); #300677=ORIENTED_EDGE('',*,*,#217129,.T.); #300678=ORIENTED_EDGE('',*,*,#217128,.T.); #300679=ORIENTED_EDGE('',*,*,#217130,.F.); #300680=ORIENTED_EDGE('',*,*,#217123,.F.); #300681=ORIENTED_EDGE('',*,*,#217130,.T.); #300682=ORIENTED_EDGE('',*,*,#217127,.T.); #300683=ORIENTED_EDGE('',*,*,#217124,.T.); #300684=ORIENTED_EDGE('',*,*,#217121,.T.); #300685=ORIENTED_EDGE('',*,*,#217129,.F.); #300686=ORIENTED_EDGE('',*,*,#217122,.F.); #300687=ORIENTED_EDGE('',*,*,#217126,.F.); #300688=ORIENTED_EDGE('',*,*,#217119,.T.); #300689=ORIENTED_EDGE('',*,*,#217131,.F.); #300690=ORIENTED_EDGE('',*,*,#217132,.T.); #300691=ORIENTED_EDGE('',*,*,#217133,.F.); #300692=ORIENTED_EDGE('',*,*,#217132,.F.); #300693=ORIENTED_EDGE('',*,*,#217134,.T.); #300694=ORIENTED_EDGE('',*,*,#217135,.T.); #300695=ORIENTED_EDGE('',*,*,#217136,.F.); #300696=ORIENTED_EDGE('',*,*,#217137,.F.); #300697=ORIENTED_EDGE('',*,*,#217138,.T.); #300698=ORIENTED_EDGE('',*,*,#217137,.T.); #300699=ORIENTED_EDGE('',*,*,#217139,.F.); #300700=ORIENTED_EDGE('',*,*,#217140,.F.); #300701=ORIENTED_EDGE('',*,*,#217141,.T.); #300702=ORIENTED_EDGE('',*,*,#217140,.T.); #300703=ORIENTED_EDGE('',*,*,#217142,.F.); #300704=ORIENTED_EDGE('',*,*,#217135,.F.); #300705=ORIENTED_EDGE('',*,*,#217142,.T.); #300706=ORIENTED_EDGE('',*,*,#217139,.T.); #300707=ORIENTED_EDGE('',*,*,#217136,.T.); #300708=ORIENTED_EDGE('',*,*,#217133,.T.); #300709=ORIENTED_EDGE('',*,*,#217141,.F.); #300710=ORIENTED_EDGE('',*,*,#217134,.F.); #300711=ORIENTED_EDGE('',*,*,#217138,.F.); #300712=ORIENTED_EDGE('',*,*,#217131,.T.); #300713=ORIENTED_EDGE('',*,*,#217143,.F.); #300714=ORIENTED_EDGE('',*,*,#217144,.T.); #300715=ORIENTED_EDGE('',*,*,#217145,.F.); #300716=ORIENTED_EDGE('',*,*,#217144,.F.); #300717=ORIENTED_EDGE('',*,*,#217146,.T.); #300718=ORIENTED_EDGE('',*,*,#217147,.T.); #300719=ORIENTED_EDGE('',*,*,#217148,.F.); #300720=ORIENTED_EDGE('',*,*,#217149,.F.); #300721=ORIENTED_EDGE('',*,*,#217150,.T.); #300722=ORIENTED_EDGE('',*,*,#217149,.T.); #300723=ORIENTED_EDGE('',*,*,#217151,.F.); #300724=ORIENTED_EDGE('',*,*,#217152,.F.); #300725=ORIENTED_EDGE('',*,*,#217153,.T.); #300726=ORIENTED_EDGE('',*,*,#217152,.T.); #300727=ORIENTED_EDGE('',*,*,#217154,.F.); #300728=ORIENTED_EDGE('',*,*,#217147,.F.); #300729=ORIENTED_EDGE('',*,*,#217154,.T.); #300730=ORIENTED_EDGE('',*,*,#217151,.T.); #300731=ORIENTED_EDGE('',*,*,#217148,.T.); #300732=ORIENTED_EDGE('',*,*,#217145,.T.); #300733=ORIENTED_EDGE('',*,*,#217153,.F.); #300734=ORIENTED_EDGE('',*,*,#217146,.F.); #300735=ORIENTED_EDGE('',*,*,#217150,.F.); #300736=ORIENTED_EDGE('',*,*,#217143,.T.); #300737=ORIENTED_EDGE('',*,*,#217155,.F.); #300738=ORIENTED_EDGE('',*,*,#217156,.F.); #300739=ORIENTED_EDGE('',*,*,#217157,.F.); #300740=ORIENTED_EDGE('',*,*,#217158,.T.); #300741=ORIENTED_EDGE('',*,*,#217159,.F.); #300742=ORIENTED_EDGE('',*,*,#217158,.F.); #300743=ORIENTED_EDGE('',*,*,#217160,.F.); #300744=ORIENTED_EDGE('',*,*,#217161,.T.); #300745=ORIENTED_EDGE('',*,*,#217162,.F.); #300746=ORIENTED_EDGE('',*,*,#217161,.F.); #300747=ORIENTED_EDGE('',*,*,#217163,.F.); #300748=ORIENTED_EDGE('',*,*,#217164,.T.); #300749=ORIENTED_EDGE('',*,*,#217165,.F.); #300750=ORIENTED_EDGE('',*,*,#217164,.F.); #300751=ORIENTED_EDGE('',*,*,#217166,.F.); #300752=ORIENTED_EDGE('',*,*,#217156,.T.); #300753=ORIENTED_EDGE('',*,*,#217167,.T.); #300754=ORIENTED_EDGE('',*,*,#217168,.T.); #300755=ORIENTED_EDGE('',*,*,#217169,.F.); #300756=ORIENTED_EDGE('',*,*,#217170,.F.); #300757=ORIENTED_EDGE('',*,*,#217171,.T.); #300758=ORIENTED_EDGE('',*,*,#217170,.T.); #300759=ORIENTED_EDGE('',*,*,#217172,.F.); #300760=ORIENTED_EDGE('',*,*,#217173,.F.); #300761=ORIENTED_EDGE('',*,*,#217174,.T.); #300762=ORIENTED_EDGE('',*,*,#217173,.T.); #300763=ORIENTED_EDGE('',*,*,#217175,.F.); #300764=ORIENTED_EDGE('',*,*,#217176,.F.); #300765=ORIENTED_EDGE('',*,*,#217177,.T.); #300766=ORIENTED_EDGE('',*,*,#217176,.T.); #300767=ORIENTED_EDGE('',*,*,#217178,.F.); #300768=ORIENTED_EDGE('',*,*,#217179,.F.); #300769=ORIENTED_EDGE('',*,*,#217180,.T.); #300770=ORIENTED_EDGE('',*,*,#217179,.T.); #300771=ORIENTED_EDGE('',*,*,#217181,.F.); #300772=ORIENTED_EDGE('',*,*,#217182,.F.); #300773=ORIENTED_EDGE('',*,*,#217183,.T.); #300774=ORIENTED_EDGE('',*,*,#217182,.T.); #300775=ORIENTED_EDGE('',*,*,#217184,.F.); #300776=ORIENTED_EDGE('',*,*,#217185,.F.); #300777=ORIENTED_EDGE('',*,*,#217186,.T.); #300778=ORIENTED_EDGE('',*,*,#217185,.T.); #300779=ORIENTED_EDGE('',*,*,#217187,.F.); #300780=ORIENTED_EDGE('',*,*,#217188,.F.); #300781=ORIENTED_EDGE('',*,*,#217189,.T.); #300782=ORIENTED_EDGE('',*,*,#217188,.T.); #300783=ORIENTED_EDGE('',*,*,#217190,.F.); #300784=ORIENTED_EDGE('',*,*,#217191,.F.); #300785=ORIENTED_EDGE('',*,*,#217192,.T.); #300786=ORIENTED_EDGE('',*,*,#217191,.T.); #300787=ORIENTED_EDGE('',*,*,#217193,.F.); #300788=ORIENTED_EDGE('',*,*,#217194,.F.); #300789=ORIENTED_EDGE('',*,*,#217195,.T.); #300790=ORIENTED_EDGE('',*,*,#217194,.T.); #300791=ORIENTED_EDGE('',*,*,#217196,.F.); #300792=ORIENTED_EDGE('',*,*,#217197,.F.); #300793=ORIENTED_EDGE('',*,*,#217198,.T.); #300794=ORIENTED_EDGE('',*,*,#217197,.T.); #300795=ORIENTED_EDGE('',*,*,#217199,.F.); #300796=ORIENTED_EDGE('',*,*,#217200,.F.); #300797=ORIENTED_EDGE('',*,*,#217201,.T.); #300798=ORIENTED_EDGE('',*,*,#217200,.T.); #300799=ORIENTED_EDGE('',*,*,#217202,.F.); #300800=ORIENTED_EDGE('',*,*,#217203,.F.); #300801=ORIENTED_EDGE('',*,*,#217204,.T.); #300802=ORIENTED_EDGE('',*,*,#217203,.T.); #300803=ORIENTED_EDGE('',*,*,#217205,.F.); #300804=ORIENTED_EDGE('',*,*,#217206,.F.); #300805=ORIENTED_EDGE('',*,*,#217207,.T.); #300806=ORIENTED_EDGE('',*,*,#217206,.T.); #300807=ORIENTED_EDGE('',*,*,#217208,.F.); #300808=ORIENTED_EDGE('',*,*,#217209,.F.); #300809=ORIENTED_EDGE('',*,*,#217210,.T.); #300810=ORIENTED_EDGE('',*,*,#217209,.T.); #300811=ORIENTED_EDGE('',*,*,#217211,.F.); #300812=ORIENTED_EDGE('',*,*,#217212,.F.); #300813=ORIENTED_EDGE('',*,*,#217213,.T.); #300814=ORIENTED_EDGE('',*,*,#217212,.T.); #300815=ORIENTED_EDGE('',*,*,#217214,.F.); #300816=ORIENTED_EDGE('',*,*,#217215,.F.); #300817=ORIENTED_EDGE('',*,*,#217216,.T.); #300818=ORIENTED_EDGE('',*,*,#217215,.T.); #300819=ORIENTED_EDGE('',*,*,#217217,.F.); #300820=ORIENTED_EDGE('',*,*,#217218,.F.); #300821=ORIENTED_EDGE('',*,*,#217219,.T.); #300822=ORIENTED_EDGE('',*,*,#217218,.T.); #300823=ORIENTED_EDGE('',*,*,#217220,.F.); #300824=ORIENTED_EDGE('',*,*,#217221,.F.); #300825=ORIENTED_EDGE('',*,*,#217222,.T.); #300826=ORIENTED_EDGE('',*,*,#217221,.T.); #300827=ORIENTED_EDGE('',*,*,#217223,.F.); #300828=ORIENTED_EDGE('',*,*,#217224,.F.); #300829=ORIENTED_EDGE('',*,*,#217225,.T.); #300830=ORIENTED_EDGE('',*,*,#217224,.T.); #300831=ORIENTED_EDGE('',*,*,#217226,.F.); #300832=ORIENTED_EDGE('',*,*,#217227,.F.); #300833=ORIENTED_EDGE('',*,*,#217228,.T.); #300834=ORIENTED_EDGE('',*,*,#217227,.T.); #300835=ORIENTED_EDGE('',*,*,#217229,.F.); #300836=ORIENTED_EDGE('',*,*,#217230,.F.); #300837=ORIENTED_EDGE('',*,*,#217231,.T.); #300838=ORIENTED_EDGE('',*,*,#217230,.T.); #300839=ORIENTED_EDGE('',*,*,#217232,.F.); #300840=ORIENTED_EDGE('',*,*,#217233,.F.); #300841=ORIENTED_EDGE('',*,*,#217234,.T.); #300842=ORIENTED_EDGE('',*,*,#217233,.T.); #300843=ORIENTED_EDGE('',*,*,#217235,.F.); #300844=ORIENTED_EDGE('',*,*,#217236,.F.); #300845=ORIENTED_EDGE('',*,*,#217237,.T.); #300846=ORIENTED_EDGE('',*,*,#217236,.T.); #300847=ORIENTED_EDGE('',*,*,#217238,.F.); #300848=ORIENTED_EDGE('',*,*,#217239,.F.); #300849=ORIENTED_EDGE('',*,*,#217240,.T.); #300850=ORIENTED_EDGE('',*,*,#217239,.T.); #300851=ORIENTED_EDGE('',*,*,#217241,.F.); #300852=ORIENTED_EDGE('',*,*,#217242,.F.); #300853=ORIENTED_EDGE('',*,*,#217243,.T.); #300854=ORIENTED_EDGE('',*,*,#217242,.T.); #300855=ORIENTED_EDGE('',*,*,#217244,.F.); #300856=ORIENTED_EDGE('',*,*,#217245,.F.); #300857=ORIENTED_EDGE('',*,*,#217246,.T.); #300858=ORIENTED_EDGE('',*,*,#217245,.T.); #300859=ORIENTED_EDGE('',*,*,#217247,.F.); #300860=ORIENTED_EDGE('',*,*,#217248,.F.); #300861=ORIENTED_EDGE('',*,*,#217249,.T.); #300862=ORIENTED_EDGE('',*,*,#217248,.T.); #300863=ORIENTED_EDGE('',*,*,#217250,.F.); #300864=ORIENTED_EDGE('',*,*,#217251,.F.); #300865=ORIENTED_EDGE('',*,*,#217252,.T.); #300866=ORIENTED_EDGE('',*,*,#217251,.T.); #300867=ORIENTED_EDGE('',*,*,#217253,.F.); #300868=ORIENTED_EDGE('',*,*,#217254,.F.); #300869=ORIENTED_EDGE('',*,*,#217255,.T.); #300870=ORIENTED_EDGE('',*,*,#217254,.T.); #300871=ORIENTED_EDGE('',*,*,#217256,.F.); #300872=ORIENTED_EDGE('',*,*,#217257,.F.); #300873=ORIENTED_EDGE('',*,*,#217258,.T.); #300874=ORIENTED_EDGE('',*,*,#217257,.T.); #300875=ORIENTED_EDGE('',*,*,#217259,.F.); #300876=ORIENTED_EDGE('',*,*,#217260,.F.); #300877=ORIENTED_EDGE('',*,*,#217261,.T.); #300878=ORIENTED_EDGE('',*,*,#217260,.T.); #300879=ORIENTED_EDGE('',*,*,#217262,.F.); #300880=ORIENTED_EDGE('',*,*,#217263,.F.); #300881=ORIENTED_EDGE('',*,*,#217264,.T.); #300882=ORIENTED_EDGE('',*,*,#217263,.T.); #300883=ORIENTED_EDGE('',*,*,#217265,.F.); #300884=ORIENTED_EDGE('',*,*,#217266,.F.); #300885=ORIENTED_EDGE('',*,*,#217267,.T.); #300886=ORIENTED_EDGE('',*,*,#217266,.T.); #300887=ORIENTED_EDGE('',*,*,#217268,.F.); #300888=ORIENTED_EDGE('',*,*,#217269,.F.); #300889=ORIENTED_EDGE('',*,*,#217270,.T.); #300890=ORIENTED_EDGE('',*,*,#217269,.T.); #300891=ORIENTED_EDGE('',*,*,#217271,.F.); #300892=ORIENTED_EDGE('',*,*,#217272,.F.); #300893=ORIENTED_EDGE('',*,*,#217273,.T.); #300894=ORIENTED_EDGE('',*,*,#217272,.T.); #300895=ORIENTED_EDGE('',*,*,#217274,.F.); #300896=ORIENTED_EDGE('',*,*,#217275,.F.); #300897=ORIENTED_EDGE('',*,*,#217276,.T.); #300898=ORIENTED_EDGE('',*,*,#217275,.T.); #300899=ORIENTED_EDGE('',*,*,#217277,.F.); #300900=ORIENTED_EDGE('',*,*,#217278,.F.); #300901=ORIENTED_EDGE('',*,*,#217279,.T.); #300902=ORIENTED_EDGE('',*,*,#217278,.T.); #300903=ORIENTED_EDGE('',*,*,#217280,.F.); #300904=ORIENTED_EDGE('',*,*,#217281,.F.); #300905=ORIENTED_EDGE('',*,*,#217282,.T.); #300906=ORIENTED_EDGE('',*,*,#217281,.T.); #300907=ORIENTED_EDGE('',*,*,#217283,.F.); #300908=ORIENTED_EDGE('',*,*,#217284,.F.); #300909=ORIENTED_EDGE('',*,*,#217285,.T.); #300910=ORIENTED_EDGE('',*,*,#217284,.T.); #300911=ORIENTED_EDGE('',*,*,#217286,.F.); #300912=ORIENTED_EDGE('',*,*,#217287,.F.); #300913=ORIENTED_EDGE('',*,*,#217288,.T.); #300914=ORIENTED_EDGE('',*,*,#217287,.T.); #300915=ORIENTED_EDGE('',*,*,#217289,.F.); #300916=ORIENTED_EDGE('',*,*,#217290,.F.); #300917=ORIENTED_EDGE('',*,*,#217291,.T.); #300918=ORIENTED_EDGE('',*,*,#217290,.T.); #300919=ORIENTED_EDGE('',*,*,#217292,.F.); #300920=ORIENTED_EDGE('',*,*,#217293,.F.); #300921=ORIENTED_EDGE('',*,*,#217294,.T.); #300922=ORIENTED_EDGE('',*,*,#217293,.T.); #300923=ORIENTED_EDGE('',*,*,#217295,.F.); #300924=ORIENTED_EDGE('',*,*,#217296,.F.); #300925=ORIENTED_EDGE('',*,*,#217297,.T.); #300926=ORIENTED_EDGE('',*,*,#217296,.T.); #300927=ORIENTED_EDGE('',*,*,#217298,.F.); #300928=ORIENTED_EDGE('',*,*,#217299,.F.); #300929=ORIENTED_EDGE('',*,*,#217300,.T.); #300930=ORIENTED_EDGE('',*,*,#217299,.T.); #300931=ORIENTED_EDGE('',*,*,#217301,.F.); #300932=ORIENTED_EDGE('',*,*,#217302,.F.); #300933=ORIENTED_EDGE('',*,*,#217303,.T.); #300934=ORIENTED_EDGE('',*,*,#217302,.T.); #300935=ORIENTED_EDGE('',*,*,#217304,.F.); #300936=ORIENTED_EDGE('',*,*,#217305,.F.); #300937=ORIENTED_EDGE('',*,*,#217306,.T.); #300938=ORIENTED_EDGE('',*,*,#217305,.T.); #300939=ORIENTED_EDGE('',*,*,#217307,.F.); #300940=ORIENTED_EDGE('',*,*,#217308,.F.); #300941=ORIENTED_EDGE('',*,*,#217309,.T.); #300942=ORIENTED_EDGE('',*,*,#217308,.T.); #300943=ORIENTED_EDGE('',*,*,#217310,.F.); #300944=ORIENTED_EDGE('',*,*,#217311,.F.); #300945=ORIENTED_EDGE('',*,*,#217312,.T.); #300946=ORIENTED_EDGE('',*,*,#217311,.T.); #300947=ORIENTED_EDGE('',*,*,#217313,.F.); #300948=ORIENTED_EDGE('',*,*,#217314,.F.); #300949=ORIENTED_EDGE('',*,*,#217315,.T.); #300950=ORIENTED_EDGE('',*,*,#217314,.T.); #300951=ORIENTED_EDGE('',*,*,#217316,.F.); #300952=ORIENTED_EDGE('',*,*,#217317,.F.); #300953=ORIENTED_EDGE('',*,*,#217318,.T.); #300954=ORIENTED_EDGE('',*,*,#217317,.T.); #300955=ORIENTED_EDGE('',*,*,#217319,.F.); #300956=ORIENTED_EDGE('',*,*,#217320,.F.); #300957=ORIENTED_EDGE('',*,*,#217321,.T.); #300958=ORIENTED_EDGE('',*,*,#217320,.T.); #300959=ORIENTED_EDGE('',*,*,#217322,.F.); #300960=ORIENTED_EDGE('',*,*,#217323,.F.); #300961=ORIENTED_EDGE('',*,*,#217324,.T.); #300962=ORIENTED_EDGE('',*,*,#217323,.T.); #300963=ORIENTED_EDGE('',*,*,#217325,.F.); #300964=ORIENTED_EDGE('',*,*,#217326,.F.); #300965=ORIENTED_EDGE('',*,*,#217327,.T.); #300966=ORIENTED_EDGE('',*,*,#217326,.T.); #300967=ORIENTED_EDGE('',*,*,#217328,.F.); #300968=ORIENTED_EDGE('',*,*,#217329,.F.); #300969=ORIENTED_EDGE('',*,*,#217330,.T.); #300970=ORIENTED_EDGE('',*,*,#217329,.T.); #300971=ORIENTED_EDGE('',*,*,#217331,.F.); #300972=ORIENTED_EDGE('',*,*,#217332,.F.); #300973=ORIENTED_EDGE('',*,*,#217333,.T.); #300974=ORIENTED_EDGE('',*,*,#217332,.T.); #300975=ORIENTED_EDGE('',*,*,#217334,.F.); #300976=ORIENTED_EDGE('',*,*,#217168,.F.); #300977=ORIENTED_EDGE('',*,*,#217334,.T.); #300978=ORIENTED_EDGE('',*,*,#217331,.T.); #300979=ORIENTED_EDGE('',*,*,#217328,.T.); #300980=ORIENTED_EDGE('',*,*,#217325,.T.); #300981=ORIENTED_EDGE('',*,*,#217322,.T.); #300982=ORIENTED_EDGE('',*,*,#217319,.T.); #300983=ORIENTED_EDGE('',*,*,#217316,.T.); #300984=ORIENTED_EDGE('',*,*,#217313,.T.); #300985=ORIENTED_EDGE('',*,*,#217310,.T.); #300986=ORIENTED_EDGE('',*,*,#217307,.T.); #300987=ORIENTED_EDGE('',*,*,#217304,.T.); #300988=ORIENTED_EDGE('',*,*,#217301,.T.); #300989=ORIENTED_EDGE('',*,*,#217298,.T.); #300990=ORIENTED_EDGE('',*,*,#217295,.T.); #300991=ORIENTED_EDGE('',*,*,#217292,.T.); #300992=ORIENTED_EDGE('',*,*,#217289,.T.); #300993=ORIENTED_EDGE('',*,*,#217286,.T.); #300994=ORIENTED_EDGE('',*,*,#217283,.T.); #300995=ORIENTED_EDGE('',*,*,#217280,.T.); #300996=ORIENTED_EDGE('',*,*,#217277,.T.); #300997=ORIENTED_EDGE('',*,*,#217274,.T.); #300998=ORIENTED_EDGE('',*,*,#217271,.T.); #300999=ORIENTED_EDGE('',*,*,#217268,.T.); #301000=ORIENTED_EDGE('',*,*,#217265,.T.); #301001=ORIENTED_EDGE('',*,*,#217262,.T.); #301002=ORIENTED_EDGE('',*,*,#217259,.T.); #301003=ORIENTED_EDGE('',*,*,#217256,.T.); #301004=ORIENTED_EDGE('',*,*,#217253,.T.); #301005=ORIENTED_EDGE('',*,*,#217250,.T.); #301006=ORIENTED_EDGE('',*,*,#217247,.T.); #301007=ORIENTED_EDGE('',*,*,#217244,.T.); #301008=ORIENTED_EDGE('',*,*,#217241,.T.); #301009=ORIENTED_EDGE('',*,*,#217238,.T.); #301010=ORIENTED_EDGE('',*,*,#217235,.T.); #301011=ORIENTED_EDGE('',*,*,#217232,.T.); #301012=ORIENTED_EDGE('',*,*,#217229,.T.); #301013=ORIENTED_EDGE('',*,*,#217226,.T.); #301014=ORIENTED_EDGE('',*,*,#217223,.T.); #301015=ORIENTED_EDGE('',*,*,#217220,.T.); #301016=ORIENTED_EDGE('',*,*,#217217,.T.); #301017=ORIENTED_EDGE('',*,*,#217214,.T.); #301018=ORIENTED_EDGE('',*,*,#217211,.T.); #301019=ORIENTED_EDGE('',*,*,#217208,.T.); #301020=ORIENTED_EDGE('',*,*,#217205,.T.); #301021=ORIENTED_EDGE('',*,*,#217202,.T.); #301022=ORIENTED_EDGE('',*,*,#217199,.T.); #301023=ORIENTED_EDGE('',*,*,#217196,.T.); #301024=ORIENTED_EDGE('',*,*,#217193,.T.); #301025=ORIENTED_EDGE('',*,*,#217190,.T.); #301026=ORIENTED_EDGE('',*,*,#217187,.T.); #301027=ORIENTED_EDGE('',*,*,#217184,.T.); #301028=ORIENTED_EDGE('',*,*,#217181,.T.); #301029=ORIENTED_EDGE('',*,*,#217178,.T.); #301030=ORIENTED_EDGE('',*,*,#217175,.T.); #301031=ORIENTED_EDGE('',*,*,#217172,.T.); #301032=ORIENTED_EDGE('',*,*,#217169,.T.); #301033=ORIENTED_EDGE('',*,*,#217162,.T.); #301034=ORIENTED_EDGE('',*,*,#217165,.T.); #301035=ORIENTED_EDGE('',*,*,#217155,.T.); #301036=ORIENTED_EDGE('',*,*,#217159,.T.); #301037=ORIENTED_EDGE('',*,*,#217333,.F.); #301038=ORIENTED_EDGE('',*,*,#217167,.F.); #301039=ORIENTED_EDGE('',*,*,#217171,.F.); #301040=ORIENTED_EDGE('',*,*,#217174,.F.); #301041=ORIENTED_EDGE('',*,*,#217177,.F.); #301042=ORIENTED_EDGE('',*,*,#217180,.F.); #301043=ORIENTED_EDGE('',*,*,#217183,.F.); #301044=ORIENTED_EDGE('',*,*,#217186,.F.); #301045=ORIENTED_EDGE('',*,*,#217189,.F.); #301046=ORIENTED_EDGE('',*,*,#217192,.F.); #301047=ORIENTED_EDGE('',*,*,#217195,.F.); #301048=ORIENTED_EDGE('',*,*,#217198,.F.); #301049=ORIENTED_EDGE('',*,*,#217201,.F.); #301050=ORIENTED_EDGE('',*,*,#217204,.F.); #301051=ORIENTED_EDGE('',*,*,#217207,.F.); #301052=ORIENTED_EDGE('',*,*,#217210,.F.); #301053=ORIENTED_EDGE('',*,*,#217213,.F.); #301054=ORIENTED_EDGE('',*,*,#217216,.F.); #301055=ORIENTED_EDGE('',*,*,#217219,.F.); #301056=ORIENTED_EDGE('',*,*,#217222,.F.); #301057=ORIENTED_EDGE('',*,*,#217225,.F.); #301058=ORIENTED_EDGE('',*,*,#217228,.F.); #301059=ORIENTED_EDGE('',*,*,#217231,.F.); #301060=ORIENTED_EDGE('',*,*,#217234,.F.); #301061=ORIENTED_EDGE('',*,*,#217237,.F.); #301062=ORIENTED_EDGE('',*,*,#217240,.F.); #301063=ORIENTED_EDGE('',*,*,#217243,.F.); #301064=ORIENTED_EDGE('',*,*,#217246,.F.); #301065=ORIENTED_EDGE('',*,*,#217249,.F.); #301066=ORIENTED_EDGE('',*,*,#217252,.F.); #301067=ORIENTED_EDGE('',*,*,#217255,.F.); #301068=ORIENTED_EDGE('',*,*,#217258,.F.); #301069=ORIENTED_EDGE('',*,*,#217261,.F.); #301070=ORIENTED_EDGE('',*,*,#217264,.F.); #301071=ORIENTED_EDGE('',*,*,#217267,.F.); #301072=ORIENTED_EDGE('',*,*,#217270,.F.); #301073=ORIENTED_EDGE('',*,*,#217273,.F.); #301074=ORIENTED_EDGE('',*,*,#217276,.F.); #301075=ORIENTED_EDGE('',*,*,#217279,.F.); #301076=ORIENTED_EDGE('',*,*,#217282,.F.); #301077=ORIENTED_EDGE('',*,*,#217285,.F.); #301078=ORIENTED_EDGE('',*,*,#217288,.F.); #301079=ORIENTED_EDGE('',*,*,#217291,.F.); #301080=ORIENTED_EDGE('',*,*,#217294,.F.); #301081=ORIENTED_EDGE('',*,*,#217297,.F.); #301082=ORIENTED_EDGE('',*,*,#217300,.F.); #301083=ORIENTED_EDGE('',*,*,#217303,.F.); #301084=ORIENTED_EDGE('',*,*,#217306,.F.); #301085=ORIENTED_EDGE('',*,*,#217309,.F.); #301086=ORIENTED_EDGE('',*,*,#217312,.F.); #301087=ORIENTED_EDGE('',*,*,#217315,.F.); #301088=ORIENTED_EDGE('',*,*,#217318,.F.); #301089=ORIENTED_EDGE('',*,*,#217321,.F.); #301090=ORIENTED_EDGE('',*,*,#217324,.F.); #301091=ORIENTED_EDGE('',*,*,#217327,.F.); #301092=ORIENTED_EDGE('',*,*,#217330,.F.); #301093=ORIENTED_EDGE('',*,*,#217163,.T.); #301094=ORIENTED_EDGE('',*,*,#217160,.T.); #301095=ORIENTED_EDGE('',*,*,#217157,.T.); #301096=ORIENTED_EDGE('',*,*,#217166,.T.); #301097=ORIENTED_EDGE('',*,*,#217335,.F.); #301098=ORIENTED_EDGE('',*,*,#217336,.F.); #301099=ORIENTED_EDGE('',*,*,#217337,.F.); #301100=ORIENTED_EDGE('',*,*,#217338,.T.); #301101=ORIENTED_EDGE('',*,*,#217339,.F.); #301102=ORIENTED_EDGE('',*,*,#217338,.F.); #301103=ORIENTED_EDGE('',*,*,#217340,.F.); #301104=ORIENTED_EDGE('',*,*,#217341,.T.); #301105=ORIENTED_EDGE('',*,*,#217342,.F.); #301106=ORIENTED_EDGE('',*,*,#217341,.F.); #301107=ORIENTED_EDGE('',*,*,#217343,.F.); #301108=ORIENTED_EDGE('',*,*,#217344,.T.); #301109=ORIENTED_EDGE('',*,*,#217345,.F.); #301110=ORIENTED_EDGE('',*,*,#217344,.F.); #301111=ORIENTED_EDGE('',*,*,#217346,.F.); #301112=ORIENTED_EDGE('',*,*,#217336,.T.); #301113=ORIENTED_EDGE('',*,*,#217347,.T.); #301114=ORIENTED_EDGE('',*,*,#217348,.T.); #301115=ORIENTED_EDGE('',*,*,#217349,.F.); #301116=ORIENTED_EDGE('',*,*,#217350,.F.); #301117=ORIENTED_EDGE('',*,*,#217351,.T.); #301118=ORIENTED_EDGE('',*,*,#217350,.T.); #301119=ORIENTED_EDGE('',*,*,#217352,.F.); #301120=ORIENTED_EDGE('',*,*,#217353,.F.); #301121=ORIENTED_EDGE('',*,*,#217354,.T.); #301122=ORIENTED_EDGE('',*,*,#217353,.T.); #301123=ORIENTED_EDGE('',*,*,#217355,.F.); #301124=ORIENTED_EDGE('',*,*,#217356,.F.); #301125=ORIENTED_EDGE('',*,*,#217357,.T.); #301126=ORIENTED_EDGE('',*,*,#217356,.T.); #301127=ORIENTED_EDGE('',*,*,#217358,.F.); #301128=ORIENTED_EDGE('',*,*,#217359,.F.); #301129=ORIENTED_EDGE('',*,*,#217360,.T.); #301130=ORIENTED_EDGE('',*,*,#217359,.T.); #301131=ORIENTED_EDGE('',*,*,#217361,.F.); #301132=ORIENTED_EDGE('',*,*,#217362,.F.); #301133=ORIENTED_EDGE('',*,*,#217363,.T.); #301134=ORIENTED_EDGE('',*,*,#217362,.T.); #301135=ORIENTED_EDGE('',*,*,#217364,.F.); #301136=ORIENTED_EDGE('',*,*,#217365,.F.); #301137=ORIENTED_EDGE('',*,*,#217366,.T.); #301138=ORIENTED_EDGE('',*,*,#217365,.T.); #301139=ORIENTED_EDGE('',*,*,#217367,.F.); #301140=ORIENTED_EDGE('',*,*,#217368,.F.); #301141=ORIENTED_EDGE('',*,*,#217369,.T.); #301142=ORIENTED_EDGE('',*,*,#217368,.T.); #301143=ORIENTED_EDGE('',*,*,#217370,.F.); #301144=ORIENTED_EDGE('',*,*,#217371,.F.); #301145=ORIENTED_EDGE('',*,*,#217372,.T.); #301146=ORIENTED_EDGE('',*,*,#217371,.T.); #301147=ORIENTED_EDGE('',*,*,#217373,.F.); #301148=ORIENTED_EDGE('',*,*,#217374,.F.); #301149=ORIENTED_EDGE('',*,*,#217375,.T.); #301150=ORIENTED_EDGE('',*,*,#217374,.T.); #301151=ORIENTED_EDGE('',*,*,#217376,.F.); #301152=ORIENTED_EDGE('',*,*,#217377,.F.); #301153=ORIENTED_EDGE('',*,*,#217378,.T.); #301154=ORIENTED_EDGE('',*,*,#217377,.T.); #301155=ORIENTED_EDGE('',*,*,#217379,.F.); #301156=ORIENTED_EDGE('',*,*,#217380,.F.); #301157=ORIENTED_EDGE('',*,*,#217381,.T.); #301158=ORIENTED_EDGE('',*,*,#217380,.T.); #301159=ORIENTED_EDGE('',*,*,#217382,.F.); #301160=ORIENTED_EDGE('',*,*,#217383,.F.); #301161=ORIENTED_EDGE('',*,*,#217384,.T.); #301162=ORIENTED_EDGE('',*,*,#217383,.T.); #301163=ORIENTED_EDGE('',*,*,#217385,.F.); #301164=ORIENTED_EDGE('',*,*,#217386,.F.); #301165=ORIENTED_EDGE('',*,*,#217387,.T.); #301166=ORIENTED_EDGE('',*,*,#217386,.T.); #301167=ORIENTED_EDGE('',*,*,#217388,.F.); #301168=ORIENTED_EDGE('',*,*,#217389,.F.); #301169=ORIENTED_EDGE('',*,*,#217390,.T.); #301170=ORIENTED_EDGE('',*,*,#217389,.T.); #301171=ORIENTED_EDGE('',*,*,#217391,.F.); #301172=ORIENTED_EDGE('',*,*,#217392,.F.); #301173=ORIENTED_EDGE('',*,*,#217393,.T.); #301174=ORIENTED_EDGE('',*,*,#217392,.T.); #301175=ORIENTED_EDGE('',*,*,#217394,.F.); #301176=ORIENTED_EDGE('',*,*,#217395,.F.); #301177=ORIENTED_EDGE('',*,*,#217396,.T.); #301178=ORIENTED_EDGE('',*,*,#217395,.T.); #301179=ORIENTED_EDGE('',*,*,#217397,.F.); #301180=ORIENTED_EDGE('',*,*,#217398,.F.); #301181=ORIENTED_EDGE('',*,*,#217399,.T.); #301182=ORIENTED_EDGE('',*,*,#217398,.T.); #301183=ORIENTED_EDGE('',*,*,#217400,.F.); #301184=ORIENTED_EDGE('',*,*,#217401,.F.); #301185=ORIENTED_EDGE('',*,*,#217402,.T.); #301186=ORIENTED_EDGE('',*,*,#217401,.T.); #301187=ORIENTED_EDGE('',*,*,#217403,.F.); #301188=ORIENTED_EDGE('',*,*,#217404,.F.); #301189=ORIENTED_EDGE('',*,*,#217405,.T.); #301190=ORIENTED_EDGE('',*,*,#217404,.T.); #301191=ORIENTED_EDGE('',*,*,#217406,.F.); #301192=ORIENTED_EDGE('',*,*,#217407,.F.); #301193=ORIENTED_EDGE('',*,*,#217408,.T.); #301194=ORIENTED_EDGE('',*,*,#217407,.T.); #301195=ORIENTED_EDGE('',*,*,#217409,.F.); #301196=ORIENTED_EDGE('',*,*,#217410,.F.); #301197=ORIENTED_EDGE('',*,*,#217411,.T.); #301198=ORIENTED_EDGE('',*,*,#217410,.T.); #301199=ORIENTED_EDGE('',*,*,#217412,.F.); #301200=ORIENTED_EDGE('',*,*,#217413,.F.); #301201=ORIENTED_EDGE('',*,*,#217414,.T.); #301202=ORIENTED_EDGE('',*,*,#217413,.T.); #301203=ORIENTED_EDGE('',*,*,#217415,.F.); #301204=ORIENTED_EDGE('',*,*,#217416,.F.); #301205=ORIENTED_EDGE('',*,*,#217417,.T.); #301206=ORIENTED_EDGE('',*,*,#217416,.T.); #301207=ORIENTED_EDGE('',*,*,#217418,.F.); #301208=ORIENTED_EDGE('',*,*,#217419,.F.); #301209=ORIENTED_EDGE('',*,*,#217420,.T.); #301210=ORIENTED_EDGE('',*,*,#217419,.T.); #301211=ORIENTED_EDGE('',*,*,#217421,.F.); #301212=ORIENTED_EDGE('',*,*,#217422,.F.); #301213=ORIENTED_EDGE('',*,*,#217423,.T.); #301214=ORIENTED_EDGE('',*,*,#217422,.T.); #301215=ORIENTED_EDGE('',*,*,#217424,.F.); #301216=ORIENTED_EDGE('',*,*,#217425,.F.); #301217=ORIENTED_EDGE('',*,*,#217426,.T.); #301218=ORIENTED_EDGE('',*,*,#217425,.T.); #301219=ORIENTED_EDGE('',*,*,#217427,.F.); #301220=ORIENTED_EDGE('',*,*,#217428,.F.); #301221=ORIENTED_EDGE('',*,*,#217429,.T.); #301222=ORIENTED_EDGE('',*,*,#217428,.T.); #301223=ORIENTED_EDGE('',*,*,#217430,.F.); #301224=ORIENTED_EDGE('',*,*,#217431,.F.); #301225=ORIENTED_EDGE('',*,*,#217432,.T.); #301226=ORIENTED_EDGE('',*,*,#217431,.T.); #301227=ORIENTED_EDGE('',*,*,#217433,.F.); #301228=ORIENTED_EDGE('',*,*,#217434,.F.); #301229=ORIENTED_EDGE('',*,*,#217435,.T.); #301230=ORIENTED_EDGE('',*,*,#217434,.T.); #301231=ORIENTED_EDGE('',*,*,#217436,.F.); #301232=ORIENTED_EDGE('',*,*,#217437,.F.); #301233=ORIENTED_EDGE('',*,*,#217438,.T.); #301234=ORIENTED_EDGE('',*,*,#217437,.T.); #301235=ORIENTED_EDGE('',*,*,#217439,.F.); #301236=ORIENTED_EDGE('',*,*,#217440,.F.); #301237=ORIENTED_EDGE('',*,*,#217441,.T.); #301238=ORIENTED_EDGE('',*,*,#217440,.T.); #301239=ORIENTED_EDGE('',*,*,#217442,.F.); #301240=ORIENTED_EDGE('',*,*,#217443,.F.); #301241=ORIENTED_EDGE('',*,*,#217444,.T.); #301242=ORIENTED_EDGE('',*,*,#217443,.T.); #301243=ORIENTED_EDGE('',*,*,#217445,.F.); #301244=ORIENTED_EDGE('',*,*,#217446,.F.); #301245=ORIENTED_EDGE('',*,*,#217447,.T.); #301246=ORIENTED_EDGE('',*,*,#217446,.T.); #301247=ORIENTED_EDGE('',*,*,#217448,.F.); #301248=ORIENTED_EDGE('',*,*,#217449,.F.); #301249=ORIENTED_EDGE('',*,*,#217450,.T.); #301250=ORIENTED_EDGE('',*,*,#217449,.T.); #301251=ORIENTED_EDGE('',*,*,#217451,.F.); #301252=ORIENTED_EDGE('',*,*,#217452,.F.); #301253=ORIENTED_EDGE('',*,*,#217453,.T.); #301254=ORIENTED_EDGE('',*,*,#217452,.T.); #301255=ORIENTED_EDGE('',*,*,#217454,.F.); #301256=ORIENTED_EDGE('',*,*,#217455,.F.); #301257=ORIENTED_EDGE('',*,*,#217456,.T.); #301258=ORIENTED_EDGE('',*,*,#217455,.T.); #301259=ORIENTED_EDGE('',*,*,#217457,.F.); #301260=ORIENTED_EDGE('',*,*,#217458,.F.); #301261=ORIENTED_EDGE('',*,*,#217459,.T.); #301262=ORIENTED_EDGE('',*,*,#217458,.T.); #301263=ORIENTED_EDGE('',*,*,#217460,.F.); #301264=ORIENTED_EDGE('',*,*,#217461,.F.); #301265=ORIENTED_EDGE('',*,*,#217462,.T.); #301266=ORIENTED_EDGE('',*,*,#217461,.T.); #301267=ORIENTED_EDGE('',*,*,#217463,.F.); #301268=ORIENTED_EDGE('',*,*,#217464,.F.); #301269=ORIENTED_EDGE('',*,*,#217465,.T.); #301270=ORIENTED_EDGE('',*,*,#217464,.T.); #301271=ORIENTED_EDGE('',*,*,#217466,.F.); #301272=ORIENTED_EDGE('',*,*,#217467,.F.); #301273=ORIENTED_EDGE('',*,*,#217468,.T.); #301274=ORIENTED_EDGE('',*,*,#217467,.T.); #301275=ORIENTED_EDGE('',*,*,#217469,.F.); #301276=ORIENTED_EDGE('',*,*,#217470,.F.); #301277=ORIENTED_EDGE('',*,*,#217471,.T.); #301278=ORIENTED_EDGE('',*,*,#217470,.T.); #301279=ORIENTED_EDGE('',*,*,#217472,.F.); #301280=ORIENTED_EDGE('',*,*,#217473,.F.); #301281=ORIENTED_EDGE('',*,*,#217474,.T.); #301282=ORIENTED_EDGE('',*,*,#217473,.T.); #301283=ORIENTED_EDGE('',*,*,#217475,.F.); #301284=ORIENTED_EDGE('',*,*,#217476,.F.); #301285=ORIENTED_EDGE('',*,*,#217477,.T.); #301286=ORIENTED_EDGE('',*,*,#217476,.T.); #301287=ORIENTED_EDGE('',*,*,#217478,.F.); #301288=ORIENTED_EDGE('',*,*,#217479,.F.); #301289=ORIENTED_EDGE('',*,*,#217480,.T.); #301290=ORIENTED_EDGE('',*,*,#217479,.T.); #301291=ORIENTED_EDGE('',*,*,#217481,.F.); #301292=ORIENTED_EDGE('',*,*,#217482,.F.); #301293=ORIENTED_EDGE('',*,*,#217483,.T.); #301294=ORIENTED_EDGE('',*,*,#217482,.T.); #301295=ORIENTED_EDGE('',*,*,#217484,.F.); #301296=ORIENTED_EDGE('',*,*,#217485,.F.); #301297=ORIENTED_EDGE('',*,*,#217486,.T.); #301298=ORIENTED_EDGE('',*,*,#217485,.T.); #301299=ORIENTED_EDGE('',*,*,#217487,.F.); #301300=ORIENTED_EDGE('',*,*,#217488,.F.); #301301=ORIENTED_EDGE('',*,*,#217489,.T.); #301302=ORIENTED_EDGE('',*,*,#217488,.T.); #301303=ORIENTED_EDGE('',*,*,#217490,.F.); #301304=ORIENTED_EDGE('',*,*,#217491,.F.); #301305=ORIENTED_EDGE('',*,*,#217492,.T.); #301306=ORIENTED_EDGE('',*,*,#217491,.T.); #301307=ORIENTED_EDGE('',*,*,#217493,.F.); #301308=ORIENTED_EDGE('',*,*,#217494,.F.); #301309=ORIENTED_EDGE('',*,*,#217495,.T.); #301310=ORIENTED_EDGE('',*,*,#217494,.T.); #301311=ORIENTED_EDGE('',*,*,#217496,.F.); #301312=ORIENTED_EDGE('',*,*,#217497,.F.); #301313=ORIENTED_EDGE('',*,*,#217498,.T.); #301314=ORIENTED_EDGE('',*,*,#217497,.T.); #301315=ORIENTED_EDGE('',*,*,#217499,.F.); #301316=ORIENTED_EDGE('',*,*,#217500,.F.); #301317=ORIENTED_EDGE('',*,*,#217501,.T.); #301318=ORIENTED_EDGE('',*,*,#217500,.T.); #301319=ORIENTED_EDGE('',*,*,#217502,.F.); #301320=ORIENTED_EDGE('',*,*,#217503,.F.); #301321=ORIENTED_EDGE('',*,*,#217504,.T.); #301322=ORIENTED_EDGE('',*,*,#217503,.T.); #301323=ORIENTED_EDGE('',*,*,#217505,.F.); #301324=ORIENTED_EDGE('',*,*,#217506,.F.); #301325=ORIENTED_EDGE('',*,*,#217507,.T.); #301326=ORIENTED_EDGE('',*,*,#217506,.T.); #301327=ORIENTED_EDGE('',*,*,#217508,.F.); #301328=ORIENTED_EDGE('',*,*,#217509,.F.); #301329=ORIENTED_EDGE('',*,*,#217510,.T.); #301330=ORIENTED_EDGE('',*,*,#217509,.T.); #301331=ORIENTED_EDGE('',*,*,#217511,.F.); #301332=ORIENTED_EDGE('',*,*,#217512,.F.); #301333=ORIENTED_EDGE('',*,*,#217513,.T.); #301334=ORIENTED_EDGE('',*,*,#217512,.T.); #301335=ORIENTED_EDGE('',*,*,#217514,.F.); #301336=ORIENTED_EDGE('',*,*,#217515,.F.); #301337=ORIENTED_EDGE('',*,*,#217516,.T.); #301338=ORIENTED_EDGE('',*,*,#217515,.T.); #301339=ORIENTED_EDGE('',*,*,#217517,.F.); #301340=ORIENTED_EDGE('',*,*,#217518,.F.); #301341=ORIENTED_EDGE('',*,*,#217519,.T.); #301342=ORIENTED_EDGE('',*,*,#217518,.T.); #301343=ORIENTED_EDGE('',*,*,#217520,.F.); #301344=ORIENTED_EDGE('',*,*,#217521,.F.); #301345=ORIENTED_EDGE('',*,*,#217522,.T.); #301346=ORIENTED_EDGE('',*,*,#217521,.T.); #301347=ORIENTED_EDGE('',*,*,#217523,.F.); #301348=ORIENTED_EDGE('',*,*,#217524,.F.); #301349=ORIENTED_EDGE('',*,*,#217525,.T.); #301350=ORIENTED_EDGE('',*,*,#217524,.T.); #301351=ORIENTED_EDGE('',*,*,#217526,.F.); #301352=ORIENTED_EDGE('',*,*,#217527,.F.); #301353=ORIENTED_EDGE('',*,*,#217528,.T.); #301354=ORIENTED_EDGE('',*,*,#217527,.T.); #301355=ORIENTED_EDGE('',*,*,#217529,.F.); #301356=ORIENTED_EDGE('',*,*,#217530,.F.); #301357=ORIENTED_EDGE('',*,*,#217531,.T.); #301358=ORIENTED_EDGE('',*,*,#217530,.T.); #301359=ORIENTED_EDGE('',*,*,#217532,.F.); #301360=ORIENTED_EDGE('',*,*,#217533,.F.); #301361=ORIENTED_EDGE('',*,*,#217534,.T.); #301362=ORIENTED_EDGE('',*,*,#217533,.T.); #301363=ORIENTED_EDGE('',*,*,#217535,.F.); #301364=ORIENTED_EDGE('',*,*,#217536,.F.); #301365=ORIENTED_EDGE('',*,*,#217537,.T.); #301366=ORIENTED_EDGE('',*,*,#217536,.T.); #301367=ORIENTED_EDGE('',*,*,#217538,.F.); #301368=ORIENTED_EDGE('',*,*,#217539,.F.); #301369=ORIENTED_EDGE('',*,*,#217540,.T.); #301370=ORIENTED_EDGE('',*,*,#217539,.T.); #301371=ORIENTED_EDGE('',*,*,#217541,.F.); #301372=ORIENTED_EDGE('',*,*,#217542,.F.); #301373=ORIENTED_EDGE('',*,*,#217543,.T.); #301374=ORIENTED_EDGE('',*,*,#217542,.T.); #301375=ORIENTED_EDGE('',*,*,#217544,.F.); #301376=ORIENTED_EDGE('',*,*,#217545,.F.); #301377=ORIENTED_EDGE('',*,*,#217546,.T.); #301378=ORIENTED_EDGE('',*,*,#217545,.T.); #301379=ORIENTED_EDGE('',*,*,#217547,.F.); #301380=ORIENTED_EDGE('',*,*,#217548,.F.); #301381=ORIENTED_EDGE('',*,*,#217549,.T.); #301382=ORIENTED_EDGE('',*,*,#217548,.T.); #301383=ORIENTED_EDGE('',*,*,#217550,.F.); #301384=ORIENTED_EDGE('',*,*,#217551,.F.); #301385=ORIENTED_EDGE('',*,*,#217552,.T.); #301386=ORIENTED_EDGE('',*,*,#217551,.T.); #301387=ORIENTED_EDGE('',*,*,#217553,.F.); #301388=ORIENTED_EDGE('',*,*,#217554,.F.); #301389=ORIENTED_EDGE('',*,*,#217555,.T.); #301390=ORIENTED_EDGE('',*,*,#217554,.T.); #301391=ORIENTED_EDGE('',*,*,#217556,.F.); #301392=ORIENTED_EDGE('',*,*,#217557,.F.); #301393=ORIENTED_EDGE('',*,*,#217558,.T.); #301394=ORIENTED_EDGE('',*,*,#217557,.T.); #301395=ORIENTED_EDGE('',*,*,#217559,.F.); #301396=ORIENTED_EDGE('',*,*,#217560,.F.); #301397=ORIENTED_EDGE('',*,*,#217561,.T.); #301398=ORIENTED_EDGE('',*,*,#217560,.T.); #301399=ORIENTED_EDGE('',*,*,#217562,.F.); #301400=ORIENTED_EDGE('',*,*,#217348,.F.); #301401=ORIENTED_EDGE('',*,*,#217562,.T.); #301402=ORIENTED_EDGE('',*,*,#217559,.T.); #301403=ORIENTED_EDGE('',*,*,#217556,.T.); #301404=ORIENTED_EDGE('',*,*,#217553,.T.); #301405=ORIENTED_EDGE('',*,*,#217550,.T.); #301406=ORIENTED_EDGE('',*,*,#217547,.T.); #301407=ORIENTED_EDGE('',*,*,#217544,.T.); #301408=ORIENTED_EDGE('',*,*,#217541,.T.); #301409=ORIENTED_EDGE('',*,*,#217538,.T.); #301410=ORIENTED_EDGE('',*,*,#217535,.T.); #301411=ORIENTED_EDGE('',*,*,#217532,.T.); #301412=ORIENTED_EDGE('',*,*,#217529,.T.); #301413=ORIENTED_EDGE('',*,*,#217526,.T.); #301414=ORIENTED_EDGE('',*,*,#217523,.T.); #301415=ORIENTED_EDGE('',*,*,#217520,.T.); #301416=ORIENTED_EDGE('',*,*,#217517,.T.); #301417=ORIENTED_EDGE('',*,*,#217514,.T.); #301418=ORIENTED_EDGE('',*,*,#217511,.T.); #301419=ORIENTED_EDGE('',*,*,#217508,.T.); #301420=ORIENTED_EDGE('',*,*,#217505,.T.); #301421=ORIENTED_EDGE('',*,*,#217502,.T.); #301422=ORIENTED_EDGE('',*,*,#217499,.T.); #301423=ORIENTED_EDGE('',*,*,#217496,.T.); #301424=ORIENTED_EDGE('',*,*,#217493,.T.); #301425=ORIENTED_EDGE('',*,*,#217490,.T.); #301426=ORIENTED_EDGE('',*,*,#217487,.T.); #301427=ORIENTED_EDGE('',*,*,#217484,.T.); #301428=ORIENTED_EDGE('',*,*,#217481,.T.); #301429=ORIENTED_EDGE('',*,*,#217478,.T.); #301430=ORIENTED_EDGE('',*,*,#217475,.T.); #301431=ORIENTED_EDGE('',*,*,#217472,.T.); #301432=ORIENTED_EDGE('',*,*,#217469,.T.); #301433=ORIENTED_EDGE('',*,*,#217466,.T.); #301434=ORIENTED_EDGE('',*,*,#217463,.T.); #301435=ORIENTED_EDGE('',*,*,#217460,.T.); #301436=ORIENTED_EDGE('',*,*,#217457,.T.); #301437=ORIENTED_EDGE('',*,*,#217454,.T.); #301438=ORIENTED_EDGE('',*,*,#217451,.T.); #301439=ORIENTED_EDGE('',*,*,#217448,.T.); #301440=ORIENTED_EDGE('',*,*,#217445,.T.); #301441=ORIENTED_EDGE('',*,*,#217442,.T.); #301442=ORIENTED_EDGE('',*,*,#217439,.T.); #301443=ORIENTED_EDGE('',*,*,#217436,.T.); #301444=ORIENTED_EDGE('',*,*,#217433,.T.); #301445=ORIENTED_EDGE('',*,*,#217430,.T.); #301446=ORIENTED_EDGE('',*,*,#217427,.T.); #301447=ORIENTED_EDGE('',*,*,#217424,.T.); #301448=ORIENTED_EDGE('',*,*,#217421,.T.); #301449=ORIENTED_EDGE('',*,*,#217418,.T.); #301450=ORIENTED_EDGE('',*,*,#217415,.T.); #301451=ORIENTED_EDGE('',*,*,#217412,.T.); #301452=ORIENTED_EDGE('',*,*,#217409,.T.); #301453=ORIENTED_EDGE('',*,*,#217406,.T.); #301454=ORIENTED_EDGE('',*,*,#217403,.T.); #301455=ORIENTED_EDGE('',*,*,#217400,.T.); #301456=ORIENTED_EDGE('',*,*,#217397,.T.); #301457=ORIENTED_EDGE('',*,*,#217394,.T.); #301458=ORIENTED_EDGE('',*,*,#217391,.T.); #301459=ORIENTED_EDGE('',*,*,#217388,.T.); #301460=ORIENTED_EDGE('',*,*,#217385,.T.); #301461=ORIENTED_EDGE('',*,*,#217382,.T.); #301462=ORIENTED_EDGE('',*,*,#217379,.T.); #301463=ORIENTED_EDGE('',*,*,#217376,.T.); #301464=ORIENTED_EDGE('',*,*,#217373,.T.); #301465=ORIENTED_EDGE('',*,*,#217370,.T.); #301466=ORIENTED_EDGE('',*,*,#217367,.T.); #301467=ORIENTED_EDGE('',*,*,#217364,.T.); #301468=ORIENTED_EDGE('',*,*,#217361,.T.); #301469=ORIENTED_EDGE('',*,*,#217358,.T.); #301470=ORIENTED_EDGE('',*,*,#217355,.T.); #301471=ORIENTED_EDGE('',*,*,#217352,.T.); #301472=ORIENTED_EDGE('',*,*,#217349,.T.); #301473=ORIENTED_EDGE('',*,*,#217342,.T.); #301474=ORIENTED_EDGE('',*,*,#217345,.T.); #301475=ORIENTED_EDGE('',*,*,#217335,.T.); #301476=ORIENTED_EDGE('',*,*,#217339,.T.); #301477=ORIENTED_EDGE('',*,*,#217561,.F.); #301478=ORIENTED_EDGE('',*,*,#217347,.F.); #301479=ORIENTED_EDGE('',*,*,#217351,.F.); #301480=ORIENTED_EDGE('',*,*,#217354,.F.); #301481=ORIENTED_EDGE('',*,*,#217357,.F.); #301482=ORIENTED_EDGE('',*,*,#217360,.F.); #301483=ORIENTED_EDGE('',*,*,#217363,.F.); #301484=ORIENTED_EDGE('',*,*,#217366,.F.); #301485=ORIENTED_EDGE('',*,*,#217369,.F.); #301486=ORIENTED_EDGE('',*,*,#217372,.F.); #301487=ORIENTED_EDGE('',*,*,#217375,.F.); #301488=ORIENTED_EDGE('',*,*,#217378,.F.); #301489=ORIENTED_EDGE('',*,*,#217381,.F.); #301490=ORIENTED_EDGE('',*,*,#217384,.F.); #301491=ORIENTED_EDGE('',*,*,#217387,.F.); #301492=ORIENTED_EDGE('',*,*,#217390,.F.); #301493=ORIENTED_EDGE('',*,*,#217393,.F.); #301494=ORIENTED_EDGE('',*,*,#217396,.F.); #301495=ORIENTED_EDGE('',*,*,#217399,.F.); #301496=ORIENTED_EDGE('',*,*,#217402,.F.); #301497=ORIENTED_EDGE('',*,*,#217405,.F.); #301498=ORIENTED_EDGE('',*,*,#217408,.F.); #301499=ORIENTED_EDGE('',*,*,#217411,.F.); #301500=ORIENTED_EDGE('',*,*,#217414,.F.); #301501=ORIENTED_EDGE('',*,*,#217417,.F.); #301502=ORIENTED_EDGE('',*,*,#217420,.F.); #301503=ORIENTED_EDGE('',*,*,#217423,.F.); #301504=ORIENTED_EDGE('',*,*,#217426,.F.); #301505=ORIENTED_EDGE('',*,*,#217429,.F.); #301506=ORIENTED_EDGE('',*,*,#217432,.F.); #301507=ORIENTED_EDGE('',*,*,#217435,.F.); #301508=ORIENTED_EDGE('',*,*,#217438,.F.); #301509=ORIENTED_EDGE('',*,*,#217441,.F.); #301510=ORIENTED_EDGE('',*,*,#217444,.F.); #301511=ORIENTED_EDGE('',*,*,#217447,.F.); #301512=ORIENTED_EDGE('',*,*,#217450,.F.); #301513=ORIENTED_EDGE('',*,*,#217453,.F.); #301514=ORIENTED_EDGE('',*,*,#217456,.F.); #301515=ORIENTED_EDGE('',*,*,#217459,.F.); #301516=ORIENTED_EDGE('',*,*,#217462,.F.); #301517=ORIENTED_EDGE('',*,*,#217465,.F.); #301518=ORIENTED_EDGE('',*,*,#217468,.F.); #301519=ORIENTED_EDGE('',*,*,#217471,.F.); #301520=ORIENTED_EDGE('',*,*,#217474,.F.); #301521=ORIENTED_EDGE('',*,*,#217477,.F.); #301522=ORIENTED_EDGE('',*,*,#217480,.F.); #301523=ORIENTED_EDGE('',*,*,#217483,.F.); #301524=ORIENTED_EDGE('',*,*,#217486,.F.); #301525=ORIENTED_EDGE('',*,*,#217489,.F.); #301526=ORIENTED_EDGE('',*,*,#217492,.F.); #301527=ORIENTED_EDGE('',*,*,#217495,.F.); #301528=ORIENTED_EDGE('',*,*,#217498,.F.); #301529=ORIENTED_EDGE('',*,*,#217501,.F.); #301530=ORIENTED_EDGE('',*,*,#217504,.F.); #301531=ORIENTED_EDGE('',*,*,#217507,.F.); #301532=ORIENTED_EDGE('',*,*,#217510,.F.); #301533=ORIENTED_EDGE('',*,*,#217513,.F.); #301534=ORIENTED_EDGE('',*,*,#217516,.F.); #301535=ORIENTED_EDGE('',*,*,#217519,.F.); #301536=ORIENTED_EDGE('',*,*,#217522,.F.); #301537=ORIENTED_EDGE('',*,*,#217525,.F.); #301538=ORIENTED_EDGE('',*,*,#217528,.F.); #301539=ORIENTED_EDGE('',*,*,#217531,.F.); #301540=ORIENTED_EDGE('',*,*,#217534,.F.); #301541=ORIENTED_EDGE('',*,*,#217537,.F.); #301542=ORIENTED_EDGE('',*,*,#217540,.F.); #301543=ORIENTED_EDGE('',*,*,#217543,.F.); #301544=ORIENTED_EDGE('',*,*,#217546,.F.); #301545=ORIENTED_EDGE('',*,*,#217549,.F.); #301546=ORIENTED_EDGE('',*,*,#217552,.F.); #301547=ORIENTED_EDGE('',*,*,#217555,.F.); #301548=ORIENTED_EDGE('',*,*,#217558,.F.); #301549=ORIENTED_EDGE('',*,*,#217343,.T.); #301550=ORIENTED_EDGE('',*,*,#217340,.T.); #301551=ORIENTED_EDGE('',*,*,#217337,.T.); #301552=ORIENTED_EDGE('',*,*,#217346,.T.); #301553=ORIENTED_EDGE('',*,*,#217563,.F.); #301554=ORIENTED_EDGE('',*,*,#217564,.F.); #301555=ORIENTED_EDGE('',*,*,#217565,.F.); #301556=ORIENTED_EDGE('',*,*,#217566,.T.); #301557=ORIENTED_EDGE('',*,*,#217567,.F.); #301558=ORIENTED_EDGE('',*,*,#217566,.F.); #301559=ORIENTED_EDGE('',*,*,#217568,.F.); #301560=ORIENTED_EDGE('',*,*,#217569,.T.); #301561=ORIENTED_EDGE('',*,*,#217570,.F.); #301562=ORIENTED_EDGE('',*,*,#217569,.F.); #301563=ORIENTED_EDGE('',*,*,#217571,.F.); #301564=ORIENTED_EDGE('',*,*,#217572,.T.); #301565=ORIENTED_EDGE('',*,*,#217573,.F.); #301566=ORIENTED_EDGE('',*,*,#217572,.F.); #301567=ORIENTED_EDGE('',*,*,#217574,.F.); #301568=ORIENTED_EDGE('',*,*,#217564,.T.); #301569=ORIENTED_EDGE('',*,*,#217575,.T.); #301570=ORIENTED_EDGE('',*,*,#217576,.T.); #301571=ORIENTED_EDGE('',*,*,#217577,.F.); #301572=ORIENTED_EDGE('',*,*,#217578,.F.); #301573=ORIENTED_EDGE('',*,*,#217579,.T.); #301574=ORIENTED_EDGE('',*,*,#217578,.T.); #301575=ORIENTED_EDGE('',*,*,#217580,.F.); #301576=ORIENTED_EDGE('',*,*,#217581,.F.); #301577=ORIENTED_EDGE('',*,*,#217582,.T.); #301578=ORIENTED_EDGE('',*,*,#217581,.T.); #301579=ORIENTED_EDGE('',*,*,#217583,.F.); #301580=ORIENTED_EDGE('',*,*,#217584,.F.); #301581=ORIENTED_EDGE('',*,*,#217585,.T.); #301582=ORIENTED_EDGE('',*,*,#217584,.T.); #301583=ORIENTED_EDGE('',*,*,#217586,.F.); #301584=ORIENTED_EDGE('',*,*,#217587,.F.); #301585=ORIENTED_EDGE('',*,*,#217588,.T.); #301586=ORIENTED_EDGE('',*,*,#217587,.T.); #301587=ORIENTED_EDGE('',*,*,#217589,.F.); #301588=ORIENTED_EDGE('',*,*,#217590,.F.); #301589=ORIENTED_EDGE('',*,*,#217591,.T.); #301590=ORIENTED_EDGE('',*,*,#217590,.T.); #301591=ORIENTED_EDGE('',*,*,#217592,.F.); #301592=ORIENTED_EDGE('',*,*,#217593,.F.); #301593=ORIENTED_EDGE('',*,*,#217594,.T.); #301594=ORIENTED_EDGE('',*,*,#217593,.T.); #301595=ORIENTED_EDGE('',*,*,#217595,.F.); #301596=ORIENTED_EDGE('',*,*,#217596,.F.); #301597=ORIENTED_EDGE('',*,*,#217597,.T.); #301598=ORIENTED_EDGE('',*,*,#217596,.T.); #301599=ORIENTED_EDGE('',*,*,#217598,.F.); #301600=ORIENTED_EDGE('',*,*,#217599,.F.); #301601=ORIENTED_EDGE('',*,*,#217600,.T.); #301602=ORIENTED_EDGE('',*,*,#217599,.T.); #301603=ORIENTED_EDGE('',*,*,#217601,.F.); #301604=ORIENTED_EDGE('',*,*,#217602,.F.); #301605=ORIENTED_EDGE('',*,*,#217603,.T.); #301606=ORIENTED_EDGE('',*,*,#217602,.T.); #301607=ORIENTED_EDGE('',*,*,#217604,.F.); #301608=ORIENTED_EDGE('',*,*,#217605,.F.); #301609=ORIENTED_EDGE('',*,*,#217606,.T.); #301610=ORIENTED_EDGE('',*,*,#217605,.T.); #301611=ORIENTED_EDGE('',*,*,#217607,.F.); #301612=ORIENTED_EDGE('',*,*,#217608,.F.); #301613=ORIENTED_EDGE('',*,*,#217609,.T.); #301614=ORIENTED_EDGE('',*,*,#217608,.T.); #301615=ORIENTED_EDGE('',*,*,#217610,.F.); #301616=ORIENTED_EDGE('',*,*,#217611,.F.); #301617=ORIENTED_EDGE('',*,*,#217612,.T.); #301618=ORIENTED_EDGE('',*,*,#217611,.T.); #301619=ORIENTED_EDGE('',*,*,#217613,.F.); #301620=ORIENTED_EDGE('',*,*,#217614,.F.); #301621=ORIENTED_EDGE('',*,*,#217615,.T.); #301622=ORIENTED_EDGE('',*,*,#217614,.T.); #301623=ORIENTED_EDGE('',*,*,#217616,.F.); #301624=ORIENTED_EDGE('',*,*,#217617,.F.); #301625=ORIENTED_EDGE('',*,*,#217618,.T.); #301626=ORIENTED_EDGE('',*,*,#217617,.T.); #301627=ORIENTED_EDGE('',*,*,#217619,.F.); #301628=ORIENTED_EDGE('',*,*,#217620,.F.); #301629=ORIENTED_EDGE('',*,*,#217621,.T.); #301630=ORIENTED_EDGE('',*,*,#217620,.T.); #301631=ORIENTED_EDGE('',*,*,#217622,.F.); #301632=ORIENTED_EDGE('',*,*,#217623,.F.); #301633=ORIENTED_EDGE('',*,*,#217624,.T.); #301634=ORIENTED_EDGE('',*,*,#217623,.T.); #301635=ORIENTED_EDGE('',*,*,#217625,.F.); #301636=ORIENTED_EDGE('',*,*,#217626,.F.); #301637=ORIENTED_EDGE('',*,*,#217627,.T.); #301638=ORIENTED_EDGE('',*,*,#217626,.T.); #301639=ORIENTED_EDGE('',*,*,#217628,.F.); #301640=ORIENTED_EDGE('',*,*,#217629,.F.); #301641=ORIENTED_EDGE('',*,*,#217630,.T.); #301642=ORIENTED_EDGE('',*,*,#217629,.T.); #301643=ORIENTED_EDGE('',*,*,#217631,.F.); #301644=ORIENTED_EDGE('',*,*,#217632,.F.); #301645=ORIENTED_EDGE('',*,*,#217633,.T.); #301646=ORIENTED_EDGE('',*,*,#217632,.T.); #301647=ORIENTED_EDGE('',*,*,#217634,.F.); #301648=ORIENTED_EDGE('',*,*,#217635,.F.); #301649=ORIENTED_EDGE('',*,*,#217636,.T.); #301650=ORIENTED_EDGE('',*,*,#217635,.T.); #301651=ORIENTED_EDGE('',*,*,#217637,.F.); #301652=ORIENTED_EDGE('',*,*,#217638,.F.); #301653=ORIENTED_EDGE('',*,*,#217639,.T.); #301654=ORIENTED_EDGE('',*,*,#217638,.T.); #301655=ORIENTED_EDGE('',*,*,#217640,.F.); #301656=ORIENTED_EDGE('',*,*,#217641,.F.); #301657=ORIENTED_EDGE('',*,*,#217642,.T.); #301658=ORIENTED_EDGE('',*,*,#217641,.T.); #301659=ORIENTED_EDGE('',*,*,#217643,.F.); #301660=ORIENTED_EDGE('',*,*,#217644,.F.); #301661=ORIENTED_EDGE('',*,*,#217645,.T.); #301662=ORIENTED_EDGE('',*,*,#217644,.T.); #301663=ORIENTED_EDGE('',*,*,#217646,.F.); #301664=ORIENTED_EDGE('',*,*,#217647,.F.); #301665=ORIENTED_EDGE('',*,*,#217648,.T.); #301666=ORIENTED_EDGE('',*,*,#217647,.T.); #301667=ORIENTED_EDGE('',*,*,#217649,.F.); #301668=ORIENTED_EDGE('',*,*,#217650,.F.); #301669=ORIENTED_EDGE('',*,*,#217651,.T.); #301670=ORIENTED_EDGE('',*,*,#217650,.T.); #301671=ORIENTED_EDGE('',*,*,#217652,.F.); #301672=ORIENTED_EDGE('',*,*,#217653,.F.); #301673=ORIENTED_EDGE('',*,*,#217654,.T.); #301674=ORIENTED_EDGE('',*,*,#217653,.T.); #301675=ORIENTED_EDGE('',*,*,#217655,.F.); #301676=ORIENTED_EDGE('',*,*,#217656,.F.); #301677=ORIENTED_EDGE('',*,*,#217657,.T.); #301678=ORIENTED_EDGE('',*,*,#217656,.T.); #301679=ORIENTED_EDGE('',*,*,#217658,.F.); #301680=ORIENTED_EDGE('',*,*,#217659,.F.); #301681=ORIENTED_EDGE('',*,*,#217660,.T.); #301682=ORIENTED_EDGE('',*,*,#217659,.T.); #301683=ORIENTED_EDGE('',*,*,#217661,.F.); #301684=ORIENTED_EDGE('',*,*,#217662,.F.); #301685=ORIENTED_EDGE('',*,*,#217663,.T.); #301686=ORIENTED_EDGE('',*,*,#217662,.T.); #301687=ORIENTED_EDGE('',*,*,#217664,.F.); #301688=ORIENTED_EDGE('',*,*,#217665,.F.); #301689=ORIENTED_EDGE('',*,*,#217666,.T.); #301690=ORIENTED_EDGE('',*,*,#217665,.T.); #301691=ORIENTED_EDGE('',*,*,#217667,.F.); #301692=ORIENTED_EDGE('',*,*,#217668,.F.); #301693=ORIENTED_EDGE('',*,*,#217669,.T.); #301694=ORIENTED_EDGE('',*,*,#217668,.T.); #301695=ORIENTED_EDGE('',*,*,#217670,.F.); #301696=ORIENTED_EDGE('',*,*,#217671,.F.); #301697=ORIENTED_EDGE('',*,*,#217672,.T.); #301698=ORIENTED_EDGE('',*,*,#217671,.T.); #301699=ORIENTED_EDGE('',*,*,#217673,.F.); #301700=ORIENTED_EDGE('',*,*,#217674,.F.); #301701=ORIENTED_EDGE('',*,*,#217675,.T.); #301702=ORIENTED_EDGE('',*,*,#217674,.T.); #301703=ORIENTED_EDGE('',*,*,#217676,.F.); #301704=ORIENTED_EDGE('',*,*,#217677,.F.); #301705=ORIENTED_EDGE('',*,*,#217678,.T.); #301706=ORIENTED_EDGE('',*,*,#217677,.T.); #301707=ORIENTED_EDGE('',*,*,#217679,.F.); #301708=ORIENTED_EDGE('',*,*,#217680,.F.); #301709=ORIENTED_EDGE('',*,*,#217681,.T.); #301710=ORIENTED_EDGE('',*,*,#217680,.T.); #301711=ORIENTED_EDGE('',*,*,#217682,.F.); #301712=ORIENTED_EDGE('',*,*,#217683,.F.); #301713=ORIENTED_EDGE('',*,*,#217684,.T.); #301714=ORIENTED_EDGE('',*,*,#217683,.T.); #301715=ORIENTED_EDGE('',*,*,#217685,.F.); #301716=ORIENTED_EDGE('',*,*,#217686,.F.); #301717=ORIENTED_EDGE('',*,*,#217687,.T.); #301718=ORIENTED_EDGE('',*,*,#217686,.T.); #301719=ORIENTED_EDGE('',*,*,#217688,.F.); #301720=ORIENTED_EDGE('',*,*,#217689,.F.); #301721=ORIENTED_EDGE('',*,*,#217690,.T.); #301722=ORIENTED_EDGE('',*,*,#217689,.T.); #301723=ORIENTED_EDGE('',*,*,#217691,.F.); #301724=ORIENTED_EDGE('',*,*,#217692,.F.); #301725=ORIENTED_EDGE('',*,*,#217693,.T.); #301726=ORIENTED_EDGE('',*,*,#217692,.T.); #301727=ORIENTED_EDGE('',*,*,#217694,.F.); #301728=ORIENTED_EDGE('',*,*,#217695,.F.); #301729=ORIENTED_EDGE('',*,*,#217696,.T.); #301730=ORIENTED_EDGE('',*,*,#217695,.T.); #301731=ORIENTED_EDGE('',*,*,#217697,.F.); #301732=ORIENTED_EDGE('',*,*,#217698,.F.); #301733=ORIENTED_EDGE('',*,*,#217699,.T.); #301734=ORIENTED_EDGE('',*,*,#217698,.T.); #301735=ORIENTED_EDGE('',*,*,#217700,.F.); #301736=ORIENTED_EDGE('',*,*,#217701,.F.); #301737=ORIENTED_EDGE('',*,*,#217702,.T.); #301738=ORIENTED_EDGE('',*,*,#217701,.T.); #301739=ORIENTED_EDGE('',*,*,#217703,.F.); #301740=ORIENTED_EDGE('',*,*,#217704,.F.); #301741=ORIENTED_EDGE('',*,*,#217705,.T.); #301742=ORIENTED_EDGE('',*,*,#217704,.T.); #301743=ORIENTED_EDGE('',*,*,#217706,.F.); #301744=ORIENTED_EDGE('',*,*,#217707,.F.); #301745=ORIENTED_EDGE('',*,*,#217708,.T.); #301746=ORIENTED_EDGE('',*,*,#217707,.T.); #301747=ORIENTED_EDGE('',*,*,#217709,.F.); #301748=ORIENTED_EDGE('',*,*,#217710,.F.); #301749=ORIENTED_EDGE('',*,*,#217711,.T.); #301750=ORIENTED_EDGE('',*,*,#217710,.T.); #301751=ORIENTED_EDGE('',*,*,#217712,.F.); #301752=ORIENTED_EDGE('',*,*,#217713,.F.); #301753=ORIENTED_EDGE('',*,*,#217714,.T.); #301754=ORIENTED_EDGE('',*,*,#217713,.T.); #301755=ORIENTED_EDGE('',*,*,#217715,.F.); #301756=ORIENTED_EDGE('',*,*,#217716,.F.); #301757=ORIENTED_EDGE('',*,*,#217717,.T.); #301758=ORIENTED_EDGE('',*,*,#217716,.T.); #301759=ORIENTED_EDGE('',*,*,#217718,.F.); #301760=ORIENTED_EDGE('',*,*,#217719,.F.); #301761=ORIENTED_EDGE('',*,*,#217720,.T.); #301762=ORIENTED_EDGE('',*,*,#217719,.T.); #301763=ORIENTED_EDGE('',*,*,#217721,.F.); #301764=ORIENTED_EDGE('',*,*,#217722,.F.); #301765=ORIENTED_EDGE('',*,*,#217723,.T.); #301766=ORIENTED_EDGE('',*,*,#217722,.T.); #301767=ORIENTED_EDGE('',*,*,#217724,.F.); #301768=ORIENTED_EDGE('',*,*,#217725,.F.); #301769=ORIENTED_EDGE('',*,*,#217726,.T.); #301770=ORIENTED_EDGE('',*,*,#217725,.T.); #301771=ORIENTED_EDGE('',*,*,#217727,.F.); #301772=ORIENTED_EDGE('',*,*,#217728,.F.); #301773=ORIENTED_EDGE('',*,*,#217729,.T.); #301774=ORIENTED_EDGE('',*,*,#217728,.T.); #301775=ORIENTED_EDGE('',*,*,#217730,.F.); #301776=ORIENTED_EDGE('',*,*,#217731,.F.); #301777=ORIENTED_EDGE('',*,*,#217732,.T.); #301778=ORIENTED_EDGE('',*,*,#217731,.T.); #301779=ORIENTED_EDGE('',*,*,#217733,.F.); #301780=ORIENTED_EDGE('',*,*,#217734,.F.); #301781=ORIENTED_EDGE('',*,*,#217735,.T.); #301782=ORIENTED_EDGE('',*,*,#217734,.T.); #301783=ORIENTED_EDGE('',*,*,#217736,.F.); #301784=ORIENTED_EDGE('',*,*,#217737,.F.); #301785=ORIENTED_EDGE('',*,*,#217738,.T.); #301786=ORIENTED_EDGE('',*,*,#217737,.T.); #301787=ORIENTED_EDGE('',*,*,#217739,.F.); #301788=ORIENTED_EDGE('',*,*,#217740,.F.); #301789=ORIENTED_EDGE('',*,*,#217741,.T.); #301790=ORIENTED_EDGE('',*,*,#217740,.T.); #301791=ORIENTED_EDGE('',*,*,#217742,.F.); #301792=ORIENTED_EDGE('',*,*,#217576,.F.); #301793=ORIENTED_EDGE('',*,*,#217742,.T.); #301794=ORIENTED_EDGE('',*,*,#217739,.T.); #301795=ORIENTED_EDGE('',*,*,#217736,.T.); #301796=ORIENTED_EDGE('',*,*,#217733,.T.); #301797=ORIENTED_EDGE('',*,*,#217730,.T.); #301798=ORIENTED_EDGE('',*,*,#217727,.T.); #301799=ORIENTED_EDGE('',*,*,#217724,.T.); #301800=ORIENTED_EDGE('',*,*,#217721,.T.); #301801=ORIENTED_EDGE('',*,*,#217718,.T.); #301802=ORIENTED_EDGE('',*,*,#217715,.T.); #301803=ORIENTED_EDGE('',*,*,#217712,.T.); #301804=ORIENTED_EDGE('',*,*,#217709,.T.); #301805=ORIENTED_EDGE('',*,*,#217706,.T.); #301806=ORIENTED_EDGE('',*,*,#217703,.T.); #301807=ORIENTED_EDGE('',*,*,#217700,.T.); #301808=ORIENTED_EDGE('',*,*,#217697,.T.); #301809=ORIENTED_EDGE('',*,*,#217694,.T.); #301810=ORIENTED_EDGE('',*,*,#217691,.T.); #301811=ORIENTED_EDGE('',*,*,#217688,.T.); #301812=ORIENTED_EDGE('',*,*,#217685,.T.); #301813=ORIENTED_EDGE('',*,*,#217682,.T.); #301814=ORIENTED_EDGE('',*,*,#217679,.T.); #301815=ORIENTED_EDGE('',*,*,#217676,.T.); #301816=ORIENTED_EDGE('',*,*,#217673,.T.); #301817=ORIENTED_EDGE('',*,*,#217670,.T.); #301818=ORIENTED_EDGE('',*,*,#217667,.T.); #301819=ORIENTED_EDGE('',*,*,#217664,.T.); #301820=ORIENTED_EDGE('',*,*,#217661,.T.); #301821=ORIENTED_EDGE('',*,*,#217658,.T.); #301822=ORIENTED_EDGE('',*,*,#217655,.T.); #301823=ORIENTED_EDGE('',*,*,#217652,.T.); #301824=ORIENTED_EDGE('',*,*,#217649,.T.); #301825=ORIENTED_EDGE('',*,*,#217646,.T.); #301826=ORIENTED_EDGE('',*,*,#217643,.T.); #301827=ORIENTED_EDGE('',*,*,#217640,.T.); #301828=ORIENTED_EDGE('',*,*,#217637,.T.); #301829=ORIENTED_EDGE('',*,*,#217634,.T.); #301830=ORIENTED_EDGE('',*,*,#217631,.T.); #301831=ORIENTED_EDGE('',*,*,#217628,.T.); #301832=ORIENTED_EDGE('',*,*,#217625,.T.); #301833=ORIENTED_EDGE('',*,*,#217622,.T.); #301834=ORIENTED_EDGE('',*,*,#217619,.T.); #301835=ORIENTED_EDGE('',*,*,#217616,.T.); #301836=ORIENTED_EDGE('',*,*,#217613,.T.); #301837=ORIENTED_EDGE('',*,*,#217610,.T.); #301838=ORIENTED_EDGE('',*,*,#217607,.T.); #301839=ORIENTED_EDGE('',*,*,#217604,.T.); #301840=ORIENTED_EDGE('',*,*,#217601,.T.); #301841=ORIENTED_EDGE('',*,*,#217598,.T.); #301842=ORIENTED_EDGE('',*,*,#217595,.T.); #301843=ORIENTED_EDGE('',*,*,#217592,.T.); #301844=ORIENTED_EDGE('',*,*,#217589,.T.); #301845=ORIENTED_EDGE('',*,*,#217586,.T.); #301846=ORIENTED_EDGE('',*,*,#217583,.T.); #301847=ORIENTED_EDGE('',*,*,#217580,.T.); #301848=ORIENTED_EDGE('',*,*,#217577,.T.); #301849=ORIENTED_EDGE('',*,*,#217570,.T.); #301850=ORIENTED_EDGE('',*,*,#217573,.T.); #301851=ORIENTED_EDGE('',*,*,#217563,.T.); #301852=ORIENTED_EDGE('',*,*,#217567,.T.); #301853=ORIENTED_EDGE('',*,*,#217741,.F.); #301854=ORIENTED_EDGE('',*,*,#217575,.F.); #301855=ORIENTED_EDGE('',*,*,#217579,.F.); #301856=ORIENTED_EDGE('',*,*,#217582,.F.); #301857=ORIENTED_EDGE('',*,*,#217585,.F.); #301858=ORIENTED_EDGE('',*,*,#217588,.F.); #301859=ORIENTED_EDGE('',*,*,#217591,.F.); #301860=ORIENTED_EDGE('',*,*,#217594,.F.); #301861=ORIENTED_EDGE('',*,*,#217597,.F.); #301862=ORIENTED_EDGE('',*,*,#217600,.F.); #301863=ORIENTED_EDGE('',*,*,#217603,.F.); #301864=ORIENTED_EDGE('',*,*,#217606,.F.); #301865=ORIENTED_EDGE('',*,*,#217609,.F.); #301866=ORIENTED_EDGE('',*,*,#217612,.F.); #301867=ORIENTED_EDGE('',*,*,#217615,.F.); #301868=ORIENTED_EDGE('',*,*,#217618,.F.); #301869=ORIENTED_EDGE('',*,*,#217621,.F.); #301870=ORIENTED_EDGE('',*,*,#217624,.F.); #301871=ORIENTED_EDGE('',*,*,#217627,.F.); #301872=ORIENTED_EDGE('',*,*,#217630,.F.); #301873=ORIENTED_EDGE('',*,*,#217633,.F.); #301874=ORIENTED_EDGE('',*,*,#217636,.F.); #301875=ORIENTED_EDGE('',*,*,#217639,.F.); #301876=ORIENTED_EDGE('',*,*,#217642,.F.); #301877=ORIENTED_EDGE('',*,*,#217645,.F.); #301878=ORIENTED_EDGE('',*,*,#217648,.F.); #301879=ORIENTED_EDGE('',*,*,#217651,.F.); #301880=ORIENTED_EDGE('',*,*,#217654,.F.); #301881=ORIENTED_EDGE('',*,*,#217657,.F.); #301882=ORIENTED_EDGE('',*,*,#217660,.F.); #301883=ORIENTED_EDGE('',*,*,#217663,.F.); #301884=ORIENTED_EDGE('',*,*,#217666,.F.); #301885=ORIENTED_EDGE('',*,*,#217669,.F.); #301886=ORIENTED_EDGE('',*,*,#217672,.F.); #301887=ORIENTED_EDGE('',*,*,#217675,.F.); #301888=ORIENTED_EDGE('',*,*,#217678,.F.); #301889=ORIENTED_EDGE('',*,*,#217681,.F.); #301890=ORIENTED_EDGE('',*,*,#217684,.F.); #301891=ORIENTED_EDGE('',*,*,#217687,.F.); #301892=ORIENTED_EDGE('',*,*,#217690,.F.); #301893=ORIENTED_EDGE('',*,*,#217693,.F.); #301894=ORIENTED_EDGE('',*,*,#217696,.F.); #301895=ORIENTED_EDGE('',*,*,#217699,.F.); #301896=ORIENTED_EDGE('',*,*,#217702,.F.); #301897=ORIENTED_EDGE('',*,*,#217705,.F.); #301898=ORIENTED_EDGE('',*,*,#217708,.F.); #301899=ORIENTED_EDGE('',*,*,#217711,.F.); #301900=ORIENTED_EDGE('',*,*,#217714,.F.); #301901=ORIENTED_EDGE('',*,*,#217717,.F.); #301902=ORIENTED_EDGE('',*,*,#217720,.F.); #301903=ORIENTED_EDGE('',*,*,#217723,.F.); #301904=ORIENTED_EDGE('',*,*,#217726,.F.); #301905=ORIENTED_EDGE('',*,*,#217729,.F.); #301906=ORIENTED_EDGE('',*,*,#217732,.F.); #301907=ORIENTED_EDGE('',*,*,#217735,.F.); #301908=ORIENTED_EDGE('',*,*,#217738,.F.); #301909=ORIENTED_EDGE('',*,*,#217571,.T.); #301910=ORIENTED_EDGE('',*,*,#217568,.T.); #301911=ORIENTED_EDGE('',*,*,#217565,.T.); #301912=ORIENTED_EDGE('',*,*,#217574,.T.); #301913=ORIENTED_EDGE('',*,*,#217743,.F.); #301914=ORIENTED_EDGE('',*,*,#217744,.T.); #301915=ORIENTED_EDGE('',*,*,#217745,.F.); #301916=ORIENTED_EDGE('',*,*,#217744,.F.); #301917=ORIENTED_EDGE('',*,*,#217746,.T.); #301918=ORIENTED_EDGE('',*,*,#217747,.T.); #301919=ORIENTED_EDGE('',*,*,#217748,.F.); #301920=ORIENTED_EDGE('',*,*,#217749,.F.); #301921=ORIENTED_EDGE('',*,*,#217750,.T.); #301922=ORIENTED_EDGE('',*,*,#217749,.T.); #301923=ORIENTED_EDGE('',*,*,#217751,.F.); #301924=ORIENTED_EDGE('',*,*,#217752,.F.); #301925=ORIENTED_EDGE('',*,*,#217753,.T.); #301926=ORIENTED_EDGE('',*,*,#217752,.T.); #301927=ORIENTED_EDGE('',*,*,#217754,.F.); #301928=ORIENTED_EDGE('',*,*,#217755,.F.); #301929=ORIENTED_EDGE('',*,*,#217756,.T.); #301930=ORIENTED_EDGE('',*,*,#217755,.T.); #301931=ORIENTED_EDGE('',*,*,#217757,.F.); #301932=ORIENTED_EDGE('',*,*,#217747,.F.); #301933=ORIENTED_EDGE('',*,*,#217757,.T.); #301934=ORIENTED_EDGE('',*,*,#217754,.T.); #301935=ORIENTED_EDGE('',*,*,#217751,.T.); #301936=ORIENTED_EDGE('',*,*,#217748,.T.); #301937=ORIENTED_EDGE('',*,*,#217745,.T.); #301938=ORIENTED_EDGE('',*,*,#217756,.F.); #301939=ORIENTED_EDGE('',*,*,#217746,.F.); #301940=ORIENTED_EDGE('',*,*,#217750,.F.); #301941=ORIENTED_EDGE('',*,*,#217753,.F.); #301942=ORIENTED_EDGE('',*,*,#217743,.T.); #301943=ORIENTED_EDGE('',*,*,#217758,.F.); #301944=ORIENTED_EDGE('',*,*,#217759,.F.); #301945=ORIENTED_EDGE('',*,*,#217760,.F.); #301946=ORIENTED_EDGE('',*,*,#217761,.T.); #301947=ORIENTED_EDGE('',*,*,#217762,.F.); #301948=ORIENTED_EDGE('',*,*,#217761,.F.); #301949=ORIENTED_EDGE('',*,*,#217763,.F.); #301950=ORIENTED_EDGE('',*,*,#217764,.T.); #301951=ORIENTED_EDGE('',*,*,#217765,.F.); #301952=ORIENTED_EDGE('',*,*,#217764,.F.); #301953=ORIENTED_EDGE('',*,*,#217766,.F.); #301954=ORIENTED_EDGE('',*,*,#217767,.T.); #301955=ORIENTED_EDGE('',*,*,#217768,.F.); #301956=ORIENTED_EDGE('',*,*,#217767,.F.); #301957=ORIENTED_EDGE('',*,*,#217769,.F.); #301958=ORIENTED_EDGE('',*,*,#217759,.T.); #301959=ORIENTED_EDGE('',*,*,#217770,.T.); #301960=ORIENTED_EDGE('',*,*,#217771,.T.); #301961=ORIENTED_EDGE('',*,*,#217772,.F.); #301962=ORIENTED_EDGE('',*,*,#217773,.F.); #301963=ORIENTED_EDGE('',*,*,#217774,.T.); #301964=ORIENTED_EDGE('',*,*,#217773,.T.); #301965=ORIENTED_EDGE('',*,*,#217775,.F.); #301966=ORIENTED_EDGE('',*,*,#217776,.F.); #301967=ORIENTED_EDGE('',*,*,#217777,.T.); #301968=ORIENTED_EDGE('',*,*,#217776,.T.); #301969=ORIENTED_EDGE('',*,*,#217778,.F.); #301970=ORIENTED_EDGE('',*,*,#217779,.F.); #301971=ORIENTED_EDGE('',*,*,#217780,.T.); #301972=ORIENTED_EDGE('',*,*,#217779,.T.); #301973=ORIENTED_EDGE('',*,*,#217781,.F.); #301974=ORIENTED_EDGE('',*,*,#217782,.F.); #301975=ORIENTED_EDGE('',*,*,#217783,.T.); #301976=ORIENTED_EDGE('',*,*,#217782,.T.); #301977=ORIENTED_EDGE('',*,*,#217784,.F.); #301978=ORIENTED_EDGE('',*,*,#217785,.F.); #301979=ORIENTED_EDGE('',*,*,#217786,.T.); #301980=ORIENTED_EDGE('',*,*,#217785,.T.); #301981=ORIENTED_EDGE('',*,*,#217787,.F.); #301982=ORIENTED_EDGE('',*,*,#217788,.F.); #301983=ORIENTED_EDGE('',*,*,#217789,.T.); #301984=ORIENTED_EDGE('',*,*,#217788,.T.); #301985=ORIENTED_EDGE('',*,*,#217790,.F.); #301986=ORIENTED_EDGE('',*,*,#217791,.F.); #301987=ORIENTED_EDGE('',*,*,#217792,.T.); #301988=ORIENTED_EDGE('',*,*,#217791,.T.); #301989=ORIENTED_EDGE('',*,*,#217793,.F.); #301990=ORIENTED_EDGE('',*,*,#217794,.F.); #301991=ORIENTED_EDGE('',*,*,#217795,.T.); #301992=ORIENTED_EDGE('',*,*,#217794,.T.); #301993=ORIENTED_EDGE('',*,*,#217796,.F.); #301994=ORIENTED_EDGE('',*,*,#217797,.F.); #301995=ORIENTED_EDGE('',*,*,#217798,.T.); #301996=ORIENTED_EDGE('',*,*,#217797,.T.); #301997=ORIENTED_EDGE('',*,*,#217799,.F.); #301998=ORIENTED_EDGE('',*,*,#217800,.F.); #301999=ORIENTED_EDGE('',*,*,#217801,.T.); #302000=ORIENTED_EDGE('',*,*,#217800,.T.); #302001=ORIENTED_EDGE('',*,*,#217802,.F.); #302002=ORIENTED_EDGE('',*,*,#217803,.F.); #302003=ORIENTED_EDGE('',*,*,#217804,.T.); #302004=ORIENTED_EDGE('',*,*,#217803,.T.); #302005=ORIENTED_EDGE('',*,*,#217805,.F.); #302006=ORIENTED_EDGE('',*,*,#217806,.F.); #302007=ORIENTED_EDGE('',*,*,#217807,.T.); #302008=ORIENTED_EDGE('',*,*,#217806,.T.); #302009=ORIENTED_EDGE('',*,*,#217808,.F.); #302010=ORIENTED_EDGE('',*,*,#217809,.F.); #302011=ORIENTED_EDGE('',*,*,#217810,.T.); #302012=ORIENTED_EDGE('',*,*,#217809,.T.); #302013=ORIENTED_EDGE('',*,*,#217811,.F.); #302014=ORIENTED_EDGE('',*,*,#217812,.F.); #302015=ORIENTED_EDGE('',*,*,#217813,.T.); #302016=ORIENTED_EDGE('',*,*,#217812,.T.); #302017=ORIENTED_EDGE('',*,*,#217814,.F.); #302018=ORIENTED_EDGE('',*,*,#217815,.F.); #302019=ORIENTED_EDGE('',*,*,#217816,.T.); #302020=ORIENTED_EDGE('',*,*,#217815,.T.); #302021=ORIENTED_EDGE('',*,*,#217817,.F.); #302022=ORIENTED_EDGE('',*,*,#217818,.F.); #302023=ORIENTED_EDGE('',*,*,#217819,.T.); #302024=ORIENTED_EDGE('',*,*,#217818,.T.); #302025=ORIENTED_EDGE('',*,*,#217820,.F.); #302026=ORIENTED_EDGE('',*,*,#217821,.F.); #302027=ORIENTED_EDGE('',*,*,#217822,.T.); #302028=ORIENTED_EDGE('',*,*,#217821,.T.); #302029=ORIENTED_EDGE('',*,*,#217823,.F.); #302030=ORIENTED_EDGE('',*,*,#217824,.F.); #302031=ORIENTED_EDGE('',*,*,#217825,.T.); #302032=ORIENTED_EDGE('',*,*,#217824,.T.); #302033=ORIENTED_EDGE('',*,*,#217826,.F.); #302034=ORIENTED_EDGE('',*,*,#217827,.F.); #302035=ORIENTED_EDGE('',*,*,#217828,.T.); #302036=ORIENTED_EDGE('',*,*,#217827,.T.); #302037=ORIENTED_EDGE('',*,*,#217829,.F.); #302038=ORIENTED_EDGE('',*,*,#217830,.F.); #302039=ORIENTED_EDGE('',*,*,#217831,.T.); #302040=ORIENTED_EDGE('',*,*,#217830,.T.); #302041=ORIENTED_EDGE('',*,*,#217832,.F.); #302042=ORIENTED_EDGE('',*,*,#217833,.F.); #302043=ORIENTED_EDGE('',*,*,#217834,.T.); #302044=ORIENTED_EDGE('',*,*,#217833,.T.); #302045=ORIENTED_EDGE('',*,*,#217835,.F.); #302046=ORIENTED_EDGE('',*,*,#217836,.F.); #302047=ORIENTED_EDGE('',*,*,#217837,.T.); #302048=ORIENTED_EDGE('',*,*,#217836,.T.); #302049=ORIENTED_EDGE('',*,*,#217838,.F.); #302050=ORIENTED_EDGE('',*,*,#217839,.F.); #302051=ORIENTED_EDGE('',*,*,#217840,.T.); #302052=ORIENTED_EDGE('',*,*,#217839,.T.); #302053=ORIENTED_EDGE('',*,*,#217841,.F.); #302054=ORIENTED_EDGE('',*,*,#217842,.F.); #302055=ORIENTED_EDGE('',*,*,#217843,.T.); #302056=ORIENTED_EDGE('',*,*,#217842,.T.); #302057=ORIENTED_EDGE('',*,*,#217844,.F.); #302058=ORIENTED_EDGE('',*,*,#217845,.F.); #302059=ORIENTED_EDGE('',*,*,#217846,.T.); #302060=ORIENTED_EDGE('',*,*,#217845,.T.); #302061=ORIENTED_EDGE('',*,*,#217847,.F.); #302062=ORIENTED_EDGE('',*,*,#217848,.F.); #302063=ORIENTED_EDGE('',*,*,#217849,.T.); #302064=ORIENTED_EDGE('',*,*,#217848,.T.); #302065=ORIENTED_EDGE('',*,*,#217850,.F.); #302066=ORIENTED_EDGE('',*,*,#217851,.F.); #302067=ORIENTED_EDGE('',*,*,#217852,.T.); #302068=ORIENTED_EDGE('',*,*,#217851,.T.); #302069=ORIENTED_EDGE('',*,*,#217853,.F.); #302070=ORIENTED_EDGE('',*,*,#217854,.F.); #302071=ORIENTED_EDGE('',*,*,#217855,.T.); #302072=ORIENTED_EDGE('',*,*,#217854,.T.); #302073=ORIENTED_EDGE('',*,*,#217856,.F.); #302074=ORIENTED_EDGE('',*,*,#217857,.F.); #302075=ORIENTED_EDGE('',*,*,#217858,.T.); #302076=ORIENTED_EDGE('',*,*,#217857,.T.); #302077=ORIENTED_EDGE('',*,*,#217859,.F.); #302078=ORIENTED_EDGE('',*,*,#217860,.F.); #302079=ORIENTED_EDGE('',*,*,#217861,.T.); #302080=ORIENTED_EDGE('',*,*,#217860,.T.); #302081=ORIENTED_EDGE('',*,*,#217862,.F.); #302082=ORIENTED_EDGE('',*,*,#217863,.F.); #302083=ORIENTED_EDGE('',*,*,#217864,.T.); #302084=ORIENTED_EDGE('',*,*,#217863,.T.); #302085=ORIENTED_EDGE('',*,*,#217865,.F.); #302086=ORIENTED_EDGE('',*,*,#217866,.F.); #302087=ORIENTED_EDGE('',*,*,#217867,.T.); #302088=ORIENTED_EDGE('',*,*,#217866,.T.); #302089=ORIENTED_EDGE('',*,*,#217868,.F.); #302090=ORIENTED_EDGE('',*,*,#217869,.F.); #302091=ORIENTED_EDGE('',*,*,#217870,.T.); #302092=ORIENTED_EDGE('',*,*,#217869,.T.); #302093=ORIENTED_EDGE('',*,*,#217871,.F.); #302094=ORIENTED_EDGE('',*,*,#217872,.F.); #302095=ORIENTED_EDGE('',*,*,#217873,.T.); #302096=ORIENTED_EDGE('',*,*,#217872,.T.); #302097=ORIENTED_EDGE('',*,*,#217874,.F.); #302098=ORIENTED_EDGE('',*,*,#217875,.F.); #302099=ORIENTED_EDGE('',*,*,#217876,.T.); #302100=ORIENTED_EDGE('',*,*,#217875,.T.); #302101=ORIENTED_EDGE('',*,*,#217877,.F.); #302102=ORIENTED_EDGE('',*,*,#217878,.F.); #302103=ORIENTED_EDGE('',*,*,#217879,.T.); #302104=ORIENTED_EDGE('',*,*,#217878,.T.); #302105=ORIENTED_EDGE('',*,*,#217880,.F.); #302106=ORIENTED_EDGE('',*,*,#217881,.F.); #302107=ORIENTED_EDGE('',*,*,#217882,.T.); #302108=ORIENTED_EDGE('',*,*,#217881,.T.); #302109=ORIENTED_EDGE('',*,*,#217883,.F.); #302110=ORIENTED_EDGE('',*,*,#217884,.F.); #302111=ORIENTED_EDGE('',*,*,#217885,.T.); #302112=ORIENTED_EDGE('',*,*,#217884,.T.); #302113=ORIENTED_EDGE('',*,*,#217886,.F.); #302114=ORIENTED_EDGE('',*,*,#217887,.F.); #302115=ORIENTED_EDGE('',*,*,#217888,.T.); #302116=ORIENTED_EDGE('',*,*,#217887,.T.); #302117=ORIENTED_EDGE('',*,*,#217889,.F.); #302118=ORIENTED_EDGE('',*,*,#217890,.F.); #302119=ORIENTED_EDGE('',*,*,#217891,.T.); #302120=ORIENTED_EDGE('',*,*,#217890,.T.); #302121=ORIENTED_EDGE('',*,*,#217892,.F.); #302122=ORIENTED_EDGE('',*,*,#217893,.F.); #302123=ORIENTED_EDGE('',*,*,#217894,.T.); #302124=ORIENTED_EDGE('',*,*,#217893,.T.); #302125=ORIENTED_EDGE('',*,*,#217895,.F.); #302126=ORIENTED_EDGE('',*,*,#217896,.F.); #302127=ORIENTED_EDGE('',*,*,#217897,.T.); #302128=ORIENTED_EDGE('',*,*,#217896,.T.); #302129=ORIENTED_EDGE('',*,*,#217898,.F.); #302130=ORIENTED_EDGE('',*,*,#217899,.F.); #302131=ORIENTED_EDGE('',*,*,#217900,.T.); #302132=ORIENTED_EDGE('',*,*,#217899,.T.); #302133=ORIENTED_EDGE('',*,*,#217901,.F.); #302134=ORIENTED_EDGE('',*,*,#217902,.F.); #302135=ORIENTED_EDGE('',*,*,#217903,.T.); #302136=ORIENTED_EDGE('',*,*,#217902,.T.); #302137=ORIENTED_EDGE('',*,*,#217904,.F.); #302138=ORIENTED_EDGE('',*,*,#217905,.F.); #302139=ORIENTED_EDGE('',*,*,#217906,.T.); #302140=ORIENTED_EDGE('',*,*,#217905,.T.); #302141=ORIENTED_EDGE('',*,*,#217907,.F.); #302142=ORIENTED_EDGE('',*,*,#217908,.F.); #302143=ORIENTED_EDGE('',*,*,#217909,.T.); #302144=ORIENTED_EDGE('',*,*,#217908,.T.); #302145=ORIENTED_EDGE('',*,*,#217910,.F.); #302146=ORIENTED_EDGE('',*,*,#217911,.F.); #302147=ORIENTED_EDGE('',*,*,#217912,.T.); #302148=ORIENTED_EDGE('',*,*,#217911,.T.); #302149=ORIENTED_EDGE('',*,*,#217913,.F.); #302150=ORIENTED_EDGE('',*,*,#217914,.F.); #302151=ORIENTED_EDGE('',*,*,#217915,.T.); #302152=ORIENTED_EDGE('',*,*,#217914,.T.); #302153=ORIENTED_EDGE('',*,*,#217916,.F.); #302154=ORIENTED_EDGE('',*,*,#217917,.F.); #302155=ORIENTED_EDGE('',*,*,#217918,.T.); #302156=ORIENTED_EDGE('',*,*,#217917,.T.); #302157=ORIENTED_EDGE('',*,*,#217919,.F.); #302158=ORIENTED_EDGE('',*,*,#217920,.F.); #302159=ORIENTED_EDGE('',*,*,#217921,.T.); #302160=ORIENTED_EDGE('',*,*,#217920,.T.); #302161=ORIENTED_EDGE('',*,*,#217922,.F.); #302162=ORIENTED_EDGE('',*,*,#217923,.F.); #302163=ORIENTED_EDGE('',*,*,#217924,.T.); #302164=ORIENTED_EDGE('',*,*,#217923,.T.); #302165=ORIENTED_EDGE('',*,*,#217925,.F.); #302166=ORIENTED_EDGE('',*,*,#217926,.F.); #302167=ORIENTED_EDGE('',*,*,#217927,.T.); #302168=ORIENTED_EDGE('',*,*,#217926,.T.); #302169=ORIENTED_EDGE('',*,*,#217928,.F.); #302170=ORIENTED_EDGE('',*,*,#217929,.F.); #302171=ORIENTED_EDGE('',*,*,#217930,.T.); #302172=ORIENTED_EDGE('',*,*,#217929,.T.); #302173=ORIENTED_EDGE('',*,*,#217931,.F.); #302174=ORIENTED_EDGE('',*,*,#217932,.F.); #302175=ORIENTED_EDGE('',*,*,#217933,.T.); #302176=ORIENTED_EDGE('',*,*,#217932,.T.); #302177=ORIENTED_EDGE('',*,*,#217934,.F.); #302178=ORIENTED_EDGE('',*,*,#217935,.F.); #302179=ORIENTED_EDGE('',*,*,#217936,.T.); #302180=ORIENTED_EDGE('',*,*,#217935,.T.); #302181=ORIENTED_EDGE('',*,*,#217937,.F.); #302182=ORIENTED_EDGE('',*,*,#217771,.F.); #302183=ORIENTED_EDGE('',*,*,#217937,.T.); #302184=ORIENTED_EDGE('',*,*,#217934,.T.); #302185=ORIENTED_EDGE('',*,*,#217931,.T.); #302186=ORIENTED_EDGE('',*,*,#217928,.T.); #302187=ORIENTED_EDGE('',*,*,#217925,.T.); #302188=ORIENTED_EDGE('',*,*,#217922,.T.); #302189=ORIENTED_EDGE('',*,*,#217919,.T.); #302190=ORIENTED_EDGE('',*,*,#217916,.T.); #302191=ORIENTED_EDGE('',*,*,#217913,.T.); #302192=ORIENTED_EDGE('',*,*,#217910,.T.); #302193=ORIENTED_EDGE('',*,*,#217907,.T.); #302194=ORIENTED_EDGE('',*,*,#217904,.T.); #302195=ORIENTED_EDGE('',*,*,#217901,.T.); #302196=ORIENTED_EDGE('',*,*,#217898,.T.); #302197=ORIENTED_EDGE('',*,*,#217895,.T.); #302198=ORIENTED_EDGE('',*,*,#217892,.T.); #302199=ORIENTED_EDGE('',*,*,#217889,.T.); #302200=ORIENTED_EDGE('',*,*,#217886,.T.); #302201=ORIENTED_EDGE('',*,*,#217883,.T.); #302202=ORIENTED_EDGE('',*,*,#217880,.T.); #302203=ORIENTED_EDGE('',*,*,#217877,.T.); #302204=ORIENTED_EDGE('',*,*,#217874,.T.); #302205=ORIENTED_EDGE('',*,*,#217871,.T.); #302206=ORIENTED_EDGE('',*,*,#217868,.T.); #302207=ORIENTED_EDGE('',*,*,#217865,.T.); #302208=ORIENTED_EDGE('',*,*,#217862,.T.); #302209=ORIENTED_EDGE('',*,*,#217859,.T.); #302210=ORIENTED_EDGE('',*,*,#217856,.T.); #302211=ORIENTED_EDGE('',*,*,#217853,.T.); #302212=ORIENTED_EDGE('',*,*,#217850,.T.); #302213=ORIENTED_EDGE('',*,*,#217847,.T.); #302214=ORIENTED_EDGE('',*,*,#217844,.T.); #302215=ORIENTED_EDGE('',*,*,#217841,.T.); #302216=ORIENTED_EDGE('',*,*,#217838,.T.); #302217=ORIENTED_EDGE('',*,*,#217835,.T.); #302218=ORIENTED_EDGE('',*,*,#217832,.T.); #302219=ORIENTED_EDGE('',*,*,#217829,.T.); #302220=ORIENTED_EDGE('',*,*,#217826,.T.); #302221=ORIENTED_EDGE('',*,*,#217823,.T.); #302222=ORIENTED_EDGE('',*,*,#217820,.T.); #302223=ORIENTED_EDGE('',*,*,#217817,.T.); #302224=ORIENTED_EDGE('',*,*,#217814,.T.); #302225=ORIENTED_EDGE('',*,*,#217811,.T.); #302226=ORIENTED_EDGE('',*,*,#217808,.T.); #302227=ORIENTED_EDGE('',*,*,#217805,.T.); #302228=ORIENTED_EDGE('',*,*,#217802,.T.); #302229=ORIENTED_EDGE('',*,*,#217799,.T.); #302230=ORIENTED_EDGE('',*,*,#217796,.T.); #302231=ORIENTED_EDGE('',*,*,#217793,.T.); #302232=ORIENTED_EDGE('',*,*,#217790,.T.); #302233=ORIENTED_EDGE('',*,*,#217787,.T.); #302234=ORIENTED_EDGE('',*,*,#217784,.T.); #302235=ORIENTED_EDGE('',*,*,#217781,.T.); #302236=ORIENTED_EDGE('',*,*,#217778,.T.); #302237=ORIENTED_EDGE('',*,*,#217775,.T.); #302238=ORIENTED_EDGE('',*,*,#217772,.T.); #302239=ORIENTED_EDGE('',*,*,#217765,.T.); #302240=ORIENTED_EDGE('',*,*,#217768,.T.); #302241=ORIENTED_EDGE('',*,*,#217758,.T.); #302242=ORIENTED_EDGE('',*,*,#217762,.T.); #302243=ORIENTED_EDGE('',*,*,#217936,.F.); #302244=ORIENTED_EDGE('',*,*,#217770,.F.); #302245=ORIENTED_EDGE('',*,*,#217774,.F.); #302246=ORIENTED_EDGE('',*,*,#217777,.F.); #302247=ORIENTED_EDGE('',*,*,#217780,.F.); #302248=ORIENTED_EDGE('',*,*,#217783,.F.); #302249=ORIENTED_EDGE('',*,*,#217786,.F.); #302250=ORIENTED_EDGE('',*,*,#217789,.F.); #302251=ORIENTED_EDGE('',*,*,#217792,.F.); #302252=ORIENTED_EDGE('',*,*,#217795,.F.); #302253=ORIENTED_EDGE('',*,*,#217798,.F.); #302254=ORIENTED_EDGE('',*,*,#217801,.F.); #302255=ORIENTED_EDGE('',*,*,#217804,.F.); #302256=ORIENTED_EDGE('',*,*,#217807,.F.); #302257=ORIENTED_EDGE('',*,*,#217810,.F.); #302258=ORIENTED_EDGE('',*,*,#217813,.F.); #302259=ORIENTED_EDGE('',*,*,#217816,.F.); #302260=ORIENTED_EDGE('',*,*,#217819,.F.); #302261=ORIENTED_EDGE('',*,*,#217822,.F.); #302262=ORIENTED_EDGE('',*,*,#217825,.F.); #302263=ORIENTED_EDGE('',*,*,#217828,.F.); #302264=ORIENTED_EDGE('',*,*,#217831,.F.); #302265=ORIENTED_EDGE('',*,*,#217834,.F.); #302266=ORIENTED_EDGE('',*,*,#217837,.F.); #302267=ORIENTED_EDGE('',*,*,#217840,.F.); #302268=ORIENTED_EDGE('',*,*,#217843,.F.); #302269=ORIENTED_EDGE('',*,*,#217846,.F.); #302270=ORIENTED_EDGE('',*,*,#217849,.F.); #302271=ORIENTED_EDGE('',*,*,#217852,.F.); #302272=ORIENTED_EDGE('',*,*,#217855,.F.); #302273=ORIENTED_EDGE('',*,*,#217858,.F.); #302274=ORIENTED_EDGE('',*,*,#217861,.F.); #302275=ORIENTED_EDGE('',*,*,#217864,.F.); #302276=ORIENTED_EDGE('',*,*,#217867,.F.); #302277=ORIENTED_EDGE('',*,*,#217870,.F.); #302278=ORIENTED_EDGE('',*,*,#217873,.F.); #302279=ORIENTED_EDGE('',*,*,#217876,.F.); #302280=ORIENTED_EDGE('',*,*,#217879,.F.); #302281=ORIENTED_EDGE('',*,*,#217882,.F.); #302282=ORIENTED_EDGE('',*,*,#217885,.F.); #302283=ORIENTED_EDGE('',*,*,#217888,.F.); #302284=ORIENTED_EDGE('',*,*,#217891,.F.); #302285=ORIENTED_EDGE('',*,*,#217894,.F.); #302286=ORIENTED_EDGE('',*,*,#217897,.F.); #302287=ORIENTED_EDGE('',*,*,#217900,.F.); #302288=ORIENTED_EDGE('',*,*,#217903,.F.); #302289=ORIENTED_EDGE('',*,*,#217906,.F.); #302290=ORIENTED_EDGE('',*,*,#217909,.F.); #302291=ORIENTED_EDGE('',*,*,#217912,.F.); #302292=ORIENTED_EDGE('',*,*,#217915,.F.); #302293=ORIENTED_EDGE('',*,*,#217918,.F.); #302294=ORIENTED_EDGE('',*,*,#217921,.F.); #302295=ORIENTED_EDGE('',*,*,#217924,.F.); #302296=ORIENTED_EDGE('',*,*,#217927,.F.); #302297=ORIENTED_EDGE('',*,*,#217930,.F.); #302298=ORIENTED_EDGE('',*,*,#217933,.F.); #302299=ORIENTED_EDGE('',*,*,#217766,.T.); #302300=ORIENTED_EDGE('',*,*,#217763,.T.); #302301=ORIENTED_EDGE('',*,*,#217760,.T.); #302302=ORIENTED_EDGE('',*,*,#217769,.T.); #302303=ORIENTED_EDGE('',*,*,#217938,.F.); #302304=ORIENTED_EDGE('',*,*,#217939,.F.); #302305=ORIENTED_EDGE('',*,*,#217940,.F.); #302306=ORIENTED_EDGE('',*,*,#217941,.T.); #302307=ORIENTED_EDGE('',*,*,#217942,.F.); #302308=ORIENTED_EDGE('',*,*,#217941,.F.); #302309=ORIENTED_EDGE('',*,*,#217943,.F.); #302310=ORIENTED_EDGE('',*,*,#217944,.T.); #302311=ORIENTED_EDGE('',*,*,#217945,.F.); #302312=ORIENTED_EDGE('',*,*,#217944,.F.); #302313=ORIENTED_EDGE('',*,*,#217946,.F.); #302314=ORIENTED_EDGE('',*,*,#217947,.T.); #302315=ORIENTED_EDGE('',*,*,#217948,.F.); #302316=ORIENTED_EDGE('',*,*,#217947,.F.); #302317=ORIENTED_EDGE('',*,*,#217949,.F.); #302318=ORIENTED_EDGE('',*,*,#217939,.T.); #302319=ORIENTED_EDGE('',*,*,#217950,.T.); #302320=ORIENTED_EDGE('',*,*,#217951,.T.); #302321=ORIENTED_EDGE('',*,*,#217952,.F.); #302322=ORIENTED_EDGE('',*,*,#217953,.F.); #302323=ORIENTED_EDGE('',*,*,#217954,.T.); #302324=ORIENTED_EDGE('',*,*,#217953,.T.); #302325=ORIENTED_EDGE('',*,*,#217955,.F.); #302326=ORIENTED_EDGE('',*,*,#217956,.F.); #302327=ORIENTED_EDGE('',*,*,#217957,.T.); #302328=ORIENTED_EDGE('',*,*,#217956,.T.); #302329=ORIENTED_EDGE('',*,*,#217958,.F.); #302330=ORIENTED_EDGE('',*,*,#217959,.F.); #302331=ORIENTED_EDGE('',*,*,#217960,.T.); #302332=ORIENTED_EDGE('',*,*,#217959,.T.); #302333=ORIENTED_EDGE('',*,*,#217961,.F.); #302334=ORIENTED_EDGE('',*,*,#217962,.F.); #302335=ORIENTED_EDGE('',*,*,#217963,.T.); #302336=ORIENTED_EDGE('',*,*,#217962,.T.); #302337=ORIENTED_EDGE('',*,*,#217964,.F.); #302338=ORIENTED_EDGE('',*,*,#217965,.F.); #302339=ORIENTED_EDGE('',*,*,#217966,.T.); #302340=ORIENTED_EDGE('',*,*,#217965,.T.); #302341=ORIENTED_EDGE('',*,*,#217967,.F.); #302342=ORIENTED_EDGE('',*,*,#217968,.F.); #302343=ORIENTED_EDGE('',*,*,#217969,.T.); #302344=ORIENTED_EDGE('',*,*,#217968,.T.); #302345=ORIENTED_EDGE('',*,*,#217970,.F.); #302346=ORIENTED_EDGE('',*,*,#217971,.F.); #302347=ORIENTED_EDGE('',*,*,#217972,.T.); #302348=ORIENTED_EDGE('',*,*,#217971,.T.); #302349=ORIENTED_EDGE('',*,*,#217973,.F.); #302350=ORIENTED_EDGE('',*,*,#217974,.F.); #302351=ORIENTED_EDGE('',*,*,#217975,.T.); #302352=ORIENTED_EDGE('',*,*,#217974,.T.); #302353=ORIENTED_EDGE('',*,*,#217976,.F.); #302354=ORIENTED_EDGE('',*,*,#217977,.F.); #302355=ORIENTED_EDGE('',*,*,#217978,.T.); #302356=ORIENTED_EDGE('',*,*,#217977,.T.); #302357=ORIENTED_EDGE('',*,*,#217979,.F.); #302358=ORIENTED_EDGE('',*,*,#217980,.F.); #302359=ORIENTED_EDGE('',*,*,#217981,.T.); #302360=ORIENTED_EDGE('',*,*,#217980,.T.); #302361=ORIENTED_EDGE('',*,*,#217982,.F.); #302362=ORIENTED_EDGE('',*,*,#217983,.F.); #302363=ORIENTED_EDGE('',*,*,#217984,.T.); #302364=ORIENTED_EDGE('',*,*,#217983,.T.); #302365=ORIENTED_EDGE('',*,*,#217985,.F.); #302366=ORIENTED_EDGE('',*,*,#217986,.F.); #302367=ORIENTED_EDGE('',*,*,#217987,.T.); #302368=ORIENTED_EDGE('',*,*,#217986,.T.); #302369=ORIENTED_EDGE('',*,*,#217988,.F.); #302370=ORIENTED_EDGE('',*,*,#217989,.F.); #302371=ORIENTED_EDGE('',*,*,#217990,.T.); #302372=ORIENTED_EDGE('',*,*,#217989,.T.); #302373=ORIENTED_EDGE('',*,*,#217991,.F.); #302374=ORIENTED_EDGE('',*,*,#217992,.F.); #302375=ORIENTED_EDGE('',*,*,#217993,.T.); #302376=ORIENTED_EDGE('',*,*,#217992,.T.); #302377=ORIENTED_EDGE('',*,*,#217994,.F.); #302378=ORIENTED_EDGE('',*,*,#217995,.F.); #302379=ORIENTED_EDGE('',*,*,#217996,.T.); #302380=ORIENTED_EDGE('',*,*,#217995,.T.); #302381=ORIENTED_EDGE('',*,*,#217997,.F.); #302382=ORIENTED_EDGE('',*,*,#217998,.F.); #302383=ORIENTED_EDGE('',*,*,#217999,.T.); #302384=ORIENTED_EDGE('',*,*,#217998,.T.); #302385=ORIENTED_EDGE('',*,*,#218000,.F.); #302386=ORIENTED_EDGE('',*,*,#218001,.F.); #302387=ORIENTED_EDGE('',*,*,#218002,.T.); #302388=ORIENTED_EDGE('',*,*,#218001,.T.); #302389=ORIENTED_EDGE('',*,*,#218003,.F.); #302390=ORIENTED_EDGE('',*,*,#218004,.F.); #302391=ORIENTED_EDGE('',*,*,#218005,.T.); #302392=ORIENTED_EDGE('',*,*,#218004,.T.); #302393=ORIENTED_EDGE('',*,*,#218006,.F.); #302394=ORIENTED_EDGE('',*,*,#218007,.F.); #302395=ORIENTED_EDGE('',*,*,#218008,.T.); #302396=ORIENTED_EDGE('',*,*,#218007,.T.); #302397=ORIENTED_EDGE('',*,*,#218009,.F.); #302398=ORIENTED_EDGE('',*,*,#218010,.F.); #302399=ORIENTED_EDGE('',*,*,#218011,.T.); #302400=ORIENTED_EDGE('',*,*,#218010,.T.); #302401=ORIENTED_EDGE('',*,*,#218012,.F.); #302402=ORIENTED_EDGE('',*,*,#218013,.F.); #302403=ORIENTED_EDGE('',*,*,#218014,.T.); #302404=ORIENTED_EDGE('',*,*,#218013,.T.); #302405=ORIENTED_EDGE('',*,*,#218015,.F.); #302406=ORIENTED_EDGE('',*,*,#218016,.F.); #302407=ORIENTED_EDGE('',*,*,#218017,.T.); #302408=ORIENTED_EDGE('',*,*,#218016,.T.); #302409=ORIENTED_EDGE('',*,*,#218018,.F.); #302410=ORIENTED_EDGE('',*,*,#218019,.F.); #302411=ORIENTED_EDGE('',*,*,#218020,.T.); #302412=ORIENTED_EDGE('',*,*,#218019,.T.); #302413=ORIENTED_EDGE('',*,*,#218021,.F.); #302414=ORIENTED_EDGE('',*,*,#218022,.F.); #302415=ORIENTED_EDGE('',*,*,#218023,.T.); #302416=ORIENTED_EDGE('',*,*,#218022,.T.); #302417=ORIENTED_EDGE('',*,*,#218024,.F.); #302418=ORIENTED_EDGE('',*,*,#218025,.F.); #302419=ORIENTED_EDGE('',*,*,#218026,.T.); #302420=ORIENTED_EDGE('',*,*,#218025,.T.); #302421=ORIENTED_EDGE('',*,*,#218027,.F.); #302422=ORIENTED_EDGE('',*,*,#218028,.F.); #302423=ORIENTED_EDGE('',*,*,#218029,.T.); #302424=ORIENTED_EDGE('',*,*,#218028,.T.); #302425=ORIENTED_EDGE('',*,*,#218030,.F.); #302426=ORIENTED_EDGE('',*,*,#218031,.F.); #302427=ORIENTED_EDGE('',*,*,#218032,.T.); #302428=ORIENTED_EDGE('',*,*,#218031,.T.); #302429=ORIENTED_EDGE('',*,*,#218033,.F.); #302430=ORIENTED_EDGE('',*,*,#218034,.F.); #302431=ORIENTED_EDGE('',*,*,#218035,.T.); #302432=ORIENTED_EDGE('',*,*,#218034,.T.); #302433=ORIENTED_EDGE('',*,*,#218036,.F.); #302434=ORIENTED_EDGE('',*,*,#218037,.F.); #302435=ORIENTED_EDGE('',*,*,#218038,.T.); #302436=ORIENTED_EDGE('',*,*,#218037,.T.); #302437=ORIENTED_EDGE('',*,*,#218039,.F.); #302438=ORIENTED_EDGE('',*,*,#218040,.F.); #302439=ORIENTED_EDGE('',*,*,#218041,.T.); #302440=ORIENTED_EDGE('',*,*,#218040,.T.); #302441=ORIENTED_EDGE('',*,*,#218042,.F.); #302442=ORIENTED_EDGE('',*,*,#218043,.F.); #302443=ORIENTED_EDGE('',*,*,#218044,.T.); #302444=ORIENTED_EDGE('',*,*,#218043,.T.); #302445=ORIENTED_EDGE('',*,*,#218045,.F.); #302446=ORIENTED_EDGE('',*,*,#218046,.F.); #302447=ORIENTED_EDGE('',*,*,#218047,.T.); #302448=ORIENTED_EDGE('',*,*,#218046,.T.); #302449=ORIENTED_EDGE('',*,*,#218048,.F.); #302450=ORIENTED_EDGE('',*,*,#218049,.F.); #302451=ORIENTED_EDGE('',*,*,#218050,.T.); #302452=ORIENTED_EDGE('',*,*,#218049,.T.); #302453=ORIENTED_EDGE('',*,*,#218051,.F.); #302454=ORIENTED_EDGE('',*,*,#218052,.F.); #302455=ORIENTED_EDGE('',*,*,#218053,.T.); #302456=ORIENTED_EDGE('',*,*,#218052,.T.); #302457=ORIENTED_EDGE('',*,*,#218054,.F.); #302458=ORIENTED_EDGE('',*,*,#218055,.F.); #302459=ORIENTED_EDGE('',*,*,#218056,.T.); #302460=ORIENTED_EDGE('',*,*,#218055,.T.); #302461=ORIENTED_EDGE('',*,*,#218057,.F.); #302462=ORIENTED_EDGE('',*,*,#218058,.F.); #302463=ORIENTED_EDGE('',*,*,#218059,.T.); #302464=ORIENTED_EDGE('',*,*,#218058,.T.); #302465=ORIENTED_EDGE('',*,*,#218060,.F.); #302466=ORIENTED_EDGE('',*,*,#218061,.F.); #302467=ORIENTED_EDGE('',*,*,#218062,.T.); #302468=ORIENTED_EDGE('',*,*,#218061,.T.); #302469=ORIENTED_EDGE('',*,*,#218063,.F.); #302470=ORIENTED_EDGE('',*,*,#218064,.F.); #302471=ORIENTED_EDGE('',*,*,#218065,.T.); #302472=ORIENTED_EDGE('',*,*,#218064,.T.); #302473=ORIENTED_EDGE('',*,*,#218066,.F.); #302474=ORIENTED_EDGE('',*,*,#218067,.F.); #302475=ORIENTED_EDGE('',*,*,#218068,.T.); #302476=ORIENTED_EDGE('',*,*,#218067,.T.); #302477=ORIENTED_EDGE('',*,*,#218069,.F.); #302478=ORIENTED_EDGE('',*,*,#218070,.F.); #302479=ORIENTED_EDGE('',*,*,#218071,.T.); #302480=ORIENTED_EDGE('',*,*,#218070,.T.); #302481=ORIENTED_EDGE('',*,*,#218072,.F.); #302482=ORIENTED_EDGE('',*,*,#218073,.F.); #302483=ORIENTED_EDGE('',*,*,#218074,.T.); #302484=ORIENTED_EDGE('',*,*,#218073,.T.); #302485=ORIENTED_EDGE('',*,*,#218075,.F.); #302486=ORIENTED_EDGE('',*,*,#218076,.F.); #302487=ORIENTED_EDGE('',*,*,#218077,.T.); #302488=ORIENTED_EDGE('',*,*,#218076,.T.); #302489=ORIENTED_EDGE('',*,*,#218078,.F.); #302490=ORIENTED_EDGE('',*,*,#218079,.F.); #302491=ORIENTED_EDGE('',*,*,#218080,.T.); #302492=ORIENTED_EDGE('',*,*,#218079,.T.); #302493=ORIENTED_EDGE('',*,*,#218081,.F.); #302494=ORIENTED_EDGE('',*,*,#218082,.F.); #302495=ORIENTED_EDGE('',*,*,#218083,.T.); #302496=ORIENTED_EDGE('',*,*,#218082,.T.); #302497=ORIENTED_EDGE('',*,*,#218084,.F.); #302498=ORIENTED_EDGE('',*,*,#218085,.F.); #302499=ORIENTED_EDGE('',*,*,#218086,.T.); #302500=ORIENTED_EDGE('',*,*,#218085,.T.); #302501=ORIENTED_EDGE('',*,*,#218087,.F.); #302502=ORIENTED_EDGE('',*,*,#218088,.F.); #302503=ORIENTED_EDGE('',*,*,#218089,.T.); #302504=ORIENTED_EDGE('',*,*,#218088,.T.); #302505=ORIENTED_EDGE('',*,*,#218090,.F.); #302506=ORIENTED_EDGE('',*,*,#218091,.F.); #302507=ORIENTED_EDGE('',*,*,#218092,.T.); #302508=ORIENTED_EDGE('',*,*,#218091,.T.); #302509=ORIENTED_EDGE('',*,*,#218093,.F.); #302510=ORIENTED_EDGE('',*,*,#218094,.F.); #302511=ORIENTED_EDGE('',*,*,#218095,.T.); #302512=ORIENTED_EDGE('',*,*,#218094,.T.); #302513=ORIENTED_EDGE('',*,*,#218096,.F.); #302514=ORIENTED_EDGE('',*,*,#218097,.F.); #302515=ORIENTED_EDGE('',*,*,#218098,.T.); #302516=ORIENTED_EDGE('',*,*,#218097,.T.); #302517=ORIENTED_EDGE('',*,*,#218099,.F.); #302518=ORIENTED_EDGE('',*,*,#218100,.F.); #302519=ORIENTED_EDGE('',*,*,#218101,.T.); #302520=ORIENTED_EDGE('',*,*,#218100,.T.); #302521=ORIENTED_EDGE('',*,*,#218102,.F.); #302522=ORIENTED_EDGE('',*,*,#218103,.F.); #302523=ORIENTED_EDGE('',*,*,#218104,.T.); #302524=ORIENTED_EDGE('',*,*,#218103,.T.); #302525=ORIENTED_EDGE('',*,*,#218105,.F.); #302526=ORIENTED_EDGE('',*,*,#218106,.F.); #302527=ORIENTED_EDGE('',*,*,#218107,.T.); #302528=ORIENTED_EDGE('',*,*,#218106,.T.); #302529=ORIENTED_EDGE('',*,*,#218108,.F.); #302530=ORIENTED_EDGE('',*,*,#218109,.F.); #302531=ORIENTED_EDGE('',*,*,#218110,.T.); #302532=ORIENTED_EDGE('',*,*,#218109,.T.); #302533=ORIENTED_EDGE('',*,*,#218111,.F.); #302534=ORIENTED_EDGE('',*,*,#218112,.F.); #302535=ORIENTED_EDGE('',*,*,#218113,.T.); #302536=ORIENTED_EDGE('',*,*,#218112,.T.); #302537=ORIENTED_EDGE('',*,*,#218114,.F.); #302538=ORIENTED_EDGE('',*,*,#218115,.F.); #302539=ORIENTED_EDGE('',*,*,#218116,.T.); #302540=ORIENTED_EDGE('',*,*,#218115,.T.); #302541=ORIENTED_EDGE('',*,*,#218117,.F.); #302542=ORIENTED_EDGE('',*,*,#218118,.F.); #302543=ORIENTED_EDGE('',*,*,#218119,.T.); #302544=ORIENTED_EDGE('',*,*,#218118,.T.); #302545=ORIENTED_EDGE('',*,*,#218120,.F.); #302546=ORIENTED_EDGE('',*,*,#218121,.F.); #302547=ORIENTED_EDGE('',*,*,#218122,.T.); #302548=ORIENTED_EDGE('',*,*,#218121,.T.); #302549=ORIENTED_EDGE('',*,*,#218123,.F.); #302550=ORIENTED_EDGE('',*,*,#218124,.F.); #302551=ORIENTED_EDGE('',*,*,#218125,.T.); #302552=ORIENTED_EDGE('',*,*,#218124,.T.); #302553=ORIENTED_EDGE('',*,*,#218126,.F.); #302554=ORIENTED_EDGE('',*,*,#218127,.F.); #302555=ORIENTED_EDGE('',*,*,#218128,.T.); #302556=ORIENTED_EDGE('',*,*,#218127,.T.); #302557=ORIENTED_EDGE('',*,*,#218129,.F.); #302558=ORIENTED_EDGE('',*,*,#218130,.F.); #302559=ORIENTED_EDGE('',*,*,#218131,.T.); #302560=ORIENTED_EDGE('',*,*,#218130,.T.); #302561=ORIENTED_EDGE('',*,*,#218132,.F.); #302562=ORIENTED_EDGE('',*,*,#218133,.F.); #302563=ORIENTED_EDGE('',*,*,#218134,.T.); #302564=ORIENTED_EDGE('',*,*,#218133,.T.); #302565=ORIENTED_EDGE('',*,*,#218135,.F.); #302566=ORIENTED_EDGE('',*,*,#218136,.F.); #302567=ORIENTED_EDGE('',*,*,#218137,.T.); #302568=ORIENTED_EDGE('',*,*,#218136,.T.); #302569=ORIENTED_EDGE('',*,*,#218138,.F.); #302570=ORIENTED_EDGE('',*,*,#218139,.F.); #302571=ORIENTED_EDGE('',*,*,#218140,.T.); #302572=ORIENTED_EDGE('',*,*,#218139,.T.); #302573=ORIENTED_EDGE('',*,*,#218141,.F.); #302574=ORIENTED_EDGE('',*,*,#218142,.F.); #302575=ORIENTED_EDGE('',*,*,#218143,.T.); #302576=ORIENTED_EDGE('',*,*,#218142,.T.); #302577=ORIENTED_EDGE('',*,*,#218144,.F.); #302578=ORIENTED_EDGE('',*,*,#218145,.F.); #302579=ORIENTED_EDGE('',*,*,#218146,.T.); #302580=ORIENTED_EDGE('',*,*,#218145,.T.); #302581=ORIENTED_EDGE('',*,*,#218147,.F.); #302582=ORIENTED_EDGE('',*,*,#218148,.F.); #302583=ORIENTED_EDGE('',*,*,#218149,.T.); #302584=ORIENTED_EDGE('',*,*,#218148,.T.); #302585=ORIENTED_EDGE('',*,*,#218150,.F.); #302586=ORIENTED_EDGE('',*,*,#218151,.F.); #302587=ORIENTED_EDGE('',*,*,#218152,.T.); #302588=ORIENTED_EDGE('',*,*,#218151,.T.); #302589=ORIENTED_EDGE('',*,*,#218153,.F.); #302590=ORIENTED_EDGE('',*,*,#218154,.F.); #302591=ORIENTED_EDGE('',*,*,#218155,.T.); #302592=ORIENTED_EDGE('',*,*,#218154,.T.); #302593=ORIENTED_EDGE('',*,*,#218156,.F.); #302594=ORIENTED_EDGE('',*,*,#218157,.F.); #302595=ORIENTED_EDGE('',*,*,#218158,.T.); #302596=ORIENTED_EDGE('',*,*,#218157,.T.); #302597=ORIENTED_EDGE('',*,*,#218159,.F.); #302598=ORIENTED_EDGE('',*,*,#218160,.F.); #302599=ORIENTED_EDGE('',*,*,#218161,.T.); #302600=ORIENTED_EDGE('',*,*,#218160,.T.); #302601=ORIENTED_EDGE('',*,*,#218162,.F.); #302602=ORIENTED_EDGE('',*,*,#218163,.F.); #302603=ORIENTED_EDGE('',*,*,#218164,.T.); #302604=ORIENTED_EDGE('',*,*,#218163,.T.); #302605=ORIENTED_EDGE('',*,*,#218165,.F.); #302606=ORIENTED_EDGE('',*,*,#217951,.F.); #302607=ORIENTED_EDGE('',*,*,#218165,.T.); #302608=ORIENTED_EDGE('',*,*,#218162,.T.); #302609=ORIENTED_EDGE('',*,*,#218159,.T.); #302610=ORIENTED_EDGE('',*,*,#218156,.T.); #302611=ORIENTED_EDGE('',*,*,#218153,.T.); #302612=ORIENTED_EDGE('',*,*,#218150,.T.); #302613=ORIENTED_EDGE('',*,*,#218147,.T.); #302614=ORIENTED_EDGE('',*,*,#218144,.T.); #302615=ORIENTED_EDGE('',*,*,#218141,.T.); #302616=ORIENTED_EDGE('',*,*,#218138,.T.); #302617=ORIENTED_EDGE('',*,*,#218135,.T.); #302618=ORIENTED_EDGE('',*,*,#218132,.T.); #302619=ORIENTED_EDGE('',*,*,#218129,.T.); #302620=ORIENTED_EDGE('',*,*,#218126,.T.); #302621=ORIENTED_EDGE('',*,*,#218123,.T.); #302622=ORIENTED_EDGE('',*,*,#218120,.T.); #302623=ORIENTED_EDGE('',*,*,#218117,.T.); #302624=ORIENTED_EDGE('',*,*,#218114,.T.); #302625=ORIENTED_EDGE('',*,*,#218111,.T.); #302626=ORIENTED_EDGE('',*,*,#218108,.T.); #302627=ORIENTED_EDGE('',*,*,#218105,.T.); #302628=ORIENTED_EDGE('',*,*,#218102,.T.); #302629=ORIENTED_EDGE('',*,*,#218099,.T.); #302630=ORIENTED_EDGE('',*,*,#218096,.T.); #302631=ORIENTED_EDGE('',*,*,#218093,.T.); #302632=ORIENTED_EDGE('',*,*,#218090,.T.); #302633=ORIENTED_EDGE('',*,*,#218087,.T.); #302634=ORIENTED_EDGE('',*,*,#218084,.T.); #302635=ORIENTED_EDGE('',*,*,#218081,.T.); #302636=ORIENTED_EDGE('',*,*,#218078,.T.); #302637=ORIENTED_EDGE('',*,*,#218075,.T.); #302638=ORIENTED_EDGE('',*,*,#218072,.T.); #302639=ORIENTED_EDGE('',*,*,#218069,.T.); #302640=ORIENTED_EDGE('',*,*,#218066,.T.); #302641=ORIENTED_EDGE('',*,*,#218063,.T.); #302642=ORIENTED_EDGE('',*,*,#218060,.T.); #302643=ORIENTED_EDGE('',*,*,#218057,.T.); #302644=ORIENTED_EDGE('',*,*,#218054,.T.); #302645=ORIENTED_EDGE('',*,*,#218051,.T.); #302646=ORIENTED_EDGE('',*,*,#218048,.T.); #302647=ORIENTED_EDGE('',*,*,#218045,.T.); #302648=ORIENTED_EDGE('',*,*,#218042,.T.); #302649=ORIENTED_EDGE('',*,*,#218039,.T.); #302650=ORIENTED_EDGE('',*,*,#218036,.T.); #302651=ORIENTED_EDGE('',*,*,#218033,.T.); #302652=ORIENTED_EDGE('',*,*,#218030,.T.); #302653=ORIENTED_EDGE('',*,*,#218027,.T.); #302654=ORIENTED_EDGE('',*,*,#218024,.T.); #302655=ORIENTED_EDGE('',*,*,#218021,.T.); #302656=ORIENTED_EDGE('',*,*,#218018,.T.); #302657=ORIENTED_EDGE('',*,*,#218015,.T.); #302658=ORIENTED_EDGE('',*,*,#218012,.T.); #302659=ORIENTED_EDGE('',*,*,#218009,.T.); #302660=ORIENTED_EDGE('',*,*,#218006,.T.); #302661=ORIENTED_EDGE('',*,*,#218003,.T.); #302662=ORIENTED_EDGE('',*,*,#218000,.T.); #302663=ORIENTED_EDGE('',*,*,#217997,.T.); #302664=ORIENTED_EDGE('',*,*,#217994,.T.); #302665=ORIENTED_EDGE('',*,*,#217991,.T.); #302666=ORIENTED_EDGE('',*,*,#217988,.T.); #302667=ORIENTED_EDGE('',*,*,#217985,.T.); #302668=ORIENTED_EDGE('',*,*,#217982,.T.); #302669=ORIENTED_EDGE('',*,*,#217979,.T.); #302670=ORIENTED_EDGE('',*,*,#217976,.T.); #302671=ORIENTED_EDGE('',*,*,#217973,.T.); #302672=ORIENTED_EDGE('',*,*,#217970,.T.); #302673=ORIENTED_EDGE('',*,*,#217967,.T.); #302674=ORIENTED_EDGE('',*,*,#217964,.T.); #302675=ORIENTED_EDGE('',*,*,#217961,.T.); #302676=ORIENTED_EDGE('',*,*,#217958,.T.); #302677=ORIENTED_EDGE('',*,*,#217955,.T.); #302678=ORIENTED_EDGE('',*,*,#217952,.T.); #302679=ORIENTED_EDGE('',*,*,#217945,.T.); #302680=ORIENTED_EDGE('',*,*,#217948,.T.); #302681=ORIENTED_EDGE('',*,*,#217938,.T.); #302682=ORIENTED_EDGE('',*,*,#217942,.T.); #302683=ORIENTED_EDGE('',*,*,#218164,.F.); #302684=ORIENTED_EDGE('',*,*,#217950,.F.); #302685=ORIENTED_EDGE('',*,*,#217954,.F.); #302686=ORIENTED_EDGE('',*,*,#217957,.F.); #302687=ORIENTED_EDGE('',*,*,#217960,.F.); #302688=ORIENTED_EDGE('',*,*,#217963,.F.); #302689=ORIENTED_EDGE('',*,*,#217966,.F.); #302690=ORIENTED_EDGE('',*,*,#217969,.F.); #302691=ORIENTED_EDGE('',*,*,#217972,.F.); #302692=ORIENTED_EDGE('',*,*,#217975,.F.); #302693=ORIENTED_EDGE('',*,*,#217978,.F.); #302694=ORIENTED_EDGE('',*,*,#217981,.F.); #302695=ORIENTED_EDGE('',*,*,#217984,.F.); #302696=ORIENTED_EDGE('',*,*,#217987,.F.); #302697=ORIENTED_EDGE('',*,*,#217990,.F.); #302698=ORIENTED_EDGE('',*,*,#217993,.F.); #302699=ORIENTED_EDGE('',*,*,#217996,.F.); #302700=ORIENTED_EDGE('',*,*,#217999,.F.); #302701=ORIENTED_EDGE('',*,*,#218002,.F.); #302702=ORIENTED_EDGE('',*,*,#218005,.F.); #302703=ORIENTED_EDGE('',*,*,#218008,.F.); #302704=ORIENTED_EDGE('',*,*,#218011,.F.); #302705=ORIENTED_EDGE('',*,*,#218014,.F.); #302706=ORIENTED_EDGE('',*,*,#218017,.F.); #302707=ORIENTED_EDGE('',*,*,#218020,.F.); #302708=ORIENTED_EDGE('',*,*,#218023,.F.); #302709=ORIENTED_EDGE('',*,*,#218026,.F.); #302710=ORIENTED_EDGE('',*,*,#218029,.F.); #302711=ORIENTED_EDGE('',*,*,#218032,.F.); #302712=ORIENTED_EDGE('',*,*,#218035,.F.); #302713=ORIENTED_EDGE('',*,*,#218038,.F.); #302714=ORIENTED_EDGE('',*,*,#218041,.F.); #302715=ORIENTED_EDGE('',*,*,#218044,.F.); #302716=ORIENTED_EDGE('',*,*,#218047,.F.); #302717=ORIENTED_EDGE('',*,*,#218050,.F.); #302718=ORIENTED_EDGE('',*,*,#218053,.F.); #302719=ORIENTED_EDGE('',*,*,#218056,.F.); #302720=ORIENTED_EDGE('',*,*,#218059,.F.); #302721=ORIENTED_EDGE('',*,*,#218062,.F.); #302722=ORIENTED_EDGE('',*,*,#218065,.F.); #302723=ORIENTED_EDGE('',*,*,#218068,.F.); #302724=ORIENTED_EDGE('',*,*,#218071,.F.); #302725=ORIENTED_EDGE('',*,*,#218074,.F.); #302726=ORIENTED_EDGE('',*,*,#218077,.F.); #302727=ORIENTED_EDGE('',*,*,#218080,.F.); #302728=ORIENTED_EDGE('',*,*,#218083,.F.); #302729=ORIENTED_EDGE('',*,*,#218086,.F.); #302730=ORIENTED_EDGE('',*,*,#218089,.F.); #302731=ORIENTED_EDGE('',*,*,#218092,.F.); #302732=ORIENTED_EDGE('',*,*,#218095,.F.); #302733=ORIENTED_EDGE('',*,*,#218098,.F.); #302734=ORIENTED_EDGE('',*,*,#218101,.F.); #302735=ORIENTED_EDGE('',*,*,#218104,.F.); #302736=ORIENTED_EDGE('',*,*,#218107,.F.); #302737=ORIENTED_EDGE('',*,*,#218110,.F.); #302738=ORIENTED_EDGE('',*,*,#218113,.F.); #302739=ORIENTED_EDGE('',*,*,#218116,.F.); #302740=ORIENTED_EDGE('',*,*,#218119,.F.); #302741=ORIENTED_EDGE('',*,*,#218122,.F.); #302742=ORIENTED_EDGE('',*,*,#218125,.F.); #302743=ORIENTED_EDGE('',*,*,#218128,.F.); #302744=ORIENTED_EDGE('',*,*,#218131,.F.); #302745=ORIENTED_EDGE('',*,*,#218134,.F.); #302746=ORIENTED_EDGE('',*,*,#218137,.F.); #302747=ORIENTED_EDGE('',*,*,#218140,.F.); #302748=ORIENTED_EDGE('',*,*,#218143,.F.); #302749=ORIENTED_EDGE('',*,*,#218146,.F.); #302750=ORIENTED_EDGE('',*,*,#218149,.F.); #302751=ORIENTED_EDGE('',*,*,#218152,.F.); #302752=ORIENTED_EDGE('',*,*,#218155,.F.); #302753=ORIENTED_EDGE('',*,*,#218158,.F.); #302754=ORIENTED_EDGE('',*,*,#218161,.F.); #302755=ORIENTED_EDGE('',*,*,#217946,.T.); #302756=ORIENTED_EDGE('',*,*,#217943,.T.); #302757=ORIENTED_EDGE('',*,*,#217940,.T.); #302758=ORIENTED_EDGE('',*,*,#217949,.T.); #302759=ORIENTED_EDGE('',*,*,#218166,.F.); #302760=ORIENTED_EDGE('',*,*,#218167,.T.); #302761=ORIENTED_EDGE('',*,*,#218168,.F.); #302762=ORIENTED_EDGE('',*,*,#218167,.F.); #302763=ORIENTED_EDGE('',*,*,#218169,.T.); #302764=ORIENTED_EDGE('',*,*,#218170,.T.); #302765=ORIENTED_EDGE('',*,*,#218171,.F.); #302766=ORIENTED_EDGE('',*,*,#218172,.F.); #302767=ORIENTED_EDGE('',*,*,#218173,.T.); #302768=ORIENTED_EDGE('',*,*,#218172,.T.); #302769=ORIENTED_EDGE('',*,*,#218174,.F.); #302770=ORIENTED_EDGE('',*,*,#218175,.F.); #302771=ORIENTED_EDGE('',*,*,#218176,.T.); #302772=ORIENTED_EDGE('',*,*,#218175,.T.); #302773=ORIENTED_EDGE('',*,*,#218177,.F.); #302774=ORIENTED_EDGE('',*,*,#218170,.F.); #302775=ORIENTED_EDGE('',*,*,#218177,.T.); #302776=ORIENTED_EDGE('',*,*,#218174,.T.); #302777=ORIENTED_EDGE('',*,*,#218171,.T.); #302778=ORIENTED_EDGE('',*,*,#218168,.T.); #302779=ORIENTED_EDGE('',*,*,#218176,.F.); #302780=ORIENTED_EDGE('',*,*,#218169,.F.); #302781=ORIENTED_EDGE('',*,*,#218173,.F.); #302782=ORIENTED_EDGE('',*,*,#218166,.T.); #302783=ORIENTED_EDGE('',*,*,#218178,.F.); #302784=ORIENTED_EDGE('',*,*,#218179,.T.); #302785=ORIENTED_EDGE('',*,*,#218180,.F.); #302786=ORIENTED_EDGE('',*,*,#218179,.F.); #302787=ORIENTED_EDGE('',*,*,#218181,.T.); #302788=ORIENTED_EDGE('',*,*,#218182,.T.); #302789=ORIENTED_EDGE('',*,*,#218183,.F.); #302790=ORIENTED_EDGE('',*,*,#218184,.F.); #302791=ORIENTED_EDGE('',*,*,#218185,.T.); #302792=ORIENTED_EDGE('',*,*,#218184,.T.); #302793=ORIENTED_EDGE('',*,*,#218186,.F.); #302794=ORIENTED_EDGE('',*,*,#218187,.F.); #302795=ORIENTED_EDGE('',*,*,#218188,.T.); #302796=ORIENTED_EDGE('',*,*,#218187,.T.); #302797=ORIENTED_EDGE('',*,*,#218189,.F.); #302798=ORIENTED_EDGE('',*,*,#218182,.F.); #302799=ORIENTED_EDGE('',*,*,#218189,.T.); #302800=ORIENTED_EDGE('',*,*,#218186,.T.); #302801=ORIENTED_EDGE('',*,*,#218183,.T.); #302802=ORIENTED_EDGE('',*,*,#218180,.T.); #302803=ORIENTED_EDGE('',*,*,#218188,.F.); #302804=ORIENTED_EDGE('',*,*,#218181,.F.); #302805=ORIENTED_EDGE('',*,*,#218185,.F.); #302806=ORIENTED_EDGE('',*,*,#218178,.T.); #302807=ORIENTED_EDGE('',*,*,#218190,.F.); #302808=ORIENTED_EDGE('',*,*,#218191,.F.); #302809=ORIENTED_EDGE('',*,*,#218192,.F.); #302810=ORIENTED_EDGE('',*,*,#218193,.T.); #302811=ORIENTED_EDGE('',*,*,#218194,.F.); #302812=ORIENTED_EDGE('',*,*,#218193,.F.); #302813=ORIENTED_EDGE('',*,*,#218195,.F.); #302814=ORIENTED_EDGE('',*,*,#218196,.T.); #302815=ORIENTED_EDGE('',*,*,#218197,.F.); #302816=ORIENTED_EDGE('',*,*,#218196,.F.); #302817=ORIENTED_EDGE('',*,*,#218198,.F.); #302818=ORIENTED_EDGE('',*,*,#218199,.T.); #302819=ORIENTED_EDGE('',*,*,#218200,.F.); #302820=ORIENTED_EDGE('',*,*,#218199,.F.); #302821=ORIENTED_EDGE('',*,*,#218201,.F.); #302822=ORIENTED_EDGE('',*,*,#218191,.T.); #302823=ORIENTED_EDGE('',*,*,#218202,.T.); #302824=ORIENTED_EDGE('',*,*,#218203,.T.); #302825=ORIENTED_EDGE('',*,*,#218204,.F.); #302826=ORIENTED_EDGE('',*,*,#218205,.F.); #302827=ORIENTED_EDGE('',*,*,#218206,.T.); #302828=ORIENTED_EDGE('',*,*,#218205,.T.); #302829=ORIENTED_EDGE('',*,*,#218207,.F.); #302830=ORIENTED_EDGE('',*,*,#218208,.F.); #302831=ORIENTED_EDGE('',*,*,#218209,.T.); #302832=ORIENTED_EDGE('',*,*,#218208,.T.); #302833=ORIENTED_EDGE('',*,*,#218210,.F.); #302834=ORIENTED_EDGE('',*,*,#218211,.F.); #302835=ORIENTED_EDGE('',*,*,#218212,.T.); #302836=ORIENTED_EDGE('',*,*,#218211,.T.); #302837=ORIENTED_EDGE('',*,*,#218213,.F.); #302838=ORIENTED_EDGE('',*,*,#218214,.F.); #302839=ORIENTED_EDGE('',*,*,#218215,.T.); #302840=ORIENTED_EDGE('',*,*,#218214,.T.); #302841=ORIENTED_EDGE('',*,*,#218216,.F.); #302842=ORIENTED_EDGE('',*,*,#218217,.F.); #302843=ORIENTED_EDGE('',*,*,#218218,.T.); #302844=ORIENTED_EDGE('',*,*,#218217,.T.); #302845=ORIENTED_EDGE('',*,*,#218219,.F.); #302846=ORIENTED_EDGE('',*,*,#218220,.F.); #302847=ORIENTED_EDGE('',*,*,#218221,.T.); #302848=ORIENTED_EDGE('',*,*,#218220,.T.); #302849=ORIENTED_EDGE('',*,*,#218222,.F.); #302850=ORIENTED_EDGE('',*,*,#218223,.F.); #302851=ORIENTED_EDGE('',*,*,#218224,.T.); #302852=ORIENTED_EDGE('',*,*,#218223,.T.); #302853=ORIENTED_EDGE('',*,*,#218225,.F.); #302854=ORIENTED_EDGE('',*,*,#218226,.F.); #302855=ORIENTED_EDGE('',*,*,#218227,.T.); #302856=ORIENTED_EDGE('',*,*,#218226,.T.); #302857=ORIENTED_EDGE('',*,*,#218228,.F.); #302858=ORIENTED_EDGE('',*,*,#218229,.F.); #302859=ORIENTED_EDGE('',*,*,#218230,.T.); #302860=ORIENTED_EDGE('',*,*,#218229,.T.); #302861=ORIENTED_EDGE('',*,*,#218231,.F.); #302862=ORIENTED_EDGE('',*,*,#218232,.F.); #302863=ORIENTED_EDGE('',*,*,#218233,.T.); #302864=ORIENTED_EDGE('',*,*,#218232,.T.); #302865=ORIENTED_EDGE('',*,*,#218234,.F.); #302866=ORIENTED_EDGE('',*,*,#218235,.F.); #302867=ORIENTED_EDGE('',*,*,#218236,.T.); #302868=ORIENTED_EDGE('',*,*,#218235,.T.); #302869=ORIENTED_EDGE('',*,*,#218237,.F.); #302870=ORIENTED_EDGE('',*,*,#218238,.F.); #302871=ORIENTED_EDGE('',*,*,#218239,.T.); #302872=ORIENTED_EDGE('',*,*,#218238,.T.); #302873=ORIENTED_EDGE('',*,*,#218240,.F.); #302874=ORIENTED_EDGE('',*,*,#218241,.F.); #302875=ORIENTED_EDGE('',*,*,#218242,.T.); #302876=ORIENTED_EDGE('',*,*,#218241,.T.); #302877=ORIENTED_EDGE('',*,*,#218243,.F.); #302878=ORIENTED_EDGE('',*,*,#218244,.F.); #302879=ORIENTED_EDGE('',*,*,#218245,.T.); #302880=ORIENTED_EDGE('',*,*,#218244,.T.); #302881=ORIENTED_EDGE('',*,*,#218246,.F.); #302882=ORIENTED_EDGE('',*,*,#218247,.F.); #302883=ORIENTED_EDGE('',*,*,#218248,.T.); #302884=ORIENTED_EDGE('',*,*,#218247,.T.); #302885=ORIENTED_EDGE('',*,*,#218249,.F.); #302886=ORIENTED_EDGE('',*,*,#218250,.F.); #302887=ORIENTED_EDGE('',*,*,#218251,.T.); #302888=ORIENTED_EDGE('',*,*,#218250,.T.); #302889=ORIENTED_EDGE('',*,*,#218252,.F.); #302890=ORIENTED_EDGE('',*,*,#218253,.F.); #302891=ORIENTED_EDGE('',*,*,#218254,.T.); #302892=ORIENTED_EDGE('',*,*,#218253,.T.); #302893=ORIENTED_EDGE('',*,*,#218255,.F.); #302894=ORIENTED_EDGE('',*,*,#218256,.F.); #302895=ORIENTED_EDGE('',*,*,#218257,.T.); #302896=ORIENTED_EDGE('',*,*,#218256,.T.); #302897=ORIENTED_EDGE('',*,*,#218258,.F.); #302898=ORIENTED_EDGE('',*,*,#218259,.F.); #302899=ORIENTED_EDGE('',*,*,#218260,.T.); #302900=ORIENTED_EDGE('',*,*,#218259,.T.); #302901=ORIENTED_EDGE('',*,*,#218261,.F.); #302902=ORIENTED_EDGE('',*,*,#218262,.F.); #302903=ORIENTED_EDGE('',*,*,#218263,.T.); #302904=ORIENTED_EDGE('',*,*,#218262,.T.); #302905=ORIENTED_EDGE('',*,*,#218264,.F.); #302906=ORIENTED_EDGE('',*,*,#218265,.F.); #302907=ORIENTED_EDGE('',*,*,#218266,.T.); #302908=ORIENTED_EDGE('',*,*,#218265,.T.); #302909=ORIENTED_EDGE('',*,*,#218267,.F.); #302910=ORIENTED_EDGE('',*,*,#218268,.F.); #302911=ORIENTED_EDGE('',*,*,#218269,.T.); #302912=ORIENTED_EDGE('',*,*,#218268,.T.); #302913=ORIENTED_EDGE('',*,*,#218270,.F.); #302914=ORIENTED_EDGE('',*,*,#218271,.F.); #302915=ORIENTED_EDGE('',*,*,#218272,.T.); #302916=ORIENTED_EDGE('',*,*,#218271,.T.); #302917=ORIENTED_EDGE('',*,*,#218273,.F.); #302918=ORIENTED_EDGE('',*,*,#218274,.F.); #302919=ORIENTED_EDGE('',*,*,#218275,.T.); #302920=ORIENTED_EDGE('',*,*,#218274,.T.); #302921=ORIENTED_EDGE('',*,*,#218276,.F.); #302922=ORIENTED_EDGE('',*,*,#218277,.F.); #302923=ORIENTED_EDGE('',*,*,#218278,.T.); #302924=ORIENTED_EDGE('',*,*,#218277,.T.); #302925=ORIENTED_EDGE('',*,*,#218279,.F.); #302926=ORIENTED_EDGE('',*,*,#218280,.F.); #302927=ORIENTED_EDGE('',*,*,#218281,.T.); #302928=ORIENTED_EDGE('',*,*,#218280,.T.); #302929=ORIENTED_EDGE('',*,*,#218282,.F.); #302930=ORIENTED_EDGE('',*,*,#218283,.F.); #302931=ORIENTED_EDGE('',*,*,#218284,.T.); #302932=ORIENTED_EDGE('',*,*,#218283,.T.); #302933=ORIENTED_EDGE('',*,*,#218285,.F.); #302934=ORIENTED_EDGE('',*,*,#218286,.F.); #302935=ORIENTED_EDGE('',*,*,#218287,.T.); #302936=ORIENTED_EDGE('',*,*,#218286,.T.); #302937=ORIENTED_EDGE('',*,*,#218288,.F.); #302938=ORIENTED_EDGE('',*,*,#218289,.F.); #302939=ORIENTED_EDGE('',*,*,#218290,.T.); #302940=ORIENTED_EDGE('',*,*,#218289,.T.); #302941=ORIENTED_EDGE('',*,*,#218291,.F.); #302942=ORIENTED_EDGE('',*,*,#218292,.F.); #302943=ORIENTED_EDGE('',*,*,#218293,.T.); #302944=ORIENTED_EDGE('',*,*,#218292,.T.); #302945=ORIENTED_EDGE('',*,*,#218294,.F.); #302946=ORIENTED_EDGE('',*,*,#218295,.F.); #302947=ORIENTED_EDGE('',*,*,#218296,.T.); #302948=ORIENTED_EDGE('',*,*,#218295,.T.); #302949=ORIENTED_EDGE('',*,*,#218297,.F.); #302950=ORIENTED_EDGE('',*,*,#218298,.F.); #302951=ORIENTED_EDGE('',*,*,#218299,.T.); #302952=ORIENTED_EDGE('',*,*,#218298,.T.); #302953=ORIENTED_EDGE('',*,*,#218300,.F.); #302954=ORIENTED_EDGE('',*,*,#218301,.F.); #302955=ORIENTED_EDGE('',*,*,#218302,.T.); #302956=ORIENTED_EDGE('',*,*,#218301,.T.); #302957=ORIENTED_EDGE('',*,*,#218303,.F.); #302958=ORIENTED_EDGE('',*,*,#218304,.F.); #302959=ORIENTED_EDGE('',*,*,#218305,.T.); #302960=ORIENTED_EDGE('',*,*,#218304,.T.); #302961=ORIENTED_EDGE('',*,*,#218306,.F.); #302962=ORIENTED_EDGE('',*,*,#218307,.F.); #302963=ORIENTED_EDGE('',*,*,#218308,.T.); #302964=ORIENTED_EDGE('',*,*,#218307,.T.); #302965=ORIENTED_EDGE('',*,*,#218309,.F.); #302966=ORIENTED_EDGE('',*,*,#218310,.F.); #302967=ORIENTED_EDGE('',*,*,#218311,.T.); #302968=ORIENTED_EDGE('',*,*,#218310,.T.); #302969=ORIENTED_EDGE('',*,*,#218312,.F.); #302970=ORIENTED_EDGE('',*,*,#218313,.F.); #302971=ORIENTED_EDGE('',*,*,#218314,.T.); #302972=ORIENTED_EDGE('',*,*,#218313,.T.); #302973=ORIENTED_EDGE('',*,*,#218315,.F.); #302974=ORIENTED_EDGE('',*,*,#218316,.F.); #302975=ORIENTED_EDGE('',*,*,#218317,.T.); #302976=ORIENTED_EDGE('',*,*,#218316,.T.); #302977=ORIENTED_EDGE('',*,*,#218318,.F.); #302978=ORIENTED_EDGE('',*,*,#218319,.F.); #302979=ORIENTED_EDGE('',*,*,#218320,.T.); #302980=ORIENTED_EDGE('',*,*,#218319,.T.); #302981=ORIENTED_EDGE('',*,*,#218321,.F.); #302982=ORIENTED_EDGE('',*,*,#218322,.F.); #302983=ORIENTED_EDGE('',*,*,#218323,.T.); #302984=ORIENTED_EDGE('',*,*,#218322,.T.); #302985=ORIENTED_EDGE('',*,*,#218324,.F.); #302986=ORIENTED_EDGE('',*,*,#218325,.F.); #302987=ORIENTED_EDGE('',*,*,#218326,.T.); #302988=ORIENTED_EDGE('',*,*,#218325,.T.); #302989=ORIENTED_EDGE('',*,*,#218327,.F.); #302990=ORIENTED_EDGE('',*,*,#218328,.F.); #302991=ORIENTED_EDGE('',*,*,#218329,.T.); #302992=ORIENTED_EDGE('',*,*,#218328,.T.); #302993=ORIENTED_EDGE('',*,*,#218330,.F.); #302994=ORIENTED_EDGE('',*,*,#218331,.F.); #302995=ORIENTED_EDGE('',*,*,#218332,.T.); #302996=ORIENTED_EDGE('',*,*,#218331,.T.); #302997=ORIENTED_EDGE('',*,*,#218333,.F.); #302998=ORIENTED_EDGE('',*,*,#218334,.F.); #302999=ORIENTED_EDGE('',*,*,#218335,.T.); #303000=ORIENTED_EDGE('',*,*,#218334,.T.); #303001=ORIENTED_EDGE('',*,*,#218336,.F.); #303002=ORIENTED_EDGE('',*,*,#218337,.F.); #303003=ORIENTED_EDGE('',*,*,#218338,.T.); #303004=ORIENTED_EDGE('',*,*,#218337,.T.); #303005=ORIENTED_EDGE('',*,*,#218339,.F.); #303006=ORIENTED_EDGE('',*,*,#218340,.F.); #303007=ORIENTED_EDGE('',*,*,#218341,.T.); #303008=ORIENTED_EDGE('',*,*,#218340,.T.); #303009=ORIENTED_EDGE('',*,*,#218342,.F.); #303010=ORIENTED_EDGE('',*,*,#218343,.F.); #303011=ORIENTED_EDGE('',*,*,#218344,.T.); #303012=ORIENTED_EDGE('',*,*,#218343,.T.); #303013=ORIENTED_EDGE('',*,*,#218345,.F.); #303014=ORIENTED_EDGE('',*,*,#218346,.F.); #303015=ORIENTED_EDGE('',*,*,#218347,.T.); #303016=ORIENTED_EDGE('',*,*,#218346,.T.); #303017=ORIENTED_EDGE('',*,*,#218348,.F.); #303018=ORIENTED_EDGE('',*,*,#218349,.F.); #303019=ORIENTED_EDGE('',*,*,#218350,.T.); #303020=ORIENTED_EDGE('',*,*,#218349,.T.); #303021=ORIENTED_EDGE('',*,*,#218351,.F.); #303022=ORIENTED_EDGE('',*,*,#218352,.F.); #303023=ORIENTED_EDGE('',*,*,#218353,.T.); #303024=ORIENTED_EDGE('',*,*,#218352,.T.); #303025=ORIENTED_EDGE('',*,*,#218354,.F.); #303026=ORIENTED_EDGE('',*,*,#218355,.F.); #303027=ORIENTED_EDGE('',*,*,#218356,.T.); #303028=ORIENTED_EDGE('',*,*,#218355,.T.); #303029=ORIENTED_EDGE('',*,*,#218357,.F.); #303030=ORIENTED_EDGE('',*,*,#218358,.F.); #303031=ORIENTED_EDGE('',*,*,#218359,.T.); #303032=ORIENTED_EDGE('',*,*,#218358,.T.); #303033=ORIENTED_EDGE('',*,*,#218360,.F.); #303034=ORIENTED_EDGE('',*,*,#218361,.F.); #303035=ORIENTED_EDGE('',*,*,#218362,.T.); #303036=ORIENTED_EDGE('',*,*,#218361,.T.); #303037=ORIENTED_EDGE('',*,*,#218363,.F.); #303038=ORIENTED_EDGE('',*,*,#218364,.F.); #303039=ORIENTED_EDGE('',*,*,#218365,.T.); #303040=ORIENTED_EDGE('',*,*,#218364,.T.); #303041=ORIENTED_EDGE('',*,*,#218366,.F.); #303042=ORIENTED_EDGE('',*,*,#218367,.F.); #303043=ORIENTED_EDGE('',*,*,#218368,.T.); #303044=ORIENTED_EDGE('',*,*,#218367,.T.); #303045=ORIENTED_EDGE('',*,*,#218369,.F.); #303046=ORIENTED_EDGE('',*,*,#218203,.F.); #303047=ORIENTED_EDGE('',*,*,#218369,.T.); #303048=ORIENTED_EDGE('',*,*,#218366,.T.); #303049=ORIENTED_EDGE('',*,*,#218363,.T.); #303050=ORIENTED_EDGE('',*,*,#218360,.T.); #303051=ORIENTED_EDGE('',*,*,#218357,.T.); #303052=ORIENTED_EDGE('',*,*,#218354,.T.); #303053=ORIENTED_EDGE('',*,*,#218351,.T.); #303054=ORIENTED_EDGE('',*,*,#218348,.T.); #303055=ORIENTED_EDGE('',*,*,#218345,.T.); #303056=ORIENTED_EDGE('',*,*,#218342,.T.); #303057=ORIENTED_EDGE('',*,*,#218339,.T.); #303058=ORIENTED_EDGE('',*,*,#218336,.T.); #303059=ORIENTED_EDGE('',*,*,#218333,.T.); #303060=ORIENTED_EDGE('',*,*,#218330,.T.); #303061=ORIENTED_EDGE('',*,*,#218327,.T.); #303062=ORIENTED_EDGE('',*,*,#218324,.T.); #303063=ORIENTED_EDGE('',*,*,#218321,.T.); #303064=ORIENTED_EDGE('',*,*,#218318,.T.); #303065=ORIENTED_EDGE('',*,*,#218315,.T.); #303066=ORIENTED_EDGE('',*,*,#218312,.T.); #303067=ORIENTED_EDGE('',*,*,#218309,.T.); #303068=ORIENTED_EDGE('',*,*,#218306,.T.); #303069=ORIENTED_EDGE('',*,*,#218303,.T.); #303070=ORIENTED_EDGE('',*,*,#218300,.T.); #303071=ORIENTED_EDGE('',*,*,#218297,.T.); #303072=ORIENTED_EDGE('',*,*,#218294,.T.); #303073=ORIENTED_EDGE('',*,*,#218291,.T.); #303074=ORIENTED_EDGE('',*,*,#218288,.T.); #303075=ORIENTED_EDGE('',*,*,#218285,.T.); #303076=ORIENTED_EDGE('',*,*,#218282,.T.); #303077=ORIENTED_EDGE('',*,*,#218279,.T.); #303078=ORIENTED_EDGE('',*,*,#218276,.T.); #303079=ORIENTED_EDGE('',*,*,#218273,.T.); #303080=ORIENTED_EDGE('',*,*,#218270,.T.); #303081=ORIENTED_EDGE('',*,*,#218267,.T.); #303082=ORIENTED_EDGE('',*,*,#218264,.T.); #303083=ORIENTED_EDGE('',*,*,#218261,.T.); #303084=ORIENTED_EDGE('',*,*,#218258,.T.); #303085=ORIENTED_EDGE('',*,*,#218255,.T.); #303086=ORIENTED_EDGE('',*,*,#218252,.T.); #303087=ORIENTED_EDGE('',*,*,#218249,.T.); #303088=ORIENTED_EDGE('',*,*,#218246,.T.); #303089=ORIENTED_EDGE('',*,*,#218243,.T.); #303090=ORIENTED_EDGE('',*,*,#218240,.T.); #303091=ORIENTED_EDGE('',*,*,#218237,.T.); #303092=ORIENTED_EDGE('',*,*,#218234,.T.); #303093=ORIENTED_EDGE('',*,*,#218231,.T.); #303094=ORIENTED_EDGE('',*,*,#218228,.T.); #303095=ORIENTED_EDGE('',*,*,#218225,.T.); #303096=ORIENTED_EDGE('',*,*,#218222,.T.); #303097=ORIENTED_EDGE('',*,*,#218219,.T.); #303098=ORIENTED_EDGE('',*,*,#218216,.T.); #303099=ORIENTED_EDGE('',*,*,#218213,.T.); #303100=ORIENTED_EDGE('',*,*,#218210,.T.); #303101=ORIENTED_EDGE('',*,*,#218207,.T.); #303102=ORIENTED_EDGE('',*,*,#218204,.T.); #303103=ORIENTED_EDGE('',*,*,#218197,.T.); #303104=ORIENTED_EDGE('',*,*,#218200,.T.); #303105=ORIENTED_EDGE('',*,*,#218190,.T.); #303106=ORIENTED_EDGE('',*,*,#218194,.T.); #303107=ORIENTED_EDGE('',*,*,#218368,.F.); #303108=ORIENTED_EDGE('',*,*,#218202,.F.); #303109=ORIENTED_EDGE('',*,*,#218206,.F.); #303110=ORIENTED_EDGE('',*,*,#218209,.F.); #303111=ORIENTED_EDGE('',*,*,#218212,.F.); #303112=ORIENTED_EDGE('',*,*,#218215,.F.); #303113=ORIENTED_EDGE('',*,*,#218218,.F.); #303114=ORIENTED_EDGE('',*,*,#218221,.F.); #303115=ORIENTED_EDGE('',*,*,#218224,.F.); #303116=ORIENTED_EDGE('',*,*,#218227,.F.); #303117=ORIENTED_EDGE('',*,*,#218230,.F.); #303118=ORIENTED_EDGE('',*,*,#218233,.F.); #303119=ORIENTED_EDGE('',*,*,#218236,.F.); #303120=ORIENTED_EDGE('',*,*,#218239,.F.); #303121=ORIENTED_EDGE('',*,*,#218242,.F.); #303122=ORIENTED_EDGE('',*,*,#218245,.F.); #303123=ORIENTED_EDGE('',*,*,#218248,.F.); #303124=ORIENTED_EDGE('',*,*,#218251,.F.); #303125=ORIENTED_EDGE('',*,*,#218254,.F.); #303126=ORIENTED_EDGE('',*,*,#218257,.F.); #303127=ORIENTED_EDGE('',*,*,#218260,.F.); #303128=ORIENTED_EDGE('',*,*,#218263,.F.); #303129=ORIENTED_EDGE('',*,*,#218266,.F.); #303130=ORIENTED_EDGE('',*,*,#218269,.F.); #303131=ORIENTED_EDGE('',*,*,#218272,.F.); #303132=ORIENTED_EDGE('',*,*,#218275,.F.); #303133=ORIENTED_EDGE('',*,*,#218278,.F.); #303134=ORIENTED_EDGE('',*,*,#218281,.F.); #303135=ORIENTED_EDGE('',*,*,#218284,.F.); #303136=ORIENTED_EDGE('',*,*,#218287,.F.); #303137=ORIENTED_EDGE('',*,*,#218290,.F.); #303138=ORIENTED_EDGE('',*,*,#218293,.F.); #303139=ORIENTED_EDGE('',*,*,#218296,.F.); #303140=ORIENTED_EDGE('',*,*,#218299,.F.); #303141=ORIENTED_EDGE('',*,*,#218302,.F.); #303142=ORIENTED_EDGE('',*,*,#218305,.F.); #303143=ORIENTED_EDGE('',*,*,#218308,.F.); #303144=ORIENTED_EDGE('',*,*,#218311,.F.); #303145=ORIENTED_EDGE('',*,*,#218314,.F.); #303146=ORIENTED_EDGE('',*,*,#218317,.F.); #303147=ORIENTED_EDGE('',*,*,#218320,.F.); #303148=ORIENTED_EDGE('',*,*,#218323,.F.); #303149=ORIENTED_EDGE('',*,*,#218326,.F.); #303150=ORIENTED_EDGE('',*,*,#218329,.F.); #303151=ORIENTED_EDGE('',*,*,#218332,.F.); #303152=ORIENTED_EDGE('',*,*,#218335,.F.); #303153=ORIENTED_EDGE('',*,*,#218338,.F.); #303154=ORIENTED_EDGE('',*,*,#218341,.F.); #303155=ORIENTED_EDGE('',*,*,#218344,.F.); #303156=ORIENTED_EDGE('',*,*,#218347,.F.); #303157=ORIENTED_EDGE('',*,*,#218350,.F.); #303158=ORIENTED_EDGE('',*,*,#218353,.F.); #303159=ORIENTED_EDGE('',*,*,#218356,.F.); #303160=ORIENTED_EDGE('',*,*,#218359,.F.); #303161=ORIENTED_EDGE('',*,*,#218362,.F.); #303162=ORIENTED_EDGE('',*,*,#218365,.F.); #303163=ORIENTED_EDGE('',*,*,#218198,.T.); #303164=ORIENTED_EDGE('',*,*,#218195,.T.); #303165=ORIENTED_EDGE('',*,*,#218192,.T.); #303166=ORIENTED_EDGE('',*,*,#218201,.T.); #303167=ORIENTED_EDGE('',*,*,#218370,.F.); #303168=ORIENTED_EDGE('',*,*,#218371,.T.); #303169=ORIENTED_EDGE('',*,*,#218372,.F.); #303170=ORIENTED_EDGE('',*,*,#218371,.F.); #303171=ORIENTED_EDGE('',*,*,#218373,.T.); #303172=ORIENTED_EDGE('',*,*,#218374,.T.); #303173=ORIENTED_EDGE('',*,*,#218375,.F.); #303174=ORIENTED_EDGE('',*,*,#218376,.F.); #303175=ORIENTED_EDGE('',*,*,#218377,.T.); #303176=ORIENTED_EDGE('',*,*,#218376,.T.); #303177=ORIENTED_EDGE('',*,*,#218378,.F.); #303178=ORIENTED_EDGE('',*,*,#218379,.F.); #303179=ORIENTED_EDGE('',*,*,#218380,.T.); #303180=ORIENTED_EDGE('',*,*,#218379,.T.); #303181=ORIENTED_EDGE('',*,*,#218381,.F.); #303182=ORIENTED_EDGE('',*,*,#218374,.F.); #303183=ORIENTED_EDGE('',*,*,#218381,.T.); #303184=ORIENTED_EDGE('',*,*,#218378,.T.); #303185=ORIENTED_EDGE('',*,*,#218375,.T.); #303186=ORIENTED_EDGE('',*,*,#218372,.T.); #303187=ORIENTED_EDGE('',*,*,#218380,.F.); #303188=ORIENTED_EDGE('',*,*,#218373,.F.); #303189=ORIENTED_EDGE('',*,*,#218377,.F.); #303190=ORIENTED_EDGE('',*,*,#218370,.T.); #303191=ORIENTED_EDGE('',*,*,#218382,.F.); #303192=ORIENTED_EDGE('',*,*,#218383,.T.); #303193=ORIENTED_EDGE('',*,*,#218384,.F.); #303194=ORIENTED_EDGE('',*,*,#218383,.F.); #303195=ORIENTED_EDGE('',*,*,#218385,.T.); #303196=ORIENTED_EDGE('',*,*,#218386,.T.); #303197=ORIENTED_EDGE('',*,*,#218387,.F.); #303198=ORIENTED_EDGE('',*,*,#218388,.F.); #303199=ORIENTED_EDGE('',*,*,#218389,.T.); #303200=ORIENTED_EDGE('',*,*,#218388,.T.); #303201=ORIENTED_EDGE('',*,*,#218390,.F.); #303202=ORIENTED_EDGE('',*,*,#218391,.F.); #303203=ORIENTED_EDGE('',*,*,#218392,.T.); #303204=ORIENTED_EDGE('',*,*,#218391,.T.); #303205=ORIENTED_EDGE('',*,*,#218393,.F.); #303206=ORIENTED_EDGE('',*,*,#218386,.F.); #303207=ORIENTED_EDGE('',*,*,#218393,.T.); #303208=ORIENTED_EDGE('',*,*,#218390,.T.); #303209=ORIENTED_EDGE('',*,*,#218387,.T.); #303210=ORIENTED_EDGE('',*,*,#218384,.T.); #303211=ORIENTED_EDGE('',*,*,#218392,.F.); #303212=ORIENTED_EDGE('',*,*,#218385,.F.); #303213=ORIENTED_EDGE('',*,*,#218389,.F.); #303214=ORIENTED_EDGE('',*,*,#218382,.T.); #303215=ORIENTED_EDGE('',*,*,#218394,.F.); #303216=ORIENTED_EDGE('',*,*,#218395,.T.); #303217=ORIENTED_EDGE('',*,*,#218396,.F.); #303218=ORIENTED_EDGE('',*,*,#218395,.F.); #303219=ORIENTED_EDGE('',*,*,#218397,.T.); #303220=ORIENTED_EDGE('',*,*,#218398,.T.); #303221=ORIENTED_EDGE('',*,*,#218399,.F.); #303222=ORIENTED_EDGE('',*,*,#218400,.F.); #303223=ORIENTED_EDGE('',*,*,#218401,.T.); #303224=ORIENTED_EDGE('',*,*,#218400,.T.); #303225=ORIENTED_EDGE('',*,*,#218402,.F.); #303226=ORIENTED_EDGE('',*,*,#218403,.F.); #303227=ORIENTED_EDGE('',*,*,#218404,.T.); #303228=ORIENTED_EDGE('',*,*,#218403,.T.); #303229=ORIENTED_EDGE('',*,*,#218405,.F.); #303230=ORIENTED_EDGE('',*,*,#218398,.F.); #303231=ORIENTED_EDGE('',*,*,#218405,.T.); #303232=ORIENTED_EDGE('',*,*,#218402,.T.); #303233=ORIENTED_EDGE('',*,*,#218399,.T.); #303234=ORIENTED_EDGE('',*,*,#218396,.T.); #303235=ORIENTED_EDGE('',*,*,#218404,.F.); #303236=ORIENTED_EDGE('',*,*,#218397,.F.); #303237=ORIENTED_EDGE('',*,*,#218401,.F.); #303238=ORIENTED_EDGE('',*,*,#218394,.T.); #303239=ORIENTED_EDGE('',*,*,#218406,.F.); #303240=ORIENTED_EDGE('',*,*,#218407,.T.); #303241=ORIENTED_EDGE('',*,*,#218408,.F.); #303242=ORIENTED_EDGE('',*,*,#218407,.F.); #303243=ORIENTED_EDGE('',*,*,#218409,.T.); #303244=ORIENTED_EDGE('',*,*,#218410,.T.); #303245=ORIENTED_EDGE('',*,*,#218411,.F.); #303246=ORIENTED_EDGE('',*,*,#218412,.F.); #303247=ORIENTED_EDGE('',*,*,#218413,.T.); #303248=ORIENTED_EDGE('',*,*,#218412,.T.); #303249=ORIENTED_EDGE('',*,*,#218414,.F.); #303250=ORIENTED_EDGE('',*,*,#218415,.F.); #303251=ORIENTED_EDGE('',*,*,#218416,.T.); #303252=ORIENTED_EDGE('',*,*,#218415,.T.); #303253=ORIENTED_EDGE('',*,*,#218417,.F.); #303254=ORIENTED_EDGE('',*,*,#218418,.F.); #303255=ORIENTED_EDGE('',*,*,#218419,.T.); #303256=ORIENTED_EDGE('',*,*,#218418,.T.); #303257=ORIENTED_EDGE('',*,*,#218420,.F.); #303258=ORIENTED_EDGE('',*,*,#218410,.F.); #303259=ORIENTED_EDGE('',*,*,#218420,.T.); #303260=ORIENTED_EDGE('',*,*,#218417,.T.); #303261=ORIENTED_EDGE('',*,*,#218414,.T.); #303262=ORIENTED_EDGE('',*,*,#218411,.T.); #303263=ORIENTED_EDGE('',*,*,#218408,.T.); #303264=ORIENTED_EDGE('',*,*,#218419,.F.); #303265=ORIENTED_EDGE('',*,*,#218409,.F.); #303266=ORIENTED_EDGE('',*,*,#218413,.F.); #303267=ORIENTED_EDGE('',*,*,#218416,.F.); #303268=ORIENTED_EDGE('',*,*,#218406,.T.); #303269=ORIENTED_EDGE('',*,*,#218421,.F.); #303270=ORIENTED_EDGE('',*,*,#218422,.T.); #303271=ORIENTED_EDGE('',*,*,#218423,.F.); #303272=ORIENTED_EDGE('',*,*,#218422,.F.); #303273=ORIENTED_EDGE('',*,*,#218424,.T.); #303274=ORIENTED_EDGE('',*,*,#218425,.T.); #303275=ORIENTED_EDGE('',*,*,#218426,.F.); #303276=ORIENTED_EDGE('',*,*,#218427,.F.); #303277=ORIENTED_EDGE('',*,*,#218428,.T.); #303278=ORIENTED_EDGE('',*,*,#218427,.T.); #303279=ORIENTED_EDGE('',*,*,#218429,.F.); #303280=ORIENTED_EDGE('',*,*,#218430,.F.); #303281=ORIENTED_EDGE('',*,*,#218431,.T.); #303282=ORIENTED_EDGE('',*,*,#218430,.T.); #303283=ORIENTED_EDGE('',*,*,#218432,.F.); #303284=ORIENTED_EDGE('',*,*,#218425,.F.); #303285=ORIENTED_EDGE('',*,*,#218432,.T.); #303286=ORIENTED_EDGE('',*,*,#218429,.T.); #303287=ORIENTED_EDGE('',*,*,#218426,.T.); #303288=ORIENTED_EDGE('',*,*,#218423,.T.); #303289=ORIENTED_EDGE('',*,*,#218431,.F.); #303290=ORIENTED_EDGE('',*,*,#218424,.F.); #303291=ORIENTED_EDGE('',*,*,#218428,.F.); #303292=ORIENTED_EDGE('',*,*,#218421,.T.); #303293=ORIENTED_EDGE('',*,*,#218433,.T.); #303294=ORIENTED_EDGE('',*,*,#218434,.T.); #303295=ORIENTED_EDGE('',*,*,#218435,.F.); #303296=ORIENTED_EDGE('',*,*,#218436,.F.); #303297=ORIENTED_EDGE('',*,*,#218437,.T.); #303298=ORIENTED_EDGE('',*,*,#218436,.T.); #303299=ORIENTED_EDGE('',*,*,#218438,.F.); #303300=ORIENTED_EDGE('',*,*,#218439,.F.); #303301=ORIENTED_EDGE('',*,*,#218440,.T.); #303302=ORIENTED_EDGE('',*,*,#218439,.T.); #303303=ORIENTED_EDGE('',*,*,#218441,.F.); #303304=ORIENTED_EDGE('',*,*,#218442,.F.); #303305=ORIENTED_EDGE('',*,*,#218443,.T.); #303306=ORIENTED_EDGE('',*,*,#218442,.T.); #303307=ORIENTED_EDGE('',*,*,#218444,.F.); #303308=ORIENTED_EDGE('',*,*,#218434,.F.); #303309=ORIENTED_EDGE('',*,*,#218444,.T.); #303310=ORIENTED_EDGE('',*,*,#218441,.T.); #303311=ORIENTED_EDGE('',*,*,#218438,.T.); #303312=ORIENTED_EDGE('',*,*,#218435,.T.); #303313=ORIENTED_EDGE('',*,*,#218443,.F.); #303314=ORIENTED_EDGE('',*,*,#218433,.F.); #303315=ORIENTED_EDGE('',*,*,#218437,.F.); #303316=ORIENTED_EDGE('',*,*,#218440,.F.); #303317=ORIENTED_EDGE('',*,*,#218445,.T.); #303318=ORIENTED_EDGE('',*,*,#218446,.T.); #303319=ORIENTED_EDGE('',*,*,#218447,.F.); #303320=ORIENTED_EDGE('',*,*,#218448,.F.); #303321=ORIENTED_EDGE('',*,*,#218449,.T.); #303322=ORIENTED_EDGE('',*,*,#218448,.T.); #303323=ORIENTED_EDGE('',*,*,#218450,.F.); #303324=ORIENTED_EDGE('',*,*,#218451,.F.); #303325=ORIENTED_EDGE('',*,*,#218452,.T.); #303326=ORIENTED_EDGE('',*,*,#218451,.T.); #303327=ORIENTED_EDGE('',*,*,#218453,.F.); #303328=ORIENTED_EDGE('',*,*,#218454,.F.); #303329=ORIENTED_EDGE('',*,*,#218455,.T.); #303330=ORIENTED_EDGE('',*,*,#218454,.T.); #303331=ORIENTED_EDGE('',*,*,#218456,.F.); #303332=ORIENTED_EDGE('',*,*,#218446,.F.); #303333=ORIENTED_EDGE('',*,*,#218456,.T.); #303334=ORIENTED_EDGE('',*,*,#218453,.T.); #303335=ORIENTED_EDGE('',*,*,#218450,.T.); #303336=ORIENTED_EDGE('',*,*,#218447,.T.); #303337=ORIENTED_EDGE('',*,*,#218455,.F.); #303338=ORIENTED_EDGE('',*,*,#218445,.F.); #303339=ORIENTED_EDGE('',*,*,#218449,.F.); #303340=ORIENTED_EDGE('',*,*,#218452,.F.); #303341=ORIENTED_EDGE('',*,*,#218457,.T.); #303342=ORIENTED_EDGE('',*,*,#218458,.T.); #303343=ORIENTED_EDGE('',*,*,#218459,.F.); #303344=ORIENTED_EDGE('',*,*,#218460,.F.); #303345=ORIENTED_EDGE('',*,*,#218461,.T.); #303346=ORIENTED_EDGE('',*,*,#218460,.T.); #303347=ORIENTED_EDGE('',*,*,#218462,.F.); #303348=ORIENTED_EDGE('',*,*,#218463,.F.); #303349=ORIENTED_EDGE('',*,*,#218464,.T.); #303350=ORIENTED_EDGE('',*,*,#218463,.T.); #303351=ORIENTED_EDGE('',*,*,#218465,.F.); #303352=ORIENTED_EDGE('',*,*,#218466,.F.); #303353=ORIENTED_EDGE('',*,*,#218467,.T.); #303354=ORIENTED_EDGE('',*,*,#218466,.T.); #303355=ORIENTED_EDGE('',*,*,#218468,.F.); #303356=ORIENTED_EDGE('',*,*,#218458,.F.); #303357=ORIENTED_EDGE('',*,*,#218468,.T.); #303358=ORIENTED_EDGE('',*,*,#218465,.T.); #303359=ORIENTED_EDGE('',*,*,#218462,.T.); #303360=ORIENTED_EDGE('',*,*,#218459,.T.); #303361=ORIENTED_EDGE('',*,*,#218467,.F.); #303362=ORIENTED_EDGE('',*,*,#218457,.F.); #303363=ORIENTED_EDGE('',*,*,#218461,.F.); #303364=ORIENTED_EDGE('',*,*,#218464,.F.); #303365=ORIENTED_EDGE('',*,*,#218469,.T.); #303366=ORIENTED_EDGE('',*,*,#218470,.T.); #303367=ORIENTED_EDGE('',*,*,#218471,.F.); #303368=ORIENTED_EDGE('',*,*,#218472,.F.); #303369=ORIENTED_EDGE('',*,*,#218473,.T.); #303370=ORIENTED_EDGE('',*,*,#218472,.T.); #303371=ORIENTED_EDGE('',*,*,#218474,.F.); #303372=ORIENTED_EDGE('',*,*,#218475,.F.); #303373=ORIENTED_EDGE('',*,*,#218476,.T.); #303374=ORIENTED_EDGE('',*,*,#218475,.T.); #303375=ORIENTED_EDGE('',*,*,#218477,.F.); #303376=ORIENTED_EDGE('',*,*,#218478,.F.); #303377=ORIENTED_EDGE('',*,*,#218479,.T.); #303378=ORIENTED_EDGE('',*,*,#218478,.T.); #303379=ORIENTED_EDGE('',*,*,#218480,.F.); #303380=ORIENTED_EDGE('',*,*,#218470,.F.); #303381=ORIENTED_EDGE('',*,*,#218480,.T.); #303382=ORIENTED_EDGE('',*,*,#218477,.T.); #303383=ORIENTED_EDGE('',*,*,#218474,.T.); #303384=ORIENTED_EDGE('',*,*,#218471,.T.); #303385=ORIENTED_EDGE('',*,*,#218479,.F.); #303386=ORIENTED_EDGE('',*,*,#218469,.F.); #303387=ORIENTED_EDGE('',*,*,#218473,.F.); #303388=ORIENTED_EDGE('',*,*,#218476,.F.); #303389=ORIENTED_EDGE('',*,*,#218481,.T.); #303390=ORIENTED_EDGE('',*,*,#218482,.T.); #303391=ORIENTED_EDGE('',*,*,#218483,.F.); #303392=ORIENTED_EDGE('',*,*,#218484,.F.); #303393=ORIENTED_EDGE('',*,*,#218485,.T.); #303394=ORIENTED_EDGE('',*,*,#218484,.T.); #303395=ORIENTED_EDGE('',*,*,#218486,.F.); #303396=ORIENTED_EDGE('',*,*,#218487,.F.); #303397=ORIENTED_EDGE('',*,*,#218488,.T.); #303398=ORIENTED_EDGE('',*,*,#218487,.T.); #303399=ORIENTED_EDGE('',*,*,#218489,.F.); #303400=ORIENTED_EDGE('',*,*,#218490,.F.); #303401=ORIENTED_EDGE('',*,*,#218491,.T.); #303402=ORIENTED_EDGE('',*,*,#218490,.T.); #303403=ORIENTED_EDGE('',*,*,#218492,.F.); #303404=ORIENTED_EDGE('',*,*,#218482,.F.); #303405=ORIENTED_EDGE('',*,*,#218492,.T.); #303406=ORIENTED_EDGE('',*,*,#218489,.T.); #303407=ORIENTED_EDGE('',*,*,#218486,.T.); #303408=ORIENTED_EDGE('',*,*,#218483,.T.); #303409=ORIENTED_EDGE('',*,*,#218491,.F.); #303410=ORIENTED_EDGE('',*,*,#218481,.F.); #303411=ORIENTED_EDGE('',*,*,#218485,.F.); #303412=ORIENTED_EDGE('',*,*,#218488,.F.); #303413=ORIENTED_EDGE('',*,*,#218493,.T.); #303414=ORIENTED_EDGE('',*,*,#218494,.T.); #303415=ORIENTED_EDGE('',*,*,#218495,.F.); #303416=ORIENTED_EDGE('',*,*,#218496,.F.); #303417=ORIENTED_EDGE('',*,*,#218497,.T.); #303418=ORIENTED_EDGE('',*,*,#218496,.T.); #303419=ORIENTED_EDGE('',*,*,#218498,.F.); #303420=ORIENTED_EDGE('',*,*,#218499,.F.); #303421=ORIENTED_EDGE('',*,*,#218500,.T.); #303422=ORIENTED_EDGE('',*,*,#218499,.T.); #303423=ORIENTED_EDGE('',*,*,#218501,.F.); #303424=ORIENTED_EDGE('',*,*,#218502,.F.); #303425=ORIENTED_EDGE('',*,*,#218503,.T.); #303426=ORIENTED_EDGE('',*,*,#218502,.T.); #303427=ORIENTED_EDGE('',*,*,#218504,.F.); #303428=ORIENTED_EDGE('',*,*,#218494,.F.); #303429=ORIENTED_EDGE('',*,*,#218504,.T.); #303430=ORIENTED_EDGE('',*,*,#218501,.T.); #303431=ORIENTED_EDGE('',*,*,#218498,.T.); #303432=ORIENTED_EDGE('',*,*,#218495,.T.); #303433=ORIENTED_EDGE('',*,*,#218503,.F.); #303434=ORIENTED_EDGE('',*,*,#218493,.F.); #303435=ORIENTED_EDGE('',*,*,#218497,.F.); #303436=ORIENTED_EDGE('',*,*,#218500,.F.); #303437=ORIENTED_EDGE('',*,*,#218505,.T.); #303438=ORIENTED_EDGE('',*,*,#218506,.T.); #303439=ORIENTED_EDGE('',*,*,#218507,.F.); #303440=ORIENTED_EDGE('',*,*,#218508,.F.); #303441=ORIENTED_EDGE('',*,*,#218509,.T.); #303442=ORIENTED_EDGE('',*,*,#218508,.T.); #303443=ORIENTED_EDGE('',*,*,#218510,.F.); #303444=ORIENTED_EDGE('',*,*,#218511,.F.); #303445=ORIENTED_EDGE('',*,*,#218512,.T.); #303446=ORIENTED_EDGE('',*,*,#218511,.T.); #303447=ORIENTED_EDGE('',*,*,#218513,.F.); #303448=ORIENTED_EDGE('',*,*,#218514,.F.); #303449=ORIENTED_EDGE('',*,*,#218515,.T.); #303450=ORIENTED_EDGE('',*,*,#218514,.T.); #303451=ORIENTED_EDGE('',*,*,#218516,.F.); #303452=ORIENTED_EDGE('',*,*,#218506,.F.); #303453=ORIENTED_EDGE('',*,*,#218516,.T.); #303454=ORIENTED_EDGE('',*,*,#218513,.T.); #303455=ORIENTED_EDGE('',*,*,#218510,.T.); #303456=ORIENTED_EDGE('',*,*,#218507,.T.); #303457=ORIENTED_EDGE('',*,*,#218515,.F.); #303458=ORIENTED_EDGE('',*,*,#218505,.F.); #303459=ORIENTED_EDGE('',*,*,#218509,.F.); #303460=ORIENTED_EDGE('',*,*,#218512,.F.); #303461=ORIENTED_EDGE('',*,*,#218517,.T.); #303462=ORIENTED_EDGE('',*,*,#218518,.T.); #303463=ORIENTED_EDGE('',*,*,#218519,.F.); #303464=ORIENTED_EDGE('',*,*,#218520,.F.); #303465=ORIENTED_EDGE('',*,*,#218521,.T.); #303466=ORIENTED_EDGE('',*,*,#218520,.T.); #303467=ORIENTED_EDGE('',*,*,#218522,.F.); #303468=ORIENTED_EDGE('',*,*,#218523,.F.); #303469=ORIENTED_EDGE('',*,*,#218524,.T.); #303470=ORIENTED_EDGE('',*,*,#218523,.T.); #303471=ORIENTED_EDGE('',*,*,#218525,.F.); #303472=ORIENTED_EDGE('',*,*,#218526,.F.); #303473=ORIENTED_EDGE('',*,*,#218527,.T.); #303474=ORIENTED_EDGE('',*,*,#218526,.T.); #303475=ORIENTED_EDGE('',*,*,#218528,.F.); #303476=ORIENTED_EDGE('',*,*,#218518,.F.); #303477=ORIENTED_EDGE('',*,*,#218528,.T.); #303478=ORIENTED_EDGE('',*,*,#218525,.T.); #303479=ORIENTED_EDGE('',*,*,#218522,.T.); #303480=ORIENTED_EDGE('',*,*,#218519,.T.); #303481=ORIENTED_EDGE('',*,*,#218527,.F.); #303482=ORIENTED_EDGE('',*,*,#218517,.F.); #303483=ORIENTED_EDGE('',*,*,#218521,.F.); #303484=ORIENTED_EDGE('',*,*,#218524,.F.); #303485=ORIENTED_EDGE('',*,*,#218529,.T.); #303486=ORIENTED_EDGE('',*,*,#218530,.T.); #303487=ORIENTED_EDGE('',*,*,#218531,.F.); #303488=ORIENTED_EDGE('',*,*,#218532,.F.); #303489=ORIENTED_EDGE('',*,*,#218533,.T.); #303490=ORIENTED_EDGE('',*,*,#218532,.T.); #303491=ORIENTED_EDGE('',*,*,#218534,.F.); #303492=ORIENTED_EDGE('',*,*,#218535,.F.); #303493=ORIENTED_EDGE('',*,*,#218536,.T.); #303494=ORIENTED_EDGE('',*,*,#218535,.T.); #303495=ORIENTED_EDGE('',*,*,#218537,.F.); #303496=ORIENTED_EDGE('',*,*,#218538,.F.); #303497=ORIENTED_EDGE('',*,*,#218539,.T.); #303498=ORIENTED_EDGE('',*,*,#218538,.T.); #303499=ORIENTED_EDGE('',*,*,#218540,.F.); #303500=ORIENTED_EDGE('',*,*,#218530,.F.); #303501=ORIENTED_EDGE('',*,*,#218540,.T.); #303502=ORIENTED_EDGE('',*,*,#218537,.T.); #303503=ORIENTED_EDGE('',*,*,#218534,.T.); #303504=ORIENTED_EDGE('',*,*,#218531,.T.); #303505=ORIENTED_EDGE('',*,*,#218539,.F.); #303506=ORIENTED_EDGE('',*,*,#218529,.F.); #303507=ORIENTED_EDGE('',*,*,#218533,.F.); #303508=ORIENTED_EDGE('',*,*,#218536,.F.); #303509=ORIENTED_EDGE('',*,*,#218541,.T.); #303510=ORIENTED_EDGE('',*,*,#218542,.T.); #303511=ORIENTED_EDGE('',*,*,#218543,.F.); #303512=ORIENTED_EDGE('',*,*,#218544,.F.); #303513=ORIENTED_EDGE('',*,*,#218545,.T.); #303514=ORIENTED_EDGE('',*,*,#218544,.T.); #303515=ORIENTED_EDGE('',*,*,#218546,.F.); #303516=ORIENTED_EDGE('',*,*,#218547,.F.); #303517=ORIENTED_EDGE('',*,*,#218548,.T.); #303518=ORIENTED_EDGE('',*,*,#218547,.T.); #303519=ORIENTED_EDGE('',*,*,#218549,.F.); #303520=ORIENTED_EDGE('',*,*,#218550,.F.); #303521=ORIENTED_EDGE('',*,*,#218551,.T.); #303522=ORIENTED_EDGE('',*,*,#218550,.T.); #303523=ORIENTED_EDGE('',*,*,#218552,.F.); #303524=ORIENTED_EDGE('',*,*,#218542,.F.); #303525=ORIENTED_EDGE('',*,*,#218552,.T.); #303526=ORIENTED_EDGE('',*,*,#218549,.T.); #303527=ORIENTED_EDGE('',*,*,#218546,.T.); #303528=ORIENTED_EDGE('',*,*,#218543,.T.); #303529=ORIENTED_EDGE('',*,*,#218551,.F.); #303530=ORIENTED_EDGE('',*,*,#218541,.F.); #303531=ORIENTED_EDGE('',*,*,#218545,.F.); #303532=ORIENTED_EDGE('',*,*,#218548,.F.); #303533=ORIENTED_EDGE('',*,*,#218553,.T.); #303534=ORIENTED_EDGE('',*,*,#218554,.T.); #303535=ORIENTED_EDGE('',*,*,#218555,.F.); #303536=ORIENTED_EDGE('',*,*,#218556,.F.); #303537=ORIENTED_EDGE('',*,*,#218557,.T.); #303538=ORIENTED_EDGE('',*,*,#218556,.T.); #303539=ORIENTED_EDGE('',*,*,#218558,.F.); #303540=ORIENTED_EDGE('',*,*,#218559,.F.); #303541=ORIENTED_EDGE('',*,*,#218560,.T.); #303542=ORIENTED_EDGE('',*,*,#218559,.T.); #303543=ORIENTED_EDGE('',*,*,#218561,.F.); #303544=ORIENTED_EDGE('',*,*,#218562,.F.); #303545=ORIENTED_EDGE('',*,*,#218563,.T.); #303546=ORIENTED_EDGE('',*,*,#218562,.T.); #303547=ORIENTED_EDGE('',*,*,#218564,.F.); #303548=ORIENTED_EDGE('',*,*,#218554,.F.); #303549=ORIENTED_EDGE('',*,*,#218564,.T.); #303550=ORIENTED_EDGE('',*,*,#218561,.T.); #303551=ORIENTED_EDGE('',*,*,#218558,.T.); #303552=ORIENTED_EDGE('',*,*,#218555,.T.); #303553=ORIENTED_EDGE('',*,*,#218563,.F.); #303554=ORIENTED_EDGE('',*,*,#218553,.F.); #303555=ORIENTED_EDGE('',*,*,#218557,.F.); #303556=ORIENTED_EDGE('',*,*,#218560,.F.); #303557=ORIENTED_EDGE('',*,*,#218565,.T.); #303558=ORIENTED_EDGE('',*,*,#218566,.T.); #303559=ORIENTED_EDGE('',*,*,#218567,.F.); #303560=ORIENTED_EDGE('',*,*,#218568,.F.); #303561=ORIENTED_EDGE('',*,*,#218569,.T.); #303562=ORIENTED_EDGE('',*,*,#218568,.T.); #303563=ORIENTED_EDGE('',*,*,#218570,.F.); #303564=ORIENTED_EDGE('',*,*,#218571,.F.); #303565=ORIENTED_EDGE('',*,*,#218572,.T.); #303566=ORIENTED_EDGE('',*,*,#218571,.T.); #303567=ORIENTED_EDGE('',*,*,#218573,.F.); #303568=ORIENTED_EDGE('',*,*,#218574,.F.); #303569=ORIENTED_EDGE('',*,*,#218575,.T.); #303570=ORIENTED_EDGE('',*,*,#218574,.T.); #303571=ORIENTED_EDGE('',*,*,#218576,.F.); #303572=ORIENTED_EDGE('',*,*,#218566,.F.); #303573=ORIENTED_EDGE('',*,*,#218576,.T.); #303574=ORIENTED_EDGE('',*,*,#218573,.T.); #303575=ORIENTED_EDGE('',*,*,#218570,.T.); #303576=ORIENTED_EDGE('',*,*,#218567,.T.); #303577=ORIENTED_EDGE('',*,*,#218575,.F.); #303578=ORIENTED_EDGE('',*,*,#218565,.F.); #303579=ORIENTED_EDGE('',*,*,#218569,.F.); #303580=ORIENTED_EDGE('',*,*,#218572,.F.); #303581=ORIENTED_EDGE('',*,*,#218577,.T.); #303582=ORIENTED_EDGE('',*,*,#218578,.T.); #303583=ORIENTED_EDGE('',*,*,#218579,.F.); #303584=ORIENTED_EDGE('',*,*,#218580,.F.); #303585=ORIENTED_EDGE('',*,*,#218581,.T.); #303586=ORIENTED_EDGE('',*,*,#218580,.T.); #303587=ORIENTED_EDGE('',*,*,#218582,.F.); #303588=ORIENTED_EDGE('',*,*,#218583,.F.); #303589=ORIENTED_EDGE('',*,*,#218584,.T.); #303590=ORIENTED_EDGE('',*,*,#218583,.T.); #303591=ORIENTED_EDGE('',*,*,#218585,.F.); #303592=ORIENTED_EDGE('',*,*,#218586,.F.); #303593=ORIENTED_EDGE('',*,*,#218587,.T.); #303594=ORIENTED_EDGE('',*,*,#218586,.T.); #303595=ORIENTED_EDGE('',*,*,#218588,.F.); #303596=ORIENTED_EDGE('',*,*,#218578,.F.); #303597=ORIENTED_EDGE('',*,*,#218588,.T.); #303598=ORIENTED_EDGE('',*,*,#218585,.T.); #303599=ORIENTED_EDGE('',*,*,#218582,.T.); #303600=ORIENTED_EDGE('',*,*,#218579,.T.); #303601=ORIENTED_EDGE('',*,*,#218587,.F.); #303602=ORIENTED_EDGE('',*,*,#218577,.F.); #303603=ORIENTED_EDGE('',*,*,#218581,.F.); #303604=ORIENTED_EDGE('',*,*,#218584,.F.); #303605=ORIENTED_EDGE('',*,*,#218589,.T.); #303606=ORIENTED_EDGE('',*,*,#218590,.T.); #303607=ORIENTED_EDGE('',*,*,#218591,.F.); #303608=ORIENTED_EDGE('',*,*,#218592,.F.); #303609=ORIENTED_EDGE('',*,*,#218593,.T.); #303610=ORIENTED_EDGE('',*,*,#218592,.T.); #303611=ORIENTED_EDGE('',*,*,#218594,.F.); #303612=ORIENTED_EDGE('',*,*,#218595,.F.); #303613=ORIENTED_EDGE('',*,*,#218596,.T.); #303614=ORIENTED_EDGE('',*,*,#218595,.T.); #303615=ORIENTED_EDGE('',*,*,#218597,.F.); #303616=ORIENTED_EDGE('',*,*,#218598,.F.); #303617=ORIENTED_EDGE('',*,*,#218599,.T.); #303618=ORIENTED_EDGE('',*,*,#218598,.T.); #303619=ORIENTED_EDGE('',*,*,#218600,.F.); #303620=ORIENTED_EDGE('',*,*,#218590,.F.); #303621=ORIENTED_EDGE('',*,*,#218600,.T.); #303622=ORIENTED_EDGE('',*,*,#218597,.T.); #303623=ORIENTED_EDGE('',*,*,#218594,.T.); #303624=ORIENTED_EDGE('',*,*,#218591,.T.); #303625=ORIENTED_EDGE('',*,*,#218599,.F.); #303626=ORIENTED_EDGE('',*,*,#218589,.F.); #303627=ORIENTED_EDGE('',*,*,#218593,.F.); #303628=ORIENTED_EDGE('',*,*,#218596,.F.); #303629=ORIENTED_EDGE('',*,*,#218601,.T.); #303630=ORIENTED_EDGE('',*,*,#218602,.T.); #303631=ORIENTED_EDGE('',*,*,#218603,.F.); #303632=ORIENTED_EDGE('',*,*,#218604,.F.); #303633=ORIENTED_EDGE('',*,*,#218605,.T.); #303634=ORIENTED_EDGE('',*,*,#218604,.T.); #303635=ORIENTED_EDGE('',*,*,#218606,.F.); #303636=ORIENTED_EDGE('',*,*,#218607,.F.); #303637=ORIENTED_EDGE('',*,*,#218608,.T.); #303638=ORIENTED_EDGE('',*,*,#218607,.T.); #303639=ORIENTED_EDGE('',*,*,#218609,.F.); #303640=ORIENTED_EDGE('',*,*,#218610,.F.); #303641=ORIENTED_EDGE('',*,*,#218611,.T.); #303642=ORIENTED_EDGE('',*,*,#218610,.T.); #303643=ORIENTED_EDGE('',*,*,#218612,.F.); #303644=ORIENTED_EDGE('',*,*,#218602,.F.); #303645=ORIENTED_EDGE('',*,*,#218612,.T.); #303646=ORIENTED_EDGE('',*,*,#218609,.T.); #303647=ORIENTED_EDGE('',*,*,#218606,.T.); #303648=ORIENTED_EDGE('',*,*,#218603,.T.); #303649=ORIENTED_EDGE('',*,*,#218611,.F.); #303650=ORIENTED_EDGE('',*,*,#218601,.F.); #303651=ORIENTED_EDGE('',*,*,#218605,.F.); #303652=ORIENTED_EDGE('',*,*,#218608,.F.); #303653=ORIENTED_EDGE('',*,*,#218613,.T.); #303654=ORIENTED_EDGE('',*,*,#218614,.T.); #303655=ORIENTED_EDGE('',*,*,#218615,.F.); #303656=ORIENTED_EDGE('',*,*,#218616,.F.); #303657=ORIENTED_EDGE('',*,*,#218617,.T.); #303658=ORIENTED_EDGE('',*,*,#218616,.T.); #303659=ORIENTED_EDGE('',*,*,#218618,.F.); #303660=ORIENTED_EDGE('',*,*,#218619,.F.); #303661=ORIENTED_EDGE('',*,*,#218620,.T.); #303662=ORIENTED_EDGE('',*,*,#218619,.T.); #303663=ORIENTED_EDGE('',*,*,#218621,.F.); #303664=ORIENTED_EDGE('',*,*,#218622,.F.); #303665=ORIENTED_EDGE('',*,*,#218623,.T.); #303666=ORIENTED_EDGE('',*,*,#218622,.T.); #303667=ORIENTED_EDGE('',*,*,#218624,.F.); #303668=ORIENTED_EDGE('',*,*,#218614,.F.); #303669=ORIENTED_EDGE('',*,*,#218624,.T.); #303670=ORIENTED_EDGE('',*,*,#218621,.T.); #303671=ORIENTED_EDGE('',*,*,#218618,.T.); #303672=ORIENTED_EDGE('',*,*,#218615,.T.); #303673=ORIENTED_EDGE('',*,*,#218623,.F.); #303674=ORIENTED_EDGE('',*,*,#218613,.F.); #303675=ORIENTED_EDGE('',*,*,#218617,.F.); #303676=ORIENTED_EDGE('',*,*,#218620,.F.); #303677=ORIENTED_EDGE('',*,*,#218625,.T.); #303678=ORIENTED_EDGE('',*,*,#218626,.T.); #303679=ORIENTED_EDGE('',*,*,#218627,.F.); #303680=ORIENTED_EDGE('',*,*,#218628,.F.); #303681=ORIENTED_EDGE('',*,*,#218629,.T.); #303682=ORIENTED_EDGE('',*,*,#218628,.T.); #303683=ORIENTED_EDGE('',*,*,#218630,.F.); #303684=ORIENTED_EDGE('',*,*,#218631,.F.); #303685=ORIENTED_EDGE('',*,*,#218632,.T.); #303686=ORIENTED_EDGE('',*,*,#218631,.T.); #303687=ORIENTED_EDGE('',*,*,#218633,.F.); #303688=ORIENTED_EDGE('',*,*,#218634,.F.); #303689=ORIENTED_EDGE('',*,*,#218635,.T.); #303690=ORIENTED_EDGE('',*,*,#218634,.T.); #303691=ORIENTED_EDGE('',*,*,#218636,.F.); #303692=ORIENTED_EDGE('',*,*,#218626,.F.); #303693=ORIENTED_EDGE('',*,*,#218636,.T.); #303694=ORIENTED_EDGE('',*,*,#218633,.T.); #303695=ORIENTED_EDGE('',*,*,#218630,.T.); #303696=ORIENTED_EDGE('',*,*,#218627,.T.); #303697=ORIENTED_EDGE('',*,*,#218635,.F.); #303698=ORIENTED_EDGE('',*,*,#218625,.F.); #303699=ORIENTED_EDGE('',*,*,#218629,.F.); #303700=ORIENTED_EDGE('',*,*,#218632,.F.); #303701=ORIENTED_EDGE('',*,*,#218637,.T.); #303702=ORIENTED_EDGE('',*,*,#218638,.T.); #303703=ORIENTED_EDGE('',*,*,#218639,.F.); #303704=ORIENTED_EDGE('',*,*,#218640,.F.); #303705=ORIENTED_EDGE('',*,*,#218641,.T.); #303706=ORIENTED_EDGE('',*,*,#218640,.T.); #303707=ORIENTED_EDGE('',*,*,#218642,.F.); #303708=ORIENTED_EDGE('',*,*,#218643,.F.); #303709=ORIENTED_EDGE('',*,*,#218644,.T.); #303710=ORIENTED_EDGE('',*,*,#218643,.T.); #303711=ORIENTED_EDGE('',*,*,#218645,.F.); #303712=ORIENTED_EDGE('',*,*,#218646,.F.); #303713=ORIENTED_EDGE('',*,*,#218647,.T.); #303714=ORIENTED_EDGE('',*,*,#218646,.T.); #303715=ORIENTED_EDGE('',*,*,#218648,.F.); #303716=ORIENTED_EDGE('',*,*,#218638,.F.); #303717=ORIENTED_EDGE('',*,*,#218648,.T.); #303718=ORIENTED_EDGE('',*,*,#218645,.T.); #303719=ORIENTED_EDGE('',*,*,#218642,.T.); #303720=ORIENTED_EDGE('',*,*,#218639,.T.); #303721=ORIENTED_EDGE('',*,*,#218647,.F.); #303722=ORIENTED_EDGE('',*,*,#218637,.F.); #303723=ORIENTED_EDGE('',*,*,#218641,.F.); #303724=ORIENTED_EDGE('',*,*,#218644,.F.); #303725=ORIENTED_EDGE('',*,*,#218649,.T.); #303726=ORIENTED_EDGE('',*,*,#218650,.T.); #303727=ORIENTED_EDGE('',*,*,#218651,.F.); #303728=ORIENTED_EDGE('',*,*,#218652,.F.); #303729=ORIENTED_EDGE('',*,*,#218653,.T.); #303730=ORIENTED_EDGE('',*,*,#218652,.T.); #303731=ORIENTED_EDGE('',*,*,#218654,.F.); #303732=ORIENTED_EDGE('',*,*,#218655,.F.); #303733=ORIENTED_EDGE('',*,*,#218656,.T.); #303734=ORIENTED_EDGE('',*,*,#218655,.T.); #303735=ORIENTED_EDGE('',*,*,#218657,.F.); #303736=ORIENTED_EDGE('',*,*,#218658,.F.); #303737=ORIENTED_EDGE('',*,*,#218659,.T.); #303738=ORIENTED_EDGE('',*,*,#218658,.T.); #303739=ORIENTED_EDGE('',*,*,#218660,.F.); #303740=ORIENTED_EDGE('',*,*,#218650,.F.); #303741=ORIENTED_EDGE('',*,*,#218660,.T.); #303742=ORIENTED_EDGE('',*,*,#218657,.T.); #303743=ORIENTED_EDGE('',*,*,#218654,.T.); #303744=ORIENTED_EDGE('',*,*,#218651,.T.); #303745=ORIENTED_EDGE('',*,*,#218659,.F.); #303746=ORIENTED_EDGE('',*,*,#218649,.F.); #303747=ORIENTED_EDGE('',*,*,#218653,.F.); #303748=ORIENTED_EDGE('',*,*,#218656,.F.); #303749=ORIENTED_EDGE('',*,*,#218661,.T.); #303750=ORIENTED_EDGE('',*,*,#218662,.T.); #303751=ORIENTED_EDGE('',*,*,#218663,.F.); #303752=ORIENTED_EDGE('',*,*,#218664,.F.); #303753=ORIENTED_EDGE('',*,*,#218665,.T.); #303754=ORIENTED_EDGE('',*,*,#218664,.T.); #303755=ORIENTED_EDGE('',*,*,#218666,.F.); #303756=ORIENTED_EDGE('',*,*,#218667,.F.); #303757=ORIENTED_EDGE('',*,*,#218668,.T.); #303758=ORIENTED_EDGE('',*,*,#218667,.T.); #303759=ORIENTED_EDGE('',*,*,#218669,.F.); #303760=ORIENTED_EDGE('',*,*,#218670,.F.); #303761=ORIENTED_EDGE('',*,*,#218671,.T.); #303762=ORIENTED_EDGE('',*,*,#218670,.T.); #303763=ORIENTED_EDGE('',*,*,#218672,.F.); #303764=ORIENTED_EDGE('',*,*,#218662,.F.); #303765=ORIENTED_EDGE('',*,*,#218672,.T.); #303766=ORIENTED_EDGE('',*,*,#218669,.T.); #303767=ORIENTED_EDGE('',*,*,#218666,.T.); #303768=ORIENTED_EDGE('',*,*,#218663,.T.); #303769=ORIENTED_EDGE('',*,*,#218671,.F.); #303770=ORIENTED_EDGE('',*,*,#218661,.F.); #303771=ORIENTED_EDGE('',*,*,#218665,.F.); #303772=ORIENTED_EDGE('',*,*,#218668,.F.); #303773=ORIENTED_EDGE('',*,*,#218673,.T.); #303774=ORIENTED_EDGE('',*,*,#218674,.T.); #303775=ORIENTED_EDGE('',*,*,#218675,.F.); #303776=ORIENTED_EDGE('',*,*,#218676,.F.); #303777=ORIENTED_EDGE('',*,*,#218677,.T.); #303778=ORIENTED_EDGE('',*,*,#218676,.T.); #303779=ORIENTED_EDGE('',*,*,#218678,.F.); #303780=ORIENTED_EDGE('',*,*,#218679,.F.); #303781=ORIENTED_EDGE('',*,*,#218680,.T.); #303782=ORIENTED_EDGE('',*,*,#218679,.T.); #303783=ORIENTED_EDGE('',*,*,#218681,.F.); #303784=ORIENTED_EDGE('',*,*,#218682,.F.); #303785=ORIENTED_EDGE('',*,*,#218683,.T.); #303786=ORIENTED_EDGE('',*,*,#218682,.T.); #303787=ORIENTED_EDGE('',*,*,#218684,.F.); #303788=ORIENTED_EDGE('',*,*,#218674,.F.); #303789=ORIENTED_EDGE('',*,*,#218684,.T.); #303790=ORIENTED_EDGE('',*,*,#218681,.T.); #303791=ORIENTED_EDGE('',*,*,#218678,.T.); #303792=ORIENTED_EDGE('',*,*,#218675,.T.); #303793=ORIENTED_EDGE('',*,*,#218683,.F.); #303794=ORIENTED_EDGE('',*,*,#218673,.F.); #303795=ORIENTED_EDGE('',*,*,#218677,.F.); #303796=ORIENTED_EDGE('',*,*,#218680,.F.); #303797=ORIENTED_EDGE('',*,*,#218685,.T.); #303798=ORIENTED_EDGE('',*,*,#218686,.T.); #303799=ORIENTED_EDGE('',*,*,#218687,.F.); #303800=ORIENTED_EDGE('',*,*,#218688,.F.); #303801=ORIENTED_EDGE('',*,*,#218689,.T.); #303802=ORIENTED_EDGE('',*,*,#218688,.T.); #303803=ORIENTED_EDGE('',*,*,#218690,.F.); #303804=ORIENTED_EDGE('',*,*,#218691,.F.); #303805=ORIENTED_EDGE('',*,*,#218692,.T.); #303806=ORIENTED_EDGE('',*,*,#218691,.T.); #303807=ORIENTED_EDGE('',*,*,#218693,.F.); #303808=ORIENTED_EDGE('',*,*,#218694,.F.); #303809=ORIENTED_EDGE('',*,*,#218695,.T.); #303810=ORIENTED_EDGE('',*,*,#218694,.T.); #303811=ORIENTED_EDGE('',*,*,#218696,.F.); #303812=ORIENTED_EDGE('',*,*,#218686,.F.); #303813=ORIENTED_EDGE('',*,*,#218696,.T.); #303814=ORIENTED_EDGE('',*,*,#218693,.T.); #303815=ORIENTED_EDGE('',*,*,#218690,.T.); #303816=ORIENTED_EDGE('',*,*,#218687,.T.); #303817=ORIENTED_EDGE('',*,*,#218695,.F.); #303818=ORIENTED_EDGE('',*,*,#218685,.F.); #303819=ORIENTED_EDGE('',*,*,#218689,.F.); #303820=ORIENTED_EDGE('',*,*,#218692,.F.); #303821=ORIENTED_EDGE('',*,*,#218697,.T.); #303822=ORIENTED_EDGE('',*,*,#218698,.T.); #303823=ORIENTED_EDGE('',*,*,#218699,.F.); #303824=ORIENTED_EDGE('',*,*,#218700,.F.); #303825=ORIENTED_EDGE('',*,*,#218701,.T.); #303826=ORIENTED_EDGE('',*,*,#218700,.T.); #303827=ORIENTED_EDGE('',*,*,#218702,.F.); #303828=ORIENTED_EDGE('',*,*,#218703,.F.); #303829=ORIENTED_EDGE('',*,*,#218704,.T.); #303830=ORIENTED_EDGE('',*,*,#218703,.T.); #303831=ORIENTED_EDGE('',*,*,#218705,.F.); #303832=ORIENTED_EDGE('',*,*,#218706,.F.); #303833=ORIENTED_EDGE('',*,*,#218707,.T.); #303834=ORIENTED_EDGE('',*,*,#218706,.T.); #303835=ORIENTED_EDGE('',*,*,#218708,.F.); #303836=ORIENTED_EDGE('',*,*,#218698,.F.); #303837=ORIENTED_EDGE('',*,*,#218708,.T.); #303838=ORIENTED_EDGE('',*,*,#218705,.T.); #303839=ORIENTED_EDGE('',*,*,#218702,.T.); #303840=ORIENTED_EDGE('',*,*,#218699,.T.); #303841=ORIENTED_EDGE('',*,*,#218707,.F.); #303842=ORIENTED_EDGE('',*,*,#218697,.F.); #303843=ORIENTED_EDGE('',*,*,#218701,.F.); #303844=ORIENTED_EDGE('',*,*,#218704,.F.); #303845=ORIENTED_EDGE('',*,*,#218709,.F.); #303846=ORIENTED_EDGE('',*,*,#218710,.T.); #303847=ORIENTED_EDGE('',*,*,#218711,.F.); #303848=ORIENTED_EDGE('',*,*,#218710,.F.); #303849=ORIENTED_EDGE('',*,*,#218712,.F.); #303850=ORIENTED_EDGE('',*,*,#218713,.T.); #303851=ORIENTED_EDGE('',*,*,#218714,.F.); #303852=ORIENTED_EDGE('',*,*,#218713,.F.); #303853=ORIENTED_EDGE('',*,*,#218715,.T.); #303854=ORIENTED_EDGE('',*,*,#218716,.T.); #303855=ORIENTED_EDGE('',*,*,#218717,.F.); #303856=ORIENTED_EDGE('',*,*,#218718,.F.); #303857=ORIENTED_EDGE('',*,*,#218719,.T.); #303858=ORIENTED_EDGE('',*,*,#218718,.T.); #303859=ORIENTED_EDGE('',*,*,#218720,.F.); #303860=ORIENTED_EDGE('',*,*,#218721,.F.); #303861=ORIENTED_EDGE('',*,*,#218722,.T.); #303862=ORIENTED_EDGE('',*,*,#218721,.T.); #303863=ORIENTED_EDGE('',*,*,#218723,.F.); #303864=ORIENTED_EDGE('',*,*,#218724,.F.); #303865=ORIENTED_EDGE('',*,*,#218725,.T.); #303866=ORIENTED_EDGE('',*,*,#218724,.T.); #303867=ORIENTED_EDGE('',*,*,#218726,.F.); #303868=ORIENTED_EDGE('',*,*,#218716,.F.); #303869=ORIENTED_EDGE('',*,*,#218726,.T.); #303870=ORIENTED_EDGE('',*,*,#218723,.T.); #303871=ORIENTED_EDGE('',*,*,#218720,.T.); #303872=ORIENTED_EDGE('',*,*,#218717,.T.); #303873=ORIENTED_EDGE('',*,*,#218711,.T.); #303874=ORIENTED_EDGE('',*,*,#218714,.T.); #303875=ORIENTED_EDGE('',*,*,#218725,.F.); #303876=ORIENTED_EDGE('',*,*,#218715,.F.); #303877=ORIENTED_EDGE('',*,*,#218719,.F.); #303878=ORIENTED_EDGE('',*,*,#218722,.F.); #303879=ORIENTED_EDGE('',*,*,#218709,.T.); #303880=ORIENTED_EDGE('',*,*,#218712,.T.); #303881=ORIENTED_EDGE('',*,*,#218727,.T.); #303882=ORIENTED_EDGE('',*,*,#218728,.T.); #303883=ORIENTED_EDGE('',*,*,#218729,.F.); #303884=ORIENTED_EDGE('',*,*,#218730,.F.); #303885=ORIENTED_EDGE('',*,*,#218731,.T.); #303886=ORIENTED_EDGE('',*,*,#218730,.T.); #303887=ORIENTED_EDGE('',*,*,#218732,.F.); #303888=ORIENTED_EDGE('',*,*,#218733,.F.); #303889=ORIENTED_EDGE('',*,*,#218734,.T.); #303890=ORIENTED_EDGE('',*,*,#218733,.T.); #303891=ORIENTED_EDGE('',*,*,#218735,.F.); #303892=ORIENTED_EDGE('',*,*,#218736,.F.); #303893=ORIENTED_EDGE('',*,*,#218737,.T.); #303894=ORIENTED_EDGE('',*,*,#218736,.T.); #303895=ORIENTED_EDGE('',*,*,#218738,.F.); #303896=ORIENTED_EDGE('',*,*,#218728,.F.); #303897=ORIENTED_EDGE('',*,*,#218738,.T.); #303898=ORIENTED_EDGE('',*,*,#218735,.T.); #303899=ORIENTED_EDGE('',*,*,#218732,.T.); #303900=ORIENTED_EDGE('',*,*,#218729,.T.); #303901=ORIENTED_EDGE('',*,*,#218737,.F.); #303902=ORIENTED_EDGE('',*,*,#218727,.F.); #303903=ORIENTED_EDGE('',*,*,#218731,.F.); #303904=ORIENTED_EDGE('',*,*,#218734,.F.); #303905=ORIENTED_EDGE('',*,*,#218739,.F.); #303906=ORIENTED_EDGE('',*,*,#218740,.T.); #303907=ORIENTED_EDGE('',*,*,#218741,.F.); #303908=ORIENTED_EDGE('',*,*,#218740,.F.); #303909=ORIENTED_EDGE('',*,*,#218742,.F.); #303910=ORIENTED_EDGE('',*,*,#218743,.T.); #303911=ORIENTED_EDGE('',*,*,#218744,.F.); #303912=ORIENTED_EDGE('',*,*,#218743,.F.); #303913=ORIENTED_EDGE('',*,*,#218745,.T.); #303914=ORIENTED_EDGE('',*,*,#218746,.T.); #303915=ORIENTED_EDGE('',*,*,#218747,.F.); #303916=ORIENTED_EDGE('',*,*,#218748,.F.); #303917=ORIENTED_EDGE('',*,*,#218749,.T.); #303918=ORIENTED_EDGE('',*,*,#218748,.T.); #303919=ORIENTED_EDGE('',*,*,#218750,.F.); #303920=ORIENTED_EDGE('',*,*,#218751,.F.); #303921=ORIENTED_EDGE('',*,*,#218752,.T.); #303922=ORIENTED_EDGE('',*,*,#218751,.T.); #303923=ORIENTED_EDGE('',*,*,#218753,.F.); #303924=ORIENTED_EDGE('',*,*,#218754,.F.); #303925=ORIENTED_EDGE('',*,*,#218755,.T.); #303926=ORIENTED_EDGE('',*,*,#218754,.T.); #303927=ORIENTED_EDGE('',*,*,#218756,.F.); #303928=ORIENTED_EDGE('',*,*,#218746,.F.); #303929=ORIENTED_EDGE('',*,*,#218756,.T.); #303930=ORIENTED_EDGE('',*,*,#218753,.T.); #303931=ORIENTED_EDGE('',*,*,#218750,.T.); #303932=ORIENTED_EDGE('',*,*,#218747,.T.); #303933=ORIENTED_EDGE('',*,*,#218741,.T.); #303934=ORIENTED_EDGE('',*,*,#218744,.T.); #303935=ORIENTED_EDGE('',*,*,#218755,.F.); #303936=ORIENTED_EDGE('',*,*,#218745,.F.); #303937=ORIENTED_EDGE('',*,*,#218749,.F.); #303938=ORIENTED_EDGE('',*,*,#218752,.F.); #303939=ORIENTED_EDGE('',*,*,#218739,.T.); #303940=ORIENTED_EDGE('',*,*,#218742,.T.); #303941=ORIENTED_EDGE('',*,*,#218757,.T.); #303942=ORIENTED_EDGE('',*,*,#218758,.T.); #303943=ORIENTED_EDGE('',*,*,#218759,.F.); #303944=ORIENTED_EDGE('',*,*,#218760,.F.); #303945=ORIENTED_EDGE('',*,*,#218761,.T.); #303946=ORIENTED_EDGE('',*,*,#218760,.T.); #303947=ORIENTED_EDGE('',*,*,#218762,.F.); #303948=ORIENTED_EDGE('',*,*,#218763,.F.); #303949=ORIENTED_EDGE('',*,*,#218764,.T.); #303950=ORIENTED_EDGE('',*,*,#218763,.T.); #303951=ORIENTED_EDGE('',*,*,#218765,.F.); #303952=ORIENTED_EDGE('',*,*,#218766,.F.); #303953=ORIENTED_EDGE('',*,*,#218767,.T.); #303954=ORIENTED_EDGE('',*,*,#218766,.T.); #303955=ORIENTED_EDGE('',*,*,#218768,.F.); #303956=ORIENTED_EDGE('',*,*,#218758,.F.); #303957=ORIENTED_EDGE('',*,*,#218768,.T.); #303958=ORIENTED_EDGE('',*,*,#218765,.T.); #303959=ORIENTED_EDGE('',*,*,#218762,.T.); #303960=ORIENTED_EDGE('',*,*,#218759,.T.); #303961=ORIENTED_EDGE('',*,*,#218767,.F.); #303962=ORIENTED_EDGE('',*,*,#218757,.F.); #303963=ORIENTED_EDGE('',*,*,#218761,.F.); #303964=ORIENTED_EDGE('',*,*,#218764,.F.); #303965=ORIENTED_EDGE('',*,*,#218769,.T.); #303966=ORIENTED_EDGE('',*,*,#218770,.T.); #303967=ORIENTED_EDGE('',*,*,#218771,.F.); #303968=ORIENTED_EDGE('',*,*,#218772,.F.); #303969=ORIENTED_EDGE('',*,*,#218773,.T.); #303970=ORIENTED_EDGE('',*,*,#218772,.T.); #303971=ORIENTED_EDGE('',*,*,#218774,.F.); #303972=ORIENTED_EDGE('',*,*,#218775,.F.); #303973=ORIENTED_EDGE('',*,*,#218776,.T.); #303974=ORIENTED_EDGE('',*,*,#218775,.T.); #303975=ORIENTED_EDGE('',*,*,#218777,.F.); #303976=ORIENTED_EDGE('',*,*,#218778,.F.); #303977=ORIENTED_EDGE('',*,*,#218779,.T.); #303978=ORIENTED_EDGE('',*,*,#218778,.T.); #303979=ORIENTED_EDGE('',*,*,#218780,.F.); #303980=ORIENTED_EDGE('',*,*,#218770,.F.); #303981=ORIENTED_EDGE('',*,*,#218780,.T.); #303982=ORIENTED_EDGE('',*,*,#218777,.T.); #303983=ORIENTED_EDGE('',*,*,#218774,.T.); #303984=ORIENTED_EDGE('',*,*,#218771,.T.); #303985=ORIENTED_EDGE('',*,*,#218779,.F.); #303986=ORIENTED_EDGE('',*,*,#218769,.F.); #303987=ORIENTED_EDGE('',*,*,#218773,.F.); #303988=ORIENTED_EDGE('',*,*,#218776,.F.); #303989=ORIENTED_EDGE('',*,*,#218781,.T.); #303990=ORIENTED_EDGE('',*,*,#218782,.T.); #303991=ORIENTED_EDGE('',*,*,#218783,.F.); #303992=ORIENTED_EDGE('',*,*,#218784,.F.); #303993=ORIENTED_EDGE('',*,*,#218785,.T.); #303994=ORIENTED_EDGE('',*,*,#218784,.T.); #303995=ORIENTED_EDGE('',*,*,#218786,.F.); #303996=ORIENTED_EDGE('',*,*,#218787,.F.); #303997=ORIENTED_EDGE('',*,*,#218788,.T.); #303998=ORIENTED_EDGE('',*,*,#218787,.T.); #303999=ORIENTED_EDGE('',*,*,#218789,.F.); #304000=ORIENTED_EDGE('',*,*,#218790,.F.); #304001=ORIENTED_EDGE('',*,*,#218791,.T.); #304002=ORIENTED_EDGE('',*,*,#218790,.T.); #304003=ORIENTED_EDGE('',*,*,#218792,.F.); #304004=ORIENTED_EDGE('',*,*,#218782,.F.); #304005=ORIENTED_EDGE('',*,*,#218792,.T.); #304006=ORIENTED_EDGE('',*,*,#218789,.T.); #304007=ORIENTED_EDGE('',*,*,#218786,.T.); #304008=ORIENTED_EDGE('',*,*,#218783,.T.); #304009=ORIENTED_EDGE('',*,*,#218791,.F.); #304010=ORIENTED_EDGE('',*,*,#218781,.F.); #304011=ORIENTED_EDGE('',*,*,#218785,.F.); #304012=ORIENTED_EDGE('',*,*,#218788,.F.); #304013=ORIENTED_EDGE('',*,*,#218793,.T.); #304014=ORIENTED_EDGE('',*,*,#218794,.T.); #304015=ORIENTED_EDGE('',*,*,#218795,.F.); #304016=ORIENTED_EDGE('',*,*,#218796,.F.); #304017=ORIENTED_EDGE('',*,*,#218797,.T.); #304018=ORIENTED_EDGE('',*,*,#218796,.T.); #304019=ORIENTED_EDGE('',*,*,#218798,.F.); #304020=ORIENTED_EDGE('',*,*,#218799,.F.); #304021=ORIENTED_EDGE('',*,*,#218800,.T.); #304022=ORIENTED_EDGE('',*,*,#218799,.T.); #304023=ORIENTED_EDGE('',*,*,#218801,.F.); #304024=ORIENTED_EDGE('',*,*,#218802,.F.); #304025=ORIENTED_EDGE('',*,*,#218803,.T.); #304026=ORIENTED_EDGE('',*,*,#218802,.T.); #304027=ORIENTED_EDGE('',*,*,#218804,.F.); #304028=ORIENTED_EDGE('',*,*,#218794,.F.); #304029=ORIENTED_EDGE('',*,*,#218804,.T.); #304030=ORIENTED_EDGE('',*,*,#218801,.T.); #304031=ORIENTED_EDGE('',*,*,#218798,.T.); #304032=ORIENTED_EDGE('',*,*,#218795,.T.); #304033=ORIENTED_EDGE('',*,*,#218803,.F.); #304034=ORIENTED_EDGE('',*,*,#218793,.F.); #304035=ORIENTED_EDGE('',*,*,#218797,.F.); #304036=ORIENTED_EDGE('',*,*,#218800,.F.); #304037=ORIENTED_EDGE('',*,*,#218805,.T.); #304038=ORIENTED_EDGE('',*,*,#218806,.T.); #304039=ORIENTED_EDGE('',*,*,#218807,.F.); #304040=ORIENTED_EDGE('',*,*,#218808,.F.); #304041=ORIENTED_EDGE('',*,*,#218809,.T.); #304042=ORIENTED_EDGE('',*,*,#218808,.T.); #304043=ORIENTED_EDGE('',*,*,#218810,.F.); #304044=ORIENTED_EDGE('',*,*,#218811,.F.); #304045=ORIENTED_EDGE('',*,*,#218812,.T.); #304046=ORIENTED_EDGE('',*,*,#218811,.T.); #304047=ORIENTED_EDGE('',*,*,#218813,.F.); #304048=ORIENTED_EDGE('',*,*,#218814,.F.); #304049=ORIENTED_EDGE('',*,*,#218815,.T.); #304050=ORIENTED_EDGE('',*,*,#218814,.T.); #304051=ORIENTED_EDGE('',*,*,#218816,.F.); #304052=ORIENTED_EDGE('',*,*,#218806,.F.); #304053=ORIENTED_EDGE('',*,*,#218816,.T.); #304054=ORIENTED_EDGE('',*,*,#218813,.T.); #304055=ORIENTED_EDGE('',*,*,#218810,.T.); #304056=ORIENTED_EDGE('',*,*,#218807,.T.); #304057=ORIENTED_EDGE('',*,*,#218815,.F.); #304058=ORIENTED_EDGE('',*,*,#218805,.F.); #304059=ORIENTED_EDGE('',*,*,#218809,.F.); #304060=ORIENTED_EDGE('',*,*,#218812,.F.); #304061=ORIENTED_EDGE('',*,*,#218817,.T.); #304062=ORIENTED_EDGE('',*,*,#218818,.T.); #304063=ORIENTED_EDGE('',*,*,#218819,.F.); #304064=ORIENTED_EDGE('',*,*,#218820,.F.); #304065=ORIENTED_EDGE('',*,*,#218821,.T.); #304066=ORIENTED_EDGE('',*,*,#218820,.T.); #304067=ORIENTED_EDGE('',*,*,#218822,.F.); #304068=ORIENTED_EDGE('',*,*,#218823,.F.); #304069=ORIENTED_EDGE('',*,*,#218824,.T.); #304070=ORIENTED_EDGE('',*,*,#218823,.T.); #304071=ORIENTED_EDGE('',*,*,#218825,.F.); #304072=ORIENTED_EDGE('',*,*,#218826,.F.); #304073=ORIENTED_EDGE('',*,*,#218827,.T.); #304074=ORIENTED_EDGE('',*,*,#218826,.T.); #304075=ORIENTED_EDGE('',*,*,#218828,.F.); #304076=ORIENTED_EDGE('',*,*,#218818,.F.); #304077=ORIENTED_EDGE('',*,*,#218828,.T.); #304078=ORIENTED_EDGE('',*,*,#218825,.T.); #304079=ORIENTED_EDGE('',*,*,#218822,.T.); #304080=ORIENTED_EDGE('',*,*,#218819,.T.); #304081=ORIENTED_EDGE('',*,*,#218827,.F.); #304082=ORIENTED_EDGE('',*,*,#218817,.F.); #304083=ORIENTED_EDGE('',*,*,#218821,.F.); #304084=ORIENTED_EDGE('',*,*,#218824,.F.); #304085=ORIENTED_EDGE('',*,*,#218829,.T.); #304086=ORIENTED_EDGE('',*,*,#218830,.T.); #304087=ORIENTED_EDGE('',*,*,#218831,.F.); #304088=ORIENTED_EDGE('',*,*,#218832,.F.); #304089=ORIENTED_EDGE('',*,*,#218833,.T.); #304090=ORIENTED_EDGE('',*,*,#218832,.T.); #304091=ORIENTED_EDGE('',*,*,#218834,.F.); #304092=ORIENTED_EDGE('',*,*,#218835,.F.); #304093=ORIENTED_EDGE('',*,*,#218836,.T.); #304094=ORIENTED_EDGE('',*,*,#218835,.T.); #304095=ORIENTED_EDGE('',*,*,#218837,.F.); #304096=ORIENTED_EDGE('',*,*,#218838,.F.); #304097=ORIENTED_EDGE('',*,*,#218839,.T.); #304098=ORIENTED_EDGE('',*,*,#218838,.T.); #304099=ORIENTED_EDGE('',*,*,#218840,.F.); #304100=ORIENTED_EDGE('',*,*,#218830,.F.); #304101=ORIENTED_EDGE('',*,*,#218840,.T.); #304102=ORIENTED_EDGE('',*,*,#218837,.T.); #304103=ORIENTED_EDGE('',*,*,#218834,.T.); #304104=ORIENTED_EDGE('',*,*,#218831,.T.); #304105=ORIENTED_EDGE('',*,*,#218839,.F.); #304106=ORIENTED_EDGE('',*,*,#218829,.F.); #304107=ORIENTED_EDGE('',*,*,#218833,.F.); #304108=ORIENTED_EDGE('',*,*,#218836,.F.); #304109=ORIENTED_EDGE('',*,*,#218841,.T.); #304110=ORIENTED_EDGE('',*,*,#218842,.T.); #304111=ORIENTED_EDGE('',*,*,#218843,.F.); #304112=ORIENTED_EDGE('',*,*,#218844,.F.); #304113=ORIENTED_EDGE('',*,*,#218845,.T.); #304114=ORIENTED_EDGE('',*,*,#218844,.T.); #304115=ORIENTED_EDGE('',*,*,#218846,.F.); #304116=ORIENTED_EDGE('',*,*,#218847,.F.); #304117=ORIENTED_EDGE('',*,*,#218848,.T.); #304118=ORIENTED_EDGE('',*,*,#218847,.T.); #304119=ORIENTED_EDGE('',*,*,#218849,.F.); #304120=ORIENTED_EDGE('',*,*,#218850,.F.); #304121=ORIENTED_EDGE('',*,*,#218851,.T.); #304122=ORIENTED_EDGE('',*,*,#218850,.T.); #304123=ORIENTED_EDGE('',*,*,#218852,.F.); #304124=ORIENTED_EDGE('',*,*,#218842,.F.); #304125=ORIENTED_EDGE('',*,*,#218852,.T.); #304126=ORIENTED_EDGE('',*,*,#218849,.T.); #304127=ORIENTED_EDGE('',*,*,#218846,.T.); #304128=ORIENTED_EDGE('',*,*,#218843,.T.); #304129=ORIENTED_EDGE('',*,*,#218851,.F.); #304130=ORIENTED_EDGE('',*,*,#218841,.F.); #304131=ORIENTED_EDGE('',*,*,#218845,.F.); #304132=ORIENTED_EDGE('',*,*,#218848,.F.); #304133=ORIENTED_EDGE('',*,*,#218853,.T.); #304134=ORIENTED_EDGE('',*,*,#218854,.T.); #304135=ORIENTED_EDGE('',*,*,#218855,.F.); #304136=ORIENTED_EDGE('',*,*,#218856,.F.); #304137=ORIENTED_EDGE('',*,*,#218857,.T.); #304138=ORIENTED_EDGE('',*,*,#218856,.T.); #304139=ORIENTED_EDGE('',*,*,#218858,.F.); #304140=ORIENTED_EDGE('',*,*,#218859,.F.); #304141=ORIENTED_EDGE('',*,*,#218860,.T.); #304142=ORIENTED_EDGE('',*,*,#218859,.T.); #304143=ORIENTED_EDGE('',*,*,#218861,.F.); #304144=ORIENTED_EDGE('',*,*,#218862,.F.); #304145=ORIENTED_EDGE('',*,*,#218863,.T.); #304146=ORIENTED_EDGE('',*,*,#218862,.T.); #304147=ORIENTED_EDGE('',*,*,#218864,.F.); #304148=ORIENTED_EDGE('',*,*,#218854,.F.); #304149=ORIENTED_EDGE('',*,*,#218864,.T.); #304150=ORIENTED_EDGE('',*,*,#218861,.T.); #304151=ORIENTED_EDGE('',*,*,#218858,.T.); #304152=ORIENTED_EDGE('',*,*,#218855,.T.); #304153=ORIENTED_EDGE('',*,*,#218863,.F.); #304154=ORIENTED_EDGE('',*,*,#218853,.F.); #304155=ORIENTED_EDGE('',*,*,#218857,.F.); #304156=ORIENTED_EDGE('',*,*,#218860,.F.); #304157=ORIENTED_EDGE('',*,*,#218865,.T.); #304158=ORIENTED_EDGE('',*,*,#218866,.T.); #304159=ORIENTED_EDGE('',*,*,#218867,.F.); #304160=ORIENTED_EDGE('',*,*,#218868,.F.); #304161=ORIENTED_EDGE('',*,*,#218869,.T.); #304162=ORIENTED_EDGE('',*,*,#218868,.T.); #304163=ORIENTED_EDGE('',*,*,#218870,.F.); #304164=ORIENTED_EDGE('',*,*,#218871,.F.); #304165=ORIENTED_EDGE('',*,*,#218872,.T.); #304166=ORIENTED_EDGE('',*,*,#218871,.T.); #304167=ORIENTED_EDGE('',*,*,#218873,.F.); #304168=ORIENTED_EDGE('',*,*,#218874,.F.); #304169=ORIENTED_EDGE('',*,*,#218875,.T.); #304170=ORIENTED_EDGE('',*,*,#218874,.T.); #304171=ORIENTED_EDGE('',*,*,#218876,.F.); #304172=ORIENTED_EDGE('',*,*,#218866,.F.); #304173=ORIENTED_EDGE('',*,*,#218876,.T.); #304174=ORIENTED_EDGE('',*,*,#218873,.T.); #304175=ORIENTED_EDGE('',*,*,#218870,.T.); #304176=ORIENTED_EDGE('',*,*,#218867,.T.); #304177=ORIENTED_EDGE('',*,*,#218875,.F.); #304178=ORIENTED_EDGE('',*,*,#218865,.F.); #304179=ORIENTED_EDGE('',*,*,#218869,.F.); #304180=ORIENTED_EDGE('',*,*,#218872,.F.); #304181=ORIENTED_EDGE('',*,*,#218877,.T.); #304182=ORIENTED_EDGE('',*,*,#218878,.T.); #304183=ORIENTED_EDGE('',*,*,#218879,.F.); #304184=ORIENTED_EDGE('',*,*,#218880,.F.); #304185=ORIENTED_EDGE('',*,*,#218881,.T.); #304186=ORIENTED_EDGE('',*,*,#218880,.T.); #304187=ORIENTED_EDGE('',*,*,#218882,.F.); #304188=ORIENTED_EDGE('',*,*,#218883,.F.); #304189=ORIENTED_EDGE('',*,*,#218884,.T.); #304190=ORIENTED_EDGE('',*,*,#218883,.T.); #304191=ORIENTED_EDGE('',*,*,#218885,.F.); #304192=ORIENTED_EDGE('',*,*,#218886,.F.); #304193=ORIENTED_EDGE('',*,*,#218887,.T.); #304194=ORIENTED_EDGE('',*,*,#218886,.T.); #304195=ORIENTED_EDGE('',*,*,#218888,.F.); #304196=ORIENTED_EDGE('',*,*,#218878,.F.); #304197=ORIENTED_EDGE('',*,*,#218888,.T.); #304198=ORIENTED_EDGE('',*,*,#218885,.T.); #304199=ORIENTED_EDGE('',*,*,#218882,.T.); #304200=ORIENTED_EDGE('',*,*,#218879,.T.); #304201=ORIENTED_EDGE('',*,*,#218887,.F.); #304202=ORIENTED_EDGE('',*,*,#218877,.F.); #304203=ORIENTED_EDGE('',*,*,#218881,.F.); #304204=ORIENTED_EDGE('',*,*,#218884,.F.); #304205=ORIENTED_EDGE('',*,*,#218889,.T.); #304206=ORIENTED_EDGE('',*,*,#218890,.T.); #304207=ORIENTED_EDGE('',*,*,#218891,.F.); #304208=ORIENTED_EDGE('',*,*,#218892,.F.); #304209=ORIENTED_EDGE('',*,*,#218893,.T.); #304210=ORIENTED_EDGE('',*,*,#218892,.T.); #304211=ORIENTED_EDGE('',*,*,#218894,.F.); #304212=ORIENTED_EDGE('',*,*,#218895,.F.); #304213=ORIENTED_EDGE('',*,*,#218896,.T.); #304214=ORIENTED_EDGE('',*,*,#218895,.T.); #304215=ORIENTED_EDGE('',*,*,#218897,.F.); #304216=ORIENTED_EDGE('',*,*,#218898,.F.); #304217=ORIENTED_EDGE('',*,*,#218899,.T.); #304218=ORIENTED_EDGE('',*,*,#218898,.T.); #304219=ORIENTED_EDGE('',*,*,#218900,.F.); #304220=ORIENTED_EDGE('',*,*,#218890,.F.); #304221=ORIENTED_EDGE('',*,*,#218900,.T.); #304222=ORIENTED_EDGE('',*,*,#218897,.T.); #304223=ORIENTED_EDGE('',*,*,#218894,.T.); #304224=ORIENTED_EDGE('',*,*,#218891,.T.); #304225=ORIENTED_EDGE('',*,*,#218899,.F.); #304226=ORIENTED_EDGE('',*,*,#218889,.F.); #304227=ORIENTED_EDGE('',*,*,#218893,.F.); #304228=ORIENTED_EDGE('',*,*,#218896,.F.); #304229=ORIENTED_EDGE('',*,*,#218901,.T.); #304230=ORIENTED_EDGE('',*,*,#218902,.T.); #304231=ORIENTED_EDGE('',*,*,#218903,.F.); #304232=ORIENTED_EDGE('',*,*,#218904,.F.); #304233=ORIENTED_EDGE('',*,*,#218905,.T.); #304234=ORIENTED_EDGE('',*,*,#218904,.T.); #304235=ORIENTED_EDGE('',*,*,#218906,.F.); #304236=ORIENTED_EDGE('',*,*,#218907,.F.); #304237=ORIENTED_EDGE('',*,*,#218908,.T.); #304238=ORIENTED_EDGE('',*,*,#218907,.T.); #304239=ORIENTED_EDGE('',*,*,#218909,.F.); #304240=ORIENTED_EDGE('',*,*,#218910,.F.); #304241=ORIENTED_EDGE('',*,*,#218911,.T.); #304242=ORIENTED_EDGE('',*,*,#218910,.T.); #304243=ORIENTED_EDGE('',*,*,#218912,.F.); #304244=ORIENTED_EDGE('',*,*,#218902,.F.); #304245=ORIENTED_EDGE('',*,*,#218912,.T.); #304246=ORIENTED_EDGE('',*,*,#218909,.T.); #304247=ORIENTED_EDGE('',*,*,#218906,.T.); #304248=ORIENTED_EDGE('',*,*,#218903,.T.); #304249=ORIENTED_EDGE('',*,*,#218911,.F.); #304250=ORIENTED_EDGE('',*,*,#218901,.F.); #304251=ORIENTED_EDGE('',*,*,#218905,.F.); #304252=ORIENTED_EDGE('',*,*,#218908,.F.); #304253=ORIENTED_EDGE('',*,*,#218913,.T.); #304254=ORIENTED_EDGE('',*,*,#218914,.T.); #304255=ORIENTED_EDGE('',*,*,#218915,.F.); #304256=ORIENTED_EDGE('',*,*,#218916,.F.); #304257=ORIENTED_EDGE('',*,*,#218917,.T.); #304258=ORIENTED_EDGE('',*,*,#218916,.T.); #304259=ORIENTED_EDGE('',*,*,#218918,.F.); #304260=ORIENTED_EDGE('',*,*,#218919,.F.); #304261=ORIENTED_EDGE('',*,*,#218920,.T.); #304262=ORIENTED_EDGE('',*,*,#218919,.T.); #304263=ORIENTED_EDGE('',*,*,#218921,.F.); #304264=ORIENTED_EDGE('',*,*,#218922,.F.); #304265=ORIENTED_EDGE('',*,*,#218923,.T.); #304266=ORIENTED_EDGE('',*,*,#218922,.T.); #304267=ORIENTED_EDGE('',*,*,#218924,.F.); #304268=ORIENTED_EDGE('',*,*,#218914,.F.); #304269=ORIENTED_EDGE('',*,*,#218924,.T.); #304270=ORIENTED_EDGE('',*,*,#218921,.T.); #304271=ORIENTED_EDGE('',*,*,#218918,.T.); #304272=ORIENTED_EDGE('',*,*,#218915,.T.); #304273=ORIENTED_EDGE('',*,*,#218923,.F.); #304274=ORIENTED_EDGE('',*,*,#218913,.F.); #304275=ORIENTED_EDGE('',*,*,#218917,.F.); #304276=ORIENTED_EDGE('',*,*,#218920,.F.); #304277=ORIENTED_EDGE('',*,*,#218925,.T.); #304278=ORIENTED_EDGE('',*,*,#218926,.T.); #304279=ORIENTED_EDGE('',*,*,#218927,.F.); #304280=ORIENTED_EDGE('',*,*,#218928,.F.); #304281=ORIENTED_EDGE('',*,*,#218929,.T.); #304282=ORIENTED_EDGE('',*,*,#218928,.T.); #304283=ORIENTED_EDGE('',*,*,#218930,.F.); #304284=ORIENTED_EDGE('',*,*,#218931,.F.); #304285=ORIENTED_EDGE('',*,*,#218932,.T.); #304286=ORIENTED_EDGE('',*,*,#218931,.T.); #304287=ORIENTED_EDGE('',*,*,#218933,.F.); #304288=ORIENTED_EDGE('',*,*,#218934,.F.); #304289=ORIENTED_EDGE('',*,*,#218935,.T.); #304290=ORIENTED_EDGE('',*,*,#218934,.T.); #304291=ORIENTED_EDGE('',*,*,#218936,.F.); #304292=ORIENTED_EDGE('',*,*,#218926,.F.); #304293=ORIENTED_EDGE('',*,*,#218936,.T.); #304294=ORIENTED_EDGE('',*,*,#218933,.T.); #304295=ORIENTED_EDGE('',*,*,#218930,.T.); #304296=ORIENTED_EDGE('',*,*,#218927,.T.); #304297=ORIENTED_EDGE('',*,*,#218935,.F.); #304298=ORIENTED_EDGE('',*,*,#218925,.F.); #304299=ORIENTED_EDGE('',*,*,#218929,.F.); #304300=ORIENTED_EDGE('',*,*,#218932,.F.); #304301=ORIENTED_EDGE('',*,*,#218937,.T.); #304302=ORIENTED_EDGE('',*,*,#218938,.T.); #304303=ORIENTED_EDGE('',*,*,#218939,.F.); #304304=ORIENTED_EDGE('',*,*,#218940,.F.); #304305=ORIENTED_EDGE('',*,*,#218941,.T.); #304306=ORIENTED_EDGE('',*,*,#218940,.T.); #304307=ORIENTED_EDGE('',*,*,#218942,.F.); #304308=ORIENTED_EDGE('',*,*,#218943,.F.); #304309=ORIENTED_EDGE('',*,*,#218944,.T.); #304310=ORIENTED_EDGE('',*,*,#218943,.T.); #304311=ORIENTED_EDGE('',*,*,#218945,.F.); #304312=ORIENTED_EDGE('',*,*,#218946,.F.); #304313=ORIENTED_EDGE('',*,*,#218947,.T.); #304314=ORIENTED_EDGE('',*,*,#218946,.T.); #304315=ORIENTED_EDGE('',*,*,#218948,.F.); #304316=ORIENTED_EDGE('',*,*,#218938,.F.); #304317=ORIENTED_EDGE('',*,*,#218948,.T.); #304318=ORIENTED_EDGE('',*,*,#218945,.T.); #304319=ORIENTED_EDGE('',*,*,#218942,.T.); #304320=ORIENTED_EDGE('',*,*,#218939,.T.); #304321=ORIENTED_EDGE('',*,*,#218947,.F.); #304322=ORIENTED_EDGE('',*,*,#218937,.F.); #304323=ORIENTED_EDGE('',*,*,#218941,.F.); #304324=ORIENTED_EDGE('',*,*,#218944,.F.); #304325=ORIENTED_EDGE('',*,*,#218949,.F.); #304326=ORIENTED_EDGE('',*,*,#218950,.T.); #304327=ORIENTED_EDGE('',*,*,#218951,.F.); #304328=ORIENTED_EDGE('',*,*,#218950,.F.); #304329=ORIENTED_EDGE('',*,*,#218952,.T.); #304330=ORIENTED_EDGE('',*,*,#218953,.T.); #304331=ORIENTED_EDGE('',*,*,#218954,.F.); #304332=ORIENTED_EDGE('',*,*,#218955,.F.); #304333=ORIENTED_EDGE('',*,*,#218956,.T.); #304334=ORIENTED_EDGE('',*,*,#218955,.T.); #304335=ORIENTED_EDGE('',*,*,#218957,.F.); #304336=ORIENTED_EDGE('',*,*,#218958,.F.); #304337=ORIENTED_EDGE('',*,*,#218959,.T.); #304338=ORIENTED_EDGE('',*,*,#218958,.T.); #304339=ORIENTED_EDGE('',*,*,#218960,.F.); #304340=ORIENTED_EDGE('',*,*,#218961,.F.); #304341=ORIENTED_EDGE('',*,*,#218962,.T.); #304342=ORIENTED_EDGE('',*,*,#218961,.T.); #304343=ORIENTED_EDGE('',*,*,#218963,.F.); #304344=ORIENTED_EDGE('',*,*,#218953,.F.); #304345=ORIENTED_EDGE('',*,*,#218963,.T.); #304346=ORIENTED_EDGE('',*,*,#218960,.T.); #304347=ORIENTED_EDGE('',*,*,#218957,.T.); #304348=ORIENTED_EDGE('',*,*,#218954,.T.); #304349=ORIENTED_EDGE('',*,*,#218951,.T.); #304350=ORIENTED_EDGE('',*,*,#218962,.F.); #304351=ORIENTED_EDGE('',*,*,#218952,.F.); #304352=ORIENTED_EDGE('',*,*,#218956,.F.); #304353=ORIENTED_EDGE('',*,*,#218959,.F.); #304354=ORIENTED_EDGE('',*,*,#218949,.T.); #304355=ORIENTED_EDGE('',*,*,#218964,.T.); #304356=ORIENTED_EDGE('',*,*,#218965,.T.); #304357=ORIENTED_EDGE('',*,*,#218966,.F.); #304358=ORIENTED_EDGE('',*,*,#218967,.F.); #304359=ORIENTED_EDGE('',*,*,#218968,.T.); #304360=ORIENTED_EDGE('',*,*,#218967,.T.); #304361=ORIENTED_EDGE('',*,*,#218969,.F.); #304362=ORIENTED_EDGE('',*,*,#218970,.F.); #304363=ORIENTED_EDGE('',*,*,#218971,.T.); #304364=ORIENTED_EDGE('',*,*,#218970,.T.); #304365=ORIENTED_EDGE('',*,*,#218972,.F.); #304366=ORIENTED_EDGE('',*,*,#218973,.F.); #304367=ORIENTED_EDGE('',*,*,#218974,.T.); #304368=ORIENTED_EDGE('',*,*,#218973,.T.); #304369=ORIENTED_EDGE('',*,*,#218975,.F.); #304370=ORIENTED_EDGE('',*,*,#218965,.F.); #304371=ORIENTED_EDGE('',*,*,#218975,.T.); #304372=ORIENTED_EDGE('',*,*,#218972,.T.); #304373=ORIENTED_EDGE('',*,*,#218969,.T.); #304374=ORIENTED_EDGE('',*,*,#218966,.T.); #304375=ORIENTED_EDGE('',*,*,#218974,.F.); #304376=ORIENTED_EDGE('',*,*,#218964,.F.); #304377=ORIENTED_EDGE('',*,*,#218968,.F.); #304378=ORIENTED_EDGE('',*,*,#218971,.F.); #304379=ORIENTED_EDGE('',*,*,#218976,.T.); #304380=ORIENTED_EDGE('',*,*,#218977,.T.); #304381=ORIENTED_EDGE('',*,*,#218978,.F.); #304382=ORIENTED_EDGE('',*,*,#218979,.F.); #304383=ORIENTED_EDGE('',*,*,#218980,.T.); #304384=ORIENTED_EDGE('',*,*,#218979,.T.); #304385=ORIENTED_EDGE('',*,*,#218981,.F.); #304386=ORIENTED_EDGE('',*,*,#218982,.F.); #304387=ORIENTED_EDGE('',*,*,#218983,.T.); #304388=ORIENTED_EDGE('',*,*,#218982,.T.); #304389=ORIENTED_EDGE('',*,*,#218984,.F.); #304390=ORIENTED_EDGE('',*,*,#218985,.F.); #304391=ORIENTED_EDGE('',*,*,#218986,.T.); #304392=ORIENTED_EDGE('',*,*,#218985,.T.); #304393=ORIENTED_EDGE('',*,*,#218987,.F.); #304394=ORIENTED_EDGE('',*,*,#218977,.F.); #304395=ORIENTED_EDGE('',*,*,#218987,.T.); #304396=ORIENTED_EDGE('',*,*,#218984,.T.); #304397=ORIENTED_EDGE('',*,*,#218981,.T.); #304398=ORIENTED_EDGE('',*,*,#218978,.T.); #304399=ORIENTED_EDGE('',*,*,#218986,.F.); #304400=ORIENTED_EDGE('',*,*,#218976,.F.); #304401=ORIENTED_EDGE('',*,*,#218980,.F.); #304402=ORIENTED_EDGE('',*,*,#218983,.F.); #304403=ORIENTED_EDGE('',*,*,#218988,.T.); #304404=ORIENTED_EDGE('',*,*,#218989,.T.); #304405=ORIENTED_EDGE('',*,*,#218990,.F.); #304406=ORIENTED_EDGE('',*,*,#218991,.F.); #304407=ORIENTED_EDGE('',*,*,#218992,.T.); #304408=ORIENTED_EDGE('',*,*,#218991,.T.); #304409=ORIENTED_EDGE('',*,*,#218993,.F.); #304410=ORIENTED_EDGE('',*,*,#218994,.F.); #304411=ORIENTED_EDGE('',*,*,#218995,.T.); #304412=ORIENTED_EDGE('',*,*,#218994,.T.); #304413=ORIENTED_EDGE('',*,*,#218996,.F.); #304414=ORIENTED_EDGE('',*,*,#218997,.F.); #304415=ORIENTED_EDGE('',*,*,#218998,.T.); #304416=ORIENTED_EDGE('',*,*,#218997,.T.); #304417=ORIENTED_EDGE('',*,*,#218999,.F.); #304418=ORIENTED_EDGE('',*,*,#218989,.F.); #304419=ORIENTED_EDGE('',*,*,#218999,.T.); #304420=ORIENTED_EDGE('',*,*,#218996,.T.); #304421=ORIENTED_EDGE('',*,*,#218993,.T.); #304422=ORIENTED_EDGE('',*,*,#218990,.T.); #304423=ORIENTED_EDGE('',*,*,#218998,.F.); #304424=ORIENTED_EDGE('',*,*,#218988,.F.); #304425=ORIENTED_EDGE('',*,*,#218992,.F.); #304426=ORIENTED_EDGE('',*,*,#218995,.F.); #304427=ORIENTED_EDGE('',*,*,#219000,.T.); #304428=ORIENTED_EDGE('',*,*,#219001,.T.); #304429=ORIENTED_EDGE('',*,*,#219002,.F.); #304430=ORIENTED_EDGE('',*,*,#219003,.F.); #304431=ORIENTED_EDGE('',*,*,#219004,.T.); #304432=ORIENTED_EDGE('',*,*,#219003,.T.); #304433=ORIENTED_EDGE('',*,*,#219005,.F.); #304434=ORIENTED_EDGE('',*,*,#219006,.F.); #304435=ORIENTED_EDGE('',*,*,#219007,.T.); #304436=ORIENTED_EDGE('',*,*,#219006,.T.); #304437=ORIENTED_EDGE('',*,*,#219008,.F.); #304438=ORIENTED_EDGE('',*,*,#219009,.F.); #304439=ORIENTED_EDGE('',*,*,#219010,.T.); #304440=ORIENTED_EDGE('',*,*,#219009,.T.); #304441=ORIENTED_EDGE('',*,*,#219011,.F.); #304442=ORIENTED_EDGE('',*,*,#219001,.F.); #304443=ORIENTED_EDGE('',*,*,#219011,.T.); #304444=ORIENTED_EDGE('',*,*,#219008,.T.); #304445=ORIENTED_EDGE('',*,*,#219005,.T.); #304446=ORIENTED_EDGE('',*,*,#219002,.T.); #304447=ORIENTED_EDGE('',*,*,#219010,.F.); #304448=ORIENTED_EDGE('',*,*,#219000,.F.); #304449=ORIENTED_EDGE('',*,*,#219004,.F.); #304450=ORIENTED_EDGE('',*,*,#219007,.F.); #304451=ORIENTED_EDGE('',*,*,#219012,.F.); #304452=ORIENTED_EDGE('',*,*,#219013,.T.); #304453=ORIENTED_EDGE('',*,*,#219014,.F.); #304454=ORIENTED_EDGE('',*,*,#219013,.F.); #304455=ORIENTED_EDGE('',*,*,#219015,.F.); #304456=ORIENTED_EDGE('',*,*,#219016,.T.); #304457=ORIENTED_EDGE('',*,*,#219017,.F.); #304458=ORIENTED_EDGE('',*,*,#219016,.F.); #304459=ORIENTED_EDGE('',*,*,#219018,.F.); #304460=ORIENTED_EDGE('',*,*,#219019,.T.); #304461=ORIENTED_EDGE('',*,*,#219020,.F.); #304462=ORIENTED_EDGE('',*,*,#219019,.F.); #304463=ORIENTED_EDGE('',*,*,#219021,.F.); #304464=ORIENTED_EDGE('',*,*,#219022,.T.); #304465=ORIENTED_EDGE('',*,*,#219023,.F.); #304466=ORIENTED_EDGE('',*,*,#219022,.F.); #304467=ORIENTED_EDGE('',*,*,#219024,.F.); #304468=ORIENTED_EDGE('',*,*,#219025,.T.); #304469=ORIENTED_EDGE('',*,*,#219026,.F.); #304470=ORIENTED_EDGE('',*,*,#219025,.F.); #304471=ORIENTED_EDGE('',*,*,#219027,.F.); #304472=ORIENTED_EDGE('',*,*,#219028,.T.); #304473=ORIENTED_EDGE('',*,*,#219029,.F.); #304474=ORIENTED_EDGE('',*,*,#219028,.F.); #304475=ORIENTED_EDGE('',*,*,#219030,.F.); #304476=ORIENTED_EDGE('',*,*,#219031,.T.); #304477=ORIENTED_EDGE('',*,*,#219032,.F.); #304478=ORIENTED_EDGE('',*,*,#219031,.F.); #304479=ORIENTED_EDGE('',*,*,#219033,.F.); #304480=ORIENTED_EDGE('',*,*,#219034,.T.); #304481=ORIENTED_EDGE('',*,*,#219035,.F.); #304482=ORIENTED_EDGE('',*,*,#219034,.F.); #304483=ORIENTED_EDGE('',*,*,#219036,.F.); #304484=ORIENTED_EDGE('',*,*,#219037,.T.); #304485=ORIENTED_EDGE('',*,*,#219038,.F.); #304486=ORIENTED_EDGE('',*,*,#219037,.F.); #304487=ORIENTED_EDGE('',*,*,#219039,.F.); #304488=ORIENTED_EDGE('',*,*,#219040,.T.); #304489=ORIENTED_EDGE('',*,*,#219041,.F.); #304490=ORIENTED_EDGE('',*,*,#219040,.F.); #304491=ORIENTED_EDGE('',*,*,#219042,.F.); #304492=ORIENTED_EDGE('',*,*,#219043,.T.); #304493=ORIENTED_EDGE('',*,*,#219044,.F.); #304494=ORIENTED_EDGE('',*,*,#219043,.F.); #304495=ORIENTED_EDGE('',*,*,#219045,.F.); #304496=ORIENTED_EDGE('',*,*,#219046,.T.); #304497=ORIENTED_EDGE('',*,*,#219047,.F.); #304498=ORIENTED_EDGE('',*,*,#219046,.F.); #304499=ORIENTED_EDGE('',*,*,#219048,.F.); #304500=ORIENTED_EDGE('',*,*,#219049,.T.); #304501=ORIENTED_EDGE('',*,*,#219050,.F.); #304502=ORIENTED_EDGE('',*,*,#219049,.F.); #304503=ORIENTED_EDGE('',*,*,#219051,.F.); #304504=ORIENTED_EDGE('',*,*,#219052,.T.); #304505=ORIENTED_EDGE('',*,*,#219053,.F.); #304506=ORIENTED_EDGE('',*,*,#219052,.F.); #304507=ORIENTED_EDGE('',*,*,#219054,.F.); #304508=ORIENTED_EDGE('',*,*,#219055,.T.); #304509=ORIENTED_EDGE('',*,*,#219056,.F.); #304510=ORIENTED_EDGE('',*,*,#219055,.F.); #304511=ORIENTED_EDGE('',*,*,#219057,.T.); #304512=ORIENTED_EDGE('',*,*,#219058,.T.); #304513=ORIENTED_EDGE('',*,*,#219059,.F.); #304514=ORIENTED_EDGE('',*,*,#219060,.F.); #304515=ORIENTED_EDGE('',*,*,#219061,.T.); #304516=ORIENTED_EDGE('',*,*,#219060,.T.); #304517=ORIENTED_EDGE('',*,*,#219062,.F.); #304518=ORIENTED_EDGE('',*,*,#219063,.F.); #304519=ORIENTED_EDGE('',*,*,#219064,.T.); #304520=ORIENTED_EDGE('',*,*,#219063,.T.); #304521=ORIENTED_EDGE('',*,*,#219065,.F.); #304522=ORIENTED_EDGE('',*,*,#219066,.F.); #304523=ORIENTED_EDGE('',*,*,#219067,.T.); #304524=ORIENTED_EDGE('',*,*,#219066,.T.); #304525=ORIENTED_EDGE('',*,*,#219068,.F.); #304526=ORIENTED_EDGE('',*,*,#219058,.F.); #304527=ORIENTED_EDGE('',*,*,#219068,.T.); #304528=ORIENTED_EDGE('',*,*,#219065,.T.); #304529=ORIENTED_EDGE('',*,*,#219062,.T.); #304530=ORIENTED_EDGE('',*,*,#219059,.T.); #304531=ORIENTED_EDGE('',*,*,#219014,.T.); #304532=ORIENTED_EDGE('',*,*,#219017,.T.); #304533=ORIENTED_EDGE('',*,*,#219020,.T.); #304534=ORIENTED_EDGE('',*,*,#219023,.T.); #304535=ORIENTED_EDGE('',*,*,#219026,.T.); #304536=ORIENTED_EDGE('',*,*,#219029,.T.); #304537=ORIENTED_EDGE('',*,*,#219032,.T.); #304538=ORIENTED_EDGE('',*,*,#219035,.T.); #304539=ORIENTED_EDGE('',*,*,#219038,.T.); #304540=ORIENTED_EDGE('',*,*,#219041,.T.); #304541=ORIENTED_EDGE('',*,*,#219044,.T.); #304542=ORIENTED_EDGE('',*,*,#219047,.T.); #304543=ORIENTED_EDGE('',*,*,#219050,.T.); #304544=ORIENTED_EDGE('',*,*,#219053,.T.); #304545=ORIENTED_EDGE('',*,*,#219056,.T.); #304546=ORIENTED_EDGE('',*,*,#219067,.F.); #304547=ORIENTED_EDGE('',*,*,#219057,.F.); #304548=ORIENTED_EDGE('',*,*,#219061,.F.); #304549=ORIENTED_EDGE('',*,*,#219064,.F.); #304550=ORIENTED_EDGE('',*,*,#219012,.T.); #304551=ORIENTED_EDGE('',*,*,#219015,.T.); #304552=ORIENTED_EDGE('',*,*,#219018,.T.); #304553=ORIENTED_EDGE('',*,*,#219021,.T.); #304554=ORIENTED_EDGE('',*,*,#219024,.T.); #304555=ORIENTED_EDGE('',*,*,#219027,.T.); #304556=ORIENTED_EDGE('',*,*,#219030,.T.); #304557=ORIENTED_EDGE('',*,*,#219033,.T.); #304558=ORIENTED_EDGE('',*,*,#219036,.T.); #304559=ORIENTED_EDGE('',*,*,#219039,.T.); #304560=ORIENTED_EDGE('',*,*,#219042,.T.); #304561=ORIENTED_EDGE('',*,*,#219045,.T.); #304562=ORIENTED_EDGE('',*,*,#219048,.T.); #304563=ORIENTED_EDGE('',*,*,#219051,.T.); #304564=ORIENTED_EDGE('',*,*,#219054,.T.); #304565=ORIENTED_EDGE('',*,*,#219069,.T.); #304566=ORIENTED_EDGE('',*,*,#219070,.T.); #304567=ORIENTED_EDGE('',*,*,#219071,.F.); #304568=ORIENTED_EDGE('',*,*,#219072,.F.); #304569=ORIENTED_EDGE('',*,*,#219073,.T.); #304570=ORIENTED_EDGE('',*,*,#219072,.T.); #304571=ORIENTED_EDGE('',*,*,#219074,.F.); #304572=ORIENTED_EDGE('',*,*,#219075,.F.); #304573=ORIENTED_EDGE('',*,*,#219076,.T.); #304574=ORIENTED_EDGE('',*,*,#219075,.T.); #304575=ORIENTED_EDGE('',*,*,#219077,.F.); #304576=ORIENTED_EDGE('',*,*,#219078,.F.); #304577=ORIENTED_EDGE('',*,*,#219079,.T.); #304578=ORIENTED_EDGE('',*,*,#219078,.T.); #304579=ORIENTED_EDGE('',*,*,#219080,.F.); #304580=ORIENTED_EDGE('',*,*,#219070,.F.); #304581=ORIENTED_EDGE('',*,*,#219080,.T.); #304582=ORIENTED_EDGE('',*,*,#219077,.T.); #304583=ORIENTED_EDGE('',*,*,#219074,.T.); #304584=ORIENTED_EDGE('',*,*,#219071,.T.); #304585=ORIENTED_EDGE('',*,*,#219079,.F.); #304586=ORIENTED_EDGE('',*,*,#219069,.F.); #304587=ORIENTED_EDGE('',*,*,#219073,.F.); #304588=ORIENTED_EDGE('',*,*,#219076,.F.); #304589=ORIENTED_EDGE('',*,*,#219081,.T.); #304590=ORIENTED_EDGE('',*,*,#219082,.T.); #304591=ORIENTED_EDGE('',*,*,#219083,.F.); #304592=ORIENTED_EDGE('',*,*,#219084,.F.); #304593=ORIENTED_EDGE('',*,*,#219085,.T.); #304594=ORIENTED_EDGE('',*,*,#219084,.T.); #304595=ORIENTED_EDGE('',*,*,#219086,.F.); #304596=ORIENTED_EDGE('',*,*,#219087,.F.); #304597=ORIENTED_EDGE('',*,*,#219088,.T.); #304598=ORIENTED_EDGE('',*,*,#219087,.T.); #304599=ORIENTED_EDGE('',*,*,#219089,.F.); #304600=ORIENTED_EDGE('',*,*,#219090,.F.); #304601=ORIENTED_EDGE('',*,*,#219091,.T.); #304602=ORIENTED_EDGE('',*,*,#219090,.T.); #304603=ORIENTED_EDGE('',*,*,#219092,.F.); #304604=ORIENTED_EDGE('',*,*,#219082,.F.); #304605=ORIENTED_EDGE('',*,*,#219092,.T.); #304606=ORIENTED_EDGE('',*,*,#219089,.T.); #304607=ORIENTED_EDGE('',*,*,#219086,.T.); #304608=ORIENTED_EDGE('',*,*,#219083,.T.); #304609=ORIENTED_EDGE('',*,*,#219091,.F.); #304610=ORIENTED_EDGE('',*,*,#219081,.F.); #304611=ORIENTED_EDGE('',*,*,#219085,.F.); #304612=ORIENTED_EDGE('',*,*,#219088,.F.); #304613=ORIENTED_EDGE('',*,*,#219093,.T.); #304614=ORIENTED_EDGE('',*,*,#219094,.T.); #304615=ORIENTED_EDGE('',*,*,#219095,.F.); #304616=ORIENTED_EDGE('',*,*,#219096,.F.); #304617=ORIENTED_EDGE('',*,*,#219097,.T.); #304618=ORIENTED_EDGE('',*,*,#219096,.T.); #304619=ORIENTED_EDGE('',*,*,#219098,.F.); #304620=ORIENTED_EDGE('',*,*,#219099,.F.); #304621=ORIENTED_EDGE('',*,*,#219100,.T.); #304622=ORIENTED_EDGE('',*,*,#219099,.T.); #304623=ORIENTED_EDGE('',*,*,#219101,.F.); #304624=ORIENTED_EDGE('',*,*,#219102,.F.); #304625=ORIENTED_EDGE('',*,*,#219103,.T.); #304626=ORIENTED_EDGE('',*,*,#219102,.T.); #304627=ORIENTED_EDGE('',*,*,#219104,.F.); #304628=ORIENTED_EDGE('',*,*,#219094,.F.); #304629=ORIENTED_EDGE('',*,*,#219104,.T.); #304630=ORIENTED_EDGE('',*,*,#219101,.T.); #304631=ORIENTED_EDGE('',*,*,#219098,.T.); #304632=ORIENTED_EDGE('',*,*,#219095,.T.); #304633=ORIENTED_EDGE('',*,*,#219103,.F.); #304634=ORIENTED_EDGE('',*,*,#219093,.F.); #304635=ORIENTED_EDGE('',*,*,#219097,.F.); #304636=ORIENTED_EDGE('',*,*,#219100,.F.); #304637=ORIENTED_EDGE('',*,*,#219105,.F.); #304638=ORIENTED_EDGE('',*,*,#219106,.T.); #304639=ORIENTED_EDGE('',*,*,#219107,.F.); #304640=ORIENTED_EDGE('',*,*,#219106,.F.); #304641=ORIENTED_EDGE('',*,*,#219108,.T.); #304642=ORIENTED_EDGE('',*,*,#219109,.T.); #304643=ORIENTED_EDGE('',*,*,#219110,.F.); #304644=ORIENTED_EDGE('',*,*,#219111,.F.); #304645=ORIENTED_EDGE('',*,*,#219112,.T.); #304646=ORIENTED_EDGE('',*,*,#219111,.T.); #304647=ORIENTED_EDGE('',*,*,#219113,.F.); #304648=ORIENTED_EDGE('',*,*,#219114,.F.); #304649=ORIENTED_EDGE('',*,*,#219115,.T.); #304650=ORIENTED_EDGE('',*,*,#219114,.T.); #304651=ORIENTED_EDGE('',*,*,#219116,.F.); #304652=ORIENTED_EDGE('',*,*,#219117,.F.); #304653=ORIENTED_EDGE('',*,*,#219118,.T.); #304654=ORIENTED_EDGE('',*,*,#219117,.T.); #304655=ORIENTED_EDGE('',*,*,#219119,.F.); #304656=ORIENTED_EDGE('',*,*,#219109,.F.); #304657=ORIENTED_EDGE('',*,*,#219119,.T.); #304658=ORIENTED_EDGE('',*,*,#219116,.T.); #304659=ORIENTED_EDGE('',*,*,#219113,.T.); #304660=ORIENTED_EDGE('',*,*,#219110,.T.); #304661=ORIENTED_EDGE('',*,*,#219107,.T.); #304662=ORIENTED_EDGE('',*,*,#219118,.F.); #304663=ORIENTED_EDGE('',*,*,#219108,.F.); #304664=ORIENTED_EDGE('',*,*,#219112,.F.); #304665=ORIENTED_EDGE('',*,*,#219115,.F.); #304666=ORIENTED_EDGE('',*,*,#219105,.T.); #304667=ORIENTED_EDGE('',*,*,#219120,.T.); #304668=ORIENTED_EDGE('',*,*,#219121,.T.); #304669=ORIENTED_EDGE('',*,*,#219122,.F.); #304670=ORIENTED_EDGE('',*,*,#219123,.F.); #304671=ORIENTED_EDGE('',*,*,#219124,.T.); #304672=ORIENTED_EDGE('',*,*,#219123,.T.); #304673=ORIENTED_EDGE('',*,*,#219125,.F.); #304674=ORIENTED_EDGE('',*,*,#219126,.F.); #304675=ORIENTED_EDGE('',*,*,#219127,.T.); #304676=ORIENTED_EDGE('',*,*,#219126,.T.); #304677=ORIENTED_EDGE('',*,*,#219128,.F.); #304678=ORIENTED_EDGE('',*,*,#219129,.F.); #304679=ORIENTED_EDGE('',*,*,#219130,.T.); #304680=ORIENTED_EDGE('',*,*,#219129,.T.); #304681=ORIENTED_EDGE('',*,*,#219131,.F.); #304682=ORIENTED_EDGE('',*,*,#219121,.F.); #304683=ORIENTED_EDGE('',*,*,#219131,.T.); #304684=ORIENTED_EDGE('',*,*,#219128,.T.); #304685=ORIENTED_EDGE('',*,*,#219125,.T.); #304686=ORIENTED_EDGE('',*,*,#219122,.T.); #304687=ORIENTED_EDGE('',*,*,#219130,.F.); #304688=ORIENTED_EDGE('',*,*,#219120,.F.); #304689=ORIENTED_EDGE('',*,*,#219124,.F.); #304690=ORIENTED_EDGE('',*,*,#219127,.F.); #304691=ORIENTED_EDGE('',*,*,#219132,.T.); #304692=ORIENTED_EDGE('',*,*,#219133,.T.); #304693=ORIENTED_EDGE('',*,*,#219134,.F.); #304694=ORIENTED_EDGE('',*,*,#219135,.F.); #304695=ORIENTED_EDGE('',*,*,#219136,.T.); #304696=ORIENTED_EDGE('',*,*,#219135,.T.); #304697=ORIENTED_EDGE('',*,*,#219137,.F.); #304698=ORIENTED_EDGE('',*,*,#219138,.F.); #304699=ORIENTED_EDGE('',*,*,#219139,.T.); #304700=ORIENTED_EDGE('',*,*,#219138,.T.); #304701=ORIENTED_EDGE('',*,*,#219140,.F.); #304702=ORIENTED_EDGE('',*,*,#219141,.F.); #304703=ORIENTED_EDGE('',*,*,#219142,.T.); #304704=ORIENTED_EDGE('',*,*,#219141,.T.); #304705=ORIENTED_EDGE('',*,*,#219143,.F.); #304706=ORIENTED_EDGE('',*,*,#219133,.F.); #304707=ORIENTED_EDGE('',*,*,#219143,.T.); #304708=ORIENTED_EDGE('',*,*,#219140,.T.); #304709=ORIENTED_EDGE('',*,*,#219137,.T.); #304710=ORIENTED_EDGE('',*,*,#219134,.T.); #304711=ORIENTED_EDGE('',*,*,#219142,.F.); #304712=ORIENTED_EDGE('',*,*,#219132,.F.); #304713=ORIENTED_EDGE('',*,*,#219136,.F.); #304714=ORIENTED_EDGE('',*,*,#219139,.F.); #304715=ORIENTED_EDGE('',*,*,#219144,.T.); #304716=ORIENTED_EDGE('',*,*,#219145,.T.); #304717=ORIENTED_EDGE('',*,*,#219146,.F.); #304718=ORIENTED_EDGE('',*,*,#219147,.F.); #304719=ORIENTED_EDGE('',*,*,#219148,.T.); #304720=ORIENTED_EDGE('',*,*,#219147,.T.); #304721=ORIENTED_EDGE('',*,*,#219149,.F.); #304722=ORIENTED_EDGE('',*,*,#219150,.F.); #304723=ORIENTED_EDGE('',*,*,#219151,.T.); #304724=ORIENTED_EDGE('',*,*,#219150,.T.); #304725=ORIENTED_EDGE('',*,*,#219152,.F.); #304726=ORIENTED_EDGE('',*,*,#219153,.F.); #304727=ORIENTED_EDGE('',*,*,#219154,.T.); #304728=ORIENTED_EDGE('',*,*,#219153,.T.); #304729=ORIENTED_EDGE('',*,*,#219155,.F.); #304730=ORIENTED_EDGE('',*,*,#219145,.F.); #304731=ORIENTED_EDGE('',*,*,#219155,.T.); #304732=ORIENTED_EDGE('',*,*,#219152,.T.); #304733=ORIENTED_EDGE('',*,*,#219149,.T.); #304734=ORIENTED_EDGE('',*,*,#219146,.T.); #304735=ORIENTED_EDGE('',*,*,#219154,.F.); #304736=ORIENTED_EDGE('',*,*,#219144,.F.); #304737=ORIENTED_EDGE('',*,*,#219148,.F.); #304738=ORIENTED_EDGE('',*,*,#219151,.F.); #304739=ORIENTED_EDGE('',*,*,#219156,.T.); #304740=ORIENTED_EDGE('',*,*,#219157,.T.); #304741=ORIENTED_EDGE('',*,*,#219158,.F.); #304742=ORIENTED_EDGE('',*,*,#219159,.F.); #304743=ORIENTED_EDGE('',*,*,#219160,.T.); #304744=ORIENTED_EDGE('',*,*,#219159,.T.); #304745=ORIENTED_EDGE('',*,*,#219161,.F.); #304746=ORIENTED_EDGE('',*,*,#219162,.F.); #304747=ORIENTED_EDGE('',*,*,#219163,.T.); #304748=ORIENTED_EDGE('',*,*,#219162,.T.); #304749=ORIENTED_EDGE('',*,*,#219164,.F.); #304750=ORIENTED_EDGE('',*,*,#219165,.F.); #304751=ORIENTED_EDGE('',*,*,#219166,.T.); #304752=ORIENTED_EDGE('',*,*,#219165,.T.); #304753=ORIENTED_EDGE('',*,*,#219167,.F.); #304754=ORIENTED_EDGE('',*,*,#219157,.F.); #304755=ORIENTED_EDGE('',*,*,#219167,.T.); #304756=ORIENTED_EDGE('',*,*,#219164,.T.); #304757=ORIENTED_EDGE('',*,*,#219161,.T.); #304758=ORIENTED_EDGE('',*,*,#219158,.T.); #304759=ORIENTED_EDGE('',*,*,#219166,.F.); #304760=ORIENTED_EDGE('',*,*,#219156,.F.); #304761=ORIENTED_EDGE('',*,*,#219160,.F.); #304762=ORIENTED_EDGE('',*,*,#219163,.F.); #304763=ORIENTED_EDGE('',*,*,#219168,.F.); #304764=ORIENTED_EDGE('',*,*,#219169,.T.); #304765=ORIENTED_EDGE('',*,*,#219170,.F.); #304766=ORIENTED_EDGE('',*,*,#219169,.F.); #304767=ORIENTED_EDGE('',*,*,#219171,.F.); #304768=ORIENTED_EDGE('',*,*,#219172,.T.); #304769=ORIENTED_EDGE('',*,*,#219173,.F.); #304770=ORIENTED_EDGE('',*,*,#219172,.F.); #304771=ORIENTED_EDGE('',*,*,#219174,.F.); #304772=ORIENTED_EDGE('',*,*,#219175,.T.); #304773=ORIENTED_EDGE('',*,*,#219176,.F.); #304774=ORIENTED_EDGE('',*,*,#219175,.F.); #304775=ORIENTED_EDGE('',*,*,#219177,.F.); #304776=ORIENTED_EDGE('',*,*,#219178,.T.); #304777=ORIENTED_EDGE('',*,*,#219179,.F.); #304778=ORIENTED_EDGE('',*,*,#219178,.F.); #304779=ORIENTED_EDGE('',*,*,#219180,.F.); #304780=ORIENTED_EDGE('',*,*,#219181,.T.); #304781=ORIENTED_EDGE('',*,*,#219182,.F.); #304782=ORIENTED_EDGE('',*,*,#219181,.F.); #304783=ORIENTED_EDGE('',*,*,#219183,.F.); #304784=ORIENTED_EDGE('',*,*,#219184,.T.); #304785=ORIENTED_EDGE('',*,*,#219185,.F.); #304786=ORIENTED_EDGE('',*,*,#219184,.F.); #304787=ORIENTED_EDGE('',*,*,#219186,.F.); #304788=ORIENTED_EDGE('',*,*,#219187,.T.); #304789=ORIENTED_EDGE('',*,*,#219188,.F.); #304790=ORIENTED_EDGE('',*,*,#219187,.F.); #304791=ORIENTED_EDGE('',*,*,#219189,.F.); #304792=ORIENTED_EDGE('',*,*,#219190,.T.); #304793=ORIENTED_EDGE('',*,*,#219191,.F.); #304794=ORIENTED_EDGE('',*,*,#219190,.F.); #304795=ORIENTED_EDGE('',*,*,#219192,.F.); #304796=ORIENTED_EDGE('',*,*,#219193,.T.); #304797=ORIENTED_EDGE('',*,*,#219194,.F.); #304798=ORIENTED_EDGE('',*,*,#219193,.F.); #304799=ORIENTED_EDGE('',*,*,#219195,.F.); #304800=ORIENTED_EDGE('',*,*,#219196,.T.); #304801=ORIENTED_EDGE('',*,*,#219197,.F.); #304802=ORIENTED_EDGE('',*,*,#219196,.F.); #304803=ORIENTED_EDGE('',*,*,#219198,.F.); #304804=ORIENTED_EDGE('',*,*,#219199,.T.); #304805=ORIENTED_EDGE('',*,*,#219200,.F.); #304806=ORIENTED_EDGE('',*,*,#219199,.F.); #304807=ORIENTED_EDGE('',*,*,#219201,.F.); #304808=ORIENTED_EDGE('',*,*,#219202,.T.); #304809=ORIENTED_EDGE('',*,*,#219203,.F.); #304810=ORIENTED_EDGE('',*,*,#219202,.F.); #304811=ORIENTED_EDGE('',*,*,#219204,.F.); #304812=ORIENTED_EDGE('',*,*,#219205,.T.); #304813=ORIENTED_EDGE('',*,*,#219206,.F.); #304814=ORIENTED_EDGE('',*,*,#219205,.F.); #304815=ORIENTED_EDGE('',*,*,#219207,.F.); #304816=ORIENTED_EDGE('',*,*,#219208,.T.); #304817=ORIENTED_EDGE('',*,*,#219209,.F.); #304818=ORIENTED_EDGE('',*,*,#219208,.F.); #304819=ORIENTED_EDGE('',*,*,#219210,.F.); #304820=ORIENTED_EDGE('',*,*,#219211,.T.); #304821=ORIENTED_EDGE('',*,*,#219212,.F.); #304822=ORIENTED_EDGE('',*,*,#219211,.F.); #304823=ORIENTED_EDGE('',*,*,#219213,.T.); #304824=ORIENTED_EDGE('',*,*,#219214,.T.); #304825=ORIENTED_EDGE('',*,*,#219215,.F.); #304826=ORIENTED_EDGE('',*,*,#219216,.F.); #304827=ORIENTED_EDGE('',*,*,#219217,.T.); #304828=ORIENTED_EDGE('',*,*,#219216,.T.); #304829=ORIENTED_EDGE('',*,*,#219218,.F.); #304830=ORIENTED_EDGE('',*,*,#219219,.F.); #304831=ORIENTED_EDGE('',*,*,#219220,.T.); #304832=ORIENTED_EDGE('',*,*,#219219,.T.); #304833=ORIENTED_EDGE('',*,*,#219221,.F.); #304834=ORIENTED_EDGE('',*,*,#219222,.F.); #304835=ORIENTED_EDGE('',*,*,#219223,.T.); #304836=ORIENTED_EDGE('',*,*,#219222,.T.); #304837=ORIENTED_EDGE('',*,*,#219224,.F.); #304838=ORIENTED_EDGE('',*,*,#219214,.F.); #304839=ORIENTED_EDGE('',*,*,#219224,.T.); #304840=ORIENTED_EDGE('',*,*,#219221,.T.); #304841=ORIENTED_EDGE('',*,*,#219218,.T.); #304842=ORIENTED_EDGE('',*,*,#219215,.T.); #304843=ORIENTED_EDGE('',*,*,#219170,.T.); #304844=ORIENTED_EDGE('',*,*,#219173,.T.); #304845=ORIENTED_EDGE('',*,*,#219176,.T.); #304846=ORIENTED_EDGE('',*,*,#219179,.T.); #304847=ORIENTED_EDGE('',*,*,#219182,.T.); #304848=ORIENTED_EDGE('',*,*,#219185,.T.); #304849=ORIENTED_EDGE('',*,*,#219188,.T.); #304850=ORIENTED_EDGE('',*,*,#219191,.T.); #304851=ORIENTED_EDGE('',*,*,#219194,.T.); #304852=ORIENTED_EDGE('',*,*,#219197,.T.); #304853=ORIENTED_EDGE('',*,*,#219200,.T.); #304854=ORIENTED_EDGE('',*,*,#219203,.T.); #304855=ORIENTED_EDGE('',*,*,#219206,.T.); #304856=ORIENTED_EDGE('',*,*,#219209,.T.); #304857=ORIENTED_EDGE('',*,*,#219212,.T.); #304858=ORIENTED_EDGE('',*,*,#219223,.F.); #304859=ORIENTED_EDGE('',*,*,#219213,.F.); #304860=ORIENTED_EDGE('',*,*,#219217,.F.); #304861=ORIENTED_EDGE('',*,*,#219220,.F.); #304862=ORIENTED_EDGE('',*,*,#219168,.T.); #304863=ORIENTED_EDGE('',*,*,#219171,.T.); #304864=ORIENTED_EDGE('',*,*,#219174,.T.); #304865=ORIENTED_EDGE('',*,*,#219177,.T.); #304866=ORIENTED_EDGE('',*,*,#219180,.T.); #304867=ORIENTED_EDGE('',*,*,#219183,.T.); #304868=ORIENTED_EDGE('',*,*,#219186,.T.); #304869=ORIENTED_EDGE('',*,*,#219189,.T.); #304870=ORIENTED_EDGE('',*,*,#219192,.T.); #304871=ORIENTED_EDGE('',*,*,#219195,.T.); #304872=ORIENTED_EDGE('',*,*,#219198,.T.); #304873=ORIENTED_EDGE('',*,*,#219201,.T.); #304874=ORIENTED_EDGE('',*,*,#219204,.T.); #304875=ORIENTED_EDGE('',*,*,#219207,.T.); #304876=ORIENTED_EDGE('',*,*,#219210,.T.); #304877=ORIENTED_EDGE('',*,*,#219225,.T.); #304878=ORIENTED_EDGE('',*,*,#219226,.T.); #304879=ORIENTED_EDGE('',*,*,#219227,.F.); #304880=ORIENTED_EDGE('',*,*,#219228,.F.); #304881=ORIENTED_EDGE('',*,*,#219229,.T.); #304882=ORIENTED_EDGE('',*,*,#219228,.T.); #304883=ORIENTED_EDGE('',*,*,#219230,.F.); #304884=ORIENTED_EDGE('',*,*,#219231,.F.); #304885=ORIENTED_EDGE('',*,*,#219232,.T.); #304886=ORIENTED_EDGE('',*,*,#219231,.T.); #304887=ORIENTED_EDGE('',*,*,#219233,.F.); #304888=ORIENTED_EDGE('',*,*,#219234,.F.); #304889=ORIENTED_EDGE('',*,*,#219235,.T.); #304890=ORIENTED_EDGE('',*,*,#219234,.T.); #304891=ORIENTED_EDGE('',*,*,#219236,.F.); #304892=ORIENTED_EDGE('',*,*,#219226,.F.); #304893=ORIENTED_EDGE('',*,*,#219236,.T.); #304894=ORIENTED_EDGE('',*,*,#219233,.T.); #304895=ORIENTED_EDGE('',*,*,#219230,.T.); #304896=ORIENTED_EDGE('',*,*,#219227,.T.); #304897=ORIENTED_EDGE('',*,*,#219235,.F.); #304898=ORIENTED_EDGE('',*,*,#219225,.F.); #304899=ORIENTED_EDGE('',*,*,#219229,.F.); #304900=ORIENTED_EDGE('',*,*,#219232,.F.); #304901=ORIENTED_EDGE('',*,*,#219237,.T.); #304902=ORIENTED_EDGE('',*,*,#219238,.T.); #304903=ORIENTED_EDGE('',*,*,#219239,.F.); #304904=ORIENTED_EDGE('',*,*,#219240,.F.); #304905=ORIENTED_EDGE('',*,*,#219241,.T.); #304906=ORIENTED_EDGE('',*,*,#219240,.T.); #304907=ORIENTED_EDGE('',*,*,#219242,.F.); #304908=ORIENTED_EDGE('',*,*,#219243,.F.); #304909=ORIENTED_EDGE('',*,*,#219244,.T.); #304910=ORIENTED_EDGE('',*,*,#219243,.T.); #304911=ORIENTED_EDGE('',*,*,#219245,.F.); #304912=ORIENTED_EDGE('',*,*,#219246,.F.); #304913=ORIENTED_EDGE('',*,*,#219247,.T.); #304914=ORIENTED_EDGE('',*,*,#219246,.T.); #304915=ORIENTED_EDGE('',*,*,#219248,.F.); #304916=ORIENTED_EDGE('',*,*,#219238,.F.); #304917=ORIENTED_EDGE('',*,*,#219248,.T.); #304918=ORIENTED_EDGE('',*,*,#219245,.T.); #304919=ORIENTED_EDGE('',*,*,#219242,.T.); #304920=ORIENTED_EDGE('',*,*,#219239,.T.); #304921=ORIENTED_EDGE('',*,*,#219247,.F.); #304922=ORIENTED_EDGE('',*,*,#219237,.F.); #304923=ORIENTED_EDGE('',*,*,#219241,.F.); #304924=ORIENTED_EDGE('',*,*,#219244,.F.); #304925=ORIENTED_EDGE('',*,*,#219249,.T.); #304926=ORIENTED_EDGE('',*,*,#219250,.T.); #304927=ORIENTED_EDGE('',*,*,#219251,.F.); #304928=ORIENTED_EDGE('',*,*,#219252,.F.); #304929=ORIENTED_EDGE('',*,*,#219253,.T.); #304930=ORIENTED_EDGE('',*,*,#219252,.T.); #304931=ORIENTED_EDGE('',*,*,#219254,.F.); #304932=ORIENTED_EDGE('',*,*,#219255,.F.); #304933=ORIENTED_EDGE('',*,*,#219256,.T.); #304934=ORIENTED_EDGE('',*,*,#219255,.T.); #304935=ORIENTED_EDGE('',*,*,#219257,.F.); #304936=ORIENTED_EDGE('',*,*,#219258,.F.); #304937=ORIENTED_EDGE('',*,*,#219259,.T.); #304938=ORIENTED_EDGE('',*,*,#219258,.T.); #304939=ORIENTED_EDGE('',*,*,#219260,.F.); #304940=ORIENTED_EDGE('',*,*,#219250,.F.); #304941=ORIENTED_EDGE('',*,*,#219260,.T.); #304942=ORIENTED_EDGE('',*,*,#219257,.T.); #304943=ORIENTED_EDGE('',*,*,#219254,.T.); #304944=ORIENTED_EDGE('',*,*,#219251,.T.); #304945=ORIENTED_EDGE('',*,*,#219259,.F.); #304946=ORIENTED_EDGE('',*,*,#219249,.F.); #304947=ORIENTED_EDGE('',*,*,#219253,.F.); #304948=ORIENTED_EDGE('',*,*,#219256,.F.); #304949=ORIENTED_EDGE('',*,*,#219261,.F.); #304950=ORIENTED_EDGE('',*,*,#219262,.T.); #304951=ORIENTED_EDGE('',*,*,#219263,.F.); #304952=ORIENTED_EDGE('',*,*,#219262,.F.); #304953=ORIENTED_EDGE('',*,*,#219264,.T.); #304954=ORIENTED_EDGE('',*,*,#219265,.T.); #304955=ORIENTED_EDGE('',*,*,#219266,.F.); #304956=ORIENTED_EDGE('',*,*,#219267,.F.); #304957=ORIENTED_EDGE('',*,*,#219268,.T.); #304958=ORIENTED_EDGE('',*,*,#219267,.T.); #304959=ORIENTED_EDGE('',*,*,#219269,.F.); #304960=ORIENTED_EDGE('',*,*,#219270,.F.); #304961=ORIENTED_EDGE('',*,*,#219271,.T.); #304962=ORIENTED_EDGE('',*,*,#219270,.T.); #304963=ORIENTED_EDGE('',*,*,#219272,.F.); #304964=ORIENTED_EDGE('',*,*,#219273,.F.); #304965=ORIENTED_EDGE('',*,*,#219274,.T.); #304966=ORIENTED_EDGE('',*,*,#219273,.T.); #304967=ORIENTED_EDGE('',*,*,#219275,.F.); #304968=ORIENTED_EDGE('',*,*,#219265,.F.); #304969=ORIENTED_EDGE('',*,*,#219275,.T.); #304970=ORIENTED_EDGE('',*,*,#219272,.T.); #304971=ORIENTED_EDGE('',*,*,#219269,.T.); #304972=ORIENTED_EDGE('',*,*,#219266,.T.); #304973=ORIENTED_EDGE('',*,*,#219263,.T.); #304974=ORIENTED_EDGE('',*,*,#219274,.F.); #304975=ORIENTED_EDGE('',*,*,#219264,.F.); #304976=ORIENTED_EDGE('',*,*,#219268,.F.); #304977=ORIENTED_EDGE('',*,*,#219271,.F.); #304978=ORIENTED_EDGE('',*,*,#219261,.T.); #304979=ORIENTED_EDGE('',*,*,#219276,.F.); #304980=ORIENTED_EDGE('',*,*,#219277,.T.); #304981=ORIENTED_EDGE('',*,*,#219278,.F.); #304982=ORIENTED_EDGE('',*,*,#219277,.F.); #304983=ORIENTED_EDGE('',*,*,#219279,.T.); #304984=ORIENTED_EDGE('',*,*,#219280,.T.); #304985=ORIENTED_EDGE('',*,*,#219281,.F.); #304986=ORIENTED_EDGE('',*,*,#219282,.F.); #304987=ORIENTED_EDGE('',*,*,#219283,.T.); #304988=ORIENTED_EDGE('',*,*,#219282,.T.); #304989=ORIENTED_EDGE('',*,*,#219284,.F.); #304990=ORIENTED_EDGE('',*,*,#219285,.F.); #304991=ORIENTED_EDGE('',*,*,#219286,.T.); #304992=ORIENTED_EDGE('',*,*,#219285,.T.); #304993=ORIENTED_EDGE('',*,*,#219287,.F.); #304994=ORIENTED_EDGE('',*,*,#219288,.F.); #304995=ORIENTED_EDGE('',*,*,#219289,.T.); #304996=ORIENTED_EDGE('',*,*,#219288,.T.); #304997=ORIENTED_EDGE('',*,*,#219290,.F.); #304998=ORIENTED_EDGE('',*,*,#219280,.F.); #304999=ORIENTED_EDGE('',*,*,#219290,.T.); #305000=ORIENTED_EDGE('',*,*,#219287,.T.); #305001=ORIENTED_EDGE('',*,*,#219284,.T.); #305002=ORIENTED_EDGE('',*,*,#219281,.T.); #305003=ORIENTED_EDGE('',*,*,#219278,.T.); #305004=ORIENTED_EDGE('',*,*,#219289,.F.); #305005=ORIENTED_EDGE('',*,*,#219279,.F.); #305006=ORIENTED_EDGE('',*,*,#219283,.F.); #305007=ORIENTED_EDGE('',*,*,#219286,.F.); #305008=ORIENTED_EDGE('',*,*,#219276,.T.); #305009=ORIENTED_EDGE('',*,*,#219291,.F.); #305010=ORIENTED_EDGE('',*,*,#219292,.T.); #305011=ORIENTED_EDGE('',*,*,#219293,.F.); #305012=ORIENTED_EDGE('',*,*,#219292,.F.); #305013=ORIENTED_EDGE('',*,*,#219294,.T.); #305014=ORIENTED_EDGE('',*,*,#219295,.T.); #305015=ORIENTED_EDGE('',*,*,#219296,.F.); #305016=ORIENTED_EDGE('',*,*,#219297,.F.); #305017=ORIENTED_EDGE('',*,*,#219298,.T.); #305018=ORIENTED_EDGE('',*,*,#219297,.T.); #305019=ORIENTED_EDGE('',*,*,#219299,.F.); #305020=ORIENTED_EDGE('',*,*,#219300,.F.); #305021=ORIENTED_EDGE('',*,*,#219301,.T.); #305022=ORIENTED_EDGE('',*,*,#219300,.T.); #305023=ORIENTED_EDGE('',*,*,#219302,.F.); #305024=ORIENTED_EDGE('',*,*,#219303,.F.); #305025=ORIENTED_EDGE('',*,*,#219304,.T.); #305026=ORIENTED_EDGE('',*,*,#219303,.T.); #305027=ORIENTED_EDGE('',*,*,#219305,.F.); #305028=ORIENTED_EDGE('',*,*,#219295,.F.); #305029=ORIENTED_EDGE('',*,*,#219305,.T.); #305030=ORIENTED_EDGE('',*,*,#219302,.T.); #305031=ORIENTED_EDGE('',*,*,#219299,.T.); #305032=ORIENTED_EDGE('',*,*,#219296,.T.); #305033=ORIENTED_EDGE('',*,*,#219293,.T.); #305034=ORIENTED_EDGE('',*,*,#219304,.F.); #305035=ORIENTED_EDGE('',*,*,#219294,.F.); #305036=ORIENTED_EDGE('',*,*,#219298,.F.); #305037=ORIENTED_EDGE('',*,*,#219301,.F.); #305038=ORIENTED_EDGE('',*,*,#219291,.T.); #305039=ORIENTED_EDGE('',*,*,#219306,.F.); #305040=ORIENTED_EDGE('',*,*,#219307,.T.); #305041=ORIENTED_EDGE('',*,*,#219308,.F.); #305042=ORIENTED_EDGE('',*,*,#219307,.F.); #305043=ORIENTED_EDGE('',*,*,#219309,.F.); #305044=ORIENTED_EDGE('',*,*,#219310,.T.); #305045=ORIENTED_EDGE('',*,*,#219311,.F.); #305046=ORIENTED_EDGE('',*,*,#219310,.F.); #305047=ORIENTED_EDGE('',*,*,#219312,.F.); #305048=ORIENTED_EDGE('',*,*,#219313,.T.); #305049=ORIENTED_EDGE('',*,*,#219314,.F.); #305050=ORIENTED_EDGE('',*,*,#219313,.F.); #305051=ORIENTED_EDGE('',*,*,#219315,.F.); #305052=ORIENTED_EDGE('',*,*,#219316,.T.); #305053=ORIENTED_EDGE('',*,*,#219317,.F.); #305054=ORIENTED_EDGE('',*,*,#219316,.F.); #305055=ORIENTED_EDGE('',*,*,#219318,.F.); #305056=ORIENTED_EDGE('',*,*,#219319,.T.); #305057=ORIENTED_EDGE('',*,*,#219320,.F.); #305058=ORIENTED_EDGE('',*,*,#219319,.F.); #305059=ORIENTED_EDGE('',*,*,#219321,.F.); #305060=ORIENTED_EDGE('',*,*,#219322,.T.); #305061=ORIENTED_EDGE('',*,*,#219323,.F.); #305062=ORIENTED_EDGE('',*,*,#219322,.F.); #305063=ORIENTED_EDGE('',*,*,#219324,.F.); #305064=ORIENTED_EDGE('',*,*,#219325,.T.); #305065=ORIENTED_EDGE('',*,*,#219326,.F.); #305066=ORIENTED_EDGE('',*,*,#219325,.F.); #305067=ORIENTED_EDGE('',*,*,#219327,.F.); #305068=ORIENTED_EDGE('',*,*,#219328,.T.); #305069=ORIENTED_EDGE('',*,*,#219329,.F.); #305070=ORIENTED_EDGE('',*,*,#219328,.F.); #305071=ORIENTED_EDGE('',*,*,#219330,.F.); #305072=ORIENTED_EDGE('',*,*,#219331,.T.); #305073=ORIENTED_EDGE('',*,*,#219332,.F.); #305074=ORIENTED_EDGE('',*,*,#219331,.F.); #305075=ORIENTED_EDGE('',*,*,#219333,.F.); #305076=ORIENTED_EDGE('',*,*,#219334,.T.); #305077=ORIENTED_EDGE('',*,*,#219335,.F.); #305078=ORIENTED_EDGE('',*,*,#219334,.F.); #305079=ORIENTED_EDGE('',*,*,#219336,.F.); #305080=ORIENTED_EDGE('',*,*,#219337,.T.); #305081=ORIENTED_EDGE('',*,*,#219338,.F.); #305082=ORIENTED_EDGE('',*,*,#219337,.F.); #305083=ORIENTED_EDGE('',*,*,#219339,.F.); #305084=ORIENTED_EDGE('',*,*,#219340,.T.); #305085=ORIENTED_EDGE('',*,*,#219341,.F.); #305086=ORIENTED_EDGE('',*,*,#219340,.F.); #305087=ORIENTED_EDGE('',*,*,#219342,.F.); #305088=ORIENTED_EDGE('',*,*,#219343,.T.); #305089=ORIENTED_EDGE('',*,*,#219344,.F.); #305090=ORIENTED_EDGE('',*,*,#219343,.F.); #305091=ORIENTED_EDGE('',*,*,#219345,.F.); #305092=ORIENTED_EDGE('',*,*,#219346,.T.); #305093=ORIENTED_EDGE('',*,*,#219347,.F.); #305094=ORIENTED_EDGE('',*,*,#219346,.F.); #305095=ORIENTED_EDGE('',*,*,#219348,.F.); #305096=ORIENTED_EDGE('',*,*,#219349,.T.); #305097=ORIENTED_EDGE('',*,*,#219350,.F.); #305098=ORIENTED_EDGE('',*,*,#219349,.F.); #305099=ORIENTED_EDGE('',*,*,#219351,.T.); #305100=ORIENTED_EDGE('',*,*,#219352,.T.); #305101=ORIENTED_EDGE('',*,*,#219353,.F.); #305102=ORIENTED_EDGE('',*,*,#219354,.F.); #305103=ORIENTED_EDGE('',*,*,#219355,.T.); #305104=ORIENTED_EDGE('',*,*,#219354,.T.); #305105=ORIENTED_EDGE('',*,*,#219356,.F.); #305106=ORIENTED_EDGE('',*,*,#219357,.F.); #305107=ORIENTED_EDGE('',*,*,#219358,.T.); #305108=ORIENTED_EDGE('',*,*,#219357,.T.); #305109=ORIENTED_EDGE('',*,*,#219359,.F.); #305110=ORIENTED_EDGE('',*,*,#219360,.F.); #305111=ORIENTED_EDGE('',*,*,#219361,.T.); #305112=ORIENTED_EDGE('',*,*,#219360,.T.); #305113=ORIENTED_EDGE('',*,*,#219362,.F.); #305114=ORIENTED_EDGE('',*,*,#219352,.F.); #305115=ORIENTED_EDGE('',*,*,#219362,.T.); #305116=ORIENTED_EDGE('',*,*,#219359,.T.); #305117=ORIENTED_EDGE('',*,*,#219356,.T.); #305118=ORIENTED_EDGE('',*,*,#219353,.T.); #305119=ORIENTED_EDGE('',*,*,#219308,.T.); #305120=ORIENTED_EDGE('',*,*,#219311,.T.); #305121=ORIENTED_EDGE('',*,*,#219314,.T.); #305122=ORIENTED_EDGE('',*,*,#219317,.T.); #305123=ORIENTED_EDGE('',*,*,#219320,.T.); #305124=ORIENTED_EDGE('',*,*,#219323,.T.); #305125=ORIENTED_EDGE('',*,*,#219326,.T.); #305126=ORIENTED_EDGE('',*,*,#219329,.T.); #305127=ORIENTED_EDGE('',*,*,#219332,.T.); #305128=ORIENTED_EDGE('',*,*,#219335,.T.); #305129=ORIENTED_EDGE('',*,*,#219338,.T.); #305130=ORIENTED_EDGE('',*,*,#219341,.T.); #305131=ORIENTED_EDGE('',*,*,#219344,.T.); #305132=ORIENTED_EDGE('',*,*,#219347,.T.); #305133=ORIENTED_EDGE('',*,*,#219350,.T.); #305134=ORIENTED_EDGE('',*,*,#219361,.F.); #305135=ORIENTED_EDGE('',*,*,#219351,.F.); #305136=ORIENTED_EDGE('',*,*,#219355,.F.); #305137=ORIENTED_EDGE('',*,*,#219358,.F.); #305138=ORIENTED_EDGE('',*,*,#219306,.T.); #305139=ORIENTED_EDGE('',*,*,#219309,.T.); #305140=ORIENTED_EDGE('',*,*,#219312,.T.); #305141=ORIENTED_EDGE('',*,*,#219315,.T.); #305142=ORIENTED_EDGE('',*,*,#219318,.T.); #305143=ORIENTED_EDGE('',*,*,#219321,.T.); #305144=ORIENTED_EDGE('',*,*,#219324,.T.); #305145=ORIENTED_EDGE('',*,*,#219327,.T.); #305146=ORIENTED_EDGE('',*,*,#219330,.T.); #305147=ORIENTED_EDGE('',*,*,#219333,.T.); #305148=ORIENTED_EDGE('',*,*,#219336,.T.); #305149=ORIENTED_EDGE('',*,*,#219339,.T.); #305150=ORIENTED_EDGE('',*,*,#219342,.T.); #305151=ORIENTED_EDGE('',*,*,#219345,.T.); #305152=ORIENTED_EDGE('',*,*,#219348,.T.); #305153=ORIENTED_EDGE('',*,*,#219363,.T.); #305154=ORIENTED_EDGE('',*,*,#219364,.T.); #305155=ORIENTED_EDGE('',*,*,#219365,.F.); #305156=ORIENTED_EDGE('',*,*,#219366,.F.); #305157=ORIENTED_EDGE('',*,*,#219367,.T.); #305158=ORIENTED_EDGE('',*,*,#219366,.T.); #305159=ORIENTED_EDGE('',*,*,#219368,.F.); #305160=ORIENTED_EDGE('',*,*,#219369,.F.); #305161=ORIENTED_EDGE('',*,*,#219370,.T.); #305162=ORIENTED_EDGE('',*,*,#219369,.T.); #305163=ORIENTED_EDGE('',*,*,#219371,.F.); #305164=ORIENTED_EDGE('',*,*,#219372,.F.); #305165=ORIENTED_EDGE('',*,*,#219373,.T.); #305166=ORIENTED_EDGE('',*,*,#219372,.T.); #305167=ORIENTED_EDGE('',*,*,#219374,.F.); #305168=ORIENTED_EDGE('',*,*,#219364,.F.); #305169=ORIENTED_EDGE('',*,*,#219374,.T.); #305170=ORIENTED_EDGE('',*,*,#219371,.T.); #305171=ORIENTED_EDGE('',*,*,#219368,.T.); #305172=ORIENTED_EDGE('',*,*,#219365,.T.); #305173=ORIENTED_EDGE('',*,*,#219373,.F.); #305174=ORIENTED_EDGE('',*,*,#219363,.F.); #305175=ORIENTED_EDGE('',*,*,#219367,.F.); #305176=ORIENTED_EDGE('',*,*,#219370,.F.); #305177=ORIENTED_EDGE('',*,*,#219375,.T.); #305178=ORIENTED_EDGE('',*,*,#219376,.T.); #305179=ORIENTED_EDGE('',*,*,#219377,.F.); #305180=ORIENTED_EDGE('',*,*,#219378,.F.); #305181=ORIENTED_EDGE('',*,*,#219379,.T.); #305182=ORIENTED_EDGE('',*,*,#219378,.T.); #305183=ORIENTED_EDGE('',*,*,#219380,.F.); #305184=ORIENTED_EDGE('',*,*,#219381,.F.); #305185=ORIENTED_EDGE('',*,*,#219382,.T.); #305186=ORIENTED_EDGE('',*,*,#219381,.T.); #305187=ORIENTED_EDGE('',*,*,#219383,.F.); #305188=ORIENTED_EDGE('',*,*,#219384,.F.); #305189=ORIENTED_EDGE('',*,*,#219385,.T.); #305190=ORIENTED_EDGE('',*,*,#219384,.T.); #305191=ORIENTED_EDGE('',*,*,#219386,.F.); #305192=ORIENTED_EDGE('',*,*,#219376,.F.); #305193=ORIENTED_EDGE('',*,*,#219386,.T.); #305194=ORIENTED_EDGE('',*,*,#219383,.T.); #305195=ORIENTED_EDGE('',*,*,#219380,.T.); #305196=ORIENTED_EDGE('',*,*,#219377,.T.); #305197=ORIENTED_EDGE('',*,*,#219385,.F.); #305198=ORIENTED_EDGE('',*,*,#219375,.F.); #305199=ORIENTED_EDGE('',*,*,#219379,.F.); #305200=ORIENTED_EDGE('',*,*,#219382,.F.); #305201=ORIENTED_EDGE('',*,*,#219387,.T.); #305202=ORIENTED_EDGE('',*,*,#219388,.T.); #305203=ORIENTED_EDGE('',*,*,#219389,.F.); #305204=ORIENTED_EDGE('',*,*,#219390,.F.); #305205=ORIENTED_EDGE('',*,*,#219391,.T.); #305206=ORIENTED_EDGE('',*,*,#219390,.T.); #305207=ORIENTED_EDGE('',*,*,#219392,.F.); #305208=ORIENTED_EDGE('',*,*,#219393,.F.); #305209=ORIENTED_EDGE('',*,*,#219394,.T.); #305210=ORIENTED_EDGE('',*,*,#219393,.T.); #305211=ORIENTED_EDGE('',*,*,#219395,.F.); #305212=ORIENTED_EDGE('',*,*,#219396,.F.); #305213=ORIENTED_EDGE('',*,*,#219397,.T.); #305214=ORIENTED_EDGE('',*,*,#219396,.T.); #305215=ORIENTED_EDGE('',*,*,#219398,.F.); #305216=ORIENTED_EDGE('',*,*,#219388,.F.); #305217=ORIENTED_EDGE('',*,*,#219398,.T.); #305218=ORIENTED_EDGE('',*,*,#219395,.T.); #305219=ORIENTED_EDGE('',*,*,#219392,.T.); #305220=ORIENTED_EDGE('',*,*,#219389,.T.); #305221=ORIENTED_EDGE('',*,*,#219397,.F.); #305222=ORIENTED_EDGE('',*,*,#219387,.F.); #305223=ORIENTED_EDGE('',*,*,#219391,.F.); #305224=ORIENTED_EDGE('',*,*,#219394,.F.); #305225=ORIENTED_EDGE('',*,*,#219399,.T.); #305226=ORIENTED_EDGE('',*,*,#219400,.T.); #305227=ORIENTED_EDGE('',*,*,#219401,.F.); #305228=ORIENTED_EDGE('',*,*,#219402,.F.); #305229=ORIENTED_EDGE('',*,*,#219403,.T.); #305230=ORIENTED_EDGE('',*,*,#219402,.T.); #305231=ORIENTED_EDGE('',*,*,#219404,.F.); #305232=ORIENTED_EDGE('',*,*,#219405,.F.); #305233=ORIENTED_EDGE('',*,*,#219406,.T.); #305234=ORIENTED_EDGE('',*,*,#219405,.T.); #305235=ORIENTED_EDGE('',*,*,#219407,.F.); #305236=ORIENTED_EDGE('',*,*,#219408,.F.); #305237=ORIENTED_EDGE('',*,*,#219409,.T.); #305238=ORIENTED_EDGE('',*,*,#219408,.T.); #305239=ORIENTED_EDGE('',*,*,#219410,.F.); #305240=ORIENTED_EDGE('',*,*,#219400,.F.); #305241=ORIENTED_EDGE('',*,*,#219410,.T.); #305242=ORIENTED_EDGE('',*,*,#219407,.T.); #305243=ORIENTED_EDGE('',*,*,#219404,.T.); #305244=ORIENTED_EDGE('',*,*,#219401,.T.); #305245=ORIENTED_EDGE('',*,*,#219409,.F.); #305246=ORIENTED_EDGE('',*,*,#219399,.F.); #305247=ORIENTED_EDGE('',*,*,#219403,.F.); #305248=ORIENTED_EDGE('',*,*,#219406,.F.); #305249=ORIENTED_EDGE('',*,*,#219411,.T.); #305250=ORIENTED_EDGE('',*,*,#219412,.T.); #305251=ORIENTED_EDGE('',*,*,#219413,.F.); #305252=ORIENTED_EDGE('',*,*,#219414,.F.); #305253=ORIENTED_EDGE('',*,*,#219415,.T.); #305254=ORIENTED_EDGE('',*,*,#219414,.T.); #305255=ORIENTED_EDGE('',*,*,#219416,.F.); #305256=ORIENTED_EDGE('',*,*,#219417,.F.); #305257=ORIENTED_EDGE('',*,*,#219418,.T.); #305258=ORIENTED_EDGE('',*,*,#219417,.T.); #305259=ORIENTED_EDGE('',*,*,#219419,.F.); #305260=ORIENTED_EDGE('',*,*,#219420,.F.); #305261=ORIENTED_EDGE('',*,*,#219421,.T.); #305262=ORIENTED_EDGE('',*,*,#219420,.T.); #305263=ORIENTED_EDGE('',*,*,#219422,.F.); #305264=ORIENTED_EDGE('',*,*,#219412,.F.); #305265=ORIENTED_EDGE('',*,*,#219422,.T.); #305266=ORIENTED_EDGE('',*,*,#219419,.T.); #305267=ORIENTED_EDGE('',*,*,#219416,.T.); #305268=ORIENTED_EDGE('',*,*,#219413,.T.); #305269=ORIENTED_EDGE('',*,*,#219421,.F.); #305270=ORIENTED_EDGE('',*,*,#219411,.F.); #305271=ORIENTED_EDGE('',*,*,#219415,.F.); #305272=ORIENTED_EDGE('',*,*,#219418,.F.); #305273=ORIENTED_EDGE('',*,*,#219423,.T.); #305274=ORIENTED_EDGE('',*,*,#219424,.T.); #305275=ORIENTED_EDGE('',*,*,#219425,.F.); #305276=ORIENTED_EDGE('',*,*,#219426,.F.); #305277=ORIENTED_EDGE('',*,*,#219427,.T.); #305278=ORIENTED_EDGE('',*,*,#219426,.T.); #305279=ORIENTED_EDGE('',*,*,#219428,.F.); #305280=ORIENTED_EDGE('',*,*,#219429,.F.); #305281=ORIENTED_EDGE('',*,*,#219430,.T.); #305282=ORIENTED_EDGE('',*,*,#219429,.T.); #305283=ORIENTED_EDGE('',*,*,#219431,.F.); #305284=ORIENTED_EDGE('',*,*,#219432,.F.); #305285=ORIENTED_EDGE('',*,*,#219433,.T.); #305286=ORIENTED_EDGE('',*,*,#219432,.T.); #305287=ORIENTED_EDGE('',*,*,#219434,.F.); #305288=ORIENTED_EDGE('',*,*,#219424,.F.); #305289=ORIENTED_EDGE('',*,*,#219434,.T.); #305290=ORIENTED_EDGE('',*,*,#219431,.T.); #305291=ORIENTED_EDGE('',*,*,#219428,.T.); #305292=ORIENTED_EDGE('',*,*,#219425,.T.); #305293=ORIENTED_EDGE('',*,*,#219433,.F.); #305294=ORIENTED_EDGE('',*,*,#219423,.F.); #305295=ORIENTED_EDGE('',*,*,#219427,.F.); #305296=ORIENTED_EDGE('',*,*,#219430,.F.); #305297=ORIENTED_EDGE('',*,*,#219435,.T.); #305298=ORIENTED_EDGE('',*,*,#219436,.T.); #305299=ORIENTED_EDGE('',*,*,#219437,.F.); #305300=ORIENTED_EDGE('',*,*,#219438,.F.); #305301=ORIENTED_EDGE('',*,*,#219439,.T.); #305302=ORIENTED_EDGE('',*,*,#219438,.T.); #305303=ORIENTED_EDGE('',*,*,#219440,.F.); #305304=ORIENTED_EDGE('',*,*,#219441,.F.); #305305=ORIENTED_EDGE('',*,*,#219442,.T.); #305306=ORIENTED_EDGE('',*,*,#219441,.T.); #305307=ORIENTED_EDGE('',*,*,#219443,.F.); #305308=ORIENTED_EDGE('',*,*,#219444,.F.); #305309=ORIENTED_EDGE('',*,*,#219445,.T.); #305310=ORIENTED_EDGE('',*,*,#219444,.T.); #305311=ORIENTED_EDGE('',*,*,#219446,.F.); #305312=ORIENTED_EDGE('',*,*,#219436,.F.); #305313=ORIENTED_EDGE('',*,*,#219446,.T.); #305314=ORIENTED_EDGE('',*,*,#219443,.T.); #305315=ORIENTED_EDGE('',*,*,#219440,.T.); #305316=ORIENTED_EDGE('',*,*,#219437,.T.); #305317=ORIENTED_EDGE('',*,*,#219445,.F.); #305318=ORIENTED_EDGE('',*,*,#219435,.F.); #305319=ORIENTED_EDGE('',*,*,#219439,.F.); #305320=ORIENTED_EDGE('',*,*,#219442,.F.); #305321=ORIENTED_EDGE('',*,*,#219447,.T.); #305322=ORIENTED_EDGE('',*,*,#219448,.T.); #305323=ORIENTED_EDGE('',*,*,#219449,.F.); #305324=ORIENTED_EDGE('',*,*,#219450,.F.); #305325=ORIENTED_EDGE('',*,*,#219451,.T.); #305326=ORIENTED_EDGE('',*,*,#219450,.T.); #305327=ORIENTED_EDGE('',*,*,#219452,.F.); #305328=ORIENTED_EDGE('',*,*,#219453,.F.); #305329=ORIENTED_EDGE('',*,*,#219454,.T.); #305330=ORIENTED_EDGE('',*,*,#219453,.T.); #305331=ORIENTED_EDGE('',*,*,#219455,.F.); #305332=ORIENTED_EDGE('',*,*,#219456,.F.); #305333=ORIENTED_EDGE('',*,*,#219457,.T.); #305334=ORIENTED_EDGE('',*,*,#219456,.T.); #305335=ORIENTED_EDGE('',*,*,#219458,.F.); #305336=ORIENTED_EDGE('',*,*,#219448,.F.); #305337=ORIENTED_EDGE('',*,*,#219458,.T.); #305338=ORIENTED_EDGE('',*,*,#219455,.T.); #305339=ORIENTED_EDGE('',*,*,#219452,.T.); #305340=ORIENTED_EDGE('',*,*,#219449,.T.); #305341=ORIENTED_EDGE('',*,*,#219457,.F.); #305342=ORIENTED_EDGE('',*,*,#219447,.F.); #305343=ORIENTED_EDGE('',*,*,#219451,.F.); #305344=ORIENTED_EDGE('',*,*,#219454,.F.); #305345=ORIENTED_EDGE('',*,*,#219459,.T.); #305346=ORIENTED_EDGE('',*,*,#219460,.T.); #305347=ORIENTED_EDGE('',*,*,#219461,.F.); #305348=ORIENTED_EDGE('',*,*,#219462,.F.); #305349=ORIENTED_EDGE('',*,*,#219463,.T.); #305350=ORIENTED_EDGE('',*,*,#219462,.T.); #305351=ORIENTED_EDGE('',*,*,#219464,.F.); #305352=ORIENTED_EDGE('',*,*,#219465,.F.); #305353=ORIENTED_EDGE('',*,*,#219466,.T.); #305354=ORIENTED_EDGE('',*,*,#219465,.T.); #305355=ORIENTED_EDGE('',*,*,#219467,.F.); #305356=ORIENTED_EDGE('',*,*,#219468,.F.); #305357=ORIENTED_EDGE('',*,*,#219469,.T.); #305358=ORIENTED_EDGE('',*,*,#219468,.T.); #305359=ORIENTED_EDGE('',*,*,#219470,.F.); #305360=ORIENTED_EDGE('',*,*,#219460,.F.); #305361=ORIENTED_EDGE('',*,*,#219470,.T.); #305362=ORIENTED_EDGE('',*,*,#219467,.T.); #305363=ORIENTED_EDGE('',*,*,#219464,.T.); #305364=ORIENTED_EDGE('',*,*,#219461,.T.); #305365=ORIENTED_EDGE('',*,*,#219469,.F.); #305366=ORIENTED_EDGE('',*,*,#219459,.F.); #305367=ORIENTED_EDGE('',*,*,#219463,.F.); #305368=ORIENTED_EDGE('',*,*,#219466,.F.); #305369=ORIENTED_EDGE('',*,*,#219471,.T.); #305370=ORIENTED_EDGE('',*,*,#219472,.T.); #305371=ORIENTED_EDGE('',*,*,#219473,.F.); #305372=ORIENTED_EDGE('',*,*,#219474,.F.); #305373=ORIENTED_EDGE('',*,*,#219475,.T.); #305374=ORIENTED_EDGE('',*,*,#219474,.T.); #305375=ORIENTED_EDGE('',*,*,#219476,.F.); #305376=ORIENTED_EDGE('',*,*,#219477,.F.); #305377=ORIENTED_EDGE('',*,*,#219478,.T.); #305378=ORIENTED_EDGE('',*,*,#219477,.T.); #305379=ORIENTED_EDGE('',*,*,#219479,.F.); #305380=ORIENTED_EDGE('',*,*,#219480,.F.); #305381=ORIENTED_EDGE('',*,*,#219481,.T.); #305382=ORIENTED_EDGE('',*,*,#219480,.T.); #305383=ORIENTED_EDGE('',*,*,#219482,.F.); #305384=ORIENTED_EDGE('',*,*,#219472,.F.); #305385=ORIENTED_EDGE('',*,*,#219482,.T.); #305386=ORIENTED_EDGE('',*,*,#219479,.T.); #305387=ORIENTED_EDGE('',*,*,#219476,.T.); #305388=ORIENTED_EDGE('',*,*,#219473,.T.); #305389=ORIENTED_EDGE('',*,*,#219481,.F.); #305390=ORIENTED_EDGE('',*,*,#219471,.F.); #305391=ORIENTED_EDGE('',*,*,#219475,.F.); #305392=ORIENTED_EDGE('',*,*,#219478,.F.); #305393=ORIENTED_EDGE('',*,*,#219483,.T.); #305394=ORIENTED_EDGE('',*,*,#219484,.T.); #305395=ORIENTED_EDGE('',*,*,#219485,.F.); #305396=ORIENTED_EDGE('',*,*,#219486,.F.); #305397=ORIENTED_EDGE('',*,*,#219487,.T.); #305398=ORIENTED_EDGE('',*,*,#219486,.T.); #305399=ORIENTED_EDGE('',*,*,#219488,.F.); #305400=ORIENTED_EDGE('',*,*,#219489,.F.); #305401=ORIENTED_EDGE('',*,*,#219490,.T.); #305402=ORIENTED_EDGE('',*,*,#219489,.T.); #305403=ORIENTED_EDGE('',*,*,#219491,.F.); #305404=ORIENTED_EDGE('',*,*,#219492,.F.); #305405=ORIENTED_EDGE('',*,*,#219493,.T.); #305406=ORIENTED_EDGE('',*,*,#219492,.T.); #305407=ORIENTED_EDGE('',*,*,#219494,.F.); #305408=ORIENTED_EDGE('',*,*,#219484,.F.); #305409=ORIENTED_EDGE('',*,*,#219494,.T.); #305410=ORIENTED_EDGE('',*,*,#219491,.T.); #305411=ORIENTED_EDGE('',*,*,#219488,.T.); #305412=ORIENTED_EDGE('',*,*,#219485,.T.); #305413=ORIENTED_EDGE('',*,*,#219493,.F.); #305414=ORIENTED_EDGE('',*,*,#219483,.F.); #305415=ORIENTED_EDGE('',*,*,#219487,.F.); #305416=ORIENTED_EDGE('',*,*,#219490,.F.); #305417=ORIENTED_EDGE('',*,*,#219495,.T.); #305418=ORIENTED_EDGE('',*,*,#219496,.T.); #305419=ORIENTED_EDGE('',*,*,#219497,.F.); #305420=ORIENTED_EDGE('',*,*,#219498,.F.); #305421=ORIENTED_EDGE('',*,*,#219499,.T.); #305422=ORIENTED_EDGE('',*,*,#219498,.T.); #305423=ORIENTED_EDGE('',*,*,#219500,.F.); #305424=ORIENTED_EDGE('',*,*,#219501,.F.); #305425=ORIENTED_EDGE('',*,*,#219502,.T.); #305426=ORIENTED_EDGE('',*,*,#219501,.T.); #305427=ORIENTED_EDGE('',*,*,#219503,.F.); #305428=ORIENTED_EDGE('',*,*,#219504,.F.); #305429=ORIENTED_EDGE('',*,*,#219505,.T.); #305430=ORIENTED_EDGE('',*,*,#219504,.T.); #305431=ORIENTED_EDGE('',*,*,#219506,.F.); #305432=ORIENTED_EDGE('',*,*,#219496,.F.); #305433=ORIENTED_EDGE('',*,*,#219506,.T.); #305434=ORIENTED_EDGE('',*,*,#219503,.T.); #305435=ORIENTED_EDGE('',*,*,#219500,.T.); #305436=ORIENTED_EDGE('',*,*,#219497,.T.); #305437=ORIENTED_EDGE('',*,*,#219505,.F.); #305438=ORIENTED_EDGE('',*,*,#219495,.F.); #305439=ORIENTED_EDGE('',*,*,#219499,.F.); #305440=ORIENTED_EDGE('',*,*,#219502,.F.); #305441=ORIENTED_EDGE('',*,*,#219507,.T.); #305442=ORIENTED_EDGE('',*,*,#219508,.T.); #305443=ORIENTED_EDGE('',*,*,#219509,.F.); #305444=ORIENTED_EDGE('',*,*,#219510,.F.); #305445=ORIENTED_EDGE('',*,*,#219511,.T.); #305446=ORIENTED_EDGE('',*,*,#219510,.T.); #305447=ORIENTED_EDGE('',*,*,#219512,.F.); #305448=ORIENTED_EDGE('',*,*,#219513,.F.); #305449=ORIENTED_EDGE('',*,*,#219514,.T.); #305450=ORIENTED_EDGE('',*,*,#219513,.T.); #305451=ORIENTED_EDGE('',*,*,#219515,.F.); #305452=ORIENTED_EDGE('',*,*,#219516,.F.); #305453=ORIENTED_EDGE('',*,*,#219517,.T.); #305454=ORIENTED_EDGE('',*,*,#219516,.T.); #305455=ORIENTED_EDGE('',*,*,#219518,.F.); #305456=ORIENTED_EDGE('',*,*,#219508,.F.); #305457=ORIENTED_EDGE('',*,*,#219518,.T.); #305458=ORIENTED_EDGE('',*,*,#219515,.T.); #305459=ORIENTED_EDGE('',*,*,#219512,.T.); #305460=ORIENTED_EDGE('',*,*,#219509,.T.); #305461=ORIENTED_EDGE('',*,*,#219517,.F.); #305462=ORIENTED_EDGE('',*,*,#219507,.F.); #305463=ORIENTED_EDGE('',*,*,#219511,.F.); #305464=ORIENTED_EDGE('',*,*,#219514,.F.); #305465=ORIENTED_EDGE('',*,*,#219519,.T.); #305466=ORIENTED_EDGE('',*,*,#219520,.T.); #305467=ORIENTED_EDGE('',*,*,#219521,.F.); #305468=ORIENTED_EDGE('',*,*,#219522,.F.); #305469=ORIENTED_EDGE('',*,*,#219523,.T.); #305470=ORIENTED_EDGE('',*,*,#219522,.T.); #305471=ORIENTED_EDGE('',*,*,#219524,.F.); #305472=ORIENTED_EDGE('',*,*,#219525,.F.); #305473=ORIENTED_EDGE('',*,*,#219526,.T.); #305474=ORIENTED_EDGE('',*,*,#219525,.T.); #305475=ORIENTED_EDGE('',*,*,#219527,.F.); #305476=ORIENTED_EDGE('',*,*,#219528,.F.); #305477=ORIENTED_EDGE('',*,*,#219529,.T.); #305478=ORIENTED_EDGE('',*,*,#219528,.T.); #305479=ORIENTED_EDGE('',*,*,#219530,.F.); #305480=ORIENTED_EDGE('',*,*,#219520,.F.); #305481=ORIENTED_EDGE('',*,*,#219530,.T.); #305482=ORIENTED_EDGE('',*,*,#219527,.T.); #305483=ORIENTED_EDGE('',*,*,#219524,.T.); #305484=ORIENTED_EDGE('',*,*,#219521,.T.); #305485=ORIENTED_EDGE('',*,*,#219529,.F.); #305486=ORIENTED_EDGE('',*,*,#219519,.F.); #305487=ORIENTED_EDGE('',*,*,#219523,.F.); #305488=ORIENTED_EDGE('',*,*,#219526,.F.); #305489=ORIENTED_EDGE('',*,*,#219531,.T.); #305490=ORIENTED_EDGE('',*,*,#219532,.T.); #305491=ORIENTED_EDGE('',*,*,#219533,.F.); #305492=ORIENTED_EDGE('',*,*,#219534,.F.); #305493=ORIENTED_EDGE('',*,*,#219535,.T.); #305494=ORIENTED_EDGE('',*,*,#219534,.T.); #305495=ORIENTED_EDGE('',*,*,#219536,.F.); #305496=ORIENTED_EDGE('',*,*,#219537,.F.); #305497=ORIENTED_EDGE('',*,*,#219538,.T.); #305498=ORIENTED_EDGE('',*,*,#219537,.T.); #305499=ORIENTED_EDGE('',*,*,#219539,.F.); #305500=ORIENTED_EDGE('',*,*,#219540,.F.); #305501=ORIENTED_EDGE('',*,*,#219541,.T.); #305502=ORIENTED_EDGE('',*,*,#219540,.T.); #305503=ORIENTED_EDGE('',*,*,#219542,.F.); #305504=ORIENTED_EDGE('',*,*,#219532,.F.); #305505=ORIENTED_EDGE('',*,*,#219542,.T.); #305506=ORIENTED_EDGE('',*,*,#219539,.T.); #305507=ORIENTED_EDGE('',*,*,#219536,.T.); #305508=ORIENTED_EDGE('',*,*,#219533,.T.); #305509=ORIENTED_EDGE('',*,*,#219541,.F.); #305510=ORIENTED_EDGE('',*,*,#219531,.F.); #305511=ORIENTED_EDGE('',*,*,#219535,.F.); #305512=ORIENTED_EDGE('',*,*,#219538,.F.); #305513=ORIENTED_EDGE('',*,*,#219543,.T.); #305514=ORIENTED_EDGE('',*,*,#219544,.T.); #305515=ORIENTED_EDGE('',*,*,#219545,.F.); #305516=ORIENTED_EDGE('',*,*,#219546,.F.); #305517=ORIENTED_EDGE('',*,*,#219547,.T.); #305518=ORIENTED_EDGE('',*,*,#219546,.T.); #305519=ORIENTED_EDGE('',*,*,#219548,.F.); #305520=ORIENTED_EDGE('',*,*,#219549,.F.); #305521=ORIENTED_EDGE('',*,*,#219550,.T.); #305522=ORIENTED_EDGE('',*,*,#219549,.T.); #305523=ORIENTED_EDGE('',*,*,#219551,.F.); #305524=ORIENTED_EDGE('',*,*,#219552,.F.); #305525=ORIENTED_EDGE('',*,*,#219553,.T.); #305526=ORIENTED_EDGE('',*,*,#219552,.T.); #305527=ORIENTED_EDGE('',*,*,#219554,.F.); #305528=ORIENTED_EDGE('',*,*,#219544,.F.); #305529=ORIENTED_EDGE('',*,*,#219554,.T.); #305530=ORIENTED_EDGE('',*,*,#219551,.T.); #305531=ORIENTED_EDGE('',*,*,#219548,.T.); #305532=ORIENTED_EDGE('',*,*,#219545,.T.); #305533=ORIENTED_EDGE('',*,*,#219553,.F.); #305534=ORIENTED_EDGE('',*,*,#219543,.F.); #305535=ORIENTED_EDGE('',*,*,#219547,.F.); #305536=ORIENTED_EDGE('',*,*,#219550,.F.); #305537=ORIENTED_EDGE('',*,*,#219555,.T.); #305538=ORIENTED_EDGE('',*,*,#219556,.T.); #305539=ORIENTED_EDGE('',*,*,#219557,.F.); #305540=ORIENTED_EDGE('',*,*,#219558,.F.); #305541=ORIENTED_EDGE('',*,*,#219559,.T.); #305542=ORIENTED_EDGE('',*,*,#219558,.T.); #305543=ORIENTED_EDGE('',*,*,#219560,.F.); #305544=ORIENTED_EDGE('',*,*,#219561,.F.); #305545=ORIENTED_EDGE('',*,*,#219562,.T.); #305546=ORIENTED_EDGE('',*,*,#219561,.T.); #305547=ORIENTED_EDGE('',*,*,#219563,.F.); #305548=ORIENTED_EDGE('',*,*,#219564,.F.); #305549=ORIENTED_EDGE('',*,*,#219565,.T.); #305550=ORIENTED_EDGE('',*,*,#219564,.T.); #305551=ORIENTED_EDGE('',*,*,#219566,.F.); #305552=ORIENTED_EDGE('',*,*,#219556,.F.); #305553=ORIENTED_EDGE('',*,*,#219566,.T.); #305554=ORIENTED_EDGE('',*,*,#219563,.T.); #305555=ORIENTED_EDGE('',*,*,#219560,.T.); #305556=ORIENTED_EDGE('',*,*,#219557,.T.); #305557=ORIENTED_EDGE('',*,*,#219565,.F.); #305558=ORIENTED_EDGE('',*,*,#219555,.F.); #305559=ORIENTED_EDGE('',*,*,#219559,.F.); #305560=ORIENTED_EDGE('',*,*,#219562,.F.); #305561=ORIENTED_EDGE('',*,*,#219567,.T.); #305562=ORIENTED_EDGE('',*,*,#219568,.T.); #305563=ORIENTED_EDGE('',*,*,#219569,.F.); #305564=ORIENTED_EDGE('',*,*,#219570,.F.); #305565=ORIENTED_EDGE('',*,*,#219571,.T.); #305566=ORIENTED_EDGE('',*,*,#219570,.T.); #305567=ORIENTED_EDGE('',*,*,#219572,.F.); #305568=ORIENTED_EDGE('',*,*,#219573,.F.); #305569=ORIENTED_EDGE('',*,*,#219574,.T.); #305570=ORIENTED_EDGE('',*,*,#219573,.T.); #305571=ORIENTED_EDGE('',*,*,#219575,.F.); #305572=ORIENTED_EDGE('',*,*,#219576,.F.); #305573=ORIENTED_EDGE('',*,*,#219577,.T.); #305574=ORIENTED_EDGE('',*,*,#219576,.T.); #305575=ORIENTED_EDGE('',*,*,#219578,.F.); #305576=ORIENTED_EDGE('',*,*,#219568,.F.); #305577=ORIENTED_EDGE('',*,*,#219578,.T.); #305578=ORIENTED_EDGE('',*,*,#219575,.T.); #305579=ORIENTED_EDGE('',*,*,#219572,.T.); #305580=ORIENTED_EDGE('',*,*,#219569,.T.); #305581=ORIENTED_EDGE('',*,*,#219577,.F.); #305582=ORIENTED_EDGE('',*,*,#219567,.F.); #305583=ORIENTED_EDGE('',*,*,#219571,.F.); #305584=ORIENTED_EDGE('',*,*,#219574,.F.); #305585=ORIENTED_EDGE('',*,*,#219579,.T.); #305586=ORIENTED_EDGE('',*,*,#219580,.T.); #305587=ORIENTED_EDGE('',*,*,#219581,.F.); #305588=ORIENTED_EDGE('',*,*,#219582,.F.); #305589=ORIENTED_EDGE('',*,*,#219583,.T.); #305590=ORIENTED_EDGE('',*,*,#219582,.T.); #305591=ORIENTED_EDGE('',*,*,#219584,.F.); #305592=ORIENTED_EDGE('',*,*,#219585,.F.); #305593=ORIENTED_EDGE('',*,*,#219586,.T.); #305594=ORIENTED_EDGE('',*,*,#219585,.T.); #305595=ORIENTED_EDGE('',*,*,#219587,.F.); #305596=ORIENTED_EDGE('',*,*,#219588,.F.); #305597=ORIENTED_EDGE('',*,*,#219589,.T.); #305598=ORIENTED_EDGE('',*,*,#219588,.T.); #305599=ORIENTED_EDGE('',*,*,#219590,.F.); #305600=ORIENTED_EDGE('',*,*,#219580,.F.); #305601=ORIENTED_EDGE('',*,*,#219590,.T.); #305602=ORIENTED_EDGE('',*,*,#219587,.T.); #305603=ORIENTED_EDGE('',*,*,#219584,.T.); #305604=ORIENTED_EDGE('',*,*,#219581,.T.); #305605=ORIENTED_EDGE('',*,*,#219589,.F.); #305606=ORIENTED_EDGE('',*,*,#219579,.F.); #305607=ORIENTED_EDGE('',*,*,#219583,.F.); #305608=ORIENTED_EDGE('',*,*,#219586,.F.); #305609=ORIENTED_EDGE('',*,*,#219591,.T.); #305610=ORIENTED_EDGE('',*,*,#219592,.T.); #305611=ORIENTED_EDGE('',*,*,#219593,.F.); #305612=ORIENTED_EDGE('',*,*,#219594,.F.); #305613=ORIENTED_EDGE('',*,*,#219595,.T.); #305614=ORIENTED_EDGE('',*,*,#219594,.T.); #305615=ORIENTED_EDGE('',*,*,#219596,.F.); #305616=ORIENTED_EDGE('',*,*,#219597,.F.); #305617=ORIENTED_EDGE('',*,*,#219598,.T.); #305618=ORIENTED_EDGE('',*,*,#219597,.T.); #305619=ORIENTED_EDGE('',*,*,#219599,.F.); #305620=ORIENTED_EDGE('',*,*,#219600,.F.); #305621=ORIENTED_EDGE('',*,*,#219601,.T.); #305622=ORIENTED_EDGE('',*,*,#219600,.T.); #305623=ORIENTED_EDGE('',*,*,#219602,.F.); #305624=ORIENTED_EDGE('',*,*,#219592,.F.); #305625=ORIENTED_EDGE('',*,*,#219602,.T.); #305626=ORIENTED_EDGE('',*,*,#219599,.T.); #305627=ORIENTED_EDGE('',*,*,#219596,.T.); #305628=ORIENTED_EDGE('',*,*,#219593,.T.); #305629=ORIENTED_EDGE('',*,*,#219601,.F.); #305630=ORIENTED_EDGE('',*,*,#219591,.F.); #305631=ORIENTED_EDGE('',*,*,#219595,.F.); #305632=ORIENTED_EDGE('',*,*,#219598,.F.); #305633=ORIENTED_EDGE('',*,*,#219603,.F.); #305634=ORIENTED_EDGE('',*,*,#219604,.T.); #305635=ORIENTED_EDGE('',*,*,#219605,.F.); #305636=ORIENTED_EDGE('',*,*,#219604,.F.); #305637=ORIENTED_EDGE('',*,*,#219606,.F.); #305638=ORIENTED_EDGE('',*,*,#219607,.T.); #305639=ORIENTED_EDGE('',*,*,#219608,.F.); #305640=ORIENTED_EDGE('',*,*,#219607,.F.); #305641=ORIENTED_EDGE('',*,*,#219609,.F.); #305642=ORIENTED_EDGE('',*,*,#219610,.T.); #305643=ORIENTED_EDGE('',*,*,#219611,.F.); #305644=ORIENTED_EDGE('',*,*,#219610,.F.); #305645=ORIENTED_EDGE('',*,*,#219612,.F.); #305646=ORIENTED_EDGE('',*,*,#219613,.T.); #305647=ORIENTED_EDGE('',*,*,#219614,.F.); #305648=ORIENTED_EDGE('',*,*,#219613,.F.); #305649=ORIENTED_EDGE('',*,*,#219615,.F.); #305650=ORIENTED_EDGE('',*,*,#219616,.T.); #305651=ORIENTED_EDGE('',*,*,#219617,.F.); #305652=ORIENTED_EDGE('',*,*,#219616,.F.); #305653=ORIENTED_EDGE('',*,*,#219618,.F.); #305654=ORIENTED_EDGE('',*,*,#219619,.T.); #305655=ORIENTED_EDGE('',*,*,#219620,.F.); #305656=ORIENTED_EDGE('',*,*,#219619,.F.); #305657=ORIENTED_EDGE('',*,*,#219621,.F.); #305658=ORIENTED_EDGE('',*,*,#219622,.T.); #305659=ORIENTED_EDGE('',*,*,#219623,.F.); #305660=ORIENTED_EDGE('',*,*,#219622,.F.); #305661=ORIENTED_EDGE('',*,*,#219624,.F.); #305662=ORIENTED_EDGE('',*,*,#219625,.T.); #305663=ORIENTED_EDGE('',*,*,#219626,.F.); #305664=ORIENTED_EDGE('',*,*,#219625,.F.); #305665=ORIENTED_EDGE('',*,*,#219627,.F.); #305666=ORIENTED_EDGE('',*,*,#219628,.T.); #305667=ORIENTED_EDGE('',*,*,#219629,.F.); #305668=ORIENTED_EDGE('',*,*,#219628,.F.); #305669=ORIENTED_EDGE('',*,*,#219630,.F.); #305670=ORIENTED_EDGE('',*,*,#219631,.T.); #305671=ORIENTED_EDGE('',*,*,#219632,.F.); #305672=ORIENTED_EDGE('',*,*,#219631,.F.); #305673=ORIENTED_EDGE('',*,*,#219633,.F.); #305674=ORIENTED_EDGE('',*,*,#219634,.T.); #305675=ORIENTED_EDGE('',*,*,#219635,.F.); #305676=ORIENTED_EDGE('',*,*,#219634,.F.); #305677=ORIENTED_EDGE('',*,*,#219636,.F.); #305678=ORIENTED_EDGE('',*,*,#219637,.T.); #305679=ORIENTED_EDGE('',*,*,#219638,.F.); #305680=ORIENTED_EDGE('',*,*,#219637,.F.); #305681=ORIENTED_EDGE('',*,*,#219639,.F.); #305682=ORIENTED_EDGE('',*,*,#219640,.T.); #305683=ORIENTED_EDGE('',*,*,#219641,.F.); #305684=ORIENTED_EDGE('',*,*,#219640,.F.); #305685=ORIENTED_EDGE('',*,*,#219642,.F.); #305686=ORIENTED_EDGE('',*,*,#219643,.T.); #305687=ORIENTED_EDGE('',*,*,#219644,.F.); #305688=ORIENTED_EDGE('',*,*,#219643,.F.); #305689=ORIENTED_EDGE('',*,*,#219645,.F.); #305690=ORIENTED_EDGE('',*,*,#219646,.T.); #305691=ORIENTED_EDGE('',*,*,#219647,.F.); #305692=ORIENTED_EDGE('',*,*,#219646,.F.); #305693=ORIENTED_EDGE('',*,*,#219648,.T.); #305694=ORIENTED_EDGE('',*,*,#219649,.T.); #305695=ORIENTED_EDGE('',*,*,#219650,.F.); #305696=ORIENTED_EDGE('',*,*,#219651,.F.); #305697=ORIENTED_EDGE('',*,*,#219652,.T.); #305698=ORIENTED_EDGE('',*,*,#219651,.T.); #305699=ORIENTED_EDGE('',*,*,#219653,.F.); #305700=ORIENTED_EDGE('',*,*,#219654,.F.); #305701=ORIENTED_EDGE('',*,*,#219655,.T.); #305702=ORIENTED_EDGE('',*,*,#219654,.T.); #305703=ORIENTED_EDGE('',*,*,#219656,.F.); #305704=ORIENTED_EDGE('',*,*,#219657,.F.); #305705=ORIENTED_EDGE('',*,*,#219658,.T.); #305706=ORIENTED_EDGE('',*,*,#219657,.T.); #305707=ORIENTED_EDGE('',*,*,#219659,.F.); #305708=ORIENTED_EDGE('',*,*,#219649,.F.); #305709=ORIENTED_EDGE('',*,*,#219659,.T.); #305710=ORIENTED_EDGE('',*,*,#219656,.T.); #305711=ORIENTED_EDGE('',*,*,#219653,.T.); #305712=ORIENTED_EDGE('',*,*,#219650,.T.); #305713=ORIENTED_EDGE('',*,*,#219605,.T.); #305714=ORIENTED_EDGE('',*,*,#219608,.T.); #305715=ORIENTED_EDGE('',*,*,#219611,.T.); #305716=ORIENTED_EDGE('',*,*,#219614,.T.); #305717=ORIENTED_EDGE('',*,*,#219617,.T.); #305718=ORIENTED_EDGE('',*,*,#219620,.T.); #305719=ORIENTED_EDGE('',*,*,#219623,.T.); #305720=ORIENTED_EDGE('',*,*,#219626,.T.); #305721=ORIENTED_EDGE('',*,*,#219629,.T.); #305722=ORIENTED_EDGE('',*,*,#219632,.T.); #305723=ORIENTED_EDGE('',*,*,#219635,.T.); #305724=ORIENTED_EDGE('',*,*,#219638,.T.); #305725=ORIENTED_EDGE('',*,*,#219641,.T.); #305726=ORIENTED_EDGE('',*,*,#219644,.T.); #305727=ORIENTED_EDGE('',*,*,#219647,.T.); #305728=ORIENTED_EDGE('',*,*,#219658,.F.); #305729=ORIENTED_EDGE('',*,*,#219648,.F.); #305730=ORIENTED_EDGE('',*,*,#219652,.F.); #305731=ORIENTED_EDGE('',*,*,#219655,.F.); #305732=ORIENTED_EDGE('',*,*,#219603,.T.); #305733=ORIENTED_EDGE('',*,*,#219606,.T.); #305734=ORIENTED_EDGE('',*,*,#219609,.T.); #305735=ORIENTED_EDGE('',*,*,#219612,.T.); #305736=ORIENTED_EDGE('',*,*,#219615,.T.); #305737=ORIENTED_EDGE('',*,*,#219618,.T.); #305738=ORIENTED_EDGE('',*,*,#219621,.T.); #305739=ORIENTED_EDGE('',*,*,#219624,.T.); #305740=ORIENTED_EDGE('',*,*,#219627,.T.); #305741=ORIENTED_EDGE('',*,*,#219630,.T.); #305742=ORIENTED_EDGE('',*,*,#219633,.T.); #305743=ORIENTED_EDGE('',*,*,#219636,.T.); #305744=ORIENTED_EDGE('',*,*,#219639,.T.); #305745=ORIENTED_EDGE('',*,*,#219642,.T.); #305746=ORIENTED_EDGE('',*,*,#219645,.T.); #305747=ORIENTED_EDGE('',*,*,#219660,.T.); #305748=ORIENTED_EDGE('',*,*,#219661,.T.); #305749=ORIENTED_EDGE('',*,*,#219662,.F.); #305750=ORIENTED_EDGE('',*,*,#219663,.F.); #305751=ORIENTED_EDGE('',*,*,#219664,.T.); #305752=ORIENTED_EDGE('',*,*,#219663,.T.); #305753=ORIENTED_EDGE('',*,*,#219665,.F.); #305754=ORIENTED_EDGE('',*,*,#219666,.F.); #305755=ORIENTED_EDGE('',*,*,#219667,.T.); #305756=ORIENTED_EDGE('',*,*,#219666,.T.); #305757=ORIENTED_EDGE('',*,*,#219668,.F.); #305758=ORIENTED_EDGE('',*,*,#219669,.F.); #305759=ORIENTED_EDGE('',*,*,#219670,.T.); #305760=ORIENTED_EDGE('',*,*,#219669,.T.); #305761=ORIENTED_EDGE('',*,*,#219671,.F.); #305762=ORIENTED_EDGE('',*,*,#219661,.F.); #305763=ORIENTED_EDGE('',*,*,#219671,.T.); #305764=ORIENTED_EDGE('',*,*,#219668,.T.); #305765=ORIENTED_EDGE('',*,*,#219665,.T.); #305766=ORIENTED_EDGE('',*,*,#219662,.T.); #305767=ORIENTED_EDGE('',*,*,#219670,.F.); #305768=ORIENTED_EDGE('',*,*,#219660,.F.); #305769=ORIENTED_EDGE('',*,*,#219664,.F.); #305770=ORIENTED_EDGE('',*,*,#219667,.F.); #305771=ORIENTED_EDGE('',*,*,#219672,.T.); #305772=ORIENTED_EDGE('',*,*,#219673,.T.); #305773=ORIENTED_EDGE('',*,*,#219674,.F.); #305774=ORIENTED_EDGE('',*,*,#219675,.F.); #305775=ORIENTED_EDGE('',*,*,#219676,.T.); #305776=ORIENTED_EDGE('',*,*,#219675,.T.); #305777=ORIENTED_EDGE('',*,*,#219677,.F.); #305778=ORIENTED_EDGE('',*,*,#219678,.F.); #305779=ORIENTED_EDGE('',*,*,#219679,.T.); #305780=ORIENTED_EDGE('',*,*,#219678,.T.); #305781=ORIENTED_EDGE('',*,*,#219680,.F.); #305782=ORIENTED_EDGE('',*,*,#219681,.F.); #305783=ORIENTED_EDGE('',*,*,#219682,.T.); #305784=ORIENTED_EDGE('',*,*,#219681,.T.); #305785=ORIENTED_EDGE('',*,*,#219683,.F.); #305786=ORIENTED_EDGE('',*,*,#219673,.F.); #305787=ORIENTED_EDGE('',*,*,#219683,.T.); #305788=ORIENTED_EDGE('',*,*,#219680,.T.); #305789=ORIENTED_EDGE('',*,*,#219677,.T.); #305790=ORIENTED_EDGE('',*,*,#219674,.T.); #305791=ORIENTED_EDGE('',*,*,#219682,.F.); #305792=ORIENTED_EDGE('',*,*,#219672,.F.); #305793=ORIENTED_EDGE('',*,*,#219676,.F.); #305794=ORIENTED_EDGE('',*,*,#219679,.F.); #305795=ORIENTED_EDGE('',*,*,#219684,.T.); #305796=ORIENTED_EDGE('',*,*,#219685,.T.); #305797=ORIENTED_EDGE('',*,*,#219686,.F.); #305798=ORIENTED_EDGE('',*,*,#219687,.F.); #305799=ORIENTED_EDGE('',*,*,#219688,.T.); #305800=ORIENTED_EDGE('',*,*,#219687,.T.); #305801=ORIENTED_EDGE('',*,*,#219689,.F.); #305802=ORIENTED_EDGE('',*,*,#219690,.F.); #305803=ORIENTED_EDGE('',*,*,#219691,.T.); #305804=ORIENTED_EDGE('',*,*,#219690,.T.); #305805=ORIENTED_EDGE('',*,*,#219692,.F.); #305806=ORIENTED_EDGE('',*,*,#219693,.F.); #305807=ORIENTED_EDGE('',*,*,#219694,.T.); #305808=ORIENTED_EDGE('',*,*,#219693,.T.); #305809=ORIENTED_EDGE('',*,*,#219695,.F.); #305810=ORIENTED_EDGE('',*,*,#219685,.F.); #305811=ORIENTED_EDGE('',*,*,#219695,.T.); #305812=ORIENTED_EDGE('',*,*,#219692,.T.); #305813=ORIENTED_EDGE('',*,*,#219689,.T.); #305814=ORIENTED_EDGE('',*,*,#219686,.T.); #305815=ORIENTED_EDGE('',*,*,#219694,.F.); #305816=ORIENTED_EDGE('',*,*,#219684,.F.); #305817=ORIENTED_EDGE('',*,*,#219688,.F.); #305818=ORIENTED_EDGE('',*,*,#219691,.F.); #305819=ORIENTED_EDGE('',*,*,#219696,.T.); #305820=ORIENTED_EDGE('',*,*,#219697,.T.); #305821=ORIENTED_EDGE('',*,*,#219698,.F.); #305822=ORIENTED_EDGE('',*,*,#219699,.F.); #305823=ORIENTED_EDGE('',*,*,#219700,.T.); #305824=ORIENTED_EDGE('',*,*,#219699,.T.); #305825=ORIENTED_EDGE('',*,*,#219701,.F.); #305826=ORIENTED_EDGE('',*,*,#219702,.F.); #305827=ORIENTED_EDGE('',*,*,#219703,.T.); #305828=ORIENTED_EDGE('',*,*,#219702,.T.); #305829=ORIENTED_EDGE('',*,*,#219704,.F.); #305830=ORIENTED_EDGE('',*,*,#219705,.F.); #305831=ORIENTED_EDGE('',*,*,#219706,.T.); #305832=ORIENTED_EDGE('',*,*,#219705,.T.); #305833=ORIENTED_EDGE('',*,*,#219707,.F.); #305834=ORIENTED_EDGE('',*,*,#219697,.F.); #305835=ORIENTED_EDGE('',*,*,#219707,.T.); #305836=ORIENTED_EDGE('',*,*,#219704,.T.); #305837=ORIENTED_EDGE('',*,*,#219701,.T.); #305838=ORIENTED_EDGE('',*,*,#219698,.T.); #305839=ORIENTED_EDGE('',*,*,#219706,.F.); #305840=ORIENTED_EDGE('',*,*,#219696,.F.); #305841=ORIENTED_EDGE('',*,*,#219700,.F.); #305842=ORIENTED_EDGE('',*,*,#219703,.F.); #305843=ORIENTED_EDGE('',*,*,#219708,.T.); #305844=ORIENTED_EDGE('',*,*,#219709,.T.); #305845=ORIENTED_EDGE('',*,*,#219710,.F.); #305846=ORIENTED_EDGE('',*,*,#219711,.F.); #305847=ORIENTED_EDGE('',*,*,#219712,.T.); #305848=ORIENTED_EDGE('',*,*,#219711,.T.); #305849=ORIENTED_EDGE('',*,*,#219713,.F.); #305850=ORIENTED_EDGE('',*,*,#219714,.F.); #305851=ORIENTED_EDGE('',*,*,#219715,.T.); #305852=ORIENTED_EDGE('',*,*,#219714,.T.); #305853=ORIENTED_EDGE('',*,*,#219716,.F.); #305854=ORIENTED_EDGE('',*,*,#219717,.F.); #305855=ORIENTED_EDGE('',*,*,#219718,.T.); #305856=ORIENTED_EDGE('',*,*,#219717,.T.); #305857=ORIENTED_EDGE('',*,*,#219719,.F.); #305858=ORIENTED_EDGE('',*,*,#219709,.F.); #305859=ORIENTED_EDGE('',*,*,#219719,.T.); #305860=ORIENTED_EDGE('',*,*,#219716,.T.); #305861=ORIENTED_EDGE('',*,*,#219713,.T.); #305862=ORIENTED_EDGE('',*,*,#219710,.T.); #305863=ORIENTED_EDGE('',*,*,#219718,.F.); #305864=ORIENTED_EDGE('',*,*,#219708,.F.); #305865=ORIENTED_EDGE('',*,*,#219712,.F.); #305866=ORIENTED_EDGE('',*,*,#219715,.F.); #305867=ORIENTED_EDGE('',*,*,#219720,.T.); #305868=ORIENTED_EDGE('',*,*,#219721,.T.); #305869=ORIENTED_EDGE('',*,*,#219722,.F.); #305870=ORIENTED_EDGE('',*,*,#219723,.F.); #305871=ORIENTED_EDGE('',*,*,#219724,.T.); #305872=ORIENTED_EDGE('',*,*,#219723,.T.); #305873=ORIENTED_EDGE('',*,*,#219725,.F.); #305874=ORIENTED_EDGE('',*,*,#219726,.F.); #305875=ORIENTED_EDGE('',*,*,#219727,.T.); #305876=ORIENTED_EDGE('',*,*,#219726,.T.); #305877=ORIENTED_EDGE('',*,*,#219728,.F.); #305878=ORIENTED_EDGE('',*,*,#219729,.F.); #305879=ORIENTED_EDGE('',*,*,#219730,.T.); #305880=ORIENTED_EDGE('',*,*,#219729,.T.); #305881=ORIENTED_EDGE('',*,*,#219731,.F.); #305882=ORIENTED_EDGE('',*,*,#219721,.F.); #305883=ORIENTED_EDGE('',*,*,#219731,.T.); #305884=ORIENTED_EDGE('',*,*,#219728,.T.); #305885=ORIENTED_EDGE('',*,*,#219725,.T.); #305886=ORIENTED_EDGE('',*,*,#219722,.T.); #305887=ORIENTED_EDGE('',*,*,#219730,.F.); #305888=ORIENTED_EDGE('',*,*,#219720,.F.); #305889=ORIENTED_EDGE('',*,*,#219724,.F.); #305890=ORIENTED_EDGE('',*,*,#219727,.F.); #305891=ORIENTED_EDGE('',*,*,#219732,.F.); #305892=ORIENTED_EDGE('',*,*,#219733,.T.); #305893=ORIENTED_EDGE('',*,*,#219734,.F.); #305894=ORIENTED_EDGE('',*,*,#219733,.F.); #305895=ORIENTED_EDGE('',*,*,#219735,.T.); #305896=ORIENTED_EDGE('',*,*,#219736,.T.); #305897=ORIENTED_EDGE('',*,*,#219737,.F.); #305898=ORIENTED_EDGE('',*,*,#219738,.F.); #305899=ORIENTED_EDGE('',*,*,#219739,.T.); #305900=ORIENTED_EDGE('',*,*,#219738,.T.); #305901=ORIENTED_EDGE('',*,*,#219740,.F.); #305902=ORIENTED_EDGE('',*,*,#219741,.F.); #305903=ORIENTED_EDGE('',*,*,#219742,.T.); #305904=ORIENTED_EDGE('',*,*,#219741,.T.); #305905=ORIENTED_EDGE('',*,*,#219743,.F.); #305906=ORIENTED_EDGE('',*,*,#219744,.F.); #305907=ORIENTED_EDGE('',*,*,#219745,.T.); #305908=ORIENTED_EDGE('',*,*,#219744,.T.); #305909=ORIENTED_EDGE('',*,*,#219746,.F.); #305910=ORIENTED_EDGE('',*,*,#219736,.F.); #305911=ORIENTED_EDGE('',*,*,#219746,.T.); #305912=ORIENTED_EDGE('',*,*,#219743,.T.); #305913=ORIENTED_EDGE('',*,*,#219740,.T.); #305914=ORIENTED_EDGE('',*,*,#219737,.T.); #305915=ORIENTED_EDGE('',*,*,#219734,.T.); #305916=ORIENTED_EDGE('',*,*,#219745,.F.); #305917=ORIENTED_EDGE('',*,*,#219735,.F.); #305918=ORIENTED_EDGE('',*,*,#219739,.F.); #305919=ORIENTED_EDGE('',*,*,#219742,.F.); #305920=ORIENTED_EDGE('',*,*,#219732,.T.); #305921=ORIENTED_EDGE('',*,*,#219747,.F.); #305922=ORIENTED_EDGE('',*,*,#219748,.T.); #305923=ORIENTED_EDGE('',*,*,#219749,.F.); #305924=ORIENTED_EDGE('',*,*,#219748,.F.); #305925=ORIENTED_EDGE('',*,*,#219750,.F.); #305926=ORIENTED_EDGE('',*,*,#219751,.T.); #305927=ORIENTED_EDGE('',*,*,#219752,.F.); #305928=ORIENTED_EDGE('',*,*,#219751,.F.); #305929=ORIENTED_EDGE('',*,*,#219753,.T.); #305930=ORIENTED_EDGE('',*,*,#219754,.T.); #305931=ORIENTED_EDGE('',*,*,#219755,.F.); #305932=ORIENTED_EDGE('',*,*,#219756,.F.); #305933=ORIENTED_EDGE('',*,*,#219757,.T.); #305934=ORIENTED_EDGE('',*,*,#219756,.T.); #305935=ORIENTED_EDGE('',*,*,#219758,.F.); #305936=ORIENTED_EDGE('',*,*,#219759,.F.); #305937=ORIENTED_EDGE('',*,*,#219760,.T.); #305938=ORIENTED_EDGE('',*,*,#219759,.T.); #305939=ORIENTED_EDGE('',*,*,#219761,.F.); #305940=ORIENTED_EDGE('',*,*,#219762,.F.); #305941=ORIENTED_EDGE('',*,*,#219763,.T.); #305942=ORIENTED_EDGE('',*,*,#219762,.T.); #305943=ORIENTED_EDGE('',*,*,#219764,.F.); #305944=ORIENTED_EDGE('',*,*,#219754,.F.); #305945=ORIENTED_EDGE('',*,*,#219764,.T.); #305946=ORIENTED_EDGE('',*,*,#219761,.T.); #305947=ORIENTED_EDGE('',*,*,#219758,.T.); #305948=ORIENTED_EDGE('',*,*,#219755,.T.); #305949=ORIENTED_EDGE('',*,*,#219749,.T.); #305950=ORIENTED_EDGE('',*,*,#219752,.T.); #305951=ORIENTED_EDGE('',*,*,#219763,.F.); #305952=ORIENTED_EDGE('',*,*,#219753,.F.); #305953=ORIENTED_EDGE('',*,*,#219757,.F.); #305954=ORIENTED_EDGE('',*,*,#219760,.F.); #305955=ORIENTED_EDGE('',*,*,#219747,.T.); #305956=ORIENTED_EDGE('',*,*,#219750,.T.); #305957=ORIENTED_EDGE('',*,*,#219765,.F.); #305958=ORIENTED_EDGE('',*,*,#219766,.T.); #305959=ORIENTED_EDGE('',*,*,#219767,.F.); #305960=ORIENTED_EDGE('',*,*,#219766,.F.); #305961=ORIENTED_EDGE('',*,*,#219768,.F.); #305962=ORIENTED_EDGE('',*,*,#219769,.T.); #305963=ORIENTED_EDGE('',*,*,#219770,.F.); #305964=ORIENTED_EDGE('',*,*,#219769,.F.); #305965=ORIENTED_EDGE('',*,*,#219771,.T.); #305966=ORIENTED_EDGE('',*,*,#219772,.T.); #305967=ORIENTED_EDGE('',*,*,#219773,.F.); #305968=ORIENTED_EDGE('',*,*,#219774,.F.); #305969=ORIENTED_EDGE('',*,*,#219775,.T.); #305970=ORIENTED_EDGE('',*,*,#219774,.T.); #305971=ORIENTED_EDGE('',*,*,#219776,.F.); #305972=ORIENTED_EDGE('',*,*,#219777,.F.); #305973=ORIENTED_EDGE('',*,*,#219778,.T.); #305974=ORIENTED_EDGE('',*,*,#219777,.T.); #305975=ORIENTED_EDGE('',*,*,#219779,.F.); #305976=ORIENTED_EDGE('',*,*,#219780,.F.); #305977=ORIENTED_EDGE('',*,*,#219781,.T.); #305978=ORIENTED_EDGE('',*,*,#219780,.T.); #305979=ORIENTED_EDGE('',*,*,#219782,.F.); #305980=ORIENTED_EDGE('',*,*,#219772,.F.); #305981=ORIENTED_EDGE('',*,*,#219782,.T.); #305982=ORIENTED_EDGE('',*,*,#219779,.T.); #305983=ORIENTED_EDGE('',*,*,#219776,.T.); #305984=ORIENTED_EDGE('',*,*,#219773,.T.); #305985=ORIENTED_EDGE('',*,*,#219767,.T.); #305986=ORIENTED_EDGE('',*,*,#219770,.T.); #305987=ORIENTED_EDGE('',*,*,#219781,.F.); #305988=ORIENTED_EDGE('',*,*,#219771,.F.); #305989=ORIENTED_EDGE('',*,*,#219775,.F.); #305990=ORIENTED_EDGE('',*,*,#219778,.F.); #305991=ORIENTED_EDGE('',*,*,#219765,.T.); #305992=ORIENTED_EDGE('',*,*,#219768,.T.); #305993=ORIENTED_EDGE('',*,*,#219783,.F.); #305994=ORIENTED_EDGE('',*,*,#219784,.T.); #305995=ORIENTED_EDGE('',*,*,#219785,.F.); #305996=ORIENTED_EDGE('',*,*,#219784,.F.); #305997=ORIENTED_EDGE('',*,*,#219786,.T.); #305998=ORIENTED_EDGE('',*,*,#219787,.T.); #305999=ORIENTED_EDGE('',*,*,#219788,.F.); #306000=ORIENTED_EDGE('',*,*,#219789,.F.); #306001=ORIENTED_EDGE('',*,*,#219790,.T.); #306002=ORIENTED_EDGE('',*,*,#219789,.T.); #306003=ORIENTED_EDGE('',*,*,#219791,.F.); #306004=ORIENTED_EDGE('',*,*,#219792,.F.); #306005=ORIENTED_EDGE('',*,*,#219793,.T.); #306006=ORIENTED_EDGE('',*,*,#219792,.T.); #306007=ORIENTED_EDGE('',*,*,#219794,.F.); #306008=ORIENTED_EDGE('',*,*,#219795,.F.); #306009=ORIENTED_EDGE('',*,*,#219796,.T.); #306010=ORIENTED_EDGE('',*,*,#219795,.T.); #306011=ORIENTED_EDGE('',*,*,#219797,.F.); #306012=ORIENTED_EDGE('',*,*,#219787,.F.); #306013=ORIENTED_EDGE('',*,*,#219797,.T.); #306014=ORIENTED_EDGE('',*,*,#219794,.T.); #306015=ORIENTED_EDGE('',*,*,#219791,.T.); #306016=ORIENTED_EDGE('',*,*,#219788,.T.); #306017=ORIENTED_EDGE('',*,*,#219785,.T.); #306018=ORIENTED_EDGE('',*,*,#219796,.F.); #306019=ORIENTED_EDGE('',*,*,#219786,.F.); #306020=ORIENTED_EDGE('',*,*,#219790,.F.); #306021=ORIENTED_EDGE('',*,*,#219793,.F.); #306022=ORIENTED_EDGE('',*,*,#219783,.T.); #306023=ORIENTED_EDGE('',*,*,#219798,.F.); #306024=ORIENTED_EDGE('',*,*,#219799,.T.); #306025=ORIENTED_EDGE('',*,*,#219800,.F.); #306026=ORIENTED_EDGE('',*,*,#219799,.F.); #306027=ORIENTED_EDGE('',*,*,#219801,.F.); #306028=ORIENTED_EDGE('',*,*,#219802,.T.); #306029=ORIENTED_EDGE('',*,*,#219803,.F.); #306030=ORIENTED_EDGE('',*,*,#219802,.F.); #306031=ORIENTED_EDGE('',*,*,#219804,.F.); #306032=ORIENTED_EDGE('',*,*,#219805,.T.); #306033=ORIENTED_EDGE('',*,*,#219806,.F.); #306034=ORIENTED_EDGE('',*,*,#219805,.F.); #306035=ORIENTED_EDGE('',*,*,#219807,.F.); #306036=ORIENTED_EDGE('',*,*,#219808,.T.); #306037=ORIENTED_EDGE('',*,*,#219809,.F.); #306038=ORIENTED_EDGE('',*,*,#219808,.F.); #306039=ORIENTED_EDGE('',*,*,#219810,.F.); #306040=ORIENTED_EDGE('',*,*,#219811,.T.); #306041=ORIENTED_EDGE('',*,*,#219812,.F.); #306042=ORIENTED_EDGE('',*,*,#219811,.F.); #306043=ORIENTED_EDGE('',*,*,#219813,.F.); #306044=ORIENTED_EDGE('',*,*,#219814,.T.); #306045=ORIENTED_EDGE('',*,*,#219815,.F.); #306046=ORIENTED_EDGE('',*,*,#219814,.F.); #306047=ORIENTED_EDGE('',*,*,#219816,.F.); #306048=ORIENTED_EDGE('',*,*,#219817,.T.); #306049=ORIENTED_EDGE('',*,*,#219818,.F.); #306050=ORIENTED_EDGE('',*,*,#219817,.F.); #306051=ORIENTED_EDGE('',*,*,#219819,.F.); #306052=ORIENTED_EDGE('',*,*,#219820,.T.); #306053=ORIENTED_EDGE('',*,*,#219821,.F.); #306054=ORIENTED_EDGE('',*,*,#219820,.F.); #306055=ORIENTED_EDGE('',*,*,#219822,.F.); #306056=ORIENTED_EDGE('',*,*,#219823,.T.); #306057=ORIENTED_EDGE('',*,*,#219824,.F.); #306058=ORIENTED_EDGE('',*,*,#219823,.F.); #306059=ORIENTED_EDGE('',*,*,#219825,.F.); #306060=ORIENTED_EDGE('',*,*,#219826,.T.); #306061=ORIENTED_EDGE('',*,*,#219827,.F.); #306062=ORIENTED_EDGE('',*,*,#219826,.F.); #306063=ORIENTED_EDGE('',*,*,#219828,.F.); #306064=ORIENTED_EDGE('',*,*,#219829,.T.); #306065=ORIENTED_EDGE('',*,*,#219830,.F.); #306066=ORIENTED_EDGE('',*,*,#219829,.F.); #306067=ORIENTED_EDGE('',*,*,#219831,.F.); #306068=ORIENTED_EDGE('',*,*,#219832,.T.); #306069=ORIENTED_EDGE('',*,*,#219833,.F.); #306070=ORIENTED_EDGE('',*,*,#219832,.F.); #306071=ORIENTED_EDGE('',*,*,#219834,.F.); #306072=ORIENTED_EDGE('',*,*,#219835,.T.); #306073=ORIENTED_EDGE('',*,*,#219836,.F.); #306074=ORIENTED_EDGE('',*,*,#219835,.F.); #306075=ORIENTED_EDGE('',*,*,#219837,.F.); #306076=ORIENTED_EDGE('',*,*,#219838,.T.); #306077=ORIENTED_EDGE('',*,*,#219839,.F.); #306078=ORIENTED_EDGE('',*,*,#219838,.F.); #306079=ORIENTED_EDGE('',*,*,#219840,.F.); #306080=ORIENTED_EDGE('',*,*,#219841,.T.); #306081=ORIENTED_EDGE('',*,*,#219842,.F.); #306082=ORIENTED_EDGE('',*,*,#219841,.F.); #306083=ORIENTED_EDGE('',*,*,#219843,.F.); #306084=ORIENTED_EDGE('',*,*,#219844,.T.); #306085=ORIENTED_EDGE('',*,*,#219845,.F.); #306086=ORIENTED_EDGE('',*,*,#219844,.F.); #306087=ORIENTED_EDGE('',*,*,#219846,.F.); #306088=ORIENTED_EDGE('',*,*,#219847,.T.); #306089=ORIENTED_EDGE('',*,*,#219848,.F.); #306090=ORIENTED_EDGE('',*,*,#219847,.F.); #306091=ORIENTED_EDGE('',*,*,#219849,.F.); #306092=ORIENTED_EDGE('',*,*,#219850,.T.); #306093=ORIENTED_EDGE('',*,*,#219851,.F.); #306094=ORIENTED_EDGE('',*,*,#219850,.F.); #306095=ORIENTED_EDGE('',*,*,#219852,.F.); #306096=ORIENTED_EDGE('',*,*,#219853,.T.); #306097=ORIENTED_EDGE('',*,*,#219854,.F.); #306098=ORIENTED_EDGE('',*,*,#219853,.F.); #306099=ORIENTED_EDGE('',*,*,#219855,.F.); #306100=ORIENTED_EDGE('',*,*,#219856,.T.); #306101=ORIENTED_EDGE('',*,*,#219857,.F.); #306102=ORIENTED_EDGE('',*,*,#219856,.F.); #306103=ORIENTED_EDGE('',*,*,#219858,.F.); #306104=ORIENTED_EDGE('',*,*,#219859,.T.); #306105=ORIENTED_EDGE('',*,*,#219860,.F.); #306106=ORIENTED_EDGE('',*,*,#219859,.F.); #306107=ORIENTED_EDGE('',*,*,#219861,.F.); #306108=ORIENTED_EDGE('',*,*,#219862,.T.); #306109=ORIENTED_EDGE('',*,*,#219863,.F.); #306110=ORIENTED_EDGE('',*,*,#219862,.F.); #306111=ORIENTED_EDGE('',*,*,#219864,.F.); #306112=ORIENTED_EDGE('',*,*,#219865,.T.); #306113=ORIENTED_EDGE('',*,*,#219866,.F.); #306114=ORIENTED_EDGE('',*,*,#219865,.F.); #306115=ORIENTED_EDGE('',*,*,#219867,.F.); #306116=ORIENTED_EDGE('',*,*,#219868,.T.); #306117=ORIENTED_EDGE('',*,*,#219869,.F.); #306118=ORIENTED_EDGE('',*,*,#219868,.F.); #306119=ORIENTED_EDGE('',*,*,#219870,.F.); #306120=ORIENTED_EDGE('',*,*,#219871,.T.); #306121=ORIENTED_EDGE('',*,*,#219872,.F.); #306122=ORIENTED_EDGE('',*,*,#219871,.F.); #306123=ORIENTED_EDGE('',*,*,#219873,.F.); #306124=ORIENTED_EDGE('',*,*,#219874,.T.); #306125=ORIENTED_EDGE('',*,*,#219875,.F.); #306126=ORIENTED_EDGE('',*,*,#219874,.F.); #306127=ORIENTED_EDGE('',*,*,#219876,.F.); #306128=ORIENTED_EDGE('',*,*,#219877,.T.); #306129=ORIENTED_EDGE('',*,*,#219878,.F.); #306130=ORIENTED_EDGE('',*,*,#219877,.F.); #306131=ORIENTED_EDGE('',*,*,#219879,.F.); #306132=ORIENTED_EDGE('',*,*,#219880,.T.); #306133=ORIENTED_EDGE('',*,*,#219881,.F.); #306134=ORIENTED_EDGE('',*,*,#219880,.F.); #306135=ORIENTED_EDGE('',*,*,#219882,.F.); #306136=ORIENTED_EDGE('',*,*,#219883,.T.); #306137=ORIENTED_EDGE('',*,*,#219884,.F.); #306138=ORIENTED_EDGE('',*,*,#219883,.F.); #306139=ORIENTED_EDGE('',*,*,#219885,.F.); #306140=ORIENTED_EDGE('',*,*,#219886,.T.); #306141=ORIENTED_EDGE('',*,*,#219887,.F.); #306142=ORIENTED_EDGE('',*,*,#219886,.F.); #306143=ORIENTED_EDGE('',*,*,#219888,.F.); #306144=ORIENTED_EDGE('',*,*,#219889,.T.); #306145=ORIENTED_EDGE('',*,*,#219890,.F.); #306146=ORIENTED_EDGE('',*,*,#219889,.F.); #306147=ORIENTED_EDGE('',*,*,#219891,.F.); #306148=ORIENTED_EDGE('',*,*,#219892,.T.); #306149=ORIENTED_EDGE('',*,*,#219893,.F.); #306150=ORIENTED_EDGE('',*,*,#219892,.F.); #306151=ORIENTED_EDGE('',*,*,#219894,.F.); #306152=ORIENTED_EDGE('',*,*,#219895,.T.); #306153=ORIENTED_EDGE('',*,*,#219896,.F.); #306154=ORIENTED_EDGE('',*,*,#219895,.F.); #306155=ORIENTED_EDGE('',*,*,#219897,.F.); #306156=ORIENTED_EDGE('',*,*,#219898,.T.); #306157=ORIENTED_EDGE('',*,*,#219899,.F.); #306158=ORIENTED_EDGE('',*,*,#219898,.F.); #306159=ORIENTED_EDGE('',*,*,#219900,.F.); #306160=ORIENTED_EDGE('',*,*,#219901,.T.); #306161=ORIENTED_EDGE('',*,*,#219902,.F.); #306162=ORIENTED_EDGE('',*,*,#219901,.F.); #306163=ORIENTED_EDGE('',*,*,#219903,.F.); #306164=ORIENTED_EDGE('',*,*,#219904,.T.); #306165=ORIENTED_EDGE('',*,*,#219905,.F.); #306166=ORIENTED_EDGE('',*,*,#219904,.F.); #306167=ORIENTED_EDGE('',*,*,#219906,.F.); #306168=ORIENTED_EDGE('',*,*,#219907,.T.); #306169=ORIENTED_EDGE('',*,*,#219908,.F.); #306170=ORIENTED_EDGE('',*,*,#219907,.F.); #306171=ORIENTED_EDGE('',*,*,#219909,.F.); #306172=ORIENTED_EDGE('',*,*,#219910,.T.); #306173=ORIENTED_EDGE('',*,*,#219911,.F.); #306174=ORIENTED_EDGE('',*,*,#219910,.F.); #306175=ORIENTED_EDGE('',*,*,#219912,.F.); #306176=ORIENTED_EDGE('',*,*,#219913,.T.); #306177=ORIENTED_EDGE('',*,*,#219914,.F.); #306178=ORIENTED_EDGE('',*,*,#219913,.F.); #306179=ORIENTED_EDGE('',*,*,#219915,.F.); #306180=ORIENTED_EDGE('',*,*,#219916,.T.); #306181=ORIENTED_EDGE('',*,*,#219917,.F.); #306182=ORIENTED_EDGE('',*,*,#219916,.F.); #306183=ORIENTED_EDGE('',*,*,#219918,.F.); #306184=ORIENTED_EDGE('',*,*,#219919,.T.); #306185=ORIENTED_EDGE('',*,*,#219920,.F.); #306186=ORIENTED_EDGE('',*,*,#219919,.F.); #306187=ORIENTED_EDGE('',*,*,#219921,.F.); #306188=ORIENTED_EDGE('',*,*,#219922,.T.); #306189=ORIENTED_EDGE('',*,*,#219923,.F.); #306190=ORIENTED_EDGE('',*,*,#219922,.F.); #306191=ORIENTED_EDGE('',*,*,#219924,.F.); #306192=ORIENTED_EDGE('',*,*,#219925,.T.); #306193=ORIENTED_EDGE('',*,*,#219926,.F.); #306194=ORIENTED_EDGE('',*,*,#219925,.F.); #306195=ORIENTED_EDGE('',*,*,#219927,.F.); #306196=ORIENTED_EDGE('',*,*,#219928,.T.); #306197=ORIENTED_EDGE('',*,*,#219929,.F.); #306198=ORIENTED_EDGE('',*,*,#219928,.F.); #306199=ORIENTED_EDGE('',*,*,#219930,.F.); #306200=ORIENTED_EDGE('',*,*,#219931,.T.); #306201=ORIENTED_EDGE('',*,*,#219932,.F.); #306202=ORIENTED_EDGE('',*,*,#219931,.F.); #306203=ORIENTED_EDGE('',*,*,#219933,.F.); #306204=ORIENTED_EDGE('',*,*,#219934,.T.); #306205=ORIENTED_EDGE('',*,*,#219935,.F.); #306206=ORIENTED_EDGE('',*,*,#219934,.F.); #306207=ORIENTED_EDGE('',*,*,#219936,.F.); #306208=ORIENTED_EDGE('',*,*,#219937,.T.); #306209=ORIENTED_EDGE('',*,*,#219938,.F.); #306210=ORIENTED_EDGE('',*,*,#219937,.F.); #306211=ORIENTED_EDGE('',*,*,#219939,.F.); #306212=ORIENTED_EDGE('',*,*,#219940,.T.); #306213=ORIENTED_EDGE('',*,*,#219941,.F.); #306214=ORIENTED_EDGE('',*,*,#219940,.F.); #306215=ORIENTED_EDGE('',*,*,#219942,.F.); #306216=ORIENTED_EDGE('',*,*,#219943,.T.); #306217=ORIENTED_EDGE('',*,*,#219944,.F.); #306218=ORIENTED_EDGE('',*,*,#219943,.F.); #306219=ORIENTED_EDGE('',*,*,#219945,.F.); #306220=ORIENTED_EDGE('',*,*,#219946,.T.); #306221=ORIENTED_EDGE('',*,*,#219947,.F.); #306222=ORIENTED_EDGE('',*,*,#219946,.F.); #306223=ORIENTED_EDGE('',*,*,#219948,.F.); #306224=ORIENTED_EDGE('',*,*,#219949,.T.); #306225=ORIENTED_EDGE('',*,*,#219950,.F.); #306226=ORIENTED_EDGE('',*,*,#219949,.F.); #306227=ORIENTED_EDGE('',*,*,#219951,.F.); #306228=ORIENTED_EDGE('',*,*,#219952,.T.); #306229=ORIENTED_EDGE('',*,*,#219953,.F.); #306230=ORIENTED_EDGE('',*,*,#219952,.F.); #306231=ORIENTED_EDGE('',*,*,#219954,.F.); #306232=ORIENTED_EDGE('',*,*,#219955,.T.); #306233=ORIENTED_EDGE('',*,*,#219956,.F.); #306234=ORIENTED_EDGE('',*,*,#219955,.F.); #306235=ORIENTED_EDGE('',*,*,#219957,.F.); #306236=ORIENTED_EDGE('',*,*,#219958,.T.); #306237=ORIENTED_EDGE('',*,*,#219959,.F.); #306238=ORIENTED_EDGE('',*,*,#219958,.F.); #306239=ORIENTED_EDGE('',*,*,#219960,.F.); #306240=ORIENTED_EDGE('',*,*,#219961,.T.); #306241=ORIENTED_EDGE('',*,*,#219962,.F.); #306242=ORIENTED_EDGE('',*,*,#219961,.F.); #306243=ORIENTED_EDGE('',*,*,#219963,.F.); #306244=ORIENTED_EDGE('',*,*,#219964,.T.); #306245=ORIENTED_EDGE('',*,*,#219965,.F.); #306246=ORIENTED_EDGE('',*,*,#219964,.F.); #306247=ORIENTED_EDGE('',*,*,#219966,.F.); #306248=ORIENTED_EDGE('',*,*,#219967,.T.); #306249=ORIENTED_EDGE('',*,*,#219968,.F.); #306250=ORIENTED_EDGE('',*,*,#219967,.F.); #306251=ORIENTED_EDGE('',*,*,#219969,.F.); #306252=ORIENTED_EDGE('',*,*,#219970,.T.); #306253=ORIENTED_EDGE('',*,*,#219971,.F.); #306254=ORIENTED_EDGE('',*,*,#219970,.F.); #306255=ORIENTED_EDGE('',*,*,#219972,.F.); #306256=ORIENTED_EDGE('',*,*,#219973,.T.); #306257=ORIENTED_EDGE('',*,*,#219974,.F.); #306258=ORIENTED_EDGE('',*,*,#219973,.F.); #306259=ORIENTED_EDGE('',*,*,#219975,.F.); #306260=ORIENTED_EDGE('',*,*,#219976,.T.); #306261=ORIENTED_EDGE('',*,*,#219977,.F.); #306262=ORIENTED_EDGE('',*,*,#219976,.F.); #306263=ORIENTED_EDGE('',*,*,#219978,.F.); #306264=ORIENTED_EDGE('',*,*,#219979,.T.); #306265=ORIENTED_EDGE('',*,*,#219980,.F.); #306266=ORIENTED_EDGE('',*,*,#219979,.F.); #306267=ORIENTED_EDGE('',*,*,#219981,.F.); #306268=ORIENTED_EDGE('',*,*,#219982,.T.); #306269=ORIENTED_EDGE('',*,*,#219983,.F.); #306270=ORIENTED_EDGE('',*,*,#219982,.F.); #306271=ORIENTED_EDGE('',*,*,#219984,.F.); #306272=ORIENTED_EDGE('',*,*,#219985,.T.); #306273=ORIENTED_EDGE('',*,*,#219986,.F.); #306274=ORIENTED_EDGE('',*,*,#219985,.F.); #306275=ORIENTED_EDGE('',*,*,#219987,.F.); #306276=ORIENTED_EDGE('',*,*,#219988,.T.); #306277=ORIENTED_EDGE('',*,*,#219989,.F.); #306278=ORIENTED_EDGE('',*,*,#219988,.F.); #306279=ORIENTED_EDGE('',*,*,#219990,.F.); #306280=ORIENTED_EDGE('',*,*,#219991,.T.); #306281=ORIENTED_EDGE('',*,*,#219992,.F.); #306282=ORIENTED_EDGE('',*,*,#219991,.F.); #306283=ORIENTED_EDGE('',*,*,#219993,.F.); #306284=ORIENTED_EDGE('',*,*,#219994,.T.); #306285=ORIENTED_EDGE('',*,*,#219995,.F.); #306286=ORIENTED_EDGE('',*,*,#219994,.F.); #306287=ORIENTED_EDGE('',*,*,#219996,.F.); #306288=ORIENTED_EDGE('',*,*,#219997,.T.); #306289=ORIENTED_EDGE('',*,*,#219998,.F.); #306290=ORIENTED_EDGE('',*,*,#219997,.F.); #306291=ORIENTED_EDGE('',*,*,#219999,.F.); #306292=ORIENTED_EDGE('',*,*,#220000,.T.); #306293=ORIENTED_EDGE('',*,*,#220001,.F.); #306294=ORIENTED_EDGE('',*,*,#220000,.F.); #306295=ORIENTED_EDGE('',*,*,#220002,.F.); #306296=ORIENTED_EDGE('',*,*,#220003,.T.); #306297=ORIENTED_EDGE('',*,*,#220004,.F.); #306298=ORIENTED_EDGE('',*,*,#220003,.F.); #306299=ORIENTED_EDGE('',*,*,#220005,.F.); #306300=ORIENTED_EDGE('',*,*,#220006,.T.); #306301=ORIENTED_EDGE('',*,*,#220007,.F.); #306302=ORIENTED_EDGE('',*,*,#220006,.F.); #306303=ORIENTED_EDGE('',*,*,#220008,.F.); #306304=ORIENTED_EDGE('',*,*,#220009,.T.); #306305=ORIENTED_EDGE('',*,*,#220010,.F.); #306306=ORIENTED_EDGE('',*,*,#220009,.F.); #306307=ORIENTED_EDGE('',*,*,#220011,.F.); #306308=ORIENTED_EDGE('',*,*,#220012,.T.); #306309=ORIENTED_EDGE('',*,*,#220013,.F.); #306310=ORIENTED_EDGE('',*,*,#220012,.F.); #306311=ORIENTED_EDGE('',*,*,#220014,.F.); #306312=ORIENTED_EDGE('',*,*,#220015,.T.); #306313=ORIENTED_EDGE('',*,*,#220016,.F.); #306314=ORIENTED_EDGE('',*,*,#220015,.F.); #306315=ORIENTED_EDGE('',*,*,#220017,.F.); #306316=ORIENTED_EDGE('',*,*,#220018,.T.); #306317=ORIENTED_EDGE('',*,*,#220019,.F.); #306318=ORIENTED_EDGE('',*,*,#220018,.F.); #306319=ORIENTED_EDGE('',*,*,#220020,.F.); #306320=ORIENTED_EDGE('',*,*,#220021,.T.); #306321=ORIENTED_EDGE('',*,*,#220022,.F.); #306322=ORIENTED_EDGE('',*,*,#220021,.F.); #306323=ORIENTED_EDGE('',*,*,#220023,.F.); #306324=ORIENTED_EDGE('',*,*,#220024,.T.); #306325=ORIENTED_EDGE('',*,*,#220025,.F.); #306326=ORIENTED_EDGE('',*,*,#220024,.F.); #306327=ORIENTED_EDGE('',*,*,#220026,.F.); #306328=ORIENTED_EDGE('',*,*,#220027,.T.); #306329=ORIENTED_EDGE('',*,*,#220028,.F.); #306330=ORIENTED_EDGE('',*,*,#220027,.F.); #306331=ORIENTED_EDGE('',*,*,#220029,.F.); #306332=ORIENTED_EDGE('',*,*,#220030,.T.); #306333=ORIENTED_EDGE('',*,*,#220031,.F.); #306334=ORIENTED_EDGE('',*,*,#220030,.F.); #306335=ORIENTED_EDGE('',*,*,#220032,.F.); #306336=ORIENTED_EDGE('',*,*,#220033,.T.); #306337=ORIENTED_EDGE('',*,*,#220034,.F.); #306338=ORIENTED_EDGE('',*,*,#220033,.F.); #306339=ORIENTED_EDGE('',*,*,#220035,.F.); #306340=ORIENTED_EDGE('',*,*,#220036,.T.); #306341=ORIENTED_EDGE('',*,*,#220037,.F.); #306342=ORIENTED_EDGE('',*,*,#220036,.F.); #306343=ORIENTED_EDGE('',*,*,#220038,.F.); #306344=ORIENTED_EDGE('',*,*,#220039,.T.); #306345=ORIENTED_EDGE('',*,*,#220040,.F.); #306346=ORIENTED_EDGE('',*,*,#220039,.F.); #306347=ORIENTED_EDGE('',*,*,#220041,.F.); #306348=ORIENTED_EDGE('',*,*,#220042,.T.); #306349=ORIENTED_EDGE('',*,*,#220043,.F.); #306350=ORIENTED_EDGE('',*,*,#220042,.F.); #306351=ORIENTED_EDGE('',*,*,#220044,.F.); #306352=ORIENTED_EDGE('',*,*,#220045,.T.); #306353=ORIENTED_EDGE('',*,*,#220046,.F.); #306354=ORIENTED_EDGE('',*,*,#220045,.F.); #306355=ORIENTED_EDGE('',*,*,#220047,.F.); #306356=ORIENTED_EDGE('',*,*,#220048,.T.); #306357=ORIENTED_EDGE('',*,*,#220049,.F.); #306358=ORIENTED_EDGE('',*,*,#220048,.F.); #306359=ORIENTED_EDGE('',*,*,#220050,.F.); #306360=ORIENTED_EDGE('',*,*,#220051,.T.); #306361=ORIENTED_EDGE('',*,*,#220052,.F.); #306362=ORIENTED_EDGE('',*,*,#220051,.F.); #306363=ORIENTED_EDGE('',*,*,#220053,.F.); #306364=ORIENTED_EDGE('',*,*,#220054,.T.); #306365=ORIENTED_EDGE('',*,*,#220055,.F.); #306366=ORIENTED_EDGE('',*,*,#220054,.F.); #306367=ORIENTED_EDGE('',*,*,#220056,.F.); #306368=ORIENTED_EDGE('',*,*,#220057,.T.); #306369=ORIENTED_EDGE('',*,*,#220058,.F.); #306370=ORIENTED_EDGE('',*,*,#220057,.F.); #306371=ORIENTED_EDGE('',*,*,#220059,.F.); #306372=ORIENTED_EDGE('',*,*,#220060,.T.); #306373=ORIENTED_EDGE('',*,*,#220061,.F.); #306374=ORIENTED_EDGE('',*,*,#220060,.F.); #306375=ORIENTED_EDGE('',*,*,#220062,.F.); #306376=ORIENTED_EDGE('',*,*,#220063,.T.); #306377=ORIENTED_EDGE('',*,*,#220064,.F.); #306378=ORIENTED_EDGE('',*,*,#220063,.F.); #306379=ORIENTED_EDGE('',*,*,#220065,.F.); #306380=ORIENTED_EDGE('',*,*,#220066,.T.); #306381=ORIENTED_EDGE('',*,*,#220067,.F.); #306382=ORIENTED_EDGE('',*,*,#220066,.F.); #306383=ORIENTED_EDGE('',*,*,#220068,.F.); #306384=ORIENTED_EDGE('',*,*,#220069,.T.); #306385=ORIENTED_EDGE('',*,*,#220070,.F.); #306386=ORIENTED_EDGE('',*,*,#220069,.F.); #306387=ORIENTED_EDGE('',*,*,#220071,.F.); #306388=ORIENTED_EDGE('',*,*,#220072,.T.); #306389=ORIENTED_EDGE('',*,*,#220073,.F.); #306390=ORIENTED_EDGE('',*,*,#220072,.F.); #306391=ORIENTED_EDGE('',*,*,#220074,.F.); #306392=ORIENTED_EDGE('',*,*,#220075,.T.); #306393=ORIENTED_EDGE('',*,*,#220076,.F.); #306394=ORIENTED_EDGE('',*,*,#220075,.F.); #306395=ORIENTED_EDGE('',*,*,#220077,.F.); #306396=ORIENTED_EDGE('',*,*,#220078,.T.); #306397=ORIENTED_EDGE('',*,*,#220079,.F.); #306398=ORIENTED_EDGE('',*,*,#220078,.F.); #306399=ORIENTED_EDGE('',*,*,#220080,.F.); #306400=ORIENTED_EDGE('',*,*,#220081,.T.); #306401=ORIENTED_EDGE('',*,*,#220082,.F.); #306402=ORIENTED_EDGE('',*,*,#220081,.F.); #306403=ORIENTED_EDGE('',*,*,#220083,.F.); #306404=ORIENTED_EDGE('',*,*,#220084,.T.); #306405=ORIENTED_EDGE('',*,*,#220085,.F.); #306406=ORIENTED_EDGE('',*,*,#220084,.F.); #306407=ORIENTED_EDGE('',*,*,#220086,.F.); #306408=ORIENTED_EDGE('',*,*,#220087,.T.); #306409=ORIENTED_EDGE('',*,*,#220088,.F.); #306410=ORIENTED_EDGE('',*,*,#220087,.F.); #306411=ORIENTED_EDGE('',*,*,#220089,.F.); #306412=ORIENTED_EDGE('',*,*,#220090,.T.); #306413=ORIENTED_EDGE('',*,*,#220091,.F.); #306414=ORIENTED_EDGE('',*,*,#220090,.F.); #306415=ORIENTED_EDGE('',*,*,#220092,.F.); #306416=ORIENTED_EDGE('',*,*,#220093,.T.); #306417=ORIENTED_EDGE('',*,*,#220094,.F.); #306418=ORIENTED_EDGE('',*,*,#220093,.F.); #306419=ORIENTED_EDGE('',*,*,#220095,.F.); #306420=ORIENTED_EDGE('',*,*,#220096,.T.); #306421=ORIENTED_EDGE('',*,*,#220097,.F.); #306422=ORIENTED_EDGE('',*,*,#220096,.F.); #306423=ORIENTED_EDGE('',*,*,#220098,.F.); #306424=ORIENTED_EDGE('',*,*,#220099,.T.); #306425=ORIENTED_EDGE('',*,*,#220100,.F.); #306426=ORIENTED_EDGE('',*,*,#220099,.F.); #306427=ORIENTED_EDGE('',*,*,#220101,.F.); #306428=ORIENTED_EDGE('',*,*,#220102,.T.); #306429=ORIENTED_EDGE('',*,*,#220103,.F.); #306430=ORIENTED_EDGE('',*,*,#220102,.F.); #306431=ORIENTED_EDGE('',*,*,#220104,.F.); #306432=ORIENTED_EDGE('',*,*,#220105,.T.); #306433=ORIENTED_EDGE('',*,*,#220106,.F.); #306434=ORIENTED_EDGE('',*,*,#220105,.F.); #306435=ORIENTED_EDGE('',*,*,#220107,.F.); #306436=ORIENTED_EDGE('',*,*,#220108,.T.); #306437=ORIENTED_EDGE('',*,*,#220109,.F.); #306438=ORIENTED_EDGE('',*,*,#220108,.F.); #306439=ORIENTED_EDGE('',*,*,#220110,.F.); #306440=ORIENTED_EDGE('',*,*,#220111,.T.); #306441=ORIENTED_EDGE('',*,*,#220112,.F.); #306442=ORIENTED_EDGE('',*,*,#220111,.F.); #306443=ORIENTED_EDGE('',*,*,#220113,.F.); #306444=ORIENTED_EDGE('',*,*,#220114,.T.); #306445=ORIENTED_EDGE('',*,*,#220115,.F.); #306446=ORIENTED_EDGE('',*,*,#220114,.F.); #306447=ORIENTED_EDGE('',*,*,#220116,.F.); #306448=ORIENTED_EDGE('',*,*,#220117,.T.); #306449=ORIENTED_EDGE('',*,*,#220118,.F.); #306450=ORIENTED_EDGE('',*,*,#220117,.F.); #306451=ORIENTED_EDGE('',*,*,#220119,.F.); #306452=ORIENTED_EDGE('',*,*,#220120,.T.); #306453=ORIENTED_EDGE('',*,*,#220121,.F.); #306454=ORIENTED_EDGE('',*,*,#220120,.F.); #306455=ORIENTED_EDGE('',*,*,#220122,.F.); #306456=ORIENTED_EDGE('',*,*,#220123,.T.); #306457=ORIENTED_EDGE('',*,*,#220124,.F.); #306458=ORIENTED_EDGE('',*,*,#220123,.F.); #306459=ORIENTED_EDGE('',*,*,#220125,.F.); #306460=ORIENTED_EDGE('',*,*,#220126,.T.); #306461=ORIENTED_EDGE('',*,*,#220127,.F.); #306462=ORIENTED_EDGE('',*,*,#220126,.F.); #306463=ORIENTED_EDGE('',*,*,#220128,.F.); #306464=ORIENTED_EDGE('',*,*,#220129,.T.); #306465=ORIENTED_EDGE('',*,*,#220130,.F.); #306466=ORIENTED_EDGE('',*,*,#220129,.F.); #306467=ORIENTED_EDGE('',*,*,#220131,.F.); #306468=ORIENTED_EDGE('',*,*,#220132,.T.); #306469=ORIENTED_EDGE('',*,*,#220133,.F.); #306470=ORIENTED_EDGE('',*,*,#220132,.F.); #306471=ORIENTED_EDGE('',*,*,#220134,.F.); #306472=ORIENTED_EDGE('',*,*,#220135,.T.); #306473=ORIENTED_EDGE('',*,*,#220136,.F.); #306474=ORIENTED_EDGE('',*,*,#220135,.F.); #306475=ORIENTED_EDGE('',*,*,#220137,.F.); #306476=ORIENTED_EDGE('',*,*,#220138,.T.); #306477=ORIENTED_EDGE('',*,*,#220139,.F.); #306478=ORIENTED_EDGE('',*,*,#220138,.F.); #306479=ORIENTED_EDGE('',*,*,#220140,.F.); #306480=ORIENTED_EDGE('',*,*,#220141,.T.); #306481=ORIENTED_EDGE('',*,*,#220142,.F.); #306482=ORIENTED_EDGE('',*,*,#220141,.F.); #306483=ORIENTED_EDGE('',*,*,#220143,.F.); #306484=ORIENTED_EDGE('',*,*,#220144,.T.); #306485=ORIENTED_EDGE('',*,*,#220145,.F.); #306486=ORIENTED_EDGE('',*,*,#220144,.F.); #306487=ORIENTED_EDGE('',*,*,#220146,.F.); #306488=ORIENTED_EDGE('',*,*,#220147,.T.); #306489=ORIENTED_EDGE('',*,*,#220148,.F.); #306490=ORIENTED_EDGE('',*,*,#220147,.F.); #306491=ORIENTED_EDGE('',*,*,#220149,.F.); #306492=ORIENTED_EDGE('',*,*,#220150,.T.); #306493=ORIENTED_EDGE('',*,*,#220151,.F.); #306494=ORIENTED_EDGE('',*,*,#220150,.F.); #306495=ORIENTED_EDGE('',*,*,#220152,.F.); #306496=ORIENTED_EDGE('',*,*,#220153,.T.); #306497=ORIENTED_EDGE('',*,*,#220154,.F.); #306498=ORIENTED_EDGE('',*,*,#220153,.F.); #306499=ORIENTED_EDGE('',*,*,#220155,.F.); #306500=ORIENTED_EDGE('',*,*,#220156,.T.); #306501=ORIENTED_EDGE('',*,*,#220157,.F.); #306502=ORIENTED_EDGE('',*,*,#220156,.F.); #306503=ORIENTED_EDGE('',*,*,#220158,.F.); #306504=ORIENTED_EDGE('',*,*,#220159,.T.); #306505=ORIENTED_EDGE('',*,*,#220160,.F.); #306506=ORIENTED_EDGE('',*,*,#220159,.F.); #306507=ORIENTED_EDGE('',*,*,#220161,.F.); #306508=ORIENTED_EDGE('',*,*,#220162,.T.); #306509=ORIENTED_EDGE('',*,*,#220163,.F.); #306510=ORIENTED_EDGE('',*,*,#220162,.F.); #306511=ORIENTED_EDGE('',*,*,#220164,.F.); #306512=ORIENTED_EDGE('',*,*,#220165,.T.); #306513=ORIENTED_EDGE('',*,*,#220166,.F.); #306514=ORIENTED_EDGE('',*,*,#220165,.F.); #306515=ORIENTED_EDGE('',*,*,#220167,.F.); #306516=ORIENTED_EDGE('',*,*,#220168,.T.); #306517=ORIENTED_EDGE('',*,*,#220169,.F.); #306518=ORIENTED_EDGE('',*,*,#220168,.F.); #306519=ORIENTED_EDGE('',*,*,#220170,.F.); #306520=ORIENTED_EDGE('',*,*,#220171,.T.); #306521=ORIENTED_EDGE('',*,*,#220172,.F.); #306522=ORIENTED_EDGE('',*,*,#220171,.F.); #306523=ORIENTED_EDGE('',*,*,#220173,.F.); #306524=ORIENTED_EDGE('',*,*,#220174,.T.); #306525=ORIENTED_EDGE('',*,*,#220175,.F.); #306526=ORIENTED_EDGE('',*,*,#220174,.F.); #306527=ORIENTED_EDGE('',*,*,#220176,.F.); #306528=ORIENTED_EDGE('',*,*,#220177,.T.); #306529=ORIENTED_EDGE('',*,*,#220178,.F.); #306530=ORIENTED_EDGE('',*,*,#220177,.F.); #306531=ORIENTED_EDGE('',*,*,#220179,.F.); #306532=ORIENTED_EDGE('',*,*,#220180,.T.); #306533=ORIENTED_EDGE('',*,*,#220181,.F.); #306534=ORIENTED_EDGE('',*,*,#220180,.F.); #306535=ORIENTED_EDGE('',*,*,#220182,.F.); #306536=ORIENTED_EDGE('',*,*,#220183,.T.); #306537=ORIENTED_EDGE('',*,*,#220184,.F.); #306538=ORIENTED_EDGE('',*,*,#220183,.F.); #306539=ORIENTED_EDGE('',*,*,#220185,.F.); #306540=ORIENTED_EDGE('',*,*,#220186,.T.); #306541=ORIENTED_EDGE('',*,*,#220187,.F.); #306542=ORIENTED_EDGE('',*,*,#220186,.F.); #306543=ORIENTED_EDGE('',*,*,#220188,.F.); #306544=ORIENTED_EDGE('',*,*,#220189,.T.); #306545=ORIENTED_EDGE('',*,*,#220190,.F.); #306546=ORIENTED_EDGE('',*,*,#220189,.F.); #306547=ORIENTED_EDGE('',*,*,#220191,.F.); #306548=ORIENTED_EDGE('',*,*,#220192,.T.); #306549=ORIENTED_EDGE('',*,*,#220193,.F.); #306550=ORIENTED_EDGE('',*,*,#220192,.F.); #306551=ORIENTED_EDGE('',*,*,#220194,.F.); #306552=ORIENTED_EDGE('',*,*,#220195,.T.); #306553=ORIENTED_EDGE('',*,*,#220196,.F.); #306554=ORIENTED_EDGE('',*,*,#220195,.F.); #306555=ORIENTED_EDGE('',*,*,#220197,.F.); #306556=ORIENTED_EDGE('',*,*,#220198,.T.); #306557=ORIENTED_EDGE('',*,*,#220199,.F.); #306558=ORIENTED_EDGE('',*,*,#220198,.F.); #306559=ORIENTED_EDGE('',*,*,#220200,.F.); #306560=ORIENTED_EDGE('',*,*,#220201,.T.); #306561=ORIENTED_EDGE('',*,*,#220202,.F.); #306562=ORIENTED_EDGE('',*,*,#220201,.F.); #306563=ORIENTED_EDGE('',*,*,#220203,.F.); #306564=ORIENTED_EDGE('',*,*,#220204,.T.); #306565=ORIENTED_EDGE('',*,*,#220205,.F.); #306566=ORIENTED_EDGE('',*,*,#220204,.F.); #306567=ORIENTED_EDGE('',*,*,#220206,.F.); #306568=ORIENTED_EDGE('',*,*,#220207,.T.); #306569=ORIENTED_EDGE('',*,*,#220208,.F.); #306570=ORIENTED_EDGE('',*,*,#220207,.F.); #306571=ORIENTED_EDGE('',*,*,#220209,.F.); #306572=ORIENTED_EDGE('',*,*,#220210,.T.); #306573=ORIENTED_EDGE('',*,*,#220211,.F.); #306574=ORIENTED_EDGE('',*,*,#220210,.F.); #306575=ORIENTED_EDGE('',*,*,#220212,.F.); #306576=ORIENTED_EDGE('',*,*,#220213,.T.); #306577=ORIENTED_EDGE('',*,*,#220214,.F.); #306578=ORIENTED_EDGE('',*,*,#220213,.F.); #306579=ORIENTED_EDGE('',*,*,#220215,.F.); #306580=ORIENTED_EDGE('',*,*,#220216,.T.); #306581=ORIENTED_EDGE('',*,*,#220217,.F.); #306582=ORIENTED_EDGE('',*,*,#220216,.F.); #306583=ORIENTED_EDGE('',*,*,#220218,.F.); #306584=ORIENTED_EDGE('',*,*,#220219,.T.); #306585=ORIENTED_EDGE('',*,*,#220220,.F.); #306586=ORIENTED_EDGE('',*,*,#220219,.F.); #306587=ORIENTED_EDGE('',*,*,#220221,.F.); #306588=ORIENTED_EDGE('',*,*,#220222,.T.); #306589=ORIENTED_EDGE('',*,*,#220223,.F.); #306590=ORIENTED_EDGE('',*,*,#220222,.F.); #306591=ORIENTED_EDGE('',*,*,#220224,.F.); #306592=ORIENTED_EDGE('',*,*,#220225,.T.); #306593=ORIENTED_EDGE('',*,*,#220226,.F.); #306594=ORIENTED_EDGE('',*,*,#220225,.F.); #306595=ORIENTED_EDGE('',*,*,#220227,.F.); #306596=ORIENTED_EDGE('',*,*,#220228,.T.); #306597=ORIENTED_EDGE('',*,*,#220229,.F.); #306598=ORIENTED_EDGE('',*,*,#220228,.F.); #306599=ORIENTED_EDGE('',*,*,#220230,.F.); #306600=ORIENTED_EDGE('',*,*,#220231,.T.); #306601=ORIENTED_EDGE('',*,*,#220232,.F.); #306602=ORIENTED_EDGE('',*,*,#220231,.F.); #306603=ORIENTED_EDGE('',*,*,#220233,.F.); #306604=ORIENTED_EDGE('',*,*,#220234,.T.); #306605=ORIENTED_EDGE('',*,*,#220235,.F.); #306606=ORIENTED_EDGE('',*,*,#220234,.F.); #306607=ORIENTED_EDGE('',*,*,#220236,.F.); #306608=ORIENTED_EDGE('',*,*,#220237,.T.); #306609=ORIENTED_EDGE('',*,*,#220238,.F.); #306610=ORIENTED_EDGE('',*,*,#220237,.F.); #306611=ORIENTED_EDGE('',*,*,#220239,.F.); #306612=ORIENTED_EDGE('',*,*,#220240,.T.); #306613=ORIENTED_EDGE('',*,*,#220241,.F.); #306614=ORIENTED_EDGE('',*,*,#220240,.F.); #306615=ORIENTED_EDGE('',*,*,#220242,.F.); #306616=ORIENTED_EDGE('',*,*,#220243,.T.); #306617=ORIENTED_EDGE('',*,*,#220244,.F.); #306618=ORIENTED_EDGE('',*,*,#220243,.F.); #306619=ORIENTED_EDGE('',*,*,#220245,.F.); #306620=ORIENTED_EDGE('',*,*,#220246,.T.); #306621=ORIENTED_EDGE('',*,*,#220247,.F.); #306622=ORIENTED_EDGE('',*,*,#220246,.F.); #306623=ORIENTED_EDGE('',*,*,#220248,.F.); #306624=ORIENTED_EDGE('',*,*,#220249,.T.); #306625=ORIENTED_EDGE('',*,*,#220250,.F.); #306626=ORIENTED_EDGE('',*,*,#220249,.F.); #306627=ORIENTED_EDGE('',*,*,#220251,.F.); #306628=ORIENTED_EDGE('',*,*,#220252,.T.); #306629=ORIENTED_EDGE('',*,*,#220253,.F.); #306630=ORIENTED_EDGE('',*,*,#220252,.F.); #306631=ORIENTED_EDGE('',*,*,#220254,.F.); #306632=ORIENTED_EDGE('',*,*,#220255,.T.); #306633=ORIENTED_EDGE('',*,*,#220256,.F.); #306634=ORIENTED_EDGE('',*,*,#220255,.F.); #306635=ORIENTED_EDGE('',*,*,#220257,.F.); #306636=ORIENTED_EDGE('',*,*,#220258,.T.); #306637=ORIENTED_EDGE('',*,*,#220259,.F.); #306638=ORIENTED_EDGE('',*,*,#220258,.F.); #306639=ORIENTED_EDGE('',*,*,#220260,.F.); #306640=ORIENTED_EDGE('',*,*,#220261,.T.); #306641=ORIENTED_EDGE('',*,*,#220262,.F.); #306642=ORIENTED_EDGE('',*,*,#220261,.F.); #306643=ORIENTED_EDGE('',*,*,#220263,.F.); #306644=ORIENTED_EDGE('',*,*,#220264,.T.); #306645=ORIENTED_EDGE('',*,*,#220265,.F.); #306646=ORIENTED_EDGE('',*,*,#220264,.F.); #306647=ORIENTED_EDGE('',*,*,#220266,.F.); #306648=ORIENTED_EDGE('',*,*,#220267,.T.); #306649=ORIENTED_EDGE('',*,*,#220268,.F.); #306650=ORIENTED_EDGE('',*,*,#220267,.F.); #306651=ORIENTED_EDGE('',*,*,#220269,.F.); #306652=ORIENTED_EDGE('',*,*,#220270,.T.); #306653=ORIENTED_EDGE('',*,*,#220271,.F.); #306654=ORIENTED_EDGE('',*,*,#220270,.F.); #306655=ORIENTED_EDGE('',*,*,#220272,.F.); #306656=ORIENTED_EDGE('',*,*,#220273,.T.); #306657=ORIENTED_EDGE('',*,*,#220274,.F.); #306658=ORIENTED_EDGE('',*,*,#220273,.F.); #306659=ORIENTED_EDGE('',*,*,#220275,.F.); #306660=ORIENTED_EDGE('',*,*,#220276,.T.); #306661=ORIENTED_EDGE('',*,*,#220277,.F.); #306662=ORIENTED_EDGE('',*,*,#220276,.F.); #306663=ORIENTED_EDGE('',*,*,#220278,.F.); #306664=ORIENTED_EDGE('',*,*,#220279,.T.); #306665=ORIENTED_EDGE('',*,*,#220280,.F.); #306666=ORIENTED_EDGE('',*,*,#220279,.F.); #306667=ORIENTED_EDGE('',*,*,#220281,.F.); #306668=ORIENTED_EDGE('',*,*,#220282,.T.); #306669=ORIENTED_EDGE('',*,*,#220283,.F.); #306670=ORIENTED_EDGE('',*,*,#220282,.F.); #306671=ORIENTED_EDGE('',*,*,#220284,.F.); #306672=ORIENTED_EDGE('',*,*,#220285,.T.); #306673=ORIENTED_EDGE('',*,*,#220286,.F.); #306674=ORIENTED_EDGE('',*,*,#220285,.F.); #306675=ORIENTED_EDGE('',*,*,#220287,.F.); #306676=ORIENTED_EDGE('',*,*,#220288,.F.); #306677=ORIENTED_EDGE('',*,*,#220289,.F.); #306678=ORIENTED_EDGE('',*,*,#220290,.T.); #306679=ORIENTED_EDGE('',*,*,#220291,.F.); #306680=ORIENTED_EDGE('',*,*,#220290,.F.); #306681=ORIENTED_EDGE('',*,*,#220292,.F.); #306682=ORIENTED_EDGE('',*,*,#220293,.T.); #306683=ORIENTED_EDGE('',*,*,#220294,.F.); #306684=ORIENTED_EDGE('',*,*,#220293,.F.); #306685=ORIENTED_EDGE('',*,*,#220295,.F.); #306686=ORIENTED_EDGE('',*,*,#220296,.T.); #306687=ORIENTED_EDGE('',*,*,#220297,.F.); #306688=ORIENTED_EDGE('',*,*,#220296,.F.); #306689=ORIENTED_EDGE('',*,*,#220298,.F.); #306690=ORIENTED_EDGE('',*,*,#220288,.T.); #306691=ORIENTED_EDGE('',*,*,#220299,.F.); #306692=ORIENTED_EDGE('',*,*,#220300,.T.); #306693=ORIENTED_EDGE('',*,*,#220301,.F.); #306694=ORIENTED_EDGE('',*,*,#220300,.F.); #306695=ORIENTED_EDGE('',*,*,#220302,.F.); #306696=ORIENTED_EDGE('',*,*,#220303,.F.); #306697=ORIENTED_EDGE('',*,*,#220304,.F.); #306698=ORIENTED_EDGE('',*,*,#220305,.T.); #306699=ORIENTED_EDGE('',*,*,#220306,.F.); #306700=ORIENTED_EDGE('',*,*,#220305,.F.); #306701=ORIENTED_EDGE('',*,*,#220307,.F.); #306702=ORIENTED_EDGE('',*,*,#220308,.T.); #306703=ORIENTED_EDGE('',*,*,#220309,.F.); #306704=ORIENTED_EDGE('',*,*,#220308,.F.); #306705=ORIENTED_EDGE('',*,*,#220310,.F.); #306706=ORIENTED_EDGE('',*,*,#220311,.T.); #306707=ORIENTED_EDGE('',*,*,#220312,.F.); #306708=ORIENTED_EDGE('',*,*,#220311,.F.); #306709=ORIENTED_EDGE('',*,*,#220313,.F.); #306710=ORIENTED_EDGE('',*,*,#220303,.T.); #306711=ORIENTED_EDGE('',*,*,#220314,.F.); #306712=ORIENTED_EDGE('',*,*,#220315,.T.); #306713=ORIENTED_EDGE('',*,*,#220316,.F.); #306714=ORIENTED_EDGE('',*,*,#220315,.F.); #306715=ORIENTED_EDGE('',*,*,#220317,.F.); #306716=ORIENTED_EDGE('',*,*,#220318,.T.); #306717=ORIENTED_EDGE('',*,*,#220319,.F.); #306718=ORIENTED_EDGE('',*,*,#220318,.F.); #306719=ORIENTED_EDGE('',*,*,#220320,.F.); #306720=ORIENTED_EDGE('',*,*,#220321,.T.); #306721=ORIENTED_EDGE('',*,*,#220322,.F.); #306722=ORIENTED_EDGE('',*,*,#220321,.F.); #306723=ORIENTED_EDGE('',*,*,#220323,.F.); #306724=ORIENTED_EDGE('',*,*,#220324,.F.); #306725=ORIENTED_EDGE('',*,*,#220325,.F.); #306726=ORIENTED_EDGE('',*,*,#220326,.T.); #306727=ORIENTED_EDGE('',*,*,#220327,.F.); #306728=ORIENTED_EDGE('',*,*,#220326,.F.); #306729=ORIENTED_EDGE('',*,*,#220328,.F.); #306730=ORIENTED_EDGE('',*,*,#220329,.T.); #306731=ORIENTED_EDGE('',*,*,#220330,.F.); #306732=ORIENTED_EDGE('',*,*,#220329,.F.); #306733=ORIENTED_EDGE('',*,*,#220331,.F.); #306734=ORIENTED_EDGE('',*,*,#220332,.T.); #306735=ORIENTED_EDGE('',*,*,#220333,.F.); #306736=ORIENTED_EDGE('',*,*,#220332,.F.); #306737=ORIENTED_EDGE('',*,*,#220334,.F.); #306738=ORIENTED_EDGE('',*,*,#220324,.T.); #306739=ORIENTED_EDGE('',*,*,#220335,.F.); #306740=ORIENTED_EDGE('',*,*,#220336,.T.); #306741=ORIENTED_EDGE('',*,*,#220337,.F.); #306742=ORIENTED_EDGE('',*,*,#220336,.F.); #306743=ORIENTED_EDGE('',*,*,#220338,.F.); #306744=ORIENTED_EDGE('',*,*,#220339,.T.); #306745=ORIENTED_EDGE('',*,*,#220340,.F.); #306746=ORIENTED_EDGE('',*,*,#220339,.F.); #306747=ORIENTED_EDGE('',*,*,#220341,.F.); #306748=ORIENTED_EDGE('',*,*,#220342,.T.); #306749=ORIENTED_EDGE('',*,*,#220343,.F.); #306750=ORIENTED_EDGE('',*,*,#220342,.F.); #306751=ORIENTED_EDGE('',*,*,#220344,.F.); #306752=ORIENTED_EDGE('',*,*,#220345,.T.); #306753=ORIENTED_EDGE('',*,*,#220346,.F.); #306754=ORIENTED_EDGE('',*,*,#220345,.F.); #306755=ORIENTED_EDGE('',*,*,#220347,.F.); #306756=ORIENTED_EDGE('',*,*,#220348,.T.); #306757=ORIENTED_EDGE('',*,*,#220349,.F.); #306758=ORIENTED_EDGE('',*,*,#220348,.F.); #306759=ORIENTED_EDGE('',*,*,#220350,.F.); #306760=ORIENTED_EDGE('',*,*,#220351,.T.); #306761=ORIENTED_EDGE('',*,*,#220352,.F.); #306762=ORIENTED_EDGE('',*,*,#220351,.F.); #306763=ORIENTED_EDGE('',*,*,#220353,.F.); #306764=ORIENTED_EDGE('',*,*,#220354,.T.); #306765=ORIENTED_EDGE('',*,*,#220355,.F.); #306766=ORIENTED_EDGE('',*,*,#220354,.F.); #306767=ORIENTED_EDGE('',*,*,#220356,.F.); #306768=ORIENTED_EDGE('',*,*,#220357,.T.); #306769=ORIENTED_EDGE('',*,*,#220358,.F.); #306770=ORIENTED_EDGE('',*,*,#220357,.F.); #306771=ORIENTED_EDGE('',*,*,#220359,.F.); #306772=ORIENTED_EDGE('',*,*,#220360,.T.); #306773=ORIENTED_EDGE('',*,*,#220361,.F.); #306774=ORIENTED_EDGE('',*,*,#220360,.F.); #306775=ORIENTED_EDGE('',*,*,#220362,.F.); #306776=ORIENTED_EDGE('',*,*,#220363,.T.); #306777=ORIENTED_EDGE('',*,*,#220364,.F.); #306778=ORIENTED_EDGE('',*,*,#220363,.F.); #306779=ORIENTED_EDGE('',*,*,#220365,.F.); #306780=ORIENTED_EDGE('',*,*,#220366,.F.); #306781=ORIENTED_EDGE('',*,*,#220367,.F.); #306782=ORIENTED_EDGE('',*,*,#220368,.T.); #306783=ORIENTED_EDGE('',*,*,#220369,.F.); #306784=ORIENTED_EDGE('',*,*,#220368,.F.); #306785=ORIENTED_EDGE('',*,*,#220370,.F.); #306786=ORIENTED_EDGE('',*,*,#220371,.T.); #306787=ORIENTED_EDGE('',*,*,#220372,.F.); #306788=ORIENTED_EDGE('',*,*,#220371,.F.); #306789=ORIENTED_EDGE('',*,*,#220373,.F.); #306790=ORIENTED_EDGE('',*,*,#220374,.T.); #306791=ORIENTED_EDGE('',*,*,#220375,.F.); #306792=ORIENTED_EDGE('',*,*,#220374,.F.); #306793=ORIENTED_EDGE('',*,*,#220376,.F.); #306794=ORIENTED_EDGE('',*,*,#220366,.T.); #306795=ORIENTED_EDGE('',*,*,#220377,.F.); #306796=ORIENTED_EDGE('',*,*,#220378,.T.); #306797=ORIENTED_EDGE('',*,*,#220379,.F.); #306798=ORIENTED_EDGE('',*,*,#220378,.F.); #306799=ORIENTED_EDGE('',*,*,#220380,.F.); #306800=ORIENTED_EDGE('',*,*,#220381,.F.); #306801=ORIENTED_EDGE('',*,*,#220382,.F.); #306802=ORIENTED_EDGE('',*,*,#220383,.T.); #306803=ORIENTED_EDGE('',*,*,#220384,.F.); #306804=ORIENTED_EDGE('',*,*,#220383,.F.); #306805=ORIENTED_EDGE('',*,*,#220385,.F.); #306806=ORIENTED_EDGE('',*,*,#220386,.T.); #306807=ORIENTED_EDGE('',*,*,#220387,.F.); #306808=ORIENTED_EDGE('',*,*,#220386,.F.); #306809=ORIENTED_EDGE('',*,*,#220388,.F.); #306810=ORIENTED_EDGE('',*,*,#220389,.T.); #306811=ORIENTED_EDGE('',*,*,#220390,.F.); #306812=ORIENTED_EDGE('',*,*,#220389,.F.); #306813=ORIENTED_EDGE('',*,*,#220391,.F.); #306814=ORIENTED_EDGE('',*,*,#220381,.T.); #306815=ORIENTED_EDGE('',*,*,#220392,.F.); #306816=ORIENTED_EDGE('',*,*,#220393,.T.); #306817=ORIENTED_EDGE('',*,*,#220394,.F.); #306818=ORIENTED_EDGE('',*,*,#220393,.F.); #306819=ORIENTED_EDGE('',*,*,#220395,.F.); #306820=ORIENTED_EDGE('',*,*,#220396,.F.); #306821=ORIENTED_EDGE('',*,*,#220397,.F.); #306822=ORIENTED_EDGE('',*,*,#220398,.T.); #306823=ORIENTED_EDGE('',*,*,#220399,.F.); #306824=ORIENTED_EDGE('',*,*,#220398,.F.); #306825=ORIENTED_EDGE('',*,*,#220400,.F.); #306826=ORIENTED_EDGE('',*,*,#220401,.T.); #306827=ORIENTED_EDGE('',*,*,#220402,.F.); #306828=ORIENTED_EDGE('',*,*,#220401,.F.); #306829=ORIENTED_EDGE('',*,*,#220403,.F.); #306830=ORIENTED_EDGE('',*,*,#220404,.T.); #306831=ORIENTED_EDGE('',*,*,#220405,.F.); #306832=ORIENTED_EDGE('',*,*,#220404,.F.); #306833=ORIENTED_EDGE('',*,*,#220406,.F.); #306834=ORIENTED_EDGE('',*,*,#220396,.T.); #306835=ORIENTED_EDGE('',*,*,#220407,.F.); #306836=ORIENTED_EDGE('',*,*,#220408,.T.); #306837=ORIENTED_EDGE('',*,*,#220409,.F.); #306838=ORIENTED_EDGE('',*,*,#220408,.F.); #306839=ORIENTED_EDGE('',*,*,#220410,.F.); #306840=ORIENTED_EDGE('',*,*,#220411,.T.); #306841=ORIENTED_EDGE('',*,*,#220412,.F.); #306842=ORIENTED_EDGE('',*,*,#220411,.F.); #306843=ORIENTED_EDGE('',*,*,#220413,.F.); #306844=ORIENTED_EDGE('',*,*,#220414,.T.); #306845=ORIENTED_EDGE('',*,*,#220415,.F.); #306846=ORIENTED_EDGE('',*,*,#220414,.F.); #306847=ORIENTED_EDGE('',*,*,#220416,.F.); #306848=ORIENTED_EDGE('',*,*,#220417,.T.); #306849=ORIENTED_EDGE('',*,*,#220418,.F.); #306850=ORIENTED_EDGE('',*,*,#220417,.F.); #306851=ORIENTED_EDGE('',*,*,#220419,.F.); #306852=ORIENTED_EDGE('',*,*,#220420,.T.); #306853=ORIENTED_EDGE('',*,*,#220421,.F.); #306854=ORIENTED_EDGE('',*,*,#220420,.F.); #306855=ORIENTED_EDGE('',*,*,#220422,.F.); #306856=ORIENTED_EDGE('',*,*,#220423,.T.); #306857=ORIENTED_EDGE('',*,*,#220424,.F.); #306858=ORIENTED_EDGE('',*,*,#220423,.F.); #306859=ORIENTED_EDGE('',*,*,#220425,.F.); #306860=ORIENTED_EDGE('',*,*,#220426,.T.); #306861=ORIENTED_EDGE('',*,*,#220427,.F.); #306862=ORIENTED_EDGE('',*,*,#220426,.F.); #306863=ORIENTED_EDGE('',*,*,#220428,.F.); #306864=ORIENTED_EDGE('',*,*,#220429,.T.); #306865=ORIENTED_EDGE('',*,*,#220430,.F.); #306866=ORIENTED_EDGE('',*,*,#220429,.F.); #306867=ORIENTED_EDGE('',*,*,#220431,.F.); #306868=ORIENTED_EDGE('',*,*,#220432,.T.); #306869=ORIENTED_EDGE('',*,*,#220433,.F.); #306870=ORIENTED_EDGE('',*,*,#220432,.F.); #306871=ORIENTED_EDGE('',*,*,#220434,.F.); #306872=ORIENTED_EDGE('',*,*,#220435,.T.); #306873=ORIENTED_EDGE('',*,*,#220436,.F.); #306874=ORIENTED_EDGE('',*,*,#220435,.F.); #306875=ORIENTED_EDGE('',*,*,#220437,.F.); #306876=ORIENTED_EDGE('',*,*,#220438,.T.); #306877=ORIENTED_EDGE('',*,*,#220439,.F.); #306878=ORIENTED_EDGE('',*,*,#220438,.F.); #306879=ORIENTED_EDGE('',*,*,#220440,.F.); #306880=ORIENTED_EDGE('',*,*,#220441,.T.); #306881=ORIENTED_EDGE('',*,*,#220442,.F.); #306882=ORIENTED_EDGE('',*,*,#220441,.F.); #306883=ORIENTED_EDGE('',*,*,#220443,.F.); #306884=ORIENTED_EDGE('',*,*,#220444,.T.); #306885=ORIENTED_EDGE('',*,*,#220445,.F.); #306886=ORIENTED_EDGE('',*,*,#220444,.F.); #306887=ORIENTED_EDGE('',*,*,#220446,.F.); #306888=ORIENTED_EDGE('',*,*,#220447,.T.); #306889=ORIENTED_EDGE('',*,*,#220448,.F.); #306890=ORIENTED_EDGE('',*,*,#220447,.F.); #306891=ORIENTED_EDGE('',*,*,#220449,.F.); #306892=ORIENTED_EDGE('',*,*,#220450,.T.); #306893=ORIENTED_EDGE('',*,*,#220451,.F.); #306894=ORIENTED_EDGE('',*,*,#220450,.F.); #306895=ORIENTED_EDGE('',*,*,#220452,.F.); #306896=ORIENTED_EDGE('',*,*,#220453,.T.); #306897=ORIENTED_EDGE('',*,*,#220454,.F.); #306898=ORIENTED_EDGE('',*,*,#220453,.F.); #306899=ORIENTED_EDGE('',*,*,#220455,.F.); #306900=ORIENTED_EDGE('',*,*,#220456,.T.); #306901=ORIENTED_EDGE('',*,*,#220457,.F.); #306902=ORIENTED_EDGE('',*,*,#220456,.F.); #306903=ORIENTED_EDGE('',*,*,#220458,.F.); #306904=ORIENTED_EDGE('',*,*,#220459,.T.); #306905=ORIENTED_EDGE('',*,*,#220460,.F.); #306906=ORIENTED_EDGE('',*,*,#220459,.F.); #306907=ORIENTED_EDGE('',*,*,#220461,.F.); #306908=ORIENTED_EDGE('',*,*,#220462,.T.); #306909=ORIENTED_EDGE('',*,*,#220463,.F.); #306910=ORIENTED_EDGE('',*,*,#220462,.F.); #306911=ORIENTED_EDGE('',*,*,#220464,.F.); #306912=ORIENTED_EDGE('',*,*,#220465,.T.); #306913=ORIENTED_EDGE('',*,*,#220466,.F.); #306914=ORIENTED_EDGE('',*,*,#220465,.F.); #306915=ORIENTED_EDGE('',*,*,#220467,.F.); #306916=ORIENTED_EDGE('',*,*,#220468,.T.); #306917=ORIENTED_EDGE('',*,*,#220469,.F.); #306918=ORIENTED_EDGE('',*,*,#220468,.F.); #306919=ORIENTED_EDGE('',*,*,#220470,.F.); #306920=ORIENTED_EDGE('',*,*,#220471,.T.); #306921=ORIENTED_EDGE('',*,*,#220472,.F.); #306922=ORIENTED_EDGE('',*,*,#220471,.F.); #306923=ORIENTED_EDGE('',*,*,#220473,.F.); #306924=ORIENTED_EDGE('',*,*,#220474,.T.); #306925=ORIENTED_EDGE('',*,*,#220475,.F.); #306926=ORIENTED_EDGE('',*,*,#220474,.F.); #306927=ORIENTED_EDGE('',*,*,#220476,.F.); #306928=ORIENTED_EDGE('',*,*,#220477,.T.); #306929=ORIENTED_EDGE('',*,*,#220478,.F.); #306930=ORIENTED_EDGE('',*,*,#220477,.F.); #306931=ORIENTED_EDGE('',*,*,#220479,.F.); #306932=ORIENTED_EDGE('',*,*,#220480,.T.); #306933=ORIENTED_EDGE('',*,*,#220481,.F.); #306934=ORIENTED_EDGE('',*,*,#220480,.F.); #306935=ORIENTED_EDGE('',*,*,#220482,.F.); #306936=ORIENTED_EDGE('',*,*,#220483,.T.); #306937=ORIENTED_EDGE('',*,*,#220484,.F.); #306938=ORIENTED_EDGE('',*,*,#220483,.F.); #306939=ORIENTED_EDGE('',*,*,#220485,.F.); #306940=ORIENTED_EDGE('',*,*,#220486,.T.); #306941=ORIENTED_EDGE('',*,*,#220487,.F.); #306942=ORIENTED_EDGE('',*,*,#220486,.F.); #306943=ORIENTED_EDGE('',*,*,#220488,.F.); #306944=ORIENTED_EDGE('',*,*,#220489,.T.); #306945=ORIENTED_EDGE('',*,*,#220490,.F.); #306946=ORIENTED_EDGE('',*,*,#220489,.F.); #306947=ORIENTED_EDGE('',*,*,#220491,.F.); #306948=ORIENTED_EDGE('',*,*,#220492,.T.); #306949=ORIENTED_EDGE('',*,*,#220493,.F.); #306950=ORIENTED_EDGE('',*,*,#220492,.F.); #306951=ORIENTED_EDGE('',*,*,#220494,.F.); #306952=ORIENTED_EDGE('',*,*,#220495,.T.); #306953=ORIENTED_EDGE('',*,*,#220496,.F.); #306954=ORIENTED_EDGE('',*,*,#220495,.F.); #306955=ORIENTED_EDGE('',*,*,#220497,.F.); #306956=ORIENTED_EDGE('',*,*,#220498,.T.); #306957=ORIENTED_EDGE('',*,*,#220499,.F.); #306958=ORIENTED_EDGE('',*,*,#220498,.F.); #306959=ORIENTED_EDGE('',*,*,#220500,.F.); #306960=ORIENTED_EDGE('',*,*,#220501,.T.); #306961=ORIENTED_EDGE('',*,*,#220502,.F.); #306962=ORIENTED_EDGE('',*,*,#220501,.F.); #306963=ORIENTED_EDGE('',*,*,#220503,.F.); #306964=ORIENTED_EDGE('',*,*,#220504,.T.); #306965=ORIENTED_EDGE('',*,*,#220505,.F.); #306966=ORIENTED_EDGE('',*,*,#220504,.F.); #306967=ORIENTED_EDGE('',*,*,#220506,.F.); #306968=ORIENTED_EDGE('',*,*,#220507,.T.); #306969=ORIENTED_EDGE('',*,*,#220508,.F.); #306970=ORIENTED_EDGE('',*,*,#220507,.F.); #306971=ORIENTED_EDGE('',*,*,#220509,.F.); #306972=ORIENTED_EDGE('',*,*,#220510,.T.); #306973=ORIENTED_EDGE('',*,*,#220511,.F.); #306974=ORIENTED_EDGE('',*,*,#220510,.F.); #306975=ORIENTED_EDGE('',*,*,#220512,.F.); #306976=ORIENTED_EDGE('',*,*,#220513,.T.); #306977=ORIENTED_EDGE('',*,*,#220514,.F.); #306978=ORIENTED_EDGE('',*,*,#220513,.F.); #306979=ORIENTED_EDGE('',*,*,#220515,.F.); #306980=ORIENTED_EDGE('',*,*,#220516,.T.); #306981=ORIENTED_EDGE('',*,*,#220517,.F.); #306982=ORIENTED_EDGE('',*,*,#220516,.F.); #306983=ORIENTED_EDGE('',*,*,#220518,.F.); #306984=ORIENTED_EDGE('',*,*,#220519,.T.); #306985=ORIENTED_EDGE('',*,*,#220520,.F.); #306986=ORIENTED_EDGE('',*,*,#220519,.F.); #306987=ORIENTED_EDGE('',*,*,#220521,.F.); #306988=ORIENTED_EDGE('',*,*,#220522,.T.); #306989=ORIENTED_EDGE('',*,*,#220523,.F.); #306990=ORIENTED_EDGE('',*,*,#220522,.F.); #306991=ORIENTED_EDGE('',*,*,#220524,.F.); #306992=ORIENTED_EDGE('',*,*,#220525,.T.); #306993=ORIENTED_EDGE('',*,*,#220526,.F.); #306994=ORIENTED_EDGE('',*,*,#220525,.F.); #306995=ORIENTED_EDGE('',*,*,#220527,.F.); #306996=ORIENTED_EDGE('',*,*,#220528,.T.); #306997=ORIENTED_EDGE('',*,*,#220529,.F.); #306998=ORIENTED_EDGE('',*,*,#220528,.F.); #306999=ORIENTED_EDGE('',*,*,#220530,.F.); #307000=ORIENTED_EDGE('',*,*,#220531,.T.); #307001=ORIENTED_EDGE('',*,*,#220532,.F.); #307002=ORIENTED_EDGE('',*,*,#220531,.F.); #307003=ORIENTED_EDGE('',*,*,#220533,.F.); #307004=ORIENTED_EDGE('',*,*,#220534,.T.); #307005=ORIENTED_EDGE('',*,*,#220535,.F.); #307006=ORIENTED_EDGE('',*,*,#220534,.F.); #307007=ORIENTED_EDGE('',*,*,#220536,.F.); #307008=ORIENTED_EDGE('',*,*,#220537,.T.); #307009=ORIENTED_EDGE('',*,*,#220538,.F.); #307010=ORIENTED_EDGE('',*,*,#220537,.F.); #307011=ORIENTED_EDGE('',*,*,#220539,.F.); #307012=ORIENTED_EDGE('',*,*,#220540,.T.); #307013=ORIENTED_EDGE('',*,*,#220541,.F.); #307014=ORIENTED_EDGE('',*,*,#220540,.F.); #307015=ORIENTED_EDGE('',*,*,#220542,.F.); #307016=ORIENTED_EDGE('',*,*,#220543,.T.); #307017=ORIENTED_EDGE('',*,*,#220544,.F.); #307018=ORIENTED_EDGE('',*,*,#220543,.F.); #307019=ORIENTED_EDGE('',*,*,#220545,.F.); #307020=ORIENTED_EDGE('',*,*,#220546,.T.); #307021=ORIENTED_EDGE('',*,*,#220547,.F.); #307022=ORIENTED_EDGE('',*,*,#220546,.F.); #307023=ORIENTED_EDGE('',*,*,#220548,.F.); #307024=ORIENTED_EDGE('',*,*,#220549,.T.); #307025=ORIENTED_EDGE('',*,*,#220550,.F.); #307026=ORIENTED_EDGE('',*,*,#220549,.F.); #307027=ORIENTED_EDGE('',*,*,#220551,.F.); #307028=ORIENTED_EDGE('',*,*,#220552,.T.); #307029=ORIENTED_EDGE('',*,*,#220553,.F.); #307030=ORIENTED_EDGE('',*,*,#220552,.F.); #307031=ORIENTED_EDGE('',*,*,#220554,.F.); #307032=ORIENTED_EDGE('',*,*,#220555,.T.); #307033=ORIENTED_EDGE('',*,*,#220556,.F.); #307034=ORIENTED_EDGE('',*,*,#220555,.F.); #307035=ORIENTED_EDGE('',*,*,#220557,.F.); #307036=ORIENTED_EDGE('',*,*,#220558,.T.); #307037=ORIENTED_EDGE('',*,*,#220559,.F.); #307038=ORIENTED_EDGE('',*,*,#220558,.F.); #307039=ORIENTED_EDGE('',*,*,#220560,.F.); #307040=ORIENTED_EDGE('',*,*,#220561,.T.); #307041=ORIENTED_EDGE('',*,*,#220562,.F.); #307042=ORIENTED_EDGE('',*,*,#220561,.F.); #307043=ORIENTED_EDGE('',*,*,#220563,.F.); #307044=ORIENTED_EDGE('',*,*,#220564,.T.); #307045=ORIENTED_EDGE('',*,*,#220565,.F.); #307046=ORIENTED_EDGE('',*,*,#220564,.F.); #307047=ORIENTED_EDGE('',*,*,#220566,.F.); #307048=ORIENTED_EDGE('',*,*,#220567,.T.); #307049=ORIENTED_EDGE('',*,*,#220568,.F.); #307050=ORIENTED_EDGE('',*,*,#220567,.F.); #307051=ORIENTED_EDGE('',*,*,#220569,.F.); #307052=ORIENTED_EDGE('',*,*,#220570,.T.); #307053=ORIENTED_EDGE('',*,*,#220571,.F.); #307054=ORIENTED_EDGE('',*,*,#220570,.F.); #307055=ORIENTED_EDGE('',*,*,#220572,.F.); #307056=ORIENTED_EDGE('',*,*,#220573,.T.); #307057=ORIENTED_EDGE('',*,*,#220574,.F.); #307058=ORIENTED_EDGE('',*,*,#220573,.F.); #307059=ORIENTED_EDGE('',*,*,#220575,.F.); #307060=ORIENTED_EDGE('',*,*,#220576,.T.); #307061=ORIENTED_EDGE('',*,*,#220577,.F.); #307062=ORIENTED_EDGE('',*,*,#220576,.F.); #307063=ORIENTED_EDGE('',*,*,#220578,.F.); #307064=ORIENTED_EDGE('',*,*,#220579,.T.); #307065=ORIENTED_EDGE('',*,*,#220580,.F.); #307066=ORIENTED_EDGE('',*,*,#220579,.F.); #307067=ORIENTED_EDGE('',*,*,#220581,.F.); #307068=ORIENTED_EDGE('',*,*,#220582,.T.); #307069=ORIENTED_EDGE('',*,*,#220583,.F.); #307070=ORIENTED_EDGE('',*,*,#220582,.F.); #307071=ORIENTED_EDGE('',*,*,#220584,.F.); #307072=ORIENTED_EDGE('',*,*,#220585,.T.); #307073=ORIENTED_EDGE('',*,*,#220586,.F.); #307074=ORIENTED_EDGE('',*,*,#220585,.F.); #307075=ORIENTED_EDGE('',*,*,#220587,.F.); #307076=ORIENTED_EDGE('',*,*,#220588,.T.); #307077=ORIENTED_EDGE('',*,*,#220589,.F.); #307078=ORIENTED_EDGE('',*,*,#220588,.F.); #307079=ORIENTED_EDGE('',*,*,#220590,.F.); #307080=ORIENTED_EDGE('',*,*,#220591,.T.); #307081=ORIENTED_EDGE('',*,*,#220592,.F.); #307082=ORIENTED_EDGE('',*,*,#220591,.F.); #307083=ORIENTED_EDGE('',*,*,#220593,.F.); #307084=ORIENTED_EDGE('',*,*,#220594,.T.); #307085=ORIENTED_EDGE('',*,*,#220595,.F.); #307086=ORIENTED_EDGE('',*,*,#220594,.F.); #307087=ORIENTED_EDGE('',*,*,#220596,.F.); #307088=ORIENTED_EDGE('',*,*,#220597,.T.); #307089=ORIENTED_EDGE('',*,*,#220598,.F.); #307090=ORIENTED_EDGE('',*,*,#220597,.F.); #307091=ORIENTED_EDGE('',*,*,#220599,.F.); #307092=ORIENTED_EDGE('',*,*,#220600,.T.); #307093=ORIENTED_EDGE('',*,*,#220601,.F.); #307094=ORIENTED_EDGE('',*,*,#220600,.F.); #307095=ORIENTED_EDGE('',*,*,#220602,.F.); #307096=ORIENTED_EDGE('',*,*,#220603,.T.); #307097=ORIENTED_EDGE('',*,*,#220604,.F.); #307098=ORIENTED_EDGE('',*,*,#220603,.F.); #307099=ORIENTED_EDGE('',*,*,#220605,.F.); #307100=ORIENTED_EDGE('',*,*,#220606,.T.); #307101=ORIENTED_EDGE('',*,*,#220607,.F.); #307102=ORIENTED_EDGE('',*,*,#220606,.F.); #307103=ORIENTED_EDGE('',*,*,#220608,.F.); #307104=ORIENTED_EDGE('',*,*,#220609,.T.); #307105=ORIENTED_EDGE('',*,*,#220610,.F.); #307106=ORIENTED_EDGE('',*,*,#220609,.F.); #307107=ORIENTED_EDGE('',*,*,#220611,.F.); #307108=ORIENTED_EDGE('',*,*,#220612,.T.); #307109=ORIENTED_EDGE('',*,*,#220613,.F.); #307110=ORIENTED_EDGE('',*,*,#220612,.F.); #307111=ORIENTED_EDGE('',*,*,#220614,.F.); #307112=ORIENTED_EDGE('',*,*,#220615,.T.); #307113=ORIENTED_EDGE('',*,*,#220616,.F.); #307114=ORIENTED_EDGE('',*,*,#220615,.F.); #307115=ORIENTED_EDGE('',*,*,#220617,.F.); #307116=ORIENTED_EDGE('',*,*,#220618,.T.); #307117=ORIENTED_EDGE('',*,*,#220619,.F.); #307118=ORIENTED_EDGE('',*,*,#220618,.F.); #307119=ORIENTED_EDGE('',*,*,#220620,.F.); #307120=ORIENTED_EDGE('',*,*,#220621,.T.); #307121=ORIENTED_EDGE('',*,*,#220622,.F.); #307122=ORIENTED_EDGE('',*,*,#220621,.F.); #307123=ORIENTED_EDGE('',*,*,#220623,.F.); #307124=ORIENTED_EDGE('',*,*,#220624,.T.); #307125=ORIENTED_EDGE('',*,*,#220625,.F.); #307126=ORIENTED_EDGE('',*,*,#220624,.F.); #307127=ORIENTED_EDGE('',*,*,#220626,.F.); #307128=ORIENTED_EDGE('',*,*,#220627,.T.); #307129=ORIENTED_EDGE('',*,*,#220628,.F.); #307130=ORIENTED_EDGE('',*,*,#220627,.F.); #307131=ORIENTED_EDGE('',*,*,#220629,.F.); #307132=ORIENTED_EDGE('',*,*,#220630,.T.); #307133=ORIENTED_EDGE('',*,*,#220631,.F.); #307134=ORIENTED_EDGE('',*,*,#220630,.F.); #307135=ORIENTED_EDGE('',*,*,#220632,.F.); #307136=ORIENTED_EDGE('',*,*,#220633,.T.); #307137=ORIENTED_EDGE('',*,*,#220634,.F.); #307138=ORIENTED_EDGE('',*,*,#220633,.F.); #307139=ORIENTED_EDGE('',*,*,#220635,.F.); #307140=ORIENTED_EDGE('',*,*,#220636,.T.); #307141=ORIENTED_EDGE('',*,*,#220637,.F.); #307142=ORIENTED_EDGE('',*,*,#220636,.F.); #307143=ORIENTED_EDGE('',*,*,#220638,.F.); #307144=ORIENTED_EDGE('',*,*,#220639,.T.); #307145=ORIENTED_EDGE('',*,*,#220640,.F.); #307146=ORIENTED_EDGE('',*,*,#220639,.F.); #307147=ORIENTED_EDGE('',*,*,#220641,.F.); #307148=ORIENTED_EDGE('',*,*,#220642,.T.); #307149=ORIENTED_EDGE('',*,*,#220643,.F.); #307150=ORIENTED_EDGE('',*,*,#220642,.F.); #307151=ORIENTED_EDGE('',*,*,#220644,.F.); #307152=ORIENTED_EDGE('',*,*,#220645,.T.); #307153=ORIENTED_EDGE('',*,*,#220646,.F.); #307154=ORIENTED_EDGE('',*,*,#220645,.F.); #307155=ORIENTED_EDGE('',*,*,#220647,.F.); #307156=ORIENTED_EDGE('',*,*,#220648,.T.); #307157=ORIENTED_EDGE('',*,*,#220649,.F.); #307158=ORIENTED_EDGE('',*,*,#220648,.F.); #307159=ORIENTED_EDGE('',*,*,#220650,.F.); #307160=ORIENTED_EDGE('',*,*,#220651,.T.); #307161=ORIENTED_EDGE('',*,*,#220652,.F.); #307162=ORIENTED_EDGE('',*,*,#220651,.F.); #307163=ORIENTED_EDGE('',*,*,#220653,.F.); #307164=ORIENTED_EDGE('',*,*,#220654,.T.); #307165=ORIENTED_EDGE('',*,*,#220655,.F.); #307166=ORIENTED_EDGE('',*,*,#220654,.F.); #307167=ORIENTED_EDGE('',*,*,#220656,.F.); #307168=ORIENTED_EDGE('',*,*,#220657,.T.); #307169=ORIENTED_EDGE('',*,*,#220658,.F.); #307170=ORIENTED_EDGE('',*,*,#220657,.F.); #307171=ORIENTED_EDGE('',*,*,#220659,.F.); #307172=ORIENTED_EDGE('',*,*,#220660,.T.); #307173=ORIENTED_EDGE('',*,*,#220661,.F.); #307174=ORIENTED_EDGE('',*,*,#220660,.F.); #307175=ORIENTED_EDGE('',*,*,#220662,.F.); #307176=ORIENTED_EDGE('',*,*,#220663,.T.); #307177=ORIENTED_EDGE('',*,*,#220664,.F.); #307178=ORIENTED_EDGE('',*,*,#220663,.F.); #307179=ORIENTED_EDGE('',*,*,#220665,.F.); #307180=ORIENTED_EDGE('',*,*,#220666,.T.); #307181=ORIENTED_EDGE('',*,*,#220667,.F.); #307182=ORIENTED_EDGE('',*,*,#220666,.F.); #307183=ORIENTED_EDGE('',*,*,#220668,.F.); #307184=ORIENTED_EDGE('',*,*,#220669,.T.); #307185=ORIENTED_EDGE('',*,*,#220670,.F.); #307186=ORIENTED_EDGE('',*,*,#220669,.F.); #307187=ORIENTED_EDGE('',*,*,#220671,.F.); #307188=ORIENTED_EDGE('',*,*,#220672,.T.); #307189=ORIENTED_EDGE('',*,*,#220673,.F.); #307190=ORIENTED_EDGE('',*,*,#220672,.F.); #307191=ORIENTED_EDGE('',*,*,#220674,.F.); #307192=ORIENTED_EDGE('',*,*,#220675,.T.); #307193=ORIENTED_EDGE('',*,*,#220676,.F.); #307194=ORIENTED_EDGE('',*,*,#220675,.F.); #307195=ORIENTED_EDGE('',*,*,#220677,.F.); #307196=ORIENTED_EDGE('',*,*,#220678,.T.); #307197=ORIENTED_EDGE('',*,*,#220679,.F.); #307198=ORIENTED_EDGE('',*,*,#220678,.F.); #307199=ORIENTED_EDGE('',*,*,#220680,.F.); #307200=ORIENTED_EDGE('',*,*,#220681,.T.); #307201=ORIENTED_EDGE('',*,*,#220682,.F.); #307202=ORIENTED_EDGE('',*,*,#220681,.F.); #307203=ORIENTED_EDGE('',*,*,#220683,.F.); #307204=ORIENTED_EDGE('',*,*,#220684,.T.); #307205=ORIENTED_EDGE('',*,*,#220685,.F.); #307206=ORIENTED_EDGE('',*,*,#220684,.F.); #307207=ORIENTED_EDGE('',*,*,#220686,.F.); #307208=ORIENTED_EDGE('',*,*,#220687,.T.); #307209=ORIENTED_EDGE('',*,*,#220688,.F.); #307210=ORIENTED_EDGE('',*,*,#220687,.F.); #307211=ORIENTED_EDGE('',*,*,#220689,.F.); #307212=ORIENTED_EDGE('',*,*,#220690,.T.); #307213=ORIENTED_EDGE('',*,*,#220691,.F.); #307214=ORIENTED_EDGE('',*,*,#220690,.F.); #307215=ORIENTED_EDGE('',*,*,#220692,.F.); #307216=ORIENTED_EDGE('',*,*,#220693,.T.); #307217=ORIENTED_EDGE('',*,*,#220694,.F.); #307218=ORIENTED_EDGE('',*,*,#220693,.F.); #307219=ORIENTED_EDGE('',*,*,#220695,.F.); #307220=ORIENTED_EDGE('',*,*,#220696,.T.); #307221=ORIENTED_EDGE('',*,*,#220697,.F.); #307222=ORIENTED_EDGE('',*,*,#220696,.F.); #307223=ORIENTED_EDGE('',*,*,#220698,.F.); #307224=ORIENTED_EDGE('',*,*,#220699,.T.); #307225=ORIENTED_EDGE('',*,*,#220700,.F.); #307226=ORIENTED_EDGE('',*,*,#220699,.F.); #307227=ORIENTED_EDGE('',*,*,#220701,.F.); #307228=ORIENTED_EDGE('',*,*,#220702,.T.); #307229=ORIENTED_EDGE('',*,*,#220703,.F.); #307230=ORIENTED_EDGE('',*,*,#220702,.F.); #307231=ORIENTED_EDGE('',*,*,#220704,.F.); #307232=ORIENTED_EDGE('',*,*,#220705,.T.); #307233=ORIENTED_EDGE('',*,*,#220706,.F.); #307234=ORIENTED_EDGE('',*,*,#220705,.F.); #307235=ORIENTED_EDGE('',*,*,#220707,.F.); #307236=ORIENTED_EDGE('',*,*,#220708,.T.); #307237=ORIENTED_EDGE('',*,*,#220709,.F.); #307238=ORIENTED_EDGE('',*,*,#220708,.F.); #307239=ORIENTED_EDGE('',*,*,#220710,.F.); #307240=ORIENTED_EDGE('',*,*,#220711,.T.); #307241=ORIENTED_EDGE('',*,*,#220712,.F.); #307242=ORIENTED_EDGE('',*,*,#220711,.F.); #307243=ORIENTED_EDGE('',*,*,#220713,.F.); #307244=ORIENTED_EDGE('',*,*,#220714,.T.); #307245=ORIENTED_EDGE('',*,*,#220715,.F.); #307246=ORIENTED_EDGE('',*,*,#220714,.F.); #307247=ORIENTED_EDGE('',*,*,#220716,.F.); #307248=ORIENTED_EDGE('',*,*,#220717,.T.); #307249=ORIENTED_EDGE('',*,*,#220718,.F.); #307250=ORIENTED_EDGE('',*,*,#220717,.F.); #307251=ORIENTED_EDGE('',*,*,#220719,.F.); #307252=ORIENTED_EDGE('',*,*,#220720,.T.); #307253=ORIENTED_EDGE('',*,*,#220721,.F.); #307254=ORIENTED_EDGE('',*,*,#220720,.F.); #307255=ORIENTED_EDGE('',*,*,#220722,.F.); #307256=ORIENTED_EDGE('',*,*,#220723,.T.); #307257=ORIENTED_EDGE('',*,*,#220724,.F.); #307258=ORIENTED_EDGE('',*,*,#220723,.F.); #307259=ORIENTED_EDGE('',*,*,#220725,.F.); #307260=ORIENTED_EDGE('',*,*,#220726,.T.); #307261=ORIENTED_EDGE('',*,*,#220727,.F.); #307262=ORIENTED_EDGE('',*,*,#220726,.F.); #307263=ORIENTED_EDGE('',*,*,#220728,.F.); #307264=ORIENTED_EDGE('',*,*,#220729,.T.); #307265=ORIENTED_EDGE('',*,*,#220730,.F.); #307266=ORIENTED_EDGE('',*,*,#220729,.F.); #307267=ORIENTED_EDGE('',*,*,#220731,.F.); #307268=ORIENTED_EDGE('',*,*,#220732,.T.); #307269=ORIENTED_EDGE('',*,*,#220733,.F.); #307270=ORIENTED_EDGE('',*,*,#220732,.F.); #307271=ORIENTED_EDGE('',*,*,#220734,.F.); #307272=ORIENTED_EDGE('',*,*,#220735,.T.); #307273=ORIENTED_EDGE('',*,*,#220736,.F.); #307274=ORIENTED_EDGE('',*,*,#220735,.F.); #307275=ORIENTED_EDGE('',*,*,#220737,.F.); #307276=ORIENTED_EDGE('',*,*,#220738,.T.); #307277=ORIENTED_EDGE('',*,*,#220739,.F.); #307278=ORIENTED_EDGE('',*,*,#220738,.F.); #307279=ORIENTED_EDGE('',*,*,#220740,.F.); #307280=ORIENTED_EDGE('',*,*,#220741,.T.); #307281=ORIENTED_EDGE('',*,*,#220742,.F.); #307282=ORIENTED_EDGE('',*,*,#220741,.F.); #307283=ORIENTED_EDGE('',*,*,#220743,.F.); #307284=ORIENTED_EDGE('',*,*,#220744,.T.); #307285=ORIENTED_EDGE('',*,*,#220745,.F.); #307286=ORIENTED_EDGE('',*,*,#220744,.F.); #307287=ORIENTED_EDGE('',*,*,#220746,.F.); #307288=ORIENTED_EDGE('',*,*,#220747,.T.); #307289=ORIENTED_EDGE('',*,*,#220748,.F.); #307290=ORIENTED_EDGE('',*,*,#220747,.F.); #307291=ORIENTED_EDGE('',*,*,#220749,.F.); #307292=ORIENTED_EDGE('',*,*,#220750,.T.); #307293=ORIENTED_EDGE('',*,*,#220751,.F.); #307294=ORIENTED_EDGE('',*,*,#220750,.F.); #307295=ORIENTED_EDGE('',*,*,#220752,.F.); #307296=ORIENTED_EDGE('',*,*,#220753,.T.); #307297=ORIENTED_EDGE('',*,*,#220754,.F.); #307298=ORIENTED_EDGE('',*,*,#220753,.F.); #307299=ORIENTED_EDGE('',*,*,#220755,.F.); #307300=ORIENTED_EDGE('',*,*,#220756,.T.); #307301=ORIENTED_EDGE('',*,*,#220757,.F.); #307302=ORIENTED_EDGE('',*,*,#220756,.F.); #307303=ORIENTED_EDGE('',*,*,#220758,.F.); #307304=ORIENTED_EDGE('',*,*,#220759,.T.); #307305=ORIENTED_EDGE('',*,*,#220760,.F.); #307306=ORIENTED_EDGE('',*,*,#220759,.F.); #307307=ORIENTED_EDGE('',*,*,#220761,.F.); #307308=ORIENTED_EDGE('',*,*,#220762,.T.); #307309=ORIENTED_EDGE('',*,*,#220763,.F.); #307310=ORIENTED_EDGE('',*,*,#220762,.F.); #307311=ORIENTED_EDGE('',*,*,#220764,.F.); #307312=ORIENTED_EDGE('',*,*,#220765,.T.); #307313=ORIENTED_EDGE('',*,*,#220766,.F.); #307314=ORIENTED_EDGE('',*,*,#220765,.F.); #307315=ORIENTED_EDGE('',*,*,#220767,.F.); #307316=ORIENTED_EDGE('',*,*,#220768,.T.); #307317=ORIENTED_EDGE('',*,*,#220769,.F.); #307318=ORIENTED_EDGE('',*,*,#220768,.F.); #307319=ORIENTED_EDGE('',*,*,#220770,.F.); #307320=ORIENTED_EDGE('',*,*,#220771,.T.); #307321=ORIENTED_EDGE('',*,*,#220772,.F.); #307322=ORIENTED_EDGE('',*,*,#220771,.F.); #307323=ORIENTED_EDGE('',*,*,#220773,.F.); #307324=ORIENTED_EDGE('',*,*,#220774,.T.); #307325=ORIENTED_EDGE('',*,*,#220775,.F.); #307326=ORIENTED_EDGE('',*,*,#220774,.F.); #307327=ORIENTED_EDGE('',*,*,#220776,.F.); #307328=ORIENTED_EDGE('',*,*,#220777,.T.); #307329=ORIENTED_EDGE('',*,*,#220778,.F.); #307330=ORIENTED_EDGE('',*,*,#220777,.F.); #307331=ORIENTED_EDGE('',*,*,#220779,.F.); #307332=ORIENTED_EDGE('',*,*,#220780,.T.); #307333=ORIENTED_EDGE('',*,*,#220781,.F.); #307334=ORIENTED_EDGE('',*,*,#220780,.F.); #307335=ORIENTED_EDGE('',*,*,#220782,.F.); #307336=ORIENTED_EDGE('',*,*,#220783,.T.); #307337=ORIENTED_EDGE('',*,*,#220784,.F.); #307338=ORIENTED_EDGE('',*,*,#220783,.F.); #307339=ORIENTED_EDGE('',*,*,#220785,.F.); #307340=ORIENTED_EDGE('',*,*,#220786,.T.); #307341=ORIENTED_EDGE('',*,*,#220787,.F.); #307342=ORIENTED_EDGE('',*,*,#220786,.F.); #307343=ORIENTED_EDGE('',*,*,#220788,.F.); #307344=ORIENTED_EDGE('',*,*,#220789,.T.); #307345=ORIENTED_EDGE('',*,*,#220790,.F.); #307346=ORIENTED_EDGE('',*,*,#220789,.F.); #307347=ORIENTED_EDGE('',*,*,#220791,.F.); #307348=ORIENTED_EDGE('',*,*,#220792,.T.); #307349=ORIENTED_EDGE('',*,*,#220793,.F.); #307350=ORIENTED_EDGE('',*,*,#220792,.F.); #307351=ORIENTED_EDGE('',*,*,#220794,.F.); #307352=ORIENTED_EDGE('',*,*,#220795,.T.); #307353=ORIENTED_EDGE('',*,*,#220796,.F.); #307354=ORIENTED_EDGE('',*,*,#220795,.F.); #307355=ORIENTED_EDGE('',*,*,#220797,.F.); #307356=ORIENTED_EDGE('',*,*,#220798,.T.); #307357=ORIENTED_EDGE('',*,*,#220799,.F.); #307358=ORIENTED_EDGE('',*,*,#220798,.F.); #307359=ORIENTED_EDGE('',*,*,#220800,.F.); #307360=ORIENTED_EDGE('',*,*,#220801,.T.); #307361=ORIENTED_EDGE('',*,*,#220802,.F.); #307362=ORIENTED_EDGE('',*,*,#220801,.F.); #307363=ORIENTED_EDGE('',*,*,#220803,.F.); #307364=ORIENTED_EDGE('',*,*,#220804,.T.); #307365=ORIENTED_EDGE('',*,*,#220805,.T.); #307366=ORIENTED_EDGE('',*,*,#220804,.F.); #307367=ORIENTED_EDGE('',*,*,#220803,.T.); #307368=ORIENTED_EDGE('',*,*,#219798,.T.); #307369=ORIENTED_EDGE('',*,*,#219801,.T.); #307370=ORIENTED_EDGE('',*,*,#219806,.T.); #307371=ORIENTED_EDGE('',*,*,#219809,.T.); #307372=ORIENTED_EDGE('',*,*,#219812,.T.); #307373=ORIENTED_EDGE('',*,*,#219815,.T.); #307374=ORIENTED_EDGE('',*,*,#219818,.T.); #307375=ORIENTED_EDGE('',*,*,#219821,.T.); #307376=ORIENTED_EDGE('',*,*,#219824,.T.); #307377=ORIENTED_EDGE('',*,*,#219827,.T.); #307378=ORIENTED_EDGE('',*,*,#219830,.T.); #307379=ORIENTED_EDGE('',*,*,#219833,.T.); #307380=ORIENTED_EDGE('',*,*,#219836,.T.); #307381=ORIENTED_EDGE('',*,*,#219839,.T.); #307382=ORIENTED_EDGE('',*,*,#219842,.T.); #307383=ORIENTED_EDGE('',*,*,#219845,.T.); #307384=ORIENTED_EDGE('',*,*,#219848,.T.); #307385=ORIENTED_EDGE('',*,*,#219851,.T.); #307386=ORIENTED_EDGE('',*,*,#219854,.T.); #307387=ORIENTED_EDGE('',*,*,#219857,.T.); #307388=ORIENTED_EDGE('',*,*,#219860,.T.); #307389=ORIENTED_EDGE('',*,*,#219863,.T.); #307390=ORIENTED_EDGE('',*,*,#219866,.T.); #307391=ORIENTED_EDGE('',*,*,#219869,.T.); #307392=ORIENTED_EDGE('',*,*,#219872,.T.); #307393=ORIENTED_EDGE('',*,*,#219875,.T.); #307394=ORIENTED_EDGE('',*,*,#219878,.T.); #307395=ORIENTED_EDGE('',*,*,#219881,.T.); #307396=ORIENTED_EDGE('',*,*,#219884,.T.); #307397=ORIENTED_EDGE('',*,*,#219887,.T.); #307398=ORIENTED_EDGE('',*,*,#219890,.T.); #307399=ORIENTED_EDGE('',*,*,#219893,.T.); #307400=ORIENTED_EDGE('',*,*,#219896,.T.); #307401=ORIENTED_EDGE('',*,*,#219899,.T.); #307402=ORIENTED_EDGE('',*,*,#219902,.T.); #307403=ORIENTED_EDGE('',*,*,#219905,.T.); #307404=ORIENTED_EDGE('',*,*,#219908,.T.); #307405=ORIENTED_EDGE('',*,*,#219911,.T.); #307406=ORIENTED_EDGE('',*,*,#219914,.T.); #307407=ORIENTED_EDGE('',*,*,#219917,.T.); #307408=ORIENTED_EDGE('',*,*,#219920,.T.); #307409=ORIENTED_EDGE('',*,*,#219923,.T.); #307410=ORIENTED_EDGE('',*,*,#219926,.T.); #307411=ORIENTED_EDGE('',*,*,#219929,.T.); #307412=ORIENTED_EDGE('',*,*,#219932,.T.); #307413=ORIENTED_EDGE('',*,*,#219935,.T.); #307414=ORIENTED_EDGE('',*,*,#219938,.T.); #307415=ORIENTED_EDGE('',*,*,#219941,.T.); #307416=ORIENTED_EDGE('',*,*,#219944,.T.); #307417=ORIENTED_EDGE('',*,*,#219947,.T.); #307418=ORIENTED_EDGE('',*,*,#219950,.T.); #307419=ORIENTED_EDGE('',*,*,#219953,.T.); #307420=ORIENTED_EDGE('',*,*,#219956,.T.); #307421=ORIENTED_EDGE('',*,*,#219959,.T.); #307422=ORIENTED_EDGE('',*,*,#219962,.T.); #307423=ORIENTED_EDGE('',*,*,#219965,.T.); #307424=ORIENTED_EDGE('',*,*,#219968,.T.); #307425=ORIENTED_EDGE('',*,*,#219971,.T.); #307426=ORIENTED_EDGE('',*,*,#219974,.T.); #307427=ORIENTED_EDGE('',*,*,#219977,.T.); #307428=ORIENTED_EDGE('',*,*,#219980,.T.); #307429=ORIENTED_EDGE('',*,*,#219983,.T.); #307430=ORIENTED_EDGE('',*,*,#219986,.T.); #307431=ORIENTED_EDGE('',*,*,#219989,.T.); #307432=ORIENTED_EDGE('',*,*,#219992,.T.); #307433=ORIENTED_EDGE('',*,*,#219995,.T.); #307434=ORIENTED_EDGE('',*,*,#219998,.T.); #307435=ORIENTED_EDGE('',*,*,#220001,.T.); #307436=ORIENTED_EDGE('',*,*,#220004,.T.); #307437=ORIENTED_EDGE('',*,*,#220007,.T.); #307438=ORIENTED_EDGE('',*,*,#220010,.T.); #307439=ORIENTED_EDGE('',*,*,#220013,.T.); #307440=ORIENTED_EDGE('',*,*,#220016,.T.); #307441=ORIENTED_EDGE('',*,*,#220019,.T.); #307442=ORIENTED_EDGE('',*,*,#220022,.T.); #307443=ORIENTED_EDGE('',*,*,#220025,.T.); #307444=ORIENTED_EDGE('',*,*,#220028,.T.); #307445=ORIENTED_EDGE('',*,*,#220031,.T.); #307446=ORIENTED_EDGE('',*,*,#220034,.T.); #307447=ORIENTED_EDGE('',*,*,#220037,.T.); #307448=ORIENTED_EDGE('',*,*,#220040,.T.); #307449=ORIENTED_EDGE('',*,*,#220043,.T.); #307450=ORIENTED_EDGE('',*,*,#220046,.T.); #307451=ORIENTED_EDGE('',*,*,#220049,.T.); #307452=ORIENTED_EDGE('',*,*,#220052,.T.); #307453=ORIENTED_EDGE('',*,*,#220055,.T.); #307454=ORIENTED_EDGE('',*,*,#220058,.T.); #307455=ORIENTED_EDGE('',*,*,#220061,.T.); #307456=ORIENTED_EDGE('',*,*,#220064,.T.); #307457=ORIENTED_EDGE('',*,*,#220067,.T.); #307458=ORIENTED_EDGE('',*,*,#220070,.T.); #307459=ORIENTED_EDGE('',*,*,#220073,.T.); #307460=ORIENTED_EDGE('',*,*,#220076,.T.); #307461=ORIENTED_EDGE('',*,*,#220079,.T.); #307462=ORIENTED_EDGE('',*,*,#220082,.T.); #307463=ORIENTED_EDGE('',*,*,#220085,.T.); #307464=ORIENTED_EDGE('',*,*,#220088,.T.); #307465=ORIENTED_EDGE('',*,*,#220091,.T.); #307466=ORIENTED_EDGE('',*,*,#220094,.T.); #307467=ORIENTED_EDGE('',*,*,#220097,.T.); #307468=ORIENTED_EDGE('',*,*,#220100,.T.); #307469=ORIENTED_EDGE('',*,*,#220103,.T.); #307470=ORIENTED_EDGE('',*,*,#220106,.T.); #307471=ORIENTED_EDGE('',*,*,#220109,.T.); #307472=ORIENTED_EDGE('',*,*,#220112,.T.); #307473=ORIENTED_EDGE('',*,*,#220115,.T.); #307474=ORIENTED_EDGE('',*,*,#220118,.T.); #307475=ORIENTED_EDGE('',*,*,#220121,.T.); #307476=ORIENTED_EDGE('',*,*,#220124,.T.); #307477=ORIENTED_EDGE('',*,*,#220127,.T.); #307478=ORIENTED_EDGE('',*,*,#220130,.T.); #307479=ORIENTED_EDGE('',*,*,#220133,.T.); #307480=ORIENTED_EDGE('',*,*,#220136,.T.); #307481=ORIENTED_EDGE('',*,*,#220139,.T.); #307482=ORIENTED_EDGE('',*,*,#220142,.T.); #307483=ORIENTED_EDGE('',*,*,#220145,.T.); #307484=ORIENTED_EDGE('',*,*,#220148,.T.); #307485=ORIENTED_EDGE('',*,*,#220151,.T.); #307486=ORIENTED_EDGE('',*,*,#220154,.T.); #307487=ORIENTED_EDGE('',*,*,#220157,.T.); #307488=ORIENTED_EDGE('',*,*,#220160,.T.); #307489=ORIENTED_EDGE('',*,*,#220163,.T.); #307490=ORIENTED_EDGE('',*,*,#220166,.T.); #307491=ORIENTED_EDGE('',*,*,#220169,.T.); #307492=ORIENTED_EDGE('',*,*,#220172,.T.); #307493=ORIENTED_EDGE('',*,*,#220175,.T.); #307494=ORIENTED_EDGE('',*,*,#220178,.T.); #307495=ORIENTED_EDGE('',*,*,#220181,.T.); #307496=ORIENTED_EDGE('',*,*,#220184,.T.); #307497=ORIENTED_EDGE('',*,*,#220187,.T.); #307498=ORIENTED_EDGE('',*,*,#220190,.T.); #307499=ORIENTED_EDGE('',*,*,#220193,.T.); #307500=ORIENTED_EDGE('',*,*,#220196,.T.); #307501=ORIENTED_EDGE('',*,*,#220199,.T.); #307502=ORIENTED_EDGE('',*,*,#220202,.T.); #307503=ORIENTED_EDGE('',*,*,#220205,.T.); #307504=ORIENTED_EDGE('',*,*,#220208,.T.); #307505=ORIENTED_EDGE('',*,*,#220211,.T.); #307506=ORIENTED_EDGE('',*,*,#220214,.T.); #307507=ORIENTED_EDGE('',*,*,#220217,.T.); #307508=ORIENTED_EDGE('',*,*,#220220,.T.); #307509=ORIENTED_EDGE('',*,*,#220223,.T.); #307510=ORIENTED_EDGE('',*,*,#220226,.T.); #307511=ORIENTED_EDGE('',*,*,#220229,.T.); #307512=ORIENTED_EDGE('',*,*,#220232,.T.); #307513=ORIENTED_EDGE('',*,*,#220235,.T.); #307514=ORIENTED_EDGE('',*,*,#220238,.T.); #307515=ORIENTED_EDGE('',*,*,#220241,.T.); #307516=ORIENTED_EDGE('',*,*,#220244,.T.); #307517=ORIENTED_EDGE('',*,*,#220247,.T.); #307518=ORIENTED_EDGE('',*,*,#220250,.T.); #307519=ORIENTED_EDGE('',*,*,#220253,.T.); #307520=ORIENTED_EDGE('',*,*,#220256,.T.); #307521=ORIENTED_EDGE('',*,*,#220259,.T.); #307522=ORIENTED_EDGE('',*,*,#220262,.T.); #307523=ORIENTED_EDGE('',*,*,#220265,.T.); #307524=ORIENTED_EDGE('',*,*,#220268,.T.); #307525=ORIENTED_EDGE('',*,*,#220271,.T.); #307526=ORIENTED_EDGE('',*,*,#220274,.T.); #307527=ORIENTED_EDGE('',*,*,#220277,.T.); #307528=ORIENTED_EDGE('',*,*,#220280,.T.); #307529=ORIENTED_EDGE('',*,*,#220283,.T.); #307530=ORIENTED_EDGE('',*,*,#220286,.T.); #307531=ORIENTED_EDGE('',*,*,#220294,.T.); #307532=ORIENTED_EDGE('',*,*,#220297,.T.); #307533=ORIENTED_EDGE('',*,*,#220287,.T.); #307534=ORIENTED_EDGE('',*,*,#220291,.T.); #307535=ORIENTED_EDGE('',*,*,#220301,.T.); #307536=ORIENTED_EDGE('',*,*,#220309,.T.); #307537=ORIENTED_EDGE('',*,*,#220312,.T.); #307538=ORIENTED_EDGE('',*,*,#220302,.T.); #307539=ORIENTED_EDGE('',*,*,#220306,.T.); #307540=ORIENTED_EDGE('',*,*,#220316,.T.); #307541=ORIENTED_EDGE('',*,*,#220319,.T.); #307542=ORIENTED_EDGE('',*,*,#220322,.T.); #307543=ORIENTED_EDGE('',*,*,#220330,.T.); #307544=ORIENTED_EDGE('',*,*,#220333,.T.); #307545=ORIENTED_EDGE('',*,*,#220323,.T.); #307546=ORIENTED_EDGE('',*,*,#220327,.T.); #307547=ORIENTED_EDGE('',*,*,#220337,.T.); #307548=ORIENTED_EDGE('',*,*,#220340,.T.); #307549=ORIENTED_EDGE('',*,*,#220343,.T.); #307550=ORIENTED_EDGE('',*,*,#220346,.T.); #307551=ORIENTED_EDGE('',*,*,#220349,.T.); #307552=ORIENTED_EDGE('',*,*,#220352,.T.); #307553=ORIENTED_EDGE('',*,*,#220355,.T.); #307554=ORIENTED_EDGE('',*,*,#220358,.T.); #307555=ORIENTED_EDGE('',*,*,#220361,.T.); #307556=ORIENTED_EDGE('',*,*,#220364,.T.); #307557=ORIENTED_EDGE('',*,*,#220372,.T.); #307558=ORIENTED_EDGE('',*,*,#220375,.T.); #307559=ORIENTED_EDGE('',*,*,#220365,.T.); #307560=ORIENTED_EDGE('',*,*,#220369,.T.); #307561=ORIENTED_EDGE('',*,*,#220379,.T.); #307562=ORIENTED_EDGE('',*,*,#220387,.T.); #307563=ORIENTED_EDGE('',*,*,#220390,.T.); #307564=ORIENTED_EDGE('',*,*,#220380,.T.); #307565=ORIENTED_EDGE('',*,*,#220384,.T.); #307566=ORIENTED_EDGE('',*,*,#220394,.T.); #307567=ORIENTED_EDGE('',*,*,#220402,.T.); #307568=ORIENTED_EDGE('',*,*,#220405,.T.); #307569=ORIENTED_EDGE('',*,*,#220395,.T.); #307570=ORIENTED_EDGE('',*,*,#220399,.T.); #307571=ORIENTED_EDGE('',*,*,#220409,.T.); #307572=ORIENTED_EDGE('',*,*,#220412,.T.); #307573=ORIENTED_EDGE('',*,*,#220415,.T.); #307574=ORIENTED_EDGE('',*,*,#220418,.T.); #307575=ORIENTED_EDGE('',*,*,#220421,.T.); #307576=ORIENTED_EDGE('',*,*,#220424,.T.); #307577=ORIENTED_EDGE('',*,*,#220427,.T.); #307578=ORIENTED_EDGE('',*,*,#220430,.T.); #307579=ORIENTED_EDGE('',*,*,#220433,.T.); #307580=ORIENTED_EDGE('',*,*,#220436,.T.); #307581=ORIENTED_EDGE('',*,*,#220439,.T.); #307582=ORIENTED_EDGE('',*,*,#220442,.T.); #307583=ORIENTED_EDGE('',*,*,#220445,.T.); #307584=ORIENTED_EDGE('',*,*,#220448,.T.); #307585=ORIENTED_EDGE('',*,*,#220451,.T.); #307586=ORIENTED_EDGE('',*,*,#220454,.T.); #307587=ORIENTED_EDGE('',*,*,#220457,.T.); #307588=ORIENTED_EDGE('',*,*,#220460,.T.); #307589=ORIENTED_EDGE('',*,*,#220463,.T.); #307590=ORIENTED_EDGE('',*,*,#220466,.T.); #307591=ORIENTED_EDGE('',*,*,#220469,.T.); #307592=ORIENTED_EDGE('',*,*,#220472,.T.); #307593=ORIENTED_EDGE('',*,*,#220475,.T.); #307594=ORIENTED_EDGE('',*,*,#220478,.T.); #307595=ORIENTED_EDGE('',*,*,#220481,.T.); #307596=ORIENTED_EDGE('',*,*,#220484,.T.); #307597=ORIENTED_EDGE('',*,*,#220487,.T.); #307598=ORIENTED_EDGE('',*,*,#220490,.T.); #307599=ORIENTED_EDGE('',*,*,#220493,.T.); #307600=ORIENTED_EDGE('',*,*,#220496,.T.); #307601=ORIENTED_EDGE('',*,*,#220499,.T.); #307602=ORIENTED_EDGE('',*,*,#220502,.T.); #307603=ORIENTED_EDGE('',*,*,#220505,.T.); #307604=ORIENTED_EDGE('',*,*,#220508,.T.); #307605=ORIENTED_EDGE('',*,*,#220511,.T.); #307606=ORIENTED_EDGE('',*,*,#220514,.T.); #307607=ORIENTED_EDGE('',*,*,#220517,.T.); #307608=ORIENTED_EDGE('',*,*,#220520,.T.); #307609=ORIENTED_EDGE('',*,*,#220523,.T.); #307610=ORIENTED_EDGE('',*,*,#220526,.T.); #307611=ORIENTED_EDGE('',*,*,#220529,.T.); #307612=ORIENTED_EDGE('',*,*,#220532,.T.); #307613=ORIENTED_EDGE('',*,*,#220535,.T.); #307614=ORIENTED_EDGE('',*,*,#220538,.T.); #307615=ORIENTED_EDGE('',*,*,#220541,.T.); #307616=ORIENTED_EDGE('',*,*,#220544,.T.); #307617=ORIENTED_EDGE('',*,*,#220547,.T.); #307618=ORIENTED_EDGE('',*,*,#220550,.T.); #307619=ORIENTED_EDGE('',*,*,#220553,.T.); #307620=ORIENTED_EDGE('',*,*,#220556,.T.); #307621=ORIENTED_EDGE('',*,*,#220559,.T.); #307622=ORIENTED_EDGE('',*,*,#220562,.T.); #307623=ORIENTED_EDGE('',*,*,#220565,.T.); #307624=ORIENTED_EDGE('',*,*,#220568,.T.); #307625=ORIENTED_EDGE('',*,*,#220571,.T.); #307626=ORIENTED_EDGE('',*,*,#220574,.T.); #307627=ORIENTED_EDGE('',*,*,#220577,.T.); #307628=ORIENTED_EDGE('',*,*,#220580,.T.); #307629=ORIENTED_EDGE('',*,*,#220583,.T.); #307630=ORIENTED_EDGE('',*,*,#220586,.T.); #307631=ORIENTED_EDGE('',*,*,#220589,.T.); #307632=ORIENTED_EDGE('',*,*,#220592,.T.); #307633=ORIENTED_EDGE('',*,*,#220595,.T.); #307634=ORIENTED_EDGE('',*,*,#220598,.T.); #307635=ORIENTED_EDGE('',*,*,#220601,.T.); #307636=ORIENTED_EDGE('',*,*,#220604,.T.); #307637=ORIENTED_EDGE('',*,*,#220607,.T.); #307638=ORIENTED_EDGE('',*,*,#220610,.T.); #307639=ORIENTED_EDGE('',*,*,#220613,.T.); #307640=ORIENTED_EDGE('',*,*,#220616,.T.); #307641=ORIENTED_EDGE('',*,*,#220619,.T.); #307642=ORIENTED_EDGE('',*,*,#220622,.T.); #307643=ORIENTED_EDGE('',*,*,#220625,.T.); #307644=ORIENTED_EDGE('',*,*,#220628,.T.); #307645=ORIENTED_EDGE('',*,*,#220631,.T.); #307646=ORIENTED_EDGE('',*,*,#220634,.T.); #307647=ORIENTED_EDGE('',*,*,#220637,.T.); #307648=ORIENTED_EDGE('',*,*,#220640,.T.); #307649=ORIENTED_EDGE('',*,*,#220643,.T.); #307650=ORIENTED_EDGE('',*,*,#220646,.T.); #307651=ORIENTED_EDGE('',*,*,#220649,.T.); #307652=ORIENTED_EDGE('',*,*,#220652,.T.); #307653=ORIENTED_EDGE('',*,*,#220655,.T.); #307654=ORIENTED_EDGE('',*,*,#220658,.T.); #307655=ORIENTED_EDGE('',*,*,#220661,.T.); #307656=ORIENTED_EDGE('',*,*,#220664,.T.); #307657=ORIENTED_EDGE('',*,*,#220667,.T.); #307658=ORIENTED_EDGE('',*,*,#220670,.T.); #307659=ORIENTED_EDGE('',*,*,#220673,.T.); #307660=ORIENTED_EDGE('',*,*,#220676,.T.); #307661=ORIENTED_EDGE('',*,*,#220679,.T.); #307662=ORIENTED_EDGE('',*,*,#220682,.T.); #307663=ORIENTED_EDGE('',*,*,#220685,.T.); #307664=ORIENTED_EDGE('',*,*,#220688,.T.); #307665=ORIENTED_EDGE('',*,*,#220691,.T.); #307666=ORIENTED_EDGE('',*,*,#220694,.T.); #307667=ORIENTED_EDGE('',*,*,#220697,.T.); #307668=ORIENTED_EDGE('',*,*,#220700,.T.); #307669=ORIENTED_EDGE('',*,*,#220703,.T.); #307670=ORIENTED_EDGE('',*,*,#220706,.T.); #307671=ORIENTED_EDGE('',*,*,#220709,.T.); #307672=ORIENTED_EDGE('',*,*,#220712,.T.); #307673=ORIENTED_EDGE('',*,*,#220715,.T.); #307674=ORIENTED_EDGE('',*,*,#220718,.T.); #307675=ORIENTED_EDGE('',*,*,#220721,.T.); #307676=ORIENTED_EDGE('',*,*,#220724,.T.); #307677=ORIENTED_EDGE('',*,*,#220727,.T.); #307678=ORIENTED_EDGE('',*,*,#220730,.T.); #307679=ORIENTED_EDGE('',*,*,#220733,.T.); #307680=ORIENTED_EDGE('',*,*,#220736,.T.); #307681=ORIENTED_EDGE('',*,*,#220739,.T.); #307682=ORIENTED_EDGE('',*,*,#220742,.T.); #307683=ORIENTED_EDGE('',*,*,#220745,.T.); #307684=ORIENTED_EDGE('',*,*,#220748,.T.); #307685=ORIENTED_EDGE('',*,*,#220751,.T.); #307686=ORIENTED_EDGE('',*,*,#220754,.T.); #307687=ORIENTED_EDGE('',*,*,#220757,.T.); #307688=ORIENTED_EDGE('',*,*,#220760,.T.); #307689=ORIENTED_EDGE('',*,*,#220763,.T.); #307690=ORIENTED_EDGE('',*,*,#220766,.T.); #307691=ORIENTED_EDGE('',*,*,#220769,.T.); #307692=ORIENTED_EDGE('',*,*,#220772,.T.); #307693=ORIENTED_EDGE('',*,*,#220775,.T.); #307694=ORIENTED_EDGE('',*,*,#220778,.T.); #307695=ORIENTED_EDGE('',*,*,#220781,.T.); #307696=ORIENTED_EDGE('',*,*,#220784,.T.); #307697=ORIENTED_EDGE('',*,*,#220787,.T.); #307698=ORIENTED_EDGE('',*,*,#220790,.T.); #307699=ORIENTED_EDGE('',*,*,#220793,.T.); #307700=ORIENTED_EDGE('',*,*,#220796,.T.); #307701=ORIENTED_EDGE('',*,*,#220799,.T.); #307702=ORIENTED_EDGE('',*,*,#220802,.T.); #307703=ORIENTED_EDGE('',*,*,#220805,.F.); #307704=ORIENTED_EDGE('',*,*,#219800,.T.); #307705=ORIENTED_EDGE('',*,*,#219803,.T.); #307706=ORIENTED_EDGE('',*,*,#219804,.T.); #307707=ORIENTED_EDGE('',*,*,#219807,.T.); #307708=ORIENTED_EDGE('',*,*,#219810,.T.); #307709=ORIENTED_EDGE('',*,*,#219813,.T.); #307710=ORIENTED_EDGE('',*,*,#219816,.T.); #307711=ORIENTED_EDGE('',*,*,#219819,.T.); #307712=ORIENTED_EDGE('',*,*,#219822,.T.); #307713=ORIENTED_EDGE('',*,*,#219825,.T.); #307714=ORIENTED_EDGE('',*,*,#219828,.T.); #307715=ORIENTED_EDGE('',*,*,#219831,.T.); #307716=ORIENTED_EDGE('',*,*,#219834,.T.); #307717=ORIENTED_EDGE('',*,*,#219837,.T.); #307718=ORIENTED_EDGE('',*,*,#219840,.T.); #307719=ORIENTED_EDGE('',*,*,#219843,.T.); #307720=ORIENTED_EDGE('',*,*,#219846,.T.); #307721=ORIENTED_EDGE('',*,*,#219849,.T.); #307722=ORIENTED_EDGE('',*,*,#219852,.T.); #307723=ORIENTED_EDGE('',*,*,#219855,.T.); #307724=ORIENTED_EDGE('',*,*,#219858,.T.); #307725=ORIENTED_EDGE('',*,*,#219861,.T.); #307726=ORIENTED_EDGE('',*,*,#219864,.T.); #307727=ORIENTED_EDGE('',*,*,#219867,.T.); #307728=ORIENTED_EDGE('',*,*,#219870,.T.); #307729=ORIENTED_EDGE('',*,*,#219873,.T.); #307730=ORIENTED_EDGE('',*,*,#219876,.T.); #307731=ORIENTED_EDGE('',*,*,#219879,.T.); #307732=ORIENTED_EDGE('',*,*,#219882,.T.); #307733=ORIENTED_EDGE('',*,*,#219885,.T.); #307734=ORIENTED_EDGE('',*,*,#219888,.T.); #307735=ORIENTED_EDGE('',*,*,#219891,.T.); #307736=ORIENTED_EDGE('',*,*,#219894,.T.); #307737=ORIENTED_EDGE('',*,*,#219897,.T.); #307738=ORIENTED_EDGE('',*,*,#219900,.T.); #307739=ORIENTED_EDGE('',*,*,#219903,.T.); #307740=ORIENTED_EDGE('',*,*,#219906,.T.); #307741=ORIENTED_EDGE('',*,*,#219909,.T.); #307742=ORIENTED_EDGE('',*,*,#219912,.T.); #307743=ORIENTED_EDGE('',*,*,#219915,.T.); #307744=ORIENTED_EDGE('',*,*,#219918,.T.); #307745=ORIENTED_EDGE('',*,*,#219921,.T.); #307746=ORIENTED_EDGE('',*,*,#219924,.T.); #307747=ORIENTED_EDGE('',*,*,#219927,.T.); #307748=ORIENTED_EDGE('',*,*,#219930,.T.); #307749=ORIENTED_EDGE('',*,*,#219933,.T.); #307750=ORIENTED_EDGE('',*,*,#219936,.T.); #307751=ORIENTED_EDGE('',*,*,#219939,.T.); #307752=ORIENTED_EDGE('',*,*,#219942,.T.); #307753=ORIENTED_EDGE('',*,*,#219945,.T.); #307754=ORIENTED_EDGE('',*,*,#219948,.T.); #307755=ORIENTED_EDGE('',*,*,#219951,.T.); #307756=ORIENTED_EDGE('',*,*,#219954,.T.); #307757=ORIENTED_EDGE('',*,*,#219957,.T.); #307758=ORIENTED_EDGE('',*,*,#219960,.T.); #307759=ORIENTED_EDGE('',*,*,#219963,.T.); #307760=ORIENTED_EDGE('',*,*,#219966,.T.); #307761=ORIENTED_EDGE('',*,*,#219969,.T.); #307762=ORIENTED_EDGE('',*,*,#219972,.T.); #307763=ORIENTED_EDGE('',*,*,#219975,.T.); #307764=ORIENTED_EDGE('',*,*,#219978,.T.); #307765=ORIENTED_EDGE('',*,*,#219981,.T.); #307766=ORIENTED_EDGE('',*,*,#219984,.T.); #307767=ORIENTED_EDGE('',*,*,#219987,.T.); #307768=ORIENTED_EDGE('',*,*,#219990,.T.); #307769=ORIENTED_EDGE('',*,*,#219993,.T.); #307770=ORIENTED_EDGE('',*,*,#219996,.T.); #307771=ORIENTED_EDGE('',*,*,#219999,.T.); #307772=ORIENTED_EDGE('',*,*,#220002,.T.); #307773=ORIENTED_EDGE('',*,*,#220005,.T.); #307774=ORIENTED_EDGE('',*,*,#220008,.T.); #307775=ORIENTED_EDGE('',*,*,#220011,.T.); #307776=ORIENTED_EDGE('',*,*,#220014,.T.); #307777=ORIENTED_EDGE('',*,*,#220017,.T.); #307778=ORIENTED_EDGE('',*,*,#220020,.T.); #307779=ORIENTED_EDGE('',*,*,#220023,.T.); #307780=ORIENTED_EDGE('',*,*,#220026,.T.); #307781=ORIENTED_EDGE('',*,*,#220029,.T.); #307782=ORIENTED_EDGE('',*,*,#220032,.T.); #307783=ORIENTED_EDGE('',*,*,#220035,.T.); #307784=ORIENTED_EDGE('',*,*,#220038,.T.); #307785=ORIENTED_EDGE('',*,*,#220041,.T.); #307786=ORIENTED_EDGE('',*,*,#220044,.T.); #307787=ORIENTED_EDGE('',*,*,#220047,.T.); #307788=ORIENTED_EDGE('',*,*,#220050,.T.); #307789=ORIENTED_EDGE('',*,*,#220053,.T.); #307790=ORIENTED_EDGE('',*,*,#220056,.T.); #307791=ORIENTED_EDGE('',*,*,#220059,.T.); #307792=ORIENTED_EDGE('',*,*,#220062,.T.); #307793=ORIENTED_EDGE('',*,*,#220065,.T.); #307794=ORIENTED_EDGE('',*,*,#220068,.T.); #307795=ORIENTED_EDGE('',*,*,#220071,.T.); #307796=ORIENTED_EDGE('',*,*,#220074,.T.); #307797=ORIENTED_EDGE('',*,*,#220077,.T.); #307798=ORIENTED_EDGE('',*,*,#220080,.T.); #307799=ORIENTED_EDGE('',*,*,#220083,.T.); #307800=ORIENTED_EDGE('',*,*,#220086,.T.); #307801=ORIENTED_EDGE('',*,*,#220089,.T.); #307802=ORIENTED_EDGE('',*,*,#220092,.T.); #307803=ORIENTED_EDGE('',*,*,#220095,.T.); #307804=ORIENTED_EDGE('',*,*,#220098,.T.); #307805=ORIENTED_EDGE('',*,*,#220101,.T.); #307806=ORIENTED_EDGE('',*,*,#220104,.T.); #307807=ORIENTED_EDGE('',*,*,#220107,.T.); #307808=ORIENTED_EDGE('',*,*,#220110,.T.); #307809=ORIENTED_EDGE('',*,*,#220113,.T.); #307810=ORIENTED_EDGE('',*,*,#220116,.T.); #307811=ORIENTED_EDGE('',*,*,#220119,.T.); #307812=ORIENTED_EDGE('',*,*,#220122,.T.); #307813=ORIENTED_EDGE('',*,*,#220125,.T.); #307814=ORIENTED_EDGE('',*,*,#220128,.T.); #307815=ORIENTED_EDGE('',*,*,#220131,.T.); #307816=ORIENTED_EDGE('',*,*,#220134,.T.); #307817=ORIENTED_EDGE('',*,*,#220137,.T.); #307818=ORIENTED_EDGE('',*,*,#220140,.T.); #307819=ORIENTED_EDGE('',*,*,#220143,.T.); #307820=ORIENTED_EDGE('',*,*,#220146,.T.); #307821=ORIENTED_EDGE('',*,*,#220149,.T.); #307822=ORIENTED_EDGE('',*,*,#220152,.T.); #307823=ORIENTED_EDGE('',*,*,#220155,.T.); #307824=ORIENTED_EDGE('',*,*,#220158,.T.); #307825=ORIENTED_EDGE('',*,*,#220161,.T.); #307826=ORIENTED_EDGE('',*,*,#220164,.T.); #307827=ORIENTED_EDGE('',*,*,#220167,.T.); #307828=ORIENTED_EDGE('',*,*,#220170,.T.); #307829=ORIENTED_EDGE('',*,*,#220173,.T.); #307830=ORIENTED_EDGE('',*,*,#220176,.T.); #307831=ORIENTED_EDGE('',*,*,#220179,.T.); #307832=ORIENTED_EDGE('',*,*,#220182,.T.); #307833=ORIENTED_EDGE('',*,*,#220185,.T.); #307834=ORIENTED_EDGE('',*,*,#220188,.T.); #307835=ORIENTED_EDGE('',*,*,#220191,.T.); #307836=ORIENTED_EDGE('',*,*,#220194,.T.); #307837=ORIENTED_EDGE('',*,*,#220197,.T.); #307838=ORIENTED_EDGE('',*,*,#220200,.T.); #307839=ORIENTED_EDGE('',*,*,#220203,.T.); #307840=ORIENTED_EDGE('',*,*,#220206,.T.); #307841=ORIENTED_EDGE('',*,*,#220209,.T.); #307842=ORIENTED_EDGE('',*,*,#220212,.T.); #307843=ORIENTED_EDGE('',*,*,#220215,.T.); #307844=ORIENTED_EDGE('',*,*,#220218,.T.); #307845=ORIENTED_EDGE('',*,*,#220221,.T.); #307846=ORIENTED_EDGE('',*,*,#220224,.T.); #307847=ORIENTED_EDGE('',*,*,#220227,.T.); #307848=ORIENTED_EDGE('',*,*,#220230,.T.); #307849=ORIENTED_EDGE('',*,*,#220233,.T.); #307850=ORIENTED_EDGE('',*,*,#220236,.T.); #307851=ORIENTED_EDGE('',*,*,#220239,.T.); #307852=ORIENTED_EDGE('',*,*,#220242,.T.); #307853=ORIENTED_EDGE('',*,*,#220245,.T.); #307854=ORIENTED_EDGE('',*,*,#220248,.T.); #307855=ORIENTED_EDGE('',*,*,#220251,.T.); #307856=ORIENTED_EDGE('',*,*,#220254,.T.); #307857=ORIENTED_EDGE('',*,*,#220257,.T.); #307858=ORIENTED_EDGE('',*,*,#220260,.T.); #307859=ORIENTED_EDGE('',*,*,#220263,.T.); #307860=ORIENTED_EDGE('',*,*,#220266,.T.); #307861=ORIENTED_EDGE('',*,*,#220269,.T.); #307862=ORIENTED_EDGE('',*,*,#220272,.T.); #307863=ORIENTED_EDGE('',*,*,#220275,.T.); #307864=ORIENTED_EDGE('',*,*,#220278,.T.); #307865=ORIENTED_EDGE('',*,*,#220281,.T.); #307866=ORIENTED_EDGE('',*,*,#220284,.T.); #307867=ORIENTED_EDGE('',*,*,#220295,.T.); #307868=ORIENTED_EDGE('',*,*,#220292,.T.); #307869=ORIENTED_EDGE('',*,*,#220289,.T.); #307870=ORIENTED_EDGE('',*,*,#220298,.T.); #307871=ORIENTED_EDGE('',*,*,#220299,.T.); #307872=ORIENTED_EDGE('',*,*,#220310,.T.); #307873=ORIENTED_EDGE('',*,*,#220307,.T.); #307874=ORIENTED_EDGE('',*,*,#220304,.T.); #307875=ORIENTED_EDGE('',*,*,#220313,.T.); #307876=ORIENTED_EDGE('',*,*,#220314,.T.); #307877=ORIENTED_EDGE('',*,*,#220317,.T.); #307878=ORIENTED_EDGE('',*,*,#220320,.T.); #307879=ORIENTED_EDGE('',*,*,#220331,.T.); #307880=ORIENTED_EDGE('',*,*,#220328,.T.); #307881=ORIENTED_EDGE('',*,*,#220325,.T.); #307882=ORIENTED_EDGE('',*,*,#220334,.T.); #307883=ORIENTED_EDGE('',*,*,#220335,.T.); #307884=ORIENTED_EDGE('',*,*,#220338,.T.); #307885=ORIENTED_EDGE('',*,*,#220341,.T.); #307886=ORIENTED_EDGE('',*,*,#220344,.T.); #307887=ORIENTED_EDGE('',*,*,#220347,.T.); #307888=ORIENTED_EDGE('',*,*,#220350,.T.); #307889=ORIENTED_EDGE('',*,*,#220353,.T.); #307890=ORIENTED_EDGE('',*,*,#220356,.T.); #307891=ORIENTED_EDGE('',*,*,#220359,.T.); #307892=ORIENTED_EDGE('',*,*,#220362,.T.); #307893=ORIENTED_EDGE('',*,*,#220373,.T.); #307894=ORIENTED_EDGE('',*,*,#220370,.T.); #307895=ORIENTED_EDGE('',*,*,#220367,.T.); #307896=ORIENTED_EDGE('',*,*,#220376,.T.); #307897=ORIENTED_EDGE('',*,*,#220377,.T.); #307898=ORIENTED_EDGE('',*,*,#220388,.T.); #307899=ORIENTED_EDGE('',*,*,#220385,.T.); #307900=ORIENTED_EDGE('',*,*,#220382,.T.); #307901=ORIENTED_EDGE('',*,*,#220391,.T.); #307902=ORIENTED_EDGE('',*,*,#220392,.T.); #307903=ORIENTED_EDGE('',*,*,#220403,.T.); #307904=ORIENTED_EDGE('',*,*,#220400,.T.); #307905=ORIENTED_EDGE('',*,*,#220397,.T.); #307906=ORIENTED_EDGE('',*,*,#220406,.T.); #307907=ORIENTED_EDGE('',*,*,#220407,.T.); #307908=ORIENTED_EDGE('',*,*,#220410,.T.); #307909=ORIENTED_EDGE('',*,*,#220413,.T.); #307910=ORIENTED_EDGE('',*,*,#220416,.T.); #307911=ORIENTED_EDGE('',*,*,#220419,.T.); #307912=ORIENTED_EDGE('',*,*,#220422,.T.); #307913=ORIENTED_EDGE('',*,*,#220425,.T.); #307914=ORIENTED_EDGE('',*,*,#220428,.T.); #307915=ORIENTED_EDGE('',*,*,#220431,.T.); #307916=ORIENTED_EDGE('',*,*,#220434,.T.); #307917=ORIENTED_EDGE('',*,*,#220437,.T.); #307918=ORIENTED_EDGE('',*,*,#220440,.T.); #307919=ORIENTED_EDGE('',*,*,#220443,.T.); #307920=ORIENTED_EDGE('',*,*,#220446,.T.); #307921=ORIENTED_EDGE('',*,*,#220449,.T.); #307922=ORIENTED_EDGE('',*,*,#220452,.T.); #307923=ORIENTED_EDGE('',*,*,#220455,.T.); #307924=ORIENTED_EDGE('',*,*,#220458,.T.); #307925=ORIENTED_EDGE('',*,*,#220461,.T.); #307926=ORIENTED_EDGE('',*,*,#220464,.T.); #307927=ORIENTED_EDGE('',*,*,#220467,.T.); #307928=ORIENTED_EDGE('',*,*,#220470,.T.); #307929=ORIENTED_EDGE('',*,*,#220473,.T.); #307930=ORIENTED_EDGE('',*,*,#220476,.T.); #307931=ORIENTED_EDGE('',*,*,#220479,.T.); #307932=ORIENTED_EDGE('',*,*,#220482,.T.); #307933=ORIENTED_EDGE('',*,*,#220485,.T.); #307934=ORIENTED_EDGE('',*,*,#220488,.T.); #307935=ORIENTED_EDGE('',*,*,#220491,.T.); #307936=ORIENTED_EDGE('',*,*,#220494,.T.); #307937=ORIENTED_EDGE('',*,*,#220497,.T.); #307938=ORIENTED_EDGE('',*,*,#220500,.T.); #307939=ORIENTED_EDGE('',*,*,#220503,.T.); #307940=ORIENTED_EDGE('',*,*,#220506,.T.); #307941=ORIENTED_EDGE('',*,*,#220509,.T.); #307942=ORIENTED_EDGE('',*,*,#220512,.T.); #307943=ORIENTED_EDGE('',*,*,#220515,.T.); #307944=ORIENTED_EDGE('',*,*,#220518,.T.); #307945=ORIENTED_EDGE('',*,*,#220521,.T.); #307946=ORIENTED_EDGE('',*,*,#220524,.T.); #307947=ORIENTED_EDGE('',*,*,#220527,.T.); #307948=ORIENTED_EDGE('',*,*,#220530,.T.); #307949=ORIENTED_EDGE('',*,*,#220533,.T.); #307950=ORIENTED_EDGE('',*,*,#220536,.T.); #307951=ORIENTED_EDGE('',*,*,#220539,.T.); #307952=ORIENTED_EDGE('',*,*,#220542,.T.); #307953=ORIENTED_EDGE('',*,*,#220545,.T.); #307954=ORIENTED_EDGE('',*,*,#220548,.T.); #307955=ORIENTED_EDGE('',*,*,#220551,.T.); #307956=ORIENTED_EDGE('',*,*,#220554,.T.); #307957=ORIENTED_EDGE('',*,*,#220557,.T.); #307958=ORIENTED_EDGE('',*,*,#220560,.T.); #307959=ORIENTED_EDGE('',*,*,#220563,.T.); #307960=ORIENTED_EDGE('',*,*,#220566,.T.); #307961=ORIENTED_EDGE('',*,*,#220569,.T.); #307962=ORIENTED_EDGE('',*,*,#220572,.T.); #307963=ORIENTED_EDGE('',*,*,#220575,.T.); #307964=ORIENTED_EDGE('',*,*,#220578,.T.); #307965=ORIENTED_EDGE('',*,*,#220581,.T.); #307966=ORIENTED_EDGE('',*,*,#220584,.T.); #307967=ORIENTED_EDGE('',*,*,#220587,.T.); #307968=ORIENTED_EDGE('',*,*,#220590,.T.); #307969=ORIENTED_EDGE('',*,*,#220593,.T.); #307970=ORIENTED_EDGE('',*,*,#220596,.T.); #307971=ORIENTED_EDGE('',*,*,#220599,.T.); #307972=ORIENTED_EDGE('',*,*,#220602,.T.); #307973=ORIENTED_EDGE('',*,*,#220605,.T.); #307974=ORIENTED_EDGE('',*,*,#220608,.T.); #307975=ORIENTED_EDGE('',*,*,#220611,.T.); #307976=ORIENTED_EDGE('',*,*,#220614,.T.); #307977=ORIENTED_EDGE('',*,*,#220617,.T.); #307978=ORIENTED_EDGE('',*,*,#220620,.T.); #307979=ORIENTED_EDGE('',*,*,#220623,.T.); #307980=ORIENTED_EDGE('',*,*,#220626,.T.); #307981=ORIENTED_EDGE('',*,*,#220629,.T.); #307982=ORIENTED_EDGE('',*,*,#220632,.T.); #307983=ORIENTED_EDGE('',*,*,#220635,.T.); #307984=ORIENTED_EDGE('',*,*,#220638,.T.); #307985=ORIENTED_EDGE('',*,*,#220641,.T.); #307986=ORIENTED_EDGE('',*,*,#220644,.T.); #307987=ORIENTED_EDGE('',*,*,#220647,.T.); #307988=ORIENTED_EDGE('',*,*,#220650,.T.); #307989=ORIENTED_EDGE('',*,*,#220653,.T.); #307990=ORIENTED_EDGE('',*,*,#220656,.T.); #307991=ORIENTED_EDGE('',*,*,#220659,.T.); #307992=ORIENTED_EDGE('',*,*,#220662,.T.); #307993=ORIENTED_EDGE('',*,*,#220665,.T.); #307994=ORIENTED_EDGE('',*,*,#220668,.T.); #307995=ORIENTED_EDGE('',*,*,#220671,.T.); #307996=ORIENTED_EDGE('',*,*,#220674,.T.); #307997=ORIENTED_EDGE('',*,*,#220677,.T.); #307998=ORIENTED_EDGE('',*,*,#220680,.T.); #307999=ORIENTED_EDGE('',*,*,#220683,.T.); #308000=ORIENTED_EDGE('',*,*,#220686,.T.); #308001=ORIENTED_EDGE('',*,*,#220689,.T.); #308002=ORIENTED_EDGE('',*,*,#220692,.T.); #308003=ORIENTED_EDGE('',*,*,#220695,.T.); #308004=ORIENTED_EDGE('',*,*,#220698,.T.); #308005=ORIENTED_EDGE('',*,*,#220701,.T.); #308006=ORIENTED_EDGE('',*,*,#220704,.T.); #308007=ORIENTED_EDGE('',*,*,#220707,.T.); #308008=ORIENTED_EDGE('',*,*,#220710,.T.); #308009=ORIENTED_EDGE('',*,*,#220713,.T.); #308010=ORIENTED_EDGE('',*,*,#220716,.T.); #308011=ORIENTED_EDGE('',*,*,#220719,.T.); #308012=ORIENTED_EDGE('',*,*,#220722,.T.); #308013=ORIENTED_EDGE('',*,*,#220725,.T.); #308014=ORIENTED_EDGE('',*,*,#220728,.T.); #308015=ORIENTED_EDGE('',*,*,#220731,.T.); #308016=ORIENTED_EDGE('',*,*,#220734,.T.); #308017=ORIENTED_EDGE('',*,*,#220737,.T.); #308018=ORIENTED_EDGE('',*,*,#220740,.T.); #308019=ORIENTED_EDGE('',*,*,#220743,.T.); #308020=ORIENTED_EDGE('',*,*,#220746,.T.); #308021=ORIENTED_EDGE('',*,*,#220749,.T.); #308022=ORIENTED_EDGE('',*,*,#220752,.T.); #308023=ORIENTED_EDGE('',*,*,#220755,.T.); #308024=ORIENTED_EDGE('',*,*,#220758,.T.); #308025=ORIENTED_EDGE('',*,*,#220761,.T.); #308026=ORIENTED_EDGE('',*,*,#220764,.T.); #308027=ORIENTED_EDGE('',*,*,#220767,.T.); #308028=ORIENTED_EDGE('',*,*,#220770,.T.); #308029=ORIENTED_EDGE('',*,*,#220773,.T.); #308030=ORIENTED_EDGE('',*,*,#220776,.T.); #308031=ORIENTED_EDGE('',*,*,#220779,.T.); #308032=ORIENTED_EDGE('',*,*,#220782,.T.); #308033=ORIENTED_EDGE('',*,*,#220785,.T.); #308034=ORIENTED_EDGE('',*,*,#220788,.T.); #308035=ORIENTED_EDGE('',*,*,#220791,.T.); #308036=ORIENTED_EDGE('',*,*,#220794,.T.); #308037=ORIENTED_EDGE('',*,*,#220797,.T.); #308038=ORIENTED_EDGE('',*,*,#220800,.T.); #308039=ORIENTED_EDGE('',*,*,#220806,.F.); #308040=ORIENTED_EDGE('',*,*,#220807,.T.); #308041=ORIENTED_EDGE('',*,*,#220808,.F.); #308042=ORIENTED_EDGE('',*,*,#220807,.F.); #308043=ORIENTED_EDGE('',*,*,#220809,.F.); #308044=ORIENTED_EDGE('',*,*,#220810,.T.); #308045=ORIENTED_EDGE('',*,*,#220811,.F.); #308046=ORIENTED_EDGE('',*,*,#220810,.F.); #308047=ORIENTED_EDGE('',*,*,#220812,.F.); #308048=ORIENTED_EDGE('',*,*,#220813,.T.); #308049=ORIENTED_EDGE('',*,*,#220814,.F.); #308050=ORIENTED_EDGE('',*,*,#220813,.F.); #308051=ORIENTED_EDGE('',*,*,#220815,.F.); #308052=ORIENTED_EDGE('',*,*,#220816,.T.); #308053=ORIENTED_EDGE('',*,*,#220817,.F.); #308054=ORIENTED_EDGE('',*,*,#220816,.F.); #308055=ORIENTED_EDGE('',*,*,#220818,.F.); #308056=ORIENTED_EDGE('',*,*,#220819,.T.); #308057=ORIENTED_EDGE('',*,*,#220820,.F.); #308058=ORIENTED_EDGE('',*,*,#220819,.F.); #308059=ORIENTED_EDGE('',*,*,#220821,.F.); #308060=ORIENTED_EDGE('',*,*,#220822,.T.); #308061=ORIENTED_EDGE('',*,*,#220823,.F.); #308062=ORIENTED_EDGE('',*,*,#220822,.F.); #308063=ORIENTED_EDGE('',*,*,#220824,.F.); #308064=ORIENTED_EDGE('',*,*,#220825,.T.); #308065=ORIENTED_EDGE('',*,*,#220826,.F.); #308066=ORIENTED_EDGE('',*,*,#220825,.F.); #308067=ORIENTED_EDGE('',*,*,#220827,.F.); #308068=ORIENTED_EDGE('',*,*,#220828,.T.); #308069=ORIENTED_EDGE('',*,*,#220829,.F.); #308070=ORIENTED_EDGE('',*,*,#220828,.F.); #308071=ORIENTED_EDGE('',*,*,#220830,.F.); #308072=ORIENTED_EDGE('',*,*,#220831,.T.); #308073=ORIENTED_EDGE('',*,*,#220832,.F.); #308074=ORIENTED_EDGE('',*,*,#220831,.F.); #308075=ORIENTED_EDGE('',*,*,#220833,.F.); #308076=ORIENTED_EDGE('',*,*,#220834,.T.); #308077=ORIENTED_EDGE('',*,*,#220835,.F.); #308078=ORIENTED_EDGE('',*,*,#220834,.F.); #308079=ORIENTED_EDGE('',*,*,#220836,.F.); #308080=ORIENTED_EDGE('',*,*,#220837,.T.); #308081=ORIENTED_EDGE('',*,*,#220838,.F.); #308082=ORIENTED_EDGE('',*,*,#220837,.F.); #308083=ORIENTED_EDGE('',*,*,#220839,.F.); #308084=ORIENTED_EDGE('',*,*,#220840,.T.); #308085=ORIENTED_EDGE('',*,*,#220841,.F.); #308086=ORIENTED_EDGE('',*,*,#220840,.F.); #308087=ORIENTED_EDGE('',*,*,#220842,.F.); #308088=ORIENTED_EDGE('',*,*,#220843,.T.); #308089=ORIENTED_EDGE('',*,*,#220844,.F.); #308090=ORIENTED_EDGE('',*,*,#220843,.F.); #308091=ORIENTED_EDGE('',*,*,#220845,.F.); #308092=ORIENTED_EDGE('',*,*,#220846,.T.); #308093=ORIENTED_EDGE('',*,*,#220847,.F.); #308094=ORIENTED_EDGE('',*,*,#220846,.F.); #308095=ORIENTED_EDGE('',*,*,#220848,.F.); #308096=ORIENTED_EDGE('',*,*,#220849,.T.); #308097=ORIENTED_EDGE('',*,*,#220850,.F.); #308098=ORIENTED_EDGE('',*,*,#220849,.F.); #308099=ORIENTED_EDGE('',*,*,#220851,.F.); #308100=ORIENTED_EDGE('',*,*,#220852,.T.); #308101=ORIENTED_EDGE('',*,*,#220853,.F.); #308102=ORIENTED_EDGE('',*,*,#220852,.F.); #308103=ORIENTED_EDGE('',*,*,#220854,.F.); #308104=ORIENTED_EDGE('',*,*,#220855,.T.); #308105=ORIENTED_EDGE('',*,*,#220856,.F.); #308106=ORIENTED_EDGE('',*,*,#220855,.F.); #308107=ORIENTED_EDGE('',*,*,#220857,.F.); #308108=ORIENTED_EDGE('',*,*,#220858,.T.); #308109=ORIENTED_EDGE('',*,*,#220859,.F.); #308110=ORIENTED_EDGE('',*,*,#220858,.F.); #308111=ORIENTED_EDGE('',*,*,#220860,.F.); #308112=ORIENTED_EDGE('',*,*,#220861,.T.); #308113=ORIENTED_EDGE('',*,*,#220862,.F.); #308114=ORIENTED_EDGE('',*,*,#220861,.F.); #308115=ORIENTED_EDGE('',*,*,#220863,.F.); #308116=ORIENTED_EDGE('',*,*,#220864,.T.); #308117=ORIENTED_EDGE('',*,*,#220865,.F.); #308118=ORIENTED_EDGE('',*,*,#220864,.F.); #308119=ORIENTED_EDGE('',*,*,#220866,.F.); #308120=ORIENTED_EDGE('',*,*,#220867,.T.); #308121=ORIENTED_EDGE('',*,*,#220868,.F.); #308122=ORIENTED_EDGE('',*,*,#220867,.F.); #308123=ORIENTED_EDGE('',*,*,#220869,.F.); #308124=ORIENTED_EDGE('',*,*,#220870,.T.); #308125=ORIENTED_EDGE('',*,*,#220871,.F.); #308126=ORIENTED_EDGE('',*,*,#220870,.F.); #308127=ORIENTED_EDGE('',*,*,#220872,.F.); #308128=ORIENTED_EDGE('',*,*,#220873,.T.); #308129=ORIENTED_EDGE('',*,*,#220874,.F.); #308130=ORIENTED_EDGE('',*,*,#220873,.F.); #308131=ORIENTED_EDGE('',*,*,#220875,.F.); #308132=ORIENTED_EDGE('',*,*,#220876,.T.); #308133=ORIENTED_EDGE('',*,*,#220877,.F.); #308134=ORIENTED_EDGE('',*,*,#220876,.F.); #308135=ORIENTED_EDGE('',*,*,#220878,.F.); #308136=ORIENTED_EDGE('',*,*,#220879,.T.); #308137=ORIENTED_EDGE('',*,*,#220880,.F.); #308138=ORIENTED_EDGE('',*,*,#220879,.F.); #308139=ORIENTED_EDGE('',*,*,#220881,.F.); #308140=ORIENTED_EDGE('',*,*,#220882,.T.); #308141=ORIENTED_EDGE('',*,*,#220883,.F.); #308142=ORIENTED_EDGE('',*,*,#220882,.F.); #308143=ORIENTED_EDGE('',*,*,#220884,.F.); #308144=ORIENTED_EDGE('',*,*,#220885,.T.); #308145=ORIENTED_EDGE('',*,*,#220886,.F.); #308146=ORIENTED_EDGE('',*,*,#220885,.F.); #308147=ORIENTED_EDGE('',*,*,#220887,.F.); #308148=ORIENTED_EDGE('',*,*,#220888,.T.); #308149=ORIENTED_EDGE('',*,*,#220889,.F.); #308150=ORIENTED_EDGE('',*,*,#220888,.F.); #308151=ORIENTED_EDGE('',*,*,#220890,.F.); #308152=ORIENTED_EDGE('',*,*,#220891,.T.); #308153=ORIENTED_EDGE('',*,*,#220892,.F.); #308154=ORIENTED_EDGE('',*,*,#220891,.F.); #308155=ORIENTED_EDGE('',*,*,#220893,.F.); #308156=ORIENTED_EDGE('',*,*,#220894,.T.); #308157=ORIENTED_EDGE('',*,*,#220895,.F.); #308158=ORIENTED_EDGE('',*,*,#220894,.F.); #308159=ORIENTED_EDGE('',*,*,#220896,.F.); #308160=ORIENTED_EDGE('',*,*,#220897,.T.); #308161=ORIENTED_EDGE('',*,*,#220898,.F.); #308162=ORIENTED_EDGE('',*,*,#220897,.F.); #308163=ORIENTED_EDGE('',*,*,#220899,.F.); #308164=ORIENTED_EDGE('',*,*,#220900,.T.); #308165=ORIENTED_EDGE('',*,*,#220901,.F.); #308166=ORIENTED_EDGE('',*,*,#220900,.F.); #308167=ORIENTED_EDGE('',*,*,#220902,.F.); #308168=ORIENTED_EDGE('',*,*,#220903,.T.); #308169=ORIENTED_EDGE('',*,*,#220904,.F.); #308170=ORIENTED_EDGE('',*,*,#220903,.F.); #308171=ORIENTED_EDGE('',*,*,#220905,.F.); #308172=ORIENTED_EDGE('',*,*,#220906,.T.); #308173=ORIENTED_EDGE('',*,*,#220907,.F.); #308174=ORIENTED_EDGE('',*,*,#220906,.F.); #308175=ORIENTED_EDGE('',*,*,#220908,.F.); #308176=ORIENTED_EDGE('',*,*,#220909,.T.); #308177=ORIENTED_EDGE('',*,*,#220910,.F.); #308178=ORIENTED_EDGE('',*,*,#220909,.F.); #308179=ORIENTED_EDGE('',*,*,#220911,.F.); #308180=ORIENTED_EDGE('',*,*,#220912,.T.); #308181=ORIENTED_EDGE('',*,*,#220913,.F.); #308182=ORIENTED_EDGE('',*,*,#220912,.F.); #308183=ORIENTED_EDGE('',*,*,#220914,.F.); #308184=ORIENTED_EDGE('',*,*,#220915,.T.); #308185=ORIENTED_EDGE('',*,*,#220916,.F.); #308186=ORIENTED_EDGE('',*,*,#220915,.F.); #308187=ORIENTED_EDGE('',*,*,#220917,.F.); #308188=ORIENTED_EDGE('',*,*,#220918,.T.); #308189=ORIENTED_EDGE('',*,*,#220919,.F.); #308190=ORIENTED_EDGE('',*,*,#220918,.F.); #308191=ORIENTED_EDGE('',*,*,#220920,.F.); #308192=ORIENTED_EDGE('',*,*,#220921,.T.); #308193=ORIENTED_EDGE('',*,*,#220922,.F.); #308194=ORIENTED_EDGE('',*,*,#220921,.F.); #308195=ORIENTED_EDGE('',*,*,#220923,.F.); #308196=ORIENTED_EDGE('',*,*,#220924,.T.); #308197=ORIENTED_EDGE('',*,*,#220925,.F.); #308198=ORIENTED_EDGE('',*,*,#220924,.F.); #308199=ORIENTED_EDGE('',*,*,#220926,.F.); #308200=ORIENTED_EDGE('',*,*,#220927,.T.); #308201=ORIENTED_EDGE('',*,*,#220928,.F.); #308202=ORIENTED_EDGE('',*,*,#220927,.F.); #308203=ORIENTED_EDGE('',*,*,#220929,.F.); #308204=ORIENTED_EDGE('',*,*,#220930,.T.); #308205=ORIENTED_EDGE('',*,*,#220931,.F.); #308206=ORIENTED_EDGE('',*,*,#220930,.F.); #308207=ORIENTED_EDGE('',*,*,#220932,.F.); #308208=ORIENTED_EDGE('',*,*,#220933,.T.); #308209=ORIENTED_EDGE('',*,*,#220934,.F.); #308210=ORIENTED_EDGE('',*,*,#220933,.F.); #308211=ORIENTED_EDGE('',*,*,#220935,.F.); #308212=ORIENTED_EDGE('',*,*,#220936,.T.); #308213=ORIENTED_EDGE('',*,*,#220937,.F.); #308214=ORIENTED_EDGE('',*,*,#220936,.F.); #308215=ORIENTED_EDGE('',*,*,#220938,.F.); #308216=ORIENTED_EDGE('',*,*,#220939,.T.); #308217=ORIENTED_EDGE('',*,*,#220940,.F.); #308218=ORIENTED_EDGE('',*,*,#220939,.F.); #308219=ORIENTED_EDGE('',*,*,#220941,.F.); #308220=ORIENTED_EDGE('',*,*,#220942,.T.); #308221=ORIENTED_EDGE('',*,*,#220943,.F.); #308222=ORIENTED_EDGE('',*,*,#220942,.F.); #308223=ORIENTED_EDGE('',*,*,#220944,.F.); #308224=ORIENTED_EDGE('',*,*,#220945,.T.); #308225=ORIENTED_EDGE('',*,*,#220946,.F.); #308226=ORIENTED_EDGE('',*,*,#220945,.F.); #308227=ORIENTED_EDGE('',*,*,#220947,.F.); #308228=ORIENTED_EDGE('',*,*,#220948,.T.); #308229=ORIENTED_EDGE('',*,*,#220949,.F.); #308230=ORIENTED_EDGE('',*,*,#220948,.F.); #308231=ORIENTED_EDGE('',*,*,#220950,.F.); #308232=ORIENTED_EDGE('',*,*,#220951,.T.); #308233=ORIENTED_EDGE('',*,*,#220952,.F.); #308234=ORIENTED_EDGE('',*,*,#220951,.F.); #308235=ORIENTED_EDGE('',*,*,#220953,.F.); #308236=ORIENTED_EDGE('',*,*,#220954,.T.); #308237=ORIENTED_EDGE('',*,*,#220955,.F.); #308238=ORIENTED_EDGE('',*,*,#220954,.F.); #308239=ORIENTED_EDGE('',*,*,#220956,.F.); #308240=ORIENTED_EDGE('',*,*,#220957,.T.); #308241=ORIENTED_EDGE('',*,*,#220958,.F.); #308242=ORIENTED_EDGE('',*,*,#220957,.F.); #308243=ORIENTED_EDGE('',*,*,#220959,.F.); #308244=ORIENTED_EDGE('',*,*,#220960,.T.); #308245=ORIENTED_EDGE('',*,*,#220961,.F.); #308246=ORIENTED_EDGE('',*,*,#220960,.F.); #308247=ORIENTED_EDGE('',*,*,#220962,.F.); #308248=ORIENTED_EDGE('',*,*,#220963,.T.); #308249=ORIENTED_EDGE('',*,*,#220964,.F.); #308250=ORIENTED_EDGE('',*,*,#220963,.F.); #308251=ORIENTED_EDGE('',*,*,#220965,.F.); #308252=ORIENTED_EDGE('',*,*,#220966,.T.); #308253=ORIENTED_EDGE('',*,*,#220967,.F.); #308254=ORIENTED_EDGE('',*,*,#220966,.F.); #308255=ORIENTED_EDGE('',*,*,#220968,.F.); #308256=ORIENTED_EDGE('',*,*,#220969,.T.); #308257=ORIENTED_EDGE('',*,*,#220970,.F.); #308258=ORIENTED_EDGE('',*,*,#220969,.F.); #308259=ORIENTED_EDGE('',*,*,#220971,.F.); #308260=ORIENTED_EDGE('',*,*,#220972,.T.); #308261=ORIENTED_EDGE('',*,*,#220973,.F.); #308262=ORIENTED_EDGE('',*,*,#220972,.F.); #308263=ORIENTED_EDGE('',*,*,#220974,.F.); #308264=ORIENTED_EDGE('',*,*,#220975,.T.); #308265=ORIENTED_EDGE('',*,*,#220976,.F.); #308266=ORIENTED_EDGE('',*,*,#220975,.F.); #308267=ORIENTED_EDGE('',*,*,#220977,.F.); #308268=ORIENTED_EDGE('',*,*,#220978,.T.); #308269=ORIENTED_EDGE('',*,*,#220979,.F.); #308270=ORIENTED_EDGE('',*,*,#220978,.F.); #308271=ORIENTED_EDGE('',*,*,#220980,.F.); #308272=ORIENTED_EDGE('',*,*,#220981,.T.); #308273=ORIENTED_EDGE('',*,*,#220982,.F.); #308274=ORIENTED_EDGE('',*,*,#220981,.F.); #308275=ORIENTED_EDGE('',*,*,#220983,.F.); #308276=ORIENTED_EDGE('',*,*,#220984,.T.); #308277=ORIENTED_EDGE('',*,*,#220985,.F.); #308278=ORIENTED_EDGE('',*,*,#220984,.F.); #308279=ORIENTED_EDGE('',*,*,#220986,.F.); #308280=ORIENTED_EDGE('',*,*,#220987,.T.); #308281=ORIENTED_EDGE('',*,*,#220988,.F.); #308282=ORIENTED_EDGE('',*,*,#220987,.F.); #308283=ORIENTED_EDGE('',*,*,#220989,.F.); #308284=ORIENTED_EDGE('',*,*,#220990,.T.); #308285=ORIENTED_EDGE('',*,*,#220991,.F.); #308286=ORIENTED_EDGE('',*,*,#220990,.F.); #308287=ORIENTED_EDGE('',*,*,#220992,.F.); #308288=ORIENTED_EDGE('',*,*,#220993,.T.); #308289=ORIENTED_EDGE('',*,*,#220994,.F.); #308290=ORIENTED_EDGE('',*,*,#220993,.F.); #308291=ORIENTED_EDGE('',*,*,#220995,.F.); #308292=ORIENTED_EDGE('',*,*,#220996,.T.); #308293=ORIENTED_EDGE('',*,*,#220997,.F.); #308294=ORIENTED_EDGE('',*,*,#220996,.F.); #308295=ORIENTED_EDGE('',*,*,#220998,.F.); #308296=ORIENTED_EDGE('',*,*,#220999,.T.); #308297=ORIENTED_EDGE('',*,*,#221000,.F.); #308298=ORIENTED_EDGE('',*,*,#220999,.F.); #308299=ORIENTED_EDGE('',*,*,#221001,.F.); #308300=ORIENTED_EDGE('',*,*,#221002,.T.); #308301=ORIENTED_EDGE('',*,*,#221003,.F.); #308302=ORIENTED_EDGE('',*,*,#221002,.F.); #308303=ORIENTED_EDGE('',*,*,#221004,.F.); #308304=ORIENTED_EDGE('',*,*,#221005,.T.); #308305=ORIENTED_EDGE('',*,*,#221006,.F.); #308306=ORIENTED_EDGE('',*,*,#221005,.F.); #308307=ORIENTED_EDGE('',*,*,#221007,.F.); #308308=ORIENTED_EDGE('',*,*,#221008,.T.); #308309=ORIENTED_EDGE('',*,*,#221009,.F.); #308310=ORIENTED_EDGE('',*,*,#221008,.F.); #308311=ORIENTED_EDGE('',*,*,#221010,.F.); #308312=ORIENTED_EDGE('',*,*,#221011,.T.); #308313=ORIENTED_EDGE('',*,*,#221012,.F.); #308314=ORIENTED_EDGE('',*,*,#221011,.F.); #308315=ORIENTED_EDGE('',*,*,#221013,.F.); #308316=ORIENTED_EDGE('',*,*,#221014,.T.); #308317=ORIENTED_EDGE('',*,*,#221015,.F.); #308318=ORIENTED_EDGE('',*,*,#221014,.F.); #308319=ORIENTED_EDGE('',*,*,#221016,.F.); #308320=ORIENTED_EDGE('',*,*,#221017,.T.); #308321=ORIENTED_EDGE('',*,*,#221018,.F.); #308322=ORIENTED_EDGE('',*,*,#221017,.F.); #308323=ORIENTED_EDGE('',*,*,#221019,.F.); #308324=ORIENTED_EDGE('',*,*,#221020,.T.); #308325=ORIENTED_EDGE('',*,*,#221021,.F.); #308326=ORIENTED_EDGE('',*,*,#221020,.F.); #308327=ORIENTED_EDGE('',*,*,#221022,.F.); #308328=ORIENTED_EDGE('',*,*,#221023,.T.); #308329=ORIENTED_EDGE('',*,*,#221024,.F.); #308330=ORIENTED_EDGE('',*,*,#221023,.F.); #308331=ORIENTED_EDGE('',*,*,#221025,.F.); #308332=ORIENTED_EDGE('',*,*,#221026,.T.); #308333=ORIENTED_EDGE('',*,*,#221027,.F.); #308334=ORIENTED_EDGE('',*,*,#221026,.F.); #308335=ORIENTED_EDGE('',*,*,#221028,.F.); #308336=ORIENTED_EDGE('',*,*,#221029,.T.); #308337=ORIENTED_EDGE('',*,*,#221030,.F.); #308338=ORIENTED_EDGE('',*,*,#221029,.F.); #308339=ORIENTED_EDGE('',*,*,#221031,.F.); #308340=ORIENTED_EDGE('',*,*,#221032,.T.); #308341=ORIENTED_EDGE('',*,*,#221033,.F.); #308342=ORIENTED_EDGE('',*,*,#221032,.F.); #308343=ORIENTED_EDGE('',*,*,#221034,.F.); #308344=ORIENTED_EDGE('',*,*,#221035,.T.); #308345=ORIENTED_EDGE('',*,*,#221036,.F.); #308346=ORIENTED_EDGE('',*,*,#221035,.F.); #308347=ORIENTED_EDGE('',*,*,#221037,.F.); #308348=ORIENTED_EDGE('',*,*,#221038,.T.); #308349=ORIENTED_EDGE('',*,*,#221039,.F.); #308350=ORIENTED_EDGE('',*,*,#221038,.F.); #308351=ORIENTED_EDGE('',*,*,#221040,.F.); #308352=ORIENTED_EDGE('',*,*,#221041,.T.); #308353=ORIENTED_EDGE('',*,*,#221042,.F.); #308354=ORIENTED_EDGE('',*,*,#221041,.F.); #308355=ORIENTED_EDGE('',*,*,#221043,.F.); #308356=ORIENTED_EDGE('',*,*,#221044,.T.); #308357=ORIENTED_EDGE('',*,*,#221045,.F.); #308358=ORIENTED_EDGE('',*,*,#221044,.F.); #308359=ORIENTED_EDGE('',*,*,#221046,.F.); #308360=ORIENTED_EDGE('',*,*,#221047,.T.); #308361=ORIENTED_EDGE('',*,*,#221048,.F.); #308362=ORIENTED_EDGE('',*,*,#221047,.F.); #308363=ORIENTED_EDGE('',*,*,#221049,.F.); #308364=ORIENTED_EDGE('',*,*,#221050,.T.); #308365=ORIENTED_EDGE('',*,*,#221051,.F.); #308366=ORIENTED_EDGE('',*,*,#221050,.F.); #308367=ORIENTED_EDGE('',*,*,#221052,.F.); #308368=ORIENTED_EDGE('',*,*,#221053,.T.); #308369=ORIENTED_EDGE('',*,*,#221054,.F.); #308370=ORIENTED_EDGE('',*,*,#221053,.F.); #308371=ORIENTED_EDGE('',*,*,#221055,.F.); #308372=ORIENTED_EDGE('',*,*,#221056,.T.); #308373=ORIENTED_EDGE('',*,*,#221057,.F.); #308374=ORIENTED_EDGE('',*,*,#221056,.F.); #308375=ORIENTED_EDGE('',*,*,#221058,.F.); #308376=ORIENTED_EDGE('',*,*,#221059,.T.); #308377=ORIENTED_EDGE('',*,*,#221060,.F.); #308378=ORIENTED_EDGE('',*,*,#221059,.F.); #308379=ORIENTED_EDGE('',*,*,#221061,.F.); #308380=ORIENTED_EDGE('',*,*,#221062,.T.); #308381=ORIENTED_EDGE('',*,*,#221063,.F.); #308382=ORIENTED_EDGE('',*,*,#221062,.F.); #308383=ORIENTED_EDGE('',*,*,#221064,.F.); #308384=ORIENTED_EDGE('',*,*,#221065,.T.); #308385=ORIENTED_EDGE('',*,*,#221066,.F.); #308386=ORIENTED_EDGE('',*,*,#221065,.F.); #308387=ORIENTED_EDGE('',*,*,#221067,.F.); #308388=ORIENTED_EDGE('',*,*,#221068,.T.); #308389=ORIENTED_EDGE('',*,*,#221069,.F.); #308390=ORIENTED_EDGE('',*,*,#221068,.F.); #308391=ORIENTED_EDGE('',*,*,#221070,.F.); #308392=ORIENTED_EDGE('',*,*,#221071,.T.); #308393=ORIENTED_EDGE('',*,*,#221072,.F.); #308394=ORIENTED_EDGE('',*,*,#221071,.F.); #308395=ORIENTED_EDGE('',*,*,#221073,.F.); #308396=ORIENTED_EDGE('',*,*,#221074,.T.); #308397=ORIENTED_EDGE('',*,*,#221075,.F.); #308398=ORIENTED_EDGE('',*,*,#221074,.F.); #308399=ORIENTED_EDGE('',*,*,#221076,.F.); #308400=ORIENTED_EDGE('',*,*,#221077,.T.); #308401=ORIENTED_EDGE('',*,*,#221078,.F.); #308402=ORIENTED_EDGE('',*,*,#221077,.F.); #308403=ORIENTED_EDGE('',*,*,#221079,.F.); #308404=ORIENTED_EDGE('',*,*,#221080,.T.); #308405=ORIENTED_EDGE('',*,*,#221081,.F.); #308406=ORIENTED_EDGE('',*,*,#221080,.F.); #308407=ORIENTED_EDGE('',*,*,#221082,.F.); #308408=ORIENTED_EDGE('',*,*,#221083,.T.); #308409=ORIENTED_EDGE('',*,*,#221084,.F.); #308410=ORIENTED_EDGE('',*,*,#221083,.F.); #308411=ORIENTED_EDGE('',*,*,#221085,.F.); #308412=ORIENTED_EDGE('',*,*,#221086,.T.); #308413=ORIENTED_EDGE('',*,*,#221087,.F.); #308414=ORIENTED_EDGE('',*,*,#221086,.F.); #308415=ORIENTED_EDGE('',*,*,#221088,.F.); #308416=ORIENTED_EDGE('',*,*,#221089,.T.); #308417=ORIENTED_EDGE('',*,*,#221090,.F.); #308418=ORIENTED_EDGE('',*,*,#221089,.F.); #308419=ORIENTED_EDGE('',*,*,#221091,.F.); #308420=ORIENTED_EDGE('',*,*,#221092,.T.); #308421=ORIENTED_EDGE('',*,*,#221093,.F.); #308422=ORIENTED_EDGE('',*,*,#221092,.F.); #308423=ORIENTED_EDGE('',*,*,#221094,.F.); #308424=ORIENTED_EDGE('',*,*,#221095,.T.); #308425=ORIENTED_EDGE('',*,*,#221096,.F.); #308426=ORIENTED_EDGE('',*,*,#221095,.F.); #308427=ORIENTED_EDGE('',*,*,#221097,.F.); #308428=ORIENTED_EDGE('',*,*,#221098,.T.); #308429=ORIENTED_EDGE('',*,*,#221099,.F.); #308430=ORIENTED_EDGE('',*,*,#221098,.F.); #308431=ORIENTED_EDGE('',*,*,#221100,.F.); #308432=ORIENTED_EDGE('',*,*,#221101,.T.); #308433=ORIENTED_EDGE('',*,*,#221102,.F.); #308434=ORIENTED_EDGE('',*,*,#221101,.F.); #308435=ORIENTED_EDGE('',*,*,#221103,.F.); #308436=ORIENTED_EDGE('',*,*,#221104,.T.); #308437=ORIENTED_EDGE('',*,*,#221105,.F.); #308438=ORIENTED_EDGE('',*,*,#221104,.F.); #308439=ORIENTED_EDGE('',*,*,#221106,.F.); #308440=ORIENTED_EDGE('',*,*,#221107,.T.); #308441=ORIENTED_EDGE('',*,*,#221108,.F.); #308442=ORIENTED_EDGE('',*,*,#221107,.F.); #308443=ORIENTED_EDGE('',*,*,#221109,.F.); #308444=ORIENTED_EDGE('',*,*,#221110,.T.); #308445=ORIENTED_EDGE('',*,*,#221111,.F.); #308446=ORIENTED_EDGE('',*,*,#221110,.F.); #308447=ORIENTED_EDGE('',*,*,#221112,.F.); #308448=ORIENTED_EDGE('',*,*,#221113,.T.); #308449=ORIENTED_EDGE('',*,*,#221114,.F.); #308450=ORIENTED_EDGE('',*,*,#221113,.F.); #308451=ORIENTED_EDGE('',*,*,#221115,.F.); #308452=ORIENTED_EDGE('',*,*,#221116,.T.); #308453=ORIENTED_EDGE('',*,*,#221117,.F.); #308454=ORIENTED_EDGE('',*,*,#221116,.F.); #308455=ORIENTED_EDGE('',*,*,#221118,.F.); #308456=ORIENTED_EDGE('',*,*,#221119,.T.); #308457=ORIENTED_EDGE('',*,*,#221120,.F.); #308458=ORIENTED_EDGE('',*,*,#221119,.F.); #308459=ORIENTED_EDGE('',*,*,#221121,.F.); #308460=ORIENTED_EDGE('',*,*,#221122,.T.); #308461=ORIENTED_EDGE('',*,*,#221123,.F.); #308462=ORIENTED_EDGE('',*,*,#221122,.F.); #308463=ORIENTED_EDGE('',*,*,#221124,.F.); #308464=ORIENTED_EDGE('',*,*,#221125,.T.); #308465=ORIENTED_EDGE('',*,*,#221126,.F.); #308466=ORIENTED_EDGE('',*,*,#221125,.F.); #308467=ORIENTED_EDGE('',*,*,#221127,.F.); #308468=ORIENTED_EDGE('',*,*,#221128,.T.); #308469=ORIENTED_EDGE('',*,*,#221129,.F.); #308470=ORIENTED_EDGE('',*,*,#221128,.F.); #308471=ORIENTED_EDGE('',*,*,#221130,.F.); #308472=ORIENTED_EDGE('',*,*,#221131,.T.); #308473=ORIENTED_EDGE('',*,*,#221132,.F.); #308474=ORIENTED_EDGE('',*,*,#221131,.F.); #308475=ORIENTED_EDGE('',*,*,#221133,.F.); #308476=ORIENTED_EDGE('',*,*,#221134,.T.); #308477=ORIENTED_EDGE('',*,*,#221135,.F.); #308478=ORIENTED_EDGE('',*,*,#221134,.F.); #308479=ORIENTED_EDGE('',*,*,#221136,.F.); #308480=ORIENTED_EDGE('',*,*,#221137,.T.); #308481=ORIENTED_EDGE('',*,*,#221138,.F.); #308482=ORIENTED_EDGE('',*,*,#221137,.F.); #308483=ORIENTED_EDGE('',*,*,#221139,.F.); #308484=ORIENTED_EDGE('',*,*,#221140,.T.); #308485=ORIENTED_EDGE('',*,*,#221141,.F.); #308486=ORIENTED_EDGE('',*,*,#221140,.F.); #308487=ORIENTED_EDGE('',*,*,#221142,.F.); #308488=ORIENTED_EDGE('',*,*,#221143,.T.); #308489=ORIENTED_EDGE('',*,*,#221144,.F.); #308490=ORIENTED_EDGE('',*,*,#221143,.F.); #308491=ORIENTED_EDGE('',*,*,#221145,.F.); #308492=ORIENTED_EDGE('',*,*,#221146,.T.); #308493=ORIENTED_EDGE('',*,*,#221147,.F.); #308494=ORIENTED_EDGE('',*,*,#221146,.F.); #308495=ORIENTED_EDGE('',*,*,#221148,.F.); #308496=ORIENTED_EDGE('',*,*,#221149,.T.); #308497=ORIENTED_EDGE('',*,*,#221150,.F.); #308498=ORIENTED_EDGE('',*,*,#221149,.F.); #308499=ORIENTED_EDGE('',*,*,#221151,.F.); #308500=ORIENTED_EDGE('',*,*,#221152,.T.); #308501=ORIENTED_EDGE('',*,*,#221153,.F.); #308502=ORIENTED_EDGE('',*,*,#221152,.F.); #308503=ORIENTED_EDGE('',*,*,#221154,.F.); #308504=ORIENTED_EDGE('',*,*,#221155,.T.); #308505=ORIENTED_EDGE('',*,*,#221156,.F.); #308506=ORIENTED_EDGE('',*,*,#221155,.F.); #308507=ORIENTED_EDGE('',*,*,#221157,.F.); #308508=ORIENTED_EDGE('',*,*,#221158,.T.); #308509=ORIENTED_EDGE('',*,*,#221159,.F.); #308510=ORIENTED_EDGE('',*,*,#221158,.F.); #308511=ORIENTED_EDGE('',*,*,#221160,.F.); #308512=ORIENTED_EDGE('',*,*,#221161,.T.); #308513=ORIENTED_EDGE('',*,*,#221162,.F.); #308514=ORIENTED_EDGE('',*,*,#221161,.F.); #308515=ORIENTED_EDGE('',*,*,#221163,.F.); #308516=ORIENTED_EDGE('',*,*,#221164,.T.); #308517=ORIENTED_EDGE('',*,*,#221165,.F.); #308518=ORIENTED_EDGE('',*,*,#221164,.F.); #308519=ORIENTED_EDGE('',*,*,#221166,.F.); #308520=ORIENTED_EDGE('',*,*,#221167,.T.); #308521=ORIENTED_EDGE('',*,*,#221168,.F.); #308522=ORIENTED_EDGE('',*,*,#221167,.F.); #308523=ORIENTED_EDGE('',*,*,#221169,.F.); #308524=ORIENTED_EDGE('',*,*,#221170,.T.); #308525=ORIENTED_EDGE('',*,*,#221171,.F.); #308526=ORIENTED_EDGE('',*,*,#221170,.F.); #308527=ORIENTED_EDGE('',*,*,#221172,.F.); #308528=ORIENTED_EDGE('',*,*,#221173,.T.); #308529=ORIENTED_EDGE('',*,*,#221174,.F.); #308530=ORIENTED_EDGE('',*,*,#221173,.F.); #308531=ORIENTED_EDGE('',*,*,#221175,.F.); #308532=ORIENTED_EDGE('',*,*,#221176,.T.); #308533=ORIENTED_EDGE('',*,*,#221177,.F.); #308534=ORIENTED_EDGE('',*,*,#221176,.F.); #308535=ORIENTED_EDGE('',*,*,#221178,.F.); #308536=ORIENTED_EDGE('',*,*,#221179,.T.); #308537=ORIENTED_EDGE('',*,*,#221180,.F.); #308538=ORIENTED_EDGE('',*,*,#221179,.F.); #308539=ORIENTED_EDGE('',*,*,#221181,.F.); #308540=ORIENTED_EDGE('',*,*,#221182,.T.); #308541=ORIENTED_EDGE('',*,*,#221183,.F.); #308542=ORIENTED_EDGE('',*,*,#221182,.F.); #308543=ORIENTED_EDGE('',*,*,#221184,.F.); #308544=ORIENTED_EDGE('',*,*,#221185,.T.); #308545=ORIENTED_EDGE('',*,*,#221186,.F.); #308546=ORIENTED_EDGE('',*,*,#221185,.F.); #308547=ORIENTED_EDGE('',*,*,#221187,.F.); #308548=ORIENTED_EDGE('',*,*,#221188,.T.); #308549=ORIENTED_EDGE('',*,*,#221189,.F.); #308550=ORIENTED_EDGE('',*,*,#221188,.F.); #308551=ORIENTED_EDGE('',*,*,#221190,.F.); #308552=ORIENTED_EDGE('',*,*,#221191,.T.); #308553=ORIENTED_EDGE('',*,*,#221192,.F.); #308554=ORIENTED_EDGE('',*,*,#221191,.F.); #308555=ORIENTED_EDGE('',*,*,#221193,.F.); #308556=ORIENTED_EDGE('',*,*,#221194,.T.); #308557=ORIENTED_EDGE('',*,*,#221195,.F.); #308558=ORIENTED_EDGE('',*,*,#221194,.F.); #308559=ORIENTED_EDGE('',*,*,#221196,.F.); #308560=ORIENTED_EDGE('',*,*,#221197,.T.); #308561=ORIENTED_EDGE('',*,*,#221198,.F.); #308562=ORIENTED_EDGE('',*,*,#221197,.F.); #308563=ORIENTED_EDGE('',*,*,#221199,.F.); #308564=ORIENTED_EDGE('',*,*,#221200,.T.); #308565=ORIENTED_EDGE('',*,*,#221201,.F.); #308566=ORIENTED_EDGE('',*,*,#221200,.F.); #308567=ORIENTED_EDGE('',*,*,#221202,.F.); #308568=ORIENTED_EDGE('',*,*,#221203,.T.); #308569=ORIENTED_EDGE('',*,*,#221204,.F.); #308570=ORIENTED_EDGE('',*,*,#221203,.F.); #308571=ORIENTED_EDGE('',*,*,#221205,.F.); #308572=ORIENTED_EDGE('',*,*,#221206,.T.); #308573=ORIENTED_EDGE('',*,*,#221207,.F.); #308574=ORIENTED_EDGE('',*,*,#221206,.F.); #308575=ORIENTED_EDGE('',*,*,#221208,.F.); #308576=ORIENTED_EDGE('',*,*,#221209,.T.); #308577=ORIENTED_EDGE('',*,*,#221210,.F.); #308578=ORIENTED_EDGE('',*,*,#221209,.F.); #308579=ORIENTED_EDGE('',*,*,#221211,.F.); #308580=ORIENTED_EDGE('',*,*,#221212,.T.); #308581=ORIENTED_EDGE('',*,*,#221213,.F.); #308582=ORIENTED_EDGE('',*,*,#221212,.F.); #308583=ORIENTED_EDGE('',*,*,#221214,.F.); #308584=ORIENTED_EDGE('',*,*,#221215,.T.); #308585=ORIENTED_EDGE('',*,*,#221216,.F.); #308586=ORIENTED_EDGE('',*,*,#221215,.F.); #308587=ORIENTED_EDGE('',*,*,#221217,.F.); #308588=ORIENTED_EDGE('',*,*,#221218,.T.); #308589=ORIENTED_EDGE('',*,*,#221219,.F.); #308590=ORIENTED_EDGE('',*,*,#221218,.F.); #308591=ORIENTED_EDGE('',*,*,#221220,.F.); #308592=ORIENTED_EDGE('',*,*,#221221,.T.); #308593=ORIENTED_EDGE('',*,*,#221222,.F.); #308594=ORIENTED_EDGE('',*,*,#221221,.F.); #308595=ORIENTED_EDGE('',*,*,#221223,.F.); #308596=ORIENTED_EDGE('',*,*,#221224,.T.); #308597=ORIENTED_EDGE('',*,*,#221225,.F.); #308598=ORIENTED_EDGE('',*,*,#221224,.F.); #308599=ORIENTED_EDGE('',*,*,#221226,.F.); #308600=ORIENTED_EDGE('',*,*,#221227,.T.); #308601=ORIENTED_EDGE('',*,*,#221228,.F.); #308602=ORIENTED_EDGE('',*,*,#221227,.F.); #308603=ORIENTED_EDGE('',*,*,#221229,.F.); #308604=ORIENTED_EDGE('',*,*,#221230,.T.); #308605=ORIENTED_EDGE('',*,*,#221231,.F.); #308606=ORIENTED_EDGE('',*,*,#221230,.F.); #308607=ORIENTED_EDGE('',*,*,#221232,.F.); #308608=ORIENTED_EDGE('',*,*,#221233,.T.); #308609=ORIENTED_EDGE('',*,*,#221234,.F.); #308610=ORIENTED_EDGE('',*,*,#221233,.F.); #308611=ORIENTED_EDGE('',*,*,#221235,.F.); #308612=ORIENTED_EDGE('',*,*,#221236,.T.); #308613=ORIENTED_EDGE('',*,*,#221237,.F.); #308614=ORIENTED_EDGE('',*,*,#221236,.F.); #308615=ORIENTED_EDGE('',*,*,#221238,.F.); #308616=ORIENTED_EDGE('',*,*,#221239,.T.); #308617=ORIENTED_EDGE('',*,*,#221240,.F.); #308618=ORIENTED_EDGE('',*,*,#221239,.F.); #308619=ORIENTED_EDGE('',*,*,#221241,.F.); #308620=ORIENTED_EDGE('',*,*,#221242,.T.); #308621=ORIENTED_EDGE('',*,*,#221243,.F.); #308622=ORIENTED_EDGE('',*,*,#221242,.F.); #308623=ORIENTED_EDGE('',*,*,#221244,.F.); #308624=ORIENTED_EDGE('',*,*,#221245,.T.); #308625=ORIENTED_EDGE('',*,*,#221246,.F.); #308626=ORIENTED_EDGE('',*,*,#221245,.F.); #308627=ORIENTED_EDGE('',*,*,#221247,.F.); #308628=ORIENTED_EDGE('',*,*,#221248,.T.); #308629=ORIENTED_EDGE('',*,*,#221249,.F.); #308630=ORIENTED_EDGE('',*,*,#221248,.F.); #308631=ORIENTED_EDGE('',*,*,#221250,.F.); #308632=ORIENTED_EDGE('',*,*,#221251,.T.); #308633=ORIENTED_EDGE('',*,*,#221252,.F.); #308634=ORIENTED_EDGE('',*,*,#221251,.F.); #308635=ORIENTED_EDGE('',*,*,#221253,.F.); #308636=ORIENTED_EDGE('',*,*,#221254,.T.); #308637=ORIENTED_EDGE('',*,*,#221255,.F.); #308638=ORIENTED_EDGE('',*,*,#221254,.F.); #308639=ORIENTED_EDGE('',*,*,#221256,.F.); #308640=ORIENTED_EDGE('',*,*,#221257,.T.); #308641=ORIENTED_EDGE('',*,*,#221258,.F.); #308642=ORIENTED_EDGE('',*,*,#221257,.F.); #308643=ORIENTED_EDGE('',*,*,#221259,.F.); #308644=ORIENTED_EDGE('',*,*,#221260,.T.); #308645=ORIENTED_EDGE('',*,*,#221261,.F.); #308646=ORIENTED_EDGE('',*,*,#221260,.F.); #308647=ORIENTED_EDGE('',*,*,#221262,.F.); #308648=ORIENTED_EDGE('',*,*,#221263,.T.); #308649=ORIENTED_EDGE('',*,*,#221264,.F.); #308650=ORIENTED_EDGE('',*,*,#221263,.F.); #308651=ORIENTED_EDGE('',*,*,#221265,.F.); #308652=ORIENTED_EDGE('',*,*,#221266,.T.); #308653=ORIENTED_EDGE('',*,*,#221267,.F.); #308654=ORIENTED_EDGE('',*,*,#221266,.F.); #308655=ORIENTED_EDGE('',*,*,#221268,.F.); #308656=ORIENTED_EDGE('',*,*,#221269,.T.); #308657=ORIENTED_EDGE('',*,*,#221270,.F.); #308658=ORIENTED_EDGE('',*,*,#221269,.F.); #308659=ORIENTED_EDGE('',*,*,#221271,.F.); #308660=ORIENTED_EDGE('',*,*,#221272,.T.); #308661=ORIENTED_EDGE('',*,*,#221273,.F.); #308662=ORIENTED_EDGE('',*,*,#221272,.F.); #308663=ORIENTED_EDGE('',*,*,#221274,.F.); #308664=ORIENTED_EDGE('',*,*,#221275,.T.); #308665=ORIENTED_EDGE('',*,*,#221276,.F.); #308666=ORIENTED_EDGE('',*,*,#221275,.F.); #308667=ORIENTED_EDGE('',*,*,#221277,.F.); #308668=ORIENTED_EDGE('',*,*,#221278,.T.); #308669=ORIENTED_EDGE('',*,*,#221279,.F.); #308670=ORIENTED_EDGE('',*,*,#221278,.F.); #308671=ORIENTED_EDGE('',*,*,#221280,.F.); #308672=ORIENTED_EDGE('',*,*,#221281,.T.); #308673=ORIENTED_EDGE('',*,*,#221282,.F.); #308674=ORIENTED_EDGE('',*,*,#221281,.F.); #308675=ORIENTED_EDGE('',*,*,#221283,.F.); #308676=ORIENTED_EDGE('',*,*,#221284,.T.); #308677=ORIENTED_EDGE('',*,*,#221285,.F.); #308678=ORIENTED_EDGE('',*,*,#221284,.F.); #308679=ORIENTED_EDGE('',*,*,#221286,.F.); #308680=ORIENTED_EDGE('',*,*,#221287,.T.); #308681=ORIENTED_EDGE('',*,*,#221288,.F.); #308682=ORIENTED_EDGE('',*,*,#221287,.F.); #308683=ORIENTED_EDGE('',*,*,#221289,.F.); #308684=ORIENTED_EDGE('',*,*,#221290,.T.); #308685=ORIENTED_EDGE('',*,*,#221291,.F.); #308686=ORIENTED_EDGE('',*,*,#221290,.F.); #308687=ORIENTED_EDGE('',*,*,#221292,.F.); #308688=ORIENTED_EDGE('',*,*,#221293,.T.); #308689=ORIENTED_EDGE('',*,*,#221294,.F.); #308690=ORIENTED_EDGE('',*,*,#221293,.F.); #308691=ORIENTED_EDGE('',*,*,#221295,.F.); #308692=ORIENTED_EDGE('',*,*,#221296,.F.); #308693=ORIENTED_EDGE('',*,*,#221297,.F.); #308694=ORIENTED_EDGE('',*,*,#221298,.T.); #308695=ORIENTED_EDGE('',*,*,#221299,.F.); #308696=ORIENTED_EDGE('',*,*,#221298,.F.); #308697=ORIENTED_EDGE('',*,*,#221300,.F.); #308698=ORIENTED_EDGE('',*,*,#221301,.T.); #308699=ORIENTED_EDGE('',*,*,#221302,.F.); #308700=ORIENTED_EDGE('',*,*,#221301,.F.); #308701=ORIENTED_EDGE('',*,*,#221303,.F.); #308702=ORIENTED_EDGE('',*,*,#221304,.T.); #308703=ORIENTED_EDGE('',*,*,#221305,.F.); #308704=ORIENTED_EDGE('',*,*,#221304,.F.); #308705=ORIENTED_EDGE('',*,*,#221306,.F.); #308706=ORIENTED_EDGE('',*,*,#221296,.T.); #308707=ORIENTED_EDGE('',*,*,#221307,.F.); #308708=ORIENTED_EDGE('',*,*,#221308,.T.); #308709=ORIENTED_EDGE('',*,*,#221309,.F.); #308710=ORIENTED_EDGE('',*,*,#221308,.F.); #308711=ORIENTED_EDGE('',*,*,#221310,.F.); #308712=ORIENTED_EDGE('',*,*,#221311,.F.); #308713=ORIENTED_EDGE('',*,*,#221312,.F.); #308714=ORIENTED_EDGE('',*,*,#221313,.T.); #308715=ORIENTED_EDGE('',*,*,#221314,.F.); #308716=ORIENTED_EDGE('',*,*,#221313,.F.); #308717=ORIENTED_EDGE('',*,*,#221315,.F.); #308718=ORIENTED_EDGE('',*,*,#221316,.T.); #308719=ORIENTED_EDGE('',*,*,#221317,.F.); #308720=ORIENTED_EDGE('',*,*,#221316,.F.); #308721=ORIENTED_EDGE('',*,*,#221318,.F.); #308722=ORIENTED_EDGE('',*,*,#221319,.T.); #308723=ORIENTED_EDGE('',*,*,#221320,.F.); #308724=ORIENTED_EDGE('',*,*,#221319,.F.); #308725=ORIENTED_EDGE('',*,*,#221321,.F.); #308726=ORIENTED_EDGE('',*,*,#221311,.T.); #308727=ORIENTED_EDGE('',*,*,#221322,.F.); #308728=ORIENTED_EDGE('',*,*,#221323,.T.); #308729=ORIENTED_EDGE('',*,*,#221324,.F.); #308730=ORIENTED_EDGE('',*,*,#221323,.F.); #308731=ORIENTED_EDGE('',*,*,#221325,.F.); #308732=ORIENTED_EDGE('',*,*,#221326,.T.); #308733=ORIENTED_EDGE('',*,*,#221327,.F.); #308734=ORIENTED_EDGE('',*,*,#221326,.F.); #308735=ORIENTED_EDGE('',*,*,#221328,.F.); #308736=ORIENTED_EDGE('',*,*,#221329,.T.); #308737=ORIENTED_EDGE('',*,*,#221330,.F.); #308738=ORIENTED_EDGE('',*,*,#221329,.F.); #308739=ORIENTED_EDGE('',*,*,#221331,.F.); #308740=ORIENTED_EDGE('',*,*,#221332,.F.); #308741=ORIENTED_EDGE('',*,*,#221333,.F.); #308742=ORIENTED_EDGE('',*,*,#221334,.T.); #308743=ORIENTED_EDGE('',*,*,#221335,.F.); #308744=ORIENTED_EDGE('',*,*,#221334,.F.); #308745=ORIENTED_EDGE('',*,*,#221336,.F.); #308746=ORIENTED_EDGE('',*,*,#221337,.T.); #308747=ORIENTED_EDGE('',*,*,#221338,.F.); #308748=ORIENTED_EDGE('',*,*,#221337,.F.); #308749=ORIENTED_EDGE('',*,*,#221339,.F.); #308750=ORIENTED_EDGE('',*,*,#221340,.T.); #308751=ORIENTED_EDGE('',*,*,#221341,.F.); #308752=ORIENTED_EDGE('',*,*,#221340,.F.); #308753=ORIENTED_EDGE('',*,*,#221342,.F.); #308754=ORIENTED_EDGE('',*,*,#221332,.T.); #308755=ORIENTED_EDGE('',*,*,#221343,.F.); #308756=ORIENTED_EDGE('',*,*,#221344,.T.); #308757=ORIENTED_EDGE('',*,*,#221345,.F.); #308758=ORIENTED_EDGE('',*,*,#221344,.F.); #308759=ORIENTED_EDGE('',*,*,#221346,.F.); #308760=ORIENTED_EDGE('',*,*,#221347,.T.); #308761=ORIENTED_EDGE('',*,*,#221348,.F.); #308762=ORIENTED_EDGE('',*,*,#221347,.F.); #308763=ORIENTED_EDGE('',*,*,#221349,.F.); #308764=ORIENTED_EDGE('',*,*,#221350,.T.); #308765=ORIENTED_EDGE('',*,*,#221351,.F.); #308766=ORIENTED_EDGE('',*,*,#221350,.F.); #308767=ORIENTED_EDGE('',*,*,#221352,.F.); #308768=ORIENTED_EDGE('',*,*,#221353,.T.); #308769=ORIENTED_EDGE('',*,*,#221354,.F.); #308770=ORIENTED_EDGE('',*,*,#221353,.F.); #308771=ORIENTED_EDGE('',*,*,#221355,.F.); #308772=ORIENTED_EDGE('',*,*,#221356,.T.); #308773=ORIENTED_EDGE('',*,*,#221357,.F.); #308774=ORIENTED_EDGE('',*,*,#221356,.F.); #308775=ORIENTED_EDGE('',*,*,#221358,.F.); #308776=ORIENTED_EDGE('',*,*,#221359,.T.); #308777=ORIENTED_EDGE('',*,*,#221360,.F.); #308778=ORIENTED_EDGE('',*,*,#221359,.F.); #308779=ORIENTED_EDGE('',*,*,#221361,.F.); #308780=ORIENTED_EDGE('',*,*,#221362,.T.); #308781=ORIENTED_EDGE('',*,*,#221363,.F.); #308782=ORIENTED_EDGE('',*,*,#221362,.F.); #308783=ORIENTED_EDGE('',*,*,#221364,.F.); #308784=ORIENTED_EDGE('',*,*,#221365,.T.); #308785=ORIENTED_EDGE('',*,*,#221366,.F.); #308786=ORIENTED_EDGE('',*,*,#221365,.F.); #308787=ORIENTED_EDGE('',*,*,#221367,.F.); #308788=ORIENTED_EDGE('',*,*,#221368,.T.); #308789=ORIENTED_EDGE('',*,*,#221369,.F.); #308790=ORIENTED_EDGE('',*,*,#221368,.F.); #308791=ORIENTED_EDGE('',*,*,#221370,.F.); #308792=ORIENTED_EDGE('',*,*,#221371,.T.); #308793=ORIENTED_EDGE('',*,*,#221372,.F.); #308794=ORIENTED_EDGE('',*,*,#221371,.F.); #308795=ORIENTED_EDGE('',*,*,#221373,.F.); #308796=ORIENTED_EDGE('',*,*,#221374,.F.); #308797=ORIENTED_EDGE('',*,*,#221375,.F.); #308798=ORIENTED_EDGE('',*,*,#221376,.T.); #308799=ORIENTED_EDGE('',*,*,#221377,.F.); #308800=ORIENTED_EDGE('',*,*,#221376,.F.); #308801=ORIENTED_EDGE('',*,*,#221378,.F.); #308802=ORIENTED_EDGE('',*,*,#221379,.T.); #308803=ORIENTED_EDGE('',*,*,#221380,.F.); #308804=ORIENTED_EDGE('',*,*,#221379,.F.); #308805=ORIENTED_EDGE('',*,*,#221381,.F.); #308806=ORIENTED_EDGE('',*,*,#221382,.T.); #308807=ORIENTED_EDGE('',*,*,#221383,.F.); #308808=ORIENTED_EDGE('',*,*,#221382,.F.); #308809=ORIENTED_EDGE('',*,*,#221384,.F.); #308810=ORIENTED_EDGE('',*,*,#221374,.T.); #308811=ORIENTED_EDGE('',*,*,#221385,.F.); #308812=ORIENTED_EDGE('',*,*,#221386,.T.); #308813=ORIENTED_EDGE('',*,*,#221387,.F.); #308814=ORIENTED_EDGE('',*,*,#221386,.F.); #308815=ORIENTED_EDGE('',*,*,#221388,.F.); #308816=ORIENTED_EDGE('',*,*,#221389,.F.); #308817=ORIENTED_EDGE('',*,*,#221390,.F.); #308818=ORIENTED_EDGE('',*,*,#221391,.T.); #308819=ORIENTED_EDGE('',*,*,#221392,.F.); #308820=ORIENTED_EDGE('',*,*,#221391,.F.); #308821=ORIENTED_EDGE('',*,*,#221393,.F.); #308822=ORIENTED_EDGE('',*,*,#221394,.T.); #308823=ORIENTED_EDGE('',*,*,#221395,.F.); #308824=ORIENTED_EDGE('',*,*,#221394,.F.); #308825=ORIENTED_EDGE('',*,*,#221396,.F.); #308826=ORIENTED_EDGE('',*,*,#221397,.T.); #308827=ORIENTED_EDGE('',*,*,#221398,.F.); #308828=ORIENTED_EDGE('',*,*,#221397,.F.); #308829=ORIENTED_EDGE('',*,*,#221399,.F.); #308830=ORIENTED_EDGE('',*,*,#221389,.T.); #308831=ORIENTED_EDGE('',*,*,#221400,.F.); #308832=ORIENTED_EDGE('',*,*,#221401,.T.); #308833=ORIENTED_EDGE('',*,*,#221402,.F.); #308834=ORIENTED_EDGE('',*,*,#221401,.F.); #308835=ORIENTED_EDGE('',*,*,#221403,.F.); #308836=ORIENTED_EDGE('',*,*,#221404,.F.); #308837=ORIENTED_EDGE('',*,*,#221405,.F.); #308838=ORIENTED_EDGE('',*,*,#221406,.T.); #308839=ORIENTED_EDGE('',*,*,#221407,.F.); #308840=ORIENTED_EDGE('',*,*,#221406,.F.); #308841=ORIENTED_EDGE('',*,*,#221408,.F.); #308842=ORIENTED_EDGE('',*,*,#221409,.T.); #308843=ORIENTED_EDGE('',*,*,#221410,.F.); #308844=ORIENTED_EDGE('',*,*,#221409,.F.); #308845=ORIENTED_EDGE('',*,*,#221411,.F.); #308846=ORIENTED_EDGE('',*,*,#221412,.T.); #308847=ORIENTED_EDGE('',*,*,#221413,.F.); #308848=ORIENTED_EDGE('',*,*,#221412,.F.); #308849=ORIENTED_EDGE('',*,*,#221414,.F.); #308850=ORIENTED_EDGE('',*,*,#221404,.T.); #308851=ORIENTED_EDGE('',*,*,#221415,.F.); #308852=ORIENTED_EDGE('',*,*,#221416,.T.); #308853=ORIENTED_EDGE('',*,*,#221417,.F.); #308854=ORIENTED_EDGE('',*,*,#221416,.F.); #308855=ORIENTED_EDGE('',*,*,#221418,.F.); #308856=ORIENTED_EDGE('',*,*,#221419,.T.); #308857=ORIENTED_EDGE('',*,*,#221420,.F.); #308858=ORIENTED_EDGE('',*,*,#221419,.F.); #308859=ORIENTED_EDGE('',*,*,#221421,.F.); #308860=ORIENTED_EDGE('',*,*,#221422,.T.); #308861=ORIENTED_EDGE('',*,*,#221423,.F.); #308862=ORIENTED_EDGE('',*,*,#221422,.F.); #308863=ORIENTED_EDGE('',*,*,#221424,.F.); #308864=ORIENTED_EDGE('',*,*,#221425,.T.); #308865=ORIENTED_EDGE('',*,*,#221426,.F.); #308866=ORIENTED_EDGE('',*,*,#221425,.F.); #308867=ORIENTED_EDGE('',*,*,#221427,.F.); #308868=ORIENTED_EDGE('',*,*,#221428,.T.); #308869=ORIENTED_EDGE('',*,*,#221429,.F.); #308870=ORIENTED_EDGE('',*,*,#221428,.F.); #308871=ORIENTED_EDGE('',*,*,#221430,.F.); #308872=ORIENTED_EDGE('',*,*,#221431,.T.); #308873=ORIENTED_EDGE('',*,*,#221432,.F.); #308874=ORIENTED_EDGE('',*,*,#221431,.F.); #308875=ORIENTED_EDGE('',*,*,#221433,.F.); #308876=ORIENTED_EDGE('',*,*,#221434,.T.); #308877=ORIENTED_EDGE('',*,*,#221435,.F.); #308878=ORIENTED_EDGE('',*,*,#221434,.F.); #308879=ORIENTED_EDGE('',*,*,#221436,.F.); #308880=ORIENTED_EDGE('',*,*,#221437,.T.); #308881=ORIENTED_EDGE('',*,*,#221438,.F.); #308882=ORIENTED_EDGE('',*,*,#221437,.F.); #308883=ORIENTED_EDGE('',*,*,#221439,.F.); #308884=ORIENTED_EDGE('',*,*,#221440,.T.); #308885=ORIENTED_EDGE('',*,*,#221441,.F.); #308886=ORIENTED_EDGE('',*,*,#221440,.F.); #308887=ORIENTED_EDGE('',*,*,#221442,.F.); #308888=ORIENTED_EDGE('',*,*,#221443,.T.); #308889=ORIENTED_EDGE('',*,*,#221444,.F.); #308890=ORIENTED_EDGE('',*,*,#221443,.F.); #308891=ORIENTED_EDGE('',*,*,#221445,.F.); #308892=ORIENTED_EDGE('',*,*,#221446,.T.); #308893=ORIENTED_EDGE('',*,*,#221447,.F.); #308894=ORIENTED_EDGE('',*,*,#221446,.F.); #308895=ORIENTED_EDGE('',*,*,#221448,.F.); #308896=ORIENTED_EDGE('',*,*,#221449,.T.); #308897=ORIENTED_EDGE('',*,*,#221450,.F.); #308898=ORIENTED_EDGE('',*,*,#221449,.F.); #308899=ORIENTED_EDGE('',*,*,#221451,.F.); #308900=ORIENTED_EDGE('',*,*,#221452,.T.); #308901=ORIENTED_EDGE('',*,*,#221453,.F.); #308902=ORIENTED_EDGE('',*,*,#221452,.F.); #308903=ORIENTED_EDGE('',*,*,#221454,.F.); #308904=ORIENTED_EDGE('',*,*,#221455,.T.); #308905=ORIENTED_EDGE('',*,*,#221456,.F.); #308906=ORIENTED_EDGE('',*,*,#221455,.F.); #308907=ORIENTED_EDGE('',*,*,#221457,.F.); #308908=ORIENTED_EDGE('',*,*,#221458,.T.); #308909=ORIENTED_EDGE('',*,*,#221459,.F.); #308910=ORIENTED_EDGE('',*,*,#221458,.F.); #308911=ORIENTED_EDGE('',*,*,#221460,.F.); #308912=ORIENTED_EDGE('',*,*,#221461,.T.); #308913=ORIENTED_EDGE('',*,*,#221462,.F.); #308914=ORIENTED_EDGE('',*,*,#221461,.F.); #308915=ORIENTED_EDGE('',*,*,#221463,.F.); #308916=ORIENTED_EDGE('',*,*,#221464,.T.); #308917=ORIENTED_EDGE('',*,*,#221465,.F.); #308918=ORIENTED_EDGE('',*,*,#221464,.F.); #308919=ORIENTED_EDGE('',*,*,#221466,.F.); #308920=ORIENTED_EDGE('',*,*,#221467,.T.); #308921=ORIENTED_EDGE('',*,*,#221468,.F.); #308922=ORIENTED_EDGE('',*,*,#221467,.F.); #308923=ORIENTED_EDGE('',*,*,#221469,.F.); #308924=ORIENTED_EDGE('',*,*,#221470,.T.); #308925=ORIENTED_EDGE('',*,*,#221471,.F.); #308926=ORIENTED_EDGE('',*,*,#221470,.F.); #308927=ORIENTED_EDGE('',*,*,#221472,.F.); #308928=ORIENTED_EDGE('',*,*,#221473,.T.); #308929=ORIENTED_EDGE('',*,*,#221474,.F.); #308930=ORIENTED_EDGE('',*,*,#221473,.F.); #308931=ORIENTED_EDGE('',*,*,#221475,.F.); #308932=ORIENTED_EDGE('',*,*,#221476,.T.); #308933=ORIENTED_EDGE('',*,*,#221477,.F.); #308934=ORIENTED_EDGE('',*,*,#221476,.F.); #308935=ORIENTED_EDGE('',*,*,#221478,.F.); #308936=ORIENTED_EDGE('',*,*,#221479,.T.); #308937=ORIENTED_EDGE('',*,*,#221480,.F.); #308938=ORIENTED_EDGE('',*,*,#221479,.F.); #308939=ORIENTED_EDGE('',*,*,#221481,.F.); #308940=ORIENTED_EDGE('',*,*,#221482,.T.); #308941=ORIENTED_EDGE('',*,*,#221483,.F.); #308942=ORIENTED_EDGE('',*,*,#221482,.F.); #308943=ORIENTED_EDGE('',*,*,#221484,.F.); #308944=ORIENTED_EDGE('',*,*,#221485,.T.); #308945=ORIENTED_EDGE('',*,*,#221486,.F.); #308946=ORIENTED_EDGE('',*,*,#221485,.F.); #308947=ORIENTED_EDGE('',*,*,#221487,.F.); #308948=ORIENTED_EDGE('',*,*,#221488,.T.); #308949=ORIENTED_EDGE('',*,*,#221489,.F.); #308950=ORIENTED_EDGE('',*,*,#221488,.F.); #308951=ORIENTED_EDGE('',*,*,#221490,.F.); #308952=ORIENTED_EDGE('',*,*,#221491,.T.); #308953=ORIENTED_EDGE('',*,*,#221492,.F.); #308954=ORIENTED_EDGE('',*,*,#221491,.F.); #308955=ORIENTED_EDGE('',*,*,#221493,.F.); #308956=ORIENTED_EDGE('',*,*,#221494,.T.); #308957=ORIENTED_EDGE('',*,*,#221495,.F.); #308958=ORIENTED_EDGE('',*,*,#221494,.F.); #308959=ORIENTED_EDGE('',*,*,#221496,.F.); #308960=ORIENTED_EDGE('',*,*,#221497,.T.); #308961=ORIENTED_EDGE('',*,*,#221498,.F.); #308962=ORIENTED_EDGE('',*,*,#221497,.F.); #308963=ORIENTED_EDGE('',*,*,#221499,.F.); #308964=ORIENTED_EDGE('',*,*,#221500,.T.); #308965=ORIENTED_EDGE('',*,*,#221501,.F.); #308966=ORIENTED_EDGE('',*,*,#221500,.F.); #308967=ORIENTED_EDGE('',*,*,#221502,.F.); #308968=ORIENTED_EDGE('',*,*,#221503,.T.); #308969=ORIENTED_EDGE('',*,*,#221504,.F.); #308970=ORIENTED_EDGE('',*,*,#221503,.F.); #308971=ORIENTED_EDGE('',*,*,#221505,.F.); #308972=ORIENTED_EDGE('',*,*,#221506,.T.); #308973=ORIENTED_EDGE('',*,*,#221507,.F.); #308974=ORIENTED_EDGE('',*,*,#221506,.F.); #308975=ORIENTED_EDGE('',*,*,#221508,.F.); #308976=ORIENTED_EDGE('',*,*,#221509,.T.); #308977=ORIENTED_EDGE('',*,*,#221510,.F.); #308978=ORIENTED_EDGE('',*,*,#221509,.F.); #308979=ORIENTED_EDGE('',*,*,#221511,.F.); #308980=ORIENTED_EDGE('',*,*,#221512,.T.); #308981=ORIENTED_EDGE('',*,*,#221513,.F.); #308982=ORIENTED_EDGE('',*,*,#221512,.F.); #308983=ORIENTED_EDGE('',*,*,#221514,.F.); #308984=ORIENTED_EDGE('',*,*,#221515,.T.); #308985=ORIENTED_EDGE('',*,*,#221516,.F.); #308986=ORIENTED_EDGE('',*,*,#221515,.F.); #308987=ORIENTED_EDGE('',*,*,#221517,.F.); #308988=ORIENTED_EDGE('',*,*,#221518,.T.); #308989=ORIENTED_EDGE('',*,*,#221519,.F.); #308990=ORIENTED_EDGE('',*,*,#221518,.F.); #308991=ORIENTED_EDGE('',*,*,#221520,.F.); #308992=ORIENTED_EDGE('',*,*,#221521,.T.); #308993=ORIENTED_EDGE('',*,*,#221522,.F.); #308994=ORIENTED_EDGE('',*,*,#221521,.F.); #308995=ORIENTED_EDGE('',*,*,#221523,.F.); #308996=ORIENTED_EDGE('',*,*,#221524,.T.); #308997=ORIENTED_EDGE('',*,*,#221525,.F.); #308998=ORIENTED_EDGE('',*,*,#221524,.F.); #308999=ORIENTED_EDGE('',*,*,#221526,.F.); #309000=ORIENTED_EDGE('',*,*,#221527,.T.); #309001=ORIENTED_EDGE('',*,*,#221528,.F.); #309002=ORIENTED_EDGE('',*,*,#221527,.F.); #309003=ORIENTED_EDGE('',*,*,#221529,.F.); #309004=ORIENTED_EDGE('',*,*,#221530,.T.); #309005=ORIENTED_EDGE('',*,*,#221531,.F.); #309006=ORIENTED_EDGE('',*,*,#221530,.F.); #309007=ORIENTED_EDGE('',*,*,#221532,.F.); #309008=ORIENTED_EDGE('',*,*,#221533,.T.); #309009=ORIENTED_EDGE('',*,*,#221534,.F.); #309010=ORIENTED_EDGE('',*,*,#221533,.F.); #309011=ORIENTED_EDGE('',*,*,#221535,.F.); #309012=ORIENTED_EDGE('',*,*,#221536,.T.); #309013=ORIENTED_EDGE('',*,*,#221537,.F.); #309014=ORIENTED_EDGE('',*,*,#221536,.F.); #309015=ORIENTED_EDGE('',*,*,#221538,.F.); #309016=ORIENTED_EDGE('',*,*,#221539,.T.); #309017=ORIENTED_EDGE('',*,*,#221540,.F.); #309018=ORIENTED_EDGE('',*,*,#221539,.F.); #309019=ORIENTED_EDGE('',*,*,#221541,.F.); #309020=ORIENTED_EDGE('',*,*,#221542,.T.); #309021=ORIENTED_EDGE('',*,*,#221543,.F.); #309022=ORIENTED_EDGE('',*,*,#221542,.F.); #309023=ORIENTED_EDGE('',*,*,#221544,.F.); #309024=ORIENTED_EDGE('',*,*,#221545,.T.); #309025=ORIENTED_EDGE('',*,*,#221546,.F.); #309026=ORIENTED_EDGE('',*,*,#221545,.F.); #309027=ORIENTED_EDGE('',*,*,#221547,.F.); #309028=ORIENTED_EDGE('',*,*,#221548,.T.); #309029=ORIENTED_EDGE('',*,*,#221549,.F.); #309030=ORIENTED_EDGE('',*,*,#221548,.F.); #309031=ORIENTED_EDGE('',*,*,#221550,.F.); #309032=ORIENTED_EDGE('',*,*,#221551,.T.); #309033=ORIENTED_EDGE('',*,*,#221552,.F.); #309034=ORIENTED_EDGE('',*,*,#221551,.F.); #309035=ORIENTED_EDGE('',*,*,#221553,.F.); #309036=ORIENTED_EDGE('',*,*,#221554,.T.); #309037=ORIENTED_EDGE('',*,*,#221555,.F.); #309038=ORIENTED_EDGE('',*,*,#221554,.F.); #309039=ORIENTED_EDGE('',*,*,#221556,.F.); #309040=ORIENTED_EDGE('',*,*,#221557,.T.); #309041=ORIENTED_EDGE('',*,*,#221558,.F.); #309042=ORIENTED_EDGE('',*,*,#221557,.F.); #309043=ORIENTED_EDGE('',*,*,#221559,.F.); #309044=ORIENTED_EDGE('',*,*,#221560,.T.); #309045=ORIENTED_EDGE('',*,*,#221561,.F.); #309046=ORIENTED_EDGE('',*,*,#221560,.F.); #309047=ORIENTED_EDGE('',*,*,#221562,.F.); #309048=ORIENTED_EDGE('',*,*,#221563,.T.); #309049=ORIENTED_EDGE('',*,*,#221564,.F.); #309050=ORIENTED_EDGE('',*,*,#221563,.F.); #309051=ORIENTED_EDGE('',*,*,#221565,.F.); #309052=ORIENTED_EDGE('',*,*,#221566,.T.); #309053=ORIENTED_EDGE('',*,*,#221567,.F.); #309054=ORIENTED_EDGE('',*,*,#221566,.F.); #309055=ORIENTED_EDGE('',*,*,#221568,.F.); #309056=ORIENTED_EDGE('',*,*,#221569,.T.); #309057=ORIENTED_EDGE('',*,*,#221570,.F.); #309058=ORIENTED_EDGE('',*,*,#221569,.F.); #309059=ORIENTED_EDGE('',*,*,#221571,.F.); #309060=ORIENTED_EDGE('',*,*,#221572,.T.); #309061=ORIENTED_EDGE('',*,*,#221573,.F.); #309062=ORIENTED_EDGE('',*,*,#221572,.F.); #309063=ORIENTED_EDGE('',*,*,#221574,.F.); #309064=ORIENTED_EDGE('',*,*,#221575,.T.); #309065=ORIENTED_EDGE('',*,*,#221576,.F.); #309066=ORIENTED_EDGE('',*,*,#221575,.F.); #309067=ORIENTED_EDGE('',*,*,#221577,.F.); #309068=ORIENTED_EDGE('',*,*,#221578,.T.); #309069=ORIENTED_EDGE('',*,*,#221579,.F.); #309070=ORIENTED_EDGE('',*,*,#221578,.F.); #309071=ORIENTED_EDGE('',*,*,#221580,.F.); #309072=ORIENTED_EDGE('',*,*,#221581,.T.); #309073=ORIENTED_EDGE('',*,*,#221582,.F.); #309074=ORIENTED_EDGE('',*,*,#221581,.F.); #309075=ORIENTED_EDGE('',*,*,#221583,.F.); #309076=ORIENTED_EDGE('',*,*,#221584,.T.); #309077=ORIENTED_EDGE('',*,*,#221585,.F.); #309078=ORIENTED_EDGE('',*,*,#221584,.F.); #309079=ORIENTED_EDGE('',*,*,#221586,.F.); #309080=ORIENTED_EDGE('',*,*,#221587,.T.); #309081=ORIENTED_EDGE('',*,*,#221588,.F.); #309082=ORIENTED_EDGE('',*,*,#221587,.F.); #309083=ORIENTED_EDGE('',*,*,#221589,.F.); #309084=ORIENTED_EDGE('',*,*,#221590,.T.); #309085=ORIENTED_EDGE('',*,*,#221591,.F.); #309086=ORIENTED_EDGE('',*,*,#221590,.F.); #309087=ORIENTED_EDGE('',*,*,#221592,.F.); #309088=ORIENTED_EDGE('',*,*,#221593,.T.); #309089=ORIENTED_EDGE('',*,*,#221594,.F.); #309090=ORIENTED_EDGE('',*,*,#221593,.F.); #309091=ORIENTED_EDGE('',*,*,#221595,.F.); #309092=ORIENTED_EDGE('',*,*,#221596,.T.); #309093=ORIENTED_EDGE('',*,*,#221597,.F.); #309094=ORIENTED_EDGE('',*,*,#221596,.F.); #309095=ORIENTED_EDGE('',*,*,#221598,.F.); #309096=ORIENTED_EDGE('',*,*,#221599,.T.); #309097=ORIENTED_EDGE('',*,*,#221600,.F.); #309098=ORIENTED_EDGE('',*,*,#221599,.F.); #309099=ORIENTED_EDGE('',*,*,#221601,.F.); #309100=ORIENTED_EDGE('',*,*,#221602,.T.); #309101=ORIENTED_EDGE('',*,*,#221603,.F.); #309102=ORIENTED_EDGE('',*,*,#221602,.F.); #309103=ORIENTED_EDGE('',*,*,#221604,.F.); #309104=ORIENTED_EDGE('',*,*,#221605,.T.); #309105=ORIENTED_EDGE('',*,*,#221606,.F.); #309106=ORIENTED_EDGE('',*,*,#221605,.F.); #309107=ORIENTED_EDGE('',*,*,#221607,.F.); #309108=ORIENTED_EDGE('',*,*,#221608,.T.); #309109=ORIENTED_EDGE('',*,*,#221609,.F.); #309110=ORIENTED_EDGE('',*,*,#221608,.F.); #309111=ORIENTED_EDGE('',*,*,#221610,.F.); #309112=ORIENTED_EDGE('',*,*,#221611,.T.); #309113=ORIENTED_EDGE('',*,*,#221612,.F.); #309114=ORIENTED_EDGE('',*,*,#221611,.F.); #309115=ORIENTED_EDGE('',*,*,#221613,.F.); #309116=ORIENTED_EDGE('',*,*,#221614,.T.); #309117=ORIENTED_EDGE('',*,*,#221615,.F.); #309118=ORIENTED_EDGE('',*,*,#221614,.F.); #309119=ORIENTED_EDGE('',*,*,#221616,.F.); #309120=ORIENTED_EDGE('',*,*,#221617,.T.); #309121=ORIENTED_EDGE('',*,*,#221618,.F.); #309122=ORIENTED_EDGE('',*,*,#221617,.F.); #309123=ORIENTED_EDGE('',*,*,#221619,.F.); #309124=ORIENTED_EDGE('',*,*,#221620,.T.); #309125=ORIENTED_EDGE('',*,*,#221621,.F.); #309126=ORIENTED_EDGE('',*,*,#221620,.F.); #309127=ORIENTED_EDGE('',*,*,#221622,.F.); #309128=ORIENTED_EDGE('',*,*,#221623,.T.); #309129=ORIENTED_EDGE('',*,*,#221624,.F.); #309130=ORIENTED_EDGE('',*,*,#221623,.F.); #309131=ORIENTED_EDGE('',*,*,#221625,.F.); #309132=ORIENTED_EDGE('',*,*,#221626,.T.); #309133=ORIENTED_EDGE('',*,*,#221627,.F.); #309134=ORIENTED_EDGE('',*,*,#221626,.F.); #309135=ORIENTED_EDGE('',*,*,#221628,.F.); #309136=ORIENTED_EDGE('',*,*,#221629,.T.); #309137=ORIENTED_EDGE('',*,*,#221630,.F.); #309138=ORIENTED_EDGE('',*,*,#221629,.F.); #309139=ORIENTED_EDGE('',*,*,#221631,.F.); #309140=ORIENTED_EDGE('',*,*,#221632,.T.); #309141=ORIENTED_EDGE('',*,*,#221633,.F.); #309142=ORIENTED_EDGE('',*,*,#221632,.F.); #309143=ORIENTED_EDGE('',*,*,#221634,.F.); #309144=ORIENTED_EDGE('',*,*,#221635,.T.); #309145=ORIENTED_EDGE('',*,*,#221636,.F.); #309146=ORIENTED_EDGE('',*,*,#221635,.F.); #309147=ORIENTED_EDGE('',*,*,#221637,.F.); #309148=ORIENTED_EDGE('',*,*,#221638,.T.); #309149=ORIENTED_EDGE('',*,*,#221639,.F.); #309150=ORIENTED_EDGE('',*,*,#221638,.F.); #309151=ORIENTED_EDGE('',*,*,#221640,.F.); #309152=ORIENTED_EDGE('',*,*,#221641,.T.); #309153=ORIENTED_EDGE('',*,*,#221642,.F.); #309154=ORIENTED_EDGE('',*,*,#221641,.F.); #309155=ORIENTED_EDGE('',*,*,#221643,.F.); #309156=ORIENTED_EDGE('',*,*,#221644,.T.); #309157=ORIENTED_EDGE('',*,*,#221645,.F.); #309158=ORIENTED_EDGE('',*,*,#221644,.F.); #309159=ORIENTED_EDGE('',*,*,#221646,.F.); #309160=ORIENTED_EDGE('',*,*,#221647,.T.); #309161=ORIENTED_EDGE('',*,*,#221648,.F.); #309162=ORIENTED_EDGE('',*,*,#221647,.F.); #309163=ORIENTED_EDGE('',*,*,#221649,.F.); #309164=ORIENTED_EDGE('',*,*,#221650,.T.); #309165=ORIENTED_EDGE('',*,*,#221651,.F.); #309166=ORIENTED_EDGE('',*,*,#221650,.F.); #309167=ORIENTED_EDGE('',*,*,#221652,.F.); #309168=ORIENTED_EDGE('',*,*,#221653,.T.); #309169=ORIENTED_EDGE('',*,*,#221654,.F.); #309170=ORIENTED_EDGE('',*,*,#221653,.F.); #309171=ORIENTED_EDGE('',*,*,#221655,.F.); #309172=ORIENTED_EDGE('',*,*,#221656,.T.); #309173=ORIENTED_EDGE('',*,*,#221657,.F.); #309174=ORIENTED_EDGE('',*,*,#221656,.F.); #309175=ORIENTED_EDGE('',*,*,#221658,.F.); #309176=ORIENTED_EDGE('',*,*,#221659,.T.); #309177=ORIENTED_EDGE('',*,*,#221660,.F.); #309178=ORIENTED_EDGE('',*,*,#221659,.F.); #309179=ORIENTED_EDGE('',*,*,#221661,.F.); #309180=ORIENTED_EDGE('',*,*,#221662,.T.); #309181=ORIENTED_EDGE('',*,*,#221663,.F.); #309182=ORIENTED_EDGE('',*,*,#221662,.F.); #309183=ORIENTED_EDGE('',*,*,#221664,.F.); #309184=ORIENTED_EDGE('',*,*,#221665,.T.); #309185=ORIENTED_EDGE('',*,*,#221666,.F.); #309186=ORIENTED_EDGE('',*,*,#221665,.F.); #309187=ORIENTED_EDGE('',*,*,#221667,.F.); #309188=ORIENTED_EDGE('',*,*,#221668,.T.); #309189=ORIENTED_EDGE('',*,*,#221669,.F.); #309190=ORIENTED_EDGE('',*,*,#221668,.F.); #309191=ORIENTED_EDGE('',*,*,#221670,.F.); #309192=ORIENTED_EDGE('',*,*,#221671,.T.); #309193=ORIENTED_EDGE('',*,*,#221672,.F.); #309194=ORIENTED_EDGE('',*,*,#221671,.F.); #309195=ORIENTED_EDGE('',*,*,#221673,.F.); #309196=ORIENTED_EDGE('',*,*,#221674,.T.); #309197=ORIENTED_EDGE('',*,*,#221675,.F.); #309198=ORIENTED_EDGE('',*,*,#221674,.F.); #309199=ORIENTED_EDGE('',*,*,#221676,.F.); #309200=ORIENTED_EDGE('',*,*,#221677,.T.); #309201=ORIENTED_EDGE('',*,*,#221678,.F.); #309202=ORIENTED_EDGE('',*,*,#221677,.F.); #309203=ORIENTED_EDGE('',*,*,#221679,.F.); #309204=ORIENTED_EDGE('',*,*,#221680,.T.); #309205=ORIENTED_EDGE('',*,*,#221681,.F.); #309206=ORIENTED_EDGE('',*,*,#221680,.F.); #309207=ORIENTED_EDGE('',*,*,#221682,.F.); #309208=ORIENTED_EDGE('',*,*,#221683,.T.); #309209=ORIENTED_EDGE('',*,*,#221684,.F.); #309210=ORIENTED_EDGE('',*,*,#221683,.F.); #309211=ORIENTED_EDGE('',*,*,#221685,.F.); #309212=ORIENTED_EDGE('',*,*,#221686,.T.); #309213=ORIENTED_EDGE('',*,*,#221687,.F.); #309214=ORIENTED_EDGE('',*,*,#221686,.F.); #309215=ORIENTED_EDGE('',*,*,#221688,.F.); #309216=ORIENTED_EDGE('',*,*,#221689,.T.); #309217=ORIENTED_EDGE('',*,*,#221690,.F.); #309218=ORIENTED_EDGE('',*,*,#221689,.F.); #309219=ORIENTED_EDGE('',*,*,#221691,.F.); #309220=ORIENTED_EDGE('',*,*,#221692,.T.); #309221=ORIENTED_EDGE('',*,*,#221693,.F.); #309222=ORIENTED_EDGE('',*,*,#221692,.F.); #309223=ORIENTED_EDGE('',*,*,#221694,.F.); #309224=ORIENTED_EDGE('',*,*,#221695,.T.); #309225=ORIENTED_EDGE('',*,*,#221696,.F.); #309226=ORIENTED_EDGE('',*,*,#221695,.F.); #309227=ORIENTED_EDGE('',*,*,#221697,.F.); #309228=ORIENTED_EDGE('',*,*,#221698,.T.); #309229=ORIENTED_EDGE('',*,*,#221699,.F.); #309230=ORIENTED_EDGE('',*,*,#221698,.F.); #309231=ORIENTED_EDGE('',*,*,#221700,.F.); #309232=ORIENTED_EDGE('',*,*,#221701,.T.); #309233=ORIENTED_EDGE('',*,*,#221702,.F.); #309234=ORIENTED_EDGE('',*,*,#221701,.F.); #309235=ORIENTED_EDGE('',*,*,#221703,.F.); #309236=ORIENTED_EDGE('',*,*,#221704,.T.); #309237=ORIENTED_EDGE('',*,*,#221705,.F.); #309238=ORIENTED_EDGE('',*,*,#221704,.F.); #309239=ORIENTED_EDGE('',*,*,#221706,.F.); #309240=ORIENTED_EDGE('',*,*,#221707,.T.); #309241=ORIENTED_EDGE('',*,*,#221708,.F.); #309242=ORIENTED_EDGE('',*,*,#221707,.F.); #309243=ORIENTED_EDGE('',*,*,#221709,.F.); #309244=ORIENTED_EDGE('',*,*,#221710,.T.); #309245=ORIENTED_EDGE('',*,*,#221711,.F.); #309246=ORIENTED_EDGE('',*,*,#221710,.F.); #309247=ORIENTED_EDGE('',*,*,#221712,.F.); #309248=ORIENTED_EDGE('',*,*,#221713,.T.); #309249=ORIENTED_EDGE('',*,*,#221714,.F.); #309250=ORIENTED_EDGE('',*,*,#221713,.F.); #309251=ORIENTED_EDGE('',*,*,#221715,.F.); #309252=ORIENTED_EDGE('',*,*,#221716,.T.); #309253=ORIENTED_EDGE('',*,*,#221717,.F.); #309254=ORIENTED_EDGE('',*,*,#221716,.F.); #309255=ORIENTED_EDGE('',*,*,#221718,.F.); #309256=ORIENTED_EDGE('',*,*,#221719,.T.); #309257=ORIENTED_EDGE('',*,*,#221720,.F.); #309258=ORIENTED_EDGE('',*,*,#221719,.F.); #309259=ORIENTED_EDGE('',*,*,#221721,.F.); #309260=ORIENTED_EDGE('',*,*,#221722,.T.); #309261=ORIENTED_EDGE('',*,*,#221723,.F.); #309262=ORIENTED_EDGE('',*,*,#221722,.F.); #309263=ORIENTED_EDGE('',*,*,#221724,.F.); #309264=ORIENTED_EDGE('',*,*,#221725,.T.); #309265=ORIENTED_EDGE('',*,*,#221726,.F.); #309266=ORIENTED_EDGE('',*,*,#221725,.F.); #309267=ORIENTED_EDGE('',*,*,#221727,.F.); #309268=ORIENTED_EDGE('',*,*,#221728,.T.); #309269=ORIENTED_EDGE('',*,*,#221729,.F.); #309270=ORIENTED_EDGE('',*,*,#221728,.F.); #309271=ORIENTED_EDGE('',*,*,#221730,.F.); #309272=ORIENTED_EDGE('',*,*,#221731,.T.); #309273=ORIENTED_EDGE('',*,*,#221732,.F.); #309274=ORIENTED_EDGE('',*,*,#221731,.F.); #309275=ORIENTED_EDGE('',*,*,#221733,.F.); #309276=ORIENTED_EDGE('',*,*,#221734,.T.); #309277=ORIENTED_EDGE('',*,*,#221735,.F.); #309278=ORIENTED_EDGE('',*,*,#221734,.F.); #309279=ORIENTED_EDGE('',*,*,#221736,.F.); #309280=ORIENTED_EDGE('',*,*,#221737,.T.); #309281=ORIENTED_EDGE('',*,*,#221738,.F.); #309282=ORIENTED_EDGE('',*,*,#221737,.F.); #309283=ORIENTED_EDGE('',*,*,#221739,.F.); #309284=ORIENTED_EDGE('',*,*,#221740,.T.); #309285=ORIENTED_EDGE('',*,*,#221741,.F.); #309286=ORIENTED_EDGE('',*,*,#221740,.F.); #309287=ORIENTED_EDGE('',*,*,#221742,.F.); #309288=ORIENTED_EDGE('',*,*,#221743,.T.); #309289=ORIENTED_EDGE('',*,*,#221744,.F.); #309290=ORIENTED_EDGE('',*,*,#221743,.F.); #309291=ORIENTED_EDGE('',*,*,#221745,.F.); #309292=ORIENTED_EDGE('',*,*,#221746,.T.); #309293=ORIENTED_EDGE('',*,*,#221747,.F.); #309294=ORIENTED_EDGE('',*,*,#221746,.F.); #309295=ORIENTED_EDGE('',*,*,#221748,.F.); #309296=ORIENTED_EDGE('',*,*,#221749,.T.); #309297=ORIENTED_EDGE('',*,*,#221750,.F.); #309298=ORIENTED_EDGE('',*,*,#221749,.F.); #309299=ORIENTED_EDGE('',*,*,#221751,.F.); #309300=ORIENTED_EDGE('',*,*,#221752,.T.); #309301=ORIENTED_EDGE('',*,*,#221753,.F.); #309302=ORIENTED_EDGE('',*,*,#221752,.F.); #309303=ORIENTED_EDGE('',*,*,#221754,.F.); #309304=ORIENTED_EDGE('',*,*,#221755,.T.); #309305=ORIENTED_EDGE('',*,*,#221756,.F.); #309306=ORIENTED_EDGE('',*,*,#221755,.F.); #309307=ORIENTED_EDGE('',*,*,#221757,.F.); #309308=ORIENTED_EDGE('',*,*,#221758,.T.); #309309=ORIENTED_EDGE('',*,*,#221759,.F.); #309310=ORIENTED_EDGE('',*,*,#221758,.F.); #309311=ORIENTED_EDGE('',*,*,#221760,.F.); #309312=ORIENTED_EDGE('',*,*,#221761,.T.); #309313=ORIENTED_EDGE('',*,*,#221762,.F.); #309314=ORIENTED_EDGE('',*,*,#221761,.F.); #309315=ORIENTED_EDGE('',*,*,#221763,.F.); #309316=ORIENTED_EDGE('',*,*,#221764,.T.); #309317=ORIENTED_EDGE('',*,*,#221765,.F.); #309318=ORIENTED_EDGE('',*,*,#221764,.F.); #309319=ORIENTED_EDGE('',*,*,#221766,.F.); #309320=ORIENTED_EDGE('',*,*,#221767,.T.); #309321=ORIENTED_EDGE('',*,*,#221768,.F.); #309322=ORIENTED_EDGE('',*,*,#221767,.F.); #309323=ORIENTED_EDGE('',*,*,#221769,.F.); #309324=ORIENTED_EDGE('',*,*,#221770,.T.); #309325=ORIENTED_EDGE('',*,*,#221771,.F.); #309326=ORIENTED_EDGE('',*,*,#221770,.F.); #309327=ORIENTED_EDGE('',*,*,#221772,.F.); #309328=ORIENTED_EDGE('',*,*,#221773,.T.); #309329=ORIENTED_EDGE('',*,*,#221774,.F.); #309330=ORIENTED_EDGE('',*,*,#221773,.F.); #309331=ORIENTED_EDGE('',*,*,#221775,.F.); #309332=ORIENTED_EDGE('',*,*,#221776,.T.); #309333=ORIENTED_EDGE('',*,*,#221777,.F.); #309334=ORIENTED_EDGE('',*,*,#221776,.F.); #309335=ORIENTED_EDGE('',*,*,#221778,.F.); #309336=ORIENTED_EDGE('',*,*,#221779,.T.); #309337=ORIENTED_EDGE('',*,*,#221780,.F.); #309338=ORIENTED_EDGE('',*,*,#221779,.F.); #309339=ORIENTED_EDGE('',*,*,#221781,.F.); #309340=ORIENTED_EDGE('',*,*,#221782,.T.); #309341=ORIENTED_EDGE('',*,*,#221783,.F.); #309342=ORIENTED_EDGE('',*,*,#221782,.F.); #309343=ORIENTED_EDGE('',*,*,#221784,.F.); #309344=ORIENTED_EDGE('',*,*,#221785,.T.); #309345=ORIENTED_EDGE('',*,*,#221786,.F.); #309346=ORIENTED_EDGE('',*,*,#221785,.F.); #309347=ORIENTED_EDGE('',*,*,#221787,.F.); #309348=ORIENTED_EDGE('',*,*,#221788,.T.); #309349=ORIENTED_EDGE('',*,*,#221789,.F.); #309350=ORIENTED_EDGE('',*,*,#221788,.F.); #309351=ORIENTED_EDGE('',*,*,#221790,.F.); #309352=ORIENTED_EDGE('',*,*,#221791,.T.); #309353=ORIENTED_EDGE('',*,*,#221792,.F.); #309354=ORIENTED_EDGE('',*,*,#221791,.F.); #309355=ORIENTED_EDGE('',*,*,#221793,.F.); #309356=ORIENTED_EDGE('',*,*,#221794,.T.); #309357=ORIENTED_EDGE('',*,*,#221795,.F.); #309358=ORIENTED_EDGE('',*,*,#221794,.F.); #309359=ORIENTED_EDGE('',*,*,#221796,.F.); #309360=ORIENTED_EDGE('',*,*,#221797,.T.); #309361=ORIENTED_EDGE('',*,*,#221798,.F.); #309362=ORIENTED_EDGE('',*,*,#221797,.F.); #309363=ORIENTED_EDGE('',*,*,#221799,.F.); #309364=ORIENTED_EDGE('',*,*,#221800,.T.); #309365=ORIENTED_EDGE('',*,*,#221801,.F.); #309366=ORIENTED_EDGE('',*,*,#221800,.F.); #309367=ORIENTED_EDGE('',*,*,#221802,.F.); #309368=ORIENTED_EDGE('',*,*,#221803,.T.); #309369=ORIENTED_EDGE('',*,*,#221804,.F.); #309370=ORIENTED_EDGE('',*,*,#221803,.F.); #309371=ORIENTED_EDGE('',*,*,#221805,.F.); #309372=ORIENTED_EDGE('',*,*,#221806,.T.); #309373=ORIENTED_EDGE('',*,*,#221807,.F.); #309374=ORIENTED_EDGE('',*,*,#221806,.F.); #309375=ORIENTED_EDGE('',*,*,#221808,.F.); #309376=ORIENTED_EDGE('',*,*,#221809,.T.); #309377=ORIENTED_EDGE('',*,*,#221810,.F.); #309378=ORIENTED_EDGE('',*,*,#221809,.F.); #309379=ORIENTED_EDGE('',*,*,#221811,.F.); #309380=ORIENTED_EDGE('',*,*,#221812,.T.); #309381=ORIENTED_EDGE('',*,*,#221813,.F.); #309382=ORIENTED_EDGE('',*,*,#221812,.F.); #309383=ORIENTED_EDGE('',*,*,#221813,.T.); #309384=ORIENTED_EDGE('',*,*,#220806,.T.); #309385=ORIENTED_EDGE('',*,*,#220809,.T.); #309386=ORIENTED_EDGE('',*,*,#220814,.T.); #309387=ORIENTED_EDGE('',*,*,#220817,.T.); #309388=ORIENTED_EDGE('',*,*,#220820,.T.); #309389=ORIENTED_EDGE('',*,*,#220823,.T.); #309390=ORIENTED_EDGE('',*,*,#220826,.T.); #309391=ORIENTED_EDGE('',*,*,#220829,.T.); #309392=ORIENTED_EDGE('',*,*,#220832,.T.); #309393=ORIENTED_EDGE('',*,*,#220835,.T.); #309394=ORIENTED_EDGE('',*,*,#220838,.T.); #309395=ORIENTED_EDGE('',*,*,#220841,.T.); #309396=ORIENTED_EDGE('',*,*,#220844,.T.); #309397=ORIENTED_EDGE('',*,*,#220847,.T.); #309398=ORIENTED_EDGE('',*,*,#220850,.T.); #309399=ORIENTED_EDGE('',*,*,#220853,.T.); #309400=ORIENTED_EDGE('',*,*,#220856,.T.); #309401=ORIENTED_EDGE('',*,*,#220859,.T.); #309402=ORIENTED_EDGE('',*,*,#220862,.T.); #309403=ORIENTED_EDGE('',*,*,#220865,.T.); #309404=ORIENTED_EDGE('',*,*,#220868,.T.); #309405=ORIENTED_EDGE('',*,*,#220871,.T.); #309406=ORIENTED_EDGE('',*,*,#220874,.T.); #309407=ORIENTED_EDGE('',*,*,#220877,.T.); #309408=ORIENTED_EDGE('',*,*,#220880,.T.); #309409=ORIENTED_EDGE('',*,*,#220883,.T.); #309410=ORIENTED_EDGE('',*,*,#220886,.T.); #309411=ORIENTED_EDGE('',*,*,#220889,.T.); #309412=ORIENTED_EDGE('',*,*,#220892,.T.); #309413=ORIENTED_EDGE('',*,*,#220895,.T.); #309414=ORIENTED_EDGE('',*,*,#220898,.T.); #309415=ORIENTED_EDGE('',*,*,#220901,.T.); #309416=ORIENTED_EDGE('',*,*,#220904,.T.); #309417=ORIENTED_EDGE('',*,*,#220907,.T.); #309418=ORIENTED_EDGE('',*,*,#220910,.T.); #309419=ORIENTED_EDGE('',*,*,#220913,.T.); #309420=ORIENTED_EDGE('',*,*,#220916,.T.); #309421=ORIENTED_EDGE('',*,*,#220919,.T.); #309422=ORIENTED_EDGE('',*,*,#220922,.T.); #309423=ORIENTED_EDGE('',*,*,#220925,.T.); #309424=ORIENTED_EDGE('',*,*,#220928,.T.); #309425=ORIENTED_EDGE('',*,*,#220931,.T.); #309426=ORIENTED_EDGE('',*,*,#220934,.T.); #309427=ORIENTED_EDGE('',*,*,#220937,.T.); #309428=ORIENTED_EDGE('',*,*,#220940,.T.); #309429=ORIENTED_EDGE('',*,*,#220943,.T.); #309430=ORIENTED_EDGE('',*,*,#220946,.T.); #309431=ORIENTED_EDGE('',*,*,#220949,.T.); #309432=ORIENTED_EDGE('',*,*,#220952,.T.); #309433=ORIENTED_EDGE('',*,*,#220955,.T.); #309434=ORIENTED_EDGE('',*,*,#220958,.T.); #309435=ORIENTED_EDGE('',*,*,#220961,.T.); #309436=ORIENTED_EDGE('',*,*,#220964,.T.); #309437=ORIENTED_EDGE('',*,*,#220967,.T.); #309438=ORIENTED_EDGE('',*,*,#220970,.T.); #309439=ORIENTED_EDGE('',*,*,#220973,.T.); #309440=ORIENTED_EDGE('',*,*,#220976,.T.); #309441=ORIENTED_EDGE('',*,*,#220979,.T.); #309442=ORIENTED_EDGE('',*,*,#220982,.T.); #309443=ORIENTED_EDGE('',*,*,#220985,.T.); #309444=ORIENTED_EDGE('',*,*,#220988,.T.); #309445=ORIENTED_EDGE('',*,*,#220991,.T.); #309446=ORIENTED_EDGE('',*,*,#220994,.T.); #309447=ORIENTED_EDGE('',*,*,#220997,.T.); #309448=ORIENTED_EDGE('',*,*,#221000,.T.); #309449=ORIENTED_EDGE('',*,*,#221003,.T.); #309450=ORIENTED_EDGE('',*,*,#221006,.T.); #309451=ORIENTED_EDGE('',*,*,#221009,.T.); #309452=ORIENTED_EDGE('',*,*,#221012,.T.); #309453=ORIENTED_EDGE('',*,*,#221015,.T.); #309454=ORIENTED_EDGE('',*,*,#221018,.T.); #309455=ORIENTED_EDGE('',*,*,#221021,.T.); #309456=ORIENTED_EDGE('',*,*,#221024,.T.); #309457=ORIENTED_EDGE('',*,*,#221027,.T.); #309458=ORIENTED_EDGE('',*,*,#221030,.T.); #309459=ORIENTED_EDGE('',*,*,#221033,.T.); #309460=ORIENTED_EDGE('',*,*,#221036,.T.); #309461=ORIENTED_EDGE('',*,*,#221039,.T.); #309462=ORIENTED_EDGE('',*,*,#221042,.T.); #309463=ORIENTED_EDGE('',*,*,#221045,.T.); #309464=ORIENTED_EDGE('',*,*,#221048,.T.); #309465=ORIENTED_EDGE('',*,*,#221051,.T.); #309466=ORIENTED_EDGE('',*,*,#221054,.T.); #309467=ORIENTED_EDGE('',*,*,#221057,.T.); #309468=ORIENTED_EDGE('',*,*,#221060,.T.); #309469=ORIENTED_EDGE('',*,*,#221063,.T.); #309470=ORIENTED_EDGE('',*,*,#221066,.T.); #309471=ORIENTED_EDGE('',*,*,#221069,.T.); #309472=ORIENTED_EDGE('',*,*,#221072,.T.); #309473=ORIENTED_EDGE('',*,*,#221075,.T.); #309474=ORIENTED_EDGE('',*,*,#221078,.T.); #309475=ORIENTED_EDGE('',*,*,#221081,.T.); #309476=ORIENTED_EDGE('',*,*,#221084,.T.); #309477=ORIENTED_EDGE('',*,*,#221087,.T.); #309478=ORIENTED_EDGE('',*,*,#221090,.T.); #309479=ORIENTED_EDGE('',*,*,#221093,.T.); #309480=ORIENTED_EDGE('',*,*,#221096,.T.); #309481=ORIENTED_EDGE('',*,*,#221099,.T.); #309482=ORIENTED_EDGE('',*,*,#221102,.T.); #309483=ORIENTED_EDGE('',*,*,#221105,.T.); #309484=ORIENTED_EDGE('',*,*,#221108,.T.); #309485=ORIENTED_EDGE('',*,*,#221111,.T.); #309486=ORIENTED_EDGE('',*,*,#221114,.T.); #309487=ORIENTED_EDGE('',*,*,#221117,.T.); #309488=ORIENTED_EDGE('',*,*,#221120,.T.); #309489=ORIENTED_EDGE('',*,*,#221123,.T.); #309490=ORIENTED_EDGE('',*,*,#221126,.T.); #309491=ORIENTED_EDGE('',*,*,#221129,.T.); #309492=ORIENTED_EDGE('',*,*,#221132,.T.); #309493=ORIENTED_EDGE('',*,*,#221135,.T.); #309494=ORIENTED_EDGE('',*,*,#221138,.T.); #309495=ORIENTED_EDGE('',*,*,#221141,.T.); #309496=ORIENTED_EDGE('',*,*,#221144,.T.); #309497=ORIENTED_EDGE('',*,*,#221147,.T.); #309498=ORIENTED_EDGE('',*,*,#221150,.T.); #309499=ORIENTED_EDGE('',*,*,#221153,.T.); #309500=ORIENTED_EDGE('',*,*,#221156,.T.); #309501=ORIENTED_EDGE('',*,*,#221159,.T.); #309502=ORIENTED_EDGE('',*,*,#221162,.T.); #309503=ORIENTED_EDGE('',*,*,#221165,.T.); #309504=ORIENTED_EDGE('',*,*,#221168,.T.); #309505=ORIENTED_EDGE('',*,*,#221171,.T.); #309506=ORIENTED_EDGE('',*,*,#221174,.T.); #309507=ORIENTED_EDGE('',*,*,#221177,.T.); #309508=ORIENTED_EDGE('',*,*,#221180,.T.); #309509=ORIENTED_EDGE('',*,*,#221183,.T.); #309510=ORIENTED_EDGE('',*,*,#221186,.T.); #309511=ORIENTED_EDGE('',*,*,#221189,.T.); #309512=ORIENTED_EDGE('',*,*,#221192,.T.); #309513=ORIENTED_EDGE('',*,*,#221195,.T.); #309514=ORIENTED_EDGE('',*,*,#221198,.T.); #309515=ORIENTED_EDGE('',*,*,#221201,.T.); #309516=ORIENTED_EDGE('',*,*,#221204,.T.); #309517=ORIENTED_EDGE('',*,*,#221207,.T.); #309518=ORIENTED_EDGE('',*,*,#221210,.T.); #309519=ORIENTED_EDGE('',*,*,#221213,.T.); #309520=ORIENTED_EDGE('',*,*,#221216,.T.); #309521=ORIENTED_EDGE('',*,*,#221219,.T.); #309522=ORIENTED_EDGE('',*,*,#221222,.T.); #309523=ORIENTED_EDGE('',*,*,#221225,.T.); #309524=ORIENTED_EDGE('',*,*,#221228,.T.); #309525=ORIENTED_EDGE('',*,*,#221231,.T.); #309526=ORIENTED_EDGE('',*,*,#221234,.T.); #309527=ORIENTED_EDGE('',*,*,#221237,.T.); #309528=ORIENTED_EDGE('',*,*,#221240,.T.); #309529=ORIENTED_EDGE('',*,*,#221243,.T.); #309530=ORIENTED_EDGE('',*,*,#221246,.T.); #309531=ORIENTED_EDGE('',*,*,#221249,.T.); #309532=ORIENTED_EDGE('',*,*,#221252,.T.); #309533=ORIENTED_EDGE('',*,*,#221255,.T.); #309534=ORIENTED_EDGE('',*,*,#221258,.T.); #309535=ORIENTED_EDGE('',*,*,#221261,.T.); #309536=ORIENTED_EDGE('',*,*,#221264,.T.); #309537=ORIENTED_EDGE('',*,*,#221267,.T.); #309538=ORIENTED_EDGE('',*,*,#221270,.T.); #309539=ORIENTED_EDGE('',*,*,#221273,.T.); #309540=ORIENTED_EDGE('',*,*,#221276,.T.); #309541=ORIENTED_EDGE('',*,*,#221279,.T.); #309542=ORIENTED_EDGE('',*,*,#221282,.T.); #309543=ORIENTED_EDGE('',*,*,#221285,.T.); #309544=ORIENTED_EDGE('',*,*,#221288,.T.); #309545=ORIENTED_EDGE('',*,*,#221291,.T.); #309546=ORIENTED_EDGE('',*,*,#221294,.T.); #309547=ORIENTED_EDGE('',*,*,#221302,.T.); #309548=ORIENTED_EDGE('',*,*,#221305,.T.); #309549=ORIENTED_EDGE('',*,*,#221295,.T.); #309550=ORIENTED_EDGE('',*,*,#221299,.T.); #309551=ORIENTED_EDGE('',*,*,#221309,.T.); #309552=ORIENTED_EDGE('',*,*,#221317,.T.); #309553=ORIENTED_EDGE('',*,*,#221320,.T.); #309554=ORIENTED_EDGE('',*,*,#221310,.T.); #309555=ORIENTED_EDGE('',*,*,#221314,.T.); #309556=ORIENTED_EDGE('',*,*,#221324,.T.); #309557=ORIENTED_EDGE('',*,*,#221327,.T.); #309558=ORIENTED_EDGE('',*,*,#221330,.T.); #309559=ORIENTED_EDGE('',*,*,#221338,.T.); #309560=ORIENTED_EDGE('',*,*,#221341,.T.); #309561=ORIENTED_EDGE('',*,*,#221331,.T.); #309562=ORIENTED_EDGE('',*,*,#221335,.T.); #309563=ORIENTED_EDGE('',*,*,#221345,.T.); #309564=ORIENTED_EDGE('',*,*,#221348,.T.); #309565=ORIENTED_EDGE('',*,*,#221351,.T.); #309566=ORIENTED_EDGE('',*,*,#221354,.T.); #309567=ORIENTED_EDGE('',*,*,#221357,.T.); #309568=ORIENTED_EDGE('',*,*,#221360,.T.); #309569=ORIENTED_EDGE('',*,*,#221363,.T.); #309570=ORIENTED_EDGE('',*,*,#221366,.T.); #309571=ORIENTED_EDGE('',*,*,#221369,.T.); #309572=ORIENTED_EDGE('',*,*,#221372,.T.); #309573=ORIENTED_EDGE('',*,*,#221380,.T.); #309574=ORIENTED_EDGE('',*,*,#221383,.T.); #309575=ORIENTED_EDGE('',*,*,#221373,.T.); #309576=ORIENTED_EDGE('',*,*,#221377,.T.); #309577=ORIENTED_EDGE('',*,*,#221387,.T.); #309578=ORIENTED_EDGE('',*,*,#221395,.T.); #309579=ORIENTED_EDGE('',*,*,#221398,.T.); #309580=ORIENTED_EDGE('',*,*,#221388,.T.); #309581=ORIENTED_EDGE('',*,*,#221392,.T.); #309582=ORIENTED_EDGE('',*,*,#221402,.T.); #309583=ORIENTED_EDGE('',*,*,#221410,.T.); #309584=ORIENTED_EDGE('',*,*,#221413,.T.); #309585=ORIENTED_EDGE('',*,*,#221403,.T.); #309586=ORIENTED_EDGE('',*,*,#221407,.T.); #309587=ORIENTED_EDGE('',*,*,#221417,.T.); #309588=ORIENTED_EDGE('',*,*,#221420,.T.); #309589=ORIENTED_EDGE('',*,*,#221423,.T.); #309590=ORIENTED_EDGE('',*,*,#221426,.T.); #309591=ORIENTED_EDGE('',*,*,#221429,.T.); #309592=ORIENTED_EDGE('',*,*,#221432,.T.); #309593=ORIENTED_EDGE('',*,*,#221435,.T.); #309594=ORIENTED_EDGE('',*,*,#221438,.T.); #309595=ORIENTED_EDGE('',*,*,#221441,.T.); #309596=ORIENTED_EDGE('',*,*,#221444,.T.); #309597=ORIENTED_EDGE('',*,*,#221447,.T.); #309598=ORIENTED_EDGE('',*,*,#221450,.T.); #309599=ORIENTED_EDGE('',*,*,#221453,.T.); #309600=ORIENTED_EDGE('',*,*,#221456,.T.); #309601=ORIENTED_EDGE('',*,*,#221459,.T.); #309602=ORIENTED_EDGE('',*,*,#221462,.T.); #309603=ORIENTED_EDGE('',*,*,#221465,.T.); #309604=ORIENTED_EDGE('',*,*,#221468,.T.); #309605=ORIENTED_EDGE('',*,*,#221471,.T.); #309606=ORIENTED_EDGE('',*,*,#221474,.T.); #309607=ORIENTED_EDGE('',*,*,#221477,.T.); #309608=ORIENTED_EDGE('',*,*,#221480,.T.); #309609=ORIENTED_EDGE('',*,*,#221483,.T.); #309610=ORIENTED_EDGE('',*,*,#221486,.T.); #309611=ORIENTED_EDGE('',*,*,#221489,.T.); #309612=ORIENTED_EDGE('',*,*,#221492,.T.); #309613=ORIENTED_EDGE('',*,*,#221495,.T.); #309614=ORIENTED_EDGE('',*,*,#221498,.T.); #309615=ORIENTED_EDGE('',*,*,#221501,.T.); #309616=ORIENTED_EDGE('',*,*,#221504,.T.); #309617=ORIENTED_EDGE('',*,*,#221507,.T.); #309618=ORIENTED_EDGE('',*,*,#221510,.T.); #309619=ORIENTED_EDGE('',*,*,#221513,.T.); #309620=ORIENTED_EDGE('',*,*,#221516,.T.); #309621=ORIENTED_EDGE('',*,*,#221519,.T.); #309622=ORIENTED_EDGE('',*,*,#221522,.T.); #309623=ORIENTED_EDGE('',*,*,#221525,.T.); #309624=ORIENTED_EDGE('',*,*,#221528,.T.); #309625=ORIENTED_EDGE('',*,*,#221531,.T.); #309626=ORIENTED_EDGE('',*,*,#221534,.T.); #309627=ORIENTED_EDGE('',*,*,#221537,.T.); #309628=ORIENTED_EDGE('',*,*,#221540,.T.); #309629=ORIENTED_EDGE('',*,*,#221543,.T.); #309630=ORIENTED_EDGE('',*,*,#221546,.T.); #309631=ORIENTED_EDGE('',*,*,#221549,.T.); #309632=ORIENTED_EDGE('',*,*,#221552,.T.); #309633=ORIENTED_EDGE('',*,*,#221555,.T.); #309634=ORIENTED_EDGE('',*,*,#221558,.T.); #309635=ORIENTED_EDGE('',*,*,#221561,.T.); #309636=ORIENTED_EDGE('',*,*,#221564,.T.); #309637=ORIENTED_EDGE('',*,*,#221567,.T.); #309638=ORIENTED_EDGE('',*,*,#221570,.T.); #309639=ORIENTED_EDGE('',*,*,#221573,.T.); #309640=ORIENTED_EDGE('',*,*,#221576,.T.); #309641=ORIENTED_EDGE('',*,*,#221579,.T.); #309642=ORIENTED_EDGE('',*,*,#221582,.T.); #309643=ORIENTED_EDGE('',*,*,#221585,.T.); #309644=ORIENTED_EDGE('',*,*,#221588,.T.); #309645=ORIENTED_EDGE('',*,*,#221591,.T.); #309646=ORIENTED_EDGE('',*,*,#221594,.T.); #309647=ORIENTED_EDGE('',*,*,#221597,.T.); #309648=ORIENTED_EDGE('',*,*,#221600,.T.); #309649=ORIENTED_EDGE('',*,*,#221603,.T.); #309650=ORIENTED_EDGE('',*,*,#221606,.T.); #309651=ORIENTED_EDGE('',*,*,#221609,.T.); #309652=ORIENTED_EDGE('',*,*,#221612,.T.); #309653=ORIENTED_EDGE('',*,*,#221615,.T.); #309654=ORIENTED_EDGE('',*,*,#221618,.T.); #309655=ORIENTED_EDGE('',*,*,#221621,.T.); #309656=ORIENTED_EDGE('',*,*,#221624,.T.); #309657=ORIENTED_EDGE('',*,*,#221627,.T.); #309658=ORIENTED_EDGE('',*,*,#221630,.T.); #309659=ORIENTED_EDGE('',*,*,#221633,.T.); #309660=ORIENTED_EDGE('',*,*,#221636,.T.); #309661=ORIENTED_EDGE('',*,*,#221639,.T.); #309662=ORIENTED_EDGE('',*,*,#221642,.T.); #309663=ORIENTED_EDGE('',*,*,#221645,.T.); #309664=ORIENTED_EDGE('',*,*,#221648,.T.); #309665=ORIENTED_EDGE('',*,*,#221651,.T.); #309666=ORIENTED_EDGE('',*,*,#221654,.T.); #309667=ORIENTED_EDGE('',*,*,#221657,.T.); #309668=ORIENTED_EDGE('',*,*,#221660,.T.); #309669=ORIENTED_EDGE('',*,*,#221663,.T.); #309670=ORIENTED_EDGE('',*,*,#221666,.T.); #309671=ORIENTED_EDGE('',*,*,#221669,.T.); #309672=ORIENTED_EDGE('',*,*,#221672,.T.); #309673=ORIENTED_EDGE('',*,*,#221675,.T.); #309674=ORIENTED_EDGE('',*,*,#221678,.T.); #309675=ORIENTED_EDGE('',*,*,#221681,.T.); #309676=ORIENTED_EDGE('',*,*,#221684,.T.); #309677=ORIENTED_EDGE('',*,*,#221687,.T.); #309678=ORIENTED_EDGE('',*,*,#221690,.T.); #309679=ORIENTED_EDGE('',*,*,#221693,.T.); #309680=ORIENTED_EDGE('',*,*,#221696,.T.); #309681=ORIENTED_EDGE('',*,*,#221699,.T.); #309682=ORIENTED_EDGE('',*,*,#221702,.T.); #309683=ORIENTED_EDGE('',*,*,#221705,.T.); #309684=ORIENTED_EDGE('',*,*,#221708,.T.); #309685=ORIENTED_EDGE('',*,*,#221711,.T.); #309686=ORIENTED_EDGE('',*,*,#221714,.T.); #309687=ORIENTED_EDGE('',*,*,#221717,.T.); #309688=ORIENTED_EDGE('',*,*,#221720,.T.); #309689=ORIENTED_EDGE('',*,*,#221723,.T.); #309690=ORIENTED_EDGE('',*,*,#221726,.T.); #309691=ORIENTED_EDGE('',*,*,#221729,.T.); #309692=ORIENTED_EDGE('',*,*,#221732,.T.); #309693=ORIENTED_EDGE('',*,*,#221735,.T.); #309694=ORIENTED_EDGE('',*,*,#221738,.T.); #309695=ORIENTED_EDGE('',*,*,#221741,.T.); #309696=ORIENTED_EDGE('',*,*,#221744,.T.); #309697=ORIENTED_EDGE('',*,*,#221747,.T.); #309698=ORIENTED_EDGE('',*,*,#221750,.T.); #309699=ORIENTED_EDGE('',*,*,#221753,.T.); #309700=ORIENTED_EDGE('',*,*,#221756,.T.); #309701=ORIENTED_EDGE('',*,*,#221759,.T.); #309702=ORIENTED_EDGE('',*,*,#221762,.T.); #309703=ORIENTED_EDGE('',*,*,#221765,.T.); #309704=ORIENTED_EDGE('',*,*,#221768,.T.); #309705=ORIENTED_EDGE('',*,*,#221771,.T.); #309706=ORIENTED_EDGE('',*,*,#221774,.T.); #309707=ORIENTED_EDGE('',*,*,#221777,.T.); #309708=ORIENTED_EDGE('',*,*,#221780,.T.); #309709=ORIENTED_EDGE('',*,*,#221783,.T.); #309710=ORIENTED_EDGE('',*,*,#221786,.T.); #309711=ORIENTED_EDGE('',*,*,#221789,.T.); #309712=ORIENTED_EDGE('',*,*,#221792,.T.); #309713=ORIENTED_EDGE('',*,*,#221795,.T.); #309714=ORIENTED_EDGE('',*,*,#221798,.T.); #309715=ORIENTED_EDGE('',*,*,#221801,.T.); #309716=ORIENTED_EDGE('',*,*,#221804,.T.); #309717=ORIENTED_EDGE('',*,*,#221807,.T.); #309718=ORIENTED_EDGE('',*,*,#221810,.T.); #309719=ORIENTED_EDGE('',*,*,#221811,.T.); #309720=ORIENTED_EDGE('',*,*,#220808,.T.); #309721=ORIENTED_EDGE('',*,*,#220811,.T.); #309722=ORIENTED_EDGE('',*,*,#220812,.T.); #309723=ORIENTED_EDGE('',*,*,#220815,.T.); #309724=ORIENTED_EDGE('',*,*,#220818,.T.); #309725=ORIENTED_EDGE('',*,*,#220821,.T.); #309726=ORIENTED_EDGE('',*,*,#220824,.T.); #309727=ORIENTED_EDGE('',*,*,#220827,.T.); #309728=ORIENTED_EDGE('',*,*,#220830,.T.); #309729=ORIENTED_EDGE('',*,*,#220833,.T.); #309730=ORIENTED_EDGE('',*,*,#220836,.T.); #309731=ORIENTED_EDGE('',*,*,#220839,.T.); #309732=ORIENTED_EDGE('',*,*,#220842,.T.); #309733=ORIENTED_EDGE('',*,*,#220845,.T.); #309734=ORIENTED_EDGE('',*,*,#220848,.T.); #309735=ORIENTED_EDGE('',*,*,#220851,.T.); #309736=ORIENTED_EDGE('',*,*,#220854,.T.); #309737=ORIENTED_EDGE('',*,*,#220857,.T.); #309738=ORIENTED_EDGE('',*,*,#220860,.T.); #309739=ORIENTED_EDGE('',*,*,#220863,.T.); #309740=ORIENTED_EDGE('',*,*,#220866,.T.); #309741=ORIENTED_EDGE('',*,*,#220869,.T.); #309742=ORIENTED_EDGE('',*,*,#220872,.T.); #309743=ORIENTED_EDGE('',*,*,#220875,.T.); #309744=ORIENTED_EDGE('',*,*,#220878,.T.); #309745=ORIENTED_EDGE('',*,*,#220881,.T.); #309746=ORIENTED_EDGE('',*,*,#220884,.T.); #309747=ORIENTED_EDGE('',*,*,#220887,.T.); #309748=ORIENTED_EDGE('',*,*,#220890,.T.); #309749=ORIENTED_EDGE('',*,*,#220893,.T.); #309750=ORIENTED_EDGE('',*,*,#220896,.T.); #309751=ORIENTED_EDGE('',*,*,#220899,.T.); #309752=ORIENTED_EDGE('',*,*,#220902,.T.); #309753=ORIENTED_EDGE('',*,*,#220905,.T.); #309754=ORIENTED_EDGE('',*,*,#220908,.T.); #309755=ORIENTED_EDGE('',*,*,#220911,.T.); #309756=ORIENTED_EDGE('',*,*,#220914,.T.); #309757=ORIENTED_EDGE('',*,*,#220917,.T.); #309758=ORIENTED_EDGE('',*,*,#220920,.T.); #309759=ORIENTED_EDGE('',*,*,#220923,.T.); #309760=ORIENTED_EDGE('',*,*,#220926,.T.); #309761=ORIENTED_EDGE('',*,*,#220929,.T.); #309762=ORIENTED_EDGE('',*,*,#220932,.T.); #309763=ORIENTED_EDGE('',*,*,#220935,.T.); #309764=ORIENTED_EDGE('',*,*,#220938,.T.); #309765=ORIENTED_EDGE('',*,*,#220941,.T.); #309766=ORIENTED_EDGE('',*,*,#220944,.T.); #309767=ORIENTED_EDGE('',*,*,#220947,.T.); #309768=ORIENTED_EDGE('',*,*,#220950,.T.); #309769=ORIENTED_EDGE('',*,*,#220953,.T.); #309770=ORIENTED_EDGE('',*,*,#220956,.T.); #309771=ORIENTED_EDGE('',*,*,#220959,.T.); #309772=ORIENTED_EDGE('',*,*,#220962,.T.); #309773=ORIENTED_EDGE('',*,*,#220965,.T.); #309774=ORIENTED_EDGE('',*,*,#220968,.T.); #309775=ORIENTED_EDGE('',*,*,#220971,.T.); #309776=ORIENTED_EDGE('',*,*,#220974,.T.); #309777=ORIENTED_EDGE('',*,*,#220977,.T.); #309778=ORIENTED_EDGE('',*,*,#220980,.T.); #309779=ORIENTED_EDGE('',*,*,#220983,.T.); #309780=ORIENTED_EDGE('',*,*,#220986,.T.); #309781=ORIENTED_EDGE('',*,*,#220989,.T.); #309782=ORIENTED_EDGE('',*,*,#220992,.T.); #309783=ORIENTED_EDGE('',*,*,#220995,.T.); #309784=ORIENTED_EDGE('',*,*,#220998,.T.); #309785=ORIENTED_EDGE('',*,*,#221001,.T.); #309786=ORIENTED_EDGE('',*,*,#221004,.T.); #309787=ORIENTED_EDGE('',*,*,#221007,.T.); #309788=ORIENTED_EDGE('',*,*,#221010,.T.); #309789=ORIENTED_EDGE('',*,*,#221013,.T.); #309790=ORIENTED_EDGE('',*,*,#221016,.T.); #309791=ORIENTED_EDGE('',*,*,#221019,.T.); #309792=ORIENTED_EDGE('',*,*,#221022,.T.); #309793=ORIENTED_EDGE('',*,*,#221025,.T.); #309794=ORIENTED_EDGE('',*,*,#221028,.T.); #309795=ORIENTED_EDGE('',*,*,#221031,.T.); #309796=ORIENTED_EDGE('',*,*,#221034,.T.); #309797=ORIENTED_EDGE('',*,*,#221037,.T.); #309798=ORIENTED_EDGE('',*,*,#221040,.T.); #309799=ORIENTED_EDGE('',*,*,#221043,.T.); #309800=ORIENTED_EDGE('',*,*,#221046,.T.); #309801=ORIENTED_EDGE('',*,*,#221049,.T.); #309802=ORIENTED_EDGE('',*,*,#221052,.T.); #309803=ORIENTED_EDGE('',*,*,#221055,.T.); #309804=ORIENTED_EDGE('',*,*,#221058,.T.); #309805=ORIENTED_EDGE('',*,*,#221061,.T.); #309806=ORIENTED_EDGE('',*,*,#221064,.T.); #309807=ORIENTED_EDGE('',*,*,#221067,.T.); #309808=ORIENTED_EDGE('',*,*,#221070,.T.); #309809=ORIENTED_EDGE('',*,*,#221073,.T.); #309810=ORIENTED_EDGE('',*,*,#221076,.T.); #309811=ORIENTED_EDGE('',*,*,#221079,.T.); #309812=ORIENTED_EDGE('',*,*,#221082,.T.); #309813=ORIENTED_EDGE('',*,*,#221085,.T.); #309814=ORIENTED_EDGE('',*,*,#221088,.T.); #309815=ORIENTED_EDGE('',*,*,#221091,.T.); #309816=ORIENTED_EDGE('',*,*,#221094,.T.); #309817=ORIENTED_EDGE('',*,*,#221097,.T.); #309818=ORIENTED_EDGE('',*,*,#221100,.T.); #309819=ORIENTED_EDGE('',*,*,#221103,.T.); #309820=ORIENTED_EDGE('',*,*,#221106,.T.); #309821=ORIENTED_EDGE('',*,*,#221109,.T.); #309822=ORIENTED_EDGE('',*,*,#221112,.T.); #309823=ORIENTED_EDGE('',*,*,#221115,.T.); #309824=ORIENTED_EDGE('',*,*,#221118,.T.); #309825=ORIENTED_EDGE('',*,*,#221121,.T.); #309826=ORIENTED_EDGE('',*,*,#221124,.T.); #309827=ORIENTED_EDGE('',*,*,#221127,.T.); #309828=ORIENTED_EDGE('',*,*,#221130,.T.); #309829=ORIENTED_EDGE('',*,*,#221133,.T.); #309830=ORIENTED_EDGE('',*,*,#221136,.T.); #309831=ORIENTED_EDGE('',*,*,#221139,.T.); #309832=ORIENTED_EDGE('',*,*,#221142,.T.); #309833=ORIENTED_EDGE('',*,*,#221145,.T.); #309834=ORIENTED_EDGE('',*,*,#221148,.T.); #309835=ORIENTED_EDGE('',*,*,#221151,.T.); #309836=ORIENTED_EDGE('',*,*,#221154,.T.); #309837=ORIENTED_EDGE('',*,*,#221157,.T.); #309838=ORIENTED_EDGE('',*,*,#221160,.T.); #309839=ORIENTED_EDGE('',*,*,#221163,.T.); #309840=ORIENTED_EDGE('',*,*,#221166,.T.); #309841=ORIENTED_EDGE('',*,*,#221169,.T.); #309842=ORIENTED_EDGE('',*,*,#221172,.T.); #309843=ORIENTED_EDGE('',*,*,#221175,.T.); #309844=ORIENTED_EDGE('',*,*,#221178,.T.); #309845=ORIENTED_EDGE('',*,*,#221181,.T.); #309846=ORIENTED_EDGE('',*,*,#221184,.T.); #309847=ORIENTED_EDGE('',*,*,#221187,.T.); #309848=ORIENTED_EDGE('',*,*,#221190,.T.); #309849=ORIENTED_EDGE('',*,*,#221193,.T.); #309850=ORIENTED_EDGE('',*,*,#221196,.T.); #309851=ORIENTED_EDGE('',*,*,#221199,.T.); #309852=ORIENTED_EDGE('',*,*,#221202,.T.); #309853=ORIENTED_EDGE('',*,*,#221205,.T.); #309854=ORIENTED_EDGE('',*,*,#221208,.T.); #309855=ORIENTED_EDGE('',*,*,#221211,.T.); #309856=ORIENTED_EDGE('',*,*,#221214,.T.); #309857=ORIENTED_EDGE('',*,*,#221217,.T.); #309858=ORIENTED_EDGE('',*,*,#221220,.T.); #309859=ORIENTED_EDGE('',*,*,#221223,.T.); #309860=ORIENTED_EDGE('',*,*,#221226,.T.); #309861=ORIENTED_EDGE('',*,*,#221229,.T.); #309862=ORIENTED_EDGE('',*,*,#221232,.T.); #309863=ORIENTED_EDGE('',*,*,#221235,.T.); #309864=ORIENTED_EDGE('',*,*,#221238,.T.); #309865=ORIENTED_EDGE('',*,*,#221241,.T.); #309866=ORIENTED_EDGE('',*,*,#221244,.T.); #309867=ORIENTED_EDGE('',*,*,#221247,.T.); #309868=ORIENTED_EDGE('',*,*,#221250,.T.); #309869=ORIENTED_EDGE('',*,*,#221253,.T.); #309870=ORIENTED_EDGE('',*,*,#221256,.T.); #309871=ORIENTED_EDGE('',*,*,#221259,.T.); #309872=ORIENTED_EDGE('',*,*,#221262,.T.); #309873=ORIENTED_EDGE('',*,*,#221265,.T.); #309874=ORIENTED_EDGE('',*,*,#221268,.T.); #309875=ORIENTED_EDGE('',*,*,#221271,.T.); #309876=ORIENTED_EDGE('',*,*,#221274,.T.); #309877=ORIENTED_EDGE('',*,*,#221277,.T.); #309878=ORIENTED_EDGE('',*,*,#221280,.T.); #309879=ORIENTED_EDGE('',*,*,#221283,.T.); #309880=ORIENTED_EDGE('',*,*,#221286,.T.); #309881=ORIENTED_EDGE('',*,*,#221289,.T.); #309882=ORIENTED_EDGE('',*,*,#221292,.T.); #309883=ORIENTED_EDGE('',*,*,#221303,.T.); #309884=ORIENTED_EDGE('',*,*,#221300,.T.); #309885=ORIENTED_EDGE('',*,*,#221297,.T.); #309886=ORIENTED_EDGE('',*,*,#221306,.T.); #309887=ORIENTED_EDGE('',*,*,#221307,.T.); #309888=ORIENTED_EDGE('',*,*,#221318,.T.); #309889=ORIENTED_EDGE('',*,*,#221315,.T.); #309890=ORIENTED_EDGE('',*,*,#221312,.T.); #309891=ORIENTED_EDGE('',*,*,#221321,.T.); #309892=ORIENTED_EDGE('',*,*,#221322,.T.); #309893=ORIENTED_EDGE('',*,*,#221325,.T.); #309894=ORIENTED_EDGE('',*,*,#221328,.T.); #309895=ORIENTED_EDGE('',*,*,#221339,.T.); #309896=ORIENTED_EDGE('',*,*,#221336,.T.); #309897=ORIENTED_EDGE('',*,*,#221333,.T.); #309898=ORIENTED_EDGE('',*,*,#221342,.T.); #309899=ORIENTED_EDGE('',*,*,#221343,.T.); #309900=ORIENTED_EDGE('',*,*,#221346,.T.); #309901=ORIENTED_EDGE('',*,*,#221349,.T.); #309902=ORIENTED_EDGE('',*,*,#221352,.T.); #309903=ORIENTED_EDGE('',*,*,#221355,.T.); #309904=ORIENTED_EDGE('',*,*,#221358,.T.); #309905=ORIENTED_EDGE('',*,*,#221361,.T.); #309906=ORIENTED_EDGE('',*,*,#221364,.T.); #309907=ORIENTED_EDGE('',*,*,#221367,.T.); #309908=ORIENTED_EDGE('',*,*,#221370,.T.); #309909=ORIENTED_EDGE('',*,*,#221381,.T.); #309910=ORIENTED_EDGE('',*,*,#221378,.T.); #309911=ORIENTED_EDGE('',*,*,#221375,.T.); #309912=ORIENTED_EDGE('',*,*,#221384,.T.); #309913=ORIENTED_EDGE('',*,*,#221385,.T.); #309914=ORIENTED_EDGE('',*,*,#221396,.T.); #309915=ORIENTED_EDGE('',*,*,#221393,.T.); #309916=ORIENTED_EDGE('',*,*,#221390,.T.); #309917=ORIENTED_EDGE('',*,*,#221399,.T.); #309918=ORIENTED_EDGE('',*,*,#221400,.T.); #309919=ORIENTED_EDGE('',*,*,#221411,.T.); #309920=ORIENTED_EDGE('',*,*,#221408,.T.); #309921=ORIENTED_EDGE('',*,*,#221405,.T.); #309922=ORIENTED_EDGE('',*,*,#221414,.T.); #309923=ORIENTED_EDGE('',*,*,#221415,.T.); #309924=ORIENTED_EDGE('',*,*,#221418,.T.); #309925=ORIENTED_EDGE('',*,*,#221421,.T.); #309926=ORIENTED_EDGE('',*,*,#221424,.T.); #309927=ORIENTED_EDGE('',*,*,#221427,.T.); #309928=ORIENTED_EDGE('',*,*,#221430,.T.); #309929=ORIENTED_EDGE('',*,*,#221433,.T.); #309930=ORIENTED_EDGE('',*,*,#221436,.T.); #309931=ORIENTED_EDGE('',*,*,#221439,.T.); #309932=ORIENTED_EDGE('',*,*,#221442,.T.); #309933=ORIENTED_EDGE('',*,*,#221445,.T.); #309934=ORIENTED_EDGE('',*,*,#221448,.T.); #309935=ORIENTED_EDGE('',*,*,#221451,.T.); #309936=ORIENTED_EDGE('',*,*,#221454,.T.); #309937=ORIENTED_EDGE('',*,*,#221457,.T.); #309938=ORIENTED_EDGE('',*,*,#221460,.T.); #309939=ORIENTED_EDGE('',*,*,#221463,.T.); #309940=ORIENTED_EDGE('',*,*,#221466,.T.); #309941=ORIENTED_EDGE('',*,*,#221469,.T.); #309942=ORIENTED_EDGE('',*,*,#221472,.T.); #309943=ORIENTED_EDGE('',*,*,#221475,.T.); #309944=ORIENTED_EDGE('',*,*,#221478,.T.); #309945=ORIENTED_EDGE('',*,*,#221481,.T.); #309946=ORIENTED_EDGE('',*,*,#221484,.T.); #309947=ORIENTED_EDGE('',*,*,#221487,.T.); #309948=ORIENTED_EDGE('',*,*,#221490,.T.); #309949=ORIENTED_EDGE('',*,*,#221493,.T.); #309950=ORIENTED_EDGE('',*,*,#221496,.T.); #309951=ORIENTED_EDGE('',*,*,#221499,.T.); #309952=ORIENTED_EDGE('',*,*,#221502,.T.); #309953=ORIENTED_EDGE('',*,*,#221505,.T.); #309954=ORIENTED_EDGE('',*,*,#221508,.T.); #309955=ORIENTED_EDGE('',*,*,#221511,.T.); #309956=ORIENTED_EDGE('',*,*,#221514,.T.); #309957=ORIENTED_EDGE('',*,*,#221517,.T.); #309958=ORIENTED_EDGE('',*,*,#221520,.T.); #309959=ORIENTED_EDGE('',*,*,#221523,.T.); #309960=ORIENTED_EDGE('',*,*,#221526,.T.); #309961=ORIENTED_EDGE('',*,*,#221529,.T.); #309962=ORIENTED_EDGE('',*,*,#221532,.T.); #309963=ORIENTED_EDGE('',*,*,#221535,.T.); #309964=ORIENTED_EDGE('',*,*,#221538,.T.); #309965=ORIENTED_EDGE('',*,*,#221541,.T.); #309966=ORIENTED_EDGE('',*,*,#221544,.T.); #309967=ORIENTED_EDGE('',*,*,#221547,.T.); #309968=ORIENTED_EDGE('',*,*,#221550,.T.); #309969=ORIENTED_EDGE('',*,*,#221553,.T.); #309970=ORIENTED_EDGE('',*,*,#221556,.T.); #309971=ORIENTED_EDGE('',*,*,#221559,.T.); #309972=ORIENTED_EDGE('',*,*,#221562,.T.); #309973=ORIENTED_EDGE('',*,*,#221565,.T.); #309974=ORIENTED_EDGE('',*,*,#221568,.T.); #309975=ORIENTED_EDGE('',*,*,#221571,.T.); #309976=ORIENTED_EDGE('',*,*,#221574,.T.); #309977=ORIENTED_EDGE('',*,*,#221577,.T.); #309978=ORIENTED_EDGE('',*,*,#221580,.T.); #309979=ORIENTED_EDGE('',*,*,#221583,.T.); #309980=ORIENTED_EDGE('',*,*,#221586,.T.); #309981=ORIENTED_EDGE('',*,*,#221589,.T.); #309982=ORIENTED_EDGE('',*,*,#221592,.T.); #309983=ORIENTED_EDGE('',*,*,#221595,.T.); #309984=ORIENTED_EDGE('',*,*,#221598,.T.); #309985=ORIENTED_EDGE('',*,*,#221601,.T.); #309986=ORIENTED_EDGE('',*,*,#221604,.T.); #309987=ORIENTED_EDGE('',*,*,#221607,.T.); #309988=ORIENTED_EDGE('',*,*,#221610,.T.); #309989=ORIENTED_EDGE('',*,*,#221613,.T.); #309990=ORIENTED_EDGE('',*,*,#221616,.T.); #309991=ORIENTED_EDGE('',*,*,#221619,.T.); #309992=ORIENTED_EDGE('',*,*,#221622,.T.); #309993=ORIENTED_EDGE('',*,*,#221625,.T.); #309994=ORIENTED_EDGE('',*,*,#221628,.T.); #309995=ORIENTED_EDGE('',*,*,#221631,.T.); #309996=ORIENTED_EDGE('',*,*,#221634,.T.); #309997=ORIENTED_EDGE('',*,*,#221637,.T.); #309998=ORIENTED_EDGE('',*,*,#221640,.T.); #309999=ORIENTED_EDGE('',*,*,#221643,.T.); #310000=ORIENTED_EDGE('',*,*,#221646,.T.); #310001=ORIENTED_EDGE('',*,*,#221649,.T.); #310002=ORIENTED_EDGE('',*,*,#221652,.T.); #310003=ORIENTED_EDGE('',*,*,#221655,.T.); #310004=ORIENTED_EDGE('',*,*,#221658,.T.); #310005=ORIENTED_EDGE('',*,*,#221661,.T.); #310006=ORIENTED_EDGE('',*,*,#221664,.T.); #310007=ORIENTED_EDGE('',*,*,#221667,.T.); #310008=ORIENTED_EDGE('',*,*,#221670,.T.); #310009=ORIENTED_EDGE('',*,*,#221673,.T.); #310010=ORIENTED_EDGE('',*,*,#221676,.T.); #310011=ORIENTED_EDGE('',*,*,#221679,.T.); #310012=ORIENTED_EDGE('',*,*,#221682,.T.); #310013=ORIENTED_EDGE('',*,*,#221685,.T.); #310014=ORIENTED_EDGE('',*,*,#221688,.T.); #310015=ORIENTED_EDGE('',*,*,#221691,.T.); #310016=ORIENTED_EDGE('',*,*,#221694,.T.); #310017=ORIENTED_EDGE('',*,*,#221697,.T.); #310018=ORIENTED_EDGE('',*,*,#221700,.T.); #310019=ORIENTED_EDGE('',*,*,#221703,.T.); #310020=ORIENTED_EDGE('',*,*,#221706,.T.); #310021=ORIENTED_EDGE('',*,*,#221709,.T.); #310022=ORIENTED_EDGE('',*,*,#221712,.T.); #310023=ORIENTED_EDGE('',*,*,#221715,.T.); #310024=ORIENTED_EDGE('',*,*,#221718,.T.); #310025=ORIENTED_EDGE('',*,*,#221721,.T.); #310026=ORIENTED_EDGE('',*,*,#221724,.T.); #310027=ORIENTED_EDGE('',*,*,#221727,.T.); #310028=ORIENTED_EDGE('',*,*,#221730,.T.); #310029=ORIENTED_EDGE('',*,*,#221733,.T.); #310030=ORIENTED_EDGE('',*,*,#221736,.T.); #310031=ORIENTED_EDGE('',*,*,#221739,.T.); #310032=ORIENTED_EDGE('',*,*,#221742,.T.); #310033=ORIENTED_EDGE('',*,*,#221745,.T.); #310034=ORIENTED_EDGE('',*,*,#221748,.T.); #310035=ORIENTED_EDGE('',*,*,#221751,.T.); #310036=ORIENTED_EDGE('',*,*,#221754,.T.); #310037=ORIENTED_EDGE('',*,*,#221757,.T.); #310038=ORIENTED_EDGE('',*,*,#221760,.T.); #310039=ORIENTED_EDGE('',*,*,#221763,.T.); #310040=ORIENTED_EDGE('',*,*,#221766,.T.); #310041=ORIENTED_EDGE('',*,*,#221769,.T.); #310042=ORIENTED_EDGE('',*,*,#221772,.T.); #310043=ORIENTED_EDGE('',*,*,#221775,.T.); #310044=ORIENTED_EDGE('',*,*,#221778,.T.); #310045=ORIENTED_EDGE('',*,*,#221781,.T.); #310046=ORIENTED_EDGE('',*,*,#221784,.T.); #310047=ORIENTED_EDGE('',*,*,#221787,.T.); #310048=ORIENTED_EDGE('',*,*,#221790,.T.); #310049=ORIENTED_EDGE('',*,*,#221793,.T.); #310050=ORIENTED_EDGE('',*,*,#221796,.T.); #310051=ORIENTED_EDGE('',*,*,#221799,.T.); #310052=ORIENTED_EDGE('',*,*,#221802,.T.); #310053=ORIENTED_EDGE('',*,*,#221805,.T.); #310054=ORIENTED_EDGE('',*,*,#221808,.T.); #310055=ORIENTED_EDGE('',*,*,#221814,.T.); #310056=ORIENTED_EDGE('',*,*,#221815,.T.); #310057=ORIENTED_EDGE('',*,*,#221816,.T.); #310058=ORIENTED_EDGE('',*,*,#221817,.T.); #310059=ORIENTED_EDGE('',*,*,#221815,.F.); #310060=ORIENTED_EDGE('',*,*,#221818,.T.); #310061=ORIENTED_EDGE('',*,*,#221819,.F.); #310062=ORIENTED_EDGE('',*,*,#221820,.F.); #310063=ORIENTED_EDGE('',*,*,#221814,.F.); #310064=ORIENTED_EDGE('',*,*,#221821,.F.); #310065=ORIENTED_EDGE('',*,*,#221822,.T.); #310066=ORIENTED_EDGE('',*,*,#221823,.T.); #310067=ORIENTED_EDGE('',*,*,#221824,.F.); #310068=ORIENTED_EDGE('',*,*,#221825,.T.); #310069=ORIENTED_EDGE('',*,*,#221826,.T.); #310070=ORIENTED_EDGE('',*,*,#221827,.T.); #310071=ORIENTED_EDGE('',*,*,#221828,.F.); #310072=ORIENTED_EDGE('',*,*,#221829,.T.); #310073=ORIENTED_EDGE('',*,*,#221830,.T.); #310074=ORIENTED_EDGE('',*,*,#221831,.T.); #310075=ORIENTED_EDGE('',*,*,#221832,.F.); #310076=ORIENTED_EDGE('',*,*,#221833,.T.); #310077=ORIENTED_EDGE('',*,*,#221834,.T.); #310078=ORIENTED_EDGE('',*,*,#221835,.T.); #310079=ORIENTED_EDGE('',*,*,#221836,.F.); #310080=ORIENTED_EDGE('',*,*,#221837,.T.); #310081=ORIENTED_EDGE('',*,*,#221838,.T.); #310082=ORIENTED_EDGE('',*,*,#221839,.T.); #310083=ORIENTED_EDGE('',*,*,#221840,.F.); #310084=ORIENTED_EDGE('',*,*,#221841,.F.); #310085=ORIENTED_EDGE('',*,*,#221842,.T.); #310086=ORIENTED_EDGE('',*,*,#221843,.T.); #310087=ORIENTED_EDGE('',*,*,#221844,.F.); #310088=ORIENTED_EDGE('',*,*,#221818,.F.); #310089=ORIENTED_EDGE('',*,*,#221845,.T.); #310090=ORIENTED_EDGE('',*,*,#221819,.T.); #310091=ORIENTED_EDGE('',*,*,#221844,.T.); #310092=ORIENTED_EDGE('',*,*,#221846,.T.); #310093=ORIENTED_EDGE('',*,*,#221816,.F.); #310094=ORIENTED_EDGE('',*,*,#221820,.T.); #310095=ORIENTED_EDGE('',*,*,#221845,.F.); #310096=ORIENTED_EDGE('',*,*,#221847,.T.); #310097=ORIENTED_EDGE('',*,*,#221848,.F.); #310098=ORIENTED_EDGE('',*,*,#221849,.T.); #310099=ORIENTED_EDGE('',*,*,#221846,.F.); #310100=ORIENTED_EDGE('',*,*,#221843,.F.); #310101=ORIENTED_EDGE('',*,*,#221850,.F.); #310102=ORIENTED_EDGE('',*,*,#221851,.F.); #310103=ORIENTED_EDGE('',*,*,#221852,.F.); #310104=ORIENTED_EDGE('',*,*,#221853,.F.); #310105=ORIENTED_EDGE('',*,*,#221854,.F.); #310106=ORIENTED_EDGE('',*,*,#221847,.F.); #310107=ORIENTED_EDGE('',*,*,#221855,.F.); #310108=ORIENTED_EDGE('',*,*,#221856,.T.); #310109=ORIENTED_EDGE('',*,*,#221850,.T.); #310110=ORIENTED_EDGE('',*,*,#221842,.F.); #310111=ORIENTED_EDGE('',*,*,#221857,.F.); #310112=ORIENTED_EDGE('',*,*,#221858,.T.); #310113=ORIENTED_EDGE('',*,*,#221859,.T.); #310114=ORIENTED_EDGE('',*,*,#221822,.F.); #310115=ORIENTED_EDGE('',*,*,#221860,.F.); #310116=ORIENTED_EDGE('',*,*,#221861,.F.); #310117=ORIENTED_EDGE('',*,*,#221862,.T.); #310118=ORIENTED_EDGE('',*,*,#221863,.T.); #310119=ORIENTED_EDGE('',*,*,#221864,.F.); #310120=ORIENTED_EDGE('',*,*,#221865,.F.); #310121=ORIENTED_EDGE('',*,*,#221866,.T.); #310122=ORIENTED_EDGE('',*,*,#221867,.T.); #310123=ORIENTED_EDGE('',*,*,#221868,.F.); #310124=ORIENTED_EDGE('',*,*,#221869,.F.); #310125=ORIENTED_EDGE('',*,*,#221870,.T.); #310126=ORIENTED_EDGE('',*,*,#221871,.T.); #310127=ORIENTED_EDGE('',*,*,#221872,.F.); #310128=ORIENTED_EDGE('',*,*,#221873,.F.); #310129=ORIENTED_EDGE('',*,*,#221874,.T.); #310130=ORIENTED_EDGE('',*,*,#221875,.T.); #310131=ORIENTED_EDGE('',*,*,#221876,.T.); #310132=ORIENTED_EDGE('',*,*,#221877,.F.); #310133=ORIENTED_EDGE('',*,*,#221826,.F.); #310134=ORIENTED_EDGE('',*,*,#221878,.T.); #310135=ORIENTED_EDGE('',*,*,#221879,.F.); #310136=ORIENTED_EDGE('',*,*,#221880,.T.); #310137=ORIENTED_EDGE('',*,*,#221881,.T.); #310138=ORIENTED_EDGE('',*,*,#221882,.F.); #310139=ORIENTED_EDGE('',*,*,#221883,.T.); #310140=ORIENTED_EDGE('',*,*,#221884,.F.); #310141=ORIENTED_EDGE('',*,*,#221830,.F.); #310142=ORIENTED_EDGE('',*,*,#221885,.T.); #310143=ORIENTED_EDGE('',*,*,#221886,.F.); #310144=ORIENTED_EDGE('',*,*,#221887,.T.); #310145=ORIENTED_EDGE('',*,*,#221888,.T.); #310146=ORIENTED_EDGE('',*,*,#221889,.F.); #310147=ORIENTED_EDGE('',*,*,#221890,.T.); #310148=ORIENTED_EDGE('',*,*,#221891,.F.); #310149=ORIENTED_EDGE('',*,*,#221834,.F.); #310150=ORIENTED_EDGE('',*,*,#221892,.T.); #310151=ORIENTED_EDGE('',*,*,#221893,.F.); #310152=ORIENTED_EDGE('',*,*,#221894,.T.); #310153=ORIENTED_EDGE('',*,*,#221895,.T.); #310154=ORIENTED_EDGE('',*,*,#221896,.F.); #310155=ORIENTED_EDGE('',*,*,#221897,.F.); #310156=ORIENTED_EDGE('',*,*,#221898,.T.); #310157=ORIENTED_EDGE('',*,*,#221828,.T.); #310158=ORIENTED_EDGE('',*,*,#221899,.T.); #310159=ORIENTED_EDGE('',*,*,#221900,.F.); #310160=ORIENTED_EDGE('',*,*,#221901,.T.); #310161=ORIENTED_EDGE('',*,*,#221824,.T.); #310162=ORIENTED_EDGE('',*,*,#221902,.T.); #310163=ORIENTED_EDGE('',*,*,#221903,.T.); #310164=ORIENTED_EDGE('',*,*,#221904,.F.); #310165=ORIENTED_EDGE('',*,*,#221838,.F.); #310166=ORIENTED_EDGE('',*,*,#221905,.T.); #310167=ORIENTED_EDGE('',*,*,#221906,.F.); #310168=ORIENTED_EDGE('',*,*,#221907,.T.); #310169=ORIENTED_EDGE('',*,*,#221908,.T.); #310170=ORIENTED_EDGE('',*,*,#221909,.F.); #310171=ORIENTED_EDGE('',*,*,#221836,.T.); #310172=ORIENTED_EDGE('',*,*,#221910,.T.); #310173=ORIENTED_EDGE('',*,*,#221911,.F.); #310174=ORIENTED_EDGE('',*,*,#221912,.T.); #310175=ORIENTED_EDGE('',*,*,#221890,.F.); #310176=ORIENTED_EDGE('',*,*,#221913,.F.); #310177=ORIENTED_EDGE('',*,*,#221914,.T.); #310178=ORIENTED_EDGE('',*,*,#221915,.F.); #310179=ORIENTED_EDGE('',*,*,#221883,.F.); #310180=ORIENTED_EDGE('',*,*,#221916,.F.); #310181=ORIENTED_EDGE('',*,*,#221897,.T.); #310182=ORIENTED_EDGE('',*,*,#221917,.F.); #310183=ORIENTED_EDGE('',*,*,#221876,.F.); #310184=ORIENTED_EDGE('',*,*,#221918,.F.); #310185=ORIENTED_EDGE('',*,*,#221900,.T.); #310186=ORIENTED_EDGE('',*,*,#221919,.T.); #310187=ORIENTED_EDGE('',*,*,#221858,.F.); #310188=ORIENTED_EDGE('',*,*,#221920,.F.); #310189=ORIENTED_EDGE('',*,*,#221921,.T.); #310190=ORIENTED_EDGE('',*,*,#221922,.F.); #310191=ORIENTED_EDGE('',*,*,#221903,.F.); #310192=ORIENTED_EDGE('',*,*,#221923,.F.); #310193=ORIENTED_EDGE('',*,*,#221911,.T.); #310194=ORIENTED_EDGE('',*,*,#221924,.F.); #310195=ORIENTED_EDGE('',*,*,#221840,.T.); #310196=ORIENTED_EDGE('',*,*,#221925,.T.); #310197=ORIENTED_EDGE('',*,*,#221921,.F.); #310198=ORIENTED_EDGE('',*,*,#221926,.F.); #310199=ORIENTED_EDGE('',*,*,#221920,.T.); #310200=ORIENTED_EDGE('',*,*,#221857,.T.); #310201=ORIENTED_EDGE('',*,*,#221841,.T.); #310202=ORIENTED_EDGE('',*,*,#221926,.T.); #310203=ORIENTED_EDGE('',*,*,#221919,.F.); #310204=ORIENTED_EDGE('',*,*,#221902,.F.); #310205=ORIENTED_EDGE('',*,*,#221823,.F.); #310206=ORIENTED_EDGE('',*,*,#221859,.F.); #310207=ORIENTED_EDGE('',*,*,#221817,.F.); #310208=ORIENTED_EDGE('',*,*,#221849,.F.); #310209=ORIENTED_EDGE('',*,*,#221927,.T.); #310210=ORIENTED_EDGE('',*,*,#221928,.T.); #310211=ORIENTED_EDGE('',*,*,#221929,.T.); #310212=ORIENTED_EDGE('',*,*,#221930,.F.); #310213=ORIENTED_EDGE('',*,*,#221855,.T.); #310214=ORIENTED_EDGE('',*,*,#221821,.T.); #310215=ORIENTED_EDGE('',*,*,#221851,.T.); #310216=ORIENTED_EDGE('',*,*,#221856,.F.); #310217=ORIENTED_EDGE('',*,*,#221930,.T.); #310218=ORIENTED_EDGE('',*,*,#221931,.T.); #310219=ORIENTED_EDGE('',*,*,#221852,.T.); #310220=ORIENTED_EDGE('',*,*,#221931,.F.); #310221=ORIENTED_EDGE('',*,*,#221929,.F.); #310222=ORIENTED_EDGE('',*,*,#221932,.T.); #310223=ORIENTED_EDGE('',*,*,#221853,.T.); #310224=ORIENTED_EDGE('',*,*,#221932,.F.); #310225=ORIENTED_EDGE('',*,*,#221928,.F.); #310226=ORIENTED_EDGE('',*,*,#221933,.T.); #310227=ORIENTED_EDGE('',*,*,#221854,.T.); #310228=ORIENTED_EDGE('',*,*,#221933,.F.); #310229=ORIENTED_EDGE('',*,*,#221927,.F.); #310230=ORIENTED_EDGE('',*,*,#221848,.T.); #310231=ORIENTED_EDGE('',*,*,#221923,.T.); #310232=ORIENTED_EDGE('',*,*,#221905,.F.); #310233=ORIENTED_EDGE('',*,*,#221837,.F.); #310234=ORIENTED_EDGE('',*,*,#221912,.F.); #310235=ORIENTED_EDGE('',*,*,#221839,.F.); #310236=ORIENTED_EDGE('',*,*,#221904,.T.); #310237=ORIENTED_EDGE('',*,*,#221922,.T.); #310238=ORIENTED_EDGE('',*,*,#221925,.F.); #310239=ORIENTED_EDGE('',*,*,#221934,.T.); #310240=ORIENTED_EDGE('',*,*,#221935,.T.); #310241=ORIENTED_EDGE('',*,*,#221936,.T.); #310242=ORIENTED_EDGE('',*,*,#221937,.T.); #310243=ORIENTED_EDGE('',*,*,#221938,.T.); #310244=ORIENTED_EDGE('',*,*,#221939,.T.); #310245=ORIENTED_EDGE('',*,*,#221940,.F.); #310246=ORIENTED_EDGE('',*,*,#221908,.F.); #310247=ORIENTED_EDGE('',*,*,#221941,.T.); #310248=ORIENTED_EDGE('',*,*,#221942,.T.); #310249=ORIENTED_EDGE('',*,*,#221943,.F.); #310250=ORIENTED_EDGE('',*,*,#221906,.T.); #310251=ORIENTED_EDGE('',*,*,#221943,.T.); #310252=ORIENTED_EDGE('',*,*,#221944,.T.); #310253=ORIENTED_EDGE('',*,*,#221938,.F.); #310254=ORIENTED_EDGE('',*,*,#221907,.F.); #310255=ORIENTED_EDGE('',*,*,#221940,.T.); #310256=ORIENTED_EDGE('',*,*,#221945,.T.); #310257=ORIENTED_EDGE('',*,*,#221941,.F.); #310258=ORIENTED_EDGE('',*,*,#221909,.T.); #310259=ORIENTED_EDGE('',*,*,#221946,.F.); #310260=ORIENTED_EDGE('',*,*,#221947,.T.); #310261=ORIENTED_EDGE('',*,*,#221948,.T.); #310262=ORIENTED_EDGE('',*,*,#221873,.T.); #310263=ORIENTED_EDGE('',*,*,#221949,.F.); #310264=ORIENTED_EDGE('',*,*,#221950,.T.); #310265=ORIENTED_EDGE('',*,*,#221951,.T.); #310266=ORIENTED_EDGE('',*,*,#221875,.F.); #310267=ORIENTED_EDGE('',*,*,#221951,.F.); #310268=ORIENTED_EDGE('',*,*,#221952,.T.); #310269=ORIENTED_EDGE('',*,*,#221946,.T.); #310270=ORIENTED_EDGE('',*,*,#221872,.T.); #310271=ORIENTED_EDGE('',*,*,#221948,.F.); #310272=ORIENTED_EDGE('',*,*,#221953,.T.); #310273=ORIENTED_EDGE('',*,*,#221949,.T.); #310274=ORIENTED_EDGE('',*,*,#221874,.F.); #310275=ORIENTED_EDGE('',*,*,#221954,.T.); #310276=ORIENTED_EDGE('',*,*,#221955,.T.); #310277=ORIENTED_EDGE('',*,*,#221956,.T.); #310278=ORIENTED_EDGE('',*,*,#221957,.T.); #310279=ORIENTED_EDGE('',*,*,#221958,.F.); #310280=ORIENTED_EDGE('',*,*,#221944,.F.); #310281=ORIENTED_EDGE('',*,*,#221959,.F.); #310282=ORIENTED_EDGE('',*,*,#221937,.F.); #310283=ORIENTED_EDGE('',*,*,#221959,.T.); #310284=ORIENTED_EDGE('',*,*,#221942,.F.); #310285=ORIENTED_EDGE('',*,*,#221960,.F.); #310286=ORIENTED_EDGE('',*,*,#221934,.F.); #310287=ORIENTED_EDGE('',*,*,#221958,.T.); #310288=ORIENTED_EDGE('',*,*,#221936,.F.); #310289=ORIENTED_EDGE('',*,*,#221961,.F.); #310290=ORIENTED_EDGE('',*,*,#221939,.F.); #310291=ORIENTED_EDGE('',*,*,#221960,.T.); #310292=ORIENTED_EDGE('',*,*,#221945,.F.); #310293=ORIENTED_EDGE('',*,*,#221961,.T.); #310294=ORIENTED_EDGE('',*,*,#221935,.F.); #310295=ORIENTED_EDGE('',*,*,#221962,.F.); #310296=ORIENTED_EDGE('',*,*,#221947,.F.); #310297=ORIENTED_EDGE('',*,*,#221963,.F.); #310298=ORIENTED_EDGE('',*,*,#221957,.F.); #310299=ORIENTED_EDGE('',*,*,#221963,.T.); #310300=ORIENTED_EDGE('',*,*,#221952,.F.); #310301=ORIENTED_EDGE('',*,*,#221964,.F.); #310302=ORIENTED_EDGE('',*,*,#221954,.F.); #310303=ORIENTED_EDGE('',*,*,#221962,.T.); #310304=ORIENTED_EDGE('',*,*,#221956,.F.); #310305=ORIENTED_EDGE('',*,*,#221965,.F.); #310306=ORIENTED_EDGE('',*,*,#221953,.F.); #310307=ORIENTED_EDGE('',*,*,#221964,.T.); #310308=ORIENTED_EDGE('',*,*,#221950,.F.); #310309=ORIENTED_EDGE('',*,*,#221965,.T.); #310310=ORIENTED_EDGE('',*,*,#221955,.F.); #310311=ORIENTED_EDGE('',*,*,#221918,.T.); #310312=ORIENTED_EDGE('',*,*,#221878,.F.); #310313=ORIENTED_EDGE('',*,*,#221825,.F.); #310314=ORIENTED_EDGE('',*,*,#221901,.F.); #310315=ORIENTED_EDGE('',*,*,#221827,.F.); #310316=ORIENTED_EDGE('',*,*,#221877,.T.); #310317=ORIENTED_EDGE('',*,*,#221917,.T.); #310318=ORIENTED_EDGE('',*,*,#221899,.F.); #310319=ORIENTED_EDGE('',*,*,#221916,.T.); #310320=ORIENTED_EDGE('',*,*,#221885,.F.); #310321=ORIENTED_EDGE('',*,*,#221829,.F.); #310322=ORIENTED_EDGE('',*,*,#221898,.F.); #310323=ORIENTED_EDGE('',*,*,#221831,.F.); #310324=ORIENTED_EDGE('',*,*,#221884,.T.); #310325=ORIENTED_EDGE('',*,*,#221915,.T.); #310326=ORIENTED_EDGE('',*,*,#221966,.F.); #310327=ORIENTED_EDGE('',*,*,#221913,.T.); #310328=ORIENTED_EDGE('',*,*,#221892,.F.); #310329=ORIENTED_EDGE('',*,*,#221833,.F.); #310330=ORIENTED_EDGE('',*,*,#221967,.F.); #310331=ORIENTED_EDGE('',*,*,#221835,.F.); #310332=ORIENTED_EDGE('',*,*,#221891,.T.); #310333=ORIENTED_EDGE('',*,*,#221924,.T.); #310334=ORIENTED_EDGE('',*,*,#221910,.F.); #310335=ORIENTED_EDGE('',*,*,#221968,.T.); #310336=ORIENTED_EDGE('',*,*,#221969,.T.); #310337=ORIENTED_EDGE('',*,*,#221970,.T.); #310338=ORIENTED_EDGE('',*,*,#221971,.T.); #310339=ORIENTED_EDGE('',*,*,#221972,.T.); #310340=ORIENTED_EDGE('',*,*,#221973,.T.); #310341=ORIENTED_EDGE('',*,*,#221974,.F.); #310342=ORIENTED_EDGE('',*,*,#221895,.F.); #310343=ORIENTED_EDGE('',*,*,#221975,.T.); #310344=ORIENTED_EDGE('',*,*,#221976,.T.); #310345=ORIENTED_EDGE('',*,*,#221977,.F.); #310346=ORIENTED_EDGE('',*,*,#221893,.T.); #310347=ORIENTED_EDGE('',*,*,#221977,.T.); #310348=ORIENTED_EDGE('',*,*,#221978,.T.); #310349=ORIENTED_EDGE('',*,*,#221972,.F.); #310350=ORIENTED_EDGE('',*,*,#221894,.F.); #310351=ORIENTED_EDGE('',*,*,#221974,.T.); #310352=ORIENTED_EDGE('',*,*,#221979,.T.); #310353=ORIENTED_EDGE('',*,*,#221975,.F.); #310354=ORIENTED_EDGE('',*,*,#221896,.T.); #310355=ORIENTED_EDGE('',*,*,#221980,.F.); #310356=ORIENTED_EDGE('',*,*,#221981,.T.); #310357=ORIENTED_EDGE('',*,*,#221982,.T.); #310358=ORIENTED_EDGE('',*,*,#221861,.T.); #310359=ORIENTED_EDGE('',*,*,#221983,.F.); #310360=ORIENTED_EDGE('',*,*,#221984,.T.); #310361=ORIENTED_EDGE('',*,*,#221985,.T.); #310362=ORIENTED_EDGE('',*,*,#221863,.F.); #310363=ORIENTED_EDGE('',*,*,#221985,.F.); #310364=ORIENTED_EDGE('',*,*,#221986,.T.); #310365=ORIENTED_EDGE('',*,*,#221980,.T.); #310366=ORIENTED_EDGE('',*,*,#221860,.T.); #310367=ORIENTED_EDGE('',*,*,#221982,.F.); #310368=ORIENTED_EDGE('',*,*,#221987,.T.); #310369=ORIENTED_EDGE('',*,*,#221983,.T.); #310370=ORIENTED_EDGE('',*,*,#221862,.F.); #310371=ORIENTED_EDGE('',*,*,#221988,.T.); #310372=ORIENTED_EDGE('',*,*,#221989,.T.); #310373=ORIENTED_EDGE('',*,*,#221990,.T.); #310374=ORIENTED_EDGE('',*,*,#221991,.T.); #310375=ORIENTED_EDGE('',*,*,#221992,.T.); #310376=ORIENTED_EDGE('',*,*,#221993,.T.); #310377=ORIENTED_EDGE('',*,*,#221994,.T.); #310378=ORIENTED_EDGE('',*,*,#221995,.T.); #310379=ORIENTED_EDGE('',*,*,#221996,.T.); #310380=ORIENTED_EDGE('',*,*,#221997,.T.); #310381=ORIENTED_EDGE('',*,*,#221998,.F.); #310382=ORIENTED_EDGE('',*,*,#221888,.F.); #310383=ORIENTED_EDGE('',*,*,#221999,.T.); #310384=ORIENTED_EDGE('',*,*,#222000,.T.); #310385=ORIENTED_EDGE('',*,*,#222001,.F.); #310386=ORIENTED_EDGE('',*,*,#221886,.T.); #310387=ORIENTED_EDGE('',*,*,#222001,.T.); #310388=ORIENTED_EDGE('',*,*,#222002,.T.); #310389=ORIENTED_EDGE('',*,*,#221996,.F.); #310390=ORIENTED_EDGE('',*,*,#221887,.F.); #310391=ORIENTED_EDGE('',*,*,#221998,.T.); #310392=ORIENTED_EDGE('',*,*,#222003,.T.); #310393=ORIENTED_EDGE('',*,*,#221999,.F.); #310394=ORIENTED_EDGE('',*,*,#221889,.T.); #310395=ORIENTED_EDGE('',*,*,#222004,.F.); #310396=ORIENTED_EDGE('',*,*,#222005,.T.); #310397=ORIENTED_EDGE('',*,*,#222006,.T.); #310398=ORIENTED_EDGE('',*,*,#221869,.T.); #310399=ORIENTED_EDGE('',*,*,#222007,.F.); #310400=ORIENTED_EDGE('',*,*,#222008,.T.); #310401=ORIENTED_EDGE('',*,*,#222009,.T.); #310402=ORIENTED_EDGE('',*,*,#221871,.F.); #310403=ORIENTED_EDGE('',*,*,#222009,.F.); #310404=ORIENTED_EDGE('',*,*,#222010,.T.); #310405=ORIENTED_EDGE('',*,*,#222004,.T.); #310406=ORIENTED_EDGE('',*,*,#221868,.T.); #310407=ORIENTED_EDGE('',*,*,#222006,.F.); #310408=ORIENTED_EDGE('',*,*,#222011,.T.); #310409=ORIENTED_EDGE('',*,*,#222007,.T.); #310410=ORIENTED_EDGE('',*,*,#221870,.F.); #310411=ORIENTED_EDGE('',*,*,#222012,.T.); #310412=ORIENTED_EDGE('',*,*,#222013,.T.); #310413=ORIENTED_EDGE('',*,*,#222014,.T.); #310414=ORIENTED_EDGE('',*,*,#222015,.T.); #310415=ORIENTED_EDGE('',*,*,#222016,.T.); #310416=ORIENTED_EDGE('',*,*,#222017,.T.); #310417=ORIENTED_EDGE('',*,*,#222018,.T.); #310418=ORIENTED_EDGE('',*,*,#222019,.T.); #310419=ORIENTED_EDGE('',*,*,#222020,.T.); #310420=ORIENTED_EDGE('',*,*,#222021,.T.); #310421=ORIENTED_EDGE('',*,*,#222022,.F.); #310422=ORIENTED_EDGE('',*,*,#221881,.F.); #310423=ORIENTED_EDGE('',*,*,#222023,.T.); #310424=ORIENTED_EDGE('',*,*,#222024,.T.); #310425=ORIENTED_EDGE('',*,*,#222025,.F.); #310426=ORIENTED_EDGE('',*,*,#221879,.T.); #310427=ORIENTED_EDGE('',*,*,#222025,.T.); #310428=ORIENTED_EDGE('',*,*,#222026,.T.); #310429=ORIENTED_EDGE('',*,*,#222020,.F.); #310430=ORIENTED_EDGE('',*,*,#221880,.F.); #310431=ORIENTED_EDGE('',*,*,#222022,.T.); #310432=ORIENTED_EDGE('',*,*,#222027,.T.); #310433=ORIENTED_EDGE('',*,*,#222023,.F.); #310434=ORIENTED_EDGE('',*,*,#221882,.T.); #310435=ORIENTED_EDGE('',*,*,#222028,.F.); #310436=ORIENTED_EDGE('',*,*,#222029,.T.); #310437=ORIENTED_EDGE('',*,*,#222030,.T.); #310438=ORIENTED_EDGE('',*,*,#221865,.T.); #310439=ORIENTED_EDGE('',*,*,#222031,.F.); #310440=ORIENTED_EDGE('',*,*,#222032,.T.); #310441=ORIENTED_EDGE('',*,*,#222033,.T.); #310442=ORIENTED_EDGE('',*,*,#221867,.F.); #310443=ORIENTED_EDGE('',*,*,#222033,.F.); #310444=ORIENTED_EDGE('',*,*,#222034,.T.); #310445=ORIENTED_EDGE('',*,*,#222028,.T.); #310446=ORIENTED_EDGE('',*,*,#221864,.T.); #310447=ORIENTED_EDGE('',*,*,#222030,.F.); #310448=ORIENTED_EDGE('',*,*,#222035,.T.); #310449=ORIENTED_EDGE('',*,*,#222031,.T.); #310450=ORIENTED_EDGE('',*,*,#221866,.F.); #310451=ORIENTED_EDGE('',*,*,#222036,.T.); #310452=ORIENTED_EDGE('',*,*,#222037,.T.); #310453=ORIENTED_EDGE('',*,*,#222038,.T.); #310454=ORIENTED_EDGE('',*,*,#222039,.T.); #310455=ORIENTED_EDGE('',*,*,#222040,.F.); #310456=ORIENTED_EDGE('',*,*,#221978,.F.); #310457=ORIENTED_EDGE('',*,*,#222041,.F.); #310458=ORIENTED_EDGE('',*,*,#221971,.F.); #310459=ORIENTED_EDGE('',*,*,#222041,.T.); #310460=ORIENTED_EDGE('',*,*,#221976,.F.); #310461=ORIENTED_EDGE('',*,*,#222042,.F.); #310462=ORIENTED_EDGE('',*,*,#221968,.F.); #310463=ORIENTED_EDGE('',*,*,#222040,.T.); #310464=ORIENTED_EDGE('',*,*,#221970,.F.); #310465=ORIENTED_EDGE('',*,*,#222043,.F.); #310466=ORIENTED_EDGE('',*,*,#221973,.F.); #310467=ORIENTED_EDGE('',*,*,#222042,.T.); #310468=ORIENTED_EDGE('',*,*,#221979,.F.); #310469=ORIENTED_EDGE('',*,*,#222043,.T.); #310470=ORIENTED_EDGE('',*,*,#221969,.F.); #310471=ORIENTED_EDGE('',*,*,#222044,.F.); #310472=ORIENTED_EDGE('',*,*,#221981,.F.); #310473=ORIENTED_EDGE('',*,*,#222045,.F.); #310474=ORIENTED_EDGE('',*,*,#221991,.F.); #310475=ORIENTED_EDGE('',*,*,#222045,.T.); #310476=ORIENTED_EDGE('',*,*,#221986,.F.); #310477=ORIENTED_EDGE('',*,*,#222046,.F.); #310478=ORIENTED_EDGE('',*,*,#221988,.F.); #310479=ORIENTED_EDGE('',*,*,#222044,.T.); #310480=ORIENTED_EDGE('',*,*,#221990,.F.); #310481=ORIENTED_EDGE('',*,*,#222047,.F.); #310482=ORIENTED_EDGE('',*,*,#221987,.F.); #310483=ORIENTED_EDGE('',*,*,#222046,.T.); #310484=ORIENTED_EDGE('',*,*,#221984,.F.); #310485=ORIENTED_EDGE('',*,*,#222047,.T.); #310486=ORIENTED_EDGE('',*,*,#221989,.F.); #310487=ORIENTED_EDGE('',*,*,#222048,.F.); #310488=ORIENTED_EDGE('',*,*,#222002,.F.); #310489=ORIENTED_EDGE('',*,*,#222049,.F.); #310490=ORIENTED_EDGE('',*,*,#221995,.F.); #310491=ORIENTED_EDGE('',*,*,#222049,.T.); #310492=ORIENTED_EDGE('',*,*,#222000,.F.); #310493=ORIENTED_EDGE('',*,*,#222050,.F.); #310494=ORIENTED_EDGE('',*,*,#221992,.F.); #310495=ORIENTED_EDGE('',*,*,#222048,.T.); #310496=ORIENTED_EDGE('',*,*,#221994,.F.); #310497=ORIENTED_EDGE('',*,*,#222051,.F.); #310498=ORIENTED_EDGE('',*,*,#221997,.F.); #310499=ORIENTED_EDGE('',*,*,#222050,.T.); #310500=ORIENTED_EDGE('',*,*,#222003,.F.); #310501=ORIENTED_EDGE('',*,*,#222051,.T.); #310502=ORIENTED_EDGE('',*,*,#221993,.F.); #310503=ORIENTED_EDGE('',*,*,#222052,.F.); #310504=ORIENTED_EDGE('',*,*,#222005,.F.); #310505=ORIENTED_EDGE('',*,*,#222053,.F.); #310506=ORIENTED_EDGE('',*,*,#222015,.F.); #310507=ORIENTED_EDGE('',*,*,#222053,.T.); #310508=ORIENTED_EDGE('',*,*,#222010,.F.); #310509=ORIENTED_EDGE('',*,*,#222054,.F.); #310510=ORIENTED_EDGE('',*,*,#222012,.F.); #310511=ORIENTED_EDGE('',*,*,#222052,.T.); #310512=ORIENTED_EDGE('',*,*,#222014,.F.); #310513=ORIENTED_EDGE('',*,*,#222055,.F.); #310514=ORIENTED_EDGE('',*,*,#222011,.F.); #310515=ORIENTED_EDGE('',*,*,#222054,.T.); #310516=ORIENTED_EDGE('',*,*,#222008,.F.); #310517=ORIENTED_EDGE('',*,*,#222055,.T.); #310518=ORIENTED_EDGE('',*,*,#222013,.F.); #310519=ORIENTED_EDGE('',*,*,#222056,.F.); #310520=ORIENTED_EDGE('',*,*,#222026,.F.); #310521=ORIENTED_EDGE('',*,*,#222057,.F.); #310522=ORIENTED_EDGE('',*,*,#222019,.F.); #310523=ORIENTED_EDGE('',*,*,#222057,.T.); #310524=ORIENTED_EDGE('',*,*,#222024,.F.); #310525=ORIENTED_EDGE('',*,*,#222058,.F.); #310526=ORIENTED_EDGE('',*,*,#222016,.F.); #310527=ORIENTED_EDGE('',*,*,#222056,.T.); #310528=ORIENTED_EDGE('',*,*,#222018,.F.); #310529=ORIENTED_EDGE('',*,*,#222059,.F.); #310530=ORIENTED_EDGE('',*,*,#222021,.F.); #310531=ORIENTED_EDGE('',*,*,#222058,.T.); #310532=ORIENTED_EDGE('',*,*,#222027,.F.); #310533=ORIENTED_EDGE('',*,*,#222059,.T.); #310534=ORIENTED_EDGE('',*,*,#222017,.F.); #310535=ORIENTED_EDGE('',*,*,#222060,.F.); #310536=ORIENTED_EDGE('',*,*,#222029,.F.); #310537=ORIENTED_EDGE('',*,*,#222061,.F.); #310538=ORIENTED_EDGE('',*,*,#222039,.F.); #310539=ORIENTED_EDGE('',*,*,#222061,.T.); #310540=ORIENTED_EDGE('',*,*,#222034,.F.); #310541=ORIENTED_EDGE('',*,*,#222062,.F.); #310542=ORIENTED_EDGE('',*,*,#222036,.F.); #310543=ORIENTED_EDGE('',*,*,#222060,.T.); #310544=ORIENTED_EDGE('',*,*,#222038,.F.); #310545=ORIENTED_EDGE('',*,*,#222063,.F.); #310546=ORIENTED_EDGE('',*,*,#222035,.F.); #310547=ORIENTED_EDGE('',*,*,#222062,.T.); #310548=ORIENTED_EDGE('',*,*,#222032,.F.); #310549=ORIENTED_EDGE('',*,*,#222063,.T.); #310550=ORIENTED_EDGE('',*,*,#222037,.F.); #310551=ORIENTED_EDGE('',*,*,#221914,.F.); #310552=ORIENTED_EDGE('',*,*,#221967,.T.); #310553=ORIENTED_EDGE('',*,*,#221832,.T.); #310554=ORIENTED_EDGE('',*,*,#221966,.T.); #310555=ORIENTED_EDGE('',*,*,#222064,.F.); #310556=ORIENTED_EDGE('',*,*,#222065,.F.); #310557=ORIENTED_EDGE('',*,*,#222066,.F.); #310558=ORIENTED_EDGE('',*,*,#222067,.F.); #310559=ORIENTED_EDGE('',*,*,#222068,.F.); #310560=ORIENTED_EDGE('',*,*,#222069,.F.); #310561=ORIENTED_EDGE('',*,*,#222070,.F.); #310562=ORIENTED_EDGE('',*,*,#222071,.F.); #310563=ORIENTED_EDGE('',*,*,#222072,.F.); #310564=ORIENTED_EDGE('',*,*,#222073,.F.); #310565=ORIENTED_EDGE('',*,*,#222074,.F.); #310566=ORIENTED_EDGE('',*,*,#222075,.F.); #310567=ORIENTED_EDGE('',*,*,#222076,.F.); #310568=ORIENTED_EDGE('',*,*,#222077,.F.); #310569=ORIENTED_EDGE('',*,*,#222078,.F.); #310570=ORIENTED_EDGE('',*,*,#222077,.T.); #310571=ORIENTED_EDGE('',*,*,#222079,.T.); #310572=ORIENTED_EDGE('',*,*,#222072,.T.); #310573=ORIENTED_EDGE('',*,*,#222080,.T.); #310574=ORIENTED_EDGE('',*,*,#222081,.F.); #310575=ORIENTED_EDGE('',*,*,#222082,.F.); #310576=ORIENTED_EDGE('',*,*,#222083,.F.); #310577=ORIENTED_EDGE('',*,*,#222084,.F.); #310578=ORIENTED_EDGE('',*,*,#222085,.F.); #310579=ORIENTED_EDGE('',*,*,#222086,.F.); #310580=ORIENTED_EDGE('',*,*,#222071,.T.); #310581=ORIENTED_EDGE('',*,*,#222087,.T.); #310582=ORIENTED_EDGE('',*,*,#222085,.T.); #310583=ORIENTED_EDGE('',*,*,#222088,.T.); #310584=ORIENTED_EDGE('',*,*,#222074,.T.); #310585=ORIENTED_EDGE('',*,*,#222089,.T.); #310586=ORIENTED_EDGE('',*,*,#222086,.T.); #310587=ORIENTED_EDGE('',*,*,#222090,.T.); #310588=ORIENTED_EDGE('',*,*,#222091,.F.); #310589=ORIENTED_EDGE('',*,*,#222092,.F.); #310590=ORIENTED_EDGE('',*,*,#222093,.F.); #310591=ORIENTED_EDGE('',*,*,#222078,.T.); #310592=ORIENTED_EDGE('',*,*,#222094,.T.); #310593=ORIENTED_EDGE('',*,*,#222092,.T.); #310594=ORIENTED_EDGE('',*,*,#222095,.T.); #310595=ORIENTED_EDGE('',*,*,#222084,.T.); #310596=ORIENTED_EDGE('',*,*,#222096,.T.); #310597=ORIENTED_EDGE('',*,*,#222093,.T.); #310598=ORIENTED_EDGE('',*,*,#222097,.T.); #310599=ORIENTED_EDGE('',*,*,#222082,.T.); #310600=ORIENTED_EDGE('',*,*,#222098,.T.); #310601=ORIENTED_EDGE('',*,*,#222091,.T.); #310602=ORIENTED_EDGE('',*,*,#222099,.T.); #310603=ORIENTED_EDGE('',*,*,#222073,.T.); #310604=ORIENTED_EDGE('',*,*,#222100,.T.); #310605=ORIENTED_EDGE('',*,*,#222083,.T.); #310606=ORIENTED_EDGE('',*,*,#222101,.T.); #310607=ORIENTED_EDGE('',*,*,#222081,.T.); #310608=ORIENTED_EDGE('',*,*,#222102,.T.); #310609=ORIENTED_EDGE('',*,*,#222069,.T.); #310610=ORIENTED_EDGE('',*,*,#222103,.T.); #310611=ORIENTED_EDGE('',*,*,#222068,.T.); #310612=ORIENTED_EDGE('',*,*,#222104,.T.); #310613=ORIENTED_EDGE('',*,*,#222076,.T.); #310614=ORIENTED_EDGE('',*,*,#222105,.T.); #310615=ORIENTED_EDGE('',*,*,#222064,.T.); #310616=ORIENTED_EDGE('',*,*,#222106,.T.); #310617=ORIENTED_EDGE('',*,*,#222075,.T.); #310618=ORIENTED_EDGE('',*,*,#222107,.T.); #310619=ORIENTED_EDGE('',*,*,#222065,.T.); #310620=ORIENTED_EDGE('',*,*,#222108,.T.); #310621=ORIENTED_EDGE('',*,*,#222070,.T.); #310622=ORIENTED_EDGE('',*,*,#222109,.T.); #310623=ORIENTED_EDGE('',*,*,#222067,.T.); #310624=ORIENTED_EDGE('',*,*,#222110,.T.); #310625=ORIENTED_EDGE('',*,*,#222066,.T.); #310626=ORIENTED_EDGE('',*,*,#222111,.T.); #310627=ORIENTED_EDGE('',*,*,#222079,.F.); #310628=ORIENTED_EDGE('',*,*,#222104,.F.); #310629=ORIENTED_EDGE('',*,*,#222111,.F.); #310630=ORIENTED_EDGE('',*,*,#222109,.F.); #310631=ORIENTED_EDGE('',*,*,#222080,.F.); #310632=ORIENTED_EDGE('',*,*,#222088,.F.); #310633=ORIENTED_EDGE('',*,*,#222097,.F.); #310634=ORIENTED_EDGE('',*,*,#222094,.F.); #310635=ORIENTED_EDGE('',*,*,#222087,.F.); #310636=ORIENTED_EDGE('',*,*,#222108,.F.); #310637=ORIENTED_EDGE('',*,*,#222107,.F.); #310638=ORIENTED_EDGE('',*,*,#222090,.F.); #310639=ORIENTED_EDGE('',*,*,#222089,.F.); #310640=ORIENTED_EDGE('',*,*,#222101,.F.); #310641=ORIENTED_EDGE('',*,*,#222099,.F.); #310642=ORIENTED_EDGE('',*,*,#222096,.F.); #310643=ORIENTED_EDGE('',*,*,#222095,.F.); #310644=ORIENTED_EDGE('',*,*,#222098,.F.); #310645=ORIENTED_EDGE('',*,*,#222103,.F.); #310646=ORIENTED_EDGE('',*,*,#222105,.F.); #310647=ORIENTED_EDGE('',*,*,#222100,.F.); #310648=ORIENTED_EDGE('',*,*,#222106,.F.); #310649=ORIENTED_EDGE('',*,*,#222110,.F.); #310650=ORIENTED_EDGE('',*,*,#222102,.F.); #310651=ORIENTED_EDGE('',*,*,#222112,.F.); #310652=ORIENTED_EDGE('',*,*,#222113,.F.); #310653=ORIENTED_EDGE('',*,*,#222114,.F.); #310654=ORIENTED_EDGE('',*,*,#222115,.F.); #310655=ORIENTED_EDGE('',*,*,#222116,.F.); #310656=ORIENTED_EDGE('',*,*,#222117,.F.); #310657=ORIENTED_EDGE('',*,*,#222118,.F.); #310658=ORIENTED_EDGE('',*,*,#222119,.F.); #310659=ORIENTED_EDGE('',*,*,#222120,.F.); #310660=ORIENTED_EDGE('',*,*,#222121,.F.); #310661=ORIENTED_EDGE('',*,*,#222122,.F.); #310662=ORIENTED_EDGE('',*,*,#222123,.F.); #310663=ORIENTED_EDGE('',*,*,#222124,.F.); #310664=ORIENTED_EDGE('',*,*,#222125,.F.); #310665=ORIENTED_EDGE('',*,*,#222126,.F.); #310666=ORIENTED_EDGE('',*,*,#222125,.T.); #310667=ORIENTED_EDGE('',*,*,#222127,.T.); #310668=ORIENTED_EDGE('',*,*,#222120,.T.); #310669=ORIENTED_EDGE('',*,*,#222128,.T.); #310670=ORIENTED_EDGE('',*,*,#222129,.F.); #310671=ORIENTED_EDGE('',*,*,#222130,.F.); #310672=ORIENTED_EDGE('',*,*,#222131,.F.); #310673=ORIENTED_EDGE('',*,*,#222132,.F.); #310674=ORIENTED_EDGE('',*,*,#222133,.F.); #310675=ORIENTED_EDGE('',*,*,#222134,.F.); #310676=ORIENTED_EDGE('',*,*,#222119,.T.); #310677=ORIENTED_EDGE('',*,*,#222135,.T.); #310678=ORIENTED_EDGE('',*,*,#222133,.T.); #310679=ORIENTED_EDGE('',*,*,#222136,.T.); #310680=ORIENTED_EDGE('',*,*,#222122,.T.); #310681=ORIENTED_EDGE('',*,*,#222137,.T.); #310682=ORIENTED_EDGE('',*,*,#222134,.T.); #310683=ORIENTED_EDGE('',*,*,#222138,.T.); #310684=ORIENTED_EDGE('',*,*,#222139,.F.); #310685=ORIENTED_EDGE('',*,*,#222140,.F.); #310686=ORIENTED_EDGE('',*,*,#222141,.F.); #310687=ORIENTED_EDGE('',*,*,#222140,.T.); #310688=ORIENTED_EDGE('',*,*,#222142,.T.); #310689=ORIENTED_EDGE('',*,*,#222126,.T.); #310690=ORIENTED_EDGE('',*,*,#222143,.T.); #310691=ORIENTED_EDGE('',*,*,#222132,.T.); #310692=ORIENTED_EDGE('',*,*,#222144,.T.); #310693=ORIENTED_EDGE('',*,*,#222141,.T.); #310694=ORIENTED_EDGE('',*,*,#222145,.T.); #310695=ORIENTED_EDGE('',*,*,#222130,.T.); #310696=ORIENTED_EDGE('',*,*,#222146,.T.); #310697=ORIENTED_EDGE('',*,*,#222139,.T.); #310698=ORIENTED_EDGE('',*,*,#222147,.T.); #310699=ORIENTED_EDGE('',*,*,#222121,.T.); #310700=ORIENTED_EDGE('',*,*,#222148,.T.); #310701=ORIENTED_EDGE('',*,*,#222131,.T.); #310702=ORIENTED_EDGE('',*,*,#222149,.T.); #310703=ORIENTED_EDGE('',*,*,#222129,.T.); #310704=ORIENTED_EDGE('',*,*,#222150,.T.); #310705=ORIENTED_EDGE('',*,*,#222117,.T.); #310706=ORIENTED_EDGE('',*,*,#222151,.T.); #310707=ORIENTED_EDGE('',*,*,#222116,.T.); #310708=ORIENTED_EDGE('',*,*,#222152,.T.); #310709=ORIENTED_EDGE('',*,*,#222124,.T.); #310710=ORIENTED_EDGE('',*,*,#222153,.T.); #310711=ORIENTED_EDGE('',*,*,#222112,.T.); #310712=ORIENTED_EDGE('',*,*,#222154,.T.); #310713=ORIENTED_EDGE('',*,*,#222123,.T.); #310714=ORIENTED_EDGE('',*,*,#222155,.T.); #310715=ORIENTED_EDGE('',*,*,#222113,.T.); #310716=ORIENTED_EDGE('',*,*,#222156,.T.); #310717=ORIENTED_EDGE('',*,*,#222118,.T.); #310718=ORIENTED_EDGE('',*,*,#222157,.T.); #310719=ORIENTED_EDGE('',*,*,#222115,.T.); #310720=ORIENTED_EDGE('',*,*,#222158,.T.); #310721=ORIENTED_EDGE('',*,*,#222114,.T.); #310722=ORIENTED_EDGE('',*,*,#222159,.T.); #310723=ORIENTED_EDGE('',*,*,#222127,.F.); #310724=ORIENTED_EDGE('',*,*,#222152,.F.); #310725=ORIENTED_EDGE('',*,*,#222159,.F.); #310726=ORIENTED_EDGE('',*,*,#222157,.F.); #310727=ORIENTED_EDGE('',*,*,#222128,.F.); #310728=ORIENTED_EDGE('',*,*,#222136,.F.); #310729=ORIENTED_EDGE('',*,*,#222145,.F.); #310730=ORIENTED_EDGE('',*,*,#222143,.F.); #310731=ORIENTED_EDGE('',*,*,#222135,.F.); #310732=ORIENTED_EDGE('',*,*,#222156,.F.); #310733=ORIENTED_EDGE('',*,*,#222155,.F.); #310734=ORIENTED_EDGE('',*,*,#222138,.F.); #310735=ORIENTED_EDGE('',*,*,#222137,.F.); #310736=ORIENTED_EDGE('',*,*,#222149,.F.); #310737=ORIENTED_EDGE('',*,*,#222147,.F.); #310738=ORIENTED_EDGE('',*,*,#222144,.F.); #310739=ORIENTED_EDGE('',*,*,#222142,.F.); #310740=ORIENTED_EDGE('',*,*,#222146,.F.); #310741=ORIENTED_EDGE('',*,*,#222151,.F.); #310742=ORIENTED_EDGE('',*,*,#222153,.F.); #310743=ORIENTED_EDGE('',*,*,#222148,.F.); #310744=ORIENTED_EDGE('',*,*,#222154,.F.); #310745=ORIENTED_EDGE('',*,*,#222158,.F.); #310746=ORIENTED_EDGE('',*,*,#222150,.F.); #310747=ORIENTED_EDGE('',*,*,#222160,.F.); #310748=ORIENTED_EDGE('',*,*,#222161,.T.); #310749=ORIENTED_EDGE('',*,*,#222162,.F.); #310750=ORIENTED_EDGE('',*,*,#222163,.F.); #310751=ORIENTED_EDGE('',*,*,#222164,.T.); #310752=ORIENTED_EDGE('',*,*,#222163,.T.); #310753=ORIENTED_EDGE('',*,*,#222165,.F.); #310754=ORIENTED_EDGE('',*,*,#222166,.F.); #310755=ORIENTED_EDGE('',*,*,#222167,.T.); #310756=ORIENTED_EDGE('',*,*,#222166,.T.); #310757=ORIENTED_EDGE('',*,*,#222168,.F.); #310758=ORIENTED_EDGE('',*,*,#222169,.F.); #310759=ORIENTED_EDGE('',*,*,#222170,.T.); #310760=ORIENTED_EDGE('',*,*,#222169,.T.); #310761=ORIENTED_EDGE('',*,*,#222171,.F.); #310762=ORIENTED_EDGE('',*,*,#222161,.F.); #310763=ORIENTED_EDGE('',*,*,#222171,.T.); #310764=ORIENTED_EDGE('',*,*,#222168,.T.); #310765=ORIENTED_EDGE('',*,*,#222165,.T.); #310766=ORIENTED_EDGE('',*,*,#222162,.T.); #310767=ORIENTED_EDGE('',*,*,#222170,.F.); #310768=ORIENTED_EDGE('',*,*,#222160,.T.); #310769=ORIENTED_EDGE('',*,*,#222164,.F.); #310770=ORIENTED_EDGE('',*,*,#222167,.F.); #310771=ORIENTED_EDGE('',*,*,#222172,.F.); #310772=ORIENTED_EDGE('',*,*,#222173,.F.); #310773=ORIENTED_EDGE('',*,*,#222174,.F.); #310774=ORIENTED_EDGE('',*,*,#222175,.F.); #310775=ORIENTED_EDGE('',*,*,#222176,.T.); #310776=ORIENTED_EDGE('',*,*,#222177,.T.); #310777=ORIENTED_EDGE('',*,*,#222178,.T.); #310778=ORIENTED_EDGE('',*,*,#222179,.T.); #310779=ORIENTED_EDGE('',*,*,#222176,.F.); #310780=ORIENTED_EDGE('',*,*,#222180,.T.); #310781=ORIENTED_EDGE('',*,*,#222172,.T.); #310782=ORIENTED_EDGE('',*,*,#222181,.F.); #310783=ORIENTED_EDGE('',*,*,#222177,.F.); #310784=ORIENTED_EDGE('',*,*,#222181,.T.); #310785=ORIENTED_EDGE('',*,*,#222175,.T.); #310786=ORIENTED_EDGE('',*,*,#222182,.F.); #310787=ORIENTED_EDGE('',*,*,#222178,.F.); #310788=ORIENTED_EDGE('',*,*,#222182,.T.); #310789=ORIENTED_EDGE('',*,*,#222174,.T.); #310790=ORIENTED_EDGE('',*,*,#222183,.F.); #310791=ORIENTED_EDGE('',*,*,#222179,.F.); #310792=ORIENTED_EDGE('',*,*,#222183,.T.); #310793=ORIENTED_EDGE('',*,*,#222173,.T.); #310794=ORIENTED_EDGE('',*,*,#222180,.F.); #310795=ORIENTED_EDGE('',*,*,#222184,.T.); #310796=ORIENTED_EDGE('',*,*,#222185,.T.); #310797=ORIENTED_EDGE('',*,*,#222186,.F.); #310798=ORIENTED_EDGE('',*,*,#222187,.F.); #310799=ORIENTED_EDGE('',*,*,#222188,.T.); #310800=ORIENTED_EDGE('',*,*,#222187,.T.); #310801=ORIENTED_EDGE('',*,*,#222189,.F.); #310802=ORIENTED_EDGE('',*,*,#222190,.F.); #310803=ORIENTED_EDGE('',*,*,#222191,.T.); #310804=ORIENTED_EDGE('',*,*,#222190,.T.); #310805=ORIENTED_EDGE('',*,*,#222192,.F.); #310806=ORIENTED_EDGE('',*,*,#222193,.F.); #310807=ORIENTED_EDGE('',*,*,#222194,.T.); #310808=ORIENTED_EDGE('',*,*,#222193,.T.); #310809=ORIENTED_EDGE('',*,*,#222195,.F.); #310810=ORIENTED_EDGE('',*,*,#222185,.F.); #310811=ORIENTED_EDGE('',*,*,#222195,.T.); #310812=ORIENTED_EDGE('',*,*,#222192,.T.); #310813=ORIENTED_EDGE('',*,*,#222189,.T.); #310814=ORIENTED_EDGE('',*,*,#222186,.T.); #310815=ORIENTED_EDGE('',*,*,#222194,.F.); #310816=ORIENTED_EDGE('',*,*,#222184,.F.); #310817=ORIENTED_EDGE('',*,*,#222188,.F.); #310818=ORIENTED_EDGE('',*,*,#222191,.F.); #310819=ORIENTED_EDGE('',*,*,#222196,.F.); #310820=ORIENTED_EDGE('',*,*,#222197,.F.); #310821=ORIENTED_EDGE('',*,*,#222198,.F.); #310822=ORIENTED_EDGE('',*,*,#222199,.F.); #310823=ORIENTED_EDGE('',*,*,#222200,.T.); #310824=ORIENTED_EDGE('',*,*,#222201,.T.); #310825=ORIENTED_EDGE('',*,*,#222202,.T.); #310826=ORIENTED_EDGE('',*,*,#222203,.F.); #310827=ORIENTED_EDGE('',*,*,#222204,.T.); #310828=ORIENTED_EDGE('',*,*,#222205,.F.); #310829=ORIENTED_EDGE('',*,*,#222206,.F.); #310830=ORIENTED_EDGE('',*,*,#222207,.T.); #310831=ORIENTED_EDGE('',*,*,#222208,.F.); #310832=ORIENTED_EDGE('',*,*,#222209,.F.); #310833=ORIENTED_EDGE('',*,*,#222210,.F.); #310834=ORIENTED_EDGE('',*,*,#222211,.T.); #310835=ORIENTED_EDGE('',*,*,#222212,.F.); #310836=ORIENTED_EDGE('',*,*,#222213,.F.); #310837=ORIENTED_EDGE('',*,*,#222214,.T.); #310838=ORIENTED_EDGE('',*,*,#222215,.T.); #310839=ORIENTED_EDGE('',*,*,#222216,.F.); #310840=ORIENTED_EDGE('',*,*,#222217,.T.); #310841=ORIENTED_EDGE('',*,*,#222218,.T.); #310842=ORIENTED_EDGE('',*,*,#222219,.F.); #310843=ORIENTED_EDGE('',*,*,#222220,.T.); #310844=ORIENTED_EDGE('',*,*,#222221,.T.); #310845=ORIENTED_EDGE('',*,*,#222222,.F.); #310846=ORIENTED_EDGE('',*,*,#222223,.F.); #310847=ORIENTED_EDGE('',*,*,#222224,.F.); #310848=ORIENTED_EDGE('',*,*,#222225,.F.); #310849=ORIENTED_EDGE('',*,*,#222226,.F.); #310850=ORIENTED_EDGE('',*,*,#222227,.F.); #310851=ORIENTED_EDGE('',*,*,#222228,.T.); #310852=ORIENTED_EDGE('',*,*,#222229,.T.); #310853=ORIENTED_EDGE('',*,*,#222230,.T.); #310854=ORIENTED_EDGE('',*,*,#222231,.F.); #310855=ORIENTED_EDGE('',*,*,#222232,.F.); #310856=ORIENTED_EDGE('',*,*,#222231,.T.); #310857=ORIENTED_EDGE('',*,*,#222233,.T.); #310858=ORIENTED_EDGE('',*,*,#222217,.F.); #310859=ORIENTED_EDGE('',*,*,#222234,.F.); #310860=ORIENTED_EDGE('',*,*,#222221,.F.); #310861=ORIENTED_EDGE('',*,*,#222235,.T.); #310862=ORIENTED_EDGE('',*,*,#222227,.T.); #310863=ORIENTED_EDGE('',*,*,#222236,.F.); #310864=ORIENTED_EDGE('',*,*,#222237,.T.); #310865=ORIENTED_EDGE('',*,*,#222238,.T.); #310866=ORIENTED_EDGE('',*,*,#222239,.T.); #310867=ORIENTED_EDGE('',*,*,#222240,.T.); #310868=ORIENTED_EDGE('',*,*,#222241,.F.); #310869=ORIENTED_EDGE('',*,*,#222242,.T.); #310870=ORIENTED_EDGE('',*,*,#222243,.T.); #310871=ORIENTED_EDGE('',*,*,#222244,.T.); #310872=ORIENTED_EDGE('',*,*,#222245,.F.); #310873=ORIENTED_EDGE('',*,*,#222246,.F.); #310874=ORIENTED_EDGE('',*,*,#222247,.T.); #310875=ORIENTED_EDGE('',*,*,#222248,.T.); #310876=ORIENTED_EDGE('',*,*,#222249,.F.); #310877=ORIENTED_EDGE('',*,*,#222250,.T.); #310878=ORIENTED_EDGE('',*,*,#222251,.F.); #310879=ORIENTED_EDGE('',*,*,#222252,.F.); #310880=ORIENTED_EDGE('',*,*,#222250,.F.); #310881=ORIENTED_EDGE('',*,*,#222253,.F.); #310882=ORIENTED_EDGE('',*,*,#222254,.F.); #310883=ORIENTED_EDGE('',*,*,#222255,.F.); #310884=ORIENTED_EDGE('',*,*,#222256,.T.); #310885=ORIENTED_EDGE('',*,*,#222257,.T.); #310886=ORIENTED_EDGE('',*,*,#222258,.T.); #310887=ORIENTED_EDGE('',*,*,#222259,.F.); #310888=ORIENTED_EDGE('',*,*,#222260,.F.); #310889=ORIENTED_EDGE('',*,*,#222261,.F.); #310890=ORIENTED_EDGE('',*,*,#222262,.F.); #310891=ORIENTED_EDGE('',*,*,#222263,.F.); #310892=ORIENTED_EDGE('',*,*,#222264,.F.); #310893=ORIENTED_EDGE('',*,*,#222265,.F.); #310894=ORIENTED_EDGE('',*,*,#222266,.F.); #310895=ORIENTED_EDGE('',*,*,#222267,.F.); #310896=ORIENTED_EDGE('',*,*,#222268,.F.); #310897=ORIENTED_EDGE('',*,*,#222269,.F.); #310898=ORIENTED_EDGE('',*,*,#222270,.F.); #310899=ORIENTED_EDGE('',*,*,#222271,.F.); #310900=ORIENTED_EDGE('',*,*,#222272,.F.); #310901=ORIENTED_EDGE('',*,*,#222273,.F.); #310902=ORIENTED_EDGE('',*,*,#222274,.F.); #310903=ORIENTED_EDGE('',*,*,#222275,.T.); #310904=ORIENTED_EDGE('',*,*,#222276,.T.); #310905=ORIENTED_EDGE('',*,*,#222277,.F.); #310906=ORIENTED_EDGE('',*,*,#222278,.F.); #310907=ORIENTED_EDGE('',*,*,#222279,.T.); #310908=ORIENTED_EDGE('',*,*,#222280,.T.); #310909=ORIENTED_EDGE('',*,*,#222281,.T.); #310910=ORIENTED_EDGE('',*,*,#222282,.F.); #310911=ORIENTED_EDGE('',*,*,#222283,.T.); #310912=ORIENTED_EDGE('',*,*,#222284,.F.); #310913=ORIENTED_EDGE('',*,*,#222285,.T.); #310914=ORIENTED_EDGE('',*,*,#222279,.F.); #310915=ORIENTED_EDGE('',*,*,#222286,.T.); #310916=ORIENTED_EDGE('',*,*,#222287,.F.); #310917=ORIENTED_EDGE('',*,*,#222288,.F.); #310918=ORIENTED_EDGE('',*,*,#222289,.F.); #310919=ORIENTED_EDGE('',*,*,#222290,.T.); #310920=ORIENTED_EDGE('',*,*,#222291,.F.); #310921=ORIENTED_EDGE('',*,*,#222292,.T.); #310922=ORIENTED_EDGE('',*,*,#222293,.T.); #310923=ORIENTED_EDGE('',*,*,#222294,.T.); #310924=ORIENTED_EDGE('',*,*,#222295,.F.); #310925=ORIENTED_EDGE('',*,*,#222296,.T.); #310926=ORIENTED_EDGE('',*,*,#222297,.T.); #310927=ORIENTED_EDGE('',*,*,#222298,.T.); #310928=ORIENTED_EDGE('',*,*,#222299,.F.); #310929=ORIENTED_EDGE('',*,*,#222300,.T.); #310930=ORIENTED_EDGE('',*,*,#222301,.T.); #310931=ORIENTED_EDGE('',*,*,#222302,.T.); #310932=ORIENTED_EDGE('',*,*,#222303,.F.); #310933=ORIENTED_EDGE('',*,*,#222304,.T.); #310934=ORIENTED_EDGE('',*,*,#222305,.T.); #310935=ORIENTED_EDGE('',*,*,#222306,.T.); #310936=ORIENTED_EDGE('',*,*,#222307,.F.); #310937=ORIENTED_EDGE('',*,*,#222308,.T.); #310938=ORIENTED_EDGE('',*,*,#222309,.T.); #310939=ORIENTED_EDGE('',*,*,#222310,.T.); #310940=ORIENTED_EDGE('',*,*,#222311,.F.); #310941=ORIENTED_EDGE('',*,*,#222312,.T.); #310942=ORIENTED_EDGE('',*,*,#222313,.T.); #310943=ORIENTED_EDGE('',*,*,#222314,.T.); #310944=ORIENTED_EDGE('',*,*,#222315,.F.); #310945=ORIENTED_EDGE('',*,*,#222316,.T.); #310946=ORIENTED_EDGE('',*,*,#222317,.T.); #310947=ORIENTED_EDGE('',*,*,#222318,.T.); #310948=ORIENTED_EDGE('',*,*,#222319,.F.); #310949=ORIENTED_EDGE('',*,*,#222320,.T.); #310950=ORIENTED_EDGE('',*,*,#222321,.T.); #310951=ORIENTED_EDGE('',*,*,#222322,.T.); #310952=ORIENTED_EDGE('',*,*,#222323,.F.); #310953=ORIENTED_EDGE('',*,*,#222324,.T.); #310954=ORIENTED_EDGE('',*,*,#222325,.T.); #310955=ORIENTED_EDGE('',*,*,#222326,.T.); #310956=ORIENTED_EDGE('',*,*,#222327,.F.); #310957=ORIENTED_EDGE('',*,*,#222328,.T.); #310958=ORIENTED_EDGE('',*,*,#222329,.T.); #310959=ORIENTED_EDGE('',*,*,#222330,.T.); #310960=ORIENTED_EDGE('',*,*,#222331,.F.); #310961=ORIENTED_EDGE('',*,*,#222332,.T.); #310962=ORIENTED_EDGE('',*,*,#222333,.T.); #310963=ORIENTED_EDGE('',*,*,#222334,.T.); #310964=ORIENTED_EDGE('',*,*,#222335,.F.); #310965=ORIENTED_EDGE('',*,*,#222336,.T.); #310966=ORIENTED_EDGE('',*,*,#222337,.T.); #310967=ORIENTED_EDGE('',*,*,#222338,.T.); #310968=ORIENTED_EDGE('',*,*,#222337,.F.); #310969=ORIENTED_EDGE('',*,*,#222339,.T.); #310970=ORIENTED_EDGE('',*,*,#222340,.T.); #310971=ORIENTED_EDGE('',*,*,#222341,.F.); #310972=ORIENTED_EDGE('',*,*,#222340,.F.); #310973=ORIENTED_EDGE('',*,*,#222342,.T.); #310974=ORIENTED_EDGE('',*,*,#222343,.T.); #310975=ORIENTED_EDGE('',*,*,#222344,.F.); #310976=ORIENTED_EDGE('',*,*,#222345,.F.); #310977=ORIENTED_EDGE('',*,*,#222346,.T.); #310978=ORIENTED_EDGE('',*,*,#222347,.T.); #310979=ORIENTED_EDGE('',*,*,#222348,.F.); #310980=ORIENTED_EDGE('',*,*,#222347,.F.); #310981=ORIENTED_EDGE('',*,*,#222349,.T.); #310982=ORIENTED_EDGE('',*,*,#222331,.T.); #310983=ORIENTED_EDGE('',*,*,#222350,.F.); #310984=ORIENTED_EDGE('',*,*,#222333,.F.); #310985=ORIENTED_EDGE('',*,*,#222351,.T.); #310986=ORIENTED_EDGE('',*,*,#222352,.T.); #310987=ORIENTED_EDGE('',*,*,#222353,.F.); #310988=ORIENTED_EDGE('',*,*,#222352,.F.); #310989=ORIENTED_EDGE('',*,*,#222354,.T.); #310990=ORIENTED_EDGE('',*,*,#222355,.T.); #310991=ORIENTED_EDGE('',*,*,#222356,.F.); #310992=ORIENTED_EDGE('',*,*,#222357,.F.); #310993=ORIENTED_EDGE('',*,*,#222358,.T.); #310994=ORIENTED_EDGE('',*,*,#222359,.T.); #310995=ORIENTED_EDGE('',*,*,#222360,.F.); #310996=ORIENTED_EDGE('',*,*,#222359,.F.); #310997=ORIENTED_EDGE('',*,*,#222361,.T.); #310998=ORIENTED_EDGE('',*,*,#222327,.T.); #310999=ORIENTED_EDGE('',*,*,#222362,.F.); #311000=ORIENTED_EDGE('',*,*,#222329,.F.); #311001=ORIENTED_EDGE('',*,*,#222363,.T.); #311002=ORIENTED_EDGE('',*,*,#222364,.T.); #311003=ORIENTED_EDGE('',*,*,#222365,.F.); #311004=ORIENTED_EDGE('',*,*,#222364,.F.); #311005=ORIENTED_EDGE('',*,*,#222366,.T.); #311006=ORIENTED_EDGE('',*,*,#222367,.T.); #311007=ORIENTED_EDGE('',*,*,#222368,.F.); #311008=ORIENTED_EDGE('',*,*,#222369,.F.); #311009=ORIENTED_EDGE('',*,*,#222370,.T.); #311010=ORIENTED_EDGE('',*,*,#222371,.T.); #311011=ORIENTED_EDGE('',*,*,#222372,.F.); #311012=ORIENTED_EDGE('',*,*,#222371,.F.); #311013=ORIENTED_EDGE('',*,*,#222373,.T.); #311014=ORIENTED_EDGE('',*,*,#222323,.T.); #311015=ORIENTED_EDGE('',*,*,#222374,.F.); #311016=ORIENTED_EDGE('',*,*,#222325,.F.); #311017=ORIENTED_EDGE('',*,*,#222375,.T.); #311018=ORIENTED_EDGE('',*,*,#222376,.T.); #311019=ORIENTED_EDGE('',*,*,#222377,.F.); #311020=ORIENTED_EDGE('',*,*,#222376,.F.); #311021=ORIENTED_EDGE('',*,*,#222378,.T.); #311022=ORIENTED_EDGE('',*,*,#222379,.T.); #311023=ORIENTED_EDGE('',*,*,#222380,.F.); #311024=ORIENTED_EDGE('',*,*,#222381,.F.); #311025=ORIENTED_EDGE('',*,*,#222382,.T.); #311026=ORIENTED_EDGE('',*,*,#222383,.T.); #311027=ORIENTED_EDGE('',*,*,#222384,.F.); #311028=ORIENTED_EDGE('',*,*,#222383,.F.); #311029=ORIENTED_EDGE('',*,*,#222385,.T.); #311030=ORIENTED_EDGE('',*,*,#222319,.T.); #311031=ORIENTED_EDGE('',*,*,#222386,.F.); #311032=ORIENTED_EDGE('',*,*,#222321,.F.); #311033=ORIENTED_EDGE('',*,*,#222387,.T.); #311034=ORIENTED_EDGE('',*,*,#222388,.T.); #311035=ORIENTED_EDGE('',*,*,#222389,.F.); #311036=ORIENTED_EDGE('',*,*,#222388,.F.); #311037=ORIENTED_EDGE('',*,*,#222390,.T.); #311038=ORIENTED_EDGE('',*,*,#222391,.T.); #311039=ORIENTED_EDGE('',*,*,#222392,.F.); #311040=ORIENTED_EDGE('',*,*,#222393,.F.); #311041=ORIENTED_EDGE('',*,*,#222394,.T.); #311042=ORIENTED_EDGE('',*,*,#222395,.T.); #311043=ORIENTED_EDGE('',*,*,#222396,.F.); #311044=ORIENTED_EDGE('',*,*,#222395,.F.); #311045=ORIENTED_EDGE('',*,*,#222397,.T.); #311046=ORIENTED_EDGE('',*,*,#222315,.T.); #311047=ORIENTED_EDGE('',*,*,#222398,.F.); #311048=ORIENTED_EDGE('',*,*,#222317,.F.); #311049=ORIENTED_EDGE('',*,*,#222399,.T.); #311050=ORIENTED_EDGE('',*,*,#222400,.T.); #311051=ORIENTED_EDGE('',*,*,#222401,.F.); #311052=ORIENTED_EDGE('',*,*,#222400,.F.); #311053=ORIENTED_EDGE('',*,*,#222402,.T.); #311054=ORIENTED_EDGE('',*,*,#222403,.T.); #311055=ORIENTED_EDGE('',*,*,#222404,.F.); #311056=ORIENTED_EDGE('',*,*,#222405,.F.); #311057=ORIENTED_EDGE('',*,*,#222406,.T.); #311058=ORIENTED_EDGE('',*,*,#222407,.T.); #311059=ORIENTED_EDGE('',*,*,#222408,.F.); #311060=ORIENTED_EDGE('',*,*,#222407,.F.); #311061=ORIENTED_EDGE('',*,*,#222409,.T.); #311062=ORIENTED_EDGE('',*,*,#222291,.T.); #311063=ORIENTED_EDGE('',*,*,#222410,.F.); #311064=ORIENTED_EDGE('',*,*,#222313,.F.); #311065=ORIENTED_EDGE('',*,*,#222411,.T.); #311066=ORIENTED_EDGE('',*,*,#222412,.T.); #311067=ORIENTED_EDGE('',*,*,#222413,.F.); #311068=ORIENTED_EDGE('',*,*,#222412,.F.); #311069=ORIENTED_EDGE('',*,*,#222414,.T.); #311070=ORIENTED_EDGE('',*,*,#222415,.T.); #311071=ORIENTED_EDGE('',*,*,#222416,.F.); #311072=ORIENTED_EDGE('',*,*,#222417,.F.); #311073=ORIENTED_EDGE('',*,*,#222311,.T.); #311074=ORIENTED_EDGE('',*,*,#222418,.T.); #311075=ORIENTED_EDGE('',*,*,#222419,.F.); #311076=ORIENTED_EDGE('',*,*,#222420,.F.); #311077=ORIENTED_EDGE('',*,*,#222419,.T.); #311078=ORIENTED_EDGE('',*,*,#222421,.T.); #311079=ORIENTED_EDGE('',*,*,#222422,.F.); #311080=ORIENTED_EDGE('',*,*,#222423,.F.); #311081=ORIENTED_EDGE('',*,*,#222424,.T.); #311082=ORIENTED_EDGE('',*,*,#222425,.T.); #311083=ORIENTED_EDGE('',*,*,#222426,.F.); #311084=ORIENTED_EDGE('',*,*,#222427,.F.); #311085=ORIENTED_EDGE('',*,*,#222426,.T.); #311086=ORIENTED_EDGE('',*,*,#222428,.T.); #311087=ORIENTED_EDGE('',*,*,#222309,.F.); #311088=ORIENTED_EDGE('',*,*,#222429,.F.); #311089=ORIENTED_EDGE('',*,*,#222307,.T.); #311090=ORIENTED_EDGE('',*,*,#222430,.T.); #311091=ORIENTED_EDGE('',*,*,#222431,.F.); #311092=ORIENTED_EDGE('',*,*,#222432,.F.); #311093=ORIENTED_EDGE('',*,*,#222431,.T.); #311094=ORIENTED_EDGE('',*,*,#222433,.T.); #311095=ORIENTED_EDGE('',*,*,#222434,.F.); #311096=ORIENTED_EDGE('',*,*,#222435,.F.); #311097=ORIENTED_EDGE('',*,*,#222436,.T.); #311098=ORIENTED_EDGE('',*,*,#222437,.T.); #311099=ORIENTED_EDGE('',*,*,#222438,.F.); #311100=ORIENTED_EDGE('',*,*,#222439,.F.); #311101=ORIENTED_EDGE('',*,*,#222438,.T.); #311102=ORIENTED_EDGE('',*,*,#222440,.T.); #311103=ORIENTED_EDGE('',*,*,#222305,.F.); #311104=ORIENTED_EDGE('',*,*,#222441,.F.); #311105=ORIENTED_EDGE('',*,*,#222303,.T.); #311106=ORIENTED_EDGE('',*,*,#222442,.T.); #311107=ORIENTED_EDGE('',*,*,#222443,.F.); #311108=ORIENTED_EDGE('',*,*,#222444,.F.); #311109=ORIENTED_EDGE('',*,*,#222443,.T.); #311110=ORIENTED_EDGE('',*,*,#222445,.T.); #311111=ORIENTED_EDGE('',*,*,#222446,.F.); #311112=ORIENTED_EDGE('',*,*,#222447,.F.); #311113=ORIENTED_EDGE('',*,*,#222448,.T.); #311114=ORIENTED_EDGE('',*,*,#222449,.T.); #311115=ORIENTED_EDGE('',*,*,#222450,.F.); #311116=ORIENTED_EDGE('',*,*,#222451,.F.); #311117=ORIENTED_EDGE('',*,*,#222450,.T.); #311118=ORIENTED_EDGE('',*,*,#222452,.T.); #311119=ORIENTED_EDGE('',*,*,#222301,.F.); #311120=ORIENTED_EDGE('',*,*,#222453,.F.); #311121=ORIENTED_EDGE('',*,*,#222299,.T.); #311122=ORIENTED_EDGE('',*,*,#222454,.T.); #311123=ORIENTED_EDGE('',*,*,#222455,.F.); #311124=ORIENTED_EDGE('',*,*,#222456,.F.); #311125=ORIENTED_EDGE('',*,*,#222455,.T.); #311126=ORIENTED_EDGE('',*,*,#222457,.T.); #311127=ORIENTED_EDGE('',*,*,#222458,.F.); #311128=ORIENTED_EDGE('',*,*,#222459,.F.); #311129=ORIENTED_EDGE('',*,*,#222460,.T.); #311130=ORIENTED_EDGE('',*,*,#222461,.T.); #311131=ORIENTED_EDGE('',*,*,#222462,.F.); #311132=ORIENTED_EDGE('',*,*,#222463,.F.); #311133=ORIENTED_EDGE('',*,*,#222462,.T.); #311134=ORIENTED_EDGE('',*,*,#222464,.T.); #311135=ORIENTED_EDGE('',*,*,#222297,.F.); #311136=ORIENTED_EDGE('',*,*,#222465,.F.); #311137=ORIENTED_EDGE('',*,*,#222295,.T.); #311138=ORIENTED_EDGE('',*,*,#222466,.T.); #311139=ORIENTED_EDGE('',*,*,#222467,.F.); #311140=ORIENTED_EDGE('',*,*,#222468,.F.); #311141=ORIENTED_EDGE('',*,*,#222467,.T.); #311142=ORIENTED_EDGE('',*,*,#222469,.T.); #311143=ORIENTED_EDGE('',*,*,#222470,.F.); #311144=ORIENTED_EDGE('',*,*,#222471,.F.); #311145=ORIENTED_EDGE('',*,*,#222472,.T.); #311146=ORIENTED_EDGE('',*,*,#222473,.T.); #311147=ORIENTED_EDGE('',*,*,#222474,.F.); #311148=ORIENTED_EDGE('',*,*,#222475,.F.); #311149=ORIENTED_EDGE('',*,*,#222474,.T.); #311150=ORIENTED_EDGE('',*,*,#222476,.T.); #311151=ORIENTED_EDGE('',*,*,#222293,.F.); #311152=ORIENTED_EDGE('',*,*,#222477,.F.); #311153=ORIENTED_EDGE('',*,*,#222335,.T.); #311154=ORIENTED_EDGE('',*,*,#222478,.T.); #311155=ORIENTED_EDGE('',*,*,#222479,.F.); #311156=ORIENTED_EDGE('',*,*,#222480,.F.); #311157=ORIENTED_EDGE('',*,*,#222479,.T.); #311158=ORIENTED_EDGE('',*,*,#222481,.T.); #311159=ORIENTED_EDGE('',*,*,#222482,.F.); #311160=ORIENTED_EDGE('',*,*,#222483,.T.); #311161=ORIENTED_EDGE('',*,*,#222484,.T.); #311162=ORIENTED_EDGE('',*,*,#222485,.T.); #311163=ORIENTED_EDGE('',*,*,#222198,.T.); #311164=ORIENTED_EDGE('',*,*,#222278,.T.); #311165=ORIENTED_EDGE('',*,*,#222486,.T.); #311166=ORIENTED_EDGE('',*,*,#222487,.F.); #311167=ORIENTED_EDGE('',*,*,#222483,.F.); #311168=ORIENTED_EDGE('',*,*,#222488,.F.); #311169=ORIENTED_EDGE('',*,*,#222489,.T.); #311170=ORIENTED_EDGE('',*,*,#222490,.T.); #311171=ORIENTED_EDGE('',*,*,#222491,.T.); #311172=ORIENTED_EDGE('',*,*,#222492,.F.); #311173=ORIENTED_EDGE('',*,*,#222491,.F.); #311174=ORIENTED_EDGE('',*,*,#222493,.F.); #311175=ORIENTED_EDGE('',*,*,#222281,.F.); #311176=ORIENTED_EDGE('',*,*,#222200,.F.); #311177=ORIENTED_EDGE('',*,*,#222494,.F.); #311178=ORIENTED_EDGE('',*,*,#222478,.F.); #311179=ORIENTED_EDGE('',*,*,#222338,.F.); #311180=ORIENTED_EDGE('',*,*,#222341,.T.); #311181=ORIENTED_EDGE('',*,*,#222344,.T.); #311182=ORIENTED_EDGE('',*,*,#222495,.T.); #311183=ORIENTED_EDGE('',*,*,#222348,.T.); #311184=ORIENTED_EDGE('',*,*,#222350,.T.); #311185=ORIENTED_EDGE('',*,*,#222334,.F.); #311186=ORIENTED_EDGE('',*,*,#222353,.T.); #311187=ORIENTED_EDGE('',*,*,#222356,.T.); #311188=ORIENTED_EDGE('',*,*,#222496,.T.); #311189=ORIENTED_EDGE('',*,*,#222360,.T.); #311190=ORIENTED_EDGE('',*,*,#222362,.T.); #311191=ORIENTED_EDGE('',*,*,#222330,.F.); #311192=ORIENTED_EDGE('',*,*,#222365,.T.); #311193=ORIENTED_EDGE('',*,*,#222368,.T.); #311194=ORIENTED_EDGE('',*,*,#222497,.T.); #311195=ORIENTED_EDGE('',*,*,#222372,.T.); #311196=ORIENTED_EDGE('',*,*,#222374,.T.); #311197=ORIENTED_EDGE('',*,*,#222326,.F.); #311198=ORIENTED_EDGE('',*,*,#222377,.T.); #311199=ORIENTED_EDGE('',*,*,#222380,.T.); #311200=ORIENTED_EDGE('',*,*,#222498,.T.); #311201=ORIENTED_EDGE('',*,*,#222384,.T.); #311202=ORIENTED_EDGE('',*,*,#222386,.T.); #311203=ORIENTED_EDGE('',*,*,#222322,.F.); #311204=ORIENTED_EDGE('',*,*,#222389,.T.); #311205=ORIENTED_EDGE('',*,*,#222392,.T.); #311206=ORIENTED_EDGE('',*,*,#222499,.T.); #311207=ORIENTED_EDGE('',*,*,#222396,.T.); #311208=ORIENTED_EDGE('',*,*,#222398,.T.); #311209=ORIENTED_EDGE('',*,*,#222318,.F.); #311210=ORIENTED_EDGE('',*,*,#222401,.T.); #311211=ORIENTED_EDGE('',*,*,#222404,.T.); #311212=ORIENTED_EDGE('',*,*,#222500,.T.); #311213=ORIENTED_EDGE('',*,*,#222408,.T.); #311214=ORIENTED_EDGE('',*,*,#222410,.T.); #311215=ORIENTED_EDGE('',*,*,#222294,.F.); #311216=ORIENTED_EDGE('',*,*,#222476,.F.); #311217=ORIENTED_EDGE('',*,*,#222473,.F.); #311218=ORIENTED_EDGE('',*,*,#222501,.F.); #311219=ORIENTED_EDGE('',*,*,#222469,.F.); #311220=ORIENTED_EDGE('',*,*,#222466,.F.); #311221=ORIENTED_EDGE('',*,*,#222298,.F.); #311222=ORIENTED_EDGE('',*,*,#222464,.F.); #311223=ORIENTED_EDGE('',*,*,#222461,.F.); #311224=ORIENTED_EDGE('',*,*,#222502,.F.); #311225=ORIENTED_EDGE('',*,*,#222457,.F.); #311226=ORIENTED_EDGE('',*,*,#222454,.F.); #311227=ORIENTED_EDGE('',*,*,#222302,.F.); #311228=ORIENTED_EDGE('',*,*,#222452,.F.); #311229=ORIENTED_EDGE('',*,*,#222449,.F.); #311230=ORIENTED_EDGE('',*,*,#222503,.F.); #311231=ORIENTED_EDGE('',*,*,#222445,.F.); #311232=ORIENTED_EDGE('',*,*,#222442,.F.); #311233=ORIENTED_EDGE('',*,*,#222306,.F.); #311234=ORIENTED_EDGE('',*,*,#222440,.F.); #311235=ORIENTED_EDGE('',*,*,#222437,.F.); #311236=ORIENTED_EDGE('',*,*,#222504,.F.); #311237=ORIENTED_EDGE('',*,*,#222433,.F.); #311238=ORIENTED_EDGE('',*,*,#222430,.F.); #311239=ORIENTED_EDGE('',*,*,#222310,.F.); #311240=ORIENTED_EDGE('',*,*,#222428,.F.); #311241=ORIENTED_EDGE('',*,*,#222425,.F.); #311242=ORIENTED_EDGE('',*,*,#222505,.F.); #311243=ORIENTED_EDGE('',*,*,#222421,.F.); #311244=ORIENTED_EDGE('',*,*,#222418,.F.); #311245=ORIENTED_EDGE('',*,*,#222314,.F.); #311246=ORIENTED_EDGE('',*,*,#222413,.T.); #311247=ORIENTED_EDGE('',*,*,#222416,.T.); #311248=ORIENTED_EDGE('',*,*,#222506,.T.); #311249=ORIENTED_EDGE('',*,*,#222494,.T.); #311250=ORIENTED_EDGE('',*,*,#222199,.T.); #311251=ORIENTED_EDGE('',*,*,#222485,.F.); #311252=ORIENTED_EDGE('',*,*,#222507,.F.); #311253=ORIENTED_EDGE('',*,*,#222481,.F.); #311254=ORIENTED_EDGE('',*,*,#222282,.T.); #311255=ORIENTED_EDGE('',*,*,#222493,.T.); #311256=ORIENTED_EDGE('',*,*,#222508,.T.); #311257=ORIENTED_EDGE('',*,*,#222509,.F.); #311258=ORIENTED_EDGE('',*,*,#222510,.F.); #311259=ORIENTED_EDGE('',*,*,#222511,.F.); #311260=ORIENTED_EDGE('',*,*,#222512,.T.); #311261=ORIENTED_EDGE('',*,*,#222486,.F.); #311262=ORIENTED_EDGE('',*,*,#222277,.T.); #311263=ORIENTED_EDGE('',*,*,#222513,.T.); #311264=ORIENTED_EDGE('',*,*,#222514,.T.); #311265=ORIENTED_EDGE('',*,*,#222515,.T.); #311266=ORIENTED_EDGE('',*,*,#222516,.F.); #311267=ORIENTED_EDGE('',*,*,#222517,.T.); #311268=ORIENTED_EDGE('',*,*,#222518,.F.); #311269=ORIENTED_EDGE('',*,*,#222519,.T.); #311270=ORIENTED_EDGE('',*,*,#222520,.T.); #311271=ORIENTED_EDGE('',*,*,#222521,.T.); #311272=ORIENTED_EDGE('',*,*,#222520,.F.); #311273=ORIENTED_EDGE('',*,*,#222522,.F.); #311274=ORIENTED_EDGE('',*,*,#222523,.F.); #311275=ORIENTED_EDGE('',*,*,#222524,.T.); #311276=ORIENTED_EDGE('',*,*,#222525,.T.); #311277=ORIENTED_EDGE('',*,*,#222526,.F.); #311278=ORIENTED_EDGE('',*,*,#222527,.T.); #311279=ORIENTED_EDGE('',*,*,#222528,.T.); #311280=ORIENTED_EDGE('',*,*,#222529,.F.); #311281=ORIENTED_EDGE('',*,*,#222530,.F.); #311282=ORIENTED_EDGE('',*,*,#222531,.F.); #311283=ORIENTED_EDGE('',*,*,#222532,.T.); #311284=ORIENTED_EDGE('',*,*,#222533,.T.); #311285=ORIENTED_EDGE('',*,*,#222534,.T.); #311286=ORIENTED_EDGE('',*,*,#222535,.F.); #311287=ORIENTED_EDGE('',*,*,#222536,.F.); #311288=ORIENTED_EDGE('',*,*,#222537,.T.); #311289=ORIENTED_EDGE('',*,*,#222538,.T.); #311290=ORIENTED_EDGE('',*,*,#222539,.T.); #311291=ORIENTED_EDGE('',*,*,#222540,.T.); #311292=ORIENTED_EDGE('',*,*,#222541,.F.); #311293=ORIENTED_EDGE('',*,*,#222542,.F.); #311294=ORIENTED_EDGE('',*,*,#222543,.F.); #311295=ORIENTED_EDGE('',*,*,#222544,.T.); #311296=ORIENTED_EDGE('',*,*,#222545,.T.); #311297=ORIENTED_EDGE('',*,*,#222546,.F.); #311298=ORIENTED_EDGE('',*,*,#222547,.F.); #311299=ORIENTED_EDGE('',*,*,#222548,.F.); #311300=ORIENTED_EDGE('',*,*,#222549,.T.); #311301=ORIENTED_EDGE('',*,*,#222524,.F.); #311302=ORIENTED_EDGE('',*,*,#222550,.F.); #311303=ORIENTED_EDGE('',*,*,#222551,.T.); #311304=ORIENTED_EDGE('',*,*,#222552,.T.); #311305=ORIENTED_EDGE('',*,*,#222553,.T.); #311306=ORIENTED_EDGE('',*,*,#222554,.T.); #311307=ORIENTED_EDGE('',*,*,#222555,.T.); #311308=ORIENTED_EDGE('',*,*,#222556,.T.); #311309=ORIENTED_EDGE('',*,*,#222557,.F.); #311310=ORIENTED_EDGE('',*,*,#222558,.F.); #311311=ORIENTED_EDGE('',*,*,#222559,.F.); #311312=ORIENTED_EDGE('',*,*,#222215,.F.); #311313=ORIENTED_EDGE('',*,*,#222560,.F.); #311314=ORIENTED_EDGE('',*,*,#222552,.F.); #311315=ORIENTED_EDGE('',*,*,#222561,.T.); #311316=ORIENTED_EDGE('',*,*,#222528,.F.); #311317=ORIENTED_EDGE('',*,*,#222562,.F.); #311318=ORIENTED_EDGE('',*,*,#222563,.F.); #311319=ORIENTED_EDGE('',*,*,#222540,.F.); #311320=ORIENTED_EDGE('',*,*,#222564,.T.); #311321=ORIENTED_EDGE('',*,*,#222545,.F.); #311322=ORIENTED_EDGE('',*,*,#222565,.F.); #311323=ORIENTED_EDGE('',*,*,#222566,.F.); #311324=ORIENTED_EDGE('',*,*,#222218,.F.); #311325=ORIENTED_EDGE('',*,*,#222233,.F.); #311326=ORIENTED_EDGE('',*,*,#222230,.F.); #311327=ORIENTED_EDGE('',*,*,#222567,.F.); #311328=ORIENTED_EDGE('',*,*,#222568,.T.); #311329=ORIENTED_EDGE('',*,*,#222569,.T.); #311330=ORIENTED_EDGE('',*,*,#222570,.T.); #311331=ORIENTED_EDGE('',*,*,#222571,.T.); #311332=ORIENTED_EDGE('',*,*,#222572,.T.); #311333=ORIENTED_EDGE('',*,*,#222548,.T.); #311334=ORIENTED_EDGE('',*,*,#222573,.T.); #311335=ORIENTED_EDGE('',*,*,#222574,.T.); #311336=ORIENTED_EDGE('',*,*,#222575,.F.); #311337=ORIENTED_EDGE('',*,*,#222576,.F.); #311338=ORIENTED_EDGE('',*,*,#222569,.F.); #311339=ORIENTED_EDGE('',*,*,#222577,.T.); #311340=ORIENTED_EDGE('',*,*,#222240,.F.); #311341=ORIENTED_EDGE('',*,*,#222578,.T.); #311342=ORIENTED_EDGE('',*,*,#222244,.F.); #311343=ORIENTED_EDGE('',*,*,#222579,.T.); #311344=ORIENTED_EDGE('',*,*,#222573,.F.); #311345=ORIENTED_EDGE('',*,*,#222580,.F.); #311346=ORIENTED_EDGE('',*,*,#222581,.F.); #311347=ORIENTED_EDGE('',*,*,#222556,.F.); #311348=ORIENTED_EDGE('',*,*,#222582,.F.); #311349=ORIENTED_EDGE('',*,*,#222248,.F.); #311350=ORIENTED_EDGE('',*,*,#222583,.F.); #311351=ORIENTED_EDGE('',*,*,#222584,.T.); #311352=ORIENTED_EDGE('',*,*,#222585,.T.); #311353=ORIENTED_EDGE('',*,*,#222527,.F.); #311354=ORIENTED_EDGE('',*,*,#222514,.F.); #311355=ORIENTED_EDGE('',*,*,#222586,.T.); #311356=ORIENTED_EDGE('',*,*,#222587,.T.); #311357=ORIENTED_EDGE('',*,*,#222588,.T.); #311358=ORIENTED_EDGE('',*,*,#222584,.F.); #311359=ORIENTED_EDGE('',*,*,#222589,.T.); #311360=ORIENTED_EDGE('',*,*,#222587,.F.); #311361=ORIENTED_EDGE('',*,*,#222590,.T.); #311362=ORIENTED_EDGE('',*,*,#222249,.T.); #311363=ORIENTED_EDGE('',*,*,#222591,.T.); #311364=ORIENTED_EDGE('',*,*,#222239,.F.); #311365=ORIENTED_EDGE('',*,*,#222287,.T.); #311366=ORIENTED_EDGE('',*,*,#222592,.T.); #311367=ORIENTED_EDGE('',*,*,#222593,.T.); #311368=ORIENTED_EDGE('',*,*,#222592,.F.); #311369=ORIENTED_EDGE('',*,*,#222594,.T.); #311370=ORIENTED_EDGE('',*,*,#222511,.T.); #311371=ORIENTED_EDGE('',*,*,#222595,.F.); #311372=ORIENTED_EDGE('',*,*,#222518,.T.); #311373=ORIENTED_EDGE('',*,*,#222596,.T.); #311374=ORIENTED_EDGE('',*,*,#222597,.F.); #311375=ORIENTED_EDGE('',*,*,#222598,.T.); #311376=ORIENTED_EDGE('',*,*,#222219,.T.); #311377=ORIENTED_EDGE('',*,*,#222566,.T.); #311378=ORIENTED_EDGE('',*,*,#222599,.T.); #311379=ORIENTED_EDGE('',*,*,#222600,.T.); #311380=ORIENTED_EDGE('',*,*,#222601,.T.); #311381=ORIENTED_EDGE('',*,*,#222602,.T.); #311382=ORIENTED_EDGE('',*,*,#222543,.T.); #311383=ORIENTED_EDGE('',*,*,#222603,.F.); #311384=ORIENTED_EDGE('',*,*,#222604,.F.); #311385=ORIENTED_EDGE('',*,*,#222605,.F.); #311386=ORIENTED_EDGE('',*,*,#222606,.F.); #311387=ORIENTED_EDGE('',*,*,#222550,.T.); #311388=ORIENTED_EDGE('',*,*,#222214,.F.); #311389=ORIENTED_EDGE('',*,*,#222607,.T.); #311390=ORIENTED_EDGE('',*,*,#222608,.T.); #311391=ORIENTED_EDGE('',*,*,#222609,.T.); #311392=ORIENTED_EDGE('',*,*,#222610,.F.); #311393=ORIENTED_EDGE('',*,*,#222611,.T.); #311394=ORIENTED_EDGE('',*,*,#222597,.T.); #311395=ORIENTED_EDGE('',*,*,#222560,.T.); #311396=ORIENTED_EDGE('',*,*,#222610,.T.); #311397=ORIENTED_EDGE('',*,*,#222612,.T.); #311398=ORIENTED_EDGE('',*,*,#222613,.F.); #311399=ORIENTED_EDGE('',*,*,#222614,.T.); #311400=ORIENTED_EDGE('',*,*,#222615,.T.); #311401=ORIENTED_EDGE('',*,*,#222616,.T.); #311402=ORIENTED_EDGE('',*,*,#222212,.T.); #311403=ORIENTED_EDGE('',*,*,#222559,.T.); #311404=ORIENTED_EDGE('',*,*,#222617,.F.); #311405=ORIENTED_EDGE('',*,*,#222618,.T.); #311406=ORIENTED_EDGE('',*,*,#222613,.T.); #311407=ORIENTED_EDGE('',*,*,#222619,.T.); #311408=ORIENTED_EDGE('',*,*,#222229,.F.); #311409=ORIENTED_EDGE('',*,*,#222620,.T.); #311410=ORIENTED_EDGE('',*,*,#222617,.T.); #311411=ORIENTED_EDGE('',*,*,#222558,.T.); #311412=ORIENTED_EDGE('',*,*,#222621,.F.); #311413=ORIENTED_EDGE('',*,*,#222622,.F.); #311414=ORIENTED_EDGE('',*,*,#222623,.T.); #311415=ORIENTED_EDGE('',*,*,#222575,.T.); #311416=ORIENTED_EDGE('',*,*,#222624,.F.); #311417=ORIENTED_EDGE('',*,*,#222625,.T.); #311418=ORIENTED_EDGE('',*,*,#222626,.T.); #311419=ORIENTED_EDGE('',*,*,#222567,.T.); #311420=ORIENTED_EDGE('',*,*,#222627,.T.); #311421=ORIENTED_EDGE('',*,*,#222628,.T.); #311422=ORIENTED_EDGE('',*,*,#222629,.T.); #311423=ORIENTED_EDGE('',*,*,#222630,.T.); #311424=ORIENTED_EDGE('',*,*,#222631,.T.); #311425=ORIENTED_EDGE('',*,*,#222632,.T.); #311426=ORIENTED_EDGE('',*,*,#222633,.T.); #311427=ORIENTED_EDGE('',*,*,#222634,.T.); #311428=ORIENTED_EDGE('',*,*,#222635,.F.); #311429=ORIENTED_EDGE('',*,*,#222636,.F.); #311430=ORIENTED_EDGE('',*,*,#222637,.T.); #311431=ORIENTED_EDGE('',*,*,#222638,.T.); #311432=ORIENTED_EDGE('',*,*,#222639,.F.); #311433=ORIENTED_EDGE('',*,*,#222640,.F.); #311434=ORIENTED_EDGE('',*,*,#222641,.T.); #311435=ORIENTED_EDGE('',*,*,#222636,.T.); #311436=ORIENTED_EDGE('',*,*,#222642,.F.); #311437=ORIENTED_EDGE('',*,*,#222638,.F.); #311438=ORIENTED_EDGE('',*,*,#222643,.T.); #311439=ORIENTED_EDGE('',*,*,#222644,.T.); #311440=ORIENTED_EDGE('',*,*,#222645,.F.); #311441=ORIENTED_EDGE('',*,*,#222644,.F.); #311442=ORIENTED_EDGE('',*,*,#222646,.T.); #311443=ORIENTED_EDGE('',*,*,#222647,.T.); #311444=ORIENTED_EDGE('',*,*,#222648,.F.); #311445=ORIENTED_EDGE('',*,*,#222647,.F.); #311446=ORIENTED_EDGE('',*,*,#222649,.T.); #311447=ORIENTED_EDGE('',*,*,#222650,.T.); #311448=ORIENTED_EDGE('',*,*,#222651,.T.); #311449=ORIENTED_EDGE('',*,*,#222652,.T.); #311450=ORIENTED_EDGE('',*,*,#222653,.F.); #311451=ORIENTED_EDGE('',*,*,#222650,.F.); #311452=ORIENTED_EDGE('',*,*,#222654,.T.); #311453=ORIENTED_EDGE('',*,*,#222655,.T.); #311454=ORIENTED_EDGE('',*,*,#222656,.T.); #311455=ORIENTED_EDGE('',*,*,#222657,.T.); #311456=ORIENTED_EDGE('',*,*,#222658,.F.); #311457=ORIENTED_EDGE('',*,*,#222659,.T.); #311458=ORIENTED_EDGE('',*,*,#222660,.T.); #311459=ORIENTED_EDGE('',*,*,#222661,.T.); #311460=ORIENTED_EDGE('',*,*,#222662,.F.); #311461=ORIENTED_EDGE('',*,*,#222661,.F.); #311462=ORIENTED_EDGE('',*,*,#222663,.T.); #311463=ORIENTED_EDGE('',*,*,#222664,.T.); #311464=ORIENTED_EDGE('',*,*,#222665,.F.); #311465=ORIENTED_EDGE('',*,*,#222664,.F.); #311466=ORIENTED_EDGE('',*,*,#222666,.T.); #311467=ORIENTED_EDGE('',*,*,#222640,.T.); #311468=ORIENTED_EDGE('',*,*,#222667,.F.); #311469=ORIENTED_EDGE('',*,*,#222668,.T.); #311470=ORIENTED_EDGE('',*,*,#222669,.F.); #311471=ORIENTED_EDGE('',*,*,#222651,.F.); #311472=ORIENTED_EDGE('',*,*,#222649,.F.); #311473=ORIENTED_EDGE('',*,*,#222646,.F.); #311474=ORIENTED_EDGE('',*,*,#222643,.F.); #311475=ORIENTED_EDGE('',*,*,#222637,.F.); #311476=ORIENTED_EDGE('',*,*,#222641,.F.); #311477=ORIENTED_EDGE('',*,*,#222666,.F.); #311478=ORIENTED_EDGE('',*,*,#222663,.F.); #311479=ORIENTED_EDGE('',*,*,#222660,.F.); #311480=ORIENTED_EDGE('',*,*,#222653,.T.); #311481=ORIENTED_EDGE('',*,*,#222670,.T.); #311482=ORIENTED_EDGE('',*,*,#222671,.T.); #311483=ORIENTED_EDGE('',*,*,#222672,.T.); #311484=ORIENTED_EDGE('',*,*,#222658,.T.); #311485=ORIENTED_EDGE('',*,*,#222662,.T.); #311486=ORIENTED_EDGE('',*,*,#222665,.T.); #311487=ORIENTED_EDGE('',*,*,#222639,.T.); #311488=ORIENTED_EDGE('',*,*,#222635,.T.); #311489=ORIENTED_EDGE('',*,*,#222642,.T.); #311490=ORIENTED_EDGE('',*,*,#222645,.T.); #311491=ORIENTED_EDGE('',*,*,#222648,.T.); #311492=ORIENTED_EDGE('',*,*,#222673,.F.); #311493=ORIENTED_EDGE('',*,*,#222220,.F.); #311494=ORIENTED_EDGE('',*,*,#222674,.F.); #311495=ORIENTED_EDGE('',*,*,#222632,.F.); #311496=ORIENTED_EDGE('',*,*,#222674,.T.); #311497=ORIENTED_EDGE('',*,*,#222598,.F.); #311498=ORIENTED_EDGE('',*,*,#222675,.F.); #311499=ORIENTED_EDGE('',*,*,#222633,.F.); #311500=ORIENTED_EDGE('',*,*,#222673,.T.); #311501=ORIENTED_EDGE('',*,*,#222631,.F.); #311502=ORIENTED_EDGE('',*,*,#222676,.F.); #311503=ORIENTED_EDGE('',*,*,#222235,.F.); #311504=ORIENTED_EDGE('',*,*,#222675,.T.); #311505=ORIENTED_EDGE('',*,*,#222611,.F.); #311506=ORIENTED_EDGE('',*,*,#222677,.F.); #311507=ORIENTED_EDGE('',*,*,#222634,.F.); #311508=ORIENTED_EDGE('',*,*,#222676,.T.); #311509=ORIENTED_EDGE('',*,*,#222630,.F.); #311510=ORIENTED_EDGE('',*,*,#222678,.F.); #311511=ORIENTED_EDGE('',*,*,#222228,.F.); #311512=ORIENTED_EDGE('',*,*,#222677,.T.); #311513=ORIENTED_EDGE('',*,*,#222614,.F.); #311514=ORIENTED_EDGE('',*,*,#222679,.F.); #311515=ORIENTED_EDGE('',*,*,#222627,.F.); #311516=ORIENTED_EDGE('',*,*,#222678,.T.); #311517=ORIENTED_EDGE('',*,*,#222629,.F.); #311518=ORIENTED_EDGE('',*,*,#222680,.F.); #311519=ORIENTED_EDGE('',*,*,#222620,.F.); #311520=ORIENTED_EDGE('',*,*,#222679,.T.); #311521=ORIENTED_EDGE('',*,*,#222618,.F.); #311522=ORIENTED_EDGE('',*,*,#222680,.T.); #311523=ORIENTED_EDGE('',*,*,#222628,.F.); #311524=ORIENTED_EDGE('',*,*,#222681,.F.); #311525=ORIENTED_EDGE('',*,*,#222521,.F.); #311526=ORIENTED_EDGE('',*,*,#222549,.F.); #311527=ORIENTED_EDGE('',*,*,#222572,.F.); #311528=ORIENTED_EDGE('',*,*,#222681,.T.); #311529=ORIENTED_EDGE('',*,*,#222571,.F.); #311530=ORIENTED_EDGE('',*,*,#222682,.F.); #311531=ORIENTED_EDGE('',*,*,#222596,.F.); #311532=ORIENTED_EDGE('',*,*,#222562,.T.); #311533=ORIENTED_EDGE('',*,*,#222585,.F.); #311534=ORIENTED_EDGE('',*,*,#222683,.F.); #311535=ORIENTED_EDGE('',*,*,#222553,.F.); #311536=ORIENTED_EDGE('',*,*,#222682,.T.); #311537=ORIENTED_EDGE('',*,*,#222570,.F.); #311538=ORIENTED_EDGE('',*,*,#222578,.F.); #311539=ORIENTED_EDGE('',*,*,#222593,.F.); #311540=ORIENTED_EDGE('',*,*,#222683,.T.); #311541=ORIENTED_EDGE('',*,*,#222588,.F.); #311542=ORIENTED_EDGE('',*,*,#222684,.F.); #311543=ORIENTED_EDGE('',*,*,#222554,.F.); #311544=ORIENTED_EDGE('',*,*,#222684,.T.); #311545=ORIENTED_EDGE('',*,*,#222591,.F.); #311546=ORIENTED_EDGE('',*,*,#222582,.T.); #311547=ORIENTED_EDGE('',*,*,#222555,.F.); #311548=ORIENTED_EDGE('',*,*,#222671,.F.); #311549=ORIENTED_EDGE('',*,*,#222685,.T.); #311550=ORIENTED_EDGE('',*,*,#222654,.F.); #311551=ORIENTED_EDGE('',*,*,#222686,.T.); #311552=ORIENTED_EDGE('',*,*,#222656,.F.); #311553=ORIENTED_EDGE('',*,*,#222687,.T.); #311554=ORIENTED_EDGE('',*,*,#222668,.F.); #311555=ORIENTED_EDGE('',*,*,#222688,.T.); #311556=ORIENTED_EDGE('',*,*,#222667,.T.); #311557=ORIENTED_EDGE('',*,*,#222659,.F.); #311558=ORIENTED_EDGE('',*,*,#222672,.F.); #311559=ORIENTED_EDGE('',*,*,#222686,.F.); #311560=ORIENTED_EDGE('',*,*,#222657,.F.); #311561=ORIENTED_EDGE('',*,*,#222688,.F.); #311562=ORIENTED_EDGE('',*,*,#222687,.F.); #311563=ORIENTED_EDGE('',*,*,#222655,.F.); #311564=ORIENTED_EDGE('',*,*,#222685,.F.); #311565=ORIENTED_EDGE('',*,*,#222670,.F.); #311566=ORIENTED_EDGE('',*,*,#222652,.F.); #311567=ORIENTED_EDGE('',*,*,#222669,.T.); #311568=ORIENTED_EDGE('',*,*,#222581,.T.); #311569=ORIENTED_EDGE('',*,*,#222247,.F.); #311570=ORIENTED_EDGE('',*,*,#222689,.F.); #311571=ORIENTED_EDGE('',*,*,#222621,.T.); #311572=ORIENTED_EDGE('',*,*,#222557,.T.); #311573=ORIENTED_EDGE('',*,*,#222580,.T.); #311574=ORIENTED_EDGE('',*,*,#222576,.T.); #311575=ORIENTED_EDGE('',*,*,#222623,.F.); #311576=ORIENTED_EDGE('',*,*,#222690,.F.); #311577=ORIENTED_EDGE('',*,*,#222245,.T.); #311578=ORIENTED_EDGE('',*,*,#222689,.T.); #311579=ORIENTED_EDGE('',*,*,#222246,.T.); #311580=ORIENTED_EDGE('',*,*,#222690,.T.); #311581=ORIENTED_EDGE('',*,*,#222622,.T.); #311582=ORIENTED_EDGE('',*,*,#222568,.F.); #311583=ORIENTED_EDGE('',*,*,#222626,.F.); #311584=ORIENTED_EDGE('',*,*,#222691,.T.); #311585=ORIENTED_EDGE('',*,*,#222241,.T.); #311586=ORIENTED_EDGE('',*,*,#222577,.F.); #311587=ORIENTED_EDGE('',*,*,#222243,.F.); #311588=ORIENTED_EDGE('',*,*,#222692,.T.); #311589=ORIENTED_EDGE('',*,*,#222624,.T.); #311590=ORIENTED_EDGE('',*,*,#222574,.F.); #311591=ORIENTED_EDGE('',*,*,#222579,.F.); #311592=ORIENTED_EDGE('',*,*,#222691,.F.); #311593=ORIENTED_EDGE('',*,*,#222625,.F.); #311594=ORIENTED_EDGE('',*,*,#222692,.F.); #311595=ORIENTED_EDGE('',*,*,#222242,.F.); #311596=ORIENTED_EDGE('',*,*,#222551,.F.); #311597=ORIENTED_EDGE('',*,*,#222606,.T.); #311598=ORIENTED_EDGE('',*,*,#222693,.T.); #311599=ORIENTED_EDGE('',*,*,#222525,.F.); #311600=ORIENTED_EDGE('',*,*,#222561,.F.); #311601=ORIENTED_EDGE('',*,*,#222537,.F.); #311602=ORIENTED_EDGE('',*,*,#222694,.F.); #311603=ORIENTED_EDGE('',*,*,#222695,.F.); #311604=ORIENTED_EDGE('',*,*,#222696,.T.); #311605=ORIENTED_EDGE('',*,*,#222515,.F.); #311606=ORIENTED_EDGE('',*,*,#222589,.F.); #311607=ORIENTED_EDGE('',*,*,#222583,.T.); #311608=ORIENTED_EDGE('',*,*,#222526,.T.); #311609=ORIENTED_EDGE('',*,*,#222693,.F.); #311610=ORIENTED_EDGE('',*,*,#222605,.T.); #311611=ORIENTED_EDGE('',*,*,#222697,.T.); #311612=ORIENTED_EDGE('',*,*,#222538,.F.); #311613=ORIENTED_EDGE('',*,*,#222697,.F.); #311614=ORIENTED_EDGE('',*,*,#222604,.T.); #311615=ORIENTED_EDGE('',*,*,#222698,.T.); #311616=ORIENTED_EDGE('',*,*,#222539,.F.); #311617=ORIENTED_EDGE('',*,*,#222698,.F.); #311618=ORIENTED_EDGE('',*,*,#222603,.T.); #311619=ORIENTED_EDGE('',*,*,#222546,.T.); #311620=ORIENTED_EDGE('',*,*,#222564,.F.); #311621=ORIENTED_EDGE('',*,*,#222699,.T.); #311622=ORIENTED_EDGE('',*,*,#222531,.T.); #311623=ORIENTED_EDGE('',*,*,#222700,.F.); #311624=ORIENTED_EDGE('',*,*,#222701,.F.); #311625=ORIENTED_EDGE('',*,*,#222702,.T.); #311626=ORIENTED_EDGE('',*,*,#222535,.T.); #311627=ORIENTED_EDGE('',*,*,#222703,.T.); #311628=ORIENTED_EDGE('',*,*,#222704,.F.); #311629=ORIENTED_EDGE('',*,*,#222705,.T.); #311630=ORIENTED_EDGE('',*,*,#222706,.T.); #311631=ORIENTED_EDGE('',*,*,#222707,.T.); #311632=ORIENTED_EDGE('',*,*,#222708,.F.); #311633=ORIENTED_EDGE('',*,*,#222709,.T.); #311634=ORIENTED_EDGE('',*,*,#222704,.T.); #311635=ORIENTED_EDGE('',*,*,#222710,.T.); #311636=ORIENTED_EDGE('',*,*,#222708,.T.); #311637=ORIENTED_EDGE('',*,*,#222547,.T.); #311638=ORIENTED_EDGE('',*,*,#222523,.T.); #311639=ORIENTED_EDGE('',*,*,#222711,.F.); #311640=ORIENTED_EDGE('',*,*,#222599,.F.); #311641=ORIENTED_EDGE('',*,*,#222565,.T.); #311642=ORIENTED_EDGE('',*,*,#222711,.T.); #311643=ORIENTED_EDGE('',*,*,#222522,.T.); #311644=ORIENTED_EDGE('',*,*,#222519,.F.); #311645=ORIENTED_EDGE('',*,*,#222595,.T.); #311646=ORIENTED_EDGE('',*,*,#222510,.T.); #311647=ORIENTED_EDGE('',*,*,#222712,.F.); #311648=ORIENTED_EDGE('',*,*,#222713,.F.); #311649=ORIENTED_EDGE('',*,*,#222714,.T.); #311650=ORIENTED_EDGE('',*,*,#222529,.T.); #311651=ORIENTED_EDGE('',*,*,#222715,.F.); #311652=ORIENTED_EDGE('',*,*,#222600,.F.); #311653=ORIENTED_EDGE('',*,*,#222542,.T.); #311654=ORIENTED_EDGE('',*,*,#222716,.F.); #311655=ORIENTED_EDGE('',*,*,#222601,.F.); #311656=ORIENTED_EDGE('',*,*,#222715,.T.); #311657=ORIENTED_EDGE('',*,*,#222563,.T.); #311658=ORIENTED_EDGE('',*,*,#222544,.F.); #311659=ORIENTED_EDGE('',*,*,#222602,.F.); #311660=ORIENTED_EDGE('',*,*,#222716,.T.); #311661=ORIENTED_EDGE('',*,*,#222541,.T.); #311662=ORIENTED_EDGE('',*,*,#222703,.F.); #311663=ORIENTED_EDGE('',*,*,#222534,.F.); #311664=ORIENTED_EDGE('',*,*,#222717,.T.); #311665=ORIENTED_EDGE('',*,*,#222705,.F.); #311666=ORIENTED_EDGE('',*,*,#222710,.F.); #311667=ORIENTED_EDGE('',*,*,#222707,.F.); #311668=ORIENTED_EDGE('',*,*,#222718,.T.); #311669=ORIENTED_EDGE('',*,*,#222532,.F.); #311670=ORIENTED_EDGE('',*,*,#222699,.F.); #311671=ORIENTED_EDGE('',*,*,#222709,.F.); #311672=ORIENTED_EDGE('',*,*,#222717,.F.); #311673=ORIENTED_EDGE('',*,*,#222533,.F.); #311674=ORIENTED_EDGE('',*,*,#222718,.F.); #311675=ORIENTED_EDGE('',*,*,#222706,.F.); #311676=ORIENTED_EDGE('',*,*,#222530,.T.); #311677=ORIENTED_EDGE('',*,*,#222714,.F.); #311678=ORIENTED_EDGE('',*,*,#222719,.F.); #311679=ORIENTED_EDGE('',*,*,#222700,.T.); #311680=ORIENTED_EDGE('',*,*,#222509,.T.); #311681=ORIENTED_EDGE('',*,*,#222720,.F.); #311682=ORIENTED_EDGE('',*,*,#222721,.F.); #311683=ORIENTED_EDGE('',*,*,#222712,.T.); #311684=ORIENTED_EDGE('',*,*,#222720,.T.); #311685=ORIENTED_EDGE('',*,*,#222722,.F.); #311686=ORIENTED_EDGE('',*,*,#222723,.T.); #311687=ORIENTED_EDGE('',*,*,#222724,.T.); #311688=ORIENTED_EDGE('',*,*,#222725,.F.); #311689=ORIENTED_EDGE('',*,*,#222726,.F.); #311690=ORIENTED_EDGE('',*,*,#222516,.T.); #311691=ORIENTED_EDGE('',*,*,#222696,.F.); #311692=ORIENTED_EDGE('',*,*,#222727,.F.); #311693=ORIENTED_EDGE('',*,*,#222725,.T.); #311694=ORIENTED_EDGE('',*,*,#222536,.T.); #311695=ORIENTED_EDGE('',*,*,#222702,.F.); #311696=ORIENTED_EDGE('',*,*,#222728,.F.); #311697=ORIENTED_EDGE('',*,*,#222694,.T.); #311698=ORIENTED_EDGE('',*,*,#222719,.T.); #311699=ORIENTED_EDGE('',*,*,#222713,.T.); #311700=ORIENTED_EDGE('',*,*,#222721,.T.); #311701=ORIENTED_EDGE('',*,*,#222726,.T.); #311702=ORIENTED_EDGE('',*,*,#222727,.T.); #311703=ORIENTED_EDGE('',*,*,#222695,.T.); #311704=ORIENTED_EDGE('',*,*,#222728,.T.); #311705=ORIENTED_EDGE('',*,*,#222701,.T.); #311706=ORIENTED_EDGE('',*,*,#222729,.F.); #311707=ORIENTED_EDGE('',*,*,#222730,.T.); #311708=ORIENTED_EDGE('',*,*,#222730,.F.); #311709=ORIENTED_EDGE('',*,*,#222731,.T.); #311710=ORIENTED_EDGE('',*,*,#222732,.T.); #311711=ORIENTED_EDGE('',*,*,#222731,.F.); #311712=ORIENTED_EDGE('',*,*,#222733,.T.); #311713=ORIENTED_EDGE('',*,*,#222729,.T.); #311714=ORIENTED_EDGE('',*,*,#222734,.T.); #311715=ORIENTED_EDGE('',*,*,#222735,.T.); #311716=ORIENTED_EDGE('',*,*,#222734,.F.); #311717=ORIENTED_EDGE('',*,*,#222736,.T.); #311718=ORIENTED_EDGE('',*,*,#222735,.F.); #311719=ORIENTED_EDGE('',*,*,#222737,.T.); #311720=ORIENTED_EDGE('',*,*,#222736,.F.); #311721=ORIENTED_EDGE('',*,*,#222737,.F.); #311722=ORIENTED_EDGE('',*,*,#222732,.F.); #311723=ORIENTED_EDGE('',*,*,#222738,.T.); #311724=ORIENTED_EDGE('',*,*,#222733,.F.); #311725=ORIENTED_EDGE('',*,*,#222738,.F.); #311726=ORIENTED_EDGE('',*,*,#222512,.F.); #311727=ORIENTED_EDGE('',*,*,#222594,.F.); #311728=ORIENTED_EDGE('',*,*,#222286,.F.); #311729=ORIENTED_EDGE('',*,*,#222283,.F.); #311730=ORIENTED_EDGE('',*,*,#222238,.F.); #311731=ORIENTED_EDGE('',*,*,#222739,.F.); #311732=ORIENTED_EDGE('',*,*,#222740,.F.); #311733=ORIENTED_EDGE('',*,*,#222288,.T.); #311734=ORIENTED_EDGE('',*,*,#222741,.F.); #311735=ORIENTED_EDGE('',*,*,#222742,.T.); #311736=ORIENTED_EDGE('',*,*,#222743,.F.); #311737=ORIENTED_EDGE('',*,*,#222744,.F.); #311738=ORIENTED_EDGE('',*,*,#222253,.T.); #311739=ORIENTED_EDGE('',*,*,#222590,.F.); #311740=ORIENTED_EDGE('',*,*,#222745,.F.); #311741=ORIENTED_EDGE('',*,*,#222275,.F.); #311742=ORIENTED_EDGE('',*,*,#222513,.F.); #311743=ORIENTED_EDGE('',*,*,#222276,.F.); #311744=ORIENTED_EDGE('',*,*,#222745,.T.); #311745=ORIENTED_EDGE('',*,*,#222586,.F.); #311746=ORIENTED_EDGE('',*,*,#222508,.F.); #311747=ORIENTED_EDGE('',*,*,#222490,.F.); #311748=ORIENTED_EDGE('',*,*,#222746,.T.); #311749=ORIENTED_EDGE('',*,*,#222722,.T.); #311750=ORIENTED_EDGE('',*,*,#222517,.F.); #311751=ORIENTED_EDGE('',*,*,#222724,.F.); #311752=ORIENTED_EDGE('',*,*,#222747,.T.); #311753=ORIENTED_EDGE('',*,*,#222488,.T.); #311754=ORIENTED_EDGE('',*,*,#222746,.F.); #311755=ORIENTED_EDGE('',*,*,#222489,.F.); #311756=ORIENTED_EDGE('',*,*,#222747,.F.); #311757=ORIENTED_EDGE('',*,*,#222723,.F.); #311758=ORIENTED_EDGE('',*,*,#222487,.T.); #311759=ORIENTED_EDGE('',*,*,#222492,.T.); #311760=ORIENTED_EDGE('',*,*,#222506,.F.); #311761=ORIENTED_EDGE('',*,*,#222415,.F.); #311762=ORIENTED_EDGE('',*,*,#222748,.F.); #311763=ORIENTED_EDGE('',*,*,#222422,.T.); #311764=ORIENTED_EDGE('',*,*,#222505,.T.); #311765=ORIENTED_EDGE('',*,*,#222424,.F.); #311766=ORIENTED_EDGE('',*,*,#222749,.F.); #311767=ORIENTED_EDGE('',*,*,#222434,.T.); #311768=ORIENTED_EDGE('',*,*,#222504,.T.); #311769=ORIENTED_EDGE('',*,*,#222436,.F.); #311770=ORIENTED_EDGE('',*,*,#222750,.F.); #311771=ORIENTED_EDGE('',*,*,#222446,.T.); #311772=ORIENTED_EDGE('',*,*,#222503,.T.); #311773=ORIENTED_EDGE('',*,*,#222448,.F.); #311774=ORIENTED_EDGE('',*,*,#222751,.F.); #311775=ORIENTED_EDGE('',*,*,#222458,.T.); #311776=ORIENTED_EDGE('',*,*,#222502,.T.); #311777=ORIENTED_EDGE('',*,*,#222460,.F.); #311778=ORIENTED_EDGE('',*,*,#222752,.F.); #311779=ORIENTED_EDGE('',*,*,#222470,.T.); #311780=ORIENTED_EDGE('',*,*,#222501,.T.); #311781=ORIENTED_EDGE('',*,*,#222472,.F.); #311782=ORIENTED_EDGE('',*,*,#222753,.F.); #311783=ORIENTED_EDGE('',*,*,#222405,.T.); #311784=ORIENTED_EDGE('',*,*,#222500,.F.); #311785=ORIENTED_EDGE('',*,*,#222403,.F.); #311786=ORIENTED_EDGE('',*,*,#222754,.F.); #311787=ORIENTED_EDGE('',*,*,#222393,.T.); #311788=ORIENTED_EDGE('',*,*,#222499,.F.); #311789=ORIENTED_EDGE('',*,*,#222391,.F.); #311790=ORIENTED_EDGE('',*,*,#222755,.F.); #311791=ORIENTED_EDGE('',*,*,#222381,.T.); #311792=ORIENTED_EDGE('',*,*,#222498,.F.); #311793=ORIENTED_EDGE('',*,*,#222379,.F.); #311794=ORIENTED_EDGE('',*,*,#222756,.F.); #311795=ORIENTED_EDGE('',*,*,#222369,.T.); #311796=ORIENTED_EDGE('',*,*,#222497,.F.); #311797=ORIENTED_EDGE('',*,*,#222367,.F.); #311798=ORIENTED_EDGE('',*,*,#222757,.F.); #311799=ORIENTED_EDGE('',*,*,#222357,.T.); #311800=ORIENTED_EDGE('',*,*,#222496,.F.); #311801=ORIENTED_EDGE('',*,*,#222355,.F.); #311802=ORIENTED_EDGE('',*,*,#222758,.F.); #311803=ORIENTED_EDGE('',*,*,#222345,.T.); #311804=ORIENTED_EDGE('',*,*,#222495,.F.); #311805=ORIENTED_EDGE('',*,*,#222343,.F.); #311806=ORIENTED_EDGE('',*,*,#222759,.F.); #311807=ORIENTED_EDGE('',*,*,#222482,.T.); #311808=ORIENTED_EDGE('',*,*,#222507,.T.); #311809=ORIENTED_EDGE('',*,*,#222484,.F.); #311810=ORIENTED_EDGE('',*,*,#222760,.F.); #311811=ORIENTED_EDGE('',*,*,#222480,.T.); #311812=ORIENTED_EDGE('',*,*,#222759,.T.); #311813=ORIENTED_EDGE('',*,*,#222342,.F.); #311814=ORIENTED_EDGE('',*,*,#222336,.F.); #311815=ORIENTED_EDGE('',*,*,#222477,.T.); #311816=ORIENTED_EDGE('',*,*,#222760,.T.); #311817=ORIENTED_EDGE('',*,*,#222339,.F.); #311818=ORIENTED_EDGE('',*,*,#222761,.T.); #311819=ORIENTED_EDGE('',*,*,#222475,.T.); #311820=ORIENTED_EDGE('',*,*,#222292,.F.); #311821=ORIENTED_EDGE('',*,*,#222409,.F.); #311822=ORIENTED_EDGE('',*,*,#222753,.T.); #311823=ORIENTED_EDGE('',*,*,#222471,.T.); #311824=ORIENTED_EDGE('',*,*,#222761,.F.); #311825=ORIENTED_EDGE('',*,*,#222406,.F.); #311826=ORIENTED_EDGE('',*,*,#222459,.T.); #311827=ORIENTED_EDGE('',*,*,#222762,.F.); #311828=ORIENTED_EDGE('',*,*,#222468,.T.); #311829=ORIENTED_EDGE('',*,*,#222752,.T.); #311830=ORIENTED_EDGE('',*,*,#222463,.T.); #311831=ORIENTED_EDGE('',*,*,#222296,.F.); #311832=ORIENTED_EDGE('',*,*,#222465,.T.); #311833=ORIENTED_EDGE('',*,*,#222762,.T.); #311834=ORIENTED_EDGE('',*,*,#222447,.T.); #311835=ORIENTED_EDGE('',*,*,#222763,.F.); #311836=ORIENTED_EDGE('',*,*,#222456,.T.); #311837=ORIENTED_EDGE('',*,*,#222751,.T.); #311838=ORIENTED_EDGE('',*,*,#222451,.T.); #311839=ORIENTED_EDGE('',*,*,#222300,.F.); #311840=ORIENTED_EDGE('',*,*,#222453,.T.); #311841=ORIENTED_EDGE('',*,*,#222763,.T.); #311842=ORIENTED_EDGE('',*,*,#222435,.T.); #311843=ORIENTED_EDGE('',*,*,#222764,.F.); #311844=ORIENTED_EDGE('',*,*,#222444,.T.); #311845=ORIENTED_EDGE('',*,*,#222750,.T.); #311846=ORIENTED_EDGE('',*,*,#222439,.T.); #311847=ORIENTED_EDGE('',*,*,#222304,.F.); #311848=ORIENTED_EDGE('',*,*,#222441,.T.); #311849=ORIENTED_EDGE('',*,*,#222764,.T.); #311850=ORIENTED_EDGE('',*,*,#222423,.T.); #311851=ORIENTED_EDGE('',*,*,#222765,.F.); #311852=ORIENTED_EDGE('',*,*,#222432,.T.); #311853=ORIENTED_EDGE('',*,*,#222749,.T.); #311854=ORIENTED_EDGE('',*,*,#222427,.T.); #311855=ORIENTED_EDGE('',*,*,#222308,.F.); #311856=ORIENTED_EDGE('',*,*,#222429,.T.); #311857=ORIENTED_EDGE('',*,*,#222765,.T.); #311858=ORIENTED_EDGE('',*,*,#222766,.F.); #311859=ORIENTED_EDGE('',*,*,#222420,.T.); #311860=ORIENTED_EDGE('',*,*,#222748,.T.); #311861=ORIENTED_EDGE('',*,*,#222414,.F.); #311862=ORIENTED_EDGE('',*,*,#222312,.F.); #311863=ORIENTED_EDGE('',*,*,#222417,.T.); #311864=ORIENTED_EDGE('',*,*,#222766,.T.); #311865=ORIENTED_EDGE('',*,*,#222411,.F.); #311866=ORIENTED_EDGE('',*,*,#222754,.T.); #311867=ORIENTED_EDGE('',*,*,#222402,.F.); #311868=ORIENTED_EDGE('',*,*,#222767,.F.); #311869=ORIENTED_EDGE('',*,*,#222394,.F.); #311870=ORIENTED_EDGE('',*,*,#222767,.T.); #311871=ORIENTED_EDGE('',*,*,#222399,.F.); #311872=ORIENTED_EDGE('',*,*,#222316,.F.); #311873=ORIENTED_EDGE('',*,*,#222397,.F.); #311874=ORIENTED_EDGE('',*,*,#222755,.T.); #311875=ORIENTED_EDGE('',*,*,#222390,.F.); #311876=ORIENTED_EDGE('',*,*,#222768,.F.); #311877=ORIENTED_EDGE('',*,*,#222382,.F.); #311878=ORIENTED_EDGE('',*,*,#222768,.T.); #311879=ORIENTED_EDGE('',*,*,#222387,.F.); #311880=ORIENTED_EDGE('',*,*,#222320,.F.); #311881=ORIENTED_EDGE('',*,*,#222385,.F.); #311882=ORIENTED_EDGE('',*,*,#222756,.T.); #311883=ORIENTED_EDGE('',*,*,#222378,.F.); #311884=ORIENTED_EDGE('',*,*,#222769,.F.); #311885=ORIENTED_EDGE('',*,*,#222370,.F.); #311886=ORIENTED_EDGE('',*,*,#222769,.T.); #311887=ORIENTED_EDGE('',*,*,#222375,.F.); #311888=ORIENTED_EDGE('',*,*,#222324,.F.); #311889=ORIENTED_EDGE('',*,*,#222373,.F.); #311890=ORIENTED_EDGE('',*,*,#222757,.T.); #311891=ORIENTED_EDGE('',*,*,#222366,.F.); #311892=ORIENTED_EDGE('',*,*,#222770,.F.); #311893=ORIENTED_EDGE('',*,*,#222358,.F.); #311894=ORIENTED_EDGE('',*,*,#222770,.T.); #311895=ORIENTED_EDGE('',*,*,#222363,.F.); #311896=ORIENTED_EDGE('',*,*,#222328,.F.); #311897=ORIENTED_EDGE('',*,*,#222361,.F.); #311898=ORIENTED_EDGE('',*,*,#222771,.T.); #311899=ORIENTED_EDGE('',*,*,#222351,.F.); #311900=ORIENTED_EDGE('',*,*,#222332,.F.); #311901=ORIENTED_EDGE('',*,*,#222349,.F.); #311902=ORIENTED_EDGE('',*,*,#222758,.T.); #311903=ORIENTED_EDGE('',*,*,#222354,.F.); #311904=ORIENTED_EDGE('',*,*,#222771,.F.); #311905=ORIENTED_EDGE('',*,*,#222346,.F.); #311906=ORIENTED_EDGE('',*,*,#222772,.T.); #311907=ORIENTED_EDGE('',*,*,#222773,.T.); #311908=ORIENTED_EDGE('',*,*,#222774,.T.); #311909=ORIENTED_EDGE('',*,*,#222206,.T.); #311910=ORIENTED_EDGE('',*,*,#222775,.F.); #311911=ORIENTED_EDGE('',*,*,#222776,.F.); #311912=ORIENTED_EDGE('',*,*,#222205,.T.); #311913=ORIENTED_EDGE('',*,*,#222777,.F.); #311914=ORIENTED_EDGE('',*,*,#222778,.F.); #311915=ORIENTED_EDGE('',*,*,#222775,.T.); #311916=ORIENTED_EDGE('',*,*,#222779,.F.); #311917=ORIENTED_EDGE('',*,*,#222739,.T.); #311918=ORIENTED_EDGE('',*,*,#222237,.F.); #311919=ORIENTED_EDGE('',*,*,#222780,.F.); #311920=ORIENTED_EDGE('',*,*,#222781,.T.); #311921=ORIENTED_EDGE('',*,*,#222782,.F.); #311922=ORIENTED_EDGE('',*,*,#222777,.T.); #311923=ORIENTED_EDGE('',*,*,#222204,.F.); #311924=ORIENTED_EDGE('',*,*,#222252,.T.); #311925=ORIENTED_EDGE('',*,*,#222783,.T.); #311926=ORIENTED_EDGE('',*,*,#222210,.T.); #311927=ORIENTED_EDGE('',*,*,#222784,.F.); #311928=ORIENTED_EDGE('',*,*,#222256,.F.); #311929=ORIENTED_EDGE('',*,*,#222785,.F.); #311930=ORIENTED_EDGE('',*,*,#222786,.F.); #311931=ORIENTED_EDGE('',*,*,#222251,.T.); #311932=ORIENTED_EDGE('',*,*,#222209,.T.); #311933=ORIENTED_EDGE('',*,*,#222787,.F.); #311934=ORIENTED_EDGE('',*,*,#222257,.F.); #311935=ORIENTED_EDGE('',*,*,#222784,.T.); #311936=ORIENTED_EDGE('',*,*,#222788,.T.); #311937=ORIENTED_EDGE('',*,*,#222789,.T.); #311938=ORIENTED_EDGE('',*,*,#222790,.F.); #311939=ORIENTED_EDGE('',*,*,#222258,.F.); #311940=ORIENTED_EDGE('',*,*,#222787,.T.); #311941=ORIENTED_EDGE('',*,*,#222208,.T.); #311942=ORIENTED_EDGE('',*,*,#222790,.T.); #311943=ORIENTED_EDGE('',*,*,#222791,.T.); #311944=ORIENTED_EDGE('',*,*,#222772,.F.); #311945=ORIENTED_EDGE('',*,*,#222792,.T.); #311946=ORIENTED_EDGE('',*,*,#222793,.F.); #311947=ORIENTED_EDGE('',*,*,#222794,.F.); #311948=ORIENTED_EDGE('',*,*,#222795,.T.); #311949=ORIENTED_EDGE('',*,*,#222259,.T.); #311950=ORIENTED_EDGE('',*,*,#222792,.F.); #311951=ORIENTED_EDGE('',*,*,#222776,.T.); #311952=ORIENTED_EDGE('',*,*,#222778,.T.); #311953=ORIENTED_EDGE('',*,*,#222782,.T.); #311954=ORIENTED_EDGE('',*,*,#222796,.F.); #311955=ORIENTED_EDGE('',*,*,#222797,.F.); #311956=ORIENTED_EDGE('',*,*,#222798,.F.); #311957=ORIENTED_EDGE('',*,*,#222799,.F.); #311958=ORIENTED_EDGE('',*,*,#222800,.F.); #311959=ORIENTED_EDGE('',*,*,#222801,.F.); #311960=ORIENTED_EDGE('',*,*,#222802,.F.); #311961=ORIENTED_EDGE('',*,*,#222803,.F.); #311962=ORIENTED_EDGE('',*,*,#222804,.F.); #311963=ORIENTED_EDGE('',*,*,#222805,.F.); #311964=ORIENTED_EDGE('',*,*,#222806,.F.); #311965=ORIENTED_EDGE('',*,*,#222807,.F.); #311966=ORIENTED_EDGE('',*,*,#222808,.F.); #311967=ORIENTED_EDGE('',*,*,#222809,.F.); #311968=ORIENTED_EDGE('',*,*,#222810,.F.); #311969=ORIENTED_EDGE('',*,*,#222811,.T.); #311970=ORIENTED_EDGE('',*,*,#222812,.T.); #311971=ORIENTED_EDGE('',*,*,#222280,.F.); #311972=ORIENTED_EDGE('',*,*,#222285,.F.); #311973=ORIENTED_EDGE('',*,*,#222201,.F.); #311974=ORIENTED_EDGE('',*,*,#222812,.F.); #311975=ORIENTED_EDGE('',*,*,#222813,.T.); #311976=ORIENTED_EDGE('',*,*,#222814,.T.); #311977=ORIENTED_EDGE('',*,*,#222290,.F.); #311978=ORIENTED_EDGE('',*,*,#222815,.F.); #311979=ORIENTED_EDGE('',*,*,#222202,.F.); #311980=ORIENTED_EDGE('',*,*,#222814,.F.); #311981=ORIENTED_EDGE('',*,*,#222816,.T.); #311982=ORIENTED_EDGE('',*,*,#222284,.T.); #311983=ORIENTED_EDGE('',*,*,#222816,.F.); #311984=ORIENTED_EDGE('',*,*,#222813,.F.); #311985=ORIENTED_EDGE('',*,*,#222811,.F.); #311986=ORIENTED_EDGE('',*,*,#222741,.T.); #311987=ORIENTED_EDGE('',*,*,#222274,.T.); #311988=ORIENTED_EDGE('',*,*,#222817,.F.); #311989=ORIENTED_EDGE('',*,*,#222818,.F.); #311990=ORIENTED_EDGE('',*,*,#222197,.T.); #311991=ORIENTED_EDGE('',*,*,#222819,.F.); #311992=ORIENTED_EDGE('',*,*,#222820,.F.); #311993=ORIENTED_EDGE('',*,*,#222817,.T.); #311994=ORIENTED_EDGE('',*,*,#222821,.T.); #311995=ORIENTED_EDGE('',*,*,#222743,.T.); #311996=ORIENTED_EDGE('',*,*,#222822,.F.); #311997=ORIENTED_EDGE('',*,*,#222819,.T.); #311998=ORIENTED_EDGE('',*,*,#222196,.T.); #311999=ORIENTED_EDGE('',*,*,#222818,.T.); #312000=ORIENTED_EDGE('',*,*,#222820,.T.); #312001=ORIENTED_EDGE('',*,*,#222822,.T.); #312002=ORIENTED_EDGE('',*,*,#222742,.F.); #312003=ORIENTED_EDGE('',*,*,#222255,.T.); #312004=ORIENTED_EDGE('',*,*,#222823,.F.); #312005=ORIENTED_EDGE('',*,*,#222824,.F.); #312006=ORIENTED_EDGE('',*,*,#222785,.T.); #312007=ORIENTED_EDGE('',*,*,#222273,.T.); #312008=ORIENTED_EDGE('',*,*,#222825,.F.); #312009=ORIENTED_EDGE('',*,*,#222826,.F.); #312010=ORIENTED_EDGE('',*,*,#222823,.T.); #312011=ORIENTED_EDGE('',*,*,#222272,.T.); #312012=ORIENTED_EDGE('',*,*,#222827,.F.); #312013=ORIENTED_EDGE('',*,*,#222828,.F.); #312014=ORIENTED_EDGE('',*,*,#222825,.T.); #312015=ORIENTED_EDGE('',*,*,#222271,.T.); #312016=ORIENTED_EDGE('',*,*,#222829,.F.); #312017=ORIENTED_EDGE('',*,*,#222830,.F.); #312018=ORIENTED_EDGE('',*,*,#222827,.T.); #312019=ORIENTED_EDGE('',*,*,#222270,.T.); #312020=ORIENTED_EDGE('',*,*,#222831,.F.); #312021=ORIENTED_EDGE('',*,*,#222832,.F.); #312022=ORIENTED_EDGE('',*,*,#222829,.T.); #312023=ORIENTED_EDGE('',*,*,#222269,.T.); #312024=ORIENTED_EDGE('',*,*,#222833,.F.); #312025=ORIENTED_EDGE('',*,*,#222834,.F.); #312026=ORIENTED_EDGE('',*,*,#222831,.T.); #312027=ORIENTED_EDGE('',*,*,#222268,.T.); #312028=ORIENTED_EDGE('',*,*,#222835,.F.); #312029=ORIENTED_EDGE('',*,*,#222836,.F.); #312030=ORIENTED_EDGE('',*,*,#222833,.T.); #312031=ORIENTED_EDGE('',*,*,#222267,.T.); #312032=ORIENTED_EDGE('',*,*,#222837,.F.); #312033=ORIENTED_EDGE('',*,*,#222838,.F.); #312034=ORIENTED_EDGE('',*,*,#222835,.T.); #312035=ORIENTED_EDGE('',*,*,#222266,.T.); #312036=ORIENTED_EDGE('',*,*,#222839,.F.); #312037=ORIENTED_EDGE('',*,*,#222840,.F.); #312038=ORIENTED_EDGE('',*,*,#222837,.T.); #312039=ORIENTED_EDGE('',*,*,#222265,.T.); #312040=ORIENTED_EDGE('',*,*,#222841,.F.); #312041=ORIENTED_EDGE('',*,*,#222842,.F.); #312042=ORIENTED_EDGE('',*,*,#222839,.T.); #312043=ORIENTED_EDGE('',*,*,#222264,.T.); #312044=ORIENTED_EDGE('',*,*,#222843,.F.); #312045=ORIENTED_EDGE('',*,*,#222844,.F.); #312046=ORIENTED_EDGE('',*,*,#222841,.T.); #312047=ORIENTED_EDGE('',*,*,#222263,.T.); #312048=ORIENTED_EDGE('',*,*,#222845,.F.); #312049=ORIENTED_EDGE('',*,*,#222846,.F.); #312050=ORIENTED_EDGE('',*,*,#222843,.T.); #312051=ORIENTED_EDGE('',*,*,#222262,.T.); #312052=ORIENTED_EDGE('',*,*,#222847,.F.); #312053=ORIENTED_EDGE('',*,*,#222848,.F.); #312054=ORIENTED_EDGE('',*,*,#222845,.T.); #312055=ORIENTED_EDGE('',*,*,#222261,.T.); #312056=ORIENTED_EDGE('',*,*,#222849,.F.); #312057=ORIENTED_EDGE('',*,*,#222850,.F.); #312058=ORIENTED_EDGE('',*,*,#222847,.T.); #312059=ORIENTED_EDGE('',*,*,#222260,.T.); #312060=ORIENTED_EDGE('',*,*,#222795,.F.); #312061=ORIENTED_EDGE('',*,*,#222851,.F.); #312062=ORIENTED_EDGE('',*,*,#222849,.T.); #312063=ORIENTED_EDGE('',*,*,#222810,.T.); #312064=ORIENTED_EDGE('',*,*,#222852,.F.); #312065=ORIENTED_EDGE('',*,*,#222853,.F.); #312066=ORIENTED_EDGE('',*,*,#222793,.T.); #312067=ORIENTED_EDGE('',*,*,#222809,.T.); #312068=ORIENTED_EDGE('',*,*,#222854,.F.); #312069=ORIENTED_EDGE('',*,*,#222855,.F.); #312070=ORIENTED_EDGE('',*,*,#222852,.T.); #312071=ORIENTED_EDGE('',*,*,#222808,.T.); #312072=ORIENTED_EDGE('',*,*,#222856,.F.); #312073=ORIENTED_EDGE('',*,*,#222857,.F.); #312074=ORIENTED_EDGE('',*,*,#222854,.T.); #312075=ORIENTED_EDGE('',*,*,#222807,.T.); #312076=ORIENTED_EDGE('',*,*,#222858,.F.); #312077=ORIENTED_EDGE('',*,*,#222859,.F.); #312078=ORIENTED_EDGE('',*,*,#222856,.T.); #312079=ORIENTED_EDGE('',*,*,#222806,.T.); #312080=ORIENTED_EDGE('',*,*,#222860,.F.); #312081=ORIENTED_EDGE('',*,*,#222861,.F.); #312082=ORIENTED_EDGE('',*,*,#222858,.T.); #312083=ORIENTED_EDGE('',*,*,#222805,.T.); #312084=ORIENTED_EDGE('',*,*,#222862,.F.); #312085=ORIENTED_EDGE('',*,*,#222863,.F.); #312086=ORIENTED_EDGE('',*,*,#222860,.T.); #312087=ORIENTED_EDGE('',*,*,#222804,.T.); #312088=ORIENTED_EDGE('',*,*,#222864,.F.); #312089=ORIENTED_EDGE('',*,*,#222865,.F.); #312090=ORIENTED_EDGE('',*,*,#222862,.T.); #312091=ORIENTED_EDGE('',*,*,#222803,.T.); #312092=ORIENTED_EDGE('',*,*,#222866,.F.); #312093=ORIENTED_EDGE('',*,*,#222867,.F.); #312094=ORIENTED_EDGE('',*,*,#222864,.T.); #312095=ORIENTED_EDGE('',*,*,#222802,.T.); #312096=ORIENTED_EDGE('',*,*,#222868,.F.); #312097=ORIENTED_EDGE('',*,*,#222869,.F.); #312098=ORIENTED_EDGE('',*,*,#222866,.T.); #312099=ORIENTED_EDGE('',*,*,#222801,.T.); #312100=ORIENTED_EDGE('',*,*,#222870,.F.); #312101=ORIENTED_EDGE('',*,*,#222871,.F.); #312102=ORIENTED_EDGE('',*,*,#222868,.T.); #312103=ORIENTED_EDGE('',*,*,#222800,.T.); #312104=ORIENTED_EDGE('',*,*,#222872,.F.); #312105=ORIENTED_EDGE('',*,*,#222873,.F.); #312106=ORIENTED_EDGE('',*,*,#222870,.T.); #312107=ORIENTED_EDGE('',*,*,#222799,.T.); #312108=ORIENTED_EDGE('',*,*,#222874,.F.); #312109=ORIENTED_EDGE('',*,*,#222875,.F.); #312110=ORIENTED_EDGE('',*,*,#222872,.T.); #312111=ORIENTED_EDGE('',*,*,#222798,.T.); #312112=ORIENTED_EDGE('',*,*,#222876,.F.); #312113=ORIENTED_EDGE('',*,*,#222877,.F.); #312114=ORIENTED_EDGE('',*,*,#222874,.T.); #312115=ORIENTED_EDGE('',*,*,#222797,.T.); #312116=ORIENTED_EDGE('',*,*,#222878,.F.); #312117=ORIENTED_EDGE('',*,*,#222879,.F.); #312118=ORIENTED_EDGE('',*,*,#222876,.T.); #312119=ORIENTED_EDGE('',*,*,#222796,.T.); #312120=ORIENTED_EDGE('',*,*,#222781,.F.); #312121=ORIENTED_EDGE('',*,*,#222880,.F.); #312122=ORIENTED_EDGE('',*,*,#222878,.T.); #312123=ORIENTED_EDGE('',*,*,#222236,.T.); #312124=ORIENTED_EDGE('',*,*,#222786,.T.); #312125=ORIENTED_EDGE('',*,*,#222824,.T.); #312126=ORIENTED_EDGE('',*,*,#222826,.T.); #312127=ORIENTED_EDGE('',*,*,#222828,.T.); #312128=ORIENTED_EDGE('',*,*,#222830,.T.); #312129=ORIENTED_EDGE('',*,*,#222832,.T.); #312130=ORIENTED_EDGE('',*,*,#222834,.T.); #312131=ORIENTED_EDGE('',*,*,#222836,.T.); #312132=ORIENTED_EDGE('',*,*,#222838,.T.); #312133=ORIENTED_EDGE('',*,*,#222840,.T.); #312134=ORIENTED_EDGE('',*,*,#222842,.T.); #312135=ORIENTED_EDGE('',*,*,#222844,.T.); #312136=ORIENTED_EDGE('',*,*,#222846,.T.); #312137=ORIENTED_EDGE('',*,*,#222848,.T.); #312138=ORIENTED_EDGE('',*,*,#222850,.T.); #312139=ORIENTED_EDGE('',*,*,#222851,.T.); #312140=ORIENTED_EDGE('',*,*,#222794,.T.); #312141=ORIENTED_EDGE('',*,*,#222853,.T.); #312142=ORIENTED_EDGE('',*,*,#222855,.T.); #312143=ORIENTED_EDGE('',*,*,#222857,.T.); #312144=ORIENTED_EDGE('',*,*,#222859,.T.); #312145=ORIENTED_EDGE('',*,*,#222861,.T.); #312146=ORIENTED_EDGE('',*,*,#222863,.T.); #312147=ORIENTED_EDGE('',*,*,#222865,.T.); #312148=ORIENTED_EDGE('',*,*,#222867,.T.); #312149=ORIENTED_EDGE('',*,*,#222869,.T.); #312150=ORIENTED_EDGE('',*,*,#222871,.T.); #312151=ORIENTED_EDGE('',*,*,#222873,.T.); #312152=ORIENTED_EDGE('',*,*,#222875,.T.); #312153=ORIENTED_EDGE('',*,*,#222877,.T.); #312154=ORIENTED_EDGE('',*,*,#222879,.T.); #312155=ORIENTED_EDGE('',*,*,#222880,.T.); #312156=ORIENTED_EDGE('',*,*,#222780,.T.); #312157=ORIENTED_EDGE('',*,*,#222216,.T.); #312158=ORIENTED_EDGE('',*,*,#222881,.T.); #312159=ORIENTED_EDGE('',*,*,#222224,.T.); #312160=ORIENTED_EDGE('',*,*,#222232,.T.); #312161=ORIENTED_EDGE('',*,*,#222223,.T.); #312162=ORIENTED_EDGE('',*,*,#222882,.T.); #312163=ORIENTED_EDGE('',*,*,#222225,.T.); #312164=ORIENTED_EDGE('',*,*,#222881,.F.); #312165=ORIENTED_EDGE('',*,*,#222222,.T.); #312166=ORIENTED_EDGE('',*,*,#222234,.T.); #312167=ORIENTED_EDGE('',*,*,#222226,.T.); #312168=ORIENTED_EDGE('',*,*,#222882,.F.); #312169=ORIENTED_EDGE('',*,*,#222213,.T.); #312170=ORIENTED_EDGE('',*,*,#222616,.F.); #312171=ORIENTED_EDGE('',*,*,#222883,.F.); #312172=ORIENTED_EDGE('',*,*,#222607,.F.); #312173=ORIENTED_EDGE('',*,*,#222884,.T.); #312174=ORIENTED_EDGE('',*,*,#222619,.F.); #312175=ORIENTED_EDGE('',*,*,#222612,.F.); #312176=ORIENTED_EDGE('',*,*,#222609,.F.); #312177=ORIENTED_EDGE('',*,*,#222883,.T.); #312178=ORIENTED_EDGE('',*,*,#222615,.F.); #312179=ORIENTED_EDGE('',*,*,#222884,.F.); #312180=ORIENTED_EDGE('',*,*,#222608,.F.); #312181=ORIENTED_EDGE('',*,*,#222815,.T.); #312182=ORIENTED_EDGE('',*,*,#222289,.T.); #312183=ORIENTED_EDGE('',*,*,#222740,.T.); #312184=ORIENTED_EDGE('',*,*,#222779,.T.); #312185=ORIENTED_EDGE('',*,*,#222203,.T.); #312186=ORIENTED_EDGE('',*,*,#222774,.F.); #312187=ORIENTED_EDGE('',*,*,#222885,.T.); #312188=ORIENTED_EDGE('',*,*,#222788,.F.); #312189=ORIENTED_EDGE('',*,*,#222207,.F.); #312190=ORIENTED_EDGE('',*,*,#222254,.T.); #312191=ORIENTED_EDGE('',*,*,#222744,.T.); #312192=ORIENTED_EDGE('',*,*,#222821,.F.); #312193=ORIENTED_EDGE('',*,*,#222211,.F.); #312194=ORIENTED_EDGE('',*,*,#222783,.F.); #312195=ORIENTED_EDGE('',*,*,#222789,.F.); #312196=ORIENTED_EDGE('',*,*,#222885,.F.); #312197=ORIENTED_EDGE('',*,*,#222773,.F.); #312198=ORIENTED_EDGE('',*,*,#222791,.F.); #312199=ORIENTED_EDGE('',*,*,#222886,.T.); #312200=ORIENTED_EDGE('',*,*,#222887,.F.); #312201=ORIENTED_EDGE('',*,*,#222888,.F.); #312202=ORIENTED_EDGE('',*,*,#222889,.T.); #312203=ORIENTED_EDGE('',*,*,#222890,.T.); #312204=ORIENTED_EDGE('',*,*,#222891,.T.); #312205=ORIENTED_EDGE('',*,*,#222892,.T.); #312206=ORIENTED_EDGE('',*,*,#222893,.T.); #312207=ORIENTED_EDGE('',*,*,#222894,.T.); #312208=ORIENTED_EDGE('',*,*,#222895,.T.); #312209=ORIENTED_EDGE('',*,*,#222896,.F.); #312210=ORIENTED_EDGE('',*,*,#222897,.F.); #312211=ORIENTED_EDGE('',*,*,#222898,.F.); #312212=ORIENTED_EDGE('',*,*,#222899,.F.); #312213=ORIENTED_EDGE('',*,*,#222900,.F.); #312214=ORIENTED_EDGE('',*,*,#222887,.T.); #312215=ORIENTED_EDGE('',*,*,#222901,.T.); #312216=ORIENTED_EDGE('',*,*,#222902,.F.); #312217=ORIENTED_EDGE('',*,*,#222903,.F.); #312218=ORIENTED_EDGE('',*,*,#222904,.T.); #312219=ORIENTED_EDGE('',*,*,#222905,.T.); #312220=ORIENTED_EDGE('',*,*,#222906,.T.); #312221=ORIENTED_EDGE('',*,*,#222907,.T.); #312222=ORIENTED_EDGE('',*,*,#222908,.F.); #312223=ORIENTED_EDGE('',*,*,#222909,.F.); #312224=ORIENTED_EDGE('',*,*,#222910,.F.); #312225=ORIENTED_EDGE('',*,*,#222911,.F.); #312226=ORIENTED_EDGE('',*,*,#222912,.F.); #312227=ORIENTED_EDGE('',*,*,#222913,.T.); #312228=ORIENTED_EDGE('',*,*,#222914,.F.); #312229=ORIENTED_EDGE('',*,*,#222915,.F.); #312230=ORIENTED_EDGE('',*,*,#222902,.T.); #312231=ORIENTED_EDGE('',*,*,#222916,.F.); #312232=ORIENTED_EDGE('',*,*,#222917,.T.); #312233=ORIENTED_EDGE('',*,*,#222918,.F.); #312234=ORIENTED_EDGE('',*,*,#222914,.T.); #312235=ORIENTED_EDGE('',*,*,#222919,.T.); #312236=ORIENTED_EDGE('',*,*,#222920,.T.); #312237=ORIENTED_EDGE('',*,*,#222921,.F.); #312238=ORIENTED_EDGE('',*,*,#222922,.T.); #312239=ORIENTED_EDGE('',*,*,#222916,.T.); #312240=ORIENTED_EDGE('',*,*,#222923,.T.); #312241=ORIENTED_EDGE('',*,*,#222924,.F.); #312242=ORIENTED_EDGE('',*,*,#222925,.T.); #312243=ORIENTED_EDGE('',*,*,#222921,.T.); #312244=ORIENTED_EDGE('',*,*,#222926,.T.); #312245=ORIENTED_EDGE('',*,*,#222927,.T.); #312246=ORIENTED_EDGE('',*,*,#222889,.F.); #312247=ORIENTED_EDGE('',*,*,#222918,.T.); #312248=ORIENTED_EDGE('',*,*,#222928,.T.); #312249=ORIENTED_EDGE('',*,*,#222924,.T.); #312250=ORIENTED_EDGE('',*,*,#222888,.T.); #312251=ORIENTED_EDGE('',*,*,#222900,.T.); #312252=ORIENTED_EDGE('',*,*,#222929,.T.); #312253=ORIENTED_EDGE('',*,*,#222903,.T.); #312254=ORIENTED_EDGE('',*,*,#222915,.T.); #312255=ORIENTED_EDGE('',*,*,#222927,.F.); #312256=ORIENTED_EDGE('',*,*,#222930,.T.); #312257=ORIENTED_EDGE('',*,*,#222919,.F.); #312258=ORIENTED_EDGE('',*,*,#222913,.F.); #312259=ORIENTED_EDGE('',*,*,#222901,.F.); #312260=ORIENTED_EDGE('',*,*,#222931,.F.); #312261=ORIENTED_EDGE('',*,*,#222890,.F.); #312262=ORIENTED_EDGE('',*,*,#222886,.F.); #312263=ORIENTED_EDGE('',*,*,#222895,.F.); #312264=ORIENTED_EDGE('',*,*,#222932,.F.); #312265=ORIENTED_EDGE('',*,*,#222908,.T.); #312266=ORIENTED_EDGE('',*,*,#222933,.T.); #312267=ORIENTED_EDGE('',*,*,#222899,.T.); #312268=ORIENTED_EDGE('',*,*,#222934,.F.); #312269=ORIENTED_EDGE('',*,*,#222904,.F.); #312270=ORIENTED_EDGE('',*,*,#222929,.F.); #312271=ORIENTED_EDGE('',*,*,#222898,.T.); #312272=ORIENTED_EDGE('',*,*,#222935,.F.); #312273=ORIENTED_EDGE('',*,*,#222905,.F.); #312274=ORIENTED_EDGE('',*,*,#222934,.T.); #312275=ORIENTED_EDGE('',*,*,#222897,.T.); #312276=ORIENTED_EDGE('',*,*,#222936,.F.); #312277=ORIENTED_EDGE('',*,*,#222906,.F.); #312278=ORIENTED_EDGE('',*,*,#222935,.T.); #312279=ORIENTED_EDGE('',*,*,#222896,.T.); #312280=ORIENTED_EDGE('',*,*,#222933,.F.); #312281=ORIENTED_EDGE('',*,*,#222907,.F.); #312282=ORIENTED_EDGE('',*,*,#222936,.T.); #312283=ORIENTED_EDGE('',*,*,#222891,.F.); #312284=ORIENTED_EDGE('',*,*,#222931,.T.); #312285=ORIENTED_EDGE('',*,*,#222912,.T.); #312286=ORIENTED_EDGE('',*,*,#222937,.T.); #312287=ORIENTED_EDGE('',*,*,#222892,.F.); #312288=ORIENTED_EDGE('',*,*,#222937,.F.); #312289=ORIENTED_EDGE('',*,*,#222911,.T.); #312290=ORIENTED_EDGE('',*,*,#222938,.T.); #312291=ORIENTED_EDGE('',*,*,#222893,.F.); #312292=ORIENTED_EDGE('',*,*,#222938,.F.); #312293=ORIENTED_EDGE('',*,*,#222910,.T.); #312294=ORIENTED_EDGE('',*,*,#222939,.T.); #312295=ORIENTED_EDGE('',*,*,#222894,.F.); #312296=ORIENTED_EDGE('',*,*,#222939,.F.); #312297=ORIENTED_EDGE('',*,*,#222909,.T.); #312298=ORIENTED_EDGE('',*,*,#222932,.T.); #312299=ORIENTED_EDGE('',*,*,#222917,.F.); #312300=ORIENTED_EDGE('',*,*,#222922,.F.); #312301=ORIENTED_EDGE('',*,*,#222925,.F.); #312302=ORIENTED_EDGE('',*,*,#222928,.F.); #312303=ORIENTED_EDGE('',*,*,#222920,.F.); #312304=ORIENTED_EDGE('',*,*,#222930,.F.); #312305=ORIENTED_EDGE('',*,*,#222926,.F.); #312306=ORIENTED_EDGE('',*,*,#222923,.F.); #312307=ORIENTED_EDGE('',*,*,#222940,.T.); #312308=ORIENTED_EDGE('',*,*,#222941,.F.); #312309=ORIENTED_EDGE('',*,*,#222942,.F.); #312310=ORIENTED_EDGE('',*,*,#222943,.T.); #312311=ORIENTED_EDGE('',*,*,#222944,.T.); #312312=ORIENTED_EDGE('',*,*,#222945,.T.); #312313=ORIENTED_EDGE('',*,*,#222946,.T.); #312314=ORIENTED_EDGE('',*,*,#222947,.T.); #312315=ORIENTED_EDGE('',*,*,#222948,.T.); #312316=ORIENTED_EDGE('',*,*,#222949,.T.); #312317=ORIENTED_EDGE('',*,*,#222950,.F.); #312318=ORIENTED_EDGE('',*,*,#222951,.F.); #312319=ORIENTED_EDGE('',*,*,#222952,.F.); #312320=ORIENTED_EDGE('',*,*,#222953,.F.); #312321=ORIENTED_EDGE('',*,*,#222954,.F.); #312322=ORIENTED_EDGE('',*,*,#222941,.T.); #312323=ORIENTED_EDGE('',*,*,#222955,.T.); #312324=ORIENTED_EDGE('',*,*,#222956,.F.); #312325=ORIENTED_EDGE('',*,*,#222957,.F.); #312326=ORIENTED_EDGE('',*,*,#222958,.T.); #312327=ORIENTED_EDGE('',*,*,#222959,.T.); #312328=ORIENTED_EDGE('',*,*,#222960,.T.); #312329=ORIENTED_EDGE('',*,*,#222961,.T.); #312330=ORIENTED_EDGE('',*,*,#222962,.F.); #312331=ORIENTED_EDGE('',*,*,#222963,.F.); #312332=ORIENTED_EDGE('',*,*,#222964,.F.); #312333=ORIENTED_EDGE('',*,*,#222965,.F.); #312334=ORIENTED_EDGE('',*,*,#222966,.F.); #312335=ORIENTED_EDGE('',*,*,#222967,.T.); #312336=ORIENTED_EDGE('',*,*,#222968,.F.); #312337=ORIENTED_EDGE('',*,*,#222969,.F.); #312338=ORIENTED_EDGE('',*,*,#222956,.T.); #312339=ORIENTED_EDGE('',*,*,#222970,.F.); #312340=ORIENTED_EDGE('',*,*,#222971,.T.); #312341=ORIENTED_EDGE('',*,*,#222972,.F.); #312342=ORIENTED_EDGE('',*,*,#222968,.T.); #312343=ORIENTED_EDGE('',*,*,#222973,.T.); #312344=ORIENTED_EDGE('',*,*,#222974,.T.); #312345=ORIENTED_EDGE('',*,*,#222975,.F.); #312346=ORIENTED_EDGE('',*,*,#222976,.T.); #312347=ORIENTED_EDGE('',*,*,#222970,.T.); #312348=ORIENTED_EDGE('',*,*,#222977,.T.); #312349=ORIENTED_EDGE('',*,*,#222978,.F.); #312350=ORIENTED_EDGE('',*,*,#222979,.T.); #312351=ORIENTED_EDGE('',*,*,#222975,.T.); #312352=ORIENTED_EDGE('',*,*,#222980,.T.); #312353=ORIENTED_EDGE('',*,*,#222981,.T.); #312354=ORIENTED_EDGE('',*,*,#222943,.F.); #312355=ORIENTED_EDGE('',*,*,#222972,.T.); #312356=ORIENTED_EDGE('',*,*,#222982,.T.); #312357=ORIENTED_EDGE('',*,*,#222978,.T.); #312358=ORIENTED_EDGE('',*,*,#222942,.T.); #312359=ORIENTED_EDGE('',*,*,#222954,.T.); #312360=ORIENTED_EDGE('',*,*,#222983,.T.); #312361=ORIENTED_EDGE('',*,*,#222957,.T.); #312362=ORIENTED_EDGE('',*,*,#222969,.T.); #312363=ORIENTED_EDGE('',*,*,#222981,.F.); #312364=ORIENTED_EDGE('',*,*,#222984,.T.); #312365=ORIENTED_EDGE('',*,*,#222973,.F.); #312366=ORIENTED_EDGE('',*,*,#222967,.F.); #312367=ORIENTED_EDGE('',*,*,#222955,.F.); #312368=ORIENTED_EDGE('',*,*,#222985,.F.); #312369=ORIENTED_EDGE('',*,*,#222944,.F.); #312370=ORIENTED_EDGE('',*,*,#222940,.F.); #312371=ORIENTED_EDGE('',*,*,#222949,.F.); #312372=ORIENTED_EDGE('',*,*,#222986,.F.); #312373=ORIENTED_EDGE('',*,*,#222962,.T.); #312374=ORIENTED_EDGE('',*,*,#222987,.T.); #312375=ORIENTED_EDGE('',*,*,#222953,.T.); #312376=ORIENTED_EDGE('',*,*,#222988,.F.); #312377=ORIENTED_EDGE('',*,*,#222958,.F.); #312378=ORIENTED_EDGE('',*,*,#222983,.F.); #312379=ORIENTED_EDGE('',*,*,#222952,.T.); #312380=ORIENTED_EDGE('',*,*,#222989,.F.); #312381=ORIENTED_EDGE('',*,*,#222959,.F.); #312382=ORIENTED_EDGE('',*,*,#222988,.T.); #312383=ORIENTED_EDGE('',*,*,#222951,.T.); #312384=ORIENTED_EDGE('',*,*,#222990,.F.); #312385=ORIENTED_EDGE('',*,*,#222960,.F.); #312386=ORIENTED_EDGE('',*,*,#222989,.T.); #312387=ORIENTED_EDGE('',*,*,#222950,.T.); #312388=ORIENTED_EDGE('',*,*,#222987,.F.); #312389=ORIENTED_EDGE('',*,*,#222961,.F.); #312390=ORIENTED_EDGE('',*,*,#222990,.T.); #312391=ORIENTED_EDGE('',*,*,#222945,.F.); #312392=ORIENTED_EDGE('',*,*,#222985,.T.); #312393=ORIENTED_EDGE('',*,*,#222966,.T.); #312394=ORIENTED_EDGE('',*,*,#222991,.T.); #312395=ORIENTED_EDGE('',*,*,#222946,.F.); #312396=ORIENTED_EDGE('',*,*,#222991,.F.); #312397=ORIENTED_EDGE('',*,*,#222965,.T.); #312398=ORIENTED_EDGE('',*,*,#222992,.T.); #312399=ORIENTED_EDGE('',*,*,#222947,.F.); #312400=ORIENTED_EDGE('',*,*,#222992,.F.); #312401=ORIENTED_EDGE('',*,*,#222964,.T.); #312402=ORIENTED_EDGE('',*,*,#222993,.T.); #312403=ORIENTED_EDGE('',*,*,#222948,.F.); #312404=ORIENTED_EDGE('',*,*,#222993,.F.); #312405=ORIENTED_EDGE('',*,*,#222963,.T.); #312406=ORIENTED_EDGE('',*,*,#222986,.T.); #312407=ORIENTED_EDGE('',*,*,#222971,.F.); #312408=ORIENTED_EDGE('',*,*,#222976,.F.); #312409=ORIENTED_EDGE('',*,*,#222979,.F.); #312410=ORIENTED_EDGE('',*,*,#222982,.F.); #312411=ORIENTED_EDGE('',*,*,#222974,.F.); #312412=ORIENTED_EDGE('',*,*,#222984,.F.); #312413=ORIENTED_EDGE('',*,*,#222980,.F.); #312414=ORIENTED_EDGE('',*,*,#222977,.F.); #312415=ORIENTED_EDGE('',*,*,#222994,.T.); #312416=ORIENTED_EDGE('',*,*,#222995,.F.); #312417=ORIENTED_EDGE('',*,*,#222996,.F.); #312418=ORIENTED_EDGE('',*,*,#222997,.T.); #312419=ORIENTED_EDGE('',*,*,#222998,.T.); #312420=ORIENTED_EDGE('',*,*,#222999,.T.); #312421=ORIENTED_EDGE('',*,*,#223000,.T.); #312422=ORIENTED_EDGE('',*,*,#223001,.T.); #312423=ORIENTED_EDGE('',*,*,#223002,.T.); #312424=ORIENTED_EDGE('',*,*,#223003,.T.); #312425=ORIENTED_EDGE('',*,*,#223004,.F.); #312426=ORIENTED_EDGE('',*,*,#223005,.F.); #312427=ORIENTED_EDGE('',*,*,#223006,.F.); #312428=ORIENTED_EDGE('',*,*,#223007,.F.); #312429=ORIENTED_EDGE('',*,*,#223008,.F.); #312430=ORIENTED_EDGE('',*,*,#222995,.T.); #312431=ORIENTED_EDGE('',*,*,#223009,.T.); #312432=ORIENTED_EDGE('',*,*,#223010,.F.); #312433=ORIENTED_EDGE('',*,*,#223011,.F.); #312434=ORIENTED_EDGE('',*,*,#223012,.T.); #312435=ORIENTED_EDGE('',*,*,#223013,.T.); #312436=ORIENTED_EDGE('',*,*,#223014,.T.); #312437=ORIENTED_EDGE('',*,*,#223015,.T.); #312438=ORIENTED_EDGE('',*,*,#223016,.F.); #312439=ORIENTED_EDGE('',*,*,#223017,.F.); #312440=ORIENTED_EDGE('',*,*,#223018,.F.); #312441=ORIENTED_EDGE('',*,*,#223019,.F.); #312442=ORIENTED_EDGE('',*,*,#223020,.F.); #312443=ORIENTED_EDGE('',*,*,#223021,.T.); #312444=ORIENTED_EDGE('',*,*,#223022,.F.); #312445=ORIENTED_EDGE('',*,*,#223023,.F.); #312446=ORIENTED_EDGE('',*,*,#223010,.T.); #312447=ORIENTED_EDGE('',*,*,#223024,.F.); #312448=ORIENTED_EDGE('',*,*,#223025,.T.); #312449=ORIENTED_EDGE('',*,*,#223026,.F.); #312450=ORIENTED_EDGE('',*,*,#223022,.T.); #312451=ORIENTED_EDGE('',*,*,#223027,.T.); #312452=ORIENTED_EDGE('',*,*,#223028,.T.); #312453=ORIENTED_EDGE('',*,*,#223029,.F.); #312454=ORIENTED_EDGE('',*,*,#223030,.T.); #312455=ORIENTED_EDGE('',*,*,#223024,.T.); #312456=ORIENTED_EDGE('',*,*,#223031,.T.); #312457=ORIENTED_EDGE('',*,*,#223032,.F.); #312458=ORIENTED_EDGE('',*,*,#223033,.T.); #312459=ORIENTED_EDGE('',*,*,#223029,.T.); #312460=ORIENTED_EDGE('',*,*,#223034,.T.); #312461=ORIENTED_EDGE('',*,*,#223035,.T.); #312462=ORIENTED_EDGE('',*,*,#222997,.F.); #312463=ORIENTED_EDGE('',*,*,#223026,.T.); #312464=ORIENTED_EDGE('',*,*,#223036,.T.); #312465=ORIENTED_EDGE('',*,*,#223032,.T.); #312466=ORIENTED_EDGE('',*,*,#222996,.T.); #312467=ORIENTED_EDGE('',*,*,#223008,.T.); #312468=ORIENTED_EDGE('',*,*,#223037,.T.); #312469=ORIENTED_EDGE('',*,*,#223011,.T.); #312470=ORIENTED_EDGE('',*,*,#223023,.T.); #312471=ORIENTED_EDGE('',*,*,#223035,.F.); #312472=ORIENTED_EDGE('',*,*,#223038,.T.); #312473=ORIENTED_EDGE('',*,*,#223027,.F.); #312474=ORIENTED_EDGE('',*,*,#223021,.F.); #312475=ORIENTED_EDGE('',*,*,#223009,.F.); #312476=ORIENTED_EDGE('',*,*,#223039,.F.); #312477=ORIENTED_EDGE('',*,*,#222998,.F.); #312478=ORIENTED_EDGE('',*,*,#222994,.F.); #312479=ORIENTED_EDGE('',*,*,#223003,.F.); #312480=ORIENTED_EDGE('',*,*,#223040,.F.); #312481=ORIENTED_EDGE('',*,*,#223016,.T.); #312482=ORIENTED_EDGE('',*,*,#223041,.T.); #312483=ORIENTED_EDGE('',*,*,#223007,.T.); #312484=ORIENTED_EDGE('',*,*,#223042,.F.); #312485=ORIENTED_EDGE('',*,*,#223012,.F.); #312486=ORIENTED_EDGE('',*,*,#223037,.F.); #312487=ORIENTED_EDGE('',*,*,#223006,.T.); #312488=ORIENTED_EDGE('',*,*,#223043,.F.); #312489=ORIENTED_EDGE('',*,*,#223013,.F.); #312490=ORIENTED_EDGE('',*,*,#223042,.T.); #312491=ORIENTED_EDGE('',*,*,#223005,.T.); #312492=ORIENTED_EDGE('',*,*,#223044,.F.); #312493=ORIENTED_EDGE('',*,*,#223014,.F.); #312494=ORIENTED_EDGE('',*,*,#223043,.T.); #312495=ORIENTED_EDGE('',*,*,#223004,.T.); #312496=ORIENTED_EDGE('',*,*,#223041,.F.); #312497=ORIENTED_EDGE('',*,*,#223015,.F.); #312498=ORIENTED_EDGE('',*,*,#223044,.T.); #312499=ORIENTED_EDGE('',*,*,#222999,.F.); #312500=ORIENTED_EDGE('',*,*,#223039,.T.); #312501=ORIENTED_EDGE('',*,*,#223020,.T.); #312502=ORIENTED_EDGE('',*,*,#223045,.T.); #312503=ORIENTED_EDGE('',*,*,#223000,.F.); #312504=ORIENTED_EDGE('',*,*,#223045,.F.); #312505=ORIENTED_EDGE('',*,*,#223019,.T.); #312506=ORIENTED_EDGE('',*,*,#223046,.T.); #312507=ORIENTED_EDGE('',*,*,#223001,.F.); #312508=ORIENTED_EDGE('',*,*,#223046,.F.); #312509=ORIENTED_EDGE('',*,*,#223018,.T.); #312510=ORIENTED_EDGE('',*,*,#223047,.T.); #312511=ORIENTED_EDGE('',*,*,#223002,.F.); #312512=ORIENTED_EDGE('',*,*,#223047,.F.); #312513=ORIENTED_EDGE('',*,*,#223017,.T.); #312514=ORIENTED_EDGE('',*,*,#223040,.T.); #312515=ORIENTED_EDGE('',*,*,#223025,.F.); #312516=ORIENTED_EDGE('',*,*,#223030,.F.); #312517=ORIENTED_EDGE('',*,*,#223033,.F.); #312518=ORIENTED_EDGE('',*,*,#223036,.F.); #312519=ORIENTED_EDGE('',*,*,#223028,.F.); #312520=ORIENTED_EDGE('',*,*,#223038,.F.); #312521=ORIENTED_EDGE('',*,*,#223034,.F.); #312522=ORIENTED_EDGE('',*,*,#223031,.F.); #312523=ORIENTED_EDGE('',*,*,#223048,.T.); #312524=ORIENTED_EDGE('',*,*,#223049,.F.); #312525=ORIENTED_EDGE('',*,*,#223050,.F.); #312526=ORIENTED_EDGE('',*,*,#223051,.T.); #312527=ORIENTED_EDGE('',*,*,#223052,.T.); #312528=ORIENTED_EDGE('',*,*,#223053,.T.); #312529=ORIENTED_EDGE('',*,*,#223054,.T.); #312530=ORIENTED_EDGE('',*,*,#223055,.T.); #312531=ORIENTED_EDGE('',*,*,#223056,.T.); #312532=ORIENTED_EDGE('',*,*,#223057,.T.); #312533=ORIENTED_EDGE('',*,*,#223058,.F.); #312534=ORIENTED_EDGE('',*,*,#223059,.F.); #312535=ORIENTED_EDGE('',*,*,#223060,.F.); #312536=ORIENTED_EDGE('',*,*,#223061,.F.); #312537=ORIENTED_EDGE('',*,*,#223062,.F.); #312538=ORIENTED_EDGE('',*,*,#223049,.T.); #312539=ORIENTED_EDGE('',*,*,#223063,.T.); #312540=ORIENTED_EDGE('',*,*,#223064,.F.); #312541=ORIENTED_EDGE('',*,*,#223065,.F.); #312542=ORIENTED_EDGE('',*,*,#223066,.T.); #312543=ORIENTED_EDGE('',*,*,#223067,.T.); #312544=ORIENTED_EDGE('',*,*,#223068,.T.); #312545=ORIENTED_EDGE('',*,*,#223069,.T.); #312546=ORIENTED_EDGE('',*,*,#223070,.F.); #312547=ORIENTED_EDGE('',*,*,#223071,.F.); #312548=ORIENTED_EDGE('',*,*,#223072,.F.); #312549=ORIENTED_EDGE('',*,*,#223073,.F.); #312550=ORIENTED_EDGE('',*,*,#223074,.F.); #312551=ORIENTED_EDGE('',*,*,#223075,.T.); #312552=ORIENTED_EDGE('',*,*,#223076,.F.); #312553=ORIENTED_EDGE('',*,*,#223077,.F.); #312554=ORIENTED_EDGE('',*,*,#223064,.T.); #312555=ORIENTED_EDGE('',*,*,#223078,.F.); #312556=ORIENTED_EDGE('',*,*,#223079,.T.); #312557=ORIENTED_EDGE('',*,*,#223080,.F.); #312558=ORIENTED_EDGE('',*,*,#223076,.T.); #312559=ORIENTED_EDGE('',*,*,#223081,.T.); #312560=ORIENTED_EDGE('',*,*,#223082,.T.); #312561=ORIENTED_EDGE('',*,*,#223083,.F.); #312562=ORIENTED_EDGE('',*,*,#223084,.T.); #312563=ORIENTED_EDGE('',*,*,#223078,.T.); #312564=ORIENTED_EDGE('',*,*,#223085,.T.); #312565=ORIENTED_EDGE('',*,*,#223086,.F.); #312566=ORIENTED_EDGE('',*,*,#223087,.T.); #312567=ORIENTED_EDGE('',*,*,#223083,.T.); #312568=ORIENTED_EDGE('',*,*,#223088,.T.); #312569=ORIENTED_EDGE('',*,*,#223089,.T.); #312570=ORIENTED_EDGE('',*,*,#223051,.F.); #312571=ORIENTED_EDGE('',*,*,#223080,.T.); #312572=ORIENTED_EDGE('',*,*,#223090,.T.); #312573=ORIENTED_EDGE('',*,*,#223086,.T.); #312574=ORIENTED_EDGE('',*,*,#223050,.T.); #312575=ORIENTED_EDGE('',*,*,#223062,.T.); #312576=ORIENTED_EDGE('',*,*,#223091,.T.); #312577=ORIENTED_EDGE('',*,*,#223065,.T.); #312578=ORIENTED_EDGE('',*,*,#223077,.T.); #312579=ORIENTED_EDGE('',*,*,#223089,.F.); #312580=ORIENTED_EDGE('',*,*,#223092,.T.); #312581=ORIENTED_EDGE('',*,*,#223081,.F.); #312582=ORIENTED_EDGE('',*,*,#223075,.F.); #312583=ORIENTED_EDGE('',*,*,#223063,.F.); #312584=ORIENTED_EDGE('',*,*,#223093,.F.); #312585=ORIENTED_EDGE('',*,*,#223052,.F.); #312586=ORIENTED_EDGE('',*,*,#223048,.F.); #312587=ORIENTED_EDGE('',*,*,#223057,.F.); #312588=ORIENTED_EDGE('',*,*,#223094,.F.); #312589=ORIENTED_EDGE('',*,*,#223070,.T.); #312590=ORIENTED_EDGE('',*,*,#223095,.T.); #312591=ORIENTED_EDGE('',*,*,#223061,.T.); #312592=ORIENTED_EDGE('',*,*,#223096,.F.); #312593=ORIENTED_EDGE('',*,*,#223066,.F.); #312594=ORIENTED_EDGE('',*,*,#223091,.F.); #312595=ORIENTED_EDGE('',*,*,#223060,.T.); #312596=ORIENTED_EDGE('',*,*,#223097,.F.); #312597=ORIENTED_EDGE('',*,*,#223067,.F.); #312598=ORIENTED_EDGE('',*,*,#223096,.T.); #312599=ORIENTED_EDGE('',*,*,#223059,.T.); #312600=ORIENTED_EDGE('',*,*,#223098,.F.); #312601=ORIENTED_EDGE('',*,*,#223068,.F.); #312602=ORIENTED_EDGE('',*,*,#223097,.T.); #312603=ORIENTED_EDGE('',*,*,#223058,.T.); #312604=ORIENTED_EDGE('',*,*,#223095,.F.); #312605=ORIENTED_EDGE('',*,*,#223069,.F.); #312606=ORIENTED_EDGE('',*,*,#223098,.T.); #312607=ORIENTED_EDGE('',*,*,#223053,.F.); #312608=ORIENTED_EDGE('',*,*,#223093,.T.); #312609=ORIENTED_EDGE('',*,*,#223074,.T.); #312610=ORIENTED_EDGE('',*,*,#223099,.T.); #312611=ORIENTED_EDGE('',*,*,#223054,.F.); #312612=ORIENTED_EDGE('',*,*,#223099,.F.); #312613=ORIENTED_EDGE('',*,*,#223073,.T.); #312614=ORIENTED_EDGE('',*,*,#223100,.T.); #312615=ORIENTED_EDGE('',*,*,#223055,.F.); #312616=ORIENTED_EDGE('',*,*,#223100,.F.); #312617=ORIENTED_EDGE('',*,*,#223072,.T.); #312618=ORIENTED_EDGE('',*,*,#223101,.T.); #312619=ORIENTED_EDGE('',*,*,#223056,.F.); #312620=ORIENTED_EDGE('',*,*,#223101,.F.); #312621=ORIENTED_EDGE('',*,*,#223071,.T.); #312622=ORIENTED_EDGE('',*,*,#223094,.T.); #312623=ORIENTED_EDGE('',*,*,#223079,.F.); #312624=ORIENTED_EDGE('',*,*,#223084,.F.); #312625=ORIENTED_EDGE('',*,*,#223087,.F.); #312626=ORIENTED_EDGE('',*,*,#223090,.F.); #312627=ORIENTED_EDGE('',*,*,#223082,.F.); #312628=ORIENTED_EDGE('',*,*,#223092,.F.); #312629=ORIENTED_EDGE('',*,*,#223088,.F.); #312630=ORIENTED_EDGE('',*,*,#223085,.F.); #312631=ORIENTED_EDGE('',*,*,#223102,.T.); #312632=ORIENTED_EDGE('',*,*,#223103,.F.); #312633=ORIENTED_EDGE('',*,*,#223104,.F.); #312634=ORIENTED_EDGE('',*,*,#223105,.T.); #312635=ORIENTED_EDGE('',*,*,#223106,.T.); #312636=ORIENTED_EDGE('',*,*,#223107,.T.); #312637=ORIENTED_EDGE('',*,*,#223108,.T.); #312638=ORIENTED_EDGE('',*,*,#223109,.T.); #312639=ORIENTED_EDGE('',*,*,#223110,.T.); #312640=ORIENTED_EDGE('',*,*,#223111,.T.); #312641=ORIENTED_EDGE('',*,*,#223112,.F.); #312642=ORIENTED_EDGE('',*,*,#223113,.F.); #312643=ORIENTED_EDGE('',*,*,#223114,.F.); #312644=ORIENTED_EDGE('',*,*,#223115,.F.); #312645=ORIENTED_EDGE('',*,*,#223116,.F.); #312646=ORIENTED_EDGE('',*,*,#223103,.T.); #312647=ORIENTED_EDGE('',*,*,#223117,.T.); #312648=ORIENTED_EDGE('',*,*,#223118,.F.); #312649=ORIENTED_EDGE('',*,*,#223119,.F.); #312650=ORIENTED_EDGE('',*,*,#223120,.T.); #312651=ORIENTED_EDGE('',*,*,#223121,.T.); #312652=ORIENTED_EDGE('',*,*,#223122,.T.); #312653=ORIENTED_EDGE('',*,*,#223123,.T.); #312654=ORIENTED_EDGE('',*,*,#223124,.F.); #312655=ORIENTED_EDGE('',*,*,#223125,.F.); #312656=ORIENTED_EDGE('',*,*,#223126,.F.); #312657=ORIENTED_EDGE('',*,*,#223127,.F.); #312658=ORIENTED_EDGE('',*,*,#223128,.F.); #312659=ORIENTED_EDGE('',*,*,#223129,.T.); #312660=ORIENTED_EDGE('',*,*,#223130,.F.); #312661=ORIENTED_EDGE('',*,*,#223131,.F.); #312662=ORIENTED_EDGE('',*,*,#223118,.T.); #312663=ORIENTED_EDGE('',*,*,#223132,.F.); #312664=ORIENTED_EDGE('',*,*,#223133,.T.); #312665=ORIENTED_EDGE('',*,*,#223134,.F.); #312666=ORIENTED_EDGE('',*,*,#223130,.T.); #312667=ORIENTED_EDGE('',*,*,#223135,.T.); #312668=ORIENTED_EDGE('',*,*,#223136,.T.); #312669=ORIENTED_EDGE('',*,*,#223137,.F.); #312670=ORIENTED_EDGE('',*,*,#223138,.T.); #312671=ORIENTED_EDGE('',*,*,#223132,.T.); #312672=ORIENTED_EDGE('',*,*,#223139,.T.); #312673=ORIENTED_EDGE('',*,*,#223140,.F.); #312674=ORIENTED_EDGE('',*,*,#223141,.T.); #312675=ORIENTED_EDGE('',*,*,#223137,.T.); #312676=ORIENTED_EDGE('',*,*,#223142,.T.); #312677=ORIENTED_EDGE('',*,*,#223143,.T.); #312678=ORIENTED_EDGE('',*,*,#223105,.F.); #312679=ORIENTED_EDGE('',*,*,#223134,.T.); #312680=ORIENTED_EDGE('',*,*,#223144,.T.); #312681=ORIENTED_EDGE('',*,*,#223140,.T.); #312682=ORIENTED_EDGE('',*,*,#223104,.T.); #312683=ORIENTED_EDGE('',*,*,#223116,.T.); #312684=ORIENTED_EDGE('',*,*,#223145,.T.); #312685=ORIENTED_EDGE('',*,*,#223119,.T.); #312686=ORIENTED_EDGE('',*,*,#223131,.T.); #312687=ORIENTED_EDGE('',*,*,#223143,.F.); #312688=ORIENTED_EDGE('',*,*,#223146,.T.); #312689=ORIENTED_EDGE('',*,*,#223135,.F.); #312690=ORIENTED_EDGE('',*,*,#223129,.F.); #312691=ORIENTED_EDGE('',*,*,#223117,.F.); #312692=ORIENTED_EDGE('',*,*,#223147,.F.); #312693=ORIENTED_EDGE('',*,*,#223106,.F.); #312694=ORIENTED_EDGE('',*,*,#223102,.F.); #312695=ORIENTED_EDGE('',*,*,#223111,.F.); #312696=ORIENTED_EDGE('',*,*,#223148,.F.); #312697=ORIENTED_EDGE('',*,*,#223124,.T.); #312698=ORIENTED_EDGE('',*,*,#223149,.T.); #312699=ORIENTED_EDGE('',*,*,#223115,.T.); #312700=ORIENTED_EDGE('',*,*,#223150,.F.); #312701=ORIENTED_EDGE('',*,*,#223120,.F.); #312702=ORIENTED_EDGE('',*,*,#223145,.F.); #312703=ORIENTED_EDGE('',*,*,#223114,.T.); #312704=ORIENTED_EDGE('',*,*,#223151,.F.); #312705=ORIENTED_EDGE('',*,*,#223121,.F.); #312706=ORIENTED_EDGE('',*,*,#223150,.T.); #312707=ORIENTED_EDGE('',*,*,#223113,.T.); #312708=ORIENTED_EDGE('',*,*,#223152,.F.); #312709=ORIENTED_EDGE('',*,*,#223122,.F.); #312710=ORIENTED_EDGE('',*,*,#223151,.T.); #312711=ORIENTED_EDGE('',*,*,#223112,.T.); #312712=ORIENTED_EDGE('',*,*,#223149,.F.); #312713=ORIENTED_EDGE('',*,*,#223123,.F.); #312714=ORIENTED_EDGE('',*,*,#223152,.T.); #312715=ORIENTED_EDGE('',*,*,#223107,.F.); #312716=ORIENTED_EDGE('',*,*,#223147,.T.); #312717=ORIENTED_EDGE('',*,*,#223128,.T.); #312718=ORIENTED_EDGE('',*,*,#223153,.T.); #312719=ORIENTED_EDGE('',*,*,#223108,.F.); #312720=ORIENTED_EDGE('',*,*,#223153,.F.); #312721=ORIENTED_EDGE('',*,*,#223127,.T.); #312722=ORIENTED_EDGE('',*,*,#223154,.T.); #312723=ORIENTED_EDGE('',*,*,#223109,.F.); #312724=ORIENTED_EDGE('',*,*,#223154,.F.); #312725=ORIENTED_EDGE('',*,*,#223126,.T.); #312726=ORIENTED_EDGE('',*,*,#223155,.T.); #312727=ORIENTED_EDGE('',*,*,#223110,.F.); #312728=ORIENTED_EDGE('',*,*,#223155,.F.); #312729=ORIENTED_EDGE('',*,*,#223125,.T.); #312730=ORIENTED_EDGE('',*,*,#223148,.T.); #312731=ORIENTED_EDGE('',*,*,#223133,.F.); #312732=ORIENTED_EDGE('',*,*,#223138,.F.); #312733=ORIENTED_EDGE('',*,*,#223141,.F.); #312734=ORIENTED_EDGE('',*,*,#223144,.F.); #312735=ORIENTED_EDGE('',*,*,#223136,.F.); #312736=ORIENTED_EDGE('',*,*,#223146,.F.); #312737=ORIENTED_EDGE('',*,*,#223142,.F.); #312738=ORIENTED_EDGE('',*,*,#223139,.F.); #312739=ORIENTED_EDGE('',*,*,#223156,.T.); #312740=ORIENTED_EDGE('',*,*,#223157,.F.); #312741=ORIENTED_EDGE('',*,*,#223158,.F.); #312742=ORIENTED_EDGE('',*,*,#223159,.T.); #312743=ORIENTED_EDGE('',*,*,#223160,.T.); #312744=ORIENTED_EDGE('',*,*,#223161,.T.); #312745=ORIENTED_EDGE('',*,*,#223162,.T.); #312746=ORIENTED_EDGE('',*,*,#223163,.T.); #312747=ORIENTED_EDGE('',*,*,#223164,.T.); #312748=ORIENTED_EDGE('',*,*,#223165,.T.); #312749=ORIENTED_EDGE('',*,*,#223166,.F.); #312750=ORIENTED_EDGE('',*,*,#223167,.F.); #312751=ORIENTED_EDGE('',*,*,#223168,.F.); #312752=ORIENTED_EDGE('',*,*,#223169,.F.); #312753=ORIENTED_EDGE('',*,*,#223170,.F.); #312754=ORIENTED_EDGE('',*,*,#223157,.T.); #312755=ORIENTED_EDGE('',*,*,#223171,.T.); #312756=ORIENTED_EDGE('',*,*,#223172,.F.); #312757=ORIENTED_EDGE('',*,*,#223173,.F.); #312758=ORIENTED_EDGE('',*,*,#223174,.T.); #312759=ORIENTED_EDGE('',*,*,#223175,.T.); #312760=ORIENTED_EDGE('',*,*,#223176,.T.); #312761=ORIENTED_EDGE('',*,*,#223177,.T.); #312762=ORIENTED_EDGE('',*,*,#223178,.F.); #312763=ORIENTED_EDGE('',*,*,#223179,.F.); #312764=ORIENTED_EDGE('',*,*,#223180,.F.); #312765=ORIENTED_EDGE('',*,*,#223181,.F.); #312766=ORIENTED_EDGE('',*,*,#223182,.F.); #312767=ORIENTED_EDGE('',*,*,#223183,.T.); #312768=ORIENTED_EDGE('',*,*,#223184,.F.); #312769=ORIENTED_EDGE('',*,*,#223185,.F.); #312770=ORIENTED_EDGE('',*,*,#223172,.T.); #312771=ORIENTED_EDGE('',*,*,#223186,.F.); #312772=ORIENTED_EDGE('',*,*,#223187,.T.); #312773=ORIENTED_EDGE('',*,*,#223188,.F.); #312774=ORIENTED_EDGE('',*,*,#223184,.T.); #312775=ORIENTED_EDGE('',*,*,#223189,.T.); #312776=ORIENTED_EDGE('',*,*,#223190,.T.); #312777=ORIENTED_EDGE('',*,*,#223191,.F.); #312778=ORIENTED_EDGE('',*,*,#223192,.T.); #312779=ORIENTED_EDGE('',*,*,#223186,.T.); #312780=ORIENTED_EDGE('',*,*,#223193,.T.); #312781=ORIENTED_EDGE('',*,*,#223194,.F.); #312782=ORIENTED_EDGE('',*,*,#223195,.T.); #312783=ORIENTED_EDGE('',*,*,#223191,.T.); #312784=ORIENTED_EDGE('',*,*,#223196,.T.); #312785=ORIENTED_EDGE('',*,*,#223197,.T.); #312786=ORIENTED_EDGE('',*,*,#223159,.F.); #312787=ORIENTED_EDGE('',*,*,#223188,.T.); #312788=ORIENTED_EDGE('',*,*,#223198,.T.); #312789=ORIENTED_EDGE('',*,*,#223194,.T.); #312790=ORIENTED_EDGE('',*,*,#223158,.T.); #312791=ORIENTED_EDGE('',*,*,#223170,.T.); #312792=ORIENTED_EDGE('',*,*,#223199,.T.); #312793=ORIENTED_EDGE('',*,*,#223173,.T.); #312794=ORIENTED_EDGE('',*,*,#223185,.T.); #312795=ORIENTED_EDGE('',*,*,#223197,.F.); #312796=ORIENTED_EDGE('',*,*,#223200,.T.); #312797=ORIENTED_EDGE('',*,*,#223189,.F.); #312798=ORIENTED_EDGE('',*,*,#223183,.F.); #312799=ORIENTED_EDGE('',*,*,#223171,.F.); #312800=ORIENTED_EDGE('',*,*,#223201,.F.); #312801=ORIENTED_EDGE('',*,*,#223160,.F.); #312802=ORIENTED_EDGE('',*,*,#223156,.F.); #312803=ORIENTED_EDGE('',*,*,#223165,.F.); #312804=ORIENTED_EDGE('',*,*,#223202,.F.); #312805=ORIENTED_EDGE('',*,*,#223178,.T.); #312806=ORIENTED_EDGE('',*,*,#223203,.T.); #312807=ORIENTED_EDGE('',*,*,#223169,.T.); #312808=ORIENTED_EDGE('',*,*,#223204,.F.); #312809=ORIENTED_EDGE('',*,*,#223174,.F.); #312810=ORIENTED_EDGE('',*,*,#223199,.F.); #312811=ORIENTED_EDGE('',*,*,#223168,.T.); #312812=ORIENTED_EDGE('',*,*,#223205,.F.); #312813=ORIENTED_EDGE('',*,*,#223175,.F.); #312814=ORIENTED_EDGE('',*,*,#223204,.T.); #312815=ORIENTED_EDGE('',*,*,#223167,.T.); #312816=ORIENTED_EDGE('',*,*,#223206,.F.); #312817=ORIENTED_EDGE('',*,*,#223176,.F.); #312818=ORIENTED_EDGE('',*,*,#223205,.T.); #312819=ORIENTED_EDGE('',*,*,#223166,.T.); #312820=ORIENTED_EDGE('',*,*,#223203,.F.); #312821=ORIENTED_EDGE('',*,*,#223177,.F.); #312822=ORIENTED_EDGE('',*,*,#223206,.T.); #312823=ORIENTED_EDGE('',*,*,#223161,.F.); #312824=ORIENTED_EDGE('',*,*,#223201,.T.); #312825=ORIENTED_EDGE('',*,*,#223182,.T.); #312826=ORIENTED_EDGE('',*,*,#223207,.T.); #312827=ORIENTED_EDGE('',*,*,#223162,.F.); #312828=ORIENTED_EDGE('',*,*,#223207,.F.); #312829=ORIENTED_EDGE('',*,*,#223181,.T.); #312830=ORIENTED_EDGE('',*,*,#223208,.T.); #312831=ORIENTED_EDGE('',*,*,#223163,.F.); #312832=ORIENTED_EDGE('',*,*,#223208,.F.); #312833=ORIENTED_EDGE('',*,*,#223180,.T.); #312834=ORIENTED_EDGE('',*,*,#223209,.T.); #312835=ORIENTED_EDGE('',*,*,#223164,.F.); #312836=ORIENTED_EDGE('',*,*,#223209,.F.); #312837=ORIENTED_EDGE('',*,*,#223179,.T.); #312838=ORIENTED_EDGE('',*,*,#223202,.T.); #312839=ORIENTED_EDGE('',*,*,#223187,.F.); #312840=ORIENTED_EDGE('',*,*,#223192,.F.); #312841=ORIENTED_EDGE('',*,*,#223195,.F.); #312842=ORIENTED_EDGE('',*,*,#223198,.F.); #312843=ORIENTED_EDGE('',*,*,#223190,.F.); #312844=ORIENTED_EDGE('',*,*,#223200,.F.); #312845=ORIENTED_EDGE('',*,*,#223196,.F.); #312846=ORIENTED_EDGE('',*,*,#223193,.F.); #312847=ORIENTED_EDGE('',*,*,#223210,.T.); #312848=ORIENTED_EDGE('',*,*,#223211,.F.); #312849=ORIENTED_EDGE('',*,*,#223212,.F.); #312850=ORIENTED_EDGE('',*,*,#223213,.T.); #312851=ORIENTED_EDGE('',*,*,#223214,.T.); #312852=ORIENTED_EDGE('',*,*,#223215,.T.); #312853=ORIENTED_EDGE('',*,*,#223216,.T.); #312854=ORIENTED_EDGE('',*,*,#223217,.T.); #312855=ORIENTED_EDGE('',*,*,#223218,.T.); #312856=ORIENTED_EDGE('',*,*,#223219,.T.); #312857=ORIENTED_EDGE('',*,*,#223220,.F.); #312858=ORIENTED_EDGE('',*,*,#223221,.F.); #312859=ORIENTED_EDGE('',*,*,#223222,.F.); #312860=ORIENTED_EDGE('',*,*,#223223,.F.); #312861=ORIENTED_EDGE('',*,*,#223224,.F.); #312862=ORIENTED_EDGE('',*,*,#223211,.T.); #312863=ORIENTED_EDGE('',*,*,#223225,.T.); #312864=ORIENTED_EDGE('',*,*,#223226,.F.); #312865=ORIENTED_EDGE('',*,*,#223227,.F.); #312866=ORIENTED_EDGE('',*,*,#223228,.T.); #312867=ORIENTED_EDGE('',*,*,#223229,.T.); #312868=ORIENTED_EDGE('',*,*,#223230,.T.); #312869=ORIENTED_EDGE('',*,*,#223231,.T.); #312870=ORIENTED_EDGE('',*,*,#223232,.F.); #312871=ORIENTED_EDGE('',*,*,#223233,.F.); #312872=ORIENTED_EDGE('',*,*,#223234,.F.); #312873=ORIENTED_EDGE('',*,*,#223235,.F.); #312874=ORIENTED_EDGE('',*,*,#223236,.F.); #312875=ORIENTED_EDGE('',*,*,#223237,.T.); #312876=ORIENTED_EDGE('',*,*,#223238,.F.); #312877=ORIENTED_EDGE('',*,*,#223239,.F.); #312878=ORIENTED_EDGE('',*,*,#223226,.T.); #312879=ORIENTED_EDGE('',*,*,#223240,.F.); #312880=ORIENTED_EDGE('',*,*,#223241,.T.); #312881=ORIENTED_EDGE('',*,*,#223242,.F.); #312882=ORIENTED_EDGE('',*,*,#223238,.T.); #312883=ORIENTED_EDGE('',*,*,#223243,.T.); #312884=ORIENTED_EDGE('',*,*,#223244,.T.); #312885=ORIENTED_EDGE('',*,*,#223245,.F.); #312886=ORIENTED_EDGE('',*,*,#223246,.T.); #312887=ORIENTED_EDGE('',*,*,#223240,.T.); #312888=ORIENTED_EDGE('',*,*,#223247,.T.); #312889=ORIENTED_EDGE('',*,*,#223248,.F.); #312890=ORIENTED_EDGE('',*,*,#223249,.T.); #312891=ORIENTED_EDGE('',*,*,#223245,.T.); #312892=ORIENTED_EDGE('',*,*,#223250,.T.); #312893=ORIENTED_EDGE('',*,*,#223251,.T.); #312894=ORIENTED_EDGE('',*,*,#223213,.F.); #312895=ORIENTED_EDGE('',*,*,#223242,.T.); #312896=ORIENTED_EDGE('',*,*,#223252,.T.); #312897=ORIENTED_EDGE('',*,*,#223248,.T.); #312898=ORIENTED_EDGE('',*,*,#223212,.T.); #312899=ORIENTED_EDGE('',*,*,#223224,.T.); #312900=ORIENTED_EDGE('',*,*,#223253,.T.); #312901=ORIENTED_EDGE('',*,*,#223227,.T.); #312902=ORIENTED_EDGE('',*,*,#223239,.T.); #312903=ORIENTED_EDGE('',*,*,#223251,.F.); #312904=ORIENTED_EDGE('',*,*,#223254,.T.); #312905=ORIENTED_EDGE('',*,*,#223243,.F.); #312906=ORIENTED_EDGE('',*,*,#223237,.F.); #312907=ORIENTED_EDGE('',*,*,#223225,.F.); #312908=ORIENTED_EDGE('',*,*,#223255,.F.); #312909=ORIENTED_EDGE('',*,*,#223214,.F.); #312910=ORIENTED_EDGE('',*,*,#223210,.F.); #312911=ORIENTED_EDGE('',*,*,#223219,.F.); #312912=ORIENTED_EDGE('',*,*,#223256,.F.); #312913=ORIENTED_EDGE('',*,*,#223232,.T.); #312914=ORIENTED_EDGE('',*,*,#223257,.T.); #312915=ORIENTED_EDGE('',*,*,#223223,.T.); #312916=ORIENTED_EDGE('',*,*,#223258,.F.); #312917=ORIENTED_EDGE('',*,*,#223228,.F.); #312918=ORIENTED_EDGE('',*,*,#223253,.F.); #312919=ORIENTED_EDGE('',*,*,#223222,.T.); #312920=ORIENTED_EDGE('',*,*,#223259,.F.); #312921=ORIENTED_EDGE('',*,*,#223229,.F.); #312922=ORIENTED_EDGE('',*,*,#223258,.T.); #312923=ORIENTED_EDGE('',*,*,#223221,.T.); #312924=ORIENTED_EDGE('',*,*,#223260,.F.); #312925=ORIENTED_EDGE('',*,*,#223230,.F.); #312926=ORIENTED_EDGE('',*,*,#223259,.T.); #312927=ORIENTED_EDGE('',*,*,#223220,.T.); #312928=ORIENTED_EDGE('',*,*,#223257,.F.); #312929=ORIENTED_EDGE('',*,*,#223231,.F.); #312930=ORIENTED_EDGE('',*,*,#223260,.T.); #312931=ORIENTED_EDGE('',*,*,#223215,.F.); #312932=ORIENTED_EDGE('',*,*,#223255,.T.); #312933=ORIENTED_EDGE('',*,*,#223236,.T.); #312934=ORIENTED_EDGE('',*,*,#223261,.T.); #312935=ORIENTED_EDGE('',*,*,#223216,.F.); #312936=ORIENTED_EDGE('',*,*,#223261,.F.); #312937=ORIENTED_EDGE('',*,*,#223235,.T.); #312938=ORIENTED_EDGE('',*,*,#223262,.T.); #312939=ORIENTED_EDGE('',*,*,#223217,.F.); #312940=ORIENTED_EDGE('',*,*,#223262,.F.); #312941=ORIENTED_EDGE('',*,*,#223234,.T.); #312942=ORIENTED_EDGE('',*,*,#223263,.T.); #312943=ORIENTED_EDGE('',*,*,#223218,.F.); #312944=ORIENTED_EDGE('',*,*,#223263,.F.); #312945=ORIENTED_EDGE('',*,*,#223233,.T.); #312946=ORIENTED_EDGE('',*,*,#223256,.T.); #312947=ORIENTED_EDGE('',*,*,#223241,.F.); #312948=ORIENTED_EDGE('',*,*,#223246,.F.); #312949=ORIENTED_EDGE('',*,*,#223249,.F.); #312950=ORIENTED_EDGE('',*,*,#223252,.F.); #312951=ORIENTED_EDGE('',*,*,#223244,.F.); #312952=ORIENTED_EDGE('',*,*,#223254,.F.); #312953=ORIENTED_EDGE('',*,*,#223250,.F.); #312954=ORIENTED_EDGE('',*,*,#223247,.F.); #312955=ORIENTED_EDGE('',*,*,#223264,.F.); #312956=ORIENTED_EDGE('',*,*,#223265,.F.); #312957=ORIENTED_EDGE('',*,*,#223266,.T.); #312958=ORIENTED_EDGE('',*,*,#223267,.T.); #312959=ORIENTED_EDGE('',*,*,#223268,.F.); #312960=ORIENTED_EDGE('',*,*,#223267,.F.); #312961=ORIENTED_EDGE('',*,*,#223269,.T.); #312962=ORIENTED_EDGE('',*,*,#223270,.T.); #312963=ORIENTED_EDGE('',*,*,#223271,.T.); #312964=ORIENTED_EDGE('',*,*,#223272,.T.); #312965=ORIENTED_EDGE('',*,*,#223273,.T.); #312966=ORIENTED_EDGE('',*,*,#223274,.F.); #312967=ORIENTED_EDGE('',*,*,#223275,.F.); #312968=ORIENTED_EDGE('',*,*,#223276,.F.); #312969=ORIENTED_EDGE('',*,*,#223277,.F.); #312970=ORIENTED_EDGE('',*,*,#223278,.F.); #312971=ORIENTED_EDGE('',*,*,#223279,.F.); #312972=ORIENTED_EDGE('',*,*,#223280,.T.); #312973=ORIENTED_EDGE('',*,*,#223281,.T.); #312974=ORIENTED_EDGE('',*,*,#223282,.T.); #312975=ORIENTED_EDGE('',*,*,#223283,.T.); #312976=ORIENTED_EDGE('',*,*,#223284,.T.); #312977=ORIENTED_EDGE('',*,*,#223285,.F.); #312978=ORIENTED_EDGE('',*,*,#223286,.F.); #312979=ORIENTED_EDGE('',*,*,#223287,.F.); #312980=ORIENTED_EDGE('',*,*,#223288,.F.); #312981=ORIENTED_EDGE('',*,*,#223289,.T.); #312982=ORIENTED_EDGE('',*,*,#223290,.T.); #312983=ORIENTED_EDGE('',*,*,#223291,.F.); #312984=ORIENTED_EDGE('',*,*,#223290,.F.); #312985=ORIENTED_EDGE('',*,*,#223292,.T.); #312986=ORIENTED_EDGE('',*,*,#223293,.T.); #312987=ORIENTED_EDGE('',*,*,#223294,.T.); #312988=ORIENTED_EDGE('',*,*,#223295,.F.); #312989=ORIENTED_EDGE('',*,*,#223296,.F.); #312990=ORIENTED_EDGE('',*,*,#223293,.F.); #312991=ORIENTED_EDGE('',*,*,#223297,.T.); #312992=ORIENTED_EDGE('',*,*,#223298,.F.); #312993=ORIENTED_EDGE('',*,*,#223299,.T.); #312994=ORIENTED_EDGE('',*,*,#223300,.F.); #312995=ORIENTED_EDGE('',*,*,#223294,.F.); #312996=ORIENTED_EDGE('',*,*,#223301,.F.); #312997=ORIENTED_EDGE('',*,*,#223302,.T.); #312998=ORIENTED_EDGE('',*,*,#223265,.T.); #312999=ORIENTED_EDGE('',*,*,#223303,.F.); #313000=ORIENTED_EDGE('',*,*,#223304,.F.); #313001=ORIENTED_EDGE('',*,*,#223299,.F.); #313002=ORIENTED_EDGE('',*,*,#223305,.F.); #313003=ORIENTED_EDGE('',*,*,#223297,.F.); #313004=ORIENTED_EDGE('',*,*,#223292,.F.); #313005=ORIENTED_EDGE('',*,*,#223289,.F.); #313006=ORIENTED_EDGE('',*,*,#223306,.F.); #313007=ORIENTED_EDGE('',*,*,#223269,.F.); #313008=ORIENTED_EDGE('',*,*,#223266,.F.); #313009=ORIENTED_EDGE('',*,*,#223302,.F.); #313010=ORIENTED_EDGE('',*,*,#223307,.F.); #313011=ORIENTED_EDGE('',*,*,#223303,.T.); #313012=ORIENTED_EDGE('',*,*,#223264,.T.); #313013=ORIENTED_EDGE('',*,*,#223268,.T.); #313014=ORIENTED_EDGE('',*,*,#223308,.T.); #313015=ORIENTED_EDGE('',*,*,#223279,.T.); #313016=ORIENTED_EDGE('',*,*,#223291,.T.); #313017=ORIENTED_EDGE('',*,*,#223296,.T.); #313018=ORIENTED_EDGE('',*,*,#223309,.F.); #313019=ORIENTED_EDGE('',*,*,#223274,.T.); #313020=ORIENTED_EDGE('',*,*,#223310,.F.); #313021=ORIENTED_EDGE('',*,*,#223284,.F.); #313022=ORIENTED_EDGE('',*,*,#223311,.T.); #313023=ORIENTED_EDGE('',*,*,#223270,.F.); #313024=ORIENTED_EDGE('',*,*,#223306,.T.); #313025=ORIENTED_EDGE('',*,*,#223288,.T.); #313026=ORIENTED_EDGE('',*,*,#223312,.T.); #313027=ORIENTED_EDGE('',*,*,#223271,.F.); #313028=ORIENTED_EDGE('',*,*,#223312,.F.); #313029=ORIENTED_EDGE('',*,*,#223287,.T.); #313030=ORIENTED_EDGE('',*,*,#223313,.T.); #313031=ORIENTED_EDGE('',*,*,#223272,.F.); #313032=ORIENTED_EDGE('',*,*,#223313,.F.); #313033=ORIENTED_EDGE('',*,*,#223286,.T.); #313034=ORIENTED_EDGE('',*,*,#223314,.T.); #313035=ORIENTED_EDGE('',*,*,#223273,.F.); #313036=ORIENTED_EDGE('',*,*,#223314,.F.); #313037=ORIENTED_EDGE('',*,*,#223285,.T.); #313038=ORIENTED_EDGE('',*,*,#223310,.T.); #313039=ORIENTED_EDGE('',*,*,#223278,.T.); #313040=ORIENTED_EDGE('',*,*,#223315,.F.); #313041=ORIENTED_EDGE('',*,*,#223280,.F.); #313042=ORIENTED_EDGE('',*,*,#223308,.F.); #313043=ORIENTED_EDGE('',*,*,#223277,.T.); #313044=ORIENTED_EDGE('',*,*,#223316,.F.); #313045=ORIENTED_EDGE('',*,*,#223281,.F.); #313046=ORIENTED_EDGE('',*,*,#223315,.T.); #313047=ORIENTED_EDGE('',*,*,#223276,.T.); #313048=ORIENTED_EDGE('',*,*,#223317,.F.); #313049=ORIENTED_EDGE('',*,*,#223282,.F.); #313050=ORIENTED_EDGE('',*,*,#223316,.T.); #313051=ORIENTED_EDGE('',*,*,#223275,.T.); #313052=ORIENTED_EDGE('',*,*,#223311,.F.); #313053=ORIENTED_EDGE('',*,*,#223283,.F.); #313054=ORIENTED_EDGE('',*,*,#223317,.T.); #313055=ORIENTED_EDGE('',*,*,#223298,.T.); #313056=ORIENTED_EDGE('',*,*,#223307,.T.); #313057=ORIENTED_EDGE('',*,*,#223305,.T.); #313058=ORIENTED_EDGE('',*,*,#223301,.T.); #313059=ORIENTED_EDGE('',*,*,#223295,.T.); #313060=ORIENTED_EDGE('',*,*,#223300,.T.); #313061=ORIENTED_EDGE('',*,*,#223304,.T.); #313062=ORIENTED_EDGE('',*,*,#223309,.T.); #313063=ORIENTED_EDGE('',*,*,#223318,.F.); #313064=ORIENTED_EDGE('',*,*,#223319,.F.); #313065=ORIENTED_EDGE('',*,*,#223320,.T.); #313066=ORIENTED_EDGE('',*,*,#223321,.T.); #313067=ORIENTED_EDGE('',*,*,#223322,.F.); #313068=ORIENTED_EDGE('',*,*,#223321,.F.); #313069=ORIENTED_EDGE('',*,*,#223323,.T.); #313070=ORIENTED_EDGE('',*,*,#223324,.T.); #313071=ORIENTED_EDGE('',*,*,#223325,.T.); #313072=ORIENTED_EDGE('',*,*,#223326,.T.); #313073=ORIENTED_EDGE('',*,*,#223327,.T.); #313074=ORIENTED_EDGE('',*,*,#223328,.F.); #313075=ORIENTED_EDGE('',*,*,#223329,.F.); #313076=ORIENTED_EDGE('',*,*,#223330,.F.); #313077=ORIENTED_EDGE('',*,*,#223331,.F.); #313078=ORIENTED_EDGE('',*,*,#223332,.F.); #313079=ORIENTED_EDGE('',*,*,#223333,.F.); #313080=ORIENTED_EDGE('',*,*,#223334,.T.); #313081=ORIENTED_EDGE('',*,*,#223335,.T.); #313082=ORIENTED_EDGE('',*,*,#223336,.T.); #313083=ORIENTED_EDGE('',*,*,#223337,.T.); #313084=ORIENTED_EDGE('',*,*,#223338,.T.); #313085=ORIENTED_EDGE('',*,*,#223339,.F.); #313086=ORIENTED_EDGE('',*,*,#223340,.F.); #313087=ORIENTED_EDGE('',*,*,#223341,.F.); #313088=ORIENTED_EDGE('',*,*,#223342,.F.); #313089=ORIENTED_EDGE('',*,*,#223343,.T.); #313090=ORIENTED_EDGE('',*,*,#223344,.T.); #313091=ORIENTED_EDGE('',*,*,#223345,.F.); #313092=ORIENTED_EDGE('',*,*,#223344,.F.); #313093=ORIENTED_EDGE('',*,*,#223346,.T.); #313094=ORIENTED_EDGE('',*,*,#223347,.T.); #313095=ORIENTED_EDGE('',*,*,#223348,.T.); #313096=ORIENTED_EDGE('',*,*,#223349,.F.); #313097=ORIENTED_EDGE('',*,*,#223350,.F.); #313098=ORIENTED_EDGE('',*,*,#223347,.F.); #313099=ORIENTED_EDGE('',*,*,#223351,.T.); #313100=ORIENTED_EDGE('',*,*,#223352,.F.); #313101=ORIENTED_EDGE('',*,*,#223353,.T.); #313102=ORIENTED_EDGE('',*,*,#223354,.F.); #313103=ORIENTED_EDGE('',*,*,#223348,.F.); #313104=ORIENTED_EDGE('',*,*,#223355,.F.); #313105=ORIENTED_EDGE('',*,*,#223356,.T.); #313106=ORIENTED_EDGE('',*,*,#223319,.T.); #313107=ORIENTED_EDGE('',*,*,#223357,.F.); #313108=ORIENTED_EDGE('',*,*,#223358,.F.); #313109=ORIENTED_EDGE('',*,*,#223353,.F.); #313110=ORIENTED_EDGE('',*,*,#223359,.F.); #313111=ORIENTED_EDGE('',*,*,#223351,.F.); #313112=ORIENTED_EDGE('',*,*,#223346,.F.); #313113=ORIENTED_EDGE('',*,*,#223343,.F.); #313114=ORIENTED_EDGE('',*,*,#223360,.F.); #313115=ORIENTED_EDGE('',*,*,#223323,.F.); #313116=ORIENTED_EDGE('',*,*,#223320,.F.); #313117=ORIENTED_EDGE('',*,*,#223356,.F.); #313118=ORIENTED_EDGE('',*,*,#223361,.F.); #313119=ORIENTED_EDGE('',*,*,#223357,.T.); #313120=ORIENTED_EDGE('',*,*,#223318,.T.); #313121=ORIENTED_EDGE('',*,*,#223322,.T.); #313122=ORIENTED_EDGE('',*,*,#223362,.T.); #313123=ORIENTED_EDGE('',*,*,#223333,.T.); #313124=ORIENTED_EDGE('',*,*,#223345,.T.); #313125=ORIENTED_EDGE('',*,*,#223350,.T.); #313126=ORIENTED_EDGE('',*,*,#223363,.F.); #313127=ORIENTED_EDGE('',*,*,#223328,.T.); #313128=ORIENTED_EDGE('',*,*,#223364,.F.); #313129=ORIENTED_EDGE('',*,*,#223338,.F.); #313130=ORIENTED_EDGE('',*,*,#223365,.T.); #313131=ORIENTED_EDGE('',*,*,#223324,.F.); #313132=ORIENTED_EDGE('',*,*,#223360,.T.); #313133=ORIENTED_EDGE('',*,*,#223342,.T.); #313134=ORIENTED_EDGE('',*,*,#223366,.T.); #313135=ORIENTED_EDGE('',*,*,#223325,.F.); #313136=ORIENTED_EDGE('',*,*,#223366,.F.); #313137=ORIENTED_EDGE('',*,*,#223341,.T.); #313138=ORIENTED_EDGE('',*,*,#223367,.T.); #313139=ORIENTED_EDGE('',*,*,#223326,.F.); #313140=ORIENTED_EDGE('',*,*,#223367,.F.); #313141=ORIENTED_EDGE('',*,*,#223340,.T.); #313142=ORIENTED_EDGE('',*,*,#223368,.T.); #313143=ORIENTED_EDGE('',*,*,#223327,.F.); #313144=ORIENTED_EDGE('',*,*,#223368,.F.); #313145=ORIENTED_EDGE('',*,*,#223339,.T.); #313146=ORIENTED_EDGE('',*,*,#223364,.T.); #313147=ORIENTED_EDGE('',*,*,#223332,.T.); #313148=ORIENTED_EDGE('',*,*,#223369,.F.); #313149=ORIENTED_EDGE('',*,*,#223334,.F.); #313150=ORIENTED_EDGE('',*,*,#223362,.F.); #313151=ORIENTED_EDGE('',*,*,#223331,.T.); #313152=ORIENTED_EDGE('',*,*,#223370,.F.); #313153=ORIENTED_EDGE('',*,*,#223335,.F.); #313154=ORIENTED_EDGE('',*,*,#223369,.T.); #313155=ORIENTED_EDGE('',*,*,#223330,.T.); #313156=ORIENTED_EDGE('',*,*,#223371,.F.); #313157=ORIENTED_EDGE('',*,*,#223336,.F.); #313158=ORIENTED_EDGE('',*,*,#223370,.T.); #313159=ORIENTED_EDGE('',*,*,#223329,.T.); #313160=ORIENTED_EDGE('',*,*,#223365,.F.); #313161=ORIENTED_EDGE('',*,*,#223337,.F.); #313162=ORIENTED_EDGE('',*,*,#223371,.T.); #313163=ORIENTED_EDGE('',*,*,#223352,.T.); #313164=ORIENTED_EDGE('',*,*,#223361,.T.); #313165=ORIENTED_EDGE('',*,*,#223359,.T.); #313166=ORIENTED_EDGE('',*,*,#223355,.T.); #313167=ORIENTED_EDGE('',*,*,#223349,.T.); #313168=ORIENTED_EDGE('',*,*,#223354,.T.); #313169=ORIENTED_EDGE('',*,*,#223358,.T.); #313170=ORIENTED_EDGE('',*,*,#223363,.T.); #313171=ORIENTED_EDGE('',*,*,#223372,.F.); #313172=ORIENTED_EDGE('',*,*,#223373,.F.); #313173=ORIENTED_EDGE('',*,*,#223374,.T.); #313174=ORIENTED_EDGE('',*,*,#223375,.T.); #313175=ORIENTED_EDGE('',*,*,#223376,.F.); #313176=ORIENTED_EDGE('',*,*,#223375,.F.); #313177=ORIENTED_EDGE('',*,*,#223377,.T.); #313178=ORIENTED_EDGE('',*,*,#223378,.T.); #313179=ORIENTED_EDGE('',*,*,#223379,.T.); #313180=ORIENTED_EDGE('',*,*,#223380,.T.); #313181=ORIENTED_EDGE('',*,*,#223381,.T.); #313182=ORIENTED_EDGE('',*,*,#223382,.F.); #313183=ORIENTED_EDGE('',*,*,#223383,.F.); #313184=ORIENTED_EDGE('',*,*,#223384,.F.); #313185=ORIENTED_EDGE('',*,*,#223385,.F.); #313186=ORIENTED_EDGE('',*,*,#223386,.F.); #313187=ORIENTED_EDGE('',*,*,#223387,.F.); #313188=ORIENTED_EDGE('',*,*,#223388,.T.); #313189=ORIENTED_EDGE('',*,*,#223389,.T.); #313190=ORIENTED_EDGE('',*,*,#223390,.T.); #313191=ORIENTED_EDGE('',*,*,#223391,.T.); #313192=ORIENTED_EDGE('',*,*,#223392,.T.); #313193=ORIENTED_EDGE('',*,*,#223393,.F.); #313194=ORIENTED_EDGE('',*,*,#223394,.F.); #313195=ORIENTED_EDGE('',*,*,#223395,.F.); #313196=ORIENTED_EDGE('',*,*,#223396,.F.); #313197=ORIENTED_EDGE('',*,*,#223397,.T.); #313198=ORIENTED_EDGE('',*,*,#223398,.T.); #313199=ORIENTED_EDGE('',*,*,#223399,.F.); #313200=ORIENTED_EDGE('',*,*,#223398,.F.); #313201=ORIENTED_EDGE('',*,*,#223400,.T.); #313202=ORIENTED_EDGE('',*,*,#223401,.T.); #313203=ORIENTED_EDGE('',*,*,#223402,.T.); #313204=ORIENTED_EDGE('',*,*,#223403,.F.); #313205=ORIENTED_EDGE('',*,*,#223404,.F.); #313206=ORIENTED_EDGE('',*,*,#223401,.F.); #313207=ORIENTED_EDGE('',*,*,#223405,.T.); #313208=ORIENTED_EDGE('',*,*,#223406,.F.); #313209=ORIENTED_EDGE('',*,*,#223407,.T.); #313210=ORIENTED_EDGE('',*,*,#223408,.F.); #313211=ORIENTED_EDGE('',*,*,#223402,.F.); #313212=ORIENTED_EDGE('',*,*,#223409,.F.); #313213=ORIENTED_EDGE('',*,*,#223410,.T.); #313214=ORIENTED_EDGE('',*,*,#223373,.T.); #313215=ORIENTED_EDGE('',*,*,#223411,.F.); #313216=ORIENTED_EDGE('',*,*,#223412,.F.); #313217=ORIENTED_EDGE('',*,*,#223407,.F.); #313218=ORIENTED_EDGE('',*,*,#223413,.F.); #313219=ORIENTED_EDGE('',*,*,#223405,.F.); #313220=ORIENTED_EDGE('',*,*,#223400,.F.); #313221=ORIENTED_EDGE('',*,*,#223397,.F.); #313222=ORIENTED_EDGE('',*,*,#223414,.F.); #313223=ORIENTED_EDGE('',*,*,#223377,.F.); #313224=ORIENTED_EDGE('',*,*,#223374,.F.); #313225=ORIENTED_EDGE('',*,*,#223410,.F.); #313226=ORIENTED_EDGE('',*,*,#223415,.F.); #313227=ORIENTED_EDGE('',*,*,#223411,.T.); #313228=ORIENTED_EDGE('',*,*,#223372,.T.); #313229=ORIENTED_EDGE('',*,*,#223376,.T.); #313230=ORIENTED_EDGE('',*,*,#223416,.T.); #313231=ORIENTED_EDGE('',*,*,#223387,.T.); #313232=ORIENTED_EDGE('',*,*,#223399,.T.); #313233=ORIENTED_EDGE('',*,*,#223404,.T.); #313234=ORIENTED_EDGE('',*,*,#223417,.F.); #313235=ORIENTED_EDGE('',*,*,#223382,.T.); #313236=ORIENTED_EDGE('',*,*,#223418,.F.); #313237=ORIENTED_EDGE('',*,*,#223392,.F.); #313238=ORIENTED_EDGE('',*,*,#223419,.T.); #313239=ORIENTED_EDGE('',*,*,#223378,.F.); #313240=ORIENTED_EDGE('',*,*,#223414,.T.); #313241=ORIENTED_EDGE('',*,*,#223396,.T.); #313242=ORIENTED_EDGE('',*,*,#223420,.T.); #313243=ORIENTED_EDGE('',*,*,#223379,.F.); #313244=ORIENTED_EDGE('',*,*,#223420,.F.); #313245=ORIENTED_EDGE('',*,*,#223395,.T.); #313246=ORIENTED_EDGE('',*,*,#223421,.T.); #313247=ORIENTED_EDGE('',*,*,#223380,.F.); #313248=ORIENTED_EDGE('',*,*,#223421,.F.); #313249=ORIENTED_EDGE('',*,*,#223394,.T.); #313250=ORIENTED_EDGE('',*,*,#223422,.T.); #313251=ORIENTED_EDGE('',*,*,#223381,.F.); #313252=ORIENTED_EDGE('',*,*,#223422,.F.); #313253=ORIENTED_EDGE('',*,*,#223393,.T.); #313254=ORIENTED_EDGE('',*,*,#223418,.T.); #313255=ORIENTED_EDGE('',*,*,#223386,.T.); #313256=ORIENTED_EDGE('',*,*,#223423,.F.); #313257=ORIENTED_EDGE('',*,*,#223388,.F.); #313258=ORIENTED_EDGE('',*,*,#223416,.F.); #313259=ORIENTED_EDGE('',*,*,#223385,.T.); #313260=ORIENTED_EDGE('',*,*,#223424,.F.); #313261=ORIENTED_EDGE('',*,*,#223389,.F.); #313262=ORIENTED_EDGE('',*,*,#223423,.T.); #313263=ORIENTED_EDGE('',*,*,#223384,.T.); #313264=ORIENTED_EDGE('',*,*,#223425,.F.); #313265=ORIENTED_EDGE('',*,*,#223390,.F.); #313266=ORIENTED_EDGE('',*,*,#223424,.T.); #313267=ORIENTED_EDGE('',*,*,#223383,.T.); #313268=ORIENTED_EDGE('',*,*,#223419,.F.); #313269=ORIENTED_EDGE('',*,*,#223391,.F.); #313270=ORIENTED_EDGE('',*,*,#223425,.T.); #313271=ORIENTED_EDGE('',*,*,#223406,.T.); #313272=ORIENTED_EDGE('',*,*,#223415,.T.); #313273=ORIENTED_EDGE('',*,*,#223413,.T.); #313274=ORIENTED_EDGE('',*,*,#223409,.T.); #313275=ORIENTED_EDGE('',*,*,#223403,.T.); #313276=ORIENTED_EDGE('',*,*,#223408,.T.); #313277=ORIENTED_EDGE('',*,*,#223412,.T.); #313278=ORIENTED_EDGE('',*,*,#223417,.T.); #313279=ORIENTED_EDGE('',*,*,#223426,.F.); #313280=ORIENTED_EDGE('',*,*,#223427,.F.); #313281=ORIENTED_EDGE('',*,*,#223428,.T.); #313282=ORIENTED_EDGE('',*,*,#223429,.T.); #313283=ORIENTED_EDGE('',*,*,#223430,.F.); #313284=ORIENTED_EDGE('',*,*,#223429,.F.); #313285=ORIENTED_EDGE('',*,*,#223431,.T.); #313286=ORIENTED_EDGE('',*,*,#223432,.T.); #313287=ORIENTED_EDGE('',*,*,#223433,.T.); #313288=ORIENTED_EDGE('',*,*,#223434,.T.); #313289=ORIENTED_EDGE('',*,*,#223435,.T.); #313290=ORIENTED_EDGE('',*,*,#223436,.F.); #313291=ORIENTED_EDGE('',*,*,#223437,.F.); #313292=ORIENTED_EDGE('',*,*,#223438,.F.); #313293=ORIENTED_EDGE('',*,*,#223439,.F.); #313294=ORIENTED_EDGE('',*,*,#223440,.F.); #313295=ORIENTED_EDGE('',*,*,#223441,.F.); #313296=ORIENTED_EDGE('',*,*,#223442,.T.); #313297=ORIENTED_EDGE('',*,*,#223443,.T.); #313298=ORIENTED_EDGE('',*,*,#223444,.T.); #313299=ORIENTED_EDGE('',*,*,#223445,.T.); #313300=ORIENTED_EDGE('',*,*,#223446,.T.); #313301=ORIENTED_EDGE('',*,*,#223447,.F.); #313302=ORIENTED_EDGE('',*,*,#223448,.F.); #313303=ORIENTED_EDGE('',*,*,#223449,.F.); #313304=ORIENTED_EDGE('',*,*,#223450,.F.); #313305=ORIENTED_EDGE('',*,*,#223451,.T.); #313306=ORIENTED_EDGE('',*,*,#223452,.T.); #313307=ORIENTED_EDGE('',*,*,#223453,.F.); #313308=ORIENTED_EDGE('',*,*,#223452,.F.); #313309=ORIENTED_EDGE('',*,*,#223454,.T.); #313310=ORIENTED_EDGE('',*,*,#223455,.T.); #313311=ORIENTED_EDGE('',*,*,#223456,.T.); #313312=ORIENTED_EDGE('',*,*,#223457,.F.); #313313=ORIENTED_EDGE('',*,*,#223458,.F.); #313314=ORIENTED_EDGE('',*,*,#223455,.F.); #313315=ORIENTED_EDGE('',*,*,#223459,.T.); #313316=ORIENTED_EDGE('',*,*,#223460,.F.); #313317=ORIENTED_EDGE('',*,*,#223461,.T.); #313318=ORIENTED_EDGE('',*,*,#223462,.F.); #313319=ORIENTED_EDGE('',*,*,#223456,.F.); #313320=ORIENTED_EDGE('',*,*,#223463,.F.); #313321=ORIENTED_EDGE('',*,*,#223464,.T.); #313322=ORIENTED_EDGE('',*,*,#223427,.T.); #313323=ORIENTED_EDGE('',*,*,#223465,.F.); #313324=ORIENTED_EDGE('',*,*,#223466,.F.); #313325=ORIENTED_EDGE('',*,*,#223461,.F.); #313326=ORIENTED_EDGE('',*,*,#223467,.F.); #313327=ORIENTED_EDGE('',*,*,#223459,.F.); #313328=ORIENTED_EDGE('',*,*,#223454,.F.); #313329=ORIENTED_EDGE('',*,*,#223451,.F.); #313330=ORIENTED_EDGE('',*,*,#223468,.F.); #313331=ORIENTED_EDGE('',*,*,#223431,.F.); #313332=ORIENTED_EDGE('',*,*,#223428,.F.); #313333=ORIENTED_EDGE('',*,*,#223464,.F.); #313334=ORIENTED_EDGE('',*,*,#223469,.F.); #313335=ORIENTED_EDGE('',*,*,#223465,.T.); #313336=ORIENTED_EDGE('',*,*,#223426,.T.); #313337=ORIENTED_EDGE('',*,*,#223430,.T.); #313338=ORIENTED_EDGE('',*,*,#223470,.T.); #313339=ORIENTED_EDGE('',*,*,#223441,.T.); #313340=ORIENTED_EDGE('',*,*,#223453,.T.); #313341=ORIENTED_EDGE('',*,*,#223458,.T.); #313342=ORIENTED_EDGE('',*,*,#223471,.F.); #313343=ORIENTED_EDGE('',*,*,#223436,.T.); #313344=ORIENTED_EDGE('',*,*,#223472,.F.); #313345=ORIENTED_EDGE('',*,*,#223446,.F.); #313346=ORIENTED_EDGE('',*,*,#223473,.T.); #313347=ORIENTED_EDGE('',*,*,#223432,.F.); #313348=ORIENTED_EDGE('',*,*,#223468,.T.); #313349=ORIENTED_EDGE('',*,*,#223450,.T.); #313350=ORIENTED_EDGE('',*,*,#223474,.T.); #313351=ORIENTED_EDGE('',*,*,#223433,.F.); #313352=ORIENTED_EDGE('',*,*,#223474,.F.); #313353=ORIENTED_EDGE('',*,*,#223449,.T.); #313354=ORIENTED_EDGE('',*,*,#223475,.T.); #313355=ORIENTED_EDGE('',*,*,#223434,.F.); #313356=ORIENTED_EDGE('',*,*,#223475,.F.); #313357=ORIENTED_EDGE('',*,*,#223448,.T.); #313358=ORIENTED_EDGE('',*,*,#223476,.T.); #313359=ORIENTED_EDGE('',*,*,#223435,.F.); #313360=ORIENTED_EDGE('',*,*,#223476,.F.); #313361=ORIENTED_EDGE('',*,*,#223447,.T.); #313362=ORIENTED_EDGE('',*,*,#223472,.T.); #313363=ORIENTED_EDGE('',*,*,#223440,.T.); #313364=ORIENTED_EDGE('',*,*,#223477,.F.); #313365=ORIENTED_EDGE('',*,*,#223442,.F.); #313366=ORIENTED_EDGE('',*,*,#223470,.F.); #313367=ORIENTED_EDGE('',*,*,#223439,.T.); #313368=ORIENTED_EDGE('',*,*,#223478,.F.); #313369=ORIENTED_EDGE('',*,*,#223443,.F.); #313370=ORIENTED_EDGE('',*,*,#223477,.T.); #313371=ORIENTED_EDGE('',*,*,#223438,.T.); #313372=ORIENTED_EDGE('',*,*,#223479,.F.); #313373=ORIENTED_EDGE('',*,*,#223444,.F.); #313374=ORIENTED_EDGE('',*,*,#223478,.T.); #313375=ORIENTED_EDGE('',*,*,#223437,.T.); #313376=ORIENTED_EDGE('',*,*,#223473,.F.); #313377=ORIENTED_EDGE('',*,*,#223445,.F.); #313378=ORIENTED_EDGE('',*,*,#223479,.T.); #313379=ORIENTED_EDGE('',*,*,#223460,.T.); #313380=ORIENTED_EDGE('',*,*,#223469,.T.); #313381=ORIENTED_EDGE('',*,*,#223467,.T.); #313382=ORIENTED_EDGE('',*,*,#223463,.T.); #313383=ORIENTED_EDGE('',*,*,#223457,.T.); #313384=ORIENTED_EDGE('',*,*,#223462,.T.); #313385=ORIENTED_EDGE('',*,*,#223466,.T.); #313386=ORIENTED_EDGE('',*,*,#223471,.T.); #313387=ORIENTED_EDGE('',*,*,#223480,.F.); #313388=ORIENTED_EDGE('',*,*,#223481,.F.); #313389=ORIENTED_EDGE('',*,*,#223482,.T.); #313390=ORIENTED_EDGE('',*,*,#223483,.T.); #313391=ORIENTED_EDGE('',*,*,#223484,.F.); #313392=ORIENTED_EDGE('',*,*,#223483,.F.); #313393=ORIENTED_EDGE('',*,*,#223485,.T.); #313394=ORIENTED_EDGE('',*,*,#223486,.T.); #313395=ORIENTED_EDGE('',*,*,#223487,.T.); #313396=ORIENTED_EDGE('',*,*,#223488,.T.); #313397=ORIENTED_EDGE('',*,*,#223489,.T.); #313398=ORIENTED_EDGE('',*,*,#223490,.F.); #313399=ORIENTED_EDGE('',*,*,#223491,.F.); #313400=ORIENTED_EDGE('',*,*,#223492,.F.); #313401=ORIENTED_EDGE('',*,*,#223493,.F.); #313402=ORIENTED_EDGE('',*,*,#223494,.F.); #313403=ORIENTED_EDGE('',*,*,#223495,.F.); #313404=ORIENTED_EDGE('',*,*,#223496,.T.); #313405=ORIENTED_EDGE('',*,*,#223497,.T.); #313406=ORIENTED_EDGE('',*,*,#223498,.T.); #313407=ORIENTED_EDGE('',*,*,#223499,.T.); #313408=ORIENTED_EDGE('',*,*,#223500,.T.); #313409=ORIENTED_EDGE('',*,*,#223501,.F.); #313410=ORIENTED_EDGE('',*,*,#223502,.F.); #313411=ORIENTED_EDGE('',*,*,#223503,.F.); #313412=ORIENTED_EDGE('',*,*,#223504,.F.); #313413=ORIENTED_EDGE('',*,*,#223505,.T.); #313414=ORIENTED_EDGE('',*,*,#223506,.T.); #313415=ORIENTED_EDGE('',*,*,#223507,.F.); #313416=ORIENTED_EDGE('',*,*,#223506,.F.); #313417=ORIENTED_EDGE('',*,*,#223508,.T.); #313418=ORIENTED_EDGE('',*,*,#223509,.T.); #313419=ORIENTED_EDGE('',*,*,#223510,.T.); #313420=ORIENTED_EDGE('',*,*,#223511,.F.); #313421=ORIENTED_EDGE('',*,*,#223512,.F.); #313422=ORIENTED_EDGE('',*,*,#223509,.F.); #313423=ORIENTED_EDGE('',*,*,#223513,.T.); #313424=ORIENTED_EDGE('',*,*,#223514,.F.); #313425=ORIENTED_EDGE('',*,*,#223515,.T.); #313426=ORIENTED_EDGE('',*,*,#223516,.F.); #313427=ORIENTED_EDGE('',*,*,#223510,.F.); #313428=ORIENTED_EDGE('',*,*,#223517,.F.); #313429=ORIENTED_EDGE('',*,*,#223518,.T.); #313430=ORIENTED_EDGE('',*,*,#223481,.T.); #313431=ORIENTED_EDGE('',*,*,#223519,.F.); #313432=ORIENTED_EDGE('',*,*,#223520,.F.); #313433=ORIENTED_EDGE('',*,*,#223515,.F.); #313434=ORIENTED_EDGE('',*,*,#223521,.F.); #313435=ORIENTED_EDGE('',*,*,#223513,.F.); #313436=ORIENTED_EDGE('',*,*,#223508,.F.); #313437=ORIENTED_EDGE('',*,*,#223505,.F.); #313438=ORIENTED_EDGE('',*,*,#223522,.F.); #313439=ORIENTED_EDGE('',*,*,#223485,.F.); #313440=ORIENTED_EDGE('',*,*,#223482,.F.); #313441=ORIENTED_EDGE('',*,*,#223518,.F.); #313442=ORIENTED_EDGE('',*,*,#223523,.F.); #313443=ORIENTED_EDGE('',*,*,#223519,.T.); #313444=ORIENTED_EDGE('',*,*,#223480,.T.); #313445=ORIENTED_EDGE('',*,*,#223484,.T.); #313446=ORIENTED_EDGE('',*,*,#223524,.T.); #313447=ORIENTED_EDGE('',*,*,#223495,.T.); #313448=ORIENTED_EDGE('',*,*,#223507,.T.); #313449=ORIENTED_EDGE('',*,*,#223512,.T.); #313450=ORIENTED_EDGE('',*,*,#223525,.F.); #313451=ORIENTED_EDGE('',*,*,#223490,.T.); #313452=ORIENTED_EDGE('',*,*,#223526,.F.); #313453=ORIENTED_EDGE('',*,*,#223500,.F.); #313454=ORIENTED_EDGE('',*,*,#223527,.T.); #313455=ORIENTED_EDGE('',*,*,#223486,.F.); #313456=ORIENTED_EDGE('',*,*,#223522,.T.); #313457=ORIENTED_EDGE('',*,*,#223504,.T.); #313458=ORIENTED_EDGE('',*,*,#223528,.T.); #313459=ORIENTED_EDGE('',*,*,#223487,.F.); #313460=ORIENTED_EDGE('',*,*,#223528,.F.); #313461=ORIENTED_EDGE('',*,*,#223503,.T.); #313462=ORIENTED_EDGE('',*,*,#223529,.T.); #313463=ORIENTED_EDGE('',*,*,#223488,.F.); #313464=ORIENTED_EDGE('',*,*,#223529,.F.); #313465=ORIENTED_EDGE('',*,*,#223502,.T.); #313466=ORIENTED_EDGE('',*,*,#223530,.T.); #313467=ORIENTED_EDGE('',*,*,#223489,.F.); #313468=ORIENTED_EDGE('',*,*,#223530,.F.); #313469=ORIENTED_EDGE('',*,*,#223501,.T.); #313470=ORIENTED_EDGE('',*,*,#223526,.T.); #313471=ORIENTED_EDGE('',*,*,#223494,.T.); #313472=ORIENTED_EDGE('',*,*,#223531,.F.); #313473=ORIENTED_EDGE('',*,*,#223496,.F.); #313474=ORIENTED_EDGE('',*,*,#223524,.F.); #313475=ORIENTED_EDGE('',*,*,#223493,.T.); #313476=ORIENTED_EDGE('',*,*,#223532,.F.); #313477=ORIENTED_EDGE('',*,*,#223497,.F.); #313478=ORIENTED_EDGE('',*,*,#223531,.T.); #313479=ORIENTED_EDGE('',*,*,#223492,.T.); #313480=ORIENTED_EDGE('',*,*,#223533,.F.); #313481=ORIENTED_EDGE('',*,*,#223498,.F.); #313482=ORIENTED_EDGE('',*,*,#223532,.T.); #313483=ORIENTED_EDGE('',*,*,#223491,.T.); #313484=ORIENTED_EDGE('',*,*,#223527,.F.); #313485=ORIENTED_EDGE('',*,*,#223499,.F.); #313486=ORIENTED_EDGE('',*,*,#223533,.T.); #313487=ORIENTED_EDGE('',*,*,#223514,.T.); #313488=ORIENTED_EDGE('',*,*,#223523,.T.); #313489=ORIENTED_EDGE('',*,*,#223521,.T.); #313490=ORIENTED_EDGE('',*,*,#223517,.T.); #313491=ORIENTED_EDGE('',*,*,#223511,.T.); #313492=ORIENTED_EDGE('',*,*,#223516,.T.); #313493=ORIENTED_EDGE('',*,*,#223520,.T.); #313494=ORIENTED_EDGE('',*,*,#223525,.T.); #313495=ORIENTED_EDGE('',*,*,#223534,.F.); #313496=ORIENTED_EDGE('',*,*,#223535,.F.); #313497=ORIENTED_EDGE('',*,*,#223536,.T.); #313498=ORIENTED_EDGE('',*,*,#223537,.T.); #313499=ORIENTED_EDGE('',*,*,#223538,.F.); #313500=ORIENTED_EDGE('',*,*,#223537,.F.); #313501=ORIENTED_EDGE('',*,*,#223539,.T.); #313502=ORIENTED_EDGE('',*,*,#223540,.T.); #313503=ORIENTED_EDGE('',*,*,#223541,.T.); #313504=ORIENTED_EDGE('',*,*,#223542,.T.); #313505=ORIENTED_EDGE('',*,*,#223543,.T.); #313506=ORIENTED_EDGE('',*,*,#223544,.F.); #313507=ORIENTED_EDGE('',*,*,#223545,.F.); #313508=ORIENTED_EDGE('',*,*,#223546,.F.); #313509=ORIENTED_EDGE('',*,*,#223547,.F.); #313510=ORIENTED_EDGE('',*,*,#223548,.F.); #313511=ORIENTED_EDGE('',*,*,#223549,.F.); #313512=ORIENTED_EDGE('',*,*,#223550,.T.); #313513=ORIENTED_EDGE('',*,*,#223551,.T.); #313514=ORIENTED_EDGE('',*,*,#223552,.T.); #313515=ORIENTED_EDGE('',*,*,#223553,.T.); #313516=ORIENTED_EDGE('',*,*,#223554,.T.); #313517=ORIENTED_EDGE('',*,*,#223555,.F.); #313518=ORIENTED_EDGE('',*,*,#223556,.F.); #313519=ORIENTED_EDGE('',*,*,#223557,.F.); #313520=ORIENTED_EDGE('',*,*,#223558,.F.); #313521=ORIENTED_EDGE('',*,*,#223559,.T.); #313522=ORIENTED_EDGE('',*,*,#223560,.T.); #313523=ORIENTED_EDGE('',*,*,#223561,.F.); #313524=ORIENTED_EDGE('',*,*,#223560,.F.); #313525=ORIENTED_EDGE('',*,*,#223562,.T.); #313526=ORIENTED_EDGE('',*,*,#223563,.T.); #313527=ORIENTED_EDGE('',*,*,#223564,.T.); #313528=ORIENTED_EDGE('',*,*,#223565,.F.); #313529=ORIENTED_EDGE('',*,*,#223566,.F.); #313530=ORIENTED_EDGE('',*,*,#223563,.F.); #313531=ORIENTED_EDGE('',*,*,#223567,.T.); #313532=ORIENTED_EDGE('',*,*,#223568,.F.); #313533=ORIENTED_EDGE('',*,*,#223569,.T.); #313534=ORIENTED_EDGE('',*,*,#223570,.F.); #313535=ORIENTED_EDGE('',*,*,#223564,.F.); #313536=ORIENTED_EDGE('',*,*,#223571,.F.); #313537=ORIENTED_EDGE('',*,*,#223572,.T.); #313538=ORIENTED_EDGE('',*,*,#223535,.T.); #313539=ORIENTED_EDGE('',*,*,#223573,.F.); #313540=ORIENTED_EDGE('',*,*,#223574,.F.); #313541=ORIENTED_EDGE('',*,*,#223569,.F.); #313542=ORIENTED_EDGE('',*,*,#223575,.F.); #313543=ORIENTED_EDGE('',*,*,#223567,.F.); #313544=ORIENTED_EDGE('',*,*,#223562,.F.); #313545=ORIENTED_EDGE('',*,*,#223559,.F.); #313546=ORIENTED_EDGE('',*,*,#223576,.F.); #313547=ORIENTED_EDGE('',*,*,#223539,.F.); #313548=ORIENTED_EDGE('',*,*,#223536,.F.); #313549=ORIENTED_EDGE('',*,*,#223572,.F.); #313550=ORIENTED_EDGE('',*,*,#223577,.F.); #313551=ORIENTED_EDGE('',*,*,#223573,.T.); #313552=ORIENTED_EDGE('',*,*,#223534,.T.); #313553=ORIENTED_EDGE('',*,*,#223538,.T.); #313554=ORIENTED_EDGE('',*,*,#223578,.T.); #313555=ORIENTED_EDGE('',*,*,#223549,.T.); #313556=ORIENTED_EDGE('',*,*,#223561,.T.); #313557=ORIENTED_EDGE('',*,*,#223566,.T.); #313558=ORIENTED_EDGE('',*,*,#223579,.F.); #313559=ORIENTED_EDGE('',*,*,#223544,.T.); #313560=ORIENTED_EDGE('',*,*,#223580,.F.); #313561=ORIENTED_EDGE('',*,*,#223554,.F.); #313562=ORIENTED_EDGE('',*,*,#223581,.T.); #313563=ORIENTED_EDGE('',*,*,#223540,.F.); #313564=ORIENTED_EDGE('',*,*,#223576,.T.); #313565=ORIENTED_EDGE('',*,*,#223558,.T.); #313566=ORIENTED_EDGE('',*,*,#223582,.T.); #313567=ORIENTED_EDGE('',*,*,#223541,.F.); #313568=ORIENTED_EDGE('',*,*,#223582,.F.); #313569=ORIENTED_EDGE('',*,*,#223557,.T.); #313570=ORIENTED_EDGE('',*,*,#223583,.T.); #313571=ORIENTED_EDGE('',*,*,#223542,.F.); #313572=ORIENTED_EDGE('',*,*,#223583,.F.); #313573=ORIENTED_EDGE('',*,*,#223556,.T.); #313574=ORIENTED_EDGE('',*,*,#223584,.T.); #313575=ORIENTED_EDGE('',*,*,#223543,.F.); #313576=ORIENTED_EDGE('',*,*,#223584,.F.); #313577=ORIENTED_EDGE('',*,*,#223555,.T.); #313578=ORIENTED_EDGE('',*,*,#223580,.T.); #313579=ORIENTED_EDGE('',*,*,#223548,.T.); #313580=ORIENTED_EDGE('',*,*,#223585,.F.); #313581=ORIENTED_EDGE('',*,*,#223550,.F.); #313582=ORIENTED_EDGE('',*,*,#223578,.F.); #313583=ORIENTED_EDGE('',*,*,#223547,.T.); #313584=ORIENTED_EDGE('',*,*,#223586,.F.); #313585=ORIENTED_EDGE('',*,*,#223551,.F.); #313586=ORIENTED_EDGE('',*,*,#223585,.T.); #313587=ORIENTED_EDGE('',*,*,#223546,.T.); #313588=ORIENTED_EDGE('',*,*,#223587,.F.); #313589=ORIENTED_EDGE('',*,*,#223552,.F.); #313590=ORIENTED_EDGE('',*,*,#223586,.T.); #313591=ORIENTED_EDGE('',*,*,#223545,.T.); #313592=ORIENTED_EDGE('',*,*,#223581,.F.); #313593=ORIENTED_EDGE('',*,*,#223553,.F.); #313594=ORIENTED_EDGE('',*,*,#223587,.T.); #313595=ORIENTED_EDGE('',*,*,#223568,.T.); #313596=ORIENTED_EDGE('',*,*,#223577,.T.); #313597=ORIENTED_EDGE('',*,*,#223575,.T.); #313598=ORIENTED_EDGE('',*,*,#223571,.T.); #313599=ORIENTED_EDGE('',*,*,#223565,.T.); #313600=ORIENTED_EDGE('',*,*,#223570,.T.); #313601=ORIENTED_EDGE('',*,*,#223574,.T.); #313602=ORIENTED_EDGE('',*,*,#223579,.T.); #313603=ORIENTED_EDGE('',*,*,#223588,.F.); #313604=ORIENTED_EDGE('',*,*,#223589,.F.); #313605=ORIENTED_EDGE('',*,*,#223590,.T.); #313606=ORIENTED_EDGE('',*,*,#223591,.T.); #313607=ORIENTED_EDGE('',*,*,#223592,.F.); #313608=ORIENTED_EDGE('',*,*,#223591,.F.); #313609=ORIENTED_EDGE('',*,*,#223593,.T.); #313610=ORIENTED_EDGE('',*,*,#223594,.T.); #313611=ORIENTED_EDGE('',*,*,#223595,.T.); #313612=ORIENTED_EDGE('',*,*,#223596,.T.); #313613=ORIENTED_EDGE('',*,*,#223597,.T.); #313614=ORIENTED_EDGE('',*,*,#223598,.F.); #313615=ORIENTED_EDGE('',*,*,#223599,.F.); #313616=ORIENTED_EDGE('',*,*,#223600,.F.); #313617=ORIENTED_EDGE('',*,*,#223601,.F.); #313618=ORIENTED_EDGE('',*,*,#223602,.F.); #313619=ORIENTED_EDGE('',*,*,#223603,.F.); #313620=ORIENTED_EDGE('',*,*,#223604,.T.); #313621=ORIENTED_EDGE('',*,*,#223605,.T.); #313622=ORIENTED_EDGE('',*,*,#223606,.T.); #313623=ORIENTED_EDGE('',*,*,#223607,.T.); #313624=ORIENTED_EDGE('',*,*,#223608,.T.); #313625=ORIENTED_EDGE('',*,*,#223609,.F.); #313626=ORIENTED_EDGE('',*,*,#223610,.F.); #313627=ORIENTED_EDGE('',*,*,#223611,.F.); #313628=ORIENTED_EDGE('',*,*,#223612,.F.); #313629=ORIENTED_EDGE('',*,*,#223613,.T.); #313630=ORIENTED_EDGE('',*,*,#223614,.T.); #313631=ORIENTED_EDGE('',*,*,#223615,.F.); #313632=ORIENTED_EDGE('',*,*,#223614,.F.); #313633=ORIENTED_EDGE('',*,*,#223616,.T.); #313634=ORIENTED_EDGE('',*,*,#223617,.T.); #313635=ORIENTED_EDGE('',*,*,#223618,.T.); #313636=ORIENTED_EDGE('',*,*,#223619,.F.); #313637=ORIENTED_EDGE('',*,*,#223620,.F.); #313638=ORIENTED_EDGE('',*,*,#223617,.F.); #313639=ORIENTED_EDGE('',*,*,#223621,.T.); #313640=ORIENTED_EDGE('',*,*,#223622,.F.); #313641=ORIENTED_EDGE('',*,*,#223623,.T.); #313642=ORIENTED_EDGE('',*,*,#223624,.F.); #313643=ORIENTED_EDGE('',*,*,#223618,.F.); #313644=ORIENTED_EDGE('',*,*,#223625,.F.); #313645=ORIENTED_EDGE('',*,*,#223626,.T.); #313646=ORIENTED_EDGE('',*,*,#223589,.T.); #313647=ORIENTED_EDGE('',*,*,#223627,.F.); #313648=ORIENTED_EDGE('',*,*,#223628,.F.); #313649=ORIENTED_EDGE('',*,*,#223623,.F.); #313650=ORIENTED_EDGE('',*,*,#223629,.F.); #313651=ORIENTED_EDGE('',*,*,#223621,.F.); #313652=ORIENTED_EDGE('',*,*,#223616,.F.); #313653=ORIENTED_EDGE('',*,*,#223613,.F.); #313654=ORIENTED_EDGE('',*,*,#223630,.F.); #313655=ORIENTED_EDGE('',*,*,#223593,.F.); #313656=ORIENTED_EDGE('',*,*,#223590,.F.); #313657=ORIENTED_EDGE('',*,*,#223626,.F.); #313658=ORIENTED_EDGE('',*,*,#223631,.F.); #313659=ORIENTED_EDGE('',*,*,#223627,.T.); #313660=ORIENTED_EDGE('',*,*,#223588,.T.); #313661=ORIENTED_EDGE('',*,*,#223592,.T.); #313662=ORIENTED_EDGE('',*,*,#223632,.T.); #313663=ORIENTED_EDGE('',*,*,#223603,.T.); #313664=ORIENTED_EDGE('',*,*,#223615,.T.); #313665=ORIENTED_EDGE('',*,*,#223620,.T.); #313666=ORIENTED_EDGE('',*,*,#223633,.F.); #313667=ORIENTED_EDGE('',*,*,#223598,.T.); #313668=ORIENTED_EDGE('',*,*,#223634,.F.); #313669=ORIENTED_EDGE('',*,*,#223608,.F.); #313670=ORIENTED_EDGE('',*,*,#223635,.T.); #313671=ORIENTED_EDGE('',*,*,#223594,.F.); #313672=ORIENTED_EDGE('',*,*,#223630,.T.); #313673=ORIENTED_EDGE('',*,*,#223612,.T.); #313674=ORIENTED_EDGE('',*,*,#223636,.T.); #313675=ORIENTED_EDGE('',*,*,#223595,.F.); #313676=ORIENTED_EDGE('',*,*,#223636,.F.); #313677=ORIENTED_EDGE('',*,*,#223611,.T.); #313678=ORIENTED_EDGE('',*,*,#223637,.T.); #313679=ORIENTED_EDGE('',*,*,#223596,.F.); #313680=ORIENTED_EDGE('',*,*,#223637,.F.); #313681=ORIENTED_EDGE('',*,*,#223610,.T.); #313682=ORIENTED_EDGE('',*,*,#223638,.T.); #313683=ORIENTED_EDGE('',*,*,#223597,.F.); #313684=ORIENTED_EDGE('',*,*,#223638,.F.); #313685=ORIENTED_EDGE('',*,*,#223609,.T.); #313686=ORIENTED_EDGE('',*,*,#223634,.T.); #313687=ORIENTED_EDGE('',*,*,#223602,.T.); #313688=ORIENTED_EDGE('',*,*,#223639,.F.); #313689=ORIENTED_EDGE('',*,*,#223604,.F.); #313690=ORIENTED_EDGE('',*,*,#223632,.F.); #313691=ORIENTED_EDGE('',*,*,#223601,.T.); #313692=ORIENTED_EDGE('',*,*,#223640,.F.); #313693=ORIENTED_EDGE('',*,*,#223605,.F.); #313694=ORIENTED_EDGE('',*,*,#223639,.T.); #313695=ORIENTED_EDGE('',*,*,#223600,.T.); #313696=ORIENTED_EDGE('',*,*,#223641,.F.); #313697=ORIENTED_EDGE('',*,*,#223606,.F.); #313698=ORIENTED_EDGE('',*,*,#223640,.T.); #313699=ORIENTED_EDGE('',*,*,#223599,.T.); #313700=ORIENTED_EDGE('',*,*,#223635,.F.); #313701=ORIENTED_EDGE('',*,*,#223607,.F.); #313702=ORIENTED_EDGE('',*,*,#223641,.T.); #313703=ORIENTED_EDGE('',*,*,#223622,.T.); #313704=ORIENTED_EDGE('',*,*,#223631,.T.); #313705=ORIENTED_EDGE('',*,*,#223629,.T.); #313706=ORIENTED_EDGE('',*,*,#223625,.T.); #313707=ORIENTED_EDGE('',*,*,#223619,.T.); #313708=ORIENTED_EDGE('',*,*,#223624,.T.); #313709=ORIENTED_EDGE('',*,*,#223628,.T.); #313710=ORIENTED_EDGE('',*,*,#223633,.T.); #313711=ORIENTED_EDGE('',*,*,#223642,.T.); #313712=ORIENTED_EDGE('',*,*,#223643,.F.); #313713=ORIENTED_EDGE('',*,*,#223644,.F.); #313714=ORIENTED_EDGE('',*,*,#223645,.T.); #313715=ORIENTED_EDGE('',*,*,#223646,.T.); #313716=ORIENTED_EDGE('',*,*,#223647,.F.); #313717=ORIENTED_EDGE('',*,*,#223648,.F.); #313718=ORIENTED_EDGE('',*,*,#223643,.T.); #313719=ORIENTED_EDGE('',*,*,#223649,.T.); #313720=ORIENTED_EDGE('',*,*,#223650,.F.); #313721=ORIENTED_EDGE('',*,*,#223651,.F.); #313722=ORIENTED_EDGE('',*,*,#223647,.T.); #313723=ORIENTED_EDGE('',*,*,#223652,.T.); #313724=ORIENTED_EDGE('',*,*,#223653,.F.); #313725=ORIENTED_EDGE('',*,*,#223654,.F.); #313726=ORIENTED_EDGE('',*,*,#223650,.T.); #313727=ORIENTED_EDGE('',*,*,#223655,.T.); #313728=ORIENTED_EDGE('',*,*,#223656,.F.); #313729=ORIENTED_EDGE('',*,*,#223657,.F.); #313730=ORIENTED_EDGE('',*,*,#223653,.T.); #313731=ORIENTED_EDGE('',*,*,#223658,.T.); #313732=ORIENTED_EDGE('',*,*,#223659,.F.); #313733=ORIENTED_EDGE('',*,*,#223660,.F.); #313734=ORIENTED_EDGE('',*,*,#223656,.T.); #313735=ORIENTED_EDGE('',*,*,#223661,.T.); #313736=ORIENTED_EDGE('',*,*,#223662,.F.); #313737=ORIENTED_EDGE('',*,*,#223663,.F.); #313738=ORIENTED_EDGE('',*,*,#223659,.T.); #313739=ORIENTED_EDGE('',*,*,#223664,.T.); #313740=ORIENTED_EDGE('',*,*,#223665,.F.); #313741=ORIENTED_EDGE('',*,*,#223666,.F.); #313742=ORIENTED_EDGE('',*,*,#223662,.T.); #313743=ORIENTED_EDGE('',*,*,#223667,.T.); #313744=ORIENTED_EDGE('',*,*,#223668,.F.); #313745=ORIENTED_EDGE('',*,*,#223669,.F.); #313746=ORIENTED_EDGE('',*,*,#223665,.T.); #313747=ORIENTED_EDGE('',*,*,#223670,.T.); #313748=ORIENTED_EDGE('',*,*,#223671,.F.); #313749=ORIENTED_EDGE('',*,*,#223672,.F.); #313750=ORIENTED_EDGE('',*,*,#223668,.T.); #313751=ORIENTED_EDGE('',*,*,#223673,.T.); #313752=ORIENTED_EDGE('',*,*,#223674,.F.); #313753=ORIENTED_EDGE('',*,*,#223675,.F.); #313754=ORIENTED_EDGE('',*,*,#223671,.T.); #313755=ORIENTED_EDGE('',*,*,#223676,.T.); #313756=ORIENTED_EDGE('',*,*,#223677,.F.); #313757=ORIENTED_EDGE('',*,*,#223678,.F.); #313758=ORIENTED_EDGE('',*,*,#223674,.T.); #313759=ORIENTED_EDGE('',*,*,#223679,.T.); #313760=ORIENTED_EDGE('',*,*,#223680,.F.); #313761=ORIENTED_EDGE('',*,*,#223681,.F.); #313762=ORIENTED_EDGE('',*,*,#223677,.T.); #313763=ORIENTED_EDGE('',*,*,#223682,.T.); #313764=ORIENTED_EDGE('',*,*,#223683,.F.); #313765=ORIENTED_EDGE('',*,*,#223684,.F.); #313766=ORIENTED_EDGE('',*,*,#223680,.T.); #313767=ORIENTED_EDGE('',*,*,#223685,.T.); #313768=ORIENTED_EDGE('',*,*,#223686,.F.); #313769=ORIENTED_EDGE('',*,*,#223687,.F.); #313770=ORIENTED_EDGE('',*,*,#223683,.T.); #313771=ORIENTED_EDGE('',*,*,#223688,.T.); #313772=ORIENTED_EDGE('',*,*,#223689,.F.); #313773=ORIENTED_EDGE('',*,*,#223690,.F.); #313774=ORIENTED_EDGE('',*,*,#223686,.T.); #313775=ORIENTED_EDGE('',*,*,#223691,.T.); #313776=ORIENTED_EDGE('',*,*,#223692,.F.); #313777=ORIENTED_EDGE('',*,*,#223693,.F.); #313778=ORIENTED_EDGE('',*,*,#223689,.T.); #313779=ORIENTED_EDGE('',*,*,#223694,.T.); #313780=ORIENTED_EDGE('',*,*,#223695,.F.); #313781=ORIENTED_EDGE('',*,*,#223696,.F.); #313782=ORIENTED_EDGE('',*,*,#223692,.T.); #313783=ORIENTED_EDGE('',*,*,#223697,.T.); #313784=ORIENTED_EDGE('',*,*,#223698,.F.); #313785=ORIENTED_EDGE('',*,*,#223699,.F.); #313786=ORIENTED_EDGE('',*,*,#223695,.T.); #313787=ORIENTED_EDGE('',*,*,#223700,.T.); #313788=ORIENTED_EDGE('',*,*,#223701,.F.); #313789=ORIENTED_EDGE('',*,*,#223702,.F.); #313790=ORIENTED_EDGE('',*,*,#223698,.T.); #313791=ORIENTED_EDGE('',*,*,#223703,.T.); #313792=ORIENTED_EDGE('',*,*,#223704,.F.); #313793=ORIENTED_EDGE('',*,*,#223705,.F.); #313794=ORIENTED_EDGE('',*,*,#223701,.T.); #313795=ORIENTED_EDGE('',*,*,#223706,.T.); #313796=ORIENTED_EDGE('',*,*,#223707,.F.); #313797=ORIENTED_EDGE('',*,*,#223708,.F.); #313798=ORIENTED_EDGE('',*,*,#223704,.T.); #313799=ORIENTED_EDGE('',*,*,#223709,.T.); #313800=ORIENTED_EDGE('',*,*,#223710,.F.); #313801=ORIENTED_EDGE('',*,*,#223711,.F.); #313802=ORIENTED_EDGE('',*,*,#223707,.T.); #313803=ORIENTED_EDGE('',*,*,#223712,.T.); #313804=ORIENTED_EDGE('',*,*,#223713,.F.); #313805=ORIENTED_EDGE('',*,*,#223714,.F.); #313806=ORIENTED_EDGE('',*,*,#223710,.T.); #313807=ORIENTED_EDGE('',*,*,#223715,.T.); #313808=ORIENTED_EDGE('',*,*,#223716,.F.); #313809=ORIENTED_EDGE('',*,*,#223717,.F.); #313810=ORIENTED_EDGE('',*,*,#223713,.T.); #313811=ORIENTED_EDGE('',*,*,#223718,.T.); #313812=ORIENTED_EDGE('',*,*,#223719,.F.); #313813=ORIENTED_EDGE('',*,*,#223720,.F.); #313814=ORIENTED_EDGE('',*,*,#223716,.T.); #313815=ORIENTED_EDGE('',*,*,#223721,.T.); #313816=ORIENTED_EDGE('',*,*,#223722,.F.); #313817=ORIENTED_EDGE('',*,*,#223723,.F.); #313818=ORIENTED_EDGE('',*,*,#223719,.T.); #313819=ORIENTED_EDGE('',*,*,#223724,.T.); #313820=ORIENTED_EDGE('',*,*,#223725,.F.); #313821=ORIENTED_EDGE('',*,*,#223726,.F.); #313822=ORIENTED_EDGE('',*,*,#223722,.T.); #313823=ORIENTED_EDGE('',*,*,#223727,.T.); #313824=ORIENTED_EDGE('',*,*,#223728,.F.); #313825=ORIENTED_EDGE('',*,*,#223729,.F.); #313826=ORIENTED_EDGE('',*,*,#223725,.T.); #313827=ORIENTED_EDGE('',*,*,#223730,.T.); #313828=ORIENTED_EDGE('',*,*,#223731,.F.); #313829=ORIENTED_EDGE('',*,*,#223732,.F.); #313830=ORIENTED_EDGE('',*,*,#223728,.T.); #313831=ORIENTED_EDGE('',*,*,#223733,.T.); #313832=ORIENTED_EDGE('',*,*,#223734,.F.); #313833=ORIENTED_EDGE('',*,*,#223735,.F.); #313834=ORIENTED_EDGE('',*,*,#223731,.T.); #313835=ORIENTED_EDGE('',*,*,#223736,.T.); #313836=ORIENTED_EDGE('',*,*,#223737,.F.); #313837=ORIENTED_EDGE('',*,*,#223738,.F.); #313838=ORIENTED_EDGE('',*,*,#223734,.T.); #313839=ORIENTED_EDGE('',*,*,#223739,.T.); #313840=ORIENTED_EDGE('',*,*,#223740,.F.); #313841=ORIENTED_EDGE('',*,*,#223741,.F.); #313842=ORIENTED_EDGE('',*,*,#223737,.T.); #313843=ORIENTED_EDGE('',*,*,#223742,.T.); #313844=ORIENTED_EDGE('',*,*,#223743,.F.); #313845=ORIENTED_EDGE('',*,*,#223744,.F.); #313846=ORIENTED_EDGE('',*,*,#223740,.T.); #313847=ORIENTED_EDGE('',*,*,#223745,.T.); #313848=ORIENTED_EDGE('',*,*,#223746,.F.); #313849=ORIENTED_EDGE('',*,*,#223747,.F.); #313850=ORIENTED_EDGE('',*,*,#223743,.T.); #313851=ORIENTED_EDGE('',*,*,#223748,.T.); #313852=ORIENTED_EDGE('',*,*,#223645,.F.); #313853=ORIENTED_EDGE('',*,*,#223749,.F.); #313854=ORIENTED_EDGE('',*,*,#223746,.T.); #313855=ORIENTED_EDGE('',*,*,#223644,.T.); #313856=ORIENTED_EDGE('',*,*,#223648,.T.); #313857=ORIENTED_EDGE('',*,*,#223651,.T.); #313858=ORIENTED_EDGE('',*,*,#223654,.T.); #313859=ORIENTED_EDGE('',*,*,#223657,.T.); #313860=ORIENTED_EDGE('',*,*,#223660,.T.); #313861=ORIENTED_EDGE('',*,*,#223663,.T.); #313862=ORIENTED_EDGE('',*,*,#223666,.T.); #313863=ORIENTED_EDGE('',*,*,#223669,.T.); #313864=ORIENTED_EDGE('',*,*,#223672,.T.); #313865=ORIENTED_EDGE('',*,*,#223675,.T.); #313866=ORIENTED_EDGE('',*,*,#223678,.T.); #313867=ORIENTED_EDGE('',*,*,#223681,.T.); #313868=ORIENTED_EDGE('',*,*,#223684,.T.); #313869=ORIENTED_EDGE('',*,*,#223687,.T.); #313870=ORIENTED_EDGE('',*,*,#223690,.T.); #313871=ORIENTED_EDGE('',*,*,#223693,.T.); #313872=ORIENTED_EDGE('',*,*,#223696,.T.); #313873=ORIENTED_EDGE('',*,*,#223699,.T.); #313874=ORIENTED_EDGE('',*,*,#223702,.T.); #313875=ORIENTED_EDGE('',*,*,#223705,.T.); #313876=ORIENTED_EDGE('',*,*,#223708,.T.); #313877=ORIENTED_EDGE('',*,*,#223711,.T.); #313878=ORIENTED_EDGE('',*,*,#223714,.T.); #313879=ORIENTED_EDGE('',*,*,#223717,.T.); #313880=ORIENTED_EDGE('',*,*,#223720,.T.); #313881=ORIENTED_EDGE('',*,*,#223723,.T.); #313882=ORIENTED_EDGE('',*,*,#223726,.T.); #313883=ORIENTED_EDGE('',*,*,#223729,.T.); #313884=ORIENTED_EDGE('',*,*,#223732,.T.); #313885=ORIENTED_EDGE('',*,*,#223735,.T.); #313886=ORIENTED_EDGE('',*,*,#223738,.T.); #313887=ORIENTED_EDGE('',*,*,#223741,.T.); #313888=ORIENTED_EDGE('',*,*,#223744,.T.); #313889=ORIENTED_EDGE('',*,*,#223747,.T.); #313890=ORIENTED_EDGE('',*,*,#223749,.T.); #313891=ORIENTED_EDGE('',*,*,#223642,.F.); #313892=ORIENTED_EDGE('',*,*,#223748,.F.); #313893=ORIENTED_EDGE('',*,*,#223745,.F.); #313894=ORIENTED_EDGE('',*,*,#223742,.F.); #313895=ORIENTED_EDGE('',*,*,#223739,.F.); #313896=ORIENTED_EDGE('',*,*,#223736,.F.); #313897=ORIENTED_EDGE('',*,*,#223733,.F.); #313898=ORIENTED_EDGE('',*,*,#223730,.F.); #313899=ORIENTED_EDGE('',*,*,#223727,.F.); #313900=ORIENTED_EDGE('',*,*,#223724,.F.); #313901=ORIENTED_EDGE('',*,*,#223721,.F.); #313902=ORIENTED_EDGE('',*,*,#223718,.F.); #313903=ORIENTED_EDGE('',*,*,#223715,.F.); #313904=ORIENTED_EDGE('',*,*,#223712,.F.); #313905=ORIENTED_EDGE('',*,*,#223709,.F.); #313906=ORIENTED_EDGE('',*,*,#223706,.F.); #313907=ORIENTED_EDGE('',*,*,#223703,.F.); #313908=ORIENTED_EDGE('',*,*,#223700,.F.); #313909=ORIENTED_EDGE('',*,*,#223697,.F.); #313910=ORIENTED_EDGE('',*,*,#223694,.F.); #313911=ORIENTED_EDGE('',*,*,#223691,.F.); #313912=ORIENTED_EDGE('',*,*,#223688,.F.); #313913=ORIENTED_EDGE('',*,*,#223685,.F.); #313914=ORIENTED_EDGE('',*,*,#223682,.F.); #313915=ORIENTED_EDGE('',*,*,#223679,.F.); #313916=ORIENTED_EDGE('',*,*,#223676,.F.); #313917=ORIENTED_EDGE('',*,*,#223673,.F.); #313918=ORIENTED_EDGE('',*,*,#223670,.F.); #313919=ORIENTED_EDGE('',*,*,#223667,.F.); #313920=ORIENTED_EDGE('',*,*,#223664,.F.); #313921=ORIENTED_EDGE('',*,*,#223661,.F.); #313922=ORIENTED_EDGE('',*,*,#223658,.F.); #313923=ORIENTED_EDGE('',*,*,#223655,.F.); #313924=ORIENTED_EDGE('',*,*,#223652,.F.); #313925=ORIENTED_EDGE('',*,*,#223649,.F.); #313926=ORIENTED_EDGE('',*,*,#223646,.F.); #313927=ORIENTED_EDGE('',*,*,#223750,.T.); #313928=ORIENTED_EDGE('',*,*,#223751,.T.); #313929=ORIENTED_EDGE('',*,*,#223752,.F.); #313930=ORIENTED_EDGE('',*,*,#223753,.F.); #313931=ORIENTED_EDGE('',*,*,#223754,.F.); #313932=ORIENTED_EDGE('',*,*,#223755,.T.); #313933=ORIENTED_EDGE('',*,*,#223756,.T.); #313934=ORIENTED_EDGE('',*,*,#223757,.F.); #313935=ORIENTED_EDGE('',*,*,#223758,.F.); #313936=ORIENTED_EDGE('',*,*,#223759,.F.); #313937=ORIENTED_EDGE('',*,*,#223760,.F.); #313938=ORIENTED_EDGE('',*,*,#223761,.F.); #313939=ORIENTED_EDGE('',*,*,#223762,.F.); #313940=ORIENTED_EDGE('',*,*,#223763,.F.); #313941=ORIENTED_EDGE('',*,*,#223764,.F.); #313942=ORIENTED_EDGE('',*,*,#223765,.T.); #313943=ORIENTED_EDGE('',*,*,#223766,.T.); #313944=ORIENTED_EDGE('',*,*,#223767,.T.); #313945=ORIENTED_EDGE('',*,*,#223768,.T.); #313946=ORIENTED_EDGE('',*,*,#223769,.T.); #313947=ORIENTED_EDGE('',*,*,#223770,.T.); #313948=ORIENTED_EDGE('',*,*,#223771,.T.); #313949=ORIENTED_EDGE('',*,*,#223772,.T.); #313950=ORIENTED_EDGE('',*,*,#223773,.T.); #313951=ORIENTED_EDGE('',*,*,#223774,.T.); #313952=ORIENTED_EDGE('',*,*,#223775,.F.); #313953=ORIENTED_EDGE('',*,*,#223776,.F.); #313954=ORIENTED_EDGE('',*,*,#223777,.F.); #313955=ORIENTED_EDGE('',*,*,#223778,.F.); #313956=ORIENTED_EDGE('',*,*,#223779,.F.); #313957=ORIENTED_EDGE('',*,*,#223780,.F.); #313958=ORIENTED_EDGE('',*,*,#223781,.F.); #313959=ORIENTED_EDGE('',*,*,#223782,.F.); #313960=ORIENTED_EDGE('',*,*,#223783,.F.); #313961=ORIENTED_EDGE('',*,*,#223784,.F.); #313962=ORIENTED_EDGE('',*,*,#223785,.F.); #313963=ORIENTED_EDGE('',*,*,#223786,.F.); #313964=ORIENTED_EDGE('',*,*,#223787,.F.); #313965=ORIENTED_EDGE('',*,*,#223788,.F.); #313966=ORIENTED_EDGE('',*,*,#223789,.F.); #313967=ORIENTED_EDGE('',*,*,#223790,.F.); #313968=ORIENTED_EDGE('',*,*,#223791,.F.); #313969=ORIENTED_EDGE('',*,*,#223792,.F.); #313970=ORIENTED_EDGE('',*,*,#223793,.T.); #313971=ORIENTED_EDGE('',*,*,#223794,.F.); #313972=ORIENTED_EDGE('',*,*,#223795,.F.); #313973=ORIENTED_EDGE('',*,*,#223796,.T.); #313974=ORIENTED_EDGE('',*,*,#223797,.T.); #313975=ORIENTED_EDGE('',*,*,#223798,.T.); #313976=ORIENTED_EDGE('',*,*,#223799,.F.); #313977=ORIENTED_EDGE('',*,*,#223800,.T.); #313978=ORIENTED_EDGE('',*,*,#223801,.T.); #313979=ORIENTED_EDGE('',*,*,#223802,.T.); #313980=ORIENTED_EDGE('',*,*,#223803,.T.); #313981=ORIENTED_EDGE('',*,*,#223804,.T.); #313982=ORIENTED_EDGE('',*,*,#223805,.F.); #313983=ORIENTED_EDGE('',*,*,#223806,.F.); #313984=ORIENTED_EDGE('',*,*,#223807,.F.); #313985=ORIENTED_EDGE('',*,*,#223808,.F.); #313986=ORIENTED_EDGE('',*,*,#223809,.F.); #313987=ORIENTED_EDGE('',*,*,#223810,.F.); #313988=ORIENTED_EDGE('',*,*,#223811,.F.); #313989=ORIENTED_EDGE('',*,*,#223812,.F.); #313990=ORIENTED_EDGE('',*,*,#223813,.F.); #313991=ORIENTED_EDGE('',*,*,#223814,.F.); #313992=ORIENTED_EDGE('',*,*,#223815,.F.); #313993=ORIENTED_EDGE('',*,*,#223816,.F.); #313994=ORIENTED_EDGE('',*,*,#223817,.T.); #313995=ORIENTED_EDGE('',*,*,#223818,.F.); #313996=ORIENTED_EDGE('',*,*,#223819,.F.); #313997=ORIENTED_EDGE('',*,*,#223820,.F.); #313998=ORIENTED_EDGE('',*,*,#223821,.F.); #313999=ORIENTED_EDGE('',*,*,#223822,.F.); #314000=ORIENTED_EDGE('',*,*,#223823,.F.); #314001=ORIENTED_EDGE('',*,*,#223824,.F.); #314002=ORIENTED_EDGE('',*,*,#223825,.F.); #314003=ORIENTED_EDGE('',*,*,#223826,.F.); #314004=ORIENTED_EDGE('',*,*,#223827,.F.); #314005=ORIENTED_EDGE('',*,*,#223828,.T.); #314006=ORIENTED_EDGE('',*,*,#223829,.T.); #314007=ORIENTED_EDGE('',*,*,#223830,.F.); #314008=ORIENTED_EDGE('',*,*,#223831,.T.); #314009=ORIENTED_EDGE('',*,*,#223832,.T.); #314010=ORIENTED_EDGE('',*,*,#223833,.T.); #314011=ORIENTED_EDGE('',*,*,#223834,.T.); #314012=ORIENTED_EDGE('',*,*,#223835,.T.); #314013=ORIENTED_EDGE('',*,*,#223836,.T.); #314014=ORIENTED_EDGE('',*,*,#223837,.T.); #314015=ORIENTED_EDGE('',*,*,#223838,.F.); #314016=ORIENTED_EDGE('',*,*,#223839,.F.); #314017=ORIENTED_EDGE('',*,*,#223840,.F.); #314018=ORIENTED_EDGE('',*,*,#223841,.F.); #314019=ORIENTED_EDGE('',*,*,#223842,.F.); #314020=ORIENTED_EDGE('',*,*,#223843,.F.); #314021=ORIENTED_EDGE('',*,*,#223844,.F.); #314022=ORIENTED_EDGE('',*,*,#223845,.F.); #314023=ORIENTED_EDGE('',*,*,#223846,.F.); #314024=ORIENTED_EDGE('',*,*,#223847,.F.); #314025=ORIENTED_EDGE('',*,*,#223848,.F.); #314026=ORIENTED_EDGE('',*,*,#223849,.F.); #314027=ORIENTED_EDGE('',*,*,#223850,.F.); #314028=ORIENTED_EDGE('',*,*,#223851,.T.); #314029=ORIENTED_EDGE('',*,*,#223807,.T.); #314030=ORIENTED_EDGE('',*,*,#223852,.F.); #314031=ORIENTED_EDGE('',*,*,#223796,.F.); #314032=ORIENTED_EDGE('',*,*,#223853,.F.); #314033=ORIENTED_EDGE('',*,*,#223773,.F.); #314034=ORIENTED_EDGE('',*,*,#223854,.T.); #314035=ORIENTED_EDGE('',*,*,#223830,.T.); #314036=ORIENTED_EDGE('',*,*,#223855,.T.); #314037=ORIENTED_EDGE('',*,*,#223856,.T.); #314038=ORIENTED_EDGE('',*,*,#223857,.T.); #314039=ORIENTED_EDGE('',*,*,#223858,.T.); #314040=ORIENTED_EDGE('',*,*,#223859,.T.); #314041=ORIENTED_EDGE('',*,*,#223860,.F.); #314042=ORIENTED_EDGE('',*,*,#223861,.F.); #314043=ORIENTED_EDGE('',*,*,#223862,.T.); #314044=ORIENTED_EDGE('',*,*,#223863,.T.); #314045=ORIENTED_EDGE('',*,*,#223864,.T.); #314046=ORIENTED_EDGE('',*,*,#223865,.F.); #314047=ORIENTED_EDGE('',*,*,#223866,.F.); #314048=ORIENTED_EDGE('',*,*,#223867,.F.); #314049=ORIENTED_EDGE('',*,*,#223868,.T.); #314050=ORIENTED_EDGE('',*,*,#223862,.F.); #314051=ORIENTED_EDGE('',*,*,#223869,.T.); #314052=ORIENTED_EDGE('',*,*,#223870,.F.); #314053=ORIENTED_EDGE('',*,*,#223871,.T.); #314054=ORIENTED_EDGE('',*,*,#223857,.F.); #314055=ORIENTED_EDGE('',*,*,#223872,.T.); #314056=ORIENTED_EDGE('',*,*,#223873,.T.); #314057=ORIENTED_EDGE('',*,*,#223874,.T.); #314058=ORIENTED_EDGE('',*,*,#223875,.F.); #314059=ORIENTED_EDGE('',*,*,#223876,.T.); #314060=ORIENTED_EDGE('',*,*,#223877,.T.); #314061=ORIENTED_EDGE('',*,*,#223878,.T.); #314062=ORIENTED_EDGE('',*,*,#223879,.T.); #314063=ORIENTED_EDGE('',*,*,#223880,.T.); #314064=ORIENTED_EDGE('',*,*,#223881,.T.); #314065=ORIENTED_EDGE('',*,*,#223882,.F.); #314066=ORIENTED_EDGE('',*,*,#223883,.T.); #314067=ORIENTED_EDGE('',*,*,#223884,.T.); #314068=ORIENTED_EDGE('',*,*,#223885,.T.); #314069=ORIENTED_EDGE('',*,*,#223886,.T.); #314070=ORIENTED_EDGE('',*,*,#223887,.F.); #314071=ORIENTED_EDGE('',*,*,#223888,.T.); #314072=ORIENTED_EDGE('',*,*,#223889,.T.); #314073=ORIENTED_EDGE('',*,*,#223890,.T.); #314074=ORIENTED_EDGE('',*,*,#223891,.T.); #314075=ORIENTED_EDGE('',*,*,#223892,.T.); #314076=ORIENTED_EDGE('',*,*,#223893,.F.); #314077=ORIENTED_EDGE('',*,*,#223894,.T.); #314078=ORIENTED_EDGE('',*,*,#223895,.T.); #314079=ORIENTED_EDGE('',*,*,#223896,.T.); #314080=ORIENTED_EDGE('',*,*,#223897,.T.); #314081=ORIENTED_EDGE('',*,*,#223898,.T.); #314082=ORIENTED_EDGE('',*,*,#223899,.T.); #314083=ORIENTED_EDGE('',*,*,#223900,.T.); #314084=ORIENTED_EDGE('',*,*,#223901,.T.); #314085=ORIENTED_EDGE('',*,*,#223902,.T.); #314086=ORIENTED_EDGE('',*,*,#223903,.T.); #314087=ORIENTED_EDGE('',*,*,#223904,.T.); #314088=ORIENTED_EDGE('',*,*,#223905,.T.); #314089=ORIENTED_EDGE('',*,*,#223906,.T.); #314090=ORIENTED_EDGE('',*,*,#223907,.T.); #314091=ORIENTED_EDGE('',*,*,#223908,.T.); #314092=ORIENTED_EDGE('',*,*,#223909,.T.); #314093=ORIENTED_EDGE('',*,*,#223910,.T.); #314094=ORIENTED_EDGE('',*,*,#223911,.T.); #314095=ORIENTED_EDGE('',*,*,#223912,.T.); #314096=ORIENTED_EDGE('',*,*,#223913,.T.); #314097=ORIENTED_EDGE('',*,*,#223914,.F.); #314098=ORIENTED_EDGE('',*,*,#223915,.T.); #314099=ORIENTED_EDGE('',*,*,#223916,.T.); #314100=ORIENTED_EDGE('',*,*,#223917,.F.); #314101=ORIENTED_EDGE('',*,*,#223918,.F.); #314102=ORIENTED_EDGE('',*,*,#223919,.F.); #314103=ORIENTED_EDGE('',*,*,#223920,.F.); #314104=ORIENTED_EDGE('',*,*,#223921,.F.); #314105=ORIENTED_EDGE('',*,*,#223922,.F.); #314106=ORIENTED_EDGE('',*,*,#223923,.F.); #314107=ORIENTED_EDGE('',*,*,#223924,.F.); #314108=ORIENTED_EDGE('',*,*,#223925,.F.); #314109=ORIENTED_EDGE('',*,*,#223926,.F.); #314110=ORIENTED_EDGE('',*,*,#223927,.F.); #314111=ORIENTED_EDGE('',*,*,#223928,.F.); #314112=ORIENTED_EDGE('',*,*,#223929,.F.); #314113=ORIENTED_EDGE('',*,*,#223930,.F.); #314114=ORIENTED_EDGE('',*,*,#223931,.F.); #314115=ORIENTED_EDGE('',*,*,#223932,.T.); #314116=ORIENTED_EDGE('',*,*,#223933,.T.); #314117=ORIENTED_EDGE('',*,*,#223934,.F.); #314118=ORIENTED_EDGE('',*,*,#223935,.F.); #314119=ORIENTED_EDGE('',*,*,#223936,.T.); #314120=ORIENTED_EDGE('',*,*,#223937,.T.); #314121=ORIENTED_EDGE('',*,*,#223938,.F.); #314122=ORIENTED_EDGE('',*,*,#223939,.T.); #314123=ORIENTED_EDGE('',*,*,#223940,.F.); #314124=ORIENTED_EDGE('',*,*,#223941,.T.); #314125=ORIENTED_EDGE('',*,*,#223942,.T.); #314126=ORIENTED_EDGE('',*,*,#223943,.T.); #314127=ORIENTED_EDGE('',*,*,#223944,.T.); #314128=ORIENTED_EDGE('',*,*,#223945,.T.); #314129=ORIENTED_EDGE('',*,*,#223946,.F.); #314130=ORIENTED_EDGE('',*,*,#223947,.F.); #314131=ORIENTED_EDGE('',*,*,#223948,.T.); #314132=ORIENTED_EDGE('',*,*,#223939,.F.); #314133=ORIENTED_EDGE('',*,*,#223949,.F.); #314134=ORIENTED_EDGE('',*,*,#223950,.F.); #314135=ORIENTED_EDGE('',*,*,#223951,.F.); #314136=ORIENTED_EDGE('',*,*,#223952,.F.); #314137=ORIENTED_EDGE('',*,*,#223953,.F.); #314138=ORIENTED_EDGE('',*,*,#223954,.T.); #314139=ORIENTED_EDGE('',*,*,#223955,.T.); #314140=ORIENTED_EDGE('',*,*,#223956,.T.); #314141=ORIENTED_EDGE('',*,*,#223957,.T.); #314142=ORIENTED_EDGE('',*,*,#223958,.T.); #314143=ORIENTED_EDGE('',*,*,#223959,.T.); #314144=ORIENTED_EDGE('',*,*,#223960,.T.); #314145=ORIENTED_EDGE('',*,*,#223961,.T.); #314146=ORIENTED_EDGE('',*,*,#223962,.T.); #314147=ORIENTED_EDGE('',*,*,#223963,.T.); #314148=ORIENTED_EDGE('',*,*,#223964,.T.); #314149=ORIENTED_EDGE('',*,*,#223965,.T.); #314150=ORIENTED_EDGE('',*,*,#223966,.T.); #314151=ORIENTED_EDGE('',*,*,#223967,.F.); #314152=ORIENTED_EDGE('',*,*,#223968,.F.); #314153=ORIENTED_EDGE('',*,*,#223969,.F.); #314154=ORIENTED_EDGE('',*,*,#223970,.F.); #314155=ORIENTED_EDGE('',*,*,#223971,.F.); #314156=ORIENTED_EDGE('',*,*,#223972,.F.); #314157=ORIENTED_EDGE('',*,*,#223973,.F.); #314158=ORIENTED_EDGE('',*,*,#223974,.F.); #314159=ORIENTED_EDGE('',*,*,#223755,.F.); #314160=ORIENTED_EDGE('',*,*,#223975,.T.); #314161=ORIENTED_EDGE('',*,*,#223976,.T.); #314162=ORIENTED_EDGE('',*,*,#223977,.T.); #314163=ORIENTED_EDGE('',*,*,#223978,.T.); #314164=ORIENTED_EDGE('',*,*,#223979,.T.); #314165=ORIENTED_EDGE('',*,*,#223980,.T.); #314166=ORIENTED_EDGE('',*,*,#223981,.T.); #314167=ORIENTED_EDGE('',*,*,#223750,.F.); #314168=ORIENTED_EDGE('',*,*,#223982,.F.); #314169=ORIENTED_EDGE('',*,*,#223983,.T.); #314170=ORIENTED_EDGE('',*,*,#223984,.T.); #314171=ORIENTED_EDGE('',*,*,#223985,.T.); #314172=ORIENTED_EDGE('',*,*,#223986,.T.); #314173=ORIENTED_EDGE('',*,*,#223987,.T.); #314174=ORIENTED_EDGE('',*,*,#223988,.F.); #314175=ORIENTED_EDGE('',*,*,#223989,.T.); #314176=ORIENTED_EDGE('',*,*,#223990,.T.); #314177=ORIENTED_EDGE('',*,*,#223991,.F.); #314178=ORIENTED_EDGE('',*,*,#223983,.F.); #314179=ORIENTED_EDGE('',*,*,#223992,.T.); #314180=ORIENTED_EDGE('',*,*,#223993,.T.); #314181=ORIENTED_EDGE('',*,*,#223994,.F.); #314182=ORIENTED_EDGE('',*,*,#223987,.F.); #314183=ORIENTED_EDGE('',*,*,#223995,.T.); #314184=ORIENTED_EDGE('',*,*,#223996,.T.); #314185=ORIENTED_EDGE('',*,*,#223997,.F.); #314186=ORIENTED_EDGE('',*,*,#223886,.F.); #314187=ORIENTED_EDGE('',*,*,#223998,.T.); #314188=ORIENTED_EDGE('',*,*,#223999,.T.); #314189=ORIENTED_EDGE('',*,*,#224000,.F.); #314190=ORIENTED_EDGE('',*,*,#223890,.F.); #314191=ORIENTED_EDGE('',*,*,#224001,.T.); #314192=ORIENTED_EDGE('',*,*,#224002,.T.); #314193=ORIENTED_EDGE('',*,*,#224003,.F.); #314194=ORIENTED_EDGE('',*,*,#224004,.F.); #314195=ORIENTED_EDGE('',*,*,#224005,.F.); #314196=ORIENTED_EDGE('',*,*,#224006,.F.); #314197=ORIENTED_EDGE('',*,*,#224007,.F.); #314198=ORIENTED_EDGE('',*,*,#224008,.F.); #314199=ORIENTED_EDGE('',*,*,#223911,.F.); #314200=ORIENTED_EDGE('',*,*,#224009,.T.); #314201=ORIENTED_EDGE('',*,*,#224010,.T.); #314202=ORIENTED_EDGE('',*,*,#224011,.T.); #314203=ORIENTED_EDGE('',*,*,#224012,.F.); #314204=ORIENTED_EDGE('',*,*,#223876,.F.); #314205=ORIENTED_EDGE('',*,*,#224013,.F.); #314206=ORIENTED_EDGE('',*,*,#224014,.T.); #314207=ORIENTED_EDGE('',*,*,#224015,.T.); #314208=ORIENTED_EDGE('',*,*,#224016,.F.); #314209=ORIENTED_EDGE('',*,*,#224017,.T.); #314210=ORIENTED_EDGE('',*,*,#224018,.T.); #314211=ORIENTED_EDGE('',*,*,#224019,.T.); #314212=ORIENTED_EDGE('',*,*,#224020,.T.); #314213=ORIENTED_EDGE('',*,*,#224021,.T.); #314214=ORIENTED_EDGE('',*,*,#224022,.T.); #314215=ORIENTED_EDGE('',*,*,#224023,.T.); #314216=ORIENTED_EDGE('',*,*,#224024,.T.); #314217=ORIENTED_EDGE('',*,*,#224025,.T.); #314218=ORIENTED_EDGE('',*,*,#224026,.T.); #314219=ORIENTED_EDGE('',*,*,#224027,.T.); #314220=ORIENTED_EDGE('',*,*,#224028,.T.); #314221=ORIENTED_EDGE('',*,*,#224029,.T.); #314222=ORIENTED_EDGE('',*,*,#224030,.T.); #314223=ORIENTED_EDGE('',*,*,#224031,.T.); #314224=ORIENTED_EDGE('',*,*,#224032,.T.); #314225=ORIENTED_EDGE('',*,*,#224033,.T.); #314226=ORIENTED_EDGE('',*,*,#224034,.T.); #314227=ORIENTED_EDGE('',*,*,#224035,.F.); #314228=ORIENTED_EDGE('',*,*,#224036,.T.); #314229=ORIENTED_EDGE('',*,*,#224037,.F.); #314230=ORIENTED_EDGE('',*,*,#224038,.T.); #314231=ORIENTED_EDGE('',*,*,#224039,.F.); #314232=ORIENTED_EDGE('',*,*,#224040,.F.); #314233=ORIENTED_EDGE('',*,*,#224041,.F.); #314234=ORIENTED_EDGE('',*,*,#224042,.F.); #314235=ORIENTED_EDGE('',*,*,#224043,.F.); #314236=ORIENTED_EDGE('',*,*,#224044,.F.); #314237=ORIENTED_EDGE('',*,*,#224045,.F.); #314238=ORIENTED_EDGE('',*,*,#224046,.F.); #314239=ORIENTED_EDGE('',*,*,#224047,.F.); #314240=ORIENTED_EDGE('',*,*,#224048,.F.); #314241=ORIENTED_EDGE('',*,*,#224049,.F.); #314242=ORIENTED_EDGE('',*,*,#224050,.F.); #314243=ORIENTED_EDGE('',*,*,#224051,.F.); #314244=ORIENTED_EDGE('',*,*,#224052,.F.); #314245=ORIENTED_EDGE('',*,*,#224053,.F.); #314246=ORIENTED_EDGE('',*,*,#224054,.T.); #314247=ORIENTED_EDGE('',*,*,#224055,.F.); #314248=ORIENTED_EDGE('',*,*,#224056,.F.); #314249=ORIENTED_EDGE('',*,*,#224057,.T.); #314250=ORIENTED_EDGE('',*,*,#224058,.T.); #314251=ORIENTED_EDGE('',*,*,#224059,.F.); #314252=ORIENTED_EDGE('',*,*,#223794,.T.); #314253=ORIENTED_EDGE('',*,*,#224060,.T.); #314254=ORIENTED_EDGE('',*,*,#224061,.T.); #314255=ORIENTED_EDGE('',*,*,#223809,.T.); #314256=ORIENTED_EDGE('',*,*,#224062,.F.); #314257=ORIENTED_EDGE('',*,*,#224063,.F.); #314258=ORIENTED_EDGE('',*,*,#223798,.F.); #314259=ORIENTED_EDGE('',*,*,#224064,.T.); #314260=ORIENTED_EDGE('',*,*,#223844,.T.); #314261=ORIENTED_EDGE('',*,*,#224065,.F.); #314262=ORIENTED_EDGE('',*,*,#223802,.F.); #314263=ORIENTED_EDGE('',*,*,#224066,.T.); #314264=ORIENTED_EDGE('',*,*,#223848,.T.); #314265=ORIENTED_EDGE('',*,*,#224067,.F.); #314266=ORIENTED_EDGE('',*,*,#223877,.F.); #314267=ORIENTED_EDGE('',*,*,#224068,.T.); #314268=ORIENTED_EDGE('',*,*,#224069,.T.); #314269=ORIENTED_EDGE('',*,*,#224070,.F.); #314270=ORIENTED_EDGE('',*,*,#223881,.F.); #314271=ORIENTED_EDGE('',*,*,#224071,.T.); #314272=ORIENTED_EDGE('',*,*,#224072,.T.); #314273=ORIENTED_EDGE('',*,*,#224073,.T.); #314274=ORIENTED_EDGE('',*,*,#224074,.T.); #314275=ORIENTED_EDGE('',*,*,#224075,.T.); #314276=ORIENTED_EDGE('',*,*,#224076,.F.); #314277=ORIENTED_EDGE('',*,*,#224077,.F.); #314278=ORIENTED_EDGE('',*,*,#224078,.T.); #314279=ORIENTED_EDGE('',*,*,#224079,.T.); #314280=ORIENTED_EDGE('',*,*,#224080,.T.); #314281=ORIENTED_EDGE('',*,*,#224081,.T.); #314282=ORIENTED_EDGE('',*,*,#224082,.T.); #314283=ORIENTED_EDGE('',*,*,#224083,.T.); #314284=ORIENTED_EDGE('',*,*,#224084,.T.); #314285=ORIENTED_EDGE('',*,*,#224085,.T.); #314286=ORIENTED_EDGE('',*,*,#224086,.T.); #314287=ORIENTED_EDGE('',*,*,#224087,.T.); #314288=ORIENTED_EDGE('',*,*,#224088,.T.); #314289=ORIENTED_EDGE('',*,*,#224089,.T.); #314290=ORIENTED_EDGE('',*,*,#224090,.T.); #314291=ORIENTED_EDGE('',*,*,#224091,.T.); #314292=ORIENTED_EDGE('',*,*,#224092,.T.); #314293=ORIENTED_EDGE('',*,*,#224093,.T.); #314294=ORIENTED_EDGE('',*,*,#224094,.T.); #314295=ORIENTED_EDGE('',*,*,#224095,.T.); #314296=ORIENTED_EDGE('',*,*,#224096,.F.); #314297=ORIENTED_EDGE('',*,*,#224097,.F.); #314298=ORIENTED_EDGE('',*,*,#224098,.T.); #314299=ORIENTED_EDGE('',*,*,#224099,.F.); #314300=ORIENTED_EDGE('',*,*,#224100,.F.); #314301=ORIENTED_EDGE('',*,*,#224101,.F.); #314302=ORIENTED_EDGE('',*,*,#224102,.F.); #314303=ORIENTED_EDGE('',*,*,#224103,.F.); #314304=ORIENTED_EDGE('',*,*,#224104,.F.); #314305=ORIENTED_EDGE('',*,*,#224105,.F.); #314306=ORIENTED_EDGE('',*,*,#224106,.F.); #314307=ORIENTED_EDGE('',*,*,#224107,.F.); #314308=ORIENTED_EDGE('',*,*,#224108,.F.); #314309=ORIENTED_EDGE('',*,*,#224109,.F.); #314310=ORIENTED_EDGE('',*,*,#224110,.F.); #314311=ORIENTED_EDGE('',*,*,#224111,.T.); #314312=ORIENTED_EDGE('',*,*,#224112,.F.); #314313=ORIENTED_EDGE('',*,*,#224113,.T.); #314314=ORIENTED_EDGE('',*,*,#224114,.T.); #314315=ORIENTED_EDGE('',*,*,#224115,.T.); #314316=ORIENTED_EDGE('',*,*,#224116,.F.); #314317=ORIENTED_EDGE('',*,*,#224117,.T.); #314318=ORIENTED_EDGE('',*,*,#224118,.F.); #314319=ORIENTED_EDGE('',*,*,#224073,.F.); #314320=ORIENTED_EDGE('',*,*,#224119,.F.); #314321=ORIENTED_EDGE('',*,*,#224120,.F.); #314322=ORIENTED_EDGE('',*,*,#224121,.F.); #314323=ORIENTED_EDGE('',*,*,#224122,.F.); #314324=ORIENTED_EDGE('',*,*,#224123,.F.); #314325=ORIENTED_EDGE('',*,*,#224124,.F.); #314326=ORIENTED_EDGE('',*,*,#224125,.F.); #314327=ORIENTED_EDGE('',*,*,#223752,.T.); #314328=ORIENTED_EDGE('',*,*,#224126,.F.); #314329=ORIENTED_EDGE('',*,*,#224127,.F.); #314330=ORIENTED_EDGE('',*,*,#224128,.F.); #314331=ORIENTED_EDGE('',*,*,#224129,.F.); #314332=ORIENTED_EDGE('',*,*,#224130,.F.); #314333=ORIENTED_EDGE('',*,*,#224131,.F.); #314334=ORIENTED_EDGE('',*,*,#224132,.F.); #314335=ORIENTED_EDGE('',*,*,#223757,.T.); #314336=ORIENTED_EDGE('',*,*,#224133,.T.); #314337=ORIENTED_EDGE('',*,*,#224134,.T.); #314338=ORIENTED_EDGE('',*,*,#224135,.T.); #314339=ORIENTED_EDGE('',*,*,#224136,.T.); #314340=ORIENTED_EDGE('',*,*,#224137,.T.); #314341=ORIENTED_EDGE('',*,*,#224138,.T.); #314342=ORIENTED_EDGE('',*,*,#224139,.T.); #314343=ORIENTED_EDGE('',*,*,#224140,.T.); #314344=ORIENTED_EDGE('',*,*,#224141,.T.); #314345=ORIENTED_EDGE('',*,*,#224142,.F.); #314346=ORIENTED_EDGE('',*,*,#224143,.T.); #314347=ORIENTED_EDGE('',*,*,#224144,.T.); #314348=ORIENTED_EDGE('',*,*,#224145,.T.); #314349=ORIENTED_EDGE('',*,*,#224146,.T.); #314350=ORIENTED_EDGE('',*,*,#224147,.T.); #314351=ORIENTED_EDGE('',*,*,#224148,.T.); #314352=ORIENTED_EDGE('',*,*,#224149,.T.); #314353=ORIENTED_EDGE('',*,*,#224150,.T.); #314354=ORIENTED_EDGE('',*,*,#224151,.T.); #314355=ORIENTED_EDGE('',*,*,#224152,.T.); #314356=ORIENTED_EDGE('',*,*,#224153,.F.); #314357=ORIENTED_EDGE('',*,*,#224154,.F.); #314358=ORIENTED_EDGE('',*,*,#224155,.F.); #314359=ORIENTED_EDGE('',*,*,#224156,.F.); #314360=ORIENTED_EDGE('',*,*,#224157,.F.); #314361=ORIENTED_EDGE('',*,*,#224158,.F.); #314362=ORIENTED_EDGE('',*,*,#223945,.F.); #314363=ORIENTED_EDGE('',*,*,#224159,.F.); #314364=ORIENTED_EDGE('',*,*,#224160,.F.); #314365=ORIENTED_EDGE('',*,*,#224161,.F.); #314366=ORIENTED_EDGE('',*,*,#223993,.F.); #314367=ORIENTED_EDGE('',*,*,#224162,.F.); #314368=ORIENTED_EDGE('',*,*,#224163,.F.); #314369=ORIENTED_EDGE('',*,*,#224164,.T.); #314370=ORIENTED_EDGE('',*,*,#223996,.F.); #314371=ORIENTED_EDGE('',*,*,#223914,.T.); #314372=ORIENTED_EDGE('',*,*,#224165,.T.); #314373=ORIENTED_EDGE('',*,*,#223965,.F.); #314374=ORIENTED_EDGE('',*,*,#224166,.T.); #314375=ORIENTED_EDGE('',*,*,#224167,.T.); #314376=ORIENTED_EDGE('',*,*,#224168,.T.); #314377=ORIENTED_EDGE('',*,*,#223954,.F.); #314378=ORIENTED_EDGE('',*,*,#224169,.F.); #314379=ORIENTED_EDGE('',*,*,#224170,.F.); #314380=ORIENTED_EDGE('',*,*,#223934,.T.); #314381=ORIENTED_EDGE('',*,*,#224171,.F.); #314382=ORIENTED_EDGE('',*,*,#224142,.T.); #314383=ORIENTED_EDGE('',*,*,#224172,.T.); #314384=ORIENTED_EDGE('',*,*,#224173,.F.); #314385=ORIENTED_EDGE('',*,*,#224174,.F.); #314386=ORIENTED_EDGE('',*,*,#224175,.F.); #314387=ORIENTED_EDGE('',*,*,#224176,.F.); #314388=ORIENTED_EDGE('',*,*,#224177,.T.); #314389=ORIENTED_EDGE('',*,*,#224178,.T.); #314390=ORIENTED_EDGE('',*,*,#224153,.T.); #314391=ORIENTED_EDGE('',*,*,#223775,.T.); #314392=ORIENTED_EDGE('',*,*,#224179,.T.); #314393=ORIENTED_EDGE('',*,*,#224014,.F.); #314394=ORIENTED_EDGE('',*,*,#224180,.F.); #314395=ORIENTED_EDGE('',*,*,#223828,.F.); #314396=ORIENTED_EDGE('',*,*,#224181,.T.); #314397=ORIENTED_EDGE('',*,*,#224076,.T.); #314398=ORIENTED_EDGE('',*,*,#224182,.T.); #314399=ORIENTED_EDGE('',*,*,#224183,.T.); #314400=ORIENTED_EDGE('',*,*,#223838,.T.); #314401=ORIENTED_EDGE('',*,*,#224184,.F.); #314402=ORIENTED_EDGE('',*,*,#223765,.F.); #314403=ORIENTED_EDGE('',*,*,#224185,.F.); #314404=ORIENTED_EDGE('',*,*,#223967,.T.); #314405=ORIENTED_EDGE('',*,*,#224186,.T.); #314406=ORIENTED_EDGE('',*,*,#224187,.F.); #314407=ORIENTED_EDGE('',*,*,#224140,.F.); #314408=ORIENTED_EDGE('',*,*,#224188,.F.); #314409=ORIENTED_EDGE('',*,*,#224017,.F.); #314410=ORIENTED_EDGE('',*,*,#224189,.F.); #314411=ORIENTED_EDGE('',*,*,#223872,.F.); #314412=ORIENTED_EDGE('',*,*,#223861,.T.); #314413=ORIENTED_EDGE('',*,*,#224190,.T.); #314414=ORIENTED_EDGE('',*,*,#224191,.T.); #314415=ORIENTED_EDGE('',*,*,#224192,.F.); #314416=ORIENTED_EDGE('',*,*,#223869,.F.); #314417=ORIENTED_EDGE('',*,*,#223866,.T.); #314418=ORIENTED_EDGE('',*,*,#224193,.T.); #314419=ORIENTED_EDGE('',*,*,#224187,.T.); #314420=ORIENTED_EDGE('',*,*,#224194,.T.); #314421=ORIENTED_EDGE('',*,*,#224195,.T.); #314422=ORIENTED_EDGE('',*,*,#224196,.T.); #314423=ORIENTED_EDGE('',*,*,#224197,.T.); #314424=ORIENTED_EDGE('',*,*,#224198,.T.); #314425=ORIENTED_EDGE('',*,*,#224199,.T.); #314426=ORIENTED_EDGE('',*,*,#224200,.T.); #314427=ORIENTED_EDGE('',*,*,#224201,.T.); #314428=ORIENTED_EDGE('',*,*,#224202,.T.); #314429=ORIENTED_EDGE('',*,*,#223855,.F.); #314430=ORIENTED_EDGE('',*,*,#223829,.F.); #314431=ORIENTED_EDGE('',*,*,#224182,.F.); #314432=ORIENTED_EDGE('',*,*,#224075,.F.); #314433=ORIENTED_EDGE('',*,*,#224203,.F.); #314434=ORIENTED_EDGE('',*,*,#224204,.F.); #314435=ORIENTED_EDGE('',*,*,#224205,.F.); #314436=ORIENTED_EDGE('',*,*,#224206,.F.); #314437=ORIENTED_EDGE('',*,*,#224172,.F.); #314438=ORIENTED_EDGE('',*,*,#224141,.F.); #314439=ORIENTED_EDGE('',*,*,#223875,.T.); #314440=ORIENTED_EDGE('',*,*,#224207,.T.); #314441=ORIENTED_EDGE('',*,*,#223912,.F.); #314442=ORIENTED_EDGE('',*,*,#224013,.T.); #314443=ORIENTED_EDGE('',*,*,#224016,.T.); #314444=ORIENTED_EDGE('',*,*,#224208,.T.); #314445=ORIENTED_EDGE('',*,*,#223873,.F.); #314446=ORIENTED_EDGE('',*,*,#224189,.T.); #314447=ORIENTED_EDGE('',*,*,#224209,.F.); #314448=ORIENTED_EDGE('',*,*,#224210,.F.); #314449=ORIENTED_EDGE('',*,*,#224036,.F.); #314450=ORIENTED_EDGE('',*,*,#224211,.F.); #314451=ORIENTED_EDGE('',*,*,#224212,.F.); #314452=ORIENTED_EDGE('',*,*,#223786,.T.); #314453=ORIENTED_EDGE('',*,*,#224213,.F.); #314454=ORIENTED_EDGE('',*,*,#224008,.T.); #314455=ORIENTED_EDGE('',*,*,#224214,.T.); #314456=ORIENTED_EDGE('',*,*,#224215,.F.); #314457=ORIENTED_EDGE('',*,*,#224216,.F.); #314458=ORIENTED_EDGE('',*,*,#224217,.F.); #314459=ORIENTED_EDGE('',*,*,#224218,.F.); #314460=ORIENTED_EDGE('',*,*,#224219,.F.); #314461=ORIENTED_EDGE('',*,*,#224220,.F.); #314462=ORIENTED_EDGE('',*,*,#224221,.F.); #314463=ORIENTED_EDGE('',*,*,#224222,.F.); #314464=ORIENTED_EDGE('',*,*,#224223,.F.); #314465=ORIENTED_EDGE('',*,*,#224224,.F.); #314466=ORIENTED_EDGE('',*,*,#224225,.F.); #314467=ORIENTED_EDGE('',*,*,#224226,.F.); #314468=ORIENTED_EDGE('',*,*,#224227,.T.); #314469=ORIENTED_EDGE('',*,*,#224228,.T.); #314470=ORIENTED_EDGE('',*,*,#224176,.T.); #314471=ORIENTED_EDGE('',*,*,#224229,.F.); #314472=ORIENTED_EDGE('',*,*,#224230,.T.); #314473=ORIENTED_EDGE('',*,*,#224231,.T.); #314474=ORIENTED_EDGE('',*,*,#224232,.T.); #314475=ORIENTED_EDGE('',*,*,#224233,.T.); #314476=ORIENTED_EDGE('',*,*,#224234,.T.); #314477=ORIENTED_EDGE('',*,*,#224235,.T.); #314478=ORIENTED_EDGE('',*,*,#224236,.T.); #314479=ORIENTED_EDGE('',*,*,#224237,.T.); #314480=ORIENTED_EDGE('',*,*,#224238,.T.); #314481=ORIENTED_EDGE('',*,*,#224239,.T.); #314482=ORIENTED_EDGE('',*,*,#224240,.T.); #314483=ORIENTED_EDGE('',*,*,#224241,.T.); #314484=ORIENTED_EDGE('',*,*,#224242,.T.); #314485=ORIENTED_EDGE('',*,*,#224243,.T.); #314486=ORIENTED_EDGE('',*,*,#224244,.T.); #314487=ORIENTED_EDGE('',*,*,#224245,.T.); #314488=ORIENTED_EDGE('',*,*,#224246,.T.); #314489=ORIENTED_EDGE('',*,*,#224247,.T.); #314490=ORIENTED_EDGE('',*,*,#224173,.T.); #314491=ORIENTED_EDGE('',*,*,#224206,.T.); #314492=ORIENTED_EDGE('',*,*,#224248,.F.); #314493=ORIENTED_EDGE('',*,*,#224249,.T.); #314494=ORIENTED_EDGE('',*,*,#224250,.T.); #314495=ORIENTED_EDGE('',*,*,#224251,.T.); #314496=ORIENTED_EDGE('',*,*,#224252,.F.); #314497=ORIENTED_EDGE('',*,*,#224253,.T.); #314498=ORIENTED_EDGE('',*,*,#224205,.T.); #314499=ORIENTED_EDGE('',*,*,#224254,.F.); #314500=ORIENTED_EDGE('',*,*,#224010,.F.); #314501=ORIENTED_EDGE('',*,*,#224255,.F.); #314502=ORIENTED_EDGE('',*,*,#224003,.T.); #314503=ORIENTED_EDGE('',*,*,#224213,.T.); #314504=ORIENTED_EDGE('',*,*,#224256,.F.); #314505=ORIENTED_EDGE('',*,*,#224257,.T.); #314506=ORIENTED_EDGE('',*,*,#224011,.F.); #314507=ORIENTED_EDGE('',*,*,#224254,.T.); #314508=ORIENTED_EDGE('',*,*,#224204,.T.); #314509=ORIENTED_EDGE('',*,*,#224258,.F.); #314510=ORIENTED_EDGE('',*,*,#224190,.F.); #314511=ORIENTED_EDGE('',*,*,#223860,.T.); #314512=ORIENTED_EDGE('',*,*,#224259,.F.); #314513=ORIENTED_EDGE('',*,*,#224260,.T.); #314514=ORIENTED_EDGE('',*,*,#224193,.F.); #314515=ORIENTED_EDGE('',*,*,#223865,.T.); #314516=ORIENTED_EDGE('',*,*,#224261,.F.); #314517=ORIENTED_EDGE('',*,*,#224262,.F.); #314518=ORIENTED_EDGE('',*,*,#224263,.F.); #314519=ORIENTED_EDGE('',*,*,#224069,.F.); #314520=ORIENTED_EDGE('',*,*,#224264,.F.); #314521=ORIENTED_EDGE('',*,*,#224265,.F.); #314522=ORIENTED_EDGE('',*,*,#224266,.T.); #314523=ORIENTED_EDGE('',*,*,#224072,.F.); #314524=ORIENTED_EDGE('',*,*,#223999,.F.); #314525=ORIENTED_EDGE('',*,*,#224267,.F.); #314526=ORIENTED_EDGE('',*,*,#224268,.F.); #314527=ORIENTED_EDGE('',*,*,#224269,.F.); #314528=ORIENTED_EDGE('',*,*,#224002,.F.); #314529=ORIENTED_EDGE('',*,*,#224270,.F.); #314530=ORIENTED_EDGE('',*,*,#224271,.F.); #314531=ORIENTED_EDGE('',*,*,#224272,.T.); #314532=ORIENTED_EDGE('',*,*,#224203,.T.); #314533=ORIENTED_EDGE('',*,*,#224074,.F.); #314534=ORIENTED_EDGE('',*,*,#224118,.T.); #314535=ORIENTED_EDGE('',*,*,#224273,.F.); #314536=ORIENTED_EDGE('',*,*,#224191,.F.); #314537=ORIENTED_EDGE('',*,*,#224258,.T.); #314538=ORIENTED_EDGE('',*,*,#224274,.T.); #314539=ORIENTED_EDGE('',*,*,#224275,.T.); #314540=ORIENTED_EDGE('',*,*,#223817,.F.); #314541=ORIENTED_EDGE('',*,*,#224276,.T.); #314542=ORIENTED_EDGE('',*,*,#224277,.T.); #314543=ORIENTED_EDGE('',*,*,#224096,.T.); #314544=ORIENTED_EDGE('',*,*,#224278,.F.); #314545=ORIENTED_EDGE('',*,*,#223870,.T.); #314546=ORIENTED_EDGE('',*,*,#224192,.T.); #314547=ORIENTED_EDGE('',*,*,#224260,.F.); #314548=ORIENTED_EDGE('',*,*,#224279,.F.); #314549=ORIENTED_EDGE('',*,*,#224280,.F.); #314550=ORIENTED_EDGE('',*,*,#223867,.T.); #314551=ORIENTED_EDGE('',*,*,#224012,.T.); #314552=ORIENTED_EDGE('',*,*,#224257,.F.); #314553=ORIENTED_EDGE('',*,*,#224281,.F.); #314554=ORIENTED_EDGE('',*,*,#224212,.T.); #314555=ORIENTED_EDGE('',*,*,#224282,.T.); #314556=ORIENTED_EDGE('',*,*,#224276,.F.); #314557=ORIENTED_EDGE('',*,*,#224283,.F.); #314558=ORIENTED_EDGE('',*,*,#224035,.T.); #314559=ORIENTED_EDGE('',*,*,#224284,.T.); #314560=ORIENTED_EDGE('',*,*,#224097,.T.); #314561=ORIENTED_EDGE('',*,*,#224277,.F.); #314562=ORIENTED_EDGE('',*,*,#224282,.F.); #314563=ORIENTED_EDGE('',*,*,#224211,.T.); #314564=ORIENTED_EDGE('',*,*,#223811,.T.); #314565=ORIENTED_EDGE('',*,*,#224285,.T.); #314566=ORIENTED_EDGE('',*,*,#224286,.T.); #314567=ORIENTED_EDGE('',*,*,#224287,.F.); #314568=ORIENTED_EDGE('',*,*,#224288,.T.); #314569=ORIENTED_EDGE('',*,*,#224289,.F.); #314570=ORIENTED_EDGE('',*,*,#223792,.T.); #314571=ORIENTED_EDGE('',*,*,#224290,.T.); #314572=ORIENTED_EDGE('',*,*,#223791,.T.); #314573=ORIENTED_EDGE('',*,*,#224291,.T.); #314574=ORIENTED_EDGE('',*,*,#223812,.T.); #314575=ORIENTED_EDGE('',*,*,#224290,.F.); #314576=ORIENTED_EDGE('',*,*,#223790,.T.); #314577=ORIENTED_EDGE('',*,*,#224292,.T.); #314578=ORIENTED_EDGE('',*,*,#223813,.T.); #314579=ORIENTED_EDGE('',*,*,#224291,.F.); #314580=ORIENTED_EDGE('',*,*,#223789,.T.); #314581=ORIENTED_EDGE('',*,*,#224293,.T.); #314582=ORIENTED_EDGE('',*,*,#223814,.T.); #314583=ORIENTED_EDGE('',*,*,#224292,.F.); #314584=ORIENTED_EDGE('',*,*,#223788,.T.); #314585=ORIENTED_EDGE('',*,*,#224294,.T.); #314586=ORIENTED_EDGE('',*,*,#223815,.T.); #314587=ORIENTED_EDGE('',*,*,#224293,.F.); #314588=ORIENTED_EDGE('',*,*,#223853,.T.); #314589=ORIENTED_EDGE('',*,*,#223795,.T.); #314590=ORIENTED_EDGE('',*,*,#224062,.T.); #314591=ORIENTED_EDGE('',*,*,#223808,.T.); #314592=ORIENTED_EDGE('',*,*,#223787,.T.); #314593=ORIENTED_EDGE('',*,*,#224283,.T.); #314594=ORIENTED_EDGE('',*,*,#223816,.T.); #314595=ORIENTED_EDGE('',*,*,#224294,.F.); #314596=ORIENTED_EDGE('',*,*,#223952,.T.); #314597=ORIENTED_EDGE('',*,*,#224295,.T.); #314598=ORIENTED_EDGE('',*,*,#224155,.T.); #314599=ORIENTED_EDGE('',*,*,#224296,.F.); #314600=ORIENTED_EDGE('',*,*,#223951,.T.); #314601=ORIENTED_EDGE('',*,*,#224297,.T.); #314602=ORIENTED_EDGE('',*,*,#224156,.T.); #314603=ORIENTED_EDGE('',*,*,#224295,.F.); #314604=ORIENTED_EDGE('',*,*,#223950,.T.); #314605=ORIENTED_EDGE('',*,*,#224298,.T.); #314606=ORIENTED_EDGE('',*,*,#224157,.T.); #314607=ORIENTED_EDGE('',*,*,#224297,.F.); #314608=ORIENTED_EDGE('',*,*,#223949,.T.); #314609=ORIENTED_EDGE('',*,*,#223946,.T.); #314610=ORIENTED_EDGE('',*,*,#224158,.T.); #314611=ORIENTED_EDGE('',*,*,#224298,.F.); #314612=ORIENTED_EDGE('',*,*,#224228,.F.); #314613=ORIENTED_EDGE('',*,*,#224299,.T.); #314614=ORIENTED_EDGE('',*,*,#223893,.T.); #314615=ORIENTED_EDGE('',*,*,#224170,.T.); #314616=ORIENTED_EDGE('',*,*,#224300,.T.); #314617=ORIENTED_EDGE('',*,*,#224177,.F.); #314618=ORIENTED_EDGE('',*,*,#224169,.T.); #314619=ORIENTED_EDGE('',*,*,#224301,.T.); #314620=ORIENTED_EDGE('',*,*,#224178,.F.); #314621=ORIENTED_EDGE('',*,*,#224300,.F.); #314622=ORIENTED_EDGE('',*,*,#223953,.T.); #314623=ORIENTED_EDGE('',*,*,#224296,.T.); #314624=ORIENTED_EDGE('',*,*,#224154,.T.); #314625=ORIENTED_EDGE('',*,*,#224301,.F.); #314626=ORIENTED_EDGE('',*,*,#223753,.T.); #314627=ORIENTED_EDGE('',*,*,#224125,.T.); #314628=ORIENTED_EDGE('',*,*,#224302,.F.); #314629=ORIENTED_EDGE('',*,*,#223982,.T.); #314630=ORIENTED_EDGE('',*,*,#224303,.F.); #314631=ORIENTED_EDGE('',*,*,#223947,.T.); #314632=ORIENTED_EDGE('',*,*,#224302,.T.); #314633=ORIENTED_EDGE('',*,*,#224124,.T.); #314634=ORIENTED_EDGE('',*,*,#224304,.F.); #314635=ORIENTED_EDGE('',*,*,#224055,.T.); #314636=ORIENTED_EDGE('',*,*,#224305,.T.); #314637=ORIENTED_EDGE('',*,*,#224077,.T.); #314638=ORIENTED_EDGE('',*,*,#224181,.F.); #314639=ORIENTED_EDGE('',*,*,#223827,.T.); #314640=ORIENTED_EDGE('',*,*,#224306,.F.); #314641=ORIENTED_EDGE('',*,*,#223776,.T.); #314642=ORIENTED_EDGE('',*,*,#224180,.T.); #314643=ORIENTED_EDGE('',*,*,#223785,.T.); #314644=ORIENTED_EDGE('',*,*,#224307,.T.); #314645=ORIENTED_EDGE('',*,*,#223818,.T.); #314646=ORIENTED_EDGE('',*,*,#224275,.F.); #314647=ORIENTED_EDGE('',*,*,#224308,.F.); #314648=ORIENTED_EDGE('',*,*,#224209,.T.); #314649=ORIENTED_EDGE('',*,*,#224095,.F.); #314650=ORIENTED_EDGE('',*,*,#224309,.T.); #314651=ORIENTED_EDGE('',*,*,#224037,.T.); #314652=ORIENTED_EDGE('',*,*,#224210,.T.); #314653=ORIENTED_EDGE('',*,*,#224308,.T.); #314654=ORIENTED_EDGE('',*,*,#224274,.F.); #314655=ORIENTED_EDGE('',*,*,#223777,.T.); #314656=ORIENTED_EDGE('',*,*,#224306,.T.); #314657=ORIENTED_EDGE('',*,*,#223826,.T.); #314658=ORIENTED_EDGE('',*,*,#224310,.F.); #314659=ORIENTED_EDGE('',*,*,#223778,.T.); #314660=ORIENTED_EDGE('',*,*,#224310,.T.); #314661=ORIENTED_EDGE('',*,*,#223825,.T.); #314662=ORIENTED_EDGE('',*,*,#224311,.F.); #314663=ORIENTED_EDGE('',*,*,#223779,.T.); #314664=ORIENTED_EDGE('',*,*,#224311,.T.); #314665=ORIENTED_EDGE('',*,*,#223824,.T.); #314666=ORIENTED_EDGE('',*,*,#224312,.F.); #314667=ORIENTED_EDGE('',*,*,#223780,.T.); #314668=ORIENTED_EDGE('',*,*,#224312,.T.); #314669=ORIENTED_EDGE('',*,*,#223823,.T.); #314670=ORIENTED_EDGE('',*,*,#224313,.F.); #314671=ORIENTED_EDGE('',*,*,#223781,.T.); #314672=ORIENTED_EDGE('',*,*,#224313,.T.); #314673=ORIENTED_EDGE('',*,*,#223822,.T.); #314674=ORIENTED_EDGE('',*,*,#224314,.F.); #314675=ORIENTED_EDGE('',*,*,#223782,.T.); #314676=ORIENTED_EDGE('',*,*,#224314,.T.); #314677=ORIENTED_EDGE('',*,*,#223821,.T.); #314678=ORIENTED_EDGE('',*,*,#224315,.F.); #314679=ORIENTED_EDGE('',*,*,#223783,.T.); #314680=ORIENTED_EDGE('',*,*,#224315,.T.); #314681=ORIENTED_EDGE('',*,*,#223820,.T.); #314682=ORIENTED_EDGE('',*,*,#224316,.F.); #314683=ORIENTED_EDGE('',*,*,#223784,.T.); #314684=ORIENTED_EDGE('',*,*,#224316,.T.); #314685=ORIENTED_EDGE('',*,*,#223819,.T.); #314686=ORIENTED_EDGE('',*,*,#224307,.F.); #314687=ORIENTED_EDGE('',*,*,#224247,.F.); #314688=ORIENTED_EDGE('',*,*,#224317,.T.); #314689=ORIENTED_EDGE('',*,*,#223915,.F.); #314690=ORIENTED_EDGE('',*,*,#224166,.F.); #314691=ORIENTED_EDGE('',*,*,#223964,.F.); #314692=ORIENTED_EDGE('',*,*,#224318,.T.); #314693=ORIENTED_EDGE('',*,*,#224143,.F.); #314694=ORIENTED_EDGE('',*,*,#224171,.T.); #314695=ORIENTED_EDGE('',*,*,#224168,.F.); #314696=ORIENTED_EDGE('',*,*,#224319,.T.); #314697=ORIENTED_EDGE('',*,*,#224174,.T.); #314698=ORIENTED_EDGE('',*,*,#224152,.F.); #314699=ORIENTED_EDGE('',*,*,#224320,.F.); #314700=ORIENTED_EDGE('',*,*,#223955,.F.); #314701=ORIENTED_EDGE('',*,*,#223933,.F.); #314702=ORIENTED_EDGE('',*,*,#224321,.T.); #314703=ORIENTED_EDGE('',*,*,#224229,.T.); #314704=ORIENTED_EDGE('',*,*,#224175,.T.); #314705=ORIENTED_EDGE('',*,*,#224319,.F.); #314706=ORIENTED_EDGE('',*,*,#224167,.F.); #314707=ORIENTED_EDGE('',*,*,#224322,.T.); #314708=ORIENTED_EDGE('',*,*,#224144,.F.); #314709=ORIENTED_EDGE('',*,*,#224318,.F.); #314710=ORIENTED_EDGE('',*,*,#223963,.F.); #314711=ORIENTED_EDGE('',*,*,#224323,.T.); #314712=ORIENTED_EDGE('',*,*,#224145,.F.); #314713=ORIENTED_EDGE('',*,*,#224322,.F.); #314714=ORIENTED_EDGE('',*,*,#223962,.F.); #314715=ORIENTED_EDGE('',*,*,#224324,.T.); #314716=ORIENTED_EDGE('',*,*,#224146,.F.); #314717=ORIENTED_EDGE('',*,*,#224323,.F.); #314718=ORIENTED_EDGE('',*,*,#223961,.F.); #314719=ORIENTED_EDGE('',*,*,#224325,.T.); #314720=ORIENTED_EDGE('',*,*,#224147,.F.); #314721=ORIENTED_EDGE('',*,*,#224324,.F.); #314722=ORIENTED_EDGE('',*,*,#223960,.F.); #314723=ORIENTED_EDGE('',*,*,#224326,.T.); #314724=ORIENTED_EDGE('',*,*,#224148,.F.); #314725=ORIENTED_EDGE('',*,*,#224325,.F.); #314726=ORIENTED_EDGE('',*,*,#223959,.F.); #314727=ORIENTED_EDGE('',*,*,#224327,.T.); #314728=ORIENTED_EDGE('',*,*,#224149,.F.); #314729=ORIENTED_EDGE('',*,*,#224326,.F.); #314730=ORIENTED_EDGE('',*,*,#223958,.F.); #314731=ORIENTED_EDGE('',*,*,#224328,.T.); #314732=ORIENTED_EDGE('',*,*,#224150,.F.); #314733=ORIENTED_EDGE('',*,*,#224327,.F.); #314734=ORIENTED_EDGE('',*,*,#223957,.F.); #314735=ORIENTED_EDGE('',*,*,#224320,.T.); #314736=ORIENTED_EDGE('',*,*,#224151,.F.); #314737=ORIENTED_EDGE('',*,*,#224328,.F.); #314738=ORIENTED_EDGE('',*,*,#223956,.F.); #314739=ORIENTED_EDGE('',*,*,#224079,.F.); #314740=ORIENTED_EDGE('',*,*,#224329,.T.); #314741=ORIENTED_EDGE('',*,*,#224053,.T.); #314742=ORIENTED_EDGE('',*,*,#224330,.T.); #314743=ORIENTED_EDGE('',*,*,#224080,.F.); #314744=ORIENTED_EDGE('',*,*,#224330,.F.); #314745=ORIENTED_EDGE('',*,*,#224052,.T.); #314746=ORIENTED_EDGE('',*,*,#224331,.T.); #314747=ORIENTED_EDGE('',*,*,#224081,.F.); #314748=ORIENTED_EDGE('',*,*,#224331,.F.); #314749=ORIENTED_EDGE('',*,*,#224051,.T.); #314750=ORIENTED_EDGE('',*,*,#224332,.T.); #314751=ORIENTED_EDGE('',*,*,#224082,.F.); #314752=ORIENTED_EDGE('',*,*,#224332,.F.); #314753=ORIENTED_EDGE('',*,*,#224050,.T.); #314754=ORIENTED_EDGE('',*,*,#224333,.T.); #314755=ORIENTED_EDGE('',*,*,#224083,.F.); #314756=ORIENTED_EDGE('',*,*,#224333,.F.); #314757=ORIENTED_EDGE('',*,*,#224049,.T.); #314758=ORIENTED_EDGE('',*,*,#224334,.T.); #314759=ORIENTED_EDGE('',*,*,#224084,.F.); #314760=ORIENTED_EDGE('',*,*,#224334,.F.); #314761=ORIENTED_EDGE('',*,*,#224048,.T.); #314762=ORIENTED_EDGE('',*,*,#224335,.T.); #314763=ORIENTED_EDGE('',*,*,#224085,.F.); #314764=ORIENTED_EDGE('',*,*,#224335,.F.); #314765=ORIENTED_EDGE('',*,*,#224047,.T.); #314766=ORIENTED_EDGE('',*,*,#224336,.T.); #314767=ORIENTED_EDGE('',*,*,#224086,.F.); #314768=ORIENTED_EDGE('',*,*,#224336,.F.); #314769=ORIENTED_EDGE('',*,*,#224046,.T.); #314770=ORIENTED_EDGE('',*,*,#224337,.T.); #314771=ORIENTED_EDGE('',*,*,#224087,.F.); #314772=ORIENTED_EDGE('',*,*,#224337,.F.); #314773=ORIENTED_EDGE('',*,*,#224045,.T.); #314774=ORIENTED_EDGE('',*,*,#224338,.T.); #314775=ORIENTED_EDGE('',*,*,#224088,.F.); #314776=ORIENTED_EDGE('',*,*,#224338,.F.); #314777=ORIENTED_EDGE('',*,*,#224044,.T.); #314778=ORIENTED_EDGE('',*,*,#224339,.T.); #314779=ORIENTED_EDGE('',*,*,#224089,.F.); #314780=ORIENTED_EDGE('',*,*,#224339,.F.); #314781=ORIENTED_EDGE('',*,*,#224043,.T.); #314782=ORIENTED_EDGE('',*,*,#224340,.T.); #314783=ORIENTED_EDGE('',*,*,#224090,.F.); #314784=ORIENTED_EDGE('',*,*,#224340,.F.); #314785=ORIENTED_EDGE('',*,*,#224042,.T.); #314786=ORIENTED_EDGE('',*,*,#224341,.T.); #314787=ORIENTED_EDGE('',*,*,#224091,.F.); #314788=ORIENTED_EDGE('',*,*,#224341,.F.); #314789=ORIENTED_EDGE('',*,*,#224041,.T.); #314790=ORIENTED_EDGE('',*,*,#224342,.T.); #314791=ORIENTED_EDGE('',*,*,#224092,.F.); #314792=ORIENTED_EDGE('',*,*,#224342,.F.); #314793=ORIENTED_EDGE('',*,*,#224040,.T.); #314794=ORIENTED_EDGE('',*,*,#224343,.T.); #314795=ORIENTED_EDGE('',*,*,#224039,.T.); #314796=ORIENTED_EDGE('',*,*,#224344,.T.); #314797=ORIENTED_EDGE('',*,*,#224093,.F.); #314798=ORIENTED_EDGE('',*,*,#224343,.F.); #314799=ORIENTED_EDGE('',*,*,#224188,.T.); #314800=ORIENTED_EDGE('',*,*,#224273,.T.); #314801=ORIENTED_EDGE('',*,*,#224122,.T.); #314802=ORIENTED_EDGE('',*,*,#224345,.F.); #314803=ORIENTED_EDGE('',*,*,#224018,.F.); #314804=ORIENTED_EDGE('',*,*,#224345,.T.); #314805=ORIENTED_EDGE('',*,*,#224121,.T.); #314806=ORIENTED_EDGE('',*,*,#224346,.F.); #314807=ORIENTED_EDGE('',*,*,#224019,.F.); #314808=ORIENTED_EDGE('',*,*,#224346,.T.); #314809=ORIENTED_EDGE('',*,*,#224120,.T.); #314810=ORIENTED_EDGE('',*,*,#224347,.F.); #314811=ORIENTED_EDGE('',*,*,#224020,.F.); #314812=ORIENTED_EDGE('',*,*,#224347,.T.); #314813=ORIENTED_EDGE('',*,*,#224348,.T.); #314814=ORIENTED_EDGE('',*,*,#224349,.F.); #314815=ORIENTED_EDGE('',*,*,#224021,.F.); #314816=ORIENTED_EDGE('',*,*,#224349,.T.); #314817=ORIENTED_EDGE('',*,*,#224350,.T.); #314818=ORIENTED_EDGE('',*,*,#224110,.T.); #314819=ORIENTED_EDGE('',*,*,#224351,.F.); #314820=ORIENTED_EDGE('',*,*,#224022,.F.); #314821=ORIENTED_EDGE('',*,*,#224109,.T.); #314822=ORIENTED_EDGE('',*,*,#224352,.F.); #314823=ORIENTED_EDGE('',*,*,#224023,.F.); #314824=ORIENTED_EDGE('',*,*,#224351,.T.); #314825=ORIENTED_EDGE('',*,*,#224108,.T.); #314826=ORIENTED_EDGE('',*,*,#224353,.F.); #314827=ORIENTED_EDGE('',*,*,#224024,.F.); #314828=ORIENTED_EDGE('',*,*,#224352,.T.); #314829=ORIENTED_EDGE('',*,*,#224107,.T.); #314830=ORIENTED_EDGE('',*,*,#224354,.F.); #314831=ORIENTED_EDGE('',*,*,#224025,.F.); #314832=ORIENTED_EDGE('',*,*,#224353,.T.); #314833=ORIENTED_EDGE('',*,*,#224106,.T.); #314834=ORIENTED_EDGE('',*,*,#224355,.F.); #314835=ORIENTED_EDGE('',*,*,#224026,.F.); #314836=ORIENTED_EDGE('',*,*,#224354,.T.); #314837=ORIENTED_EDGE('',*,*,#224105,.T.); #314838=ORIENTED_EDGE('',*,*,#224356,.F.); #314839=ORIENTED_EDGE('',*,*,#224027,.F.); #314840=ORIENTED_EDGE('',*,*,#224355,.T.); #314841=ORIENTED_EDGE('',*,*,#224104,.T.); #314842=ORIENTED_EDGE('',*,*,#224357,.F.); #314843=ORIENTED_EDGE('',*,*,#224028,.F.); #314844=ORIENTED_EDGE('',*,*,#224356,.T.); #314845=ORIENTED_EDGE('',*,*,#224103,.T.); #314846=ORIENTED_EDGE('',*,*,#224358,.F.); #314847=ORIENTED_EDGE('',*,*,#224029,.F.); #314848=ORIENTED_EDGE('',*,*,#224357,.T.); #314849=ORIENTED_EDGE('',*,*,#224102,.T.); #314850=ORIENTED_EDGE('',*,*,#224359,.F.); #314851=ORIENTED_EDGE('',*,*,#224030,.F.); #314852=ORIENTED_EDGE('',*,*,#224358,.T.); #314853=ORIENTED_EDGE('',*,*,#224101,.T.); #314854=ORIENTED_EDGE('',*,*,#224360,.F.); #314855=ORIENTED_EDGE('',*,*,#224031,.F.); #314856=ORIENTED_EDGE('',*,*,#224359,.T.); #314857=ORIENTED_EDGE('',*,*,#224100,.T.); #314858=ORIENTED_EDGE('',*,*,#224361,.F.); #314859=ORIENTED_EDGE('',*,*,#224032,.F.); #314860=ORIENTED_EDGE('',*,*,#224360,.T.); #314861=ORIENTED_EDGE('',*,*,#224099,.T.); #314862=ORIENTED_EDGE('',*,*,#224362,.T.); #314863=ORIENTED_EDGE('',*,*,#224033,.F.); #314864=ORIENTED_EDGE('',*,*,#224361,.T.); #314865=ORIENTED_EDGE('',*,*,#224119,.T.); #314866=ORIENTED_EDGE('',*,*,#224111,.F.); #314867=ORIENTED_EDGE('',*,*,#224350,.F.); #314868=ORIENTED_EDGE('',*,*,#224348,.F.); #314869=ORIENTED_EDGE('',*,*,#224284,.F.); #314870=ORIENTED_EDGE('',*,*,#224034,.F.); #314871=ORIENTED_EDGE('',*,*,#224362,.F.); #314872=ORIENTED_EDGE('',*,*,#224098,.F.); #314873=ORIENTED_EDGE('',*,*,#224309,.F.); #314874=ORIENTED_EDGE('',*,*,#224094,.F.); #314875=ORIENTED_EDGE('',*,*,#224344,.F.); #314876=ORIENTED_EDGE('',*,*,#224038,.F.); #314877=ORIENTED_EDGE('',*,*,#224305,.F.); #314878=ORIENTED_EDGE('',*,*,#224054,.F.); #314879=ORIENTED_EDGE('',*,*,#224329,.F.); #314880=ORIENTED_EDGE('',*,*,#224078,.F.); #314881=ORIENTED_EDGE('',*,*,#223856,.F.); #314882=ORIENTED_EDGE('',*,*,#224202,.F.); #314883=ORIENTED_EDGE('',*,*,#224363,.F.); #314884=ORIENTED_EDGE('',*,*,#223774,.F.); #314885=ORIENTED_EDGE('',*,*,#224363,.T.); #314886=ORIENTED_EDGE('',*,*,#224201,.F.); #314887=ORIENTED_EDGE('',*,*,#224364,.F.); #314888=ORIENTED_EDGE('',*,*,#224179,.F.); #314889=ORIENTED_EDGE('',*,*,#224364,.T.); #314890=ORIENTED_EDGE('',*,*,#224200,.F.); #314891=ORIENTED_EDGE('',*,*,#224365,.F.); #314892=ORIENTED_EDGE('',*,*,#224015,.F.); #314893=ORIENTED_EDGE('',*,*,#224365,.T.); #314894=ORIENTED_EDGE('',*,*,#224199,.F.); #314895=ORIENTED_EDGE('',*,*,#224366,.F.); #314896=ORIENTED_EDGE('',*,*,#224208,.F.); #314897=ORIENTED_EDGE('',*,*,#224366,.T.); #314898=ORIENTED_EDGE('',*,*,#224198,.F.); #314899=ORIENTED_EDGE('',*,*,#224367,.F.); #314900=ORIENTED_EDGE('',*,*,#223874,.F.); #314901=ORIENTED_EDGE('',*,*,#224367,.T.); #314902=ORIENTED_EDGE('',*,*,#224197,.F.); #314903=ORIENTED_EDGE('',*,*,#224368,.F.); #314904=ORIENTED_EDGE('',*,*,#224207,.F.); #314905=ORIENTED_EDGE('',*,*,#224368,.T.); #314906=ORIENTED_EDGE('',*,*,#224196,.F.); #314907=ORIENTED_EDGE('',*,*,#224369,.F.); #314908=ORIENTED_EDGE('',*,*,#223913,.F.); #314909=ORIENTED_EDGE('',*,*,#224369,.T.); #314910=ORIENTED_EDGE('',*,*,#224195,.F.); #314911=ORIENTED_EDGE('',*,*,#224370,.F.); #314912=ORIENTED_EDGE('',*,*,#224165,.F.); #314913=ORIENTED_EDGE('',*,*,#224186,.F.); #314914=ORIENTED_EDGE('',*,*,#223966,.F.); #314915=ORIENTED_EDGE('',*,*,#224370,.T.); #314916=ORIENTED_EDGE('',*,*,#224194,.F.); #314917=ORIENTED_EDGE('',*,*,#223879,.F.); #314918=ORIENTED_EDGE('',*,*,#224371,.T.); #314919=ORIENTED_EDGE('',*,*,#224262,.T.); #314920=ORIENTED_EDGE('',*,*,#224372,.F.); #314921=ORIENTED_EDGE('',*,*,#224261,.T.); #314922=ORIENTED_EDGE('',*,*,#224071,.F.); #314923=ORIENTED_EDGE('',*,*,#223880,.F.); #314924=ORIENTED_EDGE('',*,*,#224372,.T.); #314925=ORIENTED_EDGE('',*,*,#223883,.F.); #314926=ORIENTED_EDGE('',*,*,#224373,.T.); #314927=ORIENTED_EDGE('',*,*,#224265,.T.); #314928=ORIENTED_EDGE('',*,*,#224374,.F.); #314929=ORIENTED_EDGE('',*,*,#224264,.T.); #314930=ORIENTED_EDGE('',*,*,#224068,.F.); #314931=ORIENTED_EDGE('',*,*,#223884,.F.); #314932=ORIENTED_EDGE('',*,*,#224374,.T.); #314933=ORIENTED_EDGE('',*,*,#224375,.T.); #314934=ORIENTED_EDGE('',*,*,#224376,.T.); #314935=ORIENTED_EDGE('',*,*,#224377,.T.); #314936=ORIENTED_EDGE('',*,*,#224378,.T.); #314937=ORIENTED_EDGE('',*,*,#224379,.T.); #314938=ORIENTED_EDGE('',*,*,#224380,.T.); #314939=ORIENTED_EDGE('',*,*,#224381,.T.); #314940=ORIENTED_EDGE('',*,*,#224373,.F.); #314941=ORIENTED_EDGE('',*,*,#224382,.F.); #314942=ORIENTED_EDGE('',*,*,#224383,.F.); #314943=ORIENTED_EDGE('',*,*,#224384,.F.); #314944=ORIENTED_EDGE('',*,*,#224385,.F.); #314945=ORIENTED_EDGE('',*,*,#224386,.F.); #314946=ORIENTED_EDGE('',*,*,#224387,.F.); #314947=ORIENTED_EDGE('',*,*,#224388,.F.); #314948=ORIENTED_EDGE('',*,*,#224067,.T.); #314949=ORIENTED_EDGE('',*,*,#224389,.T.); #314950=ORIENTED_EDGE('',*,*,#224390,.T.); #314951=ORIENTED_EDGE('',*,*,#224391,.T.); #314952=ORIENTED_EDGE('',*,*,#224392,.T.); #314953=ORIENTED_EDGE('',*,*,#224393,.T.); #314954=ORIENTED_EDGE('',*,*,#224394,.T.); #314955=ORIENTED_EDGE('',*,*,#224070,.T.); #314956=ORIENTED_EDGE('',*,*,#224395,.F.); #314957=ORIENTED_EDGE('',*,*,#224396,.F.); #314958=ORIENTED_EDGE('',*,*,#224397,.F.); #314959=ORIENTED_EDGE('',*,*,#224398,.F.); #314960=ORIENTED_EDGE('',*,*,#224399,.F.); #314961=ORIENTED_EDGE('',*,*,#224400,.F.); #314962=ORIENTED_EDGE('',*,*,#224401,.F.); #314963=ORIENTED_EDGE('',*,*,#224371,.F.); #314964=ORIENTED_EDGE('',*,*,#224402,.T.); #314965=ORIENTED_EDGE('',*,*,#224401,.T.); #314966=ORIENTED_EDGE('',*,*,#224403,.F.); #314967=ORIENTED_EDGE('',*,*,#224375,.F.); #314968=ORIENTED_EDGE('',*,*,#224263,.T.); #314969=ORIENTED_EDGE('',*,*,#224400,.T.); #314970=ORIENTED_EDGE('',*,*,#224404,.F.); #314971=ORIENTED_EDGE('',*,*,#224376,.F.); #314972=ORIENTED_EDGE('',*,*,#224403,.T.); #314973=ORIENTED_EDGE('',*,*,#224399,.T.); #314974=ORIENTED_EDGE('',*,*,#224405,.F.); #314975=ORIENTED_EDGE('',*,*,#224377,.F.); #314976=ORIENTED_EDGE('',*,*,#224404,.T.); #314977=ORIENTED_EDGE('',*,*,#224398,.T.); #314978=ORIENTED_EDGE('',*,*,#224406,.F.); #314979=ORIENTED_EDGE('',*,*,#224378,.F.); #314980=ORIENTED_EDGE('',*,*,#224405,.T.); #314981=ORIENTED_EDGE('',*,*,#224397,.T.); #314982=ORIENTED_EDGE('',*,*,#224407,.F.); #314983=ORIENTED_EDGE('',*,*,#224379,.F.); #314984=ORIENTED_EDGE('',*,*,#224406,.T.); #314985=ORIENTED_EDGE('',*,*,#224396,.T.); #314986=ORIENTED_EDGE('',*,*,#224408,.F.); #314987=ORIENTED_EDGE('',*,*,#224380,.F.); #314988=ORIENTED_EDGE('',*,*,#224407,.T.); #314989=ORIENTED_EDGE('',*,*,#224395,.T.); #314990=ORIENTED_EDGE('',*,*,#224266,.F.); #314991=ORIENTED_EDGE('',*,*,#224381,.F.); #314992=ORIENTED_EDGE('',*,*,#224408,.T.); #314993=ORIENTED_EDGE('',*,*,#224402,.F.); #314994=ORIENTED_EDGE('',*,*,#223878,.F.); #314995=ORIENTED_EDGE('',*,*,#224388,.T.); #314996=ORIENTED_EDGE('',*,*,#224409,.T.); #314997=ORIENTED_EDGE('',*,*,#224389,.F.); #314998=ORIENTED_EDGE('',*,*,#224409,.F.); #314999=ORIENTED_EDGE('',*,*,#224387,.T.); #315000=ORIENTED_EDGE('',*,*,#224410,.T.); #315001=ORIENTED_EDGE('',*,*,#224390,.F.); #315002=ORIENTED_EDGE('',*,*,#224410,.F.); #315003=ORIENTED_EDGE('',*,*,#224386,.T.); #315004=ORIENTED_EDGE('',*,*,#224411,.T.); #315005=ORIENTED_EDGE('',*,*,#224391,.F.); #315006=ORIENTED_EDGE('',*,*,#224411,.F.); #315007=ORIENTED_EDGE('',*,*,#224385,.T.); #315008=ORIENTED_EDGE('',*,*,#224412,.T.); #315009=ORIENTED_EDGE('',*,*,#224392,.F.); #315010=ORIENTED_EDGE('',*,*,#224412,.F.); #315011=ORIENTED_EDGE('',*,*,#224384,.T.); #315012=ORIENTED_EDGE('',*,*,#224413,.T.); #315013=ORIENTED_EDGE('',*,*,#224393,.F.); #315014=ORIENTED_EDGE('',*,*,#224413,.F.); #315015=ORIENTED_EDGE('',*,*,#224383,.T.); #315016=ORIENTED_EDGE('',*,*,#224414,.T.); #315017=ORIENTED_EDGE('',*,*,#224394,.F.); #315018=ORIENTED_EDGE('',*,*,#224414,.F.); #315019=ORIENTED_EDGE('',*,*,#224382,.T.); #315020=ORIENTED_EDGE('',*,*,#223882,.T.); #315021=ORIENTED_EDGE('',*,*,#223845,.T.); #315022=ORIENTED_EDGE('',*,*,#224064,.F.); #315023=ORIENTED_EDGE('',*,*,#223797,.F.); #315024=ORIENTED_EDGE('',*,*,#224415,.T.); #315025=ORIENTED_EDGE('',*,*,#223800,.F.); #315026=ORIENTED_EDGE('',*,*,#224416,.T.); #315027=ORIENTED_EDGE('',*,*,#223850,.T.); #315028=ORIENTED_EDGE('',*,*,#224417,.F.); #315029=ORIENTED_EDGE('',*,*,#223849,.T.); #315030=ORIENTED_EDGE('',*,*,#224066,.F.); #315031=ORIENTED_EDGE('',*,*,#223801,.F.); #315032=ORIENTED_EDGE('',*,*,#224417,.T.); #315033=ORIENTED_EDGE('',*,*,#223804,.F.); #315034=ORIENTED_EDGE('',*,*,#224418,.T.); #315035=ORIENTED_EDGE('',*,*,#223846,.T.); #315036=ORIENTED_EDGE('',*,*,#224415,.F.); #315037=ORIENTED_EDGE('',*,*,#224419,.T.); #315038=ORIENTED_EDGE('',*,*,#224420,.T.); #315039=ORIENTED_EDGE('',*,*,#224421,.T.); #315040=ORIENTED_EDGE('',*,*,#224422,.T.); #315041=ORIENTED_EDGE('',*,*,#224423,.T.); #315042=ORIENTED_EDGE('',*,*,#224424,.T.); #315043=ORIENTED_EDGE('',*,*,#224425,.T.); #315044=ORIENTED_EDGE('',*,*,#224416,.F.); #315045=ORIENTED_EDGE('',*,*,#224426,.F.); #315046=ORIENTED_EDGE('',*,*,#224427,.F.); #315047=ORIENTED_EDGE('',*,*,#224428,.F.); #315048=ORIENTED_EDGE('',*,*,#224429,.F.); #315049=ORIENTED_EDGE('',*,*,#224430,.F.); #315050=ORIENTED_EDGE('',*,*,#224431,.F.); #315051=ORIENTED_EDGE('',*,*,#224432,.F.); #315052=ORIENTED_EDGE('',*,*,#224065,.T.); #315053=ORIENTED_EDGE('',*,*,#224433,.T.); #315054=ORIENTED_EDGE('',*,*,#224434,.T.); #315055=ORIENTED_EDGE('',*,*,#224435,.T.); #315056=ORIENTED_EDGE('',*,*,#224436,.T.); #315057=ORIENTED_EDGE('',*,*,#224437,.T.); #315058=ORIENTED_EDGE('',*,*,#224438,.T.); #315059=ORIENTED_EDGE('',*,*,#224063,.T.); #315060=ORIENTED_EDGE('',*,*,#224439,.F.); #315061=ORIENTED_EDGE('',*,*,#224440,.F.); #315062=ORIENTED_EDGE('',*,*,#224441,.F.); #315063=ORIENTED_EDGE('',*,*,#224442,.F.); #315064=ORIENTED_EDGE('',*,*,#224443,.F.); #315065=ORIENTED_EDGE('',*,*,#224444,.F.); #315066=ORIENTED_EDGE('',*,*,#224445,.F.); #315067=ORIENTED_EDGE('',*,*,#224418,.F.); #315068=ORIENTED_EDGE('',*,*,#224446,.T.); #315069=ORIENTED_EDGE('',*,*,#224445,.T.); #315070=ORIENTED_EDGE('',*,*,#224447,.F.); #315071=ORIENTED_EDGE('',*,*,#224419,.F.); #315072=ORIENTED_EDGE('',*,*,#223847,.T.); #315073=ORIENTED_EDGE('',*,*,#224444,.T.); #315074=ORIENTED_EDGE('',*,*,#224448,.F.); #315075=ORIENTED_EDGE('',*,*,#224420,.F.); #315076=ORIENTED_EDGE('',*,*,#224447,.T.); #315077=ORIENTED_EDGE('',*,*,#224443,.T.); #315078=ORIENTED_EDGE('',*,*,#224449,.F.); #315079=ORIENTED_EDGE('',*,*,#224421,.F.); #315080=ORIENTED_EDGE('',*,*,#224448,.T.); #315081=ORIENTED_EDGE('',*,*,#224442,.T.); #315082=ORIENTED_EDGE('',*,*,#224450,.F.); #315083=ORIENTED_EDGE('',*,*,#224422,.F.); #315084=ORIENTED_EDGE('',*,*,#224449,.T.); #315085=ORIENTED_EDGE('',*,*,#224441,.T.); #315086=ORIENTED_EDGE('',*,*,#224451,.F.); #315087=ORIENTED_EDGE('',*,*,#224423,.F.); #315088=ORIENTED_EDGE('',*,*,#224450,.T.); #315089=ORIENTED_EDGE('',*,*,#224440,.T.); #315090=ORIENTED_EDGE('',*,*,#224452,.F.); #315091=ORIENTED_EDGE('',*,*,#224424,.F.); #315092=ORIENTED_EDGE('',*,*,#224451,.T.); #315093=ORIENTED_EDGE('',*,*,#224439,.T.); #315094=ORIENTED_EDGE('',*,*,#223851,.F.); #315095=ORIENTED_EDGE('',*,*,#224425,.F.); #315096=ORIENTED_EDGE('',*,*,#224452,.T.); #315097=ORIENTED_EDGE('',*,*,#224446,.F.); #315098=ORIENTED_EDGE('',*,*,#223803,.F.); #315099=ORIENTED_EDGE('',*,*,#224432,.T.); #315100=ORIENTED_EDGE('',*,*,#224453,.T.); #315101=ORIENTED_EDGE('',*,*,#224433,.F.); #315102=ORIENTED_EDGE('',*,*,#224453,.F.); #315103=ORIENTED_EDGE('',*,*,#224431,.T.); #315104=ORIENTED_EDGE('',*,*,#224454,.T.); #315105=ORIENTED_EDGE('',*,*,#224434,.F.); #315106=ORIENTED_EDGE('',*,*,#224454,.F.); #315107=ORIENTED_EDGE('',*,*,#224430,.T.); #315108=ORIENTED_EDGE('',*,*,#224455,.T.); #315109=ORIENTED_EDGE('',*,*,#224435,.F.); #315110=ORIENTED_EDGE('',*,*,#224455,.F.); #315111=ORIENTED_EDGE('',*,*,#224429,.T.); #315112=ORIENTED_EDGE('',*,*,#224456,.T.); #315113=ORIENTED_EDGE('',*,*,#224436,.F.); #315114=ORIENTED_EDGE('',*,*,#224456,.F.); #315115=ORIENTED_EDGE('',*,*,#224428,.T.); #315116=ORIENTED_EDGE('',*,*,#224457,.T.); #315117=ORIENTED_EDGE('',*,*,#224437,.F.); #315118=ORIENTED_EDGE('',*,*,#224457,.F.); #315119=ORIENTED_EDGE('',*,*,#224427,.T.); #315120=ORIENTED_EDGE('',*,*,#224458,.T.); #315121=ORIENTED_EDGE('',*,*,#224438,.F.); #315122=ORIENTED_EDGE('',*,*,#224458,.F.); #315123=ORIENTED_EDGE('',*,*,#224426,.T.); #315124=ORIENTED_EDGE('',*,*,#223799,.T.); #315125=ORIENTED_EDGE('',*,*,#224459,.T.); #315126=ORIENTED_EDGE('',*,*,#224460,.T.); #315127=ORIENTED_EDGE('',*,*,#224461,.T.); #315128=ORIENTED_EDGE('',*,*,#224462,.F.); #315129=ORIENTED_EDGE('',*,*,#224463,.T.); #315130=ORIENTED_EDGE('',*,*,#224462,.T.); #315131=ORIENTED_EDGE('',*,*,#224464,.T.); #315132=ORIENTED_EDGE('',*,*,#224465,.F.); #315133=ORIENTED_EDGE('',*,*,#224466,.T.); #315134=ORIENTED_EDGE('',*,*,#224465,.T.); #315135=ORIENTED_EDGE('',*,*,#224467,.T.); #315136=ORIENTED_EDGE('',*,*,#224468,.F.); #315137=ORIENTED_EDGE('',*,*,#224469,.T.); #315138=ORIENTED_EDGE('',*,*,#224287,.T.); #315139=ORIENTED_EDGE('',*,*,#224470,.T.); #315140=ORIENTED_EDGE('',*,*,#224460,.F.); #315141=ORIENTED_EDGE('',*,*,#224286,.F.); #315142=ORIENTED_EDGE('',*,*,#224471,.T.); #315143=ORIENTED_EDGE('',*,*,#224472,.F.); #315144=ORIENTED_EDGE('',*,*,#224467,.F.); #315145=ORIENTED_EDGE('',*,*,#224464,.F.); #315146=ORIENTED_EDGE('',*,*,#224461,.F.); #315147=ORIENTED_EDGE('',*,*,#224470,.F.); #315148=ORIENTED_EDGE('',*,*,#224473,.F.); #315149=ORIENTED_EDGE('',*,*,#224474,.T.); #315150=ORIENTED_EDGE('',*,*,#224468,.T.); #315151=ORIENTED_EDGE('',*,*,#224472,.T.); #315152=ORIENTED_EDGE('',*,*,#224475,.F.); #315153=ORIENTED_EDGE('',*,*,#224476,.F.); #315154=ORIENTED_EDGE('',*,*,#224288,.F.); #315155=ORIENTED_EDGE('',*,*,#224469,.F.); #315156=ORIENTED_EDGE('',*,*,#224459,.F.); #315157=ORIENTED_EDGE('',*,*,#224463,.F.); #315158=ORIENTED_EDGE('',*,*,#224466,.F.); #315159=ORIENTED_EDGE('',*,*,#224474,.F.); #315160=ORIENTED_EDGE('',*,*,#224060,.F.); #315161=ORIENTED_EDGE('',*,*,#224477,.F.); #315162=ORIENTED_EDGE('',*,*,#224473,.T.); #315163=ORIENTED_EDGE('',*,*,#224475,.T.); #315164=ORIENTED_EDGE('',*,*,#224478,.T.); #315165=ORIENTED_EDGE('',*,*,#224061,.F.); #315166=ORIENTED_EDGE('',*,*,#224478,.F.); #315167=ORIENTED_EDGE('',*,*,#224471,.F.); #315168=ORIENTED_EDGE('',*,*,#224285,.F.); #315169=ORIENTED_EDGE('',*,*,#223810,.T.); #315170=ORIENTED_EDGE('',*,*,#224477,.T.); #315171=ORIENTED_EDGE('',*,*,#223793,.F.); #315172=ORIENTED_EDGE('',*,*,#224289,.T.); #315173=ORIENTED_EDGE('',*,*,#224476,.T.); #315174=ORIENTED_EDGE('',*,*,#224479,.T.); #315175=ORIENTED_EDGE('',*,*,#224480,.T.); #315176=ORIENTED_EDGE('',*,*,#224114,.F.); #315177=ORIENTED_EDGE('',*,*,#224481,.T.); #315178=ORIENTED_EDGE('',*,*,#224482,.T.); #315179=ORIENTED_EDGE('',*,*,#224483,.T.); #315180=ORIENTED_EDGE('',*,*,#224479,.F.); #315181=ORIENTED_EDGE('',*,*,#224484,.T.); #315182=ORIENTED_EDGE('',*,*,#224112,.T.); #315183=ORIENTED_EDGE('',*,*,#224485,.T.); #315184=ORIENTED_EDGE('',*,*,#224482,.F.); #315185=ORIENTED_EDGE('',*,*,#224486,.T.); #315186=ORIENTED_EDGE('',*,*,#224113,.F.); #315187=ORIENTED_EDGE('',*,*,#224486,.F.); #315188=ORIENTED_EDGE('',*,*,#224484,.F.); #315189=ORIENTED_EDGE('',*,*,#224481,.F.); #315190=ORIENTED_EDGE('',*,*,#224485,.F.); #315191=ORIENTED_EDGE('',*,*,#224117,.F.); #315192=ORIENTED_EDGE('',*,*,#224487,.F.); #315193=ORIENTED_EDGE('',*,*,#224487,.T.); #315194=ORIENTED_EDGE('',*,*,#224116,.T.); #315195=ORIENTED_EDGE('',*,*,#224488,.F.); #315196=ORIENTED_EDGE('',*,*,#224483,.F.); #315197=ORIENTED_EDGE('',*,*,#224115,.F.); #315198=ORIENTED_EDGE('',*,*,#224480,.F.); #315199=ORIENTED_EDGE('',*,*,#224488,.T.); #315200=ORIENTED_EDGE('',*,*,#224489,.F.); #315201=ORIENTED_EDGE('',*,*,#224490,.F.); #315202=ORIENTED_EDGE('',*,*,#224491,.T.); #315203=ORIENTED_EDGE('',*,*,#224056,.T.); #315204=ORIENTED_EDGE('',*,*,#224492,.F.); #315205=ORIENTED_EDGE('',*,*,#224058,.F.); #315206=ORIENTED_EDGE('',*,*,#224493,.T.); #315207=ORIENTED_EDGE('',*,*,#224494,.T.); #315208=ORIENTED_EDGE('',*,*,#224495,.F.); #315209=ORIENTED_EDGE('',*,*,#224494,.F.); #315210=ORIENTED_EDGE('',*,*,#224496,.T.); #315211=ORIENTED_EDGE('',*,*,#224490,.T.); #315212=ORIENTED_EDGE('',*,*,#224491,.F.); #315213=ORIENTED_EDGE('',*,*,#224496,.F.); #315214=ORIENTED_EDGE('',*,*,#224493,.F.); #315215=ORIENTED_EDGE('',*,*,#224057,.F.); #315216=ORIENTED_EDGE('',*,*,#224489,.T.); #315217=ORIENTED_EDGE('',*,*,#224059,.T.); #315218=ORIENTED_EDGE('',*,*,#224492,.T.); #315219=ORIENTED_EDGE('',*,*,#224495,.T.); #315220=ORIENTED_EDGE('',*,*,#223917,.T.); #315221=ORIENTED_EDGE('',*,*,#224497,.T.); #315222=ORIENTED_EDGE('',*,*,#224245,.F.); #315223=ORIENTED_EDGE('',*,*,#224498,.F.); #315224=ORIENTED_EDGE('',*,*,#224231,.F.); #315225=ORIENTED_EDGE('',*,*,#224499,.T.); #315226=ORIENTED_EDGE('',*,*,#223931,.T.); #315227=ORIENTED_EDGE('',*,*,#224500,.T.); #315228=ORIENTED_EDGE('',*,*,#224232,.F.); #315229=ORIENTED_EDGE('',*,*,#224500,.F.); #315230=ORIENTED_EDGE('',*,*,#223930,.T.); #315231=ORIENTED_EDGE('',*,*,#224501,.T.); #315232=ORIENTED_EDGE('',*,*,#224233,.F.); #315233=ORIENTED_EDGE('',*,*,#224501,.F.); #315234=ORIENTED_EDGE('',*,*,#223929,.T.); #315235=ORIENTED_EDGE('',*,*,#224502,.T.); #315236=ORIENTED_EDGE('',*,*,#224234,.F.); #315237=ORIENTED_EDGE('',*,*,#224502,.F.); #315238=ORIENTED_EDGE('',*,*,#223928,.T.); #315239=ORIENTED_EDGE('',*,*,#224503,.T.); #315240=ORIENTED_EDGE('',*,*,#224235,.F.); #315241=ORIENTED_EDGE('',*,*,#224503,.F.); #315242=ORIENTED_EDGE('',*,*,#223927,.T.); #315243=ORIENTED_EDGE('',*,*,#224504,.T.); #315244=ORIENTED_EDGE('',*,*,#224236,.F.); #315245=ORIENTED_EDGE('',*,*,#224504,.F.); #315246=ORIENTED_EDGE('',*,*,#223926,.T.); #315247=ORIENTED_EDGE('',*,*,#224505,.T.); #315248=ORIENTED_EDGE('',*,*,#224237,.F.); #315249=ORIENTED_EDGE('',*,*,#224505,.F.); #315250=ORIENTED_EDGE('',*,*,#223925,.T.); #315251=ORIENTED_EDGE('',*,*,#224506,.T.); #315252=ORIENTED_EDGE('',*,*,#224238,.F.); #315253=ORIENTED_EDGE('',*,*,#224506,.F.); #315254=ORIENTED_EDGE('',*,*,#223924,.T.); #315255=ORIENTED_EDGE('',*,*,#224507,.T.); #315256=ORIENTED_EDGE('',*,*,#224239,.F.); #315257=ORIENTED_EDGE('',*,*,#224507,.F.); #315258=ORIENTED_EDGE('',*,*,#223923,.T.); #315259=ORIENTED_EDGE('',*,*,#224508,.T.); #315260=ORIENTED_EDGE('',*,*,#224240,.F.); #315261=ORIENTED_EDGE('',*,*,#224508,.F.); #315262=ORIENTED_EDGE('',*,*,#223922,.T.); #315263=ORIENTED_EDGE('',*,*,#224509,.T.); #315264=ORIENTED_EDGE('',*,*,#224241,.F.); #315265=ORIENTED_EDGE('',*,*,#224509,.F.); #315266=ORIENTED_EDGE('',*,*,#223921,.T.); #315267=ORIENTED_EDGE('',*,*,#224510,.T.); #315268=ORIENTED_EDGE('',*,*,#224242,.F.); #315269=ORIENTED_EDGE('',*,*,#224510,.F.); #315270=ORIENTED_EDGE('',*,*,#223920,.T.); #315271=ORIENTED_EDGE('',*,*,#224511,.T.); #315272=ORIENTED_EDGE('',*,*,#224243,.F.); #315273=ORIENTED_EDGE('',*,*,#224511,.F.); #315274=ORIENTED_EDGE('',*,*,#223919,.T.); #315275=ORIENTED_EDGE('',*,*,#224512,.T.); #315276=ORIENTED_EDGE('',*,*,#224244,.F.); #315277=ORIENTED_EDGE('',*,*,#224512,.F.); #315278=ORIENTED_EDGE('',*,*,#223918,.T.); #315279=ORIENTED_EDGE('',*,*,#224498,.T.); #315280=ORIENTED_EDGE('',*,*,#223895,.F.); #315281=ORIENTED_EDGE('',*,*,#224513,.T.); #315282=ORIENTED_EDGE('',*,*,#224226,.T.); #315283=ORIENTED_EDGE('',*,*,#224514,.F.); #315284=ORIENTED_EDGE('',*,*,#224225,.T.); #315285=ORIENTED_EDGE('',*,*,#224515,.F.); #315286=ORIENTED_EDGE('',*,*,#223896,.F.); #315287=ORIENTED_EDGE('',*,*,#224514,.T.); #315288=ORIENTED_EDGE('',*,*,#224224,.T.); #315289=ORIENTED_EDGE('',*,*,#224516,.F.); #315290=ORIENTED_EDGE('',*,*,#223897,.F.); #315291=ORIENTED_EDGE('',*,*,#224515,.T.); #315292=ORIENTED_EDGE('',*,*,#224223,.T.); #315293=ORIENTED_EDGE('',*,*,#224517,.F.); #315294=ORIENTED_EDGE('',*,*,#223898,.F.); #315295=ORIENTED_EDGE('',*,*,#224516,.T.); #315296=ORIENTED_EDGE('',*,*,#224222,.T.); #315297=ORIENTED_EDGE('',*,*,#224518,.F.); #315298=ORIENTED_EDGE('',*,*,#223899,.F.); #315299=ORIENTED_EDGE('',*,*,#224517,.T.); #315300=ORIENTED_EDGE('',*,*,#224221,.T.); #315301=ORIENTED_EDGE('',*,*,#224519,.F.); #315302=ORIENTED_EDGE('',*,*,#223900,.F.); #315303=ORIENTED_EDGE('',*,*,#224518,.T.); #315304=ORIENTED_EDGE('',*,*,#224220,.T.); #315305=ORIENTED_EDGE('',*,*,#224520,.F.); #315306=ORIENTED_EDGE('',*,*,#223901,.F.); #315307=ORIENTED_EDGE('',*,*,#224519,.T.); #315308=ORIENTED_EDGE('',*,*,#224219,.T.); #315309=ORIENTED_EDGE('',*,*,#224521,.F.); #315310=ORIENTED_EDGE('',*,*,#223902,.F.); #315311=ORIENTED_EDGE('',*,*,#224520,.T.); #315312=ORIENTED_EDGE('',*,*,#224218,.T.); #315313=ORIENTED_EDGE('',*,*,#224522,.F.); #315314=ORIENTED_EDGE('',*,*,#223903,.F.); #315315=ORIENTED_EDGE('',*,*,#224521,.T.); #315316=ORIENTED_EDGE('',*,*,#224217,.T.); #315317=ORIENTED_EDGE('',*,*,#224523,.F.); #315318=ORIENTED_EDGE('',*,*,#223904,.F.); #315319=ORIENTED_EDGE('',*,*,#224522,.T.); #315320=ORIENTED_EDGE('',*,*,#224216,.T.); #315321=ORIENTED_EDGE('',*,*,#224524,.F.); #315322=ORIENTED_EDGE('',*,*,#223905,.F.); #315323=ORIENTED_EDGE('',*,*,#224523,.T.); #315324=ORIENTED_EDGE('',*,*,#224215,.T.); #315325=ORIENTED_EDGE('',*,*,#224525,.T.); #315326=ORIENTED_EDGE('',*,*,#224526,.F.); #315327=ORIENTED_EDGE('',*,*,#223906,.F.); #315328=ORIENTED_EDGE('',*,*,#224524,.T.); #315329=ORIENTED_EDGE('',*,*,#224526,.T.); #315330=ORIENTED_EDGE('',*,*,#224527,.T.); #315331=ORIENTED_EDGE('',*,*,#224528,.F.); #315332=ORIENTED_EDGE('',*,*,#223907,.F.); #315333=ORIENTED_EDGE('',*,*,#224528,.T.); #315334=ORIENTED_EDGE('',*,*,#224006,.T.); #315335=ORIENTED_EDGE('',*,*,#224529,.F.); #315336=ORIENTED_EDGE('',*,*,#223908,.F.); #315337=ORIENTED_EDGE('',*,*,#224529,.T.); #315338=ORIENTED_EDGE('',*,*,#224005,.T.); #315339=ORIENTED_EDGE('',*,*,#224530,.F.); #315340=ORIENTED_EDGE('',*,*,#223909,.F.); #315341=ORIENTED_EDGE('',*,*,#224004,.T.); #315342=ORIENTED_EDGE('',*,*,#224255,.T.); #315343=ORIENTED_EDGE('',*,*,#224009,.F.); #315344=ORIENTED_EDGE('',*,*,#223910,.F.); #315345=ORIENTED_EDGE('',*,*,#224530,.T.); #315346=ORIENTED_EDGE('',*,*,#224525,.F.); #315347=ORIENTED_EDGE('',*,*,#224214,.F.); #315348=ORIENTED_EDGE('',*,*,#224007,.T.); #315349=ORIENTED_EDGE('',*,*,#224527,.F.); #315350=ORIENTED_EDGE('',*,*,#224299,.F.); #315351=ORIENTED_EDGE('',*,*,#224227,.F.); #315352=ORIENTED_EDGE('',*,*,#224513,.F.); #315353=ORIENTED_EDGE('',*,*,#223894,.F.); #315354=ORIENTED_EDGE('',*,*,#224321,.F.); #315355=ORIENTED_EDGE('',*,*,#223932,.F.); #315356=ORIENTED_EDGE('',*,*,#224499,.F.); #315357=ORIENTED_EDGE('',*,*,#224230,.F.); #315358=ORIENTED_EDGE('',*,*,#224317,.F.); #315359=ORIENTED_EDGE('',*,*,#224246,.F.); #315360=ORIENTED_EDGE('',*,*,#224497,.F.); #315361=ORIENTED_EDGE('',*,*,#223916,.F.); #315362=ORIENTED_EDGE('',*,*,#224267,.T.); #315363=ORIENTED_EDGE('',*,*,#223998,.F.); #315364=ORIENTED_EDGE('',*,*,#223885,.F.); #315365=ORIENTED_EDGE('',*,*,#224531,.T.); #315366=ORIENTED_EDGE('',*,*,#223888,.F.); #315367=ORIENTED_EDGE('',*,*,#224532,.T.); #315368=ORIENTED_EDGE('',*,*,#224271,.T.); #315369=ORIENTED_EDGE('',*,*,#224533,.F.); #315370=ORIENTED_EDGE('',*,*,#224270,.T.); #315371=ORIENTED_EDGE('',*,*,#224001,.F.); #315372=ORIENTED_EDGE('',*,*,#223889,.F.); #315373=ORIENTED_EDGE('',*,*,#224533,.T.); #315374=ORIENTED_EDGE('',*,*,#223892,.F.); #315375=ORIENTED_EDGE('',*,*,#224534,.T.); #315376=ORIENTED_EDGE('',*,*,#224268,.T.); #315377=ORIENTED_EDGE('',*,*,#224531,.F.); #315378=ORIENTED_EDGE('',*,*,#224535,.T.); #315379=ORIENTED_EDGE('',*,*,#224536,.T.); #315380=ORIENTED_EDGE('',*,*,#224537,.T.); #315381=ORIENTED_EDGE('',*,*,#224538,.T.); #315382=ORIENTED_EDGE('',*,*,#224539,.T.); #315383=ORIENTED_EDGE('',*,*,#224540,.T.); #315384=ORIENTED_EDGE('',*,*,#224541,.T.); #315385=ORIENTED_EDGE('',*,*,#224532,.F.); #315386=ORIENTED_EDGE('',*,*,#224542,.F.); #315387=ORIENTED_EDGE('',*,*,#224543,.F.); #315388=ORIENTED_EDGE('',*,*,#224544,.F.); #315389=ORIENTED_EDGE('',*,*,#224545,.F.); #315390=ORIENTED_EDGE('',*,*,#224546,.F.); #315391=ORIENTED_EDGE('',*,*,#224547,.F.); #315392=ORIENTED_EDGE('',*,*,#224548,.F.); #315393=ORIENTED_EDGE('',*,*,#224000,.T.); #315394=ORIENTED_EDGE('',*,*,#224549,.T.); #315395=ORIENTED_EDGE('',*,*,#224550,.T.); #315396=ORIENTED_EDGE('',*,*,#224551,.T.); #315397=ORIENTED_EDGE('',*,*,#224552,.T.); #315398=ORIENTED_EDGE('',*,*,#224553,.T.); #315399=ORIENTED_EDGE('',*,*,#224554,.T.); #315400=ORIENTED_EDGE('',*,*,#223997,.T.); #315401=ORIENTED_EDGE('',*,*,#224555,.F.); #315402=ORIENTED_EDGE('',*,*,#224556,.F.); #315403=ORIENTED_EDGE('',*,*,#224557,.F.); #315404=ORIENTED_EDGE('',*,*,#224558,.F.); #315405=ORIENTED_EDGE('',*,*,#224559,.F.); #315406=ORIENTED_EDGE('',*,*,#224560,.F.); #315407=ORIENTED_EDGE('',*,*,#224561,.F.); #315408=ORIENTED_EDGE('',*,*,#224534,.F.); #315409=ORIENTED_EDGE('',*,*,#224562,.T.); #315410=ORIENTED_EDGE('',*,*,#224561,.T.); #315411=ORIENTED_EDGE('',*,*,#224563,.F.); #315412=ORIENTED_EDGE('',*,*,#224535,.F.); #315413=ORIENTED_EDGE('',*,*,#224269,.T.); #315414=ORIENTED_EDGE('',*,*,#224560,.T.); #315415=ORIENTED_EDGE('',*,*,#224564,.F.); #315416=ORIENTED_EDGE('',*,*,#224536,.F.); #315417=ORIENTED_EDGE('',*,*,#224563,.T.); #315418=ORIENTED_EDGE('',*,*,#224559,.T.); #315419=ORIENTED_EDGE('',*,*,#224565,.F.); #315420=ORIENTED_EDGE('',*,*,#224537,.F.); #315421=ORIENTED_EDGE('',*,*,#224564,.T.); #315422=ORIENTED_EDGE('',*,*,#224558,.T.); #315423=ORIENTED_EDGE('',*,*,#224566,.F.); #315424=ORIENTED_EDGE('',*,*,#224538,.F.); #315425=ORIENTED_EDGE('',*,*,#224565,.T.); #315426=ORIENTED_EDGE('',*,*,#224557,.T.); #315427=ORIENTED_EDGE('',*,*,#224567,.F.); #315428=ORIENTED_EDGE('',*,*,#224539,.F.); #315429=ORIENTED_EDGE('',*,*,#224566,.T.); #315430=ORIENTED_EDGE('',*,*,#224556,.T.); #315431=ORIENTED_EDGE('',*,*,#224568,.F.); #315432=ORIENTED_EDGE('',*,*,#224540,.F.); #315433=ORIENTED_EDGE('',*,*,#224567,.T.); #315434=ORIENTED_EDGE('',*,*,#224555,.T.); #315435=ORIENTED_EDGE('',*,*,#224272,.F.); #315436=ORIENTED_EDGE('',*,*,#224541,.F.); #315437=ORIENTED_EDGE('',*,*,#224568,.T.); #315438=ORIENTED_EDGE('',*,*,#224562,.F.); #315439=ORIENTED_EDGE('',*,*,#223891,.F.); #315440=ORIENTED_EDGE('',*,*,#224548,.T.); #315441=ORIENTED_EDGE('',*,*,#224569,.T.); #315442=ORIENTED_EDGE('',*,*,#224549,.F.); #315443=ORIENTED_EDGE('',*,*,#224569,.F.); #315444=ORIENTED_EDGE('',*,*,#224547,.T.); #315445=ORIENTED_EDGE('',*,*,#224570,.T.); #315446=ORIENTED_EDGE('',*,*,#224550,.F.); #315447=ORIENTED_EDGE('',*,*,#224570,.F.); #315448=ORIENTED_EDGE('',*,*,#224546,.T.); #315449=ORIENTED_EDGE('',*,*,#224571,.T.); #315450=ORIENTED_EDGE('',*,*,#224551,.F.); #315451=ORIENTED_EDGE('',*,*,#224571,.F.); #315452=ORIENTED_EDGE('',*,*,#224545,.T.); #315453=ORIENTED_EDGE('',*,*,#224572,.T.); #315454=ORIENTED_EDGE('',*,*,#224552,.F.); #315455=ORIENTED_EDGE('',*,*,#224572,.F.); #315456=ORIENTED_EDGE('',*,*,#224544,.T.); #315457=ORIENTED_EDGE('',*,*,#224573,.T.); #315458=ORIENTED_EDGE('',*,*,#224553,.F.); #315459=ORIENTED_EDGE('',*,*,#224573,.F.); #315460=ORIENTED_EDGE('',*,*,#224543,.T.); #315461=ORIENTED_EDGE('',*,*,#224574,.T.); #315462=ORIENTED_EDGE('',*,*,#224554,.F.); #315463=ORIENTED_EDGE('',*,*,#224574,.F.); #315464=ORIENTED_EDGE('',*,*,#224542,.T.); #315465=ORIENTED_EDGE('',*,*,#223887,.T.); #315466=ORIENTED_EDGE('',*,*,#223985,.F.); #315467=ORIENTED_EDGE('',*,*,#224575,.T.); #315468=ORIENTED_EDGE('',*,*,#224160,.T.); #315469=ORIENTED_EDGE('',*,*,#224576,.F.); #315470=ORIENTED_EDGE('',*,*,#224159,.T.); #315471=ORIENTED_EDGE('',*,*,#223995,.F.); #315472=ORIENTED_EDGE('',*,*,#223986,.F.); #315473=ORIENTED_EDGE('',*,*,#224576,.T.); #315474=ORIENTED_EDGE('',*,*,#223989,.F.); #315475=ORIENTED_EDGE('',*,*,#224577,.T.); #315476=ORIENTED_EDGE('',*,*,#224163,.T.); #315477=ORIENTED_EDGE('',*,*,#224578,.F.); #315478=ORIENTED_EDGE('',*,*,#224162,.T.); #315479=ORIENTED_EDGE('',*,*,#223992,.F.); #315480=ORIENTED_EDGE('',*,*,#223990,.F.); #315481=ORIENTED_EDGE('',*,*,#224578,.T.); #315482=ORIENTED_EDGE('',*,*,#224579,.T.); #315483=ORIENTED_EDGE('',*,*,#224580,.T.); #315484=ORIENTED_EDGE('',*,*,#224581,.T.); #315485=ORIENTED_EDGE('',*,*,#224582,.T.); #315486=ORIENTED_EDGE('',*,*,#224583,.T.); #315487=ORIENTED_EDGE('',*,*,#224584,.T.); #315488=ORIENTED_EDGE('',*,*,#224585,.T.); #315489=ORIENTED_EDGE('',*,*,#224577,.F.); #315490=ORIENTED_EDGE('',*,*,#224586,.F.); #315491=ORIENTED_EDGE('',*,*,#224587,.F.); #315492=ORIENTED_EDGE('',*,*,#224588,.F.); #315493=ORIENTED_EDGE('',*,*,#224589,.F.); #315494=ORIENTED_EDGE('',*,*,#224590,.F.); #315495=ORIENTED_EDGE('',*,*,#224591,.F.); #315496=ORIENTED_EDGE('',*,*,#224592,.F.); #315497=ORIENTED_EDGE('',*,*,#223991,.T.); #315498=ORIENTED_EDGE('',*,*,#224593,.T.); #315499=ORIENTED_EDGE('',*,*,#224594,.T.); #315500=ORIENTED_EDGE('',*,*,#224595,.T.); #315501=ORIENTED_EDGE('',*,*,#224596,.T.); #315502=ORIENTED_EDGE('',*,*,#224597,.T.); #315503=ORIENTED_EDGE('',*,*,#224598,.T.); #315504=ORIENTED_EDGE('',*,*,#223994,.T.); #315505=ORIENTED_EDGE('',*,*,#224599,.F.); #315506=ORIENTED_EDGE('',*,*,#224600,.F.); #315507=ORIENTED_EDGE('',*,*,#224601,.F.); #315508=ORIENTED_EDGE('',*,*,#224602,.F.); #315509=ORIENTED_EDGE('',*,*,#224603,.F.); #315510=ORIENTED_EDGE('',*,*,#224604,.F.); #315511=ORIENTED_EDGE('',*,*,#224605,.F.); #315512=ORIENTED_EDGE('',*,*,#224575,.F.); #315513=ORIENTED_EDGE('',*,*,#224606,.T.); #315514=ORIENTED_EDGE('',*,*,#224605,.T.); #315515=ORIENTED_EDGE('',*,*,#224607,.F.); #315516=ORIENTED_EDGE('',*,*,#224579,.F.); #315517=ORIENTED_EDGE('',*,*,#224161,.T.); #315518=ORIENTED_EDGE('',*,*,#224604,.T.); #315519=ORIENTED_EDGE('',*,*,#224608,.F.); #315520=ORIENTED_EDGE('',*,*,#224580,.F.); #315521=ORIENTED_EDGE('',*,*,#224607,.T.); #315522=ORIENTED_EDGE('',*,*,#224603,.T.); #315523=ORIENTED_EDGE('',*,*,#224609,.F.); #315524=ORIENTED_EDGE('',*,*,#224581,.F.); #315525=ORIENTED_EDGE('',*,*,#224608,.T.); #315526=ORIENTED_EDGE('',*,*,#224602,.T.); #315527=ORIENTED_EDGE('',*,*,#224610,.F.); #315528=ORIENTED_EDGE('',*,*,#224582,.F.); #315529=ORIENTED_EDGE('',*,*,#224609,.T.); #315530=ORIENTED_EDGE('',*,*,#224601,.T.); #315531=ORIENTED_EDGE('',*,*,#224611,.F.); #315532=ORIENTED_EDGE('',*,*,#224583,.F.); #315533=ORIENTED_EDGE('',*,*,#224610,.T.); #315534=ORIENTED_EDGE('',*,*,#224600,.T.); #315535=ORIENTED_EDGE('',*,*,#224612,.F.); #315536=ORIENTED_EDGE('',*,*,#224584,.F.); #315537=ORIENTED_EDGE('',*,*,#224611,.T.); #315538=ORIENTED_EDGE('',*,*,#224599,.T.); #315539=ORIENTED_EDGE('',*,*,#224164,.F.); #315540=ORIENTED_EDGE('',*,*,#224585,.F.); #315541=ORIENTED_EDGE('',*,*,#224612,.T.); #315542=ORIENTED_EDGE('',*,*,#224606,.F.); #315543=ORIENTED_EDGE('',*,*,#223984,.F.); #315544=ORIENTED_EDGE('',*,*,#224592,.T.); #315545=ORIENTED_EDGE('',*,*,#224613,.T.); #315546=ORIENTED_EDGE('',*,*,#224593,.F.); #315547=ORIENTED_EDGE('',*,*,#224613,.F.); #315548=ORIENTED_EDGE('',*,*,#224591,.T.); #315549=ORIENTED_EDGE('',*,*,#224614,.T.); #315550=ORIENTED_EDGE('',*,*,#224594,.F.); #315551=ORIENTED_EDGE('',*,*,#224614,.F.); #315552=ORIENTED_EDGE('',*,*,#224590,.T.); #315553=ORIENTED_EDGE('',*,*,#224615,.T.); #315554=ORIENTED_EDGE('',*,*,#224595,.F.); #315555=ORIENTED_EDGE('',*,*,#224615,.F.); #315556=ORIENTED_EDGE('',*,*,#224589,.T.); #315557=ORIENTED_EDGE('',*,*,#224616,.T.); #315558=ORIENTED_EDGE('',*,*,#224596,.F.); #315559=ORIENTED_EDGE('',*,*,#224616,.F.); #315560=ORIENTED_EDGE('',*,*,#224588,.T.); #315561=ORIENTED_EDGE('',*,*,#224617,.T.); #315562=ORIENTED_EDGE('',*,*,#224597,.F.); #315563=ORIENTED_EDGE('',*,*,#224617,.F.); #315564=ORIENTED_EDGE('',*,*,#224587,.T.); #315565=ORIENTED_EDGE('',*,*,#224618,.T.); #315566=ORIENTED_EDGE('',*,*,#224598,.F.); #315567=ORIENTED_EDGE('',*,*,#224618,.F.); #315568=ORIENTED_EDGE('',*,*,#224586,.T.); #315569=ORIENTED_EDGE('',*,*,#223988,.T.); #315570=ORIENTED_EDGE('',*,*,#224619,.T.); #315571=ORIENTED_EDGE('',*,*,#224620,.T.); #315572=ORIENTED_EDGE('',*,*,#224621,.T.); #315573=ORIENTED_EDGE('',*,*,#224622,.F.); #315574=ORIENTED_EDGE('',*,*,#224623,.T.); #315575=ORIENTED_EDGE('',*,*,#224622,.T.); #315576=ORIENTED_EDGE('',*,*,#224624,.T.); #315577=ORIENTED_EDGE('',*,*,#224625,.F.); #315578=ORIENTED_EDGE('',*,*,#224626,.T.); #315579=ORIENTED_EDGE('',*,*,#224625,.T.); #315580=ORIENTED_EDGE('',*,*,#224627,.T.); #315581=ORIENTED_EDGE('',*,*,#223942,.F.); #315582=ORIENTED_EDGE('',*,*,#224628,.T.); #315583=ORIENTED_EDGE('',*,*,#224629,.T.); #315584=ORIENTED_EDGE('',*,*,#224620,.F.); #315585=ORIENTED_EDGE('',*,*,#224630,.T.); #315586=ORIENTED_EDGE('',*,*,#224631,.T.); #315587=ORIENTED_EDGE('',*,*,#224632,.T.); #315588=ORIENTED_EDGE('',*,*,#224633,.T.); #315589=ORIENTED_EDGE('',*,*,#224634,.T.); #315590=ORIENTED_EDGE('',*,*,#224628,.F.); #315591=ORIENTED_EDGE('',*,*,#224634,.F.); #315592=ORIENTED_EDGE('',*,*,#224635,.T.); #315593=ORIENTED_EDGE('',*,*,#223943,.F.); #315594=ORIENTED_EDGE('',*,*,#224627,.F.); #315595=ORIENTED_EDGE('',*,*,#224624,.F.); #315596=ORIENTED_EDGE('',*,*,#224621,.F.); #315597=ORIENTED_EDGE('',*,*,#224629,.F.); #315598=ORIENTED_EDGE('',*,*,#224636,.F.); #315599=ORIENTED_EDGE('',*,*,#224631,.F.); #315600=ORIENTED_EDGE('',*,*,#224630,.F.); #315601=ORIENTED_EDGE('',*,*,#224619,.F.); #315602=ORIENTED_EDGE('',*,*,#224623,.F.); #315603=ORIENTED_EDGE('',*,*,#224626,.F.); #315604=ORIENTED_EDGE('',*,*,#223941,.F.); #315605=ORIENTED_EDGE('',*,*,#224632,.F.); #315606=ORIENTED_EDGE('',*,*,#224637,.F.); #315607=ORIENTED_EDGE('',*,*,#224303,.T.); #315608=ORIENTED_EDGE('',*,*,#224304,.T.); #315609=ORIENTED_EDGE('',*,*,#224638,.T.); #315610=ORIENTED_EDGE('',*,*,#224633,.F.); #315611=ORIENTED_EDGE('',*,*,#223944,.F.); #315612=ORIENTED_EDGE('',*,*,#224635,.F.); #315613=ORIENTED_EDGE('',*,*,#224638,.F.); #315614=ORIENTED_EDGE('',*,*,#224123,.T.); #315615=ORIENTED_EDGE('',*,*,#223940,.T.); #315616=ORIENTED_EDGE('',*,*,#223948,.F.); #315617=ORIENTED_EDGE('',*,*,#224637,.T.); #315618=ORIENTED_EDGE('',*,*,#224636,.T.); #315619=ORIENTED_EDGE('',*,*,#224248,.T.); #315620=ORIENTED_EDGE('',*,*,#224639,.T.); #315621=ORIENTED_EDGE('',*,*,#224640,.F.); #315622=ORIENTED_EDGE('',*,*,#224641,.T.); #315623=ORIENTED_EDGE('',*,*,#224642,.T.); #315624=ORIENTED_EDGE('',*,*,#224643,.T.); #315625=ORIENTED_EDGE('',*,*,#224250,.F.); #315626=ORIENTED_EDGE('',*,*,#224644,.T.); #315627=ORIENTED_EDGE('',*,*,#224640,.T.); #315628=ORIENTED_EDGE('',*,*,#224645,.T.); #315629=ORIENTED_EDGE('',*,*,#224642,.F.); #315630=ORIENTED_EDGE('',*,*,#224646,.T.); #315631=ORIENTED_EDGE('',*,*,#224641,.F.); #315632=ORIENTED_EDGE('',*,*,#224646,.F.); #315633=ORIENTED_EDGE('',*,*,#224644,.F.); #315634=ORIENTED_EDGE('',*,*,#224249,.F.); #315635=ORIENTED_EDGE('',*,*,#224251,.F.); #315636=ORIENTED_EDGE('',*,*,#224643,.F.); #315637=ORIENTED_EDGE('',*,*,#224647,.F.); #315638=ORIENTED_EDGE('',*,*,#224647,.T.); #315639=ORIENTED_EDGE('',*,*,#224645,.F.); #315640=ORIENTED_EDGE('',*,*,#224648,.F.); #315641=ORIENTED_EDGE('',*,*,#224252,.T.); #315642=ORIENTED_EDGE('',*,*,#224648,.T.); #315643=ORIENTED_EDGE('',*,*,#224639,.F.); #315644=ORIENTED_EDGE('',*,*,#224253,.F.); #315645=ORIENTED_EDGE('',*,*,#224649,.F.); #315646=ORIENTED_EDGE('',*,*,#223937,.F.); #315647=ORIENTED_EDGE('',*,*,#224650,.T.); #315648=ORIENTED_EDGE('',*,*,#224651,.T.); #315649=ORIENTED_EDGE('',*,*,#224652,.F.); #315650=ORIENTED_EDGE('',*,*,#224651,.F.); #315651=ORIENTED_EDGE('',*,*,#224653,.T.); #315652=ORIENTED_EDGE('',*,*,#224654,.T.); #315653=ORIENTED_EDGE('',*,*,#224655,.F.); #315654=ORIENTED_EDGE('',*,*,#224654,.F.); #315655=ORIENTED_EDGE('',*,*,#224656,.T.); #315656=ORIENTED_EDGE('',*,*,#223935,.T.); #315657=ORIENTED_EDGE('',*,*,#223936,.F.); #315658=ORIENTED_EDGE('',*,*,#224656,.F.); #315659=ORIENTED_EDGE('',*,*,#224653,.F.); #315660=ORIENTED_EDGE('',*,*,#224650,.F.); #315661=ORIENTED_EDGE('',*,*,#223938,.T.); #315662=ORIENTED_EDGE('',*,*,#224649,.T.); #315663=ORIENTED_EDGE('',*,*,#224652,.T.); #315664=ORIENTED_EDGE('',*,*,#224655,.T.); #315665=ORIENTED_EDGE('',*,*,#224657,.F.); #315666=ORIENTED_EDGE('',*,*,#224658,.T.); #315667=ORIENTED_EDGE('',*,*,#224659,.T.); #315668=ORIENTED_EDGE('',*,*,#224660,.F.); #315669=ORIENTED_EDGE('',*,*,#224659,.F.); #315670=ORIENTED_EDGE('',*,*,#224661,.T.); #315671=ORIENTED_EDGE('',*,*,#224662,.T.); #315672=ORIENTED_EDGE('',*,*,#224663,.F.); #315673=ORIENTED_EDGE('',*,*,#224664,.F.); #315674=ORIENTED_EDGE('',*,*,#224665,.F.); #315675=ORIENTED_EDGE('',*,*,#224666,.T.); #315676=ORIENTED_EDGE('',*,*,#224667,.T.); #315677=ORIENTED_EDGE('',*,*,#224668,.F.); #315678=ORIENTED_EDGE('',*,*,#224667,.F.); #315679=ORIENTED_EDGE('',*,*,#224669,.T.); #315680=ORIENTED_EDGE('',*,*,#224670,.T.); #315681=ORIENTED_EDGE('',*,*,#224671,.F.); #315682=ORIENTED_EDGE('',*,*,#224670,.F.); #315683=ORIENTED_EDGE('',*,*,#224672,.T.); #315684=ORIENTED_EDGE('',*,*,#224673,.T.); #315685=ORIENTED_EDGE('',*,*,#224674,.F.); #315686=ORIENTED_EDGE('',*,*,#224673,.F.); #315687=ORIENTED_EDGE('',*,*,#224675,.T.); #315688=ORIENTED_EDGE('',*,*,#224676,.T.); #315689=ORIENTED_EDGE('',*,*,#224677,.F.); #315690=ORIENTED_EDGE('',*,*,#224676,.F.); #315691=ORIENTED_EDGE('',*,*,#224678,.T.); #315692=ORIENTED_EDGE('',*,*,#224679,.T.); #315693=ORIENTED_EDGE('',*,*,#224680,.F.); #315694=ORIENTED_EDGE('',*,*,#224679,.F.); #315695=ORIENTED_EDGE('',*,*,#224681,.T.); #315696=ORIENTED_EDGE('',*,*,#224682,.T.); #315697=ORIENTED_EDGE('',*,*,#224683,.F.); #315698=ORIENTED_EDGE('',*,*,#224682,.F.); #315699=ORIENTED_EDGE('',*,*,#224684,.T.); #315700=ORIENTED_EDGE('',*,*,#224685,.T.); #315701=ORIENTED_EDGE('',*,*,#224686,.F.); #315702=ORIENTED_EDGE('',*,*,#224685,.F.); #315703=ORIENTED_EDGE('',*,*,#224687,.T.); #315704=ORIENTED_EDGE('',*,*,#224688,.T.); #315705=ORIENTED_EDGE('',*,*,#224689,.F.); #315706=ORIENTED_EDGE('',*,*,#224688,.F.); #315707=ORIENTED_EDGE('',*,*,#224690,.T.); #315708=ORIENTED_EDGE('',*,*,#224691,.T.); #315709=ORIENTED_EDGE('',*,*,#224692,.F.); #315710=ORIENTED_EDGE('',*,*,#224691,.F.); #315711=ORIENTED_EDGE('',*,*,#224693,.T.); #315712=ORIENTED_EDGE('',*,*,#224694,.T.); #315713=ORIENTED_EDGE('',*,*,#224695,.F.); #315714=ORIENTED_EDGE('',*,*,#224694,.F.); #315715=ORIENTED_EDGE('',*,*,#224696,.T.); #315716=ORIENTED_EDGE('',*,*,#224697,.T.); #315717=ORIENTED_EDGE('',*,*,#224698,.F.); #315718=ORIENTED_EDGE('',*,*,#224697,.F.); #315719=ORIENTED_EDGE('',*,*,#224699,.T.); #315720=ORIENTED_EDGE('',*,*,#224665,.T.); #315721=ORIENTED_EDGE('',*,*,#224700,.F.); #315722=ORIENTED_EDGE('',*,*,#224701,.F.); #315723=ORIENTED_EDGE('',*,*,#224702,.T.); #315724=ORIENTED_EDGE('',*,*,#224703,.T.); #315725=ORIENTED_EDGE('',*,*,#224704,.F.); #315726=ORIENTED_EDGE('',*,*,#224705,.F.); #315727=ORIENTED_EDGE('',*,*,#224706,.T.); #315728=ORIENTED_EDGE('',*,*,#224701,.T.); #315729=ORIENTED_EDGE('',*,*,#224707,.F.); #315730=ORIENTED_EDGE('',*,*,#224708,.F.); #315731=ORIENTED_EDGE('',*,*,#224709,.T.); #315732=ORIENTED_EDGE('',*,*,#224705,.T.); #315733=ORIENTED_EDGE('',*,*,#224710,.F.); #315734=ORIENTED_EDGE('',*,*,#224711,.F.); #315735=ORIENTED_EDGE('',*,*,#224712,.T.); #315736=ORIENTED_EDGE('',*,*,#224708,.T.); #315737=ORIENTED_EDGE('',*,*,#224713,.F.); #315738=ORIENTED_EDGE('',*,*,#224714,.F.); #315739=ORIENTED_EDGE('',*,*,#224715,.T.); #315740=ORIENTED_EDGE('',*,*,#224711,.T.); #315741=ORIENTED_EDGE('',*,*,#224716,.F.); #315742=ORIENTED_EDGE('',*,*,#224717,.F.); #315743=ORIENTED_EDGE('',*,*,#224718,.T.); #315744=ORIENTED_EDGE('',*,*,#224714,.T.); #315745=ORIENTED_EDGE('',*,*,#224719,.F.); #315746=ORIENTED_EDGE('',*,*,#224720,.F.); #315747=ORIENTED_EDGE('',*,*,#224721,.T.); #315748=ORIENTED_EDGE('',*,*,#224717,.T.); #315749=ORIENTED_EDGE('',*,*,#224722,.F.); #315750=ORIENTED_EDGE('',*,*,#224723,.F.); #315751=ORIENTED_EDGE('',*,*,#224724,.T.); #315752=ORIENTED_EDGE('',*,*,#224720,.T.); #315753=ORIENTED_EDGE('',*,*,#224725,.F.); #315754=ORIENTED_EDGE('',*,*,#224726,.F.); #315755=ORIENTED_EDGE('',*,*,#224727,.T.); #315756=ORIENTED_EDGE('',*,*,#224723,.T.); #315757=ORIENTED_EDGE('',*,*,#224728,.F.); #315758=ORIENTED_EDGE('',*,*,#224729,.F.); #315759=ORIENTED_EDGE('',*,*,#224730,.T.); #315760=ORIENTED_EDGE('',*,*,#224726,.T.); #315761=ORIENTED_EDGE('',*,*,#224731,.F.); #315762=ORIENTED_EDGE('',*,*,#224732,.F.); #315763=ORIENTED_EDGE('',*,*,#224733,.T.); #315764=ORIENTED_EDGE('',*,*,#224729,.T.); #315765=ORIENTED_EDGE('',*,*,#224734,.F.); #315766=ORIENTED_EDGE('',*,*,#224703,.F.); #315767=ORIENTED_EDGE('',*,*,#224735,.T.); #315768=ORIENTED_EDGE('',*,*,#224732,.T.); #315769=ORIENTED_EDGE('',*,*,#224736,.F.); #315770=ORIENTED_EDGE('',*,*,#224737,.T.); #315771=ORIENTED_EDGE('',*,*,#224738,.T.); #315772=ORIENTED_EDGE('',*,*,#224739,.F.); #315773=ORIENTED_EDGE('',*,*,#224740,.F.); #315774=ORIENTED_EDGE('',*,*,#224741,.T.); #315775=ORIENTED_EDGE('',*,*,#224736,.T.); #315776=ORIENTED_EDGE('',*,*,#224742,.F.); #315777=ORIENTED_EDGE('',*,*,#224743,.F.); #315778=ORIENTED_EDGE('',*,*,#224744,.T.); #315779=ORIENTED_EDGE('',*,*,#224745,.T.); #315780=ORIENTED_EDGE('',*,*,#224746,.T.); #315781=ORIENTED_EDGE('',*,*,#224747,.F.); #315782=ORIENTED_EDGE('',*,*,#224748,.F.); #315783=ORIENTED_EDGE('',*,*,#224749,.T.); #315784=ORIENTED_EDGE('',*,*,#224743,.T.); #315785=ORIENTED_EDGE('',*,*,#224750,.F.); #315786=ORIENTED_EDGE('',*,*,#224662,.F.); #315787=ORIENTED_EDGE('',*,*,#224751,.T.); #315788=ORIENTED_EDGE('',*,*,#224752,.T.); #315789=ORIENTED_EDGE('',*,*,#224753,.T.); #315790=ORIENTED_EDGE('',*,*,#224748,.T.); #315791=ORIENTED_EDGE('',*,*,#224754,.F.); #315792=ORIENTED_EDGE('',*,*,#224755,.F.); #315793=ORIENTED_EDGE('',*,*,#224755,.T.); #315794=ORIENTED_EDGE('',*,*,#224756,.T.); #315795=ORIENTED_EDGE('',*,*,#224757,.T.); #315796=ORIENTED_EDGE('',*,*,#224758,.T.); #315797=ORIENTED_EDGE('',*,*,#224660,.T.); #315798=ORIENTED_EDGE('',*,*,#224663,.T.); #315799=ORIENTED_EDGE('',*,*,#224695,.T.); #315800=ORIENTED_EDGE('',*,*,#224698,.T.); #315801=ORIENTED_EDGE('',*,*,#224664,.T.); #315802=ORIENTED_EDGE('',*,*,#224668,.T.); #315803=ORIENTED_EDGE('',*,*,#224671,.T.); #315804=ORIENTED_EDGE('',*,*,#224674,.T.); #315805=ORIENTED_EDGE('',*,*,#224677,.T.); #315806=ORIENTED_EDGE('',*,*,#224680,.T.); #315807=ORIENTED_EDGE('',*,*,#224683,.T.); #315808=ORIENTED_EDGE('',*,*,#224686,.T.); #315809=ORIENTED_EDGE('',*,*,#224689,.T.); #315810=ORIENTED_EDGE('',*,*,#224692,.T.); #315811=ORIENTED_EDGE('',*,*,#224759,.F.); #315812=ORIENTED_EDGE('',*,*,#224760,.T.); #315813=ORIENTED_EDGE('',*,*,#224657,.T.); #315814=ORIENTED_EDGE('',*,*,#224758,.F.); #315815=ORIENTED_EDGE('',*,*,#224761,.F.); #315816=ORIENTED_EDGE('',*,*,#224762,.F.); #315817=ORIENTED_EDGE('',*,*,#224751,.F.); #315818=ORIENTED_EDGE('',*,*,#224661,.F.); #315819=ORIENTED_EDGE('',*,*,#224658,.F.); #315820=ORIENTED_EDGE('',*,*,#224760,.F.); #315821=ORIENTED_EDGE('',*,*,#224752,.F.); #315822=ORIENTED_EDGE('',*,*,#224762,.T.); #315823=ORIENTED_EDGE('',*,*,#224763,.F.); #315824=ORIENTED_EDGE('',*,*,#224764,.F.); #315825=ORIENTED_EDGE('',*,*,#224699,.F.); #315826=ORIENTED_EDGE('',*,*,#224696,.F.); #315827=ORIENTED_EDGE('',*,*,#224693,.F.); #315828=ORIENTED_EDGE('',*,*,#224690,.F.); #315829=ORIENTED_EDGE('',*,*,#224687,.F.); #315830=ORIENTED_EDGE('',*,*,#224684,.F.); #315831=ORIENTED_EDGE('',*,*,#224681,.F.); #315832=ORIENTED_EDGE('',*,*,#224678,.F.); #315833=ORIENTED_EDGE('',*,*,#224675,.F.); #315834=ORIENTED_EDGE('',*,*,#224672,.F.); #315835=ORIENTED_EDGE('',*,*,#224669,.F.); #315836=ORIENTED_EDGE('',*,*,#224666,.F.); #315837=ORIENTED_EDGE('',*,*,#224765,.F.); #315838=ORIENTED_EDGE('',*,*,#224766,.T.); #315839=ORIENTED_EDGE('',*,*,#224767,.F.); #315840=ORIENTED_EDGE('',*,*,#224768,.F.); #315841=ORIENTED_EDGE('',*,*,#224733,.F.); #315842=ORIENTED_EDGE('',*,*,#224735,.F.); #315843=ORIENTED_EDGE('',*,*,#224702,.F.); #315844=ORIENTED_EDGE('',*,*,#224706,.F.); #315845=ORIENTED_EDGE('',*,*,#224709,.F.); #315846=ORIENTED_EDGE('',*,*,#224712,.F.); #315847=ORIENTED_EDGE('',*,*,#224715,.F.); #315848=ORIENTED_EDGE('',*,*,#224718,.F.); #315849=ORIENTED_EDGE('',*,*,#224721,.F.); #315850=ORIENTED_EDGE('',*,*,#224724,.F.); #315851=ORIENTED_EDGE('',*,*,#224727,.F.); #315852=ORIENTED_EDGE('',*,*,#224730,.F.); #315853=ORIENTED_EDGE('',*,*,#224769,.F.); #315854=ORIENTED_EDGE('',*,*,#224766,.F.); #315855=ORIENTED_EDGE('',*,*,#224770,.F.); #315856=ORIENTED_EDGE('',*,*,#224771,.F.); #315857=ORIENTED_EDGE('',*,*,#224737,.F.); #315858=ORIENTED_EDGE('',*,*,#224741,.F.); #315859=ORIENTED_EDGE('',*,*,#224738,.F.); #315860=ORIENTED_EDGE('',*,*,#224771,.T.); #315861=ORIENTED_EDGE('',*,*,#224772,.T.); #315862=ORIENTED_EDGE('',*,*,#224773,.F.); #315863=ORIENTED_EDGE('',*,*,#224774,.F.); #315864=ORIENTED_EDGE('',*,*,#224775,.T.); #315865=ORIENTED_EDGE('',*,*,#224776,.T.); #315866=ORIENTED_EDGE('',*,*,#224777,.F.); #315867=ORIENTED_EDGE('',*,*,#224778,.T.); #315868=ORIENTED_EDGE('',*,*,#224779,.T.); #315869=ORIENTED_EDGE('',*,*,#224780,.T.); #315870=ORIENTED_EDGE('',*,*,#224742,.T.); #315871=ORIENTED_EDGE('',*,*,#224739,.T.); #315872=ORIENTED_EDGE('',*,*,#224773,.T.); #315873=ORIENTED_EDGE('',*,*,#224734,.T.); #315874=ORIENTED_EDGE('',*,*,#224731,.T.); #315875=ORIENTED_EDGE('',*,*,#224728,.T.); #315876=ORIENTED_EDGE('',*,*,#224725,.T.); #315877=ORIENTED_EDGE('',*,*,#224722,.T.); #315878=ORIENTED_EDGE('',*,*,#224719,.T.); #315879=ORIENTED_EDGE('',*,*,#224716,.T.); #315880=ORIENTED_EDGE('',*,*,#224713,.T.); #315881=ORIENTED_EDGE('',*,*,#224710,.T.); #315882=ORIENTED_EDGE('',*,*,#224707,.T.); #315883=ORIENTED_EDGE('',*,*,#224704,.T.); #315884=ORIENTED_EDGE('',*,*,#224700,.T.); #315885=ORIENTED_EDGE('',*,*,#224767,.T.); #315886=ORIENTED_EDGE('',*,*,#224769,.T.); #315887=ORIENTED_EDGE('',*,*,#224740,.T.); #315888=ORIENTED_EDGE('',*,*,#224780,.F.); #315889=ORIENTED_EDGE('',*,*,#224781,.F.); #315890=ORIENTED_EDGE('',*,*,#224776,.F.); #315891=ORIENTED_EDGE('',*,*,#224782,.T.); #315892=ORIENTED_EDGE('',*,*,#224783,.F.); #315893=ORIENTED_EDGE('',*,*,#224784,.T.); #315894=ORIENTED_EDGE('',*,*,#224774,.T.); #315895=ORIENTED_EDGE('',*,*,#224785,.F.); #315896=ORIENTED_EDGE('',*,*,#224786,.F.); #315897=ORIENTED_EDGE('',*,*,#224782,.F.); #315898=ORIENTED_EDGE('',*,*,#224775,.F.); #315899=ORIENTED_EDGE('',*,*,#224784,.F.); #315900=ORIENTED_EDGE('',*,*,#224787,.F.); #315901=ORIENTED_EDGE('',*,*,#224788,.F.); #315902=ORIENTED_EDGE('',*,*,#224768,.T.); #315903=ORIENTED_EDGE('',*,*,#224789,.T.); #315904=ORIENTED_EDGE('',*,*,#224790,.T.); #315905=ORIENTED_EDGE('',*,*,#224791,.F.); #315906=ORIENTED_EDGE('',*,*,#224792,.T.); #315907=ORIENTED_EDGE('',*,*,#224793,.T.); #315908=ORIENTED_EDGE('',*,*,#224794,.T.); #315909=ORIENTED_EDGE('',*,*,#224795,.F.); #315910=ORIENTED_EDGE('',*,*,#224796,.T.); #315911=ORIENTED_EDGE('',*,*,#224797,.F.); #315912=ORIENTED_EDGE('',*,*,#224798,.F.); #315913=ORIENTED_EDGE('',*,*,#224744,.F.); #315914=ORIENTED_EDGE('',*,*,#224749,.F.); #315915=ORIENTED_EDGE('',*,*,#224753,.F.); #315916=ORIENTED_EDGE('',*,*,#224764,.T.); #315917=ORIENTED_EDGE('',*,*,#224799,.F.); #315918=ORIENTED_EDGE('',*,*,#224800,.F.); #315919=ORIENTED_EDGE('',*,*,#224801,.T.); #315920=ORIENTED_EDGE('',*,*,#224802,.T.); #315921=ORIENTED_EDGE('',*,*,#224803,.F.); #315922=ORIENTED_EDGE('',*,*,#224804,.F.); #315923=ORIENTED_EDGE('',*,*,#224805,.T.); #315924=ORIENTED_EDGE('',*,*,#224800,.T.); #315925=ORIENTED_EDGE('',*,*,#224806,.F.); #315926=ORIENTED_EDGE('',*,*,#224807,.F.); #315927=ORIENTED_EDGE('',*,*,#224808,.T.); #315928=ORIENTED_EDGE('',*,*,#224804,.T.); #315929=ORIENTED_EDGE('',*,*,#224745,.F.); #315930=ORIENTED_EDGE('',*,*,#224809,.T.); #315931=ORIENTED_EDGE('',*,*,#224807,.T.); #315932=ORIENTED_EDGE('',*,*,#224810,.F.); #315933=ORIENTED_EDGE('',*,*,#224746,.F.); #315934=ORIENTED_EDGE('',*,*,#224811,.T.); #315935=ORIENTED_EDGE('',*,*,#224756,.F.); #315936=ORIENTED_EDGE('',*,*,#224754,.T.); #315937=ORIENTED_EDGE('',*,*,#224750,.T.); #315938=ORIENTED_EDGE('',*,*,#224747,.T.); #315939=ORIENTED_EDGE('',*,*,#224812,.T.); #315940=ORIENTED_EDGE('',*,*,#224763,.T.); #315941=ORIENTED_EDGE('',*,*,#224761,.T.); #315942=ORIENTED_EDGE('',*,*,#224759,.T.); #315943=ORIENTED_EDGE('',*,*,#224757,.F.); #315944=ORIENTED_EDGE('',*,*,#224811,.F.); #315945=ORIENTED_EDGE('',*,*,#224813,.F.); #315946=ORIENTED_EDGE('',*,*,#224814,.F.); #315947=ORIENTED_EDGE('',*,*,#224815,.T.); #315948=ORIENTED_EDGE('',*,*,#224797,.T.); #315949=ORIENTED_EDGE('',*,*,#224816,.F.); #315950=ORIENTED_EDGE('',*,*,#224817,.F.); #315951=ORIENTED_EDGE('',*,*,#224818,.T.); #315952=ORIENTED_EDGE('',*,*,#224814,.T.); #315953=ORIENTED_EDGE('',*,*,#224819,.F.); #315954=ORIENTED_EDGE('',*,*,#224820,.F.); #315955=ORIENTED_EDGE('',*,*,#224821,.T.); #315956=ORIENTED_EDGE('',*,*,#224817,.T.); #315957=ORIENTED_EDGE('',*,*,#224822,.F.); #315958=ORIENTED_EDGE('',*,*,#224823,.F.); #315959=ORIENTED_EDGE('',*,*,#224824,.T.); #315960=ORIENTED_EDGE('',*,*,#224820,.T.); #315961=ORIENTED_EDGE('',*,*,#224825,.F.); #315962=ORIENTED_EDGE('',*,*,#224826,.F.); #315963=ORIENTED_EDGE('',*,*,#224827,.T.); #315964=ORIENTED_EDGE('',*,*,#224823,.T.); #315965=ORIENTED_EDGE('',*,*,#224828,.F.); #315966=ORIENTED_EDGE('',*,*,#224829,.F.); #315967=ORIENTED_EDGE('',*,*,#224830,.T.); #315968=ORIENTED_EDGE('',*,*,#224826,.T.); #315969=ORIENTED_EDGE('',*,*,#224831,.F.); #315970=ORIENTED_EDGE('',*,*,#224832,.T.); #315971=ORIENTED_EDGE('',*,*,#224793,.F.); #315972=ORIENTED_EDGE('',*,*,#224833,.T.); #315973=ORIENTED_EDGE('',*,*,#224829,.T.); #315974=ORIENTED_EDGE('',*,*,#224834,.F.); #315975=ORIENTED_EDGE('',*,*,#224794,.F.); #315976=ORIENTED_EDGE('',*,*,#224832,.F.); #315977=ORIENTED_EDGE('',*,*,#224835,.F.); #315978=ORIENTED_EDGE('',*,*,#224795,.T.); #315979=ORIENTED_EDGE('',*,*,#224834,.T.); #315980=ORIENTED_EDGE('',*,*,#224836,.F.); #315981=ORIENTED_EDGE('',*,*,#224837,.F.); #315982=ORIENTED_EDGE('',*,*,#224838,.T.); #315983=ORIENTED_EDGE('',*,*,#224839,.F.); #315984=ORIENTED_EDGE('',*,*,#224840,.F.); #315985=ORIENTED_EDGE('',*,*,#224841,.T.); #315986=ORIENTED_EDGE('',*,*,#224837,.T.); #315987=ORIENTED_EDGE('',*,*,#224842,.F.); #315988=ORIENTED_EDGE('',*,*,#224843,.F.); #315989=ORIENTED_EDGE('',*,*,#224844,.T.); #315990=ORIENTED_EDGE('',*,*,#224840,.T.); #315991=ORIENTED_EDGE('',*,*,#224845,.F.); #315992=ORIENTED_EDGE('',*,*,#224846,.F.); #315993=ORIENTED_EDGE('',*,*,#224847,.T.); #315994=ORIENTED_EDGE('',*,*,#224843,.T.); #315995=ORIENTED_EDGE('',*,*,#224848,.F.); #315996=ORIENTED_EDGE('',*,*,#224849,.T.); #315997=ORIENTED_EDGE('',*,*,#224789,.F.); #315998=ORIENTED_EDGE('',*,*,#224850,.T.); #315999=ORIENTED_EDGE('',*,*,#224846,.T.); #316000=ORIENTED_EDGE('',*,*,#224851,.F.); #316001=ORIENTED_EDGE('',*,*,#224790,.F.); #316002=ORIENTED_EDGE('',*,*,#224849,.F.); #316003=ORIENTED_EDGE('',*,*,#224852,.F.); #316004=ORIENTED_EDGE('',*,*,#224791,.T.); #316005=ORIENTED_EDGE('',*,*,#224851,.T.); #316006=ORIENTED_EDGE('',*,*,#224853,.F.); #316007=ORIENTED_EDGE('',*,*,#224854,.F.); #316008=ORIENTED_EDGE('',*,*,#224855,.T.); #316009=ORIENTED_EDGE('',*,*,#224856,.F.); #316010=ORIENTED_EDGE('',*,*,#224857,.F.); #316011=ORIENTED_EDGE('',*,*,#224858,.T.); #316012=ORIENTED_EDGE('',*,*,#224854,.T.); #316013=ORIENTED_EDGE('',*,*,#224859,.F.); #316014=ORIENTED_EDGE('',*,*,#224860,.F.); #316015=ORIENTED_EDGE('',*,*,#224861,.T.); #316016=ORIENTED_EDGE('',*,*,#224857,.T.); #316017=ORIENTED_EDGE('',*,*,#224862,.F.); #316018=ORIENTED_EDGE('',*,*,#224863,.F.); #316019=ORIENTED_EDGE('',*,*,#224864,.T.); #316020=ORIENTED_EDGE('',*,*,#224860,.T.); #316021=ORIENTED_EDGE('',*,*,#224865,.F.); #316022=ORIENTED_EDGE('',*,*,#224866,.F.); #316023=ORIENTED_EDGE('',*,*,#224867,.T.); #316024=ORIENTED_EDGE('',*,*,#224863,.T.); #316025=ORIENTED_EDGE('',*,*,#224868,.F.); #316026=ORIENTED_EDGE('',*,*,#224869,.F.); #316027=ORIENTED_EDGE('',*,*,#224870,.T.); #316028=ORIENTED_EDGE('',*,*,#224866,.T.); #316029=ORIENTED_EDGE('',*,*,#224772,.F.); #316030=ORIENTED_EDGE('',*,*,#224770,.T.); #316031=ORIENTED_EDGE('',*,*,#224765,.T.); #316032=ORIENTED_EDGE('',*,*,#224788,.T.); #316033=ORIENTED_EDGE('',*,*,#224871,.T.); #316034=ORIENTED_EDGE('',*,*,#224869,.T.); #316035=ORIENTED_EDGE('',*,*,#224872,.F.); #316036=ORIENTED_EDGE('',*,*,#224873,.F.); #316037=ORIENTED_EDGE('',*,*,#224778,.F.); #316038=ORIENTED_EDGE('',*,*,#224781,.T.); #316039=ORIENTED_EDGE('',*,*,#224779,.F.); #316040=ORIENTED_EDGE('',*,*,#224873,.T.); #316041=ORIENTED_EDGE('',*,*,#224874,.T.); #316042=ORIENTED_EDGE('',*,*,#224785,.T.); #316043=ORIENTED_EDGE('',*,*,#224777,.T.); #316044=ORIENTED_EDGE('',*,*,#224875,.T.); #316045=ORIENTED_EDGE('',*,*,#224783,.T.); #316046=ORIENTED_EDGE('',*,*,#224786,.T.); #316047=ORIENTED_EDGE('',*,*,#224876,.F.); #316048=ORIENTED_EDGE('',*,*,#224877,.F.); #316049=ORIENTED_EDGE('',*,*,#224878,.F.); #316050=ORIENTED_EDGE('',*,*,#224879,.F.); #316051=ORIENTED_EDGE('',*,*,#224880,.T.); #316052=ORIENTED_EDGE('',*,*,#224877,.T.); #316053=ORIENTED_EDGE('',*,*,#224881,.F.); #316054=ORIENTED_EDGE('',*,*,#224882,.F.); #316055=ORIENTED_EDGE('',*,*,#224883,.T.); #316056=ORIENTED_EDGE('',*,*,#224879,.T.); #316057=ORIENTED_EDGE('',*,*,#224884,.F.); #316058=ORIENTED_EDGE('',*,*,#224885,.F.); #316059=ORIENTED_EDGE('',*,*,#224886,.T.); #316060=ORIENTED_EDGE('',*,*,#224882,.T.); #316061=ORIENTED_EDGE('',*,*,#224887,.F.); #316062=ORIENTED_EDGE('',*,*,#224888,.F.); #316063=ORIENTED_EDGE('',*,*,#224889,.T.); #316064=ORIENTED_EDGE('',*,*,#224890,.T.); #316065=ORIENTED_EDGE('',*,*,#224891,.F.); #316066=ORIENTED_EDGE('',*,*,#224892,.F.); #316067=ORIENTED_EDGE('',*,*,#224893,.T.); #316068=ORIENTED_EDGE('',*,*,#224888,.T.); #316069=ORIENTED_EDGE('',*,*,#224894,.F.); #316070=ORIENTED_EDGE('',*,*,#224890,.F.); #316071=ORIENTED_EDGE('',*,*,#224895,.T.); #316072=ORIENTED_EDGE('',*,*,#224896,.T.); #316073=ORIENTED_EDGE('',*,*,#224897,.T.); #316074=ORIENTED_EDGE('',*,*,#224898,.T.); #316075=ORIENTED_EDGE('',*,*,#224899,.T.); #316076=ORIENTED_EDGE('',*,*,#224900,.F.); #316077=ORIENTED_EDGE('',*,*,#224901,.F.); #316078=ORIENTED_EDGE('',*,*,#224896,.F.); #316079=ORIENTED_EDGE('',*,*,#224902,.T.); #316080=ORIENTED_EDGE('',*,*,#224903,.T.); #316081=ORIENTED_EDGE('',*,*,#224904,.T.); #316082=ORIENTED_EDGE('',*,*,#224891,.T.); #316083=ORIENTED_EDGE('',*,*,#224887,.T.); #316084=ORIENTED_EDGE('',*,*,#224894,.T.); #316085=ORIENTED_EDGE('',*,*,#224901,.T.); #316086=ORIENTED_EDGE('',*,*,#224905,.T.); #316087=ORIENTED_EDGE('',*,*,#224906,.T.); #316088=ORIENTED_EDGE('',*,*,#224884,.T.); #316089=ORIENTED_EDGE('',*,*,#224881,.T.); #316090=ORIENTED_EDGE('',*,*,#224878,.T.); #316091=ORIENTED_EDGE('',*,*,#224876,.T.); #316092=ORIENTED_EDGE('',*,*,#224874,.F.); #316093=ORIENTED_EDGE('',*,*,#224872,.T.); #316094=ORIENTED_EDGE('',*,*,#224868,.T.); #316095=ORIENTED_EDGE('',*,*,#224865,.T.); #316096=ORIENTED_EDGE('',*,*,#224862,.T.); #316097=ORIENTED_EDGE('',*,*,#224859,.T.); #316098=ORIENTED_EDGE('',*,*,#224856,.T.); #316099=ORIENTED_EDGE('',*,*,#224853,.T.); #316100=ORIENTED_EDGE('',*,*,#224852,.T.); #316101=ORIENTED_EDGE('',*,*,#224848,.T.); #316102=ORIENTED_EDGE('',*,*,#224845,.T.); #316103=ORIENTED_EDGE('',*,*,#224842,.T.); #316104=ORIENTED_EDGE('',*,*,#224839,.T.); #316105=ORIENTED_EDGE('',*,*,#224836,.T.); #316106=ORIENTED_EDGE('',*,*,#224835,.T.); #316107=ORIENTED_EDGE('',*,*,#224831,.T.); #316108=ORIENTED_EDGE('',*,*,#224828,.T.); #316109=ORIENTED_EDGE('',*,*,#224825,.T.); #316110=ORIENTED_EDGE('',*,*,#224822,.T.); #316111=ORIENTED_EDGE('',*,*,#224819,.T.); #316112=ORIENTED_EDGE('',*,*,#224816,.T.); #316113=ORIENTED_EDGE('',*,*,#224813,.T.); #316114=ORIENTED_EDGE('',*,*,#224812,.F.); #316115=ORIENTED_EDGE('',*,*,#224810,.T.); #316116=ORIENTED_EDGE('',*,*,#224806,.T.); #316117=ORIENTED_EDGE('',*,*,#224803,.T.); #316118=ORIENTED_EDGE('',*,*,#224799,.T.); #316119=ORIENTED_EDGE('',*,*,#224907,.F.); #316120=ORIENTED_EDGE('',*,*,#224908,.T.); #316121=ORIENTED_EDGE('',*,*,#224885,.T.); #316122=ORIENTED_EDGE('',*,*,#224906,.F.); #316123=ORIENTED_EDGE('',*,*,#224909,.F.); #316124=ORIENTED_EDGE('',*,*,#224910,.F.); #316125=ORIENTED_EDGE('',*,*,#224911,.F.); #316126=ORIENTED_EDGE('',*,*,#224801,.F.); #316127=ORIENTED_EDGE('',*,*,#224805,.F.); #316128=ORIENTED_EDGE('',*,*,#224808,.F.); #316129=ORIENTED_EDGE('',*,*,#224809,.F.); #316130=ORIENTED_EDGE('',*,*,#224798,.T.); #316131=ORIENTED_EDGE('',*,*,#224815,.F.); #316132=ORIENTED_EDGE('',*,*,#224818,.F.); #316133=ORIENTED_EDGE('',*,*,#224821,.F.); #316134=ORIENTED_EDGE('',*,*,#224824,.F.); #316135=ORIENTED_EDGE('',*,*,#224827,.F.); #316136=ORIENTED_EDGE('',*,*,#224830,.F.); #316137=ORIENTED_EDGE('',*,*,#224833,.F.); #316138=ORIENTED_EDGE('',*,*,#224796,.F.); #316139=ORIENTED_EDGE('',*,*,#224838,.F.); #316140=ORIENTED_EDGE('',*,*,#224841,.F.); #316141=ORIENTED_EDGE('',*,*,#224844,.F.); #316142=ORIENTED_EDGE('',*,*,#224847,.F.); #316143=ORIENTED_EDGE('',*,*,#224850,.F.); #316144=ORIENTED_EDGE('',*,*,#224792,.F.); #316145=ORIENTED_EDGE('',*,*,#224855,.F.); #316146=ORIENTED_EDGE('',*,*,#224858,.F.); #316147=ORIENTED_EDGE('',*,*,#224861,.F.); #316148=ORIENTED_EDGE('',*,*,#224864,.F.); #316149=ORIENTED_EDGE('',*,*,#224867,.F.); #316150=ORIENTED_EDGE('',*,*,#224870,.F.); #316151=ORIENTED_EDGE('',*,*,#224871,.F.); #316152=ORIENTED_EDGE('',*,*,#224787,.T.); #316153=ORIENTED_EDGE('',*,*,#224875,.F.); #316154=ORIENTED_EDGE('',*,*,#224880,.F.); #316155=ORIENTED_EDGE('',*,*,#224883,.F.); #316156=ORIENTED_EDGE('',*,*,#224886,.F.); #316157=ORIENTED_EDGE('',*,*,#224908,.F.); #316158=ORIENTED_EDGE('',*,*,#224912,.F.); #316159=ORIENTED_EDGE('',*,*,#224897,.F.); #316160=ORIENTED_EDGE('',*,*,#224895,.F.); #316161=ORIENTED_EDGE('',*,*,#224889,.F.); #316162=ORIENTED_EDGE('',*,*,#224893,.F.); #316163=ORIENTED_EDGE('',*,*,#224913,.F.); #316164=ORIENTED_EDGE('',*,*,#224911,.T.); #316165=ORIENTED_EDGE('',*,*,#224914,.T.); #316166=ORIENTED_EDGE('',*,*,#224915,.T.); #316167=ORIENTED_EDGE('',*,*,#224902,.F.); #316168=ORIENTED_EDGE('',*,*,#224802,.F.); #316169=ORIENTED_EDGE('',*,*,#224904,.F.); #316170=ORIENTED_EDGE('',*,*,#224916,.F.); #316171=ORIENTED_EDGE('',*,*,#224917,.F.); #316172=ORIENTED_EDGE('',*,*,#224918,.T.); #316173=ORIENTED_EDGE('',*,*,#224913,.T.); #316174=ORIENTED_EDGE('',*,*,#224892,.T.); #316175=ORIENTED_EDGE('',*,*,#224914,.F.); #316176=ORIENTED_EDGE('',*,*,#224919,.T.); #316177=ORIENTED_EDGE('',*,*,#224920,.T.); #316178=ORIENTED_EDGE('',*,*,#224921,.F.); #316179=ORIENTED_EDGE('',*,*,#224915,.F.); #316180=ORIENTED_EDGE('',*,*,#224920,.F.); #316181=ORIENTED_EDGE('',*,*,#224922,.T.); #316182=ORIENTED_EDGE('',*,*,#224923,.T.); #316183=ORIENTED_EDGE('',*,*,#224924,.F.); #316184=ORIENTED_EDGE('',*,*,#224923,.F.); #316185=ORIENTED_EDGE('',*,*,#224925,.T.); #316186=ORIENTED_EDGE('',*,*,#224280,.T.); #316187=ORIENTED_EDGE('',*,*,#224281,.T.); #316188=ORIENTED_EDGE('',*,*,#224926,.F.); #316189=ORIENTED_EDGE('',*,*,#223863,.F.); #316190=ORIENTED_EDGE('',*,*,#224927,.T.); #316191=ORIENTED_EDGE('',*,*,#224917,.T.); #316192=ORIENTED_EDGE('',*,*,#224928,.F.); #316193=ORIENTED_EDGE('',*,*,#223864,.F.); #316194=ORIENTED_EDGE('',*,*,#224916,.T.); #316195=ORIENTED_EDGE('',*,*,#224903,.F.); #316196=ORIENTED_EDGE('',*,*,#224921,.T.); #316197=ORIENTED_EDGE('',*,*,#224924,.T.); #316198=ORIENTED_EDGE('',*,*,#224926,.T.); #316199=ORIENTED_EDGE('',*,*,#224256,.T.); #316200=ORIENTED_EDGE('',*,*,#224928,.T.); #316201=ORIENTED_EDGE('',*,*,#224918,.F.); #316202=ORIENTED_EDGE('',*,*,#224927,.F.); #316203=ORIENTED_EDGE('',*,*,#223868,.F.); #316204=ORIENTED_EDGE('',*,*,#224925,.F.); #316205=ORIENTED_EDGE('',*,*,#224922,.F.); #316206=ORIENTED_EDGE('',*,*,#224919,.F.); #316207=ORIENTED_EDGE('',*,*,#224910,.T.); #316208=ORIENTED_EDGE('',*,*,#224899,.F.); #316209=ORIENTED_EDGE('',*,*,#224929,.T.); #316210=ORIENTED_EDGE('',*,*,#224278,.T.); #316211=ORIENTED_EDGE('',*,*,#224279,.T.); #316212=ORIENTED_EDGE('',*,*,#224930,.F.); #316213=ORIENTED_EDGE('',*,*,#223858,.F.); #316214=ORIENTED_EDGE('',*,*,#224931,.T.); #316215=ORIENTED_EDGE('',*,*,#224932,.T.); #316216=ORIENTED_EDGE('',*,*,#224933,.F.); #316217=ORIENTED_EDGE('',*,*,#223859,.F.); #316218=ORIENTED_EDGE('',*,*,#224932,.F.); #316219=ORIENTED_EDGE('',*,*,#224934,.T.); #316220=ORIENTED_EDGE('',*,*,#224935,.T.); #316221=ORIENTED_EDGE('',*,*,#224936,.F.); #316222=ORIENTED_EDGE('',*,*,#224935,.F.); #316223=ORIENTED_EDGE('',*,*,#224937,.T.); #316224=ORIENTED_EDGE('',*,*,#224907,.T.); #316225=ORIENTED_EDGE('',*,*,#224909,.T.); #316226=ORIENTED_EDGE('',*,*,#224938,.F.); #316227=ORIENTED_EDGE('',*,*,#224938,.T.); #316228=ORIENTED_EDGE('',*,*,#224905,.F.); #316229=ORIENTED_EDGE('',*,*,#224900,.T.); #316230=ORIENTED_EDGE('',*,*,#224930,.T.); #316231=ORIENTED_EDGE('',*,*,#224259,.T.); #316232=ORIENTED_EDGE('',*,*,#224933,.T.); #316233=ORIENTED_EDGE('',*,*,#224936,.T.); #316234=ORIENTED_EDGE('',*,*,#224937,.F.); #316235=ORIENTED_EDGE('',*,*,#224934,.F.); #316236=ORIENTED_EDGE('',*,*,#224931,.F.); #316237=ORIENTED_EDGE('',*,*,#223871,.F.); #316238=ORIENTED_EDGE('',*,*,#224929,.F.); #316239=ORIENTED_EDGE('',*,*,#224898,.F.); #316240=ORIENTED_EDGE('',*,*,#224912,.T.); #316241=ORIENTED_EDGE('',*,*,#224939,.T.); #316242=ORIENTED_EDGE('',*,*,#223831,.F.); #316243=ORIENTED_EDGE('',*,*,#223854,.F.); #316244=ORIENTED_EDGE('',*,*,#223772,.F.); #316245=ORIENTED_EDGE('',*,*,#224940,.T.); #316246=ORIENTED_EDGE('',*,*,#223832,.F.); #316247=ORIENTED_EDGE('',*,*,#224939,.F.); #316248=ORIENTED_EDGE('',*,*,#223771,.F.); #316249=ORIENTED_EDGE('',*,*,#224941,.T.); #316250=ORIENTED_EDGE('',*,*,#223833,.F.); #316251=ORIENTED_EDGE('',*,*,#224940,.F.); #316252=ORIENTED_EDGE('',*,*,#223770,.F.); #316253=ORIENTED_EDGE('',*,*,#224942,.T.); #316254=ORIENTED_EDGE('',*,*,#223834,.F.); #316255=ORIENTED_EDGE('',*,*,#224941,.F.); #316256=ORIENTED_EDGE('',*,*,#223769,.F.); #316257=ORIENTED_EDGE('',*,*,#224943,.T.); #316258=ORIENTED_EDGE('',*,*,#223835,.F.); #316259=ORIENTED_EDGE('',*,*,#224942,.F.); #316260=ORIENTED_EDGE('',*,*,#223768,.F.); #316261=ORIENTED_EDGE('',*,*,#224944,.T.); #316262=ORIENTED_EDGE('',*,*,#223836,.F.); #316263=ORIENTED_EDGE('',*,*,#224943,.F.); #316264=ORIENTED_EDGE('',*,*,#223767,.F.); #316265=ORIENTED_EDGE('',*,*,#224184,.T.); #316266=ORIENTED_EDGE('',*,*,#223837,.F.); #316267=ORIENTED_EDGE('',*,*,#224944,.F.); #316268=ORIENTED_EDGE('',*,*,#223766,.F.); #316269=ORIENTED_EDGE('',*,*,#223758,.T.); #316270=ORIENTED_EDGE('',*,*,#223852,.T.); #316271=ORIENTED_EDGE('',*,*,#223806,.T.); #316272=ORIENTED_EDGE('',*,*,#224945,.F.); #316273=ORIENTED_EDGE('',*,*,#223759,.T.); #316274=ORIENTED_EDGE('',*,*,#224945,.T.); #316275=ORIENTED_EDGE('',*,*,#223805,.T.); #316276=ORIENTED_EDGE('',*,*,#224946,.F.); #316277=ORIENTED_EDGE('',*,*,#223760,.T.); #316278=ORIENTED_EDGE('',*,*,#224946,.T.); #316279=ORIENTED_EDGE('',*,*,#223843,.T.); #316280=ORIENTED_EDGE('',*,*,#224947,.F.); #316281=ORIENTED_EDGE('',*,*,#223761,.T.); #316282=ORIENTED_EDGE('',*,*,#224947,.T.); #316283=ORIENTED_EDGE('',*,*,#223842,.T.); #316284=ORIENTED_EDGE('',*,*,#224948,.F.); #316285=ORIENTED_EDGE('',*,*,#223762,.T.); #316286=ORIENTED_EDGE('',*,*,#224948,.T.); #316287=ORIENTED_EDGE('',*,*,#223841,.T.); #316288=ORIENTED_EDGE('',*,*,#224949,.F.); #316289=ORIENTED_EDGE('',*,*,#223763,.T.); #316290=ORIENTED_EDGE('',*,*,#224949,.T.); #316291=ORIENTED_EDGE('',*,*,#223840,.T.); #316292=ORIENTED_EDGE('',*,*,#224950,.F.); #316293=ORIENTED_EDGE('',*,*,#223764,.T.); #316294=ORIENTED_EDGE('',*,*,#224950,.T.); #316295=ORIENTED_EDGE('',*,*,#223839,.T.); #316296=ORIENTED_EDGE('',*,*,#224183,.F.); #316297=ORIENTED_EDGE('',*,*,#224133,.F.); #316298=ORIENTED_EDGE('',*,*,#223756,.F.); #316299=ORIENTED_EDGE('',*,*,#223974,.T.); #316300=ORIENTED_EDGE('',*,*,#224951,.T.); #316301=ORIENTED_EDGE('',*,*,#224134,.F.); #316302=ORIENTED_EDGE('',*,*,#224951,.F.); #316303=ORIENTED_EDGE('',*,*,#223973,.T.); #316304=ORIENTED_EDGE('',*,*,#224952,.T.); #316305=ORIENTED_EDGE('',*,*,#224135,.F.); #316306=ORIENTED_EDGE('',*,*,#224952,.F.); #316307=ORIENTED_EDGE('',*,*,#223972,.T.); #316308=ORIENTED_EDGE('',*,*,#224953,.T.); #316309=ORIENTED_EDGE('',*,*,#224136,.F.); #316310=ORIENTED_EDGE('',*,*,#224953,.F.); #316311=ORIENTED_EDGE('',*,*,#223971,.T.); #316312=ORIENTED_EDGE('',*,*,#224954,.T.); #316313=ORIENTED_EDGE('',*,*,#224137,.F.); #316314=ORIENTED_EDGE('',*,*,#224954,.F.); #316315=ORIENTED_EDGE('',*,*,#223970,.T.); #316316=ORIENTED_EDGE('',*,*,#224955,.T.); #316317=ORIENTED_EDGE('',*,*,#224138,.F.); #316318=ORIENTED_EDGE('',*,*,#224955,.F.); #316319=ORIENTED_EDGE('',*,*,#223969,.T.); #316320=ORIENTED_EDGE('',*,*,#224956,.T.); #316321=ORIENTED_EDGE('',*,*,#224139,.F.); #316322=ORIENTED_EDGE('',*,*,#224956,.F.); #316323=ORIENTED_EDGE('',*,*,#223968,.T.); #316324=ORIENTED_EDGE('',*,*,#224185,.T.); #316325=ORIENTED_EDGE('',*,*,#224132,.T.); #316326=ORIENTED_EDGE('',*,*,#224957,.F.); #316327=ORIENTED_EDGE('',*,*,#223975,.F.); #316328=ORIENTED_EDGE('',*,*,#223754,.T.); #316329=ORIENTED_EDGE('',*,*,#224131,.T.); #316330=ORIENTED_EDGE('',*,*,#224958,.F.); #316331=ORIENTED_EDGE('',*,*,#223976,.F.); #316332=ORIENTED_EDGE('',*,*,#224957,.T.); #316333=ORIENTED_EDGE('',*,*,#224130,.T.); #316334=ORIENTED_EDGE('',*,*,#224959,.F.); #316335=ORIENTED_EDGE('',*,*,#223977,.F.); #316336=ORIENTED_EDGE('',*,*,#224958,.T.); #316337=ORIENTED_EDGE('',*,*,#224129,.T.); #316338=ORIENTED_EDGE('',*,*,#224960,.F.); #316339=ORIENTED_EDGE('',*,*,#223978,.F.); #316340=ORIENTED_EDGE('',*,*,#224959,.T.); #316341=ORIENTED_EDGE('',*,*,#224128,.T.); #316342=ORIENTED_EDGE('',*,*,#224961,.F.); #316343=ORIENTED_EDGE('',*,*,#223979,.F.); #316344=ORIENTED_EDGE('',*,*,#224960,.T.); #316345=ORIENTED_EDGE('',*,*,#224127,.T.); #316346=ORIENTED_EDGE('',*,*,#224962,.F.); #316347=ORIENTED_EDGE('',*,*,#223980,.F.); #316348=ORIENTED_EDGE('',*,*,#224961,.T.); #316349=ORIENTED_EDGE('',*,*,#224126,.T.); #316350=ORIENTED_EDGE('',*,*,#223751,.F.); #316351=ORIENTED_EDGE('',*,*,#223981,.F.); #316352=ORIENTED_EDGE('',*,*,#224962,.T.); #316353=ORIENTED_EDGE('',*,*,#224963,.T.); #316354=ORIENTED_EDGE('',*,*,#224964,.F.); #316355=ORIENTED_EDGE('',*,*,#224965,.F.); #316356=ORIENTED_EDGE('',*,*,#224966,.T.); #316357=ORIENTED_EDGE('',*,*,#224967,.T.); #316358=ORIENTED_EDGE('',*,*,#224968,.T.); #316359=ORIENTED_EDGE('',*,*,#224969,.T.); #316360=ORIENTED_EDGE('',*,*,#224970,.T.); #316361=ORIENTED_EDGE('',*,*,#224971,.T.); #316362=ORIENTED_EDGE('',*,*,#224972,.T.); #316363=ORIENTED_EDGE('',*,*,#224973,.F.); #316364=ORIENTED_EDGE('',*,*,#224974,.F.); #316365=ORIENTED_EDGE('',*,*,#224975,.F.); #316366=ORIENTED_EDGE('',*,*,#224976,.F.); #316367=ORIENTED_EDGE('',*,*,#224977,.F.); #316368=ORIENTED_EDGE('',*,*,#224964,.T.); #316369=ORIENTED_EDGE('',*,*,#224978,.T.); #316370=ORIENTED_EDGE('',*,*,#224979,.F.); #316371=ORIENTED_EDGE('',*,*,#224980,.F.); #316372=ORIENTED_EDGE('',*,*,#224981,.T.); #316373=ORIENTED_EDGE('',*,*,#224982,.T.); #316374=ORIENTED_EDGE('',*,*,#224983,.T.); #316375=ORIENTED_EDGE('',*,*,#224984,.T.); #316376=ORIENTED_EDGE('',*,*,#224985,.F.); #316377=ORIENTED_EDGE('',*,*,#224986,.F.); #316378=ORIENTED_EDGE('',*,*,#224987,.F.); #316379=ORIENTED_EDGE('',*,*,#224988,.F.); #316380=ORIENTED_EDGE('',*,*,#224989,.F.); #316381=ORIENTED_EDGE('',*,*,#224990,.T.); #316382=ORIENTED_EDGE('',*,*,#224991,.F.); #316383=ORIENTED_EDGE('',*,*,#224992,.F.); #316384=ORIENTED_EDGE('',*,*,#224979,.T.); #316385=ORIENTED_EDGE('',*,*,#224993,.F.); #316386=ORIENTED_EDGE('',*,*,#224994,.T.); #316387=ORIENTED_EDGE('',*,*,#224995,.F.); #316388=ORIENTED_EDGE('',*,*,#224991,.T.); #316389=ORIENTED_EDGE('',*,*,#224996,.T.); #316390=ORIENTED_EDGE('',*,*,#224997,.T.); #316391=ORIENTED_EDGE('',*,*,#224998,.F.); #316392=ORIENTED_EDGE('',*,*,#224999,.T.); #316393=ORIENTED_EDGE('',*,*,#224993,.T.); #316394=ORIENTED_EDGE('',*,*,#225000,.T.); #316395=ORIENTED_EDGE('',*,*,#225001,.F.); #316396=ORIENTED_EDGE('',*,*,#225002,.T.); #316397=ORIENTED_EDGE('',*,*,#224998,.T.); #316398=ORIENTED_EDGE('',*,*,#225003,.T.); #316399=ORIENTED_EDGE('',*,*,#225004,.T.); #316400=ORIENTED_EDGE('',*,*,#224966,.F.); #316401=ORIENTED_EDGE('',*,*,#224995,.T.); #316402=ORIENTED_EDGE('',*,*,#225005,.T.); #316403=ORIENTED_EDGE('',*,*,#225001,.T.); #316404=ORIENTED_EDGE('',*,*,#224965,.T.); #316405=ORIENTED_EDGE('',*,*,#224977,.T.); #316406=ORIENTED_EDGE('',*,*,#225006,.T.); #316407=ORIENTED_EDGE('',*,*,#224980,.T.); #316408=ORIENTED_EDGE('',*,*,#224992,.T.); #316409=ORIENTED_EDGE('',*,*,#225004,.F.); #316410=ORIENTED_EDGE('',*,*,#225007,.T.); #316411=ORIENTED_EDGE('',*,*,#224996,.F.); #316412=ORIENTED_EDGE('',*,*,#224990,.F.); #316413=ORIENTED_EDGE('',*,*,#224978,.F.); #316414=ORIENTED_EDGE('',*,*,#225008,.F.); #316415=ORIENTED_EDGE('',*,*,#224967,.F.); #316416=ORIENTED_EDGE('',*,*,#224963,.F.); #316417=ORIENTED_EDGE('',*,*,#224972,.F.); #316418=ORIENTED_EDGE('',*,*,#225009,.F.); #316419=ORIENTED_EDGE('',*,*,#224985,.T.); #316420=ORIENTED_EDGE('',*,*,#225010,.T.); #316421=ORIENTED_EDGE('',*,*,#224976,.T.); #316422=ORIENTED_EDGE('',*,*,#225011,.F.); #316423=ORIENTED_EDGE('',*,*,#224981,.F.); #316424=ORIENTED_EDGE('',*,*,#225006,.F.); #316425=ORIENTED_EDGE('',*,*,#224975,.T.); #316426=ORIENTED_EDGE('',*,*,#225012,.F.); #316427=ORIENTED_EDGE('',*,*,#224982,.F.); #316428=ORIENTED_EDGE('',*,*,#225011,.T.); #316429=ORIENTED_EDGE('',*,*,#224974,.T.); #316430=ORIENTED_EDGE('',*,*,#225013,.F.); #316431=ORIENTED_EDGE('',*,*,#224983,.F.); #316432=ORIENTED_EDGE('',*,*,#225012,.T.); #316433=ORIENTED_EDGE('',*,*,#224973,.T.); #316434=ORIENTED_EDGE('',*,*,#225010,.F.); #316435=ORIENTED_EDGE('',*,*,#224984,.F.); #316436=ORIENTED_EDGE('',*,*,#225013,.T.); #316437=ORIENTED_EDGE('',*,*,#224968,.F.); #316438=ORIENTED_EDGE('',*,*,#225008,.T.); #316439=ORIENTED_EDGE('',*,*,#224989,.T.); #316440=ORIENTED_EDGE('',*,*,#225014,.T.); #316441=ORIENTED_EDGE('',*,*,#224969,.F.); #316442=ORIENTED_EDGE('',*,*,#225014,.F.); #316443=ORIENTED_EDGE('',*,*,#224988,.T.); #316444=ORIENTED_EDGE('',*,*,#225015,.T.); #316445=ORIENTED_EDGE('',*,*,#224970,.F.); #316446=ORIENTED_EDGE('',*,*,#225015,.F.); #316447=ORIENTED_EDGE('',*,*,#224987,.T.); #316448=ORIENTED_EDGE('',*,*,#225016,.T.); #316449=ORIENTED_EDGE('',*,*,#224971,.F.); #316450=ORIENTED_EDGE('',*,*,#225016,.F.); #316451=ORIENTED_EDGE('',*,*,#224986,.T.); #316452=ORIENTED_EDGE('',*,*,#225009,.T.); #316453=ORIENTED_EDGE('',*,*,#224994,.F.); #316454=ORIENTED_EDGE('',*,*,#224999,.F.); #316455=ORIENTED_EDGE('',*,*,#225002,.F.); #316456=ORIENTED_EDGE('',*,*,#225005,.F.); #316457=ORIENTED_EDGE('',*,*,#224997,.F.); #316458=ORIENTED_EDGE('',*,*,#225007,.F.); #316459=ORIENTED_EDGE('',*,*,#225003,.F.); #316460=ORIENTED_EDGE('',*,*,#225000,.F.); #316461=ORIENTED_EDGE('',*,*,#225017,.T.); #316462=ORIENTED_EDGE('',*,*,#225018,.F.); #316463=ORIENTED_EDGE('',*,*,#225019,.F.); #316464=ORIENTED_EDGE('',*,*,#225020,.T.); #316465=ORIENTED_EDGE('',*,*,#225021,.T.); #316466=ORIENTED_EDGE('',*,*,#225022,.F.); #316467=ORIENTED_EDGE('',*,*,#225023,.F.); #316468=ORIENTED_EDGE('',*,*,#225024,.T.); #316469=ORIENTED_EDGE('',*,*,#225025,.T.); #316470=ORIENTED_EDGE('',*,*,#225026,.F.); #316471=ORIENTED_EDGE('',*,*,#225027,.F.); #316472=ORIENTED_EDGE('',*,*,#225028,.T.); #316473=ORIENTED_EDGE('',*,*,#225029,.F.); #316474=ORIENTED_EDGE('',*,*,#225030,.T.); #316475=ORIENTED_EDGE('',*,*,#225031,.T.); #316476=ORIENTED_EDGE('',*,*,#225032,.F.); #316477=ORIENTED_EDGE('',*,*,#225033,.T.); #316478=ORIENTED_EDGE('',*,*,#225034,.F.); #316479=ORIENTED_EDGE('',*,*,#225035,.T.); #316480=ORIENTED_EDGE('',*,*,#225036,.T.); #316481=ORIENTED_EDGE('',*,*,#225037,.T.); #316482=ORIENTED_EDGE('',*,*,#225038,.T.); #316483=ORIENTED_EDGE('',*,*,#225039,.T.); #316484=ORIENTED_EDGE('',*,*,#225040,.T.); #316485=ORIENTED_EDGE('',*,*,#225041,.T.); #316486=ORIENTED_EDGE('',*,*,#225042,.T.); #316487=ORIENTED_EDGE('',*,*,#225043,.F.); #316488=ORIENTED_EDGE('',*,*,#225044,.F.); #316489=ORIENTED_EDGE('',*,*,#225045,.T.); #316490=ORIENTED_EDGE('',*,*,#225046,.T.); #316491=ORIENTED_EDGE('',*,*,#225047,.T.); #316492=ORIENTED_EDGE('',*,*,#225048,.T.); #316493=ORIENTED_EDGE('',*,*,#225049,.T.); #316494=ORIENTED_EDGE('',*,*,#225050,.T.); #316495=ORIENTED_EDGE('',*,*,#225051,.F.); #316496=ORIENTED_EDGE('',*,*,#225052,.F.); #316497=ORIENTED_EDGE('',*,*,#225053,.T.); #316498=ORIENTED_EDGE('',*,*,#225054,.T.); #316499=ORIENTED_EDGE('',*,*,#225055,.T.); #316500=ORIENTED_EDGE('',*,*,#225056,.T.); #316501=ORIENTED_EDGE('',*,*,#225057,.F.); #316502=ORIENTED_EDGE('',*,*,#225058,.F.); #316503=ORIENTED_EDGE('',*,*,#225059,.F.); #316504=ORIENTED_EDGE('',*,*,#225060,.F.); #316505=ORIENTED_EDGE('',*,*,#225061,.F.); #316506=ORIENTED_EDGE('',*,*,#225062,.F.); #316507=ORIENTED_EDGE('',*,*,#225063,.F.); #316508=ORIENTED_EDGE('',*,*,#225064,.F.); #316509=ORIENTED_EDGE('',*,*,#225065,.T.); #316510=ORIENTED_EDGE('',*,*,#225039,.F.); #316511=ORIENTED_EDGE('',*,*,#225066,.T.); #316512=ORIENTED_EDGE('',*,*,#225018,.T.); #316513=ORIENTED_EDGE('',*,*,#225067,.T.); #316514=ORIENTED_EDGE('',*,*,#225068,.F.); #316515=ORIENTED_EDGE('',*,*,#225037,.F.); #316516=ORIENTED_EDGE('',*,*,#225069,.T.); #316517=ORIENTED_EDGE('',*,*,#225070,.T.); #316518=ORIENTED_EDGE('',*,*,#225071,.T.); #316519=ORIENTED_EDGE('',*,*,#225020,.F.); #316520=ORIENTED_EDGE('',*,*,#225072,.T.); #316521=ORIENTED_EDGE('',*,*,#225073,.F.); #316522=ORIENTED_EDGE('',*,*,#225067,.F.); #316523=ORIENTED_EDGE('',*,*,#225017,.F.); #316524=ORIENTED_EDGE('',*,*,#225074,.F.); #316525=ORIENTED_EDGE('',*,*,#225075,.T.); #316526=ORIENTED_EDGE('',*,*,#225047,.F.); #316527=ORIENTED_EDGE('',*,*,#225076,.T.); #316528=ORIENTED_EDGE('',*,*,#225026,.T.); #316529=ORIENTED_EDGE('',*,*,#225077,.T.); #316530=ORIENTED_EDGE('',*,*,#225078,.F.); #316531=ORIENTED_EDGE('',*,*,#225045,.F.); #316532=ORIENTED_EDGE('',*,*,#225079,.T.); #316533=ORIENTED_EDGE('',*,*,#225080,.T.); #316534=ORIENTED_EDGE('',*,*,#225074,.T.); #316535=ORIENTED_EDGE('',*,*,#225028,.F.); #316536=ORIENTED_EDGE('',*,*,#225081,.T.); #316537=ORIENTED_EDGE('',*,*,#225082,.F.); #316538=ORIENTED_EDGE('',*,*,#225077,.F.); #316539=ORIENTED_EDGE('',*,*,#225025,.F.); #316540=ORIENTED_EDGE('',*,*,#225083,.F.); #316541=ORIENTED_EDGE('',*,*,#225084,.F.); #316542=ORIENTED_EDGE('',*,*,#225085,.T.); #316543=ORIENTED_EDGE('',*,*,#225086,.T.); #316544=ORIENTED_EDGE('',*,*,#225087,.T.); #316545=ORIENTED_EDGE('',*,*,#225088,.F.); #316546=ORIENTED_EDGE('',*,*,#225089,.T.); #316547=ORIENTED_EDGE('',*,*,#225023,.T.); #316548=ORIENTED_EDGE('',*,*,#225090,.T.); #316549=ORIENTED_EDGE('',*,*,#225091,.F.); #316550=ORIENTED_EDGE('',*,*,#225092,.T.); #316551=ORIENTED_EDGE('',*,*,#225093,.T.); #316552=ORIENTED_EDGE('',*,*,#225033,.F.); #316553=ORIENTED_EDGE('',*,*,#225094,.T.); #316554=ORIENTED_EDGE('',*,*,#225083,.T.); #316555=ORIENTED_EDGE('',*,*,#225024,.F.); #316556=ORIENTED_EDGE('',*,*,#225089,.F.); #316557=ORIENTED_EDGE('',*,*,#225095,.F.); #316558=ORIENTED_EDGE('',*,*,#225030,.F.); #316559=ORIENTED_EDGE('',*,*,#225096,.F.); #316560=ORIENTED_EDGE('',*,*,#225097,.T.); #316561=ORIENTED_EDGE('',*,*,#225098,.F.); #316562=ORIENTED_EDGE('',*,*,#225099,.T.); #316563=ORIENTED_EDGE('',*,*,#225090,.F.); #316564=ORIENTED_EDGE('',*,*,#225022,.T.); #316565=ORIENTED_EDGE('',*,*,#225100,.T.); #316566=ORIENTED_EDGE('',*,*,#225101,.F.); #316567=ORIENTED_EDGE('',*,*,#225102,.F.); #316568=ORIENTED_EDGE('',*,*,#225103,.F.); #316569=ORIENTED_EDGE('',*,*,#225104,.F.); #316570=ORIENTED_EDGE('',*,*,#225105,.F.); #316571=ORIENTED_EDGE('',*,*,#225106,.F.); #316572=ORIENTED_EDGE('',*,*,#225107,.F.); #316573=ORIENTED_EDGE('',*,*,#225108,.F.); #316574=ORIENTED_EDGE('',*,*,#225031,.F.); #316575=ORIENTED_EDGE('',*,*,#225101,.T.); #316576=ORIENTED_EDGE('',*,*,#225109,.T.); #316577=ORIENTED_EDGE('',*,*,#225070,.F.); #316578=ORIENTED_EDGE('',*,*,#225110,.F.); #316579=ORIENTED_EDGE('',*,*,#225068,.T.); #316580=ORIENTED_EDGE('',*,*,#225073,.T.); #316581=ORIENTED_EDGE('',*,*,#225080,.F.); #316582=ORIENTED_EDGE('',*,*,#225111,.F.); #316583=ORIENTED_EDGE('',*,*,#225078,.T.); #316584=ORIENTED_EDGE('',*,*,#225082,.T.); #316585=ORIENTED_EDGE('',*,*,#225094,.F.); #316586=ORIENTED_EDGE('',*,*,#225036,.F.); #316587=ORIENTED_EDGE('',*,*,#225112,.F.); #316588=ORIENTED_EDGE('',*,*,#225113,.F.); #316589=ORIENTED_EDGE('',*,*,#225114,.F.); #316590=ORIENTED_EDGE('',*,*,#225115,.F.); #316591=ORIENTED_EDGE('',*,*,#225021,.F.); #316592=ORIENTED_EDGE('',*,*,#225071,.F.); #316593=ORIENTED_EDGE('',*,*,#225109,.F.); #316594=ORIENTED_EDGE('',*,*,#225100,.F.); #316595=ORIENTED_EDGE('',*,*,#225116,.T.); #316596=ORIENTED_EDGE('',*,*,#225117,.T.); #316597=ORIENTED_EDGE('',*,*,#225118,.T.); #316598=ORIENTED_EDGE('',*,*,#225119,.T.); #316599=ORIENTED_EDGE('',*,*,#225120,.T.); #316600=ORIENTED_EDGE('',*,*,#225121,.T.); #316601=ORIENTED_EDGE('',*,*,#225122,.T.); #316602=ORIENTED_EDGE('',*,*,#225034,.T.); #316603=ORIENTED_EDGE('',*,*,#225093,.F.); #316604=ORIENTED_EDGE('',*,*,#225123,.T.); #316605=ORIENTED_EDGE('',*,*,#225124,.F.); #316606=ORIENTED_EDGE('',*,*,#225053,.F.); #316607=ORIENTED_EDGE('',*,*,#225125,.T.); #316608=ORIENTED_EDGE('',*,*,#225126,.T.); #316609=ORIENTED_EDGE('',*,*,#225096,.T.); #316610=ORIENTED_EDGE('',*,*,#225029,.T.); #316611=ORIENTED_EDGE('',*,*,#225127,.T.); #316612=ORIENTED_EDGE('',*,*,#225128,.T.); #316613=ORIENTED_EDGE('',*,*,#225129,.T.); #316614=ORIENTED_EDGE('',*,*,#225130,.T.); #316615=ORIENTED_EDGE('',*,*,#225131,.T.); #316616=ORIENTED_EDGE('',*,*,#225132,.T.); #316617=ORIENTED_EDGE('',*,*,#225133,.F.); #316618=ORIENTED_EDGE('',*,*,#225134,.F.); #316619=ORIENTED_EDGE('',*,*,#225135,.T.); #316620=ORIENTED_EDGE('',*,*,#225125,.F.); #316621=ORIENTED_EDGE('',*,*,#225056,.F.); #316622=ORIENTED_EDGE('',*,*,#225136,.T.); #316623=ORIENTED_EDGE('',*,*,#225137,.T.); #316624=ORIENTED_EDGE('',*,*,#225131,.F.); #316625=ORIENTED_EDGE('',*,*,#225055,.F.); #316626=ORIENTED_EDGE('',*,*,#225138,.T.); #316627=ORIENTED_EDGE('',*,*,#225139,.T.); #316628=ORIENTED_EDGE('',*,*,#225136,.F.); #316629=ORIENTED_EDGE('',*,*,#225054,.F.); #316630=ORIENTED_EDGE('',*,*,#225124,.T.); #316631=ORIENTED_EDGE('',*,*,#225140,.T.); #316632=ORIENTED_EDGE('',*,*,#225141,.F.); #316633=ORIENTED_EDGE('',*,*,#225142,.T.); #316634=ORIENTED_EDGE('',*,*,#225112,.T.); #316635=ORIENTED_EDGE('',*,*,#225035,.F.); #316636=ORIENTED_EDGE('',*,*,#225122,.F.); #316637=ORIENTED_EDGE('',*,*,#225143,.T.); #316638=ORIENTED_EDGE('',*,*,#225113,.T.); #316639=ORIENTED_EDGE('',*,*,#225142,.F.); #316640=ORIENTED_EDGE('',*,*,#225121,.F.); #316641=ORIENTED_EDGE('',*,*,#225144,.T.); #316642=ORIENTED_EDGE('',*,*,#225114,.T.); #316643=ORIENTED_EDGE('',*,*,#225143,.F.); #316644=ORIENTED_EDGE('',*,*,#225120,.F.); #316645=ORIENTED_EDGE('',*,*,#225145,.T.); #316646=ORIENTED_EDGE('',*,*,#225115,.T.); #316647=ORIENTED_EDGE('',*,*,#225144,.F.); #316648=ORIENTED_EDGE('',*,*,#225119,.F.); #316649=ORIENTED_EDGE('',*,*,#225118,.F.); #316650=ORIENTED_EDGE('',*,*,#225146,.T.); #316651=ORIENTED_EDGE('',*,*,#225102,.T.); #316652=ORIENTED_EDGE('',*,*,#225145,.F.); #316653=ORIENTED_EDGE('',*,*,#225032,.T.); #316654=ORIENTED_EDGE('',*,*,#225108,.T.); #316655=ORIENTED_EDGE('',*,*,#225147,.F.); #316656=ORIENTED_EDGE('',*,*,#225127,.F.); #316657=ORIENTED_EDGE('',*,*,#225148,.T.); #316658=ORIENTED_EDGE('',*,*,#225103,.T.); #316659=ORIENTED_EDGE('',*,*,#225146,.F.); #316660=ORIENTED_EDGE('',*,*,#225117,.F.); #316661=ORIENTED_EDGE('',*,*,#225116,.F.); #316662=ORIENTED_EDGE('',*,*,#225149,.T.); #316663=ORIENTED_EDGE('',*,*,#225104,.T.); #316664=ORIENTED_EDGE('',*,*,#225148,.F.); #316665=ORIENTED_EDGE('',*,*,#225150,.T.); #316666=ORIENTED_EDGE('',*,*,#225105,.T.); #316667=ORIENTED_EDGE('',*,*,#225149,.F.); #316668=ORIENTED_EDGE('',*,*,#225130,.F.); #316669=ORIENTED_EDGE('',*,*,#225151,.T.); #316670=ORIENTED_EDGE('',*,*,#225106,.T.); #316671=ORIENTED_EDGE('',*,*,#225150,.F.); #316672=ORIENTED_EDGE('',*,*,#225129,.F.); #316673=ORIENTED_EDGE('',*,*,#225147,.T.); #316674=ORIENTED_EDGE('',*,*,#225107,.T.); #316675=ORIENTED_EDGE('',*,*,#225151,.F.); #316676=ORIENTED_EDGE('',*,*,#225128,.F.); #316677=ORIENTED_EDGE('',*,*,#225075,.F.); #316678=ORIENTED_EDGE('',*,*,#225111,.T.); #316679=ORIENTED_EDGE('',*,*,#225079,.F.); #316680=ORIENTED_EDGE('',*,*,#225048,.F.); #316681=ORIENTED_EDGE('',*,*,#225081,.F.); #316682=ORIENTED_EDGE('',*,*,#225027,.T.); #316683=ORIENTED_EDGE('',*,*,#225076,.F.); #316684=ORIENTED_EDGE('',*,*,#225046,.F.); #316685=ORIENTED_EDGE('',*,*,#225065,.F.); #316686=ORIENTED_EDGE('',*,*,#225110,.T.); #316687=ORIENTED_EDGE('',*,*,#225069,.F.); #316688=ORIENTED_EDGE('',*,*,#225040,.F.); #316689=ORIENTED_EDGE('',*,*,#225072,.F.); #316690=ORIENTED_EDGE('',*,*,#225019,.T.); #316691=ORIENTED_EDGE('',*,*,#225066,.F.); #316692=ORIENTED_EDGE('',*,*,#225038,.F.); #316693=ORIENTED_EDGE('',*,*,#225152,.F.); #316694=ORIENTED_EDGE('',*,*,#225153,.T.); #316695=ORIENTED_EDGE('',*,*,#225154,.T.); #316696=ORIENTED_EDGE('',*,*,#225058,.T.); #316697=ORIENTED_EDGE('',*,*,#225155,.F.); #316698=ORIENTED_EDGE('',*,*,#225156,.T.); #316699=ORIENTED_EDGE('',*,*,#225152,.T.); #316700=ORIENTED_EDGE('',*,*,#225057,.T.); #316701=ORIENTED_EDGE('',*,*,#225157,.F.); #316702=ORIENTED_EDGE('',*,*,#225158,.T.); #316703=ORIENTED_EDGE('',*,*,#225155,.T.); #316704=ORIENTED_EDGE('',*,*,#225060,.T.); #316705=ORIENTED_EDGE('',*,*,#225154,.F.); #316706=ORIENTED_EDGE('',*,*,#225159,.T.); #316707=ORIENTED_EDGE('',*,*,#225157,.T.); #316708=ORIENTED_EDGE('',*,*,#225059,.T.); #316709=ORIENTED_EDGE('',*,*,#225160,.T.); #316710=ORIENTED_EDGE('',*,*,#225161,.T.); #316711=ORIENTED_EDGE('',*,*,#225162,.T.); #316712=ORIENTED_EDGE('',*,*,#225163,.T.); #316713=ORIENTED_EDGE('',*,*,#225164,.F.); #316714=ORIENTED_EDGE('',*,*,#225156,.F.); #316715=ORIENTED_EDGE('',*,*,#225165,.F.); #316716=ORIENTED_EDGE('',*,*,#225163,.F.); #316717=ORIENTED_EDGE('',*,*,#225165,.T.); #316718=ORIENTED_EDGE('',*,*,#225158,.F.); #316719=ORIENTED_EDGE('',*,*,#225166,.F.); #316720=ORIENTED_EDGE('',*,*,#225160,.F.); #316721=ORIENTED_EDGE('',*,*,#225164,.T.); #316722=ORIENTED_EDGE('',*,*,#225162,.F.); #316723=ORIENTED_EDGE('',*,*,#225167,.F.); #316724=ORIENTED_EDGE('',*,*,#225153,.F.); #316725=ORIENTED_EDGE('',*,*,#225166,.T.); #316726=ORIENTED_EDGE('',*,*,#225159,.F.); #316727=ORIENTED_EDGE('',*,*,#225167,.T.); #316728=ORIENTED_EDGE('',*,*,#225161,.F.); #316729=ORIENTED_EDGE('',*,*,#225168,.F.); #316730=ORIENTED_EDGE('',*,*,#225169,.T.); #316731=ORIENTED_EDGE('',*,*,#225170,.T.); #316732=ORIENTED_EDGE('',*,*,#225062,.T.); #316733=ORIENTED_EDGE('',*,*,#225171,.F.); #316734=ORIENTED_EDGE('',*,*,#225172,.T.); #316735=ORIENTED_EDGE('',*,*,#225168,.T.); #316736=ORIENTED_EDGE('',*,*,#225061,.T.); #316737=ORIENTED_EDGE('',*,*,#225173,.F.); #316738=ORIENTED_EDGE('',*,*,#225174,.T.); #316739=ORIENTED_EDGE('',*,*,#225171,.T.); #316740=ORIENTED_EDGE('',*,*,#225064,.T.); #316741=ORIENTED_EDGE('',*,*,#225170,.F.); #316742=ORIENTED_EDGE('',*,*,#225175,.T.); #316743=ORIENTED_EDGE('',*,*,#225173,.T.); #316744=ORIENTED_EDGE('',*,*,#225063,.T.); #316745=ORIENTED_EDGE('',*,*,#225176,.T.); #316746=ORIENTED_EDGE('',*,*,#225177,.T.); #316747=ORIENTED_EDGE('',*,*,#225178,.T.); #316748=ORIENTED_EDGE('',*,*,#225179,.T.); #316749=ORIENTED_EDGE('',*,*,#225180,.F.); #316750=ORIENTED_EDGE('',*,*,#225172,.F.); #316751=ORIENTED_EDGE('',*,*,#225181,.F.); #316752=ORIENTED_EDGE('',*,*,#225179,.F.); #316753=ORIENTED_EDGE('',*,*,#225181,.T.); #316754=ORIENTED_EDGE('',*,*,#225174,.F.); #316755=ORIENTED_EDGE('',*,*,#225182,.F.); #316756=ORIENTED_EDGE('',*,*,#225176,.F.); #316757=ORIENTED_EDGE('',*,*,#225180,.T.); #316758=ORIENTED_EDGE('',*,*,#225178,.F.); #316759=ORIENTED_EDGE('',*,*,#225183,.F.); #316760=ORIENTED_EDGE('',*,*,#225169,.F.); #316761=ORIENTED_EDGE('',*,*,#225182,.T.); #316762=ORIENTED_EDGE('',*,*,#225175,.F.); #316763=ORIENTED_EDGE('',*,*,#225183,.T.); #316764=ORIENTED_EDGE('',*,*,#225177,.F.); #316765=ORIENTED_EDGE('',*,*,#225184,.T.); #316766=ORIENTED_EDGE('',*,*,#225185,.T.); #316767=ORIENTED_EDGE('',*,*,#225186,.F.); #316768=ORIENTED_EDGE('',*,*,#225049,.F.); #316769=ORIENTED_EDGE('',*,*,#225187,.T.); #316770=ORIENTED_EDGE('',*,*,#225188,.T.); #316771=ORIENTED_EDGE('',*,*,#225189,.T.); #316772=ORIENTED_EDGE('',*,*,#225190,.T.); #316773=ORIENTED_EDGE('',*,*,#225191,.T.); #316774=ORIENTED_EDGE('',*,*,#225192,.T.); #316775=ORIENTED_EDGE('',*,*,#225193,.F.); #316776=ORIENTED_EDGE('',*,*,#225051,.T.); #316777=ORIENTED_EDGE('',*,*,#225186,.T.); #316778=ORIENTED_EDGE('',*,*,#225194,.T.); #316779=ORIENTED_EDGE('',*,*,#225191,.F.); #316780=ORIENTED_EDGE('',*,*,#225050,.F.); #316781=ORIENTED_EDGE('',*,*,#225193,.T.); #316782=ORIENTED_EDGE('',*,*,#225195,.T.); #316783=ORIENTED_EDGE('',*,*,#225184,.F.); #316784=ORIENTED_EDGE('',*,*,#225052,.T.); #316785=ORIENTED_EDGE('',*,*,#225196,.F.); #316786=ORIENTED_EDGE('',*,*,#225192,.F.); #316787=ORIENTED_EDGE('',*,*,#225197,.F.); #316788=ORIENTED_EDGE('',*,*,#225190,.F.); #316789=ORIENTED_EDGE('',*,*,#225197,.T.); #316790=ORIENTED_EDGE('',*,*,#225194,.F.); #316791=ORIENTED_EDGE('',*,*,#225198,.F.); #316792=ORIENTED_EDGE('',*,*,#225187,.F.); #316793=ORIENTED_EDGE('',*,*,#225196,.T.); #316794=ORIENTED_EDGE('',*,*,#225189,.F.); #316795=ORIENTED_EDGE('',*,*,#225199,.F.); #316796=ORIENTED_EDGE('',*,*,#225195,.F.); #316797=ORIENTED_EDGE('',*,*,#225198,.T.); #316798=ORIENTED_EDGE('',*,*,#225185,.F.); #316799=ORIENTED_EDGE('',*,*,#225199,.T.); #316800=ORIENTED_EDGE('',*,*,#225188,.F.); #316801=ORIENTED_EDGE('',*,*,#225200,.T.); #316802=ORIENTED_EDGE('',*,*,#225201,.T.); #316803=ORIENTED_EDGE('',*,*,#225202,.F.); #316804=ORIENTED_EDGE('',*,*,#225041,.F.); #316805=ORIENTED_EDGE('',*,*,#225203,.T.); #316806=ORIENTED_EDGE('',*,*,#225204,.T.); #316807=ORIENTED_EDGE('',*,*,#225205,.T.); #316808=ORIENTED_EDGE('',*,*,#225206,.T.); #316809=ORIENTED_EDGE('',*,*,#225207,.T.); #316810=ORIENTED_EDGE('',*,*,#225208,.T.); #316811=ORIENTED_EDGE('',*,*,#225209,.F.); #316812=ORIENTED_EDGE('',*,*,#225043,.T.); #316813=ORIENTED_EDGE('',*,*,#225202,.T.); #316814=ORIENTED_EDGE('',*,*,#225210,.T.); #316815=ORIENTED_EDGE('',*,*,#225207,.F.); #316816=ORIENTED_EDGE('',*,*,#225042,.F.); #316817=ORIENTED_EDGE('',*,*,#225209,.T.); #316818=ORIENTED_EDGE('',*,*,#225211,.T.); #316819=ORIENTED_EDGE('',*,*,#225200,.F.); #316820=ORIENTED_EDGE('',*,*,#225044,.T.); #316821=ORIENTED_EDGE('',*,*,#225212,.F.); #316822=ORIENTED_EDGE('',*,*,#225208,.F.); #316823=ORIENTED_EDGE('',*,*,#225213,.F.); #316824=ORIENTED_EDGE('',*,*,#225206,.F.); #316825=ORIENTED_EDGE('',*,*,#225213,.T.); #316826=ORIENTED_EDGE('',*,*,#225210,.F.); #316827=ORIENTED_EDGE('',*,*,#225214,.F.); #316828=ORIENTED_EDGE('',*,*,#225203,.F.); #316829=ORIENTED_EDGE('',*,*,#225212,.T.); #316830=ORIENTED_EDGE('',*,*,#225205,.F.); #316831=ORIENTED_EDGE('',*,*,#225215,.F.); #316832=ORIENTED_EDGE('',*,*,#225211,.F.); #316833=ORIENTED_EDGE('',*,*,#225214,.T.); #316834=ORIENTED_EDGE('',*,*,#225201,.F.); #316835=ORIENTED_EDGE('',*,*,#225215,.T.); #316836=ORIENTED_EDGE('',*,*,#225204,.F.); #316837=ORIENTED_EDGE('',*,*,#225088,.T.); #316838=ORIENTED_EDGE('',*,*,#225216,.T.); #316839=ORIENTED_EDGE('',*,*,#225138,.F.); #316840=ORIENTED_EDGE('',*,*,#225217,.F.); #316841=ORIENTED_EDGE('',*,*,#225095,.T.); #316842=ORIENTED_EDGE('',*,*,#225141,.T.); #316843=ORIENTED_EDGE('',*,*,#225218,.T.); #316844=ORIENTED_EDGE('',*,*,#225091,.T.); #316845=ORIENTED_EDGE('',*,*,#225217,.T.); #316846=ORIENTED_EDGE('',*,*,#225133,.T.); #316847=ORIENTED_EDGE('',*,*,#225219,.T.); #316848=ORIENTED_EDGE('',*,*,#225084,.T.); #316849=ORIENTED_EDGE('',*,*,#225099,.F.); #316850=ORIENTED_EDGE('',*,*,#225220,.F.); #316851=ORIENTED_EDGE('',*,*,#225098,.T.); #316852=ORIENTED_EDGE('',*,*,#225221,.T.); #316853=ORIENTED_EDGE('',*,*,#225134,.T.); #316854=ORIENTED_EDGE('',*,*,#225220,.T.); #316855=ORIENTED_EDGE('',*,*,#225218,.F.); #316856=ORIENTED_EDGE('',*,*,#225140,.F.); #316857=ORIENTED_EDGE('',*,*,#225123,.F.); #316858=ORIENTED_EDGE('',*,*,#225092,.F.); #316859=ORIENTED_EDGE('',*,*,#225221,.F.); #316860=ORIENTED_EDGE('',*,*,#225097,.F.); #316861=ORIENTED_EDGE('',*,*,#225126,.F.); #316862=ORIENTED_EDGE('',*,*,#225135,.F.); #316863=ORIENTED_EDGE('',*,*,#225216,.F.); #316864=ORIENTED_EDGE('',*,*,#225087,.F.); #316865=ORIENTED_EDGE('',*,*,#225222,.F.); #316866=ORIENTED_EDGE('',*,*,#225139,.F.); #316867=ORIENTED_EDGE('',*,*,#225222,.T.); #316868=ORIENTED_EDGE('',*,*,#225086,.F.); #316869=ORIENTED_EDGE('',*,*,#225223,.F.); #316870=ORIENTED_EDGE('',*,*,#225137,.F.); #316871=ORIENTED_EDGE('',*,*,#225223,.T.); #316872=ORIENTED_EDGE('',*,*,#225085,.F.); #316873=ORIENTED_EDGE('',*,*,#225219,.F.); #316874=ORIENTED_EDGE('',*,*,#225132,.F.); #316875=ORIENTED_EDGE('',*,*,#225224,.F.); #316876=ORIENTED_EDGE('',*,*,#225225,.F.); #316877=ORIENTED_EDGE('',*,*,#225226,.F.); #316878=ORIENTED_EDGE('',*,*,#225227,.F.); #316879=ORIENTED_EDGE('',*,*,#225228,.F.); #316880=ORIENTED_EDGE('',*,*,#225229,.F.); #316881=ORIENTED_EDGE('',*,*,#225230,.F.); #316882=ORIENTED_EDGE('',*,*,#225231,.F.); #316883=ORIENTED_EDGE('',*,*,#225232,.F.); #316884=ORIENTED_EDGE('',*,*,#225233,.F.); #316885=ORIENTED_EDGE('',*,*,#225234,.F.); #316886=ORIENTED_EDGE('',*,*,#225235,.F.); #316887=ORIENTED_EDGE('',*,*,#225236,.F.); #316888=ORIENTED_EDGE('',*,*,#225237,.F.); #316889=ORIENTED_EDGE('',*,*,#225238,.F.); #316890=ORIENTED_EDGE('',*,*,#225237,.T.); #316891=ORIENTED_EDGE('',*,*,#225239,.T.); #316892=ORIENTED_EDGE('',*,*,#225232,.T.); #316893=ORIENTED_EDGE('',*,*,#225240,.T.); #316894=ORIENTED_EDGE('',*,*,#225241,.F.); #316895=ORIENTED_EDGE('',*,*,#225242,.F.); #316896=ORIENTED_EDGE('',*,*,#225243,.F.); #316897=ORIENTED_EDGE('',*,*,#225244,.F.); #316898=ORIENTED_EDGE('',*,*,#225245,.F.); #316899=ORIENTED_EDGE('',*,*,#225246,.F.); #316900=ORIENTED_EDGE('',*,*,#225231,.T.); #316901=ORIENTED_EDGE('',*,*,#225247,.T.); #316902=ORIENTED_EDGE('',*,*,#225245,.T.); #316903=ORIENTED_EDGE('',*,*,#225248,.T.); #316904=ORIENTED_EDGE('',*,*,#225234,.T.); #316905=ORIENTED_EDGE('',*,*,#225249,.T.); #316906=ORIENTED_EDGE('',*,*,#225246,.T.); #316907=ORIENTED_EDGE('',*,*,#225250,.T.); #316908=ORIENTED_EDGE('',*,*,#225251,.F.); #316909=ORIENTED_EDGE('',*,*,#225252,.F.); #316910=ORIENTED_EDGE('',*,*,#225253,.F.); #316911=ORIENTED_EDGE('',*,*,#225238,.T.); #316912=ORIENTED_EDGE('',*,*,#225254,.T.); #316913=ORIENTED_EDGE('',*,*,#225252,.T.); #316914=ORIENTED_EDGE('',*,*,#225255,.T.); #316915=ORIENTED_EDGE('',*,*,#225244,.T.); #316916=ORIENTED_EDGE('',*,*,#225256,.T.); #316917=ORIENTED_EDGE('',*,*,#225253,.T.); #316918=ORIENTED_EDGE('',*,*,#225257,.T.); #316919=ORIENTED_EDGE('',*,*,#225242,.T.); #316920=ORIENTED_EDGE('',*,*,#225258,.T.); #316921=ORIENTED_EDGE('',*,*,#225251,.T.); #316922=ORIENTED_EDGE('',*,*,#225259,.T.); #316923=ORIENTED_EDGE('',*,*,#225233,.T.); #316924=ORIENTED_EDGE('',*,*,#225260,.T.); #316925=ORIENTED_EDGE('',*,*,#225243,.T.); #316926=ORIENTED_EDGE('',*,*,#225261,.T.); #316927=ORIENTED_EDGE('',*,*,#225241,.T.); #316928=ORIENTED_EDGE('',*,*,#225262,.T.); #316929=ORIENTED_EDGE('',*,*,#225229,.T.); #316930=ORIENTED_EDGE('',*,*,#225263,.T.); #316931=ORIENTED_EDGE('',*,*,#225228,.T.); #316932=ORIENTED_EDGE('',*,*,#225264,.T.); #316933=ORIENTED_EDGE('',*,*,#225236,.T.); #316934=ORIENTED_EDGE('',*,*,#225265,.T.); #316935=ORIENTED_EDGE('',*,*,#225224,.T.); #316936=ORIENTED_EDGE('',*,*,#225266,.T.); #316937=ORIENTED_EDGE('',*,*,#225235,.T.); #316938=ORIENTED_EDGE('',*,*,#225267,.T.); #316939=ORIENTED_EDGE('',*,*,#225225,.T.); #316940=ORIENTED_EDGE('',*,*,#225268,.T.); #316941=ORIENTED_EDGE('',*,*,#225230,.T.); #316942=ORIENTED_EDGE('',*,*,#225269,.T.); #316943=ORIENTED_EDGE('',*,*,#225227,.T.); #316944=ORIENTED_EDGE('',*,*,#225270,.T.); #316945=ORIENTED_EDGE('',*,*,#225226,.T.); #316946=ORIENTED_EDGE('',*,*,#225271,.T.); #316947=ORIENTED_EDGE('',*,*,#225239,.F.); #316948=ORIENTED_EDGE('',*,*,#225264,.F.); #316949=ORIENTED_EDGE('',*,*,#225271,.F.); #316950=ORIENTED_EDGE('',*,*,#225269,.F.); #316951=ORIENTED_EDGE('',*,*,#225240,.F.); #316952=ORIENTED_EDGE('',*,*,#225248,.F.); #316953=ORIENTED_EDGE('',*,*,#225257,.F.); #316954=ORIENTED_EDGE('',*,*,#225254,.F.); #316955=ORIENTED_EDGE('',*,*,#225247,.F.); #316956=ORIENTED_EDGE('',*,*,#225268,.F.); #316957=ORIENTED_EDGE('',*,*,#225267,.F.); #316958=ORIENTED_EDGE('',*,*,#225250,.F.); #316959=ORIENTED_EDGE('',*,*,#225249,.F.); #316960=ORIENTED_EDGE('',*,*,#225261,.F.); #316961=ORIENTED_EDGE('',*,*,#225259,.F.); #316962=ORIENTED_EDGE('',*,*,#225256,.F.); #316963=ORIENTED_EDGE('',*,*,#225255,.F.); #316964=ORIENTED_EDGE('',*,*,#225258,.F.); #316965=ORIENTED_EDGE('',*,*,#225263,.F.); #316966=ORIENTED_EDGE('',*,*,#225265,.F.); #316967=ORIENTED_EDGE('',*,*,#225260,.F.); #316968=ORIENTED_EDGE('',*,*,#225266,.F.); #316969=ORIENTED_EDGE('',*,*,#225270,.F.); #316970=ORIENTED_EDGE('',*,*,#225262,.F.); #316971=ORIENTED_EDGE('',*,*,#225272,.F.); #316972=ORIENTED_EDGE('',*,*,#225273,.F.); #316973=ORIENTED_EDGE('',*,*,#225274,.F.); #316974=ORIENTED_EDGE('',*,*,#225275,.F.); #316975=ORIENTED_EDGE('',*,*,#225276,.F.); #316976=ORIENTED_EDGE('',*,*,#225277,.F.); #316977=ORIENTED_EDGE('',*,*,#225278,.F.); #316978=ORIENTED_EDGE('',*,*,#225279,.F.); #316979=ORIENTED_EDGE('',*,*,#225280,.F.); #316980=ORIENTED_EDGE('',*,*,#225281,.F.); #316981=ORIENTED_EDGE('',*,*,#225282,.F.); #316982=ORIENTED_EDGE('',*,*,#225283,.F.); #316983=ORIENTED_EDGE('',*,*,#225284,.F.); #316984=ORIENTED_EDGE('',*,*,#225285,.F.); #316985=ORIENTED_EDGE('',*,*,#225286,.F.); #316986=ORIENTED_EDGE('',*,*,#225285,.T.); #316987=ORIENTED_EDGE('',*,*,#225287,.T.); #316988=ORIENTED_EDGE('',*,*,#225280,.T.); #316989=ORIENTED_EDGE('',*,*,#225288,.T.); #316990=ORIENTED_EDGE('',*,*,#225289,.F.); #316991=ORIENTED_EDGE('',*,*,#225290,.F.); #316992=ORIENTED_EDGE('',*,*,#225291,.F.); #316993=ORIENTED_EDGE('',*,*,#225292,.F.); #316994=ORIENTED_EDGE('',*,*,#225293,.F.); #316995=ORIENTED_EDGE('',*,*,#225294,.F.); #316996=ORIENTED_EDGE('',*,*,#225279,.T.); #316997=ORIENTED_EDGE('',*,*,#225295,.T.); #316998=ORIENTED_EDGE('',*,*,#225293,.T.); #316999=ORIENTED_EDGE('',*,*,#225296,.T.); #317000=ORIENTED_EDGE('',*,*,#225282,.T.); #317001=ORIENTED_EDGE('',*,*,#225297,.T.); #317002=ORIENTED_EDGE('',*,*,#225294,.T.); #317003=ORIENTED_EDGE('',*,*,#225298,.T.); #317004=ORIENTED_EDGE('',*,*,#225299,.F.); #317005=ORIENTED_EDGE('',*,*,#225300,.F.); #317006=ORIENTED_EDGE('',*,*,#225301,.F.); #317007=ORIENTED_EDGE('',*,*,#225300,.T.); #317008=ORIENTED_EDGE('',*,*,#225302,.T.); #317009=ORIENTED_EDGE('',*,*,#225286,.T.); #317010=ORIENTED_EDGE('',*,*,#225303,.T.); #317011=ORIENTED_EDGE('',*,*,#225292,.T.); #317012=ORIENTED_EDGE('',*,*,#225304,.T.); #317013=ORIENTED_EDGE('',*,*,#225301,.T.); #317014=ORIENTED_EDGE('',*,*,#225305,.T.); #317015=ORIENTED_EDGE('',*,*,#225290,.T.); #317016=ORIENTED_EDGE('',*,*,#225306,.T.); #317017=ORIENTED_EDGE('',*,*,#225299,.T.); #317018=ORIENTED_EDGE('',*,*,#225307,.T.); #317019=ORIENTED_EDGE('',*,*,#225281,.T.); #317020=ORIENTED_EDGE('',*,*,#225308,.T.); #317021=ORIENTED_EDGE('',*,*,#225291,.T.); #317022=ORIENTED_EDGE('',*,*,#225309,.T.); #317023=ORIENTED_EDGE('',*,*,#225289,.T.); #317024=ORIENTED_EDGE('',*,*,#225310,.T.); #317025=ORIENTED_EDGE('',*,*,#225277,.T.); #317026=ORIENTED_EDGE('',*,*,#225311,.T.); #317027=ORIENTED_EDGE('',*,*,#225276,.T.); #317028=ORIENTED_EDGE('',*,*,#225312,.T.); #317029=ORIENTED_EDGE('',*,*,#225284,.T.); #317030=ORIENTED_EDGE('',*,*,#225313,.T.); #317031=ORIENTED_EDGE('',*,*,#225272,.T.); #317032=ORIENTED_EDGE('',*,*,#225314,.T.); #317033=ORIENTED_EDGE('',*,*,#225283,.T.); #317034=ORIENTED_EDGE('',*,*,#225315,.T.); #317035=ORIENTED_EDGE('',*,*,#225273,.T.); #317036=ORIENTED_EDGE('',*,*,#225316,.T.); #317037=ORIENTED_EDGE('',*,*,#225278,.T.); #317038=ORIENTED_EDGE('',*,*,#225317,.T.); #317039=ORIENTED_EDGE('',*,*,#225275,.T.); #317040=ORIENTED_EDGE('',*,*,#225318,.T.); #317041=ORIENTED_EDGE('',*,*,#225274,.T.); #317042=ORIENTED_EDGE('',*,*,#225319,.T.); #317043=ORIENTED_EDGE('',*,*,#225287,.F.); #317044=ORIENTED_EDGE('',*,*,#225312,.F.); #317045=ORIENTED_EDGE('',*,*,#225319,.F.); #317046=ORIENTED_EDGE('',*,*,#225317,.F.); #317047=ORIENTED_EDGE('',*,*,#225288,.F.); #317048=ORIENTED_EDGE('',*,*,#225296,.F.); #317049=ORIENTED_EDGE('',*,*,#225305,.F.); #317050=ORIENTED_EDGE('',*,*,#225303,.F.); #317051=ORIENTED_EDGE('',*,*,#225295,.F.); #317052=ORIENTED_EDGE('',*,*,#225316,.F.); #317053=ORIENTED_EDGE('',*,*,#225315,.F.); #317054=ORIENTED_EDGE('',*,*,#225298,.F.); #317055=ORIENTED_EDGE('',*,*,#225297,.F.); #317056=ORIENTED_EDGE('',*,*,#225309,.F.); #317057=ORIENTED_EDGE('',*,*,#225307,.F.); #317058=ORIENTED_EDGE('',*,*,#225304,.F.); #317059=ORIENTED_EDGE('',*,*,#225302,.F.); #317060=ORIENTED_EDGE('',*,*,#225306,.F.); #317061=ORIENTED_EDGE('',*,*,#225311,.F.); #317062=ORIENTED_EDGE('',*,*,#225313,.F.); #317063=ORIENTED_EDGE('',*,*,#225308,.F.); #317064=ORIENTED_EDGE('',*,*,#225314,.F.); #317065=ORIENTED_EDGE('',*,*,#225318,.F.); #317066=ORIENTED_EDGE('',*,*,#225310,.F.); #317067=ORIENTED_EDGE('',*,*,#225320,.F.); #317068=ORIENTED_EDGE('',*,*,#225321,.T.); #317069=ORIENTED_EDGE('',*,*,#225322,.F.); #317070=ORIENTED_EDGE('',*,*,#225323,.F.); #317071=ORIENTED_EDGE('',*,*,#225324,.T.); #317072=ORIENTED_EDGE('',*,*,#225323,.T.); #317073=ORIENTED_EDGE('',*,*,#225325,.F.); #317074=ORIENTED_EDGE('',*,*,#225326,.F.); #317075=ORIENTED_EDGE('',*,*,#225327,.T.); #317076=ORIENTED_EDGE('',*,*,#225326,.T.); #317077=ORIENTED_EDGE('',*,*,#225328,.F.); #317078=ORIENTED_EDGE('',*,*,#225329,.F.); #317079=ORIENTED_EDGE('',*,*,#225330,.T.); #317080=ORIENTED_EDGE('',*,*,#225329,.T.); #317081=ORIENTED_EDGE('',*,*,#225331,.F.); #317082=ORIENTED_EDGE('',*,*,#225321,.F.); #317083=ORIENTED_EDGE('',*,*,#225331,.T.); #317084=ORIENTED_EDGE('',*,*,#225328,.T.); #317085=ORIENTED_EDGE('',*,*,#225325,.T.); #317086=ORIENTED_EDGE('',*,*,#225322,.T.); #317087=ORIENTED_EDGE('',*,*,#225330,.F.); #317088=ORIENTED_EDGE('',*,*,#225320,.T.); #317089=ORIENTED_EDGE('',*,*,#225324,.F.); #317090=ORIENTED_EDGE('',*,*,#225327,.F.); #317091=ORIENTED_EDGE('',*,*,#225332,.F.); #317092=ORIENTED_EDGE('',*,*,#225333,.F.); #317093=ORIENTED_EDGE('',*,*,#225334,.F.); #317094=ORIENTED_EDGE('',*,*,#225335,.F.); #317095=ORIENTED_EDGE('',*,*,#225336,.F.); #317096=ORIENTED_EDGE('',*,*,#225337,.F.); #317097=ORIENTED_EDGE('',*,*,#225338,.F.); #317098=ORIENTED_EDGE('',*,*,#225339,.F.); #317099=ORIENTED_EDGE('',*,*,#225340,.F.); #317100=ORIENTED_EDGE('',*,*,#225341,.F.); #317101=ORIENTED_EDGE('',*,*,#225342,.F.); #317102=ORIENTED_EDGE('',*,*,#225343,.F.); #317103=ORIENTED_EDGE('',*,*,#225344,.F.); #317104=ORIENTED_EDGE('',*,*,#225345,.F.); #317105=ORIENTED_EDGE('',*,*,#225346,.F.); #317106=ORIENTED_EDGE('',*,*,#225345,.T.); #317107=ORIENTED_EDGE('',*,*,#225347,.T.); #317108=ORIENTED_EDGE('',*,*,#225340,.T.); #317109=ORIENTED_EDGE('',*,*,#225348,.T.); #317110=ORIENTED_EDGE('',*,*,#225349,.F.); #317111=ORIENTED_EDGE('',*,*,#225350,.F.); #317112=ORIENTED_EDGE('',*,*,#225351,.F.); #317113=ORIENTED_EDGE('',*,*,#225352,.F.); #317114=ORIENTED_EDGE('',*,*,#225353,.F.); #317115=ORIENTED_EDGE('',*,*,#225354,.F.); #317116=ORIENTED_EDGE('',*,*,#225339,.T.); #317117=ORIENTED_EDGE('',*,*,#225355,.T.); #317118=ORIENTED_EDGE('',*,*,#225353,.T.); #317119=ORIENTED_EDGE('',*,*,#225356,.T.); #317120=ORIENTED_EDGE('',*,*,#225342,.T.); #317121=ORIENTED_EDGE('',*,*,#225357,.T.); #317122=ORIENTED_EDGE('',*,*,#225354,.T.); #317123=ORIENTED_EDGE('',*,*,#225358,.T.); #317124=ORIENTED_EDGE('',*,*,#225359,.F.); #317125=ORIENTED_EDGE('',*,*,#225360,.F.); #317126=ORIENTED_EDGE('',*,*,#225361,.F.); #317127=ORIENTED_EDGE('',*,*,#225346,.T.); #317128=ORIENTED_EDGE('',*,*,#225362,.T.); #317129=ORIENTED_EDGE('',*,*,#225360,.T.); #317130=ORIENTED_EDGE('',*,*,#225363,.T.); #317131=ORIENTED_EDGE('',*,*,#225352,.T.); #317132=ORIENTED_EDGE('',*,*,#225364,.T.); #317133=ORIENTED_EDGE('',*,*,#225361,.T.); #317134=ORIENTED_EDGE('',*,*,#225365,.T.); #317135=ORIENTED_EDGE('',*,*,#225350,.T.); #317136=ORIENTED_EDGE('',*,*,#225366,.T.); #317137=ORIENTED_EDGE('',*,*,#225359,.T.); #317138=ORIENTED_EDGE('',*,*,#225367,.T.); #317139=ORIENTED_EDGE('',*,*,#225341,.T.); #317140=ORIENTED_EDGE('',*,*,#225368,.T.); #317141=ORIENTED_EDGE('',*,*,#225351,.T.); #317142=ORIENTED_EDGE('',*,*,#225369,.T.); #317143=ORIENTED_EDGE('',*,*,#225349,.T.); #317144=ORIENTED_EDGE('',*,*,#225370,.T.); #317145=ORIENTED_EDGE('',*,*,#225337,.T.); #317146=ORIENTED_EDGE('',*,*,#225371,.T.); #317147=ORIENTED_EDGE('',*,*,#225336,.T.); #317148=ORIENTED_EDGE('',*,*,#225372,.T.); #317149=ORIENTED_EDGE('',*,*,#225344,.T.); #317150=ORIENTED_EDGE('',*,*,#225373,.T.); #317151=ORIENTED_EDGE('',*,*,#225332,.T.); #317152=ORIENTED_EDGE('',*,*,#225374,.T.); #317153=ORIENTED_EDGE('',*,*,#225343,.T.); #317154=ORIENTED_EDGE('',*,*,#225375,.T.); #317155=ORIENTED_EDGE('',*,*,#225333,.T.); #317156=ORIENTED_EDGE('',*,*,#225376,.T.); #317157=ORIENTED_EDGE('',*,*,#225338,.T.); #317158=ORIENTED_EDGE('',*,*,#225377,.T.); #317159=ORIENTED_EDGE('',*,*,#225335,.T.); #317160=ORIENTED_EDGE('',*,*,#225378,.T.); #317161=ORIENTED_EDGE('',*,*,#225334,.T.); #317162=ORIENTED_EDGE('',*,*,#225379,.T.); #317163=ORIENTED_EDGE('',*,*,#225347,.F.); #317164=ORIENTED_EDGE('',*,*,#225372,.F.); #317165=ORIENTED_EDGE('',*,*,#225379,.F.); #317166=ORIENTED_EDGE('',*,*,#225377,.F.); #317167=ORIENTED_EDGE('',*,*,#225348,.F.); #317168=ORIENTED_EDGE('',*,*,#225356,.F.); #317169=ORIENTED_EDGE('',*,*,#225365,.F.); #317170=ORIENTED_EDGE('',*,*,#225362,.F.); #317171=ORIENTED_EDGE('',*,*,#225355,.F.); #317172=ORIENTED_EDGE('',*,*,#225376,.F.); #317173=ORIENTED_EDGE('',*,*,#225375,.F.); #317174=ORIENTED_EDGE('',*,*,#225358,.F.); #317175=ORIENTED_EDGE('',*,*,#225357,.F.); #317176=ORIENTED_EDGE('',*,*,#225369,.F.); #317177=ORIENTED_EDGE('',*,*,#225367,.F.); #317178=ORIENTED_EDGE('',*,*,#225364,.F.); #317179=ORIENTED_EDGE('',*,*,#225363,.F.); #317180=ORIENTED_EDGE('',*,*,#225366,.F.); #317181=ORIENTED_EDGE('',*,*,#225371,.F.); #317182=ORIENTED_EDGE('',*,*,#225373,.F.); #317183=ORIENTED_EDGE('',*,*,#225368,.F.); #317184=ORIENTED_EDGE('',*,*,#225374,.F.); #317185=ORIENTED_EDGE('',*,*,#225378,.F.); #317186=ORIENTED_EDGE('',*,*,#225370,.F.); #317187=ORIENTED_EDGE('',*,*,#225380,.F.); #317188=ORIENTED_EDGE('',*,*,#225381,.F.); #317189=ORIENTED_EDGE('',*,*,#225382,.F.); #317190=ORIENTED_EDGE('',*,*,#225383,.F.); #317191=ORIENTED_EDGE('',*,*,#225384,.F.); #317192=ORIENTED_EDGE('',*,*,#225385,.F.); #317193=ORIENTED_EDGE('',*,*,#225386,.F.); #317194=ORIENTED_EDGE('',*,*,#225387,.F.); #317195=ORIENTED_EDGE('',*,*,#225388,.F.); #317196=ORIENTED_EDGE('',*,*,#225389,.F.); #317197=ORIENTED_EDGE('',*,*,#225390,.F.); #317198=ORIENTED_EDGE('',*,*,#225391,.F.); #317199=ORIENTED_EDGE('',*,*,#225392,.F.); #317200=ORIENTED_EDGE('',*,*,#225393,.F.); #317201=ORIENTED_EDGE('',*,*,#225394,.F.); #317202=ORIENTED_EDGE('',*,*,#225393,.T.); #317203=ORIENTED_EDGE('',*,*,#225395,.T.); #317204=ORIENTED_EDGE('',*,*,#225388,.T.); #317205=ORIENTED_EDGE('',*,*,#225396,.T.); #317206=ORIENTED_EDGE('',*,*,#225397,.F.); #317207=ORIENTED_EDGE('',*,*,#225398,.F.); #317208=ORIENTED_EDGE('',*,*,#225399,.F.); #317209=ORIENTED_EDGE('',*,*,#225400,.F.); #317210=ORIENTED_EDGE('',*,*,#225401,.F.); #317211=ORIENTED_EDGE('',*,*,#225402,.F.); #317212=ORIENTED_EDGE('',*,*,#225387,.T.); #317213=ORIENTED_EDGE('',*,*,#225403,.T.); #317214=ORIENTED_EDGE('',*,*,#225401,.T.); #317215=ORIENTED_EDGE('',*,*,#225404,.T.); #317216=ORIENTED_EDGE('',*,*,#225390,.T.); #317217=ORIENTED_EDGE('',*,*,#225405,.T.); #317218=ORIENTED_EDGE('',*,*,#225402,.T.); #317219=ORIENTED_EDGE('',*,*,#225406,.T.); #317220=ORIENTED_EDGE('',*,*,#225407,.F.); #317221=ORIENTED_EDGE('',*,*,#225408,.F.); #317222=ORIENTED_EDGE('',*,*,#225409,.F.); #317223=ORIENTED_EDGE('',*,*,#225408,.T.); #317224=ORIENTED_EDGE('',*,*,#225410,.T.); #317225=ORIENTED_EDGE('',*,*,#225394,.T.); #317226=ORIENTED_EDGE('',*,*,#225411,.T.); #317227=ORIENTED_EDGE('',*,*,#225400,.T.); #317228=ORIENTED_EDGE('',*,*,#225412,.T.); #317229=ORIENTED_EDGE('',*,*,#225409,.T.); #317230=ORIENTED_EDGE('',*,*,#225413,.T.); #317231=ORIENTED_EDGE('',*,*,#225398,.T.); #317232=ORIENTED_EDGE('',*,*,#225414,.T.); #317233=ORIENTED_EDGE('',*,*,#225407,.T.); #317234=ORIENTED_EDGE('',*,*,#225415,.T.); #317235=ORIENTED_EDGE('',*,*,#225389,.T.); #317236=ORIENTED_EDGE('',*,*,#225416,.T.); #317237=ORIENTED_EDGE('',*,*,#225399,.T.); #317238=ORIENTED_EDGE('',*,*,#225417,.T.); #317239=ORIENTED_EDGE('',*,*,#225397,.T.); #317240=ORIENTED_EDGE('',*,*,#225418,.T.); #317241=ORIENTED_EDGE('',*,*,#225385,.T.); #317242=ORIENTED_EDGE('',*,*,#225419,.T.); #317243=ORIENTED_EDGE('',*,*,#225384,.T.); #317244=ORIENTED_EDGE('',*,*,#225420,.T.); #317245=ORIENTED_EDGE('',*,*,#225392,.T.); #317246=ORIENTED_EDGE('',*,*,#225421,.T.); #317247=ORIENTED_EDGE('',*,*,#225380,.T.); #317248=ORIENTED_EDGE('',*,*,#225422,.T.); #317249=ORIENTED_EDGE('',*,*,#225391,.T.); #317250=ORIENTED_EDGE('',*,*,#225423,.T.); #317251=ORIENTED_EDGE('',*,*,#225381,.T.); #317252=ORIENTED_EDGE('',*,*,#225424,.T.); #317253=ORIENTED_EDGE('',*,*,#225386,.T.); #317254=ORIENTED_EDGE('',*,*,#225425,.T.); #317255=ORIENTED_EDGE('',*,*,#225383,.T.); #317256=ORIENTED_EDGE('',*,*,#225426,.T.); #317257=ORIENTED_EDGE('',*,*,#225382,.T.); #317258=ORIENTED_EDGE('',*,*,#225427,.T.); #317259=ORIENTED_EDGE('',*,*,#225395,.F.); #317260=ORIENTED_EDGE('',*,*,#225420,.F.); #317261=ORIENTED_EDGE('',*,*,#225427,.F.); #317262=ORIENTED_EDGE('',*,*,#225425,.F.); #317263=ORIENTED_EDGE('',*,*,#225396,.F.); #317264=ORIENTED_EDGE('',*,*,#225404,.F.); #317265=ORIENTED_EDGE('',*,*,#225413,.F.); #317266=ORIENTED_EDGE('',*,*,#225411,.F.); #317267=ORIENTED_EDGE('',*,*,#225403,.F.); #317268=ORIENTED_EDGE('',*,*,#225424,.F.); #317269=ORIENTED_EDGE('',*,*,#225423,.F.); #317270=ORIENTED_EDGE('',*,*,#225406,.F.); #317271=ORIENTED_EDGE('',*,*,#225405,.F.); #317272=ORIENTED_EDGE('',*,*,#225417,.F.); #317273=ORIENTED_EDGE('',*,*,#225415,.F.); #317274=ORIENTED_EDGE('',*,*,#225412,.F.); #317275=ORIENTED_EDGE('',*,*,#225410,.F.); #317276=ORIENTED_EDGE('',*,*,#225414,.F.); #317277=ORIENTED_EDGE('',*,*,#225419,.F.); #317278=ORIENTED_EDGE('',*,*,#225421,.F.); #317279=ORIENTED_EDGE('',*,*,#225416,.F.); #317280=ORIENTED_EDGE('',*,*,#225422,.F.); #317281=ORIENTED_EDGE('',*,*,#225426,.F.); #317282=ORIENTED_EDGE('',*,*,#225418,.F.); #317283=ORIENTED_EDGE('',*,*,#225428,.F.); #317284=ORIENTED_EDGE('',*,*,#225429,.T.); #317285=ORIENTED_EDGE('',*,*,#225430,.F.); #317286=ORIENTED_EDGE('',*,*,#225431,.F.); #317287=ORIENTED_EDGE('',*,*,#225432,.T.); #317288=ORIENTED_EDGE('',*,*,#225431,.T.); #317289=ORIENTED_EDGE('',*,*,#225433,.F.); #317290=ORIENTED_EDGE('',*,*,#225434,.F.); #317291=ORIENTED_EDGE('',*,*,#225435,.T.); #317292=ORIENTED_EDGE('',*,*,#225434,.T.); #317293=ORIENTED_EDGE('',*,*,#225436,.F.); #317294=ORIENTED_EDGE('',*,*,#225437,.F.); #317295=ORIENTED_EDGE('',*,*,#225438,.T.); #317296=ORIENTED_EDGE('',*,*,#225437,.T.); #317297=ORIENTED_EDGE('',*,*,#225439,.F.); #317298=ORIENTED_EDGE('',*,*,#225429,.F.); #317299=ORIENTED_EDGE('',*,*,#225439,.T.); #317300=ORIENTED_EDGE('',*,*,#225436,.T.); #317301=ORIENTED_EDGE('',*,*,#225433,.T.); #317302=ORIENTED_EDGE('',*,*,#225430,.T.); #317303=ORIENTED_EDGE('',*,*,#225438,.F.); #317304=ORIENTED_EDGE('',*,*,#225428,.T.); #317305=ORIENTED_EDGE('',*,*,#225432,.F.); #317306=ORIENTED_EDGE('',*,*,#225435,.F.); #317307=ORIENTED_EDGE('',*,*,#225440,.F.); #317308=ORIENTED_EDGE('',*,*,#225441,.F.); #317309=ORIENTED_EDGE('',*,*,#225442,.F.); #317310=ORIENTED_EDGE('',*,*,#225443,.F.); #317311=ORIENTED_EDGE('',*,*,#225444,.F.); #317312=ORIENTED_EDGE('',*,*,#225445,.F.); #317313=ORIENTED_EDGE('',*,*,#225446,.F.); #317314=ORIENTED_EDGE('',*,*,#225447,.F.); #317315=ORIENTED_EDGE('',*,*,#225448,.F.); #317316=ORIENTED_EDGE('',*,*,#225449,.F.); #317317=ORIENTED_EDGE('',*,*,#225450,.F.); #317318=ORIENTED_EDGE('',*,*,#225451,.F.); #317319=ORIENTED_EDGE('',*,*,#225452,.F.); #317320=ORIENTED_EDGE('',*,*,#225453,.F.); #317321=ORIENTED_EDGE('',*,*,#225454,.F.); #317322=ORIENTED_EDGE('',*,*,#225453,.T.); #317323=ORIENTED_EDGE('',*,*,#225455,.T.); #317324=ORIENTED_EDGE('',*,*,#225448,.T.); #317325=ORIENTED_EDGE('',*,*,#225456,.T.); #317326=ORIENTED_EDGE('',*,*,#225457,.F.); #317327=ORIENTED_EDGE('',*,*,#225458,.F.); #317328=ORIENTED_EDGE('',*,*,#225459,.F.); #317329=ORIENTED_EDGE('',*,*,#225460,.F.); #317330=ORIENTED_EDGE('',*,*,#225461,.F.); #317331=ORIENTED_EDGE('',*,*,#225462,.F.); #317332=ORIENTED_EDGE('',*,*,#225447,.T.); #317333=ORIENTED_EDGE('',*,*,#225463,.T.); #317334=ORIENTED_EDGE('',*,*,#225461,.T.); #317335=ORIENTED_EDGE('',*,*,#225464,.T.); #317336=ORIENTED_EDGE('',*,*,#225450,.T.); #317337=ORIENTED_EDGE('',*,*,#225465,.T.); #317338=ORIENTED_EDGE('',*,*,#225462,.T.); #317339=ORIENTED_EDGE('',*,*,#225466,.T.); #317340=ORIENTED_EDGE('',*,*,#225467,.F.); #317341=ORIENTED_EDGE('',*,*,#225468,.F.); #317342=ORIENTED_EDGE('',*,*,#225469,.F.); #317343=ORIENTED_EDGE('',*,*,#225454,.T.); #317344=ORIENTED_EDGE('',*,*,#225470,.T.); #317345=ORIENTED_EDGE('',*,*,#225468,.T.); #317346=ORIENTED_EDGE('',*,*,#225471,.T.); #317347=ORIENTED_EDGE('',*,*,#225460,.T.); #317348=ORIENTED_EDGE('',*,*,#225472,.T.); #317349=ORIENTED_EDGE('',*,*,#225469,.T.); #317350=ORIENTED_EDGE('',*,*,#225473,.T.); #317351=ORIENTED_EDGE('',*,*,#225458,.T.); #317352=ORIENTED_EDGE('',*,*,#225474,.T.); #317353=ORIENTED_EDGE('',*,*,#225467,.T.); #317354=ORIENTED_EDGE('',*,*,#225475,.T.); #317355=ORIENTED_EDGE('',*,*,#225449,.T.); #317356=ORIENTED_EDGE('',*,*,#225476,.T.); #317357=ORIENTED_EDGE('',*,*,#225459,.T.); #317358=ORIENTED_EDGE('',*,*,#225477,.T.); #317359=ORIENTED_EDGE('',*,*,#225457,.T.); #317360=ORIENTED_EDGE('',*,*,#225478,.T.); #317361=ORIENTED_EDGE('',*,*,#225445,.T.); #317362=ORIENTED_EDGE('',*,*,#225479,.T.); #317363=ORIENTED_EDGE('',*,*,#225444,.T.); #317364=ORIENTED_EDGE('',*,*,#225480,.T.); #317365=ORIENTED_EDGE('',*,*,#225452,.T.); #317366=ORIENTED_EDGE('',*,*,#225481,.T.); #317367=ORIENTED_EDGE('',*,*,#225440,.T.); #317368=ORIENTED_EDGE('',*,*,#225482,.T.); #317369=ORIENTED_EDGE('',*,*,#225451,.T.); #317370=ORIENTED_EDGE('',*,*,#225483,.T.); #317371=ORIENTED_EDGE('',*,*,#225441,.T.); #317372=ORIENTED_EDGE('',*,*,#225484,.T.); #317373=ORIENTED_EDGE('',*,*,#225446,.T.); #317374=ORIENTED_EDGE('',*,*,#225485,.T.); #317375=ORIENTED_EDGE('',*,*,#225443,.T.); #317376=ORIENTED_EDGE('',*,*,#225486,.T.); #317377=ORIENTED_EDGE('',*,*,#225442,.T.); #317378=ORIENTED_EDGE('',*,*,#225487,.T.); #317379=ORIENTED_EDGE('',*,*,#225455,.F.); #317380=ORIENTED_EDGE('',*,*,#225480,.F.); #317381=ORIENTED_EDGE('',*,*,#225487,.F.); #317382=ORIENTED_EDGE('',*,*,#225485,.F.); #317383=ORIENTED_EDGE('',*,*,#225456,.F.); #317384=ORIENTED_EDGE('',*,*,#225464,.F.); #317385=ORIENTED_EDGE('',*,*,#225473,.F.); #317386=ORIENTED_EDGE('',*,*,#225470,.F.); #317387=ORIENTED_EDGE('',*,*,#225463,.F.); #317388=ORIENTED_EDGE('',*,*,#225484,.F.); #317389=ORIENTED_EDGE('',*,*,#225483,.F.); #317390=ORIENTED_EDGE('',*,*,#225466,.F.); #317391=ORIENTED_EDGE('',*,*,#225465,.F.); #317392=ORIENTED_EDGE('',*,*,#225477,.F.); #317393=ORIENTED_EDGE('',*,*,#225475,.F.); #317394=ORIENTED_EDGE('',*,*,#225472,.F.); #317395=ORIENTED_EDGE('',*,*,#225471,.F.); #317396=ORIENTED_EDGE('',*,*,#225474,.F.); #317397=ORIENTED_EDGE('',*,*,#225479,.F.); #317398=ORIENTED_EDGE('',*,*,#225481,.F.); #317399=ORIENTED_EDGE('',*,*,#225476,.F.); #317400=ORIENTED_EDGE('',*,*,#225482,.F.); #317401=ORIENTED_EDGE('',*,*,#225486,.F.); #317402=ORIENTED_EDGE('',*,*,#225478,.F.); #317403=ORIENTED_EDGE('',*,*,#225488,.F.); #317404=ORIENTED_EDGE('',*,*,#225489,.F.); #317405=ORIENTED_EDGE('',*,*,#225490,.F.); #317406=ORIENTED_EDGE('',*,*,#225491,.F.); #317407=ORIENTED_EDGE('',*,*,#225492,.F.); #317408=ORIENTED_EDGE('',*,*,#225493,.F.); #317409=ORIENTED_EDGE('',*,*,#225494,.F.); #317410=ORIENTED_EDGE('',*,*,#225495,.F.); #317411=ORIENTED_EDGE('',*,*,#225496,.F.); #317412=ORIENTED_EDGE('',*,*,#225497,.F.); #317413=ORIENTED_EDGE('',*,*,#225498,.F.); #317414=ORIENTED_EDGE('',*,*,#225499,.F.); #317415=ORIENTED_EDGE('',*,*,#225500,.F.); #317416=ORIENTED_EDGE('',*,*,#225501,.F.); #317417=ORIENTED_EDGE('',*,*,#225502,.F.); #317418=ORIENTED_EDGE('',*,*,#225501,.T.); #317419=ORIENTED_EDGE('',*,*,#225503,.T.); #317420=ORIENTED_EDGE('',*,*,#225496,.T.); #317421=ORIENTED_EDGE('',*,*,#225504,.T.); #317422=ORIENTED_EDGE('',*,*,#225505,.F.); #317423=ORIENTED_EDGE('',*,*,#225506,.F.); #317424=ORIENTED_EDGE('',*,*,#225507,.F.); #317425=ORIENTED_EDGE('',*,*,#225508,.F.); #317426=ORIENTED_EDGE('',*,*,#225509,.F.); #317427=ORIENTED_EDGE('',*,*,#225510,.F.); #317428=ORIENTED_EDGE('',*,*,#225495,.T.); #317429=ORIENTED_EDGE('',*,*,#225511,.T.); #317430=ORIENTED_EDGE('',*,*,#225509,.T.); #317431=ORIENTED_EDGE('',*,*,#225512,.T.); #317432=ORIENTED_EDGE('',*,*,#225498,.T.); #317433=ORIENTED_EDGE('',*,*,#225513,.T.); #317434=ORIENTED_EDGE('',*,*,#225510,.T.); #317435=ORIENTED_EDGE('',*,*,#225514,.T.); #317436=ORIENTED_EDGE('',*,*,#225515,.F.); #317437=ORIENTED_EDGE('',*,*,#225516,.F.); #317438=ORIENTED_EDGE('',*,*,#225517,.F.); #317439=ORIENTED_EDGE('',*,*,#225516,.T.); #317440=ORIENTED_EDGE('',*,*,#225518,.T.); #317441=ORIENTED_EDGE('',*,*,#225502,.T.); #317442=ORIENTED_EDGE('',*,*,#225519,.T.); #317443=ORIENTED_EDGE('',*,*,#225508,.T.); #317444=ORIENTED_EDGE('',*,*,#225520,.T.); #317445=ORIENTED_EDGE('',*,*,#225517,.T.); #317446=ORIENTED_EDGE('',*,*,#225521,.T.); #317447=ORIENTED_EDGE('',*,*,#225506,.T.); #317448=ORIENTED_EDGE('',*,*,#225522,.T.); #317449=ORIENTED_EDGE('',*,*,#225515,.T.); #317450=ORIENTED_EDGE('',*,*,#225523,.T.); #317451=ORIENTED_EDGE('',*,*,#225497,.T.); #317452=ORIENTED_EDGE('',*,*,#225524,.T.); #317453=ORIENTED_EDGE('',*,*,#225507,.T.); #317454=ORIENTED_EDGE('',*,*,#225525,.T.); #317455=ORIENTED_EDGE('',*,*,#225505,.T.); #317456=ORIENTED_EDGE('',*,*,#225526,.T.); #317457=ORIENTED_EDGE('',*,*,#225493,.T.); #317458=ORIENTED_EDGE('',*,*,#225527,.T.); #317459=ORIENTED_EDGE('',*,*,#225492,.T.); #317460=ORIENTED_EDGE('',*,*,#225528,.T.); #317461=ORIENTED_EDGE('',*,*,#225500,.T.); #317462=ORIENTED_EDGE('',*,*,#225529,.T.); #317463=ORIENTED_EDGE('',*,*,#225488,.T.); #317464=ORIENTED_EDGE('',*,*,#225530,.T.); #317465=ORIENTED_EDGE('',*,*,#225499,.T.); #317466=ORIENTED_EDGE('',*,*,#225531,.T.); #317467=ORIENTED_EDGE('',*,*,#225489,.T.); #317468=ORIENTED_EDGE('',*,*,#225532,.T.); #317469=ORIENTED_EDGE('',*,*,#225494,.T.); #317470=ORIENTED_EDGE('',*,*,#225533,.T.); #317471=ORIENTED_EDGE('',*,*,#225491,.T.); #317472=ORIENTED_EDGE('',*,*,#225534,.T.); #317473=ORIENTED_EDGE('',*,*,#225490,.T.); #317474=ORIENTED_EDGE('',*,*,#225535,.T.); #317475=ORIENTED_EDGE('',*,*,#225503,.F.); #317476=ORIENTED_EDGE('',*,*,#225528,.F.); #317477=ORIENTED_EDGE('',*,*,#225535,.F.); #317478=ORIENTED_EDGE('',*,*,#225533,.F.); #317479=ORIENTED_EDGE('',*,*,#225504,.F.); #317480=ORIENTED_EDGE('',*,*,#225512,.F.); #317481=ORIENTED_EDGE('',*,*,#225521,.F.); #317482=ORIENTED_EDGE('',*,*,#225519,.F.); #317483=ORIENTED_EDGE('',*,*,#225511,.F.); #317484=ORIENTED_EDGE('',*,*,#225532,.F.); #317485=ORIENTED_EDGE('',*,*,#225531,.F.); #317486=ORIENTED_EDGE('',*,*,#225514,.F.); #317487=ORIENTED_EDGE('',*,*,#225513,.F.); #317488=ORIENTED_EDGE('',*,*,#225525,.F.); #317489=ORIENTED_EDGE('',*,*,#225523,.F.); #317490=ORIENTED_EDGE('',*,*,#225520,.F.); #317491=ORIENTED_EDGE('',*,*,#225518,.F.); #317492=ORIENTED_EDGE('',*,*,#225522,.F.); #317493=ORIENTED_EDGE('',*,*,#225527,.F.); #317494=ORIENTED_EDGE('',*,*,#225529,.F.); #317495=ORIENTED_EDGE('',*,*,#225524,.F.); #317496=ORIENTED_EDGE('',*,*,#225530,.F.); #317497=ORIENTED_EDGE('',*,*,#225534,.F.); #317498=ORIENTED_EDGE('',*,*,#225526,.F.); #317499=ORIENTED_EDGE('',*,*,#225536,.F.); #317500=ORIENTED_EDGE('',*,*,#225537,.T.); #317501=ORIENTED_EDGE('',*,*,#225538,.F.); #317502=ORIENTED_EDGE('',*,*,#225539,.F.); #317503=ORIENTED_EDGE('',*,*,#225540,.T.); #317504=ORIENTED_EDGE('',*,*,#225539,.T.); #317505=ORIENTED_EDGE('',*,*,#225541,.F.); #317506=ORIENTED_EDGE('',*,*,#225542,.F.); #317507=ORIENTED_EDGE('',*,*,#225543,.T.); #317508=ORIENTED_EDGE('',*,*,#225542,.T.); #317509=ORIENTED_EDGE('',*,*,#225544,.F.); #317510=ORIENTED_EDGE('',*,*,#225545,.F.); #317511=ORIENTED_EDGE('',*,*,#225546,.T.); #317512=ORIENTED_EDGE('',*,*,#225545,.T.); #317513=ORIENTED_EDGE('',*,*,#225547,.F.); #317514=ORIENTED_EDGE('',*,*,#225537,.F.); #317515=ORIENTED_EDGE('',*,*,#225547,.T.); #317516=ORIENTED_EDGE('',*,*,#225544,.T.); #317517=ORIENTED_EDGE('',*,*,#225541,.T.); #317518=ORIENTED_EDGE('',*,*,#225538,.T.); #317519=ORIENTED_EDGE('',*,*,#225546,.F.); #317520=ORIENTED_EDGE('',*,*,#225536,.T.); #317521=ORIENTED_EDGE('',*,*,#225540,.F.); #317522=ORIENTED_EDGE('',*,*,#225543,.F.); #317523=ORIENTED_EDGE('',*,*,#225548,.F.); #317524=ORIENTED_EDGE('',*,*,#225549,.T.); #317525=ORIENTED_EDGE('',*,*,#225550,.T.); #317526=ORIENTED_EDGE('',*,*,#225549,.F.); #317527=ORIENTED_EDGE('',*,*,#225550,.F.); #317528=ORIENTED_EDGE('',*,*,#225551,.F.); #317529=ORIENTED_EDGE('',*,*,#225552,.F.); #317530=ORIENTED_EDGE('',*,*,#225553,.T.); #317531=ORIENTED_EDGE('',*,*,#225551,.T.); #317532=ORIENTED_EDGE('',*,*,#225553,.F.); #317533=ORIENTED_EDGE('',*,*,#225554,.F.); #317534=ORIENTED_EDGE('',*,*,#225555,.T.); #317535=ORIENTED_EDGE('',*,*,#225552,.T.); #317536=ORIENTED_EDGE('',*,*,#225555,.F.); #317537=ORIENTED_EDGE('',*,*,#225556,.F.); #317538=ORIENTED_EDGE('',*,*,#225557,.T.); #317539=ORIENTED_EDGE('',*,*,#225554,.T.); #317540=ORIENTED_EDGE('',*,*,#225557,.F.); #317541=ORIENTED_EDGE('',*,*,#225556,.T.); #317542=ORIENTED_EDGE('',*,*,#225558,.T.); #317543=ORIENTED_EDGE('',*,*,#225559,.F.); #317544=ORIENTED_EDGE('',*,*,#225558,.F.); #317545=ORIENTED_EDGE('',*,*,#225560,.F.); #317546=ORIENTED_EDGE('',*,*,#225561,.T.); #317547=ORIENTED_EDGE('',*,*,#225559,.T.); #317548=ORIENTED_EDGE('',*,*,#225561,.F.); #317549=ORIENTED_EDGE('',*,*,#225562,.F.); #317550=ORIENTED_EDGE('',*,*,#225563,.T.); #317551=ORIENTED_EDGE('',*,*,#225560,.T.); #317552=ORIENTED_EDGE('',*,*,#225563,.F.); #317553=ORIENTED_EDGE('',*,*,#225564,.F.); #317554=ORIENTED_EDGE('',*,*,#225565,.T.); #317555=ORIENTED_EDGE('',*,*,#225562,.T.); #317556=ORIENTED_EDGE('',*,*,#225565,.F.); #317557=ORIENTED_EDGE('',*,*,#225564,.T.); #317558=ORIENTED_EDGE('',*,*,#225548,.T.); #317559=ORIENTED_EDGE('',*,*,#225566,.T.); #317560=ORIENTED_EDGE('',*,*,#225567,.T.); #317561=ORIENTED_EDGE('',*,*,#225568,.T.); #317562=ORIENTED_EDGE('',*,*,#225569,.T.); #317563=ORIENTED_EDGE('',*,*,#225570,.T.); #317564=ORIENTED_EDGE('',*,*,#225571,.T.); #317565=ORIENTED_EDGE('',*,*,#225572,.T.); #317566=ORIENTED_EDGE('',*,*,#225573,.T.); #317567=ORIENTED_EDGE('',*,*,#225574,.T.); #317568=ORIENTED_EDGE('',*,*,#225575,.T.); #317569=ORIENTED_EDGE('',*,*,#225576,.T.); #317570=ORIENTED_EDGE('',*,*,#225577,.T.); #317571=ORIENTED_EDGE('',*,*,#225578,.T.); #317572=ORIENTED_EDGE('',*,*,#225579,.T.); #317573=ORIENTED_EDGE('',*,*,#225580,.T.); #317574=ORIENTED_EDGE('',*,*,#225581,.T.); #317575=ORIENTED_EDGE('',*,*,#225582,.F.); #317576=ORIENTED_EDGE('',*,*,#225583,.F.); #317577=ORIENTED_EDGE('',*,*,#225584,.F.); #317578=ORIENTED_EDGE('',*,*,#225567,.F.); #317579=ORIENTED_EDGE('',*,*,#225584,.T.); #317580=ORIENTED_EDGE('',*,*,#225585,.F.); #317581=ORIENTED_EDGE('',*,*,#225586,.F.); #317582=ORIENTED_EDGE('',*,*,#225568,.F.); #317583=ORIENTED_EDGE('',*,*,#225586,.T.); #317584=ORIENTED_EDGE('',*,*,#225587,.F.); #317585=ORIENTED_EDGE('',*,*,#225588,.F.); #317586=ORIENTED_EDGE('',*,*,#225569,.F.); #317587=ORIENTED_EDGE('',*,*,#225588,.T.); #317588=ORIENTED_EDGE('',*,*,#225589,.F.); #317589=ORIENTED_EDGE('',*,*,#225590,.F.); #317590=ORIENTED_EDGE('',*,*,#225570,.F.); #317591=ORIENTED_EDGE('',*,*,#225590,.T.); #317592=ORIENTED_EDGE('',*,*,#225591,.F.); #317593=ORIENTED_EDGE('',*,*,#225592,.F.); #317594=ORIENTED_EDGE('',*,*,#225571,.F.); #317595=ORIENTED_EDGE('',*,*,#225592,.T.); #317596=ORIENTED_EDGE('',*,*,#225593,.F.); #317597=ORIENTED_EDGE('',*,*,#225594,.F.); #317598=ORIENTED_EDGE('',*,*,#225572,.F.); #317599=ORIENTED_EDGE('',*,*,#225594,.T.); #317600=ORIENTED_EDGE('',*,*,#225595,.F.); #317601=ORIENTED_EDGE('',*,*,#225596,.F.); #317602=ORIENTED_EDGE('',*,*,#225573,.F.); #317603=ORIENTED_EDGE('',*,*,#225596,.T.); #317604=ORIENTED_EDGE('',*,*,#225597,.F.); #317605=ORIENTED_EDGE('',*,*,#225598,.F.); #317606=ORIENTED_EDGE('',*,*,#225574,.F.); #317607=ORIENTED_EDGE('',*,*,#225598,.T.); #317608=ORIENTED_EDGE('',*,*,#225599,.F.); #317609=ORIENTED_EDGE('',*,*,#225600,.F.); #317610=ORIENTED_EDGE('',*,*,#225575,.F.); #317611=ORIENTED_EDGE('',*,*,#225600,.T.); #317612=ORIENTED_EDGE('',*,*,#225601,.F.); #317613=ORIENTED_EDGE('',*,*,#225602,.F.); #317614=ORIENTED_EDGE('',*,*,#225576,.F.); #317615=ORIENTED_EDGE('',*,*,#225602,.T.); #317616=ORIENTED_EDGE('',*,*,#225603,.F.); #317617=ORIENTED_EDGE('',*,*,#225604,.F.); #317618=ORIENTED_EDGE('',*,*,#225577,.F.); #317619=ORIENTED_EDGE('',*,*,#225604,.T.); #317620=ORIENTED_EDGE('',*,*,#225605,.F.); #317621=ORIENTED_EDGE('',*,*,#225606,.F.); #317622=ORIENTED_EDGE('',*,*,#225578,.F.); #317623=ORIENTED_EDGE('',*,*,#225606,.T.); #317624=ORIENTED_EDGE('',*,*,#225607,.F.); #317625=ORIENTED_EDGE('',*,*,#225608,.F.); #317626=ORIENTED_EDGE('',*,*,#225579,.F.); #317627=ORIENTED_EDGE('',*,*,#225608,.T.); #317628=ORIENTED_EDGE('',*,*,#225609,.F.); #317629=ORIENTED_EDGE('',*,*,#225610,.F.); #317630=ORIENTED_EDGE('',*,*,#225580,.F.); #317631=ORIENTED_EDGE('',*,*,#225610,.T.); #317632=ORIENTED_EDGE('',*,*,#225611,.F.); #317633=ORIENTED_EDGE('',*,*,#225612,.F.); #317634=ORIENTED_EDGE('',*,*,#225581,.F.); #317635=ORIENTED_EDGE('',*,*,#225612,.T.); #317636=ORIENTED_EDGE('',*,*,#225613,.F.); #317637=ORIENTED_EDGE('',*,*,#225582,.T.); #317638=ORIENTED_EDGE('',*,*,#225566,.F.); #317639=ORIENTED_EDGE('',*,*,#225613,.T.); #317640=ORIENTED_EDGE('',*,*,#225611,.T.); #317641=ORIENTED_EDGE('',*,*,#225609,.T.); #317642=ORIENTED_EDGE('',*,*,#225607,.T.); #317643=ORIENTED_EDGE('',*,*,#225605,.T.); #317644=ORIENTED_EDGE('',*,*,#225603,.T.); #317645=ORIENTED_EDGE('',*,*,#225601,.T.); #317646=ORIENTED_EDGE('',*,*,#225599,.T.); #317647=ORIENTED_EDGE('',*,*,#225597,.T.); #317648=ORIENTED_EDGE('',*,*,#225595,.T.); #317649=ORIENTED_EDGE('',*,*,#225593,.T.); #317650=ORIENTED_EDGE('',*,*,#225591,.T.); #317651=ORIENTED_EDGE('',*,*,#225589,.T.); #317652=ORIENTED_EDGE('',*,*,#225587,.T.); #317653=ORIENTED_EDGE('',*,*,#225585,.T.); #317654=ORIENTED_EDGE('',*,*,#225583,.T.); #317655=ORIENTED_EDGE('',*,*,#225614,.F.); #317656=ORIENTED_EDGE('',*,*,#225615,.T.); #317657=ORIENTED_EDGE('',*,*,#225616,.F.); #317658=ORIENTED_EDGE('',*,*,#225615,.F.); #317659=ORIENTED_EDGE('',*,*,#225616,.T.); #317660=ORIENTED_EDGE('',*,*,#225614,.T.); #317661=ORIENTED_EDGE('',*,*,#225617,.T.); #317662=ORIENTED_EDGE('',*,*,#225618,.T.); #317663=ORIENTED_EDGE('',*,*,#225619,.T.); #317664=ORIENTED_EDGE('',*,*,#225618,.F.); #317665=ORIENTED_EDGE('',*,*,#225619,.F.); #317666=ORIENTED_EDGE('',*,*,#225617,.F.); #317667=ORIENTED_EDGE('',*,*,#225620,.T.); #317668=ORIENTED_EDGE('',*,*,#225621,.T.); #317669=ORIENTED_EDGE('',*,*,#225622,.T.); #317670=ORIENTED_EDGE('',*,*,#225623,.T.); #317671=ORIENTED_EDGE('',*,*,#225624,.F.); #317672=ORIENTED_EDGE('',*,*,#225625,.F.); #317673=ORIENTED_EDGE('',*,*,#225626,.F.); #317674=ORIENTED_EDGE('',*,*,#225621,.F.); #317675=ORIENTED_EDGE('',*,*,#225626,.T.); #317676=ORIENTED_EDGE('',*,*,#225627,.F.); #317677=ORIENTED_EDGE('',*,*,#225628,.F.); #317678=ORIENTED_EDGE('',*,*,#225622,.F.); #317679=ORIENTED_EDGE('',*,*,#225628,.T.); #317680=ORIENTED_EDGE('',*,*,#225629,.F.); #317681=ORIENTED_EDGE('',*,*,#225630,.F.); #317682=ORIENTED_EDGE('',*,*,#225623,.F.); #317683=ORIENTED_EDGE('',*,*,#225630,.T.); #317684=ORIENTED_EDGE('',*,*,#225631,.F.); #317685=ORIENTED_EDGE('',*,*,#225624,.T.); #317686=ORIENTED_EDGE('',*,*,#225620,.F.); #317687=ORIENTED_EDGE('',*,*,#225631,.T.); #317688=ORIENTED_EDGE('',*,*,#225629,.T.); #317689=ORIENTED_EDGE('',*,*,#225627,.T.); #317690=ORIENTED_EDGE('',*,*,#225625,.T.); #317691=ORIENTED_EDGE('',*,*,#225632,.T.); #317692=ORIENTED_EDGE('',*,*,#225633,.T.); #317693=ORIENTED_EDGE('',*,*,#225634,.T.); #317694=ORIENTED_EDGE('',*,*,#225635,.T.); #317695=ORIENTED_EDGE('',*,*,#225636,.F.); #317696=ORIENTED_EDGE('',*,*,#225637,.F.); #317697=ORIENTED_EDGE('',*,*,#225638,.F.); #317698=ORIENTED_EDGE('',*,*,#225633,.F.); #317699=ORIENTED_EDGE('',*,*,#225638,.T.); #317700=ORIENTED_EDGE('',*,*,#225639,.F.); #317701=ORIENTED_EDGE('',*,*,#225640,.F.); #317702=ORIENTED_EDGE('',*,*,#225634,.F.); #317703=ORIENTED_EDGE('',*,*,#225640,.T.); #317704=ORIENTED_EDGE('',*,*,#225641,.F.); #317705=ORIENTED_EDGE('',*,*,#225642,.F.); #317706=ORIENTED_EDGE('',*,*,#225635,.F.); #317707=ORIENTED_EDGE('',*,*,#225642,.T.); #317708=ORIENTED_EDGE('',*,*,#225643,.F.); #317709=ORIENTED_EDGE('',*,*,#225636,.T.); #317710=ORIENTED_EDGE('',*,*,#225632,.F.); #317711=ORIENTED_EDGE('',*,*,#225643,.T.); #317712=ORIENTED_EDGE('',*,*,#225641,.T.); #317713=ORIENTED_EDGE('',*,*,#225639,.T.); #317714=ORIENTED_EDGE('',*,*,#225637,.T.); #317715=ORIENTED_EDGE('',*,*,#225644,.T.); #317716=ORIENTED_EDGE('',*,*,#225645,.T.); #317717=ORIENTED_EDGE('',*,*,#225646,.T.); #317718=ORIENTED_EDGE('',*,*,#225647,.T.); #317719=ORIENTED_EDGE('',*,*,#225648,.F.); #317720=ORIENTED_EDGE('',*,*,#225649,.F.); #317721=ORIENTED_EDGE('',*,*,#225650,.F.); #317722=ORIENTED_EDGE('',*,*,#225645,.F.); #317723=ORIENTED_EDGE('',*,*,#225650,.T.); #317724=ORIENTED_EDGE('',*,*,#225651,.F.); #317725=ORIENTED_EDGE('',*,*,#225652,.F.); #317726=ORIENTED_EDGE('',*,*,#225646,.F.); #317727=ORIENTED_EDGE('',*,*,#225652,.T.); #317728=ORIENTED_EDGE('',*,*,#225653,.F.); #317729=ORIENTED_EDGE('',*,*,#225654,.F.); #317730=ORIENTED_EDGE('',*,*,#225647,.F.); #317731=ORIENTED_EDGE('',*,*,#225654,.T.); #317732=ORIENTED_EDGE('',*,*,#225655,.F.); #317733=ORIENTED_EDGE('',*,*,#225648,.T.); #317734=ORIENTED_EDGE('',*,*,#225644,.F.); #317735=ORIENTED_EDGE('',*,*,#225655,.T.); #317736=ORIENTED_EDGE('',*,*,#225653,.T.); #317737=ORIENTED_EDGE('',*,*,#225651,.T.); #317738=ORIENTED_EDGE('',*,*,#225649,.T.); #317739=ORIENTED_EDGE('',*,*,#225656,.T.); #317740=ORIENTED_EDGE('',*,*,#225657,.T.); #317741=ORIENTED_EDGE('',*,*,#225658,.T.); #317742=ORIENTED_EDGE('',*,*,#225659,.T.); #317743=ORIENTED_EDGE('',*,*,#225660,.F.); #317744=ORIENTED_EDGE('',*,*,#225661,.F.); #317745=ORIENTED_EDGE('',*,*,#225662,.F.); #317746=ORIENTED_EDGE('',*,*,#225657,.F.); #317747=ORIENTED_EDGE('',*,*,#225662,.T.); #317748=ORIENTED_EDGE('',*,*,#225663,.F.); #317749=ORIENTED_EDGE('',*,*,#225664,.F.); #317750=ORIENTED_EDGE('',*,*,#225658,.F.); #317751=ORIENTED_EDGE('',*,*,#225664,.T.); #317752=ORIENTED_EDGE('',*,*,#225665,.F.); #317753=ORIENTED_EDGE('',*,*,#225666,.F.); #317754=ORIENTED_EDGE('',*,*,#225659,.F.); #317755=ORIENTED_EDGE('',*,*,#225666,.T.); #317756=ORIENTED_EDGE('',*,*,#225667,.F.); #317757=ORIENTED_EDGE('',*,*,#225660,.T.); #317758=ORIENTED_EDGE('',*,*,#225656,.F.); #317759=ORIENTED_EDGE('',*,*,#225667,.T.); #317760=ORIENTED_EDGE('',*,*,#225665,.T.); #317761=ORIENTED_EDGE('',*,*,#225663,.T.); #317762=ORIENTED_EDGE('',*,*,#225661,.T.); #317763=ORIENTED_EDGE('',*,*,#225668,.T.); #317764=ORIENTED_EDGE('',*,*,#225669,.T.); #317765=ORIENTED_EDGE('',*,*,#225670,.T.); #317766=ORIENTED_EDGE('',*,*,#225671,.T.); #317767=ORIENTED_EDGE('',*,*,#225672,.F.); #317768=ORIENTED_EDGE('',*,*,#225673,.F.); #317769=ORIENTED_EDGE('',*,*,#225674,.F.); #317770=ORIENTED_EDGE('',*,*,#225669,.F.); #317771=ORIENTED_EDGE('',*,*,#225674,.T.); #317772=ORIENTED_EDGE('',*,*,#225675,.F.); #317773=ORIENTED_EDGE('',*,*,#225676,.F.); #317774=ORIENTED_EDGE('',*,*,#225670,.F.); #317775=ORIENTED_EDGE('',*,*,#225676,.T.); #317776=ORIENTED_EDGE('',*,*,#225677,.F.); #317777=ORIENTED_EDGE('',*,*,#225678,.F.); #317778=ORIENTED_EDGE('',*,*,#225671,.F.); #317779=ORIENTED_EDGE('',*,*,#225678,.T.); #317780=ORIENTED_EDGE('',*,*,#225679,.F.); #317781=ORIENTED_EDGE('',*,*,#225672,.T.); #317782=ORIENTED_EDGE('',*,*,#225668,.F.); #317783=ORIENTED_EDGE('',*,*,#225679,.T.); #317784=ORIENTED_EDGE('',*,*,#225677,.T.); #317785=ORIENTED_EDGE('',*,*,#225675,.T.); #317786=ORIENTED_EDGE('',*,*,#225673,.T.); #317787=ORIENTED_EDGE('',*,*,#225680,.T.); #317788=ORIENTED_EDGE('',*,*,#225681,.T.); #317789=ORIENTED_EDGE('',*,*,#225682,.T.); #317790=ORIENTED_EDGE('',*,*,#225683,.T.); #317791=ORIENTED_EDGE('',*,*,#225684,.F.); #317792=ORIENTED_EDGE('',*,*,#225685,.F.); #317793=ORIENTED_EDGE('',*,*,#225686,.F.); #317794=ORIENTED_EDGE('',*,*,#225681,.F.); #317795=ORIENTED_EDGE('',*,*,#225686,.T.); #317796=ORIENTED_EDGE('',*,*,#225687,.F.); #317797=ORIENTED_EDGE('',*,*,#225688,.F.); #317798=ORIENTED_EDGE('',*,*,#225682,.F.); #317799=ORIENTED_EDGE('',*,*,#225688,.T.); #317800=ORIENTED_EDGE('',*,*,#225689,.F.); #317801=ORIENTED_EDGE('',*,*,#225690,.F.); #317802=ORIENTED_EDGE('',*,*,#225683,.F.); #317803=ORIENTED_EDGE('',*,*,#225690,.T.); #317804=ORIENTED_EDGE('',*,*,#225691,.F.); #317805=ORIENTED_EDGE('',*,*,#225684,.T.); #317806=ORIENTED_EDGE('',*,*,#225680,.F.); #317807=ORIENTED_EDGE('',*,*,#225691,.T.); #317808=ORIENTED_EDGE('',*,*,#225689,.T.); #317809=ORIENTED_EDGE('',*,*,#225687,.T.); #317810=ORIENTED_EDGE('',*,*,#225685,.T.); #317811=ORIENTED_EDGE('',*,*,#225692,.T.); #317812=ORIENTED_EDGE('',*,*,#225693,.T.); #317813=ORIENTED_EDGE('',*,*,#225694,.T.); #317814=ORIENTED_EDGE('',*,*,#225695,.T.); #317815=ORIENTED_EDGE('',*,*,#225696,.F.); #317816=ORIENTED_EDGE('',*,*,#225697,.F.); #317817=ORIENTED_EDGE('',*,*,#225698,.F.); #317818=ORIENTED_EDGE('',*,*,#225693,.F.); #317819=ORIENTED_EDGE('',*,*,#225698,.T.); #317820=ORIENTED_EDGE('',*,*,#225699,.F.); #317821=ORIENTED_EDGE('',*,*,#225700,.F.); #317822=ORIENTED_EDGE('',*,*,#225694,.F.); #317823=ORIENTED_EDGE('',*,*,#225700,.T.); #317824=ORIENTED_EDGE('',*,*,#225701,.F.); #317825=ORIENTED_EDGE('',*,*,#225702,.F.); #317826=ORIENTED_EDGE('',*,*,#225695,.F.); #317827=ORIENTED_EDGE('',*,*,#225702,.T.); #317828=ORIENTED_EDGE('',*,*,#225703,.F.); #317829=ORIENTED_EDGE('',*,*,#225696,.T.); #317830=ORIENTED_EDGE('',*,*,#225692,.F.); #317831=ORIENTED_EDGE('',*,*,#225703,.T.); #317832=ORIENTED_EDGE('',*,*,#225701,.T.); #317833=ORIENTED_EDGE('',*,*,#225699,.T.); #317834=ORIENTED_EDGE('',*,*,#225697,.T.); #317835=ORIENTED_EDGE('',*,*,#225704,.T.); #317836=ORIENTED_EDGE('',*,*,#225705,.T.); #317837=ORIENTED_EDGE('',*,*,#225706,.T.); #317838=ORIENTED_EDGE('',*,*,#225707,.T.); #317839=ORIENTED_EDGE('',*,*,#225708,.F.); #317840=ORIENTED_EDGE('',*,*,#225709,.F.); #317841=ORIENTED_EDGE('',*,*,#225710,.F.); #317842=ORIENTED_EDGE('',*,*,#225705,.F.); #317843=ORIENTED_EDGE('',*,*,#225710,.T.); #317844=ORIENTED_EDGE('',*,*,#225711,.F.); #317845=ORIENTED_EDGE('',*,*,#225712,.F.); #317846=ORIENTED_EDGE('',*,*,#225706,.F.); #317847=ORIENTED_EDGE('',*,*,#225712,.T.); #317848=ORIENTED_EDGE('',*,*,#225713,.F.); #317849=ORIENTED_EDGE('',*,*,#225714,.F.); #317850=ORIENTED_EDGE('',*,*,#225707,.F.); #317851=ORIENTED_EDGE('',*,*,#225714,.T.); #317852=ORIENTED_EDGE('',*,*,#225715,.F.); #317853=ORIENTED_EDGE('',*,*,#225708,.T.); #317854=ORIENTED_EDGE('',*,*,#225704,.F.); #317855=ORIENTED_EDGE('',*,*,#225715,.T.); #317856=ORIENTED_EDGE('',*,*,#225713,.T.); #317857=ORIENTED_EDGE('',*,*,#225711,.T.); #317858=ORIENTED_EDGE('',*,*,#225709,.T.); #317859=ORIENTED_EDGE('',*,*,#225716,.T.); #317860=ORIENTED_EDGE('',*,*,#225717,.T.); #317861=ORIENTED_EDGE('',*,*,#225718,.T.); #317862=ORIENTED_EDGE('',*,*,#225719,.T.); #317863=ORIENTED_EDGE('',*,*,#225720,.F.); #317864=ORIENTED_EDGE('',*,*,#225721,.F.); #317865=ORIENTED_EDGE('',*,*,#225722,.F.); #317866=ORIENTED_EDGE('',*,*,#225717,.F.); #317867=ORIENTED_EDGE('',*,*,#225722,.T.); #317868=ORIENTED_EDGE('',*,*,#225723,.F.); #317869=ORIENTED_EDGE('',*,*,#225724,.F.); #317870=ORIENTED_EDGE('',*,*,#225718,.F.); #317871=ORIENTED_EDGE('',*,*,#225724,.T.); #317872=ORIENTED_EDGE('',*,*,#225725,.F.); #317873=ORIENTED_EDGE('',*,*,#225726,.F.); #317874=ORIENTED_EDGE('',*,*,#225719,.F.); #317875=ORIENTED_EDGE('',*,*,#225726,.T.); #317876=ORIENTED_EDGE('',*,*,#225727,.F.); #317877=ORIENTED_EDGE('',*,*,#225720,.T.); #317878=ORIENTED_EDGE('',*,*,#225716,.F.); #317879=ORIENTED_EDGE('',*,*,#225727,.T.); #317880=ORIENTED_EDGE('',*,*,#225725,.T.); #317881=ORIENTED_EDGE('',*,*,#225723,.T.); #317882=ORIENTED_EDGE('',*,*,#225721,.T.); #317883=ORIENTED_EDGE('',*,*,#225728,.F.); #317884=ORIENTED_EDGE('',*,*,#225729,.T.); #317885=ORIENTED_EDGE('',*,*,#225730,.T.); #317886=ORIENTED_EDGE('',*,*,#225729,.F.); #317887=ORIENTED_EDGE('',*,*,#225730,.F.); #317888=ORIENTED_EDGE('',*,*,#225731,.T.); #317889=ORIENTED_EDGE('',*,*,#225732,.T.); #317890=ORIENTED_EDGE('',*,*,#225733,.T.); #317891=ORIENTED_EDGE('',*,*,#225734,.T.); #317892=ORIENTED_EDGE('',*,*,#225735,.T.); #317893=ORIENTED_EDGE('',*,*,#225732,.F.); #317894=ORIENTED_EDGE('',*,*,#225736,.T.); #317895=ORIENTED_EDGE('',*,*,#225737,.F.); #317896=ORIENTED_EDGE('',*,*,#225738,.T.); #317897=ORIENTED_EDGE('',*,*,#225734,.F.); #317898=ORIENTED_EDGE('',*,*,#225739,.T.); #317899=ORIENTED_EDGE('',*,*,#225740,.T.); #317900=ORIENTED_EDGE('',*,*,#225741,.T.); #317901=ORIENTED_EDGE('',*,*,#225740,.F.); #317902=ORIENTED_EDGE('',*,*,#225742,.T.); #317903=ORIENTED_EDGE('',*,*,#225737,.T.); #317904=ORIENTED_EDGE('',*,*,#225739,.F.); #317905=ORIENTED_EDGE('',*,*,#225743,.T.); #317906=ORIENTED_EDGE('',*,*,#225744,.F.); #317907=ORIENTED_EDGE('',*,*,#225745,.F.); #317908=ORIENTED_EDGE('',*,*,#225733,.F.); #317909=ORIENTED_EDGE('',*,*,#225746,.T.); #317910=ORIENTED_EDGE('',*,*,#225747,.F.); #317911=ORIENTED_EDGE('',*,*,#225743,.F.); #317912=ORIENTED_EDGE('',*,*,#225731,.F.); #317913=ORIENTED_EDGE('',*,*,#225738,.F.); #317914=ORIENTED_EDGE('',*,*,#225741,.F.); #317915=ORIENTED_EDGE('',*,*,#225736,.F.); #317916=ORIENTED_EDGE('',*,*,#225728,.T.); #317917=ORIENTED_EDGE('',*,*,#225742,.F.); #317918=ORIENTED_EDGE('',*,*,#225745,.T.); #317919=ORIENTED_EDGE('',*,*,#225748,.F.); #317920=ORIENTED_EDGE('',*,*,#225749,.F.); #317921=ORIENTED_EDGE('',*,*,#225735,.F.); #317922=ORIENTED_EDGE('',*,*,#225749,.T.); #317923=ORIENTED_EDGE('',*,*,#225750,.F.); #317924=ORIENTED_EDGE('',*,*,#225746,.F.); #317925=ORIENTED_EDGE('',*,*,#225747,.T.); #317926=ORIENTED_EDGE('',*,*,#225750,.T.); #317927=ORIENTED_EDGE('',*,*,#225748,.T.); #317928=ORIENTED_EDGE('',*,*,#225744,.T.); #317929=ORIENTED_EDGE('',*,*,#225751,.T.); #317930=ORIENTED_EDGE('',*,*,#225752,.T.); #317931=ORIENTED_EDGE('',*,*,#225753,.F.); #317932=ORIENTED_EDGE('',*,*,#225754,.F.); #317933=ORIENTED_EDGE('',*,*,#225755,.T.); #317934=ORIENTED_EDGE('',*,*,#225754,.T.); #317935=ORIENTED_EDGE('',*,*,#225756,.F.); #317936=ORIENTED_EDGE('',*,*,#225757,.F.); #317937=ORIENTED_EDGE('',*,*,#225758,.T.); #317938=ORIENTED_EDGE('',*,*,#225757,.T.); #317939=ORIENTED_EDGE('',*,*,#225759,.F.); #317940=ORIENTED_EDGE('',*,*,#225760,.F.); #317941=ORIENTED_EDGE('',*,*,#225761,.T.); #317942=ORIENTED_EDGE('',*,*,#225760,.T.); #317943=ORIENTED_EDGE('',*,*,#225762,.F.); #317944=ORIENTED_EDGE('',*,*,#225752,.F.); #317945=ORIENTED_EDGE('',*,*,#225762,.T.); #317946=ORIENTED_EDGE('',*,*,#225759,.T.); #317947=ORIENTED_EDGE('',*,*,#225756,.T.); #317948=ORIENTED_EDGE('',*,*,#225753,.T.); #317949=ORIENTED_EDGE('',*,*,#225761,.F.); #317950=ORIENTED_EDGE('',*,*,#225751,.F.); #317951=ORIENTED_EDGE('',*,*,#225755,.F.); #317952=ORIENTED_EDGE('',*,*,#225758,.F.); #317953=ORIENTED_EDGE('',*,*,#225763,.F.); #317954=ORIENTED_EDGE('',*,*,#225764,.T.); #317955=ORIENTED_EDGE('',*,*,#225765,.F.); #317956=ORIENTED_EDGE('',*,*,#225766,.F.); #317957=ORIENTED_EDGE('',*,*,#225767,.T.); #317958=ORIENTED_EDGE('',*,*,#225766,.T.); #317959=ORIENTED_EDGE('',*,*,#225768,.F.); #317960=ORIENTED_EDGE('',*,*,#225769,.F.); #317961=ORIENTED_EDGE('',*,*,#225770,.T.); #317962=ORIENTED_EDGE('',*,*,#225769,.T.); #317963=ORIENTED_EDGE('',*,*,#225771,.F.); #317964=ORIENTED_EDGE('',*,*,#225772,.F.); #317965=ORIENTED_EDGE('',*,*,#225773,.T.); #317966=ORIENTED_EDGE('',*,*,#225772,.T.); #317967=ORIENTED_EDGE('',*,*,#225774,.F.); #317968=ORIENTED_EDGE('',*,*,#225775,.F.); #317969=ORIENTED_EDGE('',*,*,#225776,.T.); #317970=ORIENTED_EDGE('',*,*,#225775,.T.); #317971=ORIENTED_EDGE('',*,*,#225777,.F.); #317972=ORIENTED_EDGE('',*,*,#225778,.F.); #317973=ORIENTED_EDGE('',*,*,#225779,.T.); #317974=ORIENTED_EDGE('',*,*,#225778,.T.); #317975=ORIENTED_EDGE('',*,*,#225780,.F.); #317976=ORIENTED_EDGE('',*,*,#225764,.F.); #317977=ORIENTED_EDGE('',*,*,#225780,.T.); #317978=ORIENTED_EDGE('',*,*,#225777,.T.); #317979=ORIENTED_EDGE('',*,*,#225774,.T.); #317980=ORIENTED_EDGE('',*,*,#225771,.T.); #317981=ORIENTED_EDGE('',*,*,#225768,.T.); #317982=ORIENTED_EDGE('',*,*,#225765,.T.); #317983=ORIENTED_EDGE('',*,*,#225779,.F.); #317984=ORIENTED_EDGE('',*,*,#225763,.T.); #317985=ORIENTED_EDGE('',*,*,#225767,.F.); #317986=ORIENTED_EDGE('',*,*,#225770,.F.); #317987=ORIENTED_EDGE('',*,*,#225773,.F.); #317988=ORIENTED_EDGE('',*,*,#225776,.F.); #317989=ORIENTED_EDGE('',*,*,#225781,.T.); #317990=ORIENTED_EDGE('',*,*,#225782,.T.); #317991=ORIENTED_EDGE('',*,*,#225783,.T.); #317992=ORIENTED_EDGE('',*,*,#225784,.F.); #317993=ORIENTED_EDGE('',*,*,#225785,.F.); #317994=ORIENTED_EDGE('',*,*,#225786,.T.); #317995=ORIENTED_EDGE('',*,*,#225787,.T.); #317996=ORIENTED_EDGE('',*,*,#225782,.F.); #317997=ORIENTED_EDGE('',*,*,#225788,.F.); #317998=ORIENTED_EDGE('',*,*,#225789,.T.); #317999=ORIENTED_EDGE('',*,*,#225790,.T.); #318000=ORIENTED_EDGE('',*,*,#225786,.F.); #318001=ORIENTED_EDGE('',*,*,#225791,.F.); #318002=ORIENTED_EDGE('',*,*,#225792,.T.); #318003=ORIENTED_EDGE('',*,*,#225793,.T.); #318004=ORIENTED_EDGE('',*,*,#225789,.F.); #318005=ORIENTED_EDGE('',*,*,#225794,.F.); #318006=ORIENTED_EDGE('',*,*,#225795,.T.); #318007=ORIENTED_EDGE('',*,*,#225796,.T.); #318008=ORIENTED_EDGE('',*,*,#225792,.F.); #318009=ORIENTED_EDGE('',*,*,#225797,.F.); #318010=ORIENTED_EDGE('',*,*,#225784,.T.); #318011=ORIENTED_EDGE('',*,*,#225798,.T.); #318012=ORIENTED_EDGE('',*,*,#225795,.F.); #318013=ORIENTED_EDGE('',*,*,#225798,.F.); #318014=ORIENTED_EDGE('',*,*,#225783,.F.); #318015=ORIENTED_EDGE('',*,*,#225787,.F.); #318016=ORIENTED_EDGE('',*,*,#225790,.F.); #318017=ORIENTED_EDGE('',*,*,#225793,.F.); #318018=ORIENTED_EDGE('',*,*,#225796,.F.); #318019=ORIENTED_EDGE('',*,*,#225797,.T.); #318020=ORIENTED_EDGE('',*,*,#225794,.T.); #318021=ORIENTED_EDGE('',*,*,#225791,.T.); #318022=ORIENTED_EDGE('',*,*,#225788,.T.); #318023=ORIENTED_EDGE('',*,*,#225785,.T.); #318024=ORIENTED_EDGE('',*,*,#225781,.F.); #318025=ORIENTED_EDGE('',*,*,#225799,.F.); #318026=ORIENTED_EDGE('',*,*,#225800,.T.); #318027=ORIENTED_EDGE('',*,*,#225801,.F.); #318028=ORIENTED_EDGE('',*,*,#225802,.F.); #318029=ORIENTED_EDGE('',*,*,#225803,.T.); #318030=ORIENTED_EDGE('',*,*,#225802,.T.); #318031=ORIENTED_EDGE('',*,*,#225804,.F.); #318032=ORIENTED_EDGE('',*,*,#225805,.F.); #318033=ORIENTED_EDGE('',*,*,#225806,.T.); #318034=ORIENTED_EDGE('',*,*,#225805,.T.); #318035=ORIENTED_EDGE('',*,*,#225807,.F.); #318036=ORIENTED_EDGE('',*,*,#225808,.F.); #318037=ORIENTED_EDGE('',*,*,#225809,.T.); #318038=ORIENTED_EDGE('',*,*,#225808,.T.); #318039=ORIENTED_EDGE('',*,*,#225810,.F.); #318040=ORIENTED_EDGE('',*,*,#225811,.F.); #318041=ORIENTED_EDGE('',*,*,#225812,.T.); #318042=ORIENTED_EDGE('',*,*,#225811,.T.); #318043=ORIENTED_EDGE('',*,*,#225813,.F.); #318044=ORIENTED_EDGE('',*,*,#225814,.F.); #318045=ORIENTED_EDGE('',*,*,#225815,.T.); #318046=ORIENTED_EDGE('',*,*,#225814,.T.); #318047=ORIENTED_EDGE('',*,*,#225816,.F.); #318048=ORIENTED_EDGE('',*,*,#225800,.F.); #318049=ORIENTED_EDGE('',*,*,#225816,.T.); #318050=ORIENTED_EDGE('',*,*,#225813,.T.); #318051=ORIENTED_EDGE('',*,*,#225810,.T.); #318052=ORIENTED_EDGE('',*,*,#225807,.T.); #318053=ORIENTED_EDGE('',*,*,#225804,.T.); #318054=ORIENTED_EDGE('',*,*,#225801,.T.); #318055=ORIENTED_EDGE('',*,*,#225815,.F.); #318056=ORIENTED_EDGE('',*,*,#225799,.T.); #318057=ORIENTED_EDGE('',*,*,#225803,.F.); #318058=ORIENTED_EDGE('',*,*,#225806,.F.); #318059=ORIENTED_EDGE('',*,*,#225809,.F.); #318060=ORIENTED_EDGE('',*,*,#225812,.F.); #318061=ORIENTED_EDGE('',*,*,#225817,.F.); #318062=ORIENTED_EDGE('',*,*,#225818,.T.); #318063=ORIENTED_EDGE('',*,*,#225819,.F.); #318064=ORIENTED_EDGE('',*,*,#225820,.F.); #318065=ORIENTED_EDGE('',*,*,#225821,.T.); #318066=ORIENTED_EDGE('',*,*,#225820,.T.); #318067=ORIENTED_EDGE('',*,*,#225822,.F.); #318068=ORIENTED_EDGE('',*,*,#225823,.F.); #318069=ORIENTED_EDGE('',*,*,#225824,.T.); #318070=ORIENTED_EDGE('',*,*,#225823,.T.); #318071=ORIENTED_EDGE('',*,*,#225825,.F.); #318072=ORIENTED_EDGE('',*,*,#225826,.F.); #318073=ORIENTED_EDGE('',*,*,#225827,.T.); #318074=ORIENTED_EDGE('',*,*,#225826,.T.); #318075=ORIENTED_EDGE('',*,*,#225828,.F.); #318076=ORIENTED_EDGE('',*,*,#225829,.F.); #318077=ORIENTED_EDGE('',*,*,#225830,.T.); #318078=ORIENTED_EDGE('',*,*,#225829,.T.); #318079=ORIENTED_EDGE('',*,*,#225831,.F.); #318080=ORIENTED_EDGE('',*,*,#225832,.F.); #318081=ORIENTED_EDGE('',*,*,#225833,.T.); #318082=ORIENTED_EDGE('',*,*,#225832,.T.); #318083=ORIENTED_EDGE('',*,*,#225834,.F.); #318084=ORIENTED_EDGE('',*,*,#225818,.F.); #318085=ORIENTED_EDGE('',*,*,#225834,.T.); #318086=ORIENTED_EDGE('',*,*,#225831,.T.); #318087=ORIENTED_EDGE('',*,*,#225828,.T.); #318088=ORIENTED_EDGE('',*,*,#225825,.T.); #318089=ORIENTED_EDGE('',*,*,#225822,.T.); #318090=ORIENTED_EDGE('',*,*,#225819,.T.); #318091=ORIENTED_EDGE('',*,*,#225833,.F.); #318092=ORIENTED_EDGE('',*,*,#225817,.T.); #318093=ORIENTED_EDGE('',*,*,#225821,.F.); #318094=ORIENTED_EDGE('',*,*,#225824,.F.); #318095=ORIENTED_EDGE('',*,*,#225827,.F.); #318096=ORIENTED_EDGE('',*,*,#225830,.F.); #318097=ORIENTED_EDGE('',*,*,#225835,.F.); #318098=ORIENTED_EDGE('',*,*,#225836,.T.); #318099=ORIENTED_EDGE('',*,*,#225837,.F.); #318100=ORIENTED_EDGE('',*,*,#225838,.F.); #318101=ORIENTED_EDGE('',*,*,#225839,.T.); #318102=ORIENTED_EDGE('',*,*,#225838,.T.); #318103=ORIENTED_EDGE('',*,*,#225840,.F.); #318104=ORIENTED_EDGE('',*,*,#225841,.F.); #318105=ORIENTED_EDGE('',*,*,#225842,.T.); #318106=ORIENTED_EDGE('',*,*,#225841,.T.); #318107=ORIENTED_EDGE('',*,*,#225843,.F.); #318108=ORIENTED_EDGE('',*,*,#225844,.F.); #318109=ORIENTED_EDGE('',*,*,#225845,.T.); #318110=ORIENTED_EDGE('',*,*,#225844,.T.); #318111=ORIENTED_EDGE('',*,*,#225846,.F.); #318112=ORIENTED_EDGE('',*,*,#225847,.F.); #318113=ORIENTED_EDGE('',*,*,#225848,.T.); #318114=ORIENTED_EDGE('',*,*,#225847,.T.); #318115=ORIENTED_EDGE('',*,*,#225849,.F.); #318116=ORIENTED_EDGE('',*,*,#225850,.F.); #318117=ORIENTED_EDGE('',*,*,#225851,.T.); #318118=ORIENTED_EDGE('',*,*,#225850,.T.); #318119=ORIENTED_EDGE('',*,*,#225852,.F.); #318120=ORIENTED_EDGE('',*,*,#225836,.F.); #318121=ORIENTED_EDGE('',*,*,#225852,.T.); #318122=ORIENTED_EDGE('',*,*,#225849,.T.); #318123=ORIENTED_EDGE('',*,*,#225846,.T.); #318124=ORIENTED_EDGE('',*,*,#225843,.T.); #318125=ORIENTED_EDGE('',*,*,#225840,.T.); #318126=ORIENTED_EDGE('',*,*,#225837,.T.); #318127=ORIENTED_EDGE('',*,*,#225851,.F.); #318128=ORIENTED_EDGE('',*,*,#225835,.T.); #318129=ORIENTED_EDGE('',*,*,#225839,.F.); #318130=ORIENTED_EDGE('',*,*,#225842,.F.); #318131=ORIENTED_EDGE('',*,*,#225845,.F.); #318132=ORIENTED_EDGE('',*,*,#225848,.F.); #318133=ORIENTED_EDGE('',*,*,#225853,.T.); #318134=ORIENTED_EDGE('',*,*,#225854,.T.); #318135=ORIENTED_EDGE('',*,*,#225855,.T.); #318136=ORIENTED_EDGE('',*,*,#225856,.F.); #318137=ORIENTED_EDGE('',*,*,#225857,.F.); #318138=ORIENTED_EDGE('',*,*,#225858,.T.); #318139=ORIENTED_EDGE('',*,*,#225859,.T.); #318140=ORIENTED_EDGE('',*,*,#225854,.F.); #318141=ORIENTED_EDGE('',*,*,#225860,.F.); #318142=ORIENTED_EDGE('',*,*,#225861,.T.); #318143=ORIENTED_EDGE('',*,*,#225862,.T.); #318144=ORIENTED_EDGE('',*,*,#225858,.F.); #318145=ORIENTED_EDGE('',*,*,#225863,.F.); #318146=ORIENTED_EDGE('',*,*,#225864,.T.); #318147=ORIENTED_EDGE('',*,*,#225865,.T.); #318148=ORIENTED_EDGE('',*,*,#225861,.F.); #318149=ORIENTED_EDGE('',*,*,#225866,.F.); #318150=ORIENTED_EDGE('',*,*,#225867,.T.); #318151=ORIENTED_EDGE('',*,*,#225868,.T.); #318152=ORIENTED_EDGE('',*,*,#225864,.F.); #318153=ORIENTED_EDGE('',*,*,#225869,.F.); #318154=ORIENTED_EDGE('',*,*,#225856,.T.); #318155=ORIENTED_EDGE('',*,*,#225870,.T.); #318156=ORIENTED_EDGE('',*,*,#225867,.F.); #318157=ORIENTED_EDGE('',*,*,#225870,.F.); #318158=ORIENTED_EDGE('',*,*,#225855,.F.); #318159=ORIENTED_EDGE('',*,*,#225859,.F.); #318160=ORIENTED_EDGE('',*,*,#225862,.F.); #318161=ORIENTED_EDGE('',*,*,#225865,.F.); #318162=ORIENTED_EDGE('',*,*,#225868,.F.); #318163=ORIENTED_EDGE('',*,*,#225869,.T.); #318164=ORIENTED_EDGE('',*,*,#225866,.T.); #318165=ORIENTED_EDGE('',*,*,#225863,.T.); #318166=ORIENTED_EDGE('',*,*,#225860,.T.); #318167=ORIENTED_EDGE('',*,*,#225857,.T.); #318168=ORIENTED_EDGE('',*,*,#225853,.F.); #318169=ORIENTED_EDGE('',*,*,#225871,.T.); #318170=ORIENTED_EDGE('',*,*,#225872,.T.); #318171=ORIENTED_EDGE('',*,*,#225873,.T.); #318172=ORIENTED_EDGE('',*,*,#225874,.F.); #318173=ORIENTED_EDGE('',*,*,#225875,.F.); #318174=ORIENTED_EDGE('',*,*,#225876,.T.); #318175=ORIENTED_EDGE('',*,*,#225877,.T.); #318176=ORIENTED_EDGE('',*,*,#225872,.F.); #318177=ORIENTED_EDGE('',*,*,#225878,.F.); #318178=ORIENTED_EDGE('',*,*,#225879,.T.); #318179=ORIENTED_EDGE('',*,*,#225880,.T.); #318180=ORIENTED_EDGE('',*,*,#225876,.F.); #318181=ORIENTED_EDGE('',*,*,#225881,.F.); #318182=ORIENTED_EDGE('',*,*,#225882,.T.); #318183=ORIENTED_EDGE('',*,*,#225883,.T.); #318184=ORIENTED_EDGE('',*,*,#225879,.F.); #318185=ORIENTED_EDGE('',*,*,#225884,.F.); #318186=ORIENTED_EDGE('',*,*,#225885,.T.); #318187=ORIENTED_EDGE('',*,*,#225886,.T.); #318188=ORIENTED_EDGE('',*,*,#225882,.F.); #318189=ORIENTED_EDGE('',*,*,#225887,.F.); #318190=ORIENTED_EDGE('',*,*,#225874,.T.); #318191=ORIENTED_EDGE('',*,*,#225888,.T.); #318192=ORIENTED_EDGE('',*,*,#225885,.F.); #318193=ORIENTED_EDGE('',*,*,#225888,.F.); #318194=ORIENTED_EDGE('',*,*,#225873,.F.); #318195=ORIENTED_EDGE('',*,*,#225877,.F.); #318196=ORIENTED_EDGE('',*,*,#225880,.F.); #318197=ORIENTED_EDGE('',*,*,#225883,.F.); #318198=ORIENTED_EDGE('',*,*,#225886,.F.); #318199=ORIENTED_EDGE('',*,*,#225887,.T.); #318200=ORIENTED_EDGE('',*,*,#225884,.T.); #318201=ORIENTED_EDGE('',*,*,#225881,.T.); #318202=ORIENTED_EDGE('',*,*,#225878,.T.); #318203=ORIENTED_EDGE('',*,*,#225875,.T.); #318204=ORIENTED_EDGE('',*,*,#225871,.F.); #318205=ORIENTED_EDGE('',*,*,#225889,.T.); #318206=ORIENTED_EDGE('',*,*,#225890,.T.); #318207=ORIENTED_EDGE('',*,*,#225891,.T.); #318208=ORIENTED_EDGE('',*,*,#225892,.F.); #318209=ORIENTED_EDGE('',*,*,#225893,.F.); #318210=ORIENTED_EDGE('',*,*,#225894,.T.); #318211=ORIENTED_EDGE('',*,*,#225895,.T.); #318212=ORIENTED_EDGE('',*,*,#225890,.F.); #318213=ORIENTED_EDGE('',*,*,#225896,.F.); #318214=ORIENTED_EDGE('',*,*,#225897,.T.); #318215=ORIENTED_EDGE('',*,*,#225898,.T.); #318216=ORIENTED_EDGE('',*,*,#225894,.F.); #318217=ORIENTED_EDGE('',*,*,#225899,.F.); #318218=ORIENTED_EDGE('',*,*,#225900,.T.); #318219=ORIENTED_EDGE('',*,*,#225901,.T.); #318220=ORIENTED_EDGE('',*,*,#225897,.F.); #318221=ORIENTED_EDGE('',*,*,#225902,.F.); #318222=ORIENTED_EDGE('',*,*,#225903,.T.); #318223=ORIENTED_EDGE('',*,*,#225904,.T.); #318224=ORIENTED_EDGE('',*,*,#225900,.F.); #318225=ORIENTED_EDGE('',*,*,#225905,.F.); #318226=ORIENTED_EDGE('',*,*,#225892,.T.); #318227=ORIENTED_EDGE('',*,*,#225906,.T.); #318228=ORIENTED_EDGE('',*,*,#225903,.F.); #318229=ORIENTED_EDGE('',*,*,#225906,.F.); #318230=ORIENTED_EDGE('',*,*,#225891,.F.); #318231=ORIENTED_EDGE('',*,*,#225895,.F.); #318232=ORIENTED_EDGE('',*,*,#225898,.F.); #318233=ORIENTED_EDGE('',*,*,#225901,.F.); #318234=ORIENTED_EDGE('',*,*,#225904,.F.); #318235=ORIENTED_EDGE('',*,*,#225905,.T.); #318236=ORIENTED_EDGE('',*,*,#225902,.T.); #318237=ORIENTED_EDGE('',*,*,#225899,.T.); #318238=ORIENTED_EDGE('',*,*,#225896,.T.); #318239=ORIENTED_EDGE('',*,*,#225893,.T.); #318240=ORIENTED_EDGE('',*,*,#225889,.F.); #318241=ORIENTED_EDGE('',*,*,#225907,.F.); #318242=ORIENTED_EDGE('',*,*,#225908,.T.); #318243=ORIENTED_EDGE('',*,*,#225909,.T.); #318244=ORIENTED_EDGE('',*,*,#225910,.F.); #318245=ORIENTED_EDGE('',*,*,#225911,.F.); #318246=ORIENTED_EDGE('',*,*,#225912,.T.); #318247=ORIENTED_EDGE('',*,*,#225913,.T.); #318248=ORIENTED_EDGE('',*,*,#225908,.F.); #318249=ORIENTED_EDGE('',*,*,#225914,.F.); #318250=ORIENTED_EDGE('',*,*,#225915,.T.); #318251=ORIENTED_EDGE('',*,*,#225916,.T.); #318252=ORIENTED_EDGE('',*,*,#225912,.F.); #318253=ORIENTED_EDGE('',*,*,#225917,.F.); #318254=ORIENTED_EDGE('',*,*,#225910,.T.); #318255=ORIENTED_EDGE('',*,*,#225918,.T.); #318256=ORIENTED_EDGE('',*,*,#225915,.F.); #318257=ORIENTED_EDGE('',*,*,#225918,.F.); #318258=ORIENTED_EDGE('',*,*,#225909,.F.); #318259=ORIENTED_EDGE('',*,*,#225913,.F.); #318260=ORIENTED_EDGE('',*,*,#225916,.F.); #318261=ORIENTED_EDGE('',*,*,#225917,.T.); #318262=ORIENTED_EDGE('',*,*,#225914,.T.); #318263=ORIENTED_EDGE('',*,*,#225911,.T.); #318264=ORIENTED_EDGE('',*,*,#225907,.T.); #318265=ORIENTED_EDGE('',*,*,#225919,.T.); #318266=ORIENTED_EDGE('',*,*,#225920,.T.); #318267=ORIENTED_EDGE('',*,*,#225921,.F.); #318268=ORIENTED_EDGE('',*,*,#225922,.F.); #318269=ORIENTED_EDGE('',*,*,#225923,.T.); #318270=ORIENTED_EDGE('',*,*,#225922,.T.); #318271=ORIENTED_EDGE('',*,*,#225924,.F.); #318272=ORIENTED_EDGE('',*,*,#225925,.F.); #318273=ORIENTED_EDGE('',*,*,#225926,.T.); #318274=ORIENTED_EDGE('',*,*,#225925,.T.); #318275=ORIENTED_EDGE('',*,*,#225927,.F.); #318276=ORIENTED_EDGE('',*,*,#225928,.F.); #318277=ORIENTED_EDGE('',*,*,#225929,.T.); #318278=ORIENTED_EDGE('',*,*,#225928,.T.); #318279=ORIENTED_EDGE('',*,*,#225930,.F.); #318280=ORIENTED_EDGE('',*,*,#225920,.F.); #318281=ORIENTED_EDGE('',*,*,#225930,.T.); #318282=ORIENTED_EDGE('',*,*,#225927,.T.); #318283=ORIENTED_EDGE('',*,*,#225924,.T.); #318284=ORIENTED_EDGE('',*,*,#225921,.T.); #318285=ORIENTED_EDGE('',*,*,#225929,.F.); #318286=ORIENTED_EDGE('',*,*,#225919,.F.); #318287=ORIENTED_EDGE('',*,*,#225923,.F.); #318288=ORIENTED_EDGE('',*,*,#225926,.F.); #318289=ORIENTED_EDGE('',*,*,#225931,.T.); #318290=ORIENTED_EDGE('',*,*,#225932,.T.); #318291=ORIENTED_EDGE('',*,*,#225933,.F.); #318292=ORIENTED_EDGE('',*,*,#225934,.F.); #318293=ORIENTED_EDGE('',*,*,#225935,.T.); #318294=ORIENTED_EDGE('',*,*,#225934,.T.); #318295=ORIENTED_EDGE('',*,*,#225936,.F.); #318296=ORIENTED_EDGE('',*,*,#225937,.F.); #318297=ORIENTED_EDGE('',*,*,#225938,.T.); #318298=ORIENTED_EDGE('',*,*,#225937,.T.); #318299=ORIENTED_EDGE('',*,*,#225939,.F.); #318300=ORIENTED_EDGE('',*,*,#225940,.F.); #318301=ORIENTED_EDGE('',*,*,#225941,.T.); #318302=ORIENTED_EDGE('',*,*,#225940,.T.); #318303=ORIENTED_EDGE('',*,*,#225942,.F.); #318304=ORIENTED_EDGE('',*,*,#225932,.F.); #318305=ORIENTED_EDGE('',*,*,#225942,.T.); #318306=ORIENTED_EDGE('',*,*,#225939,.T.); #318307=ORIENTED_EDGE('',*,*,#225936,.T.); #318308=ORIENTED_EDGE('',*,*,#225933,.T.); #318309=ORIENTED_EDGE('',*,*,#225941,.F.); #318310=ORIENTED_EDGE('',*,*,#225931,.F.); #318311=ORIENTED_EDGE('',*,*,#225935,.F.); #318312=ORIENTED_EDGE('',*,*,#225938,.F.); #318313=ORIENTED_EDGE('',*,*,#225943,.T.); #318314=ORIENTED_EDGE('',*,*,#225944,.T.); #318315=ORIENTED_EDGE('',*,*,#225945,.F.); #318316=ORIENTED_EDGE('',*,*,#225946,.F.); #318317=ORIENTED_EDGE('',*,*,#225947,.T.); #318318=ORIENTED_EDGE('',*,*,#225946,.T.); #318319=ORIENTED_EDGE('',*,*,#225948,.F.); #318320=ORIENTED_EDGE('',*,*,#225949,.F.); #318321=ORIENTED_EDGE('',*,*,#225950,.T.); #318322=ORIENTED_EDGE('',*,*,#225949,.T.); #318323=ORIENTED_EDGE('',*,*,#225951,.F.); #318324=ORIENTED_EDGE('',*,*,#225952,.F.); #318325=ORIENTED_EDGE('',*,*,#225953,.T.); #318326=ORIENTED_EDGE('',*,*,#225952,.T.); #318327=ORIENTED_EDGE('',*,*,#225954,.F.); #318328=ORIENTED_EDGE('',*,*,#225944,.F.); #318329=ORIENTED_EDGE('',*,*,#225954,.T.); #318330=ORIENTED_EDGE('',*,*,#225951,.T.); #318331=ORIENTED_EDGE('',*,*,#225948,.T.); #318332=ORIENTED_EDGE('',*,*,#225945,.T.); #318333=ORIENTED_EDGE('',*,*,#225953,.F.); #318334=ORIENTED_EDGE('',*,*,#225943,.F.); #318335=ORIENTED_EDGE('',*,*,#225947,.F.); #318336=ORIENTED_EDGE('',*,*,#225950,.F.); #318337=ORIENTED_EDGE('',*,*,#225955,.F.); #318338=ORIENTED_EDGE('',*,*,#225956,.T.); #318339=ORIENTED_EDGE('',*,*,#225957,.T.); #318340=ORIENTED_EDGE('',*,*,#225958,.F.); #318341=ORIENTED_EDGE('',*,*,#225959,.F.); #318342=ORIENTED_EDGE('',*,*,#225960,.T.); #318343=ORIENTED_EDGE('',*,*,#225961,.T.); #318344=ORIENTED_EDGE('',*,*,#225956,.F.); #318345=ORIENTED_EDGE('',*,*,#225962,.F.); #318346=ORIENTED_EDGE('',*,*,#225963,.T.); #318347=ORIENTED_EDGE('',*,*,#225964,.T.); #318348=ORIENTED_EDGE('',*,*,#225960,.F.); #318349=ORIENTED_EDGE('',*,*,#225965,.F.); #318350=ORIENTED_EDGE('',*,*,#225958,.T.); #318351=ORIENTED_EDGE('',*,*,#225966,.T.); #318352=ORIENTED_EDGE('',*,*,#225963,.F.); #318353=ORIENTED_EDGE('',*,*,#225966,.F.); #318354=ORIENTED_EDGE('',*,*,#225957,.F.); #318355=ORIENTED_EDGE('',*,*,#225961,.F.); #318356=ORIENTED_EDGE('',*,*,#225964,.F.); #318357=ORIENTED_EDGE('',*,*,#225965,.T.); #318358=ORIENTED_EDGE('',*,*,#225962,.T.); #318359=ORIENTED_EDGE('',*,*,#225959,.T.); #318360=ORIENTED_EDGE('',*,*,#225955,.T.); #318361=ORIENTED_EDGE('',*,*,#225967,.F.); #318362=ORIENTED_EDGE('',*,*,#225968,.T.); #318363=ORIENTED_EDGE('',*,*,#225969,.T.); #318364=ORIENTED_EDGE('',*,*,#225970,.F.); #318365=ORIENTED_EDGE('',*,*,#225971,.F.); #318366=ORIENTED_EDGE('',*,*,#225972,.T.); #318367=ORIENTED_EDGE('',*,*,#225973,.T.); #318368=ORIENTED_EDGE('',*,*,#225968,.F.); #318369=ORIENTED_EDGE('',*,*,#225974,.F.); #318370=ORIENTED_EDGE('',*,*,#225975,.T.); #318371=ORIENTED_EDGE('',*,*,#225976,.T.); #318372=ORIENTED_EDGE('',*,*,#225972,.F.); #318373=ORIENTED_EDGE('',*,*,#225977,.F.); #318374=ORIENTED_EDGE('',*,*,#225970,.T.); #318375=ORIENTED_EDGE('',*,*,#225978,.T.); #318376=ORIENTED_EDGE('',*,*,#225975,.F.); #318377=ORIENTED_EDGE('',*,*,#225978,.F.); #318378=ORIENTED_EDGE('',*,*,#225969,.F.); #318379=ORIENTED_EDGE('',*,*,#225973,.F.); #318380=ORIENTED_EDGE('',*,*,#225976,.F.); #318381=ORIENTED_EDGE('',*,*,#225977,.T.); #318382=ORIENTED_EDGE('',*,*,#225974,.T.); #318383=ORIENTED_EDGE('',*,*,#225971,.T.); #318384=ORIENTED_EDGE('',*,*,#225967,.T.); #318385=ORIENTED_EDGE('',*,*,#225979,.F.); #318386=ORIENTED_EDGE('',*,*,#225980,.T.); #318387=ORIENTED_EDGE('',*,*,#225981,.T.); #318388=ORIENTED_EDGE('',*,*,#225982,.F.); #318389=ORIENTED_EDGE('',*,*,#225983,.F.); #318390=ORIENTED_EDGE('',*,*,#225984,.T.); #318391=ORIENTED_EDGE('',*,*,#225985,.T.); #318392=ORIENTED_EDGE('',*,*,#225980,.F.); #318393=ORIENTED_EDGE('',*,*,#225986,.F.); #318394=ORIENTED_EDGE('',*,*,#225987,.T.); #318395=ORIENTED_EDGE('',*,*,#225988,.T.); #318396=ORIENTED_EDGE('',*,*,#225984,.F.); #318397=ORIENTED_EDGE('',*,*,#225989,.F.); #318398=ORIENTED_EDGE('',*,*,#225982,.T.); #318399=ORIENTED_EDGE('',*,*,#225990,.T.); #318400=ORIENTED_EDGE('',*,*,#225987,.F.); #318401=ORIENTED_EDGE('',*,*,#225990,.F.); #318402=ORIENTED_EDGE('',*,*,#225981,.F.); #318403=ORIENTED_EDGE('',*,*,#225985,.F.); #318404=ORIENTED_EDGE('',*,*,#225988,.F.); #318405=ORIENTED_EDGE('',*,*,#225989,.T.); #318406=ORIENTED_EDGE('',*,*,#225986,.T.); #318407=ORIENTED_EDGE('',*,*,#225983,.T.); #318408=ORIENTED_EDGE('',*,*,#225979,.T.); #318409=ORIENTED_EDGE('',*,*,#225991,.T.); #318410=ORIENTED_EDGE('',*,*,#225992,.T.); #318411=ORIENTED_EDGE('',*,*,#225993,.F.); #318412=ORIENTED_EDGE('',*,*,#225994,.F.); #318413=ORIENTED_EDGE('',*,*,#225995,.T.); #318414=ORIENTED_EDGE('',*,*,#225994,.T.); #318415=ORIENTED_EDGE('',*,*,#225996,.F.); #318416=ORIENTED_EDGE('',*,*,#225997,.F.); #318417=ORIENTED_EDGE('',*,*,#225998,.T.); #318418=ORIENTED_EDGE('',*,*,#225997,.T.); #318419=ORIENTED_EDGE('',*,*,#225999,.F.); #318420=ORIENTED_EDGE('',*,*,#226000,.F.); #318421=ORIENTED_EDGE('',*,*,#226001,.T.); #318422=ORIENTED_EDGE('',*,*,#226000,.T.); #318423=ORIENTED_EDGE('',*,*,#226002,.F.); #318424=ORIENTED_EDGE('',*,*,#225992,.F.); #318425=ORIENTED_EDGE('',*,*,#226002,.T.); #318426=ORIENTED_EDGE('',*,*,#225999,.T.); #318427=ORIENTED_EDGE('',*,*,#225996,.T.); #318428=ORIENTED_EDGE('',*,*,#225993,.T.); #318429=ORIENTED_EDGE('',*,*,#226001,.F.); #318430=ORIENTED_EDGE('',*,*,#225991,.F.); #318431=ORIENTED_EDGE('',*,*,#225995,.F.); #318432=ORIENTED_EDGE('',*,*,#225998,.F.); #318433=ORIENTED_EDGE('',*,*,#226003,.F.); #318434=ORIENTED_EDGE('',*,*,#226004,.T.); #318435=ORIENTED_EDGE('',*,*,#226005,.T.); #318436=ORIENTED_EDGE('',*,*,#226004,.F.); #318437=ORIENTED_EDGE('',*,*,#226005,.F.); #318438=ORIENTED_EDGE('',*,*,#226006,.T.); #318439=ORIENTED_EDGE('',*,*,#226007,.T.); #318440=ORIENTED_EDGE('',*,*,#226008,.T.); #318441=ORIENTED_EDGE('',*,*,#226009,.T.); #318442=ORIENTED_EDGE('',*,*,#226010,.T.); #318443=ORIENTED_EDGE('',*,*,#226007,.F.); #318444=ORIENTED_EDGE('',*,*,#226011,.T.); #318445=ORIENTED_EDGE('',*,*,#226012,.F.); #318446=ORIENTED_EDGE('',*,*,#226013,.T.); #318447=ORIENTED_EDGE('',*,*,#226009,.F.); #318448=ORIENTED_EDGE('',*,*,#226014,.T.); #318449=ORIENTED_EDGE('',*,*,#226015,.T.); #318450=ORIENTED_EDGE('',*,*,#226016,.T.); #318451=ORIENTED_EDGE('',*,*,#226015,.F.); #318452=ORIENTED_EDGE('',*,*,#226017,.T.); #318453=ORIENTED_EDGE('',*,*,#226012,.T.); #318454=ORIENTED_EDGE('',*,*,#226014,.F.); #318455=ORIENTED_EDGE('',*,*,#226018,.T.); #318456=ORIENTED_EDGE('',*,*,#226019,.F.); #318457=ORIENTED_EDGE('',*,*,#226020,.F.); #318458=ORIENTED_EDGE('',*,*,#226008,.F.); #318459=ORIENTED_EDGE('',*,*,#226021,.T.); #318460=ORIENTED_EDGE('',*,*,#226022,.F.); #318461=ORIENTED_EDGE('',*,*,#226018,.F.); #318462=ORIENTED_EDGE('',*,*,#226006,.F.); #318463=ORIENTED_EDGE('',*,*,#226013,.F.); #318464=ORIENTED_EDGE('',*,*,#226016,.F.); #318465=ORIENTED_EDGE('',*,*,#226011,.F.); #318466=ORIENTED_EDGE('',*,*,#226003,.T.); #318467=ORIENTED_EDGE('',*,*,#226017,.F.); #318468=ORIENTED_EDGE('',*,*,#226020,.T.); #318469=ORIENTED_EDGE('',*,*,#226023,.F.); #318470=ORIENTED_EDGE('',*,*,#226024,.F.); #318471=ORIENTED_EDGE('',*,*,#226010,.F.); #318472=ORIENTED_EDGE('',*,*,#226024,.T.); #318473=ORIENTED_EDGE('',*,*,#226025,.F.); #318474=ORIENTED_EDGE('',*,*,#226021,.F.); #318475=ORIENTED_EDGE('',*,*,#226022,.T.); #318476=ORIENTED_EDGE('',*,*,#226025,.T.); #318477=ORIENTED_EDGE('',*,*,#226023,.T.); #318478=ORIENTED_EDGE('',*,*,#226019,.T.); #318479=ORIENTED_EDGE('',*,*,#226026,.T.); #318480=ORIENTED_EDGE('',*,*,#226027,.T.); #318481=ORIENTED_EDGE('',*,*,#226028,.F.); #318482=ORIENTED_EDGE('',*,*,#226029,.F.); #318483=ORIENTED_EDGE('',*,*,#226030,.T.); #318484=ORIENTED_EDGE('',*,*,#226029,.T.); #318485=ORIENTED_EDGE('',*,*,#226031,.F.); #318486=ORIENTED_EDGE('',*,*,#226032,.F.); #318487=ORIENTED_EDGE('',*,*,#226033,.T.); #318488=ORIENTED_EDGE('',*,*,#226032,.T.); #318489=ORIENTED_EDGE('',*,*,#226034,.F.); #318490=ORIENTED_EDGE('',*,*,#226035,.F.); #318491=ORIENTED_EDGE('',*,*,#226036,.T.); #318492=ORIENTED_EDGE('',*,*,#226035,.T.); #318493=ORIENTED_EDGE('',*,*,#226037,.F.); #318494=ORIENTED_EDGE('',*,*,#226027,.F.); #318495=ORIENTED_EDGE('',*,*,#226037,.T.); #318496=ORIENTED_EDGE('',*,*,#226034,.T.); #318497=ORIENTED_EDGE('',*,*,#226031,.T.); #318498=ORIENTED_EDGE('',*,*,#226028,.T.); #318499=ORIENTED_EDGE('',*,*,#226036,.F.); #318500=ORIENTED_EDGE('',*,*,#226026,.F.); #318501=ORIENTED_EDGE('',*,*,#226030,.F.); #318502=ORIENTED_EDGE('',*,*,#226033,.F.); #318503=ORIENTED_EDGE('',*,*,#226038,.F.); #318504=ORIENTED_EDGE('',*,*,#226039,.T.); #318505=ORIENTED_EDGE('',*,*,#226040,.F.); #318506=ORIENTED_EDGE('',*,*,#226041,.F.); #318507=ORIENTED_EDGE('',*,*,#226042,.T.); #318508=ORIENTED_EDGE('',*,*,#226041,.T.); #318509=ORIENTED_EDGE('',*,*,#226043,.F.); #318510=ORIENTED_EDGE('',*,*,#226044,.F.); #318511=ORIENTED_EDGE('',*,*,#226045,.T.); #318512=ORIENTED_EDGE('',*,*,#226044,.T.); #318513=ORIENTED_EDGE('',*,*,#226046,.F.); #318514=ORIENTED_EDGE('',*,*,#226047,.F.); #318515=ORIENTED_EDGE('',*,*,#226048,.T.); #318516=ORIENTED_EDGE('',*,*,#226047,.T.); #318517=ORIENTED_EDGE('',*,*,#226049,.F.); #318518=ORIENTED_EDGE('',*,*,#226050,.F.); #318519=ORIENTED_EDGE('',*,*,#226051,.T.); #318520=ORIENTED_EDGE('',*,*,#226050,.T.); #318521=ORIENTED_EDGE('',*,*,#226052,.F.); #318522=ORIENTED_EDGE('',*,*,#226053,.F.); #318523=ORIENTED_EDGE('',*,*,#226054,.T.); #318524=ORIENTED_EDGE('',*,*,#226053,.T.); #318525=ORIENTED_EDGE('',*,*,#226055,.F.); #318526=ORIENTED_EDGE('',*,*,#226039,.F.); #318527=ORIENTED_EDGE('',*,*,#226055,.T.); #318528=ORIENTED_EDGE('',*,*,#226052,.T.); #318529=ORIENTED_EDGE('',*,*,#226049,.T.); #318530=ORIENTED_EDGE('',*,*,#226046,.T.); #318531=ORIENTED_EDGE('',*,*,#226043,.T.); #318532=ORIENTED_EDGE('',*,*,#226040,.T.); #318533=ORIENTED_EDGE('',*,*,#226054,.F.); #318534=ORIENTED_EDGE('',*,*,#226038,.T.); #318535=ORIENTED_EDGE('',*,*,#226042,.F.); #318536=ORIENTED_EDGE('',*,*,#226045,.F.); #318537=ORIENTED_EDGE('',*,*,#226048,.F.); #318538=ORIENTED_EDGE('',*,*,#226051,.F.); #318539=ORIENTED_EDGE('',*,*,#226056,.T.); #318540=ORIENTED_EDGE('',*,*,#226057,.T.); #318541=ORIENTED_EDGE('',*,*,#226058,.T.); #318542=ORIENTED_EDGE('',*,*,#226059,.F.); #318543=ORIENTED_EDGE('',*,*,#226060,.F.); #318544=ORIENTED_EDGE('',*,*,#226061,.T.); #318545=ORIENTED_EDGE('',*,*,#226062,.T.); #318546=ORIENTED_EDGE('',*,*,#226057,.F.); #318547=ORIENTED_EDGE('',*,*,#226063,.F.); #318548=ORIENTED_EDGE('',*,*,#226064,.T.); #318549=ORIENTED_EDGE('',*,*,#226065,.T.); #318550=ORIENTED_EDGE('',*,*,#226061,.F.); #318551=ORIENTED_EDGE('',*,*,#226066,.F.); #318552=ORIENTED_EDGE('',*,*,#226067,.T.); #318553=ORIENTED_EDGE('',*,*,#226068,.T.); #318554=ORIENTED_EDGE('',*,*,#226064,.F.); #318555=ORIENTED_EDGE('',*,*,#226069,.F.); #318556=ORIENTED_EDGE('',*,*,#226070,.T.); #318557=ORIENTED_EDGE('',*,*,#226071,.T.); #318558=ORIENTED_EDGE('',*,*,#226067,.F.); #318559=ORIENTED_EDGE('',*,*,#226072,.F.); #318560=ORIENTED_EDGE('',*,*,#226059,.T.); #318561=ORIENTED_EDGE('',*,*,#226073,.T.); #318562=ORIENTED_EDGE('',*,*,#226070,.F.); #318563=ORIENTED_EDGE('',*,*,#226073,.F.); #318564=ORIENTED_EDGE('',*,*,#226058,.F.); #318565=ORIENTED_EDGE('',*,*,#226062,.F.); #318566=ORIENTED_EDGE('',*,*,#226065,.F.); #318567=ORIENTED_EDGE('',*,*,#226068,.F.); #318568=ORIENTED_EDGE('',*,*,#226071,.F.); #318569=ORIENTED_EDGE('',*,*,#226072,.T.); #318570=ORIENTED_EDGE('',*,*,#226069,.T.); #318571=ORIENTED_EDGE('',*,*,#226066,.T.); #318572=ORIENTED_EDGE('',*,*,#226063,.T.); #318573=ORIENTED_EDGE('',*,*,#226060,.T.); #318574=ORIENTED_EDGE('',*,*,#226056,.F.); #318575=ORIENTED_EDGE('',*,*,#226074,.F.); #318576=ORIENTED_EDGE('',*,*,#226075,.T.); #318577=ORIENTED_EDGE('',*,*,#226076,.F.); #318578=ORIENTED_EDGE('',*,*,#226077,.F.); #318579=ORIENTED_EDGE('',*,*,#226078,.T.); #318580=ORIENTED_EDGE('',*,*,#226077,.T.); #318581=ORIENTED_EDGE('',*,*,#226079,.F.); #318582=ORIENTED_EDGE('',*,*,#226080,.F.); #318583=ORIENTED_EDGE('',*,*,#226081,.T.); #318584=ORIENTED_EDGE('',*,*,#226080,.T.); #318585=ORIENTED_EDGE('',*,*,#226082,.F.); #318586=ORIENTED_EDGE('',*,*,#226083,.F.); #318587=ORIENTED_EDGE('',*,*,#226084,.T.); #318588=ORIENTED_EDGE('',*,*,#226083,.T.); #318589=ORIENTED_EDGE('',*,*,#226085,.F.); #318590=ORIENTED_EDGE('',*,*,#226086,.F.); #318591=ORIENTED_EDGE('',*,*,#226087,.T.); #318592=ORIENTED_EDGE('',*,*,#226086,.T.); #318593=ORIENTED_EDGE('',*,*,#226088,.F.); #318594=ORIENTED_EDGE('',*,*,#226089,.F.); #318595=ORIENTED_EDGE('',*,*,#226090,.T.); #318596=ORIENTED_EDGE('',*,*,#226089,.T.); #318597=ORIENTED_EDGE('',*,*,#226091,.F.); #318598=ORIENTED_EDGE('',*,*,#226075,.F.); #318599=ORIENTED_EDGE('',*,*,#226091,.T.); #318600=ORIENTED_EDGE('',*,*,#226088,.T.); #318601=ORIENTED_EDGE('',*,*,#226085,.T.); #318602=ORIENTED_EDGE('',*,*,#226082,.T.); #318603=ORIENTED_EDGE('',*,*,#226079,.T.); #318604=ORIENTED_EDGE('',*,*,#226076,.T.); #318605=ORIENTED_EDGE('',*,*,#226090,.F.); #318606=ORIENTED_EDGE('',*,*,#226074,.T.); #318607=ORIENTED_EDGE('',*,*,#226078,.F.); #318608=ORIENTED_EDGE('',*,*,#226081,.F.); #318609=ORIENTED_EDGE('',*,*,#226084,.F.); #318610=ORIENTED_EDGE('',*,*,#226087,.F.); #318611=ORIENTED_EDGE('',*,*,#226092,.F.); #318612=ORIENTED_EDGE('',*,*,#226093,.T.); #318613=ORIENTED_EDGE('',*,*,#226094,.F.); #318614=ORIENTED_EDGE('',*,*,#226095,.F.); #318615=ORIENTED_EDGE('',*,*,#226096,.T.); #318616=ORIENTED_EDGE('',*,*,#226095,.T.); #318617=ORIENTED_EDGE('',*,*,#226097,.F.); #318618=ORIENTED_EDGE('',*,*,#226098,.F.); #318619=ORIENTED_EDGE('',*,*,#226099,.T.); #318620=ORIENTED_EDGE('',*,*,#226098,.T.); #318621=ORIENTED_EDGE('',*,*,#226100,.F.); #318622=ORIENTED_EDGE('',*,*,#226101,.F.); #318623=ORIENTED_EDGE('',*,*,#226102,.T.); #318624=ORIENTED_EDGE('',*,*,#226101,.T.); #318625=ORIENTED_EDGE('',*,*,#226103,.F.); #318626=ORIENTED_EDGE('',*,*,#226104,.F.); #318627=ORIENTED_EDGE('',*,*,#226105,.T.); #318628=ORIENTED_EDGE('',*,*,#226104,.T.); #318629=ORIENTED_EDGE('',*,*,#226106,.F.); #318630=ORIENTED_EDGE('',*,*,#226107,.F.); #318631=ORIENTED_EDGE('',*,*,#226108,.T.); #318632=ORIENTED_EDGE('',*,*,#226107,.T.); #318633=ORIENTED_EDGE('',*,*,#226109,.F.); #318634=ORIENTED_EDGE('',*,*,#226093,.F.); #318635=ORIENTED_EDGE('',*,*,#226109,.T.); #318636=ORIENTED_EDGE('',*,*,#226106,.T.); #318637=ORIENTED_EDGE('',*,*,#226103,.T.); #318638=ORIENTED_EDGE('',*,*,#226100,.T.); #318639=ORIENTED_EDGE('',*,*,#226097,.T.); #318640=ORIENTED_EDGE('',*,*,#226094,.T.); #318641=ORIENTED_EDGE('',*,*,#226108,.F.); #318642=ORIENTED_EDGE('',*,*,#226092,.T.); #318643=ORIENTED_EDGE('',*,*,#226096,.F.); #318644=ORIENTED_EDGE('',*,*,#226099,.F.); #318645=ORIENTED_EDGE('',*,*,#226102,.F.); #318646=ORIENTED_EDGE('',*,*,#226105,.F.); #318647=ORIENTED_EDGE('',*,*,#226110,.F.); #318648=ORIENTED_EDGE('',*,*,#226111,.T.); #318649=ORIENTED_EDGE('',*,*,#226112,.F.); #318650=ORIENTED_EDGE('',*,*,#226113,.F.); #318651=ORIENTED_EDGE('',*,*,#226114,.T.); #318652=ORIENTED_EDGE('',*,*,#226113,.T.); #318653=ORIENTED_EDGE('',*,*,#226115,.F.); #318654=ORIENTED_EDGE('',*,*,#226116,.F.); #318655=ORIENTED_EDGE('',*,*,#226117,.T.); #318656=ORIENTED_EDGE('',*,*,#226116,.T.); #318657=ORIENTED_EDGE('',*,*,#226118,.F.); #318658=ORIENTED_EDGE('',*,*,#226119,.F.); #318659=ORIENTED_EDGE('',*,*,#226120,.T.); #318660=ORIENTED_EDGE('',*,*,#226119,.T.); #318661=ORIENTED_EDGE('',*,*,#226121,.F.); #318662=ORIENTED_EDGE('',*,*,#226122,.F.); #318663=ORIENTED_EDGE('',*,*,#226123,.T.); #318664=ORIENTED_EDGE('',*,*,#226122,.T.); #318665=ORIENTED_EDGE('',*,*,#226124,.F.); #318666=ORIENTED_EDGE('',*,*,#226125,.F.); #318667=ORIENTED_EDGE('',*,*,#226126,.T.); #318668=ORIENTED_EDGE('',*,*,#226125,.T.); #318669=ORIENTED_EDGE('',*,*,#226127,.F.); #318670=ORIENTED_EDGE('',*,*,#226111,.F.); #318671=ORIENTED_EDGE('',*,*,#226127,.T.); #318672=ORIENTED_EDGE('',*,*,#226124,.T.); #318673=ORIENTED_EDGE('',*,*,#226121,.T.); #318674=ORIENTED_EDGE('',*,*,#226118,.T.); #318675=ORIENTED_EDGE('',*,*,#226115,.T.); #318676=ORIENTED_EDGE('',*,*,#226112,.T.); #318677=ORIENTED_EDGE('',*,*,#226126,.F.); #318678=ORIENTED_EDGE('',*,*,#226110,.T.); #318679=ORIENTED_EDGE('',*,*,#226114,.F.); #318680=ORIENTED_EDGE('',*,*,#226117,.F.); #318681=ORIENTED_EDGE('',*,*,#226120,.F.); #318682=ORIENTED_EDGE('',*,*,#226123,.F.); #318683=ORIENTED_EDGE('',*,*,#226128,.T.); #318684=ORIENTED_EDGE('',*,*,#226129,.T.); #318685=ORIENTED_EDGE('',*,*,#226130,.T.); #318686=ORIENTED_EDGE('',*,*,#226131,.F.); #318687=ORIENTED_EDGE('',*,*,#226132,.F.); #318688=ORIENTED_EDGE('',*,*,#226133,.T.); #318689=ORIENTED_EDGE('',*,*,#226134,.T.); #318690=ORIENTED_EDGE('',*,*,#226129,.F.); #318691=ORIENTED_EDGE('',*,*,#226135,.F.); #318692=ORIENTED_EDGE('',*,*,#226136,.T.); #318693=ORIENTED_EDGE('',*,*,#226137,.T.); #318694=ORIENTED_EDGE('',*,*,#226133,.F.); #318695=ORIENTED_EDGE('',*,*,#226138,.F.); #318696=ORIENTED_EDGE('',*,*,#226139,.T.); #318697=ORIENTED_EDGE('',*,*,#226140,.T.); #318698=ORIENTED_EDGE('',*,*,#226136,.F.); #318699=ORIENTED_EDGE('',*,*,#226141,.F.); #318700=ORIENTED_EDGE('',*,*,#226142,.T.); #318701=ORIENTED_EDGE('',*,*,#226143,.T.); #318702=ORIENTED_EDGE('',*,*,#226139,.F.); #318703=ORIENTED_EDGE('',*,*,#226144,.F.); #318704=ORIENTED_EDGE('',*,*,#226131,.T.); #318705=ORIENTED_EDGE('',*,*,#226145,.T.); #318706=ORIENTED_EDGE('',*,*,#226142,.F.); #318707=ORIENTED_EDGE('',*,*,#226145,.F.); #318708=ORIENTED_EDGE('',*,*,#226130,.F.); #318709=ORIENTED_EDGE('',*,*,#226134,.F.); #318710=ORIENTED_EDGE('',*,*,#226137,.F.); #318711=ORIENTED_EDGE('',*,*,#226140,.F.); #318712=ORIENTED_EDGE('',*,*,#226143,.F.); #318713=ORIENTED_EDGE('',*,*,#226144,.T.); #318714=ORIENTED_EDGE('',*,*,#226141,.T.); #318715=ORIENTED_EDGE('',*,*,#226138,.T.); #318716=ORIENTED_EDGE('',*,*,#226135,.T.); #318717=ORIENTED_EDGE('',*,*,#226132,.T.); #318718=ORIENTED_EDGE('',*,*,#226128,.F.); #318719=ORIENTED_EDGE('',*,*,#226146,.T.); #318720=ORIENTED_EDGE('',*,*,#226147,.T.); #318721=ORIENTED_EDGE('',*,*,#226148,.T.); #318722=ORIENTED_EDGE('',*,*,#226149,.F.); #318723=ORIENTED_EDGE('',*,*,#226150,.F.); #318724=ORIENTED_EDGE('',*,*,#226151,.T.); #318725=ORIENTED_EDGE('',*,*,#226152,.T.); #318726=ORIENTED_EDGE('',*,*,#226147,.F.); #318727=ORIENTED_EDGE('',*,*,#226153,.F.); #318728=ORIENTED_EDGE('',*,*,#226154,.T.); #318729=ORIENTED_EDGE('',*,*,#226155,.T.); #318730=ORIENTED_EDGE('',*,*,#226151,.F.); #318731=ORIENTED_EDGE('',*,*,#226156,.F.); #318732=ORIENTED_EDGE('',*,*,#226157,.T.); #318733=ORIENTED_EDGE('',*,*,#226158,.T.); #318734=ORIENTED_EDGE('',*,*,#226154,.F.); #318735=ORIENTED_EDGE('',*,*,#226159,.F.); #318736=ORIENTED_EDGE('',*,*,#226160,.T.); #318737=ORIENTED_EDGE('',*,*,#226161,.T.); #318738=ORIENTED_EDGE('',*,*,#226157,.F.); #318739=ORIENTED_EDGE('',*,*,#226162,.F.); #318740=ORIENTED_EDGE('',*,*,#226149,.T.); #318741=ORIENTED_EDGE('',*,*,#226163,.T.); #318742=ORIENTED_EDGE('',*,*,#226160,.F.); #318743=ORIENTED_EDGE('',*,*,#226163,.F.); #318744=ORIENTED_EDGE('',*,*,#226148,.F.); #318745=ORIENTED_EDGE('',*,*,#226152,.F.); #318746=ORIENTED_EDGE('',*,*,#226155,.F.); #318747=ORIENTED_EDGE('',*,*,#226158,.F.); #318748=ORIENTED_EDGE('',*,*,#226161,.F.); #318749=ORIENTED_EDGE('',*,*,#226162,.T.); #318750=ORIENTED_EDGE('',*,*,#226159,.T.); #318751=ORIENTED_EDGE('',*,*,#226156,.T.); #318752=ORIENTED_EDGE('',*,*,#226153,.T.); #318753=ORIENTED_EDGE('',*,*,#226150,.T.); #318754=ORIENTED_EDGE('',*,*,#226146,.F.); #318755=ORIENTED_EDGE('',*,*,#226164,.T.); #318756=ORIENTED_EDGE('',*,*,#226165,.T.); #318757=ORIENTED_EDGE('',*,*,#226166,.T.); #318758=ORIENTED_EDGE('',*,*,#226167,.F.); #318759=ORIENTED_EDGE('',*,*,#226168,.F.); #318760=ORIENTED_EDGE('',*,*,#226169,.T.); #318761=ORIENTED_EDGE('',*,*,#226170,.T.); #318762=ORIENTED_EDGE('',*,*,#226165,.F.); #318763=ORIENTED_EDGE('',*,*,#226171,.F.); #318764=ORIENTED_EDGE('',*,*,#226172,.T.); #318765=ORIENTED_EDGE('',*,*,#226173,.T.); #318766=ORIENTED_EDGE('',*,*,#226169,.F.); #318767=ORIENTED_EDGE('',*,*,#226174,.F.); #318768=ORIENTED_EDGE('',*,*,#226175,.T.); #318769=ORIENTED_EDGE('',*,*,#226176,.T.); #318770=ORIENTED_EDGE('',*,*,#226172,.F.); #318771=ORIENTED_EDGE('',*,*,#226177,.F.); #318772=ORIENTED_EDGE('',*,*,#226178,.T.); #318773=ORIENTED_EDGE('',*,*,#226179,.T.); #318774=ORIENTED_EDGE('',*,*,#226175,.F.); #318775=ORIENTED_EDGE('',*,*,#226180,.F.); #318776=ORIENTED_EDGE('',*,*,#226167,.T.); #318777=ORIENTED_EDGE('',*,*,#226181,.T.); #318778=ORIENTED_EDGE('',*,*,#226178,.F.); #318779=ORIENTED_EDGE('',*,*,#226181,.F.); #318780=ORIENTED_EDGE('',*,*,#226166,.F.); #318781=ORIENTED_EDGE('',*,*,#226170,.F.); #318782=ORIENTED_EDGE('',*,*,#226173,.F.); #318783=ORIENTED_EDGE('',*,*,#226176,.F.); #318784=ORIENTED_EDGE('',*,*,#226179,.F.); #318785=ORIENTED_EDGE('',*,*,#226180,.T.); #318786=ORIENTED_EDGE('',*,*,#226177,.T.); #318787=ORIENTED_EDGE('',*,*,#226174,.T.); #318788=ORIENTED_EDGE('',*,*,#226171,.T.); #318789=ORIENTED_EDGE('',*,*,#226168,.T.); #318790=ORIENTED_EDGE('',*,*,#226164,.F.); #318791=ORIENTED_EDGE('',*,*,#226182,.F.); #318792=ORIENTED_EDGE('',*,*,#226183,.T.); #318793=ORIENTED_EDGE('',*,*,#226184,.T.); #318794=ORIENTED_EDGE('',*,*,#226185,.F.); #318795=ORIENTED_EDGE('',*,*,#226186,.F.); #318796=ORIENTED_EDGE('',*,*,#226187,.T.); #318797=ORIENTED_EDGE('',*,*,#226188,.T.); #318798=ORIENTED_EDGE('',*,*,#226183,.F.); #318799=ORIENTED_EDGE('',*,*,#226189,.F.); #318800=ORIENTED_EDGE('',*,*,#226190,.T.); #318801=ORIENTED_EDGE('',*,*,#226191,.T.); #318802=ORIENTED_EDGE('',*,*,#226187,.F.); #318803=ORIENTED_EDGE('',*,*,#226192,.F.); #318804=ORIENTED_EDGE('',*,*,#226185,.T.); #318805=ORIENTED_EDGE('',*,*,#226193,.T.); #318806=ORIENTED_EDGE('',*,*,#226190,.F.); #318807=ORIENTED_EDGE('',*,*,#226193,.F.); #318808=ORIENTED_EDGE('',*,*,#226184,.F.); #318809=ORIENTED_EDGE('',*,*,#226188,.F.); #318810=ORIENTED_EDGE('',*,*,#226191,.F.); #318811=ORIENTED_EDGE('',*,*,#226192,.T.); #318812=ORIENTED_EDGE('',*,*,#226189,.T.); #318813=ORIENTED_EDGE('',*,*,#226186,.T.); #318814=ORIENTED_EDGE('',*,*,#226182,.T.); #318815=ORIENTED_EDGE('',*,*,#226194,.T.); #318816=ORIENTED_EDGE('',*,*,#226195,.T.); #318817=ORIENTED_EDGE('',*,*,#226196,.F.); #318818=ORIENTED_EDGE('',*,*,#226197,.F.); #318819=ORIENTED_EDGE('',*,*,#226198,.T.); #318820=ORIENTED_EDGE('',*,*,#226197,.T.); #318821=ORIENTED_EDGE('',*,*,#226199,.F.); #318822=ORIENTED_EDGE('',*,*,#226200,.F.); #318823=ORIENTED_EDGE('',*,*,#226201,.T.); #318824=ORIENTED_EDGE('',*,*,#226200,.T.); #318825=ORIENTED_EDGE('',*,*,#226202,.F.); #318826=ORIENTED_EDGE('',*,*,#226203,.F.); #318827=ORIENTED_EDGE('',*,*,#226204,.T.); #318828=ORIENTED_EDGE('',*,*,#226203,.T.); #318829=ORIENTED_EDGE('',*,*,#226205,.F.); #318830=ORIENTED_EDGE('',*,*,#226195,.F.); #318831=ORIENTED_EDGE('',*,*,#226205,.T.); #318832=ORIENTED_EDGE('',*,*,#226202,.T.); #318833=ORIENTED_EDGE('',*,*,#226199,.T.); #318834=ORIENTED_EDGE('',*,*,#226196,.T.); #318835=ORIENTED_EDGE('',*,*,#226204,.F.); #318836=ORIENTED_EDGE('',*,*,#226194,.F.); #318837=ORIENTED_EDGE('',*,*,#226198,.F.); #318838=ORIENTED_EDGE('',*,*,#226201,.F.); #318839=ORIENTED_EDGE('',*,*,#226206,.T.); #318840=ORIENTED_EDGE('',*,*,#226207,.T.); #318841=ORIENTED_EDGE('',*,*,#226208,.F.); #318842=ORIENTED_EDGE('',*,*,#226209,.F.); #318843=ORIENTED_EDGE('',*,*,#226210,.T.); #318844=ORIENTED_EDGE('',*,*,#226209,.T.); #318845=ORIENTED_EDGE('',*,*,#226211,.F.); #318846=ORIENTED_EDGE('',*,*,#226212,.F.); #318847=ORIENTED_EDGE('',*,*,#226213,.T.); #318848=ORIENTED_EDGE('',*,*,#226212,.T.); #318849=ORIENTED_EDGE('',*,*,#226214,.F.); #318850=ORIENTED_EDGE('',*,*,#226215,.F.); #318851=ORIENTED_EDGE('',*,*,#226216,.T.); #318852=ORIENTED_EDGE('',*,*,#226215,.T.); #318853=ORIENTED_EDGE('',*,*,#226217,.F.); #318854=ORIENTED_EDGE('',*,*,#226207,.F.); #318855=ORIENTED_EDGE('',*,*,#226217,.T.); #318856=ORIENTED_EDGE('',*,*,#226214,.T.); #318857=ORIENTED_EDGE('',*,*,#226211,.T.); #318858=ORIENTED_EDGE('',*,*,#226208,.T.); #318859=ORIENTED_EDGE('',*,*,#226216,.F.); #318860=ORIENTED_EDGE('',*,*,#226206,.F.); #318861=ORIENTED_EDGE('',*,*,#226210,.F.); #318862=ORIENTED_EDGE('',*,*,#226213,.F.); #318863=ORIENTED_EDGE('',*,*,#226218,.T.); #318864=ORIENTED_EDGE('',*,*,#226219,.T.); #318865=ORIENTED_EDGE('',*,*,#226220,.F.); #318866=ORIENTED_EDGE('',*,*,#226221,.F.); #318867=ORIENTED_EDGE('',*,*,#226222,.T.); #318868=ORIENTED_EDGE('',*,*,#226221,.T.); #318869=ORIENTED_EDGE('',*,*,#226223,.F.); #318870=ORIENTED_EDGE('',*,*,#226224,.F.); #318871=ORIENTED_EDGE('',*,*,#226225,.T.); #318872=ORIENTED_EDGE('',*,*,#226224,.T.); #318873=ORIENTED_EDGE('',*,*,#226226,.F.); #318874=ORIENTED_EDGE('',*,*,#226227,.F.); #318875=ORIENTED_EDGE('',*,*,#226228,.T.); #318876=ORIENTED_EDGE('',*,*,#226227,.T.); #318877=ORIENTED_EDGE('',*,*,#226229,.F.); #318878=ORIENTED_EDGE('',*,*,#226219,.F.); #318879=ORIENTED_EDGE('',*,*,#226229,.T.); #318880=ORIENTED_EDGE('',*,*,#226226,.T.); #318881=ORIENTED_EDGE('',*,*,#226223,.T.); #318882=ORIENTED_EDGE('',*,*,#226220,.T.); #318883=ORIENTED_EDGE('',*,*,#226228,.F.); #318884=ORIENTED_EDGE('',*,*,#226218,.F.); #318885=ORIENTED_EDGE('',*,*,#226222,.F.); #318886=ORIENTED_EDGE('',*,*,#226225,.F.); #318887=ORIENTED_EDGE('',*,*,#226230,.F.); #318888=ORIENTED_EDGE('',*,*,#226231,.T.); #318889=ORIENTED_EDGE('',*,*,#226232,.T.); #318890=ORIENTED_EDGE('',*,*,#226233,.F.); #318891=ORIENTED_EDGE('',*,*,#226234,.F.); #318892=ORIENTED_EDGE('',*,*,#226235,.T.); #318893=ORIENTED_EDGE('',*,*,#226236,.T.); #318894=ORIENTED_EDGE('',*,*,#226231,.F.); #318895=ORIENTED_EDGE('',*,*,#226237,.F.); #318896=ORIENTED_EDGE('',*,*,#226238,.T.); #318897=ORIENTED_EDGE('',*,*,#226239,.T.); #318898=ORIENTED_EDGE('',*,*,#226235,.F.); #318899=ORIENTED_EDGE('',*,*,#226240,.F.); #318900=ORIENTED_EDGE('',*,*,#226233,.T.); #318901=ORIENTED_EDGE('',*,*,#226241,.T.); #318902=ORIENTED_EDGE('',*,*,#226238,.F.); #318903=ORIENTED_EDGE('',*,*,#226241,.F.); #318904=ORIENTED_EDGE('',*,*,#226232,.F.); #318905=ORIENTED_EDGE('',*,*,#226236,.F.); #318906=ORIENTED_EDGE('',*,*,#226239,.F.); #318907=ORIENTED_EDGE('',*,*,#226240,.T.); #318908=ORIENTED_EDGE('',*,*,#226237,.T.); #318909=ORIENTED_EDGE('',*,*,#226234,.T.); #318910=ORIENTED_EDGE('',*,*,#226230,.T.); #318911=ORIENTED_EDGE('',*,*,#226242,.F.); #318912=ORIENTED_EDGE('',*,*,#226243,.T.); #318913=ORIENTED_EDGE('',*,*,#226244,.T.); #318914=ORIENTED_EDGE('',*,*,#226245,.F.); #318915=ORIENTED_EDGE('',*,*,#226246,.F.); #318916=ORIENTED_EDGE('',*,*,#226247,.T.); #318917=ORIENTED_EDGE('',*,*,#226248,.T.); #318918=ORIENTED_EDGE('',*,*,#226243,.F.); #318919=ORIENTED_EDGE('',*,*,#226249,.F.); #318920=ORIENTED_EDGE('',*,*,#226250,.T.); #318921=ORIENTED_EDGE('',*,*,#226251,.T.); #318922=ORIENTED_EDGE('',*,*,#226247,.F.); #318923=ORIENTED_EDGE('',*,*,#226252,.F.); #318924=ORIENTED_EDGE('',*,*,#226245,.T.); #318925=ORIENTED_EDGE('',*,*,#226253,.T.); #318926=ORIENTED_EDGE('',*,*,#226250,.F.); #318927=ORIENTED_EDGE('',*,*,#226253,.F.); #318928=ORIENTED_EDGE('',*,*,#226244,.F.); #318929=ORIENTED_EDGE('',*,*,#226248,.F.); #318930=ORIENTED_EDGE('',*,*,#226251,.F.); #318931=ORIENTED_EDGE('',*,*,#226252,.T.); #318932=ORIENTED_EDGE('',*,*,#226249,.T.); #318933=ORIENTED_EDGE('',*,*,#226246,.T.); #318934=ORIENTED_EDGE('',*,*,#226242,.T.); #318935=ORIENTED_EDGE('',*,*,#226254,.F.); #318936=ORIENTED_EDGE('',*,*,#226255,.T.); #318937=ORIENTED_EDGE('',*,*,#226256,.T.); #318938=ORIENTED_EDGE('',*,*,#226257,.F.); #318939=ORIENTED_EDGE('',*,*,#226258,.F.); #318940=ORIENTED_EDGE('',*,*,#226259,.T.); #318941=ORIENTED_EDGE('',*,*,#226260,.T.); #318942=ORIENTED_EDGE('',*,*,#226255,.F.); #318943=ORIENTED_EDGE('',*,*,#226261,.F.); #318944=ORIENTED_EDGE('',*,*,#226262,.T.); #318945=ORIENTED_EDGE('',*,*,#226263,.T.); #318946=ORIENTED_EDGE('',*,*,#226259,.F.); #318947=ORIENTED_EDGE('',*,*,#226264,.F.); #318948=ORIENTED_EDGE('',*,*,#226257,.T.); #318949=ORIENTED_EDGE('',*,*,#226265,.T.); #318950=ORIENTED_EDGE('',*,*,#226262,.F.); #318951=ORIENTED_EDGE('',*,*,#226265,.F.); #318952=ORIENTED_EDGE('',*,*,#226256,.F.); #318953=ORIENTED_EDGE('',*,*,#226260,.F.); #318954=ORIENTED_EDGE('',*,*,#226263,.F.); #318955=ORIENTED_EDGE('',*,*,#226264,.T.); #318956=ORIENTED_EDGE('',*,*,#226261,.T.); #318957=ORIENTED_EDGE('',*,*,#226258,.T.); #318958=ORIENTED_EDGE('',*,*,#226254,.T.); #318959=ORIENTED_EDGE('',*,*,#226266,.T.); #318960=ORIENTED_EDGE('',*,*,#226267,.T.); #318961=ORIENTED_EDGE('',*,*,#226268,.F.); #318962=ORIENTED_EDGE('',*,*,#226269,.F.); #318963=ORIENTED_EDGE('',*,*,#226270,.T.); #318964=ORIENTED_EDGE('',*,*,#226269,.T.); #318965=ORIENTED_EDGE('',*,*,#226271,.F.); #318966=ORIENTED_EDGE('',*,*,#226272,.F.); #318967=ORIENTED_EDGE('',*,*,#226273,.T.); #318968=ORIENTED_EDGE('',*,*,#226272,.T.); #318969=ORIENTED_EDGE('',*,*,#226274,.F.); #318970=ORIENTED_EDGE('',*,*,#226275,.F.); #318971=ORIENTED_EDGE('',*,*,#226276,.T.); #318972=ORIENTED_EDGE('',*,*,#226275,.T.); #318973=ORIENTED_EDGE('',*,*,#226277,.F.); #318974=ORIENTED_EDGE('',*,*,#226267,.F.); #318975=ORIENTED_EDGE('',*,*,#226277,.T.); #318976=ORIENTED_EDGE('',*,*,#226274,.T.); #318977=ORIENTED_EDGE('',*,*,#226271,.T.); #318978=ORIENTED_EDGE('',*,*,#226268,.T.); #318979=ORIENTED_EDGE('',*,*,#226276,.F.); #318980=ORIENTED_EDGE('',*,*,#226266,.F.); #318981=ORIENTED_EDGE('',*,*,#226270,.F.); #318982=ORIENTED_EDGE('',*,*,#226273,.F.); #318983=ORIENTED_EDGE('',*,*,#226278,.T.); #318984=ORIENTED_EDGE('',*,*,#226279,.T.); #318985=ORIENTED_EDGE('',*,*,#226280,.T.); #318986=ORIENTED_EDGE('',*,*,#226281,.F.); #318987=ORIENTED_EDGE('',*,*,#226282,.T.); #318988=ORIENTED_EDGE('',*,*,#226283,.T.); #318989=ORIENTED_EDGE('',*,*,#226284,.T.); #318990=ORIENTED_EDGE('',*,*,#226279,.F.); #318991=ORIENTED_EDGE('',*,*,#226285,.T.); #318992=ORIENTED_EDGE('',*,*,#226286,.T.); #318993=ORIENTED_EDGE('',*,*,#226287,.T.); #318994=ORIENTED_EDGE('',*,*,#226283,.F.); #318995=ORIENTED_EDGE('',*,*,#226288,.T.); #318996=ORIENTED_EDGE('',*,*,#226286,.F.); #318997=ORIENTED_EDGE('',*,*,#226289,.T.); #318998=ORIENTED_EDGE('',*,*,#226281,.T.); #318999=ORIENTED_EDGE('',*,*,#226288,.F.); #319000=ORIENTED_EDGE('',*,*,#226290,.F.); #319001=ORIENTED_EDGE('',*,*,#226291,.T.); #319002=ORIENTED_EDGE('',*,*,#226292,.T.); #319003=ORIENTED_EDGE('',*,*,#226280,.F.); #319004=ORIENTED_EDGE('',*,*,#226293,.F.); #319005=ORIENTED_EDGE('',*,*,#226294,.T.); #319006=ORIENTED_EDGE('',*,*,#226290,.T.); #319007=ORIENTED_EDGE('',*,*,#226278,.F.); #319008=ORIENTED_EDGE('',*,*,#226289,.F.); #319009=ORIENTED_EDGE('',*,*,#226285,.F.); #319010=ORIENTED_EDGE('',*,*,#226282,.F.); #319011=ORIENTED_EDGE('',*,*,#226284,.F.); #319012=ORIENTED_EDGE('',*,*,#226295,.F.); #319013=ORIENTED_EDGE('',*,*,#226296,.T.); #319014=ORIENTED_EDGE('',*,*,#226293,.T.); #319015=ORIENTED_EDGE('',*,*,#226287,.F.); #319016=ORIENTED_EDGE('',*,*,#226292,.F.); #319017=ORIENTED_EDGE('',*,*,#226297,.T.); #319018=ORIENTED_EDGE('',*,*,#226295,.T.); #319019=ORIENTED_EDGE('',*,*,#226297,.F.); #319020=ORIENTED_EDGE('',*,*,#226291,.F.); #319021=ORIENTED_EDGE('',*,*,#226294,.F.); #319022=ORIENTED_EDGE('',*,*,#226296,.F.); #319023=ORIENTED_EDGE('',*,*,#226298,.F.); #319024=ORIENTED_EDGE('',*,*,#226299,.T.); #319025=ORIENTED_EDGE('',*,*,#226300,.F.); #319026=ORIENTED_EDGE('',*,*,#226301,.F.); #319027=ORIENTED_EDGE('',*,*,#226302,.T.); #319028=ORIENTED_EDGE('',*,*,#226301,.T.); #319029=ORIENTED_EDGE('',*,*,#226303,.F.); #319030=ORIENTED_EDGE('',*,*,#226304,.F.); #319031=ORIENTED_EDGE('',*,*,#226305,.T.); #319032=ORIENTED_EDGE('',*,*,#226304,.T.); #319033=ORIENTED_EDGE('',*,*,#226306,.F.); #319034=ORIENTED_EDGE('',*,*,#226307,.F.); #319035=ORIENTED_EDGE('',*,*,#226308,.T.); #319036=ORIENTED_EDGE('',*,*,#226307,.T.); #319037=ORIENTED_EDGE('',*,*,#226309,.F.); #319038=ORIENTED_EDGE('',*,*,#226299,.F.); #319039=ORIENTED_EDGE('',*,*,#226309,.T.); #319040=ORIENTED_EDGE('',*,*,#226306,.T.); #319041=ORIENTED_EDGE('',*,*,#226303,.T.); #319042=ORIENTED_EDGE('',*,*,#226300,.T.); #319043=ORIENTED_EDGE('',*,*,#226308,.F.); #319044=ORIENTED_EDGE('',*,*,#226298,.T.); #319045=ORIENTED_EDGE('',*,*,#226302,.F.); #319046=ORIENTED_EDGE('',*,*,#226305,.F.); #319047=ORIENTED_EDGE('',*,*,#226310,.T.); #319048=ORIENTED_EDGE('',*,*,#226311,.T.); #319049=ORIENTED_EDGE('',*,*,#226312,.F.); #319050=ORIENTED_EDGE('',*,*,#226313,.F.); #319051=ORIENTED_EDGE('',*,*,#226314,.T.); #319052=ORIENTED_EDGE('',*,*,#226313,.T.); #319053=ORIENTED_EDGE('',*,*,#226315,.F.); #319054=ORIENTED_EDGE('',*,*,#226316,.F.); #319055=ORIENTED_EDGE('',*,*,#226317,.T.); #319056=ORIENTED_EDGE('',*,*,#226316,.T.); #319057=ORIENTED_EDGE('',*,*,#226318,.F.); #319058=ORIENTED_EDGE('',*,*,#226319,.F.); #319059=ORIENTED_EDGE('',*,*,#226320,.T.); #319060=ORIENTED_EDGE('',*,*,#226319,.T.); #319061=ORIENTED_EDGE('',*,*,#226321,.F.); #319062=ORIENTED_EDGE('',*,*,#226311,.F.); #319063=ORIENTED_EDGE('',*,*,#226321,.T.); #319064=ORIENTED_EDGE('',*,*,#226318,.T.); #319065=ORIENTED_EDGE('',*,*,#226315,.T.); #319066=ORIENTED_EDGE('',*,*,#226312,.T.); #319067=ORIENTED_EDGE('',*,*,#226320,.F.); #319068=ORIENTED_EDGE('',*,*,#226310,.F.); #319069=ORIENTED_EDGE('',*,*,#226314,.F.); #319070=ORIENTED_EDGE('',*,*,#226317,.F.); #319071=ORIENTED_EDGE('',*,*,#226322,.F.); #319072=ORIENTED_EDGE('',*,*,#226323,.T.); #319073=ORIENTED_EDGE('',*,*,#226324,.F.); #319074=ORIENTED_EDGE('',*,*,#226325,.F.); #319075=ORIENTED_EDGE('',*,*,#226326,.F.); #319076=ORIENTED_EDGE('',*,*,#226325,.T.); #319077=ORIENTED_EDGE('',*,*,#226327,.F.); #319078=ORIENTED_EDGE('',*,*,#226328,.F.); #319079=ORIENTED_EDGE('',*,*,#226329,.F.); #319080=ORIENTED_EDGE('',*,*,#226328,.T.); #319081=ORIENTED_EDGE('',*,*,#226330,.F.); #319082=ORIENTED_EDGE('',*,*,#226331,.F.); #319083=ORIENTED_EDGE('',*,*,#226332,.F.); #319084=ORIENTED_EDGE('',*,*,#226331,.T.); #319085=ORIENTED_EDGE('',*,*,#226333,.F.); #319086=ORIENTED_EDGE('',*,*,#226323,.F.); #319087=ORIENTED_EDGE('',*,*,#226333,.T.); #319088=ORIENTED_EDGE('',*,*,#226330,.T.); #319089=ORIENTED_EDGE('',*,*,#226327,.T.); #319090=ORIENTED_EDGE('',*,*,#226324,.T.); #319091=ORIENTED_EDGE('',*,*,#226332,.T.); #319092=ORIENTED_EDGE('',*,*,#226322,.T.); #319093=ORIENTED_EDGE('',*,*,#226326,.T.); #319094=ORIENTED_EDGE('',*,*,#226329,.T.); #319095=ORIENTED_EDGE('',*,*,#226334,.F.); #319096=ORIENTED_EDGE('',*,*,#226335,.T.); #319097=ORIENTED_EDGE('',*,*,#226336,.F.); #319098=ORIENTED_EDGE('',*,*,#226337,.F.); #319099=ORIENTED_EDGE('',*,*,#226338,.F.); #319100=ORIENTED_EDGE('',*,*,#226337,.T.); #319101=ORIENTED_EDGE('',*,*,#226339,.F.); #319102=ORIENTED_EDGE('',*,*,#226340,.F.); #319103=ORIENTED_EDGE('',*,*,#226341,.F.); #319104=ORIENTED_EDGE('',*,*,#226340,.T.); #319105=ORIENTED_EDGE('',*,*,#226342,.F.); #319106=ORIENTED_EDGE('',*,*,#226343,.F.); #319107=ORIENTED_EDGE('',*,*,#226344,.T.); #319108=ORIENTED_EDGE('',*,*,#226343,.T.); #319109=ORIENTED_EDGE('',*,*,#226345,.F.); #319110=ORIENTED_EDGE('',*,*,#226335,.F.); #319111=ORIENTED_EDGE('',*,*,#226345,.T.); #319112=ORIENTED_EDGE('',*,*,#226342,.T.); #319113=ORIENTED_EDGE('',*,*,#226339,.T.); #319114=ORIENTED_EDGE('',*,*,#226336,.T.); #319115=ORIENTED_EDGE('',*,*,#226344,.F.); #319116=ORIENTED_EDGE('',*,*,#226334,.T.); #319117=ORIENTED_EDGE('',*,*,#226338,.T.); #319118=ORIENTED_EDGE('',*,*,#226341,.T.); #319119=ORIENTED_EDGE('',*,*,#226346,.T.); #319120=ORIENTED_EDGE('',*,*,#226347,.T.); #319121=ORIENTED_EDGE('',*,*,#226348,.F.); #319122=ORIENTED_EDGE('',*,*,#226347,.F.); #319123=ORIENTED_EDGE('',*,*,#226349,.T.); #319124=ORIENTED_EDGE('',*,*,#226350,.T.); #319125=ORIENTED_EDGE('',*,*,#226351,.F.); #319126=ORIENTED_EDGE('',*,*,#226350,.F.); #319127=ORIENTED_EDGE('',*,*,#226351,.T.); #319128=ORIENTED_EDGE('',*,*,#226346,.F.); #319129=ORIENTED_EDGE('',*,*,#226349,.F.); #319130=ORIENTED_EDGE('',*,*,#226348,.T.); #319131=ORIENTED_EDGE('',*,*,#226352,.T.); #319132=ORIENTED_EDGE('',*,*,#226353,.T.); #319133=ORIENTED_EDGE('',*,*,#226354,.F.); #319134=ORIENTED_EDGE('',*,*,#226355,.F.); #319135=ORIENTED_EDGE('',*,*,#226356,.T.); #319136=ORIENTED_EDGE('',*,*,#226357,.T.); #319137=ORIENTED_EDGE('',*,*,#226358,.T.); #319138=ORIENTED_EDGE('',*,*,#226352,.F.); #319139=ORIENTED_EDGE('',*,*,#226359,.T.); #319140=ORIENTED_EDGE('',*,*,#226360,.F.); #319141=ORIENTED_EDGE('',*,*,#226361,.T.); #319142=ORIENTED_EDGE('',*,*,#226362,.F.); #319143=ORIENTED_EDGE('',*,*,#226363,.T.); #319144=ORIENTED_EDGE('',*,*,#226364,.T.); #319145=ORIENTED_EDGE('',*,*,#226365,.T.); #319146=ORIENTED_EDGE('',*,*,#226366,.T.); #319147=ORIENTED_EDGE('',*,*,#226367,.T.); #319148=ORIENTED_EDGE('',*,*,#226368,.T.); #319149=ORIENTED_EDGE('',*,*,#226369,.T.); #319150=ORIENTED_EDGE('',*,*,#226364,.F.); #319151=ORIENTED_EDGE('',*,*,#226370,.T.); #319152=ORIENTED_EDGE('',*,*,#226371,.F.); #319153=ORIENTED_EDGE('',*,*,#226372,.T.); #319154=ORIENTED_EDGE('',*,*,#226373,.F.); #319155=ORIENTED_EDGE('',*,*,#226374,.F.); #319156=ORIENTED_EDGE('',*,*,#226375,.T.); #319157=ORIENTED_EDGE('',*,*,#226367,.F.); #319158=ORIENTED_EDGE('',*,*,#226376,.F.); #319159=ORIENTED_EDGE('',*,*,#226361,.F.); #319160=ORIENTED_EDGE('',*,*,#226377,.F.); #319161=ORIENTED_EDGE('',*,*,#226359,.F.); #319162=ORIENTED_EDGE('',*,*,#226356,.F.); #319163=ORIENTED_EDGE('',*,*,#226378,.F.); #319164=ORIENTED_EDGE('',*,*,#226372,.F.); #319165=ORIENTED_EDGE('',*,*,#226379,.F.); #319166=ORIENTED_EDGE('',*,*,#226370,.F.); #319167=ORIENTED_EDGE('',*,*,#226375,.F.); #319168=ORIENTED_EDGE('',*,*,#226380,.F.); #319169=ORIENTED_EDGE('',*,*,#226353,.F.); #319170=ORIENTED_EDGE('',*,*,#226358,.F.); #319171=ORIENTED_EDGE('',*,*,#226381,.F.); #319172=ORIENTED_EDGE('',*,*,#226363,.F.); #319173=ORIENTED_EDGE('',*,*,#226382,.F.); #319174=ORIENTED_EDGE('',*,*,#226365,.F.); #319175=ORIENTED_EDGE('',*,*,#226369,.F.); #319176=ORIENTED_EDGE('',*,*,#226383,.F.); #319177=ORIENTED_EDGE('',*,*,#226384,.T.); #319178=ORIENTED_EDGE('',*,*,#226385,.F.); #319179=ORIENTED_EDGE('',*,*,#226386,.F.); #319180=ORIENTED_EDGE('',*,*,#226387,.F.); #319181=ORIENTED_EDGE('',*,*,#226388,.F.); #319182=ORIENTED_EDGE('',*,*,#226354,.T.); #319183=ORIENTED_EDGE('',*,*,#226380,.T.); #319184=ORIENTED_EDGE('',*,*,#226374,.T.); #319185=ORIENTED_EDGE('',*,*,#226355,.T.); #319186=ORIENTED_EDGE('',*,*,#226388,.T.); #319187=ORIENTED_EDGE('',*,*,#226389,.F.); #319188=ORIENTED_EDGE('',*,*,#226390,.F.); #319189=ORIENTED_EDGE('',*,*,#226391,.F.); #319190=ORIENTED_EDGE('',*,*,#226384,.F.); #319191=ORIENTED_EDGE('',*,*,#226373,.T.); #319192=ORIENTED_EDGE('',*,*,#226378,.T.); #319193=ORIENTED_EDGE('',*,*,#226371,.T.); #319194=ORIENTED_EDGE('',*,*,#226383,.T.); #319195=ORIENTED_EDGE('',*,*,#226368,.F.); #319196=ORIENTED_EDGE('',*,*,#226379,.T.); #319197=ORIENTED_EDGE('',*,*,#226360,.T.); #319198=ORIENTED_EDGE('',*,*,#226381,.T.); #319199=ORIENTED_EDGE('',*,*,#226357,.F.); #319200=ORIENTED_EDGE('',*,*,#226377,.T.); #319201=ORIENTED_EDGE('',*,*,#226392,.F.); #319202=ORIENTED_EDGE('',*,*,#226393,.F.); #319203=ORIENTED_EDGE('',*,*,#226394,.F.); #319204=ORIENTED_EDGE('',*,*,#226395,.F.); #319205=ORIENTED_EDGE('',*,*,#226396,.F.); #319206=ORIENTED_EDGE('',*,*,#226397,.F.); #319207=ORIENTED_EDGE('',*,*,#226362,.T.); #319208=ORIENTED_EDGE('',*,*,#226376,.T.); #319209=ORIENTED_EDGE('',*,*,#226366,.F.); #319210=ORIENTED_EDGE('',*,*,#226382,.T.); #319211=ORIENTED_EDGE('',*,*,#226398,.F.); #319212=ORIENTED_EDGE('',*,*,#226385,.T.); #319213=ORIENTED_EDGE('',*,*,#226399,.T.); #319214=ORIENTED_EDGE('',*,*,#226392,.T.); #319215=ORIENTED_EDGE('',*,*,#226400,.F.); #319216=ORIENTED_EDGE('',*,*,#226386,.T.); #319217=ORIENTED_EDGE('',*,*,#226398,.T.); #319218=ORIENTED_EDGE('',*,*,#226397,.T.); #319219=ORIENTED_EDGE('',*,*,#226401,.F.); #319220=ORIENTED_EDGE('',*,*,#226387,.T.); #319221=ORIENTED_EDGE('',*,*,#226400,.T.); #319222=ORIENTED_EDGE('',*,*,#226396,.T.); #319223=ORIENTED_EDGE('',*,*,#226402,.F.); #319224=ORIENTED_EDGE('',*,*,#226389,.T.); #319225=ORIENTED_EDGE('',*,*,#226401,.T.); #319226=ORIENTED_EDGE('',*,*,#226395,.T.); #319227=ORIENTED_EDGE('',*,*,#226403,.F.); #319228=ORIENTED_EDGE('',*,*,#226390,.T.); #319229=ORIENTED_EDGE('',*,*,#226402,.T.); #319230=ORIENTED_EDGE('',*,*,#226394,.T.); #319231=ORIENTED_EDGE('',*,*,#226399,.F.); #319232=ORIENTED_EDGE('',*,*,#226391,.T.); #319233=ORIENTED_EDGE('',*,*,#226403,.T.); #319234=ORIENTED_EDGE('',*,*,#226393,.T.); #319235=ORIENTED_EDGE('',*,*,#226404,.F.); #319236=ORIENTED_EDGE('',*,*,#226405,.T.); #319237=ORIENTED_EDGE('',*,*,#226406,.F.); #319238=ORIENTED_EDGE('',*,*,#226407,.T.); #319239=ORIENTED_EDGE('',*,*,#226408,.F.); #319240=ORIENTED_EDGE('',*,*,#226409,.T.); #319241=ORIENTED_EDGE('',*,*,#226404,.T.); #319242=ORIENTED_EDGE('',*,*,#226410,.T.); #319243=ORIENTED_EDGE('',*,*,#226411,.F.); #319244=ORIENTED_EDGE('',*,*,#226412,.T.); #319245=ORIENTED_EDGE('',*,*,#226408,.T.); #319246=ORIENTED_EDGE('',*,*,#226413,.T.); #319247=ORIENTED_EDGE('',*,*,#226414,.F.); #319248=ORIENTED_EDGE('',*,*,#226415,.T.); #319249=ORIENTED_EDGE('',*,*,#226411,.T.); #319250=ORIENTED_EDGE('',*,*,#226416,.T.); #319251=ORIENTED_EDGE('',*,*,#226417,.F.); #319252=ORIENTED_EDGE('',*,*,#226418,.T.); #319253=ORIENTED_EDGE('',*,*,#226414,.T.); #319254=ORIENTED_EDGE('',*,*,#226419,.T.); #319255=ORIENTED_EDGE('',*,*,#226420,.F.); #319256=ORIENTED_EDGE('',*,*,#226421,.T.); #319257=ORIENTED_EDGE('',*,*,#226417,.T.); #319258=ORIENTED_EDGE('',*,*,#226422,.T.); #319259=ORIENTED_EDGE('',*,*,#226423,.F.); #319260=ORIENTED_EDGE('',*,*,#226424,.T.); #319261=ORIENTED_EDGE('',*,*,#226420,.T.); #319262=ORIENTED_EDGE('',*,*,#226425,.T.); #319263=ORIENTED_EDGE('',*,*,#226426,.F.); #319264=ORIENTED_EDGE('',*,*,#226427,.T.); #319265=ORIENTED_EDGE('',*,*,#226428,.F.); #319266=ORIENTED_EDGE('',*,*,#226429,.F.); #319267=ORIENTED_EDGE('',*,*,#226430,.T.); #319268=ORIENTED_EDGE('',*,*,#226426,.T.); #319269=ORIENTED_EDGE('',*,*,#226431,.F.); #319270=ORIENTED_EDGE('',*,*,#226432,.F.); #319271=ORIENTED_EDGE('',*,*,#226433,.F.); #319272=ORIENTED_EDGE('',*,*,#226434,.T.); #319273=ORIENTED_EDGE('',*,*,#226429,.T.); #319274=ORIENTED_EDGE('',*,*,#226435,.F.); #319275=ORIENTED_EDGE('',*,*,#226436,.F.); #319276=ORIENTED_EDGE('',*,*,#226437,.T.); #319277=ORIENTED_EDGE('',*,*,#226433,.T.); #319278=ORIENTED_EDGE('',*,*,#226438,.F.); #319279=ORIENTED_EDGE('',*,*,#226439,.F.); #319280=ORIENTED_EDGE('',*,*,#226440,.T.); #319281=ORIENTED_EDGE('',*,*,#226436,.T.); #319282=ORIENTED_EDGE('',*,*,#226441,.F.); #319283=ORIENTED_EDGE('',*,*,#226442,.F.); #319284=ORIENTED_EDGE('',*,*,#226443,.T.); #319285=ORIENTED_EDGE('',*,*,#226439,.T.); #319286=ORIENTED_EDGE('',*,*,#226444,.F.); #319287=ORIENTED_EDGE('',*,*,#226445,.F.); #319288=ORIENTED_EDGE('',*,*,#226446,.T.); #319289=ORIENTED_EDGE('',*,*,#226442,.T.); #319290=ORIENTED_EDGE('',*,*,#226447,.F.); #319291=ORIENTED_EDGE('',*,*,#226448,.T.); #319292=ORIENTED_EDGE('',*,*,#226449,.F.); #319293=ORIENTED_EDGE('',*,*,#226450,.F.); #319294=ORIENTED_EDGE('',*,*,#226451,.T.); #319295=ORIENTED_EDGE('',*,*,#226452,.F.); #319296=ORIENTED_EDGE('',*,*,#226453,.F.); #319297=ORIENTED_EDGE('',*,*,#226454,.T.); #319298=ORIENTED_EDGE('',*,*,#226455,.T.); #319299=ORIENTED_EDGE('',*,*,#226456,.T.); #319300=ORIENTED_EDGE('',*,*,#226457,.T.); #319301=ORIENTED_EDGE('',*,*,#226458,.T.); #319302=ORIENTED_EDGE('',*,*,#226459,.F.); #319303=ORIENTED_EDGE('',*,*,#226460,.T.); #319304=ORIENTED_EDGE('',*,*,#226461,.F.); #319305=ORIENTED_EDGE('',*,*,#226462,.F.); #319306=ORIENTED_EDGE('',*,*,#226463,.T.); #319307=ORIENTED_EDGE('',*,*,#226464,.T.); #319308=ORIENTED_EDGE('',*,*,#226465,.F.); #319309=ORIENTED_EDGE('',*,*,#226466,.F.); #319310=ORIENTED_EDGE('',*,*,#226467,.T.); #319311=ORIENTED_EDGE('',*,*,#226468,.T.); #319312=ORIENTED_EDGE('',*,*,#226469,.T.); #319313=ORIENTED_EDGE('',*,*,#226470,.T.); #319314=ORIENTED_EDGE('',*,*,#226471,.F.); #319315=ORIENTED_EDGE('',*,*,#226472,.T.); #319316=ORIENTED_EDGE('',*,*,#226473,.F.); #319317=ORIENTED_EDGE('',*,*,#226474,.F.); #319318=ORIENTED_EDGE('',*,*,#226475,.F.); #319319=ORIENTED_EDGE('',*,*,#226476,.T.); #319320=ORIENTED_EDGE('',*,*,#226475,.T.); #319321=ORIENTED_EDGE('',*,*,#226477,.F.); #319322=ORIENTED_EDGE('',*,*,#226478,.F.); #319323=ORIENTED_EDGE('',*,*,#226479,.T.); #319324=ORIENTED_EDGE('',*,*,#226480,.T.); #319325=ORIENTED_EDGE('',*,*,#226481,.F.); #319326=ORIENTED_EDGE('',*,*,#226482,.F.); #319327=ORIENTED_EDGE('',*,*,#226483,.T.); #319328=ORIENTED_EDGE('',*,*,#226482,.T.); #319329=ORIENTED_EDGE('',*,*,#226484,.F.); #319330=ORIENTED_EDGE('',*,*,#226485,.F.); #319331=ORIENTED_EDGE('',*,*,#226486,.F.); #319332=ORIENTED_EDGE('',*,*,#226484,.T.); #319333=ORIENTED_EDGE('',*,*,#226481,.T.); #319334=ORIENTED_EDGE('',*,*,#226487,.T.); #319335=ORIENTED_EDGE('',*,*,#226477,.T.); #319336=ORIENTED_EDGE('',*,*,#226474,.T.); #319337=ORIENTED_EDGE('',*,*,#226485,.T.); #319338=ORIENTED_EDGE('',*,*,#226486,.T.); #319339=ORIENTED_EDGE('',*,*,#226473,.T.); #319340=ORIENTED_EDGE('',*,*,#226488,.T.); #319341=ORIENTED_EDGE('',*,*,#226489,.T.); #319342=ORIENTED_EDGE('',*,*,#226490,.T.); #319343=ORIENTED_EDGE('',*,*,#226491,.T.); #319344=ORIENTED_EDGE('',*,*,#226492,.T.); #319345=ORIENTED_EDGE('',*,*,#226493,.T.); #319346=ORIENTED_EDGE('',*,*,#226494,.T.); #319347=ORIENTED_EDGE('',*,*,#226495,.T.); #319348=ORIENTED_EDGE('',*,*,#226496,.T.); #319349=ORIENTED_EDGE('',*,*,#226497,.T.); #319350=ORIENTED_EDGE('',*,*,#226498,.T.); #319351=ORIENTED_EDGE('',*,*,#226494,.F.); #319352=ORIENTED_EDGE('',*,*,#226499,.F.); #319353=ORIENTED_EDGE('',*,*,#226500,.F.); #319354=ORIENTED_EDGE('',*,*,#226501,.T.); #319355=ORIENTED_EDGE('',*,*,#226500,.T.); #319356=ORIENTED_EDGE('',*,*,#226502,.F.); #319357=ORIENTED_EDGE('',*,*,#226503,.F.); #319358=ORIENTED_EDGE('',*,*,#226504,.T.); #319359=ORIENTED_EDGE('',*,*,#226505,.T.); #319360=ORIENTED_EDGE('',*,*,#226506,.F.); #319361=ORIENTED_EDGE('',*,*,#226507,.F.); #319362=ORIENTED_EDGE('',*,*,#226508,.T.); #319363=ORIENTED_EDGE('',*,*,#226507,.T.); #319364=ORIENTED_EDGE('',*,*,#226509,.F.); #319365=ORIENTED_EDGE('',*,*,#226492,.F.); #319366=ORIENTED_EDGE('',*,*,#226493,.F.); #319367=ORIENTED_EDGE('',*,*,#226509,.T.); #319368=ORIENTED_EDGE('',*,*,#226506,.T.); #319369=ORIENTED_EDGE('',*,*,#226510,.T.); #319370=ORIENTED_EDGE('',*,*,#226502,.T.); #319371=ORIENTED_EDGE('',*,*,#226499,.T.); #319372=ORIENTED_EDGE('',*,*,#226511,.F.); #319373=ORIENTED_EDGE('',*,*,#226512,.T.); #319374=ORIENTED_EDGE('',*,*,#226513,.T.); #319375=ORIENTED_EDGE('',*,*,#226514,.F.); #319376=ORIENTED_EDGE('',*,*,#226515,.F.); #319377=ORIENTED_EDGE('',*,*,#226516,.T.); #319378=ORIENTED_EDGE('',*,*,#226517,.T.); #319379=ORIENTED_EDGE('',*,*,#226512,.F.); #319380=ORIENTED_EDGE('',*,*,#226518,.F.); #319381=ORIENTED_EDGE('',*,*,#226519,.T.); #319382=ORIENTED_EDGE('',*,*,#226520,.T.); #319383=ORIENTED_EDGE('',*,*,#226521,.F.); #319384=ORIENTED_EDGE('',*,*,#226522,.F.); #319385=ORIENTED_EDGE('',*,*,#226523,.T.); #319386=ORIENTED_EDGE('',*,*,#226524,.T.); #319387=ORIENTED_EDGE('',*,*,#226519,.F.); #319388=ORIENTED_EDGE('',*,*,#226525,.F.); #319389=ORIENTED_EDGE('',*,*,#226526,.T.); #319390=ORIENTED_EDGE('',*,*,#226527,.T.); #319391=ORIENTED_EDGE('',*,*,#226528,.F.); #319392=ORIENTED_EDGE('',*,*,#226529,.F.); #319393=ORIENTED_EDGE('',*,*,#226530,.T.); #319394=ORIENTED_EDGE('',*,*,#226531,.T.); #319395=ORIENTED_EDGE('',*,*,#226526,.F.); #319396=ORIENTED_EDGE('',*,*,#226488,.F.); #319397=ORIENTED_EDGE('',*,*,#226472,.F.); #319398=ORIENTED_EDGE('',*,*,#226532,.T.); #319399=ORIENTED_EDGE('',*,*,#226533,.F.); #319400=ORIENTED_EDGE('',*,*,#226497,.F.); #319401=ORIENTED_EDGE('',*,*,#226534,.T.); #319402=ORIENTED_EDGE('',*,*,#226535,.T.); #319403=ORIENTED_EDGE('',*,*,#226483,.F.); #319404=ORIENTED_EDGE('',*,*,#226536,.F.); #319405=ORIENTED_EDGE('',*,*,#226537,.T.); #319406=ORIENTED_EDGE('',*,*,#226538,.T.); #319407=ORIENTED_EDGE('',*,*,#226539,.F.); #319408=ORIENTED_EDGE('',*,*,#226540,.F.); #319409=ORIENTED_EDGE('',*,*,#226541,.T.); #319410=ORIENTED_EDGE('',*,*,#226542,.T.); #319411=ORIENTED_EDGE('',*,*,#226537,.F.); #319412=ORIENTED_EDGE('',*,*,#226543,.F.); #319413=ORIENTED_EDGE('',*,*,#226544,.T.); #319414=ORIENTED_EDGE('',*,*,#226545,.T.); #319415=ORIENTED_EDGE('',*,*,#226546,.F.); #319416=ORIENTED_EDGE('',*,*,#226547,.F.); #319417=ORIENTED_EDGE('',*,*,#226548,.T.); #319418=ORIENTED_EDGE('',*,*,#226549,.T.); #319419=ORIENTED_EDGE('',*,*,#226544,.F.); #319420=ORIENTED_EDGE('',*,*,#226550,.F.); #319421=ORIENTED_EDGE('',*,*,#226551,.T.); #319422=ORIENTED_EDGE('',*,*,#226552,.T.); #319423=ORIENTED_EDGE('',*,*,#226553,.F.); #319424=ORIENTED_EDGE('',*,*,#226554,.F.); #319425=ORIENTED_EDGE('',*,*,#226555,.T.); #319426=ORIENTED_EDGE('',*,*,#226556,.T.); #319427=ORIENTED_EDGE('',*,*,#226551,.F.); #319428=ORIENTED_EDGE('',*,*,#226557,.F.); #319429=ORIENTED_EDGE('',*,*,#226558,.T.); #319430=ORIENTED_EDGE('',*,*,#226559,.T.); #319431=ORIENTED_EDGE('',*,*,#226560,.F.); #319432=ORIENTED_EDGE('',*,*,#226561,.F.); #319433=ORIENTED_EDGE('',*,*,#226562,.T.); #319434=ORIENTED_EDGE('',*,*,#226563,.T.); #319435=ORIENTED_EDGE('',*,*,#226558,.F.); #319436=ORIENTED_EDGE('',*,*,#226559,.F.); #319437=ORIENTED_EDGE('',*,*,#226564,.F.); #319438=ORIENTED_EDGE('',*,*,#226479,.F.); #319439=ORIENTED_EDGE('',*,*,#226535,.F.); #319440=ORIENTED_EDGE('',*,*,#226565,.F.); #319441=ORIENTED_EDGE('',*,*,#226564,.T.); #319442=ORIENTED_EDGE('',*,*,#226566,.T.); #319443=ORIENTED_EDGE('',*,*,#226567,.T.); #319444=ORIENTED_EDGE('',*,*,#226568,.T.); #319445=ORIENTED_EDGE('',*,*,#226569,.T.); #319446=ORIENTED_EDGE('',*,*,#226570,.T.); #319447=ORIENTED_EDGE('',*,*,#226571,.T.); #319448=ORIENTED_EDGE('',*,*,#226572,.T.); #319449=ORIENTED_EDGE('',*,*,#226478,.T.); #319450=ORIENTED_EDGE('',*,*,#226487,.F.); #319451=ORIENTED_EDGE('',*,*,#226480,.F.); #319452=ORIENTED_EDGE('',*,*,#226552,.F.); #319453=ORIENTED_EDGE('',*,*,#226566,.F.); #319454=ORIENTED_EDGE('',*,*,#226563,.F.); #319455=ORIENTED_EDGE('',*,*,#226573,.F.); #319456=ORIENTED_EDGE('',*,*,#226545,.F.); #319457=ORIENTED_EDGE('',*,*,#226567,.F.); #319458=ORIENTED_EDGE('',*,*,#226556,.F.); #319459=ORIENTED_EDGE('',*,*,#226574,.F.); #319460=ORIENTED_EDGE('',*,*,#226538,.F.); #319461=ORIENTED_EDGE('',*,*,#226568,.F.); #319462=ORIENTED_EDGE('',*,*,#226549,.F.); #319463=ORIENTED_EDGE('',*,*,#226575,.F.); #319464=ORIENTED_EDGE('',*,*,#226513,.F.); #319465=ORIENTED_EDGE('',*,*,#226569,.F.); #319466=ORIENTED_EDGE('',*,*,#226542,.F.); #319467=ORIENTED_EDGE('',*,*,#226576,.F.); #319468=ORIENTED_EDGE('',*,*,#226520,.F.); #319469=ORIENTED_EDGE('',*,*,#226570,.F.); #319470=ORIENTED_EDGE('',*,*,#226517,.F.); #319471=ORIENTED_EDGE('',*,*,#226577,.F.); #319472=ORIENTED_EDGE('',*,*,#226527,.F.); #319473=ORIENTED_EDGE('',*,*,#226571,.F.); #319474=ORIENTED_EDGE('',*,*,#226524,.F.); #319475=ORIENTED_EDGE('',*,*,#226578,.F.); #319476=ORIENTED_EDGE('',*,*,#226532,.F.); #319477=ORIENTED_EDGE('',*,*,#226476,.F.); #319478=ORIENTED_EDGE('',*,*,#226572,.F.); #319479=ORIENTED_EDGE('',*,*,#226531,.F.); #319480=ORIENTED_EDGE('',*,*,#226579,.F.); #319481=ORIENTED_EDGE('',*,*,#226554,.T.); #319482=ORIENTED_EDGE('',*,*,#226550,.T.); #319483=ORIENTED_EDGE('',*,*,#226580,.T.); #319484=ORIENTED_EDGE('',*,*,#226547,.T.); #319485=ORIENTED_EDGE('',*,*,#226543,.T.); #319486=ORIENTED_EDGE('',*,*,#226581,.T.); #319487=ORIENTED_EDGE('',*,*,#226557,.T.); #319488=ORIENTED_EDGE('',*,*,#226582,.T.); #319489=ORIENTED_EDGE('',*,*,#226561,.T.); #319490=ORIENTED_EDGE('',*,*,#226540,.T.); #319491=ORIENTED_EDGE('',*,*,#226536,.T.); #319492=ORIENTED_EDGE('',*,*,#226583,.T.); #319493=ORIENTED_EDGE('',*,*,#226515,.T.); #319494=ORIENTED_EDGE('',*,*,#226511,.T.); #319495=ORIENTED_EDGE('',*,*,#226584,.T.); #319496=ORIENTED_EDGE('',*,*,#226525,.T.); #319497=ORIENTED_EDGE('',*,*,#226585,.T.); #319498=ORIENTED_EDGE('',*,*,#226529,.T.); #319499=ORIENTED_EDGE('',*,*,#226586,.F.); #319500=ORIENTED_EDGE('',*,*,#226587,.T.); #319501=ORIENTED_EDGE('',*,*,#226588,.T.); #319502=ORIENTED_EDGE('',*,*,#226589,.F.); #319503=ORIENTED_EDGE('',*,*,#226590,.F.); #319504=ORIENTED_EDGE('',*,*,#226591,.T.); #319505=ORIENTED_EDGE('',*,*,#226592,.T.); #319506=ORIENTED_EDGE('',*,*,#226587,.F.); #319507=ORIENTED_EDGE('',*,*,#226593,.F.); #319508=ORIENTED_EDGE('',*,*,#226594,.T.); #319509=ORIENTED_EDGE('',*,*,#226595,.T.); #319510=ORIENTED_EDGE('',*,*,#226596,.F.); #319511=ORIENTED_EDGE('',*,*,#226597,.F.); #319512=ORIENTED_EDGE('',*,*,#226598,.T.); #319513=ORIENTED_EDGE('',*,*,#226599,.T.); #319514=ORIENTED_EDGE('',*,*,#226594,.F.); #319515=ORIENTED_EDGE('',*,*,#226600,.F.); #319516=ORIENTED_EDGE('',*,*,#226601,.T.); #319517=ORIENTED_EDGE('',*,*,#226602,.T.); #319518=ORIENTED_EDGE('',*,*,#226603,.F.); #319519=ORIENTED_EDGE('',*,*,#226604,.F.); #319520=ORIENTED_EDGE('',*,*,#226605,.T.); #319521=ORIENTED_EDGE('',*,*,#226606,.T.); #319522=ORIENTED_EDGE('',*,*,#226601,.F.); #319523=ORIENTED_EDGE('',*,*,#226607,.F.); #319524=ORIENTED_EDGE('',*,*,#226608,.T.); #319525=ORIENTED_EDGE('',*,*,#226609,.T.); #319526=ORIENTED_EDGE('',*,*,#226610,.F.); #319527=ORIENTED_EDGE('',*,*,#226611,.F.); #319528=ORIENTED_EDGE('',*,*,#226612,.T.); #319529=ORIENTED_EDGE('',*,*,#226613,.T.); #319530=ORIENTED_EDGE('',*,*,#226608,.F.); #319531=ORIENTED_EDGE('',*,*,#226614,.F.); #319532=ORIENTED_EDGE('',*,*,#226501,.F.); #319533=ORIENTED_EDGE('',*,*,#226615,.F.); #319534=ORIENTED_EDGE('',*,*,#226613,.F.); #319535=ORIENTED_EDGE('',*,*,#226616,.F.); #319536=ORIENTED_EDGE('',*,*,#226609,.F.); #319537=ORIENTED_EDGE('',*,*,#226617,.F.); #319538=ORIENTED_EDGE('',*,*,#226606,.F.); #319539=ORIENTED_EDGE('',*,*,#226618,.F.); #319540=ORIENTED_EDGE('',*,*,#226602,.F.); #319541=ORIENTED_EDGE('',*,*,#226619,.F.); #319542=ORIENTED_EDGE('',*,*,#226599,.F.); #319543=ORIENTED_EDGE('',*,*,#226620,.F.); #319544=ORIENTED_EDGE('',*,*,#226621,.F.); #319545=ORIENTED_EDGE('',*,*,#226622,.F.); #319546=ORIENTED_EDGE('',*,*,#226588,.F.); #319547=ORIENTED_EDGE('',*,*,#226623,.F.); #319548=ORIENTED_EDGE('',*,*,#226624,.F.); #319549=ORIENTED_EDGE('',*,*,#226625,.F.); #319550=ORIENTED_EDGE('',*,*,#226626,.F.); #319551=ORIENTED_EDGE('',*,*,#226627,.F.); #319552=ORIENTED_EDGE('',*,*,#226628,.F.); #319553=ORIENTED_EDGE('',*,*,#226629,.F.); #319554=ORIENTED_EDGE('',*,*,#226630,.F.); #319555=ORIENTED_EDGE('',*,*,#226631,.F.); #319556=ORIENTED_EDGE('',*,*,#226595,.F.); #319557=ORIENTED_EDGE('',*,*,#226632,.F.); #319558=ORIENTED_EDGE('',*,*,#226592,.F.); #319559=ORIENTED_EDGE('',*,*,#226633,.F.); #319560=ORIENTED_EDGE('',*,*,#226634,.T.); #319561=ORIENTED_EDGE('',*,*,#226629,.T.); #319562=ORIENTED_EDGE('',*,*,#226625,.T.); #319563=ORIENTED_EDGE('',*,*,#226623,.T.); #319564=ORIENTED_EDGE('',*,*,#226632,.T.); #319565=ORIENTED_EDGE('',*,*,#226619,.T.); #319566=ORIENTED_EDGE('',*,*,#226617,.T.); #319567=ORIENTED_EDGE('',*,*,#226615,.T.); #319568=ORIENTED_EDGE('',*,*,#226503,.T.); #319569=ORIENTED_EDGE('',*,*,#226510,.F.); #319570=ORIENTED_EDGE('',*,*,#226505,.F.); #319571=ORIENTED_EDGE('',*,*,#226635,.F.); #319572=ORIENTED_EDGE('',*,*,#226634,.F.); #319573=ORIENTED_EDGE('',*,*,#226504,.F.); #319574=ORIENTED_EDGE('',*,*,#226636,.F.); #319575=ORIENTED_EDGE('',*,*,#226637,.F.); #319576=ORIENTED_EDGE('',*,*,#226495,.F.); #319577=ORIENTED_EDGE('',*,*,#226498,.F.); #319578=ORIENTED_EDGE('',*,*,#226614,.T.); #319579=ORIENTED_EDGE('',*,*,#226638,.F.); #319580=ORIENTED_EDGE('',*,*,#226491,.F.); #319581=ORIENTED_EDGE('',*,*,#226639,.T.); #319582=ORIENTED_EDGE('',*,*,#226636,.T.); #319583=ORIENTED_EDGE('',*,*,#226508,.F.); #319584=ORIENTED_EDGE('',*,*,#226640,.F.); #319585=ORIENTED_EDGE('',*,*,#226641,.T.); #319586=ORIENTED_EDGE('',*,*,#226630,.T.); #319587=ORIENTED_EDGE('',*,*,#226642,.F.); #319588=ORIENTED_EDGE('',*,*,#226643,.F.); #319589=ORIENTED_EDGE('',*,*,#226642,.T.); #319590=ORIENTED_EDGE('',*,*,#226635,.T.); #319591=ORIENTED_EDGE('',*,*,#226644,.F.); #319592=ORIENTED_EDGE('',*,*,#226645,.F.); #319593=ORIENTED_EDGE('',*,*,#226646,.T.); #319594=ORIENTED_EDGE('',*,*,#226626,.T.); #319595=ORIENTED_EDGE('',*,*,#226647,.F.); #319596=ORIENTED_EDGE('',*,*,#226648,.F.); #319597=ORIENTED_EDGE('',*,*,#226647,.T.); #319598=ORIENTED_EDGE('',*,*,#226628,.T.); #319599=ORIENTED_EDGE('',*,*,#226649,.F.); #319600=ORIENTED_EDGE('',*,*,#226650,.F.); #319601=ORIENTED_EDGE('',*,*,#226651,.T.); #319602=ORIENTED_EDGE('',*,*,#226621,.T.); #319603=ORIENTED_EDGE('',*,*,#226652,.F.); #319604=ORIENTED_EDGE('',*,*,#226653,.F.); #319605=ORIENTED_EDGE('',*,*,#226652,.T.); #319606=ORIENTED_EDGE('',*,*,#226624,.T.); #319607=ORIENTED_EDGE('',*,*,#226654,.F.); #319608=ORIENTED_EDGE('',*,*,#226650,.T.); #319609=ORIENTED_EDGE('',*,*,#226653,.T.); #319610=ORIENTED_EDGE('',*,*,#226655,.T.); #319611=ORIENTED_EDGE('',*,*,#226645,.T.); #319612=ORIENTED_EDGE('',*,*,#226648,.T.); #319613=ORIENTED_EDGE('',*,*,#226656,.T.); #319614=ORIENTED_EDGE('',*,*,#226640,.T.); #319615=ORIENTED_EDGE('',*,*,#226643,.T.); #319616=ORIENTED_EDGE('',*,*,#226657,.T.); #319617=ORIENTED_EDGE('',*,*,#226518,.T.); #319618=ORIENTED_EDGE('',*,*,#226658,.T.); #319619=ORIENTED_EDGE('',*,*,#226522,.T.); #319620=ORIENTED_EDGE('',*,*,#226607,.T.); #319621=ORIENTED_EDGE('',*,*,#226659,.T.); #319622=ORIENTED_EDGE('',*,*,#226611,.T.); #319623=ORIENTED_EDGE('',*,*,#226600,.T.); #319624=ORIENTED_EDGE('',*,*,#226660,.T.); #319625=ORIENTED_EDGE('',*,*,#226604,.T.); #319626=ORIENTED_EDGE('',*,*,#226593,.T.); #319627=ORIENTED_EDGE('',*,*,#226661,.T.); #319628=ORIENTED_EDGE('',*,*,#226597,.T.); #319629=ORIENTED_EDGE('',*,*,#226590,.T.); #319630=ORIENTED_EDGE('',*,*,#226586,.T.); #319631=ORIENTED_EDGE('',*,*,#226662,.T.); #319632=ORIENTED_EDGE('',*,*,#226663,.F.); #319633=ORIENTED_EDGE('',*,*,#226664,.T.); #319634=ORIENTED_EDGE('',*,*,#226489,.F.); #319635=ORIENTED_EDGE('',*,*,#226533,.T.); #319636=ORIENTED_EDGE('',*,*,#226579,.T.); #319637=ORIENTED_EDGE('',*,*,#226530,.F.); #319638=ORIENTED_EDGE('',*,*,#226585,.F.); #319639=ORIENTED_EDGE('',*,*,#226528,.T.); #319640=ORIENTED_EDGE('',*,*,#226578,.T.); #319641=ORIENTED_EDGE('',*,*,#226523,.F.); #319642=ORIENTED_EDGE('',*,*,#226658,.F.); #319643=ORIENTED_EDGE('',*,*,#226521,.T.); #319644=ORIENTED_EDGE('',*,*,#226577,.T.); #319645=ORIENTED_EDGE('',*,*,#226516,.F.); #319646=ORIENTED_EDGE('',*,*,#226584,.F.); #319647=ORIENTED_EDGE('',*,*,#226514,.T.); #319648=ORIENTED_EDGE('',*,*,#226576,.T.); #319649=ORIENTED_EDGE('',*,*,#226541,.F.); #319650=ORIENTED_EDGE('',*,*,#226583,.F.); #319651=ORIENTED_EDGE('',*,*,#226539,.T.); #319652=ORIENTED_EDGE('',*,*,#226575,.T.); #319653=ORIENTED_EDGE('',*,*,#226548,.F.); #319654=ORIENTED_EDGE('',*,*,#226581,.F.); #319655=ORIENTED_EDGE('',*,*,#226546,.T.); #319656=ORIENTED_EDGE('',*,*,#226574,.T.); #319657=ORIENTED_EDGE('',*,*,#226555,.F.); #319658=ORIENTED_EDGE('',*,*,#226580,.F.); #319659=ORIENTED_EDGE('',*,*,#226553,.T.); #319660=ORIENTED_EDGE('',*,*,#226573,.T.); #319661=ORIENTED_EDGE('',*,*,#226562,.F.); #319662=ORIENTED_EDGE('',*,*,#226582,.F.); #319663=ORIENTED_EDGE('',*,*,#226560,.T.); #319664=ORIENTED_EDGE('',*,*,#226565,.T.); #319665=ORIENTED_EDGE('',*,*,#226534,.F.); #319666=ORIENTED_EDGE('',*,*,#226496,.F.); #319667=ORIENTED_EDGE('',*,*,#226638,.T.); #319668=ORIENTED_EDGE('',*,*,#226616,.T.); #319669=ORIENTED_EDGE('',*,*,#226612,.F.); #319670=ORIENTED_EDGE('',*,*,#226659,.F.); #319671=ORIENTED_EDGE('',*,*,#226610,.T.); #319672=ORIENTED_EDGE('',*,*,#226618,.T.); #319673=ORIENTED_EDGE('',*,*,#226605,.F.); #319674=ORIENTED_EDGE('',*,*,#226660,.F.); #319675=ORIENTED_EDGE('',*,*,#226603,.T.); #319676=ORIENTED_EDGE('',*,*,#226620,.T.); #319677=ORIENTED_EDGE('',*,*,#226598,.F.); #319678=ORIENTED_EDGE('',*,*,#226661,.F.); #319679=ORIENTED_EDGE('',*,*,#226596,.T.); #319680=ORIENTED_EDGE('',*,*,#226633,.T.); #319681=ORIENTED_EDGE('',*,*,#226591,.F.); #319682=ORIENTED_EDGE('',*,*,#226662,.F.); #319683=ORIENTED_EDGE('',*,*,#226589,.T.); #319684=ORIENTED_EDGE('',*,*,#226622,.T.); #319685=ORIENTED_EDGE('',*,*,#226651,.F.); #319686=ORIENTED_EDGE('',*,*,#226655,.F.); #319687=ORIENTED_EDGE('',*,*,#226654,.T.); #319688=ORIENTED_EDGE('',*,*,#226627,.T.); #319689=ORIENTED_EDGE('',*,*,#226646,.F.); #319690=ORIENTED_EDGE('',*,*,#226656,.F.); #319691=ORIENTED_EDGE('',*,*,#226649,.T.); #319692=ORIENTED_EDGE('',*,*,#226631,.T.); #319693=ORIENTED_EDGE('',*,*,#226641,.F.); #319694=ORIENTED_EDGE('',*,*,#226657,.F.); #319695=ORIENTED_EDGE('',*,*,#226644,.T.); #319696=ORIENTED_EDGE('',*,*,#226637,.T.); #319697=ORIENTED_EDGE('',*,*,#226639,.F.); #319698=ORIENTED_EDGE('',*,*,#226490,.F.); #319699=ORIENTED_EDGE('',*,*,#226664,.F.); #319700=ORIENTED_EDGE('',*,*,#226665,.F.); #319701=ORIENTED_EDGE('',*,*,#226666,.F.); #319702=ORIENTED_EDGE('',*,*,#226667,.T.); #319703=ORIENTED_EDGE('',*,*,#226668,.T.); #319704=ORIENTED_EDGE('',*,*,#226669,.F.); #319705=ORIENTED_EDGE('',*,*,#226670,.T.); #319706=ORIENTED_EDGE('',*,*,#226671,.F.); #319707=ORIENTED_EDGE('',*,*,#226672,.T.); #319708=ORIENTED_EDGE('',*,*,#226671,.T.); #319709=ORIENTED_EDGE('',*,*,#226673,.T.); #319710=ORIENTED_EDGE('',*,*,#226674,.F.); #319711=ORIENTED_EDGE('',*,*,#226675,.F.); #319712=ORIENTED_EDGE('',*,*,#226676,.T.); #319713=ORIENTED_EDGE('',*,*,#226667,.F.); #319714=ORIENTED_EDGE('',*,*,#226677,.F.); #319715=ORIENTED_EDGE('',*,*,#226678,.T.); #319716=ORIENTED_EDGE('',*,*,#226679,.T.); #319717=ORIENTED_EDGE('',*,*,#226680,.F.); #319718=ORIENTED_EDGE('',*,*,#226681,.T.); #319719=ORIENTED_EDGE('',*,*,#226682,.T.); #319720=ORIENTED_EDGE('',*,*,#226683,.F.); #319721=ORIENTED_EDGE('',*,*,#226684,.T.); #319722=ORIENTED_EDGE('',*,*,#226685,.F.); #319723=ORIENTED_EDGE('',*,*,#226686,.T.); #319724=ORIENTED_EDGE('',*,*,#226687,.T.); #319725=ORIENTED_EDGE('',*,*,#226688,.F.); #319726=ORIENTED_EDGE('',*,*,#226689,.T.); #319727=ORIENTED_EDGE('',*,*,#226674,.T.); #319728=ORIENTED_EDGE('',*,*,#226690,.F.); #319729=ORIENTED_EDGE('',*,*,#226691,.F.); #319730=ORIENTED_EDGE('',*,*,#226679,.F.); #319731=ORIENTED_EDGE('',*,*,#226673,.F.); #319732=ORIENTED_EDGE('',*,*,#226670,.F.); #319733=ORIENTED_EDGE('',*,*,#226692,.F.); #319734=ORIENTED_EDGE('',*,*,#226668,.F.); #319735=ORIENTED_EDGE('',*,*,#226676,.F.); #319736=ORIENTED_EDGE('',*,*,#226693,.F.); #319737=ORIENTED_EDGE('',*,*,#226682,.F.); #319738=ORIENTED_EDGE('',*,*,#226694,.T.); #319739=ORIENTED_EDGE('',*,*,#226695,.F.); #319740=ORIENTED_EDGE('',*,*,#226696,.F.); #319741=ORIENTED_EDGE('',*,*,#226687,.F.); #319742=ORIENTED_EDGE('',*,*,#226697,.F.); #319743=ORIENTED_EDGE('',*,*,#226698,.F.); #319744=ORIENTED_EDGE('',*,*,#226699,.F.); #319745=ORIENTED_EDGE('',*,*,#226700,.F.); #319746=ORIENTED_EDGE('',*,*,#226697,.T.); #319747=ORIENTED_EDGE('',*,*,#226686,.F.); #319748=ORIENTED_EDGE('',*,*,#226701,.T.); #319749=ORIENTED_EDGE('',*,*,#226702,.T.); #319750=ORIENTED_EDGE('',*,*,#226688,.T.); #319751=ORIENTED_EDGE('',*,*,#226696,.T.); #319752=ORIENTED_EDGE('',*,*,#226703,.F.); #319753=ORIENTED_EDGE('',*,*,#226704,.F.); #319754=ORIENTED_EDGE('',*,*,#226694,.F.); #319755=ORIENTED_EDGE('',*,*,#226681,.F.); #319756=ORIENTED_EDGE('',*,*,#226680,.T.); #319757=ORIENTED_EDGE('',*,*,#226691,.T.); #319758=ORIENTED_EDGE('',*,*,#226705,.T.); #319759=ORIENTED_EDGE('',*,*,#226703,.T.); #319760=ORIENTED_EDGE('',*,*,#226683,.T.); #319761=ORIENTED_EDGE('',*,*,#226693,.T.); #319762=ORIENTED_EDGE('',*,*,#226706,.T.); #319763=ORIENTED_EDGE('',*,*,#226707,.T.); #319764=ORIENTED_EDGE('',*,*,#226708,.F.); #319765=ORIENTED_EDGE('',*,*,#226684,.F.); #319766=ORIENTED_EDGE('',*,*,#226707,.F.); #319767=ORIENTED_EDGE('',*,*,#226709,.F.); #319768=ORIENTED_EDGE('',*,*,#226710,.F.); #319769=ORIENTED_EDGE('',*,*,#226701,.F.); #319770=ORIENTED_EDGE('',*,*,#226698,.T.); #319771=ORIENTED_EDGE('',*,*,#226700,.T.); #319772=ORIENTED_EDGE('',*,*,#226711,.F.); #319773=ORIENTED_EDGE('',*,*,#226709,.T.); #319774=ORIENTED_EDGE('',*,*,#226706,.F.); #319775=ORIENTED_EDGE('',*,*,#226695,.T.); #319776=ORIENTED_EDGE('',*,*,#226704,.T.); #319777=ORIENTED_EDGE('',*,*,#226705,.F.); #319778=ORIENTED_EDGE('',*,*,#226690,.T.); #319779=ORIENTED_EDGE('',*,*,#226678,.F.); #319780=ORIENTED_EDGE('',*,*,#226711,.T.); #319781=ORIENTED_EDGE('',*,*,#226699,.T.); #319782=ORIENTED_EDGE('',*,*,#226685,.T.); #319783=ORIENTED_EDGE('',*,*,#226708,.T.); #319784=ORIENTED_EDGE('',*,*,#226689,.F.); #319785=ORIENTED_EDGE('',*,*,#226702,.F.); #319786=ORIENTED_EDGE('',*,*,#226710,.T.); #319787=ORIENTED_EDGE('',*,*,#226677,.T.); #319788=ORIENTED_EDGE('',*,*,#226666,.T.); #319789=ORIENTED_EDGE('',*,*,#226712,.F.); #319790=ORIENTED_EDGE('',*,*,#226672,.F.); #319791=ORIENTED_EDGE('',*,*,#226675,.T.); #319792=ORIENTED_EDGE('',*,*,#226713,.F.); #319793=ORIENTED_EDGE('',*,*,#226714,.F.); #319794=ORIENTED_EDGE('',*,*,#226715,.F.); #319795=ORIENTED_EDGE('',*,*,#226716,.T.); #319796=ORIENTED_EDGE('',*,*,#226717,.T.); #319797=ORIENTED_EDGE('',*,*,#226718,.F.); #319798=ORIENTED_EDGE('',*,*,#226719,.T.); #319799=ORIENTED_EDGE('',*,*,#226720,.F.); #319800=ORIENTED_EDGE('',*,*,#226721,.T.); #319801=ORIENTED_EDGE('',*,*,#226722,.T.); #319802=ORIENTED_EDGE('',*,*,#226723,.F.); #319803=ORIENTED_EDGE('',*,*,#226724,.T.); #319804=ORIENTED_EDGE('',*,*,#226725,.F.); #319805=ORIENTED_EDGE('',*,*,#226726,.T.); #319806=ORIENTED_EDGE('',*,*,#226727,.F.); #319807=ORIENTED_EDGE('',*,*,#226714,.T.); #319808=ORIENTED_EDGE('',*,*,#226728,.F.); #319809=ORIENTED_EDGE('',*,*,#226729,.T.); #319810=ORIENTED_EDGE('',*,*,#226730,.F.); #319811=ORIENTED_EDGE('',*,*,#226731,.T.); #319812=ORIENTED_EDGE('',*,*,#226732,.T.); #319813=ORIENTED_EDGE('',*,*,#226733,.F.); #319814=ORIENTED_EDGE('',*,*,#226734,.T.); #319815=ORIENTED_EDGE('',*,*,#226735,.F.); #319816=ORIENTED_EDGE('',*,*,#226736,.T.); #319817=ORIENTED_EDGE('',*,*,#226737,.T.); #319818=ORIENTED_EDGE('',*,*,#226738,.F.); #319819=ORIENTED_EDGE('',*,*,#226726,.F.); #319820=ORIENTED_EDGE('',*,*,#226718,.T.); #319821=ORIENTED_EDGE('',*,*,#226739,.T.); #319822=ORIENTED_EDGE('',*,*,#226735,.T.); #319823=ORIENTED_EDGE('',*,*,#226740,.T.); #319824=ORIENTED_EDGE('',*,*,#226740,.F.); #319825=ORIENTED_EDGE('',*,*,#226734,.F.); #319826=ORIENTED_EDGE('',*,*,#226741,.F.); #319827=ORIENTED_EDGE('',*,*,#226719,.F.); #319828=ORIENTED_EDGE('',*,*,#226733,.T.); #319829=ORIENTED_EDGE('',*,*,#226742,.T.); #319830=ORIENTED_EDGE('',*,*,#226720,.T.); #319831=ORIENTED_EDGE('',*,*,#226741,.T.); #319832=ORIENTED_EDGE('',*,*,#226730,.T.); #319833=ORIENTED_EDGE('',*,*,#226743,.T.); #319834=ORIENTED_EDGE('',*,*,#226723,.T.); #319835=ORIENTED_EDGE('',*,*,#226744,.T.); #319836=ORIENTED_EDGE('',*,*,#226744,.F.); #319837=ORIENTED_EDGE('',*,*,#226722,.F.); #319838=ORIENTED_EDGE('',*,*,#226745,.F.); #319839=ORIENTED_EDGE('',*,*,#226731,.F.); #319840=ORIENTED_EDGE('',*,*,#226715,.T.); #319841=ORIENTED_EDGE('',*,*,#226727,.T.); #319842=ORIENTED_EDGE('',*,*,#226738,.T.); #319843=ORIENTED_EDGE('',*,*,#226746,.T.); #319844=ORIENTED_EDGE('',*,*,#226739,.F.); #319845=ORIENTED_EDGE('',*,*,#226717,.F.); #319846=ORIENTED_EDGE('',*,*,#226747,.T.); #319847=ORIENTED_EDGE('',*,*,#226736,.F.); #319848=ORIENTED_EDGE('',*,*,#226746,.F.); #319849=ORIENTED_EDGE('',*,*,#226737,.F.); #319850=ORIENTED_EDGE('',*,*,#226747,.F.); #319851=ORIENTED_EDGE('',*,*,#226716,.F.); #319852=ORIENTED_EDGE('',*,*,#226742,.F.); #319853=ORIENTED_EDGE('',*,*,#226732,.F.); #319854=ORIENTED_EDGE('',*,*,#226745,.T.); #319855=ORIENTED_EDGE('',*,*,#226721,.F.); #319856=ORIENTED_EDGE('',*,*,#226748,.F.); #319857=ORIENTED_EDGE('',*,*,#226724,.F.); #319858=ORIENTED_EDGE('',*,*,#226743,.F.); #319859=ORIENTED_EDGE('',*,*,#226729,.F.); #319860=ORIENTED_EDGE('',*,*,#226749,.F.); #319861=ORIENTED_EDGE('',*,*,#226750,.T.); #319862=ORIENTED_EDGE('',*,*,#226751,.T.); #319863=ORIENTED_EDGE('',*,*,#226752,.F.); #319864=ORIENTED_EDGE('',*,*,#226753,.T.); #319865=ORIENTED_EDGE('',*,*,#226750,.F.); #319866=ORIENTED_EDGE('',*,*,#226754,.F.); #319867=ORIENTED_EDGE('',*,*,#226755,.T.); #319868=ORIENTED_EDGE('',*,*,#226756,.T.); #319869=ORIENTED_EDGE('',*,*,#226757,.T.); #319870=ORIENTED_EDGE('',*,*,#226758,.F.); #319871=ORIENTED_EDGE('',*,*,#226759,.F.); #319872=ORIENTED_EDGE('',*,*,#226760,.T.); #319873=ORIENTED_EDGE('',*,*,#226756,.F.); #319874=ORIENTED_EDGE('',*,*,#226761,.T.); #319875=ORIENTED_EDGE('',*,*,#226762,.F.); #319876=ORIENTED_EDGE('',*,*,#226763,.T.); #319877=ORIENTED_EDGE('',*,*,#226764,.F.); #319878=ORIENTED_EDGE('',*,*,#226765,.T.); #319879=ORIENTED_EDGE('',*,*,#226766,.T.); #319880=ORIENTED_EDGE('',*,*,#226767,.F.); #319881=ORIENTED_EDGE('',*,*,#226768,.T.); #319882=ORIENTED_EDGE('',*,*,#226769,.F.); #319883=ORIENTED_EDGE('',*,*,#226770,.T.); #319884=ORIENTED_EDGE('',*,*,#226771,.T.); #319885=ORIENTED_EDGE('',*,*,#226772,.F.); #319886=ORIENTED_EDGE('',*,*,#226773,.T.); #319887=ORIENTED_EDGE('',*,*,#226758,.T.); #319888=ORIENTED_EDGE('',*,*,#226774,.F.); #319889=ORIENTED_EDGE('',*,*,#226775,.F.); #319890=ORIENTED_EDGE('',*,*,#226763,.F.); #319891=ORIENTED_EDGE('',*,*,#226757,.F.); #319892=ORIENTED_EDGE('',*,*,#226760,.F.); #319893=ORIENTED_EDGE('',*,*,#226776,.F.); #319894=ORIENTED_EDGE('',*,*,#226751,.F.); #319895=ORIENTED_EDGE('',*,*,#226753,.F.); #319896=ORIENTED_EDGE('',*,*,#226777,.T.); #319897=ORIENTED_EDGE('',*,*,#226778,.T.); #319898=ORIENTED_EDGE('',*,*,#226769,.T.); #319899=ORIENTED_EDGE('',*,*,#226779,.T.); #319900=ORIENTED_EDGE('',*,*,#226780,.F.); #319901=ORIENTED_EDGE('',*,*,#226781,.F.); #319902=ORIENTED_EDGE('',*,*,#226782,.T.); #319903=ORIENTED_EDGE('',*,*,#226783,.T.); #319904=ORIENTED_EDGE('',*,*,#226777,.F.); #319905=ORIENTED_EDGE('',*,*,#226784,.T.); #319906=ORIENTED_EDGE('',*,*,#226785,.F.); #319907=ORIENTED_EDGE('',*,*,#226786,.T.); #319908=ORIENTED_EDGE('',*,*,#226787,.T.); #319909=ORIENTED_EDGE('',*,*,#226788,.F.); #319910=ORIENTED_EDGE('',*,*,#226774,.T.); #319911=ORIENTED_EDGE('',*,*,#226755,.F.); #319912=ORIENTED_EDGE('',*,*,#226779,.F.); #319913=ORIENTED_EDGE('',*,*,#226768,.F.); #319914=ORIENTED_EDGE('',*,*,#226789,.F.); #319915=ORIENTED_EDGE('',*,*,#226784,.F.); #319916=ORIENTED_EDGE('',*,*,#226767,.T.); #319917=ORIENTED_EDGE('',*,*,#226790,.T.); #319918=ORIENTED_EDGE('',*,*,#226785,.T.); #319919=ORIENTED_EDGE('',*,*,#226789,.T.); #319920=ORIENTED_EDGE('',*,*,#226764,.T.); #319921=ORIENTED_EDGE('',*,*,#226775,.T.); #319922=ORIENTED_EDGE('',*,*,#226788,.T.); #319923=ORIENTED_EDGE('',*,*,#226791,.T.); #319924=ORIENTED_EDGE('',*,*,#226791,.F.); #319925=ORIENTED_EDGE('',*,*,#226787,.F.); #319926=ORIENTED_EDGE('',*,*,#226792,.F.); #319927=ORIENTED_EDGE('',*,*,#226765,.F.); #319928=ORIENTED_EDGE('',*,*,#226781,.T.); #319929=ORIENTED_EDGE('',*,*,#226793,.T.); #319930=ORIENTED_EDGE('',*,*,#226772,.T.); #319931=ORIENTED_EDGE('',*,*,#226794,.T.); #319932=ORIENTED_EDGE('',*,*,#226773,.F.); #319933=ORIENTED_EDGE('',*,*,#226793,.F.); #319934=ORIENTED_EDGE('',*,*,#226780,.T.); #319935=ORIENTED_EDGE('',*,*,#226754,.T.); #319936=ORIENTED_EDGE('',*,*,#226749,.T.); #319937=ORIENTED_EDGE('',*,*,#226795,.F.); #319938=ORIENTED_EDGE('',*,*,#226761,.F.); #319939=ORIENTED_EDGE('',*,*,#226759,.T.); #319940=ORIENTED_EDGE('',*,*,#226778,.F.); #319941=ORIENTED_EDGE('',*,*,#226783,.F.); #319942=ORIENTED_EDGE('',*,*,#226796,.T.); #319943=ORIENTED_EDGE('',*,*,#226770,.F.); #319944=ORIENTED_EDGE('',*,*,#226794,.F.); #319945=ORIENTED_EDGE('',*,*,#226771,.F.); #319946=ORIENTED_EDGE('',*,*,#226796,.F.); #319947=ORIENTED_EDGE('',*,*,#226782,.F.); #319948=ORIENTED_EDGE('',*,*,#226790,.F.); #319949=ORIENTED_EDGE('',*,*,#226766,.F.); #319950=ORIENTED_EDGE('',*,*,#226792,.T.); #319951=ORIENTED_EDGE('',*,*,#226786,.F.); #319952=ORIENTED_EDGE('',*,*,#226797,.F.); #319953=ORIENTED_EDGE('',*,*,#226798,.F.); #319954=ORIENTED_EDGE('',*,*,#226799,.T.); #319955=ORIENTED_EDGE('',*,*,#226800,.T.); #319956=ORIENTED_EDGE('',*,*,#226801,.F.); #319957=ORIENTED_EDGE('',*,*,#226802,.T.); #319958=ORIENTED_EDGE('',*,*,#226803,.F.); #319959=ORIENTED_EDGE('',*,*,#226804,.T.); #319960=ORIENTED_EDGE('',*,*,#226803,.T.); #319961=ORIENTED_EDGE('',*,*,#226805,.T.); #319962=ORIENTED_EDGE('',*,*,#226806,.F.); #319963=ORIENTED_EDGE('',*,*,#226807,.F.); #319964=ORIENTED_EDGE('',*,*,#226808,.T.); #319965=ORIENTED_EDGE('',*,*,#226799,.F.); #319966=ORIENTED_EDGE('',*,*,#226809,.F.); #319967=ORIENTED_EDGE('',*,*,#226810,.T.); #319968=ORIENTED_EDGE('',*,*,#226811,.T.); #319969=ORIENTED_EDGE('',*,*,#226812,.F.); #319970=ORIENTED_EDGE('',*,*,#226813,.T.); #319971=ORIENTED_EDGE('',*,*,#226814,.T.); #319972=ORIENTED_EDGE('',*,*,#226815,.F.); #319973=ORIENTED_EDGE('',*,*,#226816,.T.); #319974=ORIENTED_EDGE('',*,*,#226817,.F.); #319975=ORIENTED_EDGE('',*,*,#226818,.T.); #319976=ORIENTED_EDGE('',*,*,#226819,.T.); #319977=ORIENTED_EDGE('',*,*,#226820,.F.); #319978=ORIENTED_EDGE('',*,*,#226821,.T.); #319979=ORIENTED_EDGE('',*,*,#226806,.T.); #319980=ORIENTED_EDGE('',*,*,#226822,.F.); #319981=ORIENTED_EDGE('',*,*,#226823,.F.); #319982=ORIENTED_EDGE('',*,*,#226811,.F.); #319983=ORIENTED_EDGE('',*,*,#226805,.F.); #319984=ORIENTED_EDGE('',*,*,#226802,.F.); #319985=ORIENTED_EDGE('',*,*,#226824,.F.); #319986=ORIENTED_EDGE('',*,*,#226800,.F.); #319987=ORIENTED_EDGE('',*,*,#226808,.F.); #319988=ORIENTED_EDGE('',*,*,#226825,.F.); #319989=ORIENTED_EDGE('',*,*,#226814,.F.); #319990=ORIENTED_EDGE('',*,*,#226826,.T.); #319991=ORIENTED_EDGE('',*,*,#226827,.F.); #319992=ORIENTED_EDGE('',*,*,#226828,.F.); #319993=ORIENTED_EDGE('',*,*,#226819,.F.); #319994=ORIENTED_EDGE('',*,*,#226829,.F.); #319995=ORIENTED_EDGE('',*,*,#226830,.F.); #319996=ORIENTED_EDGE('',*,*,#226831,.F.); #319997=ORIENTED_EDGE('',*,*,#226832,.F.); #319998=ORIENTED_EDGE('',*,*,#226829,.T.); #319999=ORIENTED_EDGE('',*,*,#226818,.F.); #320000=ORIENTED_EDGE('',*,*,#226833,.T.); #320001=ORIENTED_EDGE('',*,*,#226834,.T.); #320002=ORIENTED_EDGE('',*,*,#226820,.T.); #320003=ORIENTED_EDGE('',*,*,#226828,.T.); #320004=ORIENTED_EDGE('',*,*,#226835,.F.); #320005=ORIENTED_EDGE('',*,*,#226836,.F.); #320006=ORIENTED_EDGE('',*,*,#226826,.F.); #320007=ORIENTED_EDGE('',*,*,#226813,.F.); #320008=ORIENTED_EDGE('',*,*,#226812,.T.); #320009=ORIENTED_EDGE('',*,*,#226823,.T.); #320010=ORIENTED_EDGE('',*,*,#226837,.T.); #320011=ORIENTED_EDGE('',*,*,#226835,.T.); #320012=ORIENTED_EDGE('',*,*,#226815,.T.); #320013=ORIENTED_EDGE('',*,*,#226825,.T.); #320014=ORIENTED_EDGE('',*,*,#226838,.T.); #320015=ORIENTED_EDGE('',*,*,#226839,.T.); #320016=ORIENTED_EDGE('',*,*,#226840,.F.); #320017=ORIENTED_EDGE('',*,*,#226816,.F.); #320018=ORIENTED_EDGE('',*,*,#226839,.F.); #320019=ORIENTED_EDGE('',*,*,#226841,.F.); #320020=ORIENTED_EDGE('',*,*,#226842,.F.); #320021=ORIENTED_EDGE('',*,*,#226833,.F.); #320022=ORIENTED_EDGE('',*,*,#226830,.T.); #320023=ORIENTED_EDGE('',*,*,#226832,.T.); #320024=ORIENTED_EDGE('',*,*,#226843,.F.); #320025=ORIENTED_EDGE('',*,*,#226841,.T.); #320026=ORIENTED_EDGE('',*,*,#226838,.F.); #320027=ORIENTED_EDGE('',*,*,#226827,.T.); #320028=ORIENTED_EDGE('',*,*,#226836,.T.); #320029=ORIENTED_EDGE('',*,*,#226837,.F.); #320030=ORIENTED_EDGE('',*,*,#226822,.T.); #320031=ORIENTED_EDGE('',*,*,#226810,.F.); #320032=ORIENTED_EDGE('',*,*,#226843,.T.); #320033=ORIENTED_EDGE('',*,*,#226831,.T.); #320034=ORIENTED_EDGE('',*,*,#226817,.T.); #320035=ORIENTED_EDGE('',*,*,#226840,.T.); #320036=ORIENTED_EDGE('',*,*,#226821,.F.); #320037=ORIENTED_EDGE('',*,*,#226834,.F.); #320038=ORIENTED_EDGE('',*,*,#226842,.T.); #320039=ORIENTED_EDGE('',*,*,#226809,.T.); #320040=ORIENTED_EDGE('',*,*,#226798,.T.); #320041=ORIENTED_EDGE('',*,*,#226844,.F.); #320042=ORIENTED_EDGE('',*,*,#226804,.F.); #320043=ORIENTED_EDGE('',*,*,#226807,.T.); #320044=ORIENTED_EDGE('',*,*,#226845,.F.); #320045=ORIENTED_EDGE('',*,*,#226846,.F.); #320046=ORIENTED_EDGE('',*,*,#226847,.F.); #320047=ORIENTED_EDGE('',*,*,#226848,.T.); #320048=ORIENTED_EDGE('',*,*,#226849,.T.); #320049=ORIENTED_EDGE('',*,*,#226850,.F.); #320050=ORIENTED_EDGE('',*,*,#226851,.T.); #320051=ORIENTED_EDGE('',*,*,#226852,.F.); #320052=ORIENTED_EDGE('',*,*,#226853,.T.); #320053=ORIENTED_EDGE('',*,*,#226854,.T.); #320054=ORIENTED_EDGE('',*,*,#226855,.F.); #320055=ORIENTED_EDGE('',*,*,#226856,.T.); #320056=ORIENTED_EDGE('',*,*,#226857,.F.); #320057=ORIENTED_EDGE('',*,*,#226858,.T.); #320058=ORIENTED_EDGE('',*,*,#226859,.F.); #320059=ORIENTED_EDGE('',*,*,#226846,.T.); #320060=ORIENTED_EDGE('',*,*,#226860,.F.); #320061=ORIENTED_EDGE('',*,*,#226861,.T.); #320062=ORIENTED_EDGE('',*,*,#226862,.F.); #320063=ORIENTED_EDGE('',*,*,#226863,.T.); #320064=ORIENTED_EDGE('',*,*,#226864,.T.); #320065=ORIENTED_EDGE('',*,*,#226865,.F.); #320066=ORIENTED_EDGE('',*,*,#226866,.T.); #320067=ORIENTED_EDGE('',*,*,#226867,.F.); #320068=ORIENTED_EDGE('',*,*,#226868,.T.); #320069=ORIENTED_EDGE('',*,*,#226869,.T.); #320070=ORIENTED_EDGE('',*,*,#226870,.F.); #320071=ORIENTED_EDGE('',*,*,#226858,.F.); #320072=ORIENTED_EDGE('',*,*,#226871,.F.); #320073=ORIENTED_EDGE('',*,*,#226856,.F.); #320074=ORIENTED_EDGE('',*,*,#226872,.F.); #320075=ORIENTED_EDGE('',*,*,#226861,.F.); #320076=ORIENTED_EDGE('',*,*,#226873,.F.); #320077=ORIENTED_EDGE('',*,*,#226864,.F.); #320078=ORIENTED_EDGE('',*,*,#226874,.T.); #320079=ORIENTED_EDGE('',*,*,#226853,.F.); #320080=ORIENTED_EDGE('',*,*,#226875,.F.); #320081=ORIENTED_EDGE('',*,*,#226869,.F.); #320082=ORIENTED_EDGE('',*,*,#226876,.F.); #320083=ORIENTED_EDGE('',*,*,#226848,.F.); #320084=ORIENTED_EDGE('',*,*,#226877,.F.); #320085=ORIENTED_EDGE('',*,*,#226849,.F.); #320086=ORIENTED_EDGE('',*,*,#226876,.T.); #320087=ORIENTED_EDGE('',*,*,#226868,.F.); #320088=ORIENTED_EDGE('',*,*,#226847,.T.); #320089=ORIENTED_EDGE('',*,*,#226859,.T.); #320090=ORIENTED_EDGE('',*,*,#226870,.T.); #320091=ORIENTED_EDGE('',*,*,#226875,.T.); #320092=ORIENTED_EDGE('',*,*,#226878,.F.); #320093=ORIENTED_EDGE('',*,*,#226854,.F.); #320094=ORIENTED_EDGE('',*,*,#226874,.F.); #320095=ORIENTED_EDGE('',*,*,#226863,.F.); #320096=ORIENTED_EDGE('',*,*,#226862,.T.); #320097=ORIENTED_EDGE('',*,*,#226872,.T.); #320098=ORIENTED_EDGE('',*,*,#226855,.T.); #320099=ORIENTED_EDGE('',*,*,#226878,.T.); #320100=ORIENTED_EDGE('',*,*,#226865,.T.); #320101=ORIENTED_EDGE('',*,*,#226873,.T.); #320102=ORIENTED_EDGE('',*,*,#226852,.T.); #320103=ORIENTED_EDGE('',*,*,#226879,.T.); #320104=ORIENTED_EDGE('',*,*,#226880,.F.); #320105=ORIENTED_EDGE('',*,*,#226866,.F.); #320106=ORIENTED_EDGE('',*,*,#226879,.F.); #320107=ORIENTED_EDGE('',*,*,#226851,.F.); #320108=ORIENTED_EDGE('',*,*,#226850,.T.); #320109=ORIENTED_EDGE('',*,*,#226877,.T.); #320110=ORIENTED_EDGE('',*,*,#226867,.T.); #320111=ORIENTED_EDGE('',*,*,#226880,.T.); #320112=ORIENTED_EDGE('',*,*,#226881,.T.); #320113=ORIENTED_EDGE('',*,*,#226882,.T.); #320114=ORIENTED_EDGE('',*,*,#226883,.F.); #320115=ORIENTED_EDGE('',*,*,#226884,.F.); #320116=ORIENTED_EDGE('',*,*,#226885,.F.); #320117=ORIENTED_EDGE('',*,*,#226886,.T.); #320118=ORIENTED_EDGE('',*,*,#226887,.T.); #320119=ORIENTED_EDGE('',*,*,#226888,.F.); #320120=ORIENTED_EDGE('',*,*,#226889,.F.); #320121=ORIENTED_EDGE('',*,*,#226890,.T.); #320122=ORIENTED_EDGE('',*,*,#226891,.T.); #320123=ORIENTED_EDGE('',*,*,#226892,.F.); #320124=ORIENTED_EDGE('',*,*,#226893,.F.); #320125=ORIENTED_EDGE('',*,*,#226894,.T.); #320126=ORIENTED_EDGE('',*,*,#226895,.T.); #320127=ORIENTED_EDGE('',*,*,#226896,.F.); #320128=ORIENTED_EDGE('',*,*,#226897,.T.); #320129=ORIENTED_EDGE('',*,*,#226898,.T.); #320130=ORIENTED_EDGE('',*,*,#226899,.F.); #320131=ORIENTED_EDGE('',*,*,#226900,.F.); #320132=ORIENTED_EDGE('',*,*,#226901,.T.); #320133=ORIENTED_EDGE('',*,*,#226902,.F.); #320134=ORIENTED_EDGE('',*,*,#226903,.T.); #320135=ORIENTED_EDGE('',*,*,#226904,.F.); #320136=ORIENTED_EDGE('',*,*,#226905,.T.); #320137=ORIENTED_EDGE('',*,*,#226906,.F.); #320138=ORIENTED_EDGE('',*,*,#226907,.F.); #320139=ORIENTED_EDGE('',*,*,#226908,.T.); #320140=ORIENTED_EDGE('',*,*,#226909,.F.); #320141=ORIENTED_EDGE('',*,*,#226910,.T.); #320142=ORIENTED_EDGE('',*,*,#226911,.F.); #320143=ORIENTED_EDGE('',*,*,#226912,.F.); #320144=ORIENTED_EDGE('',*,*,#226913,.F.); #320145=ORIENTED_EDGE('',*,*,#226914,.T.); #320146=ORIENTED_EDGE('',*,*,#226915,.F.); #320147=ORIENTED_EDGE('',*,*,#226916,.T.); #320148=ORIENTED_EDGE('',*,*,#226917,.F.); #320149=ORIENTED_EDGE('',*,*,#226918,.F.); #320150=ORIENTED_EDGE('',*,*,#226919,.T.); #320151=ORIENTED_EDGE('',*,*,#226920,.T.); #320152=ORIENTED_EDGE('',*,*,#226921,.F.); #320153=ORIENTED_EDGE('',*,*,#226922,.F.); #320154=ORIENTED_EDGE('',*,*,#226923,.T.); #320155=ORIENTED_EDGE('',*,*,#226924,.T.); #320156=ORIENTED_EDGE('',*,*,#226713,.T.); #320157=ORIENTED_EDGE('',*,*,#226748,.T.); #320158=ORIENTED_EDGE('',*,*,#226728,.T.); #320159=ORIENTED_EDGE('',*,*,#226725,.T.); #320160=ORIENTED_EDGE('',*,*,#226845,.T.); #320161=ORIENTED_EDGE('',*,*,#226871,.T.); #320162=ORIENTED_EDGE('',*,*,#226860,.T.); #320163=ORIENTED_EDGE('',*,*,#226857,.T.); #320164=ORIENTED_EDGE('',*,*,#226925,.F.); #320165=ORIENTED_EDGE('',*,*,#226926,.F.); #320166=ORIENTED_EDGE('',*,*,#226425,.F.); #320167=ORIENTED_EDGE('',*,*,#226422,.F.); #320168=ORIENTED_EDGE('',*,*,#226419,.F.); #320169=ORIENTED_EDGE('',*,*,#226416,.F.); #320170=ORIENTED_EDGE('',*,*,#226413,.F.); #320171=ORIENTED_EDGE('',*,*,#226410,.F.); #320172=ORIENTED_EDGE('',*,*,#226407,.F.); #320173=ORIENTED_EDGE('',*,*,#226927,.F.); #320174=ORIENTED_EDGE('',*,*,#226928,.T.); #320175=ORIENTED_EDGE('',*,*,#226447,.T.); #320176=ORIENTED_EDGE('',*,*,#226444,.T.); #320177=ORIENTED_EDGE('',*,*,#226441,.T.); #320178=ORIENTED_EDGE('',*,*,#226438,.T.); #320179=ORIENTED_EDGE('',*,*,#226435,.T.); #320180=ORIENTED_EDGE('',*,*,#226432,.T.); #320181=ORIENTED_EDGE('',*,*,#226445,.T.); #320182=ORIENTED_EDGE('',*,*,#226928,.F.); #320183=ORIENTED_EDGE('',*,*,#226929,.F.); #320184=ORIENTED_EDGE('',*,*,#226930,.T.); #320185=ORIENTED_EDGE('',*,*,#226931,.F.); #320186=ORIENTED_EDGE('',*,*,#226427,.F.); #320187=ORIENTED_EDGE('',*,*,#226430,.F.); #320188=ORIENTED_EDGE('',*,*,#226434,.F.); #320189=ORIENTED_EDGE('',*,*,#226437,.F.); #320190=ORIENTED_EDGE('',*,*,#226440,.F.); #320191=ORIENTED_EDGE('',*,*,#226443,.F.); #320192=ORIENTED_EDGE('',*,*,#226446,.F.); #320193=ORIENTED_EDGE('',*,*,#226930,.F.); #320194=ORIENTED_EDGE('',*,*,#226932,.F.); #320195=ORIENTED_EDGE('',*,*,#226405,.F.); #320196=ORIENTED_EDGE('',*,*,#226409,.F.); #320197=ORIENTED_EDGE('',*,*,#226412,.F.); #320198=ORIENTED_EDGE('',*,*,#226415,.F.); #320199=ORIENTED_EDGE('',*,*,#226418,.F.); #320200=ORIENTED_EDGE('',*,*,#226421,.F.); #320201=ORIENTED_EDGE('',*,*,#226424,.F.); #320202=ORIENTED_EDGE('',*,*,#226933,.F.); #320203=ORIENTED_EDGE('',*,*,#226423,.T.); #320204=ORIENTED_EDGE('',*,*,#226926,.T.); #320205=ORIENTED_EDGE('',*,*,#226934,.T.); #320206=ORIENTED_EDGE('',*,*,#226933,.T.); #320207=ORIENTED_EDGE('',*,*,#226935,.F.); #320208=ORIENTED_EDGE('',*,*,#226936,.F.); #320209=ORIENTED_EDGE('',*,*,#226937,.T.); #320210=ORIENTED_EDGE('',*,*,#226938,.F.); #320211=ORIENTED_EDGE('',*,*,#226939,.F.); #320212=ORIENTED_EDGE('',*,*,#226940,.F.); #320213=ORIENTED_EDGE('',*,*,#226941,.F.); #320214=ORIENTED_EDGE('',*,*,#226942,.F.); #320215=ORIENTED_EDGE('',*,*,#226943,.F.); #320216=ORIENTED_EDGE('',*,*,#226937,.F.); #320217=ORIENTED_EDGE('',*,*,#226944,.F.); #320218=ORIENTED_EDGE('',*,*,#226940,.T.); #320219=ORIENTED_EDGE('',*,*,#226945,.T.); #320220=ORIENTED_EDGE('',*,*,#226946,.T.); #320221=ORIENTED_EDGE('',*,*,#226939,.T.); #320222=ORIENTED_EDGE('',*,*,#226947,.F.); #320223=ORIENTED_EDGE('',*,*,#226948,.F.); #320224=ORIENTED_EDGE('',*,*,#226945,.F.); #320225=ORIENTED_EDGE('',*,*,#226949,.F.); #320226=ORIENTED_EDGE('',*,*,#226946,.F.); #320227=ORIENTED_EDGE('',*,*,#226948,.T.); #320228=ORIENTED_EDGE('',*,*,#226950,.F.); #320229=ORIENTED_EDGE('',*,*,#226942,.T.); #320230=ORIENTED_EDGE('',*,*,#226951,.F.); #320231=ORIENTED_EDGE('',*,*,#226950,.T.); #320232=ORIENTED_EDGE('',*,*,#226947,.T.); #320233=ORIENTED_EDGE('',*,*,#226938,.T.); #320234=ORIENTED_EDGE('',*,*,#226943,.T.); #320235=ORIENTED_EDGE('',*,*,#226935,.T.); #320236=ORIENTED_EDGE('',*,*,#226944,.T.); #320237=ORIENTED_EDGE('',*,*,#226949,.T.); #320238=ORIENTED_EDGE('',*,*,#226952,.F.); #320239=ORIENTED_EDGE('',*,*,#226953,.F.); #320240=ORIENTED_EDGE('',*,*,#226954,.F.); #320241=ORIENTED_EDGE('',*,*,#226955,.F.); #320242=ORIENTED_EDGE('',*,*,#226956,.F.); #320243=ORIENTED_EDGE('',*,*,#226957,.F.); #320244=ORIENTED_EDGE('',*,*,#226958,.F.); #320245=ORIENTED_EDGE('',*,*,#226959,.T.); #320246=ORIENTED_EDGE('',*,*,#226960,.F.); #320247=ORIENTED_EDGE('',*,*,#226931,.T.); #320248=ORIENTED_EDGE('',*,*,#226934,.F.); #320249=ORIENTED_EDGE('',*,*,#226925,.T.); #320250=ORIENTED_EDGE('',*,*,#226431,.T.); #320251=ORIENTED_EDGE('',*,*,#226428,.T.); #320252=ORIENTED_EDGE('',*,*,#226663,.T.); #320253=ORIENTED_EDGE('',*,*,#226961,.T.); #320254=ORIENTED_EDGE('',*,*,#226962,.T.); #320255=ORIENTED_EDGE('',*,*,#226963,.T.); #320256=ORIENTED_EDGE('',*,*,#226964,.T.); #320257=ORIENTED_EDGE('',*,*,#226965,.T.); #320258=ORIENTED_EDGE('',*,*,#226966,.T.); #320259=ORIENTED_EDGE('',*,*,#226967,.T.); #320260=ORIENTED_EDGE('',*,*,#226962,.F.); #320261=ORIENTED_EDGE('',*,*,#226968,.T.); #320262=ORIENTED_EDGE('',*,*,#226969,.T.); #320263=ORIENTED_EDGE('',*,*,#226970,.T.); #320264=ORIENTED_EDGE('',*,*,#226971,.T.); #320265=ORIENTED_EDGE('',*,*,#226972,.T.); #320266=ORIENTED_EDGE('',*,*,#226973,.T.); #320267=ORIENTED_EDGE('',*,*,#226974,.T.); #320268=ORIENTED_EDGE('',*,*,#226975,.F.); #320269=ORIENTED_EDGE('',*,*,#226976,.T.); #320270=ORIENTED_EDGE('',*,*,#226975,.T.); #320271=ORIENTED_EDGE('',*,*,#226977,.T.); #320272=ORIENTED_EDGE('',*,*,#226978,.T.); #320273=ORIENTED_EDGE('',*,*,#226979,.T.); #320274=ORIENTED_EDGE('',*,*,#226980,.T.); #320275=ORIENTED_EDGE('',*,*,#226981,.F.); #320276=ORIENTED_EDGE('',*,*,#226982,.F.); #320277=ORIENTED_EDGE('',*,*,#226983,.T.); #320278=ORIENTED_EDGE('',*,*,#226984,.F.); #320279=ORIENTED_EDGE('',*,*,#226985,.F.); #320280=ORIENTED_EDGE('',*,*,#226981,.T.); #320281=ORIENTED_EDGE('',*,*,#226986,.T.); #320282=ORIENTED_EDGE('',*,*,#226987,.F.); #320283=ORIENTED_EDGE('',*,*,#226984,.T.); #320284=ORIENTED_EDGE('',*,*,#226988,.T.); #320285=ORIENTED_EDGE('',*,*,#226989,.F.); #320286=ORIENTED_EDGE('',*,*,#226954,.T.); #320287=ORIENTED_EDGE('',*,*,#226990,.T.); #320288=ORIENTED_EDGE('',*,*,#226991,.T.); #320289=ORIENTED_EDGE('',*,*,#226992,.T.); #320290=ORIENTED_EDGE('',*,*,#226993,.T.); #320291=ORIENTED_EDGE('',*,*,#226994,.T.); #320292=ORIENTED_EDGE('',*,*,#226995,.T.); #320293=ORIENTED_EDGE('',*,*,#226993,.F.); #320294=ORIENTED_EDGE('',*,*,#226996,.T.); #320295=ORIENTED_EDGE('',*,*,#226997,.T.); #320296=ORIENTED_EDGE('',*,*,#226998,.T.); #320297=ORIENTED_EDGE('',*,*,#226999,.F.); #320298=ORIENTED_EDGE('',*,*,#226990,.F.); #320299=ORIENTED_EDGE('',*,*,#226953,.T.); #320300=ORIENTED_EDGE('',*,*,#227000,.T.); #320301=ORIENTED_EDGE('',*,*,#227001,.F.); #320302=ORIENTED_EDGE('',*,*,#226991,.F.); #320303=ORIENTED_EDGE('',*,*,#226999,.T.); #320304=ORIENTED_EDGE('',*,*,#227002,.T.); #320305=ORIENTED_EDGE('',*,*,#226996,.F.); #320306=ORIENTED_EDGE('',*,*,#226992,.F.); #320307=ORIENTED_EDGE('',*,*,#227001,.T.); #320308=ORIENTED_EDGE('',*,*,#227003,.T.); #320309=ORIENTED_EDGE('',*,*,#227004,.T.); #320310=ORIENTED_EDGE('',*,*,#227005,.F.); #320311=ORIENTED_EDGE('',*,*,#227006,.F.); #320312=ORIENTED_EDGE('',*,*,#227007,.T.); #320313=ORIENTED_EDGE('',*,*,#227008,.F.); #320314=ORIENTED_EDGE('',*,*,#227009,.F.); #320315=ORIENTED_EDGE('',*,*,#227005,.T.); #320316=ORIENTED_EDGE('',*,*,#227010,.T.); #320317=ORIENTED_EDGE('',*,*,#227011,.F.); #320318=ORIENTED_EDGE('',*,*,#227008,.T.); #320319=ORIENTED_EDGE('',*,*,#227012,.T.); #320320=ORIENTED_EDGE('',*,*,#227013,.T.); #320321=ORIENTED_EDGE('',*,*,#227014,.F.); #320322=ORIENTED_EDGE('',*,*,#227015,.F.); #320323=ORIENTED_EDGE('',*,*,#227016,.T.); #320324=ORIENTED_EDGE('',*,*,#227017,.T.); #320325=ORIENTED_EDGE('',*,*,#226959,.F.); #320326=ORIENTED_EDGE('',*,*,#227018,.F.); #320327=ORIENTED_EDGE('',*,*,#227014,.T.); #320328=ORIENTED_EDGE('',*,*,#227019,.T.); #320329=ORIENTED_EDGE('',*,*,#227020,.T.); #320330=ORIENTED_EDGE('',*,*,#227021,.F.); #320331=ORIENTED_EDGE('',*,*,#227022,.F.); #320332=ORIENTED_EDGE('',*,*,#227023,.T.); #320333=ORIENTED_EDGE('',*,*,#227016,.F.); #320334=ORIENTED_EDGE('',*,*,#227024,.F.); #320335=ORIENTED_EDGE('',*,*,#227021,.T.); #320336=ORIENTED_EDGE('',*,*,#226936,.T.); #320337=ORIENTED_EDGE('',*,*,#226960,.T.); #320338=ORIENTED_EDGE('',*,*,#227017,.F.); #320339=ORIENTED_EDGE('',*,*,#227013,.F.); #320340=ORIENTED_EDGE('',*,*,#227023,.F.); #320341=ORIENTED_EDGE('',*,*,#227020,.F.); #320342=ORIENTED_EDGE('',*,*,#227025,.T.); #320343=ORIENTED_EDGE('',*,*,#226988,.F.); #320344=ORIENTED_EDGE('',*,*,#226983,.F.); #320345=ORIENTED_EDGE('',*,*,#226980,.F.); #320346=ORIENTED_EDGE('',*,*,#227026,.T.); #320347=ORIENTED_EDGE('',*,*,#227012,.F.); #320348=ORIENTED_EDGE('',*,*,#227007,.F.); #320349=ORIENTED_EDGE('',*,*,#227004,.F.); #320350=ORIENTED_EDGE('',*,*,#227027,.T.); #320351=ORIENTED_EDGE('',*,*,#226997,.F.); #320352=ORIENTED_EDGE('',*,*,#227002,.F.); #320353=ORIENTED_EDGE('',*,*,#227000,.F.); #320354=ORIENTED_EDGE('',*,*,#226998,.F.); #320355=ORIENTED_EDGE('',*,*,#226952,.T.); #320356=ORIENTED_EDGE('',*,*,#226951,.T.); #320357=ORIENTED_EDGE('',*,*,#226941,.T.); #320358=ORIENTED_EDGE('',*,*,#226406,.T.); #320359=ORIENTED_EDGE('',*,*,#226932,.T.); #320360=ORIENTED_EDGE('',*,*,#226929,.T.); #320361=ORIENTED_EDGE('',*,*,#226927,.T.); #320362=ORIENTED_EDGE('',*,*,#227028,.F.); #320363=ORIENTED_EDGE('',*,*,#227029,.T.); #320364=ORIENTED_EDGE('',*,*,#227030,.T.); #320365=ORIENTED_EDGE('',*,*,#227031,.F.); #320366=ORIENTED_EDGE('',*,*,#227032,.T.); #320367=ORIENTED_EDGE('',*,*,#227033,.F.); #320368=ORIENTED_EDGE('',*,*,#226970,.F.); #320369=ORIENTED_EDGE('',*,*,#227034,.F.); #320370=ORIENTED_EDGE('',*,*,#227035,.F.); #320371=ORIENTED_EDGE('',*,*,#227036,.F.); #320372=ORIENTED_EDGE('',*,*,#227037,.F.); #320373=ORIENTED_EDGE('',*,*,#227038,.F.); #320374=ORIENTED_EDGE('',*,*,#227039,.F.); #320375=ORIENTED_EDGE('',*,*,#227040,.F.); #320376=ORIENTED_EDGE('',*,*,#227041,.F.); #320377=ORIENTED_EDGE('',*,*,#226977,.F.); #320378=ORIENTED_EDGE('',*,*,#226974,.F.); #320379=ORIENTED_EDGE('',*,*,#227042,.F.); #320380=ORIENTED_EDGE('',*,*,#227043,.F.); #320381=ORIENTED_EDGE('',*,*,#227044,.T.); #320382=ORIENTED_EDGE('',*,*,#227045,.T.); #320383=ORIENTED_EDGE('',*,*,#227040,.T.); #320384=ORIENTED_EDGE('',*,*,#227046,.F.); #320385=ORIENTED_EDGE('',*,*,#227047,.T.); #320386=ORIENTED_EDGE('',*,*,#227046,.T.); #320387=ORIENTED_EDGE('',*,*,#227039,.T.); #320388=ORIENTED_EDGE('',*,*,#227048,.F.); #320389=ORIENTED_EDGE('',*,*,#227030,.F.); #320390=ORIENTED_EDGE('',*,*,#227049,.F.); #320391=ORIENTED_EDGE('',*,*,#227050,.F.); #320392=ORIENTED_EDGE('',*,*,#227051,.T.); #320393=ORIENTED_EDGE('',*,*,#227052,.F.); #320394=ORIENTED_EDGE('',*,*,#227053,.T.); #320395=ORIENTED_EDGE('',*,*,#226978,.F.); #320396=ORIENTED_EDGE('',*,*,#227041,.T.); #320397=ORIENTED_EDGE('',*,*,#227045,.F.); #320398=ORIENTED_EDGE('',*,*,#227054,.T.); #320399=ORIENTED_EDGE('',*,*,#227055,.F.); #320400=ORIENTED_EDGE('',*,*,#227056,.T.); #320401=ORIENTED_EDGE('',*,*,#227057,.T.); #320402=ORIENTED_EDGE('',*,*,#227055,.T.); #320403=ORIENTED_EDGE('',*,*,#227058,.T.); #320404=ORIENTED_EDGE('',*,*,#226966,.F.); #320405=ORIENTED_EDGE('',*,*,#227059,.F.); #320406=ORIENTED_EDGE('',*,*,#227060,.T.); #320407=ORIENTED_EDGE('',*,*,#226964,.F.); #320408=ORIENTED_EDGE('',*,*,#227061,.T.); #320409=ORIENTED_EDGE('',*,*,#227062,.T.); #320410=ORIENTED_EDGE('',*,*,#227043,.T.); #320411=ORIENTED_EDGE('',*,*,#227063,.F.); #320412=ORIENTED_EDGE('',*,*,#227064,.T.); #320413=ORIENTED_EDGE('',*,*,#227065,.F.); #320414=ORIENTED_EDGE('',*,*,#227066,.T.); #320415=ORIENTED_EDGE('',*,*,#227067,.T.); #320416=ORIENTED_EDGE('',*,*,#227063,.T.); #320417=ORIENTED_EDGE('',*,*,#227042,.T.); #320418=ORIENTED_EDGE('',*,*,#226973,.F.); #320419=ORIENTED_EDGE('',*,*,#227068,.T.); #320420=ORIENTED_EDGE('',*,*,#227069,.F.); #320421=ORIENTED_EDGE('',*,*,#227070,.T.); #320422=ORIENTED_EDGE('',*,*,#227071,.F.); #320423=ORIENTED_EDGE('',*,*,#227072,.T.); #320424=ORIENTED_EDGE('',*,*,#227071,.T.); #320425=ORIENTED_EDGE('',*,*,#227073,.T.); #320426=ORIENTED_EDGE('',*,*,#227074,.F.); #320427=ORIENTED_EDGE('',*,*,#227075,.T.); #320428=ORIENTED_EDGE('',*,*,#227074,.T.); #320429=ORIENTED_EDGE('',*,*,#227076,.T.); #320430=ORIENTED_EDGE('',*,*,#227077,.F.); #320431=ORIENTED_EDGE('',*,*,#227078,.T.); #320432=ORIENTED_EDGE('',*,*,#227077,.T.); #320433=ORIENTED_EDGE('',*,*,#227079,.T.); #320434=ORIENTED_EDGE('',*,*,#227080,.F.); #320435=ORIENTED_EDGE('',*,*,#227081,.T.); #320436=ORIENTED_EDGE('',*,*,#227080,.T.); #320437=ORIENTED_EDGE('',*,*,#227082,.T.); #320438=ORIENTED_EDGE('',*,*,#227083,.T.); #320439=ORIENTED_EDGE('',*,*,#227084,.T.); #320440=ORIENTED_EDGE('',*,*,#227083,.F.); #320441=ORIENTED_EDGE('',*,*,#227085,.T.); #320442=ORIENTED_EDGE('',*,*,#227086,.F.); #320443=ORIENTED_EDGE('',*,*,#227087,.T.); #320444=ORIENTED_EDGE('',*,*,#227086,.T.); #320445=ORIENTED_EDGE('',*,*,#227049,.T.); #320446=ORIENTED_EDGE('',*,*,#227029,.F.); #320447=ORIENTED_EDGE('',*,*,#227088,.F.); #320448=ORIENTED_EDGE('',*,*,#227089,.T.); #320449=ORIENTED_EDGE('',*,*,#227090,.F.); #320450=ORIENTED_EDGE('',*,*,#227031,.T.); #320451=ORIENTED_EDGE('',*,*,#227048,.T.); #320452=ORIENTED_EDGE('',*,*,#227091,.T.); #320453=ORIENTED_EDGE('',*,*,#227092,.T.); #320454=ORIENTED_EDGE('',*,*,#227091,.F.); #320455=ORIENTED_EDGE('',*,*,#227038,.T.); #320456=ORIENTED_EDGE('',*,*,#227093,.T.); #320457=ORIENTED_EDGE('',*,*,#227094,.T.); #320458=ORIENTED_EDGE('',*,*,#227093,.F.); #320459=ORIENTED_EDGE('',*,*,#227037,.T.); #320460=ORIENTED_EDGE('',*,*,#227095,.T.); #320461=ORIENTED_EDGE('',*,*,#227096,.T.); #320462=ORIENTED_EDGE('',*,*,#227095,.F.); #320463=ORIENTED_EDGE('',*,*,#227036,.T.); #320464=ORIENTED_EDGE('',*,*,#227097,.F.); #320465=ORIENTED_EDGE('',*,*,#227098,.T.); #320466=ORIENTED_EDGE('',*,*,#227097,.T.); #320467=ORIENTED_EDGE('',*,*,#227035,.T.); #320468=ORIENTED_EDGE('',*,*,#227099,.F.); #320469=ORIENTED_EDGE('',*,*,#227100,.T.); #320470=ORIENTED_EDGE('',*,*,#227099,.T.); #320471=ORIENTED_EDGE('',*,*,#227034,.T.); #320472=ORIENTED_EDGE('',*,*,#226969,.F.); #320473=ORIENTED_EDGE('',*,*,#227101,.T.); #320474=ORIENTED_EDGE('',*,*,#227061,.F.); #320475=ORIENTED_EDGE('',*,*,#226963,.F.); #320476=ORIENTED_EDGE('',*,*,#226967,.F.); #320477=ORIENTED_EDGE('',*,*,#227058,.F.); #320478=ORIENTED_EDGE('',*,*,#227054,.F.); #320479=ORIENTED_EDGE('',*,*,#227044,.F.); #320480=ORIENTED_EDGE('',*,*,#227047,.F.); #320481=ORIENTED_EDGE('',*,*,#227085,.F.); #320482=ORIENTED_EDGE('',*,*,#227082,.F.); #320483=ORIENTED_EDGE('',*,*,#227079,.F.); #320484=ORIENTED_EDGE('',*,*,#227076,.F.); #320485=ORIENTED_EDGE('',*,*,#227073,.F.); #320486=ORIENTED_EDGE('',*,*,#227070,.F.); #320487=ORIENTED_EDGE('',*,*,#227102,.F.); #320488=ORIENTED_EDGE('',*,*,#227101,.F.); #320489=ORIENTED_EDGE('',*,*,#227103,.F.); #320490=ORIENTED_EDGE('',*,*,#227032,.F.); #320491=ORIENTED_EDGE('',*,*,#227062,.F.); #320492=ORIENTED_EDGE('',*,*,#227104,.F.); #320493=ORIENTED_EDGE('',*,*,#227105,.T.); #320494=ORIENTED_EDGE('',*,*,#227006,.T.); #320495=ORIENTED_EDGE('',*,*,#227009,.T.); #320496=ORIENTED_EDGE('',*,*,#227011,.T.); #320497=ORIENTED_EDGE('',*,*,#227106,.T.); #320498=ORIENTED_EDGE('',*,*,#226911,.T.); #320499=ORIENTED_EDGE('',*,*,#227107,.T.); #320500=ORIENTED_EDGE('',*,*,#227052,.T.); #320501=ORIENTED_EDGE('',*,*,#227108,.T.); #320502=ORIENTED_EDGE('',*,*,#227109,.F.); #320503=ORIENTED_EDGE('',*,*,#227110,.F.); #320504=ORIENTED_EDGE('',*,*,#226986,.F.); #320505=ORIENTED_EDGE('',*,*,#227025,.F.); #320506=ORIENTED_EDGE('',*,*,#227019,.F.); #320507=ORIENTED_EDGE('',*,*,#227111,.F.); #320508=ORIENTED_EDGE('',*,*,#227112,.F.); #320509=ORIENTED_EDGE('',*,*,#227113,.F.); #320510=ORIENTED_EDGE('',*,*,#227089,.F.); #320511=ORIENTED_EDGE('',*,*,#227092,.F.); #320512=ORIENTED_EDGE('',*,*,#227094,.F.); #320513=ORIENTED_EDGE('',*,*,#227096,.F.); #320514=ORIENTED_EDGE('',*,*,#227098,.F.); #320515=ORIENTED_EDGE('',*,*,#227100,.F.); #320516=ORIENTED_EDGE('',*,*,#226968,.F.); #320517=ORIENTED_EDGE('',*,*,#227114,.F.); #320518=ORIENTED_EDGE('',*,*,#227115,.F.); #320519=ORIENTED_EDGE('',*,*,#227111,.T.); #320520=ORIENTED_EDGE('',*,*,#227022,.T.); #320521=ORIENTED_EDGE('',*,*,#227024,.T.); #320522=ORIENTED_EDGE('',*,*,#227015,.T.); #320523=ORIENTED_EDGE('',*,*,#227018,.T.); #320524=ORIENTED_EDGE('',*,*,#226958,.T.); #320525=ORIENTED_EDGE('',*,*,#227115,.T.); #320526=ORIENTED_EDGE('',*,*,#226957,.T.); #320527=ORIENTED_EDGE('',*,*,#227116,.T.); #320528=ORIENTED_EDGE('',*,*,#227112,.T.); #320529=ORIENTED_EDGE('',*,*,#227117,.F.); #320530=ORIENTED_EDGE('',*,*,#227118,.T.); #320531=ORIENTED_EDGE('',*,*,#226982,.T.); #320532=ORIENTED_EDGE('',*,*,#226985,.T.); #320533=ORIENTED_EDGE('',*,*,#226987,.T.); #320534=ORIENTED_EDGE('',*,*,#227110,.T.); #320535=ORIENTED_EDGE('',*,*,#227117,.T.); #320536=ORIENTED_EDGE('',*,*,#227109,.T.); #320537=ORIENTED_EDGE('',*,*,#227119,.T.); #320538=ORIENTED_EDGE('',*,*,#227120,.T.); #320539=ORIENTED_EDGE('',*,*,#227065,.T.); #320540=ORIENTED_EDGE('',*,*,#227121,.T.); #320541=ORIENTED_EDGE('',*,*,#227122,.F.); #320542=ORIENTED_EDGE('',*,*,#227123,.T.); #320543=ORIENTED_EDGE('',*,*,#227124,.F.); #320544=ORIENTED_EDGE('',*,*,#226912,.T.); #320545=ORIENTED_EDGE('',*,*,#227108,.F.); #320546=ORIENTED_EDGE('',*,*,#227051,.F.); #320547=ORIENTED_EDGE('',*,*,#226669,.T.); #320548=ORIENTED_EDGE('',*,*,#226712,.T.); #320549=ORIENTED_EDGE('',*,*,#226665,.T.); #320550=ORIENTED_EDGE('',*,*,#226692,.T.); #320551=ORIENTED_EDGE('',*,*,#226762,.T.); #320552=ORIENTED_EDGE('',*,*,#226795,.T.); #320553=ORIENTED_EDGE('',*,*,#226752,.T.); #320554=ORIENTED_EDGE('',*,*,#226776,.T.); #320555=ORIENTED_EDGE('',*,*,#226801,.T.); #320556=ORIENTED_EDGE('',*,*,#226844,.T.); #320557=ORIENTED_EDGE('',*,*,#226797,.T.); #320558=ORIENTED_EDGE('',*,*,#226824,.T.); #320559=ORIENTED_EDGE('',*,*,#226913,.T.); #320560=ORIENTED_EDGE('',*,*,#227124,.T.); #320561=ORIENTED_EDGE('',*,*,#227050,.T.); #320562=ORIENTED_EDGE('',*,*,#227125,.T.); #320563=ORIENTED_EDGE('',*,*,#227126,.F.); #320564=ORIENTED_EDGE('',*,*,#227127,.F.); #320565=ORIENTED_EDGE('',*,*,#227068,.F.); #320566=ORIENTED_EDGE('',*,*,#227072,.F.); #320567=ORIENTED_EDGE('',*,*,#227075,.F.); #320568=ORIENTED_EDGE('',*,*,#227078,.F.); #320569=ORIENTED_EDGE('',*,*,#227081,.F.); #320570=ORIENTED_EDGE('',*,*,#227084,.F.); #320571=ORIENTED_EDGE('',*,*,#227087,.F.); #320572=ORIENTED_EDGE('',*,*,#227128,.F.); #320573=ORIENTED_EDGE('',*,*,#227129,.F.); #320574=ORIENTED_EDGE('',*,*,#226994,.F.); #320575=ORIENTED_EDGE('',*,*,#226995,.F.); #320576=ORIENTED_EDGE('',*,*,#227027,.F.); #320577=ORIENTED_EDGE('',*,*,#227003,.F.); #320578=ORIENTED_EDGE('',*,*,#227105,.F.); #320579=ORIENTED_EDGE('',*,*,#227130,.F.); #320580=ORIENTED_EDGE('',*,*,#227128,.T.); #320581=ORIENTED_EDGE('',*,*,#227088,.T.); #320582=ORIENTED_EDGE('',*,*,#227028,.T.); #320583=ORIENTED_EDGE('',*,*,#227090,.T.); #320584=ORIENTED_EDGE('',*,*,#227113,.T.); #320585=ORIENTED_EDGE('',*,*,#227116,.F.); #320586=ORIENTED_EDGE('',*,*,#226956,.T.); #320587=ORIENTED_EDGE('',*,*,#227130,.T.); #320588=ORIENTED_EDGE('',*,*,#226955,.T.); #320589=ORIENTED_EDGE('',*,*,#226989,.T.); #320590=ORIENTED_EDGE('',*,*,#227129,.T.); #320591=ORIENTED_EDGE('',*,*,#227131,.F.); #320592=ORIENTED_EDGE('',*,*,#227106,.F.); #320593=ORIENTED_EDGE('',*,*,#227010,.F.); #320594=ORIENTED_EDGE('',*,*,#227026,.F.); #320595=ORIENTED_EDGE('',*,*,#226979,.F.); #320596=ORIENTED_EDGE('',*,*,#227118,.F.); #320597=ORIENTED_EDGE('',*,*,#227120,.F.); #320598=ORIENTED_EDGE('',*,*,#227132,.F.); #320599=ORIENTED_EDGE('',*,*,#227133,.F.); #320600=ORIENTED_EDGE('',*,*,#227132,.T.); #320601=ORIENTED_EDGE('',*,*,#227119,.F.); #320602=ORIENTED_EDGE('',*,*,#227114,.T.); #320603=ORIENTED_EDGE('',*,*,#226971,.F.); #320604=ORIENTED_EDGE('',*,*,#227033,.T.); #320605=ORIENTED_EDGE('',*,*,#227103,.T.); #320606=ORIENTED_EDGE('',*,*,#227102,.T.); #320607=ORIENTED_EDGE('',*,*,#227069,.T.); #320608=ORIENTED_EDGE('',*,*,#227127,.T.); #320609=ORIENTED_EDGE('',*,*,#227133,.T.); #320610=ORIENTED_EDGE('',*,*,#227126,.T.); #320611=ORIENTED_EDGE('',*,*,#227104,.T.); #320612=ORIENTED_EDGE('',*,*,#227131,.T.); #320613=ORIENTED_EDGE('',*,*,#227134,.T.); #320614=ORIENTED_EDGE('',*,*,#227135,.T.); #320615=ORIENTED_EDGE('',*,*,#227136,.T.); #320616=ORIENTED_EDGE('',*,*,#227137,.F.); #320617=ORIENTED_EDGE('',*,*,#227138,.F.); #320618=ORIENTED_EDGE('',*,*,#227139,.F.); #320619=ORIENTED_EDGE('',*,*,#227140,.F.); #320620=ORIENTED_EDGE('',*,*,#227141,.T.); #320621=ORIENTED_EDGE('',*,*,#227059,.T.); #320622=ORIENTED_EDGE('',*,*,#227142,.T.); #320623=ORIENTED_EDGE('',*,*,#227143,.T.); #320624=ORIENTED_EDGE('',*,*,#227144,.T.); #320625=ORIENTED_EDGE('',*,*,#227145,.T.); #320626=ORIENTED_EDGE('',*,*,#227146,.T.); #320627=ORIENTED_EDGE('',*,*,#227147,.T.); #320628=ORIENTED_EDGE('',*,*,#226456,.F.); #320629=ORIENTED_EDGE('',*,*,#227140,.T.); #320630=ORIENTED_EDGE('',*,*,#226449,.T.); #320631=ORIENTED_EDGE('',*,*,#227148,.F.); #320632=ORIENTED_EDGE('',*,*,#227149,.T.); #320633=ORIENTED_EDGE('',*,*,#227150,.F.); #320634=ORIENTED_EDGE('',*,*,#227151,.F.); #320635=ORIENTED_EDGE('',*,*,#227152,.T.); #320636=ORIENTED_EDGE('',*,*,#227153,.F.); #320637=ORIENTED_EDGE('',*,*,#227154,.T.); #320638=ORIENTED_EDGE('',*,*,#227155,.F.); #320639=ORIENTED_EDGE('',*,*,#227156,.T.); #320640=ORIENTED_EDGE('',*,*,#227157,.T.); #320641=ORIENTED_EDGE('',*,*,#227158,.F.); #320642=ORIENTED_EDGE('',*,*,#226460,.F.); #320643=ORIENTED_EDGE('',*,*,#227159,.F.); #320644=ORIENTED_EDGE('',*,*,#227160,.T.); #320645=ORIENTED_EDGE('',*,*,#227161,.F.); #320646=ORIENTED_EDGE('',*,*,#227162,.T.); #320647=ORIENTED_EDGE('',*,*,#227163,.F.); #320648=ORIENTED_EDGE('',*,*,#227164,.T.); #320649=ORIENTED_EDGE('',*,*,#227165,.F.); #320650=ORIENTED_EDGE('',*,*,#227166,.T.); #320651=ORIENTED_EDGE('',*,*,#227167,.F.); #320652=ORIENTED_EDGE('',*,*,#227168,.T.); #320653=ORIENTED_EDGE('',*,*,#227169,.T.); #320654=ORIENTED_EDGE('',*,*,#227170,.F.); #320655=ORIENTED_EDGE('',*,*,#227171,.T.); #320656=ORIENTED_EDGE('',*,*,#226457,.F.); #320657=ORIENTED_EDGE('',*,*,#227147,.F.); #320658=ORIENTED_EDGE('',*,*,#226448,.F.); #320659=ORIENTED_EDGE('',*,*,#227172,.F.); #320660=ORIENTED_EDGE('',*,*,#227173,.F.); #320661=ORIENTED_EDGE('',*,*,#227174,.T.); #320662=ORIENTED_EDGE('',*,*,#227175,.F.); #320663=ORIENTED_EDGE('',*,*,#227176,.F.); #320664=ORIENTED_EDGE('',*,*,#227177,.F.); #320665=ORIENTED_EDGE('',*,*,#227178,.T.); #320666=ORIENTED_EDGE('',*,*,#226454,.F.); #320667=ORIENTED_EDGE('',*,*,#227179,.F.); #320668=ORIENTED_EDGE('',*,*,#226464,.F.); #320669=ORIENTED_EDGE('',*,*,#227180,.F.); #320670=ORIENTED_EDGE('',*,*,#227181,.T.); #320671=ORIENTED_EDGE('',*,*,#227182,.T.); #320672=ORIENTED_EDGE('',*,*,#227183,.F.); #320673=ORIENTED_EDGE('',*,*,#227184,.T.); #320674=ORIENTED_EDGE('',*,*,#227185,.F.); #320675=ORIENTED_EDGE('',*,*,#227186,.T.); #320676=ORIENTED_EDGE('',*,*,#227187,.F.); #320677=ORIENTED_EDGE('',*,*,#227188,.T.); #320678=ORIENTED_EDGE('',*,*,#227189,.T.); #320679=ORIENTED_EDGE('',*,*,#227190,.T.); #320680=ORIENTED_EDGE('',*,*,#226469,.F.); #320681=ORIENTED_EDGE('',*,*,#226915,.T.); #320682=ORIENTED_EDGE('',*,*,#226461,.T.); #320683=ORIENTED_EDGE('',*,*,#227158,.T.); #320684=ORIENTED_EDGE('',*,*,#227191,.T.); #320685=ORIENTED_EDGE('',*,*,#227191,.F.); #320686=ORIENTED_EDGE('',*,*,#227157,.F.); #320687=ORIENTED_EDGE('',*,*,#227192,.F.); #320688=ORIENTED_EDGE('',*,*,#226916,.F.); #320689=ORIENTED_EDGE('',*,*,#227122,.T.); #320690=ORIENTED_EDGE('',*,*,#226465,.T.); #320691=ORIENTED_EDGE('',*,*,#227179,.T.); #320692=ORIENTED_EDGE('',*,*,#226453,.T.); #320693=ORIENTED_EDGE('',*,*,#226450,.T.); #320694=ORIENTED_EDGE('',*,*,#227142,.F.); #320695=ORIENTED_EDGE('',*,*,#227066,.F.); #320696=ORIENTED_EDGE('',*,*,#227123,.F.); #320697=ORIENTED_EDGE('',*,*,#226452,.T.); #320698=ORIENTED_EDGE('',*,*,#226895,.F.); #320699=ORIENTED_EDGE('',*,*,#227148,.T.); #320700=ORIENTED_EDGE('',*,*,#226468,.F.); #320701=ORIENTED_EDGE('',*,*,#226909,.T.); #320702=ORIENTED_EDGE('',*,*,#227193,.T.); #320703=ORIENTED_EDGE('',*,*,#226466,.T.); #320704=ORIENTED_EDGE('',*,*,#227121,.F.); #320705=ORIENTED_EDGE('',*,*,#227064,.F.); #320706=ORIENTED_EDGE('',*,*,#227067,.F.); #320707=ORIENTED_EDGE('',*,*,#226972,.F.); #320708=ORIENTED_EDGE('',*,*,#226976,.F.); #320709=ORIENTED_EDGE('',*,*,#227053,.F.); #320710=ORIENTED_EDGE('',*,*,#227107,.F.); #320711=ORIENTED_EDGE('',*,*,#226910,.F.); #320712=ORIENTED_EDGE('',*,*,#226471,.T.); #320713=ORIENTED_EDGE('',*,*,#227135,.F.); #320714=ORIENTED_EDGE('',*,*,#227194,.F.); #320715=ORIENTED_EDGE('',*,*,#226908,.F.); #320716=ORIENTED_EDGE('',*,*,#226455,.F.); #320717=ORIENTED_EDGE('',*,*,#227178,.F.); #320718=ORIENTED_EDGE('',*,*,#227195,.F.); #320719=ORIENTED_EDGE('',*,*,#226888,.T.); #320720=ORIENTED_EDGE('',*,*,#227193,.F.); #320721=ORIENTED_EDGE('',*,*,#226924,.F.); #320722=ORIENTED_EDGE('',*,*,#227196,.F.); #320723=ORIENTED_EDGE('',*,*,#227149,.F.); #320724=ORIENTED_EDGE('',*,*,#227196,.T.); #320725=ORIENTED_EDGE('',*,*,#226923,.F.); #320726=ORIENTED_EDGE('',*,*,#227197,.F.); #320727=ORIENTED_EDGE('',*,*,#227150,.T.); #320728=ORIENTED_EDGE('',*,*,#227192,.T.); #320729=ORIENTED_EDGE('',*,*,#227156,.F.); #320730=ORIENTED_EDGE('',*,*,#227198,.F.); #320731=ORIENTED_EDGE('',*,*,#226917,.T.); #320732=ORIENTED_EDGE('',*,*,#226894,.F.); #320733=ORIENTED_EDGE('',*,*,#227199,.F.); #320734=ORIENTED_EDGE('',*,*,#227172,.T.); #320735=ORIENTED_EDGE('',*,*,#226451,.F.); #320736=ORIENTED_EDGE('',*,*,#227199,.T.); #320737=ORIENTED_EDGE('',*,*,#226893,.T.); #320738=ORIENTED_EDGE('',*,*,#227200,.T.); #320739=ORIENTED_EDGE('',*,*,#227173,.T.); #320740=ORIENTED_EDGE('',*,*,#227200,.F.); #320741=ORIENTED_EDGE('',*,*,#226892,.T.); #320742=ORIENTED_EDGE('',*,*,#227201,.F.); #320743=ORIENTED_EDGE('',*,*,#227174,.F.); #320744=ORIENTED_EDGE('',*,*,#227202,.F.); #320745=ORIENTED_EDGE('',*,*,#227203,.T.); #320746=ORIENTED_EDGE('',*,*,#227204,.T.); #320747=ORIENTED_EDGE('',*,*,#227205,.F.); #320748=ORIENTED_EDGE('',*,*,#227206,.F.); #320749=ORIENTED_EDGE('',*,*,#227207,.F.); #320750=ORIENTED_EDGE('',*,*,#227208,.F.); #320751=ORIENTED_EDGE('',*,*,#227209,.T.); #320752=ORIENTED_EDGE('',*,*,#227210,.T.); #320753=ORIENTED_EDGE('',*,*,#227175,.T.); #320754=ORIENTED_EDGE('',*,*,#227211,.T.); #320755=ORIENTED_EDGE('',*,*,#227212,.T.); #320756=ORIENTED_EDGE('',*,*,#226891,.F.); #320757=ORIENTED_EDGE('',*,*,#227213,.F.); #320758=ORIENTED_EDGE('',*,*,#227214,.T.); #320759=ORIENTED_EDGE('',*,*,#227215,.F.); #320760=ORIENTED_EDGE('',*,*,#227210,.F.); #320761=ORIENTED_EDGE('',*,*,#227208,.T.); #320762=ORIENTED_EDGE('',*,*,#227213,.T.); #320763=ORIENTED_EDGE('',*,*,#227216,.F.); #320764=ORIENTED_EDGE('',*,*,#227204,.F.); #320765=ORIENTED_EDGE('',*,*,#227211,.F.); #320766=ORIENTED_EDGE('',*,*,#227201,.T.); #320767=ORIENTED_EDGE('',*,*,#227215,.T.); #320768=ORIENTED_EDGE('',*,*,#227217,.F.); #320769=ORIENTED_EDGE('',*,*,#227176,.T.); #320770=ORIENTED_EDGE('',*,*,#227216,.T.); #320771=ORIENTED_EDGE('',*,*,#226890,.F.); #320772=ORIENTED_EDGE('',*,*,#227218,.F.); #320773=ORIENTED_EDGE('',*,*,#227219,.T.); #320774=ORIENTED_EDGE('',*,*,#227220,.F.); #320775=ORIENTED_EDGE('',*,*,#227209,.F.); #320776=ORIENTED_EDGE('',*,*,#227212,.F.); #320777=ORIENTED_EDGE('',*,*,#227203,.F.); #320778=ORIENTED_EDGE('',*,*,#227221,.T.); #320779=ORIENTED_EDGE('',*,*,#227206,.T.); #320780=ORIENTED_EDGE('',*,*,#227220,.T.); #320781=ORIENTED_EDGE('',*,*,#227222,.T.); #320782=ORIENTED_EDGE('',*,*,#227223,.F.); #320783=ORIENTED_EDGE('',*,*,#227205,.T.); #320784=ORIENTED_EDGE('',*,*,#227214,.F.); #320785=ORIENTED_EDGE('',*,*,#227207,.T.); #320786=ORIENTED_EDGE('',*,*,#227221,.F.); #320787=ORIENTED_EDGE('',*,*,#227224,.T.); #320788=ORIENTED_EDGE('',*,*,#227225,.F.); #320789=ORIENTED_EDGE('',*,*,#227224,.F.); #320790=ORIENTED_EDGE('',*,*,#227222,.F.); #320791=ORIENTED_EDGE('',*,*,#227219,.F.); #320792=ORIENTED_EDGE('',*,*,#227218,.T.); #320793=ORIENTED_EDGE('',*,*,#227202,.T.); #320794=ORIENTED_EDGE('',*,*,#227223,.T.); #320795=ORIENTED_EDGE('',*,*,#227225,.T.); #320796=ORIENTED_EDGE('',*,*,#227197,.T.); #320797=ORIENTED_EDGE('',*,*,#226922,.T.); #320798=ORIENTED_EDGE('',*,*,#227226,.T.); #320799=ORIENTED_EDGE('',*,*,#227151,.T.); #320800=ORIENTED_EDGE('',*,*,#227227,.F.); #320801=ORIENTED_EDGE('',*,*,#227154,.F.); #320802=ORIENTED_EDGE('',*,*,#227228,.T.); #320803=ORIENTED_EDGE('',*,*,#226919,.F.); #320804=ORIENTED_EDGE('',*,*,#227229,.F.); #320805=ORIENTED_EDGE('',*,*,#227230,.F.); #320806=ORIENTED_EDGE('',*,*,#227231,.T.); #320807=ORIENTED_EDGE('',*,*,#227232,.T.); #320808=ORIENTED_EDGE('',*,*,#227233,.F.); #320809=ORIENTED_EDGE('',*,*,#227234,.T.); #320810=ORIENTED_EDGE('',*,*,#227235,.F.); #320811=ORIENTED_EDGE('',*,*,#227236,.F.); #320812=ORIENTED_EDGE('',*,*,#227237,.T.); #320813=ORIENTED_EDGE('',*,*,#227153,.T.); #320814=ORIENTED_EDGE('',*,*,#227238,.T.); #320815=ORIENTED_EDGE('',*,*,#227239,.T.); #320816=ORIENTED_EDGE('',*,*,#226920,.F.); #320817=ORIENTED_EDGE('',*,*,#227240,.F.); #320818=ORIENTED_EDGE('',*,*,#227241,.T.); #320819=ORIENTED_EDGE('',*,*,#227242,.F.); #320820=ORIENTED_EDGE('',*,*,#227237,.F.); #320821=ORIENTED_EDGE('',*,*,#227235,.T.); #320822=ORIENTED_EDGE('',*,*,#227240,.T.); #320823=ORIENTED_EDGE('',*,*,#227228,.F.); #320824=ORIENTED_EDGE('',*,*,#227231,.F.); #320825=ORIENTED_EDGE('',*,*,#227238,.F.); #320826=ORIENTED_EDGE('',*,*,#227243,.T.); #320827=ORIENTED_EDGE('',*,*,#227242,.T.); #320828=ORIENTED_EDGE('',*,*,#227226,.F.); #320829=ORIENTED_EDGE('',*,*,#226921,.T.); #320830=ORIENTED_EDGE('',*,*,#227243,.F.); #320831=ORIENTED_EDGE('',*,*,#227152,.F.); #320832=ORIENTED_EDGE('',*,*,#227244,.F.); #320833=ORIENTED_EDGE('',*,*,#227245,.T.); #320834=ORIENTED_EDGE('',*,*,#227246,.F.); #320835=ORIENTED_EDGE('',*,*,#227236,.T.); #320836=ORIENTED_EDGE('',*,*,#227239,.F.); #320837=ORIENTED_EDGE('',*,*,#227230,.T.); #320838=ORIENTED_EDGE('',*,*,#227247,.T.); #320839=ORIENTED_EDGE('',*,*,#227233,.T.); #320840=ORIENTED_EDGE('',*,*,#227246,.T.); #320841=ORIENTED_EDGE('',*,*,#227248,.T.); #320842=ORIENTED_EDGE('',*,*,#227249,.F.); #320843=ORIENTED_EDGE('',*,*,#227232,.F.); #320844=ORIENTED_EDGE('',*,*,#227241,.F.); #320845=ORIENTED_EDGE('',*,*,#227234,.F.); #320846=ORIENTED_EDGE('',*,*,#227247,.F.); #320847=ORIENTED_EDGE('',*,*,#227250,.T.); #320848=ORIENTED_EDGE('',*,*,#227251,.F.); #320849=ORIENTED_EDGE('',*,*,#227250,.F.); #320850=ORIENTED_EDGE('',*,*,#227248,.F.); #320851=ORIENTED_EDGE('',*,*,#227245,.F.); #320852=ORIENTED_EDGE('',*,*,#227244,.T.); #320853=ORIENTED_EDGE('',*,*,#227229,.T.); #320854=ORIENTED_EDGE('',*,*,#227249,.T.); #320855=ORIENTED_EDGE('',*,*,#227251,.T.); #320856=ORIENTED_EDGE('',*,*,#227227,.T.); #320857=ORIENTED_EDGE('',*,*,#226918,.T.); #320858=ORIENTED_EDGE('',*,*,#227198,.T.); #320859=ORIENTED_EDGE('',*,*,#227155,.T.); #320860=ORIENTED_EDGE('',*,*,#227195,.T.); #320861=ORIENTED_EDGE('',*,*,#227177,.T.); #320862=ORIENTED_EDGE('',*,*,#227217,.T.); #320863=ORIENTED_EDGE('',*,*,#226889,.T.); #320864=ORIENTED_EDGE('',*,*,#226470,.F.); #320865=ORIENTED_EDGE('',*,*,#227190,.F.); #320866=ORIENTED_EDGE('',*,*,#227252,.F.); #320867=ORIENTED_EDGE('',*,*,#227136,.F.); #320868=ORIENTED_EDGE('',*,*,#226459,.T.); #320869=ORIENTED_EDGE('',*,*,#226887,.F.); #320870=ORIENTED_EDGE('',*,*,#227143,.F.); #320871=ORIENTED_EDGE('',*,*,#226897,.F.); #320872=ORIENTED_EDGE('',*,*,#226462,.T.); #320873=ORIENTED_EDGE('',*,*,#226914,.F.); #320874=ORIENTED_EDGE('',*,*,#227125,.F.); #320875=ORIENTED_EDGE('',*,*,#227056,.F.); #320876=ORIENTED_EDGE('',*,*,#227057,.F.); #320877=ORIENTED_EDGE('',*,*,#226965,.F.); #320878=ORIENTED_EDGE('',*,*,#226961,.F.); #320879=ORIENTED_EDGE('',*,*,#227060,.F.); #320880=ORIENTED_EDGE('',*,*,#227141,.F.); #320881=ORIENTED_EDGE('',*,*,#226458,.F.); #320882=ORIENTED_EDGE('',*,*,#227171,.F.); #320883=ORIENTED_EDGE('',*,*,#227253,.F.); #320884=ORIENTED_EDGE('',*,*,#226881,.F.); #320885=ORIENTED_EDGE('',*,*,#226899,.T.); #320886=ORIENTED_EDGE('',*,*,#227254,.T.); #320887=ORIENTED_EDGE('',*,*,#227163,.T.); #320888=ORIENTED_EDGE('',*,*,#227255,.T.); #320889=ORIENTED_EDGE('',*,*,#227254,.F.); #320890=ORIENTED_EDGE('',*,*,#226898,.F.); #320891=ORIENTED_EDGE('',*,*,#227146,.F.); #320892=ORIENTED_EDGE('',*,*,#227256,.F.); #320893=ORIENTED_EDGE('',*,*,#227257,.F.); #320894=ORIENTED_EDGE('',*,*,#227258,.F.); #320895=ORIENTED_EDGE('',*,*,#227259,.F.); #320896=ORIENTED_EDGE('',*,*,#227260,.F.); #320897=ORIENTED_EDGE('',*,*,#227164,.F.); #320898=ORIENTED_EDGE('',*,*,#227261,.T.); #320899=ORIENTED_EDGE('',*,*,#227262,.T.); #320900=ORIENTED_EDGE('',*,*,#227263,.T.); #320901=ORIENTED_EDGE('',*,*,#227258,.T.); #320902=ORIENTED_EDGE('',*,*,#227262,.F.); #320903=ORIENTED_EDGE('',*,*,#227264,.F.); #320904=ORIENTED_EDGE('',*,*,#227265,.T.); #320905=ORIENTED_EDGE('',*,*,#227266,.F.); #320906=ORIENTED_EDGE('',*,*,#227261,.F.); #320907=ORIENTED_EDGE('',*,*,#227257,.T.); #320908=ORIENTED_EDGE('',*,*,#227267,.F.); #320909=ORIENTED_EDGE('',*,*,#227268,.T.); #320910=ORIENTED_EDGE('',*,*,#227269,.F.); #320911=ORIENTED_EDGE('',*,*,#227270,.T.); #320912=ORIENTED_EDGE('',*,*,#227271,.T.); #320913=ORIENTED_EDGE('',*,*,#227264,.T.); #320914=ORIENTED_EDGE('',*,*,#227167,.T.); #320915=ORIENTED_EDGE('',*,*,#227272,.T.); #320916=ORIENTED_EDGE('',*,*,#226885,.T.); #320917=ORIENTED_EDGE('',*,*,#227273,.T.); #320918=ORIENTED_EDGE('',*,*,#227272,.F.); #320919=ORIENTED_EDGE('',*,*,#227166,.F.); #320920=ORIENTED_EDGE('',*,*,#227274,.F.); #320921=ORIENTED_EDGE('',*,*,#227275,.F.); #320922=ORIENTED_EDGE('',*,*,#227276,.F.); #320923=ORIENTED_EDGE('',*,*,#227268,.F.); #320924=ORIENTED_EDGE('',*,*,#227277,.F.); #320925=ORIENTED_EDGE('',*,*,#227144,.F.); #320926=ORIENTED_EDGE('',*,*,#226886,.F.); #320927=ORIENTED_EDGE('',*,*,#227278,.T.); #320928=ORIENTED_EDGE('',*,*,#227279,.T.); #320929=ORIENTED_EDGE('',*,*,#227269,.T.); #320930=ORIENTED_EDGE('',*,*,#227276,.T.); #320931=ORIENTED_EDGE('',*,*,#227263,.F.); #320932=ORIENTED_EDGE('',*,*,#227266,.T.); #320933=ORIENTED_EDGE('',*,*,#227280,.T.); #320934=ORIENTED_EDGE('',*,*,#227281,.T.); #320935=ORIENTED_EDGE('',*,*,#227278,.F.); #320936=ORIENTED_EDGE('',*,*,#227275,.T.); #320937=ORIENTED_EDGE('',*,*,#227282,.F.); #320938=ORIENTED_EDGE('',*,*,#227259,.T.); #320939=ORIENTED_EDGE('',*,*,#227283,.T.); #320940=ORIENTED_EDGE('',*,*,#227284,.T.); #320941=ORIENTED_EDGE('',*,*,#227285,.T.); #320942=ORIENTED_EDGE('',*,*,#227286,.T.); #320943=ORIENTED_EDGE('',*,*,#227283,.F.); #320944=ORIENTED_EDGE('',*,*,#227287,.T.); #320945=ORIENTED_EDGE('',*,*,#227288,.F.); #320946=ORIENTED_EDGE('',*,*,#227289,.T.); #320947=ORIENTED_EDGE('',*,*,#227290,.F.); #320948=ORIENTED_EDGE('',*,*,#227291,.T.); #320949=ORIENTED_EDGE('',*,*,#227292,.T.); #320950=ORIENTED_EDGE('',*,*,#227293,.T.); #320951=ORIENTED_EDGE('',*,*,#227286,.F.); #320952=ORIENTED_EDGE('',*,*,#227294,.F.); #320953=ORIENTED_EDGE('',*,*,#227295,.F.); #320954=ORIENTED_EDGE('',*,*,#227296,.F.); #320955=ORIENTED_EDGE('',*,*,#227134,.F.); #320956=ORIENTED_EDGE('',*,*,#227297,.F.); #320957=ORIENTED_EDGE('',*,*,#227186,.F.); #320958=ORIENTED_EDGE('',*,*,#227298,.F.); #320959=ORIENTED_EDGE('',*,*,#227287,.F.); #320960=ORIENTED_EDGE('',*,*,#227187,.T.); #320961=ORIENTED_EDGE('',*,*,#227297,.T.); #320962=ORIENTED_EDGE('',*,*,#227139,.T.); #320963=ORIENTED_EDGE('',*,*,#227299,.T.); #320964=ORIENTED_EDGE('',*,*,#227300,.T.); #320965=ORIENTED_EDGE('',*,*,#227301,.T.); #320966=ORIENTED_EDGE('',*,*,#227290,.T.); #320967=ORIENTED_EDGE('',*,*,#227302,.T.); #320968=ORIENTED_EDGE('',*,*,#227285,.F.); #320969=ORIENTED_EDGE('',*,*,#227303,.T.); #320970=ORIENTED_EDGE('',*,*,#227304,.T.); #320971=ORIENTED_EDGE('',*,*,#227305,.T.); #320972=ORIENTED_EDGE('',*,*,#227300,.F.); #320973=ORIENTED_EDGE('',*,*,#227306,.T.); #320974=ORIENTED_EDGE('',*,*,#227307,.F.); #320975=ORIENTED_EDGE('',*,*,#227294,.T.); #320976=ORIENTED_EDGE('',*,*,#227302,.F.); #320977=ORIENTED_EDGE('',*,*,#227289,.F.); #320978=ORIENTED_EDGE('',*,*,#227308,.F.); #320979=ORIENTED_EDGE('',*,*,#227184,.F.); #320980=ORIENTED_EDGE('',*,*,#227309,.F.); #320981=ORIENTED_EDGE('',*,*,#226903,.F.); #320982=ORIENTED_EDGE('',*,*,#227310,.F.); #320983=ORIENTED_EDGE('',*,*,#227311,.F.); #320984=ORIENTED_EDGE('',*,*,#227306,.F.); #320985=ORIENTED_EDGE('',*,*,#226904,.T.); #320986=ORIENTED_EDGE('',*,*,#227309,.T.); #320987=ORIENTED_EDGE('',*,*,#227183,.T.); #320988=ORIENTED_EDGE('',*,*,#227312,.T.); #320989=ORIENTED_EDGE('',*,*,#227308,.T.); #320990=ORIENTED_EDGE('',*,*,#227288,.T.); #320991=ORIENTED_EDGE('',*,*,#227298,.T.); #320992=ORIENTED_EDGE('',*,*,#227185,.T.); #320993=ORIENTED_EDGE('',*,*,#227194,.T.); #320994=ORIENTED_EDGE('',*,*,#227296,.T.); #320995=ORIENTED_EDGE('',*,*,#227313,.T.); #320996=ORIENTED_EDGE('',*,*,#227310,.T.); #320997=ORIENTED_EDGE('',*,*,#227311,.T.); #320998=ORIENTED_EDGE('',*,*,#227313,.F.); #320999=ORIENTED_EDGE('',*,*,#227295,.T.); #321000=ORIENTED_EDGE('',*,*,#227307,.T.); #321001=ORIENTED_EDGE('',*,*,#227284,.F.); #321002=ORIENTED_EDGE('',*,*,#227293,.F.); #321003=ORIENTED_EDGE('',*,*,#227314,.T.); #321004=ORIENTED_EDGE('',*,*,#227303,.F.); #321005=ORIENTED_EDGE('',*,*,#227299,.F.); #321006=ORIENTED_EDGE('',*,*,#227138,.T.); #321007=ORIENTED_EDGE('',*,*,#227315,.T.); #321008=ORIENTED_EDGE('',*,*,#227188,.F.); #321009=ORIENTED_EDGE('',*,*,#227312,.F.); #321010=ORIENTED_EDGE('',*,*,#227182,.F.); #321011=ORIENTED_EDGE('',*,*,#227316,.F.); #321012=ORIENTED_EDGE('',*,*,#226905,.F.); #321013=ORIENTED_EDGE('',*,*,#227277,.T.); #321014=ORIENTED_EDGE('',*,*,#227267,.T.); #321015=ORIENTED_EDGE('',*,*,#227256,.T.); #321016=ORIENTED_EDGE('',*,*,#227145,.F.); #321017=ORIENTED_EDGE('',*,*,#227279,.F.); #321018=ORIENTED_EDGE('',*,*,#227281,.F.); #321019=ORIENTED_EDGE('',*,*,#227317,.T.); #321020=ORIENTED_EDGE('',*,*,#227270,.F.); #321021=ORIENTED_EDGE('',*,*,#227274,.T.); #321022=ORIENTED_EDGE('',*,*,#227165,.T.); #321023=ORIENTED_EDGE('',*,*,#227260,.T.); #321024=ORIENTED_EDGE('',*,*,#227282,.T.); #321025=ORIENTED_EDGE('',*,*,#227255,.F.); #321026=ORIENTED_EDGE('',*,*,#227162,.F.); #321027=ORIENTED_EDGE('',*,*,#227318,.F.); #321028=ORIENTED_EDGE('',*,*,#226900,.T.); #321029=ORIENTED_EDGE('',*,*,#227273,.F.); #321030=ORIENTED_EDGE('',*,*,#226884,.T.); #321031=ORIENTED_EDGE('',*,*,#227319,.T.); #321032=ORIENTED_EDGE('',*,*,#227168,.F.); #321033=ORIENTED_EDGE('',*,*,#227301,.F.); #321034=ORIENTED_EDGE('',*,*,#227305,.F.); #321035=ORIENTED_EDGE('',*,*,#227320,.T.); #321036=ORIENTED_EDGE('',*,*,#227291,.F.); #321037=ORIENTED_EDGE('',*,*,#226907,.T.); #321038=ORIENTED_EDGE('',*,*,#227321,.T.); #321039=ORIENTED_EDGE('',*,*,#227180,.T.); #321040=ORIENTED_EDGE('',*,*,#226467,.F.); #321041=ORIENTED_EDGE('',*,*,#226896,.T.); #321042=ORIENTED_EDGE('',*,*,#227322,.T.); #321043=ORIENTED_EDGE('',*,*,#227159,.T.); #321044=ORIENTED_EDGE('',*,*,#226463,.F.); #321045=ORIENTED_EDGE('',*,*,#227170,.T.); #321046=ORIENTED_EDGE('',*,*,#227323,.F.); #321047=ORIENTED_EDGE('',*,*,#226882,.F.); #321048=ORIENTED_EDGE('',*,*,#227253,.T.); #321049=ORIENTED_EDGE('',*,*,#227319,.F.); #321050=ORIENTED_EDGE('',*,*,#226883,.T.); #321051=ORIENTED_EDGE('',*,*,#227323,.T.); #321052=ORIENTED_EDGE('',*,*,#227169,.F.); #321053=ORIENTED_EDGE('',*,*,#227161,.T.); #321054=ORIENTED_EDGE('',*,*,#227324,.F.); #321055=ORIENTED_EDGE('',*,*,#226901,.F.); #321056=ORIENTED_EDGE('',*,*,#227318,.T.); #321057=ORIENTED_EDGE('',*,*,#227322,.F.); #321058=ORIENTED_EDGE('',*,*,#226902,.T.); #321059=ORIENTED_EDGE('',*,*,#227324,.T.); #321060=ORIENTED_EDGE('',*,*,#227160,.F.); #321061=ORIENTED_EDGE('',*,*,#227181,.F.); #321062=ORIENTED_EDGE('',*,*,#227321,.F.); #321063=ORIENTED_EDGE('',*,*,#226906,.T.); #321064=ORIENTED_EDGE('',*,*,#227316,.T.); #321065=ORIENTED_EDGE('',*,*,#227189,.F.); #321066=ORIENTED_EDGE('',*,*,#227315,.F.); #321067=ORIENTED_EDGE('',*,*,#227137,.T.); #321068=ORIENTED_EDGE('',*,*,#227252,.T.); #321069=ORIENTED_EDGE('',*,*,#227325,.F.); #321070=ORIENTED_EDGE('',*,*,#227326,.F.); #321071=ORIENTED_EDGE('',*,*,#227327,.F.); #321072=ORIENTED_EDGE('',*,*,#227328,.F.); #321073=ORIENTED_EDGE('',*,*,#227329,.F.); #321074=ORIENTED_EDGE('',*,*,#227330,.F.); #321075=ORIENTED_EDGE('',*,*,#227331,.F.); #321076=ORIENTED_EDGE('',*,*,#227332,.F.); #321077=ORIENTED_EDGE('',*,*,#227333,.F.); #321078=ORIENTED_EDGE('',*,*,#227334,.T.); #321079=ORIENTED_EDGE('',*,*,#227335,.F.); #321080=ORIENTED_EDGE('',*,*,#227336,.F.); #321081=ORIENTED_EDGE('',*,*,#227337,.F.); #321082=ORIENTED_EDGE('',*,*,#227338,.F.); #321083=ORIENTED_EDGE('',*,*,#227339,.F.); #321084=ORIENTED_EDGE('',*,*,#227340,.T.); #321085=ORIENTED_EDGE('',*,*,#227341,.F.); #321086=ORIENTED_EDGE('',*,*,#227342,.T.); #321087=ORIENTED_EDGE('',*,*,#227280,.F.); #321088=ORIENTED_EDGE('',*,*,#227343,.T.); #321089=ORIENTED_EDGE('',*,*,#227271,.F.); #321090=ORIENTED_EDGE('',*,*,#227344,.F.); #321091=ORIENTED_EDGE('',*,*,#227345,.T.); #321092=ORIENTED_EDGE('',*,*,#227346,.F.); #321093=ORIENTED_EDGE('',*,*,#227347,.F.); #321094=ORIENTED_EDGE('',*,*,#227331,.T.); #321095=ORIENTED_EDGE('',*,*,#227348,.F.); #321096=ORIENTED_EDGE('',*,*,#227327,.T.); #321097=ORIENTED_EDGE('',*,*,#227349,.F.); #321098=ORIENTED_EDGE('',*,*,#227350,.T.); #321099=ORIENTED_EDGE('',*,*,#227334,.F.); #321100=ORIENTED_EDGE('',*,*,#227351,.T.); #321101=ORIENTED_EDGE('',*,*,#227340,.F.); #321102=ORIENTED_EDGE('',*,*,#227350,.F.); #321103=ORIENTED_EDGE('',*,*,#227352,.F.); #321104=ORIENTED_EDGE('',*,*,#227353,.T.); #321105=ORIENTED_EDGE('',*,*,#227354,.F.); #321106=ORIENTED_EDGE('',*,*,#227351,.F.); #321107=ORIENTED_EDGE('',*,*,#227353,.F.); #321108=ORIENTED_EDGE('',*,*,#227355,.F.); #321109=ORIENTED_EDGE('',*,*,#227356,.T.); #321110=ORIENTED_EDGE('',*,*,#227357,.F.); #321111=ORIENTED_EDGE('',*,*,#227356,.F.); #321112=ORIENTED_EDGE('',*,*,#227358,.T.); #321113=ORIENTED_EDGE('',*,*,#227341,.T.); #321114=ORIENTED_EDGE('',*,*,#227359,.T.); #321115=ORIENTED_EDGE('',*,*,#227348,.T.); #321116=ORIENTED_EDGE('',*,*,#227330,.T.); #321117=ORIENTED_EDGE('',*,*,#227360,.F.); #321118=ORIENTED_EDGE('',*,*,#227328,.T.); #321119=ORIENTED_EDGE('',*,*,#227361,.F.); #321120=ORIENTED_EDGE('',*,*,#227332,.T.); #321121=ORIENTED_EDGE('',*,*,#227347,.T.); #321122=ORIENTED_EDGE('',*,*,#227339,.T.); #321123=ORIENTED_EDGE('',*,*,#227349,.T.); #321124=ORIENTED_EDGE('',*,*,#227326,.T.); #321125=ORIENTED_EDGE('',*,*,#227362,.F.); #321126=ORIENTED_EDGE('',*,*,#227335,.T.); #321127=ORIENTED_EDGE('',*,*,#227360,.T.); #321128=ORIENTED_EDGE('',*,*,#227329,.T.); #321129=ORIENTED_EDGE('',*,*,#227361,.T.); #321130=ORIENTED_EDGE('',*,*,#227363,.F.); #321131=ORIENTED_EDGE('',*,*,#227362,.T.); #321132=ORIENTED_EDGE('',*,*,#227325,.T.); #321133=ORIENTED_EDGE('',*,*,#227338,.T.); #321134=ORIENTED_EDGE('',*,*,#227364,.F.); #321135=ORIENTED_EDGE('',*,*,#227336,.T.); #321136=ORIENTED_EDGE('',*,*,#227363,.T.); #321137=ORIENTED_EDGE('',*,*,#227365,.F.); #321138=ORIENTED_EDGE('',*,*,#227366,.T.); #321139=ORIENTED_EDGE('',*,*,#227364,.T.); #321140=ORIENTED_EDGE('',*,*,#227367,.T.); #321141=ORIENTED_EDGE('',*,*,#227368,.F.); #321142=ORIENTED_EDGE('',*,*,#227369,.T.); #321143=ORIENTED_EDGE('',*,*,#227365,.T.); #321144=ORIENTED_EDGE('',*,*,#227370,.T.); #321145=ORIENTED_EDGE('',*,*,#227371,.F.); #321146=ORIENTED_EDGE('',*,*,#227368,.T.); #321147=ORIENTED_EDGE('',*,*,#227372,.F.); #321148=ORIENTED_EDGE('',*,*,#227345,.F.); #321149=ORIENTED_EDGE('',*,*,#227354,.T.); #321150=ORIENTED_EDGE('',*,*,#227373,.T.); #321151=ORIENTED_EDGE('',*,*,#227367,.F.); #321152=ORIENTED_EDGE('',*,*,#227337,.T.); #321153=ORIENTED_EDGE('',*,*,#227374,.F.); #321154=ORIENTED_EDGE('',*,*,#227370,.F.); #321155=ORIENTED_EDGE('',*,*,#227373,.F.); #321156=ORIENTED_EDGE('',*,*,#227357,.T.); #321157=ORIENTED_EDGE('',*,*,#227359,.F.); #321158=ORIENTED_EDGE('',*,*,#227375,.T.); #321159=ORIENTED_EDGE('',*,*,#227372,.T.); #321160=ORIENTED_EDGE('',*,*,#227374,.T.); #321161=ORIENTED_EDGE('',*,*,#227375,.F.); #321162=ORIENTED_EDGE('',*,*,#227343,.F.); #321163=ORIENTED_EDGE('',*,*,#227265,.F.); #321164=ORIENTED_EDGE('',*,*,#227346,.T.); #321165=ORIENTED_EDGE('',*,*,#227366,.F.); #321166=ORIENTED_EDGE('',*,*,#227376,.T.); #321167=ORIENTED_EDGE('',*,*,#227352,.T.); #321168=ORIENTED_EDGE('',*,*,#227333,.T.); #321169=ORIENTED_EDGE('',*,*,#227377,.F.); #321170=ORIENTED_EDGE('',*,*,#227355,.T.); #321171=ORIENTED_EDGE('',*,*,#227376,.F.); #321172=ORIENTED_EDGE('',*,*,#227369,.F.); #321173=ORIENTED_EDGE('',*,*,#227371,.T.); #321174=ORIENTED_EDGE('',*,*,#227378,.T.); #321175=ORIENTED_EDGE('',*,*,#227358,.F.); #321176=ORIENTED_EDGE('',*,*,#227377,.T.); #321177=ORIENTED_EDGE('',*,*,#227378,.F.); #321178=ORIENTED_EDGE('',*,*,#227344,.T.); #321179=ORIENTED_EDGE('',*,*,#227317,.F.); #321180=ORIENTED_EDGE('',*,*,#227342,.F.); #321181=ORIENTED_EDGE('',*,*,#227379,.F.); #321182=ORIENTED_EDGE('',*,*,#227380,.F.); #321183=ORIENTED_EDGE('',*,*,#227381,.F.); #321184=ORIENTED_EDGE('',*,*,#227382,.F.); #321185=ORIENTED_EDGE('',*,*,#227383,.F.); #321186=ORIENTED_EDGE('',*,*,#227384,.F.); #321187=ORIENTED_EDGE('',*,*,#227385,.F.); #321188=ORIENTED_EDGE('',*,*,#227386,.F.); #321189=ORIENTED_EDGE('',*,*,#227387,.F.); #321190=ORIENTED_EDGE('',*,*,#227388,.T.); #321191=ORIENTED_EDGE('',*,*,#227389,.F.); #321192=ORIENTED_EDGE('',*,*,#227390,.F.); #321193=ORIENTED_EDGE('',*,*,#227391,.F.); #321194=ORIENTED_EDGE('',*,*,#227392,.F.); #321195=ORIENTED_EDGE('',*,*,#227393,.F.); #321196=ORIENTED_EDGE('',*,*,#227394,.T.); #321197=ORIENTED_EDGE('',*,*,#227395,.F.); #321198=ORIENTED_EDGE('',*,*,#227396,.T.); #321199=ORIENTED_EDGE('',*,*,#227397,.F.); #321200=ORIENTED_EDGE('',*,*,#227292,.F.); #321201=ORIENTED_EDGE('',*,*,#227398,.T.); #321202=ORIENTED_EDGE('',*,*,#227399,.F.); #321203=ORIENTED_EDGE('',*,*,#227400,.T.); #321204=ORIENTED_EDGE('',*,*,#227304,.F.); #321205=ORIENTED_EDGE('',*,*,#227401,.T.); #321206=ORIENTED_EDGE('',*,*,#227383,.T.); #321207=ORIENTED_EDGE('',*,*,#227402,.T.); #321208=ORIENTED_EDGE('',*,*,#227403,.F.); #321209=ORIENTED_EDGE('',*,*,#227404,.T.); #321210=ORIENTED_EDGE('',*,*,#227379,.T.); #321211=ORIENTED_EDGE('',*,*,#227405,.F.); #321212=ORIENTED_EDGE('',*,*,#227390,.T.); #321213=ORIENTED_EDGE('',*,*,#227403,.T.); #321214=ORIENTED_EDGE('',*,*,#227392,.T.); #321215=ORIENTED_EDGE('',*,*,#227406,.F.); #321216=ORIENTED_EDGE('',*,*,#227407,.T.); #321217=ORIENTED_EDGE('',*,*,#227405,.T.); #321218=ORIENTED_EDGE('',*,*,#227408,.T.); #321219=ORIENTED_EDGE('',*,*,#227409,.F.); #321220=ORIENTED_EDGE('',*,*,#227410,.T.); #321221=ORIENTED_EDGE('',*,*,#227411,.F.); #321222=ORIENTED_EDGE('',*,*,#227396,.F.); #321223=ORIENTED_EDGE('',*,*,#227412,.T.); #321224=ORIENTED_EDGE('',*,*,#227384,.T.); #321225=ORIENTED_EDGE('',*,*,#227401,.F.); #321226=ORIENTED_EDGE('',*,*,#227382,.T.); #321227=ORIENTED_EDGE('',*,*,#227402,.F.); #321228=ORIENTED_EDGE('',*,*,#227386,.T.); #321229=ORIENTED_EDGE('',*,*,#227413,.T.); #321230=ORIENTED_EDGE('',*,*,#227393,.T.); #321231=ORIENTED_EDGE('',*,*,#227414,.T.); #321232=ORIENTED_EDGE('',*,*,#227380,.T.); #321233=ORIENTED_EDGE('',*,*,#227404,.F.); #321234=ORIENTED_EDGE('',*,*,#227389,.T.); #321235=ORIENTED_EDGE('',*,*,#227413,.F.); #321236=ORIENTED_EDGE('',*,*,#227385,.T.); #321237=ORIENTED_EDGE('',*,*,#227412,.F.); #321238=ORIENTED_EDGE('',*,*,#227381,.T.); #321239=ORIENTED_EDGE('',*,*,#227414,.F.); #321240=ORIENTED_EDGE('',*,*,#227415,.T.); #321241=ORIENTED_EDGE('',*,*,#227415,.F.); #321242=ORIENTED_EDGE('',*,*,#227388,.F.); #321243=ORIENTED_EDGE('',*,*,#227416,.T.); #321244=ORIENTED_EDGE('',*,*,#227394,.F.); #321245=ORIENTED_EDGE('',*,*,#227417,.F.); #321246=ORIENTED_EDGE('',*,*,#227418,.T.); #321247=ORIENTED_EDGE('',*,*,#227419,.F.); #321248=ORIENTED_EDGE('',*,*,#227416,.F.); #321249=ORIENTED_EDGE('',*,*,#227420,.F.); #321250=ORIENTED_EDGE('',*,*,#227421,.T.); #321251=ORIENTED_EDGE('',*,*,#227399,.T.); #321252=ORIENTED_EDGE('',*,*,#227422,.T.); #321253=ORIENTED_EDGE('',*,*,#227419,.T.); #321254=ORIENTED_EDGE('',*,*,#227423,.T.); #321255=ORIENTED_EDGE('',*,*,#227408,.F.); #321256=ORIENTED_EDGE('',*,*,#227391,.T.); #321257=ORIENTED_EDGE('',*,*,#227424,.F.); #321258=ORIENTED_EDGE('',*,*,#227425,.F.); #321259=ORIENTED_EDGE('',*,*,#227423,.F.); #321260=ORIENTED_EDGE('',*,*,#227426,.T.); #321261=ORIENTED_EDGE('',*,*,#227422,.F.); #321262=ORIENTED_EDGE('',*,*,#227427,.T.); #321263=ORIENTED_EDGE('',*,*,#227411,.T.); #321264=ORIENTED_EDGE('',*,*,#227424,.T.); #321265=ORIENTED_EDGE('',*,*,#227427,.F.); #321266=ORIENTED_EDGE('',*,*,#227398,.F.); #321267=ORIENTED_EDGE('',*,*,#227314,.F.); #321268=ORIENTED_EDGE('',*,*,#227397,.T.); #321269=ORIENTED_EDGE('',*,*,#227407,.F.); #321270=ORIENTED_EDGE('',*,*,#227428,.T.); #321271=ORIENTED_EDGE('',*,*,#227417,.T.); #321272=ORIENTED_EDGE('',*,*,#227387,.T.); #321273=ORIENTED_EDGE('',*,*,#227429,.F.); #321274=ORIENTED_EDGE('',*,*,#227395,.T.); #321275=ORIENTED_EDGE('',*,*,#227320,.F.); #321276=ORIENTED_EDGE('',*,*,#227400,.F.); #321277=ORIENTED_EDGE('',*,*,#227409,.T.); #321278=ORIENTED_EDGE('',*,*,#227429,.T.); #321279=ORIENTED_EDGE('',*,*,#227421,.F.); #321280=ORIENTED_EDGE('',*,*,#227430,.T.); #321281=ORIENTED_EDGE('',*,*,#227431,.F.); #321282=ORIENTED_EDGE('',*,*,#227420,.T.); #321283=ORIENTED_EDGE('',*,*,#227426,.F.); #321284=ORIENTED_EDGE('',*,*,#227418,.F.); #321285=ORIENTED_EDGE('',*,*,#227430,.F.); #321286=ORIENTED_EDGE('',*,*,#227431,.T.); #321287=ORIENTED_EDGE('',*,*,#227428,.F.); #321288=ORIENTED_EDGE('',*,*,#227432,.F.); #321289=ORIENTED_EDGE('',*,*,#227410,.F.); #321290=ORIENTED_EDGE('',*,*,#227432,.T.); #321291=ORIENTED_EDGE('',*,*,#227406,.T.); #321292=ORIENTED_EDGE('',*,*,#227425,.T.); #321293=ORIENTED_EDGE('',*,*,#227433,.F.); #321294=ORIENTED_EDGE('',*,*,#227434,.T.); #321295=ORIENTED_EDGE('',*,*,#227435,.T.); #321296=ORIENTED_EDGE('',*,*,#227434,.F.); #321297=ORIENTED_EDGE('',*,*,#227435,.F.); #321298=ORIENTED_EDGE('',*,*,#227436,.T.); #321299=ORIENTED_EDGE('',*,*,#227437,.T.); #321300=ORIENTED_EDGE('',*,*,#227438,.T.); #321301=ORIENTED_EDGE('',*,*,#227439,.T.); #321302=ORIENTED_EDGE('',*,*,#227440,.T.); #321303=ORIENTED_EDGE('',*,*,#227437,.F.); #321304=ORIENTED_EDGE('',*,*,#227441,.T.); #321305=ORIENTED_EDGE('',*,*,#227442,.F.); #321306=ORIENTED_EDGE('',*,*,#227443,.T.); #321307=ORIENTED_EDGE('',*,*,#227439,.F.); #321308=ORIENTED_EDGE('',*,*,#227444,.T.); #321309=ORIENTED_EDGE('',*,*,#227445,.T.); #321310=ORIENTED_EDGE('',*,*,#227446,.T.); #321311=ORIENTED_EDGE('',*,*,#227445,.F.); #321312=ORIENTED_EDGE('',*,*,#227447,.T.); #321313=ORIENTED_EDGE('',*,*,#227442,.T.); #321314=ORIENTED_EDGE('',*,*,#227444,.F.); #321315=ORIENTED_EDGE('',*,*,#227448,.T.); #321316=ORIENTED_EDGE('',*,*,#227449,.F.); #321317=ORIENTED_EDGE('',*,*,#227450,.F.); #321318=ORIENTED_EDGE('',*,*,#227438,.F.); #321319=ORIENTED_EDGE('',*,*,#227451,.T.); #321320=ORIENTED_EDGE('',*,*,#227452,.F.); #321321=ORIENTED_EDGE('',*,*,#227448,.F.); #321322=ORIENTED_EDGE('',*,*,#227436,.F.); #321323=ORIENTED_EDGE('',*,*,#227443,.F.); #321324=ORIENTED_EDGE('',*,*,#227446,.F.); #321325=ORIENTED_EDGE('',*,*,#227441,.F.); #321326=ORIENTED_EDGE('',*,*,#227433,.T.); #321327=ORIENTED_EDGE('',*,*,#227447,.F.); #321328=ORIENTED_EDGE('',*,*,#227450,.T.); #321329=ORIENTED_EDGE('',*,*,#227453,.F.); #321330=ORIENTED_EDGE('',*,*,#227454,.F.); #321331=ORIENTED_EDGE('',*,*,#227440,.F.); #321332=ORIENTED_EDGE('',*,*,#227454,.T.); #321333=ORIENTED_EDGE('',*,*,#227455,.F.); #321334=ORIENTED_EDGE('',*,*,#227451,.F.); #321335=ORIENTED_EDGE('',*,*,#227452,.T.); #321336=ORIENTED_EDGE('',*,*,#227455,.T.); #321337=ORIENTED_EDGE('',*,*,#227453,.T.); #321338=ORIENTED_EDGE('',*,*,#227449,.T.); #321339=ORIENTED_EDGE('',*,*,#227456,.F.); #321340=ORIENTED_EDGE('',*,*,#227457,.T.); #321341=ORIENTED_EDGE('',*,*,#227458,.T.); #321342=ORIENTED_EDGE('',*,*,#227459,.F.); #321343=ORIENTED_EDGE('',*,*,#227456,.T.); #321344=ORIENTED_EDGE('',*,*,#227460,.T.); #321345=ORIENTED_EDGE('',*,*,#227461,.T.); #321346=ORIENTED_EDGE('',*,*,#227462,.T.); #321347=ORIENTED_EDGE('',*,*,#227463,.T.); #321348=ORIENTED_EDGE('',*,*,#227464,.T.); #321349=ORIENTED_EDGE('',*,*,#227465,.F.); #321350=ORIENTED_EDGE('',*,*,#227466,.F.); #321351=ORIENTED_EDGE('',*,*,#227467,.T.); #321352=ORIENTED_EDGE('',*,*,#227466,.T.); #321353=ORIENTED_EDGE('',*,*,#227468,.F.); #321354=ORIENTED_EDGE('',*,*,#227458,.F.); #321355=ORIENTED_EDGE('',*,*,#227461,.F.); #321356=ORIENTED_EDGE('',*,*,#227469,.F.); #321357=ORIENTED_EDGE('',*,*,#227470,.F.); #321358=ORIENTED_EDGE('',*,*,#227471,.T.); #321359=ORIENTED_EDGE('',*,*,#227472,.T.); #321360=ORIENTED_EDGE('',*,*,#227470,.T.); #321361=ORIENTED_EDGE('',*,*,#227473,.F.); #321362=ORIENTED_EDGE('',*,*,#227464,.F.); #321363=ORIENTED_EDGE('',*,*,#227460,.F.); #321364=ORIENTED_EDGE('',*,*,#227459,.T.); #321365=ORIENTED_EDGE('',*,*,#227468,.T.); #321366=ORIENTED_EDGE('',*,*,#227465,.T.); #321367=ORIENTED_EDGE('',*,*,#227473,.T.); #321368=ORIENTED_EDGE('',*,*,#227469,.T.); #321369=ORIENTED_EDGE('',*,*,#227462,.F.); #321370=ORIENTED_EDGE('',*,*,#227471,.F.); #321371=ORIENTED_EDGE('',*,*,#227472,.F.); #321372=ORIENTED_EDGE('',*,*,#227463,.F.); #321373=ORIENTED_EDGE('',*,*,#227467,.F.); #321374=ORIENTED_EDGE('',*,*,#227457,.F.); #321375=ORIENTED_EDGE('',*,*,#227474,.T.); #321376=ORIENTED_EDGE('',*,*,#227475,.T.); #321377=ORIENTED_EDGE('',*,*,#227476,.F.); #321378=ORIENTED_EDGE('',*,*,#227477,.F.); #321379=ORIENTED_EDGE('',*,*,#227474,.F.); #321380=ORIENTED_EDGE('',*,*,#227478,.F.); #321381=ORIENTED_EDGE('',*,*,#227479,.F.); #321382=ORIENTED_EDGE('',*,*,#227480,.F.); #321383=ORIENTED_EDGE('',*,*,#227481,.F.); #321384=ORIENTED_EDGE('',*,*,#227482,.T.); #321385=ORIENTED_EDGE('',*,*,#227483,.T.); #321386=ORIENTED_EDGE('',*,*,#227484,.F.); #321387=ORIENTED_EDGE('',*,*,#227485,.F.); #321388=ORIENTED_EDGE('',*,*,#227476,.T.); #321389=ORIENTED_EDGE('',*,*,#227486,.T.); #321390=ORIENTED_EDGE('',*,*,#227482,.F.); #321391=ORIENTED_EDGE('',*,*,#227479,.T.); #321392=ORIENTED_EDGE('',*,*,#227487,.F.); #321393=ORIENTED_EDGE('',*,*,#227488,.T.); #321394=ORIENTED_EDGE('',*,*,#227489,.T.); #321395=ORIENTED_EDGE('',*,*,#227490,.F.); #321396=ORIENTED_EDGE('',*,*,#227484,.T.); #321397=ORIENTED_EDGE('',*,*,#227491,.T.); #321398=ORIENTED_EDGE('',*,*,#227488,.F.); #321399=ORIENTED_EDGE('',*,*,#227480,.T.); #321400=ORIENTED_EDGE('',*,*,#227489,.F.); #321401=ORIENTED_EDGE('',*,*,#227491,.F.); #321402=ORIENTED_EDGE('',*,*,#227483,.F.); #321403=ORIENTED_EDGE('',*,*,#227486,.F.); #321404=ORIENTED_EDGE('',*,*,#227475,.F.); #321405=ORIENTED_EDGE('',*,*,#227478,.T.); #321406=ORIENTED_EDGE('',*,*,#227477,.T.); #321407=ORIENTED_EDGE('',*,*,#227485,.T.); #321408=ORIENTED_EDGE('',*,*,#227481,.T.); #321409=ORIENTED_EDGE('',*,*,#227490,.T.); #321410=ORIENTED_EDGE('',*,*,#227487,.T.); #321411=ORIENTED_EDGE('',*,*,#227492,.F.); #321412=ORIENTED_EDGE('',*,*,#227493,.T.); #321413=ORIENTED_EDGE('',*,*,#227494,.T.); #321414=ORIENTED_EDGE('',*,*,#227495,.F.); #321415=ORIENTED_EDGE('',*,*,#227492,.T.); #321416=ORIENTED_EDGE('',*,*,#227496,.T.); #321417=ORIENTED_EDGE('',*,*,#227497,.T.); #321418=ORIENTED_EDGE('',*,*,#227498,.T.); #321419=ORIENTED_EDGE('',*,*,#227499,.T.); #321420=ORIENTED_EDGE('',*,*,#227500,.T.); #321421=ORIENTED_EDGE('',*,*,#227501,.F.); #321422=ORIENTED_EDGE('',*,*,#227502,.F.); #321423=ORIENTED_EDGE('',*,*,#227503,.T.); #321424=ORIENTED_EDGE('',*,*,#227502,.T.); #321425=ORIENTED_EDGE('',*,*,#227504,.F.); #321426=ORIENTED_EDGE('',*,*,#227494,.F.); #321427=ORIENTED_EDGE('',*,*,#227497,.F.); #321428=ORIENTED_EDGE('',*,*,#227505,.F.); #321429=ORIENTED_EDGE('',*,*,#227506,.F.); #321430=ORIENTED_EDGE('',*,*,#227507,.T.); #321431=ORIENTED_EDGE('',*,*,#227508,.T.); #321432=ORIENTED_EDGE('',*,*,#227506,.T.); #321433=ORIENTED_EDGE('',*,*,#227509,.F.); #321434=ORIENTED_EDGE('',*,*,#227500,.F.); #321435=ORIENTED_EDGE('',*,*,#227496,.F.); #321436=ORIENTED_EDGE('',*,*,#227495,.T.); #321437=ORIENTED_EDGE('',*,*,#227504,.T.); #321438=ORIENTED_EDGE('',*,*,#227501,.T.); #321439=ORIENTED_EDGE('',*,*,#227509,.T.); #321440=ORIENTED_EDGE('',*,*,#227505,.T.); #321441=ORIENTED_EDGE('',*,*,#227498,.F.); #321442=ORIENTED_EDGE('',*,*,#227507,.F.); #321443=ORIENTED_EDGE('',*,*,#227508,.F.); #321444=ORIENTED_EDGE('',*,*,#227499,.F.); #321445=ORIENTED_EDGE('',*,*,#227503,.F.); #321446=ORIENTED_EDGE('',*,*,#227493,.F.); #321447=ORIENTED_EDGE('',*,*,#227510,.F.); #321448=ORIENTED_EDGE('',*,*,#227511,.T.); #321449=ORIENTED_EDGE('',*,*,#227512,.T.); #321450=ORIENTED_EDGE('',*,*,#227513,.F.); #321451=ORIENTED_EDGE('',*,*,#227510,.T.); #321452=ORIENTED_EDGE('',*,*,#227514,.T.); #321453=ORIENTED_EDGE('',*,*,#227515,.T.); #321454=ORIENTED_EDGE('',*,*,#227516,.T.); #321455=ORIENTED_EDGE('',*,*,#227517,.T.); #321456=ORIENTED_EDGE('',*,*,#227518,.T.); #321457=ORIENTED_EDGE('',*,*,#227519,.F.); #321458=ORIENTED_EDGE('',*,*,#227520,.F.); #321459=ORIENTED_EDGE('',*,*,#227521,.T.); #321460=ORIENTED_EDGE('',*,*,#227520,.T.); #321461=ORIENTED_EDGE('',*,*,#227522,.F.); #321462=ORIENTED_EDGE('',*,*,#227512,.F.); #321463=ORIENTED_EDGE('',*,*,#227515,.F.); #321464=ORIENTED_EDGE('',*,*,#227523,.F.); #321465=ORIENTED_EDGE('',*,*,#227524,.F.); #321466=ORIENTED_EDGE('',*,*,#227525,.T.); #321467=ORIENTED_EDGE('',*,*,#227526,.T.); #321468=ORIENTED_EDGE('',*,*,#227524,.T.); #321469=ORIENTED_EDGE('',*,*,#227527,.F.); #321470=ORIENTED_EDGE('',*,*,#227518,.F.); #321471=ORIENTED_EDGE('',*,*,#227514,.F.); #321472=ORIENTED_EDGE('',*,*,#227513,.T.); #321473=ORIENTED_EDGE('',*,*,#227522,.T.); #321474=ORIENTED_EDGE('',*,*,#227519,.T.); #321475=ORIENTED_EDGE('',*,*,#227527,.T.); #321476=ORIENTED_EDGE('',*,*,#227523,.T.); #321477=ORIENTED_EDGE('',*,*,#227516,.F.); #321478=ORIENTED_EDGE('',*,*,#227525,.F.); #321479=ORIENTED_EDGE('',*,*,#227526,.F.); #321480=ORIENTED_EDGE('',*,*,#227517,.F.); #321481=ORIENTED_EDGE('',*,*,#227521,.F.); #321482=ORIENTED_EDGE('',*,*,#227511,.F.); #321483=ORIENTED_EDGE('',*,*,#227528,.F.); #321484=ORIENTED_EDGE('',*,*,#227529,.T.); #321485=ORIENTED_EDGE('',*,*,#227530,.T.); #321486=ORIENTED_EDGE('',*,*,#227531,.F.); #321487=ORIENTED_EDGE('',*,*,#227528,.T.); #321488=ORIENTED_EDGE('',*,*,#227532,.T.); #321489=ORIENTED_EDGE('',*,*,#227533,.T.); #321490=ORIENTED_EDGE('',*,*,#227534,.T.); #321491=ORIENTED_EDGE('',*,*,#227535,.T.); #321492=ORIENTED_EDGE('',*,*,#227536,.T.); #321493=ORIENTED_EDGE('',*,*,#227537,.F.); #321494=ORIENTED_EDGE('',*,*,#227538,.F.); #321495=ORIENTED_EDGE('',*,*,#227539,.T.); #321496=ORIENTED_EDGE('',*,*,#227538,.T.); #321497=ORIENTED_EDGE('',*,*,#227540,.F.); #321498=ORIENTED_EDGE('',*,*,#227530,.F.); #321499=ORIENTED_EDGE('',*,*,#227533,.F.); #321500=ORIENTED_EDGE('',*,*,#227541,.F.); #321501=ORIENTED_EDGE('',*,*,#227542,.F.); #321502=ORIENTED_EDGE('',*,*,#227543,.T.); #321503=ORIENTED_EDGE('',*,*,#227544,.T.); #321504=ORIENTED_EDGE('',*,*,#227542,.T.); #321505=ORIENTED_EDGE('',*,*,#227545,.F.); #321506=ORIENTED_EDGE('',*,*,#227536,.F.); #321507=ORIENTED_EDGE('',*,*,#227532,.F.); #321508=ORIENTED_EDGE('',*,*,#227531,.T.); #321509=ORIENTED_EDGE('',*,*,#227540,.T.); #321510=ORIENTED_EDGE('',*,*,#227537,.T.); #321511=ORIENTED_EDGE('',*,*,#227545,.T.); #321512=ORIENTED_EDGE('',*,*,#227541,.T.); #321513=ORIENTED_EDGE('',*,*,#227534,.F.); #321514=ORIENTED_EDGE('',*,*,#227543,.F.); #321515=ORIENTED_EDGE('',*,*,#227544,.F.); #321516=ORIENTED_EDGE('',*,*,#227535,.F.); #321517=ORIENTED_EDGE('',*,*,#227539,.F.); #321518=ORIENTED_EDGE('',*,*,#227529,.F.); #321519=ORIENTED_EDGE('',*,*,#227546,.F.); #321520=ORIENTED_EDGE('',*,*,#227547,.T.); #321521=ORIENTED_EDGE('',*,*,#227548,.T.); #321522=ORIENTED_EDGE('',*,*,#227549,.F.); #321523=ORIENTED_EDGE('',*,*,#227546,.T.); #321524=ORIENTED_EDGE('',*,*,#227550,.T.); #321525=ORIENTED_EDGE('',*,*,#227551,.T.); #321526=ORIENTED_EDGE('',*,*,#227552,.T.); #321527=ORIENTED_EDGE('',*,*,#227553,.T.); #321528=ORIENTED_EDGE('',*,*,#227554,.T.); #321529=ORIENTED_EDGE('',*,*,#227555,.F.); #321530=ORIENTED_EDGE('',*,*,#227556,.F.); #321531=ORIENTED_EDGE('',*,*,#227557,.T.); #321532=ORIENTED_EDGE('',*,*,#227556,.T.); #321533=ORIENTED_EDGE('',*,*,#227558,.F.); #321534=ORIENTED_EDGE('',*,*,#227548,.F.); #321535=ORIENTED_EDGE('',*,*,#227551,.F.); #321536=ORIENTED_EDGE('',*,*,#227559,.F.); #321537=ORIENTED_EDGE('',*,*,#227560,.F.); #321538=ORIENTED_EDGE('',*,*,#227561,.T.); #321539=ORIENTED_EDGE('',*,*,#227562,.T.); #321540=ORIENTED_EDGE('',*,*,#227560,.T.); #321541=ORIENTED_EDGE('',*,*,#227563,.F.); #321542=ORIENTED_EDGE('',*,*,#227554,.F.); #321543=ORIENTED_EDGE('',*,*,#227550,.F.); #321544=ORIENTED_EDGE('',*,*,#227549,.T.); #321545=ORIENTED_EDGE('',*,*,#227558,.T.); #321546=ORIENTED_EDGE('',*,*,#227555,.T.); #321547=ORIENTED_EDGE('',*,*,#227563,.T.); #321548=ORIENTED_EDGE('',*,*,#227559,.T.); #321549=ORIENTED_EDGE('',*,*,#227552,.F.); #321550=ORIENTED_EDGE('',*,*,#227561,.F.); #321551=ORIENTED_EDGE('',*,*,#227562,.F.); #321552=ORIENTED_EDGE('',*,*,#227553,.F.); #321553=ORIENTED_EDGE('',*,*,#227557,.F.); #321554=ORIENTED_EDGE('',*,*,#227547,.F.); #321555=ORIENTED_EDGE('',*,*,#227564,.F.); #321556=ORIENTED_EDGE('',*,*,#227565,.T.); #321557=ORIENTED_EDGE('',*,*,#227566,.T.); #321558=ORIENTED_EDGE('',*,*,#227567,.F.); #321559=ORIENTED_EDGE('',*,*,#227564,.T.); #321560=ORIENTED_EDGE('',*,*,#227568,.T.); #321561=ORIENTED_EDGE('',*,*,#227569,.T.); #321562=ORIENTED_EDGE('',*,*,#227570,.T.); #321563=ORIENTED_EDGE('',*,*,#227571,.T.); #321564=ORIENTED_EDGE('',*,*,#227572,.T.); #321565=ORIENTED_EDGE('',*,*,#227573,.F.); #321566=ORIENTED_EDGE('',*,*,#227574,.F.); #321567=ORIENTED_EDGE('',*,*,#227575,.T.); #321568=ORIENTED_EDGE('',*,*,#227574,.T.); #321569=ORIENTED_EDGE('',*,*,#227576,.F.); #321570=ORIENTED_EDGE('',*,*,#227566,.F.); #321571=ORIENTED_EDGE('',*,*,#227569,.F.); #321572=ORIENTED_EDGE('',*,*,#227577,.F.); #321573=ORIENTED_EDGE('',*,*,#227578,.F.); #321574=ORIENTED_EDGE('',*,*,#227579,.T.); #321575=ORIENTED_EDGE('',*,*,#227580,.T.); #321576=ORIENTED_EDGE('',*,*,#227578,.T.); #321577=ORIENTED_EDGE('',*,*,#227581,.F.); #321578=ORIENTED_EDGE('',*,*,#227572,.F.); #321579=ORIENTED_EDGE('',*,*,#227568,.F.); #321580=ORIENTED_EDGE('',*,*,#227567,.T.); #321581=ORIENTED_EDGE('',*,*,#227576,.T.); #321582=ORIENTED_EDGE('',*,*,#227573,.T.); #321583=ORIENTED_EDGE('',*,*,#227581,.T.); #321584=ORIENTED_EDGE('',*,*,#227577,.T.); #321585=ORIENTED_EDGE('',*,*,#227570,.F.); #321586=ORIENTED_EDGE('',*,*,#227579,.F.); #321587=ORIENTED_EDGE('',*,*,#227580,.F.); #321588=ORIENTED_EDGE('',*,*,#227571,.F.); #321589=ORIENTED_EDGE('',*,*,#227575,.F.); #321590=ORIENTED_EDGE('',*,*,#227565,.F.); #321591=ORIENTED_EDGE('',*,*,#227582,.F.); #321592=ORIENTED_EDGE('',*,*,#227583,.T.); #321593=ORIENTED_EDGE('',*,*,#227584,.T.); #321594=ORIENTED_EDGE('',*,*,#227585,.F.); #321595=ORIENTED_EDGE('',*,*,#227582,.T.); #321596=ORIENTED_EDGE('',*,*,#227586,.T.); #321597=ORIENTED_EDGE('',*,*,#227587,.T.); #321598=ORIENTED_EDGE('',*,*,#227588,.T.); #321599=ORIENTED_EDGE('',*,*,#227589,.T.); #321600=ORIENTED_EDGE('',*,*,#227590,.T.); #321601=ORIENTED_EDGE('',*,*,#227591,.F.); #321602=ORIENTED_EDGE('',*,*,#227592,.F.); #321603=ORIENTED_EDGE('',*,*,#227593,.T.); #321604=ORIENTED_EDGE('',*,*,#227592,.T.); #321605=ORIENTED_EDGE('',*,*,#227594,.F.); #321606=ORIENTED_EDGE('',*,*,#227584,.F.); #321607=ORIENTED_EDGE('',*,*,#227587,.F.); #321608=ORIENTED_EDGE('',*,*,#227595,.F.); #321609=ORIENTED_EDGE('',*,*,#227596,.F.); #321610=ORIENTED_EDGE('',*,*,#227597,.T.); #321611=ORIENTED_EDGE('',*,*,#227598,.T.); #321612=ORIENTED_EDGE('',*,*,#227596,.T.); #321613=ORIENTED_EDGE('',*,*,#227599,.F.); #321614=ORIENTED_EDGE('',*,*,#227590,.F.); #321615=ORIENTED_EDGE('',*,*,#227586,.F.); #321616=ORIENTED_EDGE('',*,*,#227585,.T.); #321617=ORIENTED_EDGE('',*,*,#227594,.T.); #321618=ORIENTED_EDGE('',*,*,#227591,.T.); #321619=ORIENTED_EDGE('',*,*,#227599,.T.); #321620=ORIENTED_EDGE('',*,*,#227595,.T.); #321621=ORIENTED_EDGE('',*,*,#227588,.F.); #321622=ORIENTED_EDGE('',*,*,#227597,.F.); #321623=ORIENTED_EDGE('',*,*,#227598,.F.); #321624=ORIENTED_EDGE('',*,*,#227589,.F.); #321625=ORIENTED_EDGE('',*,*,#227593,.F.); #321626=ORIENTED_EDGE('',*,*,#227583,.F.); #321627=ORIENTED_EDGE('',*,*,#227600,.F.); #321628=ORIENTED_EDGE('',*,*,#227601,.T.); #321629=ORIENTED_EDGE('',*,*,#227602,.T.); #321630=ORIENTED_EDGE('',*,*,#227603,.F.); #321631=ORIENTED_EDGE('',*,*,#227600,.T.); #321632=ORIENTED_EDGE('',*,*,#227604,.T.); #321633=ORIENTED_EDGE('',*,*,#227605,.T.); #321634=ORIENTED_EDGE('',*,*,#227606,.T.); #321635=ORIENTED_EDGE('',*,*,#227607,.T.); #321636=ORIENTED_EDGE('',*,*,#227608,.T.); #321637=ORIENTED_EDGE('',*,*,#227609,.F.); #321638=ORIENTED_EDGE('',*,*,#227610,.F.); #321639=ORIENTED_EDGE('',*,*,#227611,.T.); #321640=ORIENTED_EDGE('',*,*,#227610,.T.); #321641=ORIENTED_EDGE('',*,*,#227612,.F.); #321642=ORIENTED_EDGE('',*,*,#227602,.F.); #321643=ORIENTED_EDGE('',*,*,#227605,.F.); #321644=ORIENTED_EDGE('',*,*,#227613,.F.); #321645=ORIENTED_EDGE('',*,*,#227614,.F.); #321646=ORIENTED_EDGE('',*,*,#227615,.T.); #321647=ORIENTED_EDGE('',*,*,#227616,.T.); #321648=ORIENTED_EDGE('',*,*,#227614,.T.); #321649=ORIENTED_EDGE('',*,*,#227617,.F.); #321650=ORIENTED_EDGE('',*,*,#227608,.F.); #321651=ORIENTED_EDGE('',*,*,#227604,.F.); #321652=ORIENTED_EDGE('',*,*,#227603,.T.); #321653=ORIENTED_EDGE('',*,*,#227612,.T.); #321654=ORIENTED_EDGE('',*,*,#227609,.T.); #321655=ORIENTED_EDGE('',*,*,#227617,.T.); #321656=ORIENTED_EDGE('',*,*,#227613,.T.); #321657=ORIENTED_EDGE('',*,*,#227606,.F.); #321658=ORIENTED_EDGE('',*,*,#227615,.F.); #321659=ORIENTED_EDGE('',*,*,#227616,.F.); #321660=ORIENTED_EDGE('',*,*,#227607,.F.); #321661=ORIENTED_EDGE('',*,*,#227611,.F.); #321662=ORIENTED_EDGE('',*,*,#227601,.F.); #321663=ORIENTED_EDGE('',*,*,#227618,.F.); #321664=ORIENTED_EDGE('',*,*,#227619,.T.); #321665=ORIENTED_EDGE('',*,*,#227620,.T.); #321666=ORIENTED_EDGE('',*,*,#227621,.F.); #321667=ORIENTED_EDGE('',*,*,#227618,.T.); #321668=ORIENTED_EDGE('',*,*,#227622,.T.); #321669=ORIENTED_EDGE('',*,*,#227623,.T.); #321670=ORIENTED_EDGE('',*,*,#227624,.T.); #321671=ORIENTED_EDGE('',*,*,#227625,.T.); #321672=ORIENTED_EDGE('',*,*,#227626,.T.); #321673=ORIENTED_EDGE('',*,*,#227627,.F.); #321674=ORIENTED_EDGE('',*,*,#227628,.F.); #321675=ORIENTED_EDGE('',*,*,#227629,.T.); #321676=ORIENTED_EDGE('',*,*,#227628,.T.); #321677=ORIENTED_EDGE('',*,*,#227630,.F.); #321678=ORIENTED_EDGE('',*,*,#227620,.F.); #321679=ORIENTED_EDGE('',*,*,#227623,.F.); #321680=ORIENTED_EDGE('',*,*,#227631,.F.); #321681=ORIENTED_EDGE('',*,*,#227632,.F.); #321682=ORIENTED_EDGE('',*,*,#227633,.T.); #321683=ORIENTED_EDGE('',*,*,#227634,.T.); #321684=ORIENTED_EDGE('',*,*,#227632,.T.); #321685=ORIENTED_EDGE('',*,*,#227635,.F.); #321686=ORIENTED_EDGE('',*,*,#227626,.F.); #321687=ORIENTED_EDGE('',*,*,#227622,.F.); #321688=ORIENTED_EDGE('',*,*,#227621,.T.); #321689=ORIENTED_EDGE('',*,*,#227630,.T.); #321690=ORIENTED_EDGE('',*,*,#227627,.T.); #321691=ORIENTED_EDGE('',*,*,#227635,.T.); #321692=ORIENTED_EDGE('',*,*,#227631,.T.); #321693=ORIENTED_EDGE('',*,*,#227624,.F.); #321694=ORIENTED_EDGE('',*,*,#227633,.F.); #321695=ORIENTED_EDGE('',*,*,#227634,.F.); #321696=ORIENTED_EDGE('',*,*,#227625,.F.); #321697=ORIENTED_EDGE('',*,*,#227629,.F.); #321698=ORIENTED_EDGE('',*,*,#227619,.F.); #321699=ORIENTED_EDGE('',*,*,#227636,.F.); #321700=ORIENTED_EDGE('',*,*,#227637,.T.); #321701=ORIENTED_EDGE('',*,*,#227638,.T.); #321702=ORIENTED_EDGE('',*,*,#227639,.F.); #321703=ORIENTED_EDGE('',*,*,#227636,.T.); #321704=ORIENTED_EDGE('',*,*,#227640,.T.); #321705=ORIENTED_EDGE('',*,*,#227641,.T.); #321706=ORIENTED_EDGE('',*,*,#227642,.T.); #321707=ORIENTED_EDGE('',*,*,#227643,.T.); #321708=ORIENTED_EDGE('',*,*,#227644,.T.); #321709=ORIENTED_EDGE('',*,*,#227645,.F.); #321710=ORIENTED_EDGE('',*,*,#227646,.F.); #321711=ORIENTED_EDGE('',*,*,#227647,.T.); #321712=ORIENTED_EDGE('',*,*,#227646,.T.); #321713=ORIENTED_EDGE('',*,*,#227648,.F.); #321714=ORIENTED_EDGE('',*,*,#227638,.F.); #321715=ORIENTED_EDGE('',*,*,#227641,.F.); #321716=ORIENTED_EDGE('',*,*,#227649,.F.); #321717=ORIENTED_EDGE('',*,*,#227650,.F.); #321718=ORIENTED_EDGE('',*,*,#227651,.T.); #321719=ORIENTED_EDGE('',*,*,#227652,.T.); #321720=ORIENTED_EDGE('',*,*,#227650,.T.); #321721=ORIENTED_EDGE('',*,*,#227653,.F.); #321722=ORIENTED_EDGE('',*,*,#227644,.F.); #321723=ORIENTED_EDGE('',*,*,#227640,.F.); #321724=ORIENTED_EDGE('',*,*,#227639,.T.); #321725=ORIENTED_EDGE('',*,*,#227648,.T.); #321726=ORIENTED_EDGE('',*,*,#227645,.T.); #321727=ORIENTED_EDGE('',*,*,#227653,.T.); #321728=ORIENTED_EDGE('',*,*,#227649,.T.); #321729=ORIENTED_EDGE('',*,*,#227642,.F.); #321730=ORIENTED_EDGE('',*,*,#227651,.F.); #321731=ORIENTED_EDGE('',*,*,#227652,.F.); #321732=ORIENTED_EDGE('',*,*,#227643,.F.); #321733=ORIENTED_EDGE('',*,*,#227647,.F.); #321734=ORIENTED_EDGE('',*,*,#227637,.F.); #321735=ORIENTED_EDGE('',*,*,#227654,.F.); #321736=ORIENTED_EDGE('',*,*,#227655,.T.); #321737=ORIENTED_EDGE('',*,*,#227656,.T.); #321738=ORIENTED_EDGE('',*,*,#227657,.F.); #321739=ORIENTED_EDGE('',*,*,#227654,.T.); #321740=ORIENTED_EDGE('',*,*,#227658,.T.); #321741=ORIENTED_EDGE('',*,*,#227659,.T.); #321742=ORIENTED_EDGE('',*,*,#227660,.T.); #321743=ORIENTED_EDGE('',*,*,#227661,.T.); #321744=ORIENTED_EDGE('',*,*,#227662,.T.); #321745=ORIENTED_EDGE('',*,*,#227663,.F.); #321746=ORIENTED_EDGE('',*,*,#227664,.F.); #321747=ORIENTED_EDGE('',*,*,#227665,.T.); #321748=ORIENTED_EDGE('',*,*,#227664,.T.); #321749=ORIENTED_EDGE('',*,*,#227666,.F.); #321750=ORIENTED_EDGE('',*,*,#227656,.F.); #321751=ORIENTED_EDGE('',*,*,#227659,.F.); #321752=ORIENTED_EDGE('',*,*,#227667,.F.); #321753=ORIENTED_EDGE('',*,*,#227668,.F.); #321754=ORIENTED_EDGE('',*,*,#227669,.T.); #321755=ORIENTED_EDGE('',*,*,#227670,.T.); #321756=ORIENTED_EDGE('',*,*,#227668,.T.); #321757=ORIENTED_EDGE('',*,*,#227671,.F.); #321758=ORIENTED_EDGE('',*,*,#227662,.F.); #321759=ORIENTED_EDGE('',*,*,#227658,.F.); #321760=ORIENTED_EDGE('',*,*,#227657,.T.); #321761=ORIENTED_EDGE('',*,*,#227666,.T.); #321762=ORIENTED_EDGE('',*,*,#227663,.T.); #321763=ORIENTED_EDGE('',*,*,#227671,.T.); #321764=ORIENTED_EDGE('',*,*,#227667,.T.); #321765=ORIENTED_EDGE('',*,*,#227660,.F.); #321766=ORIENTED_EDGE('',*,*,#227669,.F.); #321767=ORIENTED_EDGE('',*,*,#227670,.F.); #321768=ORIENTED_EDGE('',*,*,#227661,.F.); #321769=ORIENTED_EDGE('',*,*,#227665,.F.); #321770=ORIENTED_EDGE('',*,*,#227655,.F.); #321771=ORIENTED_EDGE('',*,*,#227672,.F.); #321772=ORIENTED_EDGE('',*,*,#227673,.T.); #321773=ORIENTED_EDGE('',*,*,#227674,.T.); #321774=ORIENTED_EDGE('',*,*,#227675,.F.); #321775=ORIENTED_EDGE('',*,*,#227672,.T.); #321776=ORIENTED_EDGE('',*,*,#227676,.T.); #321777=ORIENTED_EDGE('',*,*,#227677,.T.); #321778=ORIENTED_EDGE('',*,*,#227678,.T.); #321779=ORIENTED_EDGE('',*,*,#227679,.T.); #321780=ORIENTED_EDGE('',*,*,#227680,.T.); #321781=ORIENTED_EDGE('',*,*,#227681,.F.); #321782=ORIENTED_EDGE('',*,*,#227682,.F.); #321783=ORIENTED_EDGE('',*,*,#227683,.T.); #321784=ORIENTED_EDGE('',*,*,#227682,.T.); #321785=ORIENTED_EDGE('',*,*,#227684,.F.); #321786=ORIENTED_EDGE('',*,*,#227674,.F.); #321787=ORIENTED_EDGE('',*,*,#227677,.F.); #321788=ORIENTED_EDGE('',*,*,#227685,.F.); #321789=ORIENTED_EDGE('',*,*,#227686,.F.); #321790=ORIENTED_EDGE('',*,*,#227687,.T.); #321791=ORIENTED_EDGE('',*,*,#227688,.T.); #321792=ORIENTED_EDGE('',*,*,#227686,.T.); #321793=ORIENTED_EDGE('',*,*,#227689,.F.); #321794=ORIENTED_EDGE('',*,*,#227680,.F.); #321795=ORIENTED_EDGE('',*,*,#227676,.F.); #321796=ORIENTED_EDGE('',*,*,#227675,.T.); #321797=ORIENTED_EDGE('',*,*,#227684,.T.); #321798=ORIENTED_EDGE('',*,*,#227681,.T.); #321799=ORIENTED_EDGE('',*,*,#227689,.T.); #321800=ORIENTED_EDGE('',*,*,#227685,.T.); #321801=ORIENTED_EDGE('',*,*,#227678,.F.); #321802=ORIENTED_EDGE('',*,*,#227687,.F.); #321803=ORIENTED_EDGE('',*,*,#227688,.F.); #321804=ORIENTED_EDGE('',*,*,#227679,.F.); #321805=ORIENTED_EDGE('',*,*,#227683,.F.); #321806=ORIENTED_EDGE('',*,*,#227673,.F.); #321807=ORIENTED_EDGE('',*,*,#227690,.T.); #321808=ORIENTED_EDGE('',*,*,#227691,.T.); #321809=ORIENTED_EDGE('',*,*,#227692,.F.); #321810=ORIENTED_EDGE('',*,*,#227693,.F.); #321811=ORIENTED_EDGE('',*,*,#227690,.F.); #321812=ORIENTED_EDGE('',*,*,#227694,.F.); #321813=ORIENTED_EDGE('',*,*,#227695,.F.); #321814=ORIENTED_EDGE('',*,*,#227696,.F.); #321815=ORIENTED_EDGE('',*,*,#227697,.F.); #321816=ORIENTED_EDGE('',*,*,#227698,.T.); #321817=ORIENTED_EDGE('',*,*,#227699,.T.); #321818=ORIENTED_EDGE('',*,*,#227700,.F.); #321819=ORIENTED_EDGE('',*,*,#227701,.F.); #321820=ORIENTED_EDGE('',*,*,#227692,.T.); #321821=ORIENTED_EDGE('',*,*,#227702,.T.); #321822=ORIENTED_EDGE('',*,*,#227698,.F.); #321823=ORIENTED_EDGE('',*,*,#227695,.T.); #321824=ORIENTED_EDGE('',*,*,#227703,.F.); #321825=ORIENTED_EDGE('',*,*,#227704,.T.); #321826=ORIENTED_EDGE('',*,*,#227705,.T.); #321827=ORIENTED_EDGE('',*,*,#227706,.F.); #321828=ORIENTED_EDGE('',*,*,#227700,.T.); #321829=ORIENTED_EDGE('',*,*,#227707,.T.); #321830=ORIENTED_EDGE('',*,*,#227704,.F.); #321831=ORIENTED_EDGE('',*,*,#227696,.T.); #321832=ORIENTED_EDGE('',*,*,#227705,.F.); #321833=ORIENTED_EDGE('',*,*,#227707,.F.); #321834=ORIENTED_EDGE('',*,*,#227699,.F.); #321835=ORIENTED_EDGE('',*,*,#227702,.F.); #321836=ORIENTED_EDGE('',*,*,#227691,.F.); #321837=ORIENTED_EDGE('',*,*,#227694,.T.); #321838=ORIENTED_EDGE('',*,*,#227693,.T.); #321839=ORIENTED_EDGE('',*,*,#227701,.T.); #321840=ORIENTED_EDGE('',*,*,#227697,.T.); #321841=ORIENTED_EDGE('',*,*,#227706,.T.); #321842=ORIENTED_EDGE('',*,*,#227703,.T.); #321843=ORIENTED_EDGE('',*,*,#227708,.T.); #321844=ORIENTED_EDGE('',*,*,#227709,.T.); #321845=ORIENTED_EDGE('',*,*,#227710,.F.); #321846=ORIENTED_EDGE('',*,*,#227711,.F.); #321847=ORIENTED_EDGE('',*,*,#227708,.F.); #321848=ORIENTED_EDGE('',*,*,#227712,.F.); #321849=ORIENTED_EDGE('',*,*,#227713,.F.); #321850=ORIENTED_EDGE('',*,*,#227714,.F.); #321851=ORIENTED_EDGE('',*,*,#227715,.F.); #321852=ORIENTED_EDGE('',*,*,#227716,.T.); #321853=ORIENTED_EDGE('',*,*,#227717,.T.); #321854=ORIENTED_EDGE('',*,*,#227718,.F.); #321855=ORIENTED_EDGE('',*,*,#227719,.F.); #321856=ORIENTED_EDGE('',*,*,#227710,.T.); #321857=ORIENTED_EDGE('',*,*,#227720,.T.); #321858=ORIENTED_EDGE('',*,*,#227716,.F.); #321859=ORIENTED_EDGE('',*,*,#227713,.T.); #321860=ORIENTED_EDGE('',*,*,#227721,.F.); #321861=ORIENTED_EDGE('',*,*,#227722,.T.); #321862=ORIENTED_EDGE('',*,*,#227723,.T.); #321863=ORIENTED_EDGE('',*,*,#227724,.F.); #321864=ORIENTED_EDGE('',*,*,#227718,.T.); #321865=ORIENTED_EDGE('',*,*,#227725,.T.); #321866=ORIENTED_EDGE('',*,*,#227722,.F.); #321867=ORIENTED_EDGE('',*,*,#227714,.T.); #321868=ORIENTED_EDGE('',*,*,#227723,.F.); #321869=ORIENTED_EDGE('',*,*,#227725,.F.); #321870=ORIENTED_EDGE('',*,*,#227717,.F.); #321871=ORIENTED_EDGE('',*,*,#227720,.F.); #321872=ORIENTED_EDGE('',*,*,#227709,.F.); #321873=ORIENTED_EDGE('',*,*,#227712,.T.); #321874=ORIENTED_EDGE('',*,*,#227711,.T.); #321875=ORIENTED_EDGE('',*,*,#227719,.T.); #321876=ORIENTED_EDGE('',*,*,#227715,.T.); #321877=ORIENTED_EDGE('',*,*,#227724,.T.); #321878=ORIENTED_EDGE('',*,*,#227721,.T.); #321879=ORIENTED_EDGE('',*,*,#227726,.T.); #321880=ORIENTED_EDGE('',*,*,#227727,.T.); #321881=ORIENTED_EDGE('',*,*,#227728,.F.); #321882=ORIENTED_EDGE('',*,*,#227729,.F.); #321883=ORIENTED_EDGE('',*,*,#227726,.F.); #321884=ORIENTED_EDGE('',*,*,#227730,.F.); #321885=ORIENTED_EDGE('',*,*,#227731,.F.); #321886=ORIENTED_EDGE('',*,*,#227732,.F.); #321887=ORIENTED_EDGE('',*,*,#227733,.F.); #321888=ORIENTED_EDGE('',*,*,#227734,.T.); #321889=ORIENTED_EDGE('',*,*,#227735,.T.); #321890=ORIENTED_EDGE('',*,*,#227736,.F.); #321891=ORIENTED_EDGE('',*,*,#227737,.F.); #321892=ORIENTED_EDGE('',*,*,#227728,.T.); #321893=ORIENTED_EDGE('',*,*,#227738,.T.); #321894=ORIENTED_EDGE('',*,*,#227734,.F.); #321895=ORIENTED_EDGE('',*,*,#227731,.T.); #321896=ORIENTED_EDGE('',*,*,#227739,.F.); #321897=ORIENTED_EDGE('',*,*,#227740,.T.); #321898=ORIENTED_EDGE('',*,*,#227741,.T.); #321899=ORIENTED_EDGE('',*,*,#227742,.F.); #321900=ORIENTED_EDGE('',*,*,#227736,.T.); #321901=ORIENTED_EDGE('',*,*,#227743,.T.); #321902=ORIENTED_EDGE('',*,*,#227740,.F.); #321903=ORIENTED_EDGE('',*,*,#227732,.T.); #321904=ORIENTED_EDGE('',*,*,#227741,.F.); #321905=ORIENTED_EDGE('',*,*,#227743,.F.); #321906=ORIENTED_EDGE('',*,*,#227735,.F.); #321907=ORIENTED_EDGE('',*,*,#227738,.F.); #321908=ORIENTED_EDGE('',*,*,#227727,.F.); #321909=ORIENTED_EDGE('',*,*,#227730,.T.); #321910=ORIENTED_EDGE('',*,*,#227729,.T.); #321911=ORIENTED_EDGE('',*,*,#227737,.T.); #321912=ORIENTED_EDGE('',*,*,#227733,.T.); #321913=ORIENTED_EDGE('',*,*,#227742,.T.); #321914=ORIENTED_EDGE('',*,*,#227739,.T.); #321915=ORIENTED_EDGE('',*,*,#227744,.T.); #321916=ORIENTED_EDGE('',*,*,#227745,.T.); #321917=ORIENTED_EDGE('',*,*,#227746,.F.); #321918=ORIENTED_EDGE('',*,*,#227747,.F.); #321919=ORIENTED_EDGE('',*,*,#227744,.F.); #321920=ORIENTED_EDGE('',*,*,#227748,.F.); #321921=ORIENTED_EDGE('',*,*,#227749,.F.); #321922=ORIENTED_EDGE('',*,*,#227750,.F.); #321923=ORIENTED_EDGE('',*,*,#227751,.F.); #321924=ORIENTED_EDGE('',*,*,#227752,.T.); #321925=ORIENTED_EDGE('',*,*,#227753,.T.); #321926=ORIENTED_EDGE('',*,*,#227754,.F.); #321927=ORIENTED_EDGE('',*,*,#227755,.F.); #321928=ORIENTED_EDGE('',*,*,#227746,.T.); #321929=ORIENTED_EDGE('',*,*,#227756,.T.); #321930=ORIENTED_EDGE('',*,*,#227752,.F.); #321931=ORIENTED_EDGE('',*,*,#227749,.T.); #321932=ORIENTED_EDGE('',*,*,#227757,.F.); #321933=ORIENTED_EDGE('',*,*,#227758,.T.); #321934=ORIENTED_EDGE('',*,*,#227759,.T.); #321935=ORIENTED_EDGE('',*,*,#227760,.F.); #321936=ORIENTED_EDGE('',*,*,#227754,.T.); #321937=ORIENTED_EDGE('',*,*,#227761,.T.); #321938=ORIENTED_EDGE('',*,*,#227758,.F.); #321939=ORIENTED_EDGE('',*,*,#227750,.T.); #321940=ORIENTED_EDGE('',*,*,#227759,.F.); #321941=ORIENTED_EDGE('',*,*,#227761,.F.); #321942=ORIENTED_EDGE('',*,*,#227753,.F.); #321943=ORIENTED_EDGE('',*,*,#227756,.F.); #321944=ORIENTED_EDGE('',*,*,#227745,.F.); #321945=ORIENTED_EDGE('',*,*,#227748,.T.); #321946=ORIENTED_EDGE('',*,*,#227747,.T.); #321947=ORIENTED_EDGE('',*,*,#227755,.T.); #321948=ORIENTED_EDGE('',*,*,#227751,.T.); #321949=ORIENTED_EDGE('',*,*,#227760,.T.); #321950=ORIENTED_EDGE('',*,*,#227757,.T.); #321951=ORIENTED_EDGE('',*,*,#227762,.T.); #321952=ORIENTED_EDGE('',*,*,#227763,.T.); #321953=ORIENTED_EDGE('',*,*,#227764,.F.); #321954=ORIENTED_EDGE('',*,*,#227765,.F.); #321955=ORIENTED_EDGE('',*,*,#227762,.F.); #321956=ORIENTED_EDGE('',*,*,#227766,.F.); #321957=ORIENTED_EDGE('',*,*,#227767,.F.); #321958=ORIENTED_EDGE('',*,*,#227768,.F.); #321959=ORIENTED_EDGE('',*,*,#227769,.F.); #321960=ORIENTED_EDGE('',*,*,#227770,.T.); #321961=ORIENTED_EDGE('',*,*,#227771,.T.); #321962=ORIENTED_EDGE('',*,*,#227772,.F.); #321963=ORIENTED_EDGE('',*,*,#227773,.F.); #321964=ORIENTED_EDGE('',*,*,#227764,.T.); #321965=ORIENTED_EDGE('',*,*,#227774,.T.); #321966=ORIENTED_EDGE('',*,*,#227770,.F.); #321967=ORIENTED_EDGE('',*,*,#227767,.T.); #321968=ORIENTED_EDGE('',*,*,#227775,.F.); #321969=ORIENTED_EDGE('',*,*,#227776,.T.); #321970=ORIENTED_EDGE('',*,*,#227777,.T.); #321971=ORIENTED_EDGE('',*,*,#227778,.F.); #321972=ORIENTED_EDGE('',*,*,#227772,.T.); #321973=ORIENTED_EDGE('',*,*,#227779,.T.); #321974=ORIENTED_EDGE('',*,*,#227776,.F.); #321975=ORIENTED_EDGE('',*,*,#227768,.T.); #321976=ORIENTED_EDGE('',*,*,#227777,.F.); #321977=ORIENTED_EDGE('',*,*,#227779,.F.); #321978=ORIENTED_EDGE('',*,*,#227771,.F.); #321979=ORIENTED_EDGE('',*,*,#227774,.F.); #321980=ORIENTED_EDGE('',*,*,#227763,.F.); #321981=ORIENTED_EDGE('',*,*,#227766,.T.); #321982=ORIENTED_EDGE('',*,*,#227765,.T.); #321983=ORIENTED_EDGE('',*,*,#227773,.T.); #321984=ORIENTED_EDGE('',*,*,#227769,.T.); #321985=ORIENTED_EDGE('',*,*,#227778,.T.); #321986=ORIENTED_EDGE('',*,*,#227775,.T.); #321987=ORIENTED_EDGE('',*,*,#227780,.T.); #321988=ORIENTED_EDGE('',*,*,#227781,.T.); #321989=ORIENTED_EDGE('',*,*,#227782,.F.); #321990=ORIENTED_EDGE('',*,*,#227783,.F.); #321991=ORIENTED_EDGE('',*,*,#227780,.F.); #321992=ORIENTED_EDGE('',*,*,#227784,.F.); #321993=ORIENTED_EDGE('',*,*,#227785,.F.); #321994=ORIENTED_EDGE('',*,*,#227786,.F.); #321995=ORIENTED_EDGE('',*,*,#227787,.F.); #321996=ORIENTED_EDGE('',*,*,#227788,.T.); #321997=ORIENTED_EDGE('',*,*,#227789,.T.); #321998=ORIENTED_EDGE('',*,*,#227790,.F.); #321999=ORIENTED_EDGE('',*,*,#227791,.F.); #322000=ORIENTED_EDGE('',*,*,#227782,.T.); #322001=ORIENTED_EDGE('',*,*,#227792,.T.); #322002=ORIENTED_EDGE('',*,*,#227788,.F.); #322003=ORIENTED_EDGE('',*,*,#227785,.T.); #322004=ORIENTED_EDGE('',*,*,#227793,.F.); #322005=ORIENTED_EDGE('',*,*,#227794,.T.); #322006=ORIENTED_EDGE('',*,*,#227795,.T.); #322007=ORIENTED_EDGE('',*,*,#227796,.F.); #322008=ORIENTED_EDGE('',*,*,#227790,.T.); #322009=ORIENTED_EDGE('',*,*,#227797,.T.); #322010=ORIENTED_EDGE('',*,*,#227794,.F.); #322011=ORIENTED_EDGE('',*,*,#227786,.T.); #322012=ORIENTED_EDGE('',*,*,#227795,.F.); #322013=ORIENTED_EDGE('',*,*,#227797,.F.); #322014=ORIENTED_EDGE('',*,*,#227789,.F.); #322015=ORIENTED_EDGE('',*,*,#227792,.F.); #322016=ORIENTED_EDGE('',*,*,#227781,.F.); #322017=ORIENTED_EDGE('',*,*,#227784,.T.); #322018=ORIENTED_EDGE('',*,*,#227783,.T.); #322019=ORIENTED_EDGE('',*,*,#227791,.T.); #322020=ORIENTED_EDGE('',*,*,#227787,.T.); #322021=ORIENTED_EDGE('',*,*,#227796,.T.); #322022=ORIENTED_EDGE('',*,*,#227793,.T.); #322023=ORIENTED_EDGE('',*,*,#227798,.T.); #322024=ORIENTED_EDGE('',*,*,#227799,.T.); #322025=ORIENTED_EDGE('',*,*,#227800,.F.); #322026=ORIENTED_EDGE('',*,*,#227801,.F.); #322027=ORIENTED_EDGE('',*,*,#227798,.F.); #322028=ORIENTED_EDGE('',*,*,#227802,.F.); #322029=ORIENTED_EDGE('',*,*,#227803,.F.); #322030=ORIENTED_EDGE('',*,*,#227804,.F.); #322031=ORIENTED_EDGE('',*,*,#227805,.F.); #322032=ORIENTED_EDGE('',*,*,#227806,.T.); #322033=ORIENTED_EDGE('',*,*,#227807,.T.); #322034=ORIENTED_EDGE('',*,*,#227808,.F.); #322035=ORIENTED_EDGE('',*,*,#227809,.F.); #322036=ORIENTED_EDGE('',*,*,#227800,.T.); #322037=ORIENTED_EDGE('',*,*,#227810,.T.); #322038=ORIENTED_EDGE('',*,*,#227806,.F.); #322039=ORIENTED_EDGE('',*,*,#227803,.T.); #322040=ORIENTED_EDGE('',*,*,#227811,.F.); #322041=ORIENTED_EDGE('',*,*,#227812,.T.); #322042=ORIENTED_EDGE('',*,*,#227813,.T.); #322043=ORIENTED_EDGE('',*,*,#227814,.F.); #322044=ORIENTED_EDGE('',*,*,#227808,.T.); #322045=ORIENTED_EDGE('',*,*,#227815,.T.); #322046=ORIENTED_EDGE('',*,*,#227812,.F.); #322047=ORIENTED_EDGE('',*,*,#227804,.T.); #322048=ORIENTED_EDGE('',*,*,#227813,.F.); #322049=ORIENTED_EDGE('',*,*,#227815,.F.); #322050=ORIENTED_EDGE('',*,*,#227807,.F.); #322051=ORIENTED_EDGE('',*,*,#227810,.F.); #322052=ORIENTED_EDGE('',*,*,#227799,.F.); #322053=ORIENTED_EDGE('',*,*,#227802,.T.); #322054=ORIENTED_EDGE('',*,*,#227801,.T.); #322055=ORIENTED_EDGE('',*,*,#227809,.T.); #322056=ORIENTED_EDGE('',*,*,#227805,.T.); #322057=ORIENTED_EDGE('',*,*,#227814,.T.); #322058=ORIENTED_EDGE('',*,*,#227811,.T.); #322059=ORIENTED_EDGE('',*,*,#227816,.T.); #322060=ORIENTED_EDGE('',*,*,#227817,.T.); #322061=ORIENTED_EDGE('',*,*,#227818,.F.); #322062=ORIENTED_EDGE('',*,*,#227819,.F.); #322063=ORIENTED_EDGE('',*,*,#227816,.F.); #322064=ORIENTED_EDGE('',*,*,#227820,.F.); #322065=ORIENTED_EDGE('',*,*,#227821,.F.); #322066=ORIENTED_EDGE('',*,*,#227822,.F.); #322067=ORIENTED_EDGE('',*,*,#227823,.F.); #322068=ORIENTED_EDGE('',*,*,#227824,.T.); #322069=ORIENTED_EDGE('',*,*,#227825,.T.); #322070=ORIENTED_EDGE('',*,*,#227826,.F.); #322071=ORIENTED_EDGE('',*,*,#227827,.F.); #322072=ORIENTED_EDGE('',*,*,#227818,.T.); #322073=ORIENTED_EDGE('',*,*,#227828,.T.); #322074=ORIENTED_EDGE('',*,*,#227824,.F.); #322075=ORIENTED_EDGE('',*,*,#227821,.T.); #322076=ORIENTED_EDGE('',*,*,#227829,.F.); #322077=ORIENTED_EDGE('',*,*,#227830,.T.); #322078=ORIENTED_EDGE('',*,*,#227831,.T.); #322079=ORIENTED_EDGE('',*,*,#227832,.F.); #322080=ORIENTED_EDGE('',*,*,#227826,.T.); #322081=ORIENTED_EDGE('',*,*,#227833,.T.); #322082=ORIENTED_EDGE('',*,*,#227830,.F.); #322083=ORIENTED_EDGE('',*,*,#227822,.T.); #322084=ORIENTED_EDGE('',*,*,#227831,.F.); #322085=ORIENTED_EDGE('',*,*,#227833,.F.); #322086=ORIENTED_EDGE('',*,*,#227825,.F.); #322087=ORIENTED_EDGE('',*,*,#227828,.F.); #322088=ORIENTED_EDGE('',*,*,#227817,.F.); #322089=ORIENTED_EDGE('',*,*,#227820,.T.); #322090=ORIENTED_EDGE('',*,*,#227819,.T.); #322091=ORIENTED_EDGE('',*,*,#227827,.T.); #322092=ORIENTED_EDGE('',*,*,#227823,.T.); #322093=ORIENTED_EDGE('',*,*,#227832,.T.); #322094=ORIENTED_EDGE('',*,*,#227829,.T.); #322095=ORIENTED_EDGE('',*,*,#227834,.T.); #322096=ORIENTED_EDGE('',*,*,#227835,.T.); #322097=ORIENTED_EDGE('',*,*,#227836,.F.); #322098=ORIENTED_EDGE('',*,*,#227837,.F.); #322099=ORIENTED_EDGE('',*,*,#227834,.F.); #322100=ORIENTED_EDGE('',*,*,#227838,.F.); #322101=ORIENTED_EDGE('',*,*,#227839,.F.); #322102=ORIENTED_EDGE('',*,*,#227840,.F.); #322103=ORIENTED_EDGE('',*,*,#227841,.F.); #322104=ORIENTED_EDGE('',*,*,#227842,.T.); #322105=ORIENTED_EDGE('',*,*,#227843,.T.); #322106=ORIENTED_EDGE('',*,*,#227844,.F.); #322107=ORIENTED_EDGE('',*,*,#227845,.F.); #322108=ORIENTED_EDGE('',*,*,#227836,.T.); #322109=ORIENTED_EDGE('',*,*,#227846,.T.); #322110=ORIENTED_EDGE('',*,*,#227842,.F.); #322111=ORIENTED_EDGE('',*,*,#227839,.T.); #322112=ORIENTED_EDGE('',*,*,#227847,.F.); #322113=ORIENTED_EDGE('',*,*,#227848,.T.); #322114=ORIENTED_EDGE('',*,*,#227849,.T.); #322115=ORIENTED_EDGE('',*,*,#227850,.F.); #322116=ORIENTED_EDGE('',*,*,#227844,.T.); #322117=ORIENTED_EDGE('',*,*,#227851,.T.); #322118=ORIENTED_EDGE('',*,*,#227848,.F.); #322119=ORIENTED_EDGE('',*,*,#227840,.T.); #322120=ORIENTED_EDGE('',*,*,#227849,.F.); #322121=ORIENTED_EDGE('',*,*,#227851,.F.); #322122=ORIENTED_EDGE('',*,*,#227843,.F.); #322123=ORIENTED_EDGE('',*,*,#227846,.F.); #322124=ORIENTED_EDGE('',*,*,#227835,.F.); #322125=ORIENTED_EDGE('',*,*,#227838,.T.); #322126=ORIENTED_EDGE('',*,*,#227837,.T.); #322127=ORIENTED_EDGE('',*,*,#227845,.T.); #322128=ORIENTED_EDGE('',*,*,#227841,.T.); #322129=ORIENTED_EDGE('',*,*,#227850,.T.); #322130=ORIENTED_EDGE('',*,*,#227847,.T.); #322131=ORIENTED_EDGE('',*,*,#227852,.T.); #322132=ORIENTED_EDGE('',*,*,#227853,.T.); #322133=ORIENTED_EDGE('',*,*,#227854,.F.); #322134=ORIENTED_EDGE('',*,*,#227855,.F.); #322135=ORIENTED_EDGE('',*,*,#227852,.F.); #322136=ORIENTED_EDGE('',*,*,#227856,.F.); #322137=ORIENTED_EDGE('',*,*,#227857,.F.); #322138=ORIENTED_EDGE('',*,*,#227858,.F.); #322139=ORIENTED_EDGE('',*,*,#227859,.F.); #322140=ORIENTED_EDGE('',*,*,#227860,.T.); #322141=ORIENTED_EDGE('',*,*,#227861,.T.); #322142=ORIENTED_EDGE('',*,*,#227862,.F.); #322143=ORIENTED_EDGE('',*,*,#227863,.F.); #322144=ORIENTED_EDGE('',*,*,#227854,.T.); #322145=ORIENTED_EDGE('',*,*,#227864,.T.); #322146=ORIENTED_EDGE('',*,*,#227860,.F.); #322147=ORIENTED_EDGE('',*,*,#227857,.T.); #322148=ORIENTED_EDGE('',*,*,#227865,.F.); #322149=ORIENTED_EDGE('',*,*,#227866,.T.); #322150=ORIENTED_EDGE('',*,*,#227867,.T.); #322151=ORIENTED_EDGE('',*,*,#227868,.F.); #322152=ORIENTED_EDGE('',*,*,#227862,.T.); #322153=ORIENTED_EDGE('',*,*,#227869,.T.); #322154=ORIENTED_EDGE('',*,*,#227866,.F.); #322155=ORIENTED_EDGE('',*,*,#227858,.T.); #322156=ORIENTED_EDGE('',*,*,#227867,.F.); #322157=ORIENTED_EDGE('',*,*,#227869,.F.); #322158=ORIENTED_EDGE('',*,*,#227861,.F.); #322159=ORIENTED_EDGE('',*,*,#227864,.F.); #322160=ORIENTED_EDGE('',*,*,#227853,.F.); #322161=ORIENTED_EDGE('',*,*,#227856,.T.); #322162=ORIENTED_EDGE('',*,*,#227855,.T.); #322163=ORIENTED_EDGE('',*,*,#227863,.T.); #322164=ORIENTED_EDGE('',*,*,#227859,.T.); #322165=ORIENTED_EDGE('',*,*,#227868,.T.); #322166=ORIENTED_EDGE('',*,*,#227865,.T.); #322167=ORIENTED_EDGE('',*,*,#227870,.T.); #322168=ORIENTED_EDGE('',*,*,#227871,.T.); #322169=ORIENTED_EDGE('',*,*,#227872,.F.); #322170=ORIENTED_EDGE('',*,*,#227873,.F.); #322171=ORIENTED_EDGE('',*,*,#227870,.F.); #322172=ORIENTED_EDGE('',*,*,#227874,.F.); #322173=ORIENTED_EDGE('',*,*,#227875,.F.); #322174=ORIENTED_EDGE('',*,*,#227876,.F.); #322175=ORIENTED_EDGE('',*,*,#227877,.F.); #322176=ORIENTED_EDGE('',*,*,#227878,.T.); #322177=ORIENTED_EDGE('',*,*,#227879,.T.); #322178=ORIENTED_EDGE('',*,*,#227880,.F.); #322179=ORIENTED_EDGE('',*,*,#227881,.F.); #322180=ORIENTED_EDGE('',*,*,#227872,.T.); #322181=ORIENTED_EDGE('',*,*,#227882,.T.); #322182=ORIENTED_EDGE('',*,*,#227878,.F.); #322183=ORIENTED_EDGE('',*,*,#227875,.T.); #322184=ORIENTED_EDGE('',*,*,#227883,.F.); #322185=ORIENTED_EDGE('',*,*,#227884,.T.); #322186=ORIENTED_EDGE('',*,*,#227885,.T.); #322187=ORIENTED_EDGE('',*,*,#227886,.F.); #322188=ORIENTED_EDGE('',*,*,#227880,.T.); #322189=ORIENTED_EDGE('',*,*,#227887,.T.); #322190=ORIENTED_EDGE('',*,*,#227884,.F.); #322191=ORIENTED_EDGE('',*,*,#227876,.T.); #322192=ORIENTED_EDGE('',*,*,#227885,.F.); #322193=ORIENTED_EDGE('',*,*,#227887,.F.); #322194=ORIENTED_EDGE('',*,*,#227879,.F.); #322195=ORIENTED_EDGE('',*,*,#227882,.F.); #322196=ORIENTED_EDGE('',*,*,#227871,.F.); #322197=ORIENTED_EDGE('',*,*,#227874,.T.); #322198=ORIENTED_EDGE('',*,*,#227873,.T.); #322199=ORIENTED_EDGE('',*,*,#227881,.T.); #322200=ORIENTED_EDGE('',*,*,#227877,.T.); #322201=ORIENTED_EDGE('',*,*,#227886,.T.); #322202=ORIENTED_EDGE('',*,*,#227883,.T.); #322203=ORIENTED_EDGE('',*,*,#227888,.F.); #322204=ORIENTED_EDGE('',*,*,#227889,.F.); #322205=ORIENTED_EDGE('',*,*,#227890,.T.); #322206=ORIENTED_EDGE('',*,*,#227891,.F.); #322207=ORIENTED_EDGE('',*,*,#227892,.T.); #322208=ORIENTED_EDGE('',*,*,#227893,.T.); #322209=ORIENTED_EDGE('',*,*,#227888,.T.); #322210=ORIENTED_EDGE('',*,*,#227894,.T.); #322211=ORIENTED_EDGE('',*,*,#227892,.F.); #322212=ORIENTED_EDGE('',*,*,#227895,.F.); #322213=ORIENTED_EDGE('',*,*,#227896,.F.); #322214=ORIENTED_EDGE('',*,*,#227897,.F.); #322215=ORIENTED_EDGE('',*,*,#227898,.T.); #322216=ORIENTED_EDGE('',*,*,#227896,.T.); #322217=ORIENTED_EDGE('',*,*,#227899,.F.); #322218=ORIENTED_EDGE('',*,*,#227900,.F.); #322219=ORIENTED_EDGE('',*,*,#227901,.T.); #322220=ORIENTED_EDGE('',*,*,#227900,.T.); #322221=ORIENTED_EDGE('',*,*,#227902,.F.); #322222=ORIENTED_EDGE('',*,*,#227903,.F.); #322223=ORIENTED_EDGE('',*,*,#227904,.T.); #322224=ORIENTED_EDGE('',*,*,#227903,.T.); #322225=ORIENTED_EDGE('',*,*,#227905,.F.); #322226=ORIENTED_EDGE('',*,*,#227890,.F.); #322227=ORIENTED_EDGE('',*,*,#227894,.F.); #322228=ORIENTED_EDGE('',*,*,#227891,.T.); #322229=ORIENTED_EDGE('',*,*,#227905,.T.); #322230=ORIENTED_EDGE('',*,*,#227902,.T.); #322231=ORIENTED_EDGE('',*,*,#227899,.T.); #322232=ORIENTED_EDGE('',*,*,#227895,.T.); #322233=ORIENTED_EDGE('',*,*,#227893,.F.); #322234=ORIENTED_EDGE('',*,*,#227897,.T.); #322235=ORIENTED_EDGE('',*,*,#227898,.F.); #322236=ORIENTED_EDGE('',*,*,#227901,.F.); #322237=ORIENTED_EDGE('',*,*,#227904,.F.); #322238=ORIENTED_EDGE('',*,*,#227889,.T.); #322239=ORIENTED_EDGE('',*,*,#227906,.T.); #322240=ORIENTED_EDGE('',*,*,#227907,.T.); #322241=ORIENTED_EDGE('',*,*,#227908,.F.); #322242=ORIENTED_EDGE('',*,*,#227909,.T.); #322243=ORIENTED_EDGE('',*,*,#227910,.F.); #322244=ORIENTED_EDGE('',*,*,#227911,.F.); #322245=ORIENTED_EDGE('',*,*,#227906,.F.); #322246=ORIENTED_EDGE('',*,*,#227912,.F.); #322247=ORIENTED_EDGE('',*,*,#227910,.T.); #322248=ORIENTED_EDGE('',*,*,#227913,.T.); #322249=ORIENTED_EDGE('',*,*,#227914,.T.); #322250=ORIENTED_EDGE('',*,*,#227915,.T.); #322251=ORIENTED_EDGE('',*,*,#227916,.F.); #322252=ORIENTED_EDGE('',*,*,#227917,.T.); #322253=ORIENTED_EDGE('',*,*,#227918,.T.); #322254=ORIENTED_EDGE('',*,*,#227914,.F.); #322255=ORIENTED_EDGE('',*,*,#227919,.F.); #322256=ORIENTED_EDGE('',*,*,#227920,.T.); #322257=ORIENTED_EDGE('',*,*,#227921,.T.); #322258=ORIENTED_EDGE('',*,*,#227917,.F.); #322259=ORIENTED_EDGE('',*,*,#227922,.F.); #322260=ORIENTED_EDGE('',*,*,#227908,.T.); #322261=ORIENTED_EDGE('',*,*,#227923,.T.); #322262=ORIENTED_EDGE('',*,*,#227920,.F.); #322263=ORIENTED_EDGE('',*,*,#227911,.T.); #322264=ORIENTED_EDGE('',*,*,#227915,.F.); #322265=ORIENTED_EDGE('',*,*,#227918,.F.); #322266=ORIENTED_EDGE('',*,*,#227921,.F.); #322267=ORIENTED_EDGE('',*,*,#227923,.F.); #322268=ORIENTED_EDGE('',*,*,#227907,.F.); #322269=ORIENTED_EDGE('',*,*,#227912,.T.); #322270=ORIENTED_EDGE('',*,*,#227909,.F.); #322271=ORIENTED_EDGE('',*,*,#227922,.T.); #322272=ORIENTED_EDGE('',*,*,#227919,.T.); #322273=ORIENTED_EDGE('',*,*,#227916,.T.); #322274=ORIENTED_EDGE('',*,*,#227913,.F.); #322275=ORIENTED_EDGE('',*,*,#227924,.F.); #322276=ORIENTED_EDGE('',*,*,#227925,.F.); #322277=ORIENTED_EDGE('',*,*,#227926,.T.); #322278=ORIENTED_EDGE('',*,*,#227927,.F.); #322279=ORIENTED_EDGE('',*,*,#227928,.T.); #322280=ORIENTED_EDGE('',*,*,#227929,.T.); #322281=ORIENTED_EDGE('',*,*,#227924,.T.); #322282=ORIENTED_EDGE('',*,*,#227930,.T.); #322283=ORIENTED_EDGE('',*,*,#227928,.F.); #322284=ORIENTED_EDGE('',*,*,#227931,.F.); #322285=ORIENTED_EDGE('',*,*,#227932,.F.); #322286=ORIENTED_EDGE('',*,*,#227933,.F.); #322287=ORIENTED_EDGE('',*,*,#227934,.T.); #322288=ORIENTED_EDGE('',*,*,#227932,.T.); #322289=ORIENTED_EDGE('',*,*,#227935,.F.); #322290=ORIENTED_EDGE('',*,*,#227936,.F.); #322291=ORIENTED_EDGE('',*,*,#227937,.T.); #322292=ORIENTED_EDGE('',*,*,#227936,.T.); #322293=ORIENTED_EDGE('',*,*,#227938,.F.); #322294=ORIENTED_EDGE('',*,*,#227939,.F.); #322295=ORIENTED_EDGE('',*,*,#227940,.T.); #322296=ORIENTED_EDGE('',*,*,#227939,.T.); #322297=ORIENTED_EDGE('',*,*,#227941,.F.); #322298=ORIENTED_EDGE('',*,*,#227926,.F.); #322299=ORIENTED_EDGE('',*,*,#227930,.F.); #322300=ORIENTED_EDGE('',*,*,#227927,.T.); #322301=ORIENTED_EDGE('',*,*,#227941,.T.); #322302=ORIENTED_EDGE('',*,*,#227938,.T.); #322303=ORIENTED_EDGE('',*,*,#227935,.T.); #322304=ORIENTED_EDGE('',*,*,#227931,.T.); #322305=ORIENTED_EDGE('',*,*,#227929,.F.); #322306=ORIENTED_EDGE('',*,*,#227933,.T.); #322307=ORIENTED_EDGE('',*,*,#227934,.F.); #322308=ORIENTED_EDGE('',*,*,#227937,.F.); #322309=ORIENTED_EDGE('',*,*,#227940,.F.); #322310=ORIENTED_EDGE('',*,*,#227925,.T.); #322311=ORIENTED_EDGE('',*,*,#227942,.F.); #322312=ORIENTED_EDGE('',*,*,#227943,.F.); #322313=ORIENTED_EDGE('',*,*,#227944,.T.); #322314=ORIENTED_EDGE('',*,*,#227945,.F.); #322315=ORIENTED_EDGE('',*,*,#227946,.T.); #322316=ORIENTED_EDGE('',*,*,#227947,.T.); #322317=ORIENTED_EDGE('',*,*,#227942,.T.); #322318=ORIENTED_EDGE('',*,*,#227948,.T.); #322319=ORIENTED_EDGE('',*,*,#227946,.F.); #322320=ORIENTED_EDGE('',*,*,#227949,.F.); #322321=ORIENTED_EDGE('',*,*,#227950,.F.); #322322=ORIENTED_EDGE('',*,*,#227951,.F.); #322323=ORIENTED_EDGE('',*,*,#227952,.T.); #322324=ORIENTED_EDGE('',*,*,#227950,.T.); #322325=ORIENTED_EDGE('',*,*,#227953,.F.); #322326=ORIENTED_EDGE('',*,*,#227954,.F.); #322327=ORIENTED_EDGE('',*,*,#227955,.T.); #322328=ORIENTED_EDGE('',*,*,#227954,.T.); #322329=ORIENTED_EDGE('',*,*,#227956,.F.); #322330=ORIENTED_EDGE('',*,*,#227957,.F.); #322331=ORIENTED_EDGE('',*,*,#227958,.T.); #322332=ORIENTED_EDGE('',*,*,#227957,.T.); #322333=ORIENTED_EDGE('',*,*,#227959,.F.); #322334=ORIENTED_EDGE('',*,*,#227944,.F.); #322335=ORIENTED_EDGE('',*,*,#227948,.F.); #322336=ORIENTED_EDGE('',*,*,#227945,.T.); #322337=ORIENTED_EDGE('',*,*,#227959,.T.); #322338=ORIENTED_EDGE('',*,*,#227956,.T.); #322339=ORIENTED_EDGE('',*,*,#227953,.T.); #322340=ORIENTED_EDGE('',*,*,#227949,.T.); #322341=ORIENTED_EDGE('',*,*,#227947,.F.); #322342=ORIENTED_EDGE('',*,*,#227951,.T.); #322343=ORIENTED_EDGE('',*,*,#227952,.F.); #322344=ORIENTED_EDGE('',*,*,#227955,.F.); #322345=ORIENTED_EDGE('',*,*,#227958,.F.); #322346=ORIENTED_EDGE('',*,*,#227943,.T.); #322347=ORIENTED_EDGE('',*,*,#227960,.F.); #322348=ORIENTED_EDGE('',*,*,#227961,.F.); #322349=ORIENTED_EDGE('',*,*,#227962,.T.); #322350=ORIENTED_EDGE('',*,*,#227963,.F.); #322351=ORIENTED_EDGE('',*,*,#227964,.T.); #322352=ORIENTED_EDGE('',*,*,#227965,.T.); #322353=ORIENTED_EDGE('',*,*,#227960,.T.); #322354=ORIENTED_EDGE('',*,*,#227966,.T.); #322355=ORIENTED_EDGE('',*,*,#227964,.F.); #322356=ORIENTED_EDGE('',*,*,#227967,.F.); #322357=ORIENTED_EDGE('',*,*,#227968,.F.); #322358=ORIENTED_EDGE('',*,*,#227969,.F.); #322359=ORIENTED_EDGE('',*,*,#227970,.T.); #322360=ORIENTED_EDGE('',*,*,#227968,.T.); #322361=ORIENTED_EDGE('',*,*,#227971,.F.); #322362=ORIENTED_EDGE('',*,*,#227972,.F.); #322363=ORIENTED_EDGE('',*,*,#227973,.T.); #322364=ORIENTED_EDGE('',*,*,#227972,.T.); #322365=ORIENTED_EDGE('',*,*,#227974,.F.); #322366=ORIENTED_EDGE('',*,*,#227975,.F.); #322367=ORIENTED_EDGE('',*,*,#227976,.T.); #322368=ORIENTED_EDGE('',*,*,#227975,.T.); #322369=ORIENTED_EDGE('',*,*,#227977,.F.); #322370=ORIENTED_EDGE('',*,*,#227962,.F.); #322371=ORIENTED_EDGE('',*,*,#227966,.F.); #322372=ORIENTED_EDGE('',*,*,#227963,.T.); #322373=ORIENTED_EDGE('',*,*,#227977,.T.); #322374=ORIENTED_EDGE('',*,*,#227974,.T.); #322375=ORIENTED_EDGE('',*,*,#227971,.T.); #322376=ORIENTED_EDGE('',*,*,#227967,.T.); #322377=ORIENTED_EDGE('',*,*,#227965,.F.); #322378=ORIENTED_EDGE('',*,*,#227969,.T.); #322379=ORIENTED_EDGE('',*,*,#227970,.F.); #322380=ORIENTED_EDGE('',*,*,#227973,.F.); #322381=ORIENTED_EDGE('',*,*,#227976,.F.); #322382=ORIENTED_EDGE('',*,*,#227961,.T.); #322383=ORIENTED_EDGE('',*,*,#227978,.F.); #322384=ORIENTED_EDGE('',*,*,#227979,.F.); #322385=ORIENTED_EDGE('',*,*,#227980,.T.); #322386=ORIENTED_EDGE('',*,*,#227981,.F.); #322387=ORIENTED_EDGE('',*,*,#227982,.T.); #322388=ORIENTED_EDGE('',*,*,#227983,.T.); #322389=ORIENTED_EDGE('',*,*,#227978,.T.); #322390=ORIENTED_EDGE('',*,*,#227984,.T.); #322391=ORIENTED_EDGE('',*,*,#227982,.F.); #322392=ORIENTED_EDGE('',*,*,#227985,.F.); #322393=ORIENTED_EDGE('',*,*,#227986,.F.); #322394=ORIENTED_EDGE('',*,*,#227987,.F.); #322395=ORIENTED_EDGE('',*,*,#227988,.T.); #322396=ORIENTED_EDGE('',*,*,#227986,.T.); #322397=ORIENTED_EDGE('',*,*,#227989,.F.); #322398=ORIENTED_EDGE('',*,*,#227990,.F.); #322399=ORIENTED_EDGE('',*,*,#227991,.T.); #322400=ORIENTED_EDGE('',*,*,#227990,.T.); #322401=ORIENTED_EDGE('',*,*,#227992,.F.); #322402=ORIENTED_EDGE('',*,*,#227993,.F.); #322403=ORIENTED_EDGE('',*,*,#227994,.T.); #322404=ORIENTED_EDGE('',*,*,#227993,.T.); #322405=ORIENTED_EDGE('',*,*,#227995,.F.); #322406=ORIENTED_EDGE('',*,*,#227980,.F.); #322407=ORIENTED_EDGE('',*,*,#227984,.F.); #322408=ORIENTED_EDGE('',*,*,#227981,.T.); #322409=ORIENTED_EDGE('',*,*,#227995,.T.); #322410=ORIENTED_EDGE('',*,*,#227992,.T.); #322411=ORIENTED_EDGE('',*,*,#227989,.T.); #322412=ORIENTED_EDGE('',*,*,#227985,.T.); #322413=ORIENTED_EDGE('',*,*,#227983,.F.); #322414=ORIENTED_EDGE('',*,*,#227987,.T.); #322415=ORIENTED_EDGE('',*,*,#227988,.F.); #322416=ORIENTED_EDGE('',*,*,#227991,.F.); #322417=ORIENTED_EDGE('',*,*,#227994,.F.); #322418=ORIENTED_EDGE('',*,*,#227979,.T.); #322419=ORIENTED_EDGE('',*,*,#227996,.F.); #322420=ORIENTED_EDGE('',*,*,#227997,.F.); #322421=ORIENTED_EDGE('',*,*,#227998,.T.); #322422=ORIENTED_EDGE('',*,*,#227999,.F.); #322423=ORIENTED_EDGE('',*,*,#228000,.T.); #322424=ORIENTED_EDGE('',*,*,#228001,.T.); #322425=ORIENTED_EDGE('',*,*,#227996,.T.); #322426=ORIENTED_EDGE('',*,*,#228002,.T.); #322427=ORIENTED_EDGE('',*,*,#228000,.F.); #322428=ORIENTED_EDGE('',*,*,#228003,.F.); #322429=ORIENTED_EDGE('',*,*,#228004,.F.); #322430=ORIENTED_EDGE('',*,*,#228005,.F.); #322431=ORIENTED_EDGE('',*,*,#228006,.T.); #322432=ORIENTED_EDGE('',*,*,#228004,.T.); #322433=ORIENTED_EDGE('',*,*,#228007,.F.); #322434=ORIENTED_EDGE('',*,*,#228008,.F.); #322435=ORIENTED_EDGE('',*,*,#228009,.T.); #322436=ORIENTED_EDGE('',*,*,#228008,.T.); #322437=ORIENTED_EDGE('',*,*,#228010,.F.); #322438=ORIENTED_EDGE('',*,*,#228011,.F.); #322439=ORIENTED_EDGE('',*,*,#228012,.T.); #322440=ORIENTED_EDGE('',*,*,#228011,.T.); #322441=ORIENTED_EDGE('',*,*,#228013,.F.); #322442=ORIENTED_EDGE('',*,*,#227998,.F.); #322443=ORIENTED_EDGE('',*,*,#228002,.F.); #322444=ORIENTED_EDGE('',*,*,#227999,.T.); #322445=ORIENTED_EDGE('',*,*,#228013,.T.); #322446=ORIENTED_EDGE('',*,*,#228010,.T.); #322447=ORIENTED_EDGE('',*,*,#228007,.T.); #322448=ORIENTED_EDGE('',*,*,#228003,.T.); #322449=ORIENTED_EDGE('',*,*,#228001,.F.); #322450=ORIENTED_EDGE('',*,*,#228005,.T.); #322451=ORIENTED_EDGE('',*,*,#228006,.F.); #322452=ORIENTED_EDGE('',*,*,#228009,.F.); #322453=ORIENTED_EDGE('',*,*,#228012,.F.); #322454=ORIENTED_EDGE('',*,*,#227997,.T.); #322455=ORIENTED_EDGE('',*,*,#228014,.F.); #322456=ORIENTED_EDGE('',*,*,#228015,.F.); #322457=ORIENTED_EDGE('',*,*,#228016,.T.); #322458=ORIENTED_EDGE('',*,*,#228017,.F.); #322459=ORIENTED_EDGE('',*,*,#228018,.T.); #322460=ORIENTED_EDGE('',*,*,#228019,.T.); #322461=ORIENTED_EDGE('',*,*,#228014,.T.); #322462=ORIENTED_EDGE('',*,*,#228020,.T.); #322463=ORIENTED_EDGE('',*,*,#228018,.F.); #322464=ORIENTED_EDGE('',*,*,#228021,.F.); #322465=ORIENTED_EDGE('',*,*,#228022,.F.); #322466=ORIENTED_EDGE('',*,*,#228023,.F.); #322467=ORIENTED_EDGE('',*,*,#228024,.T.); #322468=ORIENTED_EDGE('',*,*,#228022,.T.); #322469=ORIENTED_EDGE('',*,*,#228025,.F.); #322470=ORIENTED_EDGE('',*,*,#228026,.F.); #322471=ORIENTED_EDGE('',*,*,#228027,.T.); #322472=ORIENTED_EDGE('',*,*,#228026,.T.); #322473=ORIENTED_EDGE('',*,*,#228028,.F.); #322474=ORIENTED_EDGE('',*,*,#228029,.F.); #322475=ORIENTED_EDGE('',*,*,#228030,.T.); #322476=ORIENTED_EDGE('',*,*,#228029,.T.); #322477=ORIENTED_EDGE('',*,*,#228031,.F.); #322478=ORIENTED_EDGE('',*,*,#228016,.F.); #322479=ORIENTED_EDGE('',*,*,#228020,.F.); #322480=ORIENTED_EDGE('',*,*,#228017,.T.); #322481=ORIENTED_EDGE('',*,*,#228031,.T.); #322482=ORIENTED_EDGE('',*,*,#228028,.T.); #322483=ORIENTED_EDGE('',*,*,#228025,.T.); #322484=ORIENTED_EDGE('',*,*,#228021,.T.); #322485=ORIENTED_EDGE('',*,*,#228019,.F.); #322486=ORIENTED_EDGE('',*,*,#228023,.T.); #322487=ORIENTED_EDGE('',*,*,#228024,.F.); #322488=ORIENTED_EDGE('',*,*,#228027,.F.); #322489=ORIENTED_EDGE('',*,*,#228030,.F.); #322490=ORIENTED_EDGE('',*,*,#228015,.T.); #322491=ORIENTED_EDGE('',*,*,#228032,.F.); #322492=ORIENTED_EDGE('',*,*,#228033,.F.); #322493=ORIENTED_EDGE('',*,*,#228034,.T.); #322494=ORIENTED_EDGE('',*,*,#228035,.F.); #322495=ORIENTED_EDGE('',*,*,#228036,.T.); #322496=ORIENTED_EDGE('',*,*,#228037,.T.); #322497=ORIENTED_EDGE('',*,*,#228032,.T.); #322498=ORIENTED_EDGE('',*,*,#228038,.T.); #322499=ORIENTED_EDGE('',*,*,#228036,.F.); #322500=ORIENTED_EDGE('',*,*,#228039,.F.); #322501=ORIENTED_EDGE('',*,*,#228040,.F.); #322502=ORIENTED_EDGE('',*,*,#228041,.F.); #322503=ORIENTED_EDGE('',*,*,#228042,.T.); #322504=ORIENTED_EDGE('',*,*,#228040,.T.); #322505=ORIENTED_EDGE('',*,*,#228043,.F.); #322506=ORIENTED_EDGE('',*,*,#228044,.F.); #322507=ORIENTED_EDGE('',*,*,#228045,.T.); #322508=ORIENTED_EDGE('',*,*,#228044,.T.); #322509=ORIENTED_EDGE('',*,*,#228046,.F.); #322510=ORIENTED_EDGE('',*,*,#228047,.F.); #322511=ORIENTED_EDGE('',*,*,#228048,.T.); #322512=ORIENTED_EDGE('',*,*,#228047,.T.); #322513=ORIENTED_EDGE('',*,*,#228049,.F.); #322514=ORIENTED_EDGE('',*,*,#228034,.F.); #322515=ORIENTED_EDGE('',*,*,#228038,.F.); #322516=ORIENTED_EDGE('',*,*,#228035,.T.); #322517=ORIENTED_EDGE('',*,*,#228049,.T.); #322518=ORIENTED_EDGE('',*,*,#228046,.T.); #322519=ORIENTED_EDGE('',*,*,#228043,.T.); #322520=ORIENTED_EDGE('',*,*,#228039,.T.); #322521=ORIENTED_EDGE('',*,*,#228037,.F.); #322522=ORIENTED_EDGE('',*,*,#228041,.T.); #322523=ORIENTED_EDGE('',*,*,#228042,.F.); #322524=ORIENTED_EDGE('',*,*,#228045,.F.); #322525=ORIENTED_EDGE('',*,*,#228048,.F.); #322526=ORIENTED_EDGE('',*,*,#228033,.T.); #322527=ORIENTED_EDGE('',*,*,#228050,.F.); #322528=ORIENTED_EDGE('',*,*,#228051,.F.); #322529=ORIENTED_EDGE('',*,*,#228052,.T.); #322530=ORIENTED_EDGE('',*,*,#228053,.F.); #322531=ORIENTED_EDGE('',*,*,#228054,.T.); #322532=ORIENTED_EDGE('',*,*,#228055,.T.); #322533=ORIENTED_EDGE('',*,*,#228050,.T.); #322534=ORIENTED_EDGE('',*,*,#228056,.T.); #322535=ORIENTED_EDGE('',*,*,#228054,.F.); #322536=ORIENTED_EDGE('',*,*,#228057,.F.); #322537=ORIENTED_EDGE('',*,*,#228058,.F.); #322538=ORIENTED_EDGE('',*,*,#228059,.F.); #322539=ORIENTED_EDGE('',*,*,#228060,.T.); #322540=ORIENTED_EDGE('',*,*,#228058,.T.); #322541=ORIENTED_EDGE('',*,*,#228061,.F.); #322542=ORIENTED_EDGE('',*,*,#228062,.F.); #322543=ORIENTED_EDGE('',*,*,#228063,.T.); #322544=ORIENTED_EDGE('',*,*,#228062,.T.); #322545=ORIENTED_EDGE('',*,*,#228064,.F.); #322546=ORIENTED_EDGE('',*,*,#228065,.F.); #322547=ORIENTED_EDGE('',*,*,#228066,.T.); #322548=ORIENTED_EDGE('',*,*,#228065,.T.); #322549=ORIENTED_EDGE('',*,*,#228067,.F.); #322550=ORIENTED_EDGE('',*,*,#228052,.F.); #322551=ORIENTED_EDGE('',*,*,#228056,.F.); #322552=ORIENTED_EDGE('',*,*,#228053,.T.); #322553=ORIENTED_EDGE('',*,*,#228067,.T.); #322554=ORIENTED_EDGE('',*,*,#228064,.T.); #322555=ORIENTED_EDGE('',*,*,#228061,.T.); #322556=ORIENTED_EDGE('',*,*,#228057,.T.); #322557=ORIENTED_EDGE('',*,*,#228055,.F.); #322558=ORIENTED_EDGE('',*,*,#228059,.T.); #322559=ORIENTED_EDGE('',*,*,#228060,.F.); #322560=ORIENTED_EDGE('',*,*,#228063,.F.); #322561=ORIENTED_EDGE('',*,*,#228066,.F.); #322562=ORIENTED_EDGE('',*,*,#228051,.T.); #322563=ORIENTED_EDGE('',*,*,#228068,.F.); #322564=ORIENTED_EDGE('',*,*,#228069,.F.); #322565=ORIENTED_EDGE('',*,*,#228070,.T.); #322566=ORIENTED_EDGE('',*,*,#228071,.F.); #322567=ORIENTED_EDGE('',*,*,#228072,.T.); #322568=ORIENTED_EDGE('',*,*,#228073,.T.); #322569=ORIENTED_EDGE('',*,*,#228068,.T.); #322570=ORIENTED_EDGE('',*,*,#228074,.T.); #322571=ORIENTED_EDGE('',*,*,#228072,.F.); #322572=ORIENTED_EDGE('',*,*,#228075,.F.); #322573=ORIENTED_EDGE('',*,*,#228076,.F.); #322574=ORIENTED_EDGE('',*,*,#228077,.F.); #322575=ORIENTED_EDGE('',*,*,#228078,.T.); #322576=ORIENTED_EDGE('',*,*,#228076,.T.); #322577=ORIENTED_EDGE('',*,*,#228079,.F.); #322578=ORIENTED_EDGE('',*,*,#228080,.F.); #322579=ORIENTED_EDGE('',*,*,#228081,.T.); #322580=ORIENTED_EDGE('',*,*,#228080,.T.); #322581=ORIENTED_EDGE('',*,*,#228082,.F.); #322582=ORIENTED_EDGE('',*,*,#228083,.F.); #322583=ORIENTED_EDGE('',*,*,#228084,.T.); #322584=ORIENTED_EDGE('',*,*,#228083,.T.); #322585=ORIENTED_EDGE('',*,*,#228085,.F.); #322586=ORIENTED_EDGE('',*,*,#228070,.F.); #322587=ORIENTED_EDGE('',*,*,#228074,.F.); #322588=ORIENTED_EDGE('',*,*,#228071,.T.); #322589=ORIENTED_EDGE('',*,*,#228085,.T.); #322590=ORIENTED_EDGE('',*,*,#228082,.T.); #322591=ORIENTED_EDGE('',*,*,#228079,.T.); #322592=ORIENTED_EDGE('',*,*,#228075,.T.); #322593=ORIENTED_EDGE('',*,*,#228073,.F.); #322594=ORIENTED_EDGE('',*,*,#228077,.T.); #322595=ORIENTED_EDGE('',*,*,#228078,.F.); #322596=ORIENTED_EDGE('',*,*,#228081,.F.); #322597=ORIENTED_EDGE('',*,*,#228084,.F.); #322598=ORIENTED_EDGE('',*,*,#228069,.T.); #322599=ORIENTED_EDGE('',*,*,#228086,.F.); #322600=ORIENTED_EDGE('',*,*,#228087,.F.); #322601=ORIENTED_EDGE('',*,*,#228088,.T.); #322602=ORIENTED_EDGE('',*,*,#228089,.F.); #322603=ORIENTED_EDGE('',*,*,#228090,.T.); #322604=ORIENTED_EDGE('',*,*,#228091,.T.); #322605=ORIENTED_EDGE('',*,*,#228086,.T.); #322606=ORIENTED_EDGE('',*,*,#228092,.T.); #322607=ORIENTED_EDGE('',*,*,#228090,.F.); #322608=ORIENTED_EDGE('',*,*,#228093,.F.); #322609=ORIENTED_EDGE('',*,*,#228094,.F.); #322610=ORIENTED_EDGE('',*,*,#228095,.F.); #322611=ORIENTED_EDGE('',*,*,#228096,.T.); #322612=ORIENTED_EDGE('',*,*,#228094,.T.); #322613=ORIENTED_EDGE('',*,*,#228097,.F.); #322614=ORIENTED_EDGE('',*,*,#228098,.F.); #322615=ORIENTED_EDGE('',*,*,#228099,.T.); #322616=ORIENTED_EDGE('',*,*,#228098,.T.); #322617=ORIENTED_EDGE('',*,*,#228100,.F.); #322618=ORIENTED_EDGE('',*,*,#228101,.F.); #322619=ORIENTED_EDGE('',*,*,#228102,.T.); #322620=ORIENTED_EDGE('',*,*,#228101,.T.); #322621=ORIENTED_EDGE('',*,*,#228103,.F.); #322622=ORIENTED_EDGE('',*,*,#228088,.F.); #322623=ORIENTED_EDGE('',*,*,#228092,.F.); #322624=ORIENTED_EDGE('',*,*,#228089,.T.); #322625=ORIENTED_EDGE('',*,*,#228103,.T.); #322626=ORIENTED_EDGE('',*,*,#228100,.T.); #322627=ORIENTED_EDGE('',*,*,#228097,.T.); #322628=ORIENTED_EDGE('',*,*,#228093,.T.); #322629=ORIENTED_EDGE('',*,*,#228091,.F.); #322630=ORIENTED_EDGE('',*,*,#228095,.T.); #322631=ORIENTED_EDGE('',*,*,#228096,.F.); #322632=ORIENTED_EDGE('',*,*,#228099,.F.); #322633=ORIENTED_EDGE('',*,*,#228102,.F.); #322634=ORIENTED_EDGE('',*,*,#228087,.T.); #322635=ORIENTED_EDGE('',*,*,#228104,.F.); #322636=ORIENTED_EDGE('',*,*,#228105,.F.); #322637=ORIENTED_EDGE('',*,*,#228106,.T.); #322638=ORIENTED_EDGE('',*,*,#228107,.F.); #322639=ORIENTED_EDGE('',*,*,#228108,.T.); #322640=ORIENTED_EDGE('',*,*,#228109,.T.); #322641=ORIENTED_EDGE('',*,*,#228104,.T.); #322642=ORIENTED_EDGE('',*,*,#228110,.T.); #322643=ORIENTED_EDGE('',*,*,#228108,.F.); #322644=ORIENTED_EDGE('',*,*,#228111,.F.); #322645=ORIENTED_EDGE('',*,*,#228112,.F.); #322646=ORIENTED_EDGE('',*,*,#228113,.F.); #322647=ORIENTED_EDGE('',*,*,#228114,.T.); #322648=ORIENTED_EDGE('',*,*,#228112,.T.); #322649=ORIENTED_EDGE('',*,*,#228115,.F.); #322650=ORIENTED_EDGE('',*,*,#228116,.F.); #322651=ORIENTED_EDGE('',*,*,#228117,.T.); #322652=ORIENTED_EDGE('',*,*,#228116,.T.); #322653=ORIENTED_EDGE('',*,*,#228118,.F.); #322654=ORIENTED_EDGE('',*,*,#228119,.F.); #322655=ORIENTED_EDGE('',*,*,#228120,.T.); #322656=ORIENTED_EDGE('',*,*,#228119,.T.); #322657=ORIENTED_EDGE('',*,*,#228121,.F.); #322658=ORIENTED_EDGE('',*,*,#228106,.F.); #322659=ORIENTED_EDGE('',*,*,#228110,.F.); #322660=ORIENTED_EDGE('',*,*,#228107,.T.); #322661=ORIENTED_EDGE('',*,*,#228121,.T.); #322662=ORIENTED_EDGE('',*,*,#228118,.T.); #322663=ORIENTED_EDGE('',*,*,#228115,.T.); #322664=ORIENTED_EDGE('',*,*,#228111,.T.); #322665=ORIENTED_EDGE('',*,*,#228109,.F.); #322666=ORIENTED_EDGE('',*,*,#228113,.T.); #322667=ORIENTED_EDGE('',*,*,#228114,.F.); #322668=ORIENTED_EDGE('',*,*,#228117,.F.); #322669=ORIENTED_EDGE('',*,*,#228120,.F.); #322670=ORIENTED_EDGE('',*,*,#228105,.T.); #322671=ORIENTED_EDGE('',*,*,#228122,.T.); #322672=ORIENTED_EDGE('',*,*,#228123,.T.); #322673=ORIENTED_EDGE('',*,*,#228124,.F.); #322674=ORIENTED_EDGE('',*,*,#228125,.T.); #322675=ORIENTED_EDGE('',*,*,#228126,.F.); #322676=ORIENTED_EDGE('',*,*,#228127,.F.); #322677=ORIENTED_EDGE('',*,*,#228122,.F.); #322678=ORIENTED_EDGE('',*,*,#228128,.F.); #322679=ORIENTED_EDGE('',*,*,#228126,.T.); #322680=ORIENTED_EDGE('',*,*,#228129,.T.); #322681=ORIENTED_EDGE('',*,*,#228130,.T.); #322682=ORIENTED_EDGE('',*,*,#228131,.T.); #322683=ORIENTED_EDGE('',*,*,#228132,.F.); #322684=ORIENTED_EDGE('',*,*,#228133,.T.); #322685=ORIENTED_EDGE('',*,*,#228134,.T.); #322686=ORIENTED_EDGE('',*,*,#228130,.F.); #322687=ORIENTED_EDGE('',*,*,#228135,.F.); #322688=ORIENTED_EDGE('',*,*,#228136,.T.); #322689=ORIENTED_EDGE('',*,*,#228137,.T.); #322690=ORIENTED_EDGE('',*,*,#228133,.F.); #322691=ORIENTED_EDGE('',*,*,#228138,.F.); #322692=ORIENTED_EDGE('',*,*,#228124,.T.); #322693=ORIENTED_EDGE('',*,*,#228139,.T.); #322694=ORIENTED_EDGE('',*,*,#228136,.F.); #322695=ORIENTED_EDGE('',*,*,#228127,.T.); #322696=ORIENTED_EDGE('',*,*,#228131,.F.); #322697=ORIENTED_EDGE('',*,*,#228134,.F.); #322698=ORIENTED_EDGE('',*,*,#228137,.F.); #322699=ORIENTED_EDGE('',*,*,#228139,.F.); #322700=ORIENTED_EDGE('',*,*,#228123,.F.); #322701=ORIENTED_EDGE('',*,*,#228128,.T.); #322702=ORIENTED_EDGE('',*,*,#228125,.F.); #322703=ORIENTED_EDGE('',*,*,#228138,.T.); #322704=ORIENTED_EDGE('',*,*,#228135,.T.); #322705=ORIENTED_EDGE('',*,*,#228132,.T.); #322706=ORIENTED_EDGE('',*,*,#228129,.F.); #322707=ORIENTED_EDGE('',*,*,#228140,.T.); #322708=ORIENTED_EDGE('',*,*,#228141,.T.); #322709=ORIENTED_EDGE('',*,*,#228142,.F.); #322710=ORIENTED_EDGE('',*,*,#228143,.T.); #322711=ORIENTED_EDGE('',*,*,#228144,.F.); #322712=ORIENTED_EDGE('',*,*,#228145,.F.); #322713=ORIENTED_EDGE('',*,*,#228140,.F.); #322714=ORIENTED_EDGE('',*,*,#228146,.F.); #322715=ORIENTED_EDGE('',*,*,#228144,.T.); #322716=ORIENTED_EDGE('',*,*,#228147,.T.); #322717=ORIENTED_EDGE('',*,*,#228148,.T.); #322718=ORIENTED_EDGE('',*,*,#228149,.T.); #322719=ORIENTED_EDGE('',*,*,#228150,.F.); #322720=ORIENTED_EDGE('',*,*,#228151,.T.); #322721=ORIENTED_EDGE('',*,*,#228152,.T.); #322722=ORIENTED_EDGE('',*,*,#228148,.F.); #322723=ORIENTED_EDGE('',*,*,#228153,.F.); #322724=ORIENTED_EDGE('',*,*,#228154,.T.); #322725=ORIENTED_EDGE('',*,*,#228155,.T.); #322726=ORIENTED_EDGE('',*,*,#228151,.F.); #322727=ORIENTED_EDGE('',*,*,#228156,.F.); #322728=ORIENTED_EDGE('',*,*,#228142,.T.); #322729=ORIENTED_EDGE('',*,*,#228157,.T.); #322730=ORIENTED_EDGE('',*,*,#228154,.F.); #322731=ORIENTED_EDGE('',*,*,#228145,.T.); #322732=ORIENTED_EDGE('',*,*,#228149,.F.); #322733=ORIENTED_EDGE('',*,*,#228152,.F.); #322734=ORIENTED_EDGE('',*,*,#228155,.F.); #322735=ORIENTED_EDGE('',*,*,#228157,.F.); #322736=ORIENTED_EDGE('',*,*,#228141,.F.); #322737=ORIENTED_EDGE('',*,*,#228146,.T.); #322738=ORIENTED_EDGE('',*,*,#228143,.F.); #322739=ORIENTED_EDGE('',*,*,#228156,.T.); #322740=ORIENTED_EDGE('',*,*,#228153,.T.); #322741=ORIENTED_EDGE('',*,*,#228150,.T.); #322742=ORIENTED_EDGE('',*,*,#228147,.F.); #322743=ORIENTED_EDGE('',*,*,#228158,.T.); #322744=ORIENTED_EDGE('',*,*,#228159,.T.); #322745=ORIENTED_EDGE('',*,*,#228160,.F.); #322746=ORIENTED_EDGE('',*,*,#228161,.T.); #322747=ORIENTED_EDGE('',*,*,#228162,.F.); #322748=ORIENTED_EDGE('',*,*,#228163,.F.); #322749=ORIENTED_EDGE('',*,*,#228158,.F.); #322750=ORIENTED_EDGE('',*,*,#228164,.F.); #322751=ORIENTED_EDGE('',*,*,#228162,.T.); #322752=ORIENTED_EDGE('',*,*,#228165,.T.); #322753=ORIENTED_EDGE('',*,*,#228166,.T.); #322754=ORIENTED_EDGE('',*,*,#228167,.T.); #322755=ORIENTED_EDGE('',*,*,#228168,.F.); #322756=ORIENTED_EDGE('',*,*,#228169,.T.); #322757=ORIENTED_EDGE('',*,*,#228170,.T.); #322758=ORIENTED_EDGE('',*,*,#228166,.F.); #322759=ORIENTED_EDGE('',*,*,#228171,.F.); #322760=ORIENTED_EDGE('',*,*,#228172,.T.); #322761=ORIENTED_EDGE('',*,*,#228173,.T.); #322762=ORIENTED_EDGE('',*,*,#228169,.F.); #322763=ORIENTED_EDGE('',*,*,#228174,.F.); #322764=ORIENTED_EDGE('',*,*,#228160,.T.); #322765=ORIENTED_EDGE('',*,*,#228175,.T.); #322766=ORIENTED_EDGE('',*,*,#228172,.F.); #322767=ORIENTED_EDGE('',*,*,#228163,.T.); #322768=ORIENTED_EDGE('',*,*,#228167,.F.); #322769=ORIENTED_EDGE('',*,*,#228170,.F.); #322770=ORIENTED_EDGE('',*,*,#228173,.F.); #322771=ORIENTED_EDGE('',*,*,#228175,.F.); #322772=ORIENTED_EDGE('',*,*,#228159,.F.); #322773=ORIENTED_EDGE('',*,*,#228164,.T.); #322774=ORIENTED_EDGE('',*,*,#228161,.F.); #322775=ORIENTED_EDGE('',*,*,#228174,.T.); #322776=ORIENTED_EDGE('',*,*,#228171,.T.); #322777=ORIENTED_EDGE('',*,*,#228168,.T.); #322778=ORIENTED_EDGE('',*,*,#228165,.F.); #322779=ORIENTED_EDGE('',*,*,#228176,.T.); #322780=ORIENTED_EDGE('',*,*,#228177,.T.); #322781=ORIENTED_EDGE('',*,*,#228178,.F.); #322782=ORIENTED_EDGE('',*,*,#228179,.T.); #322783=ORIENTED_EDGE('',*,*,#228180,.F.); #322784=ORIENTED_EDGE('',*,*,#228181,.F.); #322785=ORIENTED_EDGE('',*,*,#228176,.F.); #322786=ORIENTED_EDGE('',*,*,#228182,.F.); #322787=ORIENTED_EDGE('',*,*,#228180,.T.); #322788=ORIENTED_EDGE('',*,*,#228183,.T.); #322789=ORIENTED_EDGE('',*,*,#228184,.T.); #322790=ORIENTED_EDGE('',*,*,#228185,.T.); #322791=ORIENTED_EDGE('',*,*,#228186,.F.); #322792=ORIENTED_EDGE('',*,*,#228187,.T.); #322793=ORIENTED_EDGE('',*,*,#228188,.T.); #322794=ORIENTED_EDGE('',*,*,#228184,.F.); #322795=ORIENTED_EDGE('',*,*,#228189,.F.); #322796=ORIENTED_EDGE('',*,*,#228190,.T.); #322797=ORIENTED_EDGE('',*,*,#228191,.T.); #322798=ORIENTED_EDGE('',*,*,#228187,.F.); #322799=ORIENTED_EDGE('',*,*,#228192,.F.); #322800=ORIENTED_EDGE('',*,*,#228178,.T.); #322801=ORIENTED_EDGE('',*,*,#228193,.T.); #322802=ORIENTED_EDGE('',*,*,#228190,.F.); #322803=ORIENTED_EDGE('',*,*,#228181,.T.); #322804=ORIENTED_EDGE('',*,*,#228185,.F.); #322805=ORIENTED_EDGE('',*,*,#228188,.F.); #322806=ORIENTED_EDGE('',*,*,#228191,.F.); #322807=ORIENTED_EDGE('',*,*,#228193,.F.); #322808=ORIENTED_EDGE('',*,*,#228177,.F.); #322809=ORIENTED_EDGE('',*,*,#228182,.T.); #322810=ORIENTED_EDGE('',*,*,#228179,.F.); #322811=ORIENTED_EDGE('',*,*,#228192,.T.); #322812=ORIENTED_EDGE('',*,*,#228189,.T.); #322813=ORIENTED_EDGE('',*,*,#228186,.T.); #322814=ORIENTED_EDGE('',*,*,#228183,.F.); #322815=ORIENTED_EDGE('',*,*,#228194,.T.); #322816=ORIENTED_EDGE('',*,*,#228195,.T.); #322817=ORIENTED_EDGE('',*,*,#228196,.F.); #322818=ORIENTED_EDGE('',*,*,#228197,.T.); #322819=ORIENTED_EDGE('',*,*,#228198,.F.); #322820=ORIENTED_EDGE('',*,*,#228199,.F.); #322821=ORIENTED_EDGE('',*,*,#228194,.F.); #322822=ORIENTED_EDGE('',*,*,#228200,.F.); #322823=ORIENTED_EDGE('',*,*,#228198,.T.); #322824=ORIENTED_EDGE('',*,*,#228201,.T.); #322825=ORIENTED_EDGE('',*,*,#228202,.T.); #322826=ORIENTED_EDGE('',*,*,#228203,.T.); #322827=ORIENTED_EDGE('',*,*,#228204,.F.); #322828=ORIENTED_EDGE('',*,*,#228205,.T.); #322829=ORIENTED_EDGE('',*,*,#228206,.T.); #322830=ORIENTED_EDGE('',*,*,#228202,.F.); #322831=ORIENTED_EDGE('',*,*,#228207,.F.); #322832=ORIENTED_EDGE('',*,*,#228208,.T.); #322833=ORIENTED_EDGE('',*,*,#228209,.T.); #322834=ORIENTED_EDGE('',*,*,#228205,.F.); #322835=ORIENTED_EDGE('',*,*,#228210,.F.); #322836=ORIENTED_EDGE('',*,*,#228196,.T.); #322837=ORIENTED_EDGE('',*,*,#228211,.T.); #322838=ORIENTED_EDGE('',*,*,#228208,.F.); #322839=ORIENTED_EDGE('',*,*,#228199,.T.); #322840=ORIENTED_EDGE('',*,*,#228203,.F.); #322841=ORIENTED_EDGE('',*,*,#228206,.F.); #322842=ORIENTED_EDGE('',*,*,#228209,.F.); #322843=ORIENTED_EDGE('',*,*,#228211,.F.); #322844=ORIENTED_EDGE('',*,*,#228195,.F.); #322845=ORIENTED_EDGE('',*,*,#228200,.T.); #322846=ORIENTED_EDGE('',*,*,#228197,.F.); #322847=ORIENTED_EDGE('',*,*,#228210,.T.); #322848=ORIENTED_EDGE('',*,*,#228207,.T.); #322849=ORIENTED_EDGE('',*,*,#228204,.T.); #322850=ORIENTED_EDGE('',*,*,#228201,.F.); #322851=ORIENTED_EDGE('',*,*,#228212,.T.); #322852=ORIENTED_EDGE('',*,*,#228213,.T.); #322853=ORIENTED_EDGE('',*,*,#228214,.F.); #322854=ORIENTED_EDGE('',*,*,#228215,.T.); #322855=ORIENTED_EDGE('',*,*,#228216,.F.); #322856=ORIENTED_EDGE('',*,*,#228217,.F.); #322857=ORIENTED_EDGE('',*,*,#228212,.F.); #322858=ORIENTED_EDGE('',*,*,#228218,.F.); #322859=ORIENTED_EDGE('',*,*,#228216,.T.); #322860=ORIENTED_EDGE('',*,*,#228219,.T.); #322861=ORIENTED_EDGE('',*,*,#228220,.T.); #322862=ORIENTED_EDGE('',*,*,#228221,.T.); #322863=ORIENTED_EDGE('',*,*,#228222,.F.); #322864=ORIENTED_EDGE('',*,*,#228223,.T.); #322865=ORIENTED_EDGE('',*,*,#228224,.T.); #322866=ORIENTED_EDGE('',*,*,#228220,.F.); #322867=ORIENTED_EDGE('',*,*,#228225,.F.); #322868=ORIENTED_EDGE('',*,*,#228226,.T.); #322869=ORIENTED_EDGE('',*,*,#228227,.T.); #322870=ORIENTED_EDGE('',*,*,#228223,.F.); #322871=ORIENTED_EDGE('',*,*,#228228,.F.); #322872=ORIENTED_EDGE('',*,*,#228214,.T.); #322873=ORIENTED_EDGE('',*,*,#228229,.T.); #322874=ORIENTED_EDGE('',*,*,#228226,.F.); #322875=ORIENTED_EDGE('',*,*,#228217,.T.); #322876=ORIENTED_EDGE('',*,*,#228221,.F.); #322877=ORIENTED_EDGE('',*,*,#228224,.F.); #322878=ORIENTED_EDGE('',*,*,#228227,.F.); #322879=ORIENTED_EDGE('',*,*,#228229,.F.); #322880=ORIENTED_EDGE('',*,*,#228213,.F.); #322881=ORIENTED_EDGE('',*,*,#228218,.T.); #322882=ORIENTED_EDGE('',*,*,#228215,.F.); #322883=ORIENTED_EDGE('',*,*,#228228,.T.); #322884=ORIENTED_EDGE('',*,*,#228225,.T.); #322885=ORIENTED_EDGE('',*,*,#228222,.T.); #322886=ORIENTED_EDGE('',*,*,#228219,.F.); #322887=ORIENTED_EDGE('',*,*,#228230,.T.); #322888=ORIENTED_EDGE('',*,*,#228231,.T.); #322889=ORIENTED_EDGE('',*,*,#228232,.F.); #322890=ORIENTED_EDGE('',*,*,#228233,.T.); #322891=ORIENTED_EDGE('',*,*,#228234,.F.); #322892=ORIENTED_EDGE('',*,*,#228235,.F.); #322893=ORIENTED_EDGE('',*,*,#228230,.F.); #322894=ORIENTED_EDGE('',*,*,#228236,.F.); #322895=ORIENTED_EDGE('',*,*,#228234,.T.); #322896=ORIENTED_EDGE('',*,*,#228237,.T.); #322897=ORIENTED_EDGE('',*,*,#228238,.T.); #322898=ORIENTED_EDGE('',*,*,#228239,.T.); #322899=ORIENTED_EDGE('',*,*,#228240,.F.); #322900=ORIENTED_EDGE('',*,*,#228241,.T.); #322901=ORIENTED_EDGE('',*,*,#228242,.T.); #322902=ORIENTED_EDGE('',*,*,#228238,.F.); #322903=ORIENTED_EDGE('',*,*,#228243,.F.); #322904=ORIENTED_EDGE('',*,*,#228244,.T.); #322905=ORIENTED_EDGE('',*,*,#228245,.T.); #322906=ORIENTED_EDGE('',*,*,#228241,.F.); #322907=ORIENTED_EDGE('',*,*,#228246,.F.); #322908=ORIENTED_EDGE('',*,*,#228232,.T.); #322909=ORIENTED_EDGE('',*,*,#228247,.T.); #322910=ORIENTED_EDGE('',*,*,#228244,.F.); #322911=ORIENTED_EDGE('',*,*,#228235,.T.); #322912=ORIENTED_EDGE('',*,*,#228239,.F.); #322913=ORIENTED_EDGE('',*,*,#228242,.F.); #322914=ORIENTED_EDGE('',*,*,#228245,.F.); #322915=ORIENTED_EDGE('',*,*,#228247,.F.); #322916=ORIENTED_EDGE('',*,*,#228231,.F.); #322917=ORIENTED_EDGE('',*,*,#228236,.T.); #322918=ORIENTED_EDGE('',*,*,#228233,.F.); #322919=ORIENTED_EDGE('',*,*,#228246,.T.); #322920=ORIENTED_EDGE('',*,*,#228243,.T.); #322921=ORIENTED_EDGE('',*,*,#228240,.T.); #322922=ORIENTED_EDGE('',*,*,#228237,.F.); #322923=ORIENTED_EDGE('',*,*,#228248,.T.); #322924=ORIENTED_EDGE('',*,*,#228249,.T.); #322925=ORIENTED_EDGE('',*,*,#228250,.F.); #322926=ORIENTED_EDGE('',*,*,#228251,.T.); #322927=ORIENTED_EDGE('',*,*,#228252,.F.); #322928=ORIENTED_EDGE('',*,*,#228253,.F.); #322929=ORIENTED_EDGE('',*,*,#228248,.F.); #322930=ORIENTED_EDGE('',*,*,#228254,.F.); #322931=ORIENTED_EDGE('',*,*,#228252,.T.); #322932=ORIENTED_EDGE('',*,*,#228255,.T.); #322933=ORIENTED_EDGE('',*,*,#228256,.T.); #322934=ORIENTED_EDGE('',*,*,#228257,.T.); #322935=ORIENTED_EDGE('',*,*,#228258,.F.); #322936=ORIENTED_EDGE('',*,*,#228259,.T.); #322937=ORIENTED_EDGE('',*,*,#228260,.T.); #322938=ORIENTED_EDGE('',*,*,#228256,.F.); #322939=ORIENTED_EDGE('',*,*,#228261,.F.); #322940=ORIENTED_EDGE('',*,*,#228262,.T.); #322941=ORIENTED_EDGE('',*,*,#228263,.T.); #322942=ORIENTED_EDGE('',*,*,#228259,.F.); #322943=ORIENTED_EDGE('',*,*,#228264,.F.); #322944=ORIENTED_EDGE('',*,*,#228250,.T.); #322945=ORIENTED_EDGE('',*,*,#228265,.T.); #322946=ORIENTED_EDGE('',*,*,#228262,.F.); #322947=ORIENTED_EDGE('',*,*,#228253,.T.); #322948=ORIENTED_EDGE('',*,*,#228257,.F.); #322949=ORIENTED_EDGE('',*,*,#228260,.F.); #322950=ORIENTED_EDGE('',*,*,#228263,.F.); #322951=ORIENTED_EDGE('',*,*,#228265,.F.); #322952=ORIENTED_EDGE('',*,*,#228249,.F.); #322953=ORIENTED_EDGE('',*,*,#228254,.T.); #322954=ORIENTED_EDGE('',*,*,#228251,.F.); #322955=ORIENTED_EDGE('',*,*,#228264,.T.); #322956=ORIENTED_EDGE('',*,*,#228261,.T.); #322957=ORIENTED_EDGE('',*,*,#228258,.T.); #322958=ORIENTED_EDGE('',*,*,#228255,.F.); #322959=ORIENTED_EDGE('',*,*,#228266,.T.); #322960=ORIENTED_EDGE('',*,*,#228267,.T.); #322961=ORIENTED_EDGE('',*,*,#228268,.F.); #322962=ORIENTED_EDGE('',*,*,#228269,.T.); #322963=ORIENTED_EDGE('',*,*,#228270,.F.); #322964=ORIENTED_EDGE('',*,*,#228271,.F.); #322965=ORIENTED_EDGE('',*,*,#228266,.F.); #322966=ORIENTED_EDGE('',*,*,#228272,.F.); #322967=ORIENTED_EDGE('',*,*,#228270,.T.); #322968=ORIENTED_EDGE('',*,*,#228273,.T.); #322969=ORIENTED_EDGE('',*,*,#228274,.T.); #322970=ORIENTED_EDGE('',*,*,#228275,.T.); #322971=ORIENTED_EDGE('',*,*,#228276,.F.); #322972=ORIENTED_EDGE('',*,*,#228277,.T.); #322973=ORIENTED_EDGE('',*,*,#228278,.T.); #322974=ORIENTED_EDGE('',*,*,#228274,.F.); #322975=ORIENTED_EDGE('',*,*,#228279,.F.); #322976=ORIENTED_EDGE('',*,*,#228280,.T.); #322977=ORIENTED_EDGE('',*,*,#228281,.T.); #322978=ORIENTED_EDGE('',*,*,#228277,.F.); #322979=ORIENTED_EDGE('',*,*,#228282,.F.); #322980=ORIENTED_EDGE('',*,*,#228268,.T.); #322981=ORIENTED_EDGE('',*,*,#228283,.T.); #322982=ORIENTED_EDGE('',*,*,#228280,.F.); #322983=ORIENTED_EDGE('',*,*,#228271,.T.); #322984=ORIENTED_EDGE('',*,*,#228275,.F.); #322985=ORIENTED_EDGE('',*,*,#228278,.F.); #322986=ORIENTED_EDGE('',*,*,#228281,.F.); #322987=ORIENTED_EDGE('',*,*,#228283,.F.); #322988=ORIENTED_EDGE('',*,*,#228267,.F.); #322989=ORIENTED_EDGE('',*,*,#228272,.T.); #322990=ORIENTED_EDGE('',*,*,#228269,.F.); #322991=ORIENTED_EDGE('',*,*,#228282,.T.); #322992=ORIENTED_EDGE('',*,*,#228279,.T.); #322993=ORIENTED_EDGE('',*,*,#228276,.T.); #322994=ORIENTED_EDGE('',*,*,#228273,.F.); #322995=ORIENTED_EDGE('',*,*,#228284,.T.); #322996=ORIENTED_EDGE('',*,*,#228285,.T.); #322997=ORIENTED_EDGE('',*,*,#228286,.F.); #322998=ORIENTED_EDGE('',*,*,#228287,.T.); #322999=ORIENTED_EDGE('',*,*,#228288,.F.); #323000=ORIENTED_EDGE('',*,*,#228289,.F.); #323001=ORIENTED_EDGE('',*,*,#228284,.F.); #323002=ORIENTED_EDGE('',*,*,#228290,.F.); #323003=ORIENTED_EDGE('',*,*,#228288,.T.); #323004=ORIENTED_EDGE('',*,*,#228291,.T.); #323005=ORIENTED_EDGE('',*,*,#228292,.T.); #323006=ORIENTED_EDGE('',*,*,#228293,.T.); #323007=ORIENTED_EDGE('',*,*,#228294,.F.); #323008=ORIENTED_EDGE('',*,*,#228295,.T.); #323009=ORIENTED_EDGE('',*,*,#228296,.T.); #323010=ORIENTED_EDGE('',*,*,#228292,.F.); #323011=ORIENTED_EDGE('',*,*,#228297,.F.); #323012=ORIENTED_EDGE('',*,*,#228298,.T.); #323013=ORIENTED_EDGE('',*,*,#228299,.T.); #323014=ORIENTED_EDGE('',*,*,#228295,.F.); #323015=ORIENTED_EDGE('',*,*,#228300,.F.); #323016=ORIENTED_EDGE('',*,*,#228286,.T.); #323017=ORIENTED_EDGE('',*,*,#228301,.T.); #323018=ORIENTED_EDGE('',*,*,#228298,.F.); #323019=ORIENTED_EDGE('',*,*,#228289,.T.); #323020=ORIENTED_EDGE('',*,*,#228293,.F.); #323021=ORIENTED_EDGE('',*,*,#228296,.F.); #323022=ORIENTED_EDGE('',*,*,#228299,.F.); #323023=ORIENTED_EDGE('',*,*,#228301,.F.); #323024=ORIENTED_EDGE('',*,*,#228285,.F.); #323025=ORIENTED_EDGE('',*,*,#228290,.T.); #323026=ORIENTED_EDGE('',*,*,#228287,.F.); #323027=ORIENTED_EDGE('',*,*,#228300,.T.); #323028=ORIENTED_EDGE('',*,*,#228297,.T.); #323029=ORIENTED_EDGE('',*,*,#228294,.T.); #323030=ORIENTED_EDGE('',*,*,#228291,.F.); #323031=ORIENTED_EDGE('',*,*,#228302,.T.); #323032=ORIENTED_EDGE('',*,*,#228303,.T.); #323033=ORIENTED_EDGE('',*,*,#228304,.F.); #323034=ORIENTED_EDGE('',*,*,#228305,.T.); #323035=ORIENTED_EDGE('',*,*,#228306,.F.); #323036=ORIENTED_EDGE('',*,*,#228307,.F.); #323037=ORIENTED_EDGE('',*,*,#228302,.F.); #323038=ORIENTED_EDGE('',*,*,#228308,.F.); #323039=ORIENTED_EDGE('',*,*,#228306,.T.); #323040=ORIENTED_EDGE('',*,*,#228309,.T.); #323041=ORIENTED_EDGE('',*,*,#228310,.T.); #323042=ORIENTED_EDGE('',*,*,#228311,.T.); #323043=ORIENTED_EDGE('',*,*,#228312,.F.); #323044=ORIENTED_EDGE('',*,*,#228313,.T.); #323045=ORIENTED_EDGE('',*,*,#228314,.T.); #323046=ORIENTED_EDGE('',*,*,#228310,.F.); #323047=ORIENTED_EDGE('',*,*,#228315,.F.); #323048=ORIENTED_EDGE('',*,*,#228316,.T.); #323049=ORIENTED_EDGE('',*,*,#228317,.T.); #323050=ORIENTED_EDGE('',*,*,#228313,.F.); #323051=ORIENTED_EDGE('',*,*,#228318,.F.); #323052=ORIENTED_EDGE('',*,*,#228304,.T.); #323053=ORIENTED_EDGE('',*,*,#228319,.T.); #323054=ORIENTED_EDGE('',*,*,#228316,.F.); #323055=ORIENTED_EDGE('',*,*,#228307,.T.); #323056=ORIENTED_EDGE('',*,*,#228311,.F.); #323057=ORIENTED_EDGE('',*,*,#228314,.F.); #323058=ORIENTED_EDGE('',*,*,#228317,.F.); #323059=ORIENTED_EDGE('',*,*,#228319,.F.); #323060=ORIENTED_EDGE('',*,*,#228303,.F.); #323061=ORIENTED_EDGE('',*,*,#228308,.T.); #323062=ORIENTED_EDGE('',*,*,#228305,.F.); #323063=ORIENTED_EDGE('',*,*,#228318,.T.); #323064=ORIENTED_EDGE('',*,*,#228315,.T.); #323065=ORIENTED_EDGE('',*,*,#228312,.T.); #323066=ORIENTED_EDGE('',*,*,#228309,.F.); #323067=ORIENTED_EDGE('',*,*,#228320,.T.); #323068=ORIENTED_EDGE('',*,*,#228321,.T.); #323069=ORIENTED_EDGE('',*,*,#228322,.F.); #323070=ORIENTED_EDGE('',*,*,#228323,.F.); #323071=ORIENTED_EDGE('',*,*,#228324,.T.); #323072=ORIENTED_EDGE('',*,*,#228323,.T.); #323073=ORIENTED_EDGE('',*,*,#228325,.F.); #323074=ORIENTED_EDGE('',*,*,#228326,.F.); #323075=ORIENTED_EDGE('',*,*,#228327,.T.); #323076=ORIENTED_EDGE('',*,*,#228326,.T.); #323077=ORIENTED_EDGE('',*,*,#228328,.F.); #323078=ORIENTED_EDGE('',*,*,#228329,.F.); #323079=ORIENTED_EDGE('',*,*,#228330,.T.); #323080=ORIENTED_EDGE('',*,*,#228329,.T.); #323081=ORIENTED_EDGE('',*,*,#228331,.F.); #323082=ORIENTED_EDGE('',*,*,#228321,.F.); #323083=ORIENTED_EDGE('',*,*,#228331,.T.); #323084=ORIENTED_EDGE('',*,*,#228328,.T.); #323085=ORIENTED_EDGE('',*,*,#228325,.T.); #323086=ORIENTED_EDGE('',*,*,#228322,.T.); #323087=ORIENTED_EDGE('',*,*,#228330,.F.); #323088=ORIENTED_EDGE('',*,*,#228320,.F.); #323089=ORIENTED_EDGE('',*,*,#228324,.F.); #323090=ORIENTED_EDGE('',*,*,#228327,.F.); #323091=ORIENTED_EDGE('',*,*,#228332,.F.); #323092=ORIENTED_EDGE('',*,*,#228333,.F.); #323093=ORIENTED_EDGE('',*,*,#228334,.F.); #323094=ORIENTED_EDGE('',*,*,#228335,.F.); #323095=ORIENTED_EDGE('',*,*,#228336,.F.); #323096=ORIENTED_EDGE('',*,*,#228337,.F.); #323097=ORIENTED_EDGE('',*,*,#228338,.F.); #323098=ORIENTED_EDGE('',*,*,#228339,.F.); #323099=ORIENTED_EDGE('',*,*,#228340,.F.); #323100=ORIENTED_EDGE('',*,*,#228341,.F.); #323101=ORIENTED_EDGE('',*,*,#228342,.F.); #323102=ORIENTED_EDGE('',*,*,#228343,.F.); #323103=ORIENTED_EDGE('',*,*,#228344,.F.); #323104=ORIENTED_EDGE('',*,*,#228345,.F.); #323105=ORIENTED_EDGE('',*,*,#228346,.F.); #323106=ORIENTED_EDGE('',*,*,#228345,.T.); #323107=ORIENTED_EDGE('',*,*,#228347,.T.); #323108=ORIENTED_EDGE('',*,*,#228340,.T.); #323109=ORIENTED_EDGE('',*,*,#228348,.T.); #323110=ORIENTED_EDGE('',*,*,#228349,.F.); #323111=ORIENTED_EDGE('',*,*,#228350,.F.); #323112=ORIENTED_EDGE('',*,*,#228351,.F.); #323113=ORIENTED_EDGE('',*,*,#228352,.F.); #323114=ORIENTED_EDGE('',*,*,#228353,.F.); #323115=ORIENTED_EDGE('',*,*,#228354,.F.); #323116=ORIENTED_EDGE('',*,*,#228339,.T.); #323117=ORIENTED_EDGE('',*,*,#228355,.T.); #323118=ORIENTED_EDGE('',*,*,#228353,.T.); #323119=ORIENTED_EDGE('',*,*,#228356,.T.); #323120=ORIENTED_EDGE('',*,*,#228342,.T.); #323121=ORIENTED_EDGE('',*,*,#228357,.T.); #323122=ORIENTED_EDGE('',*,*,#228354,.T.); #323123=ORIENTED_EDGE('',*,*,#228358,.T.); #323124=ORIENTED_EDGE('',*,*,#228359,.F.); #323125=ORIENTED_EDGE('',*,*,#228360,.F.); #323126=ORIENTED_EDGE('',*,*,#228361,.F.); #323127=ORIENTED_EDGE('',*,*,#228346,.T.); #323128=ORIENTED_EDGE('',*,*,#228362,.T.); #323129=ORIENTED_EDGE('',*,*,#228360,.T.); #323130=ORIENTED_EDGE('',*,*,#228363,.T.); #323131=ORIENTED_EDGE('',*,*,#228352,.T.); #323132=ORIENTED_EDGE('',*,*,#228364,.T.); #323133=ORIENTED_EDGE('',*,*,#228361,.T.); #323134=ORIENTED_EDGE('',*,*,#228365,.T.); #323135=ORIENTED_EDGE('',*,*,#228350,.T.); #323136=ORIENTED_EDGE('',*,*,#228366,.T.); #323137=ORIENTED_EDGE('',*,*,#228359,.T.); #323138=ORIENTED_EDGE('',*,*,#228367,.T.); #323139=ORIENTED_EDGE('',*,*,#228341,.T.); #323140=ORIENTED_EDGE('',*,*,#228368,.T.); #323141=ORIENTED_EDGE('',*,*,#228351,.T.); #323142=ORIENTED_EDGE('',*,*,#228369,.T.); #323143=ORIENTED_EDGE('',*,*,#228349,.T.); #323144=ORIENTED_EDGE('',*,*,#228370,.T.); #323145=ORIENTED_EDGE('',*,*,#228337,.T.); #323146=ORIENTED_EDGE('',*,*,#228371,.T.); #323147=ORIENTED_EDGE('',*,*,#228336,.T.); #323148=ORIENTED_EDGE('',*,*,#228372,.T.); #323149=ORIENTED_EDGE('',*,*,#228344,.T.); #323150=ORIENTED_EDGE('',*,*,#228373,.T.); #323151=ORIENTED_EDGE('',*,*,#228332,.T.); #323152=ORIENTED_EDGE('',*,*,#228374,.T.); #323153=ORIENTED_EDGE('',*,*,#228343,.T.); #323154=ORIENTED_EDGE('',*,*,#228375,.T.); #323155=ORIENTED_EDGE('',*,*,#228333,.T.); #323156=ORIENTED_EDGE('',*,*,#228376,.T.); #323157=ORIENTED_EDGE('',*,*,#228338,.T.); #323158=ORIENTED_EDGE('',*,*,#228377,.T.); #323159=ORIENTED_EDGE('',*,*,#228335,.T.); #323160=ORIENTED_EDGE('',*,*,#228378,.T.); #323161=ORIENTED_EDGE('',*,*,#228334,.T.); #323162=ORIENTED_EDGE('',*,*,#228379,.T.); #323163=ORIENTED_EDGE('',*,*,#228347,.F.); #323164=ORIENTED_EDGE('',*,*,#228372,.F.); #323165=ORIENTED_EDGE('',*,*,#228379,.F.); #323166=ORIENTED_EDGE('',*,*,#228377,.F.); #323167=ORIENTED_EDGE('',*,*,#228348,.F.); #323168=ORIENTED_EDGE('',*,*,#228356,.F.); #323169=ORIENTED_EDGE('',*,*,#228365,.F.); #323170=ORIENTED_EDGE('',*,*,#228362,.F.); #323171=ORIENTED_EDGE('',*,*,#228355,.F.); #323172=ORIENTED_EDGE('',*,*,#228376,.F.); #323173=ORIENTED_EDGE('',*,*,#228375,.F.); #323174=ORIENTED_EDGE('',*,*,#228358,.F.); #323175=ORIENTED_EDGE('',*,*,#228357,.F.); #323176=ORIENTED_EDGE('',*,*,#228369,.F.); #323177=ORIENTED_EDGE('',*,*,#228367,.F.); #323178=ORIENTED_EDGE('',*,*,#228364,.F.); #323179=ORIENTED_EDGE('',*,*,#228363,.F.); #323180=ORIENTED_EDGE('',*,*,#228366,.F.); #323181=ORIENTED_EDGE('',*,*,#228371,.F.); #323182=ORIENTED_EDGE('',*,*,#228373,.F.); #323183=ORIENTED_EDGE('',*,*,#228368,.F.); #323184=ORIENTED_EDGE('',*,*,#228374,.F.); #323185=ORIENTED_EDGE('',*,*,#228378,.F.); #323186=ORIENTED_EDGE('',*,*,#228370,.F.); #323187=ORIENTED_EDGE('',*,*,#228380,.F.); #323188=ORIENTED_EDGE('',*,*,#228381,.F.); #323189=ORIENTED_EDGE('',*,*,#228382,.F.); #323190=ORIENTED_EDGE('',*,*,#228383,.F.); #323191=ORIENTED_EDGE('',*,*,#228384,.F.); #323192=ORIENTED_EDGE('',*,*,#228385,.F.); #323193=ORIENTED_EDGE('',*,*,#228386,.F.); #323194=ORIENTED_EDGE('',*,*,#228387,.F.); #323195=ORIENTED_EDGE('',*,*,#228388,.F.); #323196=ORIENTED_EDGE('',*,*,#228389,.F.); #323197=ORIENTED_EDGE('',*,*,#228390,.F.); #323198=ORIENTED_EDGE('',*,*,#228391,.F.); #323199=ORIENTED_EDGE('',*,*,#228392,.F.); #323200=ORIENTED_EDGE('',*,*,#228393,.F.); #323201=ORIENTED_EDGE('',*,*,#228394,.F.); #323202=ORIENTED_EDGE('',*,*,#228393,.T.); #323203=ORIENTED_EDGE('',*,*,#228395,.T.); #323204=ORIENTED_EDGE('',*,*,#228388,.T.); #323205=ORIENTED_EDGE('',*,*,#228396,.T.); #323206=ORIENTED_EDGE('',*,*,#228397,.F.); #323207=ORIENTED_EDGE('',*,*,#228398,.F.); #323208=ORIENTED_EDGE('',*,*,#228399,.F.); #323209=ORIENTED_EDGE('',*,*,#228400,.F.); #323210=ORIENTED_EDGE('',*,*,#228401,.F.); #323211=ORIENTED_EDGE('',*,*,#228402,.F.); #323212=ORIENTED_EDGE('',*,*,#228387,.T.); #323213=ORIENTED_EDGE('',*,*,#228403,.T.); #323214=ORIENTED_EDGE('',*,*,#228401,.T.); #323215=ORIENTED_EDGE('',*,*,#228404,.T.); #323216=ORIENTED_EDGE('',*,*,#228390,.T.); #323217=ORIENTED_EDGE('',*,*,#228405,.T.); #323218=ORIENTED_EDGE('',*,*,#228402,.T.); #323219=ORIENTED_EDGE('',*,*,#228406,.T.); #323220=ORIENTED_EDGE('',*,*,#228407,.F.); #323221=ORIENTED_EDGE('',*,*,#228408,.F.); #323222=ORIENTED_EDGE('',*,*,#228409,.F.); #323223=ORIENTED_EDGE('',*,*,#228408,.T.); #323224=ORIENTED_EDGE('',*,*,#228410,.T.); #323225=ORIENTED_EDGE('',*,*,#228394,.T.); #323226=ORIENTED_EDGE('',*,*,#228411,.T.); #323227=ORIENTED_EDGE('',*,*,#228400,.T.); #323228=ORIENTED_EDGE('',*,*,#228412,.T.); #323229=ORIENTED_EDGE('',*,*,#228409,.T.); #323230=ORIENTED_EDGE('',*,*,#228413,.T.); #323231=ORIENTED_EDGE('',*,*,#228398,.T.); #323232=ORIENTED_EDGE('',*,*,#228414,.T.); #323233=ORIENTED_EDGE('',*,*,#228407,.T.); #323234=ORIENTED_EDGE('',*,*,#228415,.T.); #323235=ORIENTED_EDGE('',*,*,#228389,.T.); #323236=ORIENTED_EDGE('',*,*,#228416,.T.); #323237=ORIENTED_EDGE('',*,*,#228399,.T.); #323238=ORIENTED_EDGE('',*,*,#228417,.T.); #323239=ORIENTED_EDGE('',*,*,#228397,.T.); #323240=ORIENTED_EDGE('',*,*,#228418,.T.); #323241=ORIENTED_EDGE('',*,*,#228385,.T.); #323242=ORIENTED_EDGE('',*,*,#228419,.T.); #323243=ORIENTED_EDGE('',*,*,#228384,.T.); #323244=ORIENTED_EDGE('',*,*,#228420,.T.); #323245=ORIENTED_EDGE('',*,*,#228392,.T.); #323246=ORIENTED_EDGE('',*,*,#228421,.T.); #323247=ORIENTED_EDGE('',*,*,#228380,.T.); #323248=ORIENTED_EDGE('',*,*,#228422,.T.); #323249=ORIENTED_EDGE('',*,*,#228391,.T.); #323250=ORIENTED_EDGE('',*,*,#228423,.T.); #323251=ORIENTED_EDGE('',*,*,#228381,.T.); #323252=ORIENTED_EDGE('',*,*,#228424,.T.); #323253=ORIENTED_EDGE('',*,*,#228386,.T.); #323254=ORIENTED_EDGE('',*,*,#228425,.T.); #323255=ORIENTED_EDGE('',*,*,#228383,.T.); #323256=ORIENTED_EDGE('',*,*,#228426,.T.); #323257=ORIENTED_EDGE('',*,*,#228382,.T.); #323258=ORIENTED_EDGE('',*,*,#228427,.T.); #323259=ORIENTED_EDGE('',*,*,#228395,.F.); #323260=ORIENTED_EDGE('',*,*,#228420,.F.); #323261=ORIENTED_EDGE('',*,*,#228427,.F.); #323262=ORIENTED_EDGE('',*,*,#228425,.F.); #323263=ORIENTED_EDGE('',*,*,#228396,.F.); #323264=ORIENTED_EDGE('',*,*,#228404,.F.); #323265=ORIENTED_EDGE('',*,*,#228413,.F.); #323266=ORIENTED_EDGE('',*,*,#228411,.F.); #323267=ORIENTED_EDGE('',*,*,#228403,.F.); #323268=ORIENTED_EDGE('',*,*,#228424,.F.); #323269=ORIENTED_EDGE('',*,*,#228423,.F.); #323270=ORIENTED_EDGE('',*,*,#228406,.F.); #323271=ORIENTED_EDGE('',*,*,#228405,.F.); #323272=ORIENTED_EDGE('',*,*,#228417,.F.); #323273=ORIENTED_EDGE('',*,*,#228415,.F.); #323274=ORIENTED_EDGE('',*,*,#228412,.F.); #323275=ORIENTED_EDGE('',*,*,#228410,.F.); #323276=ORIENTED_EDGE('',*,*,#228414,.F.); #323277=ORIENTED_EDGE('',*,*,#228419,.F.); #323278=ORIENTED_EDGE('',*,*,#228421,.F.); #323279=ORIENTED_EDGE('',*,*,#228416,.F.); #323280=ORIENTED_EDGE('',*,*,#228422,.F.); #323281=ORIENTED_EDGE('',*,*,#228426,.F.); #323282=ORIENTED_EDGE('',*,*,#228418,.F.); #323283=ORIENTED_EDGE('',*,*,#228428,.F.); #323284=ORIENTED_EDGE('',*,*,#228429,.T.); #323285=ORIENTED_EDGE('',*,*,#228430,.F.); #323286=ORIENTED_EDGE('',*,*,#228431,.F.); #323287=ORIENTED_EDGE('',*,*,#228432,.T.); #323288=ORIENTED_EDGE('',*,*,#228431,.T.); #323289=ORIENTED_EDGE('',*,*,#228433,.F.); #323290=ORIENTED_EDGE('',*,*,#228434,.F.); #323291=ORIENTED_EDGE('',*,*,#228435,.T.); #323292=ORIENTED_EDGE('',*,*,#228434,.T.); #323293=ORIENTED_EDGE('',*,*,#228436,.F.); #323294=ORIENTED_EDGE('',*,*,#228437,.F.); #323295=ORIENTED_EDGE('',*,*,#228438,.T.); #323296=ORIENTED_EDGE('',*,*,#228437,.T.); #323297=ORIENTED_EDGE('',*,*,#228439,.F.); #323298=ORIENTED_EDGE('',*,*,#228429,.F.); #323299=ORIENTED_EDGE('',*,*,#228439,.T.); #323300=ORIENTED_EDGE('',*,*,#228436,.T.); #323301=ORIENTED_EDGE('',*,*,#228433,.T.); #323302=ORIENTED_EDGE('',*,*,#228430,.T.); #323303=ORIENTED_EDGE('',*,*,#228438,.F.); #323304=ORIENTED_EDGE('',*,*,#228428,.T.); #323305=ORIENTED_EDGE('',*,*,#228432,.F.); #323306=ORIENTED_EDGE('',*,*,#228435,.F.); #323307=ORIENTED_EDGE('',*,*,#228440,.T.); #323308=ORIENTED_EDGE('',*,*,#228441,.T.); #323309=ORIENTED_EDGE('',*,*,#228442,.T.); #323310=ORIENTED_EDGE('',*,*,#228443,.F.); #323311=ORIENTED_EDGE('',*,*,#228444,.T.); #323312=ORIENTED_EDGE('',*,*,#228445,.T.); #323313=ORIENTED_EDGE('',*,*,#228446,.T.); #323314=ORIENTED_EDGE('',*,*,#228441,.F.); #323315=ORIENTED_EDGE('',*,*,#228447,.T.); #323316=ORIENTED_EDGE('',*,*,#228448,.T.); #323317=ORIENTED_EDGE('',*,*,#228449,.T.); #323318=ORIENTED_EDGE('',*,*,#228445,.F.); #323319=ORIENTED_EDGE('',*,*,#228450,.T.); #323320=ORIENTED_EDGE('',*,*,#228448,.F.); #323321=ORIENTED_EDGE('',*,*,#228451,.T.); #323322=ORIENTED_EDGE('',*,*,#228443,.T.); #323323=ORIENTED_EDGE('',*,*,#228450,.F.); #323324=ORIENTED_EDGE('',*,*,#228452,.F.); #323325=ORIENTED_EDGE('',*,*,#228453,.T.); #323326=ORIENTED_EDGE('',*,*,#228454,.T.); #323327=ORIENTED_EDGE('',*,*,#228442,.F.); #323328=ORIENTED_EDGE('',*,*,#228455,.F.); #323329=ORIENTED_EDGE('',*,*,#228456,.T.); #323330=ORIENTED_EDGE('',*,*,#228452,.T.); #323331=ORIENTED_EDGE('',*,*,#228440,.F.); #323332=ORIENTED_EDGE('',*,*,#228451,.F.); #323333=ORIENTED_EDGE('',*,*,#228447,.F.); #323334=ORIENTED_EDGE('',*,*,#228444,.F.); #323335=ORIENTED_EDGE('',*,*,#228446,.F.); #323336=ORIENTED_EDGE('',*,*,#228457,.F.); #323337=ORIENTED_EDGE('',*,*,#228458,.T.); #323338=ORIENTED_EDGE('',*,*,#228455,.T.); #323339=ORIENTED_EDGE('',*,*,#228449,.F.); #323340=ORIENTED_EDGE('',*,*,#228454,.F.); #323341=ORIENTED_EDGE('',*,*,#228459,.T.); #323342=ORIENTED_EDGE('',*,*,#228457,.T.); #323343=ORIENTED_EDGE('',*,*,#228459,.F.); #323344=ORIENTED_EDGE('',*,*,#228453,.F.); #323345=ORIENTED_EDGE('',*,*,#228456,.F.); #323346=ORIENTED_EDGE('',*,*,#228458,.F.); #323347=ORIENTED_EDGE('',*,*,#228460,.F.); #323348=ORIENTED_EDGE('',*,*,#228461,.T.); #323349=ORIENTED_EDGE('',*,*,#228462,.F.); #323350=ORIENTED_EDGE('',*,*,#228463,.F.); #323351=ORIENTED_EDGE('',*,*,#228464,.T.); #323352=ORIENTED_EDGE('',*,*,#228463,.T.); #323353=ORIENTED_EDGE('',*,*,#228465,.F.); #323354=ORIENTED_EDGE('',*,*,#228466,.F.); #323355=ORIENTED_EDGE('',*,*,#228467,.T.); #323356=ORIENTED_EDGE('',*,*,#228466,.T.); #323357=ORIENTED_EDGE('',*,*,#228468,.F.); #323358=ORIENTED_EDGE('',*,*,#228469,.F.); #323359=ORIENTED_EDGE('',*,*,#228470,.T.); #323360=ORIENTED_EDGE('',*,*,#228469,.T.); #323361=ORIENTED_EDGE('',*,*,#228471,.F.); #323362=ORIENTED_EDGE('',*,*,#228461,.F.); #323363=ORIENTED_EDGE('',*,*,#228471,.T.); #323364=ORIENTED_EDGE('',*,*,#228468,.T.); #323365=ORIENTED_EDGE('',*,*,#228465,.T.); #323366=ORIENTED_EDGE('',*,*,#228462,.T.); #323367=ORIENTED_EDGE('',*,*,#228470,.F.); #323368=ORIENTED_EDGE('',*,*,#228460,.T.); #323369=ORIENTED_EDGE('',*,*,#228464,.F.); #323370=ORIENTED_EDGE('',*,*,#228467,.F.); #323371=ORIENTED_EDGE('',*,*,#228472,.T.); #323372=ORIENTED_EDGE('',*,*,#228473,.T.); #323373=ORIENTED_EDGE('',*,*,#228474,.F.); #323374=ORIENTED_EDGE('',*,*,#228475,.F.); #323375=ORIENTED_EDGE('',*,*,#228476,.T.); #323376=ORIENTED_EDGE('',*,*,#228475,.T.); #323377=ORIENTED_EDGE('',*,*,#228477,.F.); #323378=ORIENTED_EDGE('',*,*,#228478,.F.); #323379=ORIENTED_EDGE('',*,*,#228479,.T.); #323380=ORIENTED_EDGE('',*,*,#228478,.T.); #323381=ORIENTED_EDGE('',*,*,#228480,.F.); #323382=ORIENTED_EDGE('',*,*,#228481,.F.); #323383=ORIENTED_EDGE('',*,*,#228482,.T.); #323384=ORIENTED_EDGE('',*,*,#228481,.T.); #323385=ORIENTED_EDGE('',*,*,#228483,.F.); #323386=ORIENTED_EDGE('',*,*,#228473,.F.); #323387=ORIENTED_EDGE('',*,*,#228483,.T.); #323388=ORIENTED_EDGE('',*,*,#228480,.T.); #323389=ORIENTED_EDGE('',*,*,#228477,.T.); #323390=ORIENTED_EDGE('',*,*,#228474,.T.); #323391=ORIENTED_EDGE('',*,*,#228482,.F.); #323392=ORIENTED_EDGE('',*,*,#228472,.F.); #323393=ORIENTED_EDGE('',*,*,#228476,.F.); #323394=ORIENTED_EDGE('',*,*,#228479,.F.); #323395=ORIENTED_EDGE('',*,*,#228484,.F.); #323396=ORIENTED_EDGE('',*,*,#228485,.T.); #323397=ORIENTED_EDGE('',*,*,#228486,.F.); #323398=ORIENTED_EDGE('',*,*,#228487,.F.); #323399=ORIENTED_EDGE('',*,*,#228488,.F.); #323400=ORIENTED_EDGE('',*,*,#228487,.T.); #323401=ORIENTED_EDGE('',*,*,#228489,.F.); #323402=ORIENTED_EDGE('',*,*,#228490,.F.); #323403=ORIENTED_EDGE('',*,*,#228491,.F.); #323404=ORIENTED_EDGE('',*,*,#228490,.T.); #323405=ORIENTED_EDGE('',*,*,#228492,.F.); #323406=ORIENTED_EDGE('',*,*,#228493,.F.); #323407=ORIENTED_EDGE('',*,*,#228494,.F.); #323408=ORIENTED_EDGE('',*,*,#228493,.T.); #323409=ORIENTED_EDGE('',*,*,#228495,.F.); #323410=ORIENTED_EDGE('',*,*,#228485,.F.); #323411=ORIENTED_EDGE('',*,*,#228495,.T.); #323412=ORIENTED_EDGE('',*,*,#228492,.T.); #323413=ORIENTED_EDGE('',*,*,#228489,.T.); #323414=ORIENTED_EDGE('',*,*,#228486,.T.); #323415=ORIENTED_EDGE('',*,*,#228494,.T.); #323416=ORIENTED_EDGE('',*,*,#228484,.T.); #323417=ORIENTED_EDGE('',*,*,#228488,.T.); #323418=ORIENTED_EDGE('',*,*,#228491,.T.); #323419=ORIENTED_EDGE('',*,*,#228496,.F.); #323420=ORIENTED_EDGE('',*,*,#228497,.T.); #323421=ORIENTED_EDGE('',*,*,#228498,.F.); #323422=ORIENTED_EDGE('',*,*,#228499,.F.); #323423=ORIENTED_EDGE('',*,*,#228500,.F.); #323424=ORIENTED_EDGE('',*,*,#228499,.T.); #323425=ORIENTED_EDGE('',*,*,#228501,.F.); #323426=ORIENTED_EDGE('',*,*,#228502,.F.); #323427=ORIENTED_EDGE('',*,*,#228503,.F.); #323428=ORIENTED_EDGE('',*,*,#228502,.T.); #323429=ORIENTED_EDGE('',*,*,#228504,.F.); #323430=ORIENTED_EDGE('',*,*,#228505,.F.); #323431=ORIENTED_EDGE('',*,*,#228506,.T.); #323432=ORIENTED_EDGE('',*,*,#228505,.T.); #323433=ORIENTED_EDGE('',*,*,#228507,.F.); #323434=ORIENTED_EDGE('',*,*,#228497,.F.); #323435=ORIENTED_EDGE('',*,*,#228507,.T.); #323436=ORIENTED_EDGE('',*,*,#228504,.T.); #323437=ORIENTED_EDGE('',*,*,#228501,.T.); #323438=ORIENTED_EDGE('',*,*,#228498,.T.); #323439=ORIENTED_EDGE('',*,*,#228506,.F.); #323440=ORIENTED_EDGE('',*,*,#228496,.T.); #323441=ORIENTED_EDGE('',*,*,#228500,.T.); #323442=ORIENTED_EDGE('',*,*,#228503,.T.); #323443=ORIENTED_EDGE('',*,*,#228508,.F.); #323444=ORIENTED_EDGE('',*,*,#228509,.T.); #323445=ORIENTED_EDGE('',*,*,#228510,.F.); #323446=ORIENTED_EDGE('',*,*,#228511,.F.); #323447=ORIENTED_EDGE('',*,*,#228512,.T.); #323448=ORIENTED_EDGE('',*,*,#228511,.T.); #323449=ORIENTED_EDGE('',*,*,#228513,.F.); #323450=ORIENTED_EDGE('',*,*,#228514,.F.); #323451=ORIENTED_EDGE('',*,*,#228515,.T.); #323452=ORIENTED_EDGE('',*,*,#228514,.T.); #323453=ORIENTED_EDGE('',*,*,#228516,.F.); #323454=ORIENTED_EDGE('',*,*,#228517,.F.); #323455=ORIENTED_EDGE('',*,*,#228518,.T.); #323456=ORIENTED_EDGE('',*,*,#228517,.T.); #323457=ORIENTED_EDGE('',*,*,#228519,.F.); #323458=ORIENTED_EDGE('',*,*,#228509,.F.); #323459=ORIENTED_EDGE('',*,*,#228519,.T.); #323460=ORIENTED_EDGE('',*,*,#228516,.T.); #323461=ORIENTED_EDGE('',*,*,#228513,.T.); #323462=ORIENTED_EDGE('',*,*,#228510,.T.); #323463=ORIENTED_EDGE('',*,*,#228518,.F.); #323464=ORIENTED_EDGE('',*,*,#228508,.T.); #323465=ORIENTED_EDGE('',*,*,#228512,.F.); #323466=ORIENTED_EDGE('',*,*,#228515,.F.); #323467=ORIENTED_EDGE('',*,*,#228520,.F.); #323468=ORIENTED_EDGE('',*,*,#228521,.T.); #323469=ORIENTED_EDGE('',*,*,#228522,.T.); #323470=ORIENTED_EDGE('',*,*,#228521,.F.); #323471=ORIENTED_EDGE('',*,*,#228522,.F.); #323472=ORIENTED_EDGE('',*,*,#228523,.T.); #323473=ORIENTED_EDGE('',*,*,#228524,.T.); #323474=ORIENTED_EDGE('',*,*,#228525,.T.); #323475=ORIENTED_EDGE('',*,*,#228526,.F.); #323476=ORIENTED_EDGE('',*,*,#228527,.T.); #323477=ORIENTED_EDGE('',*,*,#228528,.T.); #323478=ORIENTED_EDGE('',*,*,#228529,.T.); #323479=ORIENTED_EDGE('',*,*,#228524,.F.); #323480=ORIENTED_EDGE('',*,*,#228530,.T.); #323481=ORIENTED_EDGE('',*,*,#228531,.T.); #323482=ORIENTED_EDGE('',*,*,#228532,.T.); #323483=ORIENTED_EDGE('',*,*,#228528,.F.); #323484=ORIENTED_EDGE('',*,*,#228533,.T.); #323485=ORIENTED_EDGE('',*,*,#228531,.F.); #323486=ORIENTED_EDGE('',*,*,#228534,.T.); #323487=ORIENTED_EDGE('',*,*,#228526,.T.); #323488=ORIENTED_EDGE('',*,*,#228533,.F.); #323489=ORIENTED_EDGE('',*,*,#228535,.F.); #323490=ORIENTED_EDGE('',*,*,#228536,.F.); #323491=ORIENTED_EDGE('',*,*,#228537,.T.); #323492=ORIENTED_EDGE('',*,*,#228525,.F.); #323493=ORIENTED_EDGE('',*,*,#228538,.F.); #323494=ORIENTED_EDGE('',*,*,#228539,.F.); #323495=ORIENTED_EDGE('',*,*,#228535,.T.); #323496=ORIENTED_EDGE('',*,*,#228523,.F.); #323497=ORIENTED_EDGE('',*,*,#228534,.F.); #323498=ORIENTED_EDGE('',*,*,#228530,.F.); #323499=ORIENTED_EDGE('',*,*,#228527,.F.); #323500=ORIENTED_EDGE('',*,*,#228520,.T.); #323501=ORIENTED_EDGE('',*,*,#228540,.T.); #323502=ORIENTED_EDGE('',*,*,#228541,.T.); #323503=ORIENTED_EDGE('',*,*,#228542,.T.); #323504=ORIENTED_EDGE('',*,*,#228543,.T.); #323505=ORIENTED_EDGE('',*,*,#228544,.T.); #323506=ORIENTED_EDGE('',*,*,#228541,.F.); #323507=ORIENTED_EDGE('',*,*,#228536,.T.); #323508=ORIENTED_EDGE('',*,*,#228545,.F.); #323509=ORIENTED_EDGE('',*,*,#228546,.T.); #323510=ORIENTED_EDGE('',*,*,#228543,.F.); #323511=ORIENTED_EDGE('',*,*,#228547,.T.); #323512=ORIENTED_EDGE('',*,*,#228548,.T.); #323513=ORIENTED_EDGE('',*,*,#228539,.T.); #323514=ORIENTED_EDGE('',*,*,#228548,.F.); #323515=ORIENTED_EDGE('',*,*,#228549,.T.); #323516=ORIENTED_EDGE('',*,*,#228545,.T.); #323517=ORIENTED_EDGE('',*,*,#228532,.F.); #323518=ORIENTED_EDGE('',*,*,#228537,.F.); #323519=ORIENTED_EDGE('',*,*,#228540,.F.); #323520=ORIENTED_EDGE('',*,*,#228550,.T.); #323521=ORIENTED_EDGE('',*,*,#228542,.F.); #323522=ORIENTED_EDGE('',*,*,#228544,.F.); #323523=ORIENTED_EDGE('',*,*,#228549,.F.); #323524=ORIENTED_EDGE('',*,*,#228547,.F.); #323525=ORIENTED_EDGE('',*,*,#228529,.F.); #323526=ORIENTED_EDGE('',*,*,#228550,.F.); #323527=ORIENTED_EDGE('',*,*,#228546,.F.); #323528=ORIENTED_EDGE('',*,*,#228538,.T.); #323529=ORIENTED_EDGE('',*,*,#228551,.T.); #323530=ORIENTED_EDGE('',*,*,#228552,.T.); #323531=ORIENTED_EDGE('',*,*,#228553,.T.); #323532=ORIENTED_EDGE('',*,*,#228554,.T.); #323533=ORIENTED_EDGE('',*,*,#228555,.T.); #323534=ORIENTED_EDGE('',*,*,#228556,.T.); #323535=ORIENTED_EDGE('',*,*,#228557,.T.); #323536=ORIENTED_EDGE('',*,*,#228558,.T.); #323537=ORIENTED_EDGE('',*,*,#228559,.T.); #323538=ORIENTED_EDGE('',*,*,#228560,.T.); #323539=ORIENTED_EDGE('',*,*,#228561,.T.); #323540=ORIENTED_EDGE('',*,*,#228562,.T.); #323541=ORIENTED_EDGE('',*,*,#228563,.F.); #323542=ORIENTED_EDGE('',*,*,#228564,.F.); #323543=ORIENTED_EDGE('',*,*,#228565,.F.); #323544=ORIENTED_EDGE('',*,*,#228552,.F.); #323545=ORIENTED_EDGE('',*,*,#228565,.T.); #323546=ORIENTED_EDGE('',*,*,#228566,.F.); #323547=ORIENTED_EDGE('',*,*,#228567,.F.); #323548=ORIENTED_EDGE('',*,*,#228553,.F.); #323549=ORIENTED_EDGE('',*,*,#228567,.T.); #323550=ORIENTED_EDGE('',*,*,#228568,.F.); #323551=ORIENTED_EDGE('',*,*,#228569,.F.); #323552=ORIENTED_EDGE('',*,*,#228554,.F.); #323553=ORIENTED_EDGE('',*,*,#228569,.T.); #323554=ORIENTED_EDGE('',*,*,#228570,.F.); #323555=ORIENTED_EDGE('',*,*,#228571,.F.); #323556=ORIENTED_EDGE('',*,*,#228555,.F.); #323557=ORIENTED_EDGE('',*,*,#228571,.T.); #323558=ORIENTED_EDGE('',*,*,#228572,.F.); #323559=ORIENTED_EDGE('',*,*,#228573,.F.); #323560=ORIENTED_EDGE('',*,*,#228556,.F.); #323561=ORIENTED_EDGE('',*,*,#228573,.T.); #323562=ORIENTED_EDGE('',*,*,#228574,.F.); #323563=ORIENTED_EDGE('',*,*,#228575,.F.); #323564=ORIENTED_EDGE('',*,*,#228557,.F.); #323565=ORIENTED_EDGE('',*,*,#228575,.T.); #323566=ORIENTED_EDGE('',*,*,#228576,.F.); #323567=ORIENTED_EDGE('',*,*,#228577,.F.); #323568=ORIENTED_EDGE('',*,*,#228558,.F.); #323569=ORIENTED_EDGE('',*,*,#228577,.T.); #323570=ORIENTED_EDGE('',*,*,#228578,.F.); #323571=ORIENTED_EDGE('',*,*,#228579,.F.); #323572=ORIENTED_EDGE('',*,*,#228559,.F.); #323573=ORIENTED_EDGE('',*,*,#228579,.T.); #323574=ORIENTED_EDGE('',*,*,#228580,.F.); #323575=ORIENTED_EDGE('',*,*,#228581,.F.); #323576=ORIENTED_EDGE('',*,*,#228560,.F.); #323577=ORIENTED_EDGE('',*,*,#228581,.T.); #323578=ORIENTED_EDGE('',*,*,#228582,.F.); #323579=ORIENTED_EDGE('',*,*,#228583,.F.); #323580=ORIENTED_EDGE('',*,*,#228561,.F.); #323581=ORIENTED_EDGE('',*,*,#228583,.T.); #323582=ORIENTED_EDGE('',*,*,#228584,.F.); #323583=ORIENTED_EDGE('',*,*,#228585,.F.); #323584=ORIENTED_EDGE('',*,*,#228562,.F.); #323585=ORIENTED_EDGE('',*,*,#228585,.T.); #323586=ORIENTED_EDGE('',*,*,#228586,.F.); #323587=ORIENTED_EDGE('',*,*,#228563,.T.); #323588=ORIENTED_EDGE('',*,*,#228551,.F.); #323589=ORIENTED_EDGE('',*,*,#228586,.T.); #323590=ORIENTED_EDGE('',*,*,#228584,.T.); #323591=ORIENTED_EDGE('',*,*,#228582,.T.); #323592=ORIENTED_EDGE('',*,*,#228580,.T.); #323593=ORIENTED_EDGE('',*,*,#228578,.T.); #323594=ORIENTED_EDGE('',*,*,#228576,.T.); #323595=ORIENTED_EDGE('',*,*,#228574,.T.); #323596=ORIENTED_EDGE('',*,*,#228572,.T.); #323597=ORIENTED_EDGE('',*,*,#228570,.T.); #323598=ORIENTED_EDGE('',*,*,#228568,.T.); #323599=ORIENTED_EDGE('',*,*,#228566,.T.); #323600=ORIENTED_EDGE('',*,*,#228564,.T.); #323601=ORIENTED_EDGE('',*,*,#228587,.F.); #323602=ORIENTED_EDGE('',*,*,#228588,.F.); #323603=ORIENTED_EDGE('',*,*,#228589,.F.); #323604=ORIENTED_EDGE('',*,*,#228590,.F.); #323605=ORIENTED_EDGE('',*,*,#228591,.F.); #323606=ORIENTED_EDGE('',*,*,#228592,.F.); #323607=ORIENTED_EDGE('',*,*,#228593,.F.); #323608=ORIENTED_EDGE('',*,*,#228594,.F.); #323609=ORIENTED_EDGE('',*,*,#228595,.F.); #323610=ORIENTED_EDGE('',*,*,#228596,.F.); #323611=ORIENTED_EDGE('',*,*,#228597,.F.); #323612=ORIENTED_EDGE('',*,*,#228598,.F.); #323613=ORIENTED_EDGE('',*,*,#228599,.T.); #323614=ORIENTED_EDGE('',*,*,#228598,.T.); #323615=ORIENTED_EDGE('',*,*,#228600,.T.); #323616=ORIENTED_EDGE('',*,*,#228601,.T.); #323617=ORIENTED_EDGE('',*,*,#228600,.F.); #323618=ORIENTED_EDGE('',*,*,#228597,.T.); #323619=ORIENTED_EDGE('',*,*,#228602,.T.); #323620=ORIENTED_EDGE('',*,*,#228603,.T.); #323621=ORIENTED_EDGE('',*,*,#228602,.F.); #323622=ORIENTED_EDGE('',*,*,#228596,.T.); #323623=ORIENTED_EDGE('',*,*,#228604,.T.); #323624=ORIENTED_EDGE('',*,*,#228605,.T.); #323625=ORIENTED_EDGE('',*,*,#228604,.F.); #323626=ORIENTED_EDGE('',*,*,#228595,.T.); #323627=ORIENTED_EDGE('',*,*,#228606,.T.); #323628=ORIENTED_EDGE('',*,*,#228607,.T.); #323629=ORIENTED_EDGE('',*,*,#228606,.F.); #323630=ORIENTED_EDGE('',*,*,#228594,.T.); #323631=ORIENTED_EDGE('',*,*,#228608,.T.); #323632=ORIENTED_EDGE('',*,*,#228609,.T.); #323633=ORIENTED_EDGE('',*,*,#228608,.F.); #323634=ORIENTED_EDGE('',*,*,#228593,.T.); #323635=ORIENTED_EDGE('',*,*,#228610,.T.); #323636=ORIENTED_EDGE('',*,*,#228611,.T.); #323637=ORIENTED_EDGE('',*,*,#228610,.F.); #323638=ORIENTED_EDGE('',*,*,#228592,.T.); #323639=ORIENTED_EDGE('',*,*,#228612,.T.); #323640=ORIENTED_EDGE('',*,*,#228613,.T.); #323641=ORIENTED_EDGE('',*,*,#228612,.F.); #323642=ORIENTED_EDGE('',*,*,#228591,.T.); #323643=ORIENTED_EDGE('',*,*,#228614,.T.); #323644=ORIENTED_EDGE('',*,*,#228615,.T.); #323645=ORIENTED_EDGE('',*,*,#228614,.F.); #323646=ORIENTED_EDGE('',*,*,#228590,.T.); #323647=ORIENTED_EDGE('',*,*,#228616,.T.); #323648=ORIENTED_EDGE('',*,*,#228617,.T.); #323649=ORIENTED_EDGE('',*,*,#228616,.F.); #323650=ORIENTED_EDGE('',*,*,#228589,.T.); #323651=ORIENTED_EDGE('',*,*,#228618,.T.); #323652=ORIENTED_EDGE('',*,*,#228619,.T.); #323653=ORIENTED_EDGE('',*,*,#228618,.F.); #323654=ORIENTED_EDGE('',*,*,#228588,.T.); #323655=ORIENTED_EDGE('',*,*,#228620,.T.); #323656=ORIENTED_EDGE('',*,*,#228621,.T.); #323657=ORIENTED_EDGE('',*,*,#228620,.F.); #323658=ORIENTED_EDGE('',*,*,#228587,.T.); #323659=ORIENTED_EDGE('',*,*,#228599,.F.); #323660=ORIENTED_EDGE('',*,*,#228622,.T.); #323661=ORIENTED_EDGE('',*,*,#228622,.F.); #323662=ORIENTED_EDGE('',*,*,#228601,.F.); #323663=ORIENTED_EDGE('',*,*,#228603,.F.); #323664=ORIENTED_EDGE('',*,*,#228605,.F.); #323665=ORIENTED_EDGE('',*,*,#228607,.F.); #323666=ORIENTED_EDGE('',*,*,#228609,.F.); #323667=ORIENTED_EDGE('',*,*,#228611,.F.); #323668=ORIENTED_EDGE('',*,*,#228613,.F.); #323669=ORIENTED_EDGE('',*,*,#228615,.F.); #323670=ORIENTED_EDGE('',*,*,#228617,.F.); #323671=ORIENTED_EDGE('',*,*,#228619,.F.); #323672=ORIENTED_EDGE('',*,*,#228621,.F.); #323673=ORIENTED_EDGE('',*,*,#228623,.T.); #323674=ORIENTED_EDGE('',*,*,#228624,.T.); #323675=ORIENTED_EDGE('',*,*,#228625,.T.); #323676=ORIENTED_EDGE('',*,*,#228626,.T.); #323677=ORIENTED_EDGE('',*,*,#228627,.T.); #323678=ORIENTED_EDGE('',*,*,#228628,.T.); #323679=ORIENTED_EDGE('',*,*,#228629,.T.); #323680=ORIENTED_EDGE('',*,*,#228630,.T.); #323681=ORIENTED_EDGE('',*,*,#228631,.T.); #323682=ORIENTED_EDGE('',*,*,#228632,.T.); #323683=ORIENTED_EDGE('',*,*,#228633,.T.); #323684=ORIENTED_EDGE('',*,*,#228634,.T.); #323685=ORIENTED_EDGE('',*,*,#228635,.F.); #323686=ORIENTED_EDGE('',*,*,#228636,.F.); #323687=ORIENTED_EDGE('',*,*,#228637,.F.); #323688=ORIENTED_EDGE('',*,*,#228624,.F.); #323689=ORIENTED_EDGE('',*,*,#228637,.T.); #323690=ORIENTED_EDGE('',*,*,#228638,.F.); #323691=ORIENTED_EDGE('',*,*,#228639,.F.); #323692=ORIENTED_EDGE('',*,*,#228625,.F.); #323693=ORIENTED_EDGE('',*,*,#228639,.T.); #323694=ORIENTED_EDGE('',*,*,#228640,.F.); #323695=ORIENTED_EDGE('',*,*,#228641,.F.); #323696=ORIENTED_EDGE('',*,*,#228626,.F.); #323697=ORIENTED_EDGE('',*,*,#228641,.T.); #323698=ORIENTED_EDGE('',*,*,#228642,.F.); #323699=ORIENTED_EDGE('',*,*,#228643,.F.); #323700=ORIENTED_EDGE('',*,*,#228627,.F.); #323701=ORIENTED_EDGE('',*,*,#228643,.T.); #323702=ORIENTED_EDGE('',*,*,#228644,.F.); #323703=ORIENTED_EDGE('',*,*,#228645,.F.); #323704=ORIENTED_EDGE('',*,*,#228628,.F.); #323705=ORIENTED_EDGE('',*,*,#228645,.T.); #323706=ORIENTED_EDGE('',*,*,#228646,.F.); #323707=ORIENTED_EDGE('',*,*,#228647,.F.); #323708=ORIENTED_EDGE('',*,*,#228629,.F.); #323709=ORIENTED_EDGE('',*,*,#228647,.T.); #323710=ORIENTED_EDGE('',*,*,#228648,.F.); #323711=ORIENTED_EDGE('',*,*,#228649,.F.); #323712=ORIENTED_EDGE('',*,*,#228630,.F.); #323713=ORIENTED_EDGE('',*,*,#228649,.T.); #323714=ORIENTED_EDGE('',*,*,#228650,.F.); #323715=ORIENTED_EDGE('',*,*,#228651,.F.); #323716=ORIENTED_EDGE('',*,*,#228631,.F.); #323717=ORIENTED_EDGE('',*,*,#228651,.T.); #323718=ORIENTED_EDGE('',*,*,#228652,.F.); #323719=ORIENTED_EDGE('',*,*,#228653,.F.); #323720=ORIENTED_EDGE('',*,*,#228632,.F.); #323721=ORIENTED_EDGE('',*,*,#228653,.T.); #323722=ORIENTED_EDGE('',*,*,#228654,.F.); #323723=ORIENTED_EDGE('',*,*,#228655,.F.); #323724=ORIENTED_EDGE('',*,*,#228633,.F.); #323725=ORIENTED_EDGE('',*,*,#228655,.T.); #323726=ORIENTED_EDGE('',*,*,#228656,.F.); #323727=ORIENTED_EDGE('',*,*,#228657,.F.); #323728=ORIENTED_EDGE('',*,*,#228634,.F.); #323729=ORIENTED_EDGE('',*,*,#228657,.T.); #323730=ORIENTED_EDGE('',*,*,#228658,.F.); #323731=ORIENTED_EDGE('',*,*,#228635,.T.); #323732=ORIENTED_EDGE('',*,*,#228623,.F.); #323733=ORIENTED_EDGE('',*,*,#228658,.T.); #323734=ORIENTED_EDGE('',*,*,#228656,.T.); #323735=ORIENTED_EDGE('',*,*,#228654,.T.); #323736=ORIENTED_EDGE('',*,*,#228652,.T.); #323737=ORIENTED_EDGE('',*,*,#228650,.T.); #323738=ORIENTED_EDGE('',*,*,#228648,.T.); #323739=ORIENTED_EDGE('',*,*,#228646,.T.); #323740=ORIENTED_EDGE('',*,*,#228644,.T.); #323741=ORIENTED_EDGE('',*,*,#228642,.T.); #323742=ORIENTED_EDGE('',*,*,#228640,.T.); #323743=ORIENTED_EDGE('',*,*,#228638,.T.); #323744=ORIENTED_EDGE('',*,*,#228636,.T.); #323745=ORIENTED_EDGE('',*,*,#228659,.T.); #323746=ORIENTED_EDGE('',*,*,#228660,.T.); #323747=ORIENTED_EDGE('',*,*,#228661,.T.); #323748=ORIENTED_EDGE('',*,*,#228662,.T.); #323749=ORIENTED_EDGE('',*,*,#228663,.T.); #323750=ORIENTED_EDGE('',*,*,#228664,.T.); #323751=ORIENTED_EDGE('',*,*,#228665,.T.); #323752=ORIENTED_EDGE('',*,*,#228666,.T.); #323753=ORIENTED_EDGE('',*,*,#228667,.T.); #323754=ORIENTED_EDGE('',*,*,#228668,.T.); #323755=ORIENTED_EDGE('',*,*,#228669,.T.); #323756=ORIENTED_EDGE('',*,*,#228670,.T.); #323757=ORIENTED_EDGE('',*,*,#228671,.F.); #323758=ORIENTED_EDGE('',*,*,#228672,.F.); #323759=ORIENTED_EDGE('',*,*,#228673,.F.); #323760=ORIENTED_EDGE('',*,*,#228660,.F.); #323761=ORIENTED_EDGE('',*,*,#228673,.T.); #323762=ORIENTED_EDGE('',*,*,#228674,.F.); #323763=ORIENTED_EDGE('',*,*,#228675,.F.); #323764=ORIENTED_EDGE('',*,*,#228661,.F.); #323765=ORIENTED_EDGE('',*,*,#228675,.T.); #323766=ORIENTED_EDGE('',*,*,#228676,.F.); #323767=ORIENTED_EDGE('',*,*,#228677,.F.); #323768=ORIENTED_EDGE('',*,*,#228662,.F.); #323769=ORIENTED_EDGE('',*,*,#228677,.T.); #323770=ORIENTED_EDGE('',*,*,#228678,.F.); #323771=ORIENTED_EDGE('',*,*,#228679,.F.); #323772=ORIENTED_EDGE('',*,*,#228663,.F.); #323773=ORIENTED_EDGE('',*,*,#228679,.T.); #323774=ORIENTED_EDGE('',*,*,#228680,.F.); #323775=ORIENTED_EDGE('',*,*,#228681,.F.); #323776=ORIENTED_EDGE('',*,*,#228664,.F.); #323777=ORIENTED_EDGE('',*,*,#228681,.T.); #323778=ORIENTED_EDGE('',*,*,#228682,.F.); #323779=ORIENTED_EDGE('',*,*,#228683,.F.); #323780=ORIENTED_EDGE('',*,*,#228665,.F.); #323781=ORIENTED_EDGE('',*,*,#228683,.T.); #323782=ORIENTED_EDGE('',*,*,#228684,.F.); #323783=ORIENTED_EDGE('',*,*,#228685,.F.); #323784=ORIENTED_EDGE('',*,*,#228666,.F.); #323785=ORIENTED_EDGE('',*,*,#228685,.T.); #323786=ORIENTED_EDGE('',*,*,#228686,.F.); #323787=ORIENTED_EDGE('',*,*,#228687,.F.); #323788=ORIENTED_EDGE('',*,*,#228667,.F.); #323789=ORIENTED_EDGE('',*,*,#228687,.T.); #323790=ORIENTED_EDGE('',*,*,#228688,.F.); #323791=ORIENTED_EDGE('',*,*,#228689,.F.); #323792=ORIENTED_EDGE('',*,*,#228668,.F.); #323793=ORIENTED_EDGE('',*,*,#228689,.T.); #323794=ORIENTED_EDGE('',*,*,#228690,.F.); #323795=ORIENTED_EDGE('',*,*,#228691,.F.); #323796=ORIENTED_EDGE('',*,*,#228669,.F.); #323797=ORIENTED_EDGE('',*,*,#228691,.T.); #323798=ORIENTED_EDGE('',*,*,#228692,.F.); #323799=ORIENTED_EDGE('',*,*,#228693,.F.); #323800=ORIENTED_EDGE('',*,*,#228670,.F.); #323801=ORIENTED_EDGE('',*,*,#228693,.T.); #323802=ORIENTED_EDGE('',*,*,#228694,.F.); #323803=ORIENTED_EDGE('',*,*,#228671,.T.); #323804=ORIENTED_EDGE('',*,*,#228659,.F.); #323805=ORIENTED_EDGE('',*,*,#228694,.T.); #323806=ORIENTED_EDGE('',*,*,#228692,.T.); #323807=ORIENTED_EDGE('',*,*,#228690,.T.); #323808=ORIENTED_EDGE('',*,*,#228688,.T.); #323809=ORIENTED_EDGE('',*,*,#228686,.T.); #323810=ORIENTED_EDGE('',*,*,#228684,.T.); #323811=ORIENTED_EDGE('',*,*,#228682,.T.); #323812=ORIENTED_EDGE('',*,*,#228680,.T.); #323813=ORIENTED_EDGE('',*,*,#228678,.T.); #323814=ORIENTED_EDGE('',*,*,#228676,.T.); #323815=ORIENTED_EDGE('',*,*,#228674,.T.); #323816=ORIENTED_EDGE('',*,*,#228672,.T.); #323817=ORIENTED_EDGE('',*,*,#228695,.T.); #323818=ORIENTED_EDGE('',*,*,#228696,.T.); #323819=ORIENTED_EDGE('',*,*,#228697,.T.); #323820=ORIENTED_EDGE('',*,*,#228698,.T.); #323821=ORIENTED_EDGE('',*,*,#228699,.T.); #323822=ORIENTED_EDGE('',*,*,#228700,.T.); #323823=ORIENTED_EDGE('',*,*,#228701,.T.); #323824=ORIENTED_EDGE('',*,*,#228702,.T.); #323825=ORIENTED_EDGE('',*,*,#228703,.T.); #323826=ORIENTED_EDGE('',*,*,#228704,.T.); #323827=ORIENTED_EDGE('',*,*,#228705,.T.); #323828=ORIENTED_EDGE('',*,*,#228706,.T.); #323829=ORIENTED_EDGE('',*,*,#228707,.F.); #323830=ORIENTED_EDGE('',*,*,#228708,.F.); #323831=ORIENTED_EDGE('',*,*,#228709,.F.); #323832=ORIENTED_EDGE('',*,*,#228696,.F.); #323833=ORIENTED_EDGE('',*,*,#228709,.T.); #323834=ORIENTED_EDGE('',*,*,#228710,.F.); #323835=ORIENTED_EDGE('',*,*,#228711,.F.); #323836=ORIENTED_EDGE('',*,*,#228697,.F.); #323837=ORIENTED_EDGE('',*,*,#228711,.T.); #323838=ORIENTED_EDGE('',*,*,#228712,.F.); #323839=ORIENTED_EDGE('',*,*,#228713,.F.); #323840=ORIENTED_EDGE('',*,*,#228698,.F.); #323841=ORIENTED_EDGE('',*,*,#228713,.T.); #323842=ORIENTED_EDGE('',*,*,#228714,.F.); #323843=ORIENTED_EDGE('',*,*,#228715,.F.); #323844=ORIENTED_EDGE('',*,*,#228699,.F.); #323845=ORIENTED_EDGE('',*,*,#228715,.T.); #323846=ORIENTED_EDGE('',*,*,#228716,.F.); #323847=ORIENTED_EDGE('',*,*,#228717,.F.); #323848=ORIENTED_EDGE('',*,*,#228700,.F.); #323849=ORIENTED_EDGE('',*,*,#228717,.T.); #323850=ORIENTED_EDGE('',*,*,#228718,.F.); #323851=ORIENTED_EDGE('',*,*,#228719,.F.); #323852=ORIENTED_EDGE('',*,*,#228701,.F.); #323853=ORIENTED_EDGE('',*,*,#228719,.T.); #323854=ORIENTED_EDGE('',*,*,#228720,.F.); #323855=ORIENTED_EDGE('',*,*,#228721,.F.); #323856=ORIENTED_EDGE('',*,*,#228702,.F.); #323857=ORIENTED_EDGE('',*,*,#228721,.T.); #323858=ORIENTED_EDGE('',*,*,#228722,.F.); #323859=ORIENTED_EDGE('',*,*,#228723,.F.); #323860=ORIENTED_EDGE('',*,*,#228703,.F.); #323861=ORIENTED_EDGE('',*,*,#228723,.T.); #323862=ORIENTED_EDGE('',*,*,#228724,.F.); #323863=ORIENTED_EDGE('',*,*,#228725,.F.); #323864=ORIENTED_EDGE('',*,*,#228704,.F.); #323865=ORIENTED_EDGE('',*,*,#228725,.T.); #323866=ORIENTED_EDGE('',*,*,#228726,.F.); #323867=ORIENTED_EDGE('',*,*,#228727,.F.); #323868=ORIENTED_EDGE('',*,*,#228705,.F.); #323869=ORIENTED_EDGE('',*,*,#228727,.T.); #323870=ORIENTED_EDGE('',*,*,#228728,.F.); #323871=ORIENTED_EDGE('',*,*,#228729,.F.); #323872=ORIENTED_EDGE('',*,*,#228706,.F.); #323873=ORIENTED_EDGE('',*,*,#228729,.T.); #323874=ORIENTED_EDGE('',*,*,#228730,.F.); #323875=ORIENTED_EDGE('',*,*,#228707,.T.); #323876=ORIENTED_EDGE('',*,*,#228695,.F.); #323877=ORIENTED_EDGE('',*,*,#228730,.T.); #323878=ORIENTED_EDGE('',*,*,#228728,.T.); #323879=ORIENTED_EDGE('',*,*,#228726,.T.); #323880=ORIENTED_EDGE('',*,*,#228724,.T.); #323881=ORIENTED_EDGE('',*,*,#228722,.T.); #323882=ORIENTED_EDGE('',*,*,#228720,.T.); #323883=ORIENTED_EDGE('',*,*,#228718,.T.); #323884=ORIENTED_EDGE('',*,*,#228716,.T.); #323885=ORIENTED_EDGE('',*,*,#228714,.T.); #323886=ORIENTED_EDGE('',*,*,#228712,.T.); #323887=ORIENTED_EDGE('',*,*,#228710,.T.); #323888=ORIENTED_EDGE('',*,*,#228708,.T.); #323889=ORIENTED_EDGE('',*,*,#228731,.F.); #323890=ORIENTED_EDGE('',*,*,#228732,.F.); #323891=ORIENTED_EDGE('',*,*,#228733,.F.); #323892=ORIENTED_EDGE('',*,*,#228734,.F.); #323893=ORIENTED_EDGE('',*,*,#228735,.F.); #323894=ORIENTED_EDGE('',*,*,#228736,.F.); #323895=ORIENTED_EDGE('',*,*,#228737,.F.); #323896=ORIENTED_EDGE('',*,*,#228738,.F.); #323897=ORIENTED_EDGE('',*,*,#228739,.F.); #323898=ORIENTED_EDGE('',*,*,#228740,.F.); #323899=ORIENTED_EDGE('',*,*,#228741,.F.); #323900=ORIENTED_EDGE('',*,*,#228742,.F.); #323901=ORIENTED_EDGE('',*,*,#228743,.T.); #323902=ORIENTED_EDGE('',*,*,#228742,.T.); #323903=ORIENTED_EDGE('',*,*,#228744,.T.); #323904=ORIENTED_EDGE('',*,*,#228745,.T.); #323905=ORIENTED_EDGE('',*,*,#228744,.F.); #323906=ORIENTED_EDGE('',*,*,#228741,.T.); #323907=ORIENTED_EDGE('',*,*,#228746,.T.); #323908=ORIENTED_EDGE('',*,*,#228747,.T.); #323909=ORIENTED_EDGE('',*,*,#228746,.F.); #323910=ORIENTED_EDGE('',*,*,#228740,.T.); #323911=ORIENTED_EDGE('',*,*,#228748,.T.); #323912=ORIENTED_EDGE('',*,*,#228749,.T.); #323913=ORIENTED_EDGE('',*,*,#228748,.F.); #323914=ORIENTED_EDGE('',*,*,#228739,.T.); #323915=ORIENTED_EDGE('',*,*,#228750,.T.); #323916=ORIENTED_EDGE('',*,*,#228751,.T.); #323917=ORIENTED_EDGE('',*,*,#228750,.F.); #323918=ORIENTED_EDGE('',*,*,#228738,.T.); #323919=ORIENTED_EDGE('',*,*,#228752,.T.); #323920=ORIENTED_EDGE('',*,*,#228753,.T.); #323921=ORIENTED_EDGE('',*,*,#228752,.F.); #323922=ORIENTED_EDGE('',*,*,#228737,.T.); #323923=ORIENTED_EDGE('',*,*,#228754,.T.); #323924=ORIENTED_EDGE('',*,*,#228755,.T.); #323925=ORIENTED_EDGE('',*,*,#228754,.F.); #323926=ORIENTED_EDGE('',*,*,#228736,.T.); #323927=ORIENTED_EDGE('',*,*,#228756,.T.); #323928=ORIENTED_EDGE('',*,*,#228757,.T.); #323929=ORIENTED_EDGE('',*,*,#228756,.F.); #323930=ORIENTED_EDGE('',*,*,#228735,.T.); #323931=ORIENTED_EDGE('',*,*,#228758,.T.); #323932=ORIENTED_EDGE('',*,*,#228759,.T.); #323933=ORIENTED_EDGE('',*,*,#228758,.F.); #323934=ORIENTED_EDGE('',*,*,#228734,.T.); #323935=ORIENTED_EDGE('',*,*,#228760,.T.); #323936=ORIENTED_EDGE('',*,*,#228761,.T.); #323937=ORIENTED_EDGE('',*,*,#228760,.F.); #323938=ORIENTED_EDGE('',*,*,#228733,.T.); #323939=ORIENTED_EDGE('',*,*,#228762,.T.); #323940=ORIENTED_EDGE('',*,*,#228763,.T.); #323941=ORIENTED_EDGE('',*,*,#228762,.F.); #323942=ORIENTED_EDGE('',*,*,#228732,.T.); #323943=ORIENTED_EDGE('',*,*,#228764,.T.); #323944=ORIENTED_EDGE('',*,*,#228765,.T.); #323945=ORIENTED_EDGE('',*,*,#228764,.F.); #323946=ORIENTED_EDGE('',*,*,#228731,.T.); #323947=ORIENTED_EDGE('',*,*,#228743,.F.); #323948=ORIENTED_EDGE('',*,*,#228766,.T.); #323949=ORIENTED_EDGE('',*,*,#228766,.F.); #323950=ORIENTED_EDGE('',*,*,#228745,.F.); #323951=ORIENTED_EDGE('',*,*,#228747,.F.); #323952=ORIENTED_EDGE('',*,*,#228749,.F.); #323953=ORIENTED_EDGE('',*,*,#228751,.F.); #323954=ORIENTED_EDGE('',*,*,#228753,.F.); #323955=ORIENTED_EDGE('',*,*,#228755,.F.); #323956=ORIENTED_EDGE('',*,*,#228757,.F.); #323957=ORIENTED_EDGE('',*,*,#228759,.F.); #323958=ORIENTED_EDGE('',*,*,#228761,.F.); #323959=ORIENTED_EDGE('',*,*,#228763,.F.); #323960=ORIENTED_EDGE('',*,*,#228765,.F.); #323961=ORIENTED_EDGE('',*,*,#228767,.F.); #323962=ORIENTED_EDGE('',*,*,#228768,.F.); #323963=ORIENTED_EDGE('',*,*,#228769,.F.); #323964=ORIENTED_EDGE('',*,*,#228770,.F.); #323965=ORIENTED_EDGE('',*,*,#228771,.F.); #323966=ORIENTED_EDGE('',*,*,#228772,.F.); #323967=ORIENTED_EDGE('',*,*,#228773,.F.); #323968=ORIENTED_EDGE('',*,*,#228774,.F.); #323969=ORIENTED_EDGE('',*,*,#228775,.F.); #323970=ORIENTED_EDGE('',*,*,#228776,.F.); #323971=ORIENTED_EDGE('',*,*,#228777,.F.); #323972=ORIENTED_EDGE('',*,*,#228778,.F.); #323973=ORIENTED_EDGE('',*,*,#228779,.T.); #323974=ORIENTED_EDGE('',*,*,#228778,.T.); #323975=ORIENTED_EDGE('',*,*,#228780,.T.); #323976=ORIENTED_EDGE('',*,*,#228781,.T.); #323977=ORIENTED_EDGE('',*,*,#228780,.F.); #323978=ORIENTED_EDGE('',*,*,#228777,.T.); #323979=ORIENTED_EDGE('',*,*,#228782,.T.); #323980=ORIENTED_EDGE('',*,*,#228783,.T.); #323981=ORIENTED_EDGE('',*,*,#228782,.F.); #323982=ORIENTED_EDGE('',*,*,#228776,.T.); #323983=ORIENTED_EDGE('',*,*,#228784,.T.); #323984=ORIENTED_EDGE('',*,*,#228785,.T.); #323985=ORIENTED_EDGE('',*,*,#228784,.F.); #323986=ORIENTED_EDGE('',*,*,#228775,.T.); #323987=ORIENTED_EDGE('',*,*,#228786,.T.); #323988=ORIENTED_EDGE('',*,*,#228787,.T.); #323989=ORIENTED_EDGE('',*,*,#228786,.F.); #323990=ORIENTED_EDGE('',*,*,#228774,.T.); #323991=ORIENTED_EDGE('',*,*,#228788,.T.); #323992=ORIENTED_EDGE('',*,*,#228789,.T.); #323993=ORIENTED_EDGE('',*,*,#228788,.F.); #323994=ORIENTED_EDGE('',*,*,#228773,.T.); #323995=ORIENTED_EDGE('',*,*,#228790,.T.); #323996=ORIENTED_EDGE('',*,*,#228791,.T.); #323997=ORIENTED_EDGE('',*,*,#228790,.F.); #323998=ORIENTED_EDGE('',*,*,#228772,.T.); #323999=ORIENTED_EDGE('',*,*,#228792,.T.); #324000=ORIENTED_EDGE('',*,*,#228793,.T.); #324001=ORIENTED_EDGE('',*,*,#228792,.F.); #324002=ORIENTED_EDGE('',*,*,#228771,.T.); #324003=ORIENTED_EDGE('',*,*,#228794,.T.); #324004=ORIENTED_EDGE('',*,*,#228795,.T.); #324005=ORIENTED_EDGE('',*,*,#228794,.F.); #324006=ORIENTED_EDGE('',*,*,#228770,.T.); #324007=ORIENTED_EDGE('',*,*,#228796,.T.); #324008=ORIENTED_EDGE('',*,*,#228797,.T.); #324009=ORIENTED_EDGE('',*,*,#228796,.F.); #324010=ORIENTED_EDGE('',*,*,#228769,.T.); #324011=ORIENTED_EDGE('',*,*,#228798,.T.); #324012=ORIENTED_EDGE('',*,*,#228799,.T.); #324013=ORIENTED_EDGE('',*,*,#228798,.F.); #324014=ORIENTED_EDGE('',*,*,#228768,.T.); #324015=ORIENTED_EDGE('',*,*,#228800,.T.); #324016=ORIENTED_EDGE('',*,*,#228801,.T.); #324017=ORIENTED_EDGE('',*,*,#228800,.F.); #324018=ORIENTED_EDGE('',*,*,#228767,.T.); #324019=ORIENTED_EDGE('',*,*,#228779,.F.); #324020=ORIENTED_EDGE('',*,*,#228802,.T.); #324021=ORIENTED_EDGE('',*,*,#228802,.F.); #324022=ORIENTED_EDGE('',*,*,#228781,.F.); #324023=ORIENTED_EDGE('',*,*,#228783,.F.); #324024=ORIENTED_EDGE('',*,*,#228785,.F.); #324025=ORIENTED_EDGE('',*,*,#228787,.F.); #324026=ORIENTED_EDGE('',*,*,#228789,.F.); #324027=ORIENTED_EDGE('',*,*,#228791,.F.); #324028=ORIENTED_EDGE('',*,*,#228793,.F.); #324029=ORIENTED_EDGE('',*,*,#228795,.F.); #324030=ORIENTED_EDGE('',*,*,#228797,.F.); #324031=ORIENTED_EDGE('',*,*,#228799,.F.); #324032=ORIENTED_EDGE('',*,*,#228801,.F.); #324033=ORIENTED_EDGE('',*,*,#228803,.F.); #324034=ORIENTED_EDGE('',*,*,#228804,.F.); #324035=ORIENTED_EDGE('',*,*,#228805,.F.); #324036=ORIENTED_EDGE('',*,*,#228806,.F.); #324037=ORIENTED_EDGE('',*,*,#228807,.F.); #324038=ORIENTED_EDGE('',*,*,#228808,.F.); #324039=ORIENTED_EDGE('',*,*,#228809,.F.); #324040=ORIENTED_EDGE('',*,*,#228810,.F.); #324041=ORIENTED_EDGE('',*,*,#228811,.F.); #324042=ORIENTED_EDGE('',*,*,#228812,.F.); #324043=ORIENTED_EDGE('',*,*,#228813,.F.); #324044=ORIENTED_EDGE('',*,*,#228814,.F.); #324045=ORIENTED_EDGE('',*,*,#228815,.T.); #324046=ORIENTED_EDGE('',*,*,#228814,.T.); #324047=ORIENTED_EDGE('',*,*,#228816,.T.); #324048=ORIENTED_EDGE('',*,*,#228817,.T.); #324049=ORIENTED_EDGE('',*,*,#228816,.F.); #324050=ORIENTED_EDGE('',*,*,#228813,.T.); #324051=ORIENTED_EDGE('',*,*,#228818,.T.); #324052=ORIENTED_EDGE('',*,*,#228819,.T.); #324053=ORIENTED_EDGE('',*,*,#228818,.F.); #324054=ORIENTED_EDGE('',*,*,#228812,.T.); #324055=ORIENTED_EDGE('',*,*,#228820,.T.); #324056=ORIENTED_EDGE('',*,*,#228821,.T.); #324057=ORIENTED_EDGE('',*,*,#228820,.F.); #324058=ORIENTED_EDGE('',*,*,#228811,.T.); #324059=ORIENTED_EDGE('',*,*,#228822,.T.); #324060=ORIENTED_EDGE('',*,*,#228823,.T.); #324061=ORIENTED_EDGE('',*,*,#228822,.F.); #324062=ORIENTED_EDGE('',*,*,#228810,.T.); #324063=ORIENTED_EDGE('',*,*,#228824,.T.); #324064=ORIENTED_EDGE('',*,*,#228825,.T.); #324065=ORIENTED_EDGE('',*,*,#228824,.F.); #324066=ORIENTED_EDGE('',*,*,#228809,.T.); #324067=ORIENTED_EDGE('',*,*,#228826,.T.); #324068=ORIENTED_EDGE('',*,*,#228827,.T.); #324069=ORIENTED_EDGE('',*,*,#228826,.F.); #324070=ORIENTED_EDGE('',*,*,#228808,.T.); #324071=ORIENTED_EDGE('',*,*,#228828,.T.); #324072=ORIENTED_EDGE('',*,*,#228829,.T.); #324073=ORIENTED_EDGE('',*,*,#228828,.F.); #324074=ORIENTED_EDGE('',*,*,#228807,.T.); #324075=ORIENTED_EDGE('',*,*,#228830,.T.); #324076=ORIENTED_EDGE('',*,*,#228831,.T.); #324077=ORIENTED_EDGE('',*,*,#228830,.F.); #324078=ORIENTED_EDGE('',*,*,#228806,.T.); #324079=ORIENTED_EDGE('',*,*,#228832,.T.); #324080=ORIENTED_EDGE('',*,*,#228833,.T.); #324081=ORIENTED_EDGE('',*,*,#228832,.F.); #324082=ORIENTED_EDGE('',*,*,#228805,.T.); #324083=ORIENTED_EDGE('',*,*,#228834,.T.); #324084=ORIENTED_EDGE('',*,*,#228835,.T.); #324085=ORIENTED_EDGE('',*,*,#228834,.F.); #324086=ORIENTED_EDGE('',*,*,#228804,.T.); #324087=ORIENTED_EDGE('',*,*,#228836,.T.); #324088=ORIENTED_EDGE('',*,*,#228837,.T.); #324089=ORIENTED_EDGE('',*,*,#228836,.F.); #324090=ORIENTED_EDGE('',*,*,#228803,.T.); #324091=ORIENTED_EDGE('',*,*,#228815,.F.); #324092=ORIENTED_EDGE('',*,*,#228838,.T.); #324093=ORIENTED_EDGE('',*,*,#228838,.F.); #324094=ORIENTED_EDGE('',*,*,#228817,.F.); #324095=ORIENTED_EDGE('',*,*,#228819,.F.); #324096=ORIENTED_EDGE('',*,*,#228821,.F.); #324097=ORIENTED_EDGE('',*,*,#228823,.F.); #324098=ORIENTED_EDGE('',*,*,#228825,.F.); #324099=ORIENTED_EDGE('',*,*,#228827,.F.); #324100=ORIENTED_EDGE('',*,*,#228829,.F.); #324101=ORIENTED_EDGE('',*,*,#228831,.F.); #324102=ORIENTED_EDGE('',*,*,#228833,.F.); #324103=ORIENTED_EDGE('',*,*,#228835,.F.); #324104=ORIENTED_EDGE('',*,*,#228837,.F.); #324105=ORIENTED_EDGE('',*,*,#228839,.F.); #324106=ORIENTED_EDGE('',*,*,#228840,.T.); #324107=ORIENTED_EDGE('',*,*,#228841,.T.); #324108=ORIENTED_EDGE('',*,*,#228840,.F.); #324109=ORIENTED_EDGE('',*,*,#228841,.F.); #324110=ORIENTED_EDGE('',*,*,#228842,.T.); #324111=ORIENTED_EDGE('',*,*,#228843,.T.); #324112=ORIENTED_EDGE('',*,*,#228844,.T.); #324113=ORIENTED_EDGE('',*,*,#228845,.F.); #324114=ORIENTED_EDGE('',*,*,#228846,.T.); #324115=ORIENTED_EDGE('',*,*,#228847,.T.); #324116=ORIENTED_EDGE('',*,*,#228848,.T.); #324117=ORIENTED_EDGE('',*,*,#228843,.F.); #324118=ORIENTED_EDGE('',*,*,#228849,.T.); #324119=ORIENTED_EDGE('',*,*,#228850,.T.); #324120=ORIENTED_EDGE('',*,*,#228851,.T.); #324121=ORIENTED_EDGE('',*,*,#228847,.F.); #324122=ORIENTED_EDGE('',*,*,#228852,.T.); #324123=ORIENTED_EDGE('',*,*,#228850,.F.); #324124=ORIENTED_EDGE('',*,*,#228853,.T.); #324125=ORIENTED_EDGE('',*,*,#228845,.T.); #324126=ORIENTED_EDGE('',*,*,#228852,.F.); #324127=ORIENTED_EDGE('',*,*,#228854,.F.); #324128=ORIENTED_EDGE('',*,*,#228855,.F.); #324129=ORIENTED_EDGE('',*,*,#228856,.T.); #324130=ORIENTED_EDGE('',*,*,#228844,.F.); #324131=ORIENTED_EDGE('',*,*,#228857,.F.); #324132=ORIENTED_EDGE('',*,*,#228858,.F.); #324133=ORIENTED_EDGE('',*,*,#228854,.T.); #324134=ORIENTED_EDGE('',*,*,#228842,.F.); #324135=ORIENTED_EDGE('',*,*,#228853,.F.); #324136=ORIENTED_EDGE('',*,*,#228849,.F.); #324137=ORIENTED_EDGE('',*,*,#228846,.F.); #324138=ORIENTED_EDGE('',*,*,#228839,.T.); #324139=ORIENTED_EDGE('',*,*,#228859,.T.); #324140=ORIENTED_EDGE('',*,*,#228860,.T.); #324141=ORIENTED_EDGE('',*,*,#228861,.T.); #324142=ORIENTED_EDGE('',*,*,#228862,.T.); #324143=ORIENTED_EDGE('',*,*,#228863,.T.); #324144=ORIENTED_EDGE('',*,*,#228860,.F.); #324145=ORIENTED_EDGE('',*,*,#228855,.T.); #324146=ORIENTED_EDGE('',*,*,#228864,.F.); #324147=ORIENTED_EDGE('',*,*,#228865,.T.); #324148=ORIENTED_EDGE('',*,*,#228862,.F.); #324149=ORIENTED_EDGE('',*,*,#228866,.T.); #324150=ORIENTED_EDGE('',*,*,#228867,.T.); #324151=ORIENTED_EDGE('',*,*,#228858,.T.); #324152=ORIENTED_EDGE('',*,*,#228867,.F.); #324153=ORIENTED_EDGE('',*,*,#228868,.T.); #324154=ORIENTED_EDGE('',*,*,#228864,.T.); #324155=ORIENTED_EDGE('',*,*,#228851,.F.); #324156=ORIENTED_EDGE('',*,*,#228856,.F.); #324157=ORIENTED_EDGE('',*,*,#228859,.F.); #324158=ORIENTED_EDGE('',*,*,#228869,.T.); #324159=ORIENTED_EDGE('',*,*,#228861,.F.); #324160=ORIENTED_EDGE('',*,*,#228863,.F.); #324161=ORIENTED_EDGE('',*,*,#228868,.F.); #324162=ORIENTED_EDGE('',*,*,#228866,.F.); #324163=ORIENTED_EDGE('',*,*,#228848,.F.); #324164=ORIENTED_EDGE('',*,*,#228869,.F.); #324165=ORIENTED_EDGE('',*,*,#228865,.F.); #324166=ORIENTED_EDGE('',*,*,#228857,.T.); #324167=ORIENTED_EDGE('',*,*,#228870,.T.); #324168=ORIENTED_EDGE('',*,*,#228871,.T.); #324169=ORIENTED_EDGE('',*,*,#228872,.T.); #324170=ORIENTED_EDGE('',*,*,#228873,.T.); #324171=ORIENTED_EDGE('',*,*,#228874,.T.); #324172=ORIENTED_EDGE('',*,*,#228875,.T.); #324173=ORIENTED_EDGE('',*,*,#228876,.T.); #324174=ORIENTED_EDGE('',*,*,#228877,.T.); #324175=ORIENTED_EDGE('',*,*,#228878,.T.); #324176=ORIENTED_EDGE('',*,*,#228879,.T.); #324177=ORIENTED_EDGE('',*,*,#228880,.T.); #324178=ORIENTED_EDGE('',*,*,#228881,.T.); #324179=ORIENTED_EDGE('',*,*,#228882,.F.); #324180=ORIENTED_EDGE('',*,*,#228883,.F.); #324181=ORIENTED_EDGE('',*,*,#228884,.T.); #324182=ORIENTED_EDGE('',*,*,#228871,.F.); #324183=ORIENTED_EDGE('',*,*,#228884,.F.); #324184=ORIENTED_EDGE('',*,*,#228885,.F.); #324185=ORIENTED_EDGE('',*,*,#228886,.T.); #324186=ORIENTED_EDGE('',*,*,#228872,.F.); #324187=ORIENTED_EDGE('',*,*,#228886,.F.); #324188=ORIENTED_EDGE('',*,*,#228887,.F.); #324189=ORIENTED_EDGE('',*,*,#228888,.T.); #324190=ORIENTED_EDGE('',*,*,#228873,.F.); #324191=ORIENTED_EDGE('',*,*,#228888,.F.); #324192=ORIENTED_EDGE('',*,*,#228889,.F.); #324193=ORIENTED_EDGE('',*,*,#228890,.T.); #324194=ORIENTED_EDGE('',*,*,#228874,.F.); #324195=ORIENTED_EDGE('',*,*,#228890,.F.); #324196=ORIENTED_EDGE('',*,*,#228891,.F.); #324197=ORIENTED_EDGE('',*,*,#228892,.T.); #324198=ORIENTED_EDGE('',*,*,#228875,.F.); #324199=ORIENTED_EDGE('',*,*,#228892,.F.); #324200=ORIENTED_EDGE('',*,*,#228893,.F.); #324201=ORIENTED_EDGE('',*,*,#228894,.T.); #324202=ORIENTED_EDGE('',*,*,#228876,.F.); #324203=ORIENTED_EDGE('',*,*,#228894,.F.); #324204=ORIENTED_EDGE('',*,*,#228895,.F.); #324205=ORIENTED_EDGE('',*,*,#228896,.T.); #324206=ORIENTED_EDGE('',*,*,#228877,.F.); #324207=ORIENTED_EDGE('',*,*,#228896,.F.); #324208=ORIENTED_EDGE('',*,*,#228897,.F.); #324209=ORIENTED_EDGE('',*,*,#228898,.T.); #324210=ORIENTED_EDGE('',*,*,#228878,.F.); #324211=ORIENTED_EDGE('',*,*,#228898,.F.); #324212=ORIENTED_EDGE('',*,*,#228899,.F.); #324213=ORIENTED_EDGE('',*,*,#228900,.T.); #324214=ORIENTED_EDGE('',*,*,#228879,.F.); #324215=ORIENTED_EDGE('',*,*,#228900,.F.); #324216=ORIENTED_EDGE('',*,*,#228901,.F.); #324217=ORIENTED_EDGE('',*,*,#228902,.T.); #324218=ORIENTED_EDGE('',*,*,#228880,.F.); #324219=ORIENTED_EDGE('',*,*,#228902,.F.); #324220=ORIENTED_EDGE('',*,*,#228903,.F.); #324221=ORIENTED_EDGE('',*,*,#228904,.F.); #324222=ORIENTED_EDGE('',*,*,#228881,.F.); #324223=ORIENTED_EDGE('',*,*,#228904,.T.); #324224=ORIENTED_EDGE('',*,*,#228905,.F.); #324225=ORIENTED_EDGE('',*,*,#228882,.T.); #324226=ORIENTED_EDGE('',*,*,#228870,.F.); #324227=ORIENTED_EDGE('',*,*,#228905,.T.); #324228=ORIENTED_EDGE('',*,*,#228903,.T.); #324229=ORIENTED_EDGE('',*,*,#228901,.T.); #324230=ORIENTED_EDGE('',*,*,#228899,.T.); #324231=ORIENTED_EDGE('',*,*,#228897,.T.); #324232=ORIENTED_EDGE('',*,*,#228895,.T.); #324233=ORIENTED_EDGE('',*,*,#228893,.T.); #324234=ORIENTED_EDGE('',*,*,#228891,.T.); #324235=ORIENTED_EDGE('',*,*,#228889,.T.); #324236=ORIENTED_EDGE('',*,*,#228887,.T.); #324237=ORIENTED_EDGE('',*,*,#228885,.T.); #324238=ORIENTED_EDGE('',*,*,#228883,.T.); #324239=ORIENTED_EDGE('',*,*,#228906,.T.); #324240=ORIENTED_EDGE('',*,*,#228907,.T.); #324241=ORIENTED_EDGE('',*,*,#228908,.T.); #324242=ORIENTED_EDGE('',*,*,#228909,.T.); #324243=ORIENTED_EDGE('',*,*,#228910,.T.); #324244=ORIENTED_EDGE('',*,*,#228911,.T.); #324245=ORIENTED_EDGE('',*,*,#228912,.T.); #324246=ORIENTED_EDGE('',*,*,#228913,.T.); #324247=ORIENTED_EDGE('',*,*,#228914,.T.); #324248=ORIENTED_EDGE('',*,*,#228915,.T.); #324249=ORIENTED_EDGE('',*,*,#228916,.T.); #324250=ORIENTED_EDGE('',*,*,#228917,.T.); #324251=ORIENTED_EDGE('',*,*,#228918,.F.); #324252=ORIENTED_EDGE('',*,*,#228919,.F.); #324253=ORIENTED_EDGE('',*,*,#228920,.T.); #324254=ORIENTED_EDGE('',*,*,#228907,.F.); #324255=ORIENTED_EDGE('',*,*,#228920,.F.); #324256=ORIENTED_EDGE('',*,*,#228921,.F.); #324257=ORIENTED_EDGE('',*,*,#228922,.T.); #324258=ORIENTED_EDGE('',*,*,#228908,.F.); #324259=ORIENTED_EDGE('',*,*,#228922,.F.); #324260=ORIENTED_EDGE('',*,*,#228923,.F.); #324261=ORIENTED_EDGE('',*,*,#228924,.T.); #324262=ORIENTED_EDGE('',*,*,#228909,.F.); #324263=ORIENTED_EDGE('',*,*,#228924,.F.); #324264=ORIENTED_EDGE('',*,*,#228925,.F.); #324265=ORIENTED_EDGE('',*,*,#228926,.T.); #324266=ORIENTED_EDGE('',*,*,#228910,.F.); #324267=ORIENTED_EDGE('',*,*,#228926,.F.); #324268=ORIENTED_EDGE('',*,*,#228927,.F.); #324269=ORIENTED_EDGE('',*,*,#228928,.T.); #324270=ORIENTED_EDGE('',*,*,#228911,.F.); #324271=ORIENTED_EDGE('',*,*,#228928,.F.); #324272=ORIENTED_EDGE('',*,*,#228929,.F.); #324273=ORIENTED_EDGE('',*,*,#228930,.T.); #324274=ORIENTED_EDGE('',*,*,#228912,.F.); #324275=ORIENTED_EDGE('',*,*,#228930,.F.); #324276=ORIENTED_EDGE('',*,*,#228931,.F.); #324277=ORIENTED_EDGE('',*,*,#228932,.T.); #324278=ORIENTED_EDGE('',*,*,#228913,.F.); #324279=ORIENTED_EDGE('',*,*,#228932,.F.); #324280=ORIENTED_EDGE('',*,*,#228933,.F.); #324281=ORIENTED_EDGE('',*,*,#228934,.T.); #324282=ORIENTED_EDGE('',*,*,#228914,.F.); #324283=ORIENTED_EDGE('',*,*,#228934,.F.); #324284=ORIENTED_EDGE('',*,*,#228935,.F.); #324285=ORIENTED_EDGE('',*,*,#228936,.T.); #324286=ORIENTED_EDGE('',*,*,#228915,.F.); #324287=ORIENTED_EDGE('',*,*,#228936,.F.); #324288=ORIENTED_EDGE('',*,*,#228937,.F.); #324289=ORIENTED_EDGE('',*,*,#228938,.T.); #324290=ORIENTED_EDGE('',*,*,#228916,.F.); #324291=ORIENTED_EDGE('',*,*,#228938,.F.); #324292=ORIENTED_EDGE('',*,*,#228939,.F.); #324293=ORIENTED_EDGE('',*,*,#228940,.F.); #324294=ORIENTED_EDGE('',*,*,#228917,.F.); #324295=ORIENTED_EDGE('',*,*,#228940,.T.); #324296=ORIENTED_EDGE('',*,*,#228941,.F.); #324297=ORIENTED_EDGE('',*,*,#228918,.T.); #324298=ORIENTED_EDGE('',*,*,#228906,.F.); #324299=ORIENTED_EDGE('',*,*,#228941,.T.); #324300=ORIENTED_EDGE('',*,*,#228939,.T.); #324301=ORIENTED_EDGE('',*,*,#228937,.T.); #324302=ORIENTED_EDGE('',*,*,#228935,.T.); #324303=ORIENTED_EDGE('',*,*,#228933,.T.); #324304=ORIENTED_EDGE('',*,*,#228931,.T.); #324305=ORIENTED_EDGE('',*,*,#228929,.T.); #324306=ORIENTED_EDGE('',*,*,#228927,.T.); #324307=ORIENTED_EDGE('',*,*,#228925,.T.); #324308=ORIENTED_EDGE('',*,*,#228923,.T.); #324309=ORIENTED_EDGE('',*,*,#228921,.T.); #324310=ORIENTED_EDGE('',*,*,#228919,.T.); #324311=ORIENTED_EDGE('',*,*,#228942,.T.); #324312=ORIENTED_EDGE('',*,*,#228943,.T.); #324313=ORIENTED_EDGE('',*,*,#228944,.T.); #324314=ORIENTED_EDGE('',*,*,#228945,.T.); #324315=ORIENTED_EDGE('',*,*,#228946,.T.); #324316=ORIENTED_EDGE('',*,*,#228947,.T.); #324317=ORIENTED_EDGE('',*,*,#228948,.T.); #324318=ORIENTED_EDGE('',*,*,#228949,.T.); #324319=ORIENTED_EDGE('',*,*,#228950,.T.); #324320=ORIENTED_EDGE('',*,*,#228951,.T.); #324321=ORIENTED_EDGE('',*,*,#228952,.T.); #324322=ORIENTED_EDGE('',*,*,#228953,.T.); #324323=ORIENTED_EDGE('',*,*,#228954,.F.); #324324=ORIENTED_EDGE('',*,*,#228955,.F.); #324325=ORIENTED_EDGE('',*,*,#228956,.T.); #324326=ORIENTED_EDGE('',*,*,#228943,.F.); #324327=ORIENTED_EDGE('',*,*,#228956,.F.); #324328=ORIENTED_EDGE('',*,*,#228957,.F.); #324329=ORIENTED_EDGE('',*,*,#228958,.T.); #324330=ORIENTED_EDGE('',*,*,#228944,.F.); #324331=ORIENTED_EDGE('',*,*,#228958,.F.); #324332=ORIENTED_EDGE('',*,*,#228959,.F.); #324333=ORIENTED_EDGE('',*,*,#228960,.T.); #324334=ORIENTED_EDGE('',*,*,#228945,.F.); #324335=ORIENTED_EDGE('',*,*,#228960,.F.); #324336=ORIENTED_EDGE('',*,*,#228961,.F.); #324337=ORIENTED_EDGE('',*,*,#228962,.T.); #324338=ORIENTED_EDGE('',*,*,#228946,.F.); #324339=ORIENTED_EDGE('',*,*,#228962,.F.); #324340=ORIENTED_EDGE('',*,*,#228963,.F.); #324341=ORIENTED_EDGE('',*,*,#228964,.T.); #324342=ORIENTED_EDGE('',*,*,#228947,.F.); #324343=ORIENTED_EDGE('',*,*,#228964,.F.); #324344=ORIENTED_EDGE('',*,*,#228965,.F.); #324345=ORIENTED_EDGE('',*,*,#228966,.T.); #324346=ORIENTED_EDGE('',*,*,#228948,.F.); #324347=ORIENTED_EDGE('',*,*,#228966,.F.); #324348=ORIENTED_EDGE('',*,*,#228967,.F.); #324349=ORIENTED_EDGE('',*,*,#228968,.T.); #324350=ORIENTED_EDGE('',*,*,#228949,.F.); #324351=ORIENTED_EDGE('',*,*,#228968,.F.); #324352=ORIENTED_EDGE('',*,*,#228969,.F.); #324353=ORIENTED_EDGE('',*,*,#228970,.T.); #324354=ORIENTED_EDGE('',*,*,#228950,.F.); #324355=ORIENTED_EDGE('',*,*,#228970,.F.); #324356=ORIENTED_EDGE('',*,*,#228971,.F.); #324357=ORIENTED_EDGE('',*,*,#228972,.T.); #324358=ORIENTED_EDGE('',*,*,#228951,.F.); #324359=ORIENTED_EDGE('',*,*,#228972,.F.); #324360=ORIENTED_EDGE('',*,*,#228973,.F.); #324361=ORIENTED_EDGE('',*,*,#228974,.T.); #324362=ORIENTED_EDGE('',*,*,#228952,.F.); #324363=ORIENTED_EDGE('',*,*,#228974,.F.); #324364=ORIENTED_EDGE('',*,*,#228975,.F.); #324365=ORIENTED_EDGE('',*,*,#228976,.F.); #324366=ORIENTED_EDGE('',*,*,#228953,.F.); #324367=ORIENTED_EDGE('',*,*,#228976,.T.); #324368=ORIENTED_EDGE('',*,*,#228977,.F.); #324369=ORIENTED_EDGE('',*,*,#228954,.T.); #324370=ORIENTED_EDGE('',*,*,#228942,.F.); #324371=ORIENTED_EDGE('',*,*,#228977,.T.); #324372=ORIENTED_EDGE('',*,*,#228975,.T.); #324373=ORIENTED_EDGE('',*,*,#228973,.T.); #324374=ORIENTED_EDGE('',*,*,#228971,.T.); #324375=ORIENTED_EDGE('',*,*,#228969,.T.); #324376=ORIENTED_EDGE('',*,*,#228967,.T.); #324377=ORIENTED_EDGE('',*,*,#228965,.T.); #324378=ORIENTED_EDGE('',*,*,#228963,.T.); #324379=ORIENTED_EDGE('',*,*,#228961,.T.); #324380=ORIENTED_EDGE('',*,*,#228959,.T.); #324381=ORIENTED_EDGE('',*,*,#228957,.T.); #324382=ORIENTED_EDGE('',*,*,#228955,.T.); #324383=ORIENTED_EDGE('',*,*,#228978,.T.); #324384=ORIENTED_EDGE('',*,*,#228979,.T.); #324385=ORIENTED_EDGE('',*,*,#228980,.T.); #324386=ORIENTED_EDGE('',*,*,#228981,.T.); #324387=ORIENTED_EDGE('',*,*,#228982,.T.); #324388=ORIENTED_EDGE('',*,*,#228983,.T.); #324389=ORIENTED_EDGE('',*,*,#228984,.T.); #324390=ORIENTED_EDGE('',*,*,#228985,.T.); #324391=ORIENTED_EDGE('',*,*,#228986,.T.); #324392=ORIENTED_EDGE('',*,*,#228987,.T.); #324393=ORIENTED_EDGE('',*,*,#228988,.T.); #324394=ORIENTED_EDGE('',*,*,#228989,.T.); #324395=ORIENTED_EDGE('',*,*,#228990,.F.); #324396=ORIENTED_EDGE('',*,*,#228991,.F.); #324397=ORIENTED_EDGE('',*,*,#228992,.T.); #324398=ORIENTED_EDGE('',*,*,#228979,.F.); #324399=ORIENTED_EDGE('',*,*,#228992,.F.); #324400=ORIENTED_EDGE('',*,*,#228993,.F.); #324401=ORIENTED_EDGE('',*,*,#228994,.T.); #324402=ORIENTED_EDGE('',*,*,#228980,.F.); #324403=ORIENTED_EDGE('',*,*,#228994,.F.); #324404=ORIENTED_EDGE('',*,*,#228995,.F.); #324405=ORIENTED_EDGE('',*,*,#228996,.T.); #324406=ORIENTED_EDGE('',*,*,#228981,.F.); #324407=ORIENTED_EDGE('',*,*,#228996,.F.); #324408=ORIENTED_EDGE('',*,*,#228997,.F.); #324409=ORIENTED_EDGE('',*,*,#228998,.T.); #324410=ORIENTED_EDGE('',*,*,#228982,.F.); #324411=ORIENTED_EDGE('',*,*,#228998,.F.); #324412=ORIENTED_EDGE('',*,*,#228999,.F.); #324413=ORIENTED_EDGE('',*,*,#229000,.T.); #324414=ORIENTED_EDGE('',*,*,#228983,.F.); #324415=ORIENTED_EDGE('',*,*,#229000,.F.); #324416=ORIENTED_EDGE('',*,*,#229001,.F.); #324417=ORIENTED_EDGE('',*,*,#229002,.T.); #324418=ORIENTED_EDGE('',*,*,#228984,.F.); #324419=ORIENTED_EDGE('',*,*,#229002,.F.); #324420=ORIENTED_EDGE('',*,*,#229003,.F.); #324421=ORIENTED_EDGE('',*,*,#229004,.T.); #324422=ORIENTED_EDGE('',*,*,#228985,.F.); #324423=ORIENTED_EDGE('',*,*,#229004,.F.); #324424=ORIENTED_EDGE('',*,*,#229005,.F.); #324425=ORIENTED_EDGE('',*,*,#229006,.T.); #324426=ORIENTED_EDGE('',*,*,#228986,.F.); #324427=ORIENTED_EDGE('',*,*,#229006,.F.); #324428=ORIENTED_EDGE('',*,*,#229007,.F.); #324429=ORIENTED_EDGE('',*,*,#229008,.T.); #324430=ORIENTED_EDGE('',*,*,#228987,.F.); #324431=ORIENTED_EDGE('',*,*,#229008,.F.); #324432=ORIENTED_EDGE('',*,*,#229009,.F.); #324433=ORIENTED_EDGE('',*,*,#229010,.T.); #324434=ORIENTED_EDGE('',*,*,#228988,.F.); #324435=ORIENTED_EDGE('',*,*,#229010,.F.); #324436=ORIENTED_EDGE('',*,*,#229011,.F.); #324437=ORIENTED_EDGE('',*,*,#229012,.F.); #324438=ORIENTED_EDGE('',*,*,#228989,.F.); #324439=ORIENTED_EDGE('',*,*,#229012,.T.); #324440=ORIENTED_EDGE('',*,*,#229013,.F.); #324441=ORIENTED_EDGE('',*,*,#228990,.T.); #324442=ORIENTED_EDGE('',*,*,#228978,.F.); #324443=ORIENTED_EDGE('',*,*,#229013,.T.); #324444=ORIENTED_EDGE('',*,*,#229011,.T.); #324445=ORIENTED_EDGE('',*,*,#229009,.T.); #324446=ORIENTED_EDGE('',*,*,#229007,.T.); #324447=ORIENTED_EDGE('',*,*,#229005,.T.); #324448=ORIENTED_EDGE('',*,*,#229003,.T.); #324449=ORIENTED_EDGE('',*,*,#229001,.T.); #324450=ORIENTED_EDGE('',*,*,#228999,.T.); #324451=ORIENTED_EDGE('',*,*,#228997,.T.); #324452=ORIENTED_EDGE('',*,*,#228995,.T.); #324453=ORIENTED_EDGE('',*,*,#228993,.T.); #324454=ORIENTED_EDGE('',*,*,#228991,.T.); #324455=ORIENTED_EDGE('',*,*,#229014,.T.); #324456=ORIENTED_EDGE('',*,*,#229015,.T.); #324457=ORIENTED_EDGE('',*,*,#229016,.T.); #324458=ORIENTED_EDGE('',*,*,#229017,.T.); #324459=ORIENTED_EDGE('',*,*,#229018,.T.); #324460=ORIENTED_EDGE('',*,*,#229019,.T.); #324461=ORIENTED_EDGE('',*,*,#229020,.T.); #324462=ORIENTED_EDGE('',*,*,#229021,.T.); #324463=ORIENTED_EDGE('',*,*,#229022,.T.); #324464=ORIENTED_EDGE('',*,*,#229023,.T.); #324465=ORIENTED_EDGE('',*,*,#229024,.T.); #324466=ORIENTED_EDGE('',*,*,#229025,.T.); #324467=ORIENTED_EDGE('',*,*,#229026,.F.); #324468=ORIENTED_EDGE('',*,*,#229027,.F.); #324469=ORIENTED_EDGE('',*,*,#229028,.T.); #324470=ORIENTED_EDGE('',*,*,#229015,.F.); #324471=ORIENTED_EDGE('',*,*,#229028,.F.); #324472=ORIENTED_EDGE('',*,*,#229029,.F.); #324473=ORIENTED_EDGE('',*,*,#229030,.T.); #324474=ORIENTED_EDGE('',*,*,#229016,.F.); #324475=ORIENTED_EDGE('',*,*,#229030,.F.); #324476=ORIENTED_EDGE('',*,*,#229031,.F.); #324477=ORIENTED_EDGE('',*,*,#229032,.T.); #324478=ORIENTED_EDGE('',*,*,#229017,.F.); #324479=ORIENTED_EDGE('',*,*,#229032,.F.); #324480=ORIENTED_EDGE('',*,*,#229033,.F.); #324481=ORIENTED_EDGE('',*,*,#229034,.T.); #324482=ORIENTED_EDGE('',*,*,#229018,.F.); #324483=ORIENTED_EDGE('',*,*,#229034,.F.); #324484=ORIENTED_EDGE('',*,*,#229035,.F.); #324485=ORIENTED_EDGE('',*,*,#229036,.T.); #324486=ORIENTED_EDGE('',*,*,#229019,.F.); #324487=ORIENTED_EDGE('',*,*,#229036,.F.); #324488=ORIENTED_EDGE('',*,*,#229037,.F.); #324489=ORIENTED_EDGE('',*,*,#229038,.T.); #324490=ORIENTED_EDGE('',*,*,#229020,.F.); #324491=ORIENTED_EDGE('',*,*,#229038,.F.); #324492=ORIENTED_EDGE('',*,*,#229039,.F.); #324493=ORIENTED_EDGE('',*,*,#229040,.T.); #324494=ORIENTED_EDGE('',*,*,#229021,.F.); #324495=ORIENTED_EDGE('',*,*,#229040,.F.); #324496=ORIENTED_EDGE('',*,*,#229041,.F.); #324497=ORIENTED_EDGE('',*,*,#229042,.T.); #324498=ORIENTED_EDGE('',*,*,#229022,.F.); #324499=ORIENTED_EDGE('',*,*,#229042,.F.); #324500=ORIENTED_EDGE('',*,*,#229043,.F.); #324501=ORIENTED_EDGE('',*,*,#229044,.T.); #324502=ORIENTED_EDGE('',*,*,#229023,.F.); #324503=ORIENTED_EDGE('',*,*,#229044,.F.); #324504=ORIENTED_EDGE('',*,*,#229045,.F.); #324505=ORIENTED_EDGE('',*,*,#229046,.T.); #324506=ORIENTED_EDGE('',*,*,#229024,.F.); #324507=ORIENTED_EDGE('',*,*,#229046,.F.); #324508=ORIENTED_EDGE('',*,*,#229047,.F.); #324509=ORIENTED_EDGE('',*,*,#229048,.F.); #324510=ORIENTED_EDGE('',*,*,#229025,.F.); #324511=ORIENTED_EDGE('',*,*,#229048,.T.); #324512=ORIENTED_EDGE('',*,*,#229049,.F.); #324513=ORIENTED_EDGE('',*,*,#229026,.T.); #324514=ORIENTED_EDGE('',*,*,#229014,.F.); #324515=ORIENTED_EDGE('',*,*,#229049,.T.); #324516=ORIENTED_EDGE('',*,*,#229047,.T.); #324517=ORIENTED_EDGE('',*,*,#229045,.T.); #324518=ORIENTED_EDGE('',*,*,#229043,.T.); #324519=ORIENTED_EDGE('',*,*,#229041,.T.); #324520=ORIENTED_EDGE('',*,*,#229039,.T.); #324521=ORIENTED_EDGE('',*,*,#229037,.T.); #324522=ORIENTED_EDGE('',*,*,#229035,.T.); #324523=ORIENTED_EDGE('',*,*,#229033,.T.); #324524=ORIENTED_EDGE('',*,*,#229031,.T.); #324525=ORIENTED_EDGE('',*,*,#229029,.T.); #324526=ORIENTED_EDGE('',*,*,#229027,.T.); #324527=ORIENTED_EDGE('',*,*,#229050,.F.); #324528=ORIENTED_EDGE('',*,*,#229051,.F.); #324529=ORIENTED_EDGE('',*,*,#229052,.F.); #324530=ORIENTED_EDGE('',*,*,#229053,.F.); #324531=ORIENTED_EDGE('',*,*,#229054,.F.); #324532=ORIENTED_EDGE('',*,*,#229055,.F.); #324533=ORIENTED_EDGE('',*,*,#229056,.F.); #324534=ORIENTED_EDGE('',*,*,#229057,.F.); #324535=ORIENTED_EDGE('',*,*,#229058,.F.); #324536=ORIENTED_EDGE('',*,*,#229059,.F.); #324537=ORIENTED_EDGE('',*,*,#229060,.F.); #324538=ORIENTED_EDGE('',*,*,#229061,.F.); #324539=ORIENTED_EDGE('',*,*,#229062,.F.); #324540=ORIENTED_EDGE('',*,*,#229063,.F.); #324541=ORIENTED_EDGE('',*,*,#229064,.F.); #324542=ORIENTED_EDGE('',*,*,#229063,.T.); #324543=ORIENTED_EDGE('',*,*,#229065,.T.); #324544=ORIENTED_EDGE('',*,*,#229058,.T.); #324545=ORIENTED_EDGE('',*,*,#229066,.T.); #324546=ORIENTED_EDGE('',*,*,#229067,.F.); #324547=ORIENTED_EDGE('',*,*,#229068,.F.); #324548=ORIENTED_EDGE('',*,*,#229069,.F.); #324549=ORIENTED_EDGE('',*,*,#229070,.F.); #324550=ORIENTED_EDGE('',*,*,#229071,.F.); #324551=ORIENTED_EDGE('',*,*,#229072,.F.); #324552=ORIENTED_EDGE('',*,*,#229057,.T.); #324553=ORIENTED_EDGE('',*,*,#229073,.T.); #324554=ORIENTED_EDGE('',*,*,#229071,.T.); #324555=ORIENTED_EDGE('',*,*,#229074,.T.); #324556=ORIENTED_EDGE('',*,*,#229060,.T.); #324557=ORIENTED_EDGE('',*,*,#229075,.T.); #324558=ORIENTED_EDGE('',*,*,#229072,.T.); #324559=ORIENTED_EDGE('',*,*,#229076,.T.); #324560=ORIENTED_EDGE('',*,*,#229077,.F.); #324561=ORIENTED_EDGE('',*,*,#229078,.F.); #324562=ORIENTED_EDGE('',*,*,#229079,.F.); #324563=ORIENTED_EDGE('',*,*,#229064,.T.); #324564=ORIENTED_EDGE('',*,*,#229080,.T.); #324565=ORIENTED_EDGE('',*,*,#229078,.T.); #324566=ORIENTED_EDGE('',*,*,#229081,.T.); #324567=ORIENTED_EDGE('',*,*,#229070,.T.); #324568=ORIENTED_EDGE('',*,*,#229082,.T.); #324569=ORIENTED_EDGE('',*,*,#229079,.T.); #324570=ORIENTED_EDGE('',*,*,#229083,.T.); #324571=ORIENTED_EDGE('',*,*,#229068,.T.); #324572=ORIENTED_EDGE('',*,*,#229084,.T.); #324573=ORIENTED_EDGE('',*,*,#229077,.T.); #324574=ORIENTED_EDGE('',*,*,#229085,.T.); #324575=ORIENTED_EDGE('',*,*,#229059,.T.); #324576=ORIENTED_EDGE('',*,*,#229086,.T.); #324577=ORIENTED_EDGE('',*,*,#229069,.T.); #324578=ORIENTED_EDGE('',*,*,#229087,.T.); #324579=ORIENTED_EDGE('',*,*,#229067,.T.); #324580=ORIENTED_EDGE('',*,*,#229088,.T.); #324581=ORIENTED_EDGE('',*,*,#229055,.T.); #324582=ORIENTED_EDGE('',*,*,#229089,.T.); #324583=ORIENTED_EDGE('',*,*,#229054,.T.); #324584=ORIENTED_EDGE('',*,*,#229090,.T.); #324585=ORIENTED_EDGE('',*,*,#229062,.T.); #324586=ORIENTED_EDGE('',*,*,#229091,.T.); #324587=ORIENTED_EDGE('',*,*,#229050,.T.); #324588=ORIENTED_EDGE('',*,*,#229092,.T.); #324589=ORIENTED_EDGE('',*,*,#229061,.T.); #324590=ORIENTED_EDGE('',*,*,#229093,.T.); #324591=ORIENTED_EDGE('',*,*,#229051,.T.); #324592=ORIENTED_EDGE('',*,*,#229094,.T.); #324593=ORIENTED_EDGE('',*,*,#229056,.T.); #324594=ORIENTED_EDGE('',*,*,#229095,.T.); #324595=ORIENTED_EDGE('',*,*,#229053,.T.); #324596=ORIENTED_EDGE('',*,*,#229096,.T.); #324597=ORIENTED_EDGE('',*,*,#229052,.T.); #324598=ORIENTED_EDGE('',*,*,#229097,.T.); #324599=ORIENTED_EDGE('',*,*,#229065,.F.); #324600=ORIENTED_EDGE('',*,*,#229090,.F.); #324601=ORIENTED_EDGE('',*,*,#229097,.F.); #324602=ORIENTED_EDGE('',*,*,#229095,.F.); #324603=ORIENTED_EDGE('',*,*,#229066,.F.); #324604=ORIENTED_EDGE('',*,*,#229074,.F.); #324605=ORIENTED_EDGE('',*,*,#229083,.F.); #324606=ORIENTED_EDGE('',*,*,#229080,.F.); #324607=ORIENTED_EDGE('',*,*,#229073,.F.); #324608=ORIENTED_EDGE('',*,*,#229094,.F.); #324609=ORIENTED_EDGE('',*,*,#229093,.F.); #324610=ORIENTED_EDGE('',*,*,#229076,.F.); #324611=ORIENTED_EDGE('',*,*,#229075,.F.); #324612=ORIENTED_EDGE('',*,*,#229087,.F.); #324613=ORIENTED_EDGE('',*,*,#229085,.F.); #324614=ORIENTED_EDGE('',*,*,#229082,.F.); #324615=ORIENTED_EDGE('',*,*,#229081,.F.); #324616=ORIENTED_EDGE('',*,*,#229084,.F.); #324617=ORIENTED_EDGE('',*,*,#229089,.F.); #324618=ORIENTED_EDGE('',*,*,#229091,.F.); #324619=ORIENTED_EDGE('',*,*,#229086,.F.); #324620=ORIENTED_EDGE('',*,*,#229092,.F.); #324621=ORIENTED_EDGE('',*,*,#229096,.F.); #324622=ORIENTED_EDGE('',*,*,#229088,.F.); #324623=ORIENTED_EDGE('',*,*,#229098,.F.); #324624=ORIENTED_EDGE('',*,*,#229099,.F.); #324625=ORIENTED_EDGE('',*,*,#229100,.F.); #324626=ORIENTED_EDGE('',*,*,#229101,.F.); #324627=ORIENTED_EDGE('',*,*,#229102,.F.); #324628=ORIENTED_EDGE('',*,*,#229103,.F.); #324629=ORIENTED_EDGE('',*,*,#229104,.F.); #324630=ORIENTED_EDGE('',*,*,#229105,.F.); #324631=ORIENTED_EDGE('',*,*,#229106,.F.); #324632=ORIENTED_EDGE('',*,*,#229107,.F.); #324633=ORIENTED_EDGE('',*,*,#229108,.F.); #324634=ORIENTED_EDGE('',*,*,#229109,.F.); #324635=ORIENTED_EDGE('',*,*,#229110,.F.); #324636=ORIENTED_EDGE('',*,*,#229111,.F.); #324637=ORIENTED_EDGE('',*,*,#229112,.F.); #324638=ORIENTED_EDGE('',*,*,#229111,.T.); #324639=ORIENTED_EDGE('',*,*,#229113,.T.); #324640=ORIENTED_EDGE('',*,*,#229106,.T.); #324641=ORIENTED_EDGE('',*,*,#229114,.T.); #324642=ORIENTED_EDGE('',*,*,#229115,.F.); #324643=ORIENTED_EDGE('',*,*,#229116,.F.); #324644=ORIENTED_EDGE('',*,*,#229117,.F.); #324645=ORIENTED_EDGE('',*,*,#229118,.F.); #324646=ORIENTED_EDGE('',*,*,#229119,.F.); #324647=ORIENTED_EDGE('',*,*,#229120,.F.); #324648=ORIENTED_EDGE('',*,*,#229105,.T.); #324649=ORIENTED_EDGE('',*,*,#229121,.T.); #324650=ORIENTED_EDGE('',*,*,#229119,.T.); #324651=ORIENTED_EDGE('',*,*,#229122,.T.); #324652=ORIENTED_EDGE('',*,*,#229108,.T.); #324653=ORIENTED_EDGE('',*,*,#229123,.T.); #324654=ORIENTED_EDGE('',*,*,#229120,.T.); #324655=ORIENTED_EDGE('',*,*,#229124,.T.); #324656=ORIENTED_EDGE('',*,*,#229125,.F.); #324657=ORIENTED_EDGE('',*,*,#229126,.F.); #324658=ORIENTED_EDGE('',*,*,#229127,.F.); #324659=ORIENTED_EDGE('',*,*,#229126,.T.); #324660=ORIENTED_EDGE('',*,*,#229128,.T.); #324661=ORIENTED_EDGE('',*,*,#229112,.T.); #324662=ORIENTED_EDGE('',*,*,#229129,.T.); #324663=ORIENTED_EDGE('',*,*,#229118,.T.); #324664=ORIENTED_EDGE('',*,*,#229130,.T.); #324665=ORIENTED_EDGE('',*,*,#229127,.T.); #324666=ORIENTED_EDGE('',*,*,#229131,.T.); #324667=ORIENTED_EDGE('',*,*,#229116,.T.); #324668=ORIENTED_EDGE('',*,*,#229132,.T.); #324669=ORIENTED_EDGE('',*,*,#229125,.T.); #324670=ORIENTED_EDGE('',*,*,#229133,.T.); #324671=ORIENTED_EDGE('',*,*,#229107,.T.); #324672=ORIENTED_EDGE('',*,*,#229134,.T.); #324673=ORIENTED_EDGE('',*,*,#229117,.T.); #324674=ORIENTED_EDGE('',*,*,#229135,.T.); #324675=ORIENTED_EDGE('',*,*,#229115,.T.); #324676=ORIENTED_EDGE('',*,*,#229136,.T.); #324677=ORIENTED_EDGE('',*,*,#229103,.T.); #324678=ORIENTED_EDGE('',*,*,#229137,.T.); #324679=ORIENTED_EDGE('',*,*,#229102,.T.); #324680=ORIENTED_EDGE('',*,*,#229138,.T.); #324681=ORIENTED_EDGE('',*,*,#229110,.T.); #324682=ORIENTED_EDGE('',*,*,#229139,.T.); #324683=ORIENTED_EDGE('',*,*,#229098,.T.); #324684=ORIENTED_EDGE('',*,*,#229140,.T.); #324685=ORIENTED_EDGE('',*,*,#229109,.T.); #324686=ORIENTED_EDGE('',*,*,#229141,.T.); #324687=ORIENTED_EDGE('',*,*,#229099,.T.); #324688=ORIENTED_EDGE('',*,*,#229142,.T.); #324689=ORIENTED_EDGE('',*,*,#229104,.T.); #324690=ORIENTED_EDGE('',*,*,#229143,.T.); #324691=ORIENTED_EDGE('',*,*,#229101,.T.); #324692=ORIENTED_EDGE('',*,*,#229144,.T.); #324693=ORIENTED_EDGE('',*,*,#229100,.T.); #324694=ORIENTED_EDGE('',*,*,#229145,.T.); #324695=ORIENTED_EDGE('',*,*,#229113,.F.); #324696=ORIENTED_EDGE('',*,*,#229138,.F.); #324697=ORIENTED_EDGE('',*,*,#229145,.F.); #324698=ORIENTED_EDGE('',*,*,#229143,.F.); #324699=ORIENTED_EDGE('',*,*,#229114,.F.); #324700=ORIENTED_EDGE('',*,*,#229122,.F.); #324701=ORIENTED_EDGE('',*,*,#229131,.F.); #324702=ORIENTED_EDGE('',*,*,#229129,.F.); #324703=ORIENTED_EDGE('',*,*,#229121,.F.); #324704=ORIENTED_EDGE('',*,*,#229142,.F.); #324705=ORIENTED_EDGE('',*,*,#229141,.F.); #324706=ORIENTED_EDGE('',*,*,#229124,.F.); #324707=ORIENTED_EDGE('',*,*,#229123,.F.); #324708=ORIENTED_EDGE('',*,*,#229135,.F.); #324709=ORIENTED_EDGE('',*,*,#229133,.F.); #324710=ORIENTED_EDGE('',*,*,#229130,.F.); #324711=ORIENTED_EDGE('',*,*,#229128,.F.); #324712=ORIENTED_EDGE('',*,*,#229132,.F.); #324713=ORIENTED_EDGE('',*,*,#229137,.F.); #324714=ORIENTED_EDGE('',*,*,#229139,.F.); #324715=ORIENTED_EDGE('',*,*,#229134,.F.); #324716=ORIENTED_EDGE('',*,*,#229140,.F.); #324717=ORIENTED_EDGE('',*,*,#229144,.F.); #324718=ORIENTED_EDGE('',*,*,#229136,.F.); #324719=ORIENTED_EDGE('',*,*,#229146,.F.); #324720=ORIENTED_EDGE('',*,*,#229147,.T.); #324721=ORIENTED_EDGE('',*,*,#229148,.F.); #324722=ORIENTED_EDGE('',*,*,#229149,.F.); #324723=ORIENTED_EDGE('',*,*,#229150,.T.); #324724=ORIENTED_EDGE('',*,*,#229149,.T.); #324725=ORIENTED_EDGE('',*,*,#229151,.F.); #324726=ORIENTED_EDGE('',*,*,#229152,.F.); #324727=ORIENTED_EDGE('',*,*,#229153,.T.); #324728=ORIENTED_EDGE('',*,*,#229152,.T.); #324729=ORIENTED_EDGE('',*,*,#229154,.F.); #324730=ORIENTED_EDGE('',*,*,#229155,.F.); #324731=ORIENTED_EDGE('',*,*,#229156,.T.); #324732=ORIENTED_EDGE('',*,*,#229155,.T.); #324733=ORIENTED_EDGE('',*,*,#229157,.F.); #324734=ORIENTED_EDGE('',*,*,#229147,.F.); #324735=ORIENTED_EDGE('',*,*,#229157,.T.); #324736=ORIENTED_EDGE('',*,*,#229154,.T.); #324737=ORIENTED_EDGE('',*,*,#229151,.T.); #324738=ORIENTED_EDGE('',*,*,#229148,.T.); #324739=ORIENTED_EDGE('',*,*,#229156,.F.); #324740=ORIENTED_EDGE('',*,*,#229146,.T.); #324741=ORIENTED_EDGE('',*,*,#229150,.F.); #324742=ORIENTED_EDGE('',*,*,#229153,.F.); #324743=ORIENTED_EDGE('',*,*,#229158,.F.); #324744=ORIENTED_EDGE('',*,*,#229159,.T.); #324745=ORIENTED_EDGE('',*,*,#229160,.F.); #324746=ORIENTED_EDGE('',*,*,#229159,.F.); #324747=ORIENTED_EDGE('',*,*,#229161,.F.); #324748=ORIENTED_EDGE('',*,*,#229162,.T.); #324749=ORIENTED_EDGE('',*,*,#229163,.F.); #324750=ORIENTED_EDGE('',*,*,#229162,.F.); #324751=ORIENTED_EDGE('',*,*,#229164,.T.); #324752=ORIENTED_EDGE('',*,*,#229165,.T.); #324753=ORIENTED_EDGE('',*,*,#229166,.F.); #324754=ORIENTED_EDGE('',*,*,#229167,.F.); #324755=ORIENTED_EDGE('',*,*,#229168,.T.); #324756=ORIENTED_EDGE('',*,*,#229167,.T.); #324757=ORIENTED_EDGE('',*,*,#229169,.F.); #324758=ORIENTED_EDGE('',*,*,#229170,.F.); #324759=ORIENTED_EDGE('',*,*,#229171,.T.); #324760=ORIENTED_EDGE('',*,*,#229170,.T.); #324761=ORIENTED_EDGE('',*,*,#229172,.F.); #324762=ORIENTED_EDGE('',*,*,#229173,.F.); #324763=ORIENTED_EDGE('',*,*,#229174,.T.); #324764=ORIENTED_EDGE('',*,*,#229173,.T.); #324765=ORIENTED_EDGE('',*,*,#229175,.F.); #324766=ORIENTED_EDGE('',*,*,#229176,.F.); #324767=ORIENTED_EDGE('',*,*,#229177,.T.); #324768=ORIENTED_EDGE('',*,*,#229176,.T.); #324769=ORIENTED_EDGE('',*,*,#229178,.F.); #324770=ORIENTED_EDGE('',*,*,#229179,.F.); #324771=ORIENTED_EDGE('',*,*,#229180,.T.); #324772=ORIENTED_EDGE('',*,*,#229179,.T.); #324773=ORIENTED_EDGE('',*,*,#229181,.F.); #324774=ORIENTED_EDGE('',*,*,#229182,.F.); #324775=ORIENTED_EDGE('',*,*,#229183,.T.); #324776=ORIENTED_EDGE('',*,*,#229182,.T.); #324777=ORIENTED_EDGE('',*,*,#229184,.F.); #324778=ORIENTED_EDGE('',*,*,#229185,.F.); #324779=ORIENTED_EDGE('',*,*,#229186,.T.); #324780=ORIENTED_EDGE('',*,*,#229185,.T.); #324781=ORIENTED_EDGE('',*,*,#229187,.F.); #324782=ORIENTED_EDGE('',*,*,#229188,.F.); #324783=ORIENTED_EDGE('',*,*,#229189,.T.); #324784=ORIENTED_EDGE('',*,*,#229188,.T.); #324785=ORIENTED_EDGE('',*,*,#229190,.F.); #324786=ORIENTED_EDGE('',*,*,#229191,.F.); #324787=ORIENTED_EDGE('',*,*,#229192,.T.); #324788=ORIENTED_EDGE('',*,*,#229191,.T.); #324789=ORIENTED_EDGE('',*,*,#229193,.F.); #324790=ORIENTED_EDGE('',*,*,#229194,.F.); #324791=ORIENTED_EDGE('',*,*,#229195,.T.); #324792=ORIENTED_EDGE('',*,*,#229194,.T.); #324793=ORIENTED_EDGE('',*,*,#229196,.F.); #324794=ORIENTED_EDGE('',*,*,#229197,.F.); #324795=ORIENTED_EDGE('',*,*,#229198,.T.); #324796=ORIENTED_EDGE('',*,*,#229197,.T.); #324797=ORIENTED_EDGE('',*,*,#229199,.F.); #324798=ORIENTED_EDGE('',*,*,#229165,.F.); #324799=ORIENTED_EDGE('',*,*,#229199,.T.); #324800=ORIENTED_EDGE('',*,*,#229196,.T.); #324801=ORIENTED_EDGE('',*,*,#229193,.T.); #324802=ORIENTED_EDGE('',*,*,#229190,.T.); #324803=ORIENTED_EDGE('',*,*,#229187,.T.); #324804=ORIENTED_EDGE('',*,*,#229184,.T.); #324805=ORIENTED_EDGE('',*,*,#229181,.T.); #324806=ORIENTED_EDGE('',*,*,#229178,.T.); #324807=ORIENTED_EDGE('',*,*,#229175,.T.); #324808=ORIENTED_EDGE('',*,*,#229172,.T.); #324809=ORIENTED_EDGE('',*,*,#229169,.T.); #324810=ORIENTED_EDGE('',*,*,#229166,.T.); #324811=ORIENTED_EDGE('',*,*,#229158,.T.); #324812=ORIENTED_EDGE('',*,*,#229161,.T.); #324813=ORIENTED_EDGE('',*,*,#229198,.F.); #324814=ORIENTED_EDGE('',*,*,#229164,.F.); #324815=ORIENTED_EDGE('',*,*,#229168,.F.); #324816=ORIENTED_EDGE('',*,*,#229171,.F.); #324817=ORIENTED_EDGE('',*,*,#229174,.F.); #324818=ORIENTED_EDGE('',*,*,#229177,.F.); #324819=ORIENTED_EDGE('',*,*,#229180,.F.); #324820=ORIENTED_EDGE('',*,*,#229183,.F.); #324821=ORIENTED_EDGE('',*,*,#229186,.F.); #324822=ORIENTED_EDGE('',*,*,#229189,.F.); #324823=ORIENTED_EDGE('',*,*,#229192,.F.); #324824=ORIENTED_EDGE('',*,*,#229195,.F.); #324825=ORIENTED_EDGE('',*,*,#229160,.T.); #324826=ORIENTED_EDGE('',*,*,#229163,.T.); #324827=ORIENTED_EDGE('',*,*,#229200,.F.); #324828=ORIENTED_EDGE('',*,*,#229201,.T.); #324829=ORIENTED_EDGE('',*,*,#229202,.F.); #324830=ORIENTED_EDGE('',*,*,#229201,.F.); #324831=ORIENTED_EDGE('',*,*,#229203,.F.); #324832=ORIENTED_EDGE('',*,*,#229204,.T.); #324833=ORIENTED_EDGE('',*,*,#229205,.F.); #324834=ORIENTED_EDGE('',*,*,#229204,.F.); #324835=ORIENTED_EDGE('',*,*,#229206,.T.); #324836=ORIENTED_EDGE('',*,*,#229207,.T.); #324837=ORIENTED_EDGE('',*,*,#229208,.F.); #324838=ORIENTED_EDGE('',*,*,#229209,.F.); #324839=ORIENTED_EDGE('',*,*,#229210,.T.); #324840=ORIENTED_EDGE('',*,*,#229209,.T.); #324841=ORIENTED_EDGE('',*,*,#229211,.F.); #324842=ORIENTED_EDGE('',*,*,#229212,.F.); #324843=ORIENTED_EDGE('',*,*,#229213,.T.); #324844=ORIENTED_EDGE('',*,*,#229212,.T.); #324845=ORIENTED_EDGE('',*,*,#229214,.F.); #324846=ORIENTED_EDGE('',*,*,#229215,.F.); #324847=ORIENTED_EDGE('',*,*,#229216,.T.); #324848=ORIENTED_EDGE('',*,*,#229215,.T.); #324849=ORIENTED_EDGE('',*,*,#229217,.F.); #324850=ORIENTED_EDGE('',*,*,#229218,.F.); #324851=ORIENTED_EDGE('',*,*,#229219,.T.); #324852=ORIENTED_EDGE('',*,*,#229218,.T.); #324853=ORIENTED_EDGE('',*,*,#229220,.F.); #324854=ORIENTED_EDGE('',*,*,#229221,.F.); #324855=ORIENTED_EDGE('',*,*,#229222,.T.); #324856=ORIENTED_EDGE('',*,*,#229221,.T.); #324857=ORIENTED_EDGE('',*,*,#229223,.F.); #324858=ORIENTED_EDGE('',*,*,#229224,.F.); #324859=ORIENTED_EDGE('',*,*,#229225,.T.); #324860=ORIENTED_EDGE('',*,*,#229224,.T.); #324861=ORIENTED_EDGE('',*,*,#229226,.F.); #324862=ORIENTED_EDGE('',*,*,#229227,.F.); #324863=ORIENTED_EDGE('',*,*,#229228,.T.); #324864=ORIENTED_EDGE('',*,*,#229227,.T.); #324865=ORIENTED_EDGE('',*,*,#229229,.F.); #324866=ORIENTED_EDGE('',*,*,#229230,.F.); #324867=ORIENTED_EDGE('',*,*,#229231,.T.); #324868=ORIENTED_EDGE('',*,*,#229230,.T.); #324869=ORIENTED_EDGE('',*,*,#229232,.F.); #324870=ORIENTED_EDGE('',*,*,#229233,.F.); #324871=ORIENTED_EDGE('',*,*,#229234,.T.); #324872=ORIENTED_EDGE('',*,*,#229233,.T.); #324873=ORIENTED_EDGE('',*,*,#229235,.F.); #324874=ORIENTED_EDGE('',*,*,#229236,.F.); #324875=ORIENTED_EDGE('',*,*,#229237,.T.); #324876=ORIENTED_EDGE('',*,*,#229236,.T.); #324877=ORIENTED_EDGE('',*,*,#229238,.F.); #324878=ORIENTED_EDGE('',*,*,#229239,.F.); #324879=ORIENTED_EDGE('',*,*,#229240,.T.); #324880=ORIENTED_EDGE('',*,*,#229239,.T.); #324881=ORIENTED_EDGE('',*,*,#229241,.F.); #324882=ORIENTED_EDGE('',*,*,#229207,.F.); #324883=ORIENTED_EDGE('',*,*,#229241,.T.); #324884=ORIENTED_EDGE('',*,*,#229238,.T.); #324885=ORIENTED_EDGE('',*,*,#229235,.T.); #324886=ORIENTED_EDGE('',*,*,#229232,.T.); #324887=ORIENTED_EDGE('',*,*,#229229,.T.); #324888=ORIENTED_EDGE('',*,*,#229226,.T.); #324889=ORIENTED_EDGE('',*,*,#229223,.T.); #324890=ORIENTED_EDGE('',*,*,#229220,.T.); #324891=ORIENTED_EDGE('',*,*,#229217,.T.); #324892=ORIENTED_EDGE('',*,*,#229214,.T.); #324893=ORIENTED_EDGE('',*,*,#229211,.T.); #324894=ORIENTED_EDGE('',*,*,#229208,.T.); #324895=ORIENTED_EDGE('',*,*,#229202,.T.); #324896=ORIENTED_EDGE('',*,*,#229205,.T.); #324897=ORIENTED_EDGE('',*,*,#229240,.F.); #324898=ORIENTED_EDGE('',*,*,#229206,.F.); #324899=ORIENTED_EDGE('',*,*,#229210,.F.); #324900=ORIENTED_EDGE('',*,*,#229213,.F.); #324901=ORIENTED_EDGE('',*,*,#229216,.F.); #324902=ORIENTED_EDGE('',*,*,#229219,.F.); #324903=ORIENTED_EDGE('',*,*,#229222,.F.); #324904=ORIENTED_EDGE('',*,*,#229225,.F.); #324905=ORIENTED_EDGE('',*,*,#229228,.F.); #324906=ORIENTED_EDGE('',*,*,#229231,.F.); #324907=ORIENTED_EDGE('',*,*,#229234,.F.); #324908=ORIENTED_EDGE('',*,*,#229237,.F.); #324909=ORIENTED_EDGE('',*,*,#229200,.T.); #324910=ORIENTED_EDGE('',*,*,#229203,.T.); #324911=ORIENTED_EDGE('',*,*,#229242,.F.); #324912=ORIENTED_EDGE('',*,*,#229243,.T.); #324913=ORIENTED_EDGE('',*,*,#229244,.F.); #324914=ORIENTED_EDGE('',*,*,#229243,.F.); #324915=ORIENTED_EDGE('',*,*,#229245,.F.); #324916=ORIENTED_EDGE('',*,*,#229246,.T.); #324917=ORIENTED_EDGE('',*,*,#229247,.F.); #324918=ORIENTED_EDGE('',*,*,#229246,.F.); #324919=ORIENTED_EDGE('',*,*,#229248,.F.); #324920=ORIENTED_EDGE('',*,*,#229249,.T.); #324921=ORIENTED_EDGE('',*,*,#229250,.F.); #324922=ORIENTED_EDGE('',*,*,#229251,.F.); #324923=ORIENTED_EDGE('',*,*,#229252,.F.); #324924=ORIENTED_EDGE('',*,*,#229251,.T.); #324925=ORIENTED_EDGE('',*,*,#229253,.F.); #324926=ORIENTED_EDGE('',*,*,#229254,.F.); #324927=ORIENTED_EDGE('',*,*,#229255,.F.); #324928=ORIENTED_EDGE('',*,*,#229254,.T.); #324929=ORIENTED_EDGE('',*,*,#229256,.F.); #324930=ORIENTED_EDGE('',*,*,#229257,.F.); #324931=ORIENTED_EDGE('',*,*,#229258,.F.); #324932=ORIENTED_EDGE('',*,*,#229257,.T.); #324933=ORIENTED_EDGE('',*,*,#229259,.F.); #324934=ORIENTED_EDGE('',*,*,#229260,.F.); #324935=ORIENTED_EDGE('',*,*,#229261,.F.); #324936=ORIENTED_EDGE('',*,*,#229260,.T.); #324937=ORIENTED_EDGE('',*,*,#229262,.F.); #324938=ORIENTED_EDGE('',*,*,#229263,.F.); #324939=ORIENTED_EDGE('',*,*,#229264,.F.); #324940=ORIENTED_EDGE('',*,*,#229263,.T.); #324941=ORIENTED_EDGE('',*,*,#229265,.F.); #324942=ORIENTED_EDGE('',*,*,#229266,.F.); #324943=ORIENTED_EDGE('',*,*,#229267,.F.); #324944=ORIENTED_EDGE('',*,*,#229266,.T.); #324945=ORIENTED_EDGE('',*,*,#229268,.F.); #324946=ORIENTED_EDGE('',*,*,#229269,.F.); #324947=ORIENTED_EDGE('',*,*,#229270,.F.); #324948=ORIENTED_EDGE('',*,*,#229269,.T.); #324949=ORIENTED_EDGE('',*,*,#229271,.F.); #324950=ORIENTED_EDGE('',*,*,#229272,.F.); #324951=ORIENTED_EDGE('',*,*,#229273,.F.); #324952=ORIENTED_EDGE('',*,*,#229272,.T.); #324953=ORIENTED_EDGE('',*,*,#229274,.F.); #324954=ORIENTED_EDGE('',*,*,#229275,.F.); #324955=ORIENTED_EDGE('',*,*,#229276,.F.); #324956=ORIENTED_EDGE('',*,*,#229275,.T.); #324957=ORIENTED_EDGE('',*,*,#229277,.F.); #324958=ORIENTED_EDGE('',*,*,#229278,.F.); #324959=ORIENTED_EDGE('',*,*,#229279,.F.); #324960=ORIENTED_EDGE('',*,*,#229278,.T.); #324961=ORIENTED_EDGE('',*,*,#229280,.F.); #324962=ORIENTED_EDGE('',*,*,#229281,.F.); #324963=ORIENTED_EDGE('',*,*,#229282,.F.); #324964=ORIENTED_EDGE('',*,*,#229281,.T.); #324965=ORIENTED_EDGE('',*,*,#229283,.F.); #324966=ORIENTED_EDGE('',*,*,#229249,.F.); #324967=ORIENTED_EDGE('',*,*,#229282,.T.); #324968=ORIENTED_EDGE('',*,*,#229248,.T.); #324969=ORIENTED_EDGE('',*,*,#229252,.T.); #324970=ORIENTED_EDGE('',*,*,#229255,.T.); #324971=ORIENTED_EDGE('',*,*,#229258,.T.); #324972=ORIENTED_EDGE('',*,*,#229261,.T.); #324973=ORIENTED_EDGE('',*,*,#229264,.T.); #324974=ORIENTED_EDGE('',*,*,#229267,.T.); #324975=ORIENTED_EDGE('',*,*,#229270,.T.); #324976=ORIENTED_EDGE('',*,*,#229273,.T.); #324977=ORIENTED_EDGE('',*,*,#229276,.T.); #324978=ORIENTED_EDGE('',*,*,#229279,.T.); #324979=ORIENTED_EDGE('',*,*,#229242,.T.); #324980=ORIENTED_EDGE('',*,*,#229245,.T.); #324981=ORIENTED_EDGE('',*,*,#229283,.T.); #324982=ORIENTED_EDGE('',*,*,#229280,.T.); #324983=ORIENTED_EDGE('',*,*,#229277,.T.); #324984=ORIENTED_EDGE('',*,*,#229274,.T.); #324985=ORIENTED_EDGE('',*,*,#229271,.T.); #324986=ORIENTED_EDGE('',*,*,#229268,.T.); #324987=ORIENTED_EDGE('',*,*,#229265,.T.); #324988=ORIENTED_EDGE('',*,*,#229262,.T.); #324989=ORIENTED_EDGE('',*,*,#229259,.T.); #324990=ORIENTED_EDGE('',*,*,#229256,.T.); #324991=ORIENTED_EDGE('',*,*,#229253,.T.); #324992=ORIENTED_EDGE('',*,*,#229250,.T.); #324993=ORIENTED_EDGE('',*,*,#229244,.T.); #324994=ORIENTED_EDGE('',*,*,#229247,.T.); #324995=ORIENTED_EDGE('',*,*,#229284,.F.); #324996=ORIENTED_EDGE('',*,*,#229285,.F.); #324997=ORIENTED_EDGE('',*,*,#229286,.F.); #324998=ORIENTED_EDGE('',*,*,#229287,.F.); #324999=ORIENTED_EDGE('',*,*,#229285,.T.); #325000=ORIENTED_EDGE('',*,*,#229288,.F.); #325001=ORIENTED_EDGE('',*,*,#229289,.F.); #325002=ORIENTED_EDGE('',*,*,#229290,.T.); #325003=ORIENTED_EDGE('',*,*,#229284,.T.); #325004=ORIENTED_EDGE('',*,*,#229291,.F.); #325005=ORIENTED_EDGE('',*,*,#229292,.F.); #325006=ORIENTED_EDGE('',*,*,#229288,.T.); #325007=ORIENTED_EDGE('',*,*,#229287,.T.); #325008=ORIENTED_EDGE('',*,*,#229293,.F.); #325009=ORIENTED_EDGE('',*,*,#229294,.F.); #325010=ORIENTED_EDGE('',*,*,#229291,.T.); #325011=ORIENTED_EDGE('',*,*,#229286,.T.); #325012=ORIENTED_EDGE('',*,*,#229290,.F.); #325013=ORIENTED_EDGE('',*,*,#229295,.F.); #325014=ORIENTED_EDGE('',*,*,#229293,.T.); #325015=ORIENTED_EDGE('',*,*,#229292,.T.); #325016=ORIENTED_EDGE('',*,*,#229294,.T.); #325017=ORIENTED_EDGE('',*,*,#229295,.T.); #325018=ORIENTED_EDGE('',*,*,#229289,.T.); #325019=ORIENTED_EDGE('',*,*,#229296,.T.); #325020=ORIENTED_EDGE('',*,*,#229297,.T.); #325021=ORIENTED_EDGE('',*,*,#229298,.T.); #325022=ORIENTED_EDGE('',*,*,#229297,.F.); #325023=ORIENTED_EDGE('',*,*,#229299,.T.); #325024=ORIENTED_EDGE('',*,*,#229300,.F.); #325025=ORIENTED_EDGE('',*,*,#229301,.F.); #325026=ORIENTED_EDGE('',*,*,#229302,.F.); #325027=ORIENTED_EDGE('',*,*,#229298,.F.); #325028=ORIENTED_EDGE('',*,*,#229303,.T.); #325029=ORIENTED_EDGE('',*,*,#229304,.T.); #325030=ORIENTED_EDGE('',*,*,#229296,.F.); #325031=ORIENTED_EDGE('',*,*,#229304,.F.); #325032=ORIENTED_EDGE('',*,*,#229305,.T.); #325033=ORIENTED_EDGE('',*,*,#229302,.T.); #325034=ORIENTED_EDGE('',*,*,#229306,.F.); #325035=ORIENTED_EDGE('',*,*,#229307,.T.); #325036=ORIENTED_EDGE('',*,*,#229308,.F.); #325037=ORIENTED_EDGE('',*,*,#229301,.T.); #325038=ORIENTED_EDGE('',*,*,#229309,.F.); #325039=ORIENTED_EDGE('',*,*,#229310,.T.); #325040=ORIENTED_EDGE('',*,*,#229306,.T.); #325041=ORIENTED_EDGE('',*,*,#229300,.T.); #325042=ORIENTED_EDGE('',*,*,#229311,.F.); #325043=ORIENTED_EDGE('',*,*,#229312,.F.); #325044=ORIENTED_EDGE('',*,*,#229309,.T.); #325045=ORIENTED_EDGE('',*,*,#229308,.T.); #325046=ORIENTED_EDGE('',*,*,#229313,.F.); #325047=ORIENTED_EDGE('',*,*,#229311,.T.); #325048=ORIENTED_EDGE('',*,*,#229299,.F.); #325049=ORIENTED_EDGE('',*,*,#229314,.T.); #325050=ORIENTED_EDGE('',*,*,#229315,.T.); #325051=ORIENTED_EDGE('',*,*,#229316,.T.); #325052=ORIENTED_EDGE('',*,*,#229315,.F.); #325053=ORIENTED_EDGE('',*,*,#229314,.F.); #325054=ORIENTED_EDGE('',*,*,#229317,.F.); #325055=ORIENTED_EDGE('',*,*,#229316,.F.); #325056=ORIENTED_EDGE('',*,*,#229318,.T.); #325057=ORIENTED_EDGE('',*,*,#229319,.F.); #325058=ORIENTED_EDGE('',*,*,#229318,.F.); #325059=ORIENTED_EDGE('',*,*,#229320,.F.); #325060=ORIENTED_EDGE('',*,*,#229321,.F.); #325061=ORIENTED_EDGE('',*,*,#229322,.F.); #325062=ORIENTED_EDGE('',*,*,#229323,.F.); #325063=ORIENTED_EDGE('',*,*,#229324,.F.); #325064=ORIENTED_EDGE('',*,*,#229325,.F.); #325065=ORIENTED_EDGE('',*,*,#229326,.T.); #325066=ORIENTED_EDGE('',*,*,#229327,.F.); #325067=ORIENTED_EDGE('',*,*,#229328,.F.); #325068=ORIENTED_EDGE('',*,*,#229329,.F.); #325069=ORIENTED_EDGE('',*,*,#229330,.F.); #325070=ORIENTED_EDGE('',*,*,#229331,.F.); #325071=ORIENTED_EDGE('',*,*,#229317,.T.); #325072=ORIENTED_EDGE('',*,*,#229332,.T.); #325073=ORIENTED_EDGE('',*,*,#229333,.T.); #325074=ORIENTED_EDGE('',*,*,#229332,.F.); #325075=ORIENTED_EDGE('',*,*,#229333,.F.); #325076=ORIENTED_EDGE('',*,*,#229334,.T.); #325077=ORIENTED_EDGE('',*,*,#229335,.F.); #325078=ORIENTED_EDGE('',*,*,#229334,.F.); #325079=ORIENTED_EDGE('',*,*,#229335,.T.); #325080=ORIENTED_EDGE('',*,*,#229336,.T.); #325081=ORIENTED_EDGE('',*,*,#229305,.F.); #325082=ORIENTED_EDGE('',*,*,#229303,.F.); #325083=ORIENTED_EDGE('',*,*,#229336,.F.); #325084=ORIENTED_EDGE('',*,*,#229337,.F.); #325085=ORIENTED_EDGE('',*,*,#229323,.T.); #325086=ORIENTED_EDGE('',*,*,#229338,.T.); #325087=ORIENTED_EDGE('',*,*,#229339,.T.); #325088=ORIENTED_EDGE('',*,*,#229340,.F.); #325089=ORIENTED_EDGE('',*,*,#229330,.T.); #325090=ORIENTED_EDGE('',*,*,#229341,.T.); #325091=ORIENTED_EDGE('',*,*,#229342,.T.); #325092=ORIENTED_EDGE('',*,*,#229343,.F.); #325093=ORIENTED_EDGE('',*,*,#229344,.T.); #325094=ORIENTED_EDGE('',*,*,#229345,.T.); #325095=ORIENTED_EDGE('',*,*,#229324,.T.); #325096=ORIENTED_EDGE('',*,*,#229346,.F.); #325097=ORIENTED_EDGE('',*,*,#229347,.T.); #325098=ORIENTED_EDGE('',*,*,#229348,.T.); #325099=ORIENTED_EDGE('',*,*,#229321,.T.); #325100=ORIENTED_EDGE('',*,*,#229349,.F.); #325101=ORIENTED_EDGE('',*,*,#229350,.T.); #325102=ORIENTED_EDGE('',*,*,#229351,.T.); #325103=ORIENTED_EDGE('',*,*,#229328,.T.); #325104=ORIENTED_EDGE('',*,*,#229352,.F.); #325105=ORIENTED_EDGE('',*,*,#229326,.F.); #325106=ORIENTED_EDGE('',*,*,#229353,.T.); #325107=ORIENTED_EDGE('',*,*,#229354,.T.); #325108=ORIENTED_EDGE('',*,*,#229355,.T.); #325109=ORIENTED_EDGE('',*,*,#229349,.T.); #325110=ORIENTED_EDGE('',*,*,#229331,.T.); #325111=ORIENTED_EDGE('',*,*,#229340,.T.); #325112=ORIENTED_EDGE('',*,*,#229351,.F.); #325113=ORIENTED_EDGE('',*,*,#229356,.T.); #325114=ORIENTED_EDGE('',*,*,#229341,.F.); #325115=ORIENTED_EDGE('',*,*,#229329,.T.); #325116=ORIENTED_EDGE('',*,*,#229355,.F.); #325117=ORIENTED_EDGE('',*,*,#229342,.F.); #325118=ORIENTED_EDGE('',*,*,#229356,.F.); #325119=ORIENTED_EDGE('',*,*,#229350,.F.); #325120=ORIENTED_EDGE('',*,*,#229357,.T.); #325121=ORIENTED_EDGE('',*,*,#229346,.T.); #325122=ORIENTED_EDGE('',*,*,#229320,.T.); #325123=ORIENTED_EDGE('',*,*,#229337,.T.); #325124=ORIENTED_EDGE('',*,*,#229348,.F.); #325125=ORIENTED_EDGE('',*,*,#229358,.T.); #325126=ORIENTED_EDGE('',*,*,#229338,.F.); #325127=ORIENTED_EDGE('',*,*,#229322,.T.); #325128=ORIENTED_EDGE('',*,*,#229357,.F.); #325129=ORIENTED_EDGE('',*,*,#229339,.F.); #325130=ORIENTED_EDGE('',*,*,#229358,.F.); #325131=ORIENTED_EDGE('',*,*,#229347,.F.); #325132=ORIENTED_EDGE('',*,*,#229359,.T.); #325133=ORIENTED_EDGE('',*,*,#229343,.T.); #325134=ORIENTED_EDGE('',*,*,#229327,.T.); #325135=ORIENTED_EDGE('',*,*,#229352,.T.); #325136=ORIENTED_EDGE('',*,*,#229345,.F.); #325137=ORIENTED_EDGE('',*,*,#229360,.T.); #325138=ORIENTED_EDGE('',*,*,#229353,.F.); #325139=ORIENTED_EDGE('',*,*,#229325,.T.); #325140=ORIENTED_EDGE('',*,*,#229359,.F.); #325141=ORIENTED_EDGE('',*,*,#229354,.F.); #325142=ORIENTED_EDGE('',*,*,#229360,.F.); #325143=ORIENTED_EDGE('',*,*,#229344,.F.); #325144=ORIENTED_EDGE('',*,*,#229361,.T.); #325145=ORIENTED_EDGE('',*,*,#229362,.T.); #325146=ORIENTED_EDGE('',*,*,#229319,.T.); #325147=ORIENTED_EDGE('',*,*,#229362,.F.); #325148=ORIENTED_EDGE('',*,*,#229313,.T.); #325149=ORIENTED_EDGE('',*,*,#229307,.F.); #325150=ORIENTED_EDGE('',*,*,#229310,.F.); #325151=ORIENTED_EDGE('',*,*,#229312,.T.); #325152=ORIENTED_EDGE('',*,*,#229361,.F.); #325153=ORIENTED_EDGE('',*,*,#229363,.T.); #325154=ORIENTED_EDGE('',*,*,#229364,.T.); #325155=ORIENTED_EDGE('',*,*,#229365,.T.); #325156=ORIENTED_EDGE('',*,*,#229366,.F.); #325157=ORIENTED_EDGE('',*,*,#229367,.T.); #325158=ORIENTED_EDGE('',*,*,#229368,.F.); #325159=ORIENTED_EDGE('',*,*,#229364,.F.); #325160=ORIENTED_EDGE('',*,*,#229369,.T.); #325161=ORIENTED_EDGE('',*,*,#229370,.T.); #325162=ORIENTED_EDGE('',*,*,#229371,.F.); #325163=ORIENTED_EDGE('',*,*,#229372,.T.); #325164=ORIENTED_EDGE('',*,*,#229370,.F.); #325165=ORIENTED_EDGE('',*,*,#229373,.T.); #325166=ORIENTED_EDGE('',*,*,#229374,.T.); #325167=ORIENTED_EDGE('',*,*,#229375,.T.); #325168=ORIENTED_EDGE('',*,*,#229376,.F.); #325169=ORIENTED_EDGE('',*,*,#229374,.F.); #325170=ORIENTED_EDGE('',*,*,#229377,.T.); #325171=ORIENTED_EDGE('',*,*,#229366,.T.); #325172=ORIENTED_EDGE('',*,*,#229378,.F.); #325173=ORIENTED_EDGE('',*,*,#229371,.T.); #325174=ORIENTED_EDGE('',*,*,#229372,.F.); #325175=ORIENTED_EDGE('',*,*,#229376,.T.); #325176=ORIENTED_EDGE('',*,*,#229379,.F.); #325177=ORIENTED_EDGE('',*,*,#229380,.F.); #325178=ORIENTED_EDGE('',*,*,#229381,.T.); #325179=ORIENTED_EDGE('',*,*,#229375,.F.); #325180=ORIENTED_EDGE('',*,*,#229382,.F.); #325181=ORIENTED_EDGE('',*,*,#229383,.F.); #325182=ORIENTED_EDGE('',*,*,#229379,.T.); #325183=ORIENTED_EDGE('',*,*,#229363,.F.); #325184=ORIENTED_EDGE('',*,*,#229377,.F.); #325185=ORIENTED_EDGE('',*,*,#229373,.F.); #325186=ORIENTED_EDGE('',*,*,#229369,.F.); #325187=ORIENTED_EDGE('',*,*,#229367,.F.); #325188=ORIENTED_EDGE('',*,*,#229381,.F.); #325189=ORIENTED_EDGE('',*,*,#229384,.F.); #325190=ORIENTED_EDGE('',*,*,#229385,.T.); #325191=ORIENTED_EDGE('',*,*,#229368,.T.); #325192=ORIENTED_EDGE('',*,*,#229385,.F.); #325193=ORIENTED_EDGE('',*,*,#229386,.F.); #325194=ORIENTED_EDGE('',*,*,#229382,.T.); #325195=ORIENTED_EDGE('',*,*,#229378,.T.); #325196=ORIENTED_EDGE('',*,*,#229365,.F.); #325197=ORIENTED_EDGE('',*,*,#229387,.F.); #325198=ORIENTED_EDGE('',*,*,#229388,.T.); #325199=ORIENTED_EDGE('',*,*,#229389,.F.); #325200=ORIENTED_EDGE('',*,*,#229390,.F.); #325201=ORIENTED_EDGE('',*,*,#229391,.F.); #325202=ORIENTED_EDGE('',*,*,#229392,.F.); #325203=ORIENTED_EDGE('',*,*,#229393,.F.); #325204=ORIENTED_EDGE('',*,*,#229394,.F.); #325205=ORIENTED_EDGE('',*,*,#229395,.T.); #325206=ORIENTED_EDGE('',*,*,#229396,.F.); #325207=ORIENTED_EDGE('',*,*,#229397,.F.); #325208=ORIENTED_EDGE('',*,*,#229398,.F.); #325209=ORIENTED_EDGE('',*,*,#229399,.F.); #325210=ORIENTED_EDGE('',*,*,#229400,.F.); #325211=ORIENTED_EDGE('',*,*,#229401,.T.); #325212=ORIENTED_EDGE('',*,*,#229402,.F.); #325213=ORIENTED_EDGE('',*,*,#229403,.T.); #325214=ORIENTED_EDGE('',*,*,#229404,.T.); #325215=ORIENTED_EDGE('',*,*,#229405,.F.); #325216=ORIENTED_EDGE('',*,*,#229406,.T.); #325217=ORIENTED_EDGE('',*,*,#229407,.F.); #325218=ORIENTED_EDGE('',*,*,#229408,.F.); #325219=ORIENTED_EDGE('',*,*,#229409,.T.); #325220=ORIENTED_EDGE('',*,*,#229410,.T.); #325221=ORIENTED_EDGE('',*,*,#229405,.T.); #325222=ORIENTED_EDGE('',*,*,#229411,.F.); #325223=ORIENTED_EDGE('',*,*,#229412,.F.); #325224=ORIENTED_EDGE('',*,*,#229413,.T.); #325225=ORIENTED_EDGE('',*,*,#229414,.T.); #325226=ORIENTED_EDGE('',*,*,#229415,.F.); #325227=ORIENTED_EDGE('',*,*,#229416,.F.); #325228=ORIENTED_EDGE('',*,*,#229410,.F.); #325229=ORIENTED_EDGE('',*,*,#229417,.F.); #325230=ORIENTED_EDGE('',*,*,#229406,.F.); #325231=ORIENTED_EDGE('',*,*,#229413,.F.); #325232=ORIENTED_EDGE('',*,*,#229418,.F.); #325233=ORIENTED_EDGE('',*,*,#229419,.T.); #325234=ORIENTED_EDGE('',*,*,#229404,.F.); #325235=ORIENTED_EDGE('',*,*,#229420,.F.); #325236=ORIENTED_EDGE('',*,*,#229388,.F.); #325237=ORIENTED_EDGE('',*,*,#229402,.T.); #325238=ORIENTED_EDGE('',*,*,#229419,.F.); #325239=ORIENTED_EDGE('',*,*,#229421,.F.); #325240=ORIENTED_EDGE('',*,*,#229422,.T.); #325241=ORIENTED_EDGE('',*,*,#229387,.T.); #325242=ORIENTED_EDGE('',*,*,#229423,.T.); #325243=ORIENTED_EDGE('',*,*,#229424,.F.); #325244=ORIENTED_EDGE('',*,*,#229414,.F.); #325245=ORIENTED_EDGE('',*,*,#229425,.T.); #325246=ORIENTED_EDGE('',*,*,#229426,.T.); #325247=ORIENTED_EDGE('',*,*,#229394,.T.); #325248=ORIENTED_EDGE('',*,*,#229427,.T.); #325249=ORIENTED_EDGE('',*,*,#229428,.T.); #325250=ORIENTED_EDGE('',*,*,#229396,.T.); #325251=ORIENTED_EDGE('',*,*,#229429,.F.); #325252=ORIENTED_EDGE('',*,*,#229430,.F.); #325253=ORIENTED_EDGE('',*,*,#229431,.T.); #325254=ORIENTED_EDGE('',*,*,#229390,.T.); #325255=ORIENTED_EDGE('',*,*,#229432,.T.); #325256=ORIENTED_EDGE('',*,*,#229433,.F.); #325257=ORIENTED_EDGE('',*,*,#229434,.F.); #325258=ORIENTED_EDGE('',*,*,#229435,.T.); #325259=ORIENTED_EDGE('',*,*,#229436,.F.); #325260=ORIENTED_EDGE('',*,*,#229423,.F.); #325261=ORIENTED_EDGE('',*,*,#229389,.T.); #325262=ORIENTED_EDGE('',*,*,#229420,.T.); #325263=ORIENTED_EDGE('',*,*,#229403,.F.); #325264=ORIENTED_EDGE('',*,*,#229422,.F.); #325265=ORIENTED_EDGE('',*,*,#229437,.F.); #325266=ORIENTED_EDGE('',*,*,#229438,.T.); #325267=ORIENTED_EDGE('',*,*,#229401,.F.); #325268=ORIENTED_EDGE('',*,*,#229439,.T.); #325269=ORIENTED_EDGE('',*,*,#229440,.F.); #325270=ORIENTED_EDGE('',*,*,#229427,.F.); #325271=ORIENTED_EDGE('',*,*,#229393,.T.); #325272=ORIENTED_EDGE('',*,*,#229399,.T.); #325273=ORIENTED_EDGE('',*,*,#229438,.F.); #325274=ORIENTED_EDGE('',*,*,#229441,.F.); #325275=ORIENTED_EDGE('',*,*,#229442,.F.); #325276=ORIENTED_EDGE('',*,*,#229408,.T.); #325277=ORIENTED_EDGE('',*,*,#229416,.T.); #325278=ORIENTED_EDGE('',*,*,#229443,.F.); #325279=ORIENTED_EDGE('',*,*,#229444,.F.); #325280=ORIENTED_EDGE('',*,*,#229444,.T.); #325281=ORIENTED_EDGE('',*,*,#229445,.F.); #325282=ORIENTED_EDGE('',*,*,#229432,.F.); #325283=ORIENTED_EDGE('',*,*,#229395,.F.); #325284=ORIENTED_EDGE('',*,*,#229426,.F.); #325285=ORIENTED_EDGE('',*,*,#229446,.F.); #325286=ORIENTED_EDGE('',*,*,#229447,.F.); #325287=ORIENTED_EDGE('',*,*,#229397,.T.); #325288=ORIENTED_EDGE('',*,*,#229431,.F.); #325289=ORIENTED_EDGE('',*,*,#229448,.F.); #325290=ORIENTED_EDGE('',*,*,#229411,.T.); #325291=ORIENTED_EDGE('',*,*,#229407,.T.); #325292=ORIENTED_EDGE('',*,*,#229417,.T.); #325293=ORIENTED_EDGE('',*,*,#229409,.F.); #325294=ORIENTED_EDGE('',*,*,#229392,.T.); #325295=ORIENTED_EDGE('',*,*,#229449,.T.); #325296=ORIENTED_EDGE('',*,*,#229450,.F.); #325297=ORIENTED_EDGE('',*,*,#229435,.F.); #325298=ORIENTED_EDGE('',*,*,#229391,.T.); #325299=ORIENTED_EDGE('',*,*,#229434,.T.); #325300=ORIENTED_EDGE('',*,*,#229451,.F.); #325301=ORIENTED_EDGE('',*,*,#229449,.F.); #325302=ORIENTED_EDGE('',*,*,#229452,.T.); #325303=ORIENTED_EDGE('',*,*,#229453,.F.); #325304=ORIENTED_EDGE('',*,*,#229454,.T.); #325305=ORIENTED_EDGE('',*,*,#229455,.F.); #325306=ORIENTED_EDGE('',*,*,#229441,.T.); #325307=ORIENTED_EDGE('',*,*,#229437,.T.); #325308=ORIENTED_EDGE('',*,*,#229421,.T.); #325309=ORIENTED_EDGE('',*,*,#229418,.T.); #325310=ORIENTED_EDGE('',*,*,#229412,.T.); #325311=ORIENTED_EDGE('',*,*,#229448,.T.); #325312=ORIENTED_EDGE('',*,*,#229430,.T.); #325313=ORIENTED_EDGE('',*,*,#229456,.F.); #325314=ORIENTED_EDGE('',*,*,#229457,.T.); #325315=ORIENTED_EDGE('',*,*,#229458,.T.); #325316=ORIENTED_EDGE('',*,*,#229459,.T.); #325317=ORIENTED_EDGE('',*,*,#229460,.F.); #325318=ORIENTED_EDGE('',*,*,#229454,.F.); #325319=ORIENTED_EDGE('',*,*,#229461,.F.); #325320=ORIENTED_EDGE('',*,*,#229462,.T.); #325321=ORIENTED_EDGE('',*,*,#229463,.F.); #325322=ORIENTED_EDGE('',*,*,#229464,.T.); #325323=ORIENTED_EDGE('',*,*,#229465,.F.); #325324=ORIENTED_EDGE('',*,*,#229466,.T.); #325325=ORIENTED_EDGE('',*,*,#229467,.F.); #325326=ORIENTED_EDGE('',*,*,#229468,.T.); #325327=ORIENTED_EDGE('',*,*,#229469,.F.); #325328=ORIENTED_EDGE('',*,*,#229470,.T.); #325329=ORIENTED_EDGE('',*,*,#229471,.F.); #325330=ORIENTED_EDGE('',*,*,#229429,.T.); #325331=ORIENTED_EDGE('',*,*,#229398,.T.); #325332=ORIENTED_EDGE('',*,*,#229447,.T.); #325333=ORIENTED_EDGE('',*,*,#229472,.T.); #325334=ORIENTED_EDGE('',*,*,#229473,.T.); #325335=ORIENTED_EDGE('',*,*,#229456,.T.); #325336=ORIENTED_EDGE('',*,*,#229458,.F.); #325337=ORIENTED_EDGE('',*,*,#229474,.T.); #325338=ORIENTED_EDGE('',*,*,#229475,.F.); #325339=ORIENTED_EDGE('',*,*,#229476,.F.); #325340=ORIENTED_EDGE('',*,*,#229459,.F.); #325341=ORIENTED_EDGE('',*,*,#229476,.T.); #325342=ORIENTED_EDGE('',*,*,#229477,.F.); #325343=ORIENTED_EDGE('',*,*,#229478,.F.); #325344=ORIENTED_EDGE('',*,*,#229479,.F.); #325345=ORIENTED_EDGE('',*,*,#229480,.F.); #325346=ORIENTED_EDGE('',*,*,#229481,.F.); #325347=ORIENTED_EDGE('',*,*,#229482,.T.); #325348=ORIENTED_EDGE('',*,*,#229478,.T.); #325349=ORIENTED_EDGE('',*,*,#229457,.F.); #325350=ORIENTED_EDGE('',*,*,#229473,.F.); #325351=ORIENTED_EDGE('',*,*,#229481,.T.); #325352=ORIENTED_EDGE('',*,*,#229483,.F.); #325353=ORIENTED_EDGE('',*,*,#229474,.F.); #325354=ORIENTED_EDGE('',*,*,#229477,.T.); #325355=ORIENTED_EDGE('',*,*,#229475,.T.); #325356=ORIENTED_EDGE('',*,*,#229483,.T.); #325357=ORIENTED_EDGE('',*,*,#229480,.T.); #325358=ORIENTED_EDGE('',*,*,#229484,.T.); #325359=ORIENTED_EDGE('',*,*,#229485,.T.); #325360=ORIENTED_EDGE('',*,*,#229486,.F.); #325361=ORIENTED_EDGE('',*,*,#229487,.T.); #325362=ORIENTED_EDGE('',*,*,#229485,.F.); #325363=ORIENTED_EDGE('',*,*,#229487,.F.); #325364=ORIENTED_EDGE('',*,*,#229488,.T.); #325365=ORIENTED_EDGE('',*,*,#229486,.T.); #325366=ORIENTED_EDGE('',*,*,#229489,.T.); #325367=ORIENTED_EDGE('',*,*,#229490,.F.); #325368=ORIENTED_EDGE('',*,*,#229491,.F.); #325369=ORIENTED_EDGE('',*,*,#229467,.T.); #325370=ORIENTED_EDGE('',*,*,#229492,.T.); #325371=ORIENTED_EDGE('',*,*,#229461,.T.); #325372=ORIENTED_EDGE('',*,*,#229453,.T.); #325373=ORIENTED_EDGE('',*,*,#229493,.F.); #325374=ORIENTED_EDGE('',*,*,#229494,.F.); #325375=ORIENTED_EDGE('',*,*,#229495,.F.); #325376=ORIENTED_EDGE('',*,*,#229484,.F.); #325377=ORIENTED_EDGE('',*,*,#229496,.T.); #325378=ORIENTED_EDGE('',*,*,#229494,.T.); #325379=ORIENTED_EDGE('',*,*,#229497,.F.); #325380=ORIENTED_EDGE('',*,*,#229490,.T.); #325381=ORIENTED_EDGE('',*,*,#229498,.F.); #325382=ORIENTED_EDGE('',*,*,#229495,.T.); #325383=ORIENTED_EDGE('',*,*,#229496,.F.); #325384=ORIENTED_EDGE('',*,*,#229497,.T.); #325385=ORIENTED_EDGE('',*,*,#229499,.F.); #325386=ORIENTED_EDGE('',*,*,#229498,.T.); #325387=ORIENTED_EDGE('',*,*,#229500,.T.); #325388=ORIENTED_EDGE('',*,*,#229463,.T.); #325389=ORIENTED_EDGE('',*,*,#229501,.T.); #325390=ORIENTED_EDGE('',*,*,#229465,.T.); #325391=ORIENTED_EDGE('',*,*,#229502,.T.); #325392=ORIENTED_EDGE('',*,*,#229501,.F.); #325393=ORIENTED_EDGE('',*,*,#229462,.F.); #325394=ORIENTED_EDGE('',*,*,#229492,.F.); #325395=ORIENTED_EDGE('',*,*,#229500,.F.); #325396=ORIENTED_EDGE('',*,*,#229489,.F.); #325397=ORIENTED_EDGE('',*,*,#229466,.F.); #325398=ORIENTED_EDGE('',*,*,#229469,.T.); #325399=ORIENTED_EDGE('',*,*,#229503,.T.); #325400=ORIENTED_EDGE('',*,*,#229471,.T.); #325401=ORIENTED_EDGE('',*,*,#229504,.T.); #325402=ORIENTED_EDGE('',*,*,#229503,.F.); #325403=ORIENTED_EDGE('',*,*,#229468,.F.); #325404=ORIENTED_EDGE('',*,*,#229491,.T.); #325405=ORIENTED_EDGE('',*,*,#229499,.T.); #325406=ORIENTED_EDGE('',*,*,#229493,.T.); #325407=ORIENTED_EDGE('',*,*,#229452,.F.); #325408=ORIENTED_EDGE('',*,*,#229504,.F.); #325409=ORIENTED_EDGE('',*,*,#229470,.F.); #325410=ORIENTED_EDGE('',*,*,#229502,.F.); #325411=ORIENTED_EDGE('',*,*,#229464,.F.); #325412=ORIENTED_EDGE('',*,*,#229442,.T.); #325413=ORIENTED_EDGE('',*,*,#229455,.T.); #325414=ORIENTED_EDGE('',*,*,#229460,.T.); #325415=ORIENTED_EDGE('',*,*,#229479,.T.); #325416=ORIENTED_EDGE('',*,*,#229505,.T.); #325417=ORIENTED_EDGE('',*,*,#229439,.F.); #325418=ORIENTED_EDGE('',*,*,#229400,.T.); #325419=ORIENTED_EDGE('',*,*,#229428,.F.); #325420=ORIENTED_EDGE('',*,*,#229440,.T.); #325421=ORIENTED_EDGE('',*,*,#229505,.F.); #325422=ORIENTED_EDGE('',*,*,#229482,.F.); #325423=ORIENTED_EDGE('',*,*,#229472,.F.); #325424=ORIENTED_EDGE('',*,*,#229446,.T.); #325425=ORIENTED_EDGE('',*,*,#229488,.F.); #325426=ORIENTED_EDGE('',*,*,#229506,.T.); #325427=ORIENTED_EDGE('',*,*,#229425,.F.); #325428=ORIENTED_EDGE('',*,*,#229506,.F.); #325429=ORIENTED_EDGE('',*,*,#229383,.T.); #325430=ORIENTED_EDGE('',*,*,#229386,.T.); #325431=ORIENTED_EDGE('',*,*,#229384,.T.); #325432=ORIENTED_EDGE('',*,*,#229380,.T.); #325433=ORIENTED_EDGE('',*,*,#229436,.T.); #325434=ORIENTED_EDGE('',*,*,#229450,.T.); #325435=ORIENTED_EDGE('',*,*,#229451,.T.); #325436=ORIENTED_EDGE('',*,*,#229433,.T.); #325437=ORIENTED_EDGE('',*,*,#229445,.T.); #325438=ORIENTED_EDGE('',*,*,#229443,.T.); #325439=ORIENTED_EDGE('',*,*,#229415,.T.); #325440=ORIENTED_EDGE('',*,*,#229424,.T.); #325441=ORIENTED_EDGE('',*,*,#229507,.T.); #325442=ORIENTED_EDGE('',*,*,#229508,.T.); #325443=ORIENTED_EDGE('',*,*,#229509,.T.); #325444=ORIENTED_EDGE('',*,*,#229510,.T.); #325445=ORIENTED_EDGE('',*,*,#229508,.F.); #325446=ORIENTED_EDGE('',*,*,#229511,.F.); #325447=ORIENTED_EDGE('',*,*,#229512,.F.); #325448=ORIENTED_EDGE('',*,*,#229513,.F.); #325449=ORIENTED_EDGE('',*,*,#229514,.T.); #325450=ORIENTED_EDGE('',*,*,#229515,.T.); #325451=ORIENTED_EDGE('',*,*,#229516,.T.); #325452=ORIENTED_EDGE('',*,*,#229517,.T.); #325453=ORIENTED_EDGE('',*,*,#229515,.F.); #325454=ORIENTED_EDGE('',*,*,#229518,.F.); #325455=ORIENTED_EDGE('',*,*,#229519,.F.); #325456=ORIENTED_EDGE('',*,*,#229520,.F.); #325457=ORIENTED_EDGE('',*,*,#229521,.T.); #325458=ORIENTED_EDGE('',*,*,#229522,.T.); #325459=ORIENTED_EDGE('',*,*,#229523,.T.); #325460=ORIENTED_EDGE('',*,*,#229512,.T.); #325461=ORIENTED_EDGE('',*,*,#229510,.F.); #325462=ORIENTED_EDGE('',*,*,#229524,.F.); #325463=ORIENTED_EDGE('',*,*,#229522,.F.); #325464=ORIENTED_EDGE('',*,*,#229525,.F.); #325465=ORIENTED_EDGE('',*,*,#229526,.T.); #325466=ORIENTED_EDGE('',*,*,#229527,.T.); #325467=ORIENTED_EDGE('',*,*,#229528,.T.); #325468=ORIENTED_EDGE('',*,*,#229519,.T.); #325469=ORIENTED_EDGE('',*,*,#229517,.F.); #325470=ORIENTED_EDGE('',*,*,#229529,.F.); #325471=ORIENTED_EDGE('',*,*,#229527,.F.); #325472=ORIENTED_EDGE('',*,*,#229530,.F.); #325473=ORIENTED_EDGE('',*,*,#229531,.T.); #325474=ORIENTED_EDGE('',*,*,#229532,.T.); #325475=ORIENTED_EDGE('',*,*,#229533,.T.); #325476=ORIENTED_EDGE('',*,*,#229532,.F.); #325477=ORIENTED_EDGE('',*,*,#229534,.T.); #325478=ORIENTED_EDGE('',*,*,#229535,.T.); #325479=ORIENTED_EDGE('',*,*,#229536,.T.); #325480=ORIENTED_EDGE('',*,*,#229537,.T.); #325481=ORIENTED_EDGE('',*,*,#229530,.T.); #325482=ORIENTED_EDGE('',*,*,#229526,.F.); #325483=ORIENTED_EDGE('',*,*,#229518,.T.); #325484=ORIENTED_EDGE('',*,*,#229514,.F.); #325485=ORIENTED_EDGE('',*,*,#229525,.T.); #325486=ORIENTED_EDGE('',*,*,#229521,.F.); #325487=ORIENTED_EDGE('',*,*,#229511,.T.); #325488=ORIENTED_EDGE('',*,*,#229507,.F.); #325489=ORIENTED_EDGE('',*,*,#229538,.F.); #325490=ORIENTED_EDGE('',*,*,#229539,.F.); #325491=ORIENTED_EDGE('',*,*,#229540,.F.); #325492=ORIENTED_EDGE('',*,*,#229541,.F.); #325493=ORIENTED_EDGE('',*,*,#229542,.F.); #325494=ORIENTED_EDGE('',*,*,#229531,.F.); #325495=ORIENTED_EDGE('',*,*,#229533,.F.); #325496=ORIENTED_EDGE('',*,*,#229543,.T.); #325497=ORIENTED_EDGE('',*,*,#229544,.T.); #325498=ORIENTED_EDGE('',*,*,#229543,.F.); #325499=ORIENTED_EDGE('',*,*,#229538,.T.); #325500=ORIENTED_EDGE('',*,*,#229545,.T.); #325501=ORIENTED_EDGE('',*,*,#229546,.T.); #325502=ORIENTED_EDGE('',*,*,#229545,.F.); #325503=ORIENTED_EDGE('',*,*,#229546,.F.); #325504=ORIENTED_EDGE('',*,*,#229547,.T.); #325505=ORIENTED_EDGE('',*,*,#229548,.T.); #325506=ORIENTED_EDGE('',*,*,#229547,.F.); #325507=ORIENTED_EDGE('',*,*,#229549,.T.); #325508=ORIENTED_EDGE('',*,*,#229550,.T.); #325509=ORIENTED_EDGE('',*,*,#229551,.T.); #325510=ORIENTED_EDGE('',*,*,#229550,.F.); #325511=ORIENTED_EDGE('',*,*,#229552,.T.); #325512=ORIENTED_EDGE('',*,*,#229553,.T.); #325513=ORIENTED_EDGE('',*,*,#229529,.T.); #325514=ORIENTED_EDGE('',*,*,#229516,.F.); #325515=ORIENTED_EDGE('',*,*,#229520,.T.); #325516=ORIENTED_EDGE('',*,*,#229528,.F.); #325517=ORIENTED_EDGE('',*,*,#229524,.T.); #325518=ORIENTED_EDGE('',*,*,#229509,.F.); #325519=ORIENTED_EDGE('',*,*,#229513,.T.); #325520=ORIENTED_EDGE('',*,*,#229523,.F.); #325521=ORIENTED_EDGE('',*,*,#229554,.F.); #325522=ORIENTED_EDGE('',*,*,#229555,.F.); #325523=ORIENTED_EDGE('',*,*,#229556,.F.); #325524=ORIENTED_EDGE('',*,*,#229557,.F.); #325525=ORIENTED_EDGE('',*,*,#229558,.F.); #325526=ORIENTED_EDGE('',*,*,#229549,.F.); #325527=ORIENTED_EDGE('',*,*,#229551,.F.); #325528=ORIENTED_EDGE('',*,*,#229559,.T.); #325529=ORIENTED_EDGE('',*,*,#229560,.T.); #325530=ORIENTED_EDGE('',*,*,#229559,.F.); #325531=ORIENTED_EDGE('',*,*,#229554,.T.); #325532=ORIENTED_EDGE('',*,*,#229561,.T.); #325533=ORIENTED_EDGE('',*,*,#229562,.T.); #325534=ORIENTED_EDGE('',*,*,#229561,.F.); #325535=ORIENTED_EDGE('',*,*,#229562,.F.); #325536=ORIENTED_EDGE('',*,*,#229563,.T.); #325537=ORIENTED_EDGE('',*,*,#229564,.T.); #325538=ORIENTED_EDGE('',*,*,#229563,.F.); #325539=ORIENTED_EDGE('',*,*,#229565,.T.); #325540=ORIENTED_EDGE('',*,*,#229566,.T.); #325541=ORIENTED_EDGE('',*,*,#229557,.T.); #325542=ORIENTED_EDGE('',*,*,#229567,.T.); #325543=ORIENTED_EDGE('',*,*,#229558,.T.); #325544=ORIENTED_EDGE('',*,*,#229566,.F.); #325545=ORIENTED_EDGE('',*,*,#229568,.T.); #325546=ORIENTED_EDGE('',*,*,#229567,.F.); #325547=ORIENTED_EDGE('',*,*,#229565,.F.); #325548=ORIENTED_EDGE('',*,*,#229569,.T.); #325549=ORIENTED_EDGE('',*,*,#229570,.F.); #325550=ORIENTED_EDGE('',*,*,#229571,.F.); #325551=ORIENTED_EDGE('',*,*,#229541,.T.); #325552=ORIENTED_EDGE('',*,*,#229572,.T.); #325553=ORIENTED_EDGE('',*,*,#229573,.T.); #325554=ORIENTED_EDGE('',*,*,#229574,.F.); #325555=ORIENTED_EDGE('',*,*,#229570,.T.); #325556=ORIENTED_EDGE('',*,*,#229572,.F.); #325557=ORIENTED_EDGE('',*,*,#229542,.T.); #325558=ORIENTED_EDGE('',*,*,#229574,.T.); #325559=ORIENTED_EDGE('',*,*,#229568,.F.); #325560=ORIENTED_EDGE('',*,*,#229571,.T.); #325561=ORIENTED_EDGE('',*,*,#229573,.F.); #325562=ORIENTED_EDGE('',*,*,#229569,.F.); #325563=ORIENTED_EDGE('',*,*,#229539,.T.); #325564=ORIENTED_EDGE('',*,*,#229575,.T.); #325565=ORIENTED_EDGE('',*,*,#229576,.T.); #325566=ORIENTED_EDGE('',*,*,#229577,.F.); #325567=ORIENTED_EDGE('',*,*,#229578,.T.); #325568=ORIENTED_EDGE('',*,*,#229575,.F.); #325569=ORIENTED_EDGE('',*,*,#229540,.T.); #325570=ORIENTED_EDGE('',*,*,#229577,.T.); #325571=ORIENTED_EDGE('',*,*,#229576,.F.); #325572=ORIENTED_EDGE('',*,*,#229579,.F.); #325573=ORIENTED_EDGE('',*,*,#229580,.F.); #325574=ORIENTED_EDGE('',*,*,#229581,.T.); #325575=ORIENTED_EDGE('',*,*,#229582,.T.); #325576=ORIENTED_EDGE('',*,*,#229583,.T.); #325577=ORIENTED_EDGE('',*,*,#229555,.T.); #325578=ORIENTED_EDGE('',*,*,#229584,.T.); #325579=ORIENTED_EDGE('',*,*,#229556,.T.); #325580=ORIENTED_EDGE('',*,*,#229583,.F.); #325581=ORIENTED_EDGE('',*,*,#229580,.T.); #325582=ORIENTED_EDGE('',*,*,#229584,.F.); #325583=ORIENTED_EDGE('',*,*,#229578,.F.); #325584=ORIENTED_EDGE('',*,*,#229581,.F.); #325585=ORIENTED_EDGE('',*,*,#229582,.F.); #325586=ORIENTED_EDGE('',*,*,#229579,.T.); #325587=ORIENTED_EDGE('',*,*,#229548,.F.); #325588=ORIENTED_EDGE('',*,*,#229564,.F.); #325589=ORIENTED_EDGE('',*,*,#229544,.F.); #325590=ORIENTED_EDGE('',*,*,#229560,.F.); #325591=ORIENTED_EDGE('',*,*,#229585,.T.); #325592=ORIENTED_EDGE('',*,*,#229586,.T.); #325593=ORIENTED_EDGE('',*,*,#229587,.T.); #325594=ORIENTED_EDGE('',*,*,#229588,.T.); #325595=ORIENTED_EDGE('',*,*,#229589,.T.); #325596=ORIENTED_EDGE('',*,*,#229590,.T.); #325597=ORIENTED_EDGE('',*,*,#229591,.T.); #325598=ORIENTED_EDGE('',*,*,#229592,.T.); #325599=ORIENTED_EDGE('',*,*,#229552,.F.); #325600=ORIENTED_EDGE('',*,*,#229593,.T.); #325601=ORIENTED_EDGE('',*,*,#229588,.F.); #325602=ORIENTED_EDGE('',*,*,#229594,.F.); #325603=ORIENTED_EDGE('',*,*,#229595,.F.); #325604=ORIENTED_EDGE('',*,*,#229594,.T.); #325605=ORIENTED_EDGE('',*,*,#229587,.F.); #325606=ORIENTED_EDGE('',*,*,#229596,.F.); #325607=ORIENTED_EDGE('',*,*,#229597,.F.); #325608=ORIENTED_EDGE('',*,*,#229596,.T.); #325609=ORIENTED_EDGE('',*,*,#229586,.F.); #325610=ORIENTED_EDGE('',*,*,#229598,.F.); #325611=ORIENTED_EDGE('',*,*,#229599,.F.); #325612=ORIENTED_EDGE('',*,*,#229598,.T.); #325613=ORIENTED_EDGE('',*,*,#229585,.F.); #325614=ORIENTED_EDGE('',*,*,#229593,.F.); #325615=ORIENTED_EDGE('',*,*,#229600,.F.); #325616=ORIENTED_EDGE('',*,*,#229601,.T.); #325617=ORIENTED_EDGE('',*,*,#229592,.F.); #325618=ORIENTED_EDGE('',*,*,#229602,.F.); #325619=ORIENTED_EDGE('',*,*,#229553,.F.); #325620=ORIENTED_EDGE('',*,*,#229602,.T.); #325621=ORIENTED_EDGE('',*,*,#229591,.F.); #325622=ORIENTED_EDGE('',*,*,#229603,.F.); #325623=ORIENTED_EDGE('',*,*,#229604,.F.); #325624=ORIENTED_EDGE('',*,*,#229603,.T.); #325625=ORIENTED_EDGE('',*,*,#229590,.F.); #325626=ORIENTED_EDGE('',*,*,#229605,.F.); #325627=ORIENTED_EDGE('',*,*,#229606,.F.); #325628=ORIENTED_EDGE('',*,*,#229605,.T.); #325629=ORIENTED_EDGE('',*,*,#229589,.F.); #325630=ORIENTED_EDGE('',*,*,#229601,.F.); #325631=ORIENTED_EDGE('',*,*,#229607,.T.); #325632=ORIENTED_EDGE('',*,*,#229608,.T.); #325633=ORIENTED_EDGE('',*,*,#229609,.T.); #325634=ORIENTED_EDGE('',*,*,#229610,.T.); #325635=ORIENTED_EDGE('',*,*,#229595,.T.); #325636=ORIENTED_EDGE('',*,*,#229597,.T.); #325637=ORIENTED_EDGE('',*,*,#229599,.T.); #325638=ORIENTED_EDGE('',*,*,#229604,.T.); #325639=ORIENTED_EDGE('',*,*,#229606,.T.); #325640=ORIENTED_EDGE('',*,*,#229600,.T.); #325641=ORIENTED_EDGE('',*,*,#229608,.F.); #325642=ORIENTED_EDGE('',*,*,#229611,.T.); #325643=ORIENTED_EDGE('',*,*,#229537,.F.); #325644=ORIENTED_EDGE('',*,*,#229612,.F.); #325645=ORIENTED_EDGE('',*,*,#229609,.F.); #325646=ORIENTED_EDGE('',*,*,#229612,.T.); #325647=ORIENTED_EDGE('',*,*,#229536,.F.); #325648=ORIENTED_EDGE('',*,*,#229613,.F.); #325649=ORIENTED_EDGE('',*,*,#229610,.F.); #325650=ORIENTED_EDGE('',*,*,#229613,.T.); #325651=ORIENTED_EDGE('',*,*,#229535,.F.); #325652=ORIENTED_EDGE('',*,*,#229614,.F.); #325653=ORIENTED_EDGE('',*,*,#229607,.F.); #325654=ORIENTED_EDGE('',*,*,#229614,.T.); #325655=ORIENTED_EDGE('',*,*,#229534,.F.); #325656=ORIENTED_EDGE('',*,*,#229611,.F.); #325657=ORIENTED_EDGE('',*,*,#229615,.F.); #325658=ORIENTED_EDGE('',*,*,#229616,.F.); #325659=ORIENTED_EDGE('',*,*,#229617,.F.); #325660=ORIENTED_EDGE('',*,*,#229618,.F.); #325661=ORIENTED_EDGE('',*,*,#229619,.T.); #325662=ORIENTED_EDGE('',*,*,#229616,.T.); #325663=ORIENTED_EDGE('',*,*,#229620,.T.); #325664=ORIENTED_EDGE('',*,*,#229621,.F.); #325665=ORIENTED_EDGE('',*,*,#229620,.F.); #325666=ORIENTED_EDGE('',*,*,#229622,.F.); #325667=ORIENTED_EDGE('',*,*,#229623,.F.); #325668=ORIENTED_EDGE('',*,*,#229624,.F.); #325669=ORIENTED_EDGE('',*,*,#229625,.F.); #325670=ORIENTED_EDGE('',*,*,#229626,.F.); #325671=ORIENTED_EDGE('',*,*,#229627,.F.); #325672=ORIENTED_EDGE('',*,*,#229628,.T.); #325673=ORIENTED_EDGE('',*,*,#229625,.T.); #325674=ORIENTED_EDGE('',*,*,#229629,.T.); #325675=ORIENTED_EDGE('',*,*,#229630,.F.); #325676=ORIENTED_EDGE('',*,*,#229629,.F.); #325677=ORIENTED_EDGE('',*,*,#229631,.F.); #325678=ORIENTED_EDGE('',*,*,#229632,.F.); #325679=ORIENTED_EDGE('',*,*,#229633,.F.); #325680=ORIENTED_EDGE('',*,*,#229634,.F.); #325681=ORIENTED_EDGE('',*,*,#229635,.F.); #325682=ORIENTED_EDGE('',*,*,#229636,.F.); #325683=ORIENTED_EDGE('',*,*,#229637,.F.); #325684=ORIENTED_EDGE('',*,*,#229638,.F.); #325685=ORIENTED_EDGE('',*,*,#229639,.F.); #325686=ORIENTED_EDGE('',*,*,#229640,.T.); #325687=ORIENTED_EDGE('',*,*,#229638,.T.); #325688=ORIENTED_EDGE('',*,*,#229641,.T.); #325689=ORIENTED_EDGE('',*,*,#229642,.F.); #325690=ORIENTED_EDGE('',*,*,#229641,.F.); #325691=ORIENTED_EDGE('',*,*,#229643,.F.); #325692=ORIENTED_EDGE('',*,*,#229644,.F.); #325693=ORIENTED_EDGE('',*,*,#229645,.F.); #325694=ORIENTED_EDGE('',*,*,#229646,.T.); #325695=ORIENTED_EDGE('',*,*,#229647,.T.); #325696=ORIENTED_EDGE('',*,*,#229633,.T.); #325697=ORIENTED_EDGE('',*,*,#229648,.F.); #325698=ORIENTED_EDGE('',*,*,#229649,.F.); #325699=ORIENTED_EDGE('',*,*,#229650,.F.); #325700=ORIENTED_EDGE('',*,*,#229647,.F.); #325701=ORIENTED_EDGE('',*,*,#229618,.T.); #325702=ORIENTED_EDGE('',*,*,#229651,.F.); #325703=ORIENTED_EDGE('',*,*,#229652,.F.); #325704=ORIENTED_EDGE('',*,*,#229653,.F.); #325705=ORIENTED_EDGE('',*,*,#229645,.T.); #325706=ORIENTED_EDGE('',*,*,#229654,.F.); #325707=ORIENTED_EDGE('',*,*,#229655,.F.); #325708=ORIENTED_EDGE('',*,*,#229656,.F.); #325709=ORIENTED_EDGE('',*,*,#229657,.F.); #325710=ORIENTED_EDGE('',*,*,#229658,.T.); #325711=ORIENTED_EDGE('',*,*,#229651,.T.); #325712=ORIENTED_EDGE('',*,*,#229621,.T.); #325713=ORIENTED_EDGE('',*,*,#229623,.T.); #325714=ORIENTED_EDGE('',*,*,#229626,.T.); #325715=ORIENTED_EDGE('',*,*,#229628,.F.); #325716=ORIENTED_EDGE('',*,*,#229659,.T.); #325717=ORIENTED_EDGE('',*,*,#229660,.F.); #325718=ORIENTED_EDGE('',*,*,#229661,.F.); #325719=ORIENTED_EDGE('',*,*,#229662,.T.); #325720=ORIENTED_EDGE('',*,*,#229652,.T.); #325721=ORIENTED_EDGE('',*,*,#229658,.F.); #325722=ORIENTED_EDGE('',*,*,#229663,.F.); #325723=ORIENTED_EDGE('',*,*,#229664,.T.); #325724=ORIENTED_EDGE('',*,*,#229665,.T.); #325725=ORIENTED_EDGE('',*,*,#229630,.T.); #325726=ORIENTED_EDGE('',*,*,#229632,.T.); #325727=ORIENTED_EDGE('',*,*,#229617,.T.); #325728=ORIENTED_EDGE('',*,*,#229619,.F.); #325729=ORIENTED_EDGE('',*,*,#229653,.T.); #325730=ORIENTED_EDGE('',*,*,#229662,.F.); #325731=ORIENTED_EDGE('',*,*,#229666,.F.); #325732=ORIENTED_EDGE('',*,*,#229660,.T.); #325733=ORIENTED_EDGE('',*,*,#229667,.T.); #325734=ORIENTED_EDGE('',*,*,#229664,.F.); #325735=ORIENTED_EDGE('',*,*,#229627,.T.); #325736=ORIENTED_EDGE('',*,*,#229665,.F.); #325737=ORIENTED_EDGE('',*,*,#229667,.F.); #325738=ORIENTED_EDGE('',*,*,#229659,.F.); #325739=ORIENTED_EDGE('',*,*,#229668,.F.); #325740=ORIENTED_EDGE('',*,*,#229669,.T.); #325741=ORIENTED_EDGE('',*,*,#229670,.T.); #325742=ORIENTED_EDGE('',*,*,#229671,.F.); #325743=ORIENTED_EDGE('',*,*,#229639,.T.); #325744=ORIENTED_EDGE('',*,*,#229672,.F.); #325745=ORIENTED_EDGE('',*,*,#229670,.F.); #325746=ORIENTED_EDGE('',*,*,#229673,.F.); #325747=ORIENTED_EDGE('',*,*,#229674,.F.); #325748=ORIENTED_EDGE('',*,*,#229675,.T.); #325749=ORIENTED_EDGE('',*,*,#229654,.T.); #325750=ORIENTED_EDGE('',*,*,#229648,.T.); #325751=ORIENTED_EDGE('',*,*,#229635,.T.); #325752=ORIENTED_EDGE('',*,*,#229636,.T.); #325753=ORIENTED_EDGE('',*,*,#229640,.F.); #325754=ORIENTED_EDGE('',*,*,#229673,.T.); #325755=ORIENTED_EDGE('',*,*,#229669,.F.); #325756=ORIENTED_EDGE('',*,*,#229676,.F.); #325757=ORIENTED_EDGE('',*,*,#229677,.T.); #325758=ORIENTED_EDGE('',*,*,#229655,.T.); #325759=ORIENTED_EDGE('',*,*,#229675,.F.); #325760=ORIENTED_EDGE('',*,*,#229678,.F.); #325761=ORIENTED_EDGE('',*,*,#229671,.T.); #325762=ORIENTED_EDGE('',*,*,#229672,.T.); #325763=ORIENTED_EDGE('',*,*,#229642,.T.); #325764=ORIENTED_EDGE('',*,*,#229644,.T.); #325765=ORIENTED_EDGE('',*,*,#229649,.T.); #325766=ORIENTED_EDGE('',*,*,#229646,.F.); #325767=ORIENTED_EDGE('',*,*,#229656,.T.); #325768=ORIENTED_EDGE('',*,*,#229677,.F.); #325769=ORIENTED_EDGE('',*,*,#229663,.T.); #325770=ORIENTED_EDGE('',*,*,#229679,.F.); #325771=ORIENTED_EDGE('',*,*,#229680,.T.); #325772=ORIENTED_EDGE('',*,*,#229681,.F.); #325773=ORIENTED_EDGE('',*,*,#229678,.T.); #325774=ORIENTED_EDGE('',*,*,#229682,.F.); #325775=ORIENTED_EDGE('',*,*,#229683,.T.); #325776=ORIENTED_EDGE('',*,*,#229684,.F.); #325777=ORIENTED_EDGE('',*,*,#229674,.T.); #325778=ORIENTED_EDGE('',*,*,#229685,.F.); #325779=ORIENTED_EDGE('',*,*,#229686,.T.); #325780=ORIENTED_EDGE('',*,*,#229687,.F.); #325781=ORIENTED_EDGE('',*,*,#229688,.T.); #325782=ORIENTED_EDGE('',*,*,#229679,.T.); #325783=ORIENTED_EDGE('',*,*,#229661,.T.); #325784=ORIENTED_EDGE('',*,*,#229689,.T.); #325785=ORIENTED_EDGE('',*,*,#229690,.T.); #325786=ORIENTED_EDGE('',*,*,#229691,.T.); #325787=ORIENTED_EDGE('',*,*,#229657,.T.); #325788=ORIENTED_EDGE('',*,*,#229692,.F.); #325789=ORIENTED_EDGE('',*,*,#229693,.T.); #325790=ORIENTED_EDGE('',*,*,#229689,.F.); #325791=ORIENTED_EDGE('',*,*,#229694,.T.); #325792=ORIENTED_EDGE('',*,*,#229692,.T.); #325793=ORIENTED_EDGE('',*,*,#229666,.T.); #325794=ORIENTED_EDGE('',*,*,#229681,.T.); #325795=ORIENTED_EDGE('',*,*,#229695,.T.); #325796=ORIENTED_EDGE('',*,*,#229696,.T.); #325797=ORIENTED_EDGE('',*,*,#229697,.T.); #325798=ORIENTED_EDGE('',*,*,#229682,.T.); #325799=ORIENTED_EDGE('',*,*,#229676,.T.); #325800=ORIENTED_EDGE('',*,*,#229687,.T.); #325801=ORIENTED_EDGE('',*,*,#229698,.T.); #325802=ORIENTED_EDGE('',*,*,#229699,.T.); #325803=ORIENTED_EDGE('',*,*,#229700,.T.); #325804=ORIENTED_EDGE('',*,*,#229685,.T.); #325805=ORIENTED_EDGE('',*,*,#229668,.T.); #325806=ORIENTED_EDGE('',*,*,#229684,.T.); #325807=ORIENTED_EDGE('',*,*,#229701,.T.); #325808=ORIENTED_EDGE('',*,*,#229702,.T.); #325809=ORIENTED_EDGE('',*,*,#229703,.T.); #325810=ORIENTED_EDGE('',*,*,#229704,.T.); #325811=ORIENTED_EDGE('',*,*,#229705,.T.); #325812=ORIENTED_EDGE('',*,*,#229706,.T.); #325813=ORIENTED_EDGE('',*,*,#229696,.F.); #325814=ORIENTED_EDGE('',*,*,#229707,.F.); #325815=ORIENTED_EDGE('',*,*,#229704,.F.); #325816=ORIENTED_EDGE('',*,*,#229708,.T.); #325817=ORIENTED_EDGE('',*,*,#229709,.T.); #325818=ORIENTED_EDGE('',*,*,#229710,.T.); #325819=ORIENTED_EDGE('',*,*,#229711,.T.); #325820=ORIENTED_EDGE('',*,*,#229712,.T.); #325821=ORIENTED_EDGE('',*,*,#229706,.F.); #325822=ORIENTED_EDGE('',*,*,#229713,.T.); #325823=ORIENTED_EDGE('',*,*,#229710,.F.); #325824=ORIENTED_EDGE('',*,*,#229714,.T.); #325825=ORIENTED_EDGE('',*,*,#229715,.T.); #325826=ORIENTED_EDGE('',*,*,#229716,.T.); #325827=ORIENTED_EDGE('',*,*,#229717,.T.); #325828=ORIENTED_EDGE('',*,*,#229718,.T.); #325829=ORIENTED_EDGE('',*,*,#229719,.T.); #325830=ORIENTED_EDGE('',*,*,#229720,.T.); #325831=ORIENTED_EDGE('',*,*,#229699,.F.); #325832=ORIENTED_EDGE('',*,*,#229721,.F.); #325833=ORIENTED_EDGE('',*,*,#229718,.F.); #325834=ORIENTED_EDGE('',*,*,#229722,.T.); #325835=ORIENTED_EDGE('',*,*,#229723,.T.); #325836=ORIENTED_EDGE('',*,*,#229724,.T.); #325837=ORIENTED_EDGE('',*,*,#229725,.T.); #325838=ORIENTED_EDGE('',*,*,#229726,.T.); #325839=ORIENTED_EDGE('',*,*,#229720,.F.); #325840=ORIENTED_EDGE('',*,*,#229727,.T.); #325841=ORIENTED_EDGE('',*,*,#229724,.F.); #325842=ORIENTED_EDGE('',*,*,#229728,.T.); #325843=ORIENTED_EDGE('',*,*,#229729,.T.); #325844=ORIENTED_EDGE('',*,*,#229730,.T.); #325845=ORIENTED_EDGE('',*,*,#229731,.T.); #325846=ORIENTED_EDGE('',*,*,#229732,.F.); #325847=ORIENTED_EDGE('',*,*,#229733,.T.); #325848=ORIENTED_EDGE('',*,*,#229643,.T.); #325849=ORIENTED_EDGE('',*,*,#229637,.T.); #325850=ORIENTED_EDGE('',*,*,#229634,.T.); #325851=ORIENTED_EDGE('',*,*,#229650,.T.); #325852=ORIENTED_EDGE('',*,*,#229733,.F.); #325853=ORIENTED_EDGE('',*,*,#229734,.F.); #325854=ORIENTED_EDGE('',*,*,#229735,.T.); #325855=ORIENTED_EDGE('',*,*,#229631,.T.); #325856=ORIENTED_EDGE('',*,*,#229624,.T.); #325857=ORIENTED_EDGE('',*,*,#229622,.T.); #325858=ORIENTED_EDGE('',*,*,#229615,.T.); #325859=ORIENTED_EDGE('',*,*,#229735,.F.); #325860=ORIENTED_EDGE('',*,*,#229702,.F.); #325861=ORIENTED_EDGE('',*,*,#229736,.F.); #325862=ORIENTED_EDGE('',*,*,#229726,.F.); #325863=ORIENTED_EDGE('',*,*,#229737,.T.); #325864=ORIENTED_EDGE('',*,*,#229738,.F.); #325865=ORIENTED_EDGE('',*,*,#229728,.F.); #325866=ORIENTED_EDGE('',*,*,#229723,.F.); #325867=ORIENTED_EDGE('',*,*,#229736,.T.); #325868=ORIENTED_EDGE('',*,*,#229701,.F.); #325869=ORIENTED_EDGE('',*,*,#229683,.F.); #325870=ORIENTED_EDGE('',*,*,#229697,.F.); #325871=ORIENTED_EDGE('',*,*,#229722,.F.); #325872=ORIENTED_EDGE('',*,*,#229717,.F.); #325873=ORIENTED_EDGE('',*,*,#229731,.F.); #325874=ORIENTED_EDGE('',*,*,#229739,.F.); #325875=ORIENTED_EDGE('',*,*,#229740,.F.); #325876=ORIENTED_EDGE('',*,*,#229741,.F.); #325877=ORIENTED_EDGE('',*,*,#229742,.F.); #325878=ORIENTED_EDGE('',*,*,#229743,.F.); #325879=ORIENTED_EDGE('',*,*,#229744,.F.); #325880=ORIENTED_EDGE('',*,*,#229745,.F.); #325881=ORIENTED_EDGE('',*,*,#229746,.T.); #325882=ORIENTED_EDGE('',*,*,#229747,.F.); #325883=ORIENTED_EDGE('',*,*,#229748,.F.); #325884=ORIENTED_EDGE('',*,*,#229721,.T.); #325885=ORIENTED_EDGE('',*,*,#229698,.F.); #325886=ORIENTED_EDGE('',*,*,#229686,.F.); #325887=ORIENTED_EDGE('',*,*,#229700,.F.); #325888=ORIENTED_EDGE('',*,*,#229737,.F.); #325889=ORIENTED_EDGE('',*,*,#229725,.F.); #325890=ORIENTED_EDGE('',*,*,#229727,.F.); #325891=ORIENTED_EDGE('',*,*,#229719,.F.); #325892=ORIENTED_EDGE('',*,*,#229691,.F.); #325893=ORIENTED_EDGE('',*,*,#229749,.F.); #325894=ORIENTED_EDGE('',*,*,#229712,.F.); #325895=ORIENTED_EDGE('',*,*,#229750,.T.); #325896=ORIENTED_EDGE('',*,*,#229751,.F.); #325897=ORIENTED_EDGE('',*,*,#229752,.F.); #325898=ORIENTED_EDGE('',*,*,#229753,.F.); #325899=ORIENTED_EDGE('',*,*,#229754,.F.); #325900=ORIENTED_EDGE('',*,*,#229755,.F.); #325901=ORIENTED_EDGE('',*,*,#229756,.T.); #325902=ORIENTED_EDGE('',*,*,#229757,.F.); #325903=ORIENTED_EDGE('',*,*,#229758,.F.); #325904=ORIENTED_EDGE('',*,*,#229707,.T.); #325905=ORIENTED_EDGE('',*,*,#229695,.F.); #325906=ORIENTED_EDGE('',*,*,#229680,.F.); #325907=ORIENTED_EDGE('',*,*,#229688,.F.); #325908=ORIENTED_EDGE('',*,*,#229750,.F.); #325909=ORIENTED_EDGE('',*,*,#229711,.F.); #325910=ORIENTED_EDGE('',*,*,#229713,.F.); #325911=ORIENTED_EDGE('',*,*,#229705,.F.); #325912=ORIENTED_EDGE('',*,*,#229759,.F.); #325913=ORIENTED_EDGE('',*,*,#229740,.T.); #325914=ORIENTED_EDGE('',*,*,#229760,.F.); #325915=ORIENTED_EDGE('',*,*,#229741,.T.); #325916=ORIENTED_EDGE('',*,*,#229732,.T.); #325917=ORIENTED_EDGE('',*,*,#229761,.T.); #325918=ORIENTED_EDGE('',*,*,#229745,.T.); #325919=ORIENTED_EDGE('',*,*,#229762,.T.); #325920=ORIENTED_EDGE('',*,*,#229755,.T.); #325921=ORIENTED_EDGE('',*,*,#229763,.T.); #325922=ORIENTED_EDGE('',*,*,#229764,.F.); #325923=ORIENTED_EDGE('',*,*,#229714,.F.); #325924=ORIENTED_EDGE('',*,*,#229709,.F.); #325925=ORIENTED_EDGE('',*,*,#229749,.T.); #325926=ORIENTED_EDGE('',*,*,#229690,.F.); #325927=ORIENTED_EDGE('',*,*,#229693,.F.); #325928=ORIENTED_EDGE('',*,*,#229694,.F.); #325929=ORIENTED_EDGE('',*,*,#229708,.F.); #325930=ORIENTED_EDGE('',*,*,#229703,.F.); #325931=ORIENTED_EDGE('',*,*,#229716,.F.); #325932=ORIENTED_EDGE('',*,*,#229765,.F.); #325933=ORIENTED_EDGE('',*,*,#229766,.F.); #325934=ORIENTED_EDGE('',*,*,#229767,.F.); #325935=ORIENTED_EDGE('',*,*,#229766,.T.); #325936=ORIENTED_EDGE('',*,*,#229768,.F.); #325937=ORIENTED_EDGE('',*,*,#229751,.T.); #325938=ORIENTED_EDGE('',*,*,#229734,.T.); #325939=ORIENTED_EDGE('',*,*,#229748,.T.); #325940=ORIENTED_EDGE('',*,*,#229769,.F.); #325941=ORIENTED_EDGE('',*,*,#229752,.T.); #325942=ORIENTED_EDGE('',*,*,#229768,.T.); #325943=ORIENTED_EDGE('',*,*,#229765,.T.); #325944=ORIENTED_EDGE('',*,*,#229715,.F.); #325945=ORIENTED_EDGE('',*,*,#229764,.T.); #325946=ORIENTED_EDGE('',*,*,#229767,.T.); #325947=ORIENTED_EDGE('',*,*,#229758,.T.); #325948=ORIENTED_EDGE('',*,*,#229770,.F.); #325949=ORIENTED_EDGE('',*,*,#229742,.T.); #325950=ORIENTED_EDGE('',*,*,#229760,.T.); #325951=ORIENTED_EDGE('',*,*,#229739,.T.); #325952=ORIENTED_EDGE('',*,*,#229730,.F.); #325953=ORIENTED_EDGE('',*,*,#229771,.T.); #325954=ORIENTED_EDGE('',*,*,#229772,.T.); #325955=ORIENTED_EDGE('',*,*,#229773,.T.); #325956=ORIENTED_EDGE('',*,*,#229774,.T.); #325957=ORIENTED_EDGE('',*,*,#229771,.F.); #325958=ORIENTED_EDGE('',*,*,#229729,.F.); #325959=ORIENTED_EDGE('',*,*,#229738,.T.); #325960=ORIENTED_EDGE('',*,*,#229759,.T.); #325961=ORIENTED_EDGE('',*,*,#229757,.T.); #325962=ORIENTED_EDGE('',*,*,#229775,.T.); #325963=ORIENTED_EDGE('',*,*,#229743,.T.); #325964=ORIENTED_EDGE('',*,*,#229770,.T.); #325965=ORIENTED_EDGE('',*,*,#229747,.T.); #325966=ORIENTED_EDGE('',*,*,#229776,.T.); #325967=ORIENTED_EDGE('',*,*,#229753,.T.); #325968=ORIENTED_EDGE('',*,*,#229769,.T.); #325969=ORIENTED_EDGE('',*,*,#229761,.F.); #325970=ORIENTED_EDGE('',*,*,#229777,.T.); #325971=ORIENTED_EDGE('',*,*,#229778,.T.); #325972=ORIENTED_EDGE('',*,*,#229777,.F.); #325973=ORIENTED_EDGE('',*,*,#229776,.F.); #325974=ORIENTED_EDGE('',*,*,#229746,.F.); #325975=ORIENTED_EDGE('',*,*,#229763,.F.); #325976=ORIENTED_EDGE('',*,*,#229754,.T.); #325977=ORIENTED_EDGE('',*,*,#229775,.F.); #325978=ORIENTED_EDGE('',*,*,#229756,.F.); #325979=ORIENTED_EDGE('',*,*,#229762,.F.); #325980=ORIENTED_EDGE('',*,*,#229744,.T.); #325981=ORIENTED_EDGE('',*,*,#229779,.T.); #325982=ORIENTED_EDGE('',*,*,#229780,.F.); #325983=ORIENTED_EDGE('',*,*,#229781,.T.); #325984=ORIENTED_EDGE('',*,*,#229782,.F.); #325985=ORIENTED_EDGE('',*,*,#229783,.T.); #325986=ORIENTED_EDGE('',*,*,#229782,.T.); #325987=ORIENTED_EDGE('',*,*,#229784,.T.); #325988=ORIENTED_EDGE('',*,*,#229785,.T.); #325989=ORIENTED_EDGE('',*,*,#229786,.T.); #325990=ORIENTED_EDGE('',*,*,#229780,.T.); #325991=ORIENTED_EDGE('',*,*,#229787,.T.); #325992=ORIENTED_EDGE('',*,*,#229788,.T.); #325993=ORIENTED_EDGE('',*,*,#229789,.T.); #325994=ORIENTED_EDGE('',*,*,#229790,.T.); #325995=ORIENTED_EDGE('',*,*,#229791,.T.); #325996=ORIENTED_EDGE('',*,*,#229785,.F.); #325997=ORIENTED_EDGE('',*,*,#229792,.T.); #325998=ORIENTED_EDGE('',*,*,#229788,.F.); #325999=ORIENTED_EDGE('',*,*,#229786,.F.); #326000=ORIENTED_EDGE('',*,*,#229791,.F.); #326001=ORIENTED_EDGE('',*,*,#229773,.F.); #326002=ORIENTED_EDGE('',*,*,#229783,.F.); #326003=ORIENTED_EDGE('',*,*,#229787,.F.); #326004=ORIENTED_EDGE('',*,*,#229779,.F.); #326005=ORIENTED_EDGE('',*,*,#229772,.F.); #326006=ORIENTED_EDGE('',*,*,#229774,.F.); #326007=ORIENTED_EDGE('',*,*,#229790,.F.); #326008=ORIENTED_EDGE('',*,*,#229778,.F.); #326009=ORIENTED_EDGE('',*,*,#229789,.F.); #326010=ORIENTED_EDGE('',*,*,#229792,.F.); #326011=ORIENTED_EDGE('',*,*,#229784,.F.); #326012=ORIENTED_EDGE('',*,*,#229781,.F.); #326013=ORIENTED_EDGE('',*,*,#229793,.T.); #326014=ORIENTED_EDGE('',*,*,#229794,.T.); #326015=ORIENTED_EDGE('',*,*,#229795,.T.); #326016=ORIENTED_EDGE('',*,*,#229796,.T.); #326017=ORIENTED_EDGE('',*,*,#229794,.F.); #326018=ORIENTED_EDGE('',*,*,#229797,.F.); #326019=ORIENTED_EDGE('',*,*,#229798,.F.); #326020=ORIENTED_EDGE('',*,*,#229799,.F.); #326021=ORIENTED_EDGE('',*,*,#229800,.T.); #326022=ORIENTED_EDGE('',*,*,#229801,.T.); #326023=ORIENTED_EDGE('',*,*,#229802,.T.); #326024=ORIENTED_EDGE('',*,*,#229803,.T.); #326025=ORIENTED_EDGE('',*,*,#229801,.F.); #326026=ORIENTED_EDGE('',*,*,#229804,.F.); #326027=ORIENTED_EDGE('',*,*,#229805,.F.); #326028=ORIENTED_EDGE('',*,*,#229806,.F.); #326029=ORIENTED_EDGE('',*,*,#229807,.T.); #326030=ORIENTED_EDGE('',*,*,#229808,.T.); #326031=ORIENTED_EDGE('',*,*,#229809,.T.); #326032=ORIENTED_EDGE('',*,*,#229805,.T.); #326033=ORIENTED_EDGE('',*,*,#229803,.F.); #326034=ORIENTED_EDGE('',*,*,#229810,.F.); #326035=ORIENTED_EDGE('',*,*,#229808,.F.); #326036=ORIENTED_EDGE('',*,*,#229811,.F.); #326037=ORIENTED_EDGE('',*,*,#229812,.T.); #326038=ORIENTED_EDGE('',*,*,#229813,.T.); #326039=ORIENTED_EDGE('',*,*,#229814,.T.); #326040=ORIENTED_EDGE('',*,*,#229798,.T.); #326041=ORIENTED_EDGE('',*,*,#229796,.F.); #326042=ORIENTED_EDGE('',*,*,#229815,.F.); #326043=ORIENTED_EDGE('',*,*,#229813,.F.); #326044=ORIENTED_EDGE('',*,*,#229816,.F.); #326045=ORIENTED_EDGE('',*,*,#229817,.F.); #326046=ORIENTED_EDGE('',*,*,#229818,.T.); #326047=ORIENTED_EDGE('',*,*,#229819,.F.); #326048=ORIENTED_EDGE('',*,*,#229820,.F.); #326049=ORIENTED_EDGE('',*,*,#229821,.F.); #326050=ORIENTED_EDGE('',*,*,#229820,.T.); #326051=ORIENTED_EDGE('',*,*,#229822,.F.); #326052=ORIENTED_EDGE('',*,*,#229823,.F.); #326053=ORIENTED_EDGE('',*,*,#229824,.F.); #326054=ORIENTED_EDGE('',*,*,#229823,.T.); #326055=ORIENTED_EDGE('',*,*,#229825,.F.); #326056=ORIENTED_EDGE('',*,*,#229826,.F.); #326057=ORIENTED_EDGE('',*,*,#229827,.F.); #326058=ORIENTED_EDGE('',*,*,#229826,.T.); #326059=ORIENTED_EDGE('',*,*,#229828,.F.); #326060=ORIENTED_EDGE('',*,*,#229818,.F.); #326061=ORIENTED_EDGE('',*,*,#229829,.F.); #326062=ORIENTED_EDGE('',*,*,#229830,.T.); #326063=ORIENTED_EDGE('',*,*,#229831,.F.); #326064=ORIENTED_EDGE('',*,*,#229830,.F.); #326065=ORIENTED_EDGE('',*,*,#229832,.F.); #326066=ORIENTED_EDGE('',*,*,#229833,.T.); #326067=ORIENTED_EDGE('',*,*,#229834,.F.); #326068=ORIENTED_EDGE('',*,*,#229835,.F.); #326069=ORIENTED_EDGE('',*,*,#229836,.F.); #326070=ORIENTED_EDGE('',*,*,#229835,.T.); #326071=ORIENTED_EDGE('',*,*,#229837,.F.); #326072=ORIENTED_EDGE('',*,*,#229838,.F.); #326073=ORIENTED_EDGE('',*,*,#229839,.T.); #326074=ORIENTED_EDGE('',*,*,#229838,.T.); #326075=ORIENTED_EDGE('',*,*,#229840,.F.); #326076=ORIENTED_EDGE('',*,*,#229841,.F.); #326077=ORIENTED_EDGE('',*,*,#229842,.F.); #326078=ORIENTED_EDGE('',*,*,#229841,.T.); #326079=ORIENTED_EDGE('',*,*,#229843,.F.); #326080=ORIENTED_EDGE('',*,*,#229833,.F.); #326081=ORIENTED_EDGE('',*,*,#229842,.T.); #326082=ORIENTED_EDGE('',*,*,#229832,.T.); #326083=ORIENTED_EDGE('',*,*,#229836,.T.); #326084=ORIENTED_EDGE('',*,*,#229839,.F.); #326085=ORIENTED_EDGE('',*,*,#229799,.T.); #326086=ORIENTED_EDGE('',*,*,#229814,.F.); #326087=ORIENTED_EDGE('',*,*,#229815,.T.); #326088=ORIENTED_EDGE('',*,*,#229795,.F.); #326089=ORIENTED_EDGE('',*,*,#229806,.T.); #326090=ORIENTED_EDGE('',*,*,#229809,.F.); #326091=ORIENTED_EDGE('',*,*,#229810,.T.); #326092=ORIENTED_EDGE('',*,*,#229802,.F.); #326093=ORIENTED_EDGE('',*,*,#229831,.T.); #326094=ORIENTED_EDGE('',*,*,#229827,.T.); #326095=ORIENTED_EDGE('',*,*,#229817,.T.); #326096=ORIENTED_EDGE('',*,*,#229821,.T.); #326097=ORIENTED_EDGE('',*,*,#229824,.T.); #326098=ORIENTED_EDGE('',*,*,#229843,.T.); #326099=ORIENTED_EDGE('',*,*,#229840,.T.); #326100=ORIENTED_EDGE('',*,*,#229837,.T.); #326101=ORIENTED_EDGE('',*,*,#229834,.T.); #326102=ORIENTED_EDGE('',*,*,#229797,.T.); #326103=ORIENTED_EDGE('',*,*,#229793,.F.); #326104=ORIENTED_EDGE('',*,*,#229816,.T.); #326105=ORIENTED_EDGE('',*,*,#229812,.F.); #326106=ORIENTED_EDGE('',*,*,#229804,.T.); #326107=ORIENTED_EDGE('',*,*,#229800,.F.); #326108=ORIENTED_EDGE('',*,*,#229811,.T.); #326109=ORIENTED_EDGE('',*,*,#229807,.F.); #326110=ORIENTED_EDGE('',*,*,#229829,.T.); #326111=ORIENTED_EDGE('',*,*,#229828,.T.); #326112=ORIENTED_EDGE('',*,*,#229825,.T.); #326113=ORIENTED_EDGE('',*,*,#229822,.T.); #326114=ORIENTED_EDGE('',*,*,#229819,.T.); #326115=ORIENTED_EDGE('',*,*,#229844,.T.); #326116=ORIENTED_EDGE('',*,*,#229845,.T.); #326117=ORIENTED_EDGE('',*,*,#229846,.F.); #326118=ORIENTED_EDGE('',*,*,#229847,.F.); #326119=ORIENTED_EDGE('',*,*,#229848,.T.); #326120=ORIENTED_EDGE('',*,*,#229849,.T.); #326121=ORIENTED_EDGE('',*,*,#229847,.T.); #326122=ORIENTED_EDGE('',*,*,#229850,.F.); #326123=ORIENTED_EDGE('',*,*,#229851,.F.); #326124=ORIENTED_EDGE('',*,*,#229852,.T.); #326125=ORIENTED_EDGE('',*,*,#229851,.T.); #326126=ORIENTED_EDGE('',*,*,#229853,.F.); #326127=ORIENTED_EDGE('',*,*,#229854,.F.); #326128=ORIENTED_EDGE('',*,*,#229855,.T.); #326129=ORIENTED_EDGE('',*,*,#229854,.T.); #326130=ORIENTED_EDGE('',*,*,#229856,.F.); #326131=ORIENTED_EDGE('',*,*,#229857,.F.); #326132=ORIENTED_EDGE('',*,*,#229858,.T.); #326133=ORIENTED_EDGE('',*,*,#229857,.T.); #326134=ORIENTED_EDGE('',*,*,#229859,.F.); #326135=ORIENTED_EDGE('',*,*,#229860,.F.); #326136=ORIENTED_EDGE('',*,*,#229861,.T.); #326137=ORIENTED_EDGE('',*,*,#229860,.T.); #326138=ORIENTED_EDGE('',*,*,#229862,.F.); #326139=ORIENTED_EDGE('',*,*,#229863,.F.); #326140=ORIENTED_EDGE('',*,*,#229864,.T.); #326141=ORIENTED_EDGE('',*,*,#229863,.T.); #326142=ORIENTED_EDGE('',*,*,#229865,.F.); #326143=ORIENTED_EDGE('',*,*,#229866,.F.); #326144=ORIENTED_EDGE('',*,*,#229867,.T.); #326145=ORIENTED_EDGE('',*,*,#229866,.T.); #326146=ORIENTED_EDGE('',*,*,#229868,.F.); #326147=ORIENTED_EDGE('',*,*,#229845,.F.); #326148=ORIENTED_EDGE('',*,*,#229869,.T.); #326149=ORIENTED_EDGE('',*,*,#229870,.T.); #326150=ORIENTED_EDGE('',*,*,#229871,.T.); #326151=ORIENTED_EDGE('',*,*,#229872,.T.); #326152=ORIENTED_EDGE('',*,*,#229873,.T.); #326153=ORIENTED_EDGE('',*,*,#229874,.T.); #326154=ORIENTED_EDGE('',*,*,#229875,.T.); #326155=ORIENTED_EDGE('',*,*,#229876,.T.); #326156=ORIENTED_EDGE('',*,*,#229846,.T.); #326157=ORIENTED_EDGE('',*,*,#229868,.T.); #326158=ORIENTED_EDGE('',*,*,#229865,.T.); #326159=ORIENTED_EDGE('',*,*,#229862,.T.); #326160=ORIENTED_EDGE('',*,*,#229859,.T.); #326161=ORIENTED_EDGE('',*,*,#229856,.T.); #326162=ORIENTED_EDGE('',*,*,#229853,.T.); #326163=ORIENTED_EDGE('',*,*,#229850,.T.); #326164=ORIENTED_EDGE('',*,*,#229869,.F.); #326165=ORIENTED_EDGE('',*,*,#229877,.T.); #326166=ORIENTED_EDGE('',*,*,#229878,.T.); #326167=ORIENTED_EDGE('',*,*,#229879,.F.); #326168=ORIENTED_EDGE('',*,*,#229870,.F.); #326169=ORIENTED_EDGE('',*,*,#229879,.T.); #326170=ORIENTED_EDGE('',*,*,#229880,.T.); #326171=ORIENTED_EDGE('',*,*,#229881,.F.); #326172=ORIENTED_EDGE('',*,*,#229871,.F.); #326173=ORIENTED_EDGE('',*,*,#229881,.T.); #326174=ORIENTED_EDGE('',*,*,#229882,.T.); #326175=ORIENTED_EDGE('',*,*,#229883,.F.); #326176=ORIENTED_EDGE('',*,*,#229872,.F.); #326177=ORIENTED_EDGE('',*,*,#229883,.T.); #326178=ORIENTED_EDGE('',*,*,#229884,.T.); #326179=ORIENTED_EDGE('',*,*,#229885,.F.); #326180=ORIENTED_EDGE('',*,*,#229873,.F.); #326181=ORIENTED_EDGE('',*,*,#229885,.T.); #326182=ORIENTED_EDGE('',*,*,#229886,.T.); #326183=ORIENTED_EDGE('',*,*,#229887,.T.); #326184=ORIENTED_EDGE('',*,*,#229888,.F.); #326185=ORIENTED_EDGE('',*,*,#229874,.F.); #326186=ORIENTED_EDGE('',*,*,#229888,.T.); #326187=ORIENTED_EDGE('',*,*,#229889,.T.); #326188=ORIENTED_EDGE('',*,*,#229890,.F.); #326189=ORIENTED_EDGE('',*,*,#229875,.F.); #326190=ORIENTED_EDGE('',*,*,#229890,.T.); #326191=ORIENTED_EDGE('',*,*,#229891,.T.); #326192=ORIENTED_EDGE('',*,*,#229892,.F.); #326193=ORIENTED_EDGE('',*,*,#229876,.F.); #326194=ORIENTED_EDGE('',*,*,#229892,.T.); #326195=ORIENTED_EDGE('',*,*,#229893,.T.); #326196=ORIENTED_EDGE('',*,*,#229877,.F.); #326197=ORIENTED_EDGE('',*,*,#229894,.T.); #326198=ORIENTED_EDGE('',*,*,#229895,.T.); #326199=ORIENTED_EDGE('',*,*,#229896,.T.); #326200=ORIENTED_EDGE('',*,*,#229895,.F.); #326201=ORIENTED_EDGE('',*,*,#229897,.T.); #326202=ORIENTED_EDGE('',*,*,#229898,.T.); #326203=ORIENTED_EDGE('',*,*,#229894,.F.); #326204=ORIENTED_EDGE('',*,*,#229898,.F.); #326205=ORIENTED_EDGE('',*,*,#229896,.F.); #326206=ORIENTED_EDGE('',*,*,#229899,.F.); #326207=ORIENTED_EDGE('',*,*,#229886,.F.); #326208=ORIENTED_EDGE('',*,*,#229884,.F.); #326209=ORIENTED_EDGE('',*,*,#229882,.F.); #326210=ORIENTED_EDGE('',*,*,#229880,.F.); #326211=ORIENTED_EDGE('',*,*,#229878,.F.); #326212=ORIENTED_EDGE('',*,*,#229893,.F.); #326213=ORIENTED_EDGE('',*,*,#229891,.F.); #326214=ORIENTED_EDGE('',*,*,#229889,.F.); #326215=ORIENTED_EDGE('',*,*,#229887,.F.); #326216=ORIENTED_EDGE('',*,*,#229900,.T.); #326217=ORIENTED_EDGE('',*,*,#229901,.T.); #326218=ORIENTED_EDGE('',*,*,#229900,.F.); #326219=ORIENTED_EDGE('',*,*,#229901,.F.); #326220=ORIENTED_EDGE('',*,*,#229897,.F.); #326221=ORIENTED_EDGE('',*,*,#229848,.F.); #326222=ORIENTED_EDGE('',*,*,#229852,.F.); #326223=ORIENTED_EDGE('',*,*,#229855,.F.); #326224=ORIENTED_EDGE('',*,*,#229858,.F.); #326225=ORIENTED_EDGE('',*,*,#229861,.F.); #326226=ORIENTED_EDGE('',*,*,#229864,.F.); #326227=ORIENTED_EDGE('',*,*,#229867,.F.); #326228=ORIENTED_EDGE('',*,*,#229844,.F.); #326229=ORIENTED_EDGE('',*,*,#229849,.F.); #326230=ORIENTED_EDGE('',*,*,#229902,.T.); #326231=ORIENTED_EDGE('',*,*,#229899,.T.); #326232=ORIENTED_EDGE('',*,*,#229902,.F.); #326233=ORIENTED_EDGE('',*,*,#229903,.F.); #326234=ORIENTED_EDGE('',*,*,#229904,.T.); #326235=ORIENTED_EDGE('',*,*,#229905,.T.); #326236=ORIENTED_EDGE('',*,*,#229904,.F.); #326237=ORIENTED_EDGE('',*,*,#229905,.F.); #326238=ORIENTED_EDGE('',*,*,#229903,.T.); #326239=ORIENTED_EDGE('',*,*,#229906,.F.); #326240=ORIENTED_EDGE('',*,*,#229907,.T.); #326241=ORIENTED_EDGE('',*,*,#229908,.T.); #326242=ORIENTED_EDGE('',*,*,#229907,.F.); #326243=ORIENTED_EDGE('',*,*,#229908,.F.); #326244=ORIENTED_EDGE('',*,*,#229906,.T.); #326245=ORIENTED_EDGE('',*,*,#229909,.F.); #326246=ORIENTED_EDGE('',*,*,#229910,.T.); #326247=ORIENTED_EDGE('',*,*,#229911,.T.); #326248=ORIENTED_EDGE('',*,*,#229910,.F.); #326249=ORIENTED_EDGE('',*,*,#229911,.F.); #326250=ORIENTED_EDGE('',*,*,#229909,.T.); #326251=ORIENTED_EDGE('',*,*,#229912,.F.); #326252=ORIENTED_EDGE('',*,*,#229913,.T.); #326253=ORIENTED_EDGE('',*,*,#229914,.T.); #326254=ORIENTED_EDGE('',*,*,#229913,.F.); #326255=ORIENTED_EDGE('',*,*,#229914,.F.); #326256=ORIENTED_EDGE('',*,*,#229912,.T.); #326257=CYLINDRICAL_SURFACE('',#350182,15.); #326258=CYLINDRICAL_SURFACE('',#350184,26.); #326259=CYLINDRICAL_SURFACE('',#350264,5.); #326260=CYLINDRICAL_SURFACE('',#350266,5.); #326261=CYLINDRICAL_SURFACE('',#350268,5.); #326262=CYLINDRICAL_SURFACE('',#350270,5.); #326263=CYLINDRICAL_SURFACE('',#350272,0.6); #326264=CYLINDRICAL_SURFACE('',#350275,0.4999999999999); #326265=CYLINDRICAL_SURFACE('',#350278,25.); #326266=CYLINDRICAL_SURFACE('',#350284,26.); #326267=CYLINDRICAL_SURFACE('',#350288,25.); #326268=CYLINDRICAL_SURFACE('',#350292,26.); #326269=CYLINDRICAL_SURFACE('',#350296,25.); #326270=CYLINDRICAL_SURFACE('',#350359,26.5); #326271=CYLINDRICAL_SURFACE('',#350360,0.5); #326272=CYLINDRICAL_SURFACE('',#350361,0.6); #326273=CYLINDRICAL_SURFACE('',#350363,0.4999999999999); #326274=CYLINDRICAL_SURFACE('',#350366,0.6); #326275=CYLINDRICAL_SURFACE('',#350368,5.); #326276=CYLINDRICAL_SURFACE('',#350370,5.); #326277=CYLINDRICAL_SURFACE('',#350372,5.); #326278=CYLINDRICAL_SURFACE('',#350374,5.); #326279=CYLINDRICAL_SURFACE('',#350377,3.25); #326280=CYLINDRICAL_SURFACE('',#350381,3.25); #326281=CYLINDRICAL_SURFACE('',#350385,3.25); #326282=CYLINDRICAL_SURFACE('',#350389,3.25); #326283=CYLINDRICAL_SURFACE('',#350391,23.8); #326284=CYLINDRICAL_SURFACE('',#350408,15.); #326285=CYLINDRICAL_SURFACE('',#350500,2.964336607013); #326286=CYLINDRICAL_SURFACE('',#350501,3.25); #326287=CYLINDRICAL_SURFACE('',#350502,3.25); #326288=CYLINDRICAL_SURFACE('',#350504,3.25); #326289=CYLINDRICAL_SURFACE('',#350505,3.25); #326290=CYLINDRICAL_SURFACE('',#350522,2.25); #326291=CYLINDRICAL_SURFACE('',#350523,2.25); #326292=CYLINDRICAL_SURFACE('',#350524,2.25); #326293=CYLINDRICAL_SURFACE('',#350525,2.25); #326294=CYLINDRICAL_SURFACE('',#350526,0.75); #326295=CYLINDRICAL_SURFACE('',#350528,26.); #326296=CYLINDRICAL_SURFACE('',#350532,25.); #326297=CYLINDRICAL_SURFACE('',#350538,26.); #326298=CYLINDRICAL_SURFACE('',#350542,25.); #326299=CYLINDRICAL_SURFACE('',#350545,3.); #326300=CYLINDRICAL_SURFACE('',#350546,0.75); #326301=CYLINDRICAL_SURFACE('',#350549,26.); #326302=CYLINDRICAL_SURFACE('',#350554,25.); #326303=CYLINDRICAL_SURFACE('',#350558,26.); #326304=CYLINDRICAL_SURFACE('',#350562,25.); #326305=CYLINDRICAL_SURFACE('',#350572,25.); #326306=CYLINDRICAL_SURFACE('',#350577,26.); #326307=CYLINDRICAL_SURFACE('',#350581,25.); #326308=CYLINDRICAL_SURFACE('',#350584,26.); #326309=CYLINDRICAL_SURFACE('',#350588,25.); #326310=CYLINDRICAL_SURFACE('',#350589,0.75); #326311=CYLINDRICAL_SURFACE('',#350591,26.); #326312=CYLINDRICAL_SURFACE('',#350595,25.); #326313=CYLINDRICAL_SURFACE('',#350601,26.); #326314=CYLINDRICAL_SURFACE('',#350605,25.); #326315=CYLINDRICAL_SURFACE('',#350608,3.); #326316=CYLINDRICAL_SURFACE('',#350609,0.75); #326317=CYLINDRICAL_SURFACE('',#350610,25.); #326318=CYLINDRICAL_SURFACE('',#350613,25.); #326319=CYLINDRICAL_SURFACE('',#350616,25.); #326320=CYLINDRICAL_SURFACE('',#350619,25.); #326321=CYLINDRICAL_SURFACE('',#350620,25.); #326322=CYLINDRICAL_SURFACE('',#350624,25.); #326323=CYLINDRICAL_SURFACE('',#350629,26.); #326324=CYLINDRICAL_SURFACE('',#350634,26.); #326325=CYLINDRICAL_SURFACE('',#350638,25.); #326326=CYLINDRICAL_SURFACE('',#350641,0.75); #326327=CYLINDRICAL_SURFACE('',#350643,26.); #326328=CYLINDRICAL_SURFACE('',#350647,25.); #326329=CYLINDRICAL_SURFACE('',#350653,26.); #326330=CYLINDRICAL_SURFACE('',#350657,25.); #326331=CYLINDRICAL_SURFACE('',#350660,3.); #326332=CYLINDRICAL_SURFACE('',#350661,0.75); #326333=CYLINDRICAL_SURFACE('',#350664,26.); #326334=CYLINDRICAL_SURFACE('',#350669,25.); #326335=CYLINDRICAL_SURFACE('',#350673,26.); #326336=CYLINDRICAL_SURFACE('',#350677,25.); #326337=CYLINDRICAL_SURFACE('',#350687,25.); #326338=CYLINDRICAL_SURFACE('',#350692,26.); #326339=CYLINDRICAL_SURFACE('',#350696,25.); #326340=CYLINDRICAL_SURFACE('',#350699,26.); #326341=CYLINDRICAL_SURFACE('',#350703,25.); #326342=CYLINDRICAL_SURFACE('',#350704,0.75); #326343=CYLINDRICAL_SURFACE('',#350706,26.); #326344=CYLINDRICAL_SURFACE('',#350710,25.); #326345=CYLINDRICAL_SURFACE('',#350716,26.); #326346=CYLINDRICAL_SURFACE('',#350720,25.); #326347=CYLINDRICAL_SURFACE('',#350723,3.); #326348=CYLINDRICAL_SURFACE('',#350724,0.75); #326349=CYLINDRICAL_SURFACE('',#350727,26.); #326350=CYLINDRICAL_SURFACE('',#350732,25.); #326351=CYLINDRICAL_SURFACE('',#350736,26.); #326352=CYLINDRICAL_SURFACE('',#350740,25.); #326353=CYLINDRICAL_SURFACE('',#350745,25.); #326354=CYLINDRICAL_SURFACE('',#350748,25.); #326355=CYLINDRICAL_SURFACE('',#350751,25.); #326356=CYLINDRICAL_SURFACE('',#350754,25.); #326357=CYLINDRICAL_SURFACE('',#350757,0.5); #326358=CYLINDRICAL_SURFACE('',#350758,26.5); #326359=CYLINDRICAL_SURFACE('',#350759,0.6); #326360=CYLINDRICAL_SURFACE('',#350760,2.25); #326361=CYLINDRICAL_SURFACE('',#350761,2.25); #326362=CYLINDRICAL_SURFACE('',#350762,2.25); #326363=CYLINDRICAL_SURFACE('',#350763,2.25); #326364=CYLINDRICAL_SURFACE('',#350766,25.); #326365=CYLINDRICAL_SURFACE('',#350770,26.); #326366=CYLINDRICAL_SURFACE('',#350774,25.); #326367=CYLINDRICAL_SURFACE('',#350780,14.5); #326368=CYLINDRICAL_SURFACE('',#350789,14.5); #326369=CYLINDRICAL_SURFACE('',#350793,15.); #326370=CYLINDRICAL_SURFACE('',#350795,23.); #326371=CYLINDRICAL_SURFACE('',#350796,14.5); #326372=CYLINDRICAL_SURFACE('',#350799,14.5); #326373=CYLINDRICAL_SURFACE('',#350802,15.); #326374=CYLINDRICAL_SURFACE('',#350804,23.); #326375=CYLINDRICAL_SURFACE('',#350805,14.5); #326376=CYLINDRICAL_SURFACE('',#350808,23.); #326377=CYLINDRICAL_SURFACE('',#350809,15.); #326378=CYLINDRICAL_SURFACE('',#350820,15.); #326379=CYLINDRICAL_SURFACE('',#350822,23.); #326380=CYLINDRICAL_SURFACE('',#350823,15.); #326381=CYLINDRICAL_SURFACE('',#350824,15.); #326382=CYLINDRICAL_SURFACE('',#350825,15.); #326383=CYLINDRICAL_SURFACE('',#350826,15.); #326384=CYLINDRICAL_SURFACE('',#350827,15.); #326385=CYLINDRICAL_SURFACE('',#350829,23.); #326386=CYLINDRICAL_SURFACE('',#350830,15.); #326387=CYLINDRICAL_SURFACE('',#350862,12.75); #326388=CYLINDRICAL_SURFACE('',#350897,13.75); #326389=CYLINDRICAL_SURFACE('',#350899,13.75); #326390=CYLINDRICAL_SURFACE('',#350911,12.75); #326391=CYLINDRICAL_SURFACE('',#350913,13.75); #326392=CYLINDRICAL_SURFACE('',#350920,13.75); #326393=CYLINDRICAL_SURFACE('',#350929,12.75); #326394=CYLINDRICAL_SURFACE('',#350932,12.75); #326395=CYLINDRICAL_SURFACE('',#350935,13.75); #326396=CYLINDRICAL_SURFACE('',#350942,13.75); #326397=CYLINDRICAL_SURFACE('',#350947,12.75); #326398=CYLINDRICAL_SURFACE('',#350951,13.75); #326399=CYLINDRICAL_SURFACE('',#350957,13.75); #326400=CYLINDRICAL_SURFACE('',#350962,12.75); #326401=CYLINDRICAL_SURFACE('',#350966,13.75); #326402=CYLINDRICAL_SURFACE('',#351001,10.75); #326403=CYLINDRICAL_SURFACE('',#351010,10.75); #326404=CYLINDRICAL_SURFACE('',#351011,10.75); #326405=CYLINDRICAL_SURFACE('',#351039,13.75); #326406=CYLINDRICAL_SURFACE('',#351041,13.75); #326407=CYLINDRICAL_SURFACE('',#351043,14.7500000149012); #326408=CYLINDRICAL_SURFACE('',#351045,14.7500000149012); #326409=CYLINDRICAL_SURFACE('',#351046,13.75); #326410=CYLINDRICAL_SURFACE('',#351049,14.7500000149012); #326411=CYLINDRICAL_SURFACE('',#351062,13.75); #326412=CYLINDRICAL_SURFACE('',#351065,13.75); #326413=CYLINDRICAL_SURFACE('',#351068,13.75); #326414=CYLINDRICAL_SURFACE('',#351076,33.2842712474619); #326415=CYLINDRICAL_SURFACE('',#351210,5.); #326416=CYLINDRICAL_SURFACE('',#351212,5.); #326417=CYLINDRICAL_SURFACE('',#351214,5.); #326418=CYLINDRICAL_SURFACE('',#351216,5.); #326419=CYLINDRICAL_SURFACE('',#351218,2.); #326420=CYLINDRICAL_SURFACE('',#351220,2.); #326421=CYLINDRICAL_SURFACE('',#351222,2.); #326422=CYLINDRICAL_SURFACE('',#351223,2.); #326423=CYLINDRICAL_SURFACE('',#351224,2.); #326424=CYLINDRICAL_SURFACE('',#351226,2.); #326425=CYLINDRICAL_SURFACE('',#351227,2.); #326426=CYLINDRICAL_SURFACE('',#351229,2.); #326427=CYLINDRICAL_SURFACE('',#351231,2.); #326428=CYLINDRICAL_SURFACE('',#351232,2.); #326429=CYLINDRICAL_SURFACE('',#351233,2.); #326430=CYLINDRICAL_SURFACE('',#351235,2.); #326431=CYLINDRICAL_SURFACE('',#351238,3.75); #326432=CYLINDRICAL_SURFACE('',#351244,31.2842712474619); #326433=CYLINDRICAL_SURFACE('',#351275,2.5); #326434=CYLINDRICAL_SURFACE('',#351277,2.5); #326435=CYLINDRICAL_SURFACE('',#351291,31.2842712474619); #326436=CYLINDRICAL_SURFACE('',#351295,2.3); #326437=CYLINDRICAL_SURFACE('',#351300,2.3); #326438=CYLINDRICAL_SURFACE('',#351305,2.3); #326439=CYLINDRICAL_SURFACE('',#351310,2.3); #326440=CYLINDRICAL_SURFACE('',#351315,1.7); #326441=CYLINDRICAL_SURFACE('',#351318,1.7); #326442=CYLINDRICAL_SURFACE('',#351321,1.7); #326443=CYLINDRICAL_SURFACE('',#351324,1.7); #326444=CYLINDRICAL_SURFACE('',#351332,2.5); #326445=CYLINDRICAL_SURFACE('',#351336,2.5); #326446=CYLINDRICAL_SURFACE('',#351337,35.2842712474619); #326447=CYLINDRICAL_SURFACE('',#351342,2.5); #326448=CYLINDRICAL_SURFACE('',#351346,2.5); #326449=CYLINDRICAL_SURFACE('',#351350,2.5); #326450=CYLINDRICAL_SURFACE('',#351354,2.5); #326451=CYLINDRICAL_SURFACE('',#351358,2.5); #326452=CYLINDRICAL_SURFACE('',#351362,2.5); #326453=CYLINDRICAL_SURFACE('',#351366,2.5); #326454=CYLINDRICAL_SURFACE('',#351370,2.5); #326455=CYLINDRICAL_SURFACE('',#351374,2.5); #326456=CYLINDRICAL_SURFACE('',#351378,2.5); #326457=CYLINDRICAL_SURFACE('',#351382,2.5); #326458=CYLINDRICAL_SURFACE('',#351386,2.5); #326459=CYLINDRICAL_SURFACE('',#351390,2.5); #326460=CYLINDRICAL_SURFACE('',#351394,2.5); #326461=CYLINDRICAL_SURFACE('',#351398,2.5); #326462=CYLINDRICAL_SURFACE('',#351402,2.5); #326463=CYLINDRICAL_SURFACE('',#351406,2.5); #326464=CYLINDRICAL_SURFACE('',#351410,2.5); #326465=CYLINDRICAL_SURFACE('',#351414,2.5); #326466=CYLINDRICAL_SURFACE('',#351418,2.5); #326467=CYLINDRICAL_SURFACE('',#351422,2.5); #326468=CYLINDRICAL_SURFACE('',#351426,2.5); #326469=CYLINDRICAL_SURFACE('',#351430,2.5); #326470=CYLINDRICAL_SURFACE('',#351434,2.5); #326471=CYLINDRICAL_SURFACE('',#351438,2.5); #326472=CYLINDRICAL_SURFACE('',#351442,2.5); #326473=CYLINDRICAL_SURFACE('',#351446,2.5); #326474=CYLINDRICAL_SURFACE('',#351450,2.5); #326475=CYLINDRICAL_SURFACE('',#351454,2.5); #326476=CYLINDRICAL_SURFACE('',#351458,2.5); #326477=CYLINDRICAL_SURFACE('',#351470,5.1); #326478=CYLINDRICAL_SURFACE('',#351472,5.1); #326479=CYLINDRICAL_SURFACE('',#351475,5.1); #326480=CYLINDRICAL_SURFACE('',#351478,5.1); #326481=CYLINDRICAL_SURFACE('',#351485,34.0342712474619); #326482=CYLINDRICAL_SURFACE('',#351495,1.7); #326483=CYLINDRICAL_SURFACE('',#351498,1.7); #326484=CYLINDRICAL_SURFACE('',#351501,1.7); #326485=CYLINDRICAL_SURFACE('',#351504,1.7); #326486=CYLINDRICAL_SURFACE('',#351507,3.); #326487=CYLINDRICAL_SURFACE('',#351510,3.); #326488=CYLINDRICAL_SURFACE('',#351513,3.); #326489=CYLINDRICAL_SURFACE('',#351516,3.); #326490=CYLINDRICAL_SURFACE('',#351519,25.8000000000002); #326491=CYLINDRICAL_SURFACE('',#351521,35.2842712474619); #326492=CYLINDRICAL_SURFACE('',#351524,29.5); #326493=CYLINDRICAL_SURFACE('',#351530,33.2342712474619); #326494=CYLINDRICAL_SURFACE('',#351543,2.5); #326495=CYLINDRICAL_SURFACE('',#351565,31.); #326496=CYLINDRICAL_SURFACE('',#351573,31.25); #326497=CYLINDRICAL_SURFACE('',#351583,31.25); #326498=CYLINDRICAL_SURFACE('',#351592,32.); #326499=CYLINDRICAL_SURFACE('',#351598,30.5); #326500=CYLINDRICAL_SURFACE('',#351602,30.5); #326501=CYLINDRICAL_SURFACE('',#351606,31.25); #326502=CYLINDRICAL_SURFACE('',#351607,30.5); #326503=CYLINDRICAL_SURFACE('',#351611,31.); #326504=CYLINDRICAL_SURFACE('',#351613,31.); #326505=CYLINDRICAL_SURFACE('',#351619,31.); #326506=CYLINDRICAL_SURFACE('',#351625,31.); #326507=CYLINDRICAL_SURFACE('',#351626,32.); #326508=CYLINDRICAL_SURFACE('',#351627,31.); #326509=CYLINDRICAL_SURFACE('',#351629,31.); #326510=CYLINDRICAL_SURFACE('',#351631,32.); #326511=CYLINDRICAL_SURFACE('',#351632,31.); #326512=CYLINDRICAL_SURFACE('',#351634,31.); #326513=CYLINDRICAL_SURFACE('',#351636,31.); #326514=CYLINDRICAL_SURFACE('',#351638,31.); #326515=CYLINDRICAL_SURFACE('',#351641,31.); #326516=CYLINDRICAL_SURFACE('',#351644,29.5); #326517=CYLINDRICAL_SURFACE('',#351665,14.9500000149012); #326518=CYLINDRICAL_SURFACE('',#351668,14.9500000149012); #326519=CYLINDRICAL_SURFACE('',#351671,14.9500000149012); #326520=CYLINDRICAL_SURFACE('',#351683,0.325); #326521=CYLINDRICAL_SURFACE('',#351686,0.325); #326522=CYLINDRICAL_SURFACE('',#351689,0.600000000000001); #326523=CYLINDRICAL_SURFACE('',#351692,0.175000000000001); #326524=CYLINDRICAL_SURFACE('',#351695,0.175000000000001); #326525=CYLINDRICAL_SURFACE('',#351698,0.175000000000001); #326526=CYLINDRICAL_SURFACE('',#351701,0.175000000000001); #326527=CYLINDRICAL_SURFACE('',#351704,0.175000000000001); #326528=CYLINDRICAL_SURFACE('',#351707,0.175000000000001); #326529=CYLINDRICAL_SURFACE('',#351710,0.175000000000001); #326530=CYLINDRICAL_SURFACE('',#351713,0.175000000000001); #326531=CYLINDRICAL_SURFACE('',#351716,0.175000000000001); #326532=CYLINDRICAL_SURFACE('',#351719,0.175000000000001); #326533=CYLINDRICAL_SURFACE('',#351722,0.175000000000001); #326534=CYLINDRICAL_SURFACE('',#351725,0.175000000000001); #326535=CYLINDRICAL_SURFACE('',#351728,0.175000000000001); #326536=CYLINDRICAL_SURFACE('',#351731,0.175000000000001); #326537=CYLINDRICAL_SURFACE('',#351734,0.175000000000001); #326538=CYLINDRICAL_SURFACE('',#351737,0.5); #326539=CYLINDRICAL_SURFACE('',#351740,0.175000000000001); #326540=CYLINDRICAL_SURFACE('',#351743,0.175000000000001); #326541=CYLINDRICAL_SURFACE('',#351746,0.175000000000001); #326542=CYLINDRICAL_SURFACE('',#351749,0.175000000000001); #326543=CYLINDRICAL_SURFACE('',#351752,0.175000000000001); #326544=CYLINDRICAL_SURFACE('',#351755,0.175000000000001); #326545=CYLINDRICAL_SURFACE('',#351758,0.175000000000001); #326546=CYLINDRICAL_SURFACE('',#351761,0.175000000000001); #326547=CYLINDRICAL_SURFACE('',#351764,0.175000000000001); #326548=CYLINDRICAL_SURFACE('',#351767,0.175000000000001); #326549=CYLINDRICAL_SURFACE('',#351770,0.175000000000001); #326550=CYLINDRICAL_SURFACE('',#351773,0.175000000000001); #326551=CYLINDRICAL_SURFACE('',#351776,0.175000000000001); #326552=CYLINDRICAL_SURFACE('',#351779,0.175000000000001); #326553=CYLINDRICAL_SURFACE('',#351782,0.175000000000001); #326554=CYLINDRICAL_SURFACE('',#351785,0.550000000000002); #326555=CYLINDRICAL_SURFACE('',#351788,0.175000000000001); #326556=CYLINDRICAL_SURFACE('',#351791,0.175000000000001); #326557=CYLINDRICAL_SURFACE('',#351794,0.175000000000001); #326558=CYLINDRICAL_SURFACE('',#351797,0.175000000000001); #326559=CYLINDRICAL_SURFACE('',#351800,0.175000000000001); #326560=CYLINDRICAL_SURFACE('',#351803,0.175000000000001); #326561=CYLINDRICAL_SURFACE('',#351806,0.175000000000001); #326562=CYLINDRICAL_SURFACE('',#351809,0.175000000000001); #326563=CYLINDRICAL_SURFACE('',#351812,0.175000000000001); #326564=CYLINDRICAL_SURFACE('',#351815,0.175000000000001); #326565=CYLINDRICAL_SURFACE('',#351818,0.175000000000001); #326566=CYLINDRICAL_SURFACE('',#351821,0.175000000000001); #326567=CYLINDRICAL_SURFACE('',#351824,0.175000000000001); #326568=CYLINDRICAL_SURFACE('',#351827,0.175000000000001); #326569=CYLINDRICAL_SURFACE('',#351830,0.175000000000001); #326570=CYLINDRICAL_SURFACE('',#351833,0.5); #326571=CYLINDRICAL_SURFACE('',#351836,0.175000000000001); #326572=CYLINDRICAL_SURFACE('',#351839,0.175000000000001); #326573=CYLINDRICAL_SURFACE('',#351842,0.175000000000001); #326574=CYLINDRICAL_SURFACE('',#351845,0.175000000000001); #326575=CYLINDRICAL_SURFACE('',#351848,0.175000000000001); #326576=CYLINDRICAL_SURFACE('',#351851,0.175000000000001); #326577=CYLINDRICAL_SURFACE('',#351854,0.175000000000001); #326578=CYLINDRICAL_SURFACE('',#351857,0.175000000000001); #326579=CYLINDRICAL_SURFACE('',#351860,0.175000000000001); #326580=CYLINDRICAL_SURFACE('',#351863,0.175000000000001); #326581=CYLINDRICAL_SURFACE('',#351866,0.175000000000001); #326582=CYLINDRICAL_SURFACE('',#351869,0.175000000000001); #326583=CYLINDRICAL_SURFACE('',#351872,0.175000000000001); #326584=CYLINDRICAL_SURFACE('',#351875,0.175000000000001); #326585=CYLINDRICAL_SURFACE('',#351878,0.175000000000001); #326586=CYLINDRICAL_SURFACE('',#351881,0.600000000000001); #326587=CYLINDRICAL_SURFACE('',#351884,0.175000000000001); #326588=CYLINDRICAL_SURFACE('',#351887,0.175000000000001); #326589=CYLINDRICAL_SURFACE('',#351890,0.175000000000001); #326590=CYLINDRICAL_SURFACE('',#351893,0.175000000000001); #326591=CYLINDRICAL_SURFACE('',#351896,0.175000000000001); #326592=CYLINDRICAL_SURFACE('',#351899,0.175); #326593=CYLINDRICAL_SURFACE('',#351902,0.175000000000001); #326594=CYLINDRICAL_SURFACE('',#351905,0.175000000000001); #326595=CYLINDRICAL_SURFACE('',#351908,0.175000000000001); #326596=CYLINDRICAL_SURFACE('',#351911,0.175000000000001); #326597=CYLINDRICAL_SURFACE('',#351914,0.175000000000001); #326598=CYLINDRICAL_SURFACE('',#351917,0.175000000000001); #326599=CYLINDRICAL_SURFACE('',#351920,0.175000000000001); #326600=CYLINDRICAL_SURFACE('',#351923,0.175); #326601=CYLINDRICAL_SURFACE('',#351926,0.175000000000001); #326602=CYLINDRICAL_SURFACE('',#351929,0.175000000000001); #326603=CYLINDRICAL_SURFACE('',#351932,0.175000000000001); #326604=CYLINDRICAL_SURFACE('',#351935,0.175000000000001); #326605=CYLINDRICAL_SURFACE('',#351938,0.175000000000001); #326606=CYLINDRICAL_SURFACE('',#351941,0.175000000000001); #326607=CYLINDRICAL_SURFACE('',#351944,0.175000000000001); #326608=CYLINDRICAL_SURFACE('',#351947,0.175000000000001); #326609=CYLINDRICAL_SURFACE('',#351950,0.175000000000001); #326610=CYLINDRICAL_SURFACE('',#351953,0.175000000000001); #326611=CYLINDRICAL_SURFACE('',#351956,0.175000000000001); #326612=CYLINDRICAL_SURFACE('',#351959,0.175000000000001); #326613=CYLINDRICAL_SURFACE('',#351962,0.175000000000001); #326614=CYLINDRICAL_SURFACE('',#351965,0.175000000000001); #326615=CYLINDRICAL_SURFACE('',#351968,0.175000000000001); #326616=CYLINDRICAL_SURFACE('',#351971,0.175000000000001); #326617=CYLINDRICAL_SURFACE('',#351974,0.175000000000001); #326618=CYLINDRICAL_SURFACE('',#351977,0.499999999999998); #326619=CYLINDRICAL_SURFACE('',#351980,0.175000000000001); #326620=CYLINDRICAL_SURFACE('',#351983,0.175000000000001); #326621=CYLINDRICAL_SURFACE('',#351986,0.175000000000001); #326622=CYLINDRICAL_SURFACE('',#351989,0.175000000000001); #326623=CYLINDRICAL_SURFACE('',#351992,0.175000000000001); #326624=CYLINDRICAL_SURFACE('',#351995,0.175000000000001); #326625=CYLINDRICAL_SURFACE('',#351998,0.175000000000001); #326626=CYLINDRICAL_SURFACE('',#352001,0.175000000000001); #326627=CYLINDRICAL_SURFACE('',#352004,0.175000000000001); #326628=CYLINDRICAL_SURFACE('',#352007,0.175000000000001); #326629=CYLINDRICAL_SURFACE('',#352010,0.175000000000001); #326630=CYLINDRICAL_SURFACE('',#352013,0.175000000000001); #326631=CYLINDRICAL_SURFACE('',#352016,0.175000000000001); #326632=CYLINDRICAL_SURFACE('',#352019,0.175000000000001); #326633=CYLINDRICAL_SURFACE('',#352022,0.175000000000001); #326634=CYLINDRICAL_SURFACE('',#352025,0.499999999999998); #326635=CYLINDRICAL_SURFACE('',#352028,0.175000000000001); #326636=CYLINDRICAL_SURFACE('',#352031,0.175000000000001); #326637=CYLINDRICAL_SURFACE('',#352034,0.175000000000001); #326638=CYLINDRICAL_SURFACE('',#352037,0.175000000000001); #326639=CYLINDRICAL_SURFACE('',#352040,0.175000000000001); #326640=CYLINDRICAL_SURFACE('',#352043,0.175000000000001); #326641=CYLINDRICAL_SURFACE('',#352046,0.175000000000001); #326642=CYLINDRICAL_SURFACE('',#352049,0.175000000000001); #326643=CYLINDRICAL_SURFACE('',#352052,0.175000000000001); #326644=CYLINDRICAL_SURFACE('',#352055,0.175000000000001); #326645=CYLINDRICAL_SURFACE('',#352058,0.175000000000001); #326646=CYLINDRICAL_SURFACE('',#352061,0.175000000000001); #326647=CYLINDRICAL_SURFACE('',#352064,0.175000000000001); #326648=CYLINDRICAL_SURFACE('',#352067,0.175000000000001); #326649=CYLINDRICAL_SURFACE('',#352070,0.175000000000001); #326650=CYLINDRICAL_SURFACE('',#352073,0.550000000000002); #326651=CYLINDRICAL_SURFACE('',#352076,0.150000000000001); #326652=CYLINDRICAL_SURFACE('',#352079,0.175); #326653=CYLINDRICAL_SURFACE('',#352082,0.150000000000001); #326654=CYLINDRICAL_SURFACE('',#352085,0.175000000000001); #326655=CYLINDRICAL_SURFACE('',#352088,0.175000000000001); #326656=CYLINDRICAL_SURFACE('',#352091,0.175); #326657=CYLINDRICAL_SURFACE('',#352094,0.150000000000001); #326658=CYLINDRICAL_SURFACE('',#352097,0.175000000000001); #326659=CYLINDRICAL_SURFACE('',#352100,0.175000000000001); #326660=CYLINDRICAL_SURFACE('',#352103,0.175); #326661=CYLINDRICAL_SURFACE('',#352106,0.175000000000001); #326662=CYLINDRICAL_SURFACE('',#352109,0.175000000000001); #326663=CYLINDRICAL_SURFACE('',#352112,0.175000000000001); #326664=CYLINDRICAL_SURFACE('',#352115,0.175); #326665=CYLINDRICAL_SURFACE('',#352118,0.175000000000001); #326666=CYLINDRICAL_SURFACE('',#352121,0.175000000000001); #326667=CYLINDRICAL_SURFACE('',#352124,0.175000000000001); #326668=CYLINDRICAL_SURFACE('',#352127,0.175); #326669=CYLINDRICAL_SURFACE('',#352130,0.175000000000001); #326670=CYLINDRICAL_SURFACE('',#352133,0.175000000000001); #326671=CYLINDRICAL_SURFACE('',#352136,0.175000000000001); #326672=CYLINDRICAL_SURFACE('',#352139,0.175000000000001); #326673=CYLINDRICAL_SURFACE('',#352142,0.175000000000001); #326674=CYLINDRICAL_SURFACE('',#352145,0.175000000000001); #326675=CYLINDRICAL_SURFACE('',#352148,0.175000000000001); #326676=CYLINDRICAL_SURFACE('',#352151,0.175); #326677=CYLINDRICAL_SURFACE('',#352154,0.175000000000001); #326678=CYLINDRICAL_SURFACE('',#352157,0.175000000000001); #326679=CYLINDRICAL_SURFACE('',#352160,0.175000000000001); #326680=CYLINDRICAL_SURFACE('',#352163,0.175); #326681=CYLINDRICAL_SURFACE('',#352166,0.175000000000001); #326682=CYLINDRICAL_SURFACE('',#352169,0.499999999999998); #326683=CYLINDRICAL_SURFACE('',#352173,0.35); #326684=CYLINDRICAL_SURFACE('',#352177,0.35); #326685=CYLINDRICAL_SURFACE('',#352180,0.175000000000001); #326686=CYLINDRICAL_SURFACE('',#352184,0.6); #326687=CYLINDRICAL_SURFACE('',#352188,0.6); #326688=CYLINDRICAL_SURFACE('',#352191,0.175000000000001); #326689=CYLINDRICAL_SURFACE('',#352194,0.150000000000001); #326690=CYLINDRICAL_SURFACE('',#352197,0.175000000000001); #326691=CYLINDRICAL_SURFACE('',#352201,0.6); #326692=CYLINDRICAL_SURFACE('',#352205,0.6); #326693=CYLINDRICAL_SURFACE('',#352208,0.175000000000001); #326694=CYLINDRICAL_SURFACE('',#352211,0.150000000000001); #326695=CYLINDRICAL_SURFACE('',#352214,0.175000000000001); #326696=CYLINDRICAL_SURFACE('',#352217,0.150000000000001); #326697=CYLINDRICAL_SURFACE('',#352220,0.175000000000001); #326698=CYLINDRICAL_SURFACE('',#352223,0.150000000000001); #326699=CYLINDRICAL_SURFACE('',#352226,0.175000000000001); #326700=CYLINDRICAL_SURFACE('',#352229,0.150000000000001); #326701=CYLINDRICAL_SURFACE('',#352232,0.175000000000001); #326702=CYLINDRICAL_SURFACE('',#352235,0.175000000000001); #326703=CYLINDRICAL_SURFACE('',#352239,0.35); #326704=CYLINDRICAL_SURFACE('',#352243,0.35); #326705=CYLINDRICAL_SURFACE('',#352246,0.175000000000001); #326706=CYLINDRICAL_SURFACE('',#352250,0.35); #326707=CYLINDRICAL_SURFACE('',#352254,0.35); #326708=CYLINDRICAL_SURFACE('',#352257,0.175000000000001); #326709=CYLINDRICAL_SURFACE('',#352261,0.35); #326710=CYLINDRICAL_SURFACE('',#352265,0.35); #326711=CYLINDRICAL_SURFACE('',#352268,0.175000000000001); #326712=CYLINDRICAL_SURFACE('',#352271,0.175000000000001); #326713=CYLINDRICAL_SURFACE('',#352274,0.175000000000001); #326714=CYLINDRICAL_SURFACE('',#352277,0.175000000000001); #326715=CYLINDRICAL_SURFACE('',#352280,0.600000000000001); #326716=CYLINDRICAL_SURFACE('',#352283,0.175000000000001); #326717=CYLINDRICAL_SURFACE('',#352286,0.175000000000001); #326718=CYLINDRICAL_SURFACE('',#352289,0.175000000000001); #326719=CYLINDRICAL_SURFACE('',#352292,0.175000000000001); #326720=CYLINDRICAL_SURFACE('',#352295,0.175000000000001); #326721=CYLINDRICAL_SURFACE('',#352298,0.175000000000001); #326722=CYLINDRICAL_SURFACE('',#352301,0.175000000000001); #326723=CYLINDRICAL_SURFACE('',#352304,0.175000000000001); #326724=CYLINDRICAL_SURFACE('',#352307,0.175000000000001); #326725=CYLINDRICAL_SURFACE('',#352310,0.175000000000001); #326726=CYLINDRICAL_SURFACE('',#352313,0.175000000000001); #326727=CYLINDRICAL_SURFACE('',#352316,0.175000000000001); #326728=CYLINDRICAL_SURFACE('',#352319,0.175000000000001); #326729=CYLINDRICAL_SURFACE('',#352322,0.175000000000001); #326730=CYLINDRICAL_SURFACE('',#352325,0.175000000000001); #326731=CYLINDRICAL_SURFACE('',#352328,0.499999999999998); #326732=CYLINDRICAL_SURFACE('',#352331,0.175000000000001); #326733=CYLINDRICAL_SURFACE('',#352334,0.175000000000001); #326734=CYLINDRICAL_SURFACE('',#352337,0.175000000000001); #326735=CYLINDRICAL_SURFACE('',#352340,0.175000000000001); #326736=CYLINDRICAL_SURFACE('',#352343,0.175000000000001); #326737=CYLINDRICAL_SURFACE('',#352346,0.175000000000001); #326738=CYLINDRICAL_SURFACE('',#352349,0.175000000000001); #326739=CYLINDRICAL_SURFACE('',#352352,0.175000000000001); #326740=CYLINDRICAL_SURFACE('',#352355,0.175000000000001); #326741=CYLINDRICAL_SURFACE('',#352358,0.175000000000001); #326742=CYLINDRICAL_SURFACE('',#352361,0.175000000000001); #326743=CYLINDRICAL_SURFACE('',#352364,0.175000000000001); #326744=CYLINDRICAL_SURFACE('',#352367,0.175000000000001); #326745=CYLINDRICAL_SURFACE('',#352370,0.175000000000001); #326746=CYLINDRICAL_SURFACE('',#352373,0.175000000000001); #326747=CYLINDRICAL_SURFACE('',#352376,0.549999999999997); #326748=CYLINDRICAL_SURFACE('',#352379,0.175000000000001); #326749=CYLINDRICAL_SURFACE('',#352382,0.175000000000001); #326750=CYLINDRICAL_SURFACE('',#352385,0.175000000000001); #326751=CYLINDRICAL_SURFACE('',#352388,0.175000000000001); #326752=CYLINDRICAL_SURFACE('',#352391,0.175000000000001); #326753=CYLINDRICAL_SURFACE('',#352394,0.175000000000001); #326754=CYLINDRICAL_SURFACE('',#352397,0.175000000000001); #326755=CYLINDRICAL_SURFACE('',#352400,0.175000000000001); #326756=CYLINDRICAL_SURFACE('',#352403,0.175000000000001); #326757=CYLINDRICAL_SURFACE('',#352406,0.175000000000001); #326758=CYLINDRICAL_SURFACE('',#352409,0.175000000000001); #326759=CYLINDRICAL_SURFACE('',#352412,0.175000000000001); #326760=CYLINDRICAL_SURFACE('',#352415,0.175000000000001); #326761=CYLINDRICAL_SURFACE('',#352418,0.175000000000001); #326762=CYLINDRICAL_SURFACE('',#352421,0.175000000000001); #326763=CYLINDRICAL_SURFACE('',#352424,0.499999999999998); #326764=CYLINDRICAL_SURFACE('',#352427,0.175000000000001); #326765=CYLINDRICAL_SURFACE('',#352430,0.175000000000001); #326766=CYLINDRICAL_SURFACE('',#352433,0.175000000000001); #326767=CYLINDRICAL_SURFACE('',#352436,0.175000000000001); #326768=CYLINDRICAL_SURFACE('',#352439,0.175000000000001); #326769=CYLINDRICAL_SURFACE('',#352442,0.175000000000001); #326770=CYLINDRICAL_SURFACE('',#352445,0.175000000000001); #326771=CYLINDRICAL_SURFACE('',#352448,0.175000000000001); #326772=CYLINDRICAL_SURFACE('',#352451,0.175000000000001); #326773=CYLINDRICAL_SURFACE('',#352454,0.175000000000001); #326774=CYLINDRICAL_SURFACE('',#352457,0.175000000000001); #326775=CYLINDRICAL_SURFACE('',#352460,0.175000000000001); #326776=CYLINDRICAL_SURFACE('',#352463,0.175000000000001); #326777=CYLINDRICAL_SURFACE('',#352466,0.175000000000001); #326778=CYLINDRICAL_SURFACE('',#352469,0.175000000000001); #326779=CYLINDRICAL_SURFACE('',#352472,0.600000000000001); #326780=CYLINDRICAL_SURFACE('',#352475,0.175000000000001); #326781=CYLINDRICAL_SURFACE('',#352478,0.175000000000001); #326782=CYLINDRICAL_SURFACE('',#352481,0.175000000000001); #326783=CYLINDRICAL_SURFACE('',#352484,0.175000000000001); #326784=CYLINDRICAL_SURFACE('',#352487,0.175000000000001); #326785=CYLINDRICAL_SURFACE('',#352490,0.175000000000001); #326786=CYLINDRICAL_SURFACE('',#352493,0.175000000000001); #326787=CYLINDRICAL_SURFACE('',#352496,0.175000000000001); #326788=CYLINDRICAL_SURFACE('',#352499,0.175000000000001); #326789=CYLINDRICAL_SURFACE('',#352502,0.175000000000001); #326790=CYLINDRICAL_SURFACE('',#352505,0.175000000000001); #326791=CYLINDRICAL_SURFACE('',#352508,0.175000000000001); #326792=CYLINDRICAL_SURFACE('',#352511,0.175000000000001); #326793=CYLINDRICAL_SURFACE('',#352514,0.175000000000001); #326794=CYLINDRICAL_SURFACE('',#352517,0.175000000000001); #326795=CYLINDRICAL_SURFACE('',#352520,0.499999999999998); #326796=CYLINDRICAL_SURFACE('',#352523,0.175000000000001); #326797=CYLINDRICAL_SURFACE('',#352526,0.175000000000001); #326798=CYLINDRICAL_SURFACE('',#352529,0.175000000000001); #326799=CYLINDRICAL_SURFACE('',#352532,0.175000000000001); #326800=CYLINDRICAL_SURFACE('',#352535,0.175000000000001); #326801=CYLINDRICAL_SURFACE('',#352538,0.175000000000001); #326802=CYLINDRICAL_SURFACE('',#352541,0.175000000000001); #326803=CYLINDRICAL_SURFACE('',#352544,0.175000000000001); #326804=CYLINDRICAL_SURFACE('',#352547,0.175000000000001); #326805=CYLINDRICAL_SURFACE('',#352550,0.175000000000001); #326806=CYLINDRICAL_SURFACE('',#352553,0.175000000000001); #326807=CYLINDRICAL_SURFACE('',#352556,0.175000000000001); #326808=CYLINDRICAL_SURFACE('',#352559,0.175000000000001); #326809=CYLINDRICAL_SURFACE('',#352562,0.175000000000001); #326810=CYLINDRICAL_SURFACE('',#352565,0.175000000000001); #326811=CYLINDRICAL_SURFACE('',#352568,0.549999999999997); #326812=CYLINDRICAL_SURFACE('',#352571,0.175000000000001); #326813=CYLINDRICAL_SURFACE('',#352574,0.175000000000001); #326814=CYLINDRICAL_SURFACE('',#352577,0.175000000000001); #326815=CYLINDRICAL_SURFACE('',#352580,0.175000000000001); #326816=CYLINDRICAL_SURFACE('',#352583,0.175000000000001); #326817=CYLINDRICAL_SURFACE('',#352586,0.175000000000001); #326818=CYLINDRICAL_SURFACE('',#352589,0.175000000000001); #326819=CYLINDRICAL_SURFACE('',#352592,0.175000000000001); #326820=CYLINDRICAL_SURFACE('',#352595,0.175000000000001); #326821=CYLINDRICAL_SURFACE('',#352598,0.175000000000001); #326822=CYLINDRICAL_SURFACE('',#352601,0.175000000000001); #326823=CYLINDRICAL_SURFACE('',#352604,0.175000000000001); #326824=CYLINDRICAL_SURFACE('',#352607,0.175000000000001); #326825=CYLINDRICAL_SURFACE('',#352610,0.175000000000001); #326826=CYLINDRICAL_SURFACE('',#352613,0.175000000000001); #326827=CYLINDRICAL_SURFACE('',#352616,0.499999999999998); #326828=CYLINDRICAL_SURFACE('',#352619,0.175000000000001); #326829=CYLINDRICAL_SURFACE('',#352622,0.175000000000001); #326830=CYLINDRICAL_SURFACE('',#352625,0.175000000000001); #326831=CYLINDRICAL_SURFACE('',#352628,0.175000000000001); #326832=CYLINDRICAL_SURFACE('',#352631,0.175000000000001); #326833=CYLINDRICAL_SURFACE('',#352634,0.175000000000001); #326834=CYLINDRICAL_SURFACE('',#352637,0.175000000000001); #326835=CYLINDRICAL_SURFACE('',#352640,0.175000000000001); #326836=CYLINDRICAL_SURFACE('',#352643,0.175000000000001); #326837=CYLINDRICAL_SURFACE('',#352646,0.175000000000001); #326838=CYLINDRICAL_SURFACE('',#352649,0.175000000000001); #326839=CYLINDRICAL_SURFACE('',#352652,0.175000000000001); #326840=CYLINDRICAL_SURFACE('',#352655,0.175000000000001); #326841=CYLINDRICAL_SURFACE('',#352658,0.175000000000001); #326842=CYLINDRICAL_SURFACE('',#352661,0.175000000000001); #326843=CYLINDRICAL_SURFACE('',#352664,34.); #326844=CYLINDRICAL_SURFACE('',#352669,0.14); #326845=CYLINDRICAL_SURFACE('',#352672,0.175000000000001); #326846=CYLINDRICAL_SURFACE('',#352677,0.14); #326847=CYLINDRICAL_SURFACE('',#352680,0.175000000000001); #326848=CYLINDRICAL_SURFACE('',#352685,0.14); #326849=CYLINDRICAL_SURFACE('',#352688,0.175000000000001); #326850=CYLINDRICAL_SURFACE('',#352693,0.139999999999998); #326851=CYLINDRICAL_SURFACE('',#352696,0.175000000000001); #326852=CYLINDRICAL_SURFACE('',#352701,0.139999999999998); #326853=CYLINDRICAL_SURFACE('',#352704,0.175000000000001); #326854=CYLINDRICAL_SURFACE('',#352709,0.14); #326855=CYLINDRICAL_SURFACE('',#352712,0.175000000000001); #326856=CYLINDRICAL_SURFACE('',#352717,0.140000000000002); #326857=CYLINDRICAL_SURFACE('',#352720,0.175000000000001); #326858=CYLINDRICAL_SURFACE('',#352725,0.139999999999998); #326859=CYLINDRICAL_SURFACE('',#352728,0.175000000000001); #326860=CYLINDRICAL_SURFACE('',#352733,0.139999999999998); #326861=CYLINDRICAL_SURFACE('',#352736,0.175000000000001); #326862=CYLINDRICAL_SURFACE('',#352741,0.14); #326863=CYLINDRICAL_SURFACE('',#352744,0.175000000000001); #326864=CYLINDRICAL_SURFACE('',#352749,0.139999999999998); #326865=CYLINDRICAL_SURFACE('',#352752,0.175000000000001); #326866=CYLINDRICAL_SURFACE('',#352757,0.139999999999998); #326867=CYLINDRICAL_SURFACE('',#352760,0.175000000000001); #326868=CYLINDRICAL_SURFACE('',#352765,0.140000000000002); #326869=CYLINDRICAL_SURFACE('',#352768,0.175000000000001); #326870=CYLINDRICAL_SURFACE('',#352773,0.14); #326871=CYLINDRICAL_SURFACE('',#352776,0.175000000000001); #326872=CYLINDRICAL_SURFACE('',#352781,0.139999999999998); #326873=CYLINDRICAL_SURFACE('',#352784,0.175000000000001); #326874=CYLINDRICAL_SURFACE('',#352789,0.465); #326875=CYLINDRICAL_SURFACE('',#352792,0.499999999999998); #326876=CYLINDRICAL_SURFACE('',#352797,0.139999999999998); #326877=CYLINDRICAL_SURFACE('',#352800,0.175000000000001); #326878=CYLINDRICAL_SURFACE('',#352805,0.14); #326879=CYLINDRICAL_SURFACE('',#352808,0.175000000000001); #326880=CYLINDRICAL_SURFACE('',#352813,0.139999999999998); #326881=CYLINDRICAL_SURFACE('',#352816,0.175000000000001); #326882=CYLINDRICAL_SURFACE('',#352821,0.139999999999998); #326883=CYLINDRICAL_SURFACE('',#352824,0.175000000000001); #326884=CYLINDRICAL_SURFACE('',#352829,0.139999999999998); #326885=CYLINDRICAL_SURFACE('',#352832,0.175000000000001); #326886=CYLINDRICAL_SURFACE('',#352837,0.14); #326887=CYLINDRICAL_SURFACE('',#352840,0.175000000000001); #326888=CYLINDRICAL_SURFACE('',#352845,0.139999999999998); #326889=CYLINDRICAL_SURFACE('',#352848,0.175000000000001); #326890=CYLINDRICAL_SURFACE('',#352853,0.139999999999998); #326891=CYLINDRICAL_SURFACE('',#352856,0.175000000000001); #326892=CYLINDRICAL_SURFACE('',#352861,0.139999999999998); #326893=CYLINDRICAL_SURFACE('',#352864,0.175000000000001); #326894=CYLINDRICAL_SURFACE('',#352869,0.14); #326895=CYLINDRICAL_SURFACE('',#352872,0.175000000000001); #326896=CYLINDRICAL_SURFACE('',#352877,0.139999999999998); #326897=CYLINDRICAL_SURFACE('',#352880,0.175000000000001); #326898=CYLINDRICAL_SURFACE('',#352885,0.139999999999998); #326899=CYLINDRICAL_SURFACE('',#352888,0.175000000000001); #326900=CYLINDRICAL_SURFACE('',#352893,0.139999999999998); #326901=CYLINDRICAL_SURFACE('',#352896,0.175000000000001); #326902=CYLINDRICAL_SURFACE('',#352901,0.14); #326903=CYLINDRICAL_SURFACE('',#352904,0.175000000000001); #326904=CYLINDRICAL_SURFACE('',#352909,0.139999999999998); #326905=CYLINDRICAL_SURFACE('',#352912,0.175000000000001); #326906=CYLINDRICAL_SURFACE('',#352917,0.514999999999999); #326907=CYLINDRICAL_SURFACE('',#352920,0.549999999999997); #326908=CYLINDRICAL_SURFACE('',#352925,0.139999999999998); #326909=CYLINDRICAL_SURFACE('',#352928,0.175000000000001); #326910=CYLINDRICAL_SURFACE('',#352933,0.140000000000002); #326911=CYLINDRICAL_SURFACE('',#352936,0.175000000000001); #326912=CYLINDRICAL_SURFACE('',#352941,0.139999999999998); #326913=CYLINDRICAL_SURFACE('',#352944,0.175000000000001); #326914=CYLINDRICAL_SURFACE('',#352949,0.139999999999998); #326915=CYLINDRICAL_SURFACE('',#352952,0.175000000000001); #326916=CYLINDRICAL_SURFACE('',#352957,0.140000000000002); #326917=CYLINDRICAL_SURFACE('',#352960,0.175000000000001); #326918=CYLINDRICAL_SURFACE('',#352965,0.140000000000002); #326919=CYLINDRICAL_SURFACE('',#352968,0.175000000000001); #326920=CYLINDRICAL_SURFACE('',#352973,0.139999999999998); #326921=CYLINDRICAL_SURFACE('',#352976,0.175000000000001); #326922=CYLINDRICAL_SURFACE('',#352981,0.139999999999998); #326923=CYLINDRICAL_SURFACE('',#352984,0.175000000000001); #326924=CYLINDRICAL_SURFACE('',#352989,0.140000000000002); #326925=CYLINDRICAL_SURFACE('',#352992,0.175000000000001); #326926=CYLINDRICAL_SURFACE('',#352997,0.140000000000002); #326927=CYLINDRICAL_SURFACE('',#353000,0.175000000000001); #326928=CYLINDRICAL_SURFACE('',#353005,0.140000000000002); #326929=CYLINDRICAL_SURFACE('',#353008,0.175000000000001); #326930=CYLINDRICAL_SURFACE('',#353013,0.139999999999998); #326931=CYLINDRICAL_SURFACE('',#353016,0.175000000000001); #326932=CYLINDRICAL_SURFACE('',#353021,0.140000000000002); #326933=CYLINDRICAL_SURFACE('',#353024,0.175000000000001); #326934=CYLINDRICAL_SURFACE('',#353029,0.140000000000002); #326935=CYLINDRICAL_SURFACE('',#353032,0.175000000000001); #326936=CYLINDRICAL_SURFACE('',#353037,0.140000000000002); #326937=CYLINDRICAL_SURFACE('',#353040,0.175000000000001); #326938=CYLINDRICAL_SURFACE('',#353045,0.465); #326939=CYLINDRICAL_SURFACE('',#353048,0.499999999999998); #326940=CYLINDRICAL_SURFACE('',#353053,0.139999999999998); #326941=CYLINDRICAL_SURFACE('',#353056,0.175000000000001); #326942=CYLINDRICAL_SURFACE('',#353061,0.140000000000002); #326943=CYLINDRICAL_SURFACE('',#353064,0.175000000000001); #326944=CYLINDRICAL_SURFACE('',#353069,0.139999999999998); #326945=CYLINDRICAL_SURFACE('',#353072,0.175000000000001); #326946=CYLINDRICAL_SURFACE('',#353077,0.139999999999998); #326947=CYLINDRICAL_SURFACE('',#353080,0.175000000000001); #326948=CYLINDRICAL_SURFACE('',#353085,0.139999999999998); #326949=CYLINDRICAL_SURFACE('',#353088,0.175000000000001); #326950=CYLINDRICAL_SURFACE('',#353093,0.140000000000002); #326951=CYLINDRICAL_SURFACE('',#353096,0.175000000000001); #326952=CYLINDRICAL_SURFACE('',#353101,0.139999999999998); #326953=CYLINDRICAL_SURFACE('',#353104,0.175000000000001); #326954=CYLINDRICAL_SURFACE('',#353109,0.139999999999998); #326955=CYLINDRICAL_SURFACE('',#353112,0.175000000000001); #326956=CYLINDRICAL_SURFACE('',#353117,0.139999999999998); #326957=CYLINDRICAL_SURFACE('',#353120,0.175000000000001); #326958=CYLINDRICAL_SURFACE('',#353125,0.140000000000002); #326959=CYLINDRICAL_SURFACE('',#353128,0.175000000000001); #326960=CYLINDRICAL_SURFACE('',#353133,0.139999999999998); #326961=CYLINDRICAL_SURFACE('',#353136,0.175000000000001); #326962=CYLINDRICAL_SURFACE('',#353141,0.139999999999998); #326963=CYLINDRICAL_SURFACE('',#353144,0.175000000000001); #326964=CYLINDRICAL_SURFACE('',#353149,0.139999999999998); #326965=CYLINDRICAL_SURFACE('',#353152,0.175000000000001); #326966=CYLINDRICAL_SURFACE('',#353157,0.14); #326967=CYLINDRICAL_SURFACE('',#353160,0.175000000000001); #326968=CYLINDRICAL_SURFACE('',#353165,0.139999999999998); #326969=CYLINDRICAL_SURFACE('',#353168,0.175000000000001); #326970=CYLINDRICAL_SURFACE('',#353173,0.564999999999998); #326971=CYLINDRICAL_SURFACE('',#353176,0.600000000000001); #326972=CYLINDRICAL_SURFACE('',#353181,0.140000000000002); #326973=CYLINDRICAL_SURFACE('',#353184,0.175000000000001); #326974=CYLINDRICAL_SURFACE('',#353189,0.140000000000002); #326975=CYLINDRICAL_SURFACE('',#353192,0.175000000000001); #326976=CYLINDRICAL_SURFACE('',#353197,0.140000000000002); #326977=CYLINDRICAL_SURFACE('',#353200,0.175000000000001); #326978=CYLINDRICAL_SURFACE('',#353205,0.139999999999998); #326979=CYLINDRICAL_SURFACE('',#353208,0.175000000000001); #326980=CYLINDRICAL_SURFACE('',#353213,0.140000000000002); #326981=CYLINDRICAL_SURFACE('',#353216,0.175000000000001); #326982=CYLINDRICAL_SURFACE('',#353221,0.140000000000002); #326983=CYLINDRICAL_SURFACE('',#353224,0.175000000000001); #326984=CYLINDRICAL_SURFACE('',#353229,0.140000000000002); #326985=CYLINDRICAL_SURFACE('',#353232,0.175000000000001); #326986=CYLINDRICAL_SURFACE('',#353237,0.139999999999998); #326987=CYLINDRICAL_SURFACE('',#353240,0.175000000000001); #326988=CYLINDRICAL_SURFACE('',#353245,0.140000000000002); #326989=CYLINDRICAL_SURFACE('',#353248,0.175000000000001); #326990=CYLINDRICAL_SURFACE('',#353253,0.140000000000002); #326991=CYLINDRICAL_SURFACE('',#353256,0.175000000000001); #326992=CYLINDRICAL_SURFACE('',#353261,0.140000000000002); #326993=CYLINDRICAL_SURFACE('',#353264,0.175000000000001); #326994=CYLINDRICAL_SURFACE('',#353269,0.139999999999998); #326995=CYLINDRICAL_SURFACE('',#353272,0.175000000000001); #326996=CYLINDRICAL_SURFACE('',#353277,0.140000000000002); #326997=CYLINDRICAL_SURFACE('',#353280,0.175000000000001); #326998=CYLINDRICAL_SURFACE('',#353285,0.140000000000002); #326999=CYLINDRICAL_SURFACE('',#353288,0.175000000000001); #327000=CYLINDRICAL_SURFACE('',#353293,0.140000000000002); #327001=CYLINDRICAL_SURFACE('',#353296,0.175000000000001); #327002=CYLINDRICAL_SURFACE('',#353301,0.465); #327003=CYLINDRICAL_SURFACE('',#353304,0.499999999999998); #327004=CYLINDRICAL_SURFACE('',#353309,0.140000000000002); #327005=CYLINDRICAL_SURFACE('',#353312,0.175000000000001); #327006=CYLINDRICAL_SURFACE('',#353317,0.140000000000002); #327007=CYLINDRICAL_SURFACE('',#353320,0.175000000000001); #327008=CYLINDRICAL_SURFACE('',#353325,0.140000000000002); #327009=CYLINDRICAL_SURFACE('',#353328,0.175000000000001); #327010=CYLINDRICAL_SURFACE('',#353333,0.139999999999998); #327011=CYLINDRICAL_SURFACE('',#353336,0.175000000000001); #327012=CYLINDRICAL_SURFACE('',#353341,0.140000000000002); #327013=CYLINDRICAL_SURFACE('',#353344,0.175000000000001); #327014=CYLINDRICAL_SURFACE('',#353349,0.140000000000002); #327015=CYLINDRICAL_SURFACE('',#353352,0.175000000000001); #327016=CYLINDRICAL_SURFACE('',#353357,0.140000000000002); #327017=CYLINDRICAL_SURFACE('',#353360,0.175000000000001); #327018=CYLINDRICAL_SURFACE('',#353365,0.139999999999998); #327019=CYLINDRICAL_SURFACE('',#353368,0.175000000000001); #327020=CYLINDRICAL_SURFACE('',#353373,0.140000000000002); #327021=CYLINDRICAL_SURFACE('',#353376,0.175000000000001); #327022=CYLINDRICAL_SURFACE('',#353381,0.140000000000002); #327023=CYLINDRICAL_SURFACE('',#353384,0.175000000000001); #327024=CYLINDRICAL_SURFACE('',#353389,0.140000000000002); #327025=CYLINDRICAL_SURFACE('',#353392,0.175000000000001); #327026=CYLINDRICAL_SURFACE('',#353397,0.139999999999998); #327027=CYLINDRICAL_SURFACE('',#353400,0.175000000000001); #327028=CYLINDRICAL_SURFACE('',#353405,0.140000000000002); #327029=CYLINDRICAL_SURFACE('',#353408,0.175000000000001); #327030=CYLINDRICAL_SURFACE('',#353413,0.140000000000002); #327031=CYLINDRICAL_SURFACE('',#353416,0.175000000000001); #327032=CYLINDRICAL_SURFACE('',#353421,0.140000000000002); #327033=CYLINDRICAL_SURFACE('',#353424,0.175000000000001); #327034=CYLINDRICAL_SURFACE('',#353429,0.514999999999999); #327035=CYLINDRICAL_SURFACE('',#353432,0.549999999999997); #327036=CYLINDRICAL_SURFACE('',#353437,0.140000000000002); #327037=CYLINDRICAL_SURFACE('',#353440,0.175000000000001); #327038=CYLINDRICAL_SURFACE('',#353445,0.140000000000002); #327039=CYLINDRICAL_SURFACE('',#353448,0.175000000000001); #327040=CYLINDRICAL_SURFACE('',#353453,0.140000000000002); #327041=CYLINDRICAL_SURFACE('',#353456,0.175000000000001); #327042=CYLINDRICAL_SURFACE('',#353461,0.139999999999998); #327043=CYLINDRICAL_SURFACE('',#353464,0.175000000000001); #327044=CYLINDRICAL_SURFACE('',#353469,0.140000000000002); #327045=CYLINDRICAL_SURFACE('',#353472,0.175000000000001); #327046=CYLINDRICAL_SURFACE('',#353477,0.139999999999998); #327047=CYLINDRICAL_SURFACE('',#353480,0.175000000000001); #327048=CYLINDRICAL_SURFACE('',#353485,0.140000000000002); #327049=CYLINDRICAL_SURFACE('',#353488,0.175000000000001); #327050=CYLINDRICAL_SURFACE('',#353493,0.139999999999998); #327051=CYLINDRICAL_SURFACE('',#353496,0.175000000000001); #327052=CYLINDRICAL_SURFACE('',#353501,0.140000000000002); #327053=CYLINDRICAL_SURFACE('',#353504,0.175000000000001); #327054=CYLINDRICAL_SURFACE('',#353509,0.139999999999998); #327055=CYLINDRICAL_SURFACE('',#353512,0.175000000000001); #327056=CYLINDRICAL_SURFACE('',#353517,0.140000000000002); #327057=CYLINDRICAL_SURFACE('',#353520,0.175000000000001); #327058=CYLINDRICAL_SURFACE('',#353525,0.139999999999998); #327059=CYLINDRICAL_SURFACE('',#353528,0.175000000000001); #327060=CYLINDRICAL_SURFACE('',#353533,0.140000000000002); #327061=CYLINDRICAL_SURFACE('',#353536,0.175000000000001); #327062=CYLINDRICAL_SURFACE('',#353541,0.139999999999998); #327063=CYLINDRICAL_SURFACE('',#353544,0.175000000000001); #327064=CYLINDRICAL_SURFACE('',#353549,0.140000000000002); #327065=CYLINDRICAL_SURFACE('',#353552,0.175000000000001); #327066=CYLINDRICAL_SURFACE('',#353557,0.465); #327067=CYLINDRICAL_SURFACE('',#353560,0.499999999999998); #327068=CYLINDRICAL_SURFACE('',#353565,0.140000000000002); #327069=CYLINDRICAL_SURFACE('',#353568,0.175000000000001); #327070=CYLINDRICAL_SURFACE('',#353573,0.140000000000002); #327071=CYLINDRICAL_SURFACE('',#353576,0.175000000000001); #327072=CYLINDRICAL_SURFACE('',#353581,0.140000000000002); #327073=CYLINDRICAL_SURFACE('',#353584,0.175000000000001); #327074=CYLINDRICAL_SURFACE('',#353589,0.139999999999998); #327075=CYLINDRICAL_SURFACE('',#353592,0.175000000000001); #327076=CYLINDRICAL_SURFACE('',#353597,0.140000000000002); #327077=CYLINDRICAL_SURFACE('',#353600,0.175000000000001); #327078=CYLINDRICAL_SURFACE('',#353605,0.140000000000002); #327079=CYLINDRICAL_SURFACE('',#353608,0.175000000000001); #327080=CYLINDRICAL_SURFACE('',#353613,0.140000000000002); #327081=CYLINDRICAL_SURFACE('',#353616,0.175000000000001); #327082=CYLINDRICAL_SURFACE('',#353621,0.139999999999998); #327083=CYLINDRICAL_SURFACE('',#353624,0.175000000000001); #327084=CYLINDRICAL_SURFACE('',#353629,0.140000000000002); #327085=CYLINDRICAL_SURFACE('',#353632,0.175000000000001); #327086=CYLINDRICAL_SURFACE('',#353637,0.140000000000002); #327087=CYLINDRICAL_SURFACE('',#353640,0.175000000000001); #327088=CYLINDRICAL_SURFACE('',#353645,0.140000000000002); #327089=CYLINDRICAL_SURFACE('',#353648,0.175000000000001); #327090=CYLINDRICAL_SURFACE('',#353653,0.139999999999998); #327091=CYLINDRICAL_SURFACE('',#353656,0.175000000000001); #327092=CYLINDRICAL_SURFACE('',#353661,0.140000000000002); #327093=CYLINDRICAL_SURFACE('',#353664,0.175000000000001); #327094=CYLINDRICAL_SURFACE('',#353669,0.140000000000002); #327095=CYLINDRICAL_SURFACE('',#353672,0.175000000000001); #327096=CYLINDRICAL_SURFACE('',#353677,0.140000000000002); #327097=CYLINDRICAL_SURFACE('',#353680,0.175000000000001); #327098=CYLINDRICAL_SURFACE('',#353685,0.564999999999998); #327099=CYLINDRICAL_SURFACE('',#353688,0.600000000000001); #327100=CYLINDRICAL_SURFACE('',#353693,0.14); #327101=CYLINDRICAL_SURFACE('',#353696,0.175000000000001); #327102=CYLINDRICAL_SURFACE('',#353701,0.139999999999998); #327103=CYLINDRICAL_SURFACE('',#353704,0.175000000000001); #327104=CYLINDRICAL_SURFACE('',#353709,0.140000000000002); #327105=CYLINDRICAL_SURFACE('',#353712,0.175000000000001); #327106=CYLINDRICAL_SURFACE('',#353717,0.139999999999998); #327107=CYLINDRICAL_SURFACE('',#353720,0.175000000000001); #327108=CYLINDRICAL_SURFACE('',#353726,0.315); #327109=CYLINDRICAL_SURFACE('',#353730,0.315); #327110=CYLINDRICAL_SURFACE('',#353734,0.35); #327111=CYLINDRICAL_SURFACE('',#353738,0.35); #327112=CYLINDRICAL_SURFACE('',#353743,0.139999999999998); #327113=CYLINDRICAL_SURFACE('',#353746,0.175000000000001); #327114=CYLINDRICAL_SURFACE('',#353752,0.315); #327115=CYLINDRICAL_SURFACE('',#353756,0.315); #327116=CYLINDRICAL_SURFACE('',#353760,0.35); #327117=CYLINDRICAL_SURFACE('',#353764,0.35); #327118=CYLINDRICAL_SURFACE('',#353769,0.139999999999998); #327119=CYLINDRICAL_SURFACE('',#353772,0.175000000000001); #327120=CYLINDRICAL_SURFACE('',#353778,0.315); #327121=CYLINDRICAL_SURFACE('',#353782,0.315); #327122=CYLINDRICAL_SURFACE('',#353786,0.35); #327123=CYLINDRICAL_SURFACE('',#353790,0.35); #327124=CYLINDRICAL_SURFACE('',#353795,0.140000000000002); #327125=CYLINDRICAL_SURFACE('',#353798,0.175000000000001); #327126=CYLINDRICAL_SURFACE('',#353803,0.139999999999998); #327127=CYLINDRICAL_SURFACE('',#353806,0.175000000000001); #327128=CYLINDRICAL_SURFACE('',#353811,0.114999999999998); #327129=CYLINDRICAL_SURFACE('',#353814,0.150000000000001); #327130=CYLINDRICAL_SURFACE('',#353819,0.139999999999998); #327131=CYLINDRICAL_SURFACE('',#353822,0.175000000000001); #327132=CYLINDRICAL_SURFACE('',#353827,0.114999999999998); #327133=CYLINDRICAL_SURFACE('',#353830,0.150000000000001); #327134=CYLINDRICAL_SURFACE('',#353835,0.139999999999998); #327135=CYLINDRICAL_SURFACE('',#353838,0.175000000000001); #327136=CYLINDRICAL_SURFACE('',#353843,0.114999999999998); #327137=CYLINDRICAL_SURFACE('',#353846,0.150000000000001); #327138=CYLINDRICAL_SURFACE('',#353851,0.139999999999998); #327139=CYLINDRICAL_SURFACE('',#353854,0.175000000000001); #327140=CYLINDRICAL_SURFACE('',#353859,0.114999999999998); #327141=CYLINDRICAL_SURFACE('',#353862,0.150000000000001); #327142=CYLINDRICAL_SURFACE('',#353867,0.139999999999998); #327143=CYLINDRICAL_SURFACE('',#353870,0.175000000000001); #327144=CYLINDRICAL_SURFACE('',#353876,0.565); #327145=CYLINDRICAL_SURFACE('',#353880,0.565); #327146=CYLINDRICAL_SURFACE('',#353884,0.6); #327147=CYLINDRICAL_SURFACE('',#353888,0.6); #327148=CYLINDRICAL_SURFACE('',#353893,0.139999999999998); #327149=CYLINDRICAL_SURFACE('',#353896,0.175000000000001); #327150=CYLINDRICAL_SURFACE('',#353901,0.114999999999998); #327151=CYLINDRICAL_SURFACE('',#353904,0.150000000000001); #327152=CYLINDRICAL_SURFACE('',#353909,0.139999999999998); #327153=CYLINDRICAL_SURFACE('',#353912,0.175000000000001); #327154=CYLINDRICAL_SURFACE('',#353918,0.565); #327155=CYLINDRICAL_SURFACE('',#353922,0.565); #327156=CYLINDRICAL_SURFACE('',#353926,0.6); #327157=CYLINDRICAL_SURFACE('',#353930,0.6); #327158=CYLINDRICAL_SURFACE('',#353935,0.139999999999998); #327159=CYLINDRICAL_SURFACE('',#353938,0.175000000000001); #327160=CYLINDRICAL_SURFACE('',#353944,0.315); #327161=CYLINDRICAL_SURFACE('',#353948,0.315); #327162=CYLINDRICAL_SURFACE('',#353952,0.35); #327163=CYLINDRICAL_SURFACE('',#353956,0.35); #327164=CYLINDRICAL_SURFACE('',#353961,0.465); #327165=CYLINDRICAL_SURFACE('',#353964,0.499999999999998); #327166=CYLINDRICAL_SURFACE('',#353969,0.139999999999998); #327167=CYLINDRICAL_SURFACE('',#353972,0.175000000000001); #327168=CYLINDRICAL_SURFACE('',#353977,0.14); #327169=CYLINDRICAL_SURFACE('',#353980,0.175); #327170=CYLINDRICAL_SURFACE('',#353985,0.139999999999998); #327171=CYLINDRICAL_SURFACE('',#353988,0.175000000000001); #327172=CYLINDRICAL_SURFACE('',#353993,0.139999999999998); #327173=CYLINDRICAL_SURFACE('',#353996,0.175000000000001); #327174=CYLINDRICAL_SURFACE('',#354001,0.139999999999998); #327175=CYLINDRICAL_SURFACE('',#354004,0.175000000000001); #327176=CYLINDRICAL_SURFACE('',#354009,0.14); #327177=CYLINDRICAL_SURFACE('',#354012,0.175); #327178=CYLINDRICAL_SURFACE('',#354017,0.139999999999998); #327179=CYLINDRICAL_SURFACE('',#354020,0.175000000000001); #327180=CYLINDRICAL_SURFACE('',#354025,0.139999999999998); #327181=CYLINDRICAL_SURFACE('',#354028,0.175000000000001); #327182=CYLINDRICAL_SURFACE('',#354033,0.139999999999998); #327183=CYLINDRICAL_SURFACE('',#354036,0.175000000000001); #327184=CYLINDRICAL_SURFACE('',#354041,0.14); #327185=CYLINDRICAL_SURFACE('',#354044,0.175000000000001); #327186=CYLINDRICAL_SURFACE('',#354049,0.139999999999998); #327187=CYLINDRICAL_SURFACE('',#354052,0.175000000000001); #327188=CYLINDRICAL_SURFACE('',#354057,0.139999999999998); #327189=CYLINDRICAL_SURFACE('',#354060,0.175000000000001); #327190=CYLINDRICAL_SURFACE('',#354065,0.139999999999998); #327191=CYLINDRICAL_SURFACE('',#354068,0.175000000000001); #327192=CYLINDRICAL_SURFACE('',#354073,0.14); #327193=CYLINDRICAL_SURFACE('',#354076,0.175); #327194=CYLINDRICAL_SURFACE('',#354081,0.139999999999998); #327195=CYLINDRICAL_SURFACE('',#354084,0.175000000000001); #327196=CYLINDRICAL_SURFACE('',#354089,0.139999999999998); #327197=CYLINDRICAL_SURFACE('',#354092,0.175000000000001); #327198=CYLINDRICAL_SURFACE('',#354097,0.140000000000002); #327199=CYLINDRICAL_SURFACE('',#354100,0.175000000000001); #327200=CYLINDRICAL_SURFACE('',#354105,0.14); #327201=CYLINDRICAL_SURFACE('',#354108,0.175); #327202=CYLINDRICAL_SURFACE('',#354113,0.139999999999998); #327203=CYLINDRICAL_SURFACE('',#354116,0.175000000000001); #327204=CYLINDRICAL_SURFACE('',#354121,0.139999999999998); #327205=CYLINDRICAL_SURFACE('',#354124,0.175000000000001); #327206=CYLINDRICAL_SURFACE('',#354129,0.139999999999998); #327207=CYLINDRICAL_SURFACE('',#354132,0.175000000000001); #327208=CYLINDRICAL_SURFACE('',#354137,0.14); #327209=CYLINDRICAL_SURFACE('',#354140,0.175); #327210=CYLINDRICAL_SURFACE('',#354145,0.139999999999998); #327211=CYLINDRICAL_SURFACE('',#354148,0.175000000000001); #327212=CYLINDRICAL_SURFACE('',#354153,0.139999999999998); #327213=CYLINDRICAL_SURFACE('',#354156,0.175000000000001); #327214=CYLINDRICAL_SURFACE('',#354161,0.114999999999998); #327215=CYLINDRICAL_SURFACE('',#354164,0.150000000000001); #327216=CYLINDRICAL_SURFACE('',#354169,0.14); #327217=CYLINDRICAL_SURFACE('',#354172,0.175); #327218=CYLINDRICAL_SURFACE('',#354177,0.140000000000002); #327219=CYLINDRICAL_SURFACE('',#354180,0.175000000000001); #327220=CYLINDRICAL_SURFACE('',#354185,0.139999999999998); #327221=CYLINDRICAL_SURFACE('',#354188,0.175000000000001); #327222=CYLINDRICAL_SURFACE('',#354193,0.114999999999998); #327223=CYLINDRICAL_SURFACE('',#354196,0.150000000000001); #327224=CYLINDRICAL_SURFACE('',#354201,0.14); #327225=CYLINDRICAL_SURFACE('',#354204,0.175); #327226=CYLINDRICAL_SURFACE('',#354209,0.114999999999998); #327227=CYLINDRICAL_SURFACE('',#354212,0.150000000000001); #327228=CYLINDRICAL_SURFACE('',#354217,0.514999999999999); #327229=CYLINDRICAL_SURFACE('',#354220,0.550000000000002); #327230=CYLINDRICAL_SURFACE('',#354225,0.139999999999998); #327231=CYLINDRICAL_SURFACE('',#354228,0.175000000000001); #327232=CYLINDRICAL_SURFACE('',#354233,0.140000000000002); #327233=CYLINDRICAL_SURFACE('',#354236,0.175000000000001); #327234=CYLINDRICAL_SURFACE('',#354241,0.139999999999998); #327235=CYLINDRICAL_SURFACE('',#354244,0.175000000000001); #327236=CYLINDRICAL_SURFACE('',#354249,0.139999999999998); #327237=CYLINDRICAL_SURFACE('',#354252,0.175000000000001); #327238=CYLINDRICAL_SURFACE('',#354257,0.139999999999998); #327239=CYLINDRICAL_SURFACE('',#354260,0.175000000000001); #327240=CYLINDRICAL_SURFACE('',#354265,0.140000000000002); #327241=CYLINDRICAL_SURFACE('',#354268,0.175000000000001); #327242=CYLINDRICAL_SURFACE('',#354273,0.139999999999998); #327243=CYLINDRICAL_SURFACE('',#354276,0.175000000000001); #327244=CYLINDRICAL_SURFACE('',#354281,0.139999999999998); #327245=CYLINDRICAL_SURFACE('',#354284,0.175000000000001); #327246=CYLINDRICAL_SURFACE('',#354289,0.139999999999998); #327247=CYLINDRICAL_SURFACE('',#354292,0.175000000000001); #327248=CYLINDRICAL_SURFACE('',#354297,0.140000000000002); #327249=CYLINDRICAL_SURFACE('',#354300,0.175000000000001); #327250=CYLINDRICAL_SURFACE('',#354305,0.139999999999998); #327251=CYLINDRICAL_SURFACE('',#354308,0.175000000000001); #327252=CYLINDRICAL_SURFACE('',#354313,0.139999999999998); #327253=CYLINDRICAL_SURFACE('',#354316,0.175000000000001); #327254=CYLINDRICAL_SURFACE('',#354321,0.139999999999998); #327255=CYLINDRICAL_SURFACE('',#354324,0.175000000000001); #327256=CYLINDRICAL_SURFACE('',#354329,0.140000000000002); #327257=CYLINDRICAL_SURFACE('',#354332,0.175000000000001); #327258=CYLINDRICAL_SURFACE('',#354337,0.139999999999998); #327259=CYLINDRICAL_SURFACE('',#354340,0.175000000000001); #327260=CYLINDRICAL_SURFACE('',#354345,0.465); #327261=CYLINDRICAL_SURFACE('',#354348,0.499999999999998); #327262=CYLINDRICAL_SURFACE('',#354353,0.139999999999998); #327263=CYLINDRICAL_SURFACE('',#354356,0.175000000000001); #327264=CYLINDRICAL_SURFACE('',#354361,0.140000000000002); #327265=CYLINDRICAL_SURFACE('',#354364,0.175000000000001); #327266=CYLINDRICAL_SURFACE('',#354369,0.139999999999998); #327267=CYLINDRICAL_SURFACE('',#354372,0.175000000000001); #327268=CYLINDRICAL_SURFACE('',#354377,0.139999999999998); #327269=CYLINDRICAL_SURFACE('',#354380,0.175000000000001); #327270=CYLINDRICAL_SURFACE('',#354385,0.14); #327271=CYLINDRICAL_SURFACE('',#354388,0.175000000000001); #327272=CYLINDRICAL_SURFACE('',#354393,0.140000000000002); #327273=CYLINDRICAL_SURFACE('',#354396,0.175000000000001); #327274=CYLINDRICAL_SURFACE('',#354401,0.139999999999998); #327275=CYLINDRICAL_SURFACE('',#354404,0.175000000000001); #327276=CYLINDRICAL_SURFACE('',#354409,0.139999999999998); #327277=CYLINDRICAL_SURFACE('',#354412,0.175000000000001); #327278=CYLINDRICAL_SURFACE('',#354417,0.139999999999998); #327279=CYLINDRICAL_SURFACE('',#354420,0.175000000000001); #327280=CYLINDRICAL_SURFACE('',#354425,0.140000000000002); #327281=CYLINDRICAL_SURFACE('',#354428,0.175000000000001); #327282=CYLINDRICAL_SURFACE('',#354433,0.139999999999998); #327283=CYLINDRICAL_SURFACE('',#354436,0.175000000000001); #327284=CYLINDRICAL_SURFACE('',#354441,0.139999999999998); #327285=CYLINDRICAL_SURFACE('',#354444,0.175000000000001); #327286=CYLINDRICAL_SURFACE('',#354449,0.139999999999998); #327287=CYLINDRICAL_SURFACE('',#354452,0.175000000000001); #327288=CYLINDRICAL_SURFACE('',#354457,0.140000000000002); #327289=CYLINDRICAL_SURFACE('',#354460,0.175000000000001); #327290=CYLINDRICAL_SURFACE('',#354465,0.139999999999998); #327291=CYLINDRICAL_SURFACE('',#354468,0.175000000000001); #327292=CYLINDRICAL_SURFACE('',#354473,0.465); #327293=CYLINDRICAL_SURFACE('',#354476,0.499999999999998); #327294=CYLINDRICAL_SURFACE('',#354481,0.14); #327295=CYLINDRICAL_SURFACE('',#354484,0.175000000000001); #327296=CYLINDRICAL_SURFACE('',#354489,0.140000000000002); #327297=CYLINDRICAL_SURFACE('',#354492,0.175000000000001); #327298=CYLINDRICAL_SURFACE('',#354497,0.14); #327299=CYLINDRICAL_SURFACE('',#354500,0.175000000000001); #327300=CYLINDRICAL_SURFACE('',#354505,0.139999999999998); #327301=CYLINDRICAL_SURFACE('',#354508,0.175000000000001); #327302=CYLINDRICAL_SURFACE('',#354513,0.14); #327303=CYLINDRICAL_SURFACE('',#354516,0.175000000000001); #327304=CYLINDRICAL_SURFACE('',#354521,0.140000000000002); #327305=CYLINDRICAL_SURFACE('',#354524,0.175000000000001); #327306=CYLINDRICAL_SURFACE('',#354529,0.14); #327307=CYLINDRICAL_SURFACE('',#354532,0.175000000000001); #327308=CYLINDRICAL_SURFACE('',#354537,0.139999999999998); #327309=CYLINDRICAL_SURFACE('',#354540,0.175000000000001); #327310=CYLINDRICAL_SURFACE('',#354545,0.14); #327311=CYLINDRICAL_SURFACE('',#354548,0.175000000000001); #327312=CYLINDRICAL_SURFACE('',#354553,0.140000000000002); #327313=CYLINDRICAL_SURFACE('',#354556,0.175000000000001); #327314=CYLINDRICAL_SURFACE('',#354561,0.14); #327315=CYLINDRICAL_SURFACE('',#354564,0.175000000000001); #327316=CYLINDRICAL_SURFACE('',#354569,0.139999999999998); #327317=CYLINDRICAL_SURFACE('',#354572,0.175000000000001); #327318=CYLINDRICAL_SURFACE('',#354577,0.14); #327319=CYLINDRICAL_SURFACE('',#354580,0.175000000000001); #327320=CYLINDRICAL_SURFACE('',#354585,0.140000000000002); #327321=CYLINDRICAL_SURFACE('',#354588,0.175000000000001); #327322=CYLINDRICAL_SURFACE('',#354593,0.14); #327323=CYLINDRICAL_SURFACE('',#354596,0.175000000000001); #327324=CYLINDRICAL_SURFACE('',#354601,0.139999999999998); #327325=CYLINDRICAL_SURFACE('',#354604,0.175000000000001); #327326=CYLINDRICAL_SURFACE('',#354609,0.14); #327327=CYLINDRICAL_SURFACE('',#354612,0.175000000000001); #327328=CYLINDRICAL_SURFACE('',#354617,0.14); #327329=CYLINDRICAL_SURFACE('',#354620,0.175); #327330=CYLINDRICAL_SURFACE('',#354625,0.14); #327331=CYLINDRICAL_SURFACE('',#354628,0.175000000000001); #327332=CYLINDRICAL_SURFACE('',#354633,0.139999999999998); #327333=CYLINDRICAL_SURFACE('',#354636,0.175000000000001); #327334=CYLINDRICAL_SURFACE('',#354641,0.14); #327335=CYLINDRICAL_SURFACE('',#354644,0.175000000000001); #327336=CYLINDRICAL_SURFACE('',#354649,0.14); #327337=CYLINDRICAL_SURFACE('',#354652,0.175000000000001); #327338=CYLINDRICAL_SURFACE('',#354657,0.14); #327339=CYLINDRICAL_SURFACE('',#354660,0.175000000000001); #327340=CYLINDRICAL_SURFACE('',#354665,0.139999999999998); #327341=CYLINDRICAL_SURFACE('',#354668,0.175000000000001); #327342=CYLINDRICAL_SURFACE('',#354673,0.139999999999998); #327343=CYLINDRICAL_SURFACE('',#354676,0.175000000000001); #327344=CYLINDRICAL_SURFACE('',#354681,0.14); #327345=CYLINDRICAL_SURFACE('',#354684,0.175); #327346=CYLINDRICAL_SURFACE('',#354689,0.139999999999998); #327347=CYLINDRICAL_SURFACE('',#354692,0.175000000000001); #327348=CYLINDRICAL_SURFACE('',#354697,0.139999999999998); #327349=CYLINDRICAL_SURFACE('',#354700,0.175000000000001); #327350=CYLINDRICAL_SURFACE('',#354705,0.139999999999998); #327351=CYLINDRICAL_SURFACE('',#354708,0.175000000000001); #327352=CYLINDRICAL_SURFACE('',#354713,0.14); #327353=CYLINDRICAL_SURFACE('',#354716,0.175000000000001); #327354=CYLINDRICAL_SURFACE('',#354721,0.139999999999998); #327355=CYLINDRICAL_SURFACE('',#354724,0.175000000000001); #327356=CYLINDRICAL_SURFACE('',#354729,0.565000000000002); #327357=CYLINDRICAL_SURFACE('',#354732,0.600000000000001); #327358=CYLINDRICAL_SURFACE('',#354737,0.140000000000002); #327359=CYLINDRICAL_SURFACE('',#354740,0.175000000000001); #327360=CYLINDRICAL_SURFACE('',#354745,0.139999999999998); #327361=CYLINDRICAL_SURFACE('',#354748,0.175000000000001); #327362=CYLINDRICAL_SURFACE('',#354753,0.140000000000002); #327363=CYLINDRICAL_SURFACE('',#354756,0.175000000000001); #327364=CYLINDRICAL_SURFACE('',#354761,0.139999999999998); #327365=CYLINDRICAL_SURFACE('',#354764,0.175000000000001); #327366=CYLINDRICAL_SURFACE('',#354769,0.140000000000002); #327367=CYLINDRICAL_SURFACE('',#354772,0.175000000000001); #327368=CYLINDRICAL_SURFACE('',#354777,0.139999999999998); #327369=CYLINDRICAL_SURFACE('',#354780,0.175000000000001); #327370=CYLINDRICAL_SURFACE('',#354785,0.140000000000002); #327371=CYLINDRICAL_SURFACE('',#354788,0.175000000000001); #327372=CYLINDRICAL_SURFACE('',#354793,0.139999999999998); #327373=CYLINDRICAL_SURFACE('',#354796,0.175000000000001); #327374=CYLINDRICAL_SURFACE('',#354801,0.140000000000002); #327375=CYLINDRICAL_SURFACE('',#354804,0.175000000000001); #327376=CYLINDRICAL_SURFACE('',#354809,0.139999999999998); #327377=CYLINDRICAL_SURFACE('',#354812,0.175000000000001); #327378=CYLINDRICAL_SURFACE('',#354817,0.139999999999998); #327379=CYLINDRICAL_SURFACE('',#354820,0.175000000000001); #327380=CYLINDRICAL_SURFACE('',#354825,0.139999999999998); #327381=CYLINDRICAL_SURFACE('',#354828,0.175000000000001); #327382=CYLINDRICAL_SURFACE('',#354833,0.139999999999998); #327383=CYLINDRICAL_SURFACE('',#354836,0.175000000000001); #327384=CYLINDRICAL_SURFACE('',#354841,0.139999999999998); #327385=CYLINDRICAL_SURFACE('',#354844,0.175000000000001); #327386=CYLINDRICAL_SURFACE('',#354849,0.140000000000002); #327387=CYLINDRICAL_SURFACE('',#354852,0.175000000000001); #327388=CYLINDRICAL_SURFACE('',#354857,0.465); #327389=CYLINDRICAL_SURFACE('',#354860,0.5); #327390=CYLINDRICAL_SURFACE('',#354865,0.14); #327391=CYLINDRICAL_SURFACE('',#354868,0.175000000000001); #327392=CYLINDRICAL_SURFACE('',#354873,0.139999999999998); #327393=CYLINDRICAL_SURFACE('',#354876,0.175000000000001); #327394=CYLINDRICAL_SURFACE('',#354881,0.14); #327395=CYLINDRICAL_SURFACE('',#354884,0.175000000000001); #327396=CYLINDRICAL_SURFACE('',#354889,0.139999999999998); #327397=CYLINDRICAL_SURFACE('',#354892,0.175000000000001); #327398=CYLINDRICAL_SURFACE('',#354897,0.14); #327399=CYLINDRICAL_SURFACE('',#354900,0.175000000000001); #327400=CYLINDRICAL_SURFACE('',#354905,0.139999999999998); #327401=CYLINDRICAL_SURFACE('',#354908,0.175000000000001); #327402=CYLINDRICAL_SURFACE('',#354913,0.14); #327403=CYLINDRICAL_SURFACE('',#354916,0.175000000000001); #327404=CYLINDRICAL_SURFACE('',#354921,0.139999999999998); #327405=CYLINDRICAL_SURFACE('',#354924,0.175000000000001); #327406=CYLINDRICAL_SURFACE('',#354929,0.14); #327407=CYLINDRICAL_SURFACE('',#354932,0.175000000000001); #327408=CYLINDRICAL_SURFACE('',#354937,0.139999999999998); #327409=CYLINDRICAL_SURFACE('',#354940,0.175000000000001); #327410=CYLINDRICAL_SURFACE('',#354945,0.14); #327411=CYLINDRICAL_SURFACE('',#354948,0.175000000000001); #327412=CYLINDRICAL_SURFACE('',#354953,0.139999999999998); #327413=CYLINDRICAL_SURFACE('',#354956,0.175000000000001); #327414=CYLINDRICAL_SURFACE('',#354961,0.14); #327415=CYLINDRICAL_SURFACE('',#354964,0.175000000000001); #327416=CYLINDRICAL_SURFACE('',#354969,0.139999999999998); #327417=CYLINDRICAL_SURFACE('',#354972,0.175000000000001); #327418=CYLINDRICAL_SURFACE('',#354977,0.14); #327419=CYLINDRICAL_SURFACE('',#354980,0.175000000000001); #327420=CYLINDRICAL_SURFACE('',#354985,0.514999999999999); #327421=CYLINDRICAL_SURFACE('',#354988,0.550000000000002); #327422=CYLINDRICAL_SURFACE('',#354993,0.140000000000002); #327423=CYLINDRICAL_SURFACE('',#354996,0.175000000000001); #327424=CYLINDRICAL_SURFACE('',#355001,0.139999999999998); #327425=CYLINDRICAL_SURFACE('',#355004,0.175000000000001); #327426=CYLINDRICAL_SURFACE('',#355009,0.140000000000002); #327427=CYLINDRICAL_SURFACE('',#355012,0.175000000000001); #327428=CYLINDRICAL_SURFACE('',#355017,0.139999999999998); #327429=CYLINDRICAL_SURFACE('',#355020,0.175000000000001); #327430=CYLINDRICAL_SURFACE('',#355025,0.140000000000002); #327431=CYLINDRICAL_SURFACE('',#355028,0.175000000000001); #327432=CYLINDRICAL_SURFACE('',#355033,0.139999999999998); #327433=CYLINDRICAL_SURFACE('',#355036,0.175000000000001); #327434=CYLINDRICAL_SURFACE('',#355041,0.140000000000002); #327435=CYLINDRICAL_SURFACE('',#355044,0.175000000000001); #327436=CYLINDRICAL_SURFACE('',#355049,0.139999999999998); #327437=CYLINDRICAL_SURFACE('',#355052,0.175000000000001); #327438=CYLINDRICAL_SURFACE('',#355057,0.140000000000002); #327439=CYLINDRICAL_SURFACE('',#355060,0.175000000000001); #327440=CYLINDRICAL_SURFACE('',#355065,0.139999999999998); #327441=CYLINDRICAL_SURFACE('',#355068,0.175000000000001); #327442=CYLINDRICAL_SURFACE('',#355073,0.140000000000002); #327443=CYLINDRICAL_SURFACE('',#355076,0.175000000000001); #327444=CYLINDRICAL_SURFACE('',#355081,0.139999999999998); #327445=CYLINDRICAL_SURFACE('',#355084,0.175000000000001); #327446=CYLINDRICAL_SURFACE('',#355089,0.140000000000002); #327447=CYLINDRICAL_SURFACE('',#355092,0.175000000000001); #327448=CYLINDRICAL_SURFACE('',#355097,0.139999999999998); #327449=CYLINDRICAL_SURFACE('',#355100,0.175000000000001); #327450=CYLINDRICAL_SURFACE('',#355105,0.140000000000002); #327451=CYLINDRICAL_SURFACE('',#355108,0.175000000000001); #327452=CYLINDRICAL_SURFACE('',#355113,0.465); #327453=CYLINDRICAL_SURFACE('',#355116,0.5); #327454=CYLINDRICAL_SURFACE('',#355121,0.140000000000002); #327455=CYLINDRICAL_SURFACE('',#355124,0.175000000000001); #327456=CYLINDRICAL_SURFACE('',#355129,0.139999999999998); #327457=CYLINDRICAL_SURFACE('',#355132,0.175000000000001); #327458=CYLINDRICAL_SURFACE('',#355137,0.140000000000002); #327459=CYLINDRICAL_SURFACE('',#355140,0.175000000000001); #327460=CYLINDRICAL_SURFACE('',#355145,0.139999999999998); #327461=CYLINDRICAL_SURFACE('',#355148,0.175000000000001); #327462=CYLINDRICAL_SURFACE('',#355153,0.140000000000002); #327463=CYLINDRICAL_SURFACE('',#355156,0.175000000000001); #327464=CYLINDRICAL_SURFACE('',#355161,0.139999999999998); #327465=CYLINDRICAL_SURFACE('',#355164,0.175000000000001); #327466=CYLINDRICAL_SURFACE('',#355169,0.140000000000002); #327467=CYLINDRICAL_SURFACE('',#355172,0.175000000000001); #327468=CYLINDRICAL_SURFACE('',#355177,0.139999999999998); #327469=CYLINDRICAL_SURFACE('',#355180,0.175000000000001); #327470=CYLINDRICAL_SURFACE('',#355185,0.140000000000002); #327471=CYLINDRICAL_SURFACE('',#355188,0.175000000000001); #327472=CYLINDRICAL_SURFACE('',#355193,0.139999999999998); #327473=CYLINDRICAL_SURFACE('',#355196,0.175000000000001); #327474=CYLINDRICAL_SURFACE('',#355201,0.140000000000002); #327475=CYLINDRICAL_SURFACE('',#355204,0.175000000000001); #327476=CYLINDRICAL_SURFACE('',#355209,0.139999999999998); #327477=CYLINDRICAL_SURFACE('',#355212,0.175000000000001); #327478=CYLINDRICAL_SURFACE('',#355217,0.140000000000002); #327479=CYLINDRICAL_SURFACE('',#355220,0.175000000000001); #327480=CYLINDRICAL_SURFACE('',#355225,0.140000000000002); #327481=CYLINDRICAL_SURFACE('',#355228,0.175000000000001); #327482=CYLINDRICAL_SURFACE('',#355233,0.140000000000002); #327483=CYLINDRICAL_SURFACE('',#355236,0.175000000000001); #327484=CYLINDRICAL_SURFACE('',#355241,0.564999999999998); #327485=CYLINDRICAL_SURFACE('',#355244,0.600000000000001); #327486=CYLINDRICAL_SURFACE('',#355250,0.564999999999998); #327487=CYLINDRICAL_SURFACE('',#355254,0.900857088405025); #327488=CYLINDRICAL_SURFACE('',#355257,0.900856769723134); #327489=CYLINDRICAL_SURFACE('',#355322,0.565000000000002); #327490=CYLINDRICAL_SURFACE('',#355326,0.900857088405025); #327491=CYLINDRICAL_SURFACE('',#355329,0.900856769723134); #327492=CYLINDRICAL_SURFACE('',#355334,0.140000000000002); #327493=CYLINDRICAL_SURFACE('',#355337,0.140000000000002); #327494=CYLINDRICAL_SURFACE('',#355341,0.275850031150924); #327495=CYLINDRICAL_SURFACE('',#355344,0.275430734847663); #327496=CYLINDRICAL_SURFACE('',#355348,0.275430616157734); #327497=CYLINDRICAL_SURFACE('',#355351,0.275430435747982); #327498=CYLINDRICAL_SURFACE('',#355355,0.275430284536495); #327499=CYLINDRICAL_SURFACE('',#355360,0.514999999999999); #327500=CYLINDRICAL_SURFACE('',#355364,0.825995597015953); #327501=CYLINDRICAL_SURFACE('',#355367,0.825996336030616); #327502=CYLINDRICAL_SURFACE('',#355372,0.465); #327503=CYLINDRICAL_SURFACE('',#355376,0.750904962541172); #327504=CYLINDRICAL_SURFACE('',#355379,0.750905460124643); #327505=CYLINDRICAL_SURFACE('',#355384,0.139999999999998); #327506=CYLINDRICAL_SURFACE('',#355388,0.275430523299251); #327507=CYLINDRICAL_SURFACE('',#355392,0.127001515838141); #327508=CYLINDRICAL_SURFACE('',#355402,0.275430756167228); #327509=CYLINDRICAL_SURFACE('',#355405,0.275850020106942); #327510=CYLINDRICAL_SURFACE('',#355410,0.139999999999998); #327511=CYLINDRICAL_SURFACE('',#355414,0.275429677195186); #327512=CYLINDRICAL_SURFACE('',#355424,0.275429442438526); #327513=CYLINDRICAL_SURFACE('',#355437,0.127001515838136); #327514=CYLINDRICAL_SURFACE('',#355441,0.127001515838139); #327515=CYLINDRICAL_SURFACE('',#355451,0.127000308727438); #327516=CYLINDRICAL_SURFACE('',#355462,0.127000308727433); #327517=CYLINDRICAL_SURFACE('',#355472,0.127000308727435); #327518=CYLINDRICAL_SURFACE('',#355477,0.127000308727438); #327519=CYLINDRICAL_SURFACE('',#355487,0.127001515838141); #327520=CYLINDRICAL_SURFACE('',#355495,0.127000308727433); #327521=CYLINDRICAL_SURFACE('',#355499,0.127000308727433); #327522=CYLINDRICAL_SURFACE('',#355513,0.127000308727433); #327523=CYLINDRICAL_SURFACE('',#355517,0.127000308727433); #327524=CYLINDRICAL_SURFACE('',#355525,0.127000308727425); #327525=CYLINDRICAL_SURFACE('',#355535,0.127000308727441); #327526=CYLINDRICAL_SURFACE('',#355540,0.127000308727431); #327527=CYLINDRICAL_SURFACE('',#355550,0.127000308727431); #327528=CYLINDRICAL_SURFACE('',#355557,0.139999999999998); #327529=CYLINDRICAL_SURFACE('',#355561,0.275429726247122); #327530=CYLINDRICAL_SURFACE('',#355566,0.127000429438417); #327531=CYLINDRICAL_SURFACE('',#355571,0.127000308727438); #327532=CYLINDRICAL_SURFACE('',#355582,0.127000308727435); #327533=CYLINDRICAL_SURFACE('',#355587,0.127000429438417); #327534=CYLINDRICAL_SURFACE('',#355591,0.27542954841201); #327535=CYLINDRICAL_SURFACE('',#355596,0.139999999999998); #327536=CYLINDRICAL_SURFACE('',#355600,0.275429726247122); #327537=CYLINDRICAL_SURFACE('',#355605,0.127001515838136); #327538=CYLINDRICAL_SURFACE('',#355616,0.127000308727438); #327539=CYLINDRICAL_SURFACE('',#355620,0.275429548412009); #327540=CYLINDRICAL_SURFACE('',#355625,0.139999999999998); #327541=CYLINDRICAL_SURFACE('',#355629,0.275429677195186); #327542=CYLINDRICAL_SURFACE('',#355639,0.127000308727438); #327543=CYLINDRICAL_SURFACE('',#355643,0.275429442438526); #327544=CYLINDRICAL_SURFACE('',#355648,0.139999999999998); #327545=CYLINDRICAL_SURFACE('',#355652,0.275430525995305); #327546=CYLINDRICAL_SURFACE('',#355656,0.127000308727436); #327547=CYLINDRICAL_SURFACE('',#355668,0.275850020106943); #327548=CYLINDRICAL_SURFACE('',#355673,0.140000000000002); #327549=CYLINDRICAL_SURFACE('',#355676,0.140000000000002); #327550=CYLINDRICAL_SURFACE('',#355680,0.275850031150944); #327551=CYLINDRICAL_SURFACE('',#355683,0.275430734847662); #327552=CYLINDRICAL_SURFACE('',#355687,0.275446747951507); #327553=CYLINDRICAL_SURFACE('',#355690,0.275424633936642); #327554=CYLINDRICAL_SURFACE('',#355694,0.275430284536491); #327555=CYLINDRICAL_SURFACE('',#355699,0.139999999999998); #327556=CYLINDRICAL_SURFACE('',#355703,0.275429726247122); #327557=CYLINDRICAL_SURFACE('',#355708,0.127001515838133); #327558=CYLINDRICAL_SURFACE('',#355719,0.127000308727435); #327559=CYLINDRICAL_SURFACE('',#355723,0.275429548412009); #327560=CYLINDRICAL_SURFACE('',#355728,0.139999999999998); #327561=CYLINDRICAL_SURFACE('',#355732,0.275429726247122); #327562=CYLINDRICAL_SURFACE('',#355737,0.127000429438417); #327563=CYLINDRICAL_SURFACE('',#355742,0.127000308727435); #327564=CYLINDRICAL_SURFACE('',#355753,0.127000308727433); #327565=CYLINDRICAL_SURFACE('',#355758,0.127000429438417); #327566=CYLINDRICAL_SURFACE('',#355762,0.27542954841201); #327567=CYLINDRICAL_SURFACE('',#355771,0.127000308727439); #327568=CYLINDRICAL_SURFACE('',#355775,0.127000308727438); #327569=CYLINDRICAL_SURFACE('',#355781,0.127000308727438); #327570=CYLINDRICAL_SURFACE('',#355791,0.127000308727433); #327571=CYLINDRICAL_SURFACE('',#355795,0.127000308727435); #327572=CYLINDRICAL_SURFACE('',#355805,0.139999999999998); #327573=CYLINDRICAL_SURFACE('',#355809,0.275429677195186); #327574=CYLINDRICAL_SURFACE('',#355814,0.127000308727438); #327575=CYLINDRICAL_SURFACE('',#355818,0.127000308727438); #327576=CYLINDRICAL_SURFACE('',#355822,0.127000308727446); #327577=CYLINDRICAL_SURFACE('',#355832,0.127000308727441); #327578=CYLINDRICAL_SURFACE('',#355839,0.127000308727447); #327579=CYLINDRICAL_SURFACE('',#355843,0.275429442438526); #327580=CYLINDRICAL_SURFACE('',#355848,0.139999999999998); #327581=CYLINDRICAL_SURFACE('',#355852,0.27543052329925); #327582=CYLINDRICAL_SURFACE('',#355862,0.275430756167224); #327583=CYLINDRICAL_SURFACE('',#355865,0.275850020106942); #327584=CYLINDRICAL_SURFACE('',#355875,0.127000308727433); #327585=CYLINDRICAL_SURFACE('',#355881,0.127000308727444); #327586=CYLINDRICAL_SURFACE('',#355885,0.127000308727438); #327587=CYLINDRICAL_SURFACE('',#355889,0.127000308727436); #327588=CYLINDRICAL_SURFACE('',#355894,0.127000308727438); #327589=CYLINDRICAL_SURFACE('',#355904,0.127000308727443); #327590=CYLINDRICAL_SURFACE('',#355909,0.127000308727427); #327591=CYLINDRICAL_SURFACE('',#355916,0.127000308727438); #327592=CYLINDRICAL_SURFACE('',#355920,0.127001515838136); #327593=CYLINDRICAL_SURFACE('',#355934,0.127001515838136); #327594=CYLINDRICAL_SURFACE('',#355938,0.127000308727433); #327595=CYLINDRICAL_SURFACE('',#355945,0.127000308727433); #327596=CYLINDRICAL_SURFACE('',#355950,0.127000308727443); #327597=CYLINDRICAL_SURFACE('',#355960,0.127000308727438); #327598=CYLINDRICAL_SURFACE('',#355965,0.127000308727436); #327599=CYLINDRICAL_SURFACE('',#355969,0.127000308727438); #327600=CYLINDRICAL_SURFACE('',#355973,0.127000308727444); #327601=CYLINDRICAL_SURFACE('',#355979,0.127000308727433); #327602=CYLINDRICAL_SURFACE('',#355990,0.127000308727438); #327603=CYLINDRICAL_SURFACE('',#355995,0.127000308727438); #327604=CYLINDRICAL_SURFACE('',#356005,0.127000308727438); #327605=CYLINDRICAL_SURFACE('',#356014,0.139999999999998); #327606=CYLINDRICAL_SURFACE('',#356019,0.127028798213342); #327607=CYLINDRICAL_SURFACE('',#356025,0.126750626298977); #327608=CYLINDRICAL_SURFACE('',#356030,0.275429704872054); #327609=CYLINDRICAL_SURFACE('',#356033,0.275429777742635); #327610=CYLINDRICAL_SURFACE('',#356037,0.127000308727434); #327611=CYLINDRICAL_SURFACE('',#356042,0.127000308727438); #327612=CYLINDRICAL_SURFACE('',#356052,0.139999999999998); #327613=CYLINDRICAL_SURFACE('',#356056,0.275429677195186); #327614=CYLINDRICAL_SURFACE('',#356061,0.127000308727435); #327615=CYLINDRICAL_SURFACE('',#356072,0.127000308727435); #327616=CYLINDRICAL_SURFACE('',#356076,0.275429442438527); #327617=CYLINDRICAL_SURFACE('',#356081,0.139999999999998); #327618=CYLINDRICAL_SURFACE('',#356085,0.275850826114455); #327619=CYLINDRICAL_SURFACE('',#356088,0.275429566248993); #327620=CYLINDRICAL_SURFACE('',#356098,0.127000308727425); #327621=CYLINDRICAL_SURFACE('',#356102,0.275430756167224); #327622=CYLINDRICAL_SURFACE('',#356107,0.139999999999998); #327623=CYLINDRICAL_SURFACE('',#356115,0.127000308727433); #327624=CYLINDRICAL_SURFACE('',#356120,0.127000308727438); #327625=CYLINDRICAL_SURFACE('',#356124,0.275430616157731); #327626=CYLINDRICAL_SURFACE('',#356127,0.275430435747982); #327627=CYLINDRICAL_SURFACE('',#356132,0.127000308727436); #327628=CYLINDRICAL_SURFACE('',#356140,0.139999999999998); #327629=CYLINDRICAL_SURFACE('',#356144,0.275430523299249); #327630=CYLINDRICAL_SURFACE('',#356148,0.127001515838139); #327631=CYLINDRICAL_SURFACE('',#356158,0.275430756167228); #327632=CYLINDRICAL_SURFACE('',#356161,0.275850020106943); #327633=CYLINDRICAL_SURFACE('',#356166,0.139999999999998); #327634=CYLINDRICAL_SURFACE('',#356175,0.127000308727438); #327635=CYLINDRICAL_SURFACE('',#356180,0.275430616157734); #327636=CYLINDRICAL_SURFACE('',#356183,0.275430906036581); #327637=CYLINDRICAL_SURFACE('',#356187,0.127000308727438); #327638=CYLINDRICAL_SURFACE('',#356192,0.127000308727433); #327639=CYLINDRICAL_SURFACE('',#356199,0.139999999999998); #327640=CYLINDRICAL_SURFACE('',#356203,0.27543052329925); #327641=CYLINDRICAL_SURFACE('',#356213,0.275430756167225); #327642=CYLINDRICAL_SURFACE('',#356216,0.275850020106942); #327643=CYLINDRICAL_SURFACE('',#356221,0.140000000000002); #327644=CYLINDRICAL_SURFACE('',#356225,0.275850826114459); #327645=CYLINDRICAL_SURFACE('',#356228,0.275429566248995); #327646=CYLINDRICAL_SURFACE('',#356238,0.275430756167224); #327647=CYLINDRICAL_SURFACE('',#356243,0.139999999999998); #327648=CYLINDRICAL_SURFACE('',#356251,0.12700030872744); #327649=CYLINDRICAL_SURFACE('',#356259,0.275429728561975); #327650=CYLINDRICAL_SURFACE('',#356262,0.275429566248996); #327651=CYLINDRICAL_SURFACE('',#356266,0.127000308727436); #327652=CYLINDRICAL_SURFACE('',#356270,0.127000308727438); #327653=CYLINDRICAL_SURFACE('',#356274,0.127000308727438); #327654=CYLINDRICAL_SURFACE('',#356278,0.127000308727431); #327655=CYLINDRICAL_SURFACE('',#356285,0.139999999999998); #327656=CYLINDRICAL_SURFACE('',#356296,0.275429728561975); #327657=CYLINDRICAL_SURFACE('',#356299,0.275429566248998); #327658=CYLINDRICAL_SURFACE('',#356303,0.127000308727436); #327659=CYLINDRICAL_SURFACE('',#356307,0.127000308727438); #327660=CYLINDRICAL_SURFACE('',#356311,0.12700151583812); #327661=CYLINDRICAL_SURFACE('',#356317,0.140000000000002); #327662=CYLINDRICAL_SURFACE('',#356325,0.127000308727436); #327663=CYLINDRICAL_SURFACE('',#356329,0.127000308727436); #327664=CYLINDRICAL_SURFACE('',#356333,0.127000308727436); #327665=CYLINDRICAL_SURFACE('',#356337,0.127000308727447); #327666=CYLINDRICAL_SURFACE('',#356341,0.275429704872057); #327667=CYLINDRICAL_SURFACE('',#356344,0.275429777742636); #327668=CYLINDRICAL_SURFACE('',#356352,0.12700030872743); #327669=CYLINDRICAL_SURFACE('',#356363,0.127000308727437); #327670=CYLINDRICAL_SURFACE('',#356367,0.127000308727438); #327671=CYLINDRICAL_SURFACE('',#356379,0.127000308727433); #327672=CYLINDRICAL_SURFACE('',#356383,0.127000308727438); #327673=CYLINDRICAL_SURFACE('',#356393,0.139999999999998); #327674=CYLINDRICAL_SURFACE('',#356402,0.139999999999998); #327675=CYLINDRICAL_SURFACE('',#356406,0.275429677195186); #327676=CYLINDRICAL_SURFACE('',#356410,0.127000308727449); #327677=CYLINDRICAL_SURFACE('',#356417,0.127000308727436); #327678=CYLINDRICAL_SURFACE('',#356427,0.12700030872744); #327679=CYLINDRICAL_SURFACE('',#356431,0.127000308727438); #327680=CYLINDRICAL_SURFACE('',#356435,0.127000308727438); #327681=CYLINDRICAL_SURFACE('',#356440,0.275429442438526); #327682=CYLINDRICAL_SURFACE('',#356445,0.139999999999998); #327683=CYLINDRICAL_SURFACE('',#356455,0.127000308727438); #327684=CYLINDRICAL_SURFACE('',#356459,0.275429704872049); #327685=CYLINDRICAL_SURFACE('',#356462,0.27542977774263); #327686=CYLINDRICAL_SURFACE('',#356467,0.127000308727437); #327687=CYLINDRICAL_SURFACE('',#356473,0.140000000000002); #327688=CYLINDRICAL_SURFACE('',#356486,0.127000308727447); #327689=CYLINDRICAL_SURFACE('',#356490,0.127000308727447); #327690=CYLINDRICAL_SURFACE('',#356496,0.127001515838128); #327691=CYLINDRICAL_SURFACE('',#356500,0.127000308727427); #327692=CYLINDRICAL_SURFACE('',#356506,0.275429704872049); #327693=CYLINDRICAL_SURFACE('',#356509,0.27542977774263); #327694=CYLINDRICAL_SURFACE('',#356513,0.127000308727435); #327695=CYLINDRICAL_SURFACE('',#356517,0.127000308727427); #327696=CYLINDRICAL_SURFACE('',#356523,0.12700030872744); #327697=CYLINDRICAL_SURFACE('',#356527,0.127000308727436); #327698=CYLINDRICAL_SURFACE('',#356541,0.140000000000002); #327699=CYLINDRICAL_SURFACE('',#356549,0.127000308727433); #327700=CYLINDRICAL_SURFACE('',#356554,0.127000308727438); #327701=CYLINDRICAL_SURFACE('',#356559,0.275429728561973); #327702=CYLINDRICAL_SURFACE('',#356562,0.275429566248996); #327703=CYLINDRICAL_SURFACE('',#356566,0.127001515838138); #327704=CYLINDRICAL_SURFACE('',#356571,0.127001515838136); #327705=CYLINDRICAL_SURFACE('',#356579,0.139999999999998); #327706=CYLINDRICAL_SURFACE('',#356588,0.139999999999998); #327707=CYLINDRICAL_SURFACE('',#356597,0.139999999999998); #327708=CYLINDRICAL_SURFACE('',#356601,0.275430525995305); #327709=CYLINDRICAL_SURFACE('',#356605,0.127000308727435); #327710=CYLINDRICAL_SURFACE('',#356617,0.275850020106943); #327711=CYLINDRICAL_SURFACE('',#356622,0.465); #327712=CYLINDRICAL_SURFACE('',#356626,0.750904962541172); #327713=CYLINDRICAL_SURFACE('',#356629,0.750905460124644); #327714=CYLINDRICAL_SURFACE('',#356634,0.139999999999998); #327715=CYLINDRICAL_SURFACE('',#356637,0.465); #327716=CYLINDRICAL_SURFACE('',#356641,0.275429677195186); #327717=CYLINDRICAL_SURFACE('',#356645,0.750581792116793); #327718=CYLINDRICAL_SURFACE('',#356648,0.750581774250364); #327719=CYLINDRICAL_SURFACE('',#356652,0.275429442438529); #327720=CYLINDRICAL_SURFACE('',#356657,0.465); #327721=CYLINDRICAL_SURFACE('',#356661,0.750904962541172); #327722=CYLINDRICAL_SURFACE('',#356664,0.750905460124643); #327723=CYLINDRICAL_SURFACE('',#356669,0.564999999999998); #327724=CYLINDRICAL_SURFACE('',#356678,0.139999999999998); #327725=CYLINDRICAL_SURFACE('',#356693,0.127000308727433); #327726=CYLINDRICAL_SURFACE('',#356697,0.127000308727437); #327727=CYLINDRICAL_SURFACE('',#356703,0.127000308727438); #327728=CYLINDRICAL_SURFACE('',#356707,0.127000308727433); #327729=CYLINDRICAL_SURFACE('',#356711,0.275429704872049); #327730=CYLINDRICAL_SURFACE('',#356714,0.27542977774263); #327731=CYLINDRICAL_SURFACE('',#356720,0.127001515838136); #327732=CYLINDRICAL_SURFACE('',#356724,0.127001515838129); #327733=CYLINDRICAL_SURFACE('',#356730,0.127000308727433); #327734=CYLINDRICAL_SURFACE('',#356734,0.127000308727449); #327735=CYLINDRICAL_SURFACE('',#356746,0.139999999999998); #327736=CYLINDRICAL_SURFACE('',#356753,0.127000308727433); #327737=CYLINDRICAL_SURFACE('',#356757,0.127000308727438); #327738=CYLINDRICAL_SURFACE('',#356762,0.127001515838136); #327739=CYLINDRICAL_SURFACE('',#356766,0.275429728561973); #327740=CYLINDRICAL_SURFACE('',#356769,0.275429566248995); #327741=CYLINDRICAL_SURFACE('',#356774,0.127000308727438); #327742=CYLINDRICAL_SURFACE('',#356812,0.140000000000002); #327743=CYLINDRICAL_SURFACE('',#356819,0.127001515838122); #327744=CYLINDRICAL_SURFACE('',#356823,0.12700030872744); #327745=CYLINDRICAL_SURFACE('',#356827,0.127000308727447); #327746=CYLINDRICAL_SURFACE('',#356831,0.275429704872057); #327747=CYLINDRICAL_SURFACE('',#356834,0.275429777742636); #327748=CYLINDRICAL_SURFACE('',#356844,0.139999999999998); #327749=CYLINDRICAL_SURFACE('',#356848,0.275429677195186); #327750=CYLINDRICAL_SURFACE('',#356852,0.127000308727433); #327751=CYLINDRICAL_SURFACE('',#356856,0.127000308727444); #327752=CYLINDRICAL_SURFACE('',#356868,0.12700030872744); #327753=CYLINDRICAL_SURFACE('',#356872,0.127000308727438); #327754=CYLINDRICAL_SURFACE('',#356878,0.275429442438529); #327755=CYLINDRICAL_SURFACE('',#356883,0.139999999999998); #327756=CYLINDRICAL_SURFACE('',#356887,0.275850031150926); #327757=CYLINDRICAL_SURFACE('',#356890,0.27543073484766); #327758=CYLINDRICAL_SURFACE('',#356899,0.127000308727438); #327759=CYLINDRICAL_SURFACE('',#356903,0.275430620370238); #327760=CYLINDRICAL_SURFACE('',#356912,0.127001515838141); #327761=CYLINDRICAL_SURFACE('',#356916,0.127001515838136); #327762=CYLINDRICAL_SURFACE('',#356922,0.127000308727438); #327763=CYLINDRICAL_SURFACE('',#356926,0.127000308727438); #327764=CYLINDRICAL_SURFACE('',#356938,0.127000308727438); #327765=CYLINDRICAL_SURFACE('',#356942,0.127000308727438); #327766=CYLINDRICAL_SURFACE('',#356948,0.127000308727438); #327767=CYLINDRICAL_SURFACE('',#356952,0.127000308727438); #327768=CYLINDRICAL_SURFACE('',#356962,0.139999999999998); #327769=CYLINDRICAL_SURFACE('',#356966,0.275429677195185); #327770=CYLINDRICAL_SURFACE('',#356976,0.275429442438528); #327771=CYLINDRICAL_SURFACE('',#356981,0.139999999999998); #327772=CYLINDRICAL_SURFACE('',#356984,0.139999999999998); #327773=CYLINDRICAL_SURFACE('',#356989,0.275429728561973); #327774=CYLINDRICAL_SURFACE('',#356992,0.275429566248995); #327775=CYLINDRICAL_SURFACE('',#356997,0.275640885203079); #327776=CYLINDRICAL_SURFACE('',#357000,0.275640720012506); #327777=CYLINDRICAL_SURFACE('',#357013,0.127000308727432); #327778=CYLINDRICAL_SURFACE('',#357017,0.126758017197154); #327779=CYLINDRICAL_SURFACE('',#357026,0.127001515838137); #327780=CYLINDRICAL_SURFACE('',#357040,0.14); #327781=CYLINDRICAL_SURFACE('',#357043,0.14); #327782=CYLINDRICAL_SURFACE('',#357046,0.14); #327783=CYLINDRICAL_SURFACE('',#357049,0.14); #327784=CYLINDRICAL_SURFACE('',#357052,0.14); #327785=CYLINDRICAL_SURFACE('',#357055,0.14); #327786=CYLINDRICAL_SURFACE('',#357058,0.14); #327787=CYLINDRICAL_SURFACE('',#357061,0.14); #327788=CYLINDRICAL_SURFACE('',#357064,0.465); #327789=CYLINDRICAL_SURFACE('',#357067,0.514999999999999); #327790=CYLINDRICAL_SURFACE('',#357070,0.14); #327791=CYLINDRICAL_SURFACE('',#357077,0.127001515838136); #327792=CYLINDRICAL_SURFACE('',#357081,0.127001515838141); #327793=CYLINDRICAL_SURFACE('',#357202,0.127000308727438); #327794=CYLINDRICAL_SURFACE('',#357206,0.127000308727438); #327795=CYLINDRICAL_SURFACE('',#357216,0.465); #327796=CYLINDRICAL_SURFACE('',#357220,0.750904962541172); #327797=CYLINDRICAL_SURFACE('',#357223,0.750905460124644); #327798=CYLINDRICAL_SURFACE('',#357318,0.140000000000002); #327799=CYLINDRICAL_SURFACE('',#357321,0.140000000000002); #327800=CYLINDRICAL_SURFACE('',#357324,0.140000000000002); #327801=CYLINDRICAL_SURFACE('',#357500,0.140000000000002); #327802=CYLINDRICAL_SURFACE('',#357504,0.565); #327803=CYLINDRICAL_SURFACE('',#357508,0.565); #327804=CYLINDRICAL_SURFACE('',#357511,0.514999999999999); #327805=CYLINDRICAL_SURFACE('',#357514,0.140000000000002); #327806=CYLINDRICAL_SURFACE('',#357517,0.140000000000002); #327807=CYLINDRICAL_SURFACE('',#357520,0.140000000000002); #327808=CYLINDRICAL_SURFACE('',#357523,0.140000000000002); #327809=CYLINDRICAL_SURFACE('',#357526,0.140000000000002); #327810=CYLINDRICAL_SURFACE('',#357529,0.140000000000002); #327811=CYLINDRICAL_SURFACE('',#357532,0.140000000000002); #327812=CYLINDRICAL_SURFACE('',#357535,0.140000000000002); #327813=CYLINDRICAL_SURFACE('',#357812,0.139999999999998); #327814=CYLINDRICAL_SURFACE('',#357815,0.139999999999998); #327815=CYLINDRICAL_SURFACE('',#357818,0.139999999999998); #327816=CYLINDRICAL_SURFACE('',#357821,0.465); #327817=CYLINDRICAL_SURFACE('',#357824,0.139999999999998); #327818=CYLINDRICAL_SURFACE('',#357827,0.139999999999998); #327819=CYLINDRICAL_SURFACE('',#357830,0.139999999999998); #327820=CYLINDRICAL_SURFACE('',#357833,0.139999999999998); #327821=CYLINDRICAL_SURFACE('',#357836,0.139999999999998); #327822=CYLINDRICAL_SURFACE('',#357839,0.139999999999998); #327823=CYLINDRICAL_SURFACE('',#357842,0.14); #327824=CYLINDRICAL_SURFACE('',#357845,0.14); #327825=CYLINDRICAL_SURFACE('',#357848,0.14); #327826=CYLINDRICAL_SURFACE('',#357851,0.14); #327827=CYLINDRICAL_SURFACE('',#357854,0.140000000000002); #327828=CYLINDRICAL_SURFACE('',#357857,0.140000000000002); #327829=CYLINDRICAL_SURFACE('',#357860,0.140000000000002); #327830=CYLINDRICAL_SURFACE('',#357863,0.140000000000002); #327831=CYLINDRICAL_SURFACE('',#357866,0.140000000000002); #327832=CYLINDRICAL_SURFACE('',#357869,0.140000000000002); #327833=CYLINDRICAL_SURFACE('',#357872,0.140000000000002); #327834=CYLINDRICAL_SURFACE('',#357875,0.140000000000002); #327835=CYLINDRICAL_SURFACE('',#357878,0.140000000000002); #327836=CYLINDRICAL_SURFACE('',#357881,0.140000000000002); #327837=CYLINDRICAL_SURFACE('',#357884,0.140000000000002); #327838=CYLINDRICAL_SURFACE('',#357887,0.140000000000002); #327839=CYLINDRICAL_SURFACE('',#357890,0.14); #327840=CYLINDRICAL_SURFACE('',#357893,0.14); #327841=CYLINDRICAL_SURFACE('',#357896,0.14); #327842=CYLINDRICAL_SURFACE('',#357899,0.14); #327843=CYLINDRICAL_SURFACE('',#357902,0.14); #327844=CYLINDRICAL_SURFACE('',#357905,0.14); #327845=CYLINDRICAL_SURFACE('',#357908,0.14); #327846=CYLINDRICAL_SURFACE('',#357911,0.14); #327847=CYLINDRICAL_SURFACE('',#357914,0.465); #327848=CYLINDRICAL_SURFACE('',#357918,0.315); #327849=CYLINDRICAL_SURFACE('',#357922,0.315); #327850=CYLINDRICAL_SURFACE('',#357925,0.114999999999998); #327851=CYLINDRICAL_SURFACE('',#357928,0.114999999999998); #327852=CYLINDRICAL_SURFACE('',#357931,0.114999999999998); #327853=CYLINDRICAL_SURFACE('',#357934,0.114999999999998); #327854=CYLINDRICAL_SURFACE('',#357938,0.315); #327855=CYLINDRICAL_SURFACE('',#357942,0.315); #327856=CYLINDRICAL_SURFACE('',#357946,0.315); #327857=CYLINDRICAL_SURFACE('',#357950,0.315); #327858=CYLINDRICAL_SURFACE('',#357954,0.315); #327859=CYLINDRICAL_SURFACE('',#357958,0.315); #327860=CYLINDRICAL_SURFACE('',#357961,0.140000000000002); #327861=CYLINDRICAL_SURFACE('',#357964,0.140000000000002); #327862=CYLINDRICAL_SURFACE('',#357967,0.564999999999998); #327863=CYLINDRICAL_SURFACE('',#357970,0.14); #327864=CYLINDRICAL_SURFACE('',#357973,0.140000000000002); #327865=CYLINDRICAL_SURFACE('',#357976,0.140000000000002); #327866=CYLINDRICAL_SURFACE('',#357979,0.140000000000002); #327867=CYLINDRICAL_SURFACE('',#357982,0.140000000000002); #327868=CYLINDRICAL_SURFACE('',#357985,0.140000000000002); #327869=CYLINDRICAL_SURFACE('',#357988,0.140000000000002); #327870=CYLINDRICAL_SURFACE('',#357991,0.140000000000002); #327871=CYLINDRICAL_SURFACE('',#357994,0.14); #327872=CYLINDRICAL_SURFACE('',#357997,0.14); #327873=CYLINDRICAL_SURFACE('',#358000,0.14); #327874=CYLINDRICAL_SURFACE('',#358003,0.14); #327875=CYLINDRICAL_SURFACE('',#358006,0.14); #327876=CYLINDRICAL_SURFACE('',#358009,0.14); #327877=CYLINDRICAL_SURFACE('',#358012,0.14); #327878=CYLINDRICAL_SURFACE('',#358015,0.14); #327879=CYLINDRICAL_SURFACE('',#359167,0.139999999999998); #327880=CYLINDRICAL_SURFACE('',#359170,0.139999999999998); #327881=CYLINDRICAL_SURFACE('',#359173,0.139999999999998); #327882=CYLINDRICAL_SURFACE('',#359317,0.139999999999998); #327883=CYLINDRICAL_SURFACE('',#359320,0.139999999999998); #327884=CYLINDRICAL_SURFACE('',#359323,0.139999999999998); #327885=CYLINDRICAL_SURFACE('',#359326,0.139999999999998); #327886=CYLINDRICAL_SURFACE('',#359329,0.139999999999998); #327887=CYLINDRICAL_SURFACE('',#359332,0.139999999999998); #327888=CYLINDRICAL_SURFACE('',#359335,0.139999999999998); #327889=CYLINDRICAL_SURFACE('',#359338,0.139999999999998); #327890=CYLINDRICAL_SURFACE('',#359341,0.139999999999998); #327891=CYLINDRICAL_SURFACE('',#359344,0.139999999999998); #327892=CYLINDRICAL_SURFACE('',#359347,0.139999999999998); #327893=CYLINDRICAL_SURFACE('',#359350,0.139999999999998); #327894=CYLINDRICAL_SURFACE('',#359353,0.139999999999998); #327895=CYLINDRICAL_SURFACE('',#359356,0.139999999999998); #327896=CYLINDRICAL_SURFACE('',#359359,0.139999999999998); #327897=CYLINDRICAL_SURFACE('',#359362,0.139999999999998); #327898=CYLINDRICAL_SURFACE('',#359365,0.139999999999998); #327899=CYLINDRICAL_SURFACE('',#359368,0.139999999999998); #327900=CYLINDRICAL_SURFACE('',#359371,0.139999999999998); #327901=CYLINDRICAL_SURFACE('',#359374,0.139999999999998); #327902=CYLINDRICAL_SURFACE('',#359377,0.139999999999998); #327903=CYLINDRICAL_SURFACE('',#359380,0.139999999999998); #327904=CYLINDRICAL_SURFACE('',#359383,0.139999999999998); #327905=CYLINDRICAL_SURFACE('',#359386,0.139999999999998); #327906=CYLINDRICAL_SURFACE('',#359389,0.139999999999998); #327907=CYLINDRICAL_SURFACE('',#359392,0.139999999999998); #327908=CYLINDRICAL_SURFACE('',#359395,0.139999999999998); #327909=CYLINDRICAL_SURFACE('',#359398,0.139999999999998); #327910=CYLINDRICAL_SURFACE('',#359401,0.139999999999998); #327911=CYLINDRICAL_SURFACE('',#359404,0.139999999999998); #327912=CYLINDRICAL_SURFACE('',#359407,0.139999999999998); #327913=CYLINDRICAL_SURFACE('',#359410,0.139999999999998); #327914=CYLINDRICAL_SURFACE('',#359413,0.139999999999998); #327915=CYLINDRICAL_SURFACE('',#359416,0.139999999999998); #327916=CYLINDRICAL_SURFACE('',#359419,0.139999999999998); #327917=CYLINDRICAL_SURFACE('',#359422,0.139999999999998); #327918=CYLINDRICAL_SURFACE('',#359425,0.139999999999998); #327919=CYLINDRICAL_SURFACE('',#359428,0.139999999999998); #327920=CYLINDRICAL_SURFACE('',#359431,0.139999999999998); #327921=CYLINDRICAL_SURFACE('',#359434,0.139999999999998); #327922=CYLINDRICAL_SURFACE('',#359437,0.139999999999998); #327923=CYLINDRICAL_SURFACE('',#359440,0.139999999999998); #327924=CYLINDRICAL_SURFACE('',#359443,0.139999999999998); #327925=CYLINDRICAL_SURFACE('',#359446,0.139999999999998); #327926=CYLINDRICAL_SURFACE('',#359449,0.139999999999998); #327927=CYLINDRICAL_SURFACE('',#359452,0.139999999999998); #327928=CYLINDRICAL_SURFACE('',#359455,0.139999999999998); #327929=CYLINDRICAL_SURFACE('',#359458,0.139999999999998); #327930=CYLINDRICAL_SURFACE('',#359461,0.139999999999998); #327931=CYLINDRICAL_SURFACE('',#360265,0.514999999999999); #327932=CYLINDRICAL_SURFACE('',#360269,0.565); #327933=CYLINDRICAL_SURFACE('',#360273,0.565); #327934=CYLINDRICAL_SURFACE('',#360393,0.140000000000002); #327935=CYLINDRICAL_SURFACE('',#360396,0.140000000000002); #327936=CYLINDRICAL_SURFACE('',#360399,0.140000000000002); #327937=CYLINDRICAL_SURFACE('',#360402,0.140000000000002); #327938=CYLINDRICAL_SURFACE('',#360405,0.140000000000002); #327939=CYLINDRICAL_SURFACE('',#360408,0.140000000000002); #327940=CYLINDRICAL_SURFACE('',#360411,0.140000000000002); #327941=CYLINDRICAL_SURFACE('',#360414,0.140000000000002); #327942=CYLINDRICAL_SURFACE('',#360417,0.140000000000002); #327943=CYLINDRICAL_SURFACE('',#360420,0.140000000000002); #327944=CYLINDRICAL_SURFACE('',#360423,0.140000000000002); #327945=CYLINDRICAL_SURFACE('',#360426,0.140000000000002); #327946=CYLINDRICAL_SURFACE('',#360429,0.140000000000002); #327947=CYLINDRICAL_SURFACE('',#360432,0.140000000000002); #327948=CYLINDRICAL_SURFACE('',#360435,0.140000000000002); #327949=CYLINDRICAL_SURFACE('',#360481,0.139999999999998); #327950=CYLINDRICAL_SURFACE('',#360489,0.127000308727436); #327951=CYLINDRICAL_SURFACE('',#360494,0.127000308727438); #327952=CYLINDRICAL_SURFACE('',#360513,0.127000308727436); #327953=CYLINDRICAL_SURFACE('',#360517,0.127000308727436); #327954=CYLINDRICAL_SURFACE('',#360521,0.126951739765291); #327955=CYLINDRICAL_SURFACE('',#360527,0.127000308727438); #327956=CYLINDRICAL_SURFACE('',#360531,0.127000308727433); #327957=CYLINDRICAL_SURFACE('',#360536,0.127001515838136); #327958=CYLINDRICAL_SURFACE('',#360544,0.139999999999998); #327959=CYLINDRICAL_SURFACE('',#360547,0.139999999999998); #327960=CYLINDRICAL_SURFACE('',#360550,0.139999999999998); #327961=CYLINDRICAL_SURFACE('',#360553,0.139999999999998); #327962=CYLINDRICAL_SURFACE('',#360556,0.139999999999998); #327963=CYLINDRICAL_SURFACE('',#360559,0.139999999999998); #327964=CYLINDRICAL_SURFACE('',#360562,0.139999999999998); #327965=CYLINDRICAL_SURFACE('',#360565,0.139999999999998); #327966=CYLINDRICAL_SURFACE('',#360568,0.139999999999998); #327967=CYLINDRICAL_SURFACE('',#360571,0.139999999999998); #327968=CYLINDRICAL_SURFACE('',#360574,0.139999999999998); #327969=CYLINDRICAL_SURFACE('',#360577,0.139999999999998); #327970=CYLINDRICAL_SURFACE('',#360580,0.139999999999998); #327971=CYLINDRICAL_SURFACE('',#360583,0.139999999999998); #327972=CYLINDRICAL_SURFACE('',#360586,0.139999999999998); #327973=CYLINDRICAL_SURFACE('',#360640,0.139999999999998); #327974=CYLINDRICAL_SURFACE('',#360649,0.127001515838136); #327975=CYLINDRICAL_SURFACE('',#360654,0.127000308727433); #327976=CYLINDRICAL_SURFACE('',#360658,0.127000308727438); #327977=CYLINDRICAL_SURFACE('',#360664,0.127000308727447); #327978=CYLINDRICAL_SURFACE('',#360668,0.127000308727436); #327979=CYLINDRICAL_SURFACE('',#360672,0.127000308727436); #327980=CYLINDRICAL_SURFACE('',#360691,0.127000308727436); #327981=CYLINDRICAL_SURFACE('',#360696,0.127000308727438); #327982=CYLINDRICAL_SURFACE('',#360703,0.139999999999998); #327983=CYLINDRICAL_SURFACE('',#360706,0.14); #327984=CYLINDRICAL_SURFACE('',#360709,0.14); #327985=CYLINDRICAL_SURFACE('',#360712,0.14); #327986=CYLINDRICAL_SURFACE('',#360715,0.14); #327987=CYLINDRICAL_SURFACE('',#360718,0.14); #327988=CYLINDRICAL_SURFACE('',#360721,0.14); #327989=CYLINDRICAL_SURFACE('',#360724,0.14); #327990=CYLINDRICAL_SURFACE('',#360727,0.14); #327991=CYLINDRICAL_SURFACE('',#360730,0.14); #327992=CYLINDRICAL_SURFACE('',#360733,0.14); #327993=CYLINDRICAL_SURFACE('',#360736,0.14); #327994=CYLINDRICAL_SURFACE('',#360739,0.14); #327995=CYLINDRICAL_SURFACE('',#360742,0.139999999999998); #327996=CYLINDRICAL_SURFACE('',#360745,0.139999999999998); #327997=CYLINDRICAL_SURFACE('',#360748,0.139999999999998); #327998=CYLINDRICAL_SURFACE('',#360751,0.139999999999998); #327999=CYLINDRICAL_SURFACE('',#360754,0.139999999999998); #328000=CYLINDRICAL_SURFACE('',#360757,0.14); #328001=CYLINDRICAL_SURFACE('',#360760,0.139999999999998); #328002=CYLINDRICAL_SURFACE('',#360763,0.139999999999998); #328003=CYLINDRICAL_SURFACE('',#360766,0.139999999999998); #328004=CYLINDRICAL_SURFACE('',#360769,0.139999999999998); #328005=CYLINDRICAL_SURFACE('',#360772,0.139999999999998); #328006=CYLINDRICAL_SURFACE('',#360775,0.139999999999998); #328007=CYLINDRICAL_SURFACE('',#360778,0.139999999999998); #328008=CYLINDRICAL_SURFACE('',#360781,0.139999999999998); #328009=CYLINDRICAL_SURFACE('',#360784,0.139999999999998); #328010=CYLINDRICAL_SURFACE('',#360787,0.139999999999998); #328011=CYLINDRICAL_SURFACE('',#360790,0.14); #328012=CYLINDRICAL_SURFACE('',#360793,0.14); #328013=CYLINDRICAL_SURFACE('',#360797,0.275429677195184); #328014=CYLINDRICAL_SURFACE('',#360803,0.127000308727435); #328015=CYLINDRICAL_SURFACE('',#360807,0.127000308727438); #328016=CYLINDRICAL_SURFACE('',#360882,0.127000308727436); #328017=CYLINDRICAL_SURFACE('',#360886,0.127000308727427); #328018=CYLINDRICAL_SURFACE('',#360890,0.275429442438526); #328019=CYLINDRICAL_SURFACE('',#360895,0.139999999999998); #328020=CYLINDRICAL_SURFACE('',#360904,0.127000308727436); #328021=CYLINDRICAL_SURFACE('',#360909,0.127000308727438); #328022=CYLINDRICAL_SURFACE('',#360913,0.275429728561973); #328023=CYLINDRICAL_SURFACE('',#360916,0.275429566248994); #328024=CYLINDRICAL_SURFACE('',#360921,0.127000308727431); #328025=CYLINDRICAL_SURFACE('',#360926,0.127000308727438); #328026=CYLINDRICAL_SURFACE('',#360933,0.140000000000002); #328027=CYLINDRICAL_SURFACE('',#360936,0.140000000000002); #328028=CYLINDRICAL_SURFACE('',#360939,0.140000000000002); #328029=CYLINDRICAL_SURFACE('',#360942,0.140000000000002); #328030=CYLINDRICAL_SURFACE('',#360945,0.140000000000002); #328031=CYLINDRICAL_SURFACE('',#360948,0.139999999999998); #328032=CYLINDRICAL_SURFACE('',#360951,0.140000000000002); #328033=CYLINDRICAL_SURFACE('',#360954,0.140000000000002); #328034=CYLINDRICAL_SURFACE('',#360957,0.140000000000002); #328035=CYLINDRICAL_SURFACE('',#360960,0.140000000000002); #328036=CYLINDRICAL_SURFACE('',#360963,0.465); #328037=CYLINDRICAL_SURFACE('',#360990,0.275170203557187); #328038=CYLINDRICAL_SURFACE('',#360995,0.127000308727437); #328039=CYLINDRICAL_SURFACE('',#361006,0.127000308727438); #328040=CYLINDRICAL_SURFACE('',#361010,0.275004382214899); #328041=CYLINDRICAL_SURFACE('',#361028,0.12700030872744); #328042=CYLINDRICAL_SURFACE('',#361032,0.127000308727433); #328043=CYLINDRICAL_SURFACE('',#361104,0.140000000000002); #328044=CYLINDRICAL_SURFACE('',#361107,0.140000000000002); #328045=CYLINDRICAL_SURFACE('',#361110,0.140000000000002); #328046=CYLINDRICAL_SURFACE('',#361113,0.140000000000002); #328047=CYLINDRICAL_SURFACE('',#361116,0.140000000000002); #328048=CYLINDRICAL_SURFACE('',#361119,0.140000000000002); #328049=CYLINDRICAL_SURFACE('',#361122,0.140000000000002); #328050=CYLINDRICAL_SURFACE('',#361125,0.140000000000002); #328051=CYLINDRICAL_SURFACE('',#361128,0.140000000000002); #328052=CYLINDRICAL_SURFACE('',#361131,0.140000000000002); #328053=CYLINDRICAL_SURFACE('',#361134,0.140000000000002); #328054=CYLINDRICAL_SURFACE('',#361137,0.140000000000002); #328055=CYLINDRICAL_SURFACE('',#361140,0.140000000000002); #328056=CYLINDRICAL_SURFACE('',#361143,0.140000000000002); #328057=CYLINDRICAL_SURFACE('',#361146,0.140000000000002); #328058=CYLINDRICAL_SURFACE('',#361149,0.140000000000002); #328059=CYLINDRICAL_SURFACE('',#361152,0.140000000000002); #328060=CYLINDRICAL_SURFACE('',#361155,0.140000000000002); #328061=CYLINDRICAL_SURFACE('',#361158,0.140000000000002); #328062=CYLINDRICAL_SURFACE('',#361161,0.140000000000002); #328063=CYLINDRICAL_SURFACE('',#361164,0.140000000000002); #328064=CYLINDRICAL_SURFACE('',#361167,0.140000000000002); #328065=CYLINDRICAL_SURFACE('',#361170,0.140000000000002); #328066=CYLINDRICAL_SURFACE('',#361173,0.140000000000002); #328067=CYLINDRICAL_SURFACE('',#361176,0.140000000000002); #328068=CYLINDRICAL_SURFACE('',#361179,0.140000000000002); #328069=CYLINDRICAL_SURFACE('',#361182,0.140000000000002); #328070=CYLINDRICAL_SURFACE('',#361185,0.140000000000002); #328071=CYLINDRICAL_SURFACE('',#361188,0.140000000000002); #328072=CYLINDRICAL_SURFACE('',#361191,0.140000000000002); #328073=CYLINDRICAL_SURFACE('',#361194,0.140000000000002); #328074=CYLINDRICAL_SURFACE('',#361198,0.275429677195184); #328075=CYLINDRICAL_SURFACE('',#361202,0.127000308727425); #328076=CYLINDRICAL_SURFACE('',#361206,0.127001515838118); #328077=CYLINDRICAL_SURFACE('',#361276,0.127000308727436); #328078=CYLINDRICAL_SURFACE('',#361280,0.127000308727438); #328079=CYLINDRICAL_SURFACE('',#361286,0.275429442438526); #328080=CYLINDRICAL_SURFACE('',#361291,0.140000000000002); #328081=CYLINDRICAL_SURFACE('',#361299,0.127000308727438); #328082=CYLINDRICAL_SURFACE('',#361304,0.127000308727433); #328083=CYLINDRICAL_SURFACE('',#361309,0.275429728561973); #328084=CYLINDRICAL_SURFACE('',#361312,0.275429566248996); #328085=CYLINDRICAL_SURFACE('',#361316,0.12700030872744); #328086=CYLINDRICAL_SURFACE('',#361321,0.127000308727436); #328087=CYLINDRICAL_SURFACE('',#361329,0.139999999999998); #328088=CYLINDRICAL_SURFACE('',#361332,0.139999999999998); #328089=CYLINDRICAL_SURFACE('',#361335,0.139999999999998); #328090=CYLINDRICAL_SURFACE('',#361338,0.139999999999998); #328091=CYLINDRICAL_SURFACE('',#361341,0.114999999999998); #328092=CYLINDRICAL_SURFACE('',#361344,0.114999999999998); #328093=CYLINDRICAL_SURFACE('',#361347,0.114999999999998); #328094=CYLINDRICAL_SURFACE('',#361350,0.114999999999998); #328095=CYLINDRICAL_SURFACE('',#361353,0.139999999999998); #328096=CYLINDRICAL_SURFACE('',#361356,0.139999999999998); #328097=CYLINDRICAL_SURFACE('',#361359,0.139999999999998); #328098=CYLINDRICAL_SURFACE('',#361362,0.139999999999998); #328099=CYLINDRICAL_SURFACE('',#361365,0.139999999999998); #328100=CYLINDRICAL_SURFACE('',#361368,0.139999999999998); #328101=CYLINDRICAL_SURFACE('',#361371,0.139999999999998); #328102=CYLINDRICAL_SURFACE('',#361374,0.139999999999998); #328103=CYLINDRICAL_SURFACE('',#361600,0.2750043822149); #328104=CYLINDRICAL_SURFACE('',#361604,0.127000308727437); #328105=CYLINDRICAL_SURFACE('',#361615,0.127000308727438); #328106=CYLINDRICAL_SURFACE('',#361620,0.275180228450174); #328107=CYLINDRICAL_SURFACE('',#361845,0.564999999999998); #328108=CYLINDRICAL_SURFACE('',#361849,0.900857088405025); #328109=CYLINDRICAL_SURFACE('',#361852,0.900856769723134); #328110=CYLINDRICAL_SURFACE('',#361857,0.565000000000002); #328111=CYLINDRICAL_SURFACE('',#361861,0.900857088405025); #328112=CYLINDRICAL_SURFACE('',#361864,0.900856769723134); #328113=CYLINDRICAL_SURFACE('',#361869,0.465); #328114=CYLINDRICAL_SURFACE('',#361873,0.750904962541172); #328115=CYLINDRICAL_SURFACE('',#361876,0.750905460124643); #328116=CYLINDRICAL_SURFACE('',#361881,0.514999999999999); #328117=CYLINDRICAL_SURFACE('',#361885,0.825995597015953); #328118=CYLINDRICAL_SURFACE('',#361888,0.825996336030616); #328119=CYLINDRICAL_SURFACE('',#361893,0.514999999999999); #328120=CYLINDRICAL_SURFACE('',#361902,0.465); #328121=CYLINDRICAL_SURFACE('',#361906,0.750904962541172); #328122=CYLINDRICAL_SURFACE('',#361909,0.750905460124644); #328123=CYLINDRICAL_SURFACE('',#361914,0.465); #328124=CYLINDRICAL_SURFACE('',#361918,0.750904962541172); #328125=CYLINDRICAL_SURFACE('',#361921,0.750905460124644); #328126=CYLINDRICAL_SURFACE('',#361926,0.564999999999998); #328127=CYLINDRICAL_SURFACE('',#361930,0.900857088405025); #328128=CYLINDRICAL_SURFACE('',#361933,0.900856769723134); #328129=CYLINDRICAL_SURFACE('',#361938,0.465); #328130=CYLINDRICAL_SURFACE('',#361942,0.750904962541171); #328131=CYLINDRICAL_SURFACE('',#361945,0.750905460124643); #328132=CYLINDRICAL_SURFACE('',#361951,0.315); #328133=CYLINDRICAL_SURFACE('',#361955,0.315); #328134=CYLINDRICAL_SURFACE('',#362017,0.565); #328135=CYLINDRICAL_SURFACE('',#362021,0.565); #328136=CYLINDRICAL_SURFACE('',#362099,0.315); #328137=CYLINDRICAL_SURFACE('',#362103,0.315); #328138=CYLINDRICAL_SURFACE('',#362164,0.514999999999999); #328139=CYLINDRICAL_SURFACE('',#362174,0.315); #328140=CYLINDRICAL_SURFACE('',#362178,0.315); #328141=CYLINDRICAL_SURFACE('',#362240,0.565); #328142=CYLINDRICAL_SURFACE('',#362244,0.565); #328143=CYLINDRICAL_SURFACE('',#362321,0.465); #328144=CYLINDRICAL_SURFACE('',#362325,0.750904962541172); #328145=CYLINDRICAL_SURFACE('',#362328,0.750905460124644); #328146=CYLINDRICAL_SURFACE('',#362333,0.514999999999999); #328147=CYLINDRICAL_SURFACE('',#362337,0.825995597015952); #328148=CYLINDRICAL_SURFACE('',#362340,0.825996336030615); #328149=CYLINDRICAL_SURFACE('',#362346,0.315); #328150=CYLINDRICAL_SURFACE('',#362350,0.315); #328151=CYLINDRICAL_SURFACE('',#362411,0.465); #328152=CYLINDRICAL_SURFACE('',#362415,0.750904962541172); #328153=CYLINDRICAL_SURFACE('',#362418,0.750905460124644); #328154=CYLINDRICAL_SURFACE('',#362423,0.465); #328155=CYLINDRICAL_SURFACE('',#362427,0.750904962541172); #328156=CYLINDRICAL_SURFACE('',#362430,0.750905460124643); #328157=CYLINDRICAL_SURFACE('',#362435,0.465); #328158=CYLINDRICAL_SURFACE('',#362439,0.750904962541172); #328159=CYLINDRICAL_SURFACE('',#362442,0.750905460124643); #328160=CYLINDRICAL_SURFACE('',#362447,0.564999999999998); #328161=CYLINDRICAL_SURFACE('',#362456,0.465); #328162=CYLINDRICAL_SURFACE('',#362460,0.750904962541172); #328163=CYLINDRICAL_SURFACE('',#362463,0.750905460124643); #328164=CYLINDRICAL_SURFACE('',#362546,0.14); #328165=CYLINDRICAL_SURFACE('',#362549,0.14); #328166=CYLINDRICAL_SURFACE('',#362552,0.14); #328167=CYLINDRICAL_SURFACE('',#362555,0.14); #328168=CYLINDRICAL_SURFACE('',#362558,0.14); #328169=CYLINDRICAL_SURFACE('',#362561,0.14); #328170=CYLINDRICAL_SURFACE('',#362726,0.14); #328171=CYLINDRICAL_SURFACE('',#362729,0.14); #328172=CYLINDRICAL_SURFACE('',#362732,0.14); #328173=CYLINDRICAL_SURFACE('',#362735,0.14); #328174=CYLINDRICAL_SURFACE('',#362738,0.14); #328175=CYLINDRICAL_SURFACE('',#362741,0.14); #328176=CYLINDRICAL_SURFACE('',#362786,0.140000000000002); #328177=CYLINDRICAL_SURFACE('',#362789,0.140000000000002); #328178=CYLINDRICAL_SURFACE('',#362792,0.140000000000002); #328179=CYLINDRICAL_SURFACE('',#362795,0.140000000000002); #328180=CYLINDRICAL_SURFACE('',#362798,0.140000000000002); #328181=CYLINDRICAL_SURFACE('',#362801,0.140000000000002); #328182=CYLINDRICAL_SURFACE('',#362822,0.139999999999998); #328183=CYLINDRICAL_SURFACE('',#362825,0.139999999999998); #328184=CYLINDRICAL_SURFACE('',#362828,0.139999999999998); #328185=CYLINDRICAL_SURFACE('',#362831,0.139999999999998); #328186=CYLINDRICAL_SURFACE('',#362834,0.139999999999998); #328187=CYLINDRICAL_SURFACE('',#362837,0.139999999999998); #328188=CYLINDRICAL_SURFACE('',#362840,0.139999999999998); #328189=CYLINDRICAL_SURFACE('',#362843,0.139999999999998); #328190=CYLINDRICAL_SURFACE('',#362846,0.139999999999998); #328191=CYLINDRICAL_SURFACE('',#362849,0.139999999999998); #328192=CYLINDRICAL_SURFACE('',#362852,0.139999999999998); #328193=CYLINDRICAL_SURFACE('',#362855,0.139999999999998); #328194=CYLINDRICAL_SURFACE('',#362858,0.139999999999998); #328195=CYLINDRICAL_SURFACE('',#362861,0.139999999999998); #328196=CYLINDRICAL_SURFACE('',#362864,0.139999999999998); #328197=CYLINDRICAL_SURFACE('',#362867,0.139999999999998); #328198=CYLINDRICAL_SURFACE('',#362870,0.139999999999998); #328199=CYLINDRICAL_SURFACE('',#362873,0.139999999999998); #328200=CYLINDRICAL_SURFACE('',#362876,0.139999999999998); #328201=CYLINDRICAL_SURFACE('',#362879,0.139999999999998); #328202=CYLINDRICAL_SURFACE('',#362882,0.139999999999998); #328203=CYLINDRICAL_SURFACE('',#362885,0.139999999999998); #328204=CYLINDRICAL_SURFACE('',#362888,0.139999999999998); #328205=CYLINDRICAL_SURFACE('',#362891,0.139999999999998); #328206=CYLINDRICAL_SURFACE('',#362894,0.139999999999998); #328207=CYLINDRICAL_SURFACE('',#362897,0.139999999999998); #328208=CYLINDRICAL_SURFACE('',#362900,0.139999999999998); #328209=CYLINDRICAL_SURFACE('',#362903,0.139999999999998); #328210=CYLINDRICAL_SURFACE('',#362906,0.139999999999998); #328211=CYLINDRICAL_SURFACE('',#362909,0.139999999999998); #328212=CYLINDRICAL_SURFACE('',#362912,0.139999999999998); #328213=CYLINDRICAL_SURFACE('',#362915,0.139999999999998); #328214=CYLINDRICAL_SURFACE('',#362918,0.139999999999998); #328215=CYLINDRICAL_SURFACE('',#362921,0.139999999999998); #328216=CYLINDRICAL_SURFACE('',#362924,0.139999999999998); #328217=CYLINDRICAL_SURFACE('',#362927,0.139999999999998); #328218=CYLINDRICAL_SURFACE('',#362930,0.139999999999998); #328219=CYLINDRICAL_SURFACE('',#362933,0.139999999999998); #328220=CYLINDRICAL_SURFACE('',#362936,0.139999999999998); #328221=CYLINDRICAL_SURFACE('',#362939,0.139999999999998); #328222=CYLINDRICAL_SURFACE('',#362942,0.139999999999998); #328223=CYLINDRICAL_SURFACE('',#362945,0.139999999999998); #328224=CYLINDRICAL_SURFACE('',#362948,0.139999999999998); #328225=CYLINDRICAL_SURFACE('',#362951,0.139999999999998); #328226=CYLINDRICAL_SURFACE('',#362954,0.139999999999998); #328227=CYLINDRICAL_SURFACE('',#362957,0.139999999999998); #328228=CYLINDRICAL_SURFACE('',#362960,0.139999999999998); #328229=CYLINDRICAL_SURFACE('',#362963,0.139999999999998); #328230=CYLINDRICAL_SURFACE('',#362966,0.139999999999998); #328231=CYLINDRICAL_SURFACE('',#363083,0.139999999999998); #328232=CYLINDRICAL_SURFACE('',#363104,0.139999999999998); #328233=CYLINDRICAL_SURFACE('',#363179,0.14); #328234=CYLINDRICAL_SURFACE('',#363182,0.14); #328235=CYLINDRICAL_SURFACE('',#363185,0.14); #328236=CYLINDRICAL_SURFACE('',#363188,0.14); #328237=CYLINDRICAL_SURFACE('',#363191,0.14); #328238=CYLINDRICAL_SURFACE('',#363194,0.14); #328239=CYLINDRICAL_SURFACE('',#363197,0.14); #328240=CYLINDRICAL_SURFACE('',#363200,0.14); #328241=CYLINDRICAL_SURFACE('',#363203,0.14); #328242=CYLINDRICAL_SURFACE('',#363218,0.139999999999998); #328243=CYLINDRICAL_SURFACE('',#363257,0.139999999999998); #328244=CYLINDRICAL_SURFACE('',#363266,0.139999999999998); #328245=CYLINDRICAL_SURFACE('',#363341,0.140000000000002); #328246=CYLINDRICAL_SURFACE('',#363344,0.140000000000002); #328247=CYLINDRICAL_SURFACE('',#363347,0.140000000000002); #328248=CYLINDRICAL_SURFACE('',#363350,0.140000000000002); #328249=CYLINDRICAL_SURFACE('',#363353,0.140000000000002); #328250=CYLINDRICAL_SURFACE('',#363356,0.140000000000002); #328251=CYLINDRICAL_SURFACE('',#363383,0.140000000000002); #328252=CYLINDRICAL_SURFACE('',#363386,0.140000000000002); #328253=CYLINDRICAL_SURFACE('',#363389,0.140000000000002); #328254=CYLINDRICAL_SURFACE('',#363392,0.140000000000002); #328255=CYLINDRICAL_SURFACE('',#363395,0.140000000000002); #328256=CYLINDRICAL_SURFACE('',#363398,0.140000000000002); #328257=CYLINDRICAL_SURFACE('',#363538,0.564999999999998); #328258=CYLINDRICAL_SURFACE('',#363542,0.900857088405025); #328259=CYLINDRICAL_SURFACE('',#363545,0.900856769723134); #328260=CYLINDRICAL_SURFACE('',#363562,0.114999999999998); #328261=CYLINDRICAL_SURFACE('',#363565,0.114999999999998); #328262=CYLINDRICAL_SURFACE('',#363580,0.114999999999998); #328263=CYLINDRICAL_SURFACE('',#363583,0.114999999999998); #328264=CYLINDRICAL_SURFACE('',#363598,0.114999999999998); #328265=CYLINDRICAL_SURFACE('',#363601,0.114999999999998); #328266=CYLINDRICAL_SURFACE('',#363616,0.114999999999998); #328267=CYLINDRICAL_SURFACE('',#363619,0.114999999999998); #328268=CYLINDRICAL_SURFACE('',#363640,0.127000308727433); #328269=CYLINDRICAL_SURFACE('',#363648,0.126999843437875); #328270=CYLINDRICAL_SURFACE('',#363653,0.126998775938454); #328271=CYLINDRICAL_SURFACE('',#363663,0.126999785858201); #328272=CYLINDRICAL_SURFACE('',#363667,0.127000308727438); #328273=CYLINDRICAL_SURFACE('',#363678,0.127000308727436); #328274=CYLINDRICAL_SURFACE('',#363682,0.127000308727441); #328275=CYLINDRICAL_SURFACE('',#363688,0.127001478143445); #328276=CYLINDRICAL_SURFACE('',#363692,0.127000248685803); #328277=CYLINDRICAL_SURFACE('',#363700,0.127000023435621); #328278=CYLINDRICAL_SURFACE('',#363709,0.127000308727437); #328279=CYLINDRICAL_SURFACE('',#363716,0.139999999999998); #328280=CYLINDRICAL_SURFACE('',#363719,0.139999999999998); #328281=CYLINDRICAL_SURFACE('',#363723,0.275850826114455); #328282=CYLINDRICAL_SURFACE('',#363726,0.275429566248996); #328283=CYLINDRICAL_SURFACE('',#363730,0.275429704872049); #328284=CYLINDRICAL_SURFACE('',#363733,0.27542977774263); #328285=CYLINDRICAL_SURFACE('',#363737,0.275430756167224); #328286=CYLINDRICAL_SURFACE('',#363742,0.139999999999998); #328287=CYLINDRICAL_SURFACE('',#363746,0.275429726247122); #328288=CYLINDRICAL_SURFACE('',#363756,0.27542954841201); #328289=CYLINDRICAL_SURFACE('',#363761,0.139999999999998); #328290=CYLINDRICAL_SURFACE('',#363769,0.127000429438416); #328291=CYLINDRICAL_SURFACE('',#363773,0.127073045682421); #328292=CYLINDRICAL_SURFACE('',#363776,0.275429182716805); #328293=CYLINDRICAL_SURFACE('',#363779,0.275466854775623); #328294=CYLINDRICAL_SURFACE('',#363787,0.139999999999998); #328295=CYLINDRICAL_SURFACE('',#363790,0.140000000000002); #328296=CYLINDRICAL_SURFACE('',#363794,0.275850826114459); #328297=CYLINDRICAL_SURFACE('',#363797,0.275429566248996); #328298=CYLINDRICAL_SURFACE('',#363805,0.127000308727436); #328299=CYLINDRICAL_SURFACE('',#363810,0.127000308727436); #328300=CYLINDRICAL_SURFACE('',#363814,0.127000308727436); #328301=CYLINDRICAL_SURFACE('',#363820,0.127000308727441); #328302=CYLINDRICAL_SURFACE('',#363824,0.127000308727438); #328303=CYLINDRICAL_SURFACE('',#363828,0.275429728561968); #328304=CYLINDRICAL_SURFACE('',#363831,0.275429566248991); #328305=CYLINDRICAL_SURFACE('',#363837,0.127000308727436); #328306=CYLINDRICAL_SURFACE('',#363841,0.127000308727436); #328307=CYLINDRICAL_SURFACE('',#363847,0.12700030872744); #328308=CYLINDRICAL_SURFACE('',#363852,0.127000308727436); #328309=CYLINDRICAL_SURFACE('',#363856,0.127000308727441); #328310=CYLINDRICAL_SURFACE('',#363860,0.127000308727433); #328311=CYLINDRICAL_SURFACE('',#363864,0.127000308727438); #328312=CYLINDRICAL_SURFACE('',#363868,0.275430756167224); #328313=CYLINDRICAL_SURFACE('',#363873,0.140000000000002); #328314=CYLINDRICAL_SURFACE('',#363876,0.139999999999998); #328315=CYLINDRICAL_SURFACE('',#363880,0.275850826114452); #328316=CYLINDRICAL_SURFACE('',#363883,0.275429566248992); #328317=CYLINDRICAL_SURFACE('',#363888,0.275430616157734); #328318=CYLINDRICAL_SURFACE('',#363891,0.275430435747982); #328319=CYLINDRICAL_SURFACE('',#363895,0.127000308727439); #328320=CYLINDRICAL_SURFACE('',#363899,0.275430756167224); #328321=CYLINDRICAL_SURFACE('',#363904,0.139999999999998); #328322=CYLINDRICAL_SURFACE('',#363912,0.127000429438416); #328323=CYLINDRICAL_SURFACE('',#363916,0.127073045682421); #328324=CYLINDRICAL_SURFACE('',#363919,0.275008823028508); #328325=CYLINDRICAL_SURFACE('',#363922,0.127073045682415); #328326=CYLINDRICAL_SURFACE('',#363926,0.127000429438414); #328327=CYLINDRICAL_SURFACE('',#363937,0.275084370745809); #328328=CYLINDRICAL_SURFACE('',#363945,0.139999999999998); #328329=CYLINDRICAL_SURFACE('',#363949,0.275429773854103); #328330=CYLINDRICAL_SURFACE('',#363952,0.12691207986283); #328331=CYLINDRICAL_SURFACE('',#363956,0.127000429438417); #328332=CYLINDRICAL_SURFACE('',#363967,0.275006306563116); #328333=CYLINDRICAL_SURFACE('',#363978,0.127000429438417); #328334=CYLINDRICAL_SURFACE('',#363982,0.126926612717977); #328335=CYLINDRICAL_SURFACE('',#363985,0.275430611325914); #328336=CYLINDRICAL_SURFACE('',#363990,0.139999999999998); #328337=CYLINDRICAL_SURFACE('',#363993,0.139999999999998); #328338=CYLINDRICAL_SURFACE('',#363998,0.127000308727438); #328339=CYLINDRICAL_SURFACE('',#364003,0.27542972856197); #328340=CYLINDRICAL_SURFACE('',#364006,0.275429566248993); #328341=CYLINDRICAL_SURFACE('',#364010,0.127000308727433); #328342=CYLINDRICAL_SURFACE('',#364016,0.127000308727449); #328343=CYLINDRICAL_SURFACE('',#364024,0.127000308727451); #328344=CYLINDRICAL_SURFACE('',#364029,0.127000308727436); #328345=CYLINDRICAL_SURFACE('',#364033,0.12700030872744); #328346=CYLINDRICAL_SURFACE('',#364039,0.12700030872744); #328347=CYLINDRICAL_SURFACE('',#364043,0.127000308727449); #328348=CYLINDRICAL_SURFACE('',#364047,0.127000308727438); #328349=CYLINDRICAL_SURFACE('',#364052,0.275429728561968); #328350=CYLINDRICAL_SURFACE('',#364055,0.275429566248991); #328351=CYLINDRICAL_SURFACE('',#364059,0.127000308727436); #328352=CYLINDRICAL_SURFACE('',#364066,0.127000308727425); #328353=CYLINDRICAL_SURFACE('',#364070,0.127000308727425); #328354=CYLINDRICAL_SURFACE('',#364076,0.127000308727436); #328355=CYLINDRICAL_SURFACE('',#364081,0.127001515838133); #328356=CYLINDRICAL_SURFACE('',#364085,0.127001515838138); #328357=CYLINDRICAL_SURFACE('',#364089,0.127001515838138); #328358=CYLINDRICAL_SURFACE('',#364093,0.127001515838131); #328359=CYLINDRICAL_SURFACE('',#364098,0.126758017197157); #328360=CYLINDRICAL_SURFACE('',#364103,0.139999999999998); #328361=CYLINDRICAL_SURFACE('',#364106,0.139999999999998); #328362=CYLINDRICAL_SURFACE('',#364110,0.275850826114455); #328363=CYLINDRICAL_SURFACE('',#364113,0.275429566248996); #328364=CYLINDRICAL_SURFACE('',#364117,0.275429704872049); #328365=CYLINDRICAL_SURFACE('',#364120,0.27542977774263); #328366=CYLINDRICAL_SURFACE('',#364124,0.275430756167224); #328367=CYLINDRICAL_SURFACE('',#364129,0.139999999999998); #328368=CYLINDRICAL_SURFACE('',#364132,0.139999999999998); #328369=CYLINDRICAL_SURFACE('',#364136,0.275850826114455); #328370=CYLINDRICAL_SURFACE('',#364139,0.275429566248993); #328371=CYLINDRICAL_SURFACE('',#364143,0.275429704872047); #328372=CYLINDRICAL_SURFACE('',#364146,0.275429777742628); #328373=CYLINDRICAL_SURFACE('',#364150,0.275430756167224); #328374=CYLINDRICAL_SURFACE('',#364155,0.139999999999998); #328375=CYLINDRICAL_SURFACE('',#364158,0.139999999999998); #328376=CYLINDRICAL_SURFACE('',#364162,0.275850826114455); #328377=CYLINDRICAL_SURFACE('',#364165,0.275429566248995); #328378=CYLINDRICAL_SURFACE('',#364169,0.275429704872054); #328379=CYLINDRICAL_SURFACE('',#364172,0.275429777742634); #328380=CYLINDRICAL_SURFACE('',#364176,0.275430756167224); #328381=CYLINDRICAL_SURFACE('',#364181,0.139999999999998); #328382=CYLINDRICAL_SURFACE('',#364184,0.140000000000002); #328383=CYLINDRICAL_SURFACE('',#364188,0.275429677195186); #328384=CYLINDRICAL_SURFACE('',#364194,0.27542972856197); #328385=CYLINDRICAL_SURFACE('',#364197,0.275429566248992); #328386=CYLINDRICAL_SURFACE('',#364201,0.127000308727437); #328387=CYLINDRICAL_SURFACE('',#364205,0.127000308727438); #328388=CYLINDRICAL_SURFACE('',#364209,0.275429442438527); #328389=CYLINDRICAL_SURFACE('',#364214,0.139999999999998); #328390=CYLINDRICAL_SURFACE('',#364223,0.139999999999998); #328391=CYLINDRICAL_SURFACE('',#364232,0.140000000000002); #328392=CYLINDRICAL_SURFACE('',#364241,0.139999999999998); #328393=CYLINDRICAL_SURFACE('',#364245,0.275429677195181); #328394=CYLINDRICAL_SURFACE('',#364255,0.275429442438521); #328395=CYLINDRICAL_SURFACE('',#364260,0.139999999999998); #328396=CYLINDRICAL_SURFACE('',#364263,0.139999999999998); #328397=CYLINDRICAL_SURFACE('',#364268,0.12700030872745); #328398=CYLINDRICAL_SURFACE('',#364273,0.127000308727449); #328399=CYLINDRICAL_SURFACE('',#364278,0.27542972856197); #328400=CYLINDRICAL_SURFACE('',#364281,0.275429566248993); #328401=CYLINDRICAL_SURFACE('',#364285,0.127000308727438); #328402=CYLINDRICAL_SURFACE('',#364290,0.127000308727439); #328403=CYLINDRICAL_SURFACE('',#364299,0.127000308727438); #328404=CYLINDRICAL_SURFACE('',#364304,0.12700030872744); #328405=CYLINDRICAL_SURFACE('',#364308,0.12700030872744); #328406=CYLINDRICAL_SURFACE('',#364314,0.12700030872744); #328407=CYLINDRICAL_SURFACE('',#364318,0.127000308727436); #328408=CYLINDRICAL_SURFACE('',#364323,0.127000308727438); #328409=CYLINDRICAL_SURFACE('',#364327,0.275429728561968); #328410=CYLINDRICAL_SURFACE('',#364330,0.275429566248991); #328411=CYLINDRICAL_SURFACE('',#364335,0.127000308727438); #328412=CYLINDRICAL_SURFACE('',#364341,0.12700030872744); #328413=CYLINDRICAL_SURFACE('',#364345,0.12700030872744); #328414=CYLINDRICAL_SURFACE('',#364351,0.127000308727447); #328415=CYLINDRICAL_SURFACE('',#364356,0.127000308727451); #328416=CYLINDRICAL_SURFACE('',#364360,0.12700030872744); #328417=CYLINDRICAL_SURFACE('',#364364,0.127000308727438); #328418=CYLINDRICAL_SURFACE('',#364368,0.126758017197157); #328419=CYLINDRICAL_SURFACE('',#364373,0.140000000000002); #328420=CYLINDRICAL_SURFACE('',#364376,0.140000000000002); #328421=CYLINDRICAL_SURFACE('',#364380,0.275429677195186); #328422=CYLINDRICAL_SURFACE('',#364384,0.275429728561971); #328423=CYLINDRICAL_SURFACE('',#364387,0.275429566248994); #328424=CYLINDRICAL_SURFACE('',#364391,0.275429442438529); #328425=CYLINDRICAL_SURFACE('',#364396,0.139999999999998); #328426=CYLINDRICAL_SURFACE('',#364400,0.275429677195182); #328427=CYLINDRICAL_SURFACE('',#364410,0.275429442438522); #328428=CYLINDRICAL_SURFACE('',#364415,0.139999999999998); #328429=CYLINDRICAL_SURFACE('',#364419,0.275429677195182); #328430=CYLINDRICAL_SURFACE('',#364429,0.275429442438521); #328431=CYLINDRICAL_SURFACE('',#364434,0.139999999999998); #328432=CYLINDRICAL_SURFACE('',#364438,0.275430523299247); #328433=CYLINDRICAL_SURFACE('',#364442,0.127001515838137); #328434=CYLINDRICAL_SURFACE('',#364446,0.127001515838134); #328435=CYLINDRICAL_SURFACE('',#364458,0.275430756167224); #328436=CYLINDRICAL_SURFACE('',#364461,0.275850020106943); #328437=CYLINDRICAL_SURFACE('',#364466,0.465); #328438=CYLINDRICAL_SURFACE('',#364477,0.750581792116793); #328439=CYLINDRICAL_SURFACE('',#364480,0.750581774250364); #328440=CYLINDRICAL_SURFACE('',#364484,0.127000308727438); #328441=CYLINDRICAL_SURFACE('',#364488,0.127000308727433); #328442=CYLINDRICAL_SURFACE('',#364494,0.465); #328443=CYLINDRICAL_SURFACE('',#364498,0.750904962541172); #328444=CYLINDRICAL_SURFACE('',#364501,0.750905460124643); #328445=CYLINDRICAL_SURFACE('',#364506,0.139999999999998); #328446=CYLINDRICAL_SURFACE('',#364516,0.127000308727438); #328447=CYLINDRICAL_SURFACE('',#364521,0.275429728561968); #328448=CYLINDRICAL_SURFACE('',#364524,0.275429566248991); #328449=CYLINDRICAL_SURFACE('',#364528,0.127000308727444); #328450=CYLINDRICAL_SURFACE('',#364533,0.127000308727438); #328451=CYLINDRICAL_SURFACE('',#364537,0.127000308727435); #328452=CYLINDRICAL_SURFACE('',#364544,0.465); #328453=CYLINDRICAL_SURFACE('',#364551,0.127000308727438); #328454=CYLINDRICAL_SURFACE('',#364555,0.127000308727438); #328455=CYLINDRICAL_SURFACE('',#364559,0.750581792116793); #328456=CYLINDRICAL_SURFACE('',#364562,0.750581774250364); #328457=CYLINDRICAL_SURFACE('',#364577,0.127000308727435); #328458=CYLINDRICAL_SURFACE('',#364601,0.127000308727435); #328459=CYLINDRICAL_SURFACE('',#364608,0.564999999999998); #328460=CYLINDRICAL_SURFACE('',#364613,0.127000308727436); #328461=CYLINDRICAL_SURFACE('',#364617,0.127000308727438); #328462=CYLINDRICAL_SURFACE('',#364622,0.12700030872744); #328463=CYLINDRICAL_SURFACE('',#364633,0.127000308727444); #328464=CYLINDRICAL_SURFACE('',#364640,0.127000308727441); #328465=CYLINDRICAL_SURFACE('',#364659,0.126758017197157); #328466=CYLINDRICAL_SURFACE('',#364664,0.139999999999998); #328467=CYLINDRICAL_SURFACE('',#364667,0.139999999999998); #328468=CYLINDRICAL_SURFACE('',#364671,0.275429677195185); #328469=CYLINDRICAL_SURFACE('',#364675,0.27542972856197); #328470=CYLINDRICAL_SURFACE('',#364678,0.275429566248993); #328471=CYLINDRICAL_SURFACE('',#364682,0.275429442438528); #328472=CYLINDRICAL_SURFACE('',#364687,0.140000000000002); #328473=CYLINDRICAL_SURFACE('',#364696,0.139999999999998); #328474=CYLINDRICAL_SURFACE('',#364699,0.139999999999998); #328475=CYLINDRICAL_SURFACE('',#364703,0.275430523299248); #328476=CYLINDRICAL_SURFACE('',#364707,0.127000308727438); #328477=CYLINDRICAL_SURFACE('',#364711,0.127000308727433); #328478=CYLINDRICAL_SURFACE('',#364715,0.127000308727436); #328479=CYLINDRICAL_SURFACE('',#364719,0.127000308727438); #328480=CYLINDRICAL_SURFACE('',#364723,0.127000308727444); #328481=CYLINDRICAL_SURFACE('',#364727,0.275430209658209); #328482=CYLINDRICAL_SURFACE('',#364730,0.275430264558768); #328483=CYLINDRICAL_SURFACE('',#364739,0.275430756167224); #328484=CYLINDRICAL_SURFACE('',#364742,0.275850020106942); #328485=CYLINDRICAL_SURFACE('',#364747,0.139999999999998); #328486=CYLINDRICAL_SURFACE('',#364750,0.139999999999998); #328487=CYLINDRICAL_SURFACE('',#364754,0.275430525995305); #328488=CYLINDRICAL_SURFACE('',#364758,0.275430616157734); #328489=CYLINDRICAL_SURFACE('',#364761,0.27543090603658); #328490=CYLINDRICAL_SURFACE('',#364766,0.275850020106941); #328491=CYLINDRICAL_SURFACE('',#364771,0.139999999999998); #328492=CYLINDRICAL_SURFACE('',#364780,0.565000000000002); #328493=CYLINDRICAL_SURFACE('',#364783,0.140000000000002); #328494=CYLINDRICAL_SURFACE('',#364786,0.514999999999999); #328495=CYLINDRICAL_SURFACE('',#364789,0.465); #328496=CYLINDRICAL_SURFACE('',#364862,0.275008823028508); #328497=CYLINDRICAL_SURFACE('',#364868,0.825862156960858); #328498=CYLINDRICAL_SURFACE('',#364871,0.825862160595456); #328499=CYLINDRICAL_SURFACE('',#364875,0.127000308727435); #328500=CYLINDRICAL_SURFACE('',#364879,0.127000308727438); #328501=CYLINDRICAL_SURFACE('',#364883,0.275008823028508); #328502=CYLINDRICAL_SURFACE('',#364896,0.140000000000002); #328503=CYLINDRICAL_SURFACE('',#364901,0.126999756401237); #328504=CYLINDRICAL_SURFACE('',#364924,0.127000308727433); #328505=CYLINDRICAL_SURFACE('',#364930,0.127000308727438); #328506=CYLINDRICAL_SURFACE('',#364935,0.127000308727441); #328507=CYLINDRICAL_SURFACE('',#364939,0.275429704872047); #328508=CYLINDRICAL_SURFACE('',#364942,0.275429777742628); #328509=CYLINDRICAL_SURFACE('',#364947,0.127000308727438); #328510=CYLINDRICAL_SURFACE('',#364952,0.127000308727438); #328511=CYLINDRICAL_SURFACE('',#364956,0.127000308727433); #328512=CYLINDRICAL_SURFACE('',#364964,0.126735934237225); #328513=CYLINDRICAL_SURFACE('',#364969,0.14); #328514=CYLINDRICAL_SURFACE('',#364972,0.14); #328515=CYLINDRICAL_SURFACE('',#364975,0.14); #328516=CYLINDRICAL_SURFACE('',#364978,0.14); #328517=CYLINDRICAL_SURFACE('',#364981,0.14); #328518=CYLINDRICAL_SURFACE('',#364984,0.14); #328519=CYLINDRICAL_SURFACE('',#364987,0.14); #328520=CYLINDRICAL_SURFACE('',#364990,0.14); #328521=CYLINDRICAL_SURFACE('',#364993,0.465); #328522=CYLINDRICAL_SURFACE('',#364996,0.465); #328523=CYLINDRICAL_SURFACE('',#364999,0.514999999999999); #328524=CYLINDRICAL_SURFACE('',#365002,0.14); #328525=CYLINDRICAL_SURFACE('',#365337,0.140000000000002); #328526=CYLINDRICAL_SURFACE('',#365340,0.139999999999998); #328527=CYLINDRICAL_SURFACE('',#365343,0.139999999999998); #328528=CYLINDRICAL_SURFACE('',#365346,0.139999999999998); #328529=CYLINDRICAL_SURFACE('',#365349,0.139999999999998); #328530=CYLINDRICAL_SURFACE('',#365352,0.139999999999998); #328531=CYLINDRICAL_SURFACE('',#365355,0.465); #328532=CYLINDRICAL_SURFACE('',#365358,0.139999999999998); #328533=CYLINDRICAL_SURFACE('',#365361,0.139999999999998); #328534=CYLINDRICAL_SURFACE('',#365364,0.139999999999998); #328535=CYLINDRICAL_SURFACE('',#365367,0.139999999999998); #328536=CYLINDRICAL_SURFACE('',#365370,0.139999999999998); #328537=CYLINDRICAL_SURFACE('',#365373,0.139999999999998); #328538=CYLINDRICAL_SURFACE('',#365376,0.514999999999999); #328539=CYLINDRICAL_SURFACE('',#365379,0.139999999999998); #328540=CYLINDRICAL_SURFACE('',#365382,0.139999999999998); #328541=CYLINDRICAL_SURFACE('',#365385,0.139999999999998); #328542=CYLINDRICAL_SURFACE('',#365388,0.139999999999998); #328543=CYLINDRICAL_SURFACE('',#365391,0.139999999999998); #328544=CYLINDRICAL_SURFACE('',#365394,0.139999999999998); #328545=CYLINDRICAL_SURFACE('',#365397,0.139999999999998); #328546=CYLINDRICAL_SURFACE('',#365400,0.139999999999998); #328547=CYLINDRICAL_SURFACE('',#365403,0.14); #328548=CYLINDRICAL_SURFACE('',#365406,0.139999999999998); #328549=CYLINDRICAL_SURFACE('',#365409,0.14); #328550=CYLINDRICAL_SURFACE('',#365412,0.139999999999998); #328551=CYLINDRICAL_SURFACE('',#365415,0.14); #328552=CYLINDRICAL_SURFACE('',#365418,0.139999999999998); #328553=CYLINDRICAL_SURFACE('',#365421,0.14); #328554=CYLINDRICAL_SURFACE('',#365424,0.140000000000002); #328555=CYLINDRICAL_SURFACE('',#365427,0.139999999999998); #328556=CYLINDRICAL_SURFACE('',#365430,0.140000000000002); #328557=CYLINDRICAL_SURFACE('',#365433,0.139999999999998); #328558=CYLINDRICAL_SURFACE('',#365436,0.140000000000002); #328559=CYLINDRICAL_SURFACE('',#365439,0.139999999999998); #328560=CYLINDRICAL_SURFACE('',#365442,0.140000000000002); #328561=CYLINDRICAL_SURFACE('',#365445,0.140000000000002); #328562=CYLINDRICAL_SURFACE('',#365448,0.139999999999998); #328563=CYLINDRICAL_SURFACE('',#365451,0.140000000000002); #328564=CYLINDRICAL_SURFACE('',#365454,0.140000000000002); #328565=CYLINDRICAL_SURFACE('',#365457,0.139999999999998); #328566=CYLINDRICAL_SURFACE('',#365460,0.140000000000002); #328567=CYLINDRICAL_SURFACE('',#365463,0.140000000000002); #328568=CYLINDRICAL_SURFACE('',#365466,0.139999999999998); #328569=CYLINDRICAL_SURFACE('',#365469,0.140000000000002); #328570=CYLINDRICAL_SURFACE('',#365472,0.139999999999998); #328571=CYLINDRICAL_SURFACE('',#365475,0.140000000000002); #328572=CYLINDRICAL_SURFACE('',#365478,0.139999999999998); #328573=CYLINDRICAL_SURFACE('',#365481,0.140000000000002); #328574=CYLINDRICAL_SURFACE('',#365484,0.14); #328575=CYLINDRICAL_SURFACE('',#365487,0.139999999999998); #328576=CYLINDRICAL_SURFACE('',#365490,0.14); #328577=CYLINDRICAL_SURFACE('',#365493,0.139999999999998); #328578=CYLINDRICAL_SURFACE('',#365496,0.14); #328579=CYLINDRICAL_SURFACE('',#365499,0.139999999999998); #328580=CYLINDRICAL_SURFACE('',#365502,0.14); #328581=CYLINDRICAL_SURFACE('',#365505,0.14); #328582=CYLINDRICAL_SURFACE('',#365508,0.139999999999998); #328583=CYLINDRICAL_SURFACE('',#365511,0.14); #328584=CYLINDRICAL_SURFACE('',#365514,0.139999999999998); #328585=CYLINDRICAL_SURFACE('',#365517,0.14); #328586=CYLINDRICAL_SURFACE('',#365520,0.139999999999998); #328587=CYLINDRICAL_SURFACE('',#365523,0.14); #328588=CYLINDRICAL_SURFACE('',#365526,0.465); #328589=CYLINDRICAL_SURFACE('',#365530,0.315); #328590=CYLINDRICAL_SURFACE('',#365534,0.315); #328591=CYLINDRICAL_SURFACE('',#365538,0.565); #328592=CYLINDRICAL_SURFACE('',#365542,0.565); #328593=CYLINDRICAL_SURFACE('',#365545,0.139999999999998); #328594=CYLINDRICAL_SURFACE('',#365548,0.114999999999998); #328595=CYLINDRICAL_SURFACE('',#365552,0.565); #328596=CYLINDRICAL_SURFACE('',#365556,0.565); #328597=CYLINDRICAL_SURFACE('',#365559,0.139999999999998); #328598=CYLINDRICAL_SURFACE('',#365562,0.114999999999998); #328599=CYLINDRICAL_SURFACE('',#365565,0.139999999999998); #328600=CYLINDRICAL_SURFACE('',#365568,0.114999999999998); #328601=CYLINDRICAL_SURFACE('',#365571,0.114999999999998); #328602=CYLINDRICAL_SURFACE('',#365575,0.315); #328603=CYLINDRICAL_SURFACE('',#365579,0.315); #328604=CYLINDRICAL_SURFACE('',#365582,0.139999999999998); #328605=CYLINDRICAL_SURFACE('',#365586,0.315); #328606=CYLINDRICAL_SURFACE('',#365590,0.315); #328607=CYLINDRICAL_SURFACE('',#365594,0.315); #328608=CYLINDRICAL_SURFACE('',#365598,0.315); #328609=CYLINDRICAL_SURFACE('',#365601,0.139999999999998); #328610=CYLINDRICAL_SURFACE('',#365604,0.139999999999998); #328611=CYLINDRICAL_SURFACE('',#365607,0.140000000000002); #328612=CYLINDRICAL_SURFACE('',#365610,0.139999999999998); #328613=CYLINDRICAL_SURFACE('',#365613,0.140000000000002); #328614=CYLINDRICAL_SURFACE('',#365616,0.140000000000002); #328615=CYLINDRICAL_SURFACE('',#365619,0.139999999999998); #328616=CYLINDRICAL_SURFACE('',#365622,0.140000000000002); #328617=CYLINDRICAL_SURFACE('',#365625,0.139999999999998); #328618=CYLINDRICAL_SURFACE('',#365628,0.139999999999998); #328619=CYLINDRICAL_SURFACE('',#365631,0.139999999999998); #328620=CYLINDRICAL_SURFACE('',#365634,0.139999999999998); #328621=CYLINDRICAL_SURFACE('',#365637,0.139999999999998); #328622=CYLINDRICAL_SURFACE('',#365640,0.140000000000002); #328623=CYLINDRICAL_SURFACE('',#365643,0.514999999999999); #328624=CYLINDRICAL_SURFACE('',#365646,0.140000000000002); #328625=CYLINDRICAL_SURFACE('',#365649,0.139999999999998); #328626=CYLINDRICAL_SURFACE('',#365652,0.140000000000002); #328627=CYLINDRICAL_SURFACE('',#365655,0.140000000000002); #328628=CYLINDRICAL_SURFACE('',#365658,0.139999999999998); #328629=CYLINDRICAL_SURFACE('',#365661,0.140000000000002); #328630=CYLINDRICAL_SURFACE('',#365664,0.140000000000002); #328631=CYLINDRICAL_SURFACE('',#365667,0.139999999999998); #328632=CYLINDRICAL_SURFACE('',#365670,0.140000000000002); #328633=CYLINDRICAL_SURFACE('',#365673,0.140000000000002); #328634=CYLINDRICAL_SURFACE('',#365676,0.139999999999998); #328635=CYLINDRICAL_SURFACE('',#365679,0.140000000000002); #328636=CYLINDRICAL_SURFACE('',#365682,0.564999999999998); #328637=CYLINDRICAL_SURFACE('',#365685,0.14); #328638=CYLINDRICAL_SURFACE('',#365688,0.139999999999998); #328639=CYLINDRICAL_SURFACE('',#365691,0.140000000000002); #328640=CYLINDRICAL_SURFACE('',#365694,0.140000000000002); #328641=CYLINDRICAL_SURFACE('',#365697,0.139999999999998); #328642=CYLINDRICAL_SURFACE('',#365700,0.140000000000002); #328643=CYLINDRICAL_SURFACE('',#365703,0.140000000000002); #328644=CYLINDRICAL_SURFACE('',#365706,0.139999999999998); #328645=CYLINDRICAL_SURFACE('',#365709,0.140000000000002); #328646=CYLINDRICAL_SURFACE('',#365712,0.140000000000002); #328647=CYLINDRICAL_SURFACE('',#365715,0.139999999999998); #328648=CYLINDRICAL_SURFACE('',#365718,0.140000000000002); #328649=CYLINDRICAL_SURFACE('',#365721,0.14); #328650=CYLINDRICAL_SURFACE('',#365724,0.139999999999998); #328651=CYLINDRICAL_SURFACE('',#365727,0.14); #328652=CYLINDRICAL_SURFACE('',#365730,0.14); #328653=CYLINDRICAL_SURFACE('',#365733,0.139999999999998); #328654=CYLINDRICAL_SURFACE('',#365736,0.14); #328655=CYLINDRICAL_SURFACE('',#365739,0.14); #328656=CYLINDRICAL_SURFACE('',#365742,0.139999999999998); #328657=CYLINDRICAL_SURFACE('',#365745,0.14); #328658=CYLINDRICAL_SURFACE('',#365748,0.14); #328659=CYLINDRICAL_SURFACE('',#365751,0.139999999999998); #328660=CYLINDRICAL_SURFACE('',#365754,0.14); #328661=CYLINDRICAL_SURFACE('',#367601,0.140000000000002); #328662=CYLINDRICAL_SURFACE('',#367604,0.140000000000002); #328663=CYLINDRICAL_SURFACE('',#367607,0.140000000000002); #328664=CYLINDRICAL_SURFACE('',#367610,0.140000000000002); #328665=CYLINDRICAL_SURFACE('',#367613,0.140000000000002); #328666=CYLINDRICAL_SURFACE('',#367616,0.140000000000002); #328667=CYLINDRICAL_SURFACE('',#367619,0.140000000000002); #328668=CYLINDRICAL_SURFACE('',#367622,0.140000000000002); #328669=CYLINDRICAL_SURFACE('',#367625,0.140000000000002); #328670=CYLINDRICAL_SURFACE('',#367628,0.140000000000002); #328671=CYLINDRICAL_SURFACE('',#367631,0.140000000000002); #328672=CYLINDRICAL_SURFACE('',#367634,0.140000000000002); #328673=CYLINDRICAL_SURFACE('',#367637,0.140000000000002); #328674=CYLINDRICAL_SURFACE('',#367640,0.140000000000002); #328675=CYLINDRICAL_SURFACE('',#367643,0.139999999999998); #328676=CYLINDRICAL_SURFACE('',#367646,0.140000000000002); #328677=CYLINDRICAL_SURFACE('',#367725,0.139999999999998); #328678=CYLINDRICAL_SURFACE('',#367728,0.139999999999998); #328679=CYLINDRICAL_SURFACE('',#367731,0.139999999999998); #328680=CYLINDRICAL_SURFACE('',#367734,0.139999999999998); #328681=CYLINDRICAL_SURFACE('',#367737,0.139999999999998); #328682=CYLINDRICAL_SURFACE('',#367740,0.139999999999998); #328683=CYLINDRICAL_SURFACE('',#367743,0.139999999999998); #328684=CYLINDRICAL_SURFACE('',#367746,0.139999999999998); #328685=CYLINDRICAL_SURFACE('',#367749,0.139999999999998); #328686=CYLINDRICAL_SURFACE('',#367752,0.139999999999998); #328687=CYLINDRICAL_SURFACE('',#367755,0.139999999999998); #328688=CYLINDRICAL_SURFACE('',#367758,0.139999999999998); #328689=CYLINDRICAL_SURFACE('',#367761,0.139999999999998); #328690=CYLINDRICAL_SURFACE('',#367764,0.139999999999998); #328691=CYLINDRICAL_SURFACE('',#367767,0.139999999999998); #328692=CYLINDRICAL_SURFACE('',#367770,0.139999999999998); #328693=CYLINDRICAL_SURFACE('',#367845,0.14); #328694=CYLINDRICAL_SURFACE('',#367848,0.14); #328695=CYLINDRICAL_SURFACE('',#367851,0.14); #328696=CYLINDRICAL_SURFACE('',#367854,0.14); #328697=CYLINDRICAL_SURFACE('',#367857,0.14); #328698=CYLINDRICAL_SURFACE('',#367860,0.14); #328699=CYLINDRICAL_SURFACE('',#367863,0.14); #328700=CYLINDRICAL_SURFACE('',#367866,0.14); #328701=CYLINDRICAL_SURFACE('',#367869,0.14); #328702=CYLINDRICAL_SURFACE('',#367872,0.14); #328703=CYLINDRICAL_SURFACE('',#367875,0.14); #328704=CYLINDRICAL_SURFACE('',#367878,0.14); #328705=CYLINDRICAL_SURFACE('',#367881,0.139999999999998); #328706=CYLINDRICAL_SURFACE('',#367884,0.139999999999998); #328707=CYLINDRICAL_SURFACE('',#367887,0.139999999999998); #328708=CYLINDRICAL_SURFACE('',#367890,0.139999999999998); #328709=CYLINDRICAL_SURFACE('',#367893,0.139999999999998); #328710=CYLINDRICAL_SURFACE('',#367896,0.14); #328711=CYLINDRICAL_SURFACE('',#367899,0.139999999999998); #328712=CYLINDRICAL_SURFACE('',#367902,0.139999999999998); #328713=CYLINDRICAL_SURFACE('',#367905,0.139999999999998); #328714=CYLINDRICAL_SURFACE('',#367908,0.139999999999998); #328715=CYLINDRICAL_SURFACE('',#367911,0.139999999999998); #328716=CYLINDRICAL_SURFACE('',#367914,0.139999999999998); #328717=CYLINDRICAL_SURFACE('',#367917,0.139999999999998); #328718=CYLINDRICAL_SURFACE('',#367920,0.139999999999998); #328719=CYLINDRICAL_SURFACE('',#367923,0.139999999999998); #328720=CYLINDRICAL_SURFACE('',#367926,0.139999999999998); #328721=CYLINDRICAL_SURFACE('',#367929,0.14); #328722=CYLINDRICAL_SURFACE('',#367932,0.14); #328723=CYLINDRICAL_SURFACE('',#367982,0.139999999999998); #328724=CYLINDRICAL_SURFACE('',#367985,0.139999999999998); #328725=CYLINDRICAL_SURFACE('',#367989,0.275429677195186); #328726=CYLINDRICAL_SURFACE('',#367993,0.27542972856197); #328727=CYLINDRICAL_SURFACE('',#367996,0.275429566248992); #328728=CYLINDRICAL_SURFACE('',#368000,0.275429442438528); #328729=CYLINDRICAL_SURFACE('',#368005,0.140000000000002); #328730=CYLINDRICAL_SURFACE('',#368008,0.140000000000002); #328731=CYLINDRICAL_SURFACE('',#368011,0.140000000000002); #328732=CYLINDRICAL_SURFACE('',#368014,0.140000000000002); #328733=CYLINDRICAL_SURFACE('',#368017,0.140000000000002); #328734=CYLINDRICAL_SURFACE('',#368020,0.140000000000002); #328735=CYLINDRICAL_SURFACE('',#368023,0.140000000000002); #328736=CYLINDRICAL_SURFACE('',#368026,0.140000000000002); #328737=CYLINDRICAL_SURFACE('',#368029,0.140000000000002); #328738=CYLINDRICAL_SURFACE('',#368032,0.465); #328739=CYLINDRICAL_SURFACE('',#368061,0.139999999999998); #328740=CYLINDRICAL_SURFACE('',#368065,0.275850231559089); #328741=CYLINDRICAL_SURFACE('',#368068,0.275850020106942); #328742=CYLINDRICAL_SURFACE('',#368073,0.140000000000002); #328743=CYLINDRICAL_SURFACE('',#368076,0.140000000000002); #328744=CYLINDRICAL_SURFACE('',#368079,0.140000000000002); #328745=CYLINDRICAL_SURFACE('',#368082,0.140000000000002); #328746=CYLINDRICAL_SURFACE('',#368085,0.140000000000002); #328747=CYLINDRICAL_SURFACE('',#368088,0.140000000000002); #328748=CYLINDRICAL_SURFACE('',#368091,0.140000000000002); #328749=CYLINDRICAL_SURFACE('',#368094,0.140000000000002); #328750=CYLINDRICAL_SURFACE('',#368097,0.140000000000002); #328751=CYLINDRICAL_SURFACE('',#368100,0.140000000000002); #328752=CYLINDRICAL_SURFACE('',#368103,0.140000000000002); #328753=CYLINDRICAL_SURFACE('',#368106,0.140000000000002); #328754=CYLINDRICAL_SURFACE('',#368109,0.140000000000002); #328755=CYLINDRICAL_SURFACE('',#368112,0.140000000000002); #328756=CYLINDRICAL_SURFACE('',#368115,0.140000000000002); #328757=CYLINDRICAL_SURFACE('',#368118,0.140000000000002); #328758=CYLINDRICAL_SURFACE('',#368121,0.140000000000002); #328759=CYLINDRICAL_SURFACE('',#368124,0.140000000000002); #328760=CYLINDRICAL_SURFACE('',#368127,0.140000000000002); #328761=CYLINDRICAL_SURFACE('',#368130,0.140000000000002); #328762=CYLINDRICAL_SURFACE('',#368133,0.140000000000002); #328763=CYLINDRICAL_SURFACE('',#368136,0.140000000000002); #328764=CYLINDRICAL_SURFACE('',#368139,0.140000000000002); #328765=CYLINDRICAL_SURFACE('',#368142,0.140000000000002); #328766=CYLINDRICAL_SURFACE('',#368145,0.140000000000002); #328767=CYLINDRICAL_SURFACE('',#368148,0.140000000000002); #328768=CYLINDRICAL_SURFACE('',#368151,0.140000000000002); #328769=CYLINDRICAL_SURFACE('',#368154,0.140000000000002); #328770=CYLINDRICAL_SURFACE('',#368157,0.140000000000002); #328771=CYLINDRICAL_SURFACE('',#368160,0.140000000000002); #328772=CYLINDRICAL_SURFACE('',#368210,0.140000000000002); #328773=CYLINDRICAL_SURFACE('',#368213,0.140000000000002); #328774=CYLINDRICAL_SURFACE('',#368217,0.275429677195186); #328775=CYLINDRICAL_SURFACE('',#368221,0.27542972856197); #328776=CYLINDRICAL_SURFACE('',#368224,0.275429566248994); #328777=CYLINDRICAL_SURFACE('',#368228,0.275429442438528); #328778=CYLINDRICAL_SURFACE('',#368233,0.139999999999998); #328779=CYLINDRICAL_SURFACE('',#368237,0.27585023155909); #328780=CYLINDRICAL_SURFACE('',#368240,0.275850020106942); #328781=CYLINDRICAL_SURFACE('',#368245,0.139999999999998); #328782=CYLINDRICAL_SURFACE('',#368248,0.139999999999998); #328783=CYLINDRICAL_SURFACE('',#368251,0.139999999999998); #328784=CYLINDRICAL_SURFACE('',#368254,0.114999999999998); #328785=CYLINDRICAL_SURFACE('',#368257,0.114999999999998); #328786=CYLINDRICAL_SURFACE('',#368260,0.114999999999998); #328787=CYLINDRICAL_SURFACE('',#368263,0.114999999999998); #328788=CYLINDRICAL_SURFACE('',#368266,0.139999999999998); #328789=CYLINDRICAL_SURFACE('',#368269,0.139999999999998); #328790=CYLINDRICAL_SURFACE('',#368272,0.139999999999998); #328791=CYLINDRICAL_SURFACE('',#368275,0.139999999999998); #328792=CYLINDRICAL_SURFACE('',#368278,0.139999999999998); #328793=CYLINDRICAL_SURFACE('',#368281,0.139999999999998); #328794=CYLINDRICAL_SURFACE('',#368284,0.139999999999998); #328795=CYLINDRICAL_SURFACE('',#368287,0.139999999999998); #328796=CYLINDRICAL_SURFACE('',#368459,0.564999999999998); #328797=CYLINDRICAL_SURFACE('',#368463,0.900857088405025); #328798=CYLINDRICAL_SURFACE('',#368466,0.900856769723134); #328799=CYLINDRICAL_SURFACE('',#368471,0.565000000000002); #328800=CYLINDRICAL_SURFACE('',#368475,0.900857088405025); #328801=CYLINDRICAL_SURFACE('',#368478,0.900856769723134); #328802=CYLINDRICAL_SURFACE('',#368483,0.465); #328803=CYLINDRICAL_SURFACE('',#368487,0.750904962541172); #328804=CYLINDRICAL_SURFACE('',#368490,0.750905460124643); #328805=CYLINDRICAL_SURFACE('',#368495,0.514999999999999); #328806=CYLINDRICAL_SURFACE('',#368499,0.825995597015953); #328807=CYLINDRICAL_SURFACE('',#368502,0.825996336030616); #328808=CYLINDRICAL_SURFACE('',#368507,0.514999999999999); #328809=CYLINDRICAL_SURFACE('',#368516,0.465); #328810=CYLINDRICAL_SURFACE('',#368520,0.750904962541172); #328811=CYLINDRICAL_SURFACE('',#368523,0.750905460124644); #328812=CYLINDRICAL_SURFACE('',#368528,0.465); #328813=CYLINDRICAL_SURFACE('',#368532,0.750904962541172); #328814=CYLINDRICAL_SURFACE('',#368535,0.750905460124644); #328815=CYLINDRICAL_SURFACE('',#368540,0.564999999999998); #328816=CYLINDRICAL_SURFACE('',#368544,0.900857088405025); #328817=CYLINDRICAL_SURFACE('',#368547,0.900856769723134); #328818=CYLINDRICAL_SURFACE('',#368552,0.465); #328819=CYLINDRICAL_SURFACE('',#368556,0.750904962541171); #328820=CYLINDRICAL_SURFACE('',#368559,0.750905460124643); #328821=CYLINDRICAL_SURFACE('',#368565,0.315); #328822=CYLINDRICAL_SURFACE('',#368569,0.315); #328823=CYLINDRICAL_SURFACE('',#368631,0.565); #328824=CYLINDRICAL_SURFACE('',#368635,0.565); #328825=CYLINDRICAL_SURFACE('',#368713,0.315); #328826=CYLINDRICAL_SURFACE('',#368717,0.315); #328827=CYLINDRICAL_SURFACE('',#368778,0.514999999999999); #328828=CYLINDRICAL_SURFACE('',#368788,0.315); #328829=CYLINDRICAL_SURFACE('',#368792,0.315); #328830=CYLINDRICAL_SURFACE('',#368854,0.565); #328831=CYLINDRICAL_SURFACE('',#368858,0.565); #328832=CYLINDRICAL_SURFACE('',#368935,0.465); #328833=CYLINDRICAL_SURFACE('',#368939,0.750904962541172); #328834=CYLINDRICAL_SURFACE('',#368942,0.750905460124644); #328835=CYLINDRICAL_SURFACE('',#368947,0.514999999999999); #328836=CYLINDRICAL_SURFACE('',#368951,0.825995597015952); #328837=CYLINDRICAL_SURFACE('',#368954,0.825996336030615); #328838=CYLINDRICAL_SURFACE('',#368960,0.315); #328839=CYLINDRICAL_SURFACE('',#368964,0.315); #328840=CYLINDRICAL_SURFACE('',#369025,0.465); #328841=CYLINDRICAL_SURFACE('',#369029,0.750904962541172); #328842=CYLINDRICAL_SURFACE('',#369032,0.750905460124644); #328843=CYLINDRICAL_SURFACE('',#369037,0.465); #328844=CYLINDRICAL_SURFACE('',#369041,0.750904962541172); #328845=CYLINDRICAL_SURFACE('',#369044,0.750905460124643); #328846=CYLINDRICAL_SURFACE('',#369049,0.465); #328847=CYLINDRICAL_SURFACE('',#369053,0.750904962541172); #328848=CYLINDRICAL_SURFACE('',#369056,0.750905460124643); #328849=CYLINDRICAL_SURFACE('',#369061,0.564999999999998); #328850=CYLINDRICAL_SURFACE('',#369070,0.465); #328851=CYLINDRICAL_SURFACE('',#369074,0.750904962541172); #328852=CYLINDRICAL_SURFACE('',#369077,0.750905460124643); #328853=CYLINDRICAL_SURFACE('',#369220,0.114999999999998); #328854=CYLINDRICAL_SURFACE('',#369223,0.114999999999998); #328855=CYLINDRICAL_SURFACE('',#369238,0.114999999999998); #328856=CYLINDRICAL_SURFACE('',#369241,0.114999999999998); #328857=CYLINDRICAL_SURFACE('',#369346,0.139999999999998); #328858=CYLINDRICAL_SURFACE('',#369379,0.140000000000002); #328859=CYLINDRICAL_SURFACE('',#369382,0.140000000000002); #328860=CYLINDRICAL_SURFACE('',#369385,0.140000000000002); #328861=CYLINDRICAL_SURFACE('',#369388,0.140000000000002); #328862=CYLINDRICAL_SURFACE('',#369391,0.140000000000002); #328863=CYLINDRICAL_SURFACE('',#369394,0.140000000000002); #328864=CYLINDRICAL_SURFACE('',#369397,0.140000000000002); #328865=CYLINDRICAL_SURFACE('',#369400,0.140000000000002); #328866=CYLINDRICAL_SURFACE('',#369403,0.140000000000002); #328867=CYLINDRICAL_SURFACE('',#369406,0.140000000000002); #328868=CYLINDRICAL_SURFACE('',#369409,0.140000000000002); #328869=CYLINDRICAL_SURFACE('',#369412,0.140000000000002); #328870=CYLINDRICAL_SURFACE('',#369415,0.140000000000002); #328871=CYLINDRICAL_SURFACE('',#369418,0.140000000000002); #328872=CYLINDRICAL_SURFACE('',#369421,0.140000000000002); #328873=CYLINDRICAL_SURFACE('',#369448,0.139999999999998); #328874=CYLINDRICAL_SURFACE('',#369481,0.139999999999998); #328875=CYLINDRICAL_SURFACE('',#369484,0.139999999999998); #328876=CYLINDRICAL_SURFACE('',#369487,0.139999999999998); #328877=CYLINDRICAL_SURFACE('',#369490,0.139999999999998); #328878=CYLINDRICAL_SURFACE('',#369493,0.139999999999998); #328879=CYLINDRICAL_SURFACE('',#369496,0.139999999999998); #328880=CYLINDRICAL_SURFACE('',#369499,0.139999999999998); #328881=CYLINDRICAL_SURFACE('',#369502,0.139999999999998); #328882=CYLINDRICAL_SURFACE('',#369505,0.139999999999998); #328883=CYLINDRICAL_SURFACE('',#369508,0.139999999999998); #328884=CYLINDRICAL_SURFACE('',#369511,0.139999999999998); #328885=CYLINDRICAL_SURFACE('',#369514,0.139999999999998); #328886=CYLINDRICAL_SURFACE('',#369517,0.139999999999998); #328887=CYLINDRICAL_SURFACE('',#369520,0.139999999999998); #328888=CYLINDRICAL_SURFACE('',#369523,0.139999999999998); #328889=CYLINDRICAL_SURFACE('',#369550,0.139999999999998); #328890=CYLINDRICAL_SURFACE('',#369559,0.139999999999998); #328891=CYLINDRICAL_SURFACE('',#369568,0.140000000000002); #328892=CYLINDRICAL_SURFACE('',#369577,0.139999999999998); #328893=CYLINDRICAL_SURFACE('',#369580,0.139999999999998); #328894=CYLINDRICAL_SURFACE('',#369583,0.139999999999998); #328895=CYLINDRICAL_SURFACE('',#369586,0.139999999999998); #328896=CYLINDRICAL_SURFACE('',#369589,0.139999999999998); #328897=CYLINDRICAL_SURFACE('',#369592,0.139999999999998); #328898=CYLINDRICAL_SURFACE('',#369595,0.139999999999998); #328899=CYLINDRICAL_SURFACE('',#369598,0.139999999999998); #328900=CYLINDRICAL_SURFACE('',#369601,0.139999999999998); #328901=CYLINDRICAL_SURFACE('',#369604,0.139999999999998); #328902=CYLINDRICAL_SURFACE('',#369607,0.139999999999998); #328903=CYLINDRICAL_SURFACE('',#369610,0.139999999999998); #328904=CYLINDRICAL_SURFACE('',#369613,0.139999999999998); #328905=CYLINDRICAL_SURFACE('',#369616,0.139999999999998); #328906=CYLINDRICAL_SURFACE('',#369619,0.139999999999998); #328907=CYLINDRICAL_SURFACE('',#369748,0.140000000000002); #328908=CYLINDRICAL_SURFACE('',#369751,0.140000000000002); #328909=CYLINDRICAL_SURFACE('',#369754,0.140000000000002); #328910=CYLINDRICAL_SURFACE('',#369757,0.140000000000002); #328911=CYLINDRICAL_SURFACE('',#369760,0.140000000000002); #328912=CYLINDRICAL_SURFACE('',#369763,0.140000000000002); #328913=CYLINDRICAL_SURFACE('',#369766,0.140000000000002); #328914=CYLINDRICAL_SURFACE('',#369769,0.140000000000002); #328915=CYLINDRICAL_SURFACE('',#369772,0.140000000000002); #328916=CYLINDRICAL_SURFACE('',#369775,0.140000000000002); #328917=CYLINDRICAL_SURFACE('',#369778,0.140000000000002); #328918=CYLINDRICAL_SURFACE('',#369781,0.140000000000002); #328919=CYLINDRICAL_SURFACE('',#369784,0.140000000000002); #328920=CYLINDRICAL_SURFACE('',#369787,0.140000000000002); #328921=CYLINDRICAL_SURFACE('',#369790,0.140000000000002); #328922=CYLINDRICAL_SURFACE('',#369835,0.140000000000002); #328923=CYLINDRICAL_SURFACE('',#369844,0.114999999999998); #328924=CYLINDRICAL_SURFACE('',#369847,0.114999999999998); #328925=CYLINDRICAL_SURFACE('',#369856,0.114999999999998); #328926=CYLINDRICAL_SURFACE('',#369859,0.114999999999998); #328927=CYLINDRICAL_SURFACE('',#369868,0.139999999999998); #328928=CYLINDRICAL_SURFACE('',#369878,0.325); #328929=CYLINDRICAL_SURFACE('',#369881,0.325); #328930=CYLINDRICAL_SURFACE('',#369884,0.600000000000001); #328931=CYLINDRICAL_SURFACE('',#369887,0.175000000000001); #328932=CYLINDRICAL_SURFACE('',#369890,0.175000000000001); #328933=CYLINDRICAL_SURFACE('',#369893,0.175000000000001); #328934=CYLINDRICAL_SURFACE('',#369896,0.175000000000001); #328935=CYLINDRICAL_SURFACE('',#369899,0.175000000000001); #328936=CYLINDRICAL_SURFACE('',#369902,0.175000000000001); #328937=CYLINDRICAL_SURFACE('',#369905,0.175000000000001); #328938=CYLINDRICAL_SURFACE('',#369908,0.175000000000001); #328939=CYLINDRICAL_SURFACE('',#369911,0.175000000000001); #328940=CYLINDRICAL_SURFACE('',#369914,0.175000000000001); #328941=CYLINDRICAL_SURFACE('',#369917,0.175000000000001); #328942=CYLINDRICAL_SURFACE('',#369920,0.175000000000001); #328943=CYLINDRICAL_SURFACE('',#369923,0.175000000000001); #328944=CYLINDRICAL_SURFACE('',#369926,0.175000000000001); #328945=CYLINDRICAL_SURFACE('',#369929,0.175000000000001); #328946=CYLINDRICAL_SURFACE('',#369932,0.5); #328947=CYLINDRICAL_SURFACE('',#369935,0.175000000000001); #328948=CYLINDRICAL_SURFACE('',#369938,0.175000000000001); #328949=CYLINDRICAL_SURFACE('',#369941,0.175000000000001); #328950=CYLINDRICAL_SURFACE('',#369944,0.175000000000001); #328951=CYLINDRICAL_SURFACE('',#369947,0.175000000000001); #328952=CYLINDRICAL_SURFACE('',#369950,0.175000000000001); #328953=CYLINDRICAL_SURFACE('',#369953,0.175000000000001); #328954=CYLINDRICAL_SURFACE('',#369956,0.175000000000001); #328955=CYLINDRICAL_SURFACE('',#369959,0.175000000000001); #328956=CYLINDRICAL_SURFACE('',#369962,0.175000000000001); #328957=CYLINDRICAL_SURFACE('',#369965,0.175000000000001); #328958=CYLINDRICAL_SURFACE('',#369968,0.175000000000001); #328959=CYLINDRICAL_SURFACE('',#369971,0.175000000000001); #328960=CYLINDRICAL_SURFACE('',#369974,0.175000000000001); #328961=CYLINDRICAL_SURFACE('',#369977,0.175000000000001); #328962=CYLINDRICAL_SURFACE('',#369980,0.550000000000002); #328963=CYLINDRICAL_SURFACE('',#369983,0.175000000000001); #328964=CYLINDRICAL_SURFACE('',#369986,0.175000000000001); #328965=CYLINDRICAL_SURFACE('',#369989,0.175000000000001); #328966=CYLINDRICAL_SURFACE('',#369992,0.175000000000001); #328967=CYLINDRICAL_SURFACE('',#369995,0.175000000000001); #328968=CYLINDRICAL_SURFACE('',#369998,0.175000000000001); #328969=CYLINDRICAL_SURFACE('',#370001,0.175000000000001); #328970=CYLINDRICAL_SURFACE('',#370004,0.175000000000001); #328971=CYLINDRICAL_SURFACE('',#370007,0.175000000000001); #328972=CYLINDRICAL_SURFACE('',#370010,0.175000000000001); #328973=CYLINDRICAL_SURFACE('',#370013,0.175000000000001); #328974=CYLINDRICAL_SURFACE('',#370016,0.175000000000001); #328975=CYLINDRICAL_SURFACE('',#370019,0.175000000000001); #328976=CYLINDRICAL_SURFACE('',#370022,0.175000000000001); #328977=CYLINDRICAL_SURFACE('',#370025,0.175000000000001); #328978=CYLINDRICAL_SURFACE('',#370028,0.5); #328979=CYLINDRICAL_SURFACE('',#370031,0.175000000000001); #328980=CYLINDRICAL_SURFACE('',#370034,0.175000000000001); #328981=CYLINDRICAL_SURFACE('',#370037,0.175000000000001); #328982=CYLINDRICAL_SURFACE('',#370040,0.175000000000001); #328983=CYLINDRICAL_SURFACE('',#370043,0.175000000000001); #328984=CYLINDRICAL_SURFACE('',#370046,0.175000000000001); #328985=CYLINDRICAL_SURFACE('',#370049,0.175000000000001); #328986=CYLINDRICAL_SURFACE('',#370052,0.175000000000001); #328987=CYLINDRICAL_SURFACE('',#370055,0.175000000000001); #328988=CYLINDRICAL_SURFACE('',#370058,0.175000000000001); #328989=CYLINDRICAL_SURFACE('',#370061,0.175000000000001); #328990=CYLINDRICAL_SURFACE('',#370064,0.175000000000001); #328991=CYLINDRICAL_SURFACE('',#370067,0.175000000000001); #328992=CYLINDRICAL_SURFACE('',#370070,0.175000000000001); #328993=CYLINDRICAL_SURFACE('',#370073,0.175000000000001); #328994=CYLINDRICAL_SURFACE('',#370076,0.600000000000001); #328995=CYLINDRICAL_SURFACE('',#370079,0.175000000000001); #328996=CYLINDRICAL_SURFACE('',#370082,0.175000000000001); #328997=CYLINDRICAL_SURFACE('',#370085,0.175000000000001); #328998=CYLINDRICAL_SURFACE('',#370088,0.175000000000001); #328999=CYLINDRICAL_SURFACE('',#370091,0.175000000000001); #329000=CYLINDRICAL_SURFACE('',#370094,0.175); #329001=CYLINDRICAL_SURFACE('',#370097,0.175000000000001); #329002=CYLINDRICAL_SURFACE('',#370100,0.175000000000001); #329003=CYLINDRICAL_SURFACE('',#370103,0.175000000000001); #329004=CYLINDRICAL_SURFACE('',#370106,0.175000000000001); #329005=CYLINDRICAL_SURFACE('',#370109,0.175000000000001); #329006=CYLINDRICAL_SURFACE('',#370112,0.175000000000001); #329007=CYLINDRICAL_SURFACE('',#370115,0.175000000000001); #329008=CYLINDRICAL_SURFACE('',#370118,0.175); #329009=CYLINDRICAL_SURFACE('',#370121,0.175000000000001); #329010=CYLINDRICAL_SURFACE('',#370124,0.175000000000001); #329011=CYLINDRICAL_SURFACE('',#370127,0.175000000000001); #329012=CYLINDRICAL_SURFACE('',#370130,0.175000000000001); #329013=CYLINDRICAL_SURFACE('',#370133,0.175000000000001); #329014=CYLINDRICAL_SURFACE('',#370136,0.175000000000001); #329015=CYLINDRICAL_SURFACE('',#370139,0.175000000000001); #329016=CYLINDRICAL_SURFACE('',#370142,0.175000000000001); #329017=CYLINDRICAL_SURFACE('',#370145,0.175000000000001); #329018=CYLINDRICAL_SURFACE('',#370148,0.175000000000001); #329019=CYLINDRICAL_SURFACE('',#370151,0.175000000000001); #329020=CYLINDRICAL_SURFACE('',#370154,0.175000000000001); #329021=CYLINDRICAL_SURFACE('',#370157,0.175000000000001); #329022=CYLINDRICAL_SURFACE('',#370160,0.175000000000001); #329023=CYLINDRICAL_SURFACE('',#370163,0.175000000000001); #329024=CYLINDRICAL_SURFACE('',#370166,0.175000000000001); #329025=CYLINDRICAL_SURFACE('',#370169,0.175000000000001); #329026=CYLINDRICAL_SURFACE('',#370172,0.499999999999998); #329027=CYLINDRICAL_SURFACE('',#370175,0.175000000000001); #329028=CYLINDRICAL_SURFACE('',#370178,0.175000000000001); #329029=CYLINDRICAL_SURFACE('',#370181,0.175000000000001); #329030=CYLINDRICAL_SURFACE('',#370184,0.175000000000001); #329031=CYLINDRICAL_SURFACE('',#370187,0.175000000000001); #329032=CYLINDRICAL_SURFACE('',#370190,0.175000000000001); #329033=CYLINDRICAL_SURFACE('',#370193,0.175000000000001); #329034=CYLINDRICAL_SURFACE('',#370196,0.175000000000001); #329035=CYLINDRICAL_SURFACE('',#370199,0.175000000000001); #329036=CYLINDRICAL_SURFACE('',#370202,0.175000000000001); #329037=CYLINDRICAL_SURFACE('',#370205,0.175000000000001); #329038=CYLINDRICAL_SURFACE('',#370208,0.175000000000001); #329039=CYLINDRICAL_SURFACE('',#370211,0.175000000000001); #329040=CYLINDRICAL_SURFACE('',#370214,0.175000000000001); #329041=CYLINDRICAL_SURFACE('',#370217,0.175000000000001); #329042=CYLINDRICAL_SURFACE('',#370220,0.499999999999998); #329043=CYLINDRICAL_SURFACE('',#370223,0.175000000000001); #329044=CYLINDRICAL_SURFACE('',#370226,0.175000000000001); #329045=CYLINDRICAL_SURFACE('',#370229,0.175000000000001); #329046=CYLINDRICAL_SURFACE('',#370232,0.175000000000001); #329047=CYLINDRICAL_SURFACE('',#370235,0.175000000000001); #329048=CYLINDRICAL_SURFACE('',#370238,0.175000000000001); #329049=CYLINDRICAL_SURFACE('',#370241,0.175000000000001); #329050=CYLINDRICAL_SURFACE('',#370244,0.175000000000001); #329051=CYLINDRICAL_SURFACE('',#370247,0.175000000000001); #329052=CYLINDRICAL_SURFACE('',#370250,0.175000000000001); #329053=CYLINDRICAL_SURFACE('',#370253,0.175000000000001); #329054=CYLINDRICAL_SURFACE('',#370256,0.175000000000001); #329055=CYLINDRICAL_SURFACE('',#370259,0.175000000000001); #329056=CYLINDRICAL_SURFACE('',#370262,0.175000000000001); #329057=CYLINDRICAL_SURFACE('',#370265,0.175000000000001); #329058=CYLINDRICAL_SURFACE('',#370268,0.550000000000002); #329059=CYLINDRICAL_SURFACE('',#370271,0.150000000000001); #329060=CYLINDRICAL_SURFACE('',#370274,0.175); #329061=CYLINDRICAL_SURFACE('',#370277,0.150000000000001); #329062=CYLINDRICAL_SURFACE('',#370280,0.175000000000001); #329063=CYLINDRICAL_SURFACE('',#370283,0.175000000000001); #329064=CYLINDRICAL_SURFACE('',#370286,0.175); #329065=CYLINDRICAL_SURFACE('',#370289,0.150000000000001); #329066=CYLINDRICAL_SURFACE('',#370292,0.175000000000001); #329067=CYLINDRICAL_SURFACE('',#370295,0.175000000000001); #329068=CYLINDRICAL_SURFACE('',#370298,0.175); #329069=CYLINDRICAL_SURFACE('',#370301,0.175000000000001); #329070=CYLINDRICAL_SURFACE('',#370304,0.175000000000001); #329071=CYLINDRICAL_SURFACE('',#370307,0.175000000000001); #329072=CYLINDRICAL_SURFACE('',#370310,0.175); #329073=CYLINDRICAL_SURFACE('',#370313,0.175000000000001); #329074=CYLINDRICAL_SURFACE('',#370316,0.175000000000001); #329075=CYLINDRICAL_SURFACE('',#370319,0.175000000000001); #329076=CYLINDRICAL_SURFACE('',#370322,0.175); #329077=CYLINDRICAL_SURFACE('',#370325,0.175000000000001); #329078=CYLINDRICAL_SURFACE('',#370328,0.175000000000001); #329079=CYLINDRICAL_SURFACE('',#370331,0.175000000000001); #329080=CYLINDRICAL_SURFACE('',#370334,0.175000000000001); #329081=CYLINDRICAL_SURFACE('',#370337,0.175000000000001); #329082=CYLINDRICAL_SURFACE('',#370340,0.175000000000001); #329083=CYLINDRICAL_SURFACE('',#370343,0.175000000000001); #329084=CYLINDRICAL_SURFACE('',#370346,0.175); #329085=CYLINDRICAL_SURFACE('',#370349,0.175000000000001); #329086=CYLINDRICAL_SURFACE('',#370352,0.175000000000001); #329087=CYLINDRICAL_SURFACE('',#370355,0.175000000000001); #329088=CYLINDRICAL_SURFACE('',#370358,0.175); #329089=CYLINDRICAL_SURFACE('',#370361,0.175000000000001); #329090=CYLINDRICAL_SURFACE('',#370364,0.499999999999998); #329091=CYLINDRICAL_SURFACE('',#370368,0.35); #329092=CYLINDRICAL_SURFACE('',#370372,0.35); #329093=CYLINDRICAL_SURFACE('',#370375,0.175000000000001); #329094=CYLINDRICAL_SURFACE('',#370379,0.6); #329095=CYLINDRICAL_SURFACE('',#370383,0.6); #329096=CYLINDRICAL_SURFACE('',#370386,0.175000000000001); #329097=CYLINDRICAL_SURFACE('',#370389,0.150000000000001); #329098=CYLINDRICAL_SURFACE('',#370392,0.175000000000001); #329099=CYLINDRICAL_SURFACE('',#370396,0.6); #329100=CYLINDRICAL_SURFACE('',#370400,0.6); #329101=CYLINDRICAL_SURFACE('',#370403,0.175000000000001); #329102=CYLINDRICAL_SURFACE('',#370406,0.150000000000001); #329103=CYLINDRICAL_SURFACE('',#370409,0.175000000000001); #329104=CYLINDRICAL_SURFACE('',#370412,0.150000000000001); #329105=CYLINDRICAL_SURFACE('',#370415,0.175000000000001); #329106=CYLINDRICAL_SURFACE('',#370418,0.150000000000001); #329107=CYLINDRICAL_SURFACE('',#370421,0.175000000000001); #329108=CYLINDRICAL_SURFACE('',#370424,0.150000000000001); #329109=CYLINDRICAL_SURFACE('',#370427,0.175000000000001); #329110=CYLINDRICAL_SURFACE('',#370430,0.175000000000001); #329111=CYLINDRICAL_SURFACE('',#370434,0.35); #329112=CYLINDRICAL_SURFACE('',#370438,0.35); #329113=CYLINDRICAL_SURFACE('',#370441,0.175000000000001); #329114=CYLINDRICAL_SURFACE('',#370445,0.35); #329115=CYLINDRICAL_SURFACE('',#370449,0.35); #329116=CYLINDRICAL_SURFACE('',#370452,0.175000000000001); #329117=CYLINDRICAL_SURFACE('',#370456,0.35); #329118=CYLINDRICAL_SURFACE('',#370460,0.35); #329119=CYLINDRICAL_SURFACE('',#370463,0.175000000000001); #329120=CYLINDRICAL_SURFACE('',#370466,0.175000000000001); #329121=CYLINDRICAL_SURFACE('',#370469,0.175000000000001); #329122=CYLINDRICAL_SURFACE('',#370472,0.175000000000001); #329123=CYLINDRICAL_SURFACE('',#370475,0.600000000000001); #329124=CYLINDRICAL_SURFACE('',#370478,0.175000000000001); #329125=CYLINDRICAL_SURFACE('',#370481,0.175000000000001); #329126=CYLINDRICAL_SURFACE('',#370484,0.175000000000001); #329127=CYLINDRICAL_SURFACE('',#370487,0.175000000000001); #329128=CYLINDRICAL_SURFACE('',#370490,0.175000000000001); #329129=CYLINDRICAL_SURFACE('',#370493,0.175000000000001); #329130=CYLINDRICAL_SURFACE('',#370496,0.175000000000001); #329131=CYLINDRICAL_SURFACE('',#370499,0.175000000000001); #329132=CYLINDRICAL_SURFACE('',#370502,0.175000000000001); #329133=CYLINDRICAL_SURFACE('',#370505,0.175000000000001); #329134=CYLINDRICAL_SURFACE('',#370508,0.175000000000001); #329135=CYLINDRICAL_SURFACE('',#370511,0.175000000000001); #329136=CYLINDRICAL_SURFACE('',#370514,0.175000000000001); #329137=CYLINDRICAL_SURFACE('',#370517,0.175000000000001); #329138=CYLINDRICAL_SURFACE('',#370520,0.175000000000001); #329139=CYLINDRICAL_SURFACE('',#370523,0.499999999999998); #329140=CYLINDRICAL_SURFACE('',#370526,0.175000000000001); #329141=CYLINDRICAL_SURFACE('',#370529,0.175000000000001); #329142=CYLINDRICAL_SURFACE('',#370532,0.175000000000001); #329143=CYLINDRICAL_SURFACE('',#370535,0.175000000000001); #329144=CYLINDRICAL_SURFACE('',#370538,0.175000000000001); #329145=CYLINDRICAL_SURFACE('',#370541,0.175000000000001); #329146=CYLINDRICAL_SURFACE('',#370544,0.175000000000001); #329147=CYLINDRICAL_SURFACE('',#370547,0.175000000000001); #329148=CYLINDRICAL_SURFACE('',#370550,0.175000000000001); #329149=CYLINDRICAL_SURFACE('',#370553,0.175000000000001); #329150=CYLINDRICAL_SURFACE('',#370556,0.175000000000001); #329151=CYLINDRICAL_SURFACE('',#370559,0.175000000000001); #329152=CYLINDRICAL_SURFACE('',#370562,0.175000000000001); #329153=CYLINDRICAL_SURFACE('',#370565,0.175000000000001); #329154=CYLINDRICAL_SURFACE('',#370568,0.175000000000001); #329155=CYLINDRICAL_SURFACE('',#370571,0.549999999999997); #329156=CYLINDRICAL_SURFACE('',#370574,0.175000000000001); #329157=CYLINDRICAL_SURFACE('',#370577,0.175000000000001); #329158=CYLINDRICAL_SURFACE('',#370580,0.175000000000001); #329159=CYLINDRICAL_SURFACE('',#370583,0.175000000000001); #329160=CYLINDRICAL_SURFACE('',#370586,0.175000000000001); #329161=CYLINDRICAL_SURFACE('',#370589,0.175000000000001); #329162=CYLINDRICAL_SURFACE('',#370592,0.175000000000001); #329163=CYLINDRICAL_SURFACE('',#370595,0.175000000000001); #329164=CYLINDRICAL_SURFACE('',#370598,0.175000000000001); #329165=CYLINDRICAL_SURFACE('',#370601,0.175000000000001); #329166=CYLINDRICAL_SURFACE('',#370604,0.175000000000001); #329167=CYLINDRICAL_SURFACE('',#370607,0.175000000000001); #329168=CYLINDRICAL_SURFACE('',#370610,0.175000000000001); #329169=CYLINDRICAL_SURFACE('',#370613,0.175000000000001); #329170=CYLINDRICAL_SURFACE('',#370616,0.175000000000001); #329171=CYLINDRICAL_SURFACE('',#370619,0.499999999999998); #329172=CYLINDRICAL_SURFACE('',#370622,0.175000000000001); #329173=CYLINDRICAL_SURFACE('',#370625,0.175000000000001); #329174=CYLINDRICAL_SURFACE('',#370628,0.175000000000001); #329175=CYLINDRICAL_SURFACE('',#370631,0.175000000000001); #329176=CYLINDRICAL_SURFACE('',#370634,0.175000000000001); #329177=CYLINDRICAL_SURFACE('',#370637,0.175000000000001); #329178=CYLINDRICAL_SURFACE('',#370640,0.175000000000001); #329179=CYLINDRICAL_SURFACE('',#370643,0.175000000000001); #329180=CYLINDRICAL_SURFACE('',#370646,0.175000000000001); #329181=CYLINDRICAL_SURFACE('',#370649,0.175000000000001); #329182=CYLINDRICAL_SURFACE('',#370652,0.175000000000001); #329183=CYLINDRICAL_SURFACE('',#370655,0.175000000000001); #329184=CYLINDRICAL_SURFACE('',#370658,0.175000000000001); #329185=CYLINDRICAL_SURFACE('',#370661,0.175000000000001); #329186=CYLINDRICAL_SURFACE('',#370664,0.175000000000001); #329187=CYLINDRICAL_SURFACE('',#370667,0.600000000000001); #329188=CYLINDRICAL_SURFACE('',#370670,0.175000000000001); #329189=CYLINDRICAL_SURFACE('',#370673,0.175000000000001); #329190=CYLINDRICAL_SURFACE('',#370676,0.175000000000001); #329191=CYLINDRICAL_SURFACE('',#370679,0.175000000000001); #329192=CYLINDRICAL_SURFACE('',#370682,0.175000000000001); #329193=CYLINDRICAL_SURFACE('',#370685,0.175000000000001); #329194=CYLINDRICAL_SURFACE('',#370688,0.175000000000001); #329195=CYLINDRICAL_SURFACE('',#370691,0.175000000000001); #329196=CYLINDRICAL_SURFACE('',#370694,0.175000000000001); #329197=CYLINDRICAL_SURFACE('',#370697,0.175000000000001); #329198=CYLINDRICAL_SURFACE('',#370700,0.175000000000001); #329199=CYLINDRICAL_SURFACE('',#370703,0.175000000000001); #329200=CYLINDRICAL_SURFACE('',#370706,0.175000000000001); #329201=CYLINDRICAL_SURFACE('',#370709,0.175000000000001); #329202=CYLINDRICAL_SURFACE('',#370712,0.175000000000001); #329203=CYLINDRICAL_SURFACE('',#370715,0.499999999999998); #329204=CYLINDRICAL_SURFACE('',#370718,0.175000000000001); #329205=CYLINDRICAL_SURFACE('',#370721,0.175000000000001); #329206=CYLINDRICAL_SURFACE('',#370724,0.175000000000001); #329207=CYLINDRICAL_SURFACE('',#370727,0.175000000000001); #329208=CYLINDRICAL_SURFACE('',#370730,0.175000000000001); #329209=CYLINDRICAL_SURFACE('',#370733,0.175000000000001); #329210=CYLINDRICAL_SURFACE('',#370736,0.175000000000001); #329211=CYLINDRICAL_SURFACE('',#370739,0.175000000000001); #329212=CYLINDRICAL_SURFACE('',#370742,0.175000000000001); #329213=CYLINDRICAL_SURFACE('',#370745,0.175000000000001); #329214=CYLINDRICAL_SURFACE('',#370748,0.175000000000001); #329215=CYLINDRICAL_SURFACE('',#370751,0.175000000000001); #329216=CYLINDRICAL_SURFACE('',#370754,0.175000000000001); #329217=CYLINDRICAL_SURFACE('',#370757,0.175000000000001); #329218=CYLINDRICAL_SURFACE('',#370760,0.175000000000001); #329219=CYLINDRICAL_SURFACE('',#370763,0.549999999999997); #329220=CYLINDRICAL_SURFACE('',#370766,0.175000000000001); #329221=CYLINDRICAL_SURFACE('',#370769,0.175000000000001); #329222=CYLINDRICAL_SURFACE('',#370772,0.175000000000001); #329223=CYLINDRICAL_SURFACE('',#370775,0.175000000000001); #329224=CYLINDRICAL_SURFACE('',#370778,0.175000000000001); #329225=CYLINDRICAL_SURFACE('',#370781,0.175000000000001); #329226=CYLINDRICAL_SURFACE('',#370784,0.175000000000001); #329227=CYLINDRICAL_SURFACE('',#370787,0.175000000000001); #329228=CYLINDRICAL_SURFACE('',#370790,0.175000000000001); #329229=CYLINDRICAL_SURFACE('',#370793,0.175000000000001); #329230=CYLINDRICAL_SURFACE('',#370796,0.175000000000001); #329231=CYLINDRICAL_SURFACE('',#370799,0.175000000000001); #329232=CYLINDRICAL_SURFACE('',#370802,0.175000000000001); #329233=CYLINDRICAL_SURFACE('',#370805,0.175000000000001); #329234=CYLINDRICAL_SURFACE('',#370808,0.175000000000001); #329235=CYLINDRICAL_SURFACE('',#370811,0.499999999999998); #329236=CYLINDRICAL_SURFACE('',#370814,0.175000000000001); #329237=CYLINDRICAL_SURFACE('',#370817,0.175000000000001); #329238=CYLINDRICAL_SURFACE('',#370820,0.175000000000001); #329239=CYLINDRICAL_SURFACE('',#370823,0.175000000000001); #329240=CYLINDRICAL_SURFACE('',#370826,0.175000000000001); #329241=CYLINDRICAL_SURFACE('',#370829,0.175000000000001); #329242=CYLINDRICAL_SURFACE('',#370832,0.175000000000001); #329243=CYLINDRICAL_SURFACE('',#370835,0.175000000000001); #329244=CYLINDRICAL_SURFACE('',#370838,0.175000000000001); #329245=CYLINDRICAL_SURFACE('',#370841,0.175000000000001); #329246=CYLINDRICAL_SURFACE('',#370844,0.175000000000001); #329247=CYLINDRICAL_SURFACE('',#370847,0.175000000000001); #329248=CYLINDRICAL_SURFACE('',#370850,0.175000000000001); #329249=CYLINDRICAL_SURFACE('',#370853,0.175000000000001); #329250=CYLINDRICAL_SURFACE('',#370856,0.175000000000001); #329251=CYLINDRICAL_SURFACE('',#370859,34.); #329252=CYLINDRICAL_SURFACE('',#370865,0.325); #329253=CYLINDRICAL_SURFACE('',#370868,0.325); #329254=CYLINDRICAL_SURFACE('',#370871,0.600000000000001); #329255=CYLINDRICAL_SURFACE('',#370874,0.175000000000001); #329256=CYLINDRICAL_SURFACE('',#370877,0.175000000000001); #329257=CYLINDRICAL_SURFACE('',#370880,0.175000000000001); #329258=CYLINDRICAL_SURFACE('',#370883,0.175000000000001); #329259=CYLINDRICAL_SURFACE('',#370886,0.175000000000001); #329260=CYLINDRICAL_SURFACE('',#370889,0.175000000000001); #329261=CYLINDRICAL_SURFACE('',#370892,0.175000000000001); #329262=CYLINDRICAL_SURFACE('',#370895,0.175000000000001); #329263=CYLINDRICAL_SURFACE('',#370898,0.175000000000001); #329264=CYLINDRICAL_SURFACE('',#370901,0.175000000000001); #329265=CYLINDRICAL_SURFACE('',#370904,0.175000000000001); #329266=CYLINDRICAL_SURFACE('',#370907,0.175000000000001); #329267=CYLINDRICAL_SURFACE('',#370910,0.175000000000001); #329268=CYLINDRICAL_SURFACE('',#370913,0.175000000000001); #329269=CYLINDRICAL_SURFACE('',#370916,0.175000000000001); #329270=CYLINDRICAL_SURFACE('',#370919,0.5); #329271=CYLINDRICAL_SURFACE('',#370922,0.175000000000001); #329272=CYLINDRICAL_SURFACE('',#370925,0.175000000000001); #329273=CYLINDRICAL_SURFACE('',#370928,0.175000000000001); #329274=CYLINDRICAL_SURFACE('',#370931,0.175000000000001); #329275=CYLINDRICAL_SURFACE('',#370934,0.175000000000001); #329276=CYLINDRICAL_SURFACE('',#370937,0.175000000000001); #329277=CYLINDRICAL_SURFACE('',#370940,0.175000000000001); #329278=CYLINDRICAL_SURFACE('',#370943,0.175000000000001); #329279=CYLINDRICAL_SURFACE('',#370946,0.175000000000001); #329280=CYLINDRICAL_SURFACE('',#370949,0.175000000000001); #329281=CYLINDRICAL_SURFACE('',#370952,0.175000000000001); #329282=CYLINDRICAL_SURFACE('',#370955,0.175000000000001); #329283=CYLINDRICAL_SURFACE('',#370958,0.175000000000001); #329284=CYLINDRICAL_SURFACE('',#370961,0.175000000000001); #329285=CYLINDRICAL_SURFACE('',#370964,0.175000000000001); #329286=CYLINDRICAL_SURFACE('',#370967,0.550000000000002); #329287=CYLINDRICAL_SURFACE('',#370970,0.175000000000001); #329288=CYLINDRICAL_SURFACE('',#370973,0.175000000000001); #329289=CYLINDRICAL_SURFACE('',#370976,0.175000000000001); #329290=CYLINDRICAL_SURFACE('',#370979,0.175000000000001); #329291=CYLINDRICAL_SURFACE('',#370982,0.175000000000001); #329292=CYLINDRICAL_SURFACE('',#370985,0.175000000000001); #329293=CYLINDRICAL_SURFACE('',#370988,0.175000000000001); #329294=CYLINDRICAL_SURFACE('',#370991,0.175000000000001); #329295=CYLINDRICAL_SURFACE('',#370994,0.175000000000001); #329296=CYLINDRICAL_SURFACE('',#370997,0.175000000000001); #329297=CYLINDRICAL_SURFACE('',#371000,0.175000000000001); #329298=CYLINDRICAL_SURFACE('',#371003,0.175000000000001); #329299=CYLINDRICAL_SURFACE('',#371006,0.175000000000001); #329300=CYLINDRICAL_SURFACE('',#371009,0.175000000000001); #329301=CYLINDRICAL_SURFACE('',#371012,0.175000000000001); #329302=CYLINDRICAL_SURFACE('',#371015,0.5); #329303=CYLINDRICAL_SURFACE('',#371018,0.175000000000001); #329304=CYLINDRICAL_SURFACE('',#371021,0.175000000000001); #329305=CYLINDRICAL_SURFACE('',#371024,0.175000000000001); #329306=CYLINDRICAL_SURFACE('',#371027,0.175000000000001); #329307=CYLINDRICAL_SURFACE('',#371030,0.175000000000001); #329308=CYLINDRICAL_SURFACE('',#371033,0.175000000000001); #329309=CYLINDRICAL_SURFACE('',#371036,0.175000000000001); #329310=CYLINDRICAL_SURFACE('',#371039,0.175000000000001); #329311=CYLINDRICAL_SURFACE('',#371042,0.175000000000001); #329312=CYLINDRICAL_SURFACE('',#371045,0.175000000000001); #329313=CYLINDRICAL_SURFACE('',#371048,0.175000000000001); #329314=CYLINDRICAL_SURFACE('',#371051,0.175000000000001); #329315=CYLINDRICAL_SURFACE('',#371054,0.175000000000001); #329316=CYLINDRICAL_SURFACE('',#371057,0.175000000000001); #329317=CYLINDRICAL_SURFACE('',#371060,0.175000000000001); #329318=CYLINDRICAL_SURFACE('',#371063,0.600000000000001); #329319=CYLINDRICAL_SURFACE('',#371066,0.175000000000001); #329320=CYLINDRICAL_SURFACE('',#371069,0.175000000000001); #329321=CYLINDRICAL_SURFACE('',#371072,0.175000000000001); #329322=CYLINDRICAL_SURFACE('',#371075,0.175000000000001); #329323=CYLINDRICAL_SURFACE('',#371078,0.175000000000001); #329324=CYLINDRICAL_SURFACE('',#371081,0.175); #329325=CYLINDRICAL_SURFACE('',#371084,0.175000000000001); #329326=CYLINDRICAL_SURFACE('',#371087,0.175000000000001); #329327=CYLINDRICAL_SURFACE('',#371090,0.175000000000001); #329328=CYLINDRICAL_SURFACE('',#371093,0.175000000000001); #329329=CYLINDRICAL_SURFACE('',#371096,0.175000000000001); #329330=CYLINDRICAL_SURFACE('',#371099,0.175000000000001); #329331=CYLINDRICAL_SURFACE('',#371102,0.175000000000001); #329332=CYLINDRICAL_SURFACE('',#371105,0.175); #329333=CYLINDRICAL_SURFACE('',#371108,0.175000000000001); #329334=CYLINDRICAL_SURFACE('',#371111,0.175000000000001); #329335=CYLINDRICAL_SURFACE('',#371114,0.175000000000001); #329336=CYLINDRICAL_SURFACE('',#371117,0.175000000000001); #329337=CYLINDRICAL_SURFACE('',#371120,0.175000000000001); #329338=CYLINDRICAL_SURFACE('',#371123,0.175000000000001); #329339=CYLINDRICAL_SURFACE('',#371126,0.175000000000001); #329340=CYLINDRICAL_SURFACE('',#371129,0.175000000000001); #329341=CYLINDRICAL_SURFACE('',#371132,0.175000000000001); #329342=CYLINDRICAL_SURFACE('',#371135,0.175000000000001); #329343=CYLINDRICAL_SURFACE('',#371138,0.175000000000001); #329344=CYLINDRICAL_SURFACE('',#371141,0.175000000000001); #329345=CYLINDRICAL_SURFACE('',#371144,0.175000000000001); #329346=CYLINDRICAL_SURFACE('',#371147,0.175000000000001); #329347=CYLINDRICAL_SURFACE('',#371150,0.175000000000001); #329348=CYLINDRICAL_SURFACE('',#371153,0.175000000000001); #329349=CYLINDRICAL_SURFACE('',#371156,0.175000000000001); #329350=CYLINDRICAL_SURFACE('',#371159,0.499999999999998); #329351=CYLINDRICAL_SURFACE('',#371162,0.175000000000001); #329352=CYLINDRICAL_SURFACE('',#371165,0.175000000000001); #329353=CYLINDRICAL_SURFACE('',#371168,0.175000000000001); #329354=CYLINDRICAL_SURFACE('',#371171,0.175000000000001); #329355=CYLINDRICAL_SURFACE('',#371174,0.175000000000001); #329356=CYLINDRICAL_SURFACE('',#371177,0.175000000000001); #329357=CYLINDRICAL_SURFACE('',#371180,0.175000000000001); #329358=CYLINDRICAL_SURFACE('',#371183,0.175000000000001); #329359=CYLINDRICAL_SURFACE('',#371186,0.175000000000001); #329360=CYLINDRICAL_SURFACE('',#371189,0.175000000000001); #329361=CYLINDRICAL_SURFACE('',#371192,0.175000000000001); #329362=CYLINDRICAL_SURFACE('',#371195,0.175000000000001); #329363=CYLINDRICAL_SURFACE('',#371198,0.175000000000001); #329364=CYLINDRICAL_SURFACE('',#371201,0.175000000000001); #329365=CYLINDRICAL_SURFACE('',#371204,0.175000000000001); #329366=CYLINDRICAL_SURFACE('',#371207,0.499999999999998); #329367=CYLINDRICAL_SURFACE('',#371210,0.175000000000001); #329368=CYLINDRICAL_SURFACE('',#371213,0.175000000000001); #329369=CYLINDRICAL_SURFACE('',#371216,0.175000000000001); #329370=CYLINDRICAL_SURFACE('',#371219,0.175000000000001); #329371=CYLINDRICAL_SURFACE('',#371222,0.175000000000001); #329372=CYLINDRICAL_SURFACE('',#371225,0.175000000000001); #329373=CYLINDRICAL_SURFACE('',#371228,0.175000000000001); #329374=CYLINDRICAL_SURFACE('',#371231,0.175000000000001); #329375=CYLINDRICAL_SURFACE('',#371234,0.175000000000001); #329376=CYLINDRICAL_SURFACE('',#371237,0.175000000000001); #329377=CYLINDRICAL_SURFACE('',#371240,0.175000000000001); #329378=CYLINDRICAL_SURFACE('',#371243,0.175000000000001); #329379=CYLINDRICAL_SURFACE('',#371246,0.175000000000001); #329380=CYLINDRICAL_SURFACE('',#371249,0.175000000000001); #329381=CYLINDRICAL_SURFACE('',#371252,0.175000000000001); #329382=CYLINDRICAL_SURFACE('',#371255,0.550000000000002); #329383=CYLINDRICAL_SURFACE('',#371258,0.150000000000001); #329384=CYLINDRICAL_SURFACE('',#371261,0.175); #329385=CYLINDRICAL_SURFACE('',#371264,0.150000000000001); #329386=CYLINDRICAL_SURFACE('',#371267,0.175000000000001); #329387=CYLINDRICAL_SURFACE('',#371270,0.175000000000001); #329388=CYLINDRICAL_SURFACE('',#371273,0.175); #329389=CYLINDRICAL_SURFACE('',#371276,0.150000000000001); #329390=CYLINDRICAL_SURFACE('',#371279,0.175000000000001); #329391=CYLINDRICAL_SURFACE('',#371282,0.175000000000001); #329392=CYLINDRICAL_SURFACE('',#371285,0.175); #329393=CYLINDRICAL_SURFACE('',#371288,0.175000000000001); #329394=CYLINDRICAL_SURFACE('',#371291,0.175000000000001); #329395=CYLINDRICAL_SURFACE('',#371294,0.175000000000001); #329396=CYLINDRICAL_SURFACE('',#371297,0.175); #329397=CYLINDRICAL_SURFACE('',#371300,0.175000000000001); #329398=CYLINDRICAL_SURFACE('',#371303,0.175000000000001); #329399=CYLINDRICAL_SURFACE('',#371306,0.175000000000001); #329400=CYLINDRICAL_SURFACE('',#371309,0.175); #329401=CYLINDRICAL_SURFACE('',#371312,0.175000000000001); #329402=CYLINDRICAL_SURFACE('',#371315,0.175000000000001); #329403=CYLINDRICAL_SURFACE('',#371318,0.175000000000001); #329404=CYLINDRICAL_SURFACE('',#371321,0.175000000000001); #329405=CYLINDRICAL_SURFACE('',#371324,0.175000000000001); #329406=CYLINDRICAL_SURFACE('',#371327,0.175000000000001); #329407=CYLINDRICAL_SURFACE('',#371330,0.175000000000001); #329408=CYLINDRICAL_SURFACE('',#371333,0.175); #329409=CYLINDRICAL_SURFACE('',#371336,0.175000000000001); #329410=CYLINDRICAL_SURFACE('',#371339,0.175000000000001); #329411=CYLINDRICAL_SURFACE('',#371342,0.175000000000001); #329412=CYLINDRICAL_SURFACE('',#371345,0.175); #329413=CYLINDRICAL_SURFACE('',#371348,0.175000000000001); #329414=CYLINDRICAL_SURFACE('',#371351,0.499999999999998); #329415=CYLINDRICAL_SURFACE('',#371355,0.35); #329416=CYLINDRICAL_SURFACE('',#371359,0.35); #329417=CYLINDRICAL_SURFACE('',#371362,0.175000000000001); #329418=CYLINDRICAL_SURFACE('',#371366,0.6); #329419=CYLINDRICAL_SURFACE('',#371370,0.6); #329420=CYLINDRICAL_SURFACE('',#371373,0.175000000000001); #329421=CYLINDRICAL_SURFACE('',#371376,0.150000000000001); #329422=CYLINDRICAL_SURFACE('',#371379,0.175000000000001); #329423=CYLINDRICAL_SURFACE('',#371383,0.6); #329424=CYLINDRICAL_SURFACE('',#371387,0.6); #329425=CYLINDRICAL_SURFACE('',#371390,0.175000000000001); #329426=CYLINDRICAL_SURFACE('',#371393,0.150000000000001); #329427=CYLINDRICAL_SURFACE('',#371396,0.175000000000001); #329428=CYLINDRICAL_SURFACE('',#371399,0.150000000000001); #329429=CYLINDRICAL_SURFACE('',#371402,0.175000000000001); #329430=CYLINDRICAL_SURFACE('',#371405,0.150000000000001); #329431=CYLINDRICAL_SURFACE('',#371408,0.175000000000001); #329432=CYLINDRICAL_SURFACE('',#371411,0.150000000000001); #329433=CYLINDRICAL_SURFACE('',#371414,0.175000000000001); #329434=CYLINDRICAL_SURFACE('',#371417,0.175000000000001); #329435=CYLINDRICAL_SURFACE('',#371421,0.35); #329436=CYLINDRICAL_SURFACE('',#371425,0.35); #329437=CYLINDRICAL_SURFACE('',#371428,0.175000000000001); #329438=CYLINDRICAL_SURFACE('',#371432,0.35); #329439=CYLINDRICAL_SURFACE('',#371436,0.35); #329440=CYLINDRICAL_SURFACE('',#371439,0.175000000000001); #329441=CYLINDRICAL_SURFACE('',#371443,0.35); #329442=CYLINDRICAL_SURFACE('',#371447,0.35); #329443=CYLINDRICAL_SURFACE('',#371450,0.175000000000001); #329444=CYLINDRICAL_SURFACE('',#371453,0.175000000000001); #329445=CYLINDRICAL_SURFACE('',#371456,0.175000000000001); #329446=CYLINDRICAL_SURFACE('',#371459,0.175000000000001); #329447=CYLINDRICAL_SURFACE('',#371462,0.600000000000001); #329448=CYLINDRICAL_SURFACE('',#371465,0.175000000000001); #329449=CYLINDRICAL_SURFACE('',#371468,0.175000000000001); #329450=CYLINDRICAL_SURFACE('',#371471,0.175000000000001); #329451=CYLINDRICAL_SURFACE('',#371474,0.175000000000001); #329452=CYLINDRICAL_SURFACE('',#371477,0.175000000000001); #329453=CYLINDRICAL_SURFACE('',#371480,0.175000000000001); #329454=CYLINDRICAL_SURFACE('',#371483,0.175000000000001); #329455=CYLINDRICAL_SURFACE('',#371486,0.175000000000001); #329456=CYLINDRICAL_SURFACE('',#371489,0.175000000000001); #329457=CYLINDRICAL_SURFACE('',#371492,0.175000000000001); #329458=CYLINDRICAL_SURFACE('',#371495,0.175000000000001); #329459=CYLINDRICAL_SURFACE('',#371498,0.175000000000001); #329460=CYLINDRICAL_SURFACE('',#371501,0.175000000000001); #329461=CYLINDRICAL_SURFACE('',#371504,0.175000000000001); #329462=CYLINDRICAL_SURFACE('',#371507,0.175000000000001); #329463=CYLINDRICAL_SURFACE('',#371510,0.499999999999998); #329464=CYLINDRICAL_SURFACE('',#371513,0.175000000000001); #329465=CYLINDRICAL_SURFACE('',#371516,0.175000000000001); #329466=CYLINDRICAL_SURFACE('',#371519,0.175000000000001); #329467=CYLINDRICAL_SURFACE('',#371522,0.175000000000001); #329468=CYLINDRICAL_SURFACE('',#371525,0.175000000000001); #329469=CYLINDRICAL_SURFACE('',#371528,0.175000000000001); #329470=CYLINDRICAL_SURFACE('',#371531,0.175000000000001); #329471=CYLINDRICAL_SURFACE('',#371534,0.175000000000001); #329472=CYLINDRICAL_SURFACE('',#371537,0.175000000000001); #329473=CYLINDRICAL_SURFACE('',#371540,0.175000000000001); #329474=CYLINDRICAL_SURFACE('',#371543,0.175000000000001); #329475=CYLINDRICAL_SURFACE('',#371546,0.175000000000001); #329476=CYLINDRICAL_SURFACE('',#371549,0.175000000000001); #329477=CYLINDRICAL_SURFACE('',#371552,0.175000000000001); #329478=CYLINDRICAL_SURFACE('',#371555,0.175000000000001); #329479=CYLINDRICAL_SURFACE('',#371558,0.549999999999997); #329480=CYLINDRICAL_SURFACE('',#371561,0.175000000000001); #329481=CYLINDRICAL_SURFACE('',#371564,0.175000000000001); #329482=CYLINDRICAL_SURFACE('',#371567,0.175000000000001); #329483=CYLINDRICAL_SURFACE('',#371570,0.175000000000001); #329484=CYLINDRICAL_SURFACE('',#371573,0.175000000000001); #329485=CYLINDRICAL_SURFACE('',#371576,0.175000000000001); #329486=CYLINDRICAL_SURFACE('',#371579,0.175000000000001); #329487=CYLINDRICAL_SURFACE('',#371582,0.175000000000001); #329488=CYLINDRICAL_SURFACE('',#371585,0.175000000000001); #329489=CYLINDRICAL_SURFACE('',#371588,0.175000000000001); #329490=CYLINDRICAL_SURFACE('',#371591,0.175000000000001); #329491=CYLINDRICAL_SURFACE('',#371594,0.175000000000001); #329492=CYLINDRICAL_SURFACE('',#371597,0.175000000000001); #329493=CYLINDRICAL_SURFACE('',#371600,0.175000000000001); #329494=CYLINDRICAL_SURFACE('',#371603,0.175000000000001); #329495=CYLINDRICAL_SURFACE('',#371606,0.499999999999998); #329496=CYLINDRICAL_SURFACE('',#371609,0.175000000000001); #329497=CYLINDRICAL_SURFACE('',#371612,0.175000000000001); #329498=CYLINDRICAL_SURFACE('',#371615,0.175000000000001); #329499=CYLINDRICAL_SURFACE('',#371618,0.175000000000001); #329500=CYLINDRICAL_SURFACE('',#371621,0.175000000000001); #329501=CYLINDRICAL_SURFACE('',#371624,0.175000000000001); #329502=CYLINDRICAL_SURFACE('',#371627,0.175000000000001); #329503=CYLINDRICAL_SURFACE('',#371630,0.175000000000001); #329504=CYLINDRICAL_SURFACE('',#371633,0.175000000000001); #329505=CYLINDRICAL_SURFACE('',#371636,0.175000000000001); #329506=CYLINDRICAL_SURFACE('',#371639,0.175000000000001); #329507=CYLINDRICAL_SURFACE('',#371642,0.175000000000001); #329508=CYLINDRICAL_SURFACE('',#371645,0.175000000000001); #329509=CYLINDRICAL_SURFACE('',#371648,0.175000000000001); #329510=CYLINDRICAL_SURFACE('',#371651,0.175000000000001); #329511=CYLINDRICAL_SURFACE('',#371654,0.600000000000001); #329512=CYLINDRICAL_SURFACE('',#371657,0.175000000000001); #329513=CYLINDRICAL_SURFACE('',#371660,0.175000000000001); #329514=CYLINDRICAL_SURFACE('',#371663,0.175000000000001); #329515=CYLINDRICAL_SURFACE('',#371666,0.175000000000001); #329516=CYLINDRICAL_SURFACE('',#371669,0.175000000000001); #329517=CYLINDRICAL_SURFACE('',#371672,0.175000000000001); #329518=CYLINDRICAL_SURFACE('',#371675,0.175000000000001); #329519=CYLINDRICAL_SURFACE('',#371678,0.175000000000001); #329520=CYLINDRICAL_SURFACE('',#371681,0.175000000000001); #329521=CYLINDRICAL_SURFACE('',#371684,0.175000000000001); #329522=CYLINDRICAL_SURFACE('',#371687,0.175000000000001); #329523=CYLINDRICAL_SURFACE('',#371690,0.175000000000001); #329524=CYLINDRICAL_SURFACE('',#371693,0.175000000000001); #329525=CYLINDRICAL_SURFACE('',#371696,0.175000000000001); #329526=CYLINDRICAL_SURFACE('',#371699,0.175000000000001); #329527=CYLINDRICAL_SURFACE('',#371702,0.499999999999998); #329528=CYLINDRICAL_SURFACE('',#371705,0.175000000000001); #329529=CYLINDRICAL_SURFACE('',#371708,0.175000000000001); #329530=CYLINDRICAL_SURFACE('',#371711,0.175000000000001); #329531=CYLINDRICAL_SURFACE('',#371714,0.175000000000001); #329532=CYLINDRICAL_SURFACE('',#371717,0.175000000000001); #329533=CYLINDRICAL_SURFACE('',#371720,0.175000000000001); #329534=CYLINDRICAL_SURFACE('',#371723,0.175000000000001); #329535=CYLINDRICAL_SURFACE('',#371726,0.175000000000001); #329536=CYLINDRICAL_SURFACE('',#371729,0.175000000000001); #329537=CYLINDRICAL_SURFACE('',#371732,0.175000000000001); #329538=CYLINDRICAL_SURFACE('',#371735,0.175000000000001); #329539=CYLINDRICAL_SURFACE('',#371738,0.175000000000001); #329540=CYLINDRICAL_SURFACE('',#371741,0.175000000000001); #329541=CYLINDRICAL_SURFACE('',#371744,0.175000000000001); #329542=CYLINDRICAL_SURFACE('',#371747,0.175000000000001); #329543=CYLINDRICAL_SURFACE('',#371750,0.549999999999997); #329544=CYLINDRICAL_SURFACE('',#371753,0.175000000000001); #329545=CYLINDRICAL_SURFACE('',#371756,0.175000000000001); #329546=CYLINDRICAL_SURFACE('',#371759,0.175000000000001); #329547=CYLINDRICAL_SURFACE('',#371762,0.175000000000001); #329548=CYLINDRICAL_SURFACE('',#371765,0.175000000000001); #329549=CYLINDRICAL_SURFACE('',#371768,0.175000000000001); #329550=CYLINDRICAL_SURFACE('',#371771,0.175000000000001); #329551=CYLINDRICAL_SURFACE('',#371774,0.175000000000001); #329552=CYLINDRICAL_SURFACE('',#371777,0.175000000000001); #329553=CYLINDRICAL_SURFACE('',#371780,0.175000000000001); #329554=CYLINDRICAL_SURFACE('',#371783,0.175000000000001); #329555=CYLINDRICAL_SURFACE('',#371786,0.175000000000001); #329556=CYLINDRICAL_SURFACE('',#371789,0.175000000000001); #329557=CYLINDRICAL_SURFACE('',#371792,0.175000000000001); #329558=CYLINDRICAL_SURFACE('',#371795,0.175000000000001); #329559=CYLINDRICAL_SURFACE('',#371798,0.499999999999998); #329560=CYLINDRICAL_SURFACE('',#371801,0.175000000000001); #329561=CYLINDRICAL_SURFACE('',#371804,0.175000000000001); #329562=CYLINDRICAL_SURFACE('',#371807,0.175000000000001); #329563=CYLINDRICAL_SURFACE('',#371810,0.175000000000001); #329564=CYLINDRICAL_SURFACE('',#371813,0.175000000000001); #329565=CYLINDRICAL_SURFACE('',#371816,0.175000000000001); #329566=CYLINDRICAL_SURFACE('',#371819,0.175000000000001); #329567=CYLINDRICAL_SURFACE('',#371822,0.175000000000001); #329568=CYLINDRICAL_SURFACE('',#371825,0.175000000000001); #329569=CYLINDRICAL_SURFACE('',#371828,0.175000000000001); #329570=CYLINDRICAL_SURFACE('',#371831,0.175000000000001); #329571=CYLINDRICAL_SURFACE('',#371834,0.175000000000001); #329572=CYLINDRICAL_SURFACE('',#371837,0.175000000000001); #329573=CYLINDRICAL_SURFACE('',#371840,0.175000000000001); #329574=CYLINDRICAL_SURFACE('',#371843,0.175000000000001); #329575=CYLINDRICAL_SURFACE('',#371846,34.); #329576=CYLINDRICAL_SURFACE('',#371983,0.016); #329577=CYLINDRICAL_SURFACE('',#371992,0.016); #329578=CYLINDRICAL_SURFACE('',#371993,0.016); #329579=CYLINDRICAL_SURFACE('',#371998,0.016); #329580=CYLINDRICAL_SURFACE('',#371999,0.016); #329581=CYLINDRICAL_SURFACE('',#372000,0.016); #329582=CYLINDRICAL_SURFACE('',#372001,0.016); #329583=CYLINDRICAL_SURFACE('',#372002,0.016); #329584=CYLINDRICAL_SURFACE('',#372003,0.016); #329585=CYLINDRICAL_SURFACE('',#372004,0.016); #329586=CYLINDRICAL_SURFACE('',#372005,0.016); #329587=CYLINDRICAL_SURFACE('',#372006,0.016); #329588=CYLINDRICAL_SURFACE('',#372033,0.016); #329589=CYLINDRICAL_SURFACE('',#372042,0.016); #329590=CYLINDRICAL_SURFACE('',#372043,0.016); #329591=CYLINDRICAL_SURFACE('',#372048,0.016); #329592=CYLINDRICAL_SURFACE('',#372049,0.016); #329593=CYLINDRICAL_SURFACE('',#372050,0.016); #329594=CYLINDRICAL_SURFACE('',#372051,0.016); #329595=CYLINDRICAL_SURFACE('',#372052,0.016); #329596=CYLINDRICAL_SURFACE('',#372053,0.016); #329597=CYLINDRICAL_SURFACE('',#372054,0.016); #329598=CYLINDRICAL_SURFACE('',#372055,0.016); #329599=CYLINDRICAL_SURFACE('',#372056,0.016); #329600=CYLINDRICAL_SURFACE('',#372112,0.45); #329601=CYLINDRICAL_SURFACE('',#372117,0.45); #329602=CYLINDRICAL_SURFACE('',#372201,0.96); #329603=CYLINDRICAL_SURFACE('',#372223,0.96); #329604=CYLINDRICAL_SURFACE('',#372227,0.96); #329605=CYLINDRICAL_SURFACE('',#372230,0.96); #329606=CYLINDRICAL_SURFACE('',#372234,0.45); #329607=CYLINDRICAL_SURFACE('',#372239,0.45); #329608=CYLINDRICAL_SURFACE('',#372251,0.250000000000004); #329609=CYLINDRICAL_SURFACE('',#372259,0.250000000000002); #329610=CYLINDRICAL_SURFACE('',#372284,0.14); #329611=CYLINDRICAL_SURFACE('',#372285,0.14); #329612=CYLINDRICAL_SURFACE('',#372314,0.25); #329613=CYLINDRICAL_SURFACE('',#372318,0.25); #329614=CYLINDRICAL_SURFACE('',#372434,0.1); #329615=CYLINDRICAL_SURFACE('',#372436,0.2); #329616=CYLINDRICAL_SURFACE('',#372438,0.22); #329617=CYLINDRICAL_SURFACE('',#372440,0.08); #329618=CYLINDRICAL_SURFACE('',#372463,0.1); #329619=CYLINDRICAL_SURFACE('',#372465,0.2); #329620=CYLINDRICAL_SURFACE('',#372467,0.22); #329621=CYLINDRICAL_SURFACE('',#372469,0.08); #329622=CYLINDRICAL_SURFACE('',#372492,0.1); #329623=CYLINDRICAL_SURFACE('',#372494,0.2); #329624=CYLINDRICAL_SURFACE('',#372496,0.22); #329625=CYLINDRICAL_SURFACE('',#372498,0.08); #329626=CYLINDRICAL_SURFACE('',#372521,0.1); #329627=CYLINDRICAL_SURFACE('',#372523,0.2); #329628=CYLINDRICAL_SURFACE('',#372525,0.22); #329629=CYLINDRICAL_SURFACE('',#372527,0.08); #329630=CYLINDRICAL_SURFACE('',#372550,0.1); #329631=CYLINDRICAL_SURFACE('',#372552,0.2); #329632=CYLINDRICAL_SURFACE('',#372554,0.22); #329633=CYLINDRICAL_SURFACE('',#372556,0.08); #329634=CYLINDRICAL_SURFACE('',#372579,0.1); #329635=CYLINDRICAL_SURFACE('',#372581,0.2); #329636=CYLINDRICAL_SURFACE('',#372583,0.22); #329637=CYLINDRICAL_SURFACE('',#372585,0.08); #329638=CYLINDRICAL_SURFACE('',#372608,0.1); #329639=CYLINDRICAL_SURFACE('',#372610,0.2); #329640=CYLINDRICAL_SURFACE('',#372612,0.22); #329641=CYLINDRICAL_SURFACE('',#372614,0.08); #329642=CYLINDRICAL_SURFACE('',#372637,0.1); #329643=CYLINDRICAL_SURFACE('',#372639,0.2); #329644=CYLINDRICAL_SURFACE('',#372641,0.22); #329645=CYLINDRICAL_SURFACE('',#372643,0.08); #329646=CYLINDRICAL_SURFACE('',#372666,0.1); #329647=CYLINDRICAL_SURFACE('',#372668,0.2); #329648=CYLINDRICAL_SURFACE('',#372670,0.22); #329649=CYLINDRICAL_SURFACE('',#372672,0.08); #329650=CYLINDRICAL_SURFACE('',#372695,0.1); #329651=CYLINDRICAL_SURFACE('',#372697,0.2); #329652=CYLINDRICAL_SURFACE('',#372699,0.22); #329653=CYLINDRICAL_SURFACE('',#372701,0.08); #329654=CYLINDRICAL_SURFACE('',#372724,0.1); #329655=CYLINDRICAL_SURFACE('',#372726,0.2); #329656=CYLINDRICAL_SURFACE('',#372728,0.22); #329657=CYLINDRICAL_SURFACE('',#372730,0.08); #329658=CYLINDRICAL_SURFACE('',#372753,0.1); #329659=CYLINDRICAL_SURFACE('',#372755,0.2); #329660=CYLINDRICAL_SURFACE('',#372757,0.22); #329661=CYLINDRICAL_SURFACE('',#372759,0.08); #329662=CYLINDRICAL_SURFACE('',#372782,0.1); #329663=CYLINDRICAL_SURFACE('',#372784,0.2); #329664=CYLINDRICAL_SURFACE('',#372786,0.22); #329665=CYLINDRICAL_SURFACE('',#372788,0.08); #329666=CYLINDRICAL_SURFACE('',#372811,0.1); #329667=CYLINDRICAL_SURFACE('',#372813,0.2); #329668=CYLINDRICAL_SURFACE('',#372815,0.22); #329669=CYLINDRICAL_SURFACE('',#372817,0.08); #329670=CYLINDRICAL_SURFACE('',#372826,0.099340716866279); #329671=CYLINDRICAL_SURFACE('',#372832,0.2); #329672=CYLINDRICAL_SURFACE('',#372836,0.07); #329673=CYLINDRICAL_SURFACE('',#372839,0.07); #329674=CYLINDRICAL_SURFACE('',#372843,0.0810588235294118); #329675=CYLINDRICAL_SURFACE('',#372847,0.0810588235294118); #329676=CYLINDRICAL_SURFACE('',#372857,0.0810588235294118); #329677=CYLINDRICAL_SURFACE('',#372861,0.0810588235294118); #329678=CYLINDRICAL_SURFACE('',#372865,0.07); #329679=CYLINDRICAL_SURFACE('',#372868,0.07); #329680=CYLINDRICAL_SURFACE('',#372872,0.2); #329681=CYLINDRICAL_SURFACE('',#372878,0.099340716866279); #329682=CYLINDRICAL_SURFACE('',#372925,0.15); #329683=CYLINDRICAL_SURFACE('',#372928,0.15); #329684=CYLINDRICAL_SURFACE('',#373006,0.15); #329685=CYLINDRICAL_SURFACE('',#373053,0.98); #329686=CYLINDRICAL_SURFACE('',#373056,0.98); #329687=CYLINDRICAL_SURFACE('',#373059,1.28); #329688=CYLINDRICAL_SURFACE('',#373062,1.28); #329689=CYLINDRICAL_SURFACE('',#373065,0.98); #329690=CYLINDRICAL_SURFACE('',#373068,1.28); #329691=CYLINDRICAL_SURFACE('',#373084,0.98); #329692=CYLINDRICAL_SURFACE('',#373086,0.98); #329693=CYLINDRICAL_SURFACE('',#373088,0.98); #329694=CYLINDRICAL_SURFACE('',#373111,1.28); #329695=CYLINDRICAL_SURFACE('',#373115,1.28); #329696=CYLINDRICAL_SURFACE('',#373116,1.28); #329697=CYLINDRICAL_SURFACE('',#373119,0.15); #329698=CYLINDRICAL_SURFACE('',#373120,0.15); #329699=CYLINDRICAL_SURFACE('',#373121,0.2); #329700=CYLINDRICAL_SURFACE('',#373126,0.203418496667774); #329701=CYLINDRICAL_SURFACE('',#373128,0.203418496667774); #329702=CYLINDRICAL_SURFACE('',#373131,0.2); #329703=CYLINDRICAL_SURFACE('',#373133,0.203418496667774); #329704=CYLINDRICAL_SURFACE('',#373135,0.203418496667774); #329705=CYLINDRICAL_SURFACE('',#373137,0.2); #329706=CYLINDRICAL_SURFACE('',#373138,0.2); #329707=CYLINDRICAL_SURFACE('',#373140,0.15); #329708=CYLINDRICAL_SURFACE('',#373142,0.2); #329709=CYLINDRICAL_SURFACE('',#373144,0.2); #329710=CYLINDRICAL_SURFACE('',#373147,0.15); #329711=CYLINDRICAL_SURFACE('',#373149,0.2); #329712=CYLINDRICAL_SURFACE('',#373151,0.2); #329713=CYLINDRICAL_SURFACE('',#373153,0.2); #329714=CYLINDRICAL_SURFACE('',#373155,0.2); #329715=CYLINDRICAL_SURFACE('',#373158,0.15); #329716=CYLINDRICAL_SURFACE('',#373160,0.2); #329717=CYLINDRICAL_SURFACE('',#373162,0.2); #329718=CYLINDRICAL_SURFACE('',#373164,0.156782950094636); #329719=CYLINDRICAL_SURFACE('',#373166,0.196634615384615); #329720=CYLINDRICAL_SURFACE('',#373168,0.196634615384615); #329721=CYLINDRICAL_SURFACE('',#373170,0.15678295009463); #329722=CYLINDRICAL_SURFACE('',#373172,0.1425625); #329723=CYLINDRICAL_SURFACE('',#373173,0.0999670307511854); #329724=CYLINDRICAL_SURFACE('',#373174,0.146360174065558); #329725=CYLINDRICAL_SURFACE('',#373176,0.15); #329726=CYLINDRICAL_SURFACE('',#373178,0.200511249999998); #329727=CYLINDRICAL_SURFACE('',#373179,0.200511249999998); #329728=CYLINDRICAL_SURFACE('',#373183,0.143940075739726); #329729=CYLINDRICAL_SURFACE('',#373185,0.199862831858373); #329730=CYLINDRICAL_SURFACE('',#373187,0.199862831858373); #329731=CYLINDRICAL_SURFACE('',#373189,0.143940075739726); #329732=CYLINDRICAL_SURFACE('',#373191,0.2); #329733=CYLINDRICAL_SURFACE('',#373192,0.2); #329734=CYLINDRICAL_SURFACE('',#373195,0.2); #329735=CYLINDRICAL_SURFACE('',#373196,0.2); #329736=CYLINDRICAL_SURFACE('',#373197,0.2); #329737=CYLINDRICAL_SURFACE('',#373229,0.410719203494586); #329738=CYLINDRICAL_SURFACE('',#373231,0.103126984126984); #329739=CYLINDRICAL_SURFACE('',#373233,0.103126984126984); #329740=CYLINDRICAL_SURFACE('',#373235,0.410719203494586); #329741=CYLINDRICAL_SURFACE('',#373236,0.110719203494586); #329742=CYLINDRICAL_SURFACE('',#373238,0.403126984126984); #329743=CYLINDRICAL_SURFACE('',#373240,0.403126984126984); #329744=CYLINDRICAL_SURFACE('',#373242,0.110719203494586); #329745=CYLINDRICAL_SURFACE('',#373265,0.410719203494586); #329746=CYLINDRICAL_SURFACE('',#373267,0.103126984126984); #329747=CYLINDRICAL_SURFACE('',#373269,0.103126984126984); #329748=CYLINDRICAL_SURFACE('',#373271,0.410719203494586); #329749=CYLINDRICAL_SURFACE('',#373272,0.110719203494586); #329750=CYLINDRICAL_SURFACE('',#373274,0.403126984126984); #329751=CYLINDRICAL_SURFACE('',#373276,0.403126984126984); #329752=CYLINDRICAL_SURFACE('',#373278,0.110719203494586); #329753=CYLINDRICAL_SURFACE('',#373280,0.124613333333333); #329754=CYLINDRICAL_SURFACE('',#373284,0.124613333333333); #329755=CYLINDRICAL_SURFACE('',#373289,0.15); #329756=CYLINDRICAL_SURFACE('',#373292,0.45); #329757=CYLINDRICAL_SURFACE('',#373293,0.15); #329758=CYLINDRICAL_SURFACE('',#373294,0.15); #329759=CYLINDRICAL_SURFACE('',#373298,0.15); #329760=CYLINDRICAL_SURFACE('',#373304,0.15); #329761=CYLINDRICAL_SURFACE('',#373314,0.15); #329762=CYLINDRICAL_SURFACE('',#373316,0.146360174065558); #329763=CYLINDRICAL_SURFACE('',#373317,0.0999670307511854); #329764=CYLINDRICAL_SURFACE('',#373318,0.1425625); #329765=CYLINDRICAL_SURFACE('',#373320,0.15678295009463); #329766=CYLINDRICAL_SURFACE('',#373322,0.196634615384615); #329767=CYLINDRICAL_SURFACE('',#373324,0.196634615384615); #329768=CYLINDRICAL_SURFACE('',#373326,0.156782950094636); #329769=CYLINDRICAL_SURFACE('',#373328,0.2); #329770=CYLINDRICAL_SURFACE('',#373329,0.2); #329771=CYLINDRICAL_SURFACE('',#373331,0.143940075739726); #329772=CYLINDRICAL_SURFACE('',#373333,0.199862831858373); #329773=CYLINDRICAL_SURFACE('',#373335,0.199862831858373); #329774=CYLINDRICAL_SURFACE('',#373337,0.143940075739726); #329775=CYLINDRICAL_SURFACE('',#373341,0.200511249999998); #329776=CYLINDRICAL_SURFACE('',#373342,0.200511249999998); #329777=CYLINDRICAL_SURFACE('',#373344,0.2); #329778=CYLINDRICAL_SURFACE('',#373345,0.2); #329779=CYLINDRICAL_SURFACE('',#373346,0.2); #329780=CYLINDRICAL_SURFACE('',#373369,0.410719203494586); #329781=CYLINDRICAL_SURFACE('',#373371,0.103126984126984); #329782=CYLINDRICAL_SURFACE('',#373373,0.103126984126984); #329783=CYLINDRICAL_SURFACE('',#373375,0.410719203494586); #329784=CYLINDRICAL_SURFACE('',#373376,0.110719203494586); #329785=CYLINDRICAL_SURFACE('',#373378,0.403126984126984); #329786=CYLINDRICAL_SURFACE('',#373380,0.403126984126984); #329787=CYLINDRICAL_SURFACE('',#373382,0.110719203494586); #329788=CYLINDRICAL_SURFACE('',#373405,0.410719203494586); #329789=CYLINDRICAL_SURFACE('',#373407,0.103126984126984); #329790=CYLINDRICAL_SURFACE('',#373409,0.103126984126984); #329791=CYLINDRICAL_SURFACE('',#373411,0.410719203494586); #329792=CYLINDRICAL_SURFACE('',#373412,0.110719203494586); #329793=CYLINDRICAL_SURFACE('',#373414,0.403126984126984); #329794=CYLINDRICAL_SURFACE('',#373416,0.403126984126984); #329795=CYLINDRICAL_SURFACE('',#373418,0.110719203494586); #329796=CYLINDRICAL_SURFACE('',#373419,0.124613333333333); #329797=CYLINDRICAL_SURFACE('',#373423,0.124613333333333); #329798=CYLINDRICAL_SURFACE('',#373427,0.15); #329799=CYLINDRICAL_SURFACE('',#373432,0.45); #329800=CYLINDRICAL_SURFACE('',#373433,0.15); #329801=CYLINDRICAL_SURFACE('',#373434,0.15); #329802=CYLINDRICAL_SURFACE('',#373437,0.15); #329803=CYLINDRICAL_SURFACE('',#373444,0.15); #329804=CYLINDRICAL_SURFACE('',#373453,0.2); #329805=CYLINDRICAL_SURFACE('',#373457,0.132018518518511); #329806=CYLINDRICAL_SURFACE('',#373460,0.148012635933508); #329807=CYLINDRICAL_SURFACE('',#373463,0.11087204124655); #329808=CYLINDRICAL_SURFACE('',#373467,0.11087204124655); #329809=CYLINDRICAL_SURFACE('',#373470,0.148012635933508); #329810=CYLINDRICAL_SURFACE('',#373473,0.132018518518511); #329811=CYLINDRICAL_SURFACE('',#373477,0.15); #329812=CYLINDRICAL_SURFACE('',#373481,0.15); #329813=CYLINDRICAL_SURFACE('',#373485,0.132018518518511); #329814=CYLINDRICAL_SURFACE('',#373488,0.148012635933508); #329815=CYLINDRICAL_SURFACE('',#373491,0.11087204124655); #329816=CYLINDRICAL_SURFACE('',#373495,0.11087204124655); #329817=CYLINDRICAL_SURFACE('',#373498,0.148012635933508); #329818=CYLINDRICAL_SURFACE('',#373501,0.132018518518511); #329819=CYLINDRICAL_SURFACE('',#373505,0.15); #329820=CYLINDRICAL_SURFACE('',#373509,0.15); #329821=CYLINDRICAL_SURFACE('',#373513,0.2); #329822=CYLINDRICAL_SURFACE('',#373521,0.2); #329823=CYLINDRICAL_SURFACE('',#373528,0.2); #329824=CYLINDRICAL_SURFACE('',#373534,0.15); #329825=CYLINDRICAL_SURFACE('',#373538,0.15); #329826=CYLINDRICAL_SURFACE('',#373541,0.148); #329827=CYLINDRICAL_SURFACE('',#373544,0.292004733994588); #329828=CYLINDRICAL_SURFACE('',#373547,1.15304609186865); #329829=CYLINDRICAL_SURFACE('',#373550,0.45); #329830=CYLINDRICAL_SURFACE('',#373553,0.14737794976545); #329831=CYLINDRICAL_SURFACE('',#373557,0.14737794976545); #329832=CYLINDRICAL_SURFACE('',#373561,0.144226016739943); #329833=CYLINDRICAL_SURFACE('',#373564,0.176676870506421); #329834=CYLINDRICAL_SURFACE('',#373570,0.176676870506421); #329835=CYLINDRICAL_SURFACE('',#373573,0.144226016739943); #329836=CYLINDRICAL_SURFACE('',#373577,0.144226016739943); #329837=CYLINDRICAL_SURFACE('',#373580,0.176676870506421); #329838=CYLINDRICAL_SURFACE('',#373586,0.176676870506421); #329839=CYLINDRICAL_SURFACE('',#373589,0.144226016739943); #329840=CYLINDRICAL_SURFACE('',#373593,0.14737794976545); #329841=CYLINDRICAL_SURFACE('',#373597,0.14737794976545); #329842=CYLINDRICAL_SURFACE('',#373602,0.45); #329843=CYLINDRICAL_SURFACE('',#373603,1.15304609186865); #329844=CYLINDRICAL_SURFACE('',#373606,0.292004733994588); #329845=CYLINDRICAL_SURFACE('',#373609,0.148); #329846=CYLINDRICAL_SURFACE('',#373614,0.15); #329847=CYLINDRICAL_SURFACE('',#373617,0.15); #329848=CYLINDRICAL_SURFACE('',#373626,0.15); #329849=CYLINDRICAL_SURFACE('',#373630,0.15); #329850=CYLINDRICAL_SURFACE('',#373639,0.45); #329851=CYLINDRICAL_SURFACE('',#373640,0.15); #329852=CYLINDRICAL_SURFACE('',#373646,0.45); #329853=CYLINDRICAL_SURFACE('',#373647,0.15); #329854=CYLINDRICAL_SURFACE('',#373648,0.14); #329855=CYLINDRICAL_SURFACE('',#373650,0.14); #329856=CYLINDRICAL_SURFACE('',#373652,0.14); #329857=CYLINDRICAL_SURFACE('',#373654,0.14); #329858=CYLINDRICAL_SURFACE('',#373655,0.14); #329859=CYLINDRICAL_SURFACE('',#373657,0.14); #329860=CYLINDRICAL_SURFACE('',#373659,0.14); #329861=CYLINDRICAL_SURFACE('',#373661,0.14); #329862=CYLINDRICAL_SURFACE('',#373662,0.15); #329863=CYLINDRICAL_SURFACE('',#373664,0.13); #329864=CYLINDRICAL_SURFACE('',#373666,0.13); #329865=CYLINDRICAL_SURFACE('',#373668,0.15); #329866=CYLINDRICAL_SURFACE('',#373669,0.15); #329867=CYLINDRICAL_SURFACE('',#373671,0.13); #329868=CYLINDRICAL_SURFACE('',#373673,0.13); #329869=CYLINDRICAL_SURFACE('',#373675,0.15); #329870=CYLINDRICAL_SURFACE('',#373695,0.1); #329871=CYLINDRICAL_SURFACE('',#373697,0.2); #329872=CYLINDRICAL_SURFACE('',#373699,0.22); #329873=CYLINDRICAL_SURFACE('',#373701,0.08); #329874=CYLINDRICAL_SURFACE('',#373762,0.999999985477); #329875=CYLINDRICAL_SURFACE('',#373765,0.999999985477); #329876=CYLINDRICAL_SURFACE('',#373813,0.499999992739); #329877=CYLINDRICAL_SURFACE('',#373814,0.499999992739); #329878=CYLINDRICAL_SURFACE('',#373842,0.04); #329879=CYLINDRICAL_SURFACE('',#373851,0.04); #329880=CYLINDRICAL_SURFACE('',#373852,0.04); #329881=CYLINDRICAL_SURFACE('',#373857,0.04); #329882=CYLINDRICAL_SURFACE('',#373858,0.04); #329883=CYLINDRICAL_SURFACE('',#373859,0.04); #329884=CYLINDRICAL_SURFACE('',#373860,0.04); #329885=CYLINDRICAL_SURFACE('',#373861,0.04); #329886=CYLINDRICAL_SURFACE('',#373862,0.04); #329887=CYLINDRICAL_SURFACE('',#373863,0.04); #329888=CYLINDRICAL_SURFACE('',#373864,0.04); #329889=CYLINDRICAL_SURFACE('',#373865,0.04); #329890=CYLINDRICAL_SURFACE('',#373892,0.04); #329891=CYLINDRICAL_SURFACE('',#373901,0.04); #329892=CYLINDRICAL_SURFACE('',#373902,0.04); #329893=CYLINDRICAL_SURFACE('',#373907,0.04); #329894=CYLINDRICAL_SURFACE('',#373908,0.04); #329895=CYLINDRICAL_SURFACE('',#373909,0.04); #329896=CYLINDRICAL_SURFACE('',#373910,0.04); #329897=CYLINDRICAL_SURFACE('',#373911,0.04); #329898=CYLINDRICAL_SURFACE('',#373912,0.04); #329899=CYLINDRICAL_SURFACE('',#373913,0.04); #329900=CYLINDRICAL_SURFACE('',#373914,0.04); #329901=CYLINDRICAL_SURFACE('',#373915,0.04); #329902=CYLINDRICAL_SURFACE('',#373973,0.032); #329903=CYLINDRICAL_SURFACE('',#373982,0.032); #329904=CYLINDRICAL_SURFACE('',#373983,0.032); #329905=CYLINDRICAL_SURFACE('',#373988,0.032); #329906=CYLINDRICAL_SURFACE('',#373989,0.032); #329907=CYLINDRICAL_SURFACE('',#373990,0.032); #329908=CYLINDRICAL_SURFACE('',#373991,0.032); #329909=CYLINDRICAL_SURFACE('',#373992,0.032); #329910=CYLINDRICAL_SURFACE('',#373993,0.032); #329911=CYLINDRICAL_SURFACE('',#373994,0.032); #329912=CYLINDRICAL_SURFACE('',#373995,0.032); #329913=CYLINDRICAL_SURFACE('',#373996,0.032); #329914=CYLINDRICAL_SURFACE('',#374023,0.032); #329915=CYLINDRICAL_SURFACE('',#374032,0.032); #329916=CYLINDRICAL_SURFACE('',#374033,0.032); #329917=CYLINDRICAL_SURFACE('',#374038,0.032); #329918=CYLINDRICAL_SURFACE('',#374039,0.032); #329919=CYLINDRICAL_SURFACE('',#374040,0.032); #329920=CYLINDRICAL_SURFACE('',#374041,0.032); #329921=CYLINDRICAL_SURFACE('',#374042,0.032); #329922=CYLINDRICAL_SURFACE('',#374043,0.032); #329923=CYLINDRICAL_SURFACE('',#374044,0.032); #329924=CYLINDRICAL_SURFACE('',#374045,0.032); #329925=CYLINDRICAL_SURFACE('',#374046,0.032); #329926=CYLINDRICAL_SURFACE('',#374082,0.04); #329927=CYLINDRICAL_SURFACE('',#374091,0.04); #329928=CYLINDRICAL_SURFACE('',#374092,0.04); #329929=CYLINDRICAL_SURFACE('',#374097,0.04); #329930=CYLINDRICAL_SURFACE('',#374098,0.04); #329931=CYLINDRICAL_SURFACE('',#374099,0.04); #329932=CYLINDRICAL_SURFACE('',#374100,0.04); #329933=CYLINDRICAL_SURFACE('',#374101,0.04); #329934=CYLINDRICAL_SURFACE('',#374102,0.04); #329935=CYLINDRICAL_SURFACE('',#374103,0.04); #329936=CYLINDRICAL_SURFACE('',#374104,0.04); #329937=CYLINDRICAL_SURFACE('',#374105,0.04); #329938=CYLINDRICAL_SURFACE('',#374132,0.04); #329939=CYLINDRICAL_SURFACE('',#374141,0.04); #329940=CYLINDRICAL_SURFACE('',#374142,0.04); #329941=CYLINDRICAL_SURFACE('',#374147,0.04); #329942=CYLINDRICAL_SURFACE('',#374148,0.04); #329943=CYLINDRICAL_SURFACE('',#374149,0.04); #329944=CYLINDRICAL_SURFACE('',#374150,0.04); #329945=CYLINDRICAL_SURFACE('',#374151,0.04); #329946=CYLINDRICAL_SURFACE('',#374152,0.04); #329947=CYLINDRICAL_SURFACE('',#374153,0.04); #329948=CYLINDRICAL_SURFACE('',#374154,0.04); #329949=CYLINDRICAL_SURFACE('',#374155,0.04); #329950=CYLINDRICAL_SURFACE('',#374171,3.11666666666667); #329951=CYLINDRICAL_SURFACE('',#374180,4.25); #329952=CYLINDRICAL_SURFACE('',#374191,4.25); #329953=CYLINDRICAL_SURFACE('',#374215,4.27); #329954=CYLINDRICAL_SURFACE('',#374225,4.25); #329955=CYLINDRICAL_SURFACE('',#374236,4.25); #329956=CYLINDRICAL_SURFACE('',#374246,4.27); #329957=CYLINDRICAL_SURFACE('',#374251,0.325); #329958=CYLINDRICAL_SURFACE('',#374256,0.325); #329959=CYLINDRICAL_SURFACE('',#374265,4.2715); #329960=CYLINDRICAL_SURFACE('',#374269,4.2705); #329961=CYLINDRICAL_SURFACE('',#374275,4.2715); #329962=CYLINDRICAL_SURFACE('',#374279,4.2705); #329963=CYLINDRICAL_SURFACE('',#374285,4.2715); #329964=CYLINDRICAL_SURFACE('',#374289,4.2705); #329965=CYLINDRICAL_SURFACE('',#374295,4.2715); #329966=CYLINDRICAL_SURFACE('',#374299,4.2705); #329967=CYLINDRICAL_SURFACE('',#374305,4.2715); #329968=CYLINDRICAL_SURFACE('',#374309,4.2705); #329969=CYLINDRICAL_SURFACE('',#374315,4.2715); #329970=CYLINDRICAL_SURFACE('',#374319,4.2705); #329971=CYLINDRICAL_SURFACE('',#374325,4.2715); #329972=CYLINDRICAL_SURFACE('',#374329,4.2705); #329973=CYLINDRICAL_SURFACE('',#374335,4.2715); #329974=CYLINDRICAL_SURFACE('',#374339,4.2705); #329975=CYLINDRICAL_SURFACE('',#374345,4.2715); #329976=CYLINDRICAL_SURFACE('',#374349,4.2705); #329977=CYLINDRICAL_SURFACE('',#374354,0.0750000000000001); #329978=CYLINDRICAL_SURFACE('',#374376,0.175); #329979=CYLINDRICAL_SURFACE('',#374380,0.175); #329980=CYLINDRICAL_SURFACE('',#374388,0.175); #329981=CYLINDRICAL_SURFACE('',#374392,0.175); #329982=CYLINDRICAL_SURFACE('',#374400,0.175); #329983=CYLINDRICAL_SURFACE('',#374404,0.175); #329984=CYLINDRICAL_SURFACE('',#374412,0.175); #329985=CYLINDRICAL_SURFACE('',#374416,0.175); #329986=CYLINDRICAL_SURFACE('',#374424,0.175); #329987=CYLINDRICAL_SURFACE('',#374428,0.175); #329988=CYLINDRICAL_SURFACE('',#374436,0.175); #329989=CYLINDRICAL_SURFACE('',#374440,0.175); #329990=CYLINDRICAL_SURFACE('',#374448,0.175); #329991=CYLINDRICAL_SURFACE('',#374452,0.175); #329992=CYLINDRICAL_SURFACE('',#374460,0.175); #329993=CYLINDRICAL_SURFACE('',#374464,0.175); #329994=CYLINDRICAL_SURFACE('',#374521,0.0750000000000001); #329995=CYLINDRICAL_SURFACE('',#374543,0.25); #329996=CYLINDRICAL_SURFACE('',#374547,0.25); #329997=CYLINDRICAL_SURFACE('',#374555,0.25); #329998=CYLINDRICAL_SURFACE('',#374559,0.25); #329999=CYLINDRICAL_SURFACE('',#374567,0.25); #330000=CYLINDRICAL_SURFACE('',#374571,0.25); #330001=CYLINDRICAL_SURFACE('',#374579,0.25); #330002=CYLINDRICAL_SURFACE('',#374583,0.25); #330003=CYLINDRICAL_SURFACE('',#374591,0.25); #330004=CYLINDRICAL_SURFACE('',#374595,0.25); #330005=CYLINDRICAL_SURFACE('',#374603,0.25); #330006=CYLINDRICAL_SURFACE('',#374607,0.25); #330007=CYLINDRICAL_SURFACE('',#374615,0.25); #330008=CYLINDRICAL_SURFACE('',#374619,0.25); #330009=CYLINDRICAL_SURFACE('',#374627,0.25); #330010=CYLINDRICAL_SURFACE('',#374631,0.25); #330011=CYLINDRICAL_SURFACE('',#374725,3.595); #330012=CYLINDRICAL_SURFACE('',#374728,5.76); #330013=CYLINDRICAL_SURFACE('',#374733,3.5); #330014=CYLINDRICAL_SURFACE('',#374734,3.5); #330015=CYLINDRICAL_SURFACE('',#374735,3.5); #330016=CYLINDRICAL_SURFACE('',#374737,3.14155); #330017=CYLINDRICAL_SURFACE('',#374739,3.14155); #330018=CYLINDRICAL_SURFACE('',#374740,3.14155); #330019=CYLINDRICAL_SURFACE('',#374741,3.14155); #330020=CYLINDRICAL_SURFACE('',#374742,3.5); #330021=CYLINDRICAL_SURFACE('',#374750,3.454); #330022=CYLINDRICAL_SURFACE('',#374752,3.454); #330023=CYLINDRICAL_SURFACE('',#374753,3.454); #330024=CYLINDRICAL_SURFACE('',#374754,3.454); #330025=CYLINDRICAL_SURFACE('',#374755,3.454); #330026=CYLINDRICAL_SURFACE('',#374756,3.454); #330027=CYLINDRICAL_SURFACE('',#374757,3.454); #330028=CYLINDRICAL_SURFACE('',#374758,3.02375); #330029=CYLINDRICAL_SURFACE('',#374760,3.02375); #330030=CYLINDRICAL_SURFACE('',#374762,3.02375); #330031=CYLINDRICAL_SURFACE('',#374763,3.02375); #330032=CYLINDRICAL_SURFACE('',#374764,3.02375); #330033=CYLINDRICAL_SURFACE('',#374765,3.02375); #330034=CYLINDRICAL_SURFACE('',#374766,3.02375); #330035=CYLINDRICAL_SURFACE('',#374893,3.); #330036=CYLINDRICAL_SURFACE('',#374897,0.150000000181); #330037=CYLINDRICAL_SURFACE('',#374900,0.400000000483); #330038=CYLINDRICAL_SURFACE('',#374912,0.1); #330039=CYLINDRICAL_SURFACE('',#374915,0.1); #330040=CYLINDRICAL_SURFACE('',#374917,0.3); #330041=CYLINDRICAL_SURFACE('',#374922,0.3); #330042=CYLINDRICAL_SURFACE('',#374935,0.3); #330043=CYLINDRICAL_SURFACE('',#374937,0.3); #330044=CYLINDRICAL_SURFACE('',#374938,0.1); #330045=CYLINDRICAL_SURFACE('',#374940,0.1); #330046=CYLINDRICAL_SURFACE('',#374946,0.400000000483); #330047=CYLINDRICAL_SURFACE('',#374949,0.150000000181); #330048=CYLINDRICAL_SURFACE('',#374959,0.3); #330049=CYLINDRICAL_SURFACE('',#374966,0.3); #330050=CYLINDRICAL_SURFACE('',#374967,0.1); #330051=CYLINDRICAL_SURFACE('',#374969,0.1); #330052=CYLINDRICAL_SURFACE('',#374976,0.150000000181); #330053=CYLINDRICAL_SURFACE('',#374979,0.400000000483); #330054=CYLINDRICAL_SURFACE('',#374991,0.1); #330055=CYLINDRICAL_SURFACE('',#374994,0.1); #330056=CYLINDRICAL_SURFACE('',#374996,0.3); #330057=CYLINDRICAL_SURFACE('',#375001,0.3); #330058=CYLINDRICAL_SURFACE('',#375018,0.1); #330059=CYLINDRICAL_SURFACE('',#375020,0.1); #330060=CYLINDRICAL_SURFACE('',#375021,0.3); #330061=CYLINDRICAL_SURFACE('',#375023,0.3); #330062=CYLINDRICAL_SURFACE('',#375039,3.02375); #330063=CYLINDRICAL_SURFACE('',#375041,3.454); #330064=CYLINDRICAL_SURFACE('',#375057,4.5); #330065=CYLINDRICAL_SURFACE('',#375067,4.5); #330066=CYLINDRICAL_SURFACE('',#375070,4.5); #330067=CYLINDRICAL_SURFACE('',#375077,4.5); #330068=CYLINDRICAL_SURFACE('',#375081,5.000000006042); #330069=CYLINDRICAL_SURFACE('',#375098,0.150000000181); #330070=CYLINDRICAL_SURFACE('',#375102,0.150000000181); #330071=CYLINDRICAL_SURFACE('',#375111,0.150000000181); #330072=CYLINDRICAL_SURFACE('',#375114,0.150000000181); #330073=CYLINDRICAL_SURFACE('',#375120,0.3); #330074=CYLINDRICAL_SURFACE('',#375124,0.3); #330075=CYLINDRICAL_SURFACE('',#375128,0.3); #330076=CYLINDRICAL_SURFACE('',#375130,0.3); #330077=CYLINDRICAL_SURFACE('',#375133,0.3); #330078=CYLINDRICAL_SURFACE('',#375137,0.3); #330079=CYLINDRICAL_SURFACE('',#375141,0.3); #330080=CYLINDRICAL_SURFACE('',#375145,0.3); #330081=CYLINDRICAL_SURFACE('',#375148,0.3); #330082=CYLINDRICAL_SURFACE('',#375162,0.3); #330083=CYLINDRICAL_SURFACE('',#375164,0.3); #330084=CYLINDRICAL_SURFACE('',#375166,0.3); #330085=CYLINDRICAL_SURFACE('',#375177,0.150000000181); #330086=CYLINDRICAL_SURFACE('',#375180,0.350000000423); #330087=CYLINDRICAL_SURFACE('',#375189,0.3); #330088=CYLINDRICAL_SURFACE('',#375194,0.3); #330089=CYLINDRICAL_SURFACE('',#375199,0.150000000181); #330090=CYLINDRICAL_SURFACE('',#375202,0.350000000423); #330091=CYLINDRICAL_SURFACE('',#375211,0.3); #330092=CYLINDRICAL_SURFACE('',#375216,0.3); #330093=CYLINDRICAL_SURFACE('',#375222,0.3); #330094=CYLINDRICAL_SURFACE('',#375226,0.3); #330095=CYLINDRICAL_SURFACE('',#375232,0.3); #330096=CYLINDRICAL_SURFACE('',#375236,0.3); #330097=CYLINDRICAL_SURFACE('',#375239,0.3); #330098=CYLINDRICAL_SURFACE('',#375247,0.3); #330099=CYLINDRICAL_SURFACE('',#375248,0.3); #330100=CYLINDRICAL_SURFACE('',#375254,0.3); #330101=CYLINDRICAL_SURFACE('',#375255,0.150000000181); #330102=CYLINDRICAL_SURFACE('',#375257,0.350000000423); #330103=CYLINDRICAL_SURFACE('',#375261,0.350000000423); #330104=CYLINDRICAL_SURFACE('',#375263,0.150000000181); #330105=CYLINDRICAL_SURFACE('',#375269,0.300000000363); #330106=CYLINDRICAL_SURFACE('',#375270,0.300000000363); #330107=CYLINDRICAL_SURFACE('',#375271,0.300000000363); #330108=CYLINDRICAL_SURFACE('',#375272,0.300000000363); #330109=CYLINDRICAL_SURFACE('',#375273,0.300000000363); #330110=CYLINDRICAL_SURFACE('',#375276,0.300000000363); #330111=CYLINDRICAL_SURFACE('',#375285,0.350000000423); #330112=CYLINDRICAL_SURFACE('',#375288,0.150000000181); #330113=CYLINDRICAL_SURFACE('',#375292,0.350000000423); #330114=CYLINDRICAL_SURFACE('',#375294,0.150000000181); #330115=CYLINDRICAL_SURFACE('',#375302,0.150000000181); #330116=CYLINDRICAL_SURFACE('',#375304,0.350000000423); #330117=CYLINDRICAL_SURFACE('',#375316,0.300000000363); #330118=CYLINDRICAL_SURFACE('',#375319,0.300000000363); #330119=CYLINDRICAL_SURFACE('',#375328,0.350000000423); #330120=CYLINDRICAL_SURFACE('',#375331,0.150000000181); #330121=CYLINDRICAL_SURFACE('',#375335,0.350000000423); #330122=CYLINDRICAL_SURFACE('',#375342,0.150000000181); #330123=CYLINDRICAL_SURFACE('',#375354,0.350000000423); #330124=CYLINDRICAL_SURFACE('',#375358,0.150000000181); #330125=CYLINDRICAL_SURFACE('',#375362,0.15); #330126=CYLINDRICAL_SURFACE('',#375378,0.15); #330127=CYLINDRICAL_SURFACE('',#375388,0.15); #330128=CYLINDRICAL_SURFACE('',#375398,0.15); #330129=CYLINDRICAL_SURFACE('',#375408,0.15); #330130=CYLINDRICAL_SURFACE('',#375418,0.15); #330131=CYLINDRICAL_SURFACE('',#375428,0.15); #330132=CYLINDRICAL_SURFACE('',#375438,0.15); #330133=CYLINDRICAL_SURFACE('',#375448,0.15); #330134=CYLINDRICAL_SURFACE('',#375458,0.15); #330135=CYLINDRICAL_SURFACE('',#375468,0.15); #330136=CYLINDRICAL_SURFACE('',#375478,0.15); #330137=CYLINDRICAL_SURFACE('',#375488,0.15); #330138=CYLINDRICAL_SURFACE('',#375498,0.15); #330139=CYLINDRICAL_SURFACE('',#375508,0.15); #330140=CYLINDRICAL_SURFACE('',#375518,0.15); #330141=CYLINDRICAL_SURFACE('',#375528,0.15); #330142=CYLINDRICAL_SURFACE('',#375538,0.15); #330143=CYLINDRICAL_SURFACE('',#375548,0.15); #330144=CYLINDRICAL_SURFACE('',#375558,0.15); #330145=CYLINDRICAL_SURFACE('',#375568,0.15); #330146=CYLINDRICAL_SURFACE('',#375578,0.15); #330147=CYLINDRICAL_SURFACE('',#375588,0.15); #330148=CYLINDRICAL_SURFACE('',#375598,0.15); #330149=CYLINDRICAL_SURFACE('',#375608,0.15); #330150=CYLINDRICAL_SURFACE('',#375618,0.15); #330151=CYLINDRICAL_SURFACE('',#375628,0.15); #330152=CYLINDRICAL_SURFACE('',#375638,0.15); #330153=CYLINDRICAL_SURFACE('',#375648,0.15); #330154=CYLINDRICAL_SURFACE('',#375658,0.15); #330155=CYLINDRICAL_SURFACE('',#375668,0.15); #330156=CYLINDRICAL_SURFACE('',#375678,0.15); #330157=CYLINDRICAL_SURFACE('',#375688,0.15); #330158=CYLINDRICAL_SURFACE('',#375698,0.15); #330159=CYLINDRICAL_SURFACE('',#375708,0.15); #330160=CYLINDRICAL_SURFACE('',#375718,0.15); #330161=CYLINDRICAL_SURFACE('',#375728,0.15); #330162=CYLINDRICAL_SURFACE('',#375738,0.15); #330163=CYLINDRICAL_SURFACE('',#375748,0.15); #330164=CYLINDRICAL_SURFACE('',#375758,0.15); #330165=CYLINDRICAL_SURFACE('',#375768,0.15); #330166=CYLINDRICAL_SURFACE('',#375778,0.15); #330167=CYLINDRICAL_SURFACE('',#375788,0.15); #330168=CYLINDRICAL_SURFACE('',#375798,0.15); #330169=CYLINDRICAL_SURFACE('',#375808,0.15); #330170=CYLINDRICAL_SURFACE('',#375818,0.15); #330171=CYLINDRICAL_SURFACE('',#375828,0.15); #330172=CYLINDRICAL_SURFACE('',#375838,0.15); #330173=CYLINDRICAL_SURFACE('',#375848,0.15); #330174=CYLINDRICAL_SURFACE('',#375885,0.04); #330175=CYLINDRICAL_SURFACE('',#375894,0.04); #330176=CYLINDRICAL_SURFACE('',#375895,0.04); #330177=CYLINDRICAL_SURFACE('',#375900,0.04); #330178=CYLINDRICAL_SURFACE('',#375901,0.04); #330179=CYLINDRICAL_SURFACE('',#375902,0.04); #330180=CYLINDRICAL_SURFACE('',#375903,0.04); #330181=CYLINDRICAL_SURFACE('',#375904,0.04); #330182=CYLINDRICAL_SURFACE('',#375905,0.04); #330183=CYLINDRICAL_SURFACE('',#375906,0.04); #330184=CYLINDRICAL_SURFACE('',#375907,0.04); #330185=CYLINDRICAL_SURFACE('',#375908,0.04); #330186=CYLINDRICAL_SURFACE('',#375935,0.04); #330187=CYLINDRICAL_SURFACE('',#375944,0.04); #330188=CYLINDRICAL_SURFACE('',#375945,0.04); #330189=CYLINDRICAL_SURFACE('',#375950,0.04); #330190=CYLINDRICAL_SURFACE('',#375951,0.04); #330191=CYLINDRICAL_SURFACE('',#375952,0.04); #330192=CYLINDRICAL_SURFACE('',#375953,0.04); #330193=CYLINDRICAL_SURFACE('',#375954,0.04); #330194=CYLINDRICAL_SURFACE('',#375955,0.04); #330195=CYLINDRICAL_SURFACE('',#375956,0.04); #330196=CYLINDRICAL_SURFACE('',#375957,0.04); #330197=CYLINDRICAL_SURFACE('',#375958,0.04); #330198=CYLINDRICAL_SURFACE('',#376017,0.1995); #330199=CYLINDRICAL_SURFACE('',#376041,0.0499999999991504); #330200=CYLINDRICAL_SURFACE('',#376046,0.200000000000034); #330201=CYLINDRICAL_SURFACE('',#376049,0.0500000000000014); #330202=CYLINDRICAL_SURFACE('',#376054,0.200000000000001); #330203=CYLINDRICAL_SURFACE('',#376063,0.0499999999991504); #330204=CYLINDRICAL_SURFACE('',#376068,0.200000000000034); #330205=CYLINDRICAL_SURFACE('',#376071,0.0500000000000014); #330206=CYLINDRICAL_SURFACE('',#376076,0.200000000000001); #330207=CYLINDRICAL_SURFACE('',#376085,0.0499999999991504); #330208=CYLINDRICAL_SURFACE('',#376090,0.200000000000034); #330209=CYLINDRICAL_SURFACE('',#376093,0.0500000000000014); #330210=CYLINDRICAL_SURFACE('',#376098,0.200000000000001); #330211=CYLINDRICAL_SURFACE('',#376107,0.0499999999991504); #330212=CYLINDRICAL_SURFACE('',#376112,0.200000000000034); #330213=CYLINDRICAL_SURFACE('',#376115,0.0500000000000014); #330214=CYLINDRICAL_SURFACE('',#376120,0.200000000000001); #330215=CYLINDRICAL_SURFACE('',#376129,0.0499999999991504); #330216=CYLINDRICAL_SURFACE('',#376134,0.200000000000034); #330217=CYLINDRICAL_SURFACE('',#376137,0.0500000000000014); #330218=CYLINDRICAL_SURFACE('',#376142,0.200000000000001); #330219=CYLINDRICAL_SURFACE('',#376151,0.0499999999991504); #330220=CYLINDRICAL_SURFACE('',#376156,0.200000000000034); #330221=CYLINDRICAL_SURFACE('',#376159,0.0500000000000014); #330222=CYLINDRICAL_SURFACE('',#376164,0.200000000000001); #330223=CYLINDRICAL_SURFACE('',#376173,0.0499999999991504); #330224=CYLINDRICAL_SURFACE('',#376178,0.200000000000034); #330225=CYLINDRICAL_SURFACE('',#376181,0.0500000000000014); #330226=CYLINDRICAL_SURFACE('',#376186,0.200000000000001); #330227=CYLINDRICAL_SURFACE('',#376195,0.0499999999991504); #330228=CYLINDRICAL_SURFACE('',#376200,0.200000000000034); #330229=CYLINDRICAL_SURFACE('',#376203,0.0500000000000014); #330230=CYLINDRICAL_SURFACE('',#376208,0.200000000000001); #330231=CYLINDRICAL_SURFACE('',#376214,0.085); #330232=CYLINDRICAL_SURFACE('',#376240,0.0325); #330233=CYLINDRICAL_SURFACE('',#376243,0.13); #330234=CYLINDRICAL_SURFACE('',#376248,0.0325); #330235=CYLINDRICAL_SURFACE('',#376251,0.13); #330236=CYLINDRICAL_SURFACE('',#376262,0.0325); #330237=CYLINDRICAL_SURFACE('',#376265,0.13); #330238=CYLINDRICAL_SURFACE('',#376270,0.0325); #330239=CYLINDRICAL_SURFACE('',#376273,0.13); #330240=CYLINDRICAL_SURFACE('',#376284,0.0325); #330241=CYLINDRICAL_SURFACE('',#376287,0.13); #330242=CYLINDRICAL_SURFACE('',#376292,0.0325); #330243=CYLINDRICAL_SURFACE('',#376295,0.13); #330244=CYLINDRICAL_SURFACE('',#376306,0.0325); #330245=CYLINDRICAL_SURFACE('',#376309,0.13); #330246=CYLINDRICAL_SURFACE('',#376314,0.0325); #330247=CYLINDRICAL_SURFACE('',#376317,0.13); #330248=CYLINDRICAL_SURFACE('',#376328,0.0325); #330249=CYLINDRICAL_SURFACE('',#376331,0.13); #330250=CYLINDRICAL_SURFACE('',#376336,0.0325); #330251=CYLINDRICAL_SURFACE('',#376339,0.13); #330252=CYLINDRICAL_SURFACE('',#376365,0.04); #330253=CYLINDRICAL_SURFACE('',#376374,0.04); #330254=CYLINDRICAL_SURFACE('',#376375,0.04); #330255=CYLINDRICAL_SURFACE('',#376380,0.04); #330256=CYLINDRICAL_SURFACE('',#376381,0.04); #330257=CYLINDRICAL_SURFACE('',#376382,0.04); #330258=CYLINDRICAL_SURFACE('',#376383,0.04); #330259=CYLINDRICAL_SURFACE('',#376384,0.04); #330260=CYLINDRICAL_SURFACE('',#376385,0.04); #330261=CYLINDRICAL_SURFACE('',#376386,0.04); #330262=CYLINDRICAL_SURFACE('',#376387,0.04); #330263=CYLINDRICAL_SURFACE('',#376388,0.04); #330264=CYLINDRICAL_SURFACE('',#376415,0.04); #330265=CYLINDRICAL_SURFACE('',#376424,0.04); #330266=CYLINDRICAL_SURFACE('',#376425,0.04); #330267=CYLINDRICAL_SURFACE('',#376430,0.04); #330268=CYLINDRICAL_SURFACE('',#376431,0.04); #330269=CYLINDRICAL_SURFACE('',#376432,0.04); #330270=CYLINDRICAL_SURFACE('',#376433,0.04); #330271=CYLINDRICAL_SURFACE('',#376434,0.04); #330272=CYLINDRICAL_SURFACE('',#376435,0.04); #330273=CYLINDRICAL_SURFACE('',#376436,0.04); #330274=CYLINDRICAL_SURFACE('',#376437,0.04); #330275=CYLINDRICAL_SURFACE('',#376438,0.04); #330276=CYLINDRICAL_SURFACE('',#376528,1.); #330277=CYLINDRICAL_SURFACE('',#376531,1.); #330278=CYLINDRICAL_SURFACE('',#376549,1.); #330279=CYLINDRICAL_SURFACE('',#376552,1.); #330280=CYLINDRICAL_SURFACE('',#376570,1.); #330281=CYLINDRICAL_SURFACE('',#376573,1.); #330282=CYLINDRICAL_SURFACE('',#376604,28.); #330283=CYLINDRICAL_SURFACE('',#376610,34.25); #330284=CYLINDRICAL_SURFACE('',#376617,29.1); #330285=CYLINDRICAL_SURFACE('',#376627,26.5); #330286=CYLINDRICAL_SURFACE('',#376640,30.85); #330287=CYLINDRICAL_SURFACE('',#376645,30.85); #330288=CYLINDRICAL_SURFACE('',#376650,30.85); #330289=CYLINDRICAL_SURFACE('',#376665,35.2842712474619); #330290=CYLINDRICAL_SURFACE('',#376674,34.); #330291=CYLINDRICAL_SURFACE('',#376676,34.); #330292=CYLINDRICAL_SURFACE('',#376678,34.); #330293=CYLINDRICAL_SURFACE('',#376680,34.); #330294=CYLINDRICAL_SURFACE('',#376684,1.53); #330295=CYLINDRICAL_SURFACE('',#376688,1.53); #330296=CYLINDRICAL_SURFACE('',#376689,1.53); #330297=CYLINDRICAL_SURFACE('',#376693,1.53); #330298=CYLINDRICAL_SURFACE('',#376694,1.53); #330299=CYLINDRICAL_SURFACE('',#376696,1.53); #330300=CYLINDRICAL_SURFACE('',#376697,1.53); #330301=CYLINDRICAL_SURFACE('',#376699,1.53); #330302=CYLINDRICAL_SURFACE('',#376702,33.0000000149012); #330303=CYLINDRICAL_SURFACE('',#376725,34.2842712474619); #330304=CYLINDRICAL_SURFACE('',#376729,29.2000000149012); #330305=CYLINDRICAL_SURFACE('',#376738,31.0000000149012); #330306=CYLINDRICAL_SURFACE('',#376751,34.25); #330307=CYLINDRICAL_SURFACE('',#376752,35.2842712474619); #330308=CYLINDRICAL_SURFACE('',#376754,23.0000000149012); #330309=CYLINDRICAL_SURFACE('',#376762,23.0000000149012); #330310=CYLINDRICAL_SURFACE('',#376774,2.1); #330311=CYLINDRICAL_SURFACE('',#376778,2.1); #330312=CYLINDRICAL_SURFACE('',#376789,1.4); #330313=CYLINDRICAL_SURFACE('',#376793,1.4); #330314=CYLINDRICAL_SURFACE('',#376802,3.1); #330315=CYLINDRICAL_SURFACE('',#376806,3.1); #330316=CYLINDRICAL_SURFACE('',#376819,27.0000000149012); #330317=CYLINDRICAL_SURFACE('',#376821,29.0000000149012); #330318=CYLINDRICAL_SURFACE('',#376825,27.0000000149012); #330319=CYLINDRICAL_SURFACE('',#376827,29.0000000149012); #330320=CYLINDRICAL_SURFACE('',#376832,30.8000000149012); #330321=CYLINDRICAL_SURFACE('',#376834,30.8000000149012); #330322=CYLINDRICAL_SURFACE('',#376887,1.4); #330323=CYLINDRICAL_SURFACE('',#376889,1.4); #330324=CYLINDRICAL_SURFACE('',#376905,27.0000000149012); #330325=CYLINDRICAL_SURFACE('',#376913,35.2842712474619); #330326=CYLINDRICAL_SURFACE('',#376918,29.0000000149012); #330327=CYLINDRICAL_SURFACE('',#376921,29.0000000149012); #330328=CYLINDRICAL_SURFACE('',#376929,23.0000000149012); #330329=CYLINDRICAL_SURFACE('',#376935,23.0000000149012); #330330=CYLINDRICAL_SURFACE('',#376944,3.75); #330331=CYLINDRICAL_SURFACE('',#376948,32.8000000149012); #330332=CYLINDRICAL_SURFACE('',#376952,32.8000000149012); #330333=CYLINDRICAL_SURFACE('',#376987,33.7842712474619); #330334=CYLINDRICAL_SURFACE('',#376991,35.2842712474619); #330335=CYLINDRICAL_SURFACE('',#376994,25.8000000000002); #330336=CYLINDRICAL_SURFACE('',#376995,3.125); #330337=CYLINDRICAL_SURFACE('',#377000,3.125); #330338=CYLINDRICAL_SURFACE('',#377005,3.125); #330339=CYLINDRICAL_SURFACE('',#377010,3.125); #330340=ADVANCED_FACE('',(#21875),#326257,.T.); #330341=ADVANCED_FACE('',(#21876),#326258,.T.); #330342=ADVANCED_FACE('',(#21877),#8081,.F.); #330343=ADVANCED_FACE('',(#21878),#8082,.T.); #330344=ADVANCED_FACE('',(#21879),#8083,.F.); #330345=ADVANCED_FACE('',(#21880),#8084,.F.); #330346=ADVANCED_FACE('',(#21881),#8085,.T.); #330347=ADVANCED_FACE('',(#21882,#3548,#3549,#3550,#3551),#8086,.T.); #330348=ADVANCED_FACE('',(#21883),#8087,.F.); #330349=ADVANCED_FACE('',(#21884,#3552,#3553,#3554,#3555,#3556),#8088,.F.); #330350=ADVANCED_FACE('',(#21885),#8089,.T.); #330351=ADVANCED_FACE('',(#21886),#326259,.T.); #330352=ADVANCED_FACE('',(#21887),#8090,.T.); #330353=ADVANCED_FACE('',(#21888),#326260,.T.); #330354=ADVANCED_FACE('',(#21889),#8091,.F.); #330355=ADVANCED_FACE('',(#21890),#326261,.T.); #330356=ADVANCED_FACE('',(#21891),#8092,.F.); #330357=ADVANCED_FACE('',(#21892),#326262,.T.); #330358=ADVANCED_FACE('',(#21893),#8093,.T.); #330359=ADVANCED_FACE('',(#21894),#326263,.T.); #330360=ADVANCED_FACE('',(#21895),#8094,.F.); #330361=ADVANCED_FACE('',(#21896),#8095,.F.); #330362=ADVANCED_FACE('',(#21897),#326264,.F.); #330363=ADVANCED_FACE('',(#21898),#8096,.T.); #330364=ADVANCED_FACE('',(#21899),#8097,.T.); #330365=ADVANCED_FACE('',(#21900),#326265,.T.); #330366=ADVANCED_FACE('',(#21901),#8098,.F.); #330367=ADVANCED_FACE('',(#21902),#8099,.F.); #330368=ADVANCED_FACE('',(#21903),#326266,.T.); #330369=ADVANCED_FACE('',(#21904),#8100,.T.); #330370=ADVANCED_FACE('',(#21905),#326267,.T.); #330371=ADVANCED_FACE('',(#21906),#8101,.F.); #330372=ADVANCED_FACE('',(#21907),#326268,.T.); #330373=ADVANCED_FACE('',(#21908),#8102,.T.); #330374=ADVANCED_FACE('',(#21909),#326269,.T.); #330375=ADVANCED_FACE('',(#21910,#3557,#3558,#3559,#3560),#8103,.F.); #330376=ADVANCED_FACE('',(#21911),#8104,.T.); #330377=ADVANCED_FACE('',(#21912,#3561,#3562,#3563,#3564,#3565),#8105,.T.); #330378=ADVANCED_FACE('',(#21913),#8106,.F.); #330379=ADVANCED_FACE('',(#21914),#326270,.T.); #330380=ADVANCED_FACE('',(#21915),#326271,.F.); #330381=ADVANCED_FACE('',(#21916),#326272,.T.); #330382=ADVANCED_FACE('',(#21917),#8107,.T.); #330383=ADVANCED_FACE('',(#21918),#326273,.F.); #330384=ADVANCED_FACE('',(#21919),#8108,.F.); #330385=ADVANCED_FACE('',(#21920),#8109,.F.); #330386=ADVANCED_FACE('',(#21921),#326274,.T.); #330387=ADVANCED_FACE('',(#21922),#8110,.T.); #330388=ADVANCED_FACE('',(#21923),#326275,.T.); #330389=ADVANCED_FACE('',(#21924),#8111,.F.); #330390=ADVANCED_FACE('',(#21925),#326276,.T.); #330391=ADVANCED_FACE('',(#21926),#8112,.F.); #330392=ADVANCED_FACE('',(#21927),#326277,.T.); #330393=ADVANCED_FACE('',(#21928),#8113,.T.); #330394=ADVANCED_FACE('',(#21929),#326278,.T.); #330395=ADVANCED_FACE('',(#21930),#3422,.F.); #330396=ADVANCED_FACE('',(#21931),#326279,.T.); #330397=ADVANCED_FACE('',(#21932),#8114,.T.); #330398=ADVANCED_FACE('',(#21933),#3423,.F.); #330399=ADVANCED_FACE('',(#21934),#326280,.T.); #330400=ADVANCED_FACE('',(#21935),#8115,.T.); #330401=ADVANCED_FACE('',(#21936),#3424,.F.); #330402=ADVANCED_FACE('',(#21937),#326281,.T.); #330403=ADVANCED_FACE('',(#21938),#8116,.F.); #330404=ADVANCED_FACE('',(#21939),#3425,.F.); #330405=ADVANCED_FACE('',(#21940),#326282,.T.); #330406=ADVANCED_FACE('',(#21941),#8117,.F.); #330407=ADVANCED_FACE('',(#21942),#326283,.F.); #330408=ADVANCED_FACE('',(#21943),#3207,.T.); #330409=ADVANCED_FACE('',(#21944),#3208,.T.); #330410=ADVANCED_FACE('',(#21945),#3426,.T.); #330411=ADVANCED_FACE('',(#21946),#326284,.T.); #330412=ADVANCED_FACE('',(#21947),#8118,.T.); #330413=ADVANCED_FACE('',(#21948),#8119,.T.); #330414=ADVANCED_FACE('',(#21949),#3209,.F.); #330415=ADVANCED_FACE('',(#21950),#3210,.F.); #330416=ADVANCED_FACE('',(#21951),#3211,.F.); #330417=ADVANCED_FACE('',(#21952),#3212,.F.); #330418=ADVANCED_FACE('',(#21953),#3213,.F.); #330419=ADVANCED_FACE('',(#21954),#3214,.F.); #330420=ADVANCED_FACE('',(#21955),#3215,.F.); #330421=ADVANCED_FACE('',(#21956),#3216,.F.); #330422=ADVANCED_FACE('',(#21957),#3427,.T.); #330423=ADVANCED_FACE('',(#21958),#3217,.F.); #330424=ADVANCED_FACE('',(#21959),#3218,.F.); #330425=ADVANCED_FACE('',(#21960),#3219,.F.); #330426=ADVANCED_FACE('',(#21961),#3220,.F.); #330427=ADVANCED_FACE('',(#21962),#3221,.F.); #330428=ADVANCED_FACE('',(#21963),#3222,.F.); #330429=ADVANCED_FACE('',(#21964),#3223,.F.); #330430=ADVANCED_FACE('',(#21965),#3224,.F.); #330431=ADVANCED_FACE('',(#21966),#3225,.T.); #330432=ADVANCED_FACE('',(#21967),#3226,.F.); #330433=ADVANCED_FACE('',(#21968),#3227,.T.); #330434=ADVANCED_FACE('',(#21969),#3428,.F.); #330435=ADVANCED_FACE('',(#21970),#8120,.F.); #330436=ADVANCED_FACE('',(#21971),#3429,.F.); #330437=ADVANCED_FACE('',(#21972),#3430,.T.); #330438=ADVANCED_FACE('',(#21973),#3228,.F.); #330439=ADVANCED_FACE('',(#21974),#3229,.F.); #330440=ADVANCED_FACE('',(#21975),#8121,.T.); #330441=ADVANCED_FACE('',(#21976),#3230,.F.); #330442=ADVANCED_FACE('',(#21977),#3231,.F.); #330443=ADVANCED_FACE('',(#21978),#3232,.F.); #330444=ADVANCED_FACE('',(#21979),#3233,.F.); #330445=ADVANCED_FACE('',(#21980),#3234,.F.); #330446=ADVANCED_FACE('',(#21981),#3235,.F.); #330447=ADVANCED_FACE('',(#21982),#3236,.F.); #330448=ADVANCED_FACE('',(#21983),#3237,.F.); #330449=ADVANCED_FACE('',(#21984),#3238,.T.); #330450=ADVANCED_FACE('',(#21985),#3239,.T.); #330451=ADVANCED_FACE('',(#21986),#3240,.F.); #330452=ADVANCED_FACE('',(#21987),#3241,.F.); #330453=ADVANCED_FACE('',(#21988),#3242,.F.); #330454=ADVANCED_FACE('',(#21989),#3243,.F.); #330455=ADVANCED_FACE('',(#21990),#3244,.F.); #330456=ADVANCED_FACE('',(#21991),#3245,.F.); #330457=ADVANCED_FACE('',(#21992),#3246,.F.); #330458=ADVANCED_FACE('',(#21993),#3247,.F.); #330459=ADVANCED_FACE('',(#21994),#3431,.T.); #330460=ADVANCED_FACE('',(#21995),#3248,.F.); #330461=ADVANCED_FACE('',(#21996),#3249,.F.); #330462=ADVANCED_FACE('',(#21997),#3250,.F.); #330463=ADVANCED_FACE('',(#21998),#3251,.F.); #330464=ADVANCED_FACE('',(#21999),#3252,.F.); #330465=ADVANCED_FACE('',(#22000),#3253,.F.); #330466=ADVANCED_FACE('',(#22001),#3254,.F.); #330467=ADVANCED_FACE('',(#22002),#3255,.F.); #330468=ADVANCED_FACE('',(#22003),#8122,.T.); #330469=ADVANCED_FACE('',(#22004),#3256,.F.); #330470=ADVANCED_FACE('',(#22005),#3257,.F.); #330471=ADVANCED_FACE('',(#22006),#3258,.F.); #330472=ADVANCED_FACE('',(#22007),#3259,.F.); #330473=ADVANCED_FACE('',(#22008),#3260,.F.); #330474=ADVANCED_FACE('',(#22009),#3261,.F.); #330475=ADVANCED_FACE('',(#22010),#3262,.F.); #330476=ADVANCED_FACE('',(#22011),#3263,.T.); #330477=ADVANCED_FACE('',(#22012),#8123,.T.); #330478=ADVANCED_FACE('',(#22013),#8124,.F.); #330479=ADVANCED_FACE('',(#22014),#8125,.F.); #330480=ADVANCED_FACE('',(#22015),#3432,.F.); #330481=ADVANCED_FACE('',(#22016),#8126,.T.); #330482=ADVANCED_FACE('',(#22017),#3433,.F.); #330483=ADVANCED_FACE('',(#22018),#3434,.T.); #330484=ADVANCED_FACE('',(#22019),#3264,.F.); #330485=ADVANCED_FACE('',(#22020),#3265,.F.); #330486=ADVANCED_FACE('',(#22021),#3266,.F.); #330487=ADVANCED_FACE('',(#22022),#3267,.F.); #330488=ADVANCED_FACE('',(#22023),#3268,.F.); #330489=ADVANCED_FACE('',(#22024),#3269,.F.); #330490=ADVANCED_FACE('',(#22025),#8127,.T.); #330491=ADVANCED_FACE('',(#22026),#3270,.F.); #330492=ADVANCED_FACE('',(#22027),#3271,.F.); #330493=ADVANCED_FACE('',(#22028),#3272,.F.); #330494=ADVANCED_FACE('',(#22029),#3273,.F.); #330495=ADVANCED_FACE('',(#22030),#3274,.F.); #330496=ADVANCED_FACE('',(#22031),#3275,.F.); #330497=ADVANCED_FACE('',(#22032),#3276,.F.); #330498=ADVANCED_FACE('',(#22033),#3277,.F.); #330499=ADVANCED_FACE('',(#22034),#3278,.T.); #330500=ADVANCED_FACE('',(#22035),#3279,.T.); #330501=ADVANCED_FACE('',(#22036),#3280,.F.); #330502=ADVANCED_FACE('',(#22037),#8128,.T.); #330503=ADVANCED_FACE('',(#22038),#8129,.T.); #330504=ADVANCED_FACE('',(#22039),#8130,.T.); #330505=ADVANCED_FACE('',(#22040),#8131,.T.); #330506=ADVANCED_FACE('',(#22041),#326285,.T.); #330507=ADVANCED_FACE('',(#22042),#326286,.T.); #330508=ADVANCED_FACE('',(#22043),#326287,.T.); #330509=ADVANCED_FACE('',(#22044),#8132,.F.); #330510=ADVANCED_FACE('',(#22045),#3281,.T.); #330511=ADVANCED_FACE('',(#22046),#3282,.F.); #330512=ADVANCED_FACE('',(#22047),#326288,.T.); #330513=ADVANCED_FACE('',(#22048),#326289,.T.); #330514=ADVANCED_FACE('',(#22049),#8133,.T.); #330515=ADVANCED_FACE('',(#22050),#3283,.F.); #330516=ADVANCED_FACE('',(#22051),#3284,.F.); #330517=ADVANCED_FACE('',(#22052),#3285,.F.); #330518=ADVANCED_FACE('',(#22053),#3286,.F.); #330519=ADVANCED_FACE('',(#22054),#3287,.F.); #330520=ADVANCED_FACE('',(#22055),#3288,.F.); #330521=ADVANCED_FACE('',(#22056),#3289,.F.); #330522=ADVANCED_FACE('',(#22057),#3290,.F.); #330523=ADVANCED_FACE('',(#22058),#3291,.F.); #330524=ADVANCED_FACE('',(#22059),#3292,.F.); #330525=ADVANCED_FACE('',(#22060),#3293,.F.); #330526=ADVANCED_FACE('',(#22061),#3294,.F.); #330527=ADVANCED_FACE('',(#22062),#3295,.F.); #330528=ADVANCED_FACE('',(#22063),#3296,.F.); #330529=ADVANCED_FACE('',(#22064),#3297,.F.); #330530=ADVANCED_FACE('',(#22065),#3298,.F.); #330531=ADVANCED_FACE('',(#22066),#3299,.F.); #330532=ADVANCED_FACE('',(#22067),#8134,.T.); #330533=ADVANCED_FACE('',(#22068),#326290,.F.); #330534=ADVANCED_FACE('',(#22069),#326291,.F.); #330535=ADVANCED_FACE('',(#22070),#326292,.F.); #330536=ADVANCED_FACE('',(#22071),#326293,.F.); #330537=ADVANCED_FACE('',(#22072),#326294,.T.); #330538=ADVANCED_FACE('',(#22073),#8135,.F.); #330539=ADVANCED_FACE('',(#22074),#326295,.T.); #330540=ADVANCED_FACE('',(#22075),#8136,.T.); #330541=ADVANCED_FACE('',(#22076),#326296,.T.); #330542=ADVANCED_FACE('',(#22077),#8137,.F.); #330543=ADVANCED_FACE('',(#22078),#8138,.T.); #330544=ADVANCED_FACE('',(#22079),#326297,.T.); #330545=ADVANCED_FACE('',(#22080),#8139,.F.); #330546=ADVANCED_FACE('',(#22081),#326298,.T.); #330547=ADVANCED_FACE('',(#22082),#3435,.T.); #330548=ADVANCED_FACE('',(#22083),#326299,.F.); #330549=ADVANCED_FACE('',(#22084),#326300,.T.); #330550=ADVANCED_FACE('',(#22085),#8140,.F.); #330551=ADVANCED_FACE('',(#22086),#326301,.T.); #330552=ADVANCED_FACE('',(#22087),#8141,.F.); #330553=ADVANCED_FACE('',(#22088),#326302,.T.); #330554=ADVANCED_FACE('',(#22089),#8142,.T.); #330555=ADVANCED_FACE('',(#22090),#326303,.T.); #330556=ADVANCED_FACE('',(#22091),#8143,.F.); #330557=ADVANCED_FACE('',(#22092),#326304,.T.); #330558=ADVANCED_FACE('',(#22093),#3436,.T.); #330559=ADVANCED_FACE('',(#22094),#8144,.T.); #330560=ADVANCED_FACE('',(#22095),#8145,.F.); #330561=ADVANCED_FACE('',(#22096),#8146,.F.); #330562=ADVANCED_FACE('',(#22097),#3437,.T.); #330563=ADVANCED_FACE('',(#22098),#8147,.T.); #330564=ADVANCED_FACE('',(#22099),#326305,.T.); #330565=ADVANCED_FACE('',(#22100),#8148,.F.); #330566=ADVANCED_FACE('',(#22101),#326306,.T.); #330567=ADVANCED_FACE('',(#22102),#8149,.T.); #330568=ADVANCED_FACE('',(#22103),#326307,.T.); #330569=ADVANCED_FACE('',(#22104),#8150,.T.); #330570=ADVANCED_FACE('',(#22105),#326308,.T.); #330571=ADVANCED_FACE('',(#22106),#8151,.F.); #330572=ADVANCED_FACE('',(#22107),#326309,.T.); #330573=ADVANCED_FACE('',(#22108),#326310,.T.); #330574=ADVANCED_FACE('',(#22109),#8152,.F.); #330575=ADVANCED_FACE('',(#22110),#326311,.T.); #330576=ADVANCED_FACE('',(#22111),#8153,.T.); #330577=ADVANCED_FACE('',(#22112),#326312,.T.); #330578=ADVANCED_FACE('',(#22113),#8154,.F.); #330579=ADVANCED_FACE('',(#22114),#8155,.T.); #330580=ADVANCED_FACE('',(#22115),#326313,.T.); #330581=ADVANCED_FACE('',(#22116),#8156,.F.); #330582=ADVANCED_FACE('',(#22117),#326314,.T.); #330583=ADVANCED_FACE('',(#22118),#3438,.T.); #330584=ADVANCED_FACE('',(#22119),#326315,.F.); #330585=ADVANCED_FACE('',(#22120),#326316,.T.); #330586=ADVANCED_FACE('',(#22121),#326317,.T.); #330587=ADVANCED_FACE('',(#22122),#8157,.T.); #330588=ADVANCED_FACE('',(#22123),#326318,.T.); #330589=ADVANCED_FACE('',(#22124),#8158,.T.); #330590=ADVANCED_FACE('',(#22125),#326319,.T.); #330591=ADVANCED_FACE('',(#22126),#8159,.T.); #330592=ADVANCED_FACE('',(#22127),#326320,.T.); #330593=ADVANCED_FACE('',(#22128),#326321,.T.); #330594=ADVANCED_FACE('',(#22129),#8160,.F.); #330595=ADVANCED_FACE('',(#22130),#326322,.T.); #330596=ADVANCED_FACE('',(#22131),#8161,.F.); #330597=ADVANCED_FACE('',(#22132),#326323,.T.); #330598=ADVANCED_FACE('',(#22133),#8162,.T.); #330599=ADVANCED_FACE('',(#22134),#8163,.T.); #330600=ADVANCED_FACE('',(#22135),#326324,.T.); #330601=ADVANCED_FACE('',(#22136),#8164,.F.); #330602=ADVANCED_FACE('',(#22137),#326325,.T.); #330603=ADVANCED_FACE('',(#22138),#3439,.T.); #330604=ADVANCED_FACE('',(#22139),#326326,.T.); #330605=ADVANCED_FACE('',(#22140),#8165,.T.); #330606=ADVANCED_FACE('',(#22141),#326327,.T.); #330607=ADVANCED_FACE('',(#22142),#8166,.F.); #330608=ADVANCED_FACE('',(#22143),#326328,.T.); #330609=ADVANCED_FACE('',(#22144),#8167,.F.); #330610=ADVANCED_FACE('',(#22145),#8168,.T.); #330611=ADVANCED_FACE('',(#22146),#326329,.T.); #330612=ADVANCED_FACE('',(#22147),#8169,.F.); #330613=ADVANCED_FACE('',(#22148),#326330,.T.); #330614=ADVANCED_FACE('',(#22149),#3440,.T.); #330615=ADVANCED_FACE('',(#22150),#326331,.F.); #330616=ADVANCED_FACE('',(#22151),#326332,.T.); #330617=ADVANCED_FACE('',(#22152),#8170,.T.); #330618=ADVANCED_FACE('',(#22153),#326333,.T.); #330619=ADVANCED_FACE('',(#22154),#8171,.F.); #330620=ADVANCED_FACE('',(#22155),#326334,.T.); #330621=ADVANCED_FACE('',(#22156),#8172,.T.); #330622=ADVANCED_FACE('',(#22157),#326335,.T.); #330623=ADVANCED_FACE('',(#22158),#8173,.F.); #330624=ADVANCED_FACE('',(#22159),#326336,.T.); #330625=ADVANCED_FACE('',(#22160),#3441,.T.); #330626=ADVANCED_FACE('',(#22161),#8174,.F.); #330627=ADVANCED_FACE('',(#22162),#8175,.T.); #330628=ADVANCED_FACE('',(#22163),#8176,.T.); #330629=ADVANCED_FACE('',(#22164),#3442,.T.); #330630=ADVANCED_FACE('',(#22165),#8177,.T.); #330631=ADVANCED_FACE('',(#22166),#326337,.T.); #330632=ADVANCED_FACE('',(#22167),#8178,.F.); #330633=ADVANCED_FACE('',(#22168),#326338,.T.); #330634=ADVANCED_FACE('',(#22169),#8179,.T.); #330635=ADVANCED_FACE('',(#22170),#326339,.T.); #330636=ADVANCED_FACE('',(#22171),#8180,.T.); #330637=ADVANCED_FACE('',(#22172),#326340,.T.); #330638=ADVANCED_FACE('',(#22173),#8181,.F.); #330639=ADVANCED_FACE('',(#22174),#326341,.T.); #330640=ADVANCED_FACE('',(#22175),#326342,.T.); #330641=ADVANCED_FACE('',(#22176),#8182,.T.); #330642=ADVANCED_FACE('',(#22177),#326343,.T.); #330643=ADVANCED_FACE('',(#22178),#8183,.T.); #330644=ADVANCED_FACE('',(#22179),#326344,.T.); #330645=ADVANCED_FACE('',(#22180),#8184,.F.); #330646=ADVANCED_FACE('',(#22181),#8185,.T.); #330647=ADVANCED_FACE('',(#22182),#326345,.T.); #330648=ADVANCED_FACE('',(#22183),#8186,.F.); #330649=ADVANCED_FACE('',(#22184),#326346,.T.); #330650=ADVANCED_FACE('',(#22185),#3443,.T.); #330651=ADVANCED_FACE('',(#22186),#326347,.F.); #330652=ADVANCED_FACE('',(#22187),#326348,.T.); #330653=ADVANCED_FACE('',(#22188),#8187,.F.); #330654=ADVANCED_FACE('',(#22189),#326349,.T.); #330655=ADVANCED_FACE('',(#22190),#8188,.F.); #330656=ADVANCED_FACE('',(#22191),#326350,.T.); #330657=ADVANCED_FACE('',(#22192),#8189,.T.); #330658=ADVANCED_FACE('',(#22193),#326351,.T.); #330659=ADVANCED_FACE('',(#22194),#8190,.F.); #330660=ADVANCED_FACE('',(#22195),#326352,.T.); #330661=ADVANCED_FACE('',(#22196),#3444,.T.); #330662=ADVANCED_FACE('',(#22197),#8191,.T.); #330663=ADVANCED_FACE('',(#22198),#8192,.T.); #330664=ADVANCED_FACE('',(#22199),#326353,.T.); #330665=ADVANCED_FACE('',(#22200),#8193,.T.); #330666=ADVANCED_FACE('',(#22201),#326354,.T.); #330667=ADVANCED_FACE('',(#22202),#8194,.T.); #330668=ADVANCED_FACE('',(#22203),#326355,.T.); #330669=ADVANCED_FACE('',(#22204),#8195,.T.); #330670=ADVANCED_FACE('',(#22205),#326356,.T.); #330671=ADVANCED_FACE('',(#22206),#8196,.T.); #330672=ADVANCED_FACE('',(#22207),#326357,.F.); #330673=ADVANCED_FACE('',(#22208),#326358,.T.); #330674=ADVANCED_FACE('',(#22209),#326359,.T.); #330675=ADVANCED_FACE('',(#22210),#326360,.F.); #330676=ADVANCED_FACE('',(#22211),#326361,.F.); #330677=ADVANCED_FACE('',(#22212),#326362,.F.); #330678=ADVANCED_FACE('',(#22213),#326363,.F.); #330679=ADVANCED_FACE('',(#22214),#3445,.T.); #330680=ADVANCED_FACE('',(#22215),#326364,.T.); #330681=ADVANCED_FACE('',(#22216),#8197,.F.); #330682=ADVANCED_FACE('',(#22217),#326365,.T.); #330683=ADVANCED_FACE('',(#22218),#8198,.T.); #330684=ADVANCED_FACE('',(#22219),#326366,.T.); #330685=ADVANCED_FACE('',(#22220),#8199,.F.); #330686=ADVANCED_FACE('',(#22221),#829,.F.); #330687=ADVANCED_FACE('',(#22222),#326367,.T.); #330688=ADVANCED_FACE('',(#22223),#830,.F.); #330689=ADVANCED_FACE('',(#22224),#3300,.T.); #330690=ADVANCED_FACE('',(#22225),#3446,.T.); #330691=ADVANCED_FACE('',(#22226),#3301,.T.); #330692=ADVANCED_FACE('',(#22227),#326368,.T.); #330693=ADVANCED_FACE('',(#22228),#831,.F.); #330694=ADVANCED_FACE('',(#22229),#3302,.F.); #330695=ADVANCED_FACE('',(#22230),#832,.F.); #330696=ADVANCED_FACE('',(#22231),#3303,.T.); #330697=ADVANCED_FACE('',(#22232),#326369,.T.); #330698=ADVANCED_FACE('',(#22233),#3304,.T.); #330699=ADVANCED_FACE('',(#22234),#326370,.T.); #330700=ADVANCED_FACE('',(#22235),#3305,.F.); #330701=ADVANCED_FACE('',(#22236),#326371,.T.); #330702=ADVANCED_FACE('',(#22237),#833,.F.); #330703=ADVANCED_FACE('',(#22238),#3306,.T.); #330704=ADVANCED_FACE('',(#22239),#3307,.F.); #330705=ADVANCED_FACE('',(#22240),#326372,.T.); #330706=ADVANCED_FACE('',(#22241),#834,.F.); #330707=ADVANCED_FACE('',(#22242),#3308,.T.); #330708=ADVANCED_FACE('',(#22243),#3309,.F.); #330709=ADVANCED_FACE('',(#22244),#326373,.T.); #330710=ADVANCED_FACE('',(#22245),#3310,.T.); #330711=ADVANCED_FACE('',(#22246),#326374,.T.); #330712=ADVANCED_FACE('',(#22247),#3311,.F.); #330713=ADVANCED_FACE('',(#22248),#326375,.T.); #330714=ADVANCED_FACE('',(#22249),#835,.F.); #330715=ADVANCED_FACE('',(#22250),#3312,.T.); #330716=ADVANCED_FACE('',(#22251),#326376,.T.); #330717=ADVANCED_FACE('',(#22252),#3313,.T.); #330718=ADVANCED_FACE('',(#22253),#326377,.T.); #330719=ADVANCED_FACE('',(#22254),#3314,.T.); #330720=ADVANCED_FACE('',(#22255),#836,.F.); #330721=ADVANCED_FACE('',(#22256),#8200,.T.); #330722=ADVANCED_FACE('',(#22257),#326378,.T.); #330723=ADVANCED_FACE('',(#22258),#837,.F.); #330724=ADVANCED_FACE('',(#22259),#3315,.T.); #330725=ADVANCED_FACE('',(#22260),#3316,.T.); #330726=ADVANCED_FACE('',(#22261),#326379,.T.); #330727=ADVANCED_FACE('',(#22262),#326380,.F.); #330728=ADVANCED_FACE('',(#22263),#326381,.F.); #330729=ADVANCED_FACE('',(#22264),#3317,.T.); #330730=ADVANCED_FACE('',(#22265),#326382,.F.); #330731=ADVANCED_FACE('',(#22266),#326383,.F.); #330732=ADVANCED_FACE('',(#22267),#326384,.T.); #330733=ADVANCED_FACE('',(#22268),#3318,.T.); #330734=ADVANCED_FACE('',(#22269),#838,.F.); #330735=ADVANCED_FACE('',(#22270),#326385,.T.); #330736=ADVANCED_FACE('',(#22271),#3319,.T.); #330737=ADVANCED_FACE('',(#22272),#326386,.F.); #330738=ADVANCED_FACE('',(#22273),#813,.T.); #330739=ADVANCED_FACE('',(#22274),#8201,.T.); #330740=ADVANCED_FACE('',(#22275),#8202,.T.); #330741=ADVANCED_FACE('',(#22276),#8203,.T.); #330742=ADVANCED_FACE('',(#22277),#8204,.T.); #330743=ADVANCED_FACE('',(#22278),#8205,.T.); #330744=ADVANCED_FACE('',(#22279),#8206,.F.); #330745=ADVANCED_FACE('',(#22280),#8207,.F.); #330746=ADVANCED_FACE('',(#22281),#8208,.F.); #330747=ADVANCED_FACE('',(#22282),#8209,.F.); #330748=ADVANCED_FACE('',(#22283),#8210,.F.); #330749=ADVANCED_FACE('',(#22284),#8211,.F.); #330750=ADVANCED_FACE('',(#22285),#8212,.F.); #330751=ADVANCED_FACE('',(#22286),#8213,.F.); #330752=ADVANCED_FACE('',(#22287),#8214,.T.); #330753=ADVANCED_FACE('',(#22288),#8215,.T.); #330754=ADVANCED_FACE('',(#22289),#8216,.F.); #330755=ADVANCED_FACE('',(#22290),#8217,.T.); #330756=ADVANCED_FACE('',(#22291),#326387,.T.); #330757=ADVANCED_FACE('',(#22292),#8218,.F.); #330758=ADVANCED_FACE('',(#22293),#8219,.T.); #330759=ADVANCED_FACE('',(#22294),#8220,.F.); #330760=ADVANCED_FACE('',(#22295),#8221,.T.); #330761=ADVANCED_FACE('',(#22296),#8222,.F.); #330762=ADVANCED_FACE('',(#22297),#8223,.T.); #330763=ADVANCED_FACE('',(#22298),#8224,.F.); #330764=ADVANCED_FACE('',(#22299),#8225,.T.); #330765=ADVANCED_FACE('',(#22300),#8226,.F.); #330766=ADVANCED_FACE('',(#22301),#8227,.T.); #330767=ADVANCED_FACE('',(#22302),#8228,.F.); #330768=ADVANCED_FACE('',(#22303),#8229,.T.); #330769=ADVANCED_FACE('',(#22304),#8230,.F.); #330770=ADVANCED_FACE('',(#22305),#8231,.T.); #330771=ADVANCED_FACE('',(#22306),#8232,.F.); #330772=ADVANCED_FACE('',(#22307),#8233,.F.); #330773=ADVANCED_FACE('',(#22308),#326388,.T.); #330774=ADVANCED_FACE('',(#22309),#326389,.T.); #330775=ADVANCED_FACE('',(#22310),#8234,.F.); #330776=ADVANCED_FACE('',(#22311),#8235,.F.); #330777=ADVANCED_FACE('',(#22312),#8236,.T.); #330778=ADVANCED_FACE('',(#22313),#8237,.T.); #330779=ADVANCED_FACE('',(#22314),#8238,.F.); #330780=ADVANCED_FACE('',(#22315),#8239,.F.); #330781=ADVANCED_FACE('',(#22316),#326390,.T.); #330782=ADVANCED_FACE('',(#22317),#8240,.F.); #330783=ADVANCED_FACE('',(#22318),#326391,.T.); #330784=ADVANCED_FACE('',(#22319),#8241,.T.); #330785=ADVANCED_FACE('',(#22320),#8242,.F.); #330786=ADVANCED_FACE('',(#22321),#326392,.T.); #330787=ADVANCED_FACE('',(#22322),#8243,.F.); #330788=ADVANCED_FACE('',(#22323),#8244,.F.); #330789=ADVANCED_FACE('',(#22324),#8245,.F.); #330790=ADVANCED_FACE('',(#22325),#8246,.T.); #330791=ADVANCED_FACE('',(#22326),#8247,.F.); #330792=ADVANCED_FACE('',(#22327),#326393,.T.); #330793=ADVANCED_FACE('',(#22328),#8248,.F.); #330794=ADVANCED_FACE('',(#22329),#326394,.T.); #330795=ADVANCED_FACE('',(#22330),#8249,.F.); #330796=ADVANCED_FACE('',(#22331),#8250,.T.); #330797=ADVANCED_FACE('',(#22332),#326395,.T.); #330798=ADVANCED_FACE('',(#22333),#8251,.T.); #330799=ADVANCED_FACE('',(#22334),#8252,.F.); #330800=ADVANCED_FACE('',(#22335),#326396,.T.); #330801=ADVANCED_FACE('',(#22336),#8253,.F.); #330802=ADVANCED_FACE('',(#22337),#326397,.T.); #330803=ADVANCED_FACE('',(#22338),#8254,.F.); #330804=ADVANCED_FACE('',(#22339),#8255,.F.); #330805=ADVANCED_FACE('',(#22340),#326398,.T.); #330806=ADVANCED_FACE('',(#22341),#8256,.T.); #330807=ADVANCED_FACE('',(#22342),#8257,.F.); #330808=ADVANCED_FACE('',(#22343),#326399,.T.); #330809=ADVANCED_FACE('',(#22344),#8258,.F.); #330810=ADVANCED_FACE('',(#22345),#326400,.T.); #330811=ADVANCED_FACE('',(#22346),#8259,.F.); #330812=ADVANCED_FACE('',(#22347),#8260,.F.); #330813=ADVANCED_FACE('',(#22348),#326401,.T.); #330814=ADVANCED_FACE('',(#22349),#8261,.F.); #330815=ADVANCED_FACE('',(#22350),#8262,.F.); #330816=ADVANCED_FACE('',(#22351),#8263,.F.); #330817=ADVANCED_FACE('',(#22352),#8264,.F.); #330818=ADVANCED_FACE('',(#22353),#8265,.F.); #330819=ADVANCED_FACE('',(#22354),#8266,.F.); #330820=ADVANCED_FACE('',(#22355),#8267,.F.); #330821=ADVANCED_FACE('',(#22356),#8268,.F.); #330822=ADVANCED_FACE('',(#22357),#8269,.F.); #330823=ADVANCED_FACE('',(#22358),#8270,.F.); #330824=ADVANCED_FACE('',(#22359),#8271,.F.); #330825=ADVANCED_FACE('',(#22360),#8272,.F.); #330826=ADVANCED_FACE('',(#22361),#8273,.F.); #330827=ADVANCED_FACE('',(#22362),#8274,.F.); #330828=ADVANCED_FACE('',(#22363),#8275,.F.); #330829=ADVANCED_FACE('',(#22364),#8276,.F.); #330830=ADVANCED_FACE('',(#22365),#8277,.F.); #330831=ADVANCED_FACE('',(#22366),#8278,.F.); #330832=ADVANCED_FACE('',(#22367),#8279,.F.); #330833=ADVANCED_FACE('',(#22368),#8280,.F.); #330834=ADVANCED_FACE('',(#22369),#8281,.F.); #330835=ADVANCED_FACE('',(#22370),#8282,.F.); #330836=ADVANCED_FACE('',(#22371),#8283,.T.); #330837=ADVANCED_FACE('',(#22372),#8284,.F.); #330838=ADVANCED_FACE('',(#22373),#326402,.F.); #330839=ADVANCED_FACE('',(#22374),#8285,.T.); #330840=ADVANCED_FACE('',(#22375),#8286,.T.); #330841=ADVANCED_FACE('',(#22376),#8287,.T.); #330842=ADVANCED_FACE('',(#22377),#326403,.F.); #330843=ADVANCED_FACE('',(#22378),#326404,.F.); #330844=ADVANCED_FACE('',(#22379),#8288,.T.); #330845=ADVANCED_FACE('',(#22380),#8289,.T.); #330846=ADVANCED_FACE('',(#22381),#8290,.T.); #330847=ADVANCED_FACE('',(#22382),#8291,.T.); #330848=ADVANCED_FACE('',(#22383),#8292,.T.); #330849=ADVANCED_FACE('',(#22384,#3566),#8293,.T.); #330850=ADVANCED_FACE('',(#22385),#8294,.F.); #330851=ADVANCED_FACE('',(#22386),#8295,.T.); #330852=ADVANCED_FACE('',(#22387),#8296,.T.); #330853=ADVANCED_FACE('',(#22388),#8297,.T.); #330854=ADVANCED_FACE('',(#22389),#8298,.T.); #330855=ADVANCED_FACE('',(#22390),#8299,.F.); #330856=ADVANCED_FACE('',(#22391),#8300,.T.); #330857=ADVANCED_FACE('',(#22392),#326405,.F.); #330858=ADVANCED_FACE('',(#22393),#8301,.T.); #330859=ADVANCED_FACE('',(#22394),#326406,.F.); #330860=ADVANCED_FACE('',(#22395),#8302,.T.); #330861=ADVANCED_FACE('',(#22396),#326407,.T.); #330862=ADVANCED_FACE('',(#22397),#8303,.T.); #330863=ADVANCED_FACE('',(#22398),#326408,.T.); #330864=ADVANCED_FACE('',(#22399),#326409,.F.); #330865=ADVANCED_FACE('',(#22400),#8304,.T.); #330866=ADVANCED_FACE('',(#22401),#8305,.T.); #330867=ADVANCED_FACE('',(#22402),#326410,.T.); #330868=ADVANCED_FACE('',(#22403),#8306,.T.); #330869=ADVANCED_FACE('',(#22404),#8307,.T.); #330870=ADVANCED_FACE('',(#22405),#8308,.F.); #330871=ADVANCED_FACE('',(#22406),#8309,.T.); #330872=ADVANCED_FACE('',(#22407),#8310,.T.); #330873=ADVANCED_FACE('',(#22408),#8311,.T.); #330874=ADVANCED_FACE('',(#22409),#8312,.T.); #330875=ADVANCED_FACE('',(#22410),#8313,.T.); #330876=ADVANCED_FACE('',(#22411),#8314,.T.); #330877=ADVANCED_FACE('',(#22412),#326411,.T.); #330878=ADVANCED_FACE('',(#22413),#8315,.T.); #330879=ADVANCED_FACE('',(#22414),#326412,.T.); #330880=ADVANCED_FACE('',(#22415),#8316,.T.); #330881=ADVANCED_FACE('',(#22416),#326413,.T.); #330882=ADVANCED_FACE('',(#22417),#8317,.T.); #330883=ADVANCED_FACE('',(#22418),#8318,.T.); #330884=ADVANCED_FACE('',(#22419),#8319,.T.); #330885=ADVANCED_FACE('',(#22420),#3447,.F.); #330886=ADVANCED_FACE('',(#22421),#326414,.F.); #330887=ADVANCED_FACE('',(#22422),#3448,.F.); #330888=ADVANCED_FACE('',(#22423),#8320,.T.); #330889=ADVANCED_FACE('',(#22424),#3449,.T.); #330890=ADVANCED_FACE('',(#22425),#8321,.T.); #330891=ADVANCED_FACE('',(#22426),#3450,.T.); #330892=ADVANCED_FACE('',(#22427),#8322,.T.); #330893=ADVANCED_FACE('',(#22428),#3451,.F.); #330894=ADVANCED_FACE('',(#22429),#8323,.F.); #330895=ADVANCED_FACE('',(#22430),#3452,.F.); #330896=ADVANCED_FACE('',(#22431),#8324,.T.); #330897=ADVANCED_FACE('',(#22432),#3453,.F.); #330898=ADVANCED_FACE('',(#22433),#8325,.T.); #330899=ADVANCED_FACE('',(#22434),#3454,.F.); #330900=ADVANCED_FACE('',(#22435),#8326,.T.); #330901=ADVANCED_FACE('',(#22436),#3455,.F.); #330902=ADVANCED_FACE('',(#22437),#3456,.F.); #330903=ADVANCED_FACE('',(#22438),#8327,.T.); #330904=ADVANCED_FACE('',(#22439),#3457,.F.); #330905=ADVANCED_FACE('',(#22440),#8328,.T.); #330906=ADVANCED_FACE('',(#22441),#3458,.F.); #330907=ADVANCED_FACE('',(#22442),#8329,.T.); #330908=ADVANCED_FACE('',(#22443),#3459,.F.); #330909=ADVANCED_FACE('',(#22444),#8330,.F.); #330910=ADVANCED_FACE('',(#22445),#3460,.F.); #330911=ADVANCED_FACE('',(#22446),#8331,.T.); #330912=ADVANCED_FACE('',(#22447),#3461,.T.); #330913=ADVANCED_FACE('',(#22448),#8332,.T.); #330914=ADVANCED_FACE('',(#22449),#3462,.T.); #330915=ADVANCED_FACE('',(#22450),#8333,.T.); #330916=ADVANCED_FACE('',(#22451),#3463,.F.); #330917=ADVANCED_FACE('',(#22452),#3464,.F.); #330918=ADVANCED_FACE('',(#22453),#8334,.T.); #330919=ADVANCED_FACE('',(#22454),#3465,.F.); #330920=ADVANCED_FACE('',(#22455),#8335,.T.); #330921=ADVANCED_FACE('',(#22456),#3466,.F.); #330922=ADVANCED_FACE('',(#22457),#8336,.T.); #330923=ADVANCED_FACE('',(#22458),#3467,.F.); #330924=ADVANCED_FACE('',(#22459),#8337,.T.); #330925=ADVANCED_FACE('',(#22460),#3468,.F.); #330926=ADVANCED_FACE('',(#22461),#8338,.T.); #330927=ADVANCED_FACE('',(#22462),#3469,.T.); #330928=ADVANCED_FACE('',(#22463),#8339,.T.); #330929=ADVANCED_FACE('',(#22464),#3470,.T.); #330930=ADVANCED_FACE('',(#22465),#8340,.T.); #330931=ADVANCED_FACE('',(#22466),#3471,.F.); #330932=ADVANCED_FACE('',(#22467),#3472,.F.); #330933=ADVANCED_FACE('',(#22468),#8341,.T.); #330934=ADVANCED_FACE('',(#22469),#3473,.T.); #330935=ADVANCED_FACE('',(#22470),#8342,.T.); #330936=ADVANCED_FACE('',(#22471),#3474,.T.); #330937=ADVANCED_FACE('',(#22472),#8343,.T.); #330938=ADVANCED_FACE('',(#22473),#3475,.F.); #330939=ADVANCED_FACE('',(#22474),#8344,.T.); #330940=ADVANCED_FACE('',(#22475),#3476,.F.); #330941=ADVANCED_FACE('',(#22476),#8345,.T.); #330942=ADVANCED_FACE('',(#22477),#3477,.F.); #330943=ADVANCED_FACE('',(#22478),#8346,.T.); #330944=ADVANCED_FACE('',(#22479),#3478,.F.); #330945=ADVANCED_FACE('',(#22480),#8347,.T.); #330946=ADVANCED_FACE('',(#22481),#3479,.F.); #330947=ADVANCED_FACE('',(#22482),#326415,.T.); #330948=ADVANCED_FACE('',(#22483),#8348,.T.); #330949=ADVANCED_FACE('',(#22484),#326416,.T.); #330950=ADVANCED_FACE('',(#22485),#8349,.T.); #330951=ADVANCED_FACE('',(#22486),#326417,.T.); #330952=ADVANCED_FACE('',(#22487),#8350,.T.); #330953=ADVANCED_FACE('',(#22488),#326418,.T.); #330954=ADVANCED_FACE('',(#22489),#8351,.T.); #330955=ADVANCED_FACE('',(#22490),#326419,.F.); #330956=ADVANCED_FACE('',(#22491),#8352,.T.); #330957=ADVANCED_FACE('',(#22492),#326420,.F.); #330958=ADVANCED_FACE('',(#22493),#8353,.T.); #330959=ADVANCED_FACE('',(#22494),#326421,.F.); #330960=ADVANCED_FACE('',(#22495),#326422,.F.); #330961=ADVANCED_FACE('',(#22496),#326423,.F.); #330962=ADVANCED_FACE('',(#22497),#8354,.T.); #330963=ADVANCED_FACE('',(#22498),#326424,.F.); #330964=ADVANCED_FACE('',(#22499),#326425,.F.); #330965=ADVANCED_FACE('',(#22500),#8355,.T.); #330966=ADVANCED_FACE('',(#22501),#326426,.F.); #330967=ADVANCED_FACE('',(#22502),#8356,.T.); #330968=ADVANCED_FACE('',(#22503),#326427,.F.); #330969=ADVANCED_FACE('',(#22504),#326428,.F.); #330970=ADVANCED_FACE('',(#22505),#326429,.F.); #330971=ADVANCED_FACE('',(#22506),#8357,.T.); #330972=ADVANCED_FACE('',(#22507),#326430,.F.); #330973=ADVANCED_FACE('',(#22508),#8358,.T.); #330974=ADVANCED_FACE('',(#22509),#8359,.T.); #330975=ADVANCED_FACE('',(#22510),#326431,.F.); #330976=ADVANCED_FACE('',(#22511),#3480,.F.); #330977=ADVANCED_FACE('',(#22512),#326432,.F.); #330978=ADVANCED_FACE('',(#22513),#3481,.F.); #330979=ADVANCED_FACE('',(#22514),#8360,.F.); #330980=ADVANCED_FACE('',(#22515),#8361,.T.); #330981=ADVANCED_FACE('',(#22516),#3482,.F.); #330982=ADVANCED_FACE('',(#22517),#8362,.F.); #330983=ADVANCED_FACE('',(#22518),#326433,.F.); #330984=ADVANCED_FACE('',(#22519),#8363,.F.); #330985=ADVANCED_FACE('',(#22520),#326434,.F.); #330986=ADVANCED_FACE('',(#22521),#8364,.F.); #330987=ADVANCED_FACE('',(#22522),#3483,.F.); #330988=ADVANCED_FACE('',(#22523),#8365,.F.); #330989=ADVANCED_FACE('',(#22524),#8366,.F.); #330990=ADVANCED_FACE('',(#22525),#8367,.F.); #330991=ADVANCED_FACE('',(#22526),#326435,.F.); #330992=ADVANCED_FACE('',(#22527),#8368,.T.); #330993=ADVANCED_FACE('',(#22528),#8369,.T.); #330994=ADVANCED_FACE('',(#22529),#326436,.F.); #330995=ADVANCED_FACE('',(#22530,#3567),#8370,.T.); #330996=ADVANCED_FACE('',(#22531),#326437,.F.); #330997=ADVANCED_FACE('',(#22532,#3568),#8371,.T.); #330998=ADVANCED_FACE('',(#22533),#326438,.F.); #330999=ADVANCED_FACE('',(#22534,#3569),#8372,.T.); #331000=ADVANCED_FACE('',(#22535),#326439,.F.); #331001=ADVANCED_FACE('',(#22536,#3570),#8373,.T.); #331002=ADVANCED_FACE('',(#22537),#326440,.F.); #331003=ADVANCED_FACE('',(#22538),#8374,.T.); #331004=ADVANCED_FACE('',(#22539),#326441,.F.); #331005=ADVANCED_FACE('',(#22540),#8375,.T.); #331006=ADVANCED_FACE('',(#22541),#326442,.F.); #331007=ADVANCED_FACE('',(#22542),#8376,.T.); #331008=ADVANCED_FACE('',(#22543),#326443,.F.); #331009=ADVANCED_FACE('',(#22544),#8377,.T.); #331010=ADVANCED_FACE('',(#22545,#3571),#8378,.T.); #331011=ADVANCED_FACE('',(#22546),#3484,.F.); #331012=ADVANCED_FACE('',(#22547),#8379,.T.); #331013=ADVANCED_FACE('',(#22548),#3320,.T.); #331014=ADVANCED_FACE('',(#22549),#8380,.T.); #331015=ADVANCED_FACE('',(#22550),#3321,.T.); #331016=ADVANCED_FACE('',(#22551),#326444,.F.); #331017=ADVANCED_FACE('',(#22552),#8381,.F.); #331018=ADVANCED_FACE('',(#22553),#8382,.T.); #331019=ADVANCED_FACE('',(#22554),#3322,.T.); #331020=ADVANCED_FACE('',(#22555),#8383,.T.); #331021=ADVANCED_FACE('',(#22556),#3323,.T.); #331022=ADVANCED_FACE('',(#22557),#326445,.F.); #331023=ADVANCED_FACE('',(#22558,#3572,#3573,#3574,#3575,#3576,#3577,#3578, #3579,#3580,#3581,#3582,#3583,#3584,#3585,#3586,#3587,#3588,#3589,#3590), #326446,.T.); #331024=ADVANCED_FACE('',(#22559),#8384,.F.); #331025=ADVANCED_FACE('',(#22560),#8385,.T.); #331026=ADVANCED_FACE('',(#22561),#3324,.T.); #331027=ADVANCED_FACE('',(#22562),#8386,.T.); #331028=ADVANCED_FACE('',(#22563),#3325,.T.); #331029=ADVANCED_FACE('',(#22564),#326447,.F.); #331030=ADVANCED_FACE('',(#22565),#8387,.F.); #331031=ADVANCED_FACE('',(#22566),#8388,.T.); #331032=ADVANCED_FACE('',(#22567),#3326,.T.); #331033=ADVANCED_FACE('',(#22568),#8389,.T.); #331034=ADVANCED_FACE('',(#22569),#3327,.T.); #331035=ADVANCED_FACE('',(#22570),#326448,.F.); #331036=ADVANCED_FACE('',(#22571),#8390,.F.); #331037=ADVANCED_FACE('',(#22572),#8391,.T.); #331038=ADVANCED_FACE('',(#22573),#3328,.T.); #331039=ADVANCED_FACE('',(#22574),#8392,.T.); #331040=ADVANCED_FACE('',(#22575),#3329,.T.); #331041=ADVANCED_FACE('',(#22576),#326449,.F.); #331042=ADVANCED_FACE('',(#22577),#8393,.F.); #331043=ADVANCED_FACE('',(#22578),#8394,.T.); #331044=ADVANCED_FACE('',(#22579),#3330,.T.); #331045=ADVANCED_FACE('',(#22580),#8395,.T.); #331046=ADVANCED_FACE('',(#22581),#3331,.T.); #331047=ADVANCED_FACE('',(#22582),#326450,.F.); #331048=ADVANCED_FACE('',(#22583),#8396,.F.); #331049=ADVANCED_FACE('',(#22584),#8397,.T.); #331050=ADVANCED_FACE('',(#22585),#3332,.T.); #331051=ADVANCED_FACE('',(#22586),#8398,.T.); #331052=ADVANCED_FACE('',(#22587),#3333,.T.); #331053=ADVANCED_FACE('',(#22588),#326451,.F.); #331054=ADVANCED_FACE('',(#22589),#8399,.F.); #331055=ADVANCED_FACE('',(#22590),#8400,.T.); #331056=ADVANCED_FACE('',(#22591),#3334,.T.); #331057=ADVANCED_FACE('',(#22592),#8401,.T.); #331058=ADVANCED_FACE('',(#22593),#3335,.T.); #331059=ADVANCED_FACE('',(#22594),#326452,.F.); #331060=ADVANCED_FACE('',(#22595),#8402,.F.); #331061=ADVANCED_FACE('',(#22596),#8403,.T.); #331062=ADVANCED_FACE('',(#22597),#3336,.T.); #331063=ADVANCED_FACE('',(#22598),#8404,.T.); #331064=ADVANCED_FACE('',(#22599),#3337,.T.); #331065=ADVANCED_FACE('',(#22600),#326453,.F.); #331066=ADVANCED_FACE('',(#22601),#8405,.F.); #331067=ADVANCED_FACE('',(#22602),#8406,.T.); #331068=ADVANCED_FACE('',(#22603),#3338,.T.); #331069=ADVANCED_FACE('',(#22604),#8407,.T.); #331070=ADVANCED_FACE('',(#22605),#3339,.T.); #331071=ADVANCED_FACE('',(#22606),#326454,.F.); #331072=ADVANCED_FACE('',(#22607),#8408,.F.); #331073=ADVANCED_FACE('',(#22608),#8409,.T.); #331074=ADVANCED_FACE('',(#22609),#3340,.T.); #331075=ADVANCED_FACE('',(#22610),#8410,.T.); #331076=ADVANCED_FACE('',(#22611),#3341,.T.); #331077=ADVANCED_FACE('',(#22612),#326455,.F.); #331078=ADVANCED_FACE('',(#22613),#8411,.F.); #331079=ADVANCED_FACE('',(#22614),#8412,.T.); #331080=ADVANCED_FACE('',(#22615),#3342,.T.); #331081=ADVANCED_FACE('',(#22616),#8413,.T.); #331082=ADVANCED_FACE('',(#22617),#3343,.T.); #331083=ADVANCED_FACE('',(#22618),#326456,.F.); #331084=ADVANCED_FACE('',(#22619),#8414,.F.); #331085=ADVANCED_FACE('',(#22620),#8415,.T.); #331086=ADVANCED_FACE('',(#22621),#3344,.T.); #331087=ADVANCED_FACE('',(#22622),#8416,.T.); #331088=ADVANCED_FACE('',(#22623),#3345,.T.); #331089=ADVANCED_FACE('',(#22624),#326457,.F.); #331090=ADVANCED_FACE('',(#22625),#8417,.F.); #331091=ADVANCED_FACE('',(#22626),#8418,.T.); #331092=ADVANCED_FACE('',(#22627),#3346,.T.); #331093=ADVANCED_FACE('',(#22628),#8419,.T.); #331094=ADVANCED_FACE('',(#22629),#3347,.T.); #331095=ADVANCED_FACE('',(#22630),#326458,.F.); #331096=ADVANCED_FACE('',(#22631),#8420,.F.); #331097=ADVANCED_FACE('',(#22632),#8421,.T.); #331098=ADVANCED_FACE('',(#22633),#3348,.T.); #331099=ADVANCED_FACE('',(#22634),#8422,.T.); #331100=ADVANCED_FACE('',(#22635),#3349,.T.); #331101=ADVANCED_FACE('',(#22636),#326459,.F.); #331102=ADVANCED_FACE('',(#22637),#8423,.F.); #331103=ADVANCED_FACE('',(#22638),#8424,.T.); #331104=ADVANCED_FACE('',(#22639),#3350,.T.); #331105=ADVANCED_FACE('',(#22640),#8425,.T.); #331106=ADVANCED_FACE('',(#22641),#3351,.T.); #331107=ADVANCED_FACE('',(#22642),#326460,.F.); #331108=ADVANCED_FACE('',(#22643),#8426,.F.); #331109=ADVANCED_FACE('',(#22644),#8427,.T.); #331110=ADVANCED_FACE('',(#22645),#3352,.T.); #331111=ADVANCED_FACE('',(#22646),#8428,.T.); #331112=ADVANCED_FACE('',(#22647),#3353,.T.); #331113=ADVANCED_FACE('',(#22648),#326461,.F.); #331114=ADVANCED_FACE('',(#22649),#8429,.F.); #331115=ADVANCED_FACE('',(#22650),#8430,.T.); #331116=ADVANCED_FACE('',(#22651),#3354,.T.); #331117=ADVANCED_FACE('',(#22652),#8431,.T.); #331118=ADVANCED_FACE('',(#22653),#3355,.T.); #331119=ADVANCED_FACE('',(#22654),#326462,.F.); #331120=ADVANCED_FACE('',(#22655),#8432,.F.); #331121=ADVANCED_FACE('',(#22656),#8433,.T.); #331122=ADVANCED_FACE('',(#22657),#3356,.T.); #331123=ADVANCED_FACE('',(#22658),#8434,.T.); #331124=ADVANCED_FACE('',(#22659),#3357,.T.); #331125=ADVANCED_FACE('',(#22660),#326463,.F.); #331126=ADVANCED_FACE('',(#22661),#8435,.F.); #331127=ADVANCED_FACE('',(#22662),#8436,.T.); #331128=ADVANCED_FACE('',(#22663),#3358,.T.); #331129=ADVANCED_FACE('',(#22664),#8437,.T.); #331130=ADVANCED_FACE('',(#22665),#3359,.T.); #331131=ADVANCED_FACE('',(#22666),#326464,.F.); #331132=ADVANCED_FACE('',(#22667),#8438,.F.); #331133=ADVANCED_FACE('',(#22668),#8439,.T.); #331134=ADVANCED_FACE('',(#22669),#3360,.T.); #331135=ADVANCED_FACE('',(#22670),#8440,.T.); #331136=ADVANCED_FACE('',(#22671),#3361,.T.); #331137=ADVANCED_FACE('',(#22672),#326465,.F.); #331138=ADVANCED_FACE('',(#22673),#8441,.F.); #331139=ADVANCED_FACE('',(#22674),#8442,.T.); #331140=ADVANCED_FACE('',(#22675),#3362,.T.); #331141=ADVANCED_FACE('',(#22676),#8443,.T.); #331142=ADVANCED_FACE('',(#22677),#3363,.T.); #331143=ADVANCED_FACE('',(#22678),#326466,.F.); #331144=ADVANCED_FACE('',(#22679),#8444,.F.); #331145=ADVANCED_FACE('',(#22680),#8445,.T.); #331146=ADVANCED_FACE('',(#22681),#3364,.T.); #331147=ADVANCED_FACE('',(#22682),#8446,.T.); #331148=ADVANCED_FACE('',(#22683),#3365,.T.); #331149=ADVANCED_FACE('',(#22684),#326467,.F.); #331150=ADVANCED_FACE('',(#22685),#8447,.F.); #331151=ADVANCED_FACE('',(#22686),#8448,.T.); #331152=ADVANCED_FACE('',(#22687),#3366,.T.); #331153=ADVANCED_FACE('',(#22688),#8449,.T.); #331154=ADVANCED_FACE('',(#22689),#3367,.T.); #331155=ADVANCED_FACE('',(#22690),#326468,.F.); #331156=ADVANCED_FACE('',(#22691),#8450,.F.); #331157=ADVANCED_FACE('',(#22692),#8451,.T.); #331158=ADVANCED_FACE('',(#22693),#3368,.T.); #331159=ADVANCED_FACE('',(#22694),#8452,.T.); #331160=ADVANCED_FACE('',(#22695),#3369,.T.); #331161=ADVANCED_FACE('',(#22696),#326469,.F.); #331162=ADVANCED_FACE('',(#22697),#8453,.F.); #331163=ADVANCED_FACE('',(#22698),#8454,.T.); #331164=ADVANCED_FACE('',(#22699),#3370,.T.); #331165=ADVANCED_FACE('',(#22700),#8455,.T.); #331166=ADVANCED_FACE('',(#22701),#3371,.T.); #331167=ADVANCED_FACE('',(#22702),#326470,.F.); #331168=ADVANCED_FACE('',(#22703),#8456,.F.); #331169=ADVANCED_FACE('',(#22704),#8457,.T.); #331170=ADVANCED_FACE('',(#22705),#3372,.T.); #331171=ADVANCED_FACE('',(#22706),#8458,.T.); #331172=ADVANCED_FACE('',(#22707),#3373,.T.); #331173=ADVANCED_FACE('',(#22708),#326471,.F.); #331174=ADVANCED_FACE('',(#22709),#8459,.F.); #331175=ADVANCED_FACE('',(#22710),#8460,.T.); #331176=ADVANCED_FACE('',(#22711),#3374,.T.); #331177=ADVANCED_FACE('',(#22712),#8461,.T.); #331178=ADVANCED_FACE('',(#22713),#3375,.T.); #331179=ADVANCED_FACE('',(#22714),#326472,.F.); #331180=ADVANCED_FACE('',(#22715),#8462,.F.); #331181=ADVANCED_FACE('',(#22716),#8463,.T.); #331182=ADVANCED_FACE('',(#22717),#3376,.T.); #331183=ADVANCED_FACE('',(#22718),#8464,.T.); #331184=ADVANCED_FACE('',(#22719),#3377,.T.); #331185=ADVANCED_FACE('',(#22720),#326473,.F.); #331186=ADVANCED_FACE('',(#22721),#8465,.F.); #331187=ADVANCED_FACE('',(#22722),#8466,.T.); #331188=ADVANCED_FACE('',(#22723),#3378,.T.); #331189=ADVANCED_FACE('',(#22724),#8467,.T.); #331190=ADVANCED_FACE('',(#22725),#3379,.T.); #331191=ADVANCED_FACE('',(#22726),#326474,.F.); #331192=ADVANCED_FACE('',(#22727),#8468,.F.); #331193=ADVANCED_FACE('',(#22728),#8469,.T.); #331194=ADVANCED_FACE('',(#22729),#3380,.T.); #331195=ADVANCED_FACE('',(#22730),#8470,.T.); #331196=ADVANCED_FACE('',(#22731),#3381,.T.); #331197=ADVANCED_FACE('',(#22732),#326475,.F.); #331198=ADVANCED_FACE('',(#22733),#8471,.F.); #331199=ADVANCED_FACE('',(#22734),#8472,.T.); #331200=ADVANCED_FACE('',(#22735),#3382,.T.); #331201=ADVANCED_FACE('',(#22736),#8473,.T.); #331202=ADVANCED_FACE('',(#22737),#3383,.T.); #331203=ADVANCED_FACE('',(#22738),#326476,.F.); #331204=ADVANCED_FACE('',(#22739),#8474,.F.); #331205=ADVANCED_FACE('',(#22740),#8475,.T.); #331206=ADVANCED_FACE('',(#22741),#8476,.T.); #331207=ADVANCED_FACE('',(#22742),#8477,.F.); #331208=ADVANCED_FACE('',(#22743),#8478,.F.); #331209=ADVANCED_FACE('',(#22744),#8479,.F.); #331210=ADVANCED_FACE('',(#22745),#8480,.T.); #331211=ADVANCED_FACE('',(#22746),#8481,.T.); #331212=ADVANCED_FACE('',(#22747),#326477,.F.); #331213=ADVANCED_FACE('',(#22748),#326478,.F.); #331214=ADVANCED_FACE('',(#22749),#8482,.T.); #331215=ADVANCED_FACE('',(#22750),#326479,.F.); #331216=ADVANCED_FACE('',(#22751),#8483,.T.); #331217=ADVANCED_FACE('',(#22752),#326480,.F.); #331218=ADVANCED_FACE('',(#22753),#8484,.T.); #331219=ADVANCED_FACE('',(#22754,#3591,#3592,#3593,#3594,#3595),#8485,.T.); #331220=ADVANCED_FACE('',(#22755),#3485,.F.); #331221=ADVANCED_FACE('',(#22756),#326481,.F.); #331222=ADVANCED_FACE('',(#22757,#3596,#3597,#3598,#3599,#3600),#8486,.T.); #331223=ADVANCED_FACE('',(#22758,#3601),#8487,.T.); #331224=ADVANCED_FACE('',(#22759),#326482,.F.); #331225=ADVANCED_FACE('',(#22760),#326483,.F.); #331226=ADVANCED_FACE('',(#22761),#326484,.F.); #331227=ADVANCED_FACE('',(#22762),#326485,.F.); #331228=ADVANCED_FACE('',(#22763),#326486,.F.); #331229=ADVANCED_FACE('',(#22764,#3602),#8488,.T.); #331230=ADVANCED_FACE('',(#22765),#326487,.F.); #331231=ADVANCED_FACE('',(#22766,#3603),#8489,.T.); #331232=ADVANCED_FACE('',(#22767),#326488,.F.); #331233=ADVANCED_FACE('',(#22768,#3604),#8490,.T.); #331234=ADVANCED_FACE('',(#22769),#326489,.F.); #331235=ADVANCED_FACE('',(#22770,#3605),#8491,.T.); #331236=ADVANCED_FACE('',(#22771),#326490,.F.); #331237=ADVANCED_FACE('',(#22772),#326491,.T.); #331238=ADVANCED_FACE('',(#22773,#3606,#3607,#3608,#3609,#3610),#8492,.F.); #331239=ADVANCED_FACE('',(#22774),#326492,.F.); #331240=ADVANCED_FACE('',(#22775),#3486,.T.); #331241=ADVANCED_FACE('',(#22776),#326493,.T.); #331242=ADVANCED_FACE('',(#22777,#3611),#8493,.F.); #331243=ADVANCED_FACE('',(#22778,#3612),#8494,.T.); #331244=ADVANCED_FACE('',(#22779),#8495,.T.); #331245=ADVANCED_FACE('',(#22780),#8496,.T.); #331246=ADVANCED_FACE('',(#22781),#8497,.F.); #331247=ADVANCED_FACE('',(#22782),#8498,.T.); #331248=ADVANCED_FACE('',(#22783),#8499,.T.); #331249=ADVANCED_FACE('',(#22784),#8500,.F.); #331250=ADVANCED_FACE('',(#22785),#8501,.T.); #331251=ADVANCED_FACE('',(#22786),#326494,.F.); #331252=ADVANCED_FACE('',(#22787),#8502,.T.); #331253=ADVANCED_FACE('',(#22788),#8503,.T.); #331254=ADVANCED_FACE('',(#22789),#8504,.F.); #331255=ADVANCED_FACE('',(#22790),#8505,.F.); #331256=ADVANCED_FACE('',(#22791),#8506,.F.); #331257=ADVANCED_FACE('',(#22792),#8507,.F.); #331258=ADVANCED_FACE('',(#22793),#8508,.T.); #331259=ADVANCED_FACE('',(#22794),#8509,.T.); #331260=ADVANCED_FACE('',(#22795),#8510,.T.); #331261=ADVANCED_FACE('',(#22796),#8511,.T.); #331262=ADVANCED_FACE('',(#22797,#3613),#8512,.T.); #331263=ADVANCED_FACE('',(#22798),#8513,.T.); #331264=ADVANCED_FACE('',(#22799),#8514,.T.); #331265=ADVANCED_FACE('',(#22800),#8515,.T.); #331266=ADVANCED_FACE('',(#22801),#8516,.T.); #331267=ADVANCED_FACE('',(#22802),#326495,.F.); #331268=ADVANCED_FACE('',(#22803),#8517,.T.); #331269=ADVANCED_FACE('',(#22804),#8518,.F.); #331270=ADVANCED_FACE('',(#22805),#326496,.T.); #331271=ADVANCED_FACE('',(#22806),#8519,.T.); #331272=ADVANCED_FACE('',(#22807),#8520,.T.); #331273=ADVANCED_FACE('',(#22808),#8521,.F.); #331274=ADVANCED_FACE('',(#22809),#326497,.T.); #331275=ADVANCED_FACE('',(#22810),#8522,.T.); #331276=ADVANCED_FACE('',(#22811),#8523,.F.); #331277=ADVANCED_FACE('',(#22812),#326498,.F.); #331278=ADVANCED_FACE('',(#22813),#8524,.T.); #331279=ADVANCED_FACE('',(#22814),#8525,.T.); #331280=ADVANCED_FACE('',(#22815),#326499,.F.); #331281=ADVANCED_FACE('',(#22816),#8526,.T.); #331282=ADVANCED_FACE('',(#22817),#326500,.F.); #331283=ADVANCED_FACE('',(#22818),#8527,.T.); #331284=ADVANCED_FACE('',(#22819),#326501,.T.); #331285=ADVANCED_FACE('',(#22820),#326502,.F.); #331286=ADVANCED_FACE('',(#22821),#8528,.T.); #331287=ADVANCED_FACE('',(#22822),#326503,.T.); #331288=ADVANCED_FACE('',(#22823),#326504,.F.); #331289=ADVANCED_FACE('',(#22824),#3487,.F.); #331290=ADVANCED_FACE('',(#22825),#326505,.T.); #331291=ADVANCED_FACE('',(#22826),#3488,.F.); #331292=ADVANCED_FACE('',(#22827),#326506,.T.); #331293=ADVANCED_FACE('',(#22828),#326507,.F.); #331294=ADVANCED_FACE('',(#22829),#326508,.T.); #331295=ADVANCED_FACE('',(#22830),#326509,.T.); #331296=ADVANCED_FACE('',(#22831),#326510,.F.); #331297=ADVANCED_FACE('',(#22832),#326511,.T.); #331298=ADVANCED_FACE('',(#22833),#326512,.F.); #331299=ADVANCED_FACE('',(#22834),#326513,.F.); #331300=ADVANCED_FACE('',(#22835),#326514,.F.); #331301=ADVANCED_FACE('',(#22836),#326515,.F.); #331302=ADVANCED_FACE('',(#22837),#326516,.F.); #331303=ADVANCED_FACE('',(#22838),#8529,.T.); #331304=ADVANCED_FACE('',(#22839),#8530,.T.); #331305=ADVANCED_FACE('',(#22840),#8531,.T.); #331306=ADVANCED_FACE('',(#22841),#8532,.T.); #331307=ADVANCED_FACE('',(#22842),#8533,.T.); #331308=ADVANCED_FACE('',(#22843),#8534,.T.); #331309=ADVANCED_FACE('',(#22844),#3489,.F.); #331310=ADVANCED_FACE('',(#22845),#3490,.F.); #331311=ADVANCED_FACE('',(#22846),#8535,.T.); #331312=ADVANCED_FACE('',(#22847),#3491,.F.); #331313=ADVANCED_FACE('',(#22848),#3492,.F.); #331314=ADVANCED_FACE('',(#22849),#8536,.T.); #331315=ADVANCED_FACE('',(#22850),#3493,.F.); #331316=ADVANCED_FACE('',(#22851),#8537,.T.); #331317=ADVANCED_FACE('',(#22852),#326517,.F.); #331318=ADVANCED_FACE('',(#22853),#8538,.T.); #331319=ADVANCED_FACE('',(#22854),#326518,.F.); #331320=ADVANCED_FACE('',(#22855),#8539,.T.); #331321=ADVANCED_FACE('',(#22856),#326519,.F.); #331322=ADVANCED_FACE('',(#22857),#8540,.T.); #331323=ADVANCED_FACE('',(#22858),#8541,.T.); #331324=ADVANCED_FACE('',(#22859),#8542,.T.); #331325=ADVANCED_FACE('',(#22860),#8543,.T.); #331326=ADVANCED_FACE('',(#22861),#8544,.T.); #331327=ADVANCED_FACE('',(#22862),#326520,.F.); #331328=ADVANCED_FACE('',(#22863),#326521,.F.); #331329=ADVANCED_FACE('',(#22864),#326522,.F.); #331330=ADVANCED_FACE('',(#22865),#326523,.F.); #331331=ADVANCED_FACE('',(#22866),#326524,.F.); #331332=ADVANCED_FACE('',(#22867),#326525,.F.); #331333=ADVANCED_FACE('',(#22868),#326526,.F.); #331334=ADVANCED_FACE('',(#22869),#326527,.F.); #331335=ADVANCED_FACE('',(#22870),#326528,.F.); #331336=ADVANCED_FACE('',(#22871),#326529,.F.); #331337=ADVANCED_FACE('',(#22872),#326530,.F.); #331338=ADVANCED_FACE('',(#22873),#326531,.F.); #331339=ADVANCED_FACE('',(#22874),#326532,.F.); #331340=ADVANCED_FACE('',(#22875),#326533,.F.); #331341=ADVANCED_FACE('',(#22876),#326534,.F.); #331342=ADVANCED_FACE('',(#22877),#326535,.F.); #331343=ADVANCED_FACE('',(#22878),#326536,.F.); #331344=ADVANCED_FACE('',(#22879),#326537,.F.); #331345=ADVANCED_FACE('',(#22880),#326538,.F.); #331346=ADVANCED_FACE('',(#22881),#326539,.F.); #331347=ADVANCED_FACE('',(#22882),#326540,.F.); #331348=ADVANCED_FACE('',(#22883),#326541,.F.); #331349=ADVANCED_FACE('',(#22884),#326542,.F.); #331350=ADVANCED_FACE('',(#22885),#326543,.F.); #331351=ADVANCED_FACE('',(#22886),#326544,.F.); #331352=ADVANCED_FACE('',(#22887),#326545,.F.); #331353=ADVANCED_FACE('',(#22888),#326546,.F.); #331354=ADVANCED_FACE('',(#22889),#326547,.F.); #331355=ADVANCED_FACE('',(#22890),#326548,.F.); #331356=ADVANCED_FACE('',(#22891),#326549,.F.); #331357=ADVANCED_FACE('',(#22892),#326550,.F.); #331358=ADVANCED_FACE('',(#22893),#326551,.F.); #331359=ADVANCED_FACE('',(#22894),#326552,.F.); #331360=ADVANCED_FACE('',(#22895),#326553,.F.); #331361=ADVANCED_FACE('',(#22896),#326554,.F.); #331362=ADVANCED_FACE('',(#22897),#326555,.F.); #331363=ADVANCED_FACE('',(#22898),#326556,.F.); #331364=ADVANCED_FACE('',(#22899),#326557,.F.); #331365=ADVANCED_FACE('',(#22900),#326558,.F.); #331366=ADVANCED_FACE('',(#22901),#326559,.F.); #331367=ADVANCED_FACE('',(#22902),#326560,.F.); #331368=ADVANCED_FACE('',(#22903),#326561,.F.); #331369=ADVANCED_FACE('',(#22904),#326562,.F.); #331370=ADVANCED_FACE('',(#22905),#326563,.F.); #331371=ADVANCED_FACE('',(#22906),#326564,.F.); #331372=ADVANCED_FACE('',(#22907),#326565,.F.); #331373=ADVANCED_FACE('',(#22908),#326566,.F.); #331374=ADVANCED_FACE('',(#22909),#326567,.F.); #331375=ADVANCED_FACE('',(#22910),#326568,.F.); #331376=ADVANCED_FACE('',(#22911),#326569,.F.); #331377=ADVANCED_FACE('',(#22912),#326570,.F.); #331378=ADVANCED_FACE('',(#22913),#326571,.F.); #331379=ADVANCED_FACE('',(#22914),#326572,.F.); #331380=ADVANCED_FACE('',(#22915),#326573,.F.); #331381=ADVANCED_FACE('',(#22916),#326574,.F.); #331382=ADVANCED_FACE('',(#22917),#326575,.F.); #331383=ADVANCED_FACE('',(#22918),#326576,.F.); #331384=ADVANCED_FACE('',(#22919),#326577,.F.); #331385=ADVANCED_FACE('',(#22920),#326578,.F.); #331386=ADVANCED_FACE('',(#22921),#326579,.F.); #331387=ADVANCED_FACE('',(#22922),#326580,.F.); #331388=ADVANCED_FACE('',(#22923),#326581,.F.); #331389=ADVANCED_FACE('',(#22924),#326582,.F.); #331390=ADVANCED_FACE('',(#22925),#326583,.F.); #331391=ADVANCED_FACE('',(#22926),#326584,.F.); #331392=ADVANCED_FACE('',(#22927),#326585,.F.); #331393=ADVANCED_FACE('',(#22928),#326586,.F.); #331394=ADVANCED_FACE('',(#22929),#326587,.F.); #331395=ADVANCED_FACE('',(#22930),#326588,.F.); #331396=ADVANCED_FACE('',(#22931),#326589,.F.); #331397=ADVANCED_FACE('',(#22932),#326590,.F.); #331398=ADVANCED_FACE('',(#22933),#326591,.F.); #331399=ADVANCED_FACE('',(#22934),#326592,.F.); #331400=ADVANCED_FACE('',(#22935),#326593,.F.); #331401=ADVANCED_FACE('',(#22936),#326594,.F.); #331402=ADVANCED_FACE('',(#22937),#326595,.F.); #331403=ADVANCED_FACE('',(#22938),#326596,.F.); #331404=ADVANCED_FACE('',(#22939),#326597,.F.); #331405=ADVANCED_FACE('',(#22940),#326598,.F.); #331406=ADVANCED_FACE('',(#22941),#326599,.F.); #331407=ADVANCED_FACE('',(#22942),#326600,.F.); #331408=ADVANCED_FACE('',(#22943),#326601,.F.); #331409=ADVANCED_FACE('',(#22944),#326602,.F.); #331410=ADVANCED_FACE('',(#22945),#326603,.F.); #331411=ADVANCED_FACE('',(#22946),#326604,.F.); #331412=ADVANCED_FACE('',(#22947),#326605,.F.); #331413=ADVANCED_FACE('',(#22948),#326606,.F.); #331414=ADVANCED_FACE('',(#22949),#326607,.F.); #331415=ADVANCED_FACE('',(#22950),#326608,.F.); #331416=ADVANCED_FACE('',(#22951),#326609,.F.); #331417=ADVANCED_FACE('',(#22952),#326610,.F.); #331418=ADVANCED_FACE('',(#22953),#326611,.F.); #331419=ADVANCED_FACE('',(#22954),#326612,.F.); #331420=ADVANCED_FACE('',(#22955),#326613,.F.); #331421=ADVANCED_FACE('',(#22956),#326614,.F.); #331422=ADVANCED_FACE('',(#22957),#326615,.F.); #331423=ADVANCED_FACE('',(#22958),#326616,.F.); #331424=ADVANCED_FACE('',(#22959),#326617,.F.); #331425=ADVANCED_FACE('',(#22960),#326618,.F.); #331426=ADVANCED_FACE('',(#22961),#326619,.F.); #331427=ADVANCED_FACE('',(#22962),#326620,.F.); #331428=ADVANCED_FACE('',(#22963),#326621,.F.); #331429=ADVANCED_FACE('',(#22964),#326622,.F.); #331430=ADVANCED_FACE('',(#22965),#326623,.F.); #331431=ADVANCED_FACE('',(#22966),#326624,.F.); #331432=ADVANCED_FACE('',(#22967),#326625,.F.); #331433=ADVANCED_FACE('',(#22968),#326626,.F.); #331434=ADVANCED_FACE('',(#22969),#326627,.F.); #331435=ADVANCED_FACE('',(#22970),#326628,.F.); #331436=ADVANCED_FACE('',(#22971),#326629,.F.); #331437=ADVANCED_FACE('',(#22972),#326630,.F.); #331438=ADVANCED_FACE('',(#22973),#326631,.F.); #331439=ADVANCED_FACE('',(#22974),#326632,.F.); #331440=ADVANCED_FACE('',(#22975),#326633,.F.); #331441=ADVANCED_FACE('',(#22976),#326634,.F.); #331442=ADVANCED_FACE('',(#22977),#326635,.F.); #331443=ADVANCED_FACE('',(#22978),#326636,.F.); #331444=ADVANCED_FACE('',(#22979),#326637,.F.); #331445=ADVANCED_FACE('',(#22980),#326638,.F.); #331446=ADVANCED_FACE('',(#22981),#326639,.F.); #331447=ADVANCED_FACE('',(#22982),#326640,.F.); #331448=ADVANCED_FACE('',(#22983),#326641,.F.); #331449=ADVANCED_FACE('',(#22984),#326642,.F.); #331450=ADVANCED_FACE('',(#22985),#326643,.F.); #331451=ADVANCED_FACE('',(#22986),#326644,.F.); #331452=ADVANCED_FACE('',(#22987),#326645,.F.); #331453=ADVANCED_FACE('',(#22988),#326646,.F.); #331454=ADVANCED_FACE('',(#22989),#326647,.F.); #331455=ADVANCED_FACE('',(#22990),#326648,.F.); #331456=ADVANCED_FACE('',(#22991),#326649,.F.); #331457=ADVANCED_FACE('',(#22992),#326650,.F.); #331458=ADVANCED_FACE('',(#22993),#326651,.F.); #331459=ADVANCED_FACE('',(#22994),#326652,.F.); #331460=ADVANCED_FACE('',(#22995),#326653,.F.); #331461=ADVANCED_FACE('',(#22996),#326654,.F.); #331462=ADVANCED_FACE('',(#22997),#326655,.F.); #331463=ADVANCED_FACE('',(#22998),#326656,.F.); #331464=ADVANCED_FACE('',(#22999),#326657,.F.); #331465=ADVANCED_FACE('',(#23000),#326658,.F.); #331466=ADVANCED_FACE('',(#23001),#326659,.F.); #331467=ADVANCED_FACE('',(#23002),#326660,.F.); #331468=ADVANCED_FACE('',(#23003),#326661,.F.); #331469=ADVANCED_FACE('',(#23004),#326662,.F.); #331470=ADVANCED_FACE('',(#23005),#326663,.F.); #331471=ADVANCED_FACE('',(#23006),#326664,.F.); #331472=ADVANCED_FACE('',(#23007),#326665,.F.); #331473=ADVANCED_FACE('',(#23008),#326666,.F.); #331474=ADVANCED_FACE('',(#23009),#326667,.F.); #331475=ADVANCED_FACE('',(#23010),#326668,.F.); #331476=ADVANCED_FACE('',(#23011),#326669,.F.); #331477=ADVANCED_FACE('',(#23012),#326670,.F.); #331478=ADVANCED_FACE('',(#23013),#326671,.F.); #331479=ADVANCED_FACE('',(#23014),#326672,.F.); #331480=ADVANCED_FACE('',(#23015),#326673,.F.); #331481=ADVANCED_FACE('',(#23016),#326674,.F.); #331482=ADVANCED_FACE('',(#23017),#326675,.F.); #331483=ADVANCED_FACE('',(#23018),#326676,.F.); #331484=ADVANCED_FACE('',(#23019),#326677,.F.); #331485=ADVANCED_FACE('',(#23020),#326678,.F.); #331486=ADVANCED_FACE('',(#23021),#326679,.F.); #331487=ADVANCED_FACE('',(#23022),#326680,.F.); #331488=ADVANCED_FACE('',(#23023),#326681,.F.); #331489=ADVANCED_FACE('',(#23024),#326682,.F.); #331490=ADVANCED_FACE('',(#23025),#8545,.F.); #331491=ADVANCED_FACE('',(#23026),#326683,.F.); #331492=ADVANCED_FACE('',(#23027),#8546,.F.); #331493=ADVANCED_FACE('',(#23028),#326684,.F.); #331494=ADVANCED_FACE('',(#23029),#326685,.F.); #331495=ADVANCED_FACE('',(#23030),#8547,.F.); #331496=ADVANCED_FACE('',(#23031),#326686,.F.); #331497=ADVANCED_FACE('',(#23032),#8548,.F.); #331498=ADVANCED_FACE('',(#23033),#326687,.F.); #331499=ADVANCED_FACE('',(#23034),#326688,.F.); #331500=ADVANCED_FACE('',(#23035),#326689,.F.); #331501=ADVANCED_FACE('',(#23036),#326690,.F.); #331502=ADVANCED_FACE('',(#23037),#8549,.F.); #331503=ADVANCED_FACE('',(#23038),#326691,.F.); #331504=ADVANCED_FACE('',(#23039),#8550,.F.); #331505=ADVANCED_FACE('',(#23040),#326692,.F.); #331506=ADVANCED_FACE('',(#23041),#326693,.F.); #331507=ADVANCED_FACE('',(#23042),#326694,.F.); #331508=ADVANCED_FACE('',(#23043),#326695,.F.); #331509=ADVANCED_FACE('',(#23044),#326696,.F.); #331510=ADVANCED_FACE('',(#23045),#326697,.F.); #331511=ADVANCED_FACE('',(#23046),#326698,.F.); #331512=ADVANCED_FACE('',(#23047),#326699,.F.); #331513=ADVANCED_FACE('',(#23048),#326700,.F.); #331514=ADVANCED_FACE('',(#23049),#326701,.F.); #331515=ADVANCED_FACE('',(#23050),#326702,.F.); #331516=ADVANCED_FACE('',(#23051),#8551,.F.); #331517=ADVANCED_FACE('',(#23052),#326703,.F.); #331518=ADVANCED_FACE('',(#23053),#8552,.F.); #331519=ADVANCED_FACE('',(#23054),#326704,.F.); #331520=ADVANCED_FACE('',(#23055),#326705,.F.); #331521=ADVANCED_FACE('',(#23056),#8553,.F.); #331522=ADVANCED_FACE('',(#23057),#326706,.F.); #331523=ADVANCED_FACE('',(#23058),#8554,.F.); #331524=ADVANCED_FACE('',(#23059),#326707,.F.); #331525=ADVANCED_FACE('',(#23060),#326708,.F.); #331526=ADVANCED_FACE('',(#23061),#8555,.F.); #331527=ADVANCED_FACE('',(#23062),#326709,.F.); #331528=ADVANCED_FACE('',(#23063),#8556,.F.); #331529=ADVANCED_FACE('',(#23064),#326710,.F.); #331530=ADVANCED_FACE('',(#23065),#326711,.F.); #331531=ADVANCED_FACE('',(#23066),#326712,.F.); #331532=ADVANCED_FACE('',(#23067),#326713,.F.); #331533=ADVANCED_FACE('',(#23068),#326714,.F.); #331534=ADVANCED_FACE('',(#23069),#326715,.F.); #331535=ADVANCED_FACE('',(#23070),#326716,.F.); #331536=ADVANCED_FACE('',(#23071),#326717,.F.); #331537=ADVANCED_FACE('',(#23072),#326718,.F.); #331538=ADVANCED_FACE('',(#23073),#326719,.F.); #331539=ADVANCED_FACE('',(#23074),#326720,.F.); #331540=ADVANCED_FACE('',(#23075),#326721,.F.); #331541=ADVANCED_FACE('',(#23076),#326722,.F.); #331542=ADVANCED_FACE('',(#23077),#326723,.F.); #331543=ADVANCED_FACE('',(#23078),#326724,.F.); #331544=ADVANCED_FACE('',(#23079),#326725,.F.); #331545=ADVANCED_FACE('',(#23080),#326726,.F.); #331546=ADVANCED_FACE('',(#23081),#326727,.F.); #331547=ADVANCED_FACE('',(#23082),#326728,.F.); #331548=ADVANCED_FACE('',(#23083),#326729,.F.); #331549=ADVANCED_FACE('',(#23084),#326730,.F.); #331550=ADVANCED_FACE('',(#23085),#326731,.F.); #331551=ADVANCED_FACE('',(#23086),#326732,.F.); #331552=ADVANCED_FACE('',(#23087),#326733,.F.); #331553=ADVANCED_FACE('',(#23088),#326734,.F.); #331554=ADVANCED_FACE('',(#23089),#326735,.F.); #331555=ADVANCED_FACE('',(#23090),#326736,.F.); #331556=ADVANCED_FACE('',(#23091),#326737,.F.); #331557=ADVANCED_FACE('',(#23092),#326738,.F.); #331558=ADVANCED_FACE('',(#23093),#326739,.F.); #331559=ADVANCED_FACE('',(#23094),#326740,.F.); #331560=ADVANCED_FACE('',(#23095),#326741,.F.); #331561=ADVANCED_FACE('',(#23096),#326742,.F.); #331562=ADVANCED_FACE('',(#23097),#326743,.F.); #331563=ADVANCED_FACE('',(#23098),#326744,.F.); #331564=ADVANCED_FACE('',(#23099),#326745,.F.); #331565=ADVANCED_FACE('',(#23100),#326746,.F.); #331566=ADVANCED_FACE('',(#23101),#326747,.F.); #331567=ADVANCED_FACE('',(#23102),#326748,.F.); #331568=ADVANCED_FACE('',(#23103),#326749,.F.); #331569=ADVANCED_FACE('',(#23104),#326750,.F.); #331570=ADVANCED_FACE('',(#23105),#326751,.F.); #331571=ADVANCED_FACE('',(#23106),#326752,.F.); #331572=ADVANCED_FACE('',(#23107),#326753,.F.); #331573=ADVANCED_FACE('',(#23108),#326754,.F.); #331574=ADVANCED_FACE('',(#23109),#326755,.F.); #331575=ADVANCED_FACE('',(#23110),#326756,.F.); #331576=ADVANCED_FACE('',(#23111),#326757,.F.); #331577=ADVANCED_FACE('',(#23112),#326758,.F.); #331578=ADVANCED_FACE('',(#23113),#326759,.F.); #331579=ADVANCED_FACE('',(#23114),#326760,.F.); #331580=ADVANCED_FACE('',(#23115),#326761,.F.); #331581=ADVANCED_FACE('',(#23116),#326762,.F.); #331582=ADVANCED_FACE('',(#23117),#326763,.F.); #331583=ADVANCED_FACE('',(#23118),#326764,.F.); #331584=ADVANCED_FACE('',(#23119),#326765,.F.); #331585=ADVANCED_FACE('',(#23120),#326766,.F.); #331586=ADVANCED_FACE('',(#23121),#326767,.F.); #331587=ADVANCED_FACE('',(#23122),#326768,.F.); #331588=ADVANCED_FACE('',(#23123),#326769,.F.); #331589=ADVANCED_FACE('',(#23124),#326770,.F.); #331590=ADVANCED_FACE('',(#23125),#326771,.F.); #331591=ADVANCED_FACE('',(#23126),#326772,.F.); #331592=ADVANCED_FACE('',(#23127),#326773,.F.); #331593=ADVANCED_FACE('',(#23128),#326774,.F.); #331594=ADVANCED_FACE('',(#23129),#326775,.F.); #331595=ADVANCED_FACE('',(#23130),#326776,.F.); #331596=ADVANCED_FACE('',(#23131),#326777,.F.); #331597=ADVANCED_FACE('',(#23132),#326778,.F.); #331598=ADVANCED_FACE('',(#23133),#326779,.F.); #331599=ADVANCED_FACE('',(#23134),#326780,.F.); #331600=ADVANCED_FACE('',(#23135),#326781,.F.); #331601=ADVANCED_FACE('',(#23136),#326782,.F.); #331602=ADVANCED_FACE('',(#23137),#326783,.F.); #331603=ADVANCED_FACE('',(#23138),#326784,.F.); #331604=ADVANCED_FACE('',(#23139),#326785,.F.); #331605=ADVANCED_FACE('',(#23140),#326786,.F.); #331606=ADVANCED_FACE('',(#23141),#326787,.F.); #331607=ADVANCED_FACE('',(#23142),#326788,.F.); #331608=ADVANCED_FACE('',(#23143),#326789,.F.); #331609=ADVANCED_FACE('',(#23144),#326790,.F.); #331610=ADVANCED_FACE('',(#23145),#326791,.F.); #331611=ADVANCED_FACE('',(#23146),#326792,.F.); #331612=ADVANCED_FACE('',(#23147),#326793,.F.); #331613=ADVANCED_FACE('',(#23148),#326794,.F.); #331614=ADVANCED_FACE('',(#23149),#326795,.F.); #331615=ADVANCED_FACE('',(#23150),#326796,.F.); #331616=ADVANCED_FACE('',(#23151),#326797,.F.); #331617=ADVANCED_FACE('',(#23152),#326798,.F.); #331618=ADVANCED_FACE('',(#23153),#326799,.F.); #331619=ADVANCED_FACE('',(#23154),#326800,.F.); #331620=ADVANCED_FACE('',(#23155),#326801,.F.); #331621=ADVANCED_FACE('',(#23156),#326802,.F.); #331622=ADVANCED_FACE('',(#23157),#326803,.F.); #331623=ADVANCED_FACE('',(#23158),#326804,.F.); #331624=ADVANCED_FACE('',(#23159),#326805,.F.); #331625=ADVANCED_FACE('',(#23160),#326806,.F.); #331626=ADVANCED_FACE('',(#23161),#326807,.F.); #331627=ADVANCED_FACE('',(#23162),#326808,.F.); #331628=ADVANCED_FACE('',(#23163),#326809,.F.); #331629=ADVANCED_FACE('',(#23164),#326810,.F.); #331630=ADVANCED_FACE('',(#23165),#326811,.F.); #331631=ADVANCED_FACE('',(#23166),#326812,.F.); #331632=ADVANCED_FACE('',(#23167),#326813,.F.); #331633=ADVANCED_FACE('',(#23168),#326814,.F.); #331634=ADVANCED_FACE('',(#23169),#326815,.F.); #331635=ADVANCED_FACE('',(#23170),#326816,.F.); #331636=ADVANCED_FACE('',(#23171),#326817,.F.); #331637=ADVANCED_FACE('',(#23172),#326818,.F.); #331638=ADVANCED_FACE('',(#23173),#326819,.F.); #331639=ADVANCED_FACE('',(#23174),#326820,.F.); #331640=ADVANCED_FACE('',(#23175),#326821,.F.); #331641=ADVANCED_FACE('',(#23176),#326822,.F.); #331642=ADVANCED_FACE('',(#23177),#326823,.F.); #331643=ADVANCED_FACE('',(#23178),#326824,.F.); #331644=ADVANCED_FACE('',(#23179),#326825,.F.); #331645=ADVANCED_FACE('',(#23180),#326826,.F.); #331646=ADVANCED_FACE('',(#23181),#326827,.F.); #331647=ADVANCED_FACE('',(#23182),#326828,.F.); #331648=ADVANCED_FACE('',(#23183),#326829,.F.); #331649=ADVANCED_FACE('',(#23184),#326830,.F.); #331650=ADVANCED_FACE('',(#23185),#326831,.F.); #331651=ADVANCED_FACE('',(#23186),#326832,.F.); #331652=ADVANCED_FACE('',(#23187),#326833,.F.); #331653=ADVANCED_FACE('',(#23188),#326834,.F.); #331654=ADVANCED_FACE('',(#23189),#326835,.F.); #331655=ADVANCED_FACE('',(#23190),#326836,.F.); #331656=ADVANCED_FACE('',(#23191),#326837,.F.); #331657=ADVANCED_FACE('',(#23192),#326838,.F.); #331658=ADVANCED_FACE('',(#23193),#326839,.F.); #331659=ADVANCED_FACE('',(#23194),#326840,.F.); #331660=ADVANCED_FACE('',(#23195),#326841,.F.); #331661=ADVANCED_FACE('',(#23196),#326842,.F.); #331662=ADVANCED_FACE('',(#23197),#326843,.T.); #331663=ADVANCED_FACE('',(#23198,#3614,#3615,#3616,#3617,#3618,#3619,#3620, #3621,#3622,#3623,#3624,#3625,#3626,#3627,#3628,#3629,#3630,#3631,#3632, #3633,#3634,#3635,#3636,#3637,#3638,#3639,#3640,#3641,#3642,#3643,#3644, #3645,#3646,#3647,#3648,#3649,#3650,#3651,#3652,#3653,#3654,#3655,#3656, #3657,#3658,#3659,#3660,#3661,#3662,#3663,#3664,#3665,#3666,#3667,#3668, #3669,#3670,#3671,#3672,#3673,#3674,#3675,#3676,#3677,#3678,#3679,#3680, #3681,#3682,#3683,#3684,#3685,#3686,#3687,#3688,#3689,#3690,#3691,#3692, #3693,#3694,#3695,#3696,#3697,#3698,#3699,#3700,#3701,#3702,#3703,#3704, #3705,#3706,#3707,#3708,#3709,#3710,#3711,#3712,#3713,#3714,#3715,#3716, #3717,#3718,#3719,#3720,#3721,#3722,#3723,#3724,#3725,#3726,#3727,#3728, #3729,#3730,#3731,#3732,#3733,#3734,#3735,#3736,#3737,#3738,#3739,#3740, #3741,#3742,#3743,#3744,#3745,#3746,#3747,#3748,#3749,#3750,#3751,#3752, #3753,#3754,#3755,#3756,#3757,#3758,#3759,#3760,#3761,#3762,#3763,#3764, #3765,#3766,#3767,#3768,#3769,#3770,#3771,#3772,#3773,#3774,#3775,#3776, #3777,#3778,#3779,#3780,#3781,#3782,#3783,#3784,#3785,#3786,#3787,#3788, #3789,#3790,#3791,#3792,#3793,#3794,#3795,#3796,#3797,#3798,#3799,#3800, #3801,#3802,#3803,#3804,#3805,#3806,#3807,#3808,#3809,#3810,#3811,#3812, #3813,#3814,#3815,#3816,#3817,#3818,#3819,#3820,#3821,#3822,#3823,#3824, #3825,#3826,#3827,#3828,#3829,#3830,#3831,#3832,#3833,#3834,#3835,#3836, #3837,#3838,#3839,#3840,#3841,#3842,#3843,#3844,#3845,#3846,#3847,#3848, #3849,#3850,#3851,#3852,#3853,#3854,#3855,#3856,#3857,#3858,#3859,#3860, #3861,#3862,#3863,#3864,#3865,#3866,#3867,#3868,#3869,#3870,#3871,#3872, #3873,#3874,#3875,#3876,#3877,#3878,#3879,#3880,#3881,#3882,#3883,#3884, #3885,#3886,#3887,#3888,#3889,#3890,#3891,#3892,#3893,#3894,#3895,#3896, #3897,#3898,#3899,#3900,#3901,#3902,#3903,#3904,#3905,#3906,#3907,#3908, #3909,#3910,#3911,#3912,#3913,#3914,#3915,#3916,#3917,#3918,#3919,#3920, #3921,#3922,#3923,#3924,#3925,#3926,#3927,#3928,#3929,#3930),#8557,.T.); #331664=ADVANCED_FACE('',(#23199,#3931,#3932,#3933,#3934,#3935,#3936,#3937, #3938,#3939,#3940,#3941,#3942,#3943,#3944,#3945,#3946,#3947,#3948,#3949, #3950,#3951,#3952,#3953,#3954,#3955,#3956,#3957,#3958,#3959,#3960,#3961, #3962,#3963,#3964,#3965,#3966,#3967,#3968,#3969,#3970,#3971,#3972,#3973, #3974,#3975,#3976,#3977,#3978,#3979,#3980,#3981,#3982,#3983,#3984,#3985, #3986,#3987,#3988,#3989,#3990,#3991,#3992,#3993,#3994,#3995,#3996,#3997, #3998,#3999,#4000,#4001,#4002,#4003,#4004,#4005,#4006,#4007,#4008,#4009, #4010,#4011,#4012,#4013,#4014,#4015,#4016,#4017,#4018,#4019,#4020,#4021, #4022,#4023,#4024,#4025,#4026,#4027,#4028,#4029,#4030,#4031,#4032,#4033, #4034,#4035,#4036,#4037,#4038,#4039,#4040,#4041,#4042,#4043,#4044,#4045, #4046,#4047,#4048,#4049,#4050,#4051,#4052,#4053,#4054,#4055,#4056,#4057, #4058,#4059,#4060,#4061,#4062,#4063,#4064,#4065,#4066,#4067,#4068,#4069, #4070,#4071,#4072,#4073,#4074,#4075,#4076,#4077,#4078,#4079,#4080,#4081, #4082,#4083,#4084,#4085,#4086,#4087,#4088,#4089,#4090,#4091,#4092,#4093, #4094,#4095,#4096,#4097,#4098,#4099,#4100,#4101,#4102,#4103,#4104,#4105, #4106,#4107,#4108,#4109,#4110,#4111,#4112,#4113,#4114,#4115,#4116,#4117, #4118,#4119,#4120,#4121,#4122,#4123,#4124,#4125,#4126,#4127,#4128,#4129, #4130,#4131,#4132,#4133,#4134,#4135,#4136,#4137,#4138,#4139,#4140,#4141, #4142,#4143,#4144,#4145,#4146,#4147,#4148,#4149,#4150,#4151,#4152,#4153, #4154,#4155,#4156,#4157,#4158,#4159,#4160,#4161,#4162,#4163,#4164,#4165, #4166,#4167,#4168,#4169,#4170,#4171,#4172,#4173,#4174,#4175,#4176,#4177, #4178,#4179,#4180,#4181,#4182,#4183,#4184,#4185,#4186,#4187,#4188,#4189, #4190,#4191,#4192,#4193,#4194,#4195,#4196,#4197,#4198,#4199,#4200,#4201, #4202,#4203,#4204,#4205,#4206,#4207,#4208,#4209,#4210,#4211,#4212,#4213, #4214,#4215,#4216,#4217,#4218,#4219,#4220,#4221,#4222,#4223,#4224,#4225, #4226,#4227,#4228,#4229,#4230,#4231,#4232,#4233,#4234,#4235,#4236,#4237, #4238,#4239,#4240,#4241,#4242,#4243,#4244,#4245,#4246,#4247),#8558,.F.); #331665=ADVANCED_FACE('',(#23200),#326844,.F.); #331666=ADVANCED_FACE('',(#23201),#326845,.T.); #331667=ADVANCED_FACE('',(#23202,#4248),#8559,.T.); #331668=ADVANCED_FACE('',(#23203,#4249),#8560,.F.); #331669=ADVANCED_FACE('',(#23204),#326846,.F.); #331670=ADVANCED_FACE('',(#23205),#326847,.T.); #331671=ADVANCED_FACE('',(#23206,#4250),#8561,.T.); #331672=ADVANCED_FACE('',(#23207,#4251),#8562,.F.); #331673=ADVANCED_FACE('',(#23208),#326848,.F.); #331674=ADVANCED_FACE('',(#23209),#326849,.T.); #331675=ADVANCED_FACE('',(#23210,#4252),#8563,.T.); #331676=ADVANCED_FACE('',(#23211,#4253),#8564,.F.); #331677=ADVANCED_FACE('',(#23212),#326850,.F.); #331678=ADVANCED_FACE('',(#23213),#326851,.T.); #331679=ADVANCED_FACE('',(#23214,#4254),#8565,.T.); #331680=ADVANCED_FACE('',(#23215,#4255),#8566,.F.); #331681=ADVANCED_FACE('',(#23216),#326852,.F.); #331682=ADVANCED_FACE('',(#23217),#326853,.T.); #331683=ADVANCED_FACE('',(#23218,#4256),#8567,.T.); #331684=ADVANCED_FACE('',(#23219,#4257),#8568,.F.); #331685=ADVANCED_FACE('',(#23220),#326854,.F.); #331686=ADVANCED_FACE('',(#23221),#326855,.T.); #331687=ADVANCED_FACE('',(#23222,#4258),#8569,.T.); #331688=ADVANCED_FACE('',(#23223,#4259),#8570,.F.); #331689=ADVANCED_FACE('',(#23224),#326856,.F.); #331690=ADVANCED_FACE('',(#23225),#326857,.T.); #331691=ADVANCED_FACE('',(#23226,#4260),#8571,.T.); #331692=ADVANCED_FACE('',(#23227,#4261),#8572,.F.); #331693=ADVANCED_FACE('',(#23228),#326858,.F.); #331694=ADVANCED_FACE('',(#23229),#326859,.T.); #331695=ADVANCED_FACE('',(#23230,#4262),#8573,.T.); #331696=ADVANCED_FACE('',(#23231,#4263),#8574,.F.); #331697=ADVANCED_FACE('',(#23232),#326860,.F.); #331698=ADVANCED_FACE('',(#23233),#326861,.T.); #331699=ADVANCED_FACE('',(#23234,#4264),#8575,.T.); #331700=ADVANCED_FACE('',(#23235,#4265),#8576,.F.); #331701=ADVANCED_FACE('',(#23236),#326862,.F.); #331702=ADVANCED_FACE('',(#23237),#326863,.T.); #331703=ADVANCED_FACE('',(#23238,#4266),#8577,.T.); #331704=ADVANCED_FACE('',(#23239,#4267),#8578,.F.); #331705=ADVANCED_FACE('',(#23240),#326864,.F.); #331706=ADVANCED_FACE('',(#23241),#326865,.T.); #331707=ADVANCED_FACE('',(#23242,#4268),#8579,.T.); #331708=ADVANCED_FACE('',(#23243,#4269),#8580,.F.); #331709=ADVANCED_FACE('',(#23244),#326866,.F.); #331710=ADVANCED_FACE('',(#23245),#326867,.T.); #331711=ADVANCED_FACE('',(#23246,#4270),#8581,.T.); #331712=ADVANCED_FACE('',(#23247,#4271),#8582,.F.); #331713=ADVANCED_FACE('',(#23248),#326868,.F.); #331714=ADVANCED_FACE('',(#23249),#326869,.T.); #331715=ADVANCED_FACE('',(#23250,#4272),#8583,.T.); #331716=ADVANCED_FACE('',(#23251,#4273),#8584,.F.); #331717=ADVANCED_FACE('',(#23252),#326870,.F.); #331718=ADVANCED_FACE('',(#23253),#326871,.T.); #331719=ADVANCED_FACE('',(#23254,#4274),#8585,.T.); #331720=ADVANCED_FACE('',(#23255,#4275),#8586,.F.); #331721=ADVANCED_FACE('',(#23256),#326872,.F.); #331722=ADVANCED_FACE('',(#23257),#326873,.T.); #331723=ADVANCED_FACE('',(#23258,#4276),#8587,.T.); #331724=ADVANCED_FACE('',(#23259,#4277),#8588,.F.); #331725=ADVANCED_FACE('',(#23260),#326874,.F.); #331726=ADVANCED_FACE('',(#23261),#326875,.T.); #331727=ADVANCED_FACE('',(#23262,#4278),#8589,.T.); #331728=ADVANCED_FACE('',(#23263,#4279),#8590,.F.); #331729=ADVANCED_FACE('',(#23264),#326876,.F.); #331730=ADVANCED_FACE('',(#23265),#326877,.T.); #331731=ADVANCED_FACE('',(#23266,#4280),#8591,.T.); #331732=ADVANCED_FACE('',(#23267,#4281),#8592,.F.); #331733=ADVANCED_FACE('',(#23268),#326878,.F.); #331734=ADVANCED_FACE('',(#23269),#326879,.T.); #331735=ADVANCED_FACE('',(#23270,#4282),#8593,.T.); #331736=ADVANCED_FACE('',(#23271,#4283),#8594,.F.); #331737=ADVANCED_FACE('',(#23272),#326880,.F.); #331738=ADVANCED_FACE('',(#23273),#326881,.T.); #331739=ADVANCED_FACE('',(#23274,#4284),#8595,.T.); #331740=ADVANCED_FACE('',(#23275,#4285),#8596,.F.); #331741=ADVANCED_FACE('',(#23276),#326882,.F.); #331742=ADVANCED_FACE('',(#23277),#326883,.T.); #331743=ADVANCED_FACE('',(#23278,#4286),#8597,.T.); #331744=ADVANCED_FACE('',(#23279,#4287),#8598,.F.); #331745=ADVANCED_FACE('',(#23280),#326884,.F.); #331746=ADVANCED_FACE('',(#23281),#326885,.T.); #331747=ADVANCED_FACE('',(#23282,#4288),#8599,.T.); #331748=ADVANCED_FACE('',(#23283,#4289),#8600,.F.); #331749=ADVANCED_FACE('',(#23284),#326886,.F.); #331750=ADVANCED_FACE('',(#23285),#326887,.T.); #331751=ADVANCED_FACE('',(#23286,#4290),#8601,.T.); #331752=ADVANCED_FACE('',(#23287,#4291),#8602,.F.); #331753=ADVANCED_FACE('',(#23288),#326888,.F.); #331754=ADVANCED_FACE('',(#23289),#326889,.T.); #331755=ADVANCED_FACE('',(#23290,#4292),#8603,.T.); #331756=ADVANCED_FACE('',(#23291,#4293),#8604,.F.); #331757=ADVANCED_FACE('',(#23292),#326890,.F.); #331758=ADVANCED_FACE('',(#23293),#326891,.T.); #331759=ADVANCED_FACE('',(#23294,#4294),#8605,.T.); #331760=ADVANCED_FACE('',(#23295,#4295),#8606,.F.); #331761=ADVANCED_FACE('',(#23296),#326892,.F.); #331762=ADVANCED_FACE('',(#23297),#326893,.T.); #331763=ADVANCED_FACE('',(#23298,#4296),#8607,.T.); #331764=ADVANCED_FACE('',(#23299,#4297),#8608,.F.); #331765=ADVANCED_FACE('',(#23300),#326894,.F.); #331766=ADVANCED_FACE('',(#23301),#326895,.T.); #331767=ADVANCED_FACE('',(#23302,#4298),#8609,.T.); #331768=ADVANCED_FACE('',(#23303,#4299),#8610,.F.); #331769=ADVANCED_FACE('',(#23304),#326896,.F.); #331770=ADVANCED_FACE('',(#23305),#326897,.T.); #331771=ADVANCED_FACE('',(#23306,#4300),#8611,.T.); #331772=ADVANCED_FACE('',(#23307,#4301),#8612,.F.); #331773=ADVANCED_FACE('',(#23308),#326898,.F.); #331774=ADVANCED_FACE('',(#23309),#326899,.T.); #331775=ADVANCED_FACE('',(#23310,#4302),#8613,.T.); #331776=ADVANCED_FACE('',(#23311,#4303),#8614,.F.); #331777=ADVANCED_FACE('',(#23312),#326900,.F.); #331778=ADVANCED_FACE('',(#23313),#326901,.T.); #331779=ADVANCED_FACE('',(#23314,#4304),#8615,.T.); #331780=ADVANCED_FACE('',(#23315,#4305),#8616,.F.); #331781=ADVANCED_FACE('',(#23316),#326902,.F.); #331782=ADVANCED_FACE('',(#23317),#326903,.T.); #331783=ADVANCED_FACE('',(#23318,#4306),#8617,.T.); #331784=ADVANCED_FACE('',(#23319,#4307),#8618,.F.); #331785=ADVANCED_FACE('',(#23320),#326904,.F.); #331786=ADVANCED_FACE('',(#23321),#326905,.T.); #331787=ADVANCED_FACE('',(#23322,#4308),#8619,.T.); #331788=ADVANCED_FACE('',(#23323,#4309),#8620,.F.); #331789=ADVANCED_FACE('',(#23324),#326906,.F.); #331790=ADVANCED_FACE('',(#23325),#326907,.T.); #331791=ADVANCED_FACE('',(#23326,#4310),#8621,.T.); #331792=ADVANCED_FACE('',(#23327,#4311),#8622,.F.); #331793=ADVANCED_FACE('',(#23328),#326908,.F.); #331794=ADVANCED_FACE('',(#23329),#326909,.T.); #331795=ADVANCED_FACE('',(#23330,#4312),#8623,.T.); #331796=ADVANCED_FACE('',(#23331,#4313),#8624,.F.); #331797=ADVANCED_FACE('',(#23332),#326910,.F.); #331798=ADVANCED_FACE('',(#23333),#326911,.T.); #331799=ADVANCED_FACE('',(#23334,#4314),#8625,.T.); #331800=ADVANCED_FACE('',(#23335,#4315),#8626,.F.); #331801=ADVANCED_FACE('',(#23336),#326912,.F.); #331802=ADVANCED_FACE('',(#23337),#326913,.T.); #331803=ADVANCED_FACE('',(#23338,#4316),#8627,.T.); #331804=ADVANCED_FACE('',(#23339,#4317),#8628,.F.); #331805=ADVANCED_FACE('',(#23340),#326914,.F.); #331806=ADVANCED_FACE('',(#23341),#326915,.T.); #331807=ADVANCED_FACE('',(#23342,#4318),#8629,.T.); #331808=ADVANCED_FACE('',(#23343,#4319),#8630,.F.); #331809=ADVANCED_FACE('',(#23344),#326916,.F.); #331810=ADVANCED_FACE('',(#23345),#326917,.T.); #331811=ADVANCED_FACE('',(#23346,#4320),#8631,.T.); #331812=ADVANCED_FACE('',(#23347,#4321),#8632,.F.); #331813=ADVANCED_FACE('',(#23348),#326918,.F.); #331814=ADVANCED_FACE('',(#23349),#326919,.T.); #331815=ADVANCED_FACE('',(#23350,#4322),#8633,.T.); #331816=ADVANCED_FACE('',(#23351,#4323),#8634,.F.); #331817=ADVANCED_FACE('',(#23352),#326920,.F.); #331818=ADVANCED_FACE('',(#23353),#326921,.T.); #331819=ADVANCED_FACE('',(#23354,#4324),#8635,.T.); #331820=ADVANCED_FACE('',(#23355,#4325),#8636,.F.); #331821=ADVANCED_FACE('',(#23356),#326922,.F.); #331822=ADVANCED_FACE('',(#23357),#326923,.T.); #331823=ADVANCED_FACE('',(#23358,#4326),#8637,.T.); #331824=ADVANCED_FACE('',(#23359,#4327),#8638,.F.); #331825=ADVANCED_FACE('',(#23360),#326924,.F.); #331826=ADVANCED_FACE('',(#23361),#326925,.T.); #331827=ADVANCED_FACE('',(#23362,#4328),#8639,.T.); #331828=ADVANCED_FACE('',(#23363,#4329),#8640,.F.); #331829=ADVANCED_FACE('',(#23364),#326926,.F.); #331830=ADVANCED_FACE('',(#23365),#326927,.T.); #331831=ADVANCED_FACE('',(#23366,#4330),#8641,.T.); #331832=ADVANCED_FACE('',(#23367,#4331),#8642,.F.); #331833=ADVANCED_FACE('',(#23368),#326928,.F.); #331834=ADVANCED_FACE('',(#23369),#326929,.T.); #331835=ADVANCED_FACE('',(#23370,#4332),#8643,.T.); #331836=ADVANCED_FACE('',(#23371,#4333),#8644,.F.); #331837=ADVANCED_FACE('',(#23372),#326930,.F.); #331838=ADVANCED_FACE('',(#23373),#326931,.T.); #331839=ADVANCED_FACE('',(#23374,#4334),#8645,.T.); #331840=ADVANCED_FACE('',(#23375,#4335),#8646,.F.); #331841=ADVANCED_FACE('',(#23376),#326932,.F.); #331842=ADVANCED_FACE('',(#23377),#326933,.T.); #331843=ADVANCED_FACE('',(#23378,#4336),#8647,.T.); #331844=ADVANCED_FACE('',(#23379,#4337),#8648,.F.); #331845=ADVANCED_FACE('',(#23380),#326934,.F.); #331846=ADVANCED_FACE('',(#23381),#326935,.T.); #331847=ADVANCED_FACE('',(#23382,#4338),#8649,.T.); #331848=ADVANCED_FACE('',(#23383,#4339),#8650,.F.); #331849=ADVANCED_FACE('',(#23384),#326936,.F.); #331850=ADVANCED_FACE('',(#23385),#326937,.T.); #331851=ADVANCED_FACE('',(#23386,#4340),#8651,.T.); #331852=ADVANCED_FACE('',(#23387,#4341),#8652,.F.); #331853=ADVANCED_FACE('',(#23388),#326938,.F.); #331854=ADVANCED_FACE('',(#23389),#326939,.T.); #331855=ADVANCED_FACE('',(#23390,#4342),#8653,.T.); #331856=ADVANCED_FACE('',(#23391,#4343),#8654,.F.); #331857=ADVANCED_FACE('',(#23392),#326940,.F.); #331858=ADVANCED_FACE('',(#23393),#326941,.T.); #331859=ADVANCED_FACE('',(#23394,#4344),#8655,.T.); #331860=ADVANCED_FACE('',(#23395,#4345),#8656,.F.); #331861=ADVANCED_FACE('',(#23396),#326942,.F.); #331862=ADVANCED_FACE('',(#23397),#326943,.T.); #331863=ADVANCED_FACE('',(#23398,#4346),#8657,.T.); #331864=ADVANCED_FACE('',(#23399,#4347),#8658,.F.); #331865=ADVANCED_FACE('',(#23400),#326944,.F.); #331866=ADVANCED_FACE('',(#23401),#326945,.T.); #331867=ADVANCED_FACE('',(#23402,#4348),#8659,.T.); #331868=ADVANCED_FACE('',(#23403,#4349),#8660,.F.); #331869=ADVANCED_FACE('',(#23404),#326946,.F.); #331870=ADVANCED_FACE('',(#23405),#326947,.T.); #331871=ADVANCED_FACE('',(#23406,#4350),#8661,.T.); #331872=ADVANCED_FACE('',(#23407,#4351),#8662,.F.); #331873=ADVANCED_FACE('',(#23408),#326948,.F.); #331874=ADVANCED_FACE('',(#23409),#326949,.T.); #331875=ADVANCED_FACE('',(#23410,#4352),#8663,.T.); #331876=ADVANCED_FACE('',(#23411,#4353),#8664,.F.); #331877=ADVANCED_FACE('',(#23412),#326950,.F.); #331878=ADVANCED_FACE('',(#23413),#326951,.T.); #331879=ADVANCED_FACE('',(#23414,#4354),#8665,.T.); #331880=ADVANCED_FACE('',(#23415,#4355),#8666,.F.); #331881=ADVANCED_FACE('',(#23416),#326952,.F.); #331882=ADVANCED_FACE('',(#23417),#326953,.T.); #331883=ADVANCED_FACE('',(#23418,#4356),#8667,.T.); #331884=ADVANCED_FACE('',(#23419,#4357),#8668,.F.); #331885=ADVANCED_FACE('',(#23420),#326954,.F.); #331886=ADVANCED_FACE('',(#23421),#326955,.T.); #331887=ADVANCED_FACE('',(#23422,#4358),#8669,.T.); #331888=ADVANCED_FACE('',(#23423,#4359),#8670,.F.); #331889=ADVANCED_FACE('',(#23424),#326956,.F.); #331890=ADVANCED_FACE('',(#23425),#326957,.T.); #331891=ADVANCED_FACE('',(#23426,#4360),#8671,.T.); #331892=ADVANCED_FACE('',(#23427,#4361),#8672,.F.); #331893=ADVANCED_FACE('',(#23428),#326958,.F.); #331894=ADVANCED_FACE('',(#23429),#326959,.T.); #331895=ADVANCED_FACE('',(#23430,#4362),#8673,.T.); #331896=ADVANCED_FACE('',(#23431,#4363),#8674,.F.); #331897=ADVANCED_FACE('',(#23432),#326960,.F.); #331898=ADVANCED_FACE('',(#23433),#326961,.T.); #331899=ADVANCED_FACE('',(#23434,#4364),#8675,.T.); #331900=ADVANCED_FACE('',(#23435,#4365),#8676,.F.); #331901=ADVANCED_FACE('',(#23436),#326962,.F.); #331902=ADVANCED_FACE('',(#23437),#326963,.T.); #331903=ADVANCED_FACE('',(#23438,#4366),#8677,.T.); #331904=ADVANCED_FACE('',(#23439,#4367),#8678,.F.); #331905=ADVANCED_FACE('',(#23440),#326964,.F.); #331906=ADVANCED_FACE('',(#23441),#326965,.T.); #331907=ADVANCED_FACE('',(#23442,#4368),#8679,.T.); #331908=ADVANCED_FACE('',(#23443,#4369),#8680,.F.); #331909=ADVANCED_FACE('',(#23444),#326966,.F.); #331910=ADVANCED_FACE('',(#23445),#326967,.T.); #331911=ADVANCED_FACE('',(#23446,#4370),#8681,.T.); #331912=ADVANCED_FACE('',(#23447,#4371),#8682,.F.); #331913=ADVANCED_FACE('',(#23448),#326968,.F.); #331914=ADVANCED_FACE('',(#23449),#326969,.T.); #331915=ADVANCED_FACE('',(#23450,#4372),#8683,.T.); #331916=ADVANCED_FACE('',(#23451,#4373),#8684,.F.); #331917=ADVANCED_FACE('',(#23452),#326970,.F.); #331918=ADVANCED_FACE('',(#23453),#326971,.T.); #331919=ADVANCED_FACE('',(#23454,#4374),#8685,.T.); #331920=ADVANCED_FACE('',(#23455,#4375),#8686,.F.); #331921=ADVANCED_FACE('',(#23456),#326972,.F.); #331922=ADVANCED_FACE('',(#23457),#326973,.T.); #331923=ADVANCED_FACE('',(#23458,#4376),#8687,.T.); #331924=ADVANCED_FACE('',(#23459,#4377),#8688,.F.); #331925=ADVANCED_FACE('',(#23460),#326974,.F.); #331926=ADVANCED_FACE('',(#23461),#326975,.T.); #331927=ADVANCED_FACE('',(#23462,#4378),#8689,.T.); #331928=ADVANCED_FACE('',(#23463,#4379),#8690,.F.); #331929=ADVANCED_FACE('',(#23464),#326976,.F.); #331930=ADVANCED_FACE('',(#23465),#326977,.T.); #331931=ADVANCED_FACE('',(#23466,#4380),#8691,.T.); #331932=ADVANCED_FACE('',(#23467,#4381),#8692,.F.); #331933=ADVANCED_FACE('',(#23468),#326978,.F.); #331934=ADVANCED_FACE('',(#23469),#326979,.T.); #331935=ADVANCED_FACE('',(#23470,#4382),#8693,.T.); #331936=ADVANCED_FACE('',(#23471,#4383),#8694,.F.); #331937=ADVANCED_FACE('',(#23472),#326980,.F.); #331938=ADVANCED_FACE('',(#23473),#326981,.T.); #331939=ADVANCED_FACE('',(#23474,#4384),#8695,.T.); #331940=ADVANCED_FACE('',(#23475,#4385),#8696,.F.); #331941=ADVANCED_FACE('',(#23476),#326982,.F.); #331942=ADVANCED_FACE('',(#23477),#326983,.T.); #331943=ADVANCED_FACE('',(#23478,#4386),#8697,.T.); #331944=ADVANCED_FACE('',(#23479,#4387),#8698,.F.); #331945=ADVANCED_FACE('',(#23480),#326984,.F.); #331946=ADVANCED_FACE('',(#23481),#326985,.T.); #331947=ADVANCED_FACE('',(#23482,#4388),#8699,.T.); #331948=ADVANCED_FACE('',(#23483,#4389),#8700,.F.); #331949=ADVANCED_FACE('',(#23484),#326986,.F.); #331950=ADVANCED_FACE('',(#23485),#326987,.T.); #331951=ADVANCED_FACE('',(#23486,#4390),#8701,.T.); #331952=ADVANCED_FACE('',(#23487,#4391),#8702,.F.); #331953=ADVANCED_FACE('',(#23488),#326988,.F.); #331954=ADVANCED_FACE('',(#23489),#326989,.T.); #331955=ADVANCED_FACE('',(#23490,#4392),#8703,.T.); #331956=ADVANCED_FACE('',(#23491,#4393),#8704,.F.); #331957=ADVANCED_FACE('',(#23492),#326990,.F.); #331958=ADVANCED_FACE('',(#23493),#326991,.T.); #331959=ADVANCED_FACE('',(#23494,#4394),#8705,.T.); #331960=ADVANCED_FACE('',(#23495,#4395),#8706,.F.); #331961=ADVANCED_FACE('',(#23496),#326992,.F.); #331962=ADVANCED_FACE('',(#23497),#326993,.T.); #331963=ADVANCED_FACE('',(#23498,#4396),#8707,.T.); #331964=ADVANCED_FACE('',(#23499,#4397),#8708,.F.); #331965=ADVANCED_FACE('',(#23500),#326994,.F.); #331966=ADVANCED_FACE('',(#23501),#326995,.T.); #331967=ADVANCED_FACE('',(#23502,#4398),#8709,.T.); #331968=ADVANCED_FACE('',(#23503,#4399),#8710,.F.); #331969=ADVANCED_FACE('',(#23504),#326996,.F.); #331970=ADVANCED_FACE('',(#23505),#326997,.T.); #331971=ADVANCED_FACE('',(#23506,#4400),#8711,.T.); #331972=ADVANCED_FACE('',(#23507,#4401),#8712,.F.); #331973=ADVANCED_FACE('',(#23508),#326998,.F.); #331974=ADVANCED_FACE('',(#23509),#326999,.T.); #331975=ADVANCED_FACE('',(#23510,#4402),#8713,.T.); #331976=ADVANCED_FACE('',(#23511,#4403),#8714,.F.); #331977=ADVANCED_FACE('',(#23512),#327000,.F.); #331978=ADVANCED_FACE('',(#23513),#327001,.T.); #331979=ADVANCED_FACE('',(#23514,#4404),#8715,.T.); #331980=ADVANCED_FACE('',(#23515,#4405),#8716,.F.); #331981=ADVANCED_FACE('',(#23516),#327002,.F.); #331982=ADVANCED_FACE('',(#23517),#327003,.T.); #331983=ADVANCED_FACE('',(#23518,#4406),#8717,.T.); #331984=ADVANCED_FACE('',(#23519,#4407),#8718,.F.); #331985=ADVANCED_FACE('',(#23520),#327004,.F.); #331986=ADVANCED_FACE('',(#23521),#327005,.T.); #331987=ADVANCED_FACE('',(#23522,#4408),#8719,.T.); #331988=ADVANCED_FACE('',(#23523,#4409),#8720,.F.); #331989=ADVANCED_FACE('',(#23524),#327006,.F.); #331990=ADVANCED_FACE('',(#23525),#327007,.T.); #331991=ADVANCED_FACE('',(#23526,#4410),#8721,.T.); #331992=ADVANCED_FACE('',(#23527,#4411),#8722,.F.); #331993=ADVANCED_FACE('',(#23528),#327008,.F.); #331994=ADVANCED_FACE('',(#23529),#327009,.T.); #331995=ADVANCED_FACE('',(#23530,#4412),#8723,.T.); #331996=ADVANCED_FACE('',(#23531,#4413),#8724,.F.); #331997=ADVANCED_FACE('',(#23532),#327010,.F.); #331998=ADVANCED_FACE('',(#23533),#327011,.T.); #331999=ADVANCED_FACE('',(#23534,#4414),#8725,.T.); #332000=ADVANCED_FACE('',(#23535,#4415),#8726,.F.); #332001=ADVANCED_FACE('',(#23536),#327012,.F.); #332002=ADVANCED_FACE('',(#23537),#327013,.T.); #332003=ADVANCED_FACE('',(#23538,#4416),#8727,.T.); #332004=ADVANCED_FACE('',(#23539,#4417),#8728,.F.); #332005=ADVANCED_FACE('',(#23540),#327014,.F.); #332006=ADVANCED_FACE('',(#23541),#327015,.T.); #332007=ADVANCED_FACE('',(#23542,#4418),#8729,.T.); #332008=ADVANCED_FACE('',(#23543,#4419),#8730,.F.); #332009=ADVANCED_FACE('',(#23544),#327016,.F.); #332010=ADVANCED_FACE('',(#23545),#327017,.T.); #332011=ADVANCED_FACE('',(#23546,#4420),#8731,.T.); #332012=ADVANCED_FACE('',(#23547,#4421),#8732,.F.); #332013=ADVANCED_FACE('',(#23548),#327018,.F.); #332014=ADVANCED_FACE('',(#23549),#327019,.T.); #332015=ADVANCED_FACE('',(#23550,#4422),#8733,.T.); #332016=ADVANCED_FACE('',(#23551,#4423),#8734,.F.); #332017=ADVANCED_FACE('',(#23552),#327020,.F.); #332018=ADVANCED_FACE('',(#23553),#327021,.T.); #332019=ADVANCED_FACE('',(#23554,#4424),#8735,.T.); #332020=ADVANCED_FACE('',(#23555,#4425),#8736,.F.); #332021=ADVANCED_FACE('',(#23556),#327022,.F.); #332022=ADVANCED_FACE('',(#23557),#327023,.T.); #332023=ADVANCED_FACE('',(#23558,#4426),#8737,.T.); #332024=ADVANCED_FACE('',(#23559,#4427),#8738,.F.); #332025=ADVANCED_FACE('',(#23560),#327024,.F.); #332026=ADVANCED_FACE('',(#23561),#327025,.T.); #332027=ADVANCED_FACE('',(#23562,#4428),#8739,.T.); #332028=ADVANCED_FACE('',(#23563,#4429),#8740,.F.); #332029=ADVANCED_FACE('',(#23564),#327026,.F.); #332030=ADVANCED_FACE('',(#23565),#327027,.T.); #332031=ADVANCED_FACE('',(#23566,#4430),#8741,.T.); #332032=ADVANCED_FACE('',(#23567,#4431),#8742,.F.); #332033=ADVANCED_FACE('',(#23568),#327028,.F.); #332034=ADVANCED_FACE('',(#23569),#327029,.T.); #332035=ADVANCED_FACE('',(#23570,#4432),#8743,.T.); #332036=ADVANCED_FACE('',(#23571,#4433),#8744,.F.); #332037=ADVANCED_FACE('',(#23572),#327030,.F.); #332038=ADVANCED_FACE('',(#23573),#327031,.T.); #332039=ADVANCED_FACE('',(#23574,#4434),#8745,.T.); #332040=ADVANCED_FACE('',(#23575,#4435),#8746,.F.); #332041=ADVANCED_FACE('',(#23576),#327032,.F.); #332042=ADVANCED_FACE('',(#23577),#327033,.T.); #332043=ADVANCED_FACE('',(#23578,#4436),#8747,.T.); #332044=ADVANCED_FACE('',(#23579,#4437),#8748,.F.); #332045=ADVANCED_FACE('',(#23580),#327034,.F.); #332046=ADVANCED_FACE('',(#23581),#327035,.T.); #332047=ADVANCED_FACE('',(#23582,#4438),#8749,.T.); #332048=ADVANCED_FACE('',(#23583,#4439),#8750,.F.); #332049=ADVANCED_FACE('',(#23584),#327036,.F.); #332050=ADVANCED_FACE('',(#23585),#327037,.T.); #332051=ADVANCED_FACE('',(#23586,#4440),#8751,.T.); #332052=ADVANCED_FACE('',(#23587,#4441),#8752,.F.); #332053=ADVANCED_FACE('',(#23588),#327038,.F.); #332054=ADVANCED_FACE('',(#23589),#327039,.T.); #332055=ADVANCED_FACE('',(#23590,#4442),#8753,.T.); #332056=ADVANCED_FACE('',(#23591,#4443),#8754,.F.); #332057=ADVANCED_FACE('',(#23592),#327040,.F.); #332058=ADVANCED_FACE('',(#23593),#327041,.T.); #332059=ADVANCED_FACE('',(#23594,#4444),#8755,.T.); #332060=ADVANCED_FACE('',(#23595,#4445),#8756,.F.); #332061=ADVANCED_FACE('',(#23596),#327042,.F.); #332062=ADVANCED_FACE('',(#23597),#327043,.T.); #332063=ADVANCED_FACE('',(#23598,#4446),#8757,.T.); #332064=ADVANCED_FACE('',(#23599,#4447),#8758,.F.); #332065=ADVANCED_FACE('',(#23600),#327044,.F.); #332066=ADVANCED_FACE('',(#23601),#327045,.T.); #332067=ADVANCED_FACE('',(#23602,#4448),#8759,.T.); #332068=ADVANCED_FACE('',(#23603,#4449),#8760,.F.); #332069=ADVANCED_FACE('',(#23604),#327046,.F.); #332070=ADVANCED_FACE('',(#23605),#327047,.T.); #332071=ADVANCED_FACE('',(#23606,#4450),#8761,.T.); #332072=ADVANCED_FACE('',(#23607,#4451),#8762,.F.); #332073=ADVANCED_FACE('',(#23608),#327048,.F.); #332074=ADVANCED_FACE('',(#23609),#327049,.T.); #332075=ADVANCED_FACE('',(#23610,#4452),#8763,.T.); #332076=ADVANCED_FACE('',(#23611,#4453),#8764,.F.); #332077=ADVANCED_FACE('',(#23612),#327050,.F.); #332078=ADVANCED_FACE('',(#23613),#327051,.T.); #332079=ADVANCED_FACE('',(#23614,#4454),#8765,.T.); #332080=ADVANCED_FACE('',(#23615,#4455),#8766,.F.); #332081=ADVANCED_FACE('',(#23616),#327052,.F.); #332082=ADVANCED_FACE('',(#23617),#327053,.T.); #332083=ADVANCED_FACE('',(#23618,#4456),#8767,.T.); #332084=ADVANCED_FACE('',(#23619,#4457),#8768,.F.); #332085=ADVANCED_FACE('',(#23620),#327054,.F.); #332086=ADVANCED_FACE('',(#23621),#327055,.T.); #332087=ADVANCED_FACE('',(#23622,#4458),#8769,.T.); #332088=ADVANCED_FACE('',(#23623,#4459),#8770,.F.); #332089=ADVANCED_FACE('',(#23624),#327056,.F.); #332090=ADVANCED_FACE('',(#23625),#327057,.T.); #332091=ADVANCED_FACE('',(#23626,#4460),#8771,.T.); #332092=ADVANCED_FACE('',(#23627,#4461),#8772,.F.); #332093=ADVANCED_FACE('',(#23628),#327058,.F.); #332094=ADVANCED_FACE('',(#23629),#327059,.T.); #332095=ADVANCED_FACE('',(#23630,#4462),#8773,.T.); #332096=ADVANCED_FACE('',(#23631,#4463),#8774,.F.); #332097=ADVANCED_FACE('',(#23632),#327060,.F.); #332098=ADVANCED_FACE('',(#23633),#327061,.T.); #332099=ADVANCED_FACE('',(#23634,#4464),#8775,.T.); #332100=ADVANCED_FACE('',(#23635,#4465),#8776,.F.); #332101=ADVANCED_FACE('',(#23636),#327062,.F.); #332102=ADVANCED_FACE('',(#23637),#327063,.T.); #332103=ADVANCED_FACE('',(#23638,#4466),#8777,.T.); #332104=ADVANCED_FACE('',(#23639,#4467),#8778,.F.); #332105=ADVANCED_FACE('',(#23640),#327064,.F.); #332106=ADVANCED_FACE('',(#23641),#327065,.T.); #332107=ADVANCED_FACE('',(#23642,#4468),#8779,.T.); #332108=ADVANCED_FACE('',(#23643,#4469),#8780,.F.); #332109=ADVANCED_FACE('',(#23644),#327066,.F.); #332110=ADVANCED_FACE('',(#23645),#327067,.T.); #332111=ADVANCED_FACE('',(#23646,#4470),#8781,.T.); #332112=ADVANCED_FACE('',(#23647,#4471),#8782,.F.); #332113=ADVANCED_FACE('',(#23648),#327068,.F.); #332114=ADVANCED_FACE('',(#23649),#327069,.T.); #332115=ADVANCED_FACE('',(#23650,#4472),#8783,.T.); #332116=ADVANCED_FACE('',(#23651,#4473),#8784,.F.); #332117=ADVANCED_FACE('',(#23652),#327070,.F.); #332118=ADVANCED_FACE('',(#23653),#327071,.T.); #332119=ADVANCED_FACE('',(#23654,#4474),#8785,.T.); #332120=ADVANCED_FACE('',(#23655,#4475),#8786,.F.); #332121=ADVANCED_FACE('',(#23656),#327072,.F.); #332122=ADVANCED_FACE('',(#23657),#327073,.T.); #332123=ADVANCED_FACE('',(#23658,#4476),#8787,.T.); #332124=ADVANCED_FACE('',(#23659,#4477),#8788,.F.); #332125=ADVANCED_FACE('',(#23660),#327074,.F.); #332126=ADVANCED_FACE('',(#23661),#327075,.T.); #332127=ADVANCED_FACE('',(#23662,#4478),#8789,.T.); #332128=ADVANCED_FACE('',(#23663,#4479),#8790,.F.); #332129=ADVANCED_FACE('',(#23664),#327076,.F.); #332130=ADVANCED_FACE('',(#23665),#327077,.T.); #332131=ADVANCED_FACE('',(#23666,#4480),#8791,.T.); #332132=ADVANCED_FACE('',(#23667,#4481),#8792,.F.); #332133=ADVANCED_FACE('',(#23668),#327078,.F.); #332134=ADVANCED_FACE('',(#23669),#327079,.T.); #332135=ADVANCED_FACE('',(#23670,#4482),#8793,.T.); #332136=ADVANCED_FACE('',(#23671,#4483),#8794,.F.); #332137=ADVANCED_FACE('',(#23672),#327080,.F.); #332138=ADVANCED_FACE('',(#23673),#327081,.T.); #332139=ADVANCED_FACE('',(#23674,#4484),#8795,.T.); #332140=ADVANCED_FACE('',(#23675,#4485),#8796,.F.); #332141=ADVANCED_FACE('',(#23676),#327082,.F.); #332142=ADVANCED_FACE('',(#23677),#327083,.T.); #332143=ADVANCED_FACE('',(#23678,#4486),#8797,.T.); #332144=ADVANCED_FACE('',(#23679,#4487),#8798,.F.); #332145=ADVANCED_FACE('',(#23680),#327084,.F.); #332146=ADVANCED_FACE('',(#23681),#327085,.T.); #332147=ADVANCED_FACE('',(#23682,#4488),#8799,.T.); #332148=ADVANCED_FACE('',(#23683,#4489),#8800,.F.); #332149=ADVANCED_FACE('',(#23684),#327086,.F.); #332150=ADVANCED_FACE('',(#23685),#327087,.T.); #332151=ADVANCED_FACE('',(#23686,#4490),#8801,.T.); #332152=ADVANCED_FACE('',(#23687,#4491),#8802,.F.); #332153=ADVANCED_FACE('',(#23688),#327088,.F.); #332154=ADVANCED_FACE('',(#23689),#327089,.T.); #332155=ADVANCED_FACE('',(#23690,#4492),#8803,.T.); #332156=ADVANCED_FACE('',(#23691,#4493),#8804,.F.); #332157=ADVANCED_FACE('',(#23692),#327090,.F.); #332158=ADVANCED_FACE('',(#23693),#327091,.T.); #332159=ADVANCED_FACE('',(#23694,#4494),#8805,.T.); #332160=ADVANCED_FACE('',(#23695,#4495),#8806,.F.); #332161=ADVANCED_FACE('',(#23696),#327092,.F.); #332162=ADVANCED_FACE('',(#23697),#327093,.T.); #332163=ADVANCED_FACE('',(#23698,#4496),#8807,.T.); #332164=ADVANCED_FACE('',(#23699,#4497),#8808,.F.); #332165=ADVANCED_FACE('',(#23700),#327094,.F.); #332166=ADVANCED_FACE('',(#23701),#327095,.T.); #332167=ADVANCED_FACE('',(#23702,#4498),#8809,.T.); #332168=ADVANCED_FACE('',(#23703,#4499),#8810,.F.); #332169=ADVANCED_FACE('',(#23704),#327096,.F.); #332170=ADVANCED_FACE('',(#23705),#327097,.T.); #332171=ADVANCED_FACE('',(#23706,#4500),#8811,.T.); #332172=ADVANCED_FACE('',(#23707,#4501),#8812,.F.); #332173=ADVANCED_FACE('',(#23708),#327098,.F.); #332174=ADVANCED_FACE('',(#23709),#327099,.T.); #332175=ADVANCED_FACE('',(#23710,#4502),#8813,.T.); #332176=ADVANCED_FACE('',(#23711,#4503),#8814,.F.); #332177=ADVANCED_FACE('',(#23712),#327100,.F.); #332178=ADVANCED_FACE('',(#23713),#327101,.T.); #332179=ADVANCED_FACE('',(#23714,#4504),#8815,.T.); #332180=ADVANCED_FACE('',(#23715,#4505),#8816,.F.); #332181=ADVANCED_FACE('',(#23716),#327102,.F.); #332182=ADVANCED_FACE('',(#23717),#327103,.T.); #332183=ADVANCED_FACE('',(#23718,#4506),#8817,.T.); #332184=ADVANCED_FACE('',(#23719,#4507),#8818,.F.); #332185=ADVANCED_FACE('',(#23720),#327104,.F.); #332186=ADVANCED_FACE('',(#23721),#327105,.T.); #332187=ADVANCED_FACE('',(#23722,#4508),#8819,.T.); #332188=ADVANCED_FACE('',(#23723,#4509),#8820,.F.); #332189=ADVANCED_FACE('',(#23724),#327106,.F.); #332190=ADVANCED_FACE('',(#23725),#327107,.T.); #332191=ADVANCED_FACE('',(#23726,#4510),#8821,.T.); #332192=ADVANCED_FACE('',(#23727,#4511),#8822,.F.); #332193=ADVANCED_FACE('',(#23728),#8823,.T.); #332194=ADVANCED_FACE('',(#23729),#327108,.F.); #332195=ADVANCED_FACE('',(#23730),#8824,.T.); #332196=ADVANCED_FACE('',(#23731),#327109,.F.); #332197=ADVANCED_FACE('',(#23732),#8825,.T.); #332198=ADVANCED_FACE('',(#23733),#327110,.T.); #332199=ADVANCED_FACE('',(#23734),#8826,.T.); #332200=ADVANCED_FACE('',(#23735),#327111,.T.); #332201=ADVANCED_FACE('',(#23736,#4512),#8827,.T.); #332202=ADVANCED_FACE('',(#23737,#4513),#8828,.F.); #332203=ADVANCED_FACE('',(#23738),#327112,.F.); #332204=ADVANCED_FACE('',(#23739),#327113,.T.); #332205=ADVANCED_FACE('',(#23740,#4514),#8829,.T.); #332206=ADVANCED_FACE('',(#23741,#4515),#8830,.F.); #332207=ADVANCED_FACE('',(#23742),#8831,.T.); #332208=ADVANCED_FACE('',(#23743),#327114,.F.); #332209=ADVANCED_FACE('',(#23744),#8832,.T.); #332210=ADVANCED_FACE('',(#23745),#327115,.F.); #332211=ADVANCED_FACE('',(#23746),#8833,.T.); #332212=ADVANCED_FACE('',(#23747),#327116,.T.); #332213=ADVANCED_FACE('',(#23748),#8834,.T.); #332214=ADVANCED_FACE('',(#23749),#327117,.T.); #332215=ADVANCED_FACE('',(#23750,#4516),#8835,.T.); #332216=ADVANCED_FACE('',(#23751,#4517),#8836,.F.); #332217=ADVANCED_FACE('',(#23752),#327118,.F.); #332218=ADVANCED_FACE('',(#23753),#327119,.T.); #332219=ADVANCED_FACE('',(#23754,#4518),#8837,.T.); #332220=ADVANCED_FACE('',(#23755,#4519),#8838,.F.); #332221=ADVANCED_FACE('',(#23756),#8839,.T.); #332222=ADVANCED_FACE('',(#23757),#327120,.F.); #332223=ADVANCED_FACE('',(#23758),#8840,.T.); #332224=ADVANCED_FACE('',(#23759),#327121,.F.); #332225=ADVANCED_FACE('',(#23760),#8841,.T.); #332226=ADVANCED_FACE('',(#23761),#327122,.T.); #332227=ADVANCED_FACE('',(#23762),#8842,.T.); #332228=ADVANCED_FACE('',(#23763),#327123,.T.); #332229=ADVANCED_FACE('',(#23764,#4520),#8843,.T.); #332230=ADVANCED_FACE('',(#23765,#4521),#8844,.F.); #332231=ADVANCED_FACE('',(#23766),#327124,.F.); #332232=ADVANCED_FACE('',(#23767),#327125,.T.); #332233=ADVANCED_FACE('',(#23768,#4522),#8845,.T.); #332234=ADVANCED_FACE('',(#23769,#4523),#8846,.F.); #332235=ADVANCED_FACE('',(#23770),#327126,.F.); #332236=ADVANCED_FACE('',(#23771),#327127,.T.); #332237=ADVANCED_FACE('',(#23772,#4524),#8847,.T.); #332238=ADVANCED_FACE('',(#23773,#4525),#8848,.F.); #332239=ADVANCED_FACE('',(#23774),#327128,.F.); #332240=ADVANCED_FACE('',(#23775),#327129,.T.); #332241=ADVANCED_FACE('',(#23776,#4526),#8849,.T.); #332242=ADVANCED_FACE('',(#23777,#4527),#8850,.F.); #332243=ADVANCED_FACE('',(#23778),#327130,.F.); #332244=ADVANCED_FACE('',(#23779),#327131,.T.); #332245=ADVANCED_FACE('',(#23780,#4528),#8851,.T.); #332246=ADVANCED_FACE('',(#23781,#4529),#8852,.F.); #332247=ADVANCED_FACE('',(#23782),#327132,.F.); #332248=ADVANCED_FACE('',(#23783),#327133,.T.); #332249=ADVANCED_FACE('',(#23784,#4530),#8853,.T.); #332250=ADVANCED_FACE('',(#23785,#4531),#8854,.F.); #332251=ADVANCED_FACE('',(#23786),#327134,.F.); #332252=ADVANCED_FACE('',(#23787),#327135,.T.); #332253=ADVANCED_FACE('',(#23788,#4532),#8855,.T.); #332254=ADVANCED_FACE('',(#23789,#4533),#8856,.F.); #332255=ADVANCED_FACE('',(#23790),#327136,.F.); #332256=ADVANCED_FACE('',(#23791),#327137,.T.); #332257=ADVANCED_FACE('',(#23792,#4534),#8857,.T.); #332258=ADVANCED_FACE('',(#23793,#4535),#8858,.F.); #332259=ADVANCED_FACE('',(#23794),#327138,.F.); #332260=ADVANCED_FACE('',(#23795),#327139,.T.); #332261=ADVANCED_FACE('',(#23796,#4536),#8859,.T.); #332262=ADVANCED_FACE('',(#23797,#4537),#8860,.F.); #332263=ADVANCED_FACE('',(#23798),#327140,.F.); #332264=ADVANCED_FACE('',(#23799),#327141,.T.); #332265=ADVANCED_FACE('',(#23800,#4538),#8861,.T.); #332266=ADVANCED_FACE('',(#23801,#4539),#8862,.F.); #332267=ADVANCED_FACE('',(#23802),#327142,.F.); #332268=ADVANCED_FACE('',(#23803),#327143,.T.); #332269=ADVANCED_FACE('',(#23804,#4540),#8863,.T.); #332270=ADVANCED_FACE('',(#23805,#4541),#8864,.F.); #332271=ADVANCED_FACE('',(#23806),#8865,.T.); #332272=ADVANCED_FACE('',(#23807),#327144,.F.); #332273=ADVANCED_FACE('',(#23808),#8866,.T.); #332274=ADVANCED_FACE('',(#23809),#327145,.F.); #332275=ADVANCED_FACE('',(#23810),#8867,.T.); #332276=ADVANCED_FACE('',(#23811),#327146,.T.); #332277=ADVANCED_FACE('',(#23812),#8868,.T.); #332278=ADVANCED_FACE('',(#23813),#327147,.T.); #332279=ADVANCED_FACE('',(#23814,#4542),#8869,.T.); #332280=ADVANCED_FACE('',(#23815,#4543),#8870,.F.); #332281=ADVANCED_FACE('',(#23816),#327148,.F.); #332282=ADVANCED_FACE('',(#23817),#327149,.T.); #332283=ADVANCED_FACE('',(#23818,#4544),#8871,.T.); #332284=ADVANCED_FACE('',(#23819,#4545),#8872,.F.); #332285=ADVANCED_FACE('',(#23820),#327150,.F.); #332286=ADVANCED_FACE('',(#23821),#327151,.T.); #332287=ADVANCED_FACE('',(#23822,#4546),#8873,.T.); #332288=ADVANCED_FACE('',(#23823,#4547),#8874,.F.); #332289=ADVANCED_FACE('',(#23824),#327152,.F.); #332290=ADVANCED_FACE('',(#23825),#327153,.T.); #332291=ADVANCED_FACE('',(#23826,#4548),#8875,.T.); #332292=ADVANCED_FACE('',(#23827,#4549),#8876,.F.); #332293=ADVANCED_FACE('',(#23828),#8877,.T.); #332294=ADVANCED_FACE('',(#23829),#327154,.F.); #332295=ADVANCED_FACE('',(#23830),#8878,.T.); #332296=ADVANCED_FACE('',(#23831),#327155,.F.); #332297=ADVANCED_FACE('',(#23832),#8879,.T.); #332298=ADVANCED_FACE('',(#23833),#327156,.T.); #332299=ADVANCED_FACE('',(#23834),#8880,.T.); #332300=ADVANCED_FACE('',(#23835),#327157,.T.); #332301=ADVANCED_FACE('',(#23836,#4550),#8881,.T.); #332302=ADVANCED_FACE('',(#23837,#4551),#8882,.F.); #332303=ADVANCED_FACE('',(#23838),#327158,.F.); #332304=ADVANCED_FACE('',(#23839),#327159,.T.); #332305=ADVANCED_FACE('',(#23840,#4552),#8883,.T.); #332306=ADVANCED_FACE('',(#23841,#4553),#8884,.F.); #332307=ADVANCED_FACE('',(#23842),#8885,.T.); #332308=ADVANCED_FACE('',(#23843),#327160,.F.); #332309=ADVANCED_FACE('',(#23844),#8886,.T.); #332310=ADVANCED_FACE('',(#23845),#327161,.F.); #332311=ADVANCED_FACE('',(#23846),#8887,.T.); #332312=ADVANCED_FACE('',(#23847),#327162,.T.); #332313=ADVANCED_FACE('',(#23848),#8888,.T.); #332314=ADVANCED_FACE('',(#23849),#327163,.T.); #332315=ADVANCED_FACE('',(#23850,#4554),#8889,.T.); #332316=ADVANCED_FACE('',(#23851,#4555),#8890,.F.); #332317=ADVANCED_FACE('',(#23852),#327164,.F.); #332318=ADVANCED_FACE('',(#23853),#327165,.T.); #332319=ADVANCED_FACE('',(#23854,#4556),#8891,.T.); #332320=ADVANCED_FACE('',(#23855,#4557),#8892,.F.); #332321=ADVANCED_FACE('',(#23856),#327166,.F.); #332322=ADVANCED_FACE('',(#23857),#327167,.T.); #332323=ADVANCED_FACE('',(#23858,#4558),#8893,.T.); #332324=ADVANCED_FACE('',(#23859,#4559),#8894,.F.); #332325=ADVANCED_FACE('',(#23860),#327168,.F.); #332326=ADVANCED_FACE('',(#23861),#327169,.T.); #332327=ADVANCED_FACE('',(#23862,#4560),#8895,.T.); #332328=ADVANCED_FACE('',(#23863,#4561),#8896,.F.); #332329=ADVANCED_FACE('',(#23864),#327170,.F.); #332330=ADVANCED_FACE('',(#23865),#327171,.T.); #332331=ADVANCED_FACE('',(#23866,#4562),#8897,.T.); #332332=ADVANCED_FACE('',(#23867,#4563),#8898,.F.); #332333=ADVANCED_FACE('',(#23868),#327172,.F.); #332334=ADVANCED_FACE('',(#23869),#327173,.T.); #332335=ADVANCED_FACE('',(#23870,#4564),#8899,.T.); #332336=ADVANCED_FACE('',(#23871,#4565),#8900,.F.); #332337=ADVANCED_FACE('',(#23872),#327174,.F.); #332338=ADVANCED_FACE('',(#23873),#327175,.T.); #332339=ADVANCED_FACE('',(#23874,#4566),#8901,.T.); #332340=ADVANCED_FACE('',(#23875,#4567),#8902,.F.); #332341=ADVANCED_FACE('',(#23876),#327176,.F.); #332342=ADVANCED_FACE('',(#23877),#327177,.T.); #332343=ADVANCED_FACE('',(#23878,#4568),#8903,.T.); #332344=ADVANCED_FACE('',(#23879,#4569),#8904,.F.); #332345=ADVANCED_FACE('',(#23880),#327178,.F.); #332346=ADVANCED_FACE('',(#23881),#327179,.T.); #332347=ADVANCED_FACE('',(#23882,#4570),#8905,.T.); #332348=ADVANCED_FACE('',(#23883,#4571),#8906,.F.); #332349=ADVANCED_FACE('',(#23884),#327180,.F.); #332350=ADVANCED_FACE('',(#23885),#327181,.T.); #332351=ADVANCED_FACE('',(#23886,#4572),#8907,.T.); #332352=ADVANCED_FACE('',(#23887,#4573),#8908,.F.); #332353=ADVANCED_FACE('',(#23888),#327182,.F.); #332354=ADVANCED_FACE('',(#23889),#327183,.T.); #332355=ADVANCED_FACE('',(#23890,#4574),#8909,.T.); #332356=ADVANCED_FACE('',(#23891,#4575),#8910,.F.); #332357=ADVANCED_FACE('',(#23892),#327184,.F.); #332358=ADVANCED_FACE('',(#23893),#327185,.T.); #332359=ADVANCED_FACE('',(#23894,#4576),#8911,.T.); #332360=ADVANCED_FACE('',(#23895,#4577),#8912,.F.); #332361=ADVANCED_FACE('',(#23896),#327186,.F.); #332362=ADVANCED_FACE('',(#23897),#327187,.T.); #332363=ADVANCED_FACE('',(#23898,#4578),#8913,.T.); #332364=ADVANCED_FACE('',(#23899,#4579),#8914,.F.); #332365=ADVANCED_FACE('',(#23900),#327188,.F.); #332366=ADVANCED_FACE('',(#23901),#327189,.T.); #332367=ADVANCED_FACE('',(#23902,#4580),#8915,.T.); #332368=ADVANCED_FACE('',(#23903,#4581),#8916,.F.); #332369=ADVANCED_FACE('',(#23904),#327190,.F.); #332370=ADVANCED_FACE('',(#23905),#327191,.T.); #332371=ADVANCED_FACE('',(#23906,#4582),#8917,.T.); #332372=ADVANCED_FACE('',(#23907,#4583),#8918,.F.); #332373=ADVANCED_FACE('',(#23908),#327192,.F.); #332374=ADVANCED_FACE('',(#23909),#327193,.T.); #332375=ADVANCED_FACE('',(#23910,#4584),#8919,.T.); #332376=ADVANCED_FACE('',(#23911,#4585),#8920,.F.); #332377=ADVANCED_FACE('',(#23912),#327194,.F.); #332378=ADVANCED_FACE('',(#23913),#327195,.T.); #332379=ADVANCED_FACE('',(#23914,#4586),#8921,.T.); #332380=ADVANCED_FACE('',(#23915,#4587),#8922,.F.); #332381=ADVANCED_FACE('',(#23916),#327196,.F.); #332382=ADVANCED_FACE('',(#23917),#327197,.T.); #332383=ADVANCED_FACE('',(#23918,#4588),#8923,.T.); #332384=ADVANCED_FACE('',(#23919,#4589),#8924,.F.); #332385=ADVANCED_FACE('',(#23920),#327198,.F.); #332386=ADVANCED_FACE('',(#23921),#327199,.T.); #332387=ADVANCED_FACE('',(#23922,#4590),#8925,.T.); #332388=ADVANCED_FACE('',(#23923,#4591),#8926,.F.); #332389=ADVANCED_FACE('',(#23924),#327200,.F.); #332390=ADVANCED_FACE('',(#23925),#327201,.T.); #332391=ADVANCED_FACE('',(#23926,#4592),#8927,.T.); #332392=ADVANCED_FACE('',(#23927,#4593),#8928,.F.); #332393=ADVANCED_FACE('',(#23928),#327202,.F.); #332394=ADVANCED_FACE('',(#23929),#327203,.T.); #332395=ADVANCED_FACE('',(#23930,#4594),#8929,.T.); #332396=ADVANCED_FACE('',(#23931,#4595),#8930,.F.); #332397=ADVANCED_FACE('',(#23932),#327204,.F.); #332398=ADVANCED_FACE('',(#23933),#327205,.T.); #332399=ADVANCED_FACE('',(#23934,#4596),#8931,.T.); #332400=ADVANCED_FACE('',(#23935,#4597),#8932,.F.); #332401=ADVANCED_FACE('',(#23936),#327206,.F.); #332402=ADVANCED_FACE('',(#23937),#327207,.T.); #332403=ADVANCED_FACE('',(#23938,#4598),#8933,.T.); #332404=ADVANCED_FACE('',(#23939,#4599),#8934,.F.); #332405=ADVANCED_FACE('',(#23940),#327208,.F.); #332406=ADVANCED_FACE('',(#23941),#327209,.T.); #332407=ADVANCED_FACE('',(#23942,#4600),#8935,.T.); #332408=ADVANCED_FACE('',(#23943,#4601),#8936,.F.); #332409=ADVANCED_FACE('',(#23944),#327210,.F.); #332410=ADVANCED_FACE('',(#23945),#327211,.T.); #332411=ADVANCED_FACE('',(#23946,#4602),#8937,.T.); #332412=ADVANCED_FACE('',(#23947,#4603),#8938,.F.); #332413=ADVANCED_FACE('',(#23948),#327212,.F.); #332414=ADVANCED_FACE('',(#23949),#327213,.T.); #332415=ADVANCED_FACE('',(#23950,#4604),#8939,.T.); #332416=ADVANCED_FACE('',(#23951,#4605),#8940,.F.); #332417=ADVANCED_FACE('',(#23952),#327214,.F.); #332418=ADVANCED_FACE('',(#23953),#327215,.T.); #332419=ADVANCED_FACE('',(#23954,#4606),#8941,.T.); #332420=ADVANCED_FACE('',(#23955,#4607),#8942,.F.); #332421=ADVANCED_FACE('',(#23956),#327216,.F.); #332422=ADVANCED_FACE('',(#23957),#327217,.T.); #332423=ADVANCED_FACE('',(#23958,#4608),#8943,.T.); #332424=ADVANCED_FACE('',(#23959,#4609),#8944,.F.); #332425=ADVANCED_FACE('',(#23960),#327218,.F.); #332426=ADVANCED_FACE('',(#23961),#327219,.T.); #332427=ADVANCED_FACE('',(#23962,#4610),#8945,.T.); #332428=ADVANCED_FACE('',(#23963,#4611),#8946,.F.); #332429=ADVANCED_FACE('',(#23964),#327220,.F.); #332430=ADVANCED_FACE('',(#23965),#327221,.T.); #332431=ADVANCED_FACE('',(#23966,#4612),#8947,.T.); #332432=ADVANCED_FACE('',(#23967,#4613),#8948,.F.); #332433=ADVANCED_FACE('',(#23968),#327222,.F.); #332434=ADVANCED_FACE('',(#23969),#327223,.T.); #332435=ADVANCED_FACE('',(#23970,#4614),#8949,.T.); #332436=ADVANCED_FACE('',(#23971,#4615),#8950,.F.); #332437=ADVANCED_FACE('',(#23972),#327224,.F.); #332438=ADVANCED_FACE('',(#23973),#327225,.T.); #332439=ADVANCED_FACE('',(#23974,#4616),#8951,.T.); #332440=ADVANCED_FACE('',(#23975,#4617),#8952,.F.); #332441=ADVANCED_FACE('',(#23976),#327226,.F.); #332442=ADVANCED_FACE('',(#23977),#327227,.T.); #332443=ADVANCED_FACE('',(#23978,#4618),#8953,.T.); #332444=ADVANCED_FACE('',(#23979,#4619),#8954,.F.); #332445=ADVANCED_FACE('',(#23980),#327228,.F.); #332446=ADVANCED_FACE('',(#23981),#327229,.T.); #332447=ADVANCED_FACE('',(#23982,#4620),#8955,.T.); #332448=ADVANCED_FACE('',(#23983,#4621),#8956,.F.); #332449=ADVANCED_FACE('',(#23984),#327230,.F.); #332450=ADVANCED_FACE('',(#23985),#327231,.T.); #332451=ADVANCED_FACE('',(#23986,#4622),#8957,.T.); #332452=ADVANCED_FACE('',(#23987,#4623),#8958,.F.); #332453=ADVANCED_FACE('',(#23988),#327232,.F.); #332454=ADVANCED_FACE('',(#23989),#327233,.T.); #332455=ADVANCED_FACE('',(#23990,#4624),#8959,.T.); #332456=ADVANCED_FACE('',(#23991,#4625),#8960,.F.); #332457=ADVANCED_FACE('',(#23992),#327234,.F.); #332458=ADVANCED_FACE('',(#23993),#327235,.T.); #332459=ADVANCED_FACE('',(#23994,#4626),#8961,.T.); #332460=ADVANCED_FACE('',(#23995,#4627),#8962,.F.); #332461=ADVANCED_FACE('',(#23996),#327236,.F.); #332462=ADVANCED_FACE('',(#23997),#327237,.T.); #332463=ADVANCED_FACE('',(#23998,#4628),#8963,.T.); #332464=ADVANCED_FACE('',(#23999,#4629),#8964,.F.); #332465=ADVANCED_FACE('',(#24000),#327238,.F.); #332466=ADVANCED_FACE('',(#24001),#327239,.T.); #332467=ADVANCED_FACE('',(#24002,#4630),#8965,.T.); #332468=ADVANCED_FACE('',(#24003,#4631),#8966,.F.); #332469=ADVANCED_FACE('',(#24004),#327240,.F.); #332470=ADVANCED_FACE('',(#24005),#327241,.T.); #332471=ADVANCED_FACE('',(#24006,#4632),#8967,.T.); #332472=ADVANCED_FACE('',(#24007,#4633),#8968,.F.); #332473=ADVANCED_FACE('',(#24008),#327242,.F.); #332474=ADVANCED_FACE('',(#24009),#327243,.T.); #332475=ADVANCED_FACE('',(#24010,#4634),#8969,.T.); #332476=ADVANCED_FACE('',(#24011,#4635),#8970,.F.); #332477=ADVANCED_FACE('',(#24012),#327244,.F.); #332478=ADVANCED_FACE('',(#24013),#327245,.T.); #332479=ADVANCED_FACE('',(#24014,#4636),#8971,.T.); #332480=ADVANCED_FACE('',(#24015,#4637),#8972,.F.); #332481=ADVANCED_FACE('',(#24016),#327246,.F.); #332482=ADVANCED_FACE('',(#24017),#327247,.T.); #332483=ADVANCED_FACE('',(#24018,#4638),#8973,.T.); #332484=ADVANCED_FACE('',(#24019,#4639),#8974,.F.); #332485=ADVANCED_FACE('',(#24020),#327248,.F.); #332486=ADVANCED_FACE('',(#24021),#327249,.T.); #332487=ADVANCED_FACE('',(#24022,#4640),#8975,.T.); #332488=ADVANCED_FACE('',(#24023,#4641),#8976,.F.); #332489=ADVANCED_FACE('',(#24024),#327250,.F.); #332490=ADVANCED_FACE('',(#24025),#327251,.T.); #332491=ADVANCED_FACE('',(#24026,#4642),#8977,.T.); #332492=ADVANCED_FACE('',(#24027,#4643),#8978,.F.); #332493=ADVANCED_FACE('',(#24028),#327252,.F.); #332494=ADVANCED_FACE('',(#24029),#327253,.T.); #332495=ADVANCED_FACE('',(#24030,#4644),#8979,.T.); #332496=ADVANCED_FACE('',(#24031,#4645),#8980,.F.); #332497=ADVANCED_FACE('',(#24032),#327254,.F.); #332498=ADVANCED_FACE('',(#24033),#327255,.T.); #332499=ADVANCED_FACE('',(#24034,#4646),#8981,.T.); #332500=ADVANCED_FACE('',(#24035,#4647),#8982,.F.); #332501=ADVANCED_FACE('',(#24036),#327256,.F.); #332502=ADVANCED_FACE('',(#24037),#327257,.T.); #332503=ADVANCED_FACE('',(#24038,#4648),#8983,.T.); #332504=ADVANCED_FACE('',(#24039,#4649),#8984,.F.); #332505=ADVANCED_FACE('',(#24040),#327258,.F.); #332506=ADVANCED_FACE('',(#24041),#327259,.T.); #332507=ADVANCED_FACE('',(#24042,#4650),#8985,.T.); #332508=ADVANCED_FACE('',(#24043,#4651),#8986,.F.); #332509=ADVANCED_FACE('',(#24044),#327260,.F.); #332510=ADVANCED_FACE('',(#24045),#327261,.T.); #332511=ADVANCED_FACE('',(#24046,#4652),#8987,.T.); #332512=ADVANCED_FACE('',(#24047,#4653),#8988,.F.); #332513=ADVANCED_FACE('',(#24048),#327262,.F.); #332514=ADVANCED_FACE('',(#24049),#327263,.T.); #332515=ADVANCED_FACE('',(#24050,#4654),#8989,.T.); #332516=ADVANCED_FACE('',(#24051,#4655),#8990,.F.); #332517=ADVANCED_FACE('',(#24052),#327264,.F.); #332518=ADVANCED_FACE('',(#24053),#327265,.T.); #332519=ADVANCED_FACE('',(#24054,#4656),#8991,.T.); #332520=ADVANCED_FACE('',(#24055,#4657),#8992,.F.); #332521=ADVANCED_FACE('',(#24056),#327266,.F.); #332522=ADVANCED_FACE('',(#24057),#327267,.T.); #332523=ADVANCED_FACE('',(#24058,#4658),#8993,.T.); #332524=ADVANCED_FACE('',(#24059,#4659),#8994,.F.); #332525=ADVANCED_FACE('',(#24060),#327268,.F.); #332526=ADVANCED_FACE('',(#24061),#327269,.T.); #332527=ADVANCED_FACE('',(#24062,#4660),#8995,.T.); #332528=ADVANCED_FACE('',(#24063,#4661),#8996,.F.); #332529=ADVANCED_FACE('',(#24064),#327270,.F.); #332530=ADVANCED_FACE('',(#24065),#327271,.T.); #332531=ADVANCED_FACE('',(#24066,#4662),#8997,.T.); #332532=ADVANCED_FACE('',(#24067,#4663),#8998,.F.); #332533=ADVANCED_FACE('',(#24068),#327272,.F.); #332534=ADVANCED_FACE('',(#24069),#327273,.T.); #332535=ADVANCED_FACE('',(#24070,#4664),#8999,.T.); #332536=ADVANCED_FACE('',(#24071,#4665),#9000,.F.); #332537=ADVANCED_FACE('',(#24072),#327274,.F.); #332538=ADVANCED_FACE('',(#24073),#327275,.T.); #332539=ADVANCED_FACE('',(#24074,#4666),#9001,.T.); #332540=ADVANCED_FACE('',(#24075,#4667),#9002,.F.); #332541=ADVANCED_FACE('',(#24076),#327276,.F.); #332542=ADVANCED_FACE('',(#24077),#327277,.T.); #332543=ADVANCED_FACE('',(#24078,#4668),#9003,.T.); #332544=ADVANCED_FACE('',(#24079,#4669),#9004,.F.); #332545=ADVANCED_FACE('',(#24080),#327278,.F.); #332546=ADVANCED_FACE('',(#24081),#327279,.T.); #332547=ADVANCED_FACE('',(#24082,#4670),#9005,.T.); #332548=ADVANCED_FACE('',(#24083,#4671),#9006,.F.); #332549=ADVANCED_FACE('',(#24084),#327280,.F.); #332550=ADVANCED_FACE('',(#24085),#327281,.T.); #332551=ADVANCED_FACE('',(#24086,#4672),#9007,.T.); #332552=ADVANCED_FACE('',(#24087,#4673),#9008,.F.); #332553=ADVANCED_FACE('',(#24088),#327282,.F.); #332554=ADVANCED_FACE('',(#24089),#327283,.T.); #332555=ADVANCED_FACE('',(#24090,#4674),#9009,.T.); #332556=ADVANCED_FACE('',(#24091,#4675),#9010,.F.); #332557=ADVANCED_FACE('',(#24092),#327284,.F.); #332558=ADVANCED_FACE('',(#24093),#327285,.T.); #332559=ADVANCED_FACE('',(#24094,#4676),#9011,.T.); #332560=ADVANCED_FACE('',(#24095,#4677),#9012,.F.); #332561=ADVANCED_FACE('',(#24096),#327286,.F.); #332562=ADVANCED_FACE('',(#24097),#327287,.T.); #332563=ADVANCED_FACE('',(#24098,#4678),#9013,.T.); #332564=ADVANCED_FACE('',(#24099,#4679),#9014,.F.); #332565=ADVANCED_FACE('',(#24100),#327288,.F.); #332566=ADVANCED_FACE('',(#24101),#327289,.T.); #332567=ADVANCED_FACE('',(#24102,#4680),#9015,.T.); #332568=ADVANCED_FACE('',(#24103,#4681),#9016,.F.); #332569=ADVANCED_FACE('',(#24104),#327290,.F.); #332570=ADVANCED_FACE('',(#24105),#327291,.T.); #332571=ADVANCED_FACE('',(#24106,#4682),#9017,.T.); #332572=ADVANCED_FACE('',(#24107,#4683),#9018,.F.); #332573=ADVANCED_FACE('',(#24108),#327292,.F.); #332574=ADVANCED_FACE('',(#24109),#327293,.T.); #332575=ADVANCED_FACE('',(#24110,#4684),#9019,.T.); #332576=ADVANCED_FACE('',(#24111,#4685),#9020,.F.); #332577=ADVANCED_FACE('',(#24112),#327294,.F.); #332578=ADVANCED_FACE('',(#24113),#327295,.T.); #332579=ADVANCED_FACE('',(#24114,#4686),#9021,.T.); #332580=ADVANCED_FACE('',(#24115,#4687),#9022,.F.); #332581=ADVANCED_FACE('',(#24116),#327296,.F.); #332582=ADVANCED_FACE('',(#24117),#327297,.T.); #332583=ADVANCED_FACE('',(#24118,#4688),#9023,.T.); #332584=ADVANCED_FACE('',(#24119,#4689),#9024,.F.); #332585=ADVANCED_FACE('',(#24120),#327298,.F.); #332586=ADVANCED_FACE('',(#24121),#327299,.T.); #332587=ADVANCED_FACE('',(#24122,#4690),#9025,.T.); #332588=ADVANCED_FACE('',(#24123,#4691),#9026,.F.); #332589=ADVANCED_FACE('',(#24124),#327300,.F.); #332590=ADVANCED_FACE('',(#24125),#327301,.T.); #332591=ADVANCED_FACE('',(#24126,#4692),#9027,.T.); #332592=ADVANCED_FACE('',(#24127,#4693),#9028,.F.); #332593=ADVANCED_FACE('',(#24128),#327302,.F.); #332594=ADVANCED_FACE('',(#24129),#327303,.T.); #332595=ADVANCED_FACE('',(#24130,#4694),#9029,.T.); #332596=ADVANCED_FACE('',(#24131,#4695),#9030,.F.); #332597=ADVANCED_FACE('',(#24132),#327304,.F.); #332598=ADVANCED_FACE('',(#24133),#327305,.T.); #332599=ADVANCED_FACE('',(#24134,#4696),#9031,.T.); #332600=ADVANCED_FACE('',(#24135,#4697),#9032,.F.); #332601=ADVANCED_FACE('',(#24136),#327306,.F.); #332602=ADVANCED_FACE('',(#24137),#327307,.T.); #332603=ADVANCED_FACE('',(#24138,#4698),#9033,.T.); #332604=ADVANCED_FACE('',(#24139,#4699),#9034,.F.); #332605=ADVANCED_FACE('',(#24140),#327308,.F.); #332606=ADVANCED_FACE('',(#24141),#327309,.T.); #332607=ADVANCED_FACE('',(#24142,#4700),#9035,.T.); #332608=ADVANCED_FACE('',(#24143,#4701),#9036,.F.); #332609=ADVANCED_FACE('',(#24144),#327310,.F.); #332610=ADVANCED_FACE('',(#24145),#327311,.T.); #332611=ADVANCED_FACE('',(#24146,#4702),#9037,.T.); #332612=ADVANCED_FACE('',(#24147,#4703),#9038,.F.); #332613=ADVANCED_FACE('',(#24148),#327312,.F.); #332614=ADVANCED_FACE('',(#24149),#327313,.T.); #332615=ADVANCED_FACE('',(#24150,#4704),#9039,.T.); #332616=ADVANCED_FACE('',(#24151,#4705),#9040,.F.); #332617=ADVANCED_FACE('',(#24152),#327314,.F.); #332618=ADVANCED_FACE('',(#24153),#327315,.T.); #332619=ADVANCED_FACE('',(#24154,#4706),#9041,.T.); #332620=ADVANCED_FACE('',(#24155,#4707),#9042,.F.); #332621=ADVANCED_FACE('',(#24156),#327316,.F.); #332622=ADVANCED_FACE('',(#24157),#327317,.T.); #332623=ADVANCED_FACE('',(#24158,#4708),#9043,.T.); #332624=ADVANCED_FACE('',(#24159,#4709),#9044,.F.); #332625=ADVANCED_FACE('',(#24160),#327318,.F.); #332626=ADVANCED_FACE('',(#24161),#327319,.T.); #332627=ADVANCED_FACE('',(#24162,#4710),#9045,.T.); #332628=ADVANCED_FACE('',(#24163,#4711),#9046,.F.); #332629=ADVANCED_FACE('',(#24164),#327320,.F.); #332630=ADVANCED_FACE('',(#24165),#327321,.T.); #332631=ADVANCED_FACE('',(#24166,#4712),#9047,.T.); #332632=ADVANCED_FACE('',(#24167,#4713),#9048,.F.); #332633=ADVANCED_FACE('',(#24168),#327322,.F.); #332634=ADVANCED_FACE('',(#24169),#327323,.T.); #332635=ADVANCED_FACE('',(#24170,#4714),#9049,.T.); #332636=ADVANCED_FACE('',(#24171,#4715),#9050,.F.); #332637=ADVANCED_FACE('',(#24172),#327324,.F.); #332638=ADVANCED_FACE('',(#24173),#327325,.T.); #332639=ADVANCED_FACE('',(#24174,#4716),#9051,.T.); #332640=ADVANCED_FACE('',(#24175,#4717),#9052,.F.); #332641=ADVANCED_FACE('',(#24176),#327326,.F.); #332642=ADVANCED_FACE('',(#24177),#327327,.T.); #332643=ADVANCED_FACE('',(#24178,#4718),#9053,.T.); #332644=ADVANCED_FACE('',(#24179,#4719),#9054,.F.); #332645=ADVANCED_FACE('',(#24180),#327328,.F.); #332646=ADVANCED_FACE('',(#24181),#327329,.T.); #332647=ADVANCED_FACE('',(#24182,#4720),#9055,.T.); #332648=ADVANCED_FACE('',(#24183,#4721),#9056,.F.); #332649=ADVANCED_FACE('',(#24184),#327330,.F.); #332650=ADVANCED_FACE('',(#24185),#327331,.T.); #332651=ADVANCED_FACE('',(#24186,#4722),#9057,.T.); #332652=ADVANCED_FACE('',(#24187,#4723),#9058,.F.); #332653=ADVANCED_FACE('',(#24188),#327332,.F.); #332654=ADVANCED_FACE('',(#24189),#327333,.T.); #332655=ADVANCED_FACE('',(#24190,#4724),#9059,.T.); #332656=ADVANCED_FACE('',(#24191,#4725),#9060,.F.); #332657=ADVANCED_FACE('',(#24192),#327334,.F.); #332658=ADVANCED_FACE('',(#24193),#327335,.T.); #332659=ADVANCED_FACE('',(#24194,#4726),#9061,.T.); #332660=ADVANCED_FACE('',(#24195,#4727),#9062,.F.); #332661=ADVANCED_FACE('',(#24196),#327336,.F.); #332662=ADVANCED_FACE('',(#24197),#327337,.T.); #332663=ADVANCED_FACE('',(#24198,#4728),#9063,.T.); #332664=ADVANCED_FACE('',(#24199,#4729),#9064,.F.); #332665=ADVANCED_FACE('',(#24200),#327338,.F.); #332666=ADVANCED_FACE('',(#24201),#327339,.T.); #332667=ADVANCED_FACE('',(#24202,#4730),#9065,.T.); #332668=ADVANCED_FACE('',(#24203,#4731),#9066,.F.); #332669=ADVANCED_FACE('',(#24204),#327340,.F.); #332670=ADVANCED_FACE('',(#24205),#327341,.T.); #332671=ADVANCED_FACE('',(#24206,#4732),#9067,.T.); #332672=ADVANCED_FACE('',(#24207,#4733),#9068,.F.); #332673=ADVANCED_FACE('',(#24208),#327342,.F.); #332674=ADVANCED_FACE('',(#24209),#327343,.T.); #332675=ADVANCED_FACE('',(#24210,#4734),#9069,.T.); #332676=ADVANCED_FACE('',(#24211,#4735),#9070,.F.); #332677=ADVANCED_FACE('',(#24212),#327344,.F.); #332678=ADVANCED_FACE('',(#24213),#327345,.T.); #332679=ADVANCED_FACE('',(#24214,#4736),#9071,.T.); #332680=ADVANCED_FACE('',(#24215,#4737),#9072,.F.); #332681=ADVANCED_FACE('',(#24216),#327346,.F.); #332682=ADVANCED_FACE('',(#24217),#327347,.T.); #332683=ADVANCED_FACE('',(#24218,#4738),#9073,.T.); #332684=ADVANCED_FACE('',(#24219,#4739),#9074,.F.); #332685=ADVANCED_FACE('',(#24220),#327348,.F.); #332686=ADVANCED_FACE('',(#24221),#327349,.T.); #332687=ADVANCED_FACE('',(#24222,#4740),#9075,.T.); #332688=ADVANCED_FACE('',(#24223,#4741),#9076,.F.); #332689=ADVANCED_FACE('',(#24224),#327350,.F.); #332690=ADVANCED_FACE('',(#24225),#327351,.T.); #332691=ADVANCED_FACE('',(#24226,#4742),#9077,.T.); #332692=ADVANCED_FACE('',(#24227,#4743),#9078,.F.); #332693=ADVANCED_FACE('',(#24228),#327352,.F.); #332694=ADVANCED_FACE('',(#24229),#327353,.T.); #332695=ADVANCED_FACE('',(#24230,#4744),#9079,.T.); #332696=ADVANCED_FACE('',(#24231,#4745),#9080,.F.); #332697=ADVANCED_FACE('',(#24232),#327354,.F.); #332698=ADVANCED_FACE('',(#24233),#327355,.T.); #332699=ADVANCED_FACE('',(#24234,#4746),#9081,.T.); #332700=ADVANCED_FACE('',(#24235,#4747),#9082,.F.); #332701=ADVANCED_FACE('',(#24236),#327356,.F.); #332702=ADVANCED_FACE('',(#24237),#327357,.T.); #332703=ADVANCED_FACE('',(#24238,#4748),#9083,.T.); #332704=ADVANCED_FACE('',(#24239,#4749),#9084,.F.); #332705=ADVANCED_FACE('',(#24240),#327358,.F.); #332706=ADVANCED_FACE('',(#24241),#327359,.T.); #332707=ADVANCED_FACE('',(#24242,#4750),#9085,.T.); #332708=ADVANCED_FACE('',(#24243,#4751),#9086,.F.); #332709=ADVANCED_FACE('',(#24244),#327360,.F.); #332710=ADVANCED_FACE('',(#24245),#327361,.T.); #332711=ADVANCED_FACE('',(#24246,#4752),#9087,.T.); #332712=ADVANCED_FACE('',(#24247,#4753),#9088,.F.); #332713=ADVANCED_FACE('',(#24248),#327362,.F.); #332714=ADVANCED_FACE('',(#24249),#327363,.T.); #332715=ADVANCED_FACE('',(#24250,#4754),#9089,.T.); #332716=ADVANCED_FACE('',(#24251,#4755),#9090,.F.); #332717=ADVANCED_FACE('',(#24252),#327364,.F.); #332718=ADVANCED_FACE('',(#24253),#327365,.T.); #332719=ADVANCED_FACE('',(#24254,#4756),#9091,.T.); #332720=ADVANCED_FACE('',(#24255,#4757),#9092,.F.); #332721=ADVANCED_FACE('',(#24256),#327366,.F.); #332722=ADVANCED_FACE('',(#24257),#327367,.T.); #332723=ADVANCED_FACE('',(#24258,#4758),#9093,.T.); #332724=ADVANCED_FACE('',(#24259,#4759),#9094,.F.); #332725=ADVANCED_FACE('',(#24260),#327368,.F.); #332726=ADVANCED_FACE('',(#24261),#327369,.T.); #332727=ADVANCED_FACE('',(#24262,#4760),#9095,.T.); #332728=ADVANCED_FACE('',(#24263,#4761),#9096,.F.); #332729=ADVANCED_FACE('',(#24264),#327370,.F.); #332730=ADVANCED_FACE('',(#24265),#327371,.T.); #332731=ADVANCED_FACE('',(#24266,#4762),#9097,.T.); #332732=ADVANCED_FACE('',(#24267,#4763),#9098,.F.); #332733=ADVANCED_FACE('',(#24268),#327372,.F.); #332734=ADVANCED_FACE('',(#24269),#327373,.T.); #332735=ADVANCED_FACE('',(#24270,#4764),#9099,.T.); #332736=ADVANCED_FACE('',(#24271,#4765),#9100,.F.); #332737=ADVANCED_FACE('',(#24272),#327374,.F.); #332738=ADVANCED_FACE('',(#24273),#327375,.T.); #332739=ADVANCED_FACE('',(#24274,#4766),#9101,.T.); #332740=ADVANCED_FACE('',(#24275,#4767),#9102,.F.); #332741=ADVANCED_FACE('',(#24276),#327376,.F.); #332742=ADVANCED_FACE('',(#24277),#327377,.T.); #332743=ADVANCED_FACE('',(#24278,#4768),#9103,.T.); #332744=ADVANCED_FACE('',(#24279,#4769),#9104,.F.); #332745=ADVANCED_FACE('',(#24280),#327378,.F.); #332746=ADVANCED_FACE('',(#24281),#327379,.T.); #332747=ADVANCED_FACE('',(#24282,#4770),#9105,.T.); #332748=ADVANCED_FACE('',(#24283,#4771),#9106,.F.); #332749=ADVANCED_FACE('',(#24284),#327380,.F.); #332750=ADVANCED_FACE('',(#24285),#327381,.T.); #332751=ADVANCED_FACE('',(#24286,#4772),#9107,.T.); #332752=ADVANCED_FACE('',(#24287,#4773),#9108,.F.); #332753=ADVANCED_FACE('',(#24288),#327382,.F.); #332754=ADVANCED_FACE('',(#24289),#327383,.T.); #332755=ADVANCED_FACE('',(#24290,#4774),#9109,.T.); #332756=ADVANCED_FACE('',(#24291,#4775),#9110,.F.); #332757=ADVANCED_FACE('',(#24292),#327384,.F.); #332758=ADVANCED_FACE('',(#24293),#327385,.T.); #332759=ADVANCED_FACE('',(#24294,#4776),#9111,.T.); #332760=ADVANCED_FACE('',(#24295,#4777),#9112,.F.); #332761=ADVANCED_FACE('',(#24296),#327386,.F.); #332762=ADVANCED_FACE('',(#24297),#327387,.T.); #332763=ADVANCED_FACE('',(#24298,#4778),#9113,.T.); #332764=ADVANCED_FACE('',(#24299,#4779),#9114,.F.); #332765=ADVANCED_FACE('',(#24300),#327388,.F.); #332766=ADVANCED_FACE('',(#24301),#327389,.T.); #332767=ADVANCED_FACE('',(#24302,#4780),#9115,.T.); #332768=ADVANCED_FACE('',(#24303,#4781),#9116,.F.); #332769=ADVANCED_FACE('',(#24304),#327390,.F.); #332770=ADVANCED_FACE('',(#24305),#327391,.T.); #332771=ADVANCED_FACE('',(#24306,#4782),#9117,.T.); #332772=ADVANCED_FACE('',(#24307,#4783),#9118,.F.); #332773=ADVANCED_FACE('',(#24308),#327392,.F.); #332774=ADVANCED_FACE('',(#24309),#327393,.T.); #332775=ADVANCED_FACE('',(#24310,#4784),#9119,.T.); #332776=ADVANCED_FACE('',(#24311,#4785),#9120,.F.); #332777=ADVANCED_FACE('',(#24312),#327394,.F.); #332778=ADVANCED_FACE('',(#24313),#327395,.T.); #332779=ADVANCED_FACE('',(#24314,#4786),#9121,.T.); #332780=ADVANCED_FACE('',(#24315,#4787),#9122,.F.); #332781=ADVANCED_FACE('',(#24316),#327396,.F.); #332782=ADVANCED_FACE('',(#24317),#327397,.T.); #332783=ADVANCED_FACE('',(#24318,#4788),#9123,.T.); #332784=ADVANCED_FACE('',(#24319,#4789),#9124,.F.); #332785=ADVANCED_FACE('',(#24320),#327398,.F.); #332786=ADVANCED_FACE('',(#24321),#327399,.T.); #332787=ADVANCED_FACE('',(#24322,#4790),#9125,.T.); #332788=ADVANCED_FACE('',(#24323,#4791),#9126,.F.); #332789=ADVANCED_FACE('',(#24324),#327400,.F.); #332790=ADVANCED_FACE('',(#24325),#327401,.T.); #332791=ADVANCED_FACE('',(#24326,#4792),#9127,.T.); #332792=ADVANCED_FACE('',(#24327,#4793),#9128,.F.); #332793=ADVANCED_FACE('',(#24328),#327402,.F.); #332794=ADVANCED_FACE('',(#24329),#327403,.T.); #332795=ADVANCED_FACE('',(#24330,#4794),#9129,.T.); #332796=ADVANCED_FACE('',(#24331,#4795),#9130,.F.); #332797=ADVANCED_FACE('',(#24332),#327404,.F.); #332798=ADVANCED_FACE('',(#24333),#327405,.T.); #332799=ADVANCED_FACE('',(#24334,#4796),#9131,.T.); #332800=ADVANCED_FACE('',(#24335,#4797),#9132,.F.); #332801=ADVANCED_FACE('',(#24336),#327406,.F.); #332802=ADVANCED_FACE('',(#24337),#327407,.T.); #332803=ADVANCED_FACE('',(#24338,#4798),#9133,.T.); #332804=ADVANCED_FACE('',(#24339,#4799),#9134,.F.); #332805=ADVANCED_FACE('',(#24340),#327408,.F.); #332806=ADVANCED_FACE('',(#24341),#327409,.T.); #332807=ADVANCED_FACE('',(#24342,#4800),#9135,.T.); #332808=ADVANCED_FACE('',(#24343,#4801),#9136,.F.); #332809=ADVANCED_FACE('',(#24344),#327410,.F.); #332810=ADVANCED_FACE('',(#24345),#327411,.T.); #332811=ADVANCED_FACE('',(#24346,#4802),#9137,.T.); #332812=ADVANCED_FACE('',(#24347,#4803),#9138,.F.); #332813=ADVANCED_FACE('',(#24348),#327412,.F.); #332814=ADVANCED_FACE('',(#24349),#327413,.T.); #332815=ADVANCED_FACE('',(#24350,#4804),#9139,.T.); #332816=ADVANCED_FACE('',(#24351,#4805),#9140,.F.); #332817=ADVANCED_FACE('',(#24352),#327414,.F.); #332818=ADVANCED_FACE('',(#24353),#327415,.T.); #332819=ADVANCED_FACE('',(#24354,#4806),#9141,.T.); #332820=ADVANCED_FACE('',(#24355,#4807),#9142,.F.); #332821=ADVANCED_FACE('',(#24356),#327416,.F.); #332822=ADVANCED_FACE('',(#24357),#327417,.T.); #332823=ADVANCED_FACE('',(#24358,#4808),#9143,.T.); #332824=ADVANCED_FACE('',(#24359,#4809),#9144,.F.); #332825=ADVANCED_FACE('',(#24360),#327418,.F.); #332826=ADVANCED_FACE('',(#24361),#327419,.T.); #332827=ADVANCED_FACE('',(#24362,#4810),#9145,.T.); #332828=ADVANCED_FACE('',(#24363,#4811),#9146,.F.); #332829=ADVANCED_FACE('',(#24364),#327420,.F.); #332830=ADVANCED_FACE('',(#24365),#327421,.T.); #332831=ADVANCED_FACE('',(#24366,#4812),#9147,.T.); #332832=ADVANCED_FACE('',(#24367,#4813),#9148,.F.); #332833=ADVANCED_FACE('',(#24368),#327422,.F.); #332834=ADVANCED_FACE('',(#24369),#327423,.T.); #332835=ADVANCED_FACE('',(#24370,#4814),#9149,.T.); #332836=ADVANCED_FACE('',(#24371,#4815),#9150,.F.); #332837=ADVANCED_FACE('',(#24372),#327424,.F.); #332838=ADVANCED_FACE('',(#24373),#327425,.T.); #332839=ADVANCED_FACE('',(#24374,#4816),#9151,.T.); #332840=ADVANCED_FACE('',(#24375,#4817),#9152,.F.); #332841=ADVANCED_FACE('',(#24376),#327426,.F.); #332842=ADVANCED_FACE('',(#24377),#327427,.T.); #332843=ADVANCED_FACE('',(#24378,#4818),#9153,.T.); #332844=ADVANCED_FACE('',(#24379,#4819),#9154,.F.); #332845=ADVANCED_FACE('',(#24380),#327428,.F.); #332846=ADVANCED_FACE('',(#24381),#327429,.T.); #332847=ADVANCED_FACE('',(#24382,#4820),#9155,.T.); #332848=ADVANCED_FACE('',(#24383,#4821),#9156,.F.); #332849=ADVANCED_FACE('',(#24384),#327430,.F.); #332850=ADVANCED_FACE('',(#24385),#327431,.T.); #332851=ADVANCED_FACE('',(#24386,#4822),#9157,.T.); #332852=ADVANCED_FACE('',(#24387,#4823),#9158,.F.); #332853=ADVANCED_FACE('',(#24388),#327432,.F.); #332854=ADVANCED_FACE('',(#24389),#327433,.T.); #332855=ADVANCED_FACE('',(#24390,#4824),#9159,.T.); #332856=ADVANCED_FACE('',(#24391,#4825),#9160,.F.); #332857=ADVANCED_FACE('',(#24392),#327434,.F.); #332858=ADVANCED_FACE('',(#24393),#327435,.T.); #332859=ADVANCED_FACE('',(#24394,#4826),#9161,.T.); #332860=ADVANCED_FACE('',(#24395,#4827),#9162,.F.); #332861=ADVANCED_FACE('',(#24396),#327436,.F.); #332862=ADVANCED_FACE('',(#24397),#327437,.T.); #332863=ADVANCED_FACE('',(#24398,#4828),#9163,.T.); #332864=ADVANCED_FACE('',(#24399,#4829),#9164,.F.); #332865=ADVANCED_FACE('',(#24400),#327438,.F.); #332866=ADVANCED_FACE('',(#24401),#327439,.T.); #332867=ADVANCED_FACE('',(#24402,#4830),#9165,.T.); #332868=ADVANCED_FACE('',(#24403,#4831),#9166,.F.); #332869=ADVANCED_FACE('',(#24404),#327440,.F.); #332870=ADVANCED_FACE('',(#24405),#327441,.T.); #332871=ADVANCED_FACE('',(#24406,#4832),#9167,.T.); #332872=ADVANCED_FACE('',(#24407,#4833),#9168,.F.); #332873=ADVANCED_FACE('',(#24408),#327442,.F.); #332874=ADVANCED_FACE('',(#24409),#327443,.T.); #332875=ADVANCED_FACE('',(#24410,#4834),#9169,.T.); #332876=ADVANCED_FACE('',(#24411,#4835),#9170,.F.); #332877=ADVANCED_FACE('',(#24412),#327444,.F.); #332878=ADVANCED_FACE('',(#24413),#327445,.T.); #332879=ADVANCED_FACE('',(#24414,#4836),#9171,.T.); #332880=ADVANCED_FACE('',(#24415,#4837),#9172,.F.); #332881=ADVANCED_FACE('',(#24416),#327446,.F.); #332882=ADVANCED_FACE('',(#24417),#327447,.T.); #332883=ADVANCED_FACE('',(#24418,#4838),#9173,.T.); #332884=ADVANCED_FACE('',(#24419,#4839),#9174,.F.); #332885=ADVANCED_FACE('',(#24420),#327448,.F.); #332886=ADVANCED_FACE('',(#24421),#327449,.T.); #332887=ADVANCED_FACE('',(#24422,#4840),#9175,.T.); #332888=ADVANCED_FACE('',(#24423,#4841),#9176,.F.); #332889=ADVANCED_FACE('',(#24424),#327450,.F.); #332890=ADVANCED_FACE('',(#24425),#327451,.T.); #332891=ADVANCED_FACE('',(#24426,#4842),#9177,.T.); #332892=ADVANCED_FACE('',(#24427,#4843),#9178,.F.); #332893=ADVANCED_FACE('',(#24428),#327452,.F.); #332894=ADVANCED_FACE('',(#24429),#327453,.T.); #332895=ADVANCED_FACE('',(#24430,#4844),#9179,.T.); #332896=ADVANCED_FACE('',(#24431,#4845),#9180,.F.); #332897=ADVANCED_FACE('',(#24432),#327454,.F.); #332898=ADVANCED_FACE('',(#24433),#327455,.T.); #332899=ADVANCED_FACE('',(#24434,#4846),#9181,.T.); #332900=ADVANCED_FACE('',(#24435,#4847),#9182,.F.); #332901=ADVANCED_FACE('',(#24436),#327456,.F.); #332902=ADVANCED_FACE('',(#24437),#327457,.T.); #332903=ADVANCED_FACE('',(#24438,#4848),#9183,.T.); #332904=ADVANCED_FACE('',(#24439,#4849),#9184,.F.); #332905=ADVANCED_FACE('',(#24440),#327458,.F.); #332906=ADVANCED_FACE('',(#24441),#327459,.T.); #332907=ADVANCED_FACE('',(#24442,#4850),#9185,.T.); #332908=ADVANCED_FACE('',(#24443,#4851),#9186,.F.); #332909=ADVANCED_FACE('',(#24444),#327460,.F.); #332910=ADVANCED_FACE('',(#24445),#327461,.T.); #332911=ADVANCED_FACE('',(#24446,#4852),#9187,.T.); #332912=ADVANCED_FACE('',(#24447,#4853),#9188,.F.); #332913=ADVANCED_FACE('',(#24448),#327462,.F.); #332914=ADVANCED_FACE('',(#24449),#327463,.T.); #332915=ADVANCED_FACE('',(#24450,#4854),#9189,.T.); #332916=ADVANCED_FACE('',(#24451,#4855),#9190,.F.); #332917=ADVANCED_FACE('',(#24452),#327464,.F.); #332918=ADVANCED_FACE('',(#24453),#327465,.T.); #332919=ADVANCED_FACE('',(#24454,#4856),#9191,.T.); #332920=ADVANCED_FACE('',(#24455,#4857),#9192,.F.); #332921=ADVANCED_FACE('',(#24456),#327466,.F.); #332922=ADVANCED_FACE('',(#24457),#327467,.T.); #332923=ADVANCED_FACE('',(#24458,#4858),#9193,.T.); #332924=ADVANCED_FACE('',(#24459,#4859),#9194,.F.); #332925=ADVANCED_FACE('',(#24460),#327468,.F.); #332926=ADVANCED_FACE('',(#24461),#327469,.T.); #332927=ADVANCED_FACE('',(#24462,#4860),#9195,.T.); #332928=ADVANCED_FACE('',(#24463,#4861),#9196,.F.); #332929=ADVANCED_FACE('',(#24464),#327470,.F.); #332930=ADVANCED_FACE('',(#24465),#327471,.T.); #332931=ADVANCED_FACE('',(#24466,#4862),#9197,.T.); #332932=ADVANCED_FACE('',(#24467,#4863),#9198,.F.); #332933=ADVANCED_FACE('',(#24468),#327472,.F.); #332934=ADVANCED_FACE('',(#24469),#327473,.T.); #332935=ADVANCED_FACE('',(#24470,#4864),#9199,.T.); #332936=ADVANCED_FACE('',(#24471,#4865),#9200,.F.); #332937=ADVANCED_FACE('',(#24472),#327474,.F.); #332938=ADVANCED_FACE('',(#24473),#327475,.T.); #332939=ADVANCED_FACE('',(#24474,#4866),#9201,.T.); #332940=ADVANCED_FACE('',(#24475,#4867),#9202,.F.); #332941=ADVANCED_FACE('',(#24476),#327476,.F.); #332942=ADVANCED_FACE('',(#24477),#327477,.T.); #332943=ADVANCED_FACE('',(#24478,#4868),#9203,.T.); #332944=ADVANCED_FACE('',(#24479,#4869),#9204,.F.); #332945=ADVANCED_FACE('',(#24480),#327478,.F.); #332946=ADVANCED_FACE('',(#24481),#327479,.T.); #332947=ADVANCED_FACE('',(#24482,#4870),#9205,.T.); #332948=ADVANCED_FACE('',(#24483,#4871),#9206,.F.); #332949=ADVANCED_FACE('',(#24484),#327480,.F.); #332950=ADVANCED_FACE('',(#24485),#327481,.T.); #332951=ADVANCED_FACE('',(#24486,#4872),#9207,.T.); #332952=ADVANCED_FACE('',(#24487,#4873),#9208,.F.); #332953=ADVANCED_FACE('',(#24488),#327482,.F.); #332954=ADVANCED_FACE('',(#24489),#327483,.T.); #332955=ADVANCED_FACE('',(#24490,#4874),#9209,.T.); #332956=ADVANCED_FACE('',(#24491,#4875),#9210,.F.); #332957=ADVANCED_FACE('',(#24492),#327484,.F.); #332958=ADVANCED_FACE('',(#24493),#327485,.T.); #332959=ADVANCED_FACE('',(#24494,#4876),#9211,.T.); #332960=ADVANCED_FACE('',(#24495,#4877),#9212,.F.); #332961=ADVANCED_FACE('',(#24496),#327486,.F.); #332962=ADVANCED_FACE('',(#24497),#9213,.T.); #332963=ADVANCED_FACE('',(#24498),#327487,.T.); #332964=ADVANCED_FACE('',(#24499),#327488,.T.); #332965=ADVANCED_FACE('',(#24500,#4878),#9214,.T.); #332966=ADVANCED_FACE('',(#24501,#4879),#9215,.F.); #332967=ADVANCED_FACE('',(#24502),#9216,.T.); #332968=ADVANCED_FACE('',(#24503),#9217,.T.); #332969=ADVANCED_FACE('',(#24504),#9218,.T.); #332970=ADVANCED_FACE('',(#24505),#9219,.T.); #332971=ADVANCED_FACE('',(#24506),#9220,.T.); #332972=ADVANCED_FACE('',(#24507),#9221,.F.); #332973=ADVANCED_FACE('',(#24508),#9222,.T.); #332974=ADVANCED_FACE('',(#24509),#9223,.T.); #332975=ADVANCED_FACE('',(#24510),#9224,.T.); #332976=ADVANCED_FACE('',(#24511),#9225,.T.); #332977=ADVANCED_FACE('',(#24512),#9226,.T.); #332978=ADVANCED_FACE('',(#24513),#9227,.F.); #332979=ADVANCED_FACE('',(#24514),#9228,.T.); #332980=ADVANCED_FACE('',(#24515),#9229,.T.); #332981=ADVANCED_FACE('',(#24516),#9230,.T.); #332982=ADVANCED_FACE('',(#24517),#9231,.T.); #332983=ADVANCED_FACE('',(#24518),#9232,.T.); #332984=ADVANCED_FACE('',(#24519),#9233,.F.); #332985=ADVANCED_FACE('',(#24520),#9234,.T.); #332986=ADVANCED_FACE('',(#24521),#9235,.T.); #332987=ADVANCED_FACE('',(#24522),#9236,.T.); #332988=ADVANCED_FACE('',(#24523),#9237,.T.); #332989=ADVANCED_FACE('',(#24524),#9238,.T.); #332990=ADVANCED_FACE('',(#24525),#9239,.F.); #332991=ADVANCED_FACE('',(#24526),#9240,.T.); #332992=ADVANCED_FACE('',(#24527),#9241,.T.); #332993=ADVANCED_FACE('',(#24528),#9242,.T.); #332994=ADVANCED_FACE('',(#24529),#9243,.T.); #332995=ADVANCED_FACE('',(#24530),#9244,.T.); #332996=ADVANCED_FACE('',(#24531),#9245,.F.); #332997=ADVANCED_FACE('',(#24532),#9246,.T.); #332998=ADVANCED_FACE('',(#24533),#9247,.T.); #332999=ADVANCED_FACE('',(#24534),#9248,.T.); #333000=ADVANCED_FACE('',(#24535),#9249,.T.); #333001=ADVANCED_FACE('',(#24536),#9250,.T.); #333002=ADVANCED_FACE('',(#24537),#9251,.F.); #333003=ADVANCED_FACE('',(#24538),#9252,.T.); #333004=ADVANCED_FACE('',(#24539),#9253,.T.); #333005=ADVANCED_FACE('',(#24540),#9254,.T.); #333006=ADVANCED_FACE('',(#24541),#9255,.T.); #333007=ADVANCED_FACE('',(#24542),#9256,.T.); #333008=ADVANCED_FACE('',(#24543),#9257,.F.); #333009=ADVANCED_FACE('',(#24544),#9258,.T.); #333010=ADVANCED_FACE('',(#24545),#9259,.T.); #333011=ADVANCED_FACE('',(#24546),#9260,.T.); #333012=ADVANCED_FACE('',(#24547),#9261,.T.); #333013=ADVANCED_FACE('',(#24548),#9262,.T.); #333014=ADVANCED_FACE('',(#24549),#9263,.F.); #333015=ADVANCED_FACE('',(#24550),#9264,.T.); #333016=ADVANCED_FACE('',(#24551),#9265,.T.); #333017=ADVANCED_FACE('',(#24552),#9266,.T.); #333018=ADVANCED_FACE('',(#24553),#9267,.T.); #333019=ADVANCED_FACE('',(#24554),#9268,.T.); #333020=ADVANCED_FACE('',(#24555),#9269,.F.); #333021=ADVANCED_FACE('',(#24556),#9270,.T.); #333022=ADVANCED_FACE('',(#24557),#9271,.T.); #333023=ADVANCED_FACE('',(#24558),#9272,.T.); #333024=ADVANCED_FACE('',(#24559),#9273,.T.); #333025=ADVANCED_FACE('',(#24560),#9274,.T.); #333026=ADVANCED_FACE('',(#24561),#9275,.F.); #333027=ADVANCED_FACE('',(#24562),#327489,.F.); #333028=ADVANCED_FACE('',(#24563),#9276,.T.); #333029=ADVANCED_FACE('',(#24564),#327490,.T.); #333030=ADVANCED_FACE('',(#24565),#327491,.T.); #333031=ADVANCED_FACE('',(#24566,#4880),#9277,.T.); #333032=ADVANCED_FACE('',(#24567,#4881),#9278,.F.); #333033=ADVANCED_FACE('',(#24568),#327492,.F.); #333034=ADVANCED_FACE('',(#24569),#327493,.F.); #333035=ADVANCED_FACE('',(#24570),#9279,.T.); #333036=ADVANCED_FACE('',(#24571),#327494,.T.); #333037=ADVANCED_FACE('',(#24572),#327495,.T.); #333038=ADVANCED_FACE('',(#24573),#9280,.T.); #333039=ADVANCED_FACE('',(#24574),#327496,.T.); #333040=ADVANCED_FACE('',(#24575),#327497,.T.); #333041=ADVANCED_FACE('',(#24576),#9281,.T.); #333042=ADVANCED_FACE('',(#24577),#327498,.T.); #333043=ADVANCED_FACE('',(#24578,#4882,#4883),#9282,.T.); #333044=ADVANCED_FACE('',(#24579,#4884,#4885),#9283,.F.); #333045=ADVANCED_FACE('',(#24580),#327499,.F.); #333046=ADVANCED_FACE('',(#24581),#9284,.T.); #333047=ADVANCED_FACE('',(#24582),#327500,.T.); #333048=ADVANCED_FACE('',(#24583),#327501,.T.); #333049=ADVANCED_FACE('',(#24584,#4886),#9285,.T.); #333050=ADVANCED_FACE('',(#24585,#4887),#9286,.F.); #333051=ADVANCED_FACE('',(#24586),#327502,.F.); #333052=ADVANCED_FACE('',(#24587),#9287,.T.); #333053=ADVANCED_FACE('',(#24588),#327503,.T.); #333054=ADVANCED_FACE('',(#24589),#327504,.T.); #333055=ADVANCED_FACE('',(#24590,#4888),#9288,.T.); #333056=ADVANCED_FACE('',(#24591,#4889),#9289,.F.); #333057=ADVANCED_FACE('',(#24592),#327505,.F.); #333058=ADVANCED_FACE('',(#24593),#9290,.T.); #333059=ADVANCED_FACE('',(#24594),#327506,.T.); #333060=ADVANCED_FACE('',(#24595),#9291,.T.); #333061=ADVANCED_FACE('',(#24596),#327507,.T.); #333062=ADVANCED_FACE('',(#24597),#9292,.T.); #333063=ADVANCED_FACE('',(#24598),#9293,.T.); #333064=ADVANCED_FACE('',(#24599),#9294,.T.); #333065=ADVANCED_FACE('',(#24600),#9295,.T.); #333066=ADVANCED_FACE('',(#24601),#9296,.T.); #333067=ADVANCED_FACE('',(#24602),#9297,.T.); #333068=ADVANCED_FACE('',(#24603),#9298,.T.); #333069=ADVANCED_FACE('',(#24604),#327508,.T.); #333070=ADVANCED_FACE('',(#24605),#327509,.T.); #333071=ADVANCED_FACE('',(#24606,#4890),#9299,.T.); #333072=ADVANCED_FACE('',(#24607,#4891),#9300,.F.); #333073=ADVANCED_FACE('',(#24608),#327510,.F.); #333074=ADVANCED_FACE('',(#24609),#9301,.T.); #333075=ADVANCED_FACE('',(#24610),#327511,.T.); #333076=ADVANCED_FACE('',(#24611),#9302,.T.); #333077=ADVANCED_FACE('',(#24612),#9303,.T.); #333078=ADVANCED_FACE('',(#24613),#9304,.T.); #333079=ADVANCED_FACE('',(#24614),#9305,.T.); #333080=ADVANCED_FACE('',(#24615),#9306,.T.); #333081=ADVANCED_FACE('',(#24616),#9307,.T.); #333082=ADVANCED_FACE('',(#24617),#9308,.T.); #333083=ADVANCED_FACE('',(#24618),#327512,.T.); #333084=ADVANCED_FACE('',(#24619,#4892),#9309,.T.); #333085=ADVANCED_FACE('',(#24620,#4893),#9310,.F.); #333086=ADVANCED_FACE('',(#24621),#9311,.T.); #333087=ADVANCED_FACE('',(#24622),#9312,.T.); #333088=ADVANCED_FACE('',(#24623),#9313,.T.); #333089=ADVANCED_FACE('',(#24624),#9314,.T.); #333090=ADVANCED_FACE('',(#24625),#9315,.T.); #333091=ADVANCED_FACE('',(#24626),#9316,.T.); #333092=ADVANCED_FACE('',(#24627),#9317,.T.); #333093=ADVANCED_FACE('',(#24628),#9318,.T.); #333094=ADVANCED_FACE('',(#24629),#327513,.T.); #333095=ADVANCED_FACE('',(#24630),#9319,.T.); #333096=ADVANCED_FACE('',(#24631),#327514,.T.); #333097=ADVANCED_FACE('',(#24632),#9320,.T.); #333098=ADVANCED_FACE('',(#24633),#9321,.T.); #333099=ADVANCED_FACE('',(#24634),#9322,.T.); #333100=ADVANCED_FACE('',(#24635),#9323,.T.); #333101=ADVANCED_FACE('',(#24636),#9324,.T.); #333102=ADVANCED_FACE('',(#24637),#9325,.T.); #333103=ADVANCED_FACE('',(#24638),#9326,.T.); #333104=ADVANCED_FACE('',(#24639),#327515,.T.); #333105=ADVANCED_FACE('',(#24640),#9327,.T.); #333106=ADVANCED_FACE('',(#24641),#9328,.T.); #333107=ADVANCED_FACE('',(#24642),#9329,.F.); #333108=ADVANCED_FACE('',(#24643),#9330,.T.); #333109=ADVANCED_FACE('',(#24644),#9331,.T.); #333110=ADVANCED_FACE('',(#24645),#9332,.T.); #333111=ADVANCED_FACE('',(#24646),#9333,.T.); #333112=ADVANCED_FACE('',(#24647),#9334,.T.); #333113=ADVANCED_FACE('',(#24648),#327516,.T.); #333114=ADVANCED_FACE('',(#24649),#9335,.T.); #333115=ADVANCED_FACE('',(#24650),#9336,.T.); #333116=ADVANCED_FACE('',(#24651),#9337,.T.); #333117=ADVANCED_FACE('',(#24652),#9338,.T.); #333118=ADVANCED_FACE('',(#24653),#9339,.T.); #333119=ADVANCED_FACE('',(#24654),#9340,.T.); #333120=ADVANCED_FACE('',(#24655),#9341,.T.); #333121=ADVANCED_FACE('',(#24656),#327517,.T.); #333122=ADVANCED_FACE('',(#24657),#9342,.T.); #333123=ADVANCED_FACE('',(#24658),#9343,.T.); #333124=ADVANCED_FACE('',(#24659),#327518,.T.); #333125=ADVANCED_FACE('',(#24660),#9344,.T.); #333126=ADVANCED_FACE('',(#24661),#9345,.T.); #333127=ADVANCED_FACE('',(#24662),#9346,.T.); #333128=ADVANCED_FACE('',(#24663),#9347,.T.); #333129=ADVANCED_FACE('',(#24664),#9348,.T.); #333130=ADVANCED_FACE('',(#24665),#9349,.T.); #333131=ADVANCED_FACE('',(#24666),#9350,.T.); #333132=ADVANCED_FACE('',(#24667),#327519,.T.); #333133=ADVANCED_FACE('',(#24668),#9351,.T.); #333134=ADVANCED_FACE('',(#24669),#9352,.T.); #333135=ADVANCED_FACE('',(#24670),#9353,.T.); #333136=ADVANCED_FACE('',(#24671),#9354,.T.); #333137=ADVANCED_FACE('',(#24672),#9355,.T.); #333138=ADVANCED_FACE('',(#24673),#327520,.T.); #333139=ADVANCED_FACE('',(#24674),#9356,.T.); #333140=ADVANCED_FACE('',(#24675),#327521,.T.); #333141=ADVANCED_FACE('',(#24676),#9357,.T.); #333142=ADVANCED_FACE('',(#24677),#9358,.T.); #333143=ADVANCED_FACE('',(#24678),#9359,.T.); #333144=ADVANCED_FACE('',(#24679),#9360,.T.); #333145=ADVANCED_FACE('',(#24680),#9361,.F.); #333146=ADVANCED_FACE('',(#24681),#9362,.T.); #333147=ADVANCED_FACE('',(#24682),#9363,.T.); #333148=ADVANCED_FACE('',(#24683),#9364,.T.); #333149=ADVANCED_FACE('',(#24684),#9365,.T.); #333150=ADVANCED_FACE('',(#24685),#9366,.T.); #333151=ADVANCED_FACE('',(#24686),#9367,.T.); #333152=ADVANCED_FACE('',(#24687),#327522,.T.); #333153=ADVANCED_FACE('',(#24688),#9368,.T.); #333154=ADVANCED_FACE('',(#24689),#327523,.T.); #333155=ADVANCED_FACE('',(#24690),#9369,.T.); #333156=ADVANCED_FACE('',(#24691),#9370,.T.); #333157=ADVANCED_FACE('',(#24692),#9371,.T.); #333158=ADVANCED_FACE('',(#24693),#9372,.T.); #333159=ADVANCED_FACE('',(#24694),#9373,.T.); #333160=ADVANCED_FACE('',(#24695),#327524,.T.); #333161=ADVANCED_FACE('',(#24696),#9374,.T.); #333162=ADVANCED_FACE('',(#24697),#9375,.T.); #333163=ADVANCED_FACE('',(#24698),#9376,.T.); #333164=ADVANCED_FACE('',(#24699),#9377,.T.); #333165=ADVANCED_FACE('',(#24700),#9378,.T.); #333166=ADVANCED_FACE('',(#24701),#9379,.T.); #333167=ADVANCED_FACE('',(#24702),#9380,.T.); #333168=ADVANCED_FACE('',(#24703),#327525,.T.); #333169=ADVANCED_FACE('',(#24704),#9381,.T.); #333170=ADVANCED_FACE('',(#24705),#9382,.T.); #333171=ADVANCED_FACE('',(#24706),#327526,.T.); #333172=ADVANCED_FACE('',(#24707),#9383,.T.); #333173=ADVANCED_FACE('',(#24708),#9384,.T.); #333174=ADVANCED_FACE('',(#24709),#9385,.T.); #333175=ADVANCED_FACE('',(#24710),#9386,.T.); #333176=ADVANCED_FACE('',(#24711),#9387,.T.); #333177=ADVANCED_FACE('',(#24712),#9388,.T.); #333178=ADVANCED_FACE('',(#24713),#9389,.T.); #333179=ADVANCED_FACE('',(#24714),#327527,.T.); #333180=ADVANCED_FACE('',(#24715),#9390,.T.); #333181=ADVANCED_FACE('',(#24716),#9391,.T.); #333182=ADVANCED_FACE('',(#24717),#9392,.T.); #333183=ADVANCED_FACE('',(#24718),#9393,.F.); #333184=ADVANCED_FACE('',(#24719),#327528,.F.); #333185=ADVANCED_FACE('',(#24720),#9394,.T.); #333186=ADVANCED_FACE('',(#24721),#327529,.T.); #333187=ADVANCED_FACE('',(#24722),#9395,.T.); #333188=ADVANCED_FACE('',(#24723),#9396,.T.); #333189=ADVANCED_FACE('',(#24724),#327530,.T.); #333190=ADVANCED_FACE('',(#24725),#9397,.T.); #333191=ADVANCED_FACE('',(#24726),#9398,.T.); #333192=ADVANCED_FACE('',(#24727),#327531,.T.); #333193=ADVANCED_FACE('',(#24728),#9399,.T.); #333194=ADVANCED_FACE('',(#24729),#9400,.T.); #333195=ADVANCED_FACE('',(#24730),#9401,.T.); #333196=ADVANCED_FACE('',(#24731),#9402,.T.); #333197=ADVANCED_FACE('',(#24732),#9403,.T.); #333198=ADVANCED_FACE('',(#24733),#9404,.T.); #333199=ADVANCED_FACE('',(#24734),#9405,.T.); #333200=ADVANCED_FACE('',(#24735),#9406,.T.); #333201=ADVANCED_FACE('',(#24736),#327532,.T.); #333202=ADVANCED_FACE('',(#24737),#9407,.T.); #333203=ADVANCED_FACE('',(#24738),#9408,.T.); #333204=ADVANCED_FACE('',(#24739),#327533,.T.); #333205=ADVANCED_FACE('',(#24740),#9409,.T.); #333206=ADVANCED_FACE('',(#24741),#327534,.T.); #333207=ADVANCED_FACE('',(#24742,#4894),#9410,.T.); #333208=ADVANCED_FACE('',(#24743,#4895),#9411,.F.); #333209=ADVANCED_FACE('',(#24744),#327535,.F.); #333210=ADVANCED_FACE('',(#24745),#9412,.T.); #333211=ADVANCED_FACE('',(#24746),#327536,.T.); #333212=ADVANCED_FACE('',(#24747),#9413,.T.); #333213=ADVANCED_FACE('',(#24748),#9414,.T.); #333214=ADVANCED_FACE('',(#24749),#327537,.T.); #333215=ADVANCED_FACE('',(#24750),#9415,.T.); #333216=ADVANCED_FACE('',(#24751),#9416,.T.); #333217=ADVANCED_FACE('',(#24752),#9417,.T.); #333218=ADVANCED_FACE('',(#24753),#9418,.T.); #333219=ADVANCED_FACE('',(#24754),#9419,.T.); #333220=ADVANCED_FACE('',(#24755),#9420,.T.); #333221=ADVANCED_FACE('',(#24756),#9421,.T.); #333222=ADVANCED_FACE('',(#24757),#9422,.T.); #333223=ADVANCED_FACE('',(#24758),#327538,.T.); #333224=ADVANCED_FACE('',(#24759),#9423,.T.); #333225=ADVANCED_FACE('',(#24760),#327539,.T.); #333226=ADVANCED_FACE('',(#24761,#4896),#9424,.T.); #333227=ADVANCED_FACE('',(#24762,#4897),#9425,.F.); #333228=ADVANCED_FACE('',(#24763),#327540,.F.); #333229=ADVANCED_FACE('',(#24764),#9426,.T.); #333230=ADVANCED_FACE('',(#24765),#327541,.T.); #333231=ADVANCED_FACE('',(#24766),#9427,.T.); #333232=ADVANCED_FACE('',(#24767),#9428,.T.); #333233=ADVANCED_FACE('',(#24768),#9429,.T.); #333234=ADVANCED_FACE('',(#24769),#9430,.T.); #333235=ADVANCED_FACE('',(#24770),#9431,.T.); #333236=ADVANCED_FACE('',(#24771),#9432,.T.); #333237=ADVANCED_FACE('',(#24772),#9433,.T.); #333238=ADVANCED_FACE('',(#24773),#327542,.T.); #333239=ADVANCED_FACE('',(#24774),#9434,.T.); #333240=ADVANCED_FACE('',(#24775),#327543,.T.); #333241=ADVANCED_FACE('',(#24776,#4898),#9435,.T.); #333242=ADVANCED_FACE('',(#24777,#4899),#9436,.F.); #333243=ADVANCED_FACE('',(#24778),#327544,.F.); #333244=ADVANCED_FACE('',(#24779),#9437,.T.); #333245=ADVANCED_FACE('',(#24780),#327545,.T.); #333246=ADVANCED_FACE('',(#24781),#9438,.T.); #333247=ADVANCED_FACE('',(#24782),#327546,.T.); #333248=ADVANCED_FACE('',(#24783),#9439,.T.); #333249=ADVANCED_FACE('',(#24784),#9440,.T.); #333250=ADVANCED_FACE('',(#24785),#9441,.T.); #333251=ADVANCED_FACE('',(#24786),#9442,.T.); #333252=ADVANCED_FACE('',(#24787),#9443,.T.); #333253=ADVANCED_FACE('',(#24788),#9444,.T.); #333254=ADVANCED_FACE('',(#24789),#9445,.T.); #333255=ADVANCED_FACE('',(#24790),#9446,.T.); #333256=ADVANCED_FACE('',(#24791),#9447,.T.); #333257=ADVANCED_FACE('',(#24792),#327547,.T.); #333258=ADVANCED_FACE('',(#24793,#4900),#9448,.T.); #333259=ADVANCED_FACE('',(#24794,#4901),#9449,.F.); #333260=ADVANCED_FACE('',(#24795),#327548,.F.); #333261=ADVANCED_FACE('',(#24796),#327549,.F.); #333262=ADVANCED_FACE('',(#24797),#9450,.T.); #333263=ADVANCED_FACE('',(#24798),#327550,.T.); #333264=ADVANCED_FACE('',(#24799),#327551,.T.); #333265=ADVANCED_FACE('',(#24800),#9451,.T.); #333266=ADVANCED_FACE('',(#24801),#327552,.T.); #333267=ADVANCED_FACE('',(#24802),#327553,.T.); #333268=ADVANCED_FACE('',(#24803),#9452,.T.); #333269=ADVANCED_FACE('',(#24804),#327554,.T.); #333270=ADVANCED_FACE('',(#24805,#4902,#4903),#9453,.T.); #333271=ADVANCED_FACE('',(#24806,#4904,#4905),#9454,.F.); #333272=ADVANCED_FACE('',(#24807),#327555,.F.); #333273=ADVANCED_FACE('',(#24808),#9455,.T.); #333274=ADVANCED_FACE('',(#24809),#327556,.T.); #333275=ADVANCED_FACE('',(#24810),#9456,.T.); #333276=ADVANCED_FACE('',(#24811),#9457,.T.); #333277=ADVANCED_FACE('',(#24812),#327557,.T.); #333278=ADVANCED_FACE('',(#24813),#9458,.T.); #333279=ADVANCED_FACE('',(#24814),#9459,.T.); #333280=ADVANCED_FACE('',(#24815),#9460,.T.); #333281=ADVANCED_FACE('',(#24816),#9461,.T.); #333282=ADVANCED_FACE('',(#24817),#9462,.T.); #333283=ADVANCED_FACE('',(#24818),#9463,.T.); #333284=ADVANCED_FACE('',(#24819),#9464,.T.); #333285=ADVANCED_FACE('',(#24820),#9465,.T.); #333286=ADVANCED_FACE('',(#24821),#327558,.T.); #333287=ADVANCED_FACE('',(#24822),#9466,.T.); #333288=ADVANCED_FACE('',(#24823),#327559,.T.); #333289=ADVANCED_FACE('',(#24824,#4906),#9467,.T.); #333290=ADVANCED_FACE('',(#24825,#4907),#9468,.F.); #333291=ADVANCED_FACE('',(#24826),#327560,.F.); #333292=ADVANCED_FACE('',(#24827),#9469,.T.); #333293=ADVANCED_FACE('',(#24828),#327561,.T.); #333294=ADVANCED_FACE('',(#24829),#9470,.T.); #333295=ADVANCED_FACE('',(#24830),#9471,.T.); #333296=ADVANCED_FACE('',(#24831),#327562,.T.); #333297=ADVANCED_FACE('',(#24832),#9472,.T.); #333298=ADVANCED_FACE('',(#24833),#9473,.T.); #333299=ADVANCED_FACE('',(#24834),#327563,.T.); #333300=ADVANCED_FACE('',(#24835),#9474,.T.); #333301=ADVANCED_FACE('',(#24836),#9475,.T.); #333302=ADVANCED_FACE('',(#24837),#9476,.T.); #333303=ADVANCED_FACE('',(#24838),#9477,.T.); #333304=ADVANCED_FACE('',(#24839),#9478,.T.); #333305=ADVANCED_FACE('',(#24840),#9479,.T.); #333306=ADVANCED_FACE('',(#24841),#9480,.T.); #333307=ADVANCED_FACE('',(#24842),#9481,.T.); #333308=ADVANCED_FACE('',(#24843),#327564,.T.); #333309=ADVANCED_FACE('',(#24844),#9482,.T.); #333310=ADVANCED_FACE('',(#24845),#9483,.T.); #333311=ADVANCED_FACE('',(#24846),#327565,.T.); #333312=ADVANCED_FACE('',(#24847),#9484,.T.); #333313=ADVANCED_FACE('',(#24848),#327566,.T.); #333314=ADVANCED_FACE('',(#24849,#4908),#9485,.T.); #333315=ADVANCED_FACE('',(#24850,#4909),#9486,.F.); #333316=ADVANCED_FACE('',(#24851),#9487,.T.); #333317=ADVANCED_FACE('',(#24852),#9488,.T.); #333318=ADVANCED_FACE('',(#24853),#9489,.T.); #333319=ADVANCED_FACE('',(#24854),#9490,.T.); #333320=ADVANCED_FACE('',(#24855),#327567,.T.); #333321=ADVANCED_FACE('',(#24856),#9491,.T.); #333322=ADVANCED_FACE('',(#24857),#327568,.T.); #333323=ADVANCED_FACE('',(#24858),#9492,.T.); #333324=ADVANCED_FACE('',(#24859),#9493,.T.); #333325=ADVANCED_FACE('',(#24860),#9494,.T.); #333326=ADVANCED_FACE('',(#24861),#327569,.T.); #333327=ADVANCED_FACE('',(#24862),#9495,.T.); #333328=ADVANCED_FACE('',(#24863),#9496,.T.); #333329=ADVANCED_FACE('',(#24864),#9497,.T.); #333330=ADVANCED_FACE('',(#24865),#9498,.T.); #333331=ADVANCED_FACE('',(#24866),#9499,.T.); #333332=ADVANCED_FACE('',(#24867),#9500,.T.); #333333=ADVANCED_FACE('',(#24868),#9501,.T.); #333334=ADVANCED_FACE('',(#24869),#327570,.T.); #333335=ADVANCED_FACE('',(#24870),#9502,.T.); #333336=ADVANCED_FACE('',(#24871),#327571,.T.); #333337=ADVANCED_FACE('',(#24872),#9503,.T.); #333338=ADVANCED_FACE('',(#24873),#9504,.T.); #333339=ADVANCED_FACE('',(#24874),#9505,.T.); #333340=ADVANCED_FACE('',(#24875),#9506,.T.); #333341=ADVANCED_FACE('',(#24876),#9507,.T.); #333342=ADVANCED_FACE('',(#24877),#9508,.T.); #333343=ADVANCED_FACE('',(#24878),#9509,.F.); #333344=ADVANCED_FACE('',(#24879),#327572,.F.); #333345=ADVANCED_FACE('',(#24880),#9510,.T.); #333346=ADVANCED_FACE('',(#24881),#327573,.T.); #333347=ADVANCED_FACE('',(#24882),#9511,.T.); #333348=ADVANCED_FACE('',(#24883),#9512,.T.); #333349=ADVANCED_FACE('',(#24884),#327574,.T.); #333350=ADVANCED_FACE('',(#24885),#9513,.T.); #333351=ADVANCED_FACE('',(#24886),#327575,.T.); #333352=ADVANCED_FACE('',(#24887),#9514,.T.); #333353=ADVANCED_FACE('',(#24888),#327576,.T.); #333354=ADVANCED_FACE('',(#24889),#9515,.T.); #333355=ADVANCED_FACE('',(#24890),#9516,.T.); #333356=ADVANCED_FACE('',(#24891),#9517,.T.); #333357=ADVANCED_FACE('',(#24892),#9518,.T.); #333358=ADVANCED_FACE('',(#24893),#9519,.T.); #333359=ADVANCED_FACE('',(#24894),#9520,.T.); #333360=ADVANCED_FACE('',(#24895),#9521,.T.); #333361=ADVANCED_FACE('',(#24896),#327577,.T.); #333362=ADVANCED_FACE('',(#24897),#9522,.T.); #333363=ADVANCED_FACE('',(#24898),#9523,.T.); #333364=ADVANCED_FACE('',(#24899),#9524,.T.); #333365=ADVANCED_FACE('',(#24900),#9525,.T.); #333366=ADVANCED_FACE('',(#24901),#327578,.T.); #333367=ADVANCED_FACE('',(#24902),#9526,.T.); #333368=ADVANCED_FACE('',(#24903),#327579,.T.); #333369=ADVANCED_FACE('',(#24904,#4910),#9527,.T.); #333370=ADVANCED_FACE('',(#24905,#4911),#9528,.F.); #333371=ADVANCED_FACE('',(#24906),#327580,.F.); #333372=ADVANCED_FACE('',(#24907),#9529,.T.); #333373=ADVANCED_FACE('',(#24908),#327581,.T.); #333374=ADVANCED_FACE('',(#24909),#9530,.T.); #333375=ADVANCED_FACE('',(#24910),#9531,.T.); #333376=ADVANCED_FACE('',(#24911),#9532,.T.); #333377=ADVANCED_FACE('',(#24912),#9533,.T.); #333378=ADVANCED_FACE('',(#24913),#9534,.T.); #333379=ADVANCED_FACE('',(#24914),#9535,.T.); #333380=ADVANCED_FACE('',(#24915),#9536,.T.); #333381=ADVANCED_FACE('',(#24916),#327582,.T.); #333382=ADVANCED_FACE('',(#24917),#327583,.T.); #333383=ADVANCED_FACE('',(#24918,#4912),#9537,.T.); #333384=ADVANCED_FACE('',(#24919,#4913),#9538,.F.); #333385=ADVANCED_FACE('',(#24920),#9539,.T.); #333386=ADVANCED_FACE('',(#24921),#9540,.T.); #333387=ADVANCED_FACE('',(#24922),#9541,.T.); #333388=ADVANCED_FACE('',(#24923),#9542,.T.); #333389=ADVANCED_FACE('',(#24924),#9543,.T.); #333390=ADVANCED_FACE('',(#24925),#327584,.T.); #333391=ADVANCED_FACE('',(#24926),#9544,.T.); #333392=ADVANCED_FACE('',(#24927),#9545,.T.); #333393=ADVANCED_FACE('',(#24928),#9546,.T.); #333394=ADVANCED_FACE('',(#24929),#327585,.T.); #333395=ADVANCED_FACE('',(#24930),#9547,.T.); #333396=ADVANCED_FACE('',(#24931),#327586,.T.); #333397=ADVANCED_FACE('',(#24932),#9548,.T.); #333398=ADVANCED_FACE('',(#24933),#327587,.T.); #333399=ADVANCED_FACE('',(#24934),#9549,.T.); #333400=ADVANCED_FACE('',(#24935),#9550,.T.); #333401=ADVANCED_FACE('',(#24936),#327588,.T.); #333402=ADVANCED_FACE('',(#24937),#9551,.T.); #333403=ADVANCED_FACE('',(#24938),#9552,.T.); #333404=ADVANCED_FACE('',(#24939),#9553,.T.); #333405=ADVANCED_FACE('',(#24940),#9554,.T.); #333406=ADVANCED_FACE('',(#24941),#9555,.T.); #333407=ADVANCED_FACE('',(#24942),#9556,.T.); #333408=ADVANCED_FACE('',(#24943),#9557,.T.); #333409=ADVANCED_FACE('',(#24944),#327589,.T.); #333410=ADVANCED_FACE('',(#24945),#9558,.T.); #333411=ADVANCED_FACE('',(#24946),#9559,.T.); #333412=ADVANCED_FACE('',(#24947),#327590,.T.); #333413=ADVANCED_FACE('',(#24948),#9560,.T.); #333414=ADVANCED_FACE('',(#24949),#9561,.T.); #333415=ADVANCED_FACE('',(#24950),#9562,.T.); #333416=ADVANCED_FACE('',(#24951),#9563,.T.); #333417=ADVANCED_FACE('',(#24952),#327591,.T.); #333418=ADVANCED_FACE('',(#24953),#9564,.T.); #333419=ADVANCED_FACE('',(#24954),#327592,.T.); #333420=ADVANCED_FACE('',(#24955),#9565,.T.); #333421=ADVANCED_FACE('',(#24956),#9566,.T.); #333422=ADVANCED_FACE('',(#24957),#9567,.T.); #333423=ADVANCED_FACE('',(#24958),#9568,.T.); #333424=ADVANCED_FACE('',(#24959),#9569,.F.); #333425=ADVANCED_FACE('',(#24960),#9570,.T.); #333426=ADVANCED_FACE('',(#24961),#9571,.T.); #333427=ADVANCED_FACE('',(#24962),#9572,.T.); #333428=ADVANCED_FACE('',(#24963),#9573,.T.); #333429=ADVANCED_FACE('',(#24964),#9574,.T.); #333430=ADVANCED_FACE('',(#24965),#9575,.T.); #333431=ADVANCED_FACE('',(#24966),#327593,.T.); #333432=ADVANCED_FACE('',(#24967),#9576,.T.); #333433=ADVANCED_FACE('',(#24968),#327594,.T.); #333434=ADVANCED_FACE('',(#24969),#9577,.T.); #333435=ADVANCED_FACE('',(#24970),#9578,.T.); #333436=ADVANCED_FACE('',(#24971),#9579,.T.); #333437=ADVANCED_FACE('',(#24972),#9580,.T.); #333438=ADVANCED_FACE('',(#24973),#327595,.T.); #333439=ADVANCED_FACE('',(#24974),#9581,.T.); #333440=ADVANCED_FACE('',(#24975),#9582,.T.); #333441=ADVANCED_FACE('',(#24976),#327596,.T.); #333442=ADVANCED_FACE('',(#24977),#9583,.T.); #333443=ADVANCED_FACE('',(#24978),#9584,.T.); #333444=ADVANCED_FACE('',(#24979),#9585,.T.); #333445=ADVANCED_FACE('',(#24980),#9586,.T.); #333446=ADVANCED_FACE('',(#24981),#9587,.T.); #333447=ADVANCED_FACE('',(#24982),#9588,.T.); #333448=ADVANCED_FACE('',(#24983),#9589,.T.); #333449=ADVANCED_FACE('',(#24984),#327597,.T.); #333450=ADVANCED_FACE('',(#24985),#9590,.T.); #333451=ADVANCED_FACE('',(#24986),#9591,.T.); #333452=ADVANCED_FACE('',(#24987),#327598,.T.); #333453=ADVANCED_FACE('',(#24988),#9592,.T.); #333454=ADVANCED_FACE('',(#24989),#327599,.T.); #333455=ADVANCED_FACE('',(#24990),#9593,.T.); #333456=ADVANCED_FACE('',(#24991),#327600,.T.); #333457=ADVANCED_FACE('',(#24992),#9594,.T.); #333458=ADVANCED_FACE('',(#24993),#9595,.T.); #333459=ADVANCED_FACE('',(#24994),#9596,.T.); #333460=ADVANCED_FACE('',(#24995),#327601,.T.); #333461=ADVANCED_FACE('',(#24996),#9597,.T.); #333462=ADVANCED_FACE('',(#24997),#9598,.T.); #333463=ADVANCED_FACE('',(#24998),#9599,.T.); #333464=ADVANCED_FACE('',(#24999),#9600,.F.); #333465=ADVANCED_FACE('',(#25000),#9601,.T.); #333466=ADVANCED_FACE('',(#25001),#9602,.T.); #333467=ADVANCED_FACE('',(#25002),#9603,.T.); #333468=ADVANCED_FACE('',(#25003),#9604,.T.); #333469=ADVANCED_FACE('',(#25004),#327602,.T.); #333470=ADVANCED_FACE('',(#25005),#9605,.T.); #333471=ADVANCED_FACE('',(#25006),#9606,.T.); #333472=ADVANCED_FACE('',(#25007),#327603,.T.); #333473=ADVANCED_FACE('',(#25008),#9607,.T.); #333474=ADVANCED_FACE('',(#25009),#9608,.T.); #333475=ADVANCED_FACE('',(#25010),#9609,.T.); #333476=ADVANCED_FACE('',(#25011),#9610,.T.); #333477=ADVANCED_FACE('',(#25012),#9611,.T.); #333478=ADVANCED_FACE('',(#25013),#9612,.T.); #333479=ADVANCED_FACE('',(#25014),#9613,.T.); #333480=ADVANCED_FACE('',(#25015),#327604,.T.); #333481=ADVANCED_FACE('',(#25016),#9614,.T.); #333482=ADVANCED_FACE('',(#25017),#9615,.T.); #333483=ADVANCED_FACE('',(#25018),#9616,.T.); #333484=ADVANCED_FACE('',(#25019),#9617,.T.); #333485=ADVANCED_FACE('',(#25020),#9618,.T.); #333486=ADVANCED_FACE('',(#25021),#9619,.F.); #333487=ADVANCED_FACE('',(#25022),#327605,.F.); #333488=ADVANCED_FACE('',(#25023),#9620,.T.); #333489=ADVANCED_FACE('',(#25024),#9621,.T.); #333490=ADVANCED_FACE('',(#25025),#327606,.T.); #333491=ADVANCED_FACE('',(#25026),#9622,.T.); #333492=ADVANCED_FACE('',(#25027),#9623,.T.); #333493=ADVANCED_FACE('',(#25028),#9624,.T.); #333494=ADVANCED_FACE('',(#25029),#327607,.T.); #333495=ADVANCED_FACE('',(#25030),#9625,.T.); #333496=ADVANCED_FACE('',(#25031),#9626,.T.); #333497=ADVANCED_FACE('',(#25032),#327608,.T.); #333498=ADVANCED_FACE('',(#25033),#327609,.T.); #333499=ADVANCED_FACE('',(#25034),#9627,.T.); #333500=ADVANCED_FACE('',(#25035),#327610,.T.); #333501=ADVANCED_FACE('',(#25036),#9628,.T.); #333502=ADVANCED_FACE('',(#25037),#9629,.T.); #333503=ADVANCED_FACE('',(#25038),#327611,.T.); #333504=ADVANCED_FACE('',(#25039),#9630,.T.); #333505=ADVANCED_FACE('',(#25040),#9631,.T.); #333506=ADVANCED_FACE('',(#25041),#9632,.T.); #333507=ADVANCED_FACE('',(#25042),#9633,.T.); #333508=ADVANCED_FACE('',(#25043),#9634,.T.); #333509=ADVANCED_FACE('',(#25044,#4914),#9635,.T.); #333510=ADVANCED_FACE('',(#25045,#4915),#9636,.F.); #333511=ADVANCED_FACE('',(#25046),#327612,.F.); #333512=ADVANCED_FACE('',(#25047),#9637,.T.); #333513=ADVANCED_FACE('',(#25048),#327613,.T.); #333514=ADVANCED_FACE('',(#25049),#9638,.T.); #333515=ADVANCED_FACE('',(#25050),#9639,.T.); #333516=ADVANCED_FACE('',(#25051),#327614,.T.); #333517=ADVANCED_FACE('',(#25052),#9640,.T.); #333518=ADVANCED_FACE('',(#25053),#9641,.T.); #333519=ADVANCED_FACE('',(#25054),#9642,.T.); #333520=ADVANCED_FACE('',(#25055),#9643,.T.); #333521=ADVANCED_FACE('',(#25056),#9644,.T.); #333522=ADVANCED_FACE('',(#25057),#9645,.T.); #333523=ADVANCED_FACE('',(#25058),#9646,.T.); #333524=ADVANCED_FACE('',(#25059),#9647,.T.); #333525=ADVANCED_FACE('',(#25060),#327615,.T.); #333526=ADVANCED_FACE('',(#25061),#9648,.T.); #333527=ADVANCED_FACE('',(#25062),#327616,.T.); #333528=ADVANCED_FACE('',(#25063,#4916),#9649,.T.); #333529=ADVANCED_FACE('',(#25064,#4917),#9650,.F.); #333530=ADVANCED_FACE('',(#25065),#327617,.F.); #333531=ADVANCED_FACE('',(#25066),#9651,.T.); #333532=ADVANCED_FACE('',(#25067),#327618,.T.); #333533=ADVANCED_FACE('',(#25068),#327619,.T.); #333534=ADVANCED_FACE('',(#25069),#9652,.T.); #333535=ADVANCED_FACE('',(#25070),#9653,.T.); #333536=ADVANCED_FACE('',(#25071),#9654,.T.); #333537=ADVANCED_FACE('',(#25072),#9655,.T.); #333538=ADVANCED_FACE('',(#25073),#9656,.T.); #333539=ADVANCED_FACE('',(#25074),#9657,.T.); #333540=ADVANCED_FACE('',(#25075),#9658,.T.); #333541=ADVANCED_FACE('',(#25076),#327620,.T.); #333542=ADVANCED_FACE('',(#25077),#9659,.T.); #333543=ADVANCED_FACE('',(#25078),#327621,.T.); #333544=ADVANCED_FACE('',(#25079,#4918),#9660,.T.); #333545=ADVANCED_FACE('',(#25080,#4919),#9661,.F.); #333546=ADVANCED_FACE('',(#25081),#327622,.F.); #333547=ADVANCED_FACE('',(#25082),#9662,.T.); #333548=ADVANCED_FACE('',(#25083),#9663,.T.); #333549=ADVANCED_FACE('',(#25084),#9664,.T.); #333550=ADVANCED_FACE('',(#25085),#9665,.T.); #333551=ADVANCED_FACE('',(#25086),#9666,.T.); #333552=ADVANCED_FACE('',(#25087),#327623,.T.); #333553=ADVANCED_FACE('',(#25088),#9667,.T.); #333554=ADVANCED_FACE('',(#25089),#9668,.T.); #333555=ADVANCED_FACE('',(#25090),#327624,.T.); #333556=ADVANCED_FACE('',(#25091),#9669,.T.); #333557=ADVANCED_FACE('',(#25092),#327625,.T.); #333558=ADVANCED_FACE('',(#25093),#327626,.T.); #333559=ADVANCED_FACE('',(#25094),#9670,.T.); #333560=ADVANCED_FACE('',(#25095),#9671,.T.); #333561=ADVANCED_FACE('',(#25096),#327627,.T.); #333562=ADVANCED_FACE('',(#25097),#9672,.T.); #333563=ADVANCED_FACE('',(#25098),#9673,.T.); #333564=ADVANCED_FACE('',(#25099),#9674,.T.); #333565=ADVANCED_FACE('',(#25100,#4920),#9675,.T.); #333566=ADVANCED_FACE('',(#25101,#4921),#9676,.F.); #333567=ADVANCED_FACE('',(#25102),#327628,.F.); #333568=ADVANCED_FACE('',(#25103),#9677,.T.); #333569=ADVANCED_FACE('',(#25104),#327629,.T.); #333570=ADVANCED_FACE('',(#25105),#9678,.T.); #333571=ADVANCED_FACE('',(#25106),#327630,.T.); #333572=ADVANCED_FACE('',(#25107),#9679,.T.); #333573=ADVANCED_FACE('',(#25108),#9680,.T.); #333574=ADVANCED_FACE('',(#25109),#9681,.T.); #333575=ADVANCED_FACE('',(#25110),#9682,.T.); #333576=ADVANCED_FACE('',(#25111),#9683,.T.); #333577=ADVANCED_FACE('',(#25112),#9684,.T.); #333578=ADVANCED_FACE('',(#25113),#9685,.T.); #333579=ADVANCED_FACE('',(#25114),#327631,.T.); #333580=ADVANCED_FACE('',(#25115),#327632,.T.); #333581=ADVANCED_FACE('',(#25116,#4922),#9686,.T.); #333582=ADVANCED_FACE('',(#25117,#4923),#9687,.F.); #333583=ADVANCED_FACE('',(#25118),#327633,.F.); #333584=ADVANCED_FACE('',(#25119),#9688,.T.); #333585=ADVANCED_FACE('',(#25120),#9689,.T.); #333586=ADVANCED_FACE('',(#25121),#9690,.T.); #333587=ADVANCED_FACE('',(#25122),#9691,.T.); #333588=ADVANCED_FACE('',(#25123),#9692,.T.); #333589=ADVANCED_FACE('',(#25124),#9693,.T.); #333590=ADVANCED_FACE('',(#25125),#327634,.T.); #333591=ADVANCED_FACE('',(#25126),#9694,.T.); #333592=ADVANCED_FACE('',(#25127),#9695,.T.); #333593=ADVANCED_FACE('',(#25128),#327635,.T.); #333594=ADVANCED_FACE('',(#25129),#327636,.T.); #333595=ADVANCED_FACE('',(#25130),#9696,.T.); #333596=ADVANCED_FACE('',(#25131),#327637,.T.); #333597=ADVANCED_FACE('',(#25132),#9697,.T.); #333598=ADVANCED_FACE('',(#25133),#9698,.T.); #333599=ADVANCED_FACE('',(#25134),#327638,.T.); #333600=ADVANCED_FACE('',(#25135),#9699,.T.); #333601=ADVANCED_FACE('',(#25136),#9700,.T.); #333602=ADVANCED_FACE('',(#25137,#4924),#9701,.T.); #333603=ADVANCED_FACE('',(#25138,#4925),#9702,.F.); #333604=ADVANCED_FACE('',(#25139),#327639,.F.); #333605=ADVANCED_FACE('',(#25140),#9703,.T.); #333606=ADVANCED_FACE('',(#25141),#327640,.T.); #333607=ADVANCED_FACE('',(#25142),#9704,.T.); #333608=ADVANCED_FACE('',(#25143),#9705,.T.); #333609=ADVANCED_FACE('',(#25144),#9706,.T.); #333610=ADVANCED_FACE('',(#25145),#9707,.T.); #333611=ADVANCED_FACE('',(#25146),#9708,.T.); #333612=ADVANCED_FACE('',(#25147),#9709,.T.); #333613=ADVANCED_FACE('',(#25148),#9710,.T.); #333614=ADVANCED_FACE('',(#25149),#327641,.T.); #333615=ADVANCED_FACE('',(#25150),#327642,.T.); #333616=ADVANCED_FACE('',(#25151,#4926),#9711,.T.); #333617=ADVANCED_FACE('',(#25152,#4927),#9712,.F.); #333618=ADVANCED_FACE('',(#25153),#327643,.F.); #333619=ADVANCED_FACE('',(#25154),#9713,.T.); #333620=ADVANCED_FACE('',(#25155),#327644,.T.); #333621=ADVANCED_FACE('',(#25156),#327645,.T.); #333622=ADVANCED_FACE('',(#25157),#9714,.T.); #333623=ADVANCED_FACE('',(#25158),#9715,.T.); #333624=ADVANCED_FACE('',(#25159),#9716,.T.); #333625=ADVANCED_FACE('',(#25160),#9717,.T.); #333626=ADVANCED_FACE('',(#25161),#9718,.T.); #333627=ADVANCED_FACE('',(#25162),#9719,.T.); #333628=ADVANCED_FACE('',(#25163),#9720,.T.); #333629=ADVANCED_FACE('',(#25164),#327646,.T.); #333630=ADVANCED_FACE('',(#25165,#4928),#9721,.T.); #333631=ADVANCED_FACE('',(#25166,#4929),#9722,.F.); #333632=ADVANCED_FACE('',(#25167),#327647,.F.); #333633=ADVANCED_FACE('',(#25168),#9723,.T.); #333634=ADVANCED_FACE('',(#25169),#9724,.T.); #333635=ADVANCED_FACE('',(#25170),#9725,.T.); #333636=ADVANCED_FACE('',(#25171),#9726,.T.); #333637=ADVANCED_FACE('',(#25172),#9727,.T.); #333638=ADVANCED_FACE('',(#25173),#327648,.T.); #333639=ADVANCED_FACE('',(#25174),#9728,.T.); #333640=ADVANCED_FACE('',(#25175),#9729,.T.); #333641=ADVANCED_FACE('',(#25176),#9730,.T.); #333642=ADVANCED_FACE('',(#25177),#9731,.T.); #333643=ADVANCED_FACE('',(#25178),#9732,.T.); #333644=ADVANCED_FACE('',(#25179),#327649,.T.); #333645=ADVANCED_FACE('',(#25180),#327650,.T.); #333646=ADVANCED_FACE('',(#25181),#9733,.T.); #333647=ADVANCED_FACE('',(#25182),#327651,.T.); #333648=ADVANCED_FACE('',(#25183),#9734,.T.); #333649=ADVANCED_FACE('',(#25184),#327652,.T.); #333650=ADVANCED_FACE('',(#25185),#9735,.T.); #333651=ADVANCED_FACE('',(#25186),#327653,.T.); #333652=ADVANCED_FACE('',(#25187),#9736,.T.); #333653=ADVANCED_FACE('',(#25188),#327654,.T.); #333654=ADVANCED_FACE('',(#25189),#9737,.T.); #333655=ADVANCED_FACE('',(#25190),#9738,.T.); #333656=ADVANCED_FACE('',(#25191,#4930),#9739,.T.); #333657=ADVANCED_FACE('',(#25192,#4931),#9740,.F.); #333658=ADVANCED_FACE('',(#25193),#327655,.F.); #333659=ADVANCED_FACE('',(#25194),#9741,.T.); #333660=ADVANCED_FACE('',(#25195),#9742,.T.); #333661=ADVANCED_FACE('',(#25196),#9743,.T.); #333662=ADVANCED_FACE('',(#25197),#9744,.T.); #333663=ADVANCED_FACE('',(#25198),#9745,.T.); #333664=ADVANCED_FACE('',(#25199),#9746,.T.); #333665=ADVANCED_FACE('',(#25200),#9747,.T.); #333666=ADVANCED_FACE('',(#25201),#9748,.T.); #333667=ADVANCED_FACE('',(#25202),#327656,.T.); #333668=ADVANCED_FACE('',(#25203),#327657,.T.); #333669=ADVANCED_FACE('',(#25204),#9749,.T.); #333670=ADVANCED_FACE('',(#25205),#327658,.T.); #333671=ADVANCED_FACE('',(#25206),#9750,.T.); #333672=ADVANCED_FACE('',(#25207),#327659,.T.); #333673=ADVANCED_FACE('',(#25208),#9751,.T.); #333674=ADVANCED_FACE('',(#25209),#327660,.T.); #333675=ADVANCED_FACE('',(#25210),#9752,.T.); #333676=ADVANCED_FACE('',(#25211,#4932),#9753,.T.); #333677=ADVANCED_FACE('',(#25212,#4933),#9754,.F.); #333678=ADVANCED_FACE('',(#25213),#327661,.F.); #333679=ADVANCED_FACE('',(#25214),#9755,.T.); #333680=ADVANCED_FACE('',(#25215),#9756,.T.); #333681=ADVANCED_FACE('',(#25216),#9757,.T.); #333682=ADVANCED_FACE('',(#25217),#9758,.T.); #333683=ADVANCED_FACE('',(#25218),#9759,.T.); #333684=ADVANCED_FACE('',(#25219),#327662,.T.); #333685=ADVANCED_FACE('',(#25220),#9760,.T.); #333686=ADVANCED_FACE('',(#25221),#327663,.T.); #333687=ADVANCED_FACE('',(#25222),#9761,.T.); #333688=ADVANCED_FACE('',(#25223),#327664,.T.); #333689=ADVANCED_FACE('',(#25224),#9762,.T.); #333690=ADVANCED_FACE('',(#25225),#327665,.T.); #333691=ADVANCED_FACE('',(#25226),#9763,.T.); #333692=ADVANCED_FACE('',(#25227),#327666,.T.); #333693=ADVANCED_FACE('',(#25228),#327667,.T.); #333694=ADVANCED_FACE('',(#25229),#9764,.T.); #333695=ADVANCED_FACE('',(#25230),#9765,.T.); #333696=ADVANCED_FACE('',(#25231),#9766,.T.); #333697=ADVANCED_FACE('',(#25232),#9767,.T.); #333698=ADVANCED_FACE('',(#25233),#9768,.T.); #333699=ADVANCED_FACE('',(#25234),#327668,.T.); #333700=ADVANCED_FACE('',(#25235),#9769,.T.); #333701=ADVANCED_FACE('',(#25236),#9770,.T.); #333702=ADVANCED_FACE('',(#25237,#4934),#9771,.T.); #333703=ADVANCED_FACE('',(#25238,#4935),#9772,.F.); #333704=ADVANCED_FACE('',(#25239),#9773,.T.); #333705=ADVANCED_FACE('',(#25240),#9774,.T.); #333706=ADVANCED_FACE('',(#25241),#9775,.T.); #333707=ADVANCED_FACE('',(#25242),#9776,.T.); #333708=ADVANCED_FACE('',(#25243),#327669,.T.); #333709=ADVANCED_FACE('',(#25244),#9777,.T.); #333710=ADVANCED_FACE('',(#25245),#327670,.T.); #333711=ADVANCED_FACE('',(#25246),#9778,.T.); #333712=ADVANCED_FACE('',(#25247),#9779,.T.); #333713=ADVANCED_FACE('',(#25248),#9780,.T.); #333714=ADVANCED_FACE('',(#25249),#9781,.T.); #333715=ADVANCED_FACE('',(#25250),#9782,.T.); #333716=ADVANCED_FACE('',(#25251),#9783,.T.); #333717=ADVANCED_FACE('',(#25252),#9784,.T.); #333718=ADVANCED_FACE('',(#25253),#9785,.T.); #333719=ADVANCED_FACE('',(#25254),#9786,.T.); #333720=ADVANCED_FACE('',(#25255),#327671,.T.); #333721=ADVANCED_FACE('',(#25256),#9787,.T.); #333722=ADVANCED_FACE('',(#25257),#327672,.T.); #333723=ADVANCED_FACE('',(#25258),#9788,.T.); #333724=ADVANCED_FACE('',(#25259),#9789,.T.); #333725=ADVANCED_FACE('',(#25260),#9790,.T.); #333726=ADVANCED_FACE('',(#25261),#9791,.T.); #333727=ADVANCED_FACE('',(#25262),#9792,.T.); #333728=ADVANCED_FACE('',(#25263),#9793,.T.); #333729=ADVANCED_FACE('',(#25264),#9794,.F.); #333730=ADVANCED_FACE('',(#25265),#327673,.F.); #333731=ADVANCED_FACE('',(#25266),#9795,.T.); #333732=ADVANCED_FACE('',(#25267),#9796,.T.); #333733=ADVANCED_FACE('',(#25268),#9797,.T.); #333734=ADVANCED_FACE('',(#25269),#9798,.T.); #333735=ADVANCED_FACE('',(#25270,#4936),#9799,.T.); #333736=ADVANCED_FACE('',(#25271,#4937),#9800,.F.); #333737=ADVANCED_FACE('',(#25272),#327674,.F.); #333738=ADVANCED_FACE('',(#25273),#9801,.T.); #333739=ADVANCED_FACE('',(#25274),#327675,.T.); #333740=ADVANCED_FACE('',(#25275),#9802,.T.); #333741=ADVANCED_FACE('',(#25276),#327676,.T.); #333742=ADVANCED_FACE('',(#25277),#9803,.T.); #333743=ADVANCED_FACE('',(#25278),#9804,.T.); #333744=ADVANCED_FACE('',(#25279),#9805,.T.); #333745=ADVANCED_FACE('',(#25280),#9806,.T.); #333746=ADVANCED_FACE('',(#25281),#327677,.T.); #333747=ADVANCED_FACE('',(#25282),#9807,.T.); #333748=ADVANCED_FACE('',(#25283),#9808,.T.); #333749=ADVANCED_FACE('',(#25284),#9809,.T.); #333750=ADVANCED_FACE('',(#25285),#9810,.T.); #333751=ADVANCED_FACE('',(#25286),#9811,.T.); #333752=ADVANCED_FACE('',(#25287),#9812,.T.); #333753=ADVANCED_FACE('',(#25288),#9813,.T.); #333754=ADVANCED_FACE('',(#25289),#327678,.T.); #333755=ADVANCED_FACE('',(#25290),#9814,.T.); #333756=ADVANCED_FACE('',(#25291),#327679,.T.); #333757=ADVANCED_FACE('',(#25292),#9815,.T.); #333758=ADVANCED_FACE('',(#25293),#327680,.T.); #333759=ADVANCED_FACE('',(#25294),#9816,.T.); #333760=ADVANCED_FACE('',(#25295),#9817,.T.); #333761=ADVANCED_FACE('',(#25296),#327681,.T.); #333762=ADVANCED_FACE('',(#25297,#4938),#9818,.T.); #333763=ADVANCED_FACE('',(#25298,#4939),#9819,.F.); #333764=ADVANCED_FACE('',(#25299),#327682,.F.); #333765=ADVANCED_FACE('',(#25300),#9820,.T.); #333766=ADVANCED_FACE('',(#25301),#9821,.T.); #333767=ADVANCED_FACE('',(#25302),#9822,.T.); #333768=ADVANCED_FACE('',(#25303),#9823,.T.); #333769=ADVANCED_FACE('',(#25304),#9824,.T.); #333770=ADVANCED_FACE('',(#25305),#9825,.T.); #333771=ADVANCED_FACE('',(#25306),#9826,.T.); #333772=ADVANCED_FACE('',(#25307),#327683,.T.); #333773=ADVANCED_FACE('',(#25308),#9827,.T.); #333774=ADVANCED_FACE('',(#25309),#327684,.T.); #333775=ADVANCED_FACE('',(#25310),#327685,.T.); #333776=ADVANCED_FACE('',(#25311),#9828,.T.); #333777=ADVANCED_FACE('',(#25312),#9829,.T.); #333778=ADVANCED_FACE('',(#25313),#327686,.T.); #333779=ADVANCED_FACE('',(#25314),#9830,.T.); #333780=ADVANCED_FACE('',(#25315,#4940),#9831,.T.); #333781=ADVANCED_FACE('',(#25316,#4941),#9832,.F.); #333782=ADVANCED_FACE('',(#25317),#327687,.F.); #333783=ADVANCED_FACE('',(#25318),#9833,.T.); #333784=ADVANCED_FACE('',(#25319),#9834,.T.); #333785=ADVANCED_FACE('',(#25320),#9835,.T.); #333786=ADVANCED_FACE('',(#25321),#9836,.T.); #333787=ADVANCED_FACE('',(#25322),#9837,.T.); #333788=ADVANCED_FACE('',(#25323),#9838,.T.); #333789=ADVANCED_FACE('',(#25324),#9839,.T.); #333790=ADVANCED_FACE('',(#25325),#9840,.T.); #333791=ADVANCED_FACE('',(#25326),#9841,.T.); #333792=ADVANCED_FACE('',(#25327),#9842,.T.); #333793=ADVANCED_FACE('',(#25328),#327688,.T.); #333794=ADVANCED_FACE('',(#25329),#9843,.T.); #333795=ADVANCED_FACE('',(#25330),#327689,.T.); #333796=ADVANCED_FACE('',(#25331),#9844,.T.); #333797=ADVANCED_FACE('',(#25332),#9845,.T.); #333798=ADVANCED_FACE('',(#25333),#9846,.T.); #333799=ADVANCED_FACE('',(#25334),#327690,.T.); #333800=ADVANCED_FACE('',(#25335),#9847,.T.); #333801=ADVANCED_FACE('',(#25336),#327691,.T.); #333802=ADVANCED_FACE('',(#25337),#9848,.T.); #333803=ADVANCED_FACE('',(#25338),#9849,.T.); #333804=ADVANCED_FACE('',(#25339),#9850,.T.); #333805=ADVANCED_FACE('',(#25340),#327692,.T.); #333806=ADVANCED_FACE('',(#25341),#327693,.T.); #333807=ADVANCED_FACE('',(#25342),#9851,.T.); #333808=ADVANCED_FACE('',(#25343),#327694,.T.); #333809=ADVANCED_FACE('',(#25344),#9852,.T.); #333810=ADVANCED_FACE('',(#25345),#327695,.T.); #333811=ADVANCED_FACE('',(#25346),#9853,.T.); #333812=ADVANCED_FACE('',(#25347),#9854,.T.); #333813=ADVANCED_FACE('',(#25348),#9855,.T.); #333814=ADVANCED_FACE('',(#25349),#327696,.T.); #333815=ADVANCED_FACE('',(#25350),#9856,.T.); #333816=ADVANCED_FACE('',(#25351),#327697,.T.); #333817=ADVANCED_FACE('',(#25352),#9857,.T.); #333818=ADVANCED_FACE('',(#25353),#9858,.T.); #333819=ADVANCED_FACE('',(#25354),#9859,.T.); #333820=ADVANCED_FACE('',(#25355),#9860,.T.); #333821=ADVANCED_FACE('',(#25356),#9861,.T.); #333822=ADVANCED_FACE('',(#25357),#9862,.T.); #333823=ADVANCED_FACE('',(#25358),#9863,.T.); #333824=ADVANCED_FACE('',(#25359),#9864,.T.); #333825=ADVANCED_FACE('',(#25360),#9865,.T.); #333826=ADVANCED_FACE('',(#25361,#4942),#9866,.T.); #333827=ADVANCED_FACE('',(#25362,#4943),#9867,.F.); #333828=ADVANCED_FACE('',(#25363),#327698,.F.); #333829=ADVANCED_FACE('',(#25364),#9868,.T.); #333830=ADVANCED_FACE('',(#25365),#9869,.T.); #333831=ADVANCED_FACE('',(#25366),#9870,.T.); #333832=ADVANCED_FACE('',(#25367),#9871,.T.); #333833=ADVANCED_FACE('',(#25368),#9872,.T.); #333834=ADVANCED_FACE('',(#25369),#327699,.T.); #333835=ADVANCED_FACE('',(#25370),#9873,.T.); #333836=ADVANCED_FACE('',(#25371),#9874,.T.); #333837=ADVANCED_FACE('',(#25372),#327700,.T.); #333838=ADVANCED_FACE('',(#25373),#9875,.T.); #333839=ADVANCED_FACE('',(#25374),#9876,.T.); #333840=ADVANCED_FACE('',(#25375),#327701,.T.); #333841=ADVANCED_FACE('',(#25376),#327702,.T.); #333842=ADVANCED_FACE('',(#25377),#9877,.T.); #333843=ADVANCED_FACE('',(#25378),#327703,.T.); #333844=ADVANCED_FACE('',(#25379),#9878,.T.); #333845=ADVANCED_FACE('',(#25380),#9879,.T.); #333846=ADVANCED_FACE('',(#25381),#327704,.T.); #333847=ADVANCED_FACE('',(#25382),#9880,.T.); #333848=ADVANCED_FACE('',(#25383),#9881,.T.); #333849=ADVANCED_FACE('',(#25384),#9882,.T.); #333850=ADVANCED_FACE('',(#25385,#4944),#9883,.T.); #333851=ADVANCED_FACE('',(#25386,#4945),#9884,.F.); #333852=ADVANCED_FACE('',(#25387),#327705,.F.); #333853=ADVANCED_FACE('',(#25388),#9885,.T.); #333854=ADVANCED_FACE('',(#25389),#9886,.T.); #333855=ADVANCED_FACE('',(#25390),#9887,.T.); #333856=ADVANCED_FACE('',(#25391),#9888,.T.); #333857=ADVANCED_FACE('',(#25392,#4946),#9889,.T.); #333858=ADVANCED_FACE('',(#25393,#4947),#9890,.F.); #333859=ADVANCED_FACE('',(#25394),#327706,.F.); #333860=ADVANCED_FACE('',(#25395),#9891,.T.); #333861=ADVANCED_FACE('',(#25396),#9892,.T.); #333862=ADVANCED_FACE('',(#25397),#9893,.T.); #333863=ADVANCED_FACE('',(#25398),#9894,.T.); #333864=ADVANCED_FACE('',(#25399,#4948),#9895,.T.); #333865=ADVANCED_FACE('',(#25400,#4949),#9896,.F.); #333866=ADVANCED_FACE('',(#25401),#327707,.F.); #333867=ADVANCED_FACE('',(#25402),#9897,.T.); #333868=ADVANCED_FACE('',(#25403),#327708,.T.); #333869=ADVANCED_FACE('',(#25404),#9898,.T.); #333870=ADVANCED_FACE('',(#25405),#327709,.T.); #333871=ADVANCED_FACE('',(#25406),#9899,.T.); #333872=ADVANCED_FACE('',(#25407),#9900,.T.); #333873=ADVANCED_FACE('',(#25408),#9901,.T.); #333874=ADVANCED_FACE('',(#25409),#9902,.T.); #333875=ADVANCED_FACE('',(#25410),#9903,.T.); #333876=ADVANCED_FACE('',(#25411),#9904,.T.); #333877=ADVANCED_FACE('',(#25412),#9905,.T.); #333878=ADVANCED_FACE('',(#25413),#9906,.T.); #333879=ADVANCED_FACE('',(#25414),#9907,.T.); #333880=ADVANCED_FACE('',(#25415),#327710,.T.); #333881=ADVANCED_FACE('',(#25416,#4950),#9908,.T.); #333882=ADVANCED_FACE('',(#25417,#4951),#9909,.F.); #333883=ADVANCED_FACE('',(#25418),#327711,.F.); #333884=ADVANCED_FACE('',(#25419),#9910,.T.); #333885=ADVANCED_FACE('',(#25420),#327712,.T.); #333886=ADVANCED_FACE('',(#25421),#327713,.T.); #333887=ADVANCED_FACE('',(#25422,#4952),#9911,.T.); #333888=ADVANCED_FACE('',(#25423,#4953),#9912,.F.); #333889=ADVANCED_FACE('',(#25424),#327714,.F.); #333890=ADVANCED_FACE('',(#25425),#327715,.F.); #333891=ADVANCED_FACE('',(#25426),#9913,.T.); #333892=ADVANCED_FACE('',(#25427),#327716,.T.); #333893=ADVANCED_FACE('',(#25428),#9914,.T.); #333894=ADVANCED_FACE('',(#25429),#327717,.T.); #333895=ADVANCED_FACE('',(#25430),#327718,.T.); #333896=ADVANCED_FACE('',(#25431),#9915,.T.); #333897=ADVANCED_FACE('',(#25432),#327719,.T.); #333898=ADVANCED_FACE('',(#25433,#4954,#4955),#9916,.T.); #333899=ADVANCED_FACE('',(#25434,#4956,#4957),#9917,.F.); #333900=ADVANCED_FACE('',(#25435),#327720,.F.); #333901=ADVANCED_FACE('',(#25436),#9918,.T.); #333902=ADVANCED_FACE('',(#25437),#327721,.T.); #333903=ADVANCED_FACE('',(#25438),#327722,.T.); #333904=ADVANCED_FACE('',(#25439,#4958),#9919,.T.); #333905=ADVANCED_FACE('',(#25440,#4959),#9920,.F.); #333906=ADVANCED_FACE('',(#25441),#327723,.F.); #333907=ADVANCED_FACE('',(#25442),#9921,.T.); #333908=ADVANCED_FACE('',(#25443),#9922,.T.); #333909=ADVANCED_FACE('',(#25444),#9923,.T.); #333910=ADVANCED_FACE('',(#25445),#9924,.T.); #333911=ADVANCED_FACE('',(#25446,#4960),#9925,.T.); #333912=ADVANCED_FACE('',(#25447,#4961),#9926,.F.); #333913=ADVANCED_FACE('',(#25448),#327724,.F.); #333914=ADVANCED_FACE('',(#25449),#9927,.T.); #333915=ADVANCED_FACE('',(#25450),#9928,.T.); #333916=ADVANCED_FACE('',(#25451),#9929,.T.); #333917=ADVANCED_FACE('',(#25452),#9930,.T.); #333918=ADVANCED_FACE('',(#25453),#9931,.T.); #333919=ADVANCED_FACE('',(#25454),#9932,.T.); #333920=ADVANCED_FACE('',(#25455),#9933,.T.); #333921=ADVANCED_FACE('',(#25456),#9934,.T.); #333922=ADVANCED_FACE('',(#25457),#9935,.T.); #333923=ADVANCED_FACE('',(#25458),#9936,.T.); #333924=ADVANCED_FACE('',(#25459),#9937,.T.); #333925=ADVANCED_FACE('',(#25460),#9938,.T.); #333926=ADVANCED_FACE('',(#25461),#327725,.T.); #333927=ADVANCED_FACE('',(#25462),#9939,.T.); #333928=ADVANCED_FACE('',(#25463),#327726,.T.); #333929=ADVANCED_FACE('',(#25464),#9940,.T.); #333930=ADVANCED_FACE('',(#25465),#9941,.T.); #333931=ADVANCED_FACE('',(#25466),#9942,.T.); #333932=ADVANCED_FACE('',(#25467),#327727,.T.); #333933=ADVANCED_FACE('',(#25468),#9943,.T.); #333934=ADVANCED_FACE('',(#25469),#327728,.T.); #333935=ADVANCED_FACE('',(#25470),#9944,.T.); #333936=ADVANCED_FACE('',(#25471),#327729,.T.); #333937=ADVANCED_FACE('',(#25472),#327730,.T.); #333938=ADVANCED_FACE('',(#25473),#9945,.T.); #333939=ADVANCED_FACE('',(#25474),#9946,.T.); #333940=ADVANCED_FACE('',(#25475),#9947,.T.); #333941=ADVANCED_FACE('',(#25476),#327731,.T.); #333942=ADVANCED_FACE('',(#25477),#9948,.T.); #333943=ADVANCED_FACE('',(#25478),#327732,.T.); #333944=ADVANCED_FACE('',(#25479),#9949,.T.); #333945=ADVANCED_FACE('',(#25480),#9950,.T.); #333946=ADVANCED_FACE('',(#25481),#9951,.T.); #333947=ADVANCED_FACE('',(#25482),#327733,.T.); #333948=ADVANCED_FACE('',(#25483),#9952,.T.); #333949=ADVANCED_FACE('',(#25484),#327734,.T.); #333950=ADVANCED_FACE('',(#25485),#9953,.T.); #333951=ADVANCED_FACE('',(#25486),#9954,.T.); #333952=ADVANCED_FACE('',(#25487),#9955,.T.); #333953=ADVANCED_FACE('',(#25488),#9956,.T.); #333954=ADVANCED_FACE('',(#25489),#9957,.T.); #333955=ADVANCED_FACE('',(#25490),#9958,.T.); #333956=ADVANCED_FACE('',(#25491),#9959,.T.); #333957=ADVANCED_FACE('',(#25492,#4962),#9960,.T.); #333958=ADVANCED_FACE('',(#25493,#4963),#9961,.F.); #333959=ADVANCED_FACE('',(#25494),#327735,.F.); #333960=ADVANCED_FACE('',(#25495),#9962,.T.); #333961=ADVANCED_FACE('',(#25496),#9963,.T.); #333962=ADVANCED_FACE('',(#25497),#9964,.T.); #333963=ADVANCED_FACE('',(#25498),#9965,.T.); #333964=ADVANCED_FACE('',(#25499),#327736,.T.); #333965=ADVANCED_FACE('',(#25500),#9966,.T.); #333966=ADVANCED_FACE('',(#25501),#327737,.T.); #333967=ADVANCED_FACE('',(#25502),#9967,.T.); #333968=ADVANCED_FACE('',(#25503),#9968,.T.); #333969=ADVANCED_FACE('',(#25504),#327738,.T.); #333970=ADVANCED_FACE('',(#25505),#9969,.T.); #333971=ADVANCED_FACE('',(#25506),#327739,.T.); #333972=ADVANCED_FACE('',(#25507),#327740,.T.); #333973=ADVANCED_FACE('',(#25508),#9970,.T.); #333974=ADVANCED_FACE('',(#25509),#9971,.T.); #333975=ADVANCED_FACE('',(#25510),#327741,.T.); #333976=ADVANCED_FACE('',(#25511),#9972,.T.); #333977=ADVANCED_FACE('',(#25512),#9973,.T.); #333978=ADVANCED_FACE('',(#25513),#9974,.T.); #333979=ADVANCED_FACE('',(#25514),#9975,.T.); #333980=ADVANCED_FACE('',(#25515),#9976,.T.); #333981=ADVANCED_FACE('',(#25516,#4964),#9977,.T.); #333982=ADVANCED_FACE('',(#25517,#4965),#9978,.F.); #333983=ADVANCED_FACE('',(#25518),#9979,.T.); #333984=ADVANCED_FACE('',(#25519),#9980,.T.); #333985=ADVANCED_FACE('',(#25520),#9981,.T.); #333986=ADVANCED_FACE('',(#25521),#9982,.T.); #333987=ADVANCED_FACE('',(#25522),#9983,.T.); #333988=ADVANCED_FACE('',(#25523),#9984,.T.); #333989=ADVANCED_FACE('',(#25524),#9985,.T.); #333990=ADVANCED_FACE('',(#25525),#9986,.T.); #333991=ADVANCED_FACE('',(#25526),#9987,.T.); #333992=ADVANCED_FACE('',(#25527),#9988,.T.); #333993=ADVANCED_FACE('',(#25528),#9989,.T.); #333994=ADVANCED_FACE('',(#25529),#9990,.T.); #333995=ADVANCED_FACE('',(#25530),#9991,.T.); #333996=ADVANCED_FACE('',(#25531),#9992,.F.); #333997=ADVANCED_FACE('',(#25532),#9993,.T.); #333998=ADVANCED_FACE('',(#25533),#9994,.T.); #333999=ADVANCED_FACE('',(#25534),#9995,.T.); #334000=ADVANCED_FACE('',(#25535),#9996,.T.); #334001=ADVANCED_FACE('',(#25536),#9997,.T.); #334002=ADVANCED_FACE('',(#25537),#9998,.T.); #334003=ADVANCED_FACE('',(#25538),#9999,.T.); #334004=ADVANCED_FACE('',(#25539),#10000,.T.); #334005=ADVANCED_FACE('',(#25540),#10001,.T.); #334006=ADVANCED_FACE('',(#25541),#10002,.T.); #334007=ADVANCED_FACE('',(#25542),#10003,.T.); #334008=ADVANCED_FACE('',(#25543),#10004,.T.); #334009=ADVANCED_FACE('',(#25544),#10005,.T.); #334010=ADVANCED_FACE('',(#25545),#10006,.F.); #334011=ADVANCED_FACE('',(#25546),#327742,.F.); #334012=ADVANCED_FACE('',(#25547),#10007,.T.); #334013=ADVANCED_FACE('',(#25548),#10008,.T.); #334014=ADVANCED_FACE('',(#25549),#10009,.T.); #334015=ADVANCED_FACE('',(#25550),#10010,.T.); #334016=ADVANCED_FACE('',(#25551),#327743,.T.); #334017=ADVANCED_FACE('',(#25552),#10011,.T.); #334018=ADVANCED_FACE('',(#25553),#327744,.T.); #334019=ADVANCED_FACE('',(#25554),#10012,.T.); #334020=ADVANCED_FACE('',(#25555),#327745,.T.); #334021=ADVANCED_FACE('',(#25556),#10013,.T.); #334022=ADVANCED_FACE('',(#25557),#327746,.T.); #334023=ADVANCED_FACE('',(#25558),#327747,.T.); #334024=ADVANCED_FACE('',(#25559),#10014,.T.); #334025=ADVANCED_FACE('',(#25560),#10015,.T.); #334026=ADVANCED_FACE('',(#25561),#10016,.T.); #334027=ADVANCED_FACE('',(#25562),#10017,.T.); #334028=ADVANCED_FACE('',(#25563),#10018,.T.); #334029=ADVANCED_FACE('',(#25564,#4966),#10019,.T.); #334030=ADVANCED_FACE('',(#25565,#4967),#10020,.F.); #334031=ADVANCED_FACE('',(#25566),#327748,.F.); #334032=ADVANCED_FACE('',(#25567),#10021,.T.); #334033=ADVANCED_FACE('',(#25568),#327749,.T.); #334034=ADVANCED_FACE('',(#25569),#10022,.T.); #334035=ADVANCED_FACE('',(#25570),#327750,.T.); #334036=ADVANCED_FACE('',(#25571),#10023,.T.); #334037=ADVANCED_FACE('',(#25572),#327751,.T.); #334038=ADVANCED_FACE('',(#25573),#10024,.T.); #334039=ADVANCED_FACE('',(#25574),#10025,.T.); #334040=ADVANCED_FACE('',(#25575),#10026,.T.); #334041=ADVANCED_FACE('',(#25576),#10027,.T.); #334042=ADVANCED_FACE('',(#25577),#10028,.T.); #334043=ADVANCED_FACE('',(#25578),#10029,.T.); #334044=ADVANCED_FACE('',(#25579),#10030,.T.); #334045=ADVANCED_FACE('',(#25580),#10031,.T.); #334046=ADVANCED_FACE('',(#25581),#10032,.T.); #334047=ADVANCED_FACE('',(#25582),#327752,.T.); #334048=ADVANCED_FACE('',(#25583),#10033,.T.); #334049=ADVANCED_FACE('',(#25584),#327753,.T.); #334050=ADVANCED_FACE('',(#25585),#10034,.T.); #334051=ADVANCED_FACE('',(#25586),#10035,.T.); #334052=ADVANCED_FACE('',(#25587),#10036,.T.); #334053=ADVANCED_FACE('',(#25588),#327754,.T.); #334054=ADVANCED_FACE('',(#25589,#4968),#10037,.T.); #334055=ADVANCED_FACE('',(#25590,#4969),#10038,.F.); #334056=ADVANCED_FACE('',(#25591),#327755,.F.); #334057=ADVANCED_FACE('',(#25592),#10039,.T.); #334058=ADVANCED_FACE('',(#25593),#327756,.T.); #334059=ADVANCED_FACE('',(#25594),#327757,.T.); #334060=ADVANCED_FACE('',(#25595),#10040,.T.); #334061=ADVANCED_FACE('',(#25596),#10041,.T.); #334062=ADVANCED_FACE('',(#25597),#10042,.T.); #334063=ADVANCED_FACE('',(#25598),#10043,.T.); #334064=ADVANCED_FACE('',(#25599),#10044,.T.); #334065=ADVANCED_FACE('',(#25600),#10045,.T.); #334066=ADVANCED_FACE('',(#25601),#327758,.T.); #334067=ADVANCED_FACE('',(#25602),#10046,.T.); #334068=ADVANCED_FACE('',(#25603),#327759,.T.); #334069=ADVANCED_FACE('',(#25604,#4970),#10047,.T.); #334070=ADVANCED_FACE('',(#25605,#4971),#10048,.F.); #334071=ADVANCED_FACE('',(#25606),#10049,.T.); #334072=ADVANCED_FACE('',(#25607),#10050,.T.); #334073=ADVANCED_FACE('',(#25608),#10051,.T.); #334074=ADVANCED_FACE('',(#25609),#10052,.T.); #334075=ADVANCED_FACE('',(#25610),#327760,.T.); #334076=ADVANCED_FACE('',(#25611),#10053,.T.); #334077=ADVANCED_FACE('',(#25612),#327761,.T.); #334078=ADVANCED_FACE('',(#25613),#10054,.T.); #334079=ADVANCED_FACE('',(#25614),#10055,.T.); #334080=ADVANCED_FACE('',(#25615),#10056,.T.); #334081=ADVANCED_FACE('',(#25616),#327762,.T.); #334082=ADVANCED_FACE('',(#25617),#10057,.T.); #334083=ADVANCED_FACE('',(#25618),#327763,.T.); #334084=ADVANCED_FACE('',(#25619),#10058,.T.); #334085=ADVANCED_FACE('',(#25620),#10059,.T.); #334086=ADVANCED_FACE('',(#25621),#10060,.T.); #334087=ADVANCED_FACE('',(#25622),#10061,.T.); #334088=ADVANCED_FACE('',(#25623),#10062,.T.); #334089=ADVANCED_FACE('',(#25624),#10063,.T.); #334090=ADVANCED_FACE('',(#25625),#10064,.T.); #334091=ADVANCED_FACE('',(#25626),#10065,.T.); #334092=ADVANCED_FACE('',(#25627),#10066,.T.); #334093=ADVANCED_FACE('',(#25628),#327764,.T.); #334094=ADVANCED_FACE('',(#25629),#10067,.T.); #334095=ADVANCED_FACE('',(#25630),#327765,.T.); #334096=ADVANCED_FACE('',(#25631),#10068,.T.); #334097=ADVANCED_FACE('',(#25632),#10069,.T.); #334098=ADVANCED_FACE('',(#25633),#10070,.T.); #334099=ADVANCED_FACE('',(#25634),#327766,.T.); #334100=ADVANCED_FACE('',(#25635),#10071,.T.); #334101=ADVANCED_FACE('',(#25636),#327767,.T.); #334102=ADVANCED_FACE('',(#25637),#10072,.T.); #334103=ADVANCED_FACE('',(#25638),#10073,.T.); #334104=ADVANCED_FACE('',(#25639),#10074,.T.); #334105=ADVANCED_FACE('',(#25640),#10075,.T.); #334106=ADVANCED_FACE('',(#25641),#10076,.T.); #334107=ADVANCED_FACE('',(#25642),#10077,.T.); #334108=ADVANCED_FACE('',(#25643),#10078,.F.); #334109=ADVANCED_FACE('',(#25644),#327768,.F.); #334110=ADVANCED_FACE('',(#25645),#10079,.T.); #334111=ADVANCED_FACE('',(#25646),#327769,.T.); #334112=ADVANCED_FACE('',(#25647),#10080,.T.); #334113=ADVANCED_FACE('',(#25648),#10081,.T.); #334114=ADVANCED_FACE('',(#25649),#10082,.T.); #334115=ADVANCED_FACE('',(#25650),#10083,.T.); #334116=ADVANCED_FACE('',(#25651),#10084,.T.); #334117=ADVANCED_FACE('',(#25652),#10085,.T.); #334118=ADVANCED_FACE('',(#25653),#10086,.T.); #334119=ADVANCED_FACE('',(#25654),#327770,.T.); #334120=ADVANCED_FACE('',(#25655,#4972),#10087,.T.); #334121=ADVANCED_FACE('',(#25656,#4973),#10088,.F.); #334122=ADVANCED_FACE('',(#25657),#327771,.F.); #334123=ADVANCED_FACE('',(#25658),#327772,.F.); #334124=ADVANCED_FACE('',(#25659),#10089,.T.); #334125=ADVANCED_FACE('',(#25660),#10090,.T.); #334126=ADVANCED_FACE('',(#25661),#327773,.T.); #334127=ADVANCED_FACE('',(#25662),#327774,.T.); #334128=ADVANCED_FACE('',(#25663),#10091,.T.); #334129=ADVANCED_FACE('',(#25664),#10092,.T.); #334130=ADVANCED_FACE('',(#25665),#327775,.T.); #334131=ADVANCED_FACE('',(#25666),#327776,.T.); #334132=ADVANCED_FACE('',(#25667),#10093,.T.); #334133=ADVANCED_FACE('',(#25668),#10094,.T.); #334134=ADVANCED_FACE('',(#25669),#10095,.T.); #334135=ADVANCED_FACE('',(#25670),#10096,.T.); #334136=ADVANCED_FACE('',(#25671),#10097,.T.); #334137=ADVANCED_FACE('',(#25672),#10098,.T.); #334138=ADVANCED_FACE('',(#25673),#10099,.T.); #334139=ADVANCED_FACE('',(#25674),#10100,.T.); #334140=ADVANCED_FACE('',(#25675),#10101,.T.); #334141=ADVANCED_FACE('',(#25676),#10102,.T.); #334142=ADVANCED_FACE('',(#25677),#327777,.T.); #334143=ADVANCED_FACE('',(#25678),#10103,.T.); #334144=ADVANCED_FACE('',(#25679),#327778,.T.); #334145=ADVANCED_FACE('',(#25680,#4974,#4975),#10104,.T.); #334146=ADVANCED_FACE('',(#25681,#4976,#4977),#10105,.F.); #334147=ADVANCED_FACE('',(#25682),#10106,.T.); #334148=ADVANCED_FACE('',(#25683),#10107,.T.); #334149=ADVANCED_FACE('',(#25684),#10108,.T.); #334150=ADVANCED_FACE('',(#25685),#10109,.T.); #334151=ADVANCED_FACE('',(#25686),#327779,.T.); #334152=ADVANCED_FACE('',(#25687),#10110,.T.); #334153=ADVANCED_FACE('',(#25688),#10111,.T.); #334154=ADVANCED_FACE('',(#25689),#10112,.T.); #334155=ADVANCED_FACE('',(#25690),#10113,.T.); #334156=ADVANCED_FACE('',(#25691),#10114,.T.); #334157=ADVANCED_FACE('',(#25692),#10115,.T.); #334158=ADVANCED_FACE('',(#25693),#10116,.T.); #334159=ADVANCED_FACE('',(#25694),#10117,.T.); #334160=ADVANCED_FACE('',(#25695),#10118,.T.); #334161=ADVANCED_FACE('',(#25696),#10119,.T.); #334162=ADVANCED_FACE('',(#25697),#10120,.F.); #334163=ADVANCED_FACE('',(#25698),#327780,.F.); #334164=ADVANCED_FACE('',(#25699),#327781,.F.); #334165=ADVANCED_FACE('',(#25700),#327782,.F.); #334166=ADVANCED_FACE('',(#25701),#327783,.F.); #334167=ADVANCED_FACE('',(#25702),#327784,.F.); #334168=ADVANCED_FACE('',(#25703),#327785,.F.); #334169=ADVANCED_FACE('',(#25704),#327786,.F.); #334170=ADVANCED_FACE('',(#25705),#327787,.F.); #334171=ADVANCED_FACE('',(#25706),#327788,.F.); #334172=ADVANCED_FACE('',(#25707),#327789,.F.); #334173=ADVANCED_FACE('',(#25708),#327790,.F.); #334174=ADVANCED_FACE('',(#25709),#10121,.T.); #334175=ADVANCED_FACE('',(#25710),#10122,.T.); #334176=ADVANCED_FACE('',(#25711),#10123,.T.); #334177=ADVANCED_FACE('',(#25712),#10124,.T.); #334178=ADVANCED_FACE('',(#25713),#327791,.T.); #334179=ADVANCED_FACE('',(#25714),#10125,.T.); #334180=ADVANCED_FACE('',(#25715),#327792,.T.); #334181=ADVANCED_FACE('',(#25716),#10126,.T.); #334182=ADVANCED_FACE('',(#25717),#10127,.T.); #334183=ADVANCED_FACE('',(#25718),#10128,.T.); #334184=ADVANCED_FACE('',(#25719),#10129,.T.); #334185=ADVANCED_FACE('',(#25720),#10130,.T.); #334186=ADVANCED_FACE('',(#25721),#10131,.T.); #334187=ADVANCED_FACE('',(#25722),#10132,.T.); #334188=ADVANCED_FACE('',(#25723),#10133,.T.); #334189=ADVANCED_FACE('',(#25724),#10134,.T.); #334190=ADVANCED_FACE('',(#25725),#10135,.T.); #334191=ADVANCED_FACE('',(#25726),#10136,.T.); #334192=ADVANCED_FACE('',(#25727),#10137,.T.); #334193=ADVANCED_FACE('',(#25728),#10138,.T.); #334194=ADVANCED_FACE('',(#25729),#10139,.T.); #334195=ADVANCED_FACE('',(#25730),#10140,.T.); #334196=ADVANCED_FACE('',(#25731),#10141,.T.); #334197=ADVANCED_FACE('',(#25732),#10142,.T.); #334198=ADVANCED_FACE('',(#25733),#10143,.T.); #334199=ADVANCED_FACE('',(#25734),#10144,.T.); #334200=ADVANCED_FACE('',(#25735),#10145,.T.); #334201=ADVANCED_FACE('',(#25736),#10146,.T.); #334202=ADVANCED_FACE('',(#25737),#10147,.T.); #334203=ADVANCED_FACE('',(#25738),#10148,.T.); #334204=ADVANCED_FACE('',(#25739),#10149,.T.); #334205=ADVANCED_FACE('',(#25740),#10150,.T.); #334206=ADVANCED_FACE('',(#25741),#10151,.T.); #334207=ADVANCED_FACE('',(#25742),#10152,.T.); #334208=ADVANCED_FACE('',(#25743),#10153,.T.); #334209=ADVANCED_FACE('',(#25744),#10154,.T.); #334210=ADVANCED_FACE('',(#25745),#10155,.T.); #334211=ADVANCED_FACE('',(#25746),#10156,.T.); #334212=ADVANCED_FACE('',(#25747),#10157,.T.); #334213=ADVANCED_FACE('',(#25748),#10158,.T.); #334214=ADVANCED_FACE('',(#25749),#10159,.T.); #334215=ADVANCED_FACE('',(#25750),#10160,.T.); #334216=ADVANCED_FACE('',(#25751),#10161,.T.); #334217=ADVANCED_FACE('',(#25752),#10162,.T.); #334218=ADVANCED_FACE('',(#25753),#10163,.T.); #334219=ADVANCED_FACE('',(#25754),#10164,.T.); #334220=ADVANCED_FACE('',(#25755),#10165,.T.); #334221=ADVANCED_FACE('',(#25756),#10166,.T.); #334222=ADVANCED_FACE('',(#25757),#10167,.T.); #334223=ADVANCED_FACE('',(#25758),#10168,.T.); #334224=ADVANCED_FACE('',(#25759),#10169,.T.); #334225=ADVANCED_FACE('',(#25760),#10170,.T.); #334226=ADVANCED_FACE('',(#25761),#10171,.T.); #334227=ADVANCED_FACE('',(#25762),#10172,.T.); #334228=ADVANCED_FACE('',(#25763),#10173,.T.); #334229=ADVANCED_FACE('',(#25764),#10174,.T.); #334230=ADVANCED_FACE('',(#25765),#10175,.T.); #334231=ADVANCED_FACE('',(#25766),#10176,.T.); #334232=ADVANCED_FACE('',(#25767),#10177,.T.); #334233=ADVANCED_FACE('',(#25768),#10178,.T.); #334234=ADVANCED_FACE('',(#25769),#10179,.T.); #334235=ADVANCED_FACE('',(#25770),#10180,.T.); #334236=ADVANCED_FACE('',(#25771),#10181,.T.); #334237=ADVANCED_FACE('',(#25772),#10182,.T.); #334238=ADVANCED_FACE('',(#25773),#10183,.T.); #334239=ADVANCED_FACE('',(#25774),#10184,.T.); #334240=ADVANCED_FACE('',(#25775),#10185,.T.); #334241=ADVANCED_FACE('',(#25776),#10186,.T.); #334242=ADVANCED_FACE('',(#25777),#10187,.T.); #334243=ADVANCED_FACE('',(#25778),#10188,.T.); #334244=ADVANCED_FACE('',(#25779),#10189,.T.); #334245=ADVANCED_FACE('',(#25780),#10190,.T.); #334246=ADVANCED_FACE('',(#25781),#10191,.T.); #334247=ADVANCED_FACE('',(#25782),#10192,.T.); #334248=ADVANCED_FACE('',(#25783),#10193,.T.); #334249=ADVANCED_FACE('',(#25784),#10194,.T.); #334250=ADVANCED_FACE('',(#25785),#10195,.T.); #334251=ADVANCED_FACE('',(#25786),#10196,.T.); #334252=ADVANCED_FACE('',(#25787),#10197,.T.); #334253=ADVANCED_FACE('',(#25788),#10198,.T.); #334254=ADVANCED_FACE('',(#25789),#10199,.T.); #334255=ADVANCED_FACE('',(#25790),#10200,.T.); #334256=ADVANCED_FACE('',(#25791),#10201,.T.); #334257=ADVANCED_FACE('',(#25792),#10202,.T.); #334258=ADVANCED_FACE('',(#25793),#10203,.T.); #334259=ADVANCED_FACE('',(#25794),#10204,.T.); #334260=ADVANCED_FACE('',(#25795),#10205,.T.); #334261=ADVANCED_FACE('',(#25796),#10206,.T.); #334262=ADVANCED_FACE('',(#25797),#10207,.T.); #334263=ADVANCED_FACE('',(#25798),#10208,.T.); #334264=ADVANCED_FACE('',(#25799),#10209,.T.); #334265=ADVANCED_FACE('',(#25800),#10210,.T.); #334266=ADVANCED_FACE('',(#25801),#10211,.T.); #334267=ADVANCED_FACE('',(#25802),#10212,.T.); #334268=ADVANCED_FACE('',(#25803),#10213,.T.); #334269=ADVANCED_FACE('',(#25804),#10214,.T.); #334270=ADVANCED_FACE('',(#25805),#10215,.T.); #334271=ADVANCED_FACE('',(#25806),#10216,.T.); #334272=ADVANCED_FACE('',(#25807),#10217,.T.); #334273=ADVANCED_FACE('',(#25808),#10218,.T.); #334274=ADVANCED_FACE('',(#25809),#10219,.T.); #334275=ADVANCED_FACE('',(#25810),#10220,.T.); #334276=ADVANCED_FACE('',(#25811),#10221,.T.); #334277=ADVANCED_FACE('',(#25812),#10222,.T.); #334278=ADVANCED_FACE('',(#25813),#10223,.T.); #334279=ADVANCED_FACE('',(#25814),#10224,.T.); #334280=ADVANCED_FACE('',(#25815),#10225,.T.); #334281=ADVANCED_FACE('',(#25816),#10226,.T.); #334282=ADVANCED_FACE('',(#25817),#10227,.T.); #334283=ADVANCED_FACE('',(#25818),#10228,.T.); #334284=ADVANCED_FACE('',(#25819),#10229,.T.); #334285=ADVANCED_FACE('',(#25820),#10230,.T.); #334286=ADVANCED_FACE('',(#25821),#10231,.T.); #334287=ADVANCED_FACE('',(#25822),#10232,.T.); #334288=ADVANCED_FACE('',(#25823),#10233,.T.); #334289=ADVANCED_FACE('',(#25824),#10234,.T.); #334290=ADVANCED_FACE('',(#25825),#10235,.T.); #334291=ADVANCED_FACE('',(#25826),#10236,.T.); #334292=ADVANCED_FACE('',(#25827),#10237,.T.); #334293=ADVANCED_FACE('',(#25828),#10238,.T.); #334294=ADVANCED_FACE('',(#25829),#10239,.T.); #334295=ADVANCED_FACE('',(#25830),#10240,.T.); #334296=ADVANCED_FACE('',(#25831),#10241,.T.); #334297=ADVANCED_FACE('',(#25832),#10242,.T.); #334298=ADVANCED_FACE('',(#25833),#10243,.T.); #334299=ADVANCED_FACE('',(#25834),#327793,.T.); #334300=ADVANCED_FACE('',(#25835),#10244,.T.); #334301=ADVANCED_FACE('',(#25836),#327794,.T.); #334302=ADVANCED_FACE('',(#25837),#10245,.T.); #334303=ADVANCED_FACE('',(#25838),#10246,.T.); #334304=ADVANCED_FACE('',(#25839),#10247,.T.); #334305=ADVANCED_FACE('',(#25840),#10248,.T.); #334306=ADVANCED_FACE('',(#25841),#10249,.T.); #334307=ADVANCED_FACE('',(#25842,#4978,#4979,#4980,#4981,#4982,#4983,#4984, #4985,#4986,#4987,#4988),#10250,.T.); #334308=ADVANCED_FACE('',(#25843,#4989,#4990,#4991,#4992,#4993,#4994,#4995, #4996,#4997,#4998,#4999),#10251,.F.); #334309=ADVANCED_FACE('',(#25844),#327795,.F.); #334310=ADVANCED_FACE('',(#25845),#10252,.T.); #334311=ADVANCED_FACE('',(#25846),#327796,.T.); #334312=ADVANCED_FACE('',(#25847),#327797,.T.); #334313=ADVANCED_FACE('',(#25848,#5000),#10253,.T.); #334314=ADVANCED_FACE('',(#25849,#5001),#10254,.F.); #334315=ADVANCED_FACE('',(#25850),#10255,.T.); #334316=ADVANCED_FACE('',(#25851),#10256,.T.); #334317=ADVANCED_FACE('',(#25852),#10257,.T.); #334318=ADVANCED_FACE('',(#25853),#10258,.T.); #334319=ADVANCED_FACE('',(#25854),#10259,.T.); #334320=ADVANCED_FACE('',(#25855),#10260,.T.); #334321=ADVANCED_FACE('',(#25856),#10261,.T.); #334322=ADVANCED_FACE('',(#25857),#10262,.T.); #334323=ADVANCED_FACE('',(#25858),#10263,.T.); #334324=ADVANCED_FACE('',(#25859),#10264,.T.); #334325=ADVANCED_FACE('',(#25860),#10265,.T.); #334326=ADVANCED_FACE('',(#25861),#10266,.T.); #334327=ADVANCED_FACE('',(#25862),#10267,.T.); #334328=ADVANCED_FACE('',(#25863),#10268,.T.); #334329=ADVANCED_FACE('',(#25864),#10269,.T.); #334330=ADVANCED_FACE('',(#25865),#10270,.T.); #334331=ADVANCED_FACE('',(#25866),#10271,.T.); #334332=ADVANCED_FACE('',(#25867),#10272,.T.); #334333=ADVANCED_FACE('',(#25868),#10273,.T.); #334334=ADVANCED_FACE('',(#25869),#10274,.T.); #334335=ADVANCED_FACE('',(#25870),#10275,.T.); #334336=ADVANCED_FACE('',(#25871),#10276,.F.); #334337=ADVANCED_FACE('',(#25872),#10277,.T.); #334338=ADVANCED_FACE('',(#25873),#10278,.T.); #334339=ADVANCED_FACE('',(#25874),#10279,.T.); #334340=ADVANCED_FACE('',(#25875),#10280,.T.); #334341=ADVANCED_FACE('',(#25876),#10281,.T.); #334342=ADVANCED_FACE('',(#25877),#10282,.T.); #334343=ADVANCED_FACE('',(#25878),#10283,.T.); #334344=ADVANCED_FACE('',(#25879),#10284,.T.); #334345=ADVANCED_FACE('',(#25880),#10285,.T.); #334346=ADVANCED_FACE('',(#25881),#10286,.T.); #334347=ADVANCED_FACE('',(#25882),#10287,.T.); #334348=ADVANCED_FACE('',(#25883),#10288,.T.); #334349=ADVANCED_FACE('',(#25884),#10289,.T.); #334350=ADVANCED_FACE('',(#25885),#10290,.T.); #334351=ADVANCED_FACE('',(#25886),#10291,.T.); #334352=ADVANCED_FACE('',(#25887),#10292,.T.); #334353=ADVANCED_FACE('',(#25888),#10293,.T.); #334354=ADVANCED_FACE('',(#25889),#10294,.T.); #334355=ADVANCED_FACE('',(#25890),#10295,.T.); #334356=ADVANCED_FACE('',(#25891),#10296,.T.); #334357=ADVANCED_FACE('',(#25892),#10297,.T.); #334358=ADVANCED_FACE('',(#25893),#10298,.T.); #334359=ADVANCED_FACE('',(#25894),#10299,.F.); #334360=ADVANCED_FACE('',(#25895),#10300,.T.); #334361=ADVANCED_FACE('',(#25896),#10301,.T.); #334362=ADVANCED_FACE('',(#25897),#10302,.T.); #334363=ADVANCED_FACE('',(#25898),#10303,.T.); #334364=ADVANCED_FACE('',(#25899),#10304,.T.); #334365=ADVANCED_FACE('',(#25900),#10305,.T.); #334366=ADVANCED_FACE('',(#25901),#10306,.T.); #334367=ADVANCED_FACE('',(#25902),#10307,.T.); #334368=ADVANCED_FACE('',(#25903),#10308,.T.); #334369=ADVANCED_FACE('',(#25904),#10309,.T.); #334370=ADVANCED_FACE('',(#25905),#10310,.T.); #334371=ADVANCED_FACE('',(#25906),#10311,.T.); #334372=ADVANCED_FACE('',(#25907),#10312,.T.); #334373=ADVANCED_FACE('',(#25908),#10313,.T.); #334374=ADVANCED_FACE('',(#25909),#10314,.T.); #334375=ADVANCED_FACE('',(#25910),#10315,.T.); #334376=ADVANCED_FACE('',(#25911),#10316,.T.); #334377=ADVANCED_FACE('',(#25912),#10317,.T.); #334378=ADVANCED_FACE('',(#25913),#10318,.T.); #334379=ADVANCED_FACE('',(#25914),#10319,.T.); #334380=ADVANCED_FACE('',(#25915),#10320,.T.); #334381=ADVANCED_FACE('',(#25916),#10321,.F.); #334382=ADVANCED_FACE('',(#25917),#10322,.T.); #334383=ADVANCED_FACE('',(#25918),#10323,.T.); #334384=ADVANCED_FACE('',(#25919),#10324,.T.); #334385=ADVANCED_FACE('',(#25920),#10325,.T.); #334386=ADVANCED_FACE('',(#25921),#10326,.T.); #334387=ADVANCED_FACE('',(#25922),#10327,.T.); #334388=ADVANCED_FACE('',(#25923),#10328,.T.); #334389=ADVANCED_FACE('',(#25924),#10329,.T.); #334390=ADVANCED_FACE('',(#25925),#10330,.T.); #334391=ADVANCED_FACE('',(#25926),#10331,.T.); #334392=ADVANCED_FACE('',(#25927),#10332,.T.); #334393=ADVANCED_FACE('',(#25928),#10333,.T.); #334394=ADVANCED_FACE('',(#25929),#10334,.T.); #334395=ADVANCED_FACE('',(#25930),#10335,.T.); #334396=ADVANCED_FACE('',(#25931),#10336,.T.); #334397=ADVANCED_FACE('',(#25932),#10337,.T.); #334398=ADVANCED_FACE('',(#25933),#10338,.T.); #334399=ADVANCED_FACE('',(#25934),#10339,.T.); #334400=ADVANCED_FACE('',(#25935),#10340,.T.); #334401=ADVANCED_FACE('',(#25936),#10341,.T.); #334402=ADVANCED_FACE('',(#25937),#10342,.T.); #334403=ADVANCED_FACE('',(#25938),#10343,.T.); #334404=ADVANCED_FACE('',(#25939),#10344,.F.); #334405=ADVANCED_FACE('',(#25940),#327798,.F.); #334406=ADVANCED_FACE('',(#25941),#327799,.F.); #334407=ADVANCED_FACE('',(#25942),#327800,.F.); #334408=ADVANCED_FACE('',(#25943),#10345,.T.); #334409=ADVANCED_FACE('',(#25944),#10346,.T.); #334410=ADVANCED_FACE('',(#25945),#10347,.T.); #334411=ADVANCED_FACE('',(#25946),#10348,.T.); #334412=ADVANCED_FACE('',(#25947),#10349,.T.); #334413=ADVANCED_FACE('',(#25948),#10350,.T.); #334414=ADVANCED_FACE('',(#25949),#10351,.T.); #334415=ADVANCED_FACE('',(#25950),#10352,.T.); #334416=ADVANCED_FACE('',(#25951),#10353,.T.); #334417=ADVANCED_FACE('',(#25952),#10354,.T.); #334418=ADVANCED_FACE('',(#25953),#10355,.T.); #334419=ADVANCED_FACE('',(#25954),#10356,.T.); #334420=ADVANCED_FACE('',(#25955),#10357,.T.); #334421=ADVANCED_FACE('',(#25956),#10358,.T.); #334422=ADVANCED_FACE('',(#25957),#10359,.T.); #334423=ADVANCED_FACE('',(#25958),#10360,.T.); #334424=ADVANCED_FACE('',(#25959),#10361,.T.); #334425=ADVANCED_FACE('',(#25960),#10362,.T.); #334426=ADVANCED_FACE('',(#25961),#10363,.T.); #334427=ADVANCED_FACE('',(#25962),#10364,.T.); #334428=ADVANCED_FACE('',(#25963),#10365,.T.); #334429=ADVANCED_FACE('',(#25964),#10366,.T.); #334430=ADVANCED_FACE('',(#25965),#10367,.T.); #334431=ADVANCED_FACE('',(#25966),#10368,.T.); #334432=ADVANCED_FACE('',(#25967),#10369,.T.); #334433=ADVANCED_FACE('',(#25968),#10370,.T.); #334434=ADVANCED_FACE('',(#25969),#10371,.T.); #334435=ADVANCED_FACE('',(#25970),#10372,.T.); #334436=ADVANCED_FACE('',(#25971),#10373,.T.); #334437=ADVANCED_FACE('',(#25972),#10374,.T.); #334438=ADVANCED_FACE('',(#25973),#10375,.T.); #334439=ADVANCED_FACE('',(#25974),#10376,.T.); #334440=ADVANCED_FACE('',(#25975),#10377,.T.); #334441=ADVANCED_FACE('',(#25976),#10378,.T.); #334442=ADVANCED_FACE('',(#25977),#10379,.T.); #334443=ADVANCED_FACE('',(#25978),#10380,.T.); #334444=ADVANCED_FACE('',(#25979),#10381,.T.); #334445=ADVANCED_FACE('',(#25980),#10382,.T.); #334446=ADVANCED_FACE('',(#25981),#10383,.T.); #334447=ADVANCED_FACE('',(#25982),#10384,.T.); #334448=ADVANCED_FACE('',(#25983),#10385,.T.); #334449=ADVANCED_FACE('',(#25984),#10386,.T.); #334450=ADVANCED_FACE('',(#25985),#10387,.T.); #334451=ADVANCED_FACE('',(#25986),#10388,.T.); #334452=ADVANCED_FACE('',(#25987),#10389,.T.); #334453=ADVANCED_FACE('',(#25988),#10390,.T.); #334454=ADVANCED_FACE('',(#25989),#10391,.T.); #334455=ADVANCED_FACE('',(#25990),#10392,.T.); #334456=ADVANCED_FACE('',(#25991),#10393,.T.); #334457=ADVANCED_FACE('',(#25992),#10394,.T.); #334458=ADVANCED_FACE('',(#25993),#10395,.T.); #334459=ADVANCED_FACE('',(#25994),#10396,.T.); #334460=ADVANCED_FACE('',(#25995),#10397,.T.); #334461=ADVANCED_FACE('',(#25996),#10398,.T.); #334462=ADVANCED_FACE('',(#25997),#10399,.T.); #334463=ADVANCED_FACE('',(#25998),#10400,.T.); #334464=ADVANCED_FACE('',(#25999),#10401,.T.); #334465=ADVANCED_FACE('',(#26000),#10402,.T.); #334466=ADVANCED_FACE('',(#26001),#10403,.T.); #334467=ADVANCED_FACE('',(#26002),#10404,.T.); #334468=ADVANCED_FACE('',(#26003),#10405,.T.); #334469=ADVANCED_FACE('',(#26004),#10406,.T.); #334470=ADVANCED_FACE('',(#26005),#10407,.T.); #334471=ADVANCED_FACE('',(#26006),#10408,.T.); #334472=ADVANCED_FACE('',(#26007),#10409,.T.); #334473=ADVANCED_FACE('',(#26008),#10410,.T.); #334474=ADVANCED_FACE('',(#26009),#10411,.T.); #334475=ADVANCED_FACE('',(#26010),#10412,.T.); #334476=ADVANCED_FACE('',(#26011),#10413,.T.); #334477=ADVANCED_FACE('',(#26012),#10414,.T.); #334478=ADVANCED_FACE('',(#26013),#10415,.T.); #334479=ADVANCED_FACE('',(#26014),#10416,.T.); #334480=ADVANCED_FACE('',(#26015),#10417,.T.); #334481=ADVANCED_FACE('',(#26016),#10418,.T.); #334482=ADVANCED_FACE('',(#26017),#10419,.T.); #334483=ADVANCED_FACE('',(#26018),#10420,.T.); #334484=ADVANCED_FACE('',(#26019),#10421,.T.); #334485=ADVANCED_FACE('',(#26020),#10422,.T.); #334486=ADVANCED_FACE('',(#26021),#10423,.T.); #334487=ADVANCED_FACE('',(#26022),#10424,.T.); #334488=ADVANCED_FACE('',(#26023),#10425,.T.); #334489=ADVANCED_FACE('',(#26024),#10426,.T.); #334490=ADVANCED_FACE('',(#26025),#10427,.T.); #334491=ADVANCED_FACE('',(#26026),#10428,.T.); #334492=ADVANCED_FACE('',(#26027),#10429,.T.); #334493=ADVANCED_FACE('',(#26028),#10430,.T.); #334494=ADVANCED_FACE('',(#26029),#10431,.T.); #334495=ADVANCED_FACE('',(#26030),#10432,.T.); #334496=ADVANCED_FACE('',(#26031),#10433,.T.); #334497=ADVANCED_FACE('',(#26032),#10434,.T.); #334498=ADVANCED_FACE('',(#26033,#5002,#5003,#5004),#10435,.T.); #334499=ADVANCED_FACE('',(#26034,#5005,#5006,#5007),#10436,.F.); #334500=ADVANCED_FACE('',(#26035),#10437,.T.); #334501=ADVANCED_FACE('',(#26036),#10438,.T.); #334502=ADVANCED_FACE('',(#26037),#10439,.T.); #334503=ADVANCED_FACE('',(#26038),#10440,.T.); #334504=ADVANCED_FACE('',(#26039),#10441,.T.); #334505=ADVANCED_FACE('',(#26040),#10442,.T.); #334506=ADVANCED_FACE('',(#26041),#10443,.T.); #334507=ADVANCED_FACE('',(#26042),#10444,.T.); #334508=ADVANCED_FACE('',(#26043),#10445,.T.); #334509=ADVANCED_FACE('',(#26044),#10446,.T.); #334510=ADVANCED_FACE('',(#26045),#10447,.T.); #334511=ADVANCED_FACE('',(#26046),#10448,.T.); #334512=ADVANCED_FACE('',(#26047),#10449,.T.); #334513=ADVANCED_FACE('',(#26048),#10450,.T.); #334514=ADVANCED_FACE('',(#26049),#10451,.T.); #334515=ADVANCED_FACE('',(#26050),#10452,.T.); #334516=ADVANCED_FACE('',(#26051),#10453,.T.); #334517=ADVANCED_FACE('',(#26052),#10454,.T.); #334518=ADVANCED_FACE('',(#26053),#10455,.T.); #334519=ADVANCED_FACE('',(#26054),#10456,.T.); #334520=ADVANCED_FACE('',(#26055),#10457,.T.); #334521=ADVANCED_FACE('',(#26056),#10458,.T.); #334522=ADVANCED_FACE('',(#26057),#10459,.T.); #334523=ADVANCED_FACE('',(#26058),#10460,.T.); #334524=ADVANCED_FACE('',(#26059),#10461,.T.); #334525=ADVANCED_FACE('',(#26060),#10462,.T.); #334526=ADVANCED_FACE('',(#26061),#10463,.T.); #334527=ADVANCED_FACE('',(#26062),#10464,.T.); #334528=ADVANCED_FACE('',(#26063),#10465,.T.); #334529=ADVANCED_FACE('',(#26064),#10466,.T.); #334530=ADVANCED_FACE('',(#26065),#10467,.T.); #334531=ADVANCED_FACE('',(#26066),#10468,.T.); #334532=ADVANCED_FACE('',(#26067),#10469,.T.); #334533=ADVANCED_FACE('',(#26068),#10470,.T.); #334534=ADVANCED_FACE('',(#26069),#10471,.T.); #334535=ADVANCED_FACE('',(#26070),#10472,.F.); #334536=ADVANCED_FACE('',(#26071),#10473,.T.); #334537=ADVANCED_FACE('',(#26072),#10474,.T.); #334538=ADVANCED_FACE('',(#26073),#10475,.T.); #334539=ADVANCED_FACE('',(#26074),#10476,.T.); #334540=ADVANCED_FACE('',(#26075),#10477,.T.); #334541=ADVANCED_FACE('',(#26076),#10478,.T.); #334542=ADVANCED_FACE('',(#26077),#10479,.T.); #334543=ADVANCED_FACE('',(#26078),#10480,.T.); #334544=ADVANCED_FACE('',(#26079),#10481,.T.); #334545=ADVANCED_FACE('',(#26080),#10482,.T.); #334546=ADVANCED_FACE('',(#26081),#10483,.T.); #334547=ADVANCED_FACE('',(#26082),#10484,.T.); #334548=ADVANCED_FACE('',(#26083),#10485,.T.); #334549=ADVANCED_FACE('',(#26084),#10486,.T.); #334550=ADVANCED_FACE('',(#26085),#10487,.T.); #334551=ADVANCED_FACE('',(#26086),#10488,.T.); #334552=ADVANCED_FACE('',(#26087),#10489,.T.); #334553=ADVANCED_FACE('',(#26088),#10490,.T.); #334554=ADVANCED_FACE('',(#26089),#10491,.T.); #334555=ADVANCED_FACE('',(#26090),#10492,.T.); #334556=ADVANCED_FACE('',(#26091),#10493,.T.); #334557=ADVANCED_FACE('',(#26092),#10494,.F.); #334558=ADVANCED_FACE('',(#26093),#10495,.T.); #334559=ADVANCED_FACE('',(#26094),#10496,.T.); #334560=ADVANCED_FACE('',(#26095),#10497,.T.); #334561=ADVANCED_FACE('',(#26096),#10498,.T.); #334562=ADVANCED_FACE('',(#26097),#10499,.T.); #334563=ADVANCED_FACE('',(#26098),#10500,.T.); #334564=ADVANCED_FACE('',(#26099),#10501,.T.); #334565=ADVANCED_FACE('',(#26100),#10502,.T.); #334566=ADVANCED_FACE('',(#26101),#10503,.T.); #334567=ADVANCED_FACE('',(#26102),#10504,.T.); #334568=ADVANCED_FACE('',(#26103),#10505,.T.); #334569=ADVANCED_FACE('',(#26104),#10506,.T.); #334570=ADVANCED_FACE('',(#26105),#10507,.T.); #334571=ADVANCED_FACE('',(#26106),#10508,.T.); #334572=ADVANCED_FACE('',(#26107),#10509,.T.); #334573=ADVANCED_FACE('',(#26108),#10510,.T.); #334574=ADVANCED_FACE('',(#26109),#10511,.T.); #334575=ADVANCED_FACE('',(#26110),#10512,.T.); #334576=ADVANCED_FACE('',(#26111),#10513,.T.); #334577=ADVANCED_FACE('',(#26112),#10514,.T.); #334578=ADVANCED_FACE('',(#26113),#10515,.T.); #334579=ADVANCED_FACE('',(#26114),#10516,.T.); #334580=ADVANCED_FACE('',(#26115),#10517,.F.); #334581=ADVANCED_FACE('',(#26116),#327801,.F.); #334582=ADVANCED_FACE('',(#26117),#10518,.F.); #334583=ADVANCED_FACE('',(#26118),#327802,.F.); #334584=ADVANCED_FACE('',(#26119),#10519,.F.); #334585=ADVANCED_FACE('',(#26120),#327803,.F.); #334586=ADVANCED_FACE('',(#26121),#327804,.F.); #334587=ADVANCED_FACE('',(#26122),#327805,.F.); #334588=ADVANCED_FACE('',(#26123),#327806,.F.); #334589=ADVANCED_FACE('',(#26124),#327807,.F.); #334590=ADVANCED_FACE('',(#26125),#327808,.F.); #334591=ADVANCED_FACE('',(#26126),#327809,.F.); #334592=ADVANCED_FACE('',(#26127),#327810,.F.); #334593=ADVANCED_FACE('',(#26128),#327811,.F.); #334594=ADVANCED_FACE('',(#26129),#327812,.F.); #334595=ADVANCED_FACE('',(#26130),#10520,.T.); #334596=ADVANCED_FACE('',(#26131),#10521,.T.); #334597=ADVANCED_FACE('',(#26132),#10522,.T.); #334598=ADVANCED_FACE('',(#26133),#10523,.T.); #334599=ADVANCED_FACE('',(#26134),#10524,.T.); #334600=ADVANCED_FACE('',(#26135),#10525,.T.); #334601=ADVANCED_FACE('',(#26136),#10526,.T.); #334602=ADVANCED_FACE('',(#26137),#10527,.T.); #334603=ADVANCED_FACE('',(#26138),#10528,.T.); #334604=ADVANCED_FACE('',(#26139),#10529,.T.); #334605=ADVANCED_FACE('',(#26140),#10530,.T.); #334606=ADVANCED_FACE('',(#26141),#10531,.T.); #334607=ADVANCED_FACE('',(#26142),#10532,.T.); #334608=ADVANCED_FACE('',(#26143),#10533,.T.); #334609=ADVANCED_FACE('',(#26144),#10534,.T.); #334610=ADVANCED_FACE('',(#26145),#10535,.T.); #334611=ADVANCED_FACE('',(#26146),#10536,.T.); #334612=ADVANCED_FACE('',(#26147),#10537,.T.); #334613=ADVANCED_FACE('',(#26148),#10538,.T.); #334614=ADVANCED_FACE('',(#26149),#10539,.T.); #334615=ADVANCED_FACE('',(#26150),#10540,.T.); #334616=ADVANCED_FACE('',(#26151),#10541,.T.); #334617=ADVANCED_FACE('',(#26152),#10542,.T.); #334618=ADVANCED_FACE('',(#26153),#10543,.T.); #334619=ADVANCED_FACE('',(#26154),#10544,.T.); #334620=ADVANCED_FACE('',(#26155),#10545,.T.); #334621=ADVANCED_FACE('',(#26156),#10546,.T.); #334622=ADVANCED_FACE('',(#26157),#10547,.T.); #334623=ADVANCED_FACE('',(#26158),#10548,.T.); #334624=ADVANCED_FACE('',(#26159),#10549,.T.); #334625=ADVANCED_FACE('',(#26160),#10550,.T.); #334626=ADVANCED_FACE('',(#26161),#10551,.T.); #334627=ADVANCED_FACE('',(#26162),#10552,.T.); #334628=ADVANCED_FACE('',(#26163),#10553,.T.); #334629=ADVANCED_FACE('',(#26164),#10554,.T.); #334630=ADVANCED_FACE('',(#26165),#10555,.T.); #334631=ADVANCED_FACE('',(#26166),#10556,.T.); #334632=ADVANCED_FACE('',(#26167),#10557,.T.); #334633=ADVANCED_FACE('',(#26168),#10558,.T.); #334634=ADVANCED_FACE('',(#26169),#10559,.T.); #334635=ADVANCED_FACE('',(#26170),#10560,.T.); #334636=ADVANCED_FACE('',(#26171),#10561,.T.); #334637=ADVANCED_FACE('',(#26172),#10562,.T.); #334638=ADVANCED_FACE('',(#26173),#10563,.T.); #334639=ADVANCED_FACE('',(#26174),#10564,.T.); #334640=ADVANCED_FACE('',(#26175),#10565,.T.); #334641=ADVANCED_FACE('',(#26176),#10566,.T.); #334642=ADVANCED_FACE('',(#26177),#10567,.T.); #334643=ADVANCED_FACE('',(#26178),#10568,.T.); #334644=ADVANCED_FACE('',(#26179),#10569,.T.); #334645=ADVANCED_FACE('',(#26180),#10570,.T.); #334646=ADVANCED_FACE('',(#26181),#10571,.T.); #334647=ADVANCED_FACE('',(#26182),#10572,.T.); #334648=ADVANCED_FACE('',(#26183),#10573,.T.); #334649=ADVANCED_FACE('',(#26184),#10574,.T.); #334650=ADVANCED_FACE('',(#26185),#10575,.T.); #334651=ADVANCED_FACE('',(#26186),#10576,.T.); #334652=ADVANCED_FACE('',(#26187),#10577,.T.); #334653=ADVANCED_FACE('',(#26188),#10578,.T.); #334654=ADVANCED_FACE('',(#26189),#10579,.T.); #334655=ADVANCED_FACE('',(#26190),#10580,.T.); #334656=ADVANCED_FACE('',(#26191),#10581,.T.); #334657=ADVANCED_FACE('',(#26192),#10582,.T.); #334658=ADVANCED_FACE('',(#26193),#10583,.T.); #334659=ADVANCED_FACE('',(#26194),#10584,.T.); #334660=ADVANCED_FACE('',(#26195),#10585,.T.); #334661=ADVANCED_FACE('',(#26196),#10586,.T.); #334662=ADVANCED_FACE('',(#26197),#10587,.T.); #334663=ADVANCED_FACE('',(#26198),#10588,.T.); #334664=ADVANCED_FACE('',(#26199),#10589,.T.); #334665=ADVANCED_FACE('',(#26200),#10590,.T.); #334666=ADVANCED_FACE('',(#26201),#10591,.T.); #334667=ADVANCED_FACE('',(#26202),#10592,.T.); #334668=ADVANCED_FACE('',(#26203),#10593,.T.); #334669=ADVANCED_FACE('',(#26204),#10594,.T.); #334670=ADVANCED_FACE('',(#26205),#10595,.T.); #334671=ADVANCED_FACE('',(#26206),#10596,.T.); #334672=ADVANCED_FACE('',(#26207),#10597,.T.); #334673=ADVANCED_FACE('',(#26208),#10598,.T.); #334674=ADVANCED_FACE('',(#26209),#10599,.T.); #334675=ADVANCED_FACE('',(#26210),#10600,.T.); #334676=ADVANCED_FACE('',(#26211),#10601,.T.); #334677=ADVANCED_FACE('',(#26212),#10602,.T.); #334678=ADVANCED_FACE('',(#26213),#10603,.T.); #334679=ADVANCED_FACE('',(#26214),#10604,.T.); #334680=ADVANCED_FACE('',(#26215),#10605,.T.); #334681=ADVANCED_FACE('',(#26216),#10606,.T.); #334682=ADVANCED_FACE('',(#26217),#10607,.T.); #334683=ADVANCED_FACE('',(#26218),#10608,.T.); #334684=ADVANCED_FACE('',(#26219),#10609,.T.); #334685=ADVANCED_FACE('',(#26220),#10610,.T.); #334686=ADVANCED_FACE('',(#26221),#10611,.T.); #334687=ADVANCED_FACE('',(#26222),#10612,.T.); #334688=ADVANCED_FACE('',(#26223),#10613,.T.); #334689=ADVANCED_FACE('',(#26224),#10614,.T.); #334690=ADVANCED_FACE('',(#26225),#10615,.T.); #334691=ADVANCED_FACE('',(#26226),#10616,.T.); #334692=ADVANCED_FACE('',(#26227),#10617,.T.); #334693=ADVANCED_FACE('',(#26228),#10618,.T.); #334694=ADVANCED_FACE('',(#26229),#10619,.T.); #334695=ADVANCED_FACE('',(#26230),#10620,.T.); #334696=ADVANCED_FACE('',(#26231),#10621,.T.); #334697=ADVANCED_FACE('',(#26232),#10622,.T.); #334698=ADVANCED_FACE('',(#26233),#10623,.T.); #334699=ADVANCED_FACE('',(#26234),#10624,.T.); #334700=ADVANCED_FACE('',(#26235),#10625,.T.); #334701=ADVANCED_FACE('',(#26236),#10626,.T.); #334702=ADVANCED_FACE('',(#26237),#10627,.T.); #334703=ADVANCED_FACE('',(#26238),#10628,.T.); #334704=ADVANCED_FACE('',(#26239),#10629,.T.); #334705=ADVANCED_FACE('',(#26240),#10630,.T.); #334706=ADVANCED_FACE('',(#26241),#10631,.T.); #334707=ADVANCED_FACE('',(#26242),#10632,.T.); #334708=ADVANCED_FACE('',(#26243),#10633,.T.); #334709=ADVANCED_FACE('',(#26244),#10634,.T.); #334710=ADVANCED_FACE('',(#26245),#10635,.T.); #334711=ADVANCED_FACE('',(#26246),#10636,.T.); #334712=ADVANCED_FACE('',(#26247),#10637,.T.); #334713=ADVANCED_FACE('',(#26248),#10638,.T.); #334714=ADVANCED_FACE('',(#26249),#10639,.T.); #334715=ADVANCED_FACE('',(#26250),#10640,.T.); #334716=ADVANCED_FACE('',(#26251),#10641,.T.); #334717=ADVANCED_FACE('',(#26252),#10642,.T.); #334718=ADVANCED_FACE('',(#26253),#10643,.T.); #334719=ADVANCED_FACE('',(#26254),#10644,.T.); #334720=ADVANCED_FACE('',(#26255),#10645,.T.); #334721=ADVANCED_FACE('',(#26256),#10646,.T.); #334722=ADVANCED_FACE('',(#26257),#10647,.T.); #334723=ADVANCED_FACE('',(#26258),#10648,.T.); #334724=ADVANCED_FACE('',(#26259),#10649,.T.); #334725=ADVANCED_FACE('',(#26260),#10650,.T.); #334726=ADVANCED_FACE('',(#26261),#10651,.T.); #334727=ADVANCED_FACE('',(#26262),#10652,.T.); #334728=ADVANCED_FACE('',(#26263),#10653,.T.); #334729=ADVANCED_FACE('',(#26264),#10654,.T.); #334730=ADVANCED_FACE('',(#26265),#10655,.T.); #334731=ADVANCED_FACE('',(#26266),#10656,.T.); #334732=ADVANCED_FACE('',(#26267),#10657,.T.); #334733=ADVANCED_FACE('',(#26268),#10658,.T.); #334734=ADVANCED_FACE('',(#26269),#10659,.T.); #334735=ADVANCED_FACE('',(#26270),#10660,.T.); #334736=ADVANCED_FACE('',(#26271),#10661,.T.); #334737=ADVANCED_FACE('',(#26272),#10662,.T.); #334738=ADVANCED_FACE('',(#26273),#10663,.T.); #334739=ADVANCED_FACE('',(#26274),#10664,.T.); #334740=ADVANCED_FACE('',(#26275),#10665,.T.); #334741=ADVANCED_FACE('',(#26276),#10666,.T.); #334742=ADVANCED_FACE('',(#26277),#10667,.T.); #334743=ADVANCED_FACE('',(#26278),#10668,.T.); #334744=ADVANCED_FACE('',(#26279),#10669,.T.); #334745=ADVANCED_FACE('',(#26280),#10670,.T.); #334746=ADVANCED_FACE('',(#26281),#10671,.T.); #334747=ADVANCED_FACE('',(#26282),#10672,.T.); #334748=ADVANCED_FACE('',(#26283),#10673,.T.); #334749=ADVANCED_FACE('',(#26284),#10674,.T.); #334750=ADVANCED_FACE('',(#26285),#10675,.T.); #334751=ADVANCED_FACE('',(#26286),#10676,.T.); #334752=ADVANCED_FACE('',(#26287),#10677,.T.); #334753=ADVANCED_FACE('',(#26288),#10678,.T.); #334754=ADVANCED_FACE('',(#26289),#10679,.T.); #334755=ADVANCED_FACE('',(#26290),#10680,.T.); #334756=ADVANCED_FACE('',(#26291),#10681,.T.); #334757=ADVANCED_FACE('',(#26292),#10682,.T.); #334758=ADVANCED_FACE('',(#26293),#10683,.T.); #334759=ADVANCED_FACE('',(#26294),#10684,.T.); #334760=ADVANCED_FACE('',(#26295),#10685,.T.); #334761=ADVANCED_FACE('',(#26296),#10686,.T.); #334762=ADVANCED_FACE('',(#26297),#10687,.T.); #334763=ADVANCED_FACE('',(#26298),#10688,.T.); #334764=ADVANCED_FACE('',(#26299),#10689,.T.); #334765=ADVANCED_FACE('',(#26300),#10690,.T.); #334766=ADVANCED_FACE('',(#26301),#10691,.T.); #334767=ADVANCED_FACE('',(#26302),#10692,.T.); #334768=ADVANCED_FACE('',(#26303),#10693,.T.); #334769=ADVANCED_FACE('',(#26304),#10694,.T.); #334770=ADVANCED_FACE('',(#26305),#10695,.T.); #334771=ADVANCED_FACE('',(#26306),#10696,.T.); #334772=ADVANCED_FACE('',(#26307),#10697,.T.); #334773=ADVANCED_FACE('',(#26308),#10698,.T.); #334774=ADVANCED_FACE('',(#26309),#10699,.T.); #334775=ADVANCED_FACE('',(#26310),#10700,.T.); #334776=ADVANCED_FACE('',(#26311),#10701,.T.); #334777=ADVANCED_FACE('',(#26312),#10702,.T.); #334778=ADVANCED_FACE('',(#26313),#10703,.T.); #334779=ADVANCED_FACE('',(#26314),#10704,.T.); #334780=ADVANCED_FACE('',(#26315),#10705,.T.); #334781=ADVANCED_FACE('',(#26316),#10706,.T.); #334782=ADVANCED_FACE('',(#26317),#10707,.T.); #334783=ADVANCED_FACE('',(#26318),#10708,.T.); #334784=ADVANCED_FACE('',(#26319),#10709,.T.); #334785=ADVANCED_FACE('',(#26320),#10710,.T.); #334786=ADVANCED_FACE('',(#26321),#10711,.T.); #334787=ADVANCED_FACE('',(#26322),#10712,.T.); #334788=ADVANCED_FACE('',(#26323),#10713,.T.); #334789=ADVANCED_FACE('',(#26324),#10714,.T.); #334790=ADVANCED_FACE('',(#26325),#10715,.T.); #334791=ADVANCED_FACE('',(#26326),#10716,.T.); #334792=ADVANCED_FACE('',(#26327),#10717,.T.); #334793=ADVANCED_FACE('',(#26328),#10718,.T.); #334794=ADVANCED_FACE('',(#26329),#10719,.T.); #334795=ADVANCED_FACE('',(#26330),#10720,.T.); #334796=ADVANCED_FACE('',(#26331),#10721,.T.); #334797=ADVANCED_FACE('',(#26332),#10722,.T.); #334798=ADVANCED_FACE('',(#26333),#10723,.T.); #334799=ADVANCED_FACE('',(#26334),#10724,.T.); #334800=ADVANCED_FACE('',(#26335),#10725,.T.); #334801=ADVANCED_FACE('',(#26336),#10726,.T.); #334802=ADVANCED_FACE('',(#26337),#10727,.T.); #334803=ADVANCED_FACE('',(#26338),#10728,.T.); #334804=ADVANCED_FACE('',(#26339),#10729,.T.); #334805=ADVANCED_FACE('',(#26340),#10730,.T.); #334806=ADVANCED_FACE('',(#26341),#10731,.T.); #334807=ADVANCED_FACE('',(#26342),#10732,.T.); #334808=ADVANCED_FACE('',(#26343),#10733,.T.); #334809=ADVANCED_FACE('',(#26344),#10734,.T.); #334810=ADVANCED_FACE('',(#26345),#10735,.T.); #334811=ADVANCED_FACE('',(#26346),#10736,.T.); #334812=ADVANCED_FACE('',(#26347),#10737,.T.); #334813=ADVANCED_FACE('',(#26348),#10738,.T.); #334814=ADVANCED_FACE('',(#26349),#10739,.T.); #334815=ADVANCED_FACE('',(#26350),#10740,.T.); #334816=ADVANCED_FACE('',(#26351),#10741,.T.); #334817=ADVANCED_FACE('',(#26352),#10742,.T.); #334818=ADVANCED_FACE('',(#26353),#10743,.T.); #334819=ADVANCED_FACE('',(#26354),#10744,.T.); #334820=ADVANCED_FACE('',(#26355),#10745,.T.); #334821=ADVANCED_FACE('',(#26356),#10746,.T.); #334822=ADVANCED_FACE('',(#26357,#5008,#5009,#5010,#5011,#5012,#5013,#5014, #5015,#5016,#5017,#5018,#5019),#10747,.T.); #334823=ADVANCED_FACE('',(#26358,#5020,#5021,#5022,#5023,#5024,#5025,#5026, #5027,#5028,#5029,#5030,#5031),#10748,.F.); #334824=ADVANCED_FACE('',(#26359),#10749,.T.); #334825=ADVANCED_FACE('',(#26360),#10750,.T.); #334826=ADVANCED_FACE('',(#26361),#10751,.T.); #334827=ADVANCED_FACE('',(#26362),#10752,.T.); #334828=ADVANCED_FACE('',(#26363),#10753,.T.); #334829=ADVANCED_FACE('',(#26364),#10754,.T.); #334830=ADVANCED_FACE('',(#26365),#10755,.T.); #334831=ADVANCED_FACE('',(#26366),#10756,.T.); #334832=ADVANCED_FACE('',(#26367),#10757,.T.); #334833=ADVANCED_FACE('',(#26368),#10758,.T.); #334834=ADVANCED_FACE('',(#26369),#10759,.T.); #334835=ADVANCED_FACE('',(#26370),#10760,.T.); #334836=ADVANCED_FACE('',(#26371),#10761,.T.); #334837=ADVANCED_FACE('',(#26372),#10762,.T.); #334838=ADVANCED_FACE('',(#26373),#10763,.T.); #334839=ADVANCED_FACE('',(#26374),#10764,.T.); #334840=ADVANCED_FACE('',(#26375),#10765,.T.); #334841=ADVANCED_FACE('',(#26376),#10766,.T.); #334842=ADVANCED_FACE('',(#26377),#10767,.T.); #334843=ADVANCED_FACE('',(#26378),#10768,.T.); #334844=ADVANCED_FACE('',(#26379),#10769,.T.); #334845=ADVANCED_FACE('',(#26380),#10770,.T.); #334846=ADVANCED_FACE('',(#26381),#10771,.F.); #334847=ADVANCED_FACE('',(#26382),#10772,.T.); #334848=ADVANCED_FACE('',(#26383),#10773,.T.); #334849=ADVANCED_FACE('',(#26384),#10774,.T.); #334850=ADVANCED_FACE('',(#26385),#10775,.T.); #334851=ADVANCED_FACE('',(#26386),#10776,.T.); #334852=ADVANCED_FACE('',(#26387),#10777,.T.); #334853=ADVANCED_FACE('',(#26388),#10778,.T.); #334854=ADVANCED_FACE('',(#26389),#10779,.T.); #334855=ADVANCED_FACE('',(#26390),#10780,.T.); #334856=ADVANCED_FACE('',(#26391),#10781,.T.); #334857=ADVANCED_FACE('',(#26392),#10782,.T.); #334858=ADVANCED_FACE('',(#26393),#10783,.T.); #334859=ADVANCED_FACE('',(#26394),#10784,.T.); #334860=ADVANCED_FACE('',(#26395),#10785,.T.); #334861=ADVANCED_FACE('',(#26396),#10786,.T.); #334862=ADVANCED_FACE('',(#26397),#10787,.T.); #334863=ADVANCED_FACE('',(#26398),#10788,.T.); #334864=ADVANCED_FACE('',(#26399),#10789,.T.); #334865=ADVANCED_FACE('',(#26400),#10790,.T.); #334866=ADVANCED_FACE('',(#26401),#10791,.T.); #334867=ADVANCED_FACE('',(#26402),#10792,.T.); #334868=ADVANCED_FACE('',(#26403),#10793,.F.); #334869=ADVANCED_FACE('',(#26404),#327813,.F.); #334870=ADVANCED_FACE('',(#26405),#327814,.F.); #334871=ADVANCED_FACE('',(#26406),#327815,.F.); #334872=ADVANCED_FACE('',(#26407),#327816,.F.); #334873=ADVANCED_FACE('',(#26408),#327817,.F.); #334874=ADVANCED_FACE('',(#26409),#327818,.F.); #334875=ADVANCED_FACE('',(#26410),#327819,.F.); #334876=ADVANCED_FACE('',(#26411),#327820,.F.); #334877=ADVANCED_FACE('',(#26412),#327821,.F.); #334878=ADVANCED_FACE('',(#26413),#327822,.F.); #334879=ADVANCED_FACE('',(#26414),#327823,.F.); #334880=ADVANCED_FACE('',(#26415),#327824,.F.); #334881=ADVANCED_FACE('',(#26416),#327825,.F.); #334882=ADVANCED_FACE('',(#26417),#327826,.F.); #334883=ADVANCED_FACE('',(#26418),#327827,.F.); #334884=ADVANCED_FACE('',(#26419),#327828,.F.); #334885=ADVANCED_FACE('',(#26420),#327829,.F.); #334886=ADVANCED_FACE('',(#26421),#327830,.F.); #334887=ADVANCED_FACE('',(#26422),#327831,.F.); #334888=ADVANCED_FACE('',(#26423),#327832,.F.); #334889=ADVANCED_FACE('',(#26424),#327833,.F.); #334890=ADVANCED_FACE('',(#26425),#327834,.F.); #334891=ADVANCED_FACE('',(#26426),#327835,.F.); #334892=ADVANCED_FACE('',(#26427),#327836,.F.); #334893=ADVANCED_FACE('',(#26428),#327837,.F.); #334894=ADVANCED_FACE('',(#26429),#327838,.F.); #334895=ADVANCED_FACE('',(#26430),#327839,.F.); #334896=ADVANCED_FACE('',(#26431),#327840,.F.); #334897=ADVANCED_FACE('',(#26432),#327841,.F.); #334898=ADVANCED_FACE('',(#26433),#327842,.F.); #334899=ADVANCED_FACE('',(#26434),#327843,.F.); #334900=ADVANCED_FACE('',(#26435),#327844,.F.); #334901=ADVANCED_FACE('',(#26436),#327845,.F.); #334902=ADVANCED_FACE('',(#26437),#327846,.F.); #334903=ADVANCED_FACE('',(#26438),#327847,.F.); #334904=ADVANCED_FACE('',(#26439),#10794,.F.); #334905=ADVANCED_FACE('',(#26440),#327848,.F.); #334906=ADVANCED_FACE('',(#26441),#10795,.F.); #334907=ADVANCED_FACE('',(#26442),#327849,.F.); #334908=ADVANCED_FACE('',(#26443),#327850,.F.); #334909=ADVANCED_FACE('',(#26444),#327851,.F.); #334910=ADVANCED_FACE('',(#26445),#327852,.F.); #334911=ADVANCED_FACE('',(#26446),#327853,.F.); #334912=ADVANCED_FACE('',(#26447),#10796,.F.); #334913=ADVANCED_FACE('',(#26448),#327854,.F.); #334914=ADVANCED_FACE('',(#26449),#10797,.F.); #334915=ADVANCED_FACE('',(#26450),#327855,.F.); #334916=ADVANCED_FACE('',(#26451),#10798,.F.); #334917=ADVANCED_FACE('',(#26452),#327856,.F.); #334918=ADVANCED_FACE('',(#26453),#10799,.F.); #334919=ADVANCED_FACE('',(#26454),#327857,.F.); #334920=ADVANCED_FACE('',(#26455),#10800,.F.); #334921=ADVANCED_FACE('',(#26456),#327858,.F.); #334922=ADVANCED_FACE('',(#26457),#10801,.F.); #334923=ADVANCED_FACE('',(#26458),#327859,.F.); #334924=ADVANCED_FACE('',(#26459),#327860,.F.); #334925=ADVANCED_FACE('',(#26460),#327861,.F.); #334926=ADVANCED_FACE('',(#26461),#327862,.F.); #334927=ADVANCED_FACE('',(#26462),#327863,.F.); #334928=ADVANCED_FACE('',(#26463),#327864,.F.); #334929=ADVANCED_FACE('',(#26464),#327865,.F.); #334930=ADVANCED_FACE('',(#26465),#327866,.F.); #334931=ADVANCED_FACE('',(#26466),#327867,.F.); #334932=ADVANCED_FACE('',(#26467),#327868,.F.); #334933=ADVANCED_FACE('',(#26468),#327869,.F.); #334934=ADVANCED_FACE('',(#26469),#327870,.F.); #334935=ADVANCED_FACE('',(#26470),#327871,.F.); #334936=ADVANCED_FACE('',(#26471),#327872,.F.); #334937=ADVANCED_FACE('',(#26472),#327873,.F.); #334938=ADVANCED_FACE('',(#26473),#327874,.F.); #334939=ADVANCED_FACE('',(#26474),#327875,.F.); #334940=ADVANCED_FACE('',(#26475),#327876,.F.); #334941=ADVANCED_FACE('',(#26476),#327877,.F.); #334942=ADVANCED_FACE('',(#26477),#327878,.F.); #334943=ADVANCED_FACE('',(#26478),#10802,.T.); #334944=ADVANCED_FACE('',(#26479),#10803,.T.); #334945=ADVANCED_FACE('',(#26480),#10804,.T.); #334946=ADVANCED_FACE('',(#26481),#10805,.T.); #334947=ADVANCED_FACE('',(#26482),#10806,.T.); #334948=ADVANCED_FACE('',(#26483),#10807,.T.); #334949=ADVANCED_FACE('',(#26484),#10808,.T.); #334950=ADVANCED_FACE('',(#26485),#10809,.T.); #334951=ADVANCED_FACE('',(#26486),#10810,.T.); #334952=ADVANCED_FACE('',(#26487),#10811,.T.); #334953=ADVANCED_FACE('',(#26488),#10812,.T.); #334954=ADVANCED_FACE('',(#26489),#10813,.T.); #334955=ADVANCED_FACE('',(#26490),#10814,.T.); #334956=ADVANCED_FACE('',(#26491),#10815,.T.); #334957=ADVANCED_FACE('',(#26492),#10816,.T.); #334958=ADVANCED_FACE('',(#26493),#10817,.T.); #334959=ADVANCED_FACE('',(#26494),#10818,.T.); #334960=ADVANCED_FACE('',(#26495),#10819,.T.); #334961=ADVANCED_FACE('',(#26496),#10820,.T.); #334962=ADVANCED_FACE('',(#26497),#10821,.T.); #334963=ADVANCED_FACE('',(#26498),#10822,.T.); #334964=ADVANCED_FACE('',(#26499),#10823,.T.); #334965=ADVANCED_FACE('',(#26500),#10824,.T.); #334966=ADVANCED_FACE('',(#26501),#10825,.T.); #334967=ADVANCED_FACE('',(#26502),#10826,.T.); #334968=ADVANCED_FACE('',(#26503),#10827,.T.); #334969=ADVANCED_FACE('',(#26504),#10828,.T.); #334970=ADVANCED_FACE('',(#26505),#10829,.T.); #334971=ADVANCED_FACE('',(#26506),#10830,.T.); #334972=ADVANCED_FACE('',(#26507),#10831,.T.); #334973=ADVANCED_FACE('',(#26508),#10832,.T.); #334974=ADVANCED_FACE('',(#26509),#10833,.T.); #334975=ADVANCED_FACE('',(#26510),#10834,.T.); #334976=ADVANCED_FACE('',(#26511),#10835,.T.); #334977=ADVANCED_FACE('',(#26512),#10836,.T.); #334978=ADVANCED_FACE('',(#26513),#10837,.T.); #334979=ADVANCED_FACE('',(#26514),#10838,.T.); #334980=ADVANCED_FACE('',(#26515),#10839,.T.); #334981=ADVANCED_FACE('',(#26516),#10840,.T.); #334982=ADVANCED_FACE('',(#26517),#10841,.T.); #334983=ADVANCED_FACE('',(#26518),#10842,.T.); #334984=ADVANCED_FACE('',(#26519),#10843,.T.); #334985=ADVANCED_FACE('',(#26520),#10844,.T.); #334986=ADVANCED_FACE('',(#26521),#10845,.T.); #334987=ADVANCED_FACE('',(#26522),#10846,.T.); #334988=ADVANCED_FACE('',(#26523),#10847,.T.); #334989=ADVANCED_FACE('',(#26524),#10848,.T.); #334990=ADVANCED_FACE('',(#26525),#10849,.T.); #334991=ADVANCED_FACE('',(#26526),#10850,.T.); #334992=ADVANCED_FACE('',(#26527),#10851,.T.); #334993=ADVANCED_FACE('',(#26528),#10852,.T.); #334994=ADVANCED_FACE('',(#26529),#10853,.T.); #334995=ADVANCED_FACE('',(#26530),#10854,.T.); #334996=ADVANCED_FACE('',(#26531),#10855,.T.); #334997=ADVANCED_FACE('',(#26532),#10856,.T.); #334998=ADVANCED_FACE('',(#26533),#10857,.T.); #334999=ADVANCED_FACE('',(#26534),#10858,.T.); #335000=ADVANCED_FACE('',(#26535),#10859,.T.); #335001=ADVANCED_FACE('',(#26536),#10860,.T.); #335002=ADVANCED_FACE('',(#26537),#10861,.T.); #335003=ADVANCED_FACE('',(#26538),#10862,.T.); #335004=ADVANCED_FACE('',(#26539),#10863,.T.); #335005=ADVANCED_FACE('',(#26540),#10864,.T.); #335006=ADVANCED_FACE('',(#26541),#10865,.T.); #335007=ADVANCED_FACE('',(#26542),#10866,.T.); #335008=ADVANCED_FACE('',(#26543),#10867,.T.); #335009=ADVANCED_FACE('',(#26544),#10868,.T.); #335010=ADVANCED_FACE('',(#26545),#10869,.T.); #335011=ADVANCED_FACE('',(#26546),#10870,.T.); #335012=ADVANCED_FACE('',(#26547),#10871,.T.); #335013=ADVANCED_FACE('',(#26548),#10872,.T.); #335014=ADVANCED_FACE('',(#26549),#10873,.T.); #335015=ADVANCED_FACE('',(#26550),#10874,.T.); #335016=ADVANCED_FACE('',(#26551),#10875,.T.); #335017=ADVANCED_FACE('',(#26552),#10876,.T.); #335018=ADVANCED_FACE('',(#26553),#10877,.T.); #335019=ADVANCED_FACE('',(#26554),#10878,.T.); #335020=ADVANCED_FACE('',(#26555),#10879,.T.); #335021=ADVANCED_FACE('',(#26556),#10880,.T.); #335022=ADVANCED_FACE('',(#26557),#10881,.T.); #335023=ADVANCED_FACE('',(#26558),#10882,.T.); #335024=ADVANCED_FACE('',(#26559),#10883,.T.); #335025=ADVANCED_FACE('',(#26560),#10884,.T.); #335026=ADVANCED_FACE('',(#26561),#10885,.T.); #335027=ADVANCED_FACE('',(#26562),#10886,.T.); #335028=ADVANCED_FACE('',(#26563),#10887,.T.); #335029=ADVANCED_FACE('',(#26564),#10888,.T.); #335030=ADVANCED_FACE('',(#26565),#10889,.T.); #335031=ADVANCED_FACE('',(#26566),#10890,.T.); #335032=ADVANCED_FACE('',(#26567),#10891,.T.); #335033=ADVANCED_FACE('',(#26568),#10892,.T.); #335034=ADVANCED_FACE('',(#26569),#10893,.T.); #335035=ADVANCED_FACE('',(#26570),#10894,.T.); #335036=ADVANCED_FACE('',(#26571),#10895,.T.); #335037=ADVANCED_FACE('',(#26572),#10896,.T.); #335038=ADVANCED_FACE('',(#26573),#10897,.T.); #335039=ADVANCED_FACE('',(#26574),#10898,.T.); #335040=ADVANCED_FACE('',(#26575),#10899,.T.); #335041=ADVANCED_FACE('',(#26576),#10900,.T.); #335042=ADVANCED_FACE('',(#26577),#10901,.T.); #335043=ADVANCED_FACE('',(#26578),#10902,.T.); #335044=ADVANCED_FACE('',(#26579),#10903,.T.); #335045=ADVANCED_FACE('',(#26580),#10904,.T.); #335046=ADVANCED_FACE('',(#26581),#10905,.T.); #335047=ADVANCED_FACE('',(#26582),#10906,.T.); #335048=ADVANCED_FACE('',(#26583),#10907,.T.); #335049=ADVANCED_FACE('',(#26584),#10908,.T.); #335050=ADVANCED_FACE('',(#26585),#10909,.T.); #335051=ADVANCED_FACE('',(#26586),#10910,.T.); #335052=ADVANCED_FACE('',(#26587),#10911,.T.); #335053=ADVANCED_FACE('',(#26588),#10912,.T.); #335054=ADVANCED_FACE('',(#26589),#10913,.T.); #335055=ADVANCED_FACE('',(#26590),#10914,.T.); #335056=ADVANCED_FACE('',(#26591),#10915,.T.); #335057=ADVANCED_FACE('',(#26592),#10916,.T.); #335058=ADVANCED_FACE('',(#26593),#10917,.T.); #335059=ADVANCED_FACE('',(#26594),#10918,.T.); #335060=ADVANCED_FACE('',(#26595),#10919,.T.); #335061=ADVANCED_FACE('',(#26596),#10920,.T.); #335062=ADVANCED_FACE('',(#26597),#10921,.T.); #335063=ADVANCED_FACE('',(#26598),#10922,.T.); #335064=ADVANCED_FACE('',(#26599),#10923,.T.); #335065=ADVANCED_FACE('',(#26600),#10924,.T.); #335066=ADVANCED_FACE('',(#26601),#10925,.T.); #335067=ADVANCED_FACE('',(#26602),#10926,.T.); #335068=ADVANCED_FACE('',(#26603),#10927,.T.); #335069=ADVANCED_FACE('',(#26604),#10928,.T.); #335070=ADVANCED_FACE('',(#26605),#10929,.T.); #335071=ADVANCED_FACE('',(#26606),#10930,.T.); #335072=ADVANCED_FACE('',(#26607),#10931,.T.); #335073=ADVANCED_FACE('',(#26608),#10932,.T.); #335074=ADVANCED_FACE('',(#26609),#10933,.T.); #335075=ADVANCED_FACE('',(#26610),#10934,.T.); #335076=ADVANCED_FACE('',(#26611),#10935,.T.); #335077=ADVANCED_FACE('',(#26612),#10936,.T.); #335078=ADVANCED_FACE('',(#26613),#10937,.T.); #335079=ADVANCED_FACE('',(#26614),#10938,.T.); #335080=ADVANCED_FACE('',(#26615),#10939,.T.); #335081=ADVANCED_FACE('',(#26616),#10940,.T.); #335082=ADVANCED_FACE('',(#26617),#10941,.T.); #335083=ADVANCED_FACE('',(#26618),#10942,.T.); #335084=ADVANCED_FACE('',(#26619),#10943,.T.); #335085=ADVANCED_FACE('',(#26620),#10944,.T.); #335086=ADVANCED_FACE('',(#26621),#10945,.T.); #335087=ADVANCED_FACE('',(#26622),#10946,.T.); #335088=ADVANCED_FACE('',(#26623),#10947,.T.); #335089=ADVANCED_FACE('',(#26624),#10948,.T.); #335090=ADVANCED_FACE('',(#26625),#10949,.T.); #335091=ADVANCED_FACE('',(#26626),#10950,.T.); #335092=ADVANCED_FACE('',(#26627),#10951,.T.); #335093=ADVANCED_FACE('',(#26628),#10952,.T.); #335094=ADVANCED_FACE('',(#26629),#10953,.T.); #335095=ADVANCED_FACE('',(#26630),#10954,.T.); #335096=ADVANCED_FACE('',(#26631),#10955,.T.); #335097=ADVANCED_FACE('',(#26632),#10956,.T.); #335098=ADVANCED_FACE('',(#26633),#10957,.T.); #335099=ADVANCED_FACE('',(#26634),#10958,.T.); #335100=ADVANCED_FACE('',(#26635),#10959,.T.); #335101=ADVANCED_FACE('',(#26636),#10960,.T.); #335102=ADVANCED_FACE('',(#26637),#10961,.T.); #335103=ADVANCED_FACE('',(#26638),#10962,.T.); #335104=ADVANCED_FACE('',(#26639),#10963,.T.); #335105=ADVANCED_FACE('',(#26640),#10964,.T.); #335106=ADVANCED_FACE('',(#26641),#10965,.T.); #335107=ADVANCED_FACE('',(#26642),#10966,.T.); #335108=ADVANCED_FACE('',(#26643),#10967,.T.); #335109=ADVANCED_FACE('',(#26644),#10968,.T.); #335110=ADVANCED_FACE('',(#26645),#10969,.T.); #335111=ADVANCED_FACE('',(#26646),#10970,.T.); #335112=ADVANCED_FACE('',(#26647),#10971,.T.); #335113=ADVANCED_FACE('',(#26648),#10972,.T.); #335114=ADVANCED_FACE('',(#26649),#10973,.T.); #335115=ADVANCED_FACE('',(#26650),#10974,.T.); #335116=ADVANCED_FACE('',(#26651),#10975,.T.); #335117=ADVANCED_FACE('',(#26652),#10976,.T.); #335118=ADVANCED_FACE('',(#26653),#10977,.T.); #335119=ADVANCED_FACE('',(#26654),#10978,.T.); #335120=ADVANCED_FACE('',(#26655),#10979,.T.); #335121=ADVANCED_FACE('',(#26656),#10980,.T.); #335122=ADVANCED_FACE('',(#26657),#10981,.T.); #335123=ADVANCED_FACE('',(#26658),#10982,.T.); #335124=ADVANCED_FACE('',(#26659),#10983,.T.); #335125=ADVANCED_FACE('',(#26660),#10984,.T.); #335126=ADVANCED_FACE('',(#26661),#10985,.T.); #335127=ADVANCED_FACE('',(#26662),#10986,.T.); #335128=ADVANCED_FACE('',(#26663),#10987,.T.); #335129=ADVANCED_FACE('',(#26664),#10988,.T.); #335130=ADVANCED_FACE('',(#26665),#10989,.T.); #335131=ADVANCED_FACE('',(#26666),#10990,.T.); #335132=ADVANCED_FACE('',(#26667),#10991,.T.); #335133=ADVANCED_FACE('',(#26668),#10992,.T.); #335134=ADVANCED_FACE('',(#26669),#10993,.T.); #335135=ADVANCED_FACE('',(#26670),#10994,.T.); #335136=ADVANCED_FACE('',(#26671),#10995,.T.); #335137=ADVANCED_FACE('',(#26672),#10996,.T.); #335138=ADVANCED_FACE('',(#26673),#10997,.T.); #335139=ADVANCED_FACE('',(#26674),#10998,.T.); #335140=ADVANCED_FACE('',(#26675),#10999,.T.); #335141=ADVANCED_FACE('',(#26676),#11000,.T.); #335142=ADVANCED_FACE('',(#26677),#11001,.T.); #335143=ADVANCED_FACE('',(#26678),#11002,.T.); #335144=ADVANCED_FACE('',(#26679),#11003,.T.); #335145=ADVANCED_FACE('',(#26680),#11004,.T.); #335146=ADVANCED_FACE('',(#26681),#11005,.T.); #335147=ADVANCED_FACE('',(#26682),#11006,.T.); #335148=ADVANCED_FACE('',(#26683),#11007,.T.); #335149=ADVANCED_FACE('',(#26684),#11008,.T.); #335150=ADVANCED_FACE('',(#26685),#11009,.T.); #335151=ADVANCED_FACE('',(#26686),#11010,.T.); #335152=ADVANCED_FACE('',(#26687),#11011,.T.); #335153=ADVANCED_FACE('',(#26688),#11012,.T.); #335154=ADVANCED_FACE('',(#26689),#11013,.T.); #335155=ADVANCED_FACE('',(#26690),#11014,.T.); #335156=ADVANCED_FACE('',(#26691),#11015,.T.); #335157=ADVANCED_FACE('',(#26692),#11016,.T.); #335158=ADVANCED_FACE('',(#26693),#11017,.T.); #335159=ADVANCED_FACE('',(#26694),#11018,.T.); #335160=ADVANCED_FACE('',(#26695),#11019,.T.); #335161=ADVANCED_FACE('',(#26696),#11020,.T.); #335162=ADVANCED_FACE('',(#26697),#11021,.T.); #335163=ADVANCED_FACE('',(#26698),#11022,.T.); #335164=ADVANCED_FACE('',(#26699),#11023,.T.); #335165=ADVANCED_FACE('',(#26700),#11024,.T.); #335166=ADVANCED_FACE('',(#26701),#11025,.T.); #335167=ADVANCED_FACE('',(#26702),#11026,.T.); #335168=ADVANCED_FACE('',(#26703),#11027,.T.); #335169=ADVANCED_FACE('',(#26704),#11028,.T.); #335170=ADVANCED_FACE('',(#26705),#11029,.T.); #335171=ADVANCED_FACE('',(#26706),#11030,.T.); #335172=ADVANCED_FACE('',(#26707),#11031,.T.); #335173=ADVANCED_FACE('',(#26708),#11032,.T.); #335174=ADVANCED_FACE('',(#26709),#11033,.T.); #335175=ADVANCED_FACE('',(#26710),#11034,.T.); #335176=ADVANCED_FACE('',(#26711),#11035,.T.); #335177=ADVANCED_FACE('',(#26712),#11036,.T.); #335178=ADVANCED_FACE('',(#26713),#11037,.T.); #335179=ADVANCED_FACE('',(#26714),#11038,.T.); #335180=ADVANCED_FACE('',(#26715),#11039,.T.); #335181=ADVANCED_FACE('',(#26716),#11040,.T.); #335182=ADVANCED_FACE('',(#26717),#11041,.T.); #335183=ADVANCED_FACE('',(#26718),#11042,.T.); #335184=ADVANCED_FACE('',(#26719),#11043,.T.); #335185=ADVANCED_FACE('',(#26720),#11044,.T.); #335186=ADVANCED_FACE('',(#26721),#11045,.T.); #335187=ADVANCED_FACE('',(#26722),#11046,.T.); #335188=ADVANCED_FACE('',(#26723),#11047,.T.); #335189=ADVANCED_FACE('',(#26724),#11048,.T.); #335190=ADVANCED_FACE('',(#26725),#11049,.T.); #335191=ADVANCED_FACE('',(#26726),#11050,.T.); #335192=ADVANCED_FACE('',(#26727),#11051,.T.); #335193=ADVANCED_FACE('',(#26728),#11052,.T.); #335194=ADVANCED_FACE('',(#26729),#11053,.T.); #335195=ADVANCED_FACE('',(#26730),#11054,.T.); #335196=ADVANCED_FACE('',(#26731),#11055,.T.); #335197=ADVANCED_FACE('',(#26732),#11056,.T.); #335198=ADVANCED_FACE('',(#26733),#11057,.T.); #335199=ADVANCED_FACE('',(#26734),#11058,.T.); #335200=ADVANCED_FACE('',(#26735),#11059,.T.); #335201=ADVANCED_FACE('',(#26736),#11060,.T.); #335202=ADVANCED_FACE('',(#26737),#11061,.T.); #335203=ADVANCED_FACE('',(#26738),#11062,.T.); #335204=ADVANCED_FACE('',(#26739),#11063,.T.); #335205=ADVANCED_FACE('',(#26740),#11064,.T.); #335206=ADVANCED_FACE('',(#26741),#11065,.T.); #335207=ADVANCED_FACE('',(#26742),#11066,.T.); #335208=ADVANCED_FACE('',(#26743),#11067,.T.); #335209=ADVANCED_FACE('',(#26744),#11068,.T.); #335210=ADVANCED_FACE('',(#26745),#11069,.T.); #335211=ADVANCED_FACE('',(#26746),#11070,.T.); #335212=ADVANCED_FACE('',(#26747),#11071,.T.); #335213=ADVANCED_FACE('',(#26748),#11072,.T.); #335214=ADVANCED_FACE('',(#26749),#11073,.T.); #335215=ADVANCED_FACE('',(#26750),#11074,.T.); #335216=ADVANCED_FACE('',(#26751),#11075,.T.); #335217=ADVANCED_FACE('',(#26752),#11076,.T.); #335218=ADVANCED_FACE('',(#26753),#11077,.T.); #335219=ADVANCED_FACE('',(#26754),#11078,.T.); #335220=ADVANCED_FACE('',(#26755),#11079,.T.); #335221=ADVANCED_FACE('',(#26756),#11080,.T.); #335222=ADVANCED_FACE('',(#26757),#11081,.T.); #335223=ADVANCED_FACE('',(#26758),#11082,.T.); #335224=ADVANCED_FACE('',(#26759),#11083,.T.); #335225=ADVANCED_FACE('',(#26760),#11084,.T.); #335226=ADVANCED_FACE('',(#26761),#11085,.T.); #335227=ADVANCED_FACE('',(#26762),#11086,.T.); #335228=ADVANCED_FACE('',(#26763),#11087,.T.); #335229=ADVANCED_FACE('',(#26764),#11088,.T.); #335230=ADVANCED_FACE('',(#26765),#11089,.T.); #335231=ADVANCED_FACE('',(#26766),#11090,.T.); #335232=ADVANCED_FACE('',(#26767),#11091,.T.); #335233=ADVANCED_FACE('',(#26768),#11092,.T.); #335234=ADVANCED_FACE('',(#26769),#11093,.T.); #335235=ADVANCED_FACE('',(#26770),#11094,.T.); #335236=ADVANCED_FACE('',(#26771),#11095,.T.); #335237=ADVANCED_FACE('',(#26772),#11096,.T.); #335238=ADVANCED_FACE('',(#26773),#11097,.T.); #335239=ADVANCED_FACE('',(#26774),#11098,.T.); #335240=ADVANCED_FACE('',(#26775),#11099,.T.); #335241=ADVANCED_FACE('',(#26776),#11100,.T.); #335242=ADVANCED_FACE('',(#26777),#11101,.T.); #335243=ADVANCED_FACE('',(#26778),#11102,.T.); #335244=ADVANCED_FACE('',(#26779),#11103,.T.); #335245=ADVANCED_FACE('',(#26780),#11104,.T.); #335246=ADVANCED_FACE('',(#26781),#11105,.T.); #335247=ADVANCED_FACE('',(#26782),#11106,.T.); #335248=ADVANCED_FACE('',(#26783),#11107,.T.); #335249=ADVANCED_FACE('',(#26784),#11108,.T.); #335250=ADVANCED_FACE('',(#26785),#11109,.T.); #335251=ADVANCED_FACE('',(#26786),#11110,.T.); #335252=ADVANCED_FACE('',(#26787),#11111,.T.); #335253=ADVANCED_FACE('',(#26788),#11112,.T.); #335254=ADVANCED_FACE('',(#26789),#11113,.T.); #335255=ADVANCED_FACE('',(#26790),#11114,.T.); #335256=ADVANCED_FACE('',(#26791),#11115,.T.); #335257=ADVANCED_FACE('',(#26792),#11116,.T.); #335258=ADVANCED_FACE('',(#26793),#11117,.T.); #335259=ADVANCED_FACE('',(#26794),#11118,.T.); #335260=ADVANCED_FACE('',(#26795),#11119,.T.); #335261=ADVANCED_FACE('',(#26796),#11120,.T.); #335262=ADVANCED_FACE('',(#26797),#11121,.T.); #335263=ADVANCED_FACE('',(#26798),#11122,.T.); #335264=ADVANCED_FACE('',(#26799),#11123,.T.); #335265=ADVANCED_FACE('',(#26800),#11124,.T.); #335266=ADVANCED_FACE('',(#26801),#11125,.T.); #335267=ADVANCED_FACE('',(#26802),#11126,.T.); #335268=ADVANCED_FACE('',(#26803),#11127,.T.); #335269=ADVANCED_FACE('',(#26804),#11128,.T.); #335270=ADVANCED_FACE('',(#26805),#11129,.T.); #335271=ADVANCED_FACE('',(#26806),#11130,.T.); #335272=ADVANCED_FACE('',(#26807),#11131,.T.); #335273=ADVANCED_FACE('',(#26808),#11132,.T.); #335274=ADVANCED_FACE('',(#26809),#11133,.T.); #335275=ADVANCED_FACE('',(#26810),#11134,.T.); #335276=ADVANCED_FACE('',(#26811),#11135,.T.); #335277=ADVANCED_FACE('',(#26812),#11136,.T.); #335278=ADVANCED_FACE('',(#26813),#11137,.T.); #335279=ADVANCED_FACE('',(#26814),#11138,.T.); #335280=ADVANCED_FACE('',(#26815),#11139,.T.); #335281=ADVANCED_FACE('',(#26816),#11140,.T.); #335282=ADVANCED_FACE('',(#26817),#11141,.T.); #335283=ADVANCED_FACE('',(#26818),#11142,.T.); #335284=ADVANCED_FACE('',(#26819),#11143,.T.); #335285=ADVANCED_FACE('',(#26820),#11144,.T.); #335286=ADVANCED_FACE('',(#26821),#11145,.T.); #335287=ADVANCED_FACE('',(#26822),#11146,.T.); #335288=ADVANCED_FACE('',(#26823),#11147,.T.); #335289=ADVANCED_FACE('',(#26824),#11148,.T.); #335290=ADVANCED_FACE('',(#26825),#11149,.T.); #335291=ADVANCED_FACE('',(#26826),#11150,.T.); #335292=ADVANCED_FACE('',(#26827),#11151,.T.); #335293=ADVANCED_FACE('',(#26828),#11152,.T.); #335294=ADVANCED_FACE('',(#26829),#11153,.T.); #335295=ADVANCED_FACE('',(#26830),#11154,.T.); #335296=ADVANCED_FACE('',(#26831),#11155,.T.); #335297=ADVANCED_FACE('',(#26832),#11156,.T.); #335298=ADVANCED_FACE('',(#26833),#11157,.T.); #335299=ADVANCED_FACE('',(#26834),#11158,.T.); #335300=ADVANCED_FACE('',(#26835),#11159,.T.); #335301=ADVANCED_FACE('',(#26836),#11160,.T.); #335302=ADVANCED_FACE('',(#26837),#11161,.T.); #335303=ADVANCED_FACE('',(#26838),#11162,.T.); #335304=ADVANCED_FACE('',(#26839),#11163,.T.); #335305=ADVANCED_FACE('',(#26840),#11164,.T.); #335306=ADVANCED_FACE('',(#26841),#11165,.T.); #335307=ADVANCED_FACE('',(#26842),#11166,.T.); #335308=ADVANCED_FACE('',(#26843),#11167,.T.); #335309=ADVANCED_FACE('',(#26844),#11168,.T.); #335310=ADVANCED_FACE('',(#26845),#11169,.T.); #335311=ADVANCED_FACE('',(#26846),#11170,.T.); #335312=ADVANCED_FACE('',(#26847),#11171,.T.); #335313=ADVANCED_FACE('',(#26848),#11172,.T.); #335314=ADVANCED_FACE('',(#26849),#11173,.T.); #335315=ADVANCED_FACE('',(#26850),#11174,.T.); #335316=ADVANCED_FACE('',(#26851),#11175,.T.); #335317=ADVANCED_FACE('',(#26852),#11176,.T.); #335318=ADVANCED_FACE('',(#26853),#11177,.T.); #335319=ADVANCED_FACE('',(#26854),#11178,.T.); #335320=ADVANCED_FACE('',(#26855),#11179,.T.); #335321=ADVANCED_FACE('',(#26856),#11180,.T.); #335322=ADVANCED_FACE('',(#26857),#11181,.T.); #335323=ADVANCED_FACE('',(#26858),#11182,.T.); #335324=ADVANCED_FACE('',(#26859),#11183,.T.); #335325=ADVANCED_FACE('',(#26860),#11184,.T.); #335326=ADVANCED_FACE('',(#26861),#11185,.T.); #335327=ADVANCED_FACE('',(#26862),#11186,.T.); #335328=ADVANCED_FACE('',(#26863),#11187,.T.); #335329=ADVANCED_FACE('',(#26864),#11188,.T.); #335330=ADVANCED_FACE('',(#26865),#11189,.T.); #335331=ADVANCED_FACE('',(#26866),#11190,.T.); #335332=ADVANCED_FACE('',(#26867),#11191,.T.); #335333=ADVANCED_FACE('',(#26868),#11192,.T.); #335334=ADVANCED_FACE('',(#26869),#11193,.T.); #335335=ADVANCED_FACE('',(#26870),#11194,.T.); #335336=ADVANCED_FACE('',(#26871),#11195,.T.); #335337=ADVANCED_FACE('',(#26872),#11196,.T.); #335338=ADVANCED_FACE('',(#26873),#11197,.T.); #335339=ADVANCED_FACE('',(#26874),#11198,.T.); #335340=ADVANCED_FACE('',(#26875),#11199,.T.); #335341=ADVANCED_FACE('',(#26876),#11200,.T.); #335342=ADVANCED_FACE('',(#26877),#11201,.T.); #335343=ADVANCED_FACE('',(#26878),#11202,.T.); #335344=ADVANCED_FACE('',(#26879),#11203,.T.); #335345=ADVANCED_FACE('',(#26880),#11204,.T.); #335346=ADVANCED_FACE('',(#26881),#11205,.T.); #335347=ADVANCED_FACE('',(#26882),#11206,.T.); #335348=ADVANCED_FACE('',(#26883),#11207,.T.); #335349=ADVANCED_FACE('',(#26884),#11208,.T.); #335350=ADVANCED_FACE('',(#26885),#11209,.T.); #335351=ADVANCED_FACE('',(#26886),#11210,.T.); #335352=ADVANCED_FACE('',(#26887),#11211,.T.); #335353=ADVANCED_FACE('',(#26888),#11212,.T.); #335354=ADVANCED_FACE('',(#26889),#11213,.T.); #335355=ADVANCED_FACE('',(#26890),#11214,.T.); #335356=ADVANCED_FACE('',(#26891),#11215,.T.); #335357=ADVANCED_FACE('',(#26892),#11216,.T.); #335358=ADVANCED_FACE('',(#26893),#11217,.T.); #335359=ADVANCED_FACE('',(#26894),#11218,.T.); #335360=ADVANCED_FACE('',(#26895),#11219,.T.); #335361=ADVANCED_FACE('',(#26896),#11220,.T.); #335362=ADVANCED_FACE('',(#26897),#11221,.T.); #335363=ADVANCED_FACE('',(#26898),#11222,.T.); #335364=ADVANCED_FACE('',(#26899),#11223,.T.); #335365=ADVANCED_FACE('',(#26900),#11224,.T.); #335366=ADVANCED_FACE('',(#26901),#11225,.T.); #335367=ADVANCED_FACE('',(#26902),#11226,.T.); #335368=ADVANCED_FACE('',(#26903),#11227,.T.); #335369=ADVANCED_FACE('',(#26904),#11228,.T.); #335370=ADVANCED_FACE('',(#26905),#11229,.T.); #335371=ADVANCED_FACE('',(#26906),#11230,.T.); #335372=ADVANCED_FACE('',(#26907),#11231,.T.); #335373=ADVANCED_FACE('',(#26908),#11232,.T.); #335374=ADVANCED_FACE('',(#26909),#11233,.T.); #335375=ADVANCED_FACE('',(#26910),#11234,.T.); #335376=ADVANCED_FACE('',(#26911),#11235,.T.); #335377=ADVANCED_FACE('',(#26912),#11236,.T.); #335378=ADVANCED_FACE('',(#26913),#11237,.T.); #335379=ADVANCED_FACE('',(#26914),#11238,.T.); #335380=ADVANCED_FACE('',(#26915),#11239,.T.); #335381=ADVANCED_FACE('',(#26916),#11240,.T.); #335382=ADVANCED_FACE('',(#26917),#11241,.T.); #335383=ADVANCED_FACE('',(#26918),#11242,.T.); #335384=ADVANCED_FACE('',(#26919),#11243,.T.); #335385=ADVANCED_FACE('',(#26920),#11244,.T.); #335386=ADVANCED_FACE('',(#26921),#11245,.T.); #335387=ADVANCED_FACE('',(#26922),#11246,.T.); #335388=ADVANCED_FACE('',(#26923),#11247,.T.); #335389=ADVANCED_FACE('',(#26924),#11248,.T.); #335390=ADVANCED_FACE('',(#26925),#11249,.T.); #335391=ADVANCED_FACE('',(#26926),#11250,.T.); #335392=ADVANCED_FACE('',(#26927),#11251,.T.); #335393=ADVANCED_FACE('',(#26928),#11252,.T.); #335394=ADVANCED_FACE('',(#26929),#11253,.T.); #335395=ADVANCED_FACE('',(#26930),#11254,.T.); #335396=ADVANCED_FACE('',(#26931),#11255,.T.); #335397=ADVANCED_FACE('',(#26932),#11256,.T.); #335398=ADVANCED_FACE('',(#26933),#11257,.T.); #335399=ADVANCED_FACE('',(#26934),#11258,.T.); #335400=ADVANCED_FACE('',(#26935),#11259,.T.); #335401=ADVANCED_FACE('',(#26936),#11260,.T.); #335402=ADVANCED_FACE('',(#26937),#11261,.T.); #335403=ADVANCED_FACE('',(#26938),#11262,.T.); #335404=ADVANCED_FACE('',(#26939),#11263,.T.); #335405=ADVANCED_FACE('',(#26940),#11264,.T.); #335406=ADVANCED_FACE('',(#26941),#11265,.T.); #335407=ADVANCED_FACE('',(#26942),#11266,.T.); #335408=ADVANCED_FACE('',(#26943),#11267,.T.); #335409=ADVANCED_FACE('',(#26944),#11268,.T.); #335410=ADVANCED_FACE('',(#26945),#11269,.T.); #335411=ADVANCED_FACE('',(#26946),#11270,.T.); #335412=ADVANCED_FACE('',(#26947),#11271,.T.); #335413=ADVANCED_FACE('',(#26948),#11272,.T.); #335414=ADVANCED_FACE('',(#26949),#11273,.T.); #335415=ADVANCED_FACE('',(#26950),#11274,.T.); #335416=ADVANCED_FACE('',(#26951),#11275,.T.); #335417=ADVANCED_FACE('',(#26952),#11276,.T.); #335418=ADVANCED_FACE('',(#26953),#11277,.T.); #335419=ADVANCED_FACE('',(#26954),#11278,.T.); #335420=ADVANCED_FACE('',(#26955),#11279,.T.); #335421=ADVANCED_FACE('',(#26956),#11280,.T.); #335422=ADVANCED_FACE('',(#26957),#11281,.T.); #335423=ADVANCED_FACE('',(#26958),#11282,.T.); #335424=ADVANCED_FACE('',(#26959),#11283,.T.); #335425=ADVANCED_FACE('',(#26960),#11284,.T.); #335426=ADVANCED_FACE('',(#26961),#11285,.T.); #335427=ADVANCED_FACE('',(#26962),#11286,.T.); #335428=ADVANCED_FACE('',(#26963),#11287,.T.); #335429=ADVANCED_FACE('',(#26964),#11288,.T.); #335430=ADVANCED_FACE('',(#26965),#11289,.T.); #335431=ADVANCED_FACE('',(#26966),#11290,.T.); #335432=ADVANCED_FACE('',(#26967),#11291,.T.); #335433=ADVANCED_FACE('',(#26968),#11292,.T.); #335434=ADVANCED_FACE('',(#26969),#11293,.T.); #335435=ADVANCED_FACE('',(#26970),#11294,.T.); #335436=ADVANCED_FACE('',(#26971),#11295,.T.); #335437=ADVANCED_FACE('',(#26972),#11296,.T.); #335438=ADVANCED_FACE('',(#26973),#11297,.T.); #335439=ADVANCED_FACE('',(#26974),#11298,.T.); #335440=ADVANCED_FACE('',(#26975),#11299,.T.); #335441=ADVANCED_FACE('',(#26976),#11300,.T.); #335442=ADVANCED_FACE('',(#26977),#11301,.T.); #335443=ADVANCED_FACE('',(#26978),#11302,.T.); #335444=ADVANCED_FACE('',(#26979),#11303,.T.); #335445=ADVANCED_FACE('',(#26980),#11304,.T.); #335446=ADVANCED_FACE('',(#26981),#11305,.T.); #335447=ADVANCED_FACE('',(#26982),#11306,.T.); #335448=ADVANCED_FACE('',(#26983),#11307,.T.); #335449=ADVANCED_FACE('',(#26984),#11308,.T.); #335450=ADVANCED_FACE('',(#26985),#11309,.T.); #335451=ADVANCED_FACE('',(#26986),#11310,.T.); #335452=ADVANCED_FACE('',(#26987),#11311,.T.); #335453=ADVANCED_FACE('',(#26988),#11312,.T.); #335454=ADVANCED_FACE('',(#26989),#11313,.T.); #335455=ADVANCED_FACE('',(#26990),#11314,.T.); #335456=ADVANCED_FACE('',(#26991),#11315,.T.); #335457=ADVANCED_FACE('',(#26992),#11316,.T.); #335458=ADVANCED_FACE('',(#26993),#11317,.T.); #335459=ADVANCED_FACE('',(#26994),#11318,.T.); #335460=ADVANCED_FACE('',(#26995),#11319,.T.); #335461=ADVANCED_FACE('',(#26996),#11320,.T.); #335462=ADVANCED_FACE('',(#26997),#11321,.T.); #335463=ADVANCED_FACE('',(#26998),#11322,.T.); #335464=ADVANCED_FACE('',(#26999),#11323,.T.); #335465=ADVANCED_FACE('',(#27000),#11324,.T.); #335466=ADVANCED_FACE('',(#27001),#11325,.T.); #335467=ADVANCED_FACE('',(#27002),#11326,.T.); #335468=ADVANCED_FACE('',(#27003),#11327,.T.); #335469=ADVANCED_FACE('',(#27004),#11328,.T.); #335470=ADVANCED_FACE('',(#27005),#11329,.T.); #335471=ADVANCED_FACE('',(#27006),#11330,.T.); #335472=ADVANCED_FACE('',(#27007),#11331,.T.); #335473=ADVANCED_FACE('',(#27008),#11332,.T.); #335474=ADVANCED_FACE('',(#27009),#11333,.T.); #335475=ADVANCED_FACE('',(#27010),#11334,.T.); #335476=ADVANCED_FACE('',(#27011),#11335,.T.); #335477=ADVANCED_FACE('',(#27012),#11336,.T.); #335478=ADVANCED_FACE('',(#27013),#11337,.T.); #335479=ADVANCED_FACE('',(#27014),#11338,.T.); #335480=ADVANCED_FACE('',(#27015),#11339,.T.); #335481=ADVANCED_FACE('',(#27016),#11340,.T.); #335482=ADVANCED_FACE('',(#27017),#11341,.T.); #335483=ADVANCED_FACE('',(#27018),#11342,.T.); #335484=ADVANCED_FACE('',(#27019),#11343,.T.); #335485=ADVANCED_FACE('',(#27020),#11344,.T.); #335486=ADVANCED_FACE('',(#27021),#11345,.T.); #335487=ADVANCED_FACE('',(#27022),#11346,.T.); #335488=ADVANCED_FACE('',(#27023),#11347,.T.); #335489=ADVANCED_FACE('',(#27024),#11348,.T.); #335490=ADVANCED_FACE('',(#27025),#11349,.T.); #335491=ADVANCED_FACE('',(#27026),#11350,.T.); #335492=ADVANCED_FACE('',(#27027),#11351,.T.); #335493=ADVANCED_FACE('',(#27028),#11352,.T.); #335494=ADVANCED_FACE('',(#27029),#11353,.T.); #335495=ADVANCED_FACE('',(#27030),#11354,.T.); #335496=ADVANCED_FACE('',(#27031),#11355,.T.); #335497=ADVANCED_FACE('',(#27032),#11356,.T.); #335498=ADVANCED_FACE('',(#27033),#11357,.T.); #335499=ADVANCED_FACE('',(#27034),#11358,.T.); #335500=ADVANCED_FACE('',(#27035),#11359,.T.); #335501=ADVANCED_FACE('',(#27036),#11360,.T.); #335502=ADVANCED_FACE('',(#27037),#11361,.T.); #335503=ADVANCED_FACE('',(#27038),#11362,.T.); #335504=ADVANCED_FACE('',(#27039),#11363,.T.); #335505=ADVANCED_FACE('',(#27040),#11364,.T.); #335506=ADVANCED_FACE('',(#27041),#11365,.T.); #335507=ADVANCED_FACE('',(#27042),#11366,.T.); #335508=ADVANCED_FACE('',(#27043),#11367,.T.); #335509=ADVANCED_FACE('',(#27044),#11368,.T.); #335510=ADVANCED_FACE('',(#27045),#11369,.T.); #335511=ADVANCED_FACE('',(#27046),#11370,.T.); #335512=ADVANCED_FACE('',(#27047),#11371,.T.); #335513=ADVANCED_FACE('',(#27048),#11372,.T.); #335514=ADVANCED_FACE('',(#27049),#11373,.T.); #335515=ADVANCED_FACE('',(#27050),#11374,.T.); #335516=ADVANCED_FACE('',(#27051),#11375,.T.); #335517=ADVANCED_FACE('',(#27052),#11376,.T.); #335518=ADVANCED_FACE('',(#27053),#11377,.T.); #335519=ADVANCED_FACE('',(#27054),#11378,.T.); #335520=ADVANCED_FACE('',(#27055),#11379,.T.); #335521=ADVANCED_FACE('',(#27056),#11380,.T.); #335522=ADVANCED_FACE('',(#27057),#11381,.T.); #335523=ADVANCED_FACE('',(#27058),#11382,.T.); #335524=ADVANCED_FACE('',(#27059),#11383,.T.); #335525=ADVANCED_FACE('',(#27060),#11384,.T.); #335526=ADVANCED_FACE('',(#27061),#11385,.T.); #335527=ADVANCED_FACE('',(#27062),#11386,.T.); #335528=ADVANCED_FACE('',(#27063),#11387,.T.); #335529=ADVANCED_FACE('',(#27064),#11388,.T.); #335530=ADVANCED_FACE('',(#27065),#11389,.T.); #335531=ADVANCED_FACE('',(#27066),#11390,.T.); #335532=ADVANCED_FACE('',(#27067),#11391,.T.); #335533=ADVANCED_FACE('',(#27068),#11392,.T.); #335534=ADVANCED_FACE('',(#27069),#11393,.T.); #335535=ADVANCED_FACE('',(#27070),#11394,.T.); #335536=ADVANCED_FACE('',(#27071),#11395,.T.); #335537=ADVANCED_FACE('',(#27072),#11396,.T.); #335538=ADVANCED_FACE('',(#27073),#11397,.T.); #335539=ADVANCED_FACE('',(#27074),#11398,.T.); #335540=ADVANCED_FACE('',(#27075),#11399,.T.); #335541=ADVANCED_FACE('',(#27076),#11400,.T.); #335542=ADVANCED_FACE('',(#27077),#11401,.T.); #335543=ADVANCED_FACE('',(#27078),#11402,.T.); #335544=ADVANCED_FACE('',(#27079),#11403,.T.); #335545=ADVANCED_FACE('',(#27080),#11404,.T.); #335546=ADVANCED_FACE('',(#27081),#11405,.T.); #335547=ADVANCED_FACE('',(#27082),#11406,.T.); #335548=ADVANCED_FACE('',(#27083),#11407,.T.); #335549=ADVANCED_FACE('',(#27084),#11408,.T.); #335550=ADVANCED_FACE('',(#27085),#11409,.T.); #335551=ADVANCED_FACE('',(#27086),#11410,.T.); #335552=ADVANCED_FACE('',(#27087),#11411,.T.); #335553=ADVANCED_FACE('',(#27088),#11412,.T.); #335554=ADVANCED_FACE('',(#27089),#11413,.T.); #335555=ADVANCED_FACE('',(#27090),#11414,.T.); #335556=ADVANCED_FACE('',(#27091),#11415,.T.); #335557=ADVANCED_FACE('',(#27092),#11416,.T.); #335558=ADVANCED_FACE('',(#27093),#11417,.T.); #335559=ADVANCED_FACE('',(#27094),#11418,.T.); #335560=ADVANCED_FACE('',(#27095),#11419,.T.); #335561=ADVANCED_FACE('',(#27096),#11420,.T.); #335562=ADVANCED_FACE('',(#27097),#11421,.T.); #335563=ADVANCED_FACE('',(#27098),#11422,.T.); #335564=ADVANCED_FACE('',(#27099),#11423,.T.); #335565=ADVANCED_FACE('',(#27100),#11424,.T.); #335566=ADVANCED_FACE('',(#27101),#11425,.T.); #335567=ADVANCED_FACE('',(#27102),#11426,.T.); #335568=ADVANCED_FACE('',(#27103),#11427,.T.); #335569=ADVANCED_FACE('',(#27104),#11428,.T.); #335570=ADVANCED_FACE('',(#27105),#11429,.T.); #335571=ADVANCED_FACE('',(#27106),#11430,.T.); #335572=ADVANCED_FACE('',(#27107),#11431,.T.); #335573=ADVANCED_FACE('',(#27108),#11432,.T.); #335574=ADVANCED_FACE('',(#27109),#11433,.T.); #335575=ADVANCED_FACE('',(#27110),#11434,.T.); #335576=ADVANCED_FACE('',(#27111),#11435,.T.); #335577=ADVANCED_FACE('',(#27112),#11436,.T.); #335578=ADVANCED_FACE('',(#27113),#11437,.T.); #335579=ADVANCED_FACE('',(#27114),#11438,.T.); #335580=ADVANCED_FACE('',(#27115),#11439,.T.); #335581=ADVANCED_FACE('',(#27116),#11440,.T.); #335582=ADVANCED_FACE('',(#27117),#11441,.T.); #335583=ADVANCED_FACE('',(#27118),#11442,.T.); #335584=ADVANCED_FACE('',(#27119),#11443,.T.); #335585=ADVANCED_FACE('',(#27120),#11444,.T.); #335586=ADVANCED_FACE('',(#27121),#11445,.T.); #335587=ADVANCED_FACE('',(#27122),#11446,.T.); #335588=ADVANCED_FACE('',(#27123),#11447,.T.); #335589=ADVANCED_FACE('',(#27124),#11448,.T.); #335590=ADVANCED_FACE('',(#27125),#11449,.T.); #335591=ADVANCED_FACE('',(#27126),#11450,.T.); #335592=ADVANCED_FACE('',(#27127),#11451,.T.); #335593=ADVANCED_FACE('',(#27128),#11452,.T.); #335594=ADVANCED_FACE('',(#27129),#11453,.T.); #335595=ADVANCED_FACE('',(#27130),#11454,.T.); #335596=ADVANCED_FACE('',(#27131),#11455,.T.); #335597=ADVANCED_FACE('',(#27132),#11456,.T.); #335598=ADVANCED_FACE('',(#27133),#11457,.T.); #335599=ADVANCED_FACE('',(#27134),#11458,.T.); #335600=ADVANCED_FACE('',(#27135),#11459,.T.); #335601=ADVANCED_FACE('',(#27136),#11460,.T.); #335602=ADVANCED_FACE('',(#27137),#11461,.T.); #335603=ADVANCED_FACE('',(#27138),#11462,.T.); #335604=ADVANCED_FACE('',(#27139),#11463,.T.); #335605=ADVANCED_FACE('',(#27140),#11464,.T.); #335606=ADVANCED_FACE('',(#27141),#11465,.T.); #335607=ADVANCED_FACE('',(#27142),#11466,.T.); #335608=ADVANCED_FACE('',(#27143),#11467,.T.); #335609=ADVANCED_FACE('',(#27144),#11468,.T.); #335610=ADVANCED_FACE('',(#27145),#11469,.T.); #335611=ADVANCED_FACE('',(#27146),#11470,.T.); #335612=ADVANCED_FACE('',(#27147),#11471,.T.); #335613=ADVANCED_FACE('',(#27148),#11472,.T.); #335614=ADVANCED_FACE('',(#27149),#11473,.T.); #335615=ADVANCED_FACE('',(#27150),#11474,.T.); #335616=ADVANCED_FACE('',(#27151),#11475,.T.); #335617=ADVANCED_FACE('',(#27152),#11476,.T.); #335618=ADVANCED_FACE('',(#27153),#11477,.T.); #335619=ADVANCED_FACE('',(#27154),#11478,.T.); #335620=ADVANCED_FACE('',(#27155),#11479,.T.); #335621=ADVANCED_FACE('',(#27156),#11480,.T.); #335622=ADVANCED_FACE('',(#27157),#11481,.T.); #335623=ADVANCED_FACE('',(#27158),#11482,.T.); #335624=ADVANCED_FACE('',(#27159),#11483,.T.); #335625=ADVANCED_FACE('',(#27160),#11484,.T.); #335626=ADVANCED_FACE('',(#27161),#11485,.T.); #335627=ADVANCED_FACE('',(#27162),#11486,.T.); #335628=ADVANCED_FACE('',(#27163),#11487,.T.); #335629=ADVANCED_FACE('',(#27164),#11488,.T.); #335630=ADVANCED_FACE('',(#27165),#11489,.T.); #335631=ADVANCED_FACE('',(#27166),#11490,.T.); #335632=ADVANCED_FACE('',(#27167),#11491,.T.); #335633=ADVANCED_FACE('',(#27168),#11492,.T.); #335634=ADVANCED_FACE('',(#27169),#11493,.T.); #335635=ADVANCED_FACE('',(#27170),#11494,.T.); #335636=ADVANCED_FACE('',(#27171),#11495,.T.); #335637=ADVANCED_FACE('',(#27172),#11496,.T.); #335638=ADVANCED_FACE('',(#27173),#11497,.T.); #335639=ADVANCED_FACE('',(#27174),#11498,.T.); #335640=ADVANCED_FACE('',(#27175),#11499,.T.); #335641=ADVANCED_FACE('',(#27176),#11500,.T.); #335642=ADVANCED_FACE('',(#27177),#11501,.T.); #335643=ADVANCED_FACE('',(#27178),#11502,.T.); #335644=ADVANCED_FACE('',(#27179),#11503,.T.); #335645=ADVANCED_FACE('',(#27180),#11504,.T.); #335646=ADVANCED_FACE('',(#27181),#11505,.T.); #335647=ADVANCED_FACE('',(#27182),#11506,.T.); #335648=ADVANCED_FACE('',(#27183),#11507,.T.); #335649=ADVANCED_FACE('',(#27184),#11508,.T.); #335650=ADVANCED_FACE('',(#27185),#11509,.T.); #335651=ADVANCED_FACE('',(#27186),#11510,.T.); #335652=ADVANCED_FACE('',(#27187),#11511,.T.); #335653=ADVANCED_FACE('',(#27188),#11512,.T.); #335654=ADVANCED_FACE('',(#27189),#11513,.T.); #335655=ADVANCED_FACE('',(#27190),#11514,.T.); #335656=ADVANCED_FACE('',(#27191),#11515,.T.); #335657=ADVANCED_FACE('',(#27192),#11516,.T.); #335658=ADVANCED_FACE('',(#27193),#11517,.T.); #335659=ADVANCED_FACE('',(#27194),#11518,.T.); #335660=ADVANCED_FACE('',(#27195),#11519,.T.); #335661=ADVANCED_FACE('',(#27196),#11520,.T.); #335662=ADVANCED_FACE('',(#27197),#11521,.T.); #335663=ADVANCED_FACE('',(#27198),#11522,.T.); #335664=ADVANCED_FACE('',(#27199),#11523,.T.); #335665=ADVANCED_FACE('',(#27200),#11524,.T.); #335666=ADVANCED_FACE('',(#27201),#11525,.T.); #335667=ADVANCED_FACE('',(#27202),#11526,.T.); #335668=ADVANCED_FACE('',(#27203),#11527,.T.); #335669=ADVANCED_FACE('',(#27204),#11528,.T.); #335670=ADVANCED_FACE('',(#27205),#11529,.T.); #335671=ADVANCED_FACE('',(#27206),#11530,.T.); #335672=ADVANCED_FACE('',(#27207),#11531,.T.); #335673=ADVANCED_FACE('',(#27208),#11532,.T.); #335674=ADVANCED_FACE('',(#27209),#11533,.T.); #335675=ADVANCED_FACE('',(#27210),#11534,.T.); #335676=ADVANCED_FACE('',(#27211),#11535,.T.); #335677=ADVANCED_FACE('',(#27212),#11536,.T.); #335678=ADVANCED_FACE('',(#27213),#11537,.T.); #335679=ADVANCED_FACE('',(#27214),#11538,.T.); #335680=ADVANCED_FACE('',(#27215),#11539,.T.); #335681=ADVANCED_FACE('',(#27216),#11540,.T.); #335682=ADVANCED_FACE('',(#27217),#11541,.T.); #335683=ADVANCED_FACE('',(#27218),#11542,.T.); #335684=ADVANCED_FACE('',(#27219),#11543,.T.); #335685=ADVANCED_FACE('',(#27220),#11544,.T.); #335686=ADVANCED_FACE('',(#27221),#11545,.T.); #335687=ADVANCED_FACE('',(#27222),#11546,.T.); #335688=ADVANCED_FACE('',(#27223),#11547,.T.); #335689=ADVANCED_FACE('',(#27224),#11548,.T.); #335690=ADVANCED_FACE('',(#27225),#11549,.T.); #335691=ADVANCED_FACE('',(#27226),#11550,.T.); #335692=ADVANCED_FACE('',(#27227),#11551,.T.); #335693=ADVANCED_FACE('',(#27228),#11552,.T.); #335694=ADVANCED_FACE('',(#27229),#11553,.T.); #335695=ADVANCED_FACE('',(#27230),#11554,.T.); #335696=ADVANCED_FACE('',(#27231),#11555,.T.); #335697=ADVANCED_FACE('',(#27232),#11556,.T.); #335698=ADVANCED_FACE('',(#27233),#11557,.T.); #335699=ADVANCED_FACE('',(#27234),#11558,.T.); #335700=ADVANCED_FACE('',(#27235),#11559,.T.); #335701=ADVANCED_FACE('',(#27236),#11560,.T.); #335702=ADVANCED_FACE('',(#27237),#11561,.T.); #335703=ADVANCED_FACE('',(#27238),#11562,.T.); #335704=ADVANCED_FACE('',(#27239),#11563,.T.); #335705=ADVANCED_FACE('',(#27240),#11564,.T.); #335706=ADVANCED_FACE('',(#27241),#11565,.T.); #335707=ADVANCED_FACE('',(#27242),#11566,.T.); #335708=ADVANCED_FACE('',(#27243),#11567,.T.); #335709=ADVANCED_FACE('',(#27244),#11568,.T.); #335710=ADVANCED_FACE('',(#27245),#11569,.T.); #335711=ADVANCED_FACE('',(#27246),#11570,.T.); #335712=ADVANCED_FACE('',(#27247),#11571,.T.); #335713=ADVANCED_FACE('',(#27248),#11572,.T.); #335714=ADVANCED_FACE('',(#27249),#11573,.T.); #335715=ADVANCED_FACE('',(#27250),#11574,.T.); #335716=ADVANCED_FACE('',(#27251),#11575,.T.); #335717=ADVANCED_FACE('',(#27252),#11576,.T.); #335718=ADVANCED_FACE('',(#27253),#11577,.T.); #335719=ADVANCED_FACE('',(#27254),#11578,.T.); #335720=ADVANCED_FACE('',(#27255),#11579,.T.); #335721=ADVANCED_FACE('',(#27256),#11580,.T.); #335722=ADVANCED_FACE('',(#27257),#11581,.T.); #335723=ADVANCED_FACE('',(#27258),#11582,.T.); #335724=ADVANCED_FACE('',(#27259),#11583,.T.); #335725=ADVANCED_FACE('',(#27260),#11584,.T.); #335726=ADVANCED_FACE('',(#27261),#11585,.T.); #335727=ADVANCED_FACE('',(#27262),#11586,.T.); #335728=ADVANCED_FACE('',(#27263),#11587,.T.); #335729=ADVANCED_FACE('',(#27264),#11588,.T.); #335730=ADVANCED_FACE('',(#27265),#11589,.T.); #335731=ADVANCED_FACE('',(#27266),#11590,.T.); #335732=ADVANCED_FACE('',(#27267),#11591,.T.); #335733=ADVANCED_FACE('',(#27268),#11592,.T.); #335734=ADVANCED_FACE('',(#27269),#11593,.T.); #335735=ADVANCED_FACE('',(#27270),#11594,.T.); #335736=ADVANCED_FACE('',(#27271),#11595,.T.); #335737=ADVANCED_FACE('',(#27272),#11596,.T.); #335738=ADVANCED_FACE('',(#27273),#11597,.T.); #335739=ADVANCED_FACE('',(#27274),#11598,.T.); #335740=ADVANCED_FACE('',(#27275),#11599,.T.); #335741=ADVANCED_FACE('',(#27276),#11600,.T.); #335742=ADVANCED_FACE('',(#27277),#11601,.T.); #335743=ADVANCED_FACE('',(#27278),#11602,.T.); #335744=ADVANCED_FACE('',(#27279),#11603,.T.); #335745=ADVANCED_FACE('',(#27280),#11604,.T.); #335746=ADVANCED_FACE('',(#27281),#11605,.T.); #335747=ADVANCED_FACE('',(#27282),#11606,.T.); #335748=ADVANCED_FACE('',(#27283),#11607,.T.); #335749=ADVANCED_FACE('',(#27284),#11608,.T.); #335750=ADVANCED_FACE('',(#27285),#11609,.T.); #335751=ADVANCED_FACE('',(#27286),#11610,.T.); #335752=ADVANCED_FACE('',(#27287),#11611,.T.); #335753=ADVANCED_FACE('',(#27288),#11612,.T.); #335754=ADVANCED_FACE('',(#27289),#11613,.T.); #335755=ADVANCED_FACE('',(#27290),#11614,.T.); #335756=ADVANCED_FACE('',(#27291),#11615,.T.); #335757=ADVANCED_FACE('',(#27292),#11616,.T.); #335758=ADVANCED_FACE('',(#27293),#11617,.T.); #335759=ADVANCED_FACE('',(#27294),#11618,.T.); #335760=ADVANCED_FACE('',(#27295),#11619,.T.); #335761=ADVANCED_FACE('',(#27296),#11620,.T.); #335762=ADVANCED_FACE('',(#27297),#11621,.T.); #335763=ADVANCED_FACE('',(#27298),#11622,.T.); #335764=ADVANCED_FACE('',(#27299),#11623,.T.); #335765=ADVANCED_FACE('',(#27300),#11624,.T.); #335766=ADVANCED_FACE('',(#27301),#11625,.T.); #335767=ADVANCED_FACE('',(#27302),#11626,.T.); #335768=ADVANCED_FACE('',(#27303),#11627,.T.); #335769=ADVANCED_FACE('',(#27304),#11628,.T.); #335770=ADVANCED_FACE('',(#27305),#11629,.T.); #335771=ADVANCED_FACE('',(#27306),#11630,.T.); #335772=ADVANCED_FACE('',(#27307),#11631,.T.); #335773=ADVANCED_FACE('',(#27308),#11632,.T.); #335774=ADVANCED_FACE('',(#27309),#11633,.T.); #335775=ADVANCED_FACE('',(#27310),#11634,.T.); #335776=ADVANCED_FACE('',(#27311),#11635,.T.); #335777=ADVANCED_FACE('',(#27312),#11636,.T.); #335778=ADVANCED_FACE('',(#27313),#11637,.T.); #335779=ADVANCED_FACE('',(#27314),#11638,.T.); #335780=ADVANCED_FACE('',(#27315),#11639,.T.); #335781=ADVANCED_FACE('',(#27316),#11640,.T.); #335782=ADVANCED_FACE('',(#27317),#11641,.T.); #335783=ADVANCED_FACE('',(#27318),#11642,.T.); #335784=ADVANCED_FACE('',(#27319),#11643,.T.); #335785=ADVANCED_FACE('',(#27320),#11644,.T.); #335786=ADVANCED_FACE('',(#27321),#11645,.T.); #335787=ADVANCED_FACE('',(#27322),#11646,.T.); #335788=ADVANCED_FACE('',(#27323),#11647,.T.); #335789=ADVANCED_FACE('',(#27324),#11648,.T.); #335790=ADVANCED_FACE('',(#27325),#11649,.T.); #335791=ADVANCED_FACE('',(#27326),#11650,.T.); #335792=ADVANCED_FACE('',(#27327),#11651,.T.); #335793=ADVANCED_FACE('',(#27328),#11652,.T.); #335794=ADVANCED_FACE('',(#27329),#11653,.T.); #335795=ADVANCED_FACE('',(#27330),#11654,.T.); #335796=ADVANCED_FACE('',(#27331),#11655,.T.); #335797=ADVANCED_FACE('',(#27332),#11656,.T.); #335798=ADVANCED_FACE('',(#27333),#11657,.T.); #335799=ADVANCED_FACE('',(#27334),#11658,.T.); #335800=ADVANCED_FACE('',(#27335),#11659,.T.); #335801=ADVANCED_FACE('',(#27336),#11660,.T.); #335802=ADVANCED_FACE('',(#27337),#11661,.T.); #335803=ADVANCED_FACE('',(#27338),#11662,.T.); #335804=ADVANCED_FACE('',(#27339),#11663,.T.); #335805=ADVANCED_FACE('',(#27340),#11664,.T.); #335806=ADVANCED_FACE('',(#27341),#11665,.T.); #335807=ADVANCED_FACE('',(#27342),#11666,.T.); #335808=ADVANCED_FACE('',(#27343),#11667,.T.); #335809=ADVANCED_FACE('',(#27344),#11668,.T.); #335810=ADVANCED_FACE('',(#27345),#11669,.T.); #335811=ADVANCED_FACE('',(#27346),#11670,.T.); #335812=ADVANCED_FACE('',(#27347),#11671,.T.); #335813=ADVANCED_FACE('',(#27348),#11672,.T.); #335814=ADVANCED_FACE('',(#27349),#11673,.T.); #335815=ADVANCED_FACE('',(#27350),#11674,.T.); #335816=ADVANCED_FACE('',(#27351),#11675,.T.); #335817=ADVANCED_FACE('',(#27352),#11676,.T.); #335818=ADVANCED_FACE('',(#27353),#11677,.T.); #335819=ADVANCED_FACE('',(#27354),#11678,.T.); #335820=ADVANCED_FACE('',(#27355),#11679,.T.); #335821=ADVANCED_FACE('',(#27356),#11680,.T.); #335822=ADVANCED_FACE('',(#27357),#11681,.T.); #335823=ADVANCED_FACE('',(#27358),#11682,.T.); #335824=ADVANCED_FACE('',(#27359),#11683,.T.); #335825=ADVANCED_FACE('',(#27360),#11684,.T.); #335826=ADVANCED_FACE('',(#27361),#11685,.T.); #335827=ADVANCED_FACE('',(#27362),#11686,.T.); #335828=ADVANCED_FACE('',(#27363),#11687,.T.); #335829=ADVANCED_FACE('',(#27364),#11688,.T.); #335830=ADVANCED_FACE('',(#27365),#11689,.T.); #335831=ADVANCED_FACE('',(#27366),#11690,.T.); #335832=ADVANCED_FACE('',(#27367),#11691,.T.); #335833=ADVANCED_FACE('',(#27368),#11692,.T.); #335834=ADVANCED_FACE('',(#27369),#11693,.T.); #335835=ADVANCED_FACE('',(#27370),#11694,.T.); #335836=ADVANCED_FACE('',(#27371),#11695,.T.); #335837=ADVANCED_FACE('',(#27372),#11696,.T.); #335838=ADVANCED_FACE('',(#27373),#11697,.T.); #335839=ADVANCED_FACE('',(#27374),#11698,.T.); #335840=ADVANCED_FACE('',(#27375),#11699,.T.); #335841=ADVANCED_FACE('',(#27376),#11700,.T.); #335842=ADVANCED_FACE('',(#27377),#11701,.T.); #335843=ADVANCED_FACE('',(#27378),#11702,.T.); #335844=ADVANCED_FACE('',(#27379),#11703,.T.); #335845=ADVANCED_FACE('',(#27380),#11704,.T.); #335846=ADVANCED_FACE('',(#27381),#11705,.T.); #335847=ADVANCED_FACE('',(#27382),#11706,.T.); #335848=ADVANCED_FACE('',(#27383),#11707,.T.); #335849=ADVANCED_FACE('',(#27384),#11708,.T.); #335850=ADVANCED_FACE('',(#27385),#11709,.T.); #335851=ADVANCED_FACE('',(#27386),#11710,.T.); #335852=ADVANCED_FACE('',(#27387),#11711,.T.); #335853=ADVANCED_FACE('',(#27388),#11712,.T.); #335854=ADVANCED_FACE('',(#27389),#11713,.T.); #335855=ADVANCED_FACE('',(#27390),#11714,.T.); #335856=ADVANCED_FACE('',(#27391),#11715,.T.); #335857=ADVANCED_FACE('',(#27392),#11716,.T.); #335858=ADVANCED_FACE('',(#27393),#11717,.T.); #335859=ADVANCED_FACE('',(#27394),#11718,.T.); #335860=ADVANCED_FACE('',(#27395),#11719,.T.); #335861=ADVANCED_FACE('',(#27396),#11720,.T.); #335862=ADVANCED_FACE('',(#27397),#11721,.T.); #335863=ADVANCED_FACE('',(#27398),#11722,.T.); #335864=ADVANCED_FACE('',(#27399),#11723,.T.); #335865=ADVANCED_FACE('',(#27400),#11724,.T.); #335866=ADVANCED_FACE('',(#27401),#11725,.T.); #335867=ADVANCED_FACE('',(#27402),#11726,.T.); #335868=ADVANCED_FACE('',(#27403),#11727,.T.); #335869=ADVANCED_FACE('',(#27404),#11728,.T.); #335870=ADVANCED_FACE('',(#27405),#11729,.T.); #335871=ADVANCED_FACE('',(#27406),#11730,.T.); #335872=ADVANCED_FACE('',(#27407),#11731,.T.); #335873=ADVANCED_FACE('',(#27408),#11732,.T.); #335874=ADVANCED_FACE('',(#27409),#11733,.T.); #335875=ADVANCED_FACE('',(#27410),#11734,.T.); #335876=ADVANCED_FACE('',(#27411),#11735,.T.); #335877=ADVANCED_FACE('',(#27412),#11736,.T.); #335878=ADVANCED_FACE('',(#27413),#11737,.T.); #335879=ADVANCED_FACE('',(#27414),#11738,.T.); #335880=ADVANCED_FACE('',(#27415,#5032,#5033,#5034,#5035,#5036,#5037,#5038, #5039,#5040,#5041,#5042,#5043,#5044,#5045,#5046,#5047,#5048,#5049,#5050, #5051,#5052,#5053,#5054,#5055,#5056,#5057,#5058,#5059,#5060,#5061,#5062, #5063,#5064,#5065,#5066,#5067,#5068,#5069,#5070,#5071,#5072,#5073,#5074, #5075,#5076,#5077,#5078,#5079,#5080,#5081,#5082,#5083,#5084,#5085,#5086, #5087,#5088,#5089,#5090,#5091,#5092,#5093,#5094,#5095,#5096,#5097,#5098, #5099,#5100,#5101),#11739,.T.); #335881=ADVANCED_FACE('',(#27416,#5102,#5103,#5104,#5105,#5106,#5107,#5108, #5109,#5110,#5111,#5112,#5113,#5114,#5115,#5116,#5117,#5118,#5119,#5120, #5121,#5122,#5123,#5124,#5125,#5126,#5127,#5128,#5129,#5130,#5131,#5132, #5133,#5134,#5135,#5136,#5137,#5138,#5139,#5140,#5141,#5142,#5143,#5144, #5145,#5146,#5147,#5148,#5149,#5150,#5151,#5152,#5153,#5154,#5155,#5156, #5157,#5158,#5159,#5160,#5161,#5162,#5163,#5164,#5165,#5166,#5167,#5168, #5169,#5170,#5171),#11740,.F.); #335882=ADVANCED_FACE('',(#27417),#11741,.T.); #335883=ADVANCED_FACE('',(#27418),#11742,.T.); #335884=ADVANCED_FACE('',(#27419),#11743,.T.); #335885=ADVANCED_FACE('',(#27420),#11744,.T.); #335886=ADVANCED_FACE('',(#27421),#11745,.T.); #335887=ADVANCED_FACE('',(#27422),#11746,.T.); #335888=ADVANCED_FACE('',(#27423),#11747,.T.); #335889=ADVANCED_FACE('',(#27424),#11748,.T.); #335890=ADVANCED_FACE('',(#27425),#11749,.T.); #335891=ADVANCED_FACE('',(#27426),#11750,.T.); #335892=ADVANCED_FACE('',(#27427),#11751,.T.); #335893=ADVANCED_FACE('',(#27428),#11752,.T.); #335894=ADVANCED_FACE('',(#27429),#11753,.T.); #335895=ADVANCED_FACE('',(#27430),#11754,.T.); #335896=ADVANCED_FACE('',(#27431),#11755,.T.); #335897=ADVANCED_FACE('',(#27432),#11756,.T.); #335898=ADVANCED_FACE('',(#27433),#11757,.T.); #335899=ADVANCED_FACE('',(#27434),#11758,.T.); #335900=ADVANCED_FACE('',(#27435),#11759,.T.); #335901=ADVANCED_FACE('',(#27436),#11760,.T.); #335902=ADVANCED_FACE('',(#27437),#11761,.T.); #335903=ADVANCED_FACE('',(#27438),#11762,.T.); #335904=ADVANCED_FACE('',(#27439),#11763,.F.); #335905=ADVANCED_FACE('',(#27440),#11764,.T.); #335906=ADVANCED_FACE('',(#27441),#11765,.T.); #335907=ADVANCED_FACE('',(#27442),#11766,.T.); #335908=ADVANCED_FACE('',(#27443),#11767,.T.); #335909=ADVANCED_FACE('',(#27444),#11768,.T.); #335910=ADVANCED_FACE('',(#27445),#11769,.T.); #335911=ADVANCED_FACE('',(#27446),#11770,.T.); #335912=ADVANCED_FACE('',(#27447),#11771,.T.); #335913=ADVANCED_FACE('',(#27448),#11772,.T.); #335914=ADVANCED_FACE('',(#27449),#11773,.T.); #335915=ADVANCED_FACE('',(#27450),#11774,.T.); #335916=ADVANCED_FACE('',(#27451),#11775,.T.); #335917=ADVANCED_FACE('',(#27452),#11776,.T.); #335918=ADVANCED_FACE('',(#27453),#11777,.T.); #335919=ADVANCED_FACE('',(#27454),#11778,.T.); #335920=ADVANCED_FACE('',(#27455),#11779,.T.); #335921=ADVANCED_FACE('',(#27456),#11780,.T.); #335922=ADVANCED_FACE('',(#27457),#11781,.T.); #335923=ADVANCED_FACE('',(#27458),#11782,.T.); #335924=ADVANCED_FACE('',(#27459),#11783,.T.); #335925=ADVANCED_FACE('',(#27460),#11784,.T.); #335926=ADVANCED_FACE('',(#27461),#11785,.T.); #335927=ADVANCED_FACE('',(#27462),#11786,.T.); #335928=ADVANCED_FACE('',(#27463),#11787,.T.); #335929=ADVANCED_FACE('',(#27464),#11788,.T.); #335930=ADVANCED_FACE('',(#27465),#11789,.T.); #335931=ADVANCED_FACE('',(#27466),#11790,.T.); #335932=ADVANCED_FACE('',(#27467),#11791,.F.); #335933=ADVANCED_FACE('',(#27468),#11792,.T.); #335934=ADVANCED_FACE('',(#27469),#11793,.T.); #335935=ADVANCED_FACE('',(#27470),#11794,.T.); #335936=ADVANCED_FACE('',(#27471),#11795,.T.); #335937=ADVANCED_FACE('',(#27472),#11796,.T.); #335938=ADVANCED_FACE('',(#27473),#11797,.T.); #335939=ADVANCED_FACE('',(#27474),#11798,.T.); #335940=ADVANCED_FACE('',(#27475),#11799,.T.); #335941=ADVANCED_FACE('',(#27476),#11800,.T.); #335942=ADVANCED_FACE('',(#27477),#11801,.T.); #335943=ADVANCED_FACE('',(#27478),#11802,.T.); #335944=ADVANCED_FACE('',(#27479),#11803,.T.); #335945=ADVANCED_FACE('',(#27480),#11804,.T.); #335946=ADVANCED_FACE('',(#27481),#11805,.T.); #335947=ADVANCED_FACE('',(#27482),#11806,.T.); #335948=ADVANCED_FACE('',(#27483),#11807,.T.); #335949=ADVANCED_FACE('',(#27484),#11808,.T.); #335950=ADVANCED_FACE('',(#27485),#11809,.T.); #335951=ADVANCED_FACE('',(#27486),#11810,.T.); #335952=ADVANCED_FACE('',(#27487),#11811,.T.); #335953=ADVANCED_FACE('',(#27488),#11812,.T.); #335954=ADVANCED_FACE('',(#27489),#11813,.F.); #335955=ADVANCED_FACE('',(#27490),#11814,.T.); #335956=ADVANCED_FACE('',(#27491),#11815,.T.); #335957=ADVANCED_FACE('',(#27492),#11816,.T.); #335958=ADVANCED_FACE('',(#27493),#11817,.T.); #335959=ADVANCED_FACE('',(#27494),#11818,.T.); #335960=ADVANCED_FACE('',(#27495),#11819,.T.); #335961=ADVANCED_FACE('',(#27496),#11820,.T.); #335962=ADVANCED_FACE('',(#27497),#11821,.T.); #335963=ADVANCED_FACE('',(#27498),#11822,.T.); #335964=ADVANCED_FACE('',(#27499),#11823,.T.); #335965=ADVANCED_FACE('',(#27500),#11824,.T.); #335966=ADVANCED_FACE('',(#27501),#11825,.T.); #335967=ADVANCED_FACE('',(#27502),#11826,.T.); #335968=ADVANCED_FACE('',(#27503),#11827,.T.); #335969=ADVANCED_FACE('',(#27504),#11828,.T.); #335970=ADVANCED_FACE('',(#27505),#11829,.T.); #335971=ADVANCED_FACE('',(#27506),#11830,.T.); #335972=ADVANCED_FACE('',(#27507),#11831,.T.); #335973=ADVANCED_FACE('',(#27508),#11832,.T.); #335974=ADVANCED_FACE('',(#27509),#11833,.T.); #335975=ADVANCED_FACE('',(#27510),#11834,.T.); #335976=ADVANCED_FACE('',(#27511),#11835,.T.); #335977=ADVANCED_FACE('',(#27512),#11836,.T.); #335978=ADVANCED_FACE('',(#27513),#11837,.T.); #335979=ADVANCED_FACE('',(#27514),#11838,.F.); #335980=ADVANCED_FACE('',(#27515),#11839,.T.); #335981=ADVANCED_FACE('',(#27516),#11840,.T.); #335982=ADVANCED_FACE('',(#27517),#11841,.T.); #335983=ADVANCED_FACE('',(#27518),#11842,.T.); #335984=ADVANCED_FACE('',(#27519),#11843,.T.); #335985=ADVANCED_FACE('',(#27520),#11844,.T.); #335986=ADVANCED_FACE('',(#27521),#11845,.T.); #335987=ADVANCED_FACE('',(#27522),#11846,.T.); #335988=ADVANCED_FACE('',(#27523),#11847,.T.); #335989=ADVANCED_FACE('',(#27524),#11848,.T.); #335990=ADVANCED_FACE('',(#27525),#11849,.T.); #335991=ADVANCED_FACE('',(#27526),#11850,.T.); #335992=ADVANCED_FACE('',(#27527),#11851,.T.); #335993=ADVANCED_FACE('',(#27528),#11852,.T.); #335994=ADVANCED_FACE('',(#27529),#11853,.T.); #335995=ADVANCED_FACE('',(#27530),#11854,.T.); #335996=ADVANCED_FACE('',(#27531),#11855,.T.); #335997=ADVANCED_FACE('',(#27532),#11856,.T.); #335998=ADVANCED_FACE('',(#27533),#11857,.T.); #335999=ADVANCED_FACE('',(#27534),#11858,.T.); #336000=ADVANCED_FACE('',(#27535),#11859,.T.); #336001=ADVANCED_FACE('',(#27536),#11860,.T.); #336002=ADVANCED_FACE('',(#27537),#11861,.F.); #336003=ADVANCED_FACE('',(#27538),#11862,.T.); #336004=ADVANCED_FACE('',(#27539),#11863,.T.); #336005=ADVANCED_FACE('',(#27540),#11864,.T.); #336006=ADVANCED_FACE('',(#27541),#11865,.T.); #336007=ADVANCED_FACE('',(#27542),#11866,.T.); #336008=ADVANCED_FACE('',(#27543),#11867,.T.); #336009=ADVANCED_FACE('',(#27544),#11868,.T.); #336010=ADVANCED_FACE('',(#27545),#11869,.T.); #336011=ADVANCED_FACE('',(#27546),#11870,.T.); #336012=ADVANCED_FACE('',(#27547),#11871,.T.); #336013=ADVANCED_FACE('',(#27548),#11872,.T.); #336014=ADVANCED_FACE('',(#27549),#11873,.T.); #336015=ADVANCED_FACE('',(#27550),#11874,.T.); #336016=ADVANCED_FACE('',(#27551),#11875,.T.); #336017=ADVANCED_FACE('',(#27552),#11876,.T.); #336018=ADVANCED_FACE('',(#27553),#11877,.T.); #336019=ADVANCED_FACE('',(#27554),#11878,.T.); #336020=ADVANCED_FACE('',(#27555),#11879,.T.); #336021=ADVANCED_FACE('',(#27556),#11880,.T.); #336022=ADVANCED_FACE('',(#27557),#11881,.T.); #336023=ADVANCED_FACE('',(#27558),#11882,.T.); #336024=ADVANCED_FACE('',(#27559),#11883,.T.); #336025=ADVANCED_FACE('',(#27560),#11884,.T.); #336026=ADVANCED_FACE('',(#27561),#11885,.T.); #336027=ADVANCED_FACE('',(#27562),#11886,.T.); #336028=ADVANCED_FACE('',(#27563),#11887,.T.); #336029=ADVANCED_FACE('',(#27564),#11888,.T.); #336030=ADVANCED_FACE('',(#27565),#11889,.T.); #336031=ADVANCED_FACE('',(#27566),#11890,.T.); #336032=ADVANCED_FACE('',(#27567),#11891,.T.); #336033=ADVANCED_FACE('',(#27568),#11892,.T.); #336034=ADVANCED_FACE('',(#27569),#11893,.F.); #336035=ADVANCED_FACE('',(#27570),#11894,.T.); #336036=ADVANCED_FACE('',(#27571),#11895,.T.); #336037=ADVANCED_FACE('',(#27572),#11896,.T.); #336038=ADVANCED_FACE('',(#27573),#11897,.T.); #336039=ADVANCED_FACE('',(#27574),#11898,.T.); #336040=ADVANCED_FACE('',(#27575),#11899,.T.); #336041=ADVANCED_FACE('',(#27576),#11900,.T.); #336042=ADVANCED_FACE('',(#27577),#11901,.T.); #336043=ADVANCED_FACE('',(#27578),#11902,.T.); #336044=ADVANCED_FACE('',(#27579),#11903,.T.); #336045=ADVANCED_FACE('',(#27580),#11904,.T.); #336046=ADVANCED_FACE('',(#27581),#11905,.T.); #336047=ADVANCED_FACE('',(#27582),#11906,.T.); #336048=ADVANCED_FACE('',(#27583),#11907,.T.); #336049=ADVANCED_FACE('',(#27584),#11908,.T.); #336050=ADVANCED_FACE('',(#27585),#11909,.T.); #336051=ADVANCED_FACE('',(#27586),#11910,.T.); #336052=ADVANCED_FACE('',(#27587),#11911,.T.); #336053=ADVANCED_FACE('',(#27588),#11912,.T.); #336054=ADVANCED_FACE('',(#27589),#11913,.T.); #336055=ADVANCED_FACE('',(#27590),#11914,.T.); #336056=ADVANCED_FACE('',(#27591),#11915,.F.); #336057=ADVANCED_FACE('',(#27592),#11916,.T.); #336058=ADVANCED_FACE('',(#27593),#11917,.T.); #336059=ADVANCED_FACE('',(#27594),#11918,.T.); #336060=ADVANCED_FACE('',(#27595),#11919,.T.); #336061=ADVANCED_FACE('',(#27596),#11920,.T.); #336062=ADVANCED_FACE('',(#27597),#11921,.T.); #336063=ADVANCED_FACE('',(#27598),#11922,.T.); #336064=ADVANCED_FACE('',(#27599),#11923,.T.); #336065=ADVANCED_FACE('',(#27600),#11924,.T.); #336066=ADVANCED_FACE('',(#27601),#11925,.T.); #336067=ADVANCED_FACE('',(#27602),#11926,.T.); #336068=ADVANCED_FACE('',(#27603),#11927,.T.); #336069=ADVANCED_FACE('',(#27604),#11928,.T.); #336070=ADVANCED_FACE('',(#27605),#11929,.T.); #336071=ADVANCED_FACE('',(#27606),#11930,.T.); #336072=ADVANCED_FACE('',(#27607),#11931,.T.); #336073=ADVANCED_FACE('',(#27608),#11932,.T.); #336074=ADVANCED_FACE('',(#27609),#11933,.T.); #336075=ADVANCED_FACE('',(#27610),#11934,.T.); #336076=ADVANCED_FACE('',(#27611),#11935,.T.); #336077=ADVANCED_FACE('',(#27612),#11936,.T.); #336078=ADVANCED_FACE('',(#27613),#11937,.T.); #336079=ADVANCED_FACE('',(#27614),#11938,.T.); #336080=ADVANCED_FACE('',(#27615),#11939,.T.); #336081=ADVANCED_FACE('',(#27616),#11940,.T.); #336082=ADVANCED_FACE('',(#27617),#11941,.T.); #336083=ADVANCED_FACE('',(#27618),#11942,.T.); #336084=ADVANCED_FACE('',(#27619),#11943,.T.); #336085=ADVANCED_FACE('',(#27620),#11944,.T.); #336086=ADVANCED_FACE('',(#27621),#11945,.T.); #336087=ADVANCED_FACE('',(#27622),#11946,.T.); #336088=ADVANCED_FACE('',(#27623),#11947,.T.); #336089=ADVANCED_FACE('',(#27624),#11948,.T.); #336090=ADVANCED_FACE('',(#27625),#11949,.T.); #336091=ADVANCED_FACE('',(#27626),#11950,.F.); #336092=ADVANCED_FACE('',(#27627),#327879,.F.); #336093=ADVANCED_FACE('',(#27628),#327880,.F.); #336094=ADVANCED_FACE('',(#27629),#327881,.F.); #336095=ADVANCED_FACE('',(#27630),#11951,.T.); #336096=ADVANCED_FACE('',(#27631),#11952,.T.); #336097=ADVANCED_FACE('',(#27632),#11953,.T.); #336098=ADVANCED_FACE('',(#27633),#11954,.T.); #336099=ADVANCED_FACE('',(#27634),#11955,.T.); #336100=ADVANCED_FACE('',(#27635),#11956,.T.); #336101=ADVANCED_FACE('',(#27636),#11957,.T.); #336102=ADVANCED_FACE('',(#27637),#11958,.T.); #336103=ADVANCED_FACE('',(#27638),#11959,.T.); #336104=ADVANCED_FACE('',(#27639),#11960,.T.); #336105=ADVANCED_FACE('',(#27640),#11961,.T.); #336106=ADVANCED_FACE('',(#27641),#11962,.T.); #336107=ADVANCED_FACE('',(#27642),#11963,.T.); #336108=ADVANCED_FACE('',(#27643),#11964,.T.); #336109=ADVANCED_FACE('',(#27644),#11965,.T.); #336110=ADVANCED_FACE('',(#27645),#11966,.T.); #336111=ADVANCED_FACE('',(#27646),#11967,.T.); #336112=ADVANCED_FACE('',(#27647),#11968,.T.); #336113=ADVANCED_FACE('',(#27648),#11969,.T.); #336114=ADVANCED_FACE('',(#27649),#11970,.T.); #336115=ADVANCED_FACE('',(#27650),#11971,.T.); #336116=ADVANCED_FACE('',(#27651),#11972,.T.); #336117=ADVANCED_FACE('',(#27652),#11973,.T.); #336118=ADVANCED_FACE('',(#27653),#11974,.T.); #336119=ADVANCED_FACE('',(#27654),#11975,.T.); #336120=ADVANCED_FACE('',(#27655),#11976,.T.); #336121=ADVANCED_FACE('',(#27656),#11977,.T.); #336122=ADVANCED_FACE('',(#27657),#11978,.T.); #336123=ADVANCED_FACE('',(#27658),#11979,.T.); #336124=ADVANCED_FACE('',(#27659),#11980,.T.); #336125=ADVANCED_FACE('',(#27660),#11981,.T.); #336126=ADVANCED_FACE('',(#27661),#11982,.T.); #336127=ADVANCED_FACE('',(#27662),#11983,.T.); #336128=ADVANCED_FACE('',(#27663),#11984,.T.); #336129=ADVANCED_FACE('',(#27664),#11985,.T.); #336130=ADVANCED_FACE('',(#27665),#11986,.T.); #336131=ADVANCED_FACE('',(#27666),#11987,.T.); #336132=ADVANCED_FACE('',(#27667),#11988,.T.); #336133=ADVANCED_FACE('',(#27668),#11989,.T.); #336134=ADVANCED_FACE('',(#27669),#11990,.T.); #336135=ADVANCED_FACE('',(#27670),#11991,.T.); #336136=ADVANCED_FACE('',(#27671),#11992,.T.); #336137=ADVANCED_FACE('',(#27672),#11993,.T.); #336138=ADVANCED_FACE('',(#27673),#11994,.T.); #336139=ADVANCED_FACE('',(#27674),#11995,.T.); #336140=ADVANCED_FACE('',(#27675),#11996,.T.); #336141=ADVANCED_FACE('',(#27676),#11997,.T.); #336142=ADVANCED_FACE('',(#27677),#11998,.T.); #336143=ADVANCED_FACE('',(#27678),#11999,.T.); #336144=ADVANCED_FACE('',(#27679),#12000,.T.); #336145=ADVANCED_FACE('',(#27680),#12001,.T.); #336146=ADVANCED_FACE('',(#27681),#12002,.T.); #336147=ADVANCED_FACE('',(#27682),#12003,.T.); #336148=ADVANCED_FACE('',(#27683),#12004,.T.); #336149=ADVANCED_FACE('',(#27684),#12005,.T.); #336150=ADVANCED_FACE('',(#27685),#12006,.T.); #336151=ADVANCED_FACE('',(#27686),#12007,.T.); #336152=ADVANCED_FACE('',(#27687),#12008,.T.); #336153=ADVANCED_FACE('',(#27688),#12009,.T.); #336154=ADVANCED_FACE('',(#27689),#12010,.T.); #336155=ADVANCED_FACE('',(#27690),#12011,.T.); #336156=ADVANCED_FACE('',(#27691),#12012,.T.); #336157=ADVANCED_FACE('',(#27692),#12013,.T.); #336158=ADVANCED_FACE('',(#27693),#12014,.T.); #336159=ADVANCED_FACE('',(#27694),#12015,.T.); #336160=ADVANCED_FACE('',(#27695),#12016,.T.); #336161=ADVANCED_FACE('',(#27696),#12017,.T.); #336162=ADVANCED_FACE('',(#27697),#12018,.T.); #336163=ADVANCED_FACE('',(#27698),#12019,.T.); #336164=ADVANCED_FACE('',(#27699),#12020,.T.); #336165=ADVANCED_FACE('',(#27700),#12021,.T.); #336166=ADVANCED_FACE('',(#27701),#12022,.T.); #336167=ADVANCED_FACE('',(#27702),#12023,.T.); #336168=ADVANCED_FACE('',(#27703),#12024,.T.); #336169=ADVANCED_FACE('',(#27704),#12025,.T.); #336170=ADVANCED_FACE('',(#27705),#12026,.T.); #336171=ADVANCED_FACE('',(#27706),#12027,.T.); #336172=ADVANCED_FACE('',(#27707),#12028,.T.); #336173=ADVANCED_FACE('',(#27708),#12029,.T.); #336174=ADVANCED_FACE('',(#27709),#12030,.T.); #336175=ADVANCED_FACE('',(#27710),#12031,.T.); #336176=ADVANCED_FACE('',(#27711),#12032,.T.); #336177=ADVANCED_FACE('',(#27712),#12033,.T.); #336178=ADVANCED_FACE('',(#27713),#12034,.T.); #336179=ADVANCED_FACE('',(#27714),#12035,.T.); #336180=ADVANCED_FACE('',(#27715),#12036,.T.); #336181=ADVANCED_FACE('',(#27716),#12037,.T.); #336182=ADVANCED_FACE('',(#27717,#5172,#5173,#5174),#12038,.T.); #336183=ADVANCED_FACE('',(#27718,#5175,#5176,#5177),#12039,.F.); #336184=ADVANCED_FACE('',(#27719),#12040,.T.); #336185=ADVANCED_FACE('',(#27720),#12041,.T.); #336186=ADVANCED_FACE('',(#27721),#12042,.T.); #336187=ADVANCED_FACE('',(#27722),#12043,.T.); #336188=ADVANCED_FACE('',(#27723),#12044,.T.); #336189=ADVANCED_FACE('',(#27724),#12045,.T.); #336190=ADVANCED_FACE('',(#27725),#12046,.T.); #336191=ADVANCED_FACE('',(#27726),#12047,.T.); #336192=ADVANCED_FACE('',(#27727),#12048,.T.); #336193=ADVANCED_FACE('',(#27728),#12049,.T.); #336194=ADVANCED_FACE('',(#27729),#12050,.T.); #336195=ADVANCED_FACE('',(#27730),#12051,.T.); #336196=ADVANCED_FACE('',(#27731),#12052,.T.); #336197=ADVANCED_FACE('',(#27732),#12053,.T.); #336198=ADVANCED_FACE('',(#27733),#12054,.T.); #336199=ADVANCED_FACE('',(#27734),#12055,.T.); #336200=ADVANCED_FACE('',(#27735),#12056,.T.); #336201=ADVANCED_FACE('',(#27736),#12057,.T.); #336202=ADVANCED_FACE('',(#27737),#12058,.T.); #336203=ADVANCED_FACE('',(#27738),#12059,.T.); #336204=ADVANCED_FACE('',(#27739),#12060,.T.); #336205=ADVANCED_FACE('',(#27740),#12061,.T.); #336206=ADVANCED_FACE('',(#27741),#12062,.T.); #336207=ADVANCED_FACE('',(#27742),#12063,.T.); #336208=ADVANCED_FACE('',(#27743),#12064,.T.); #336209=ADVANCED_FACE('',(#27744),#12065,.T.); #336210=ADVANCED_FACE('',(#27745),#12066,.T.); #336211=ADVANCED_FACE('',(#27746),#12067,.T.); #336212=ADVANCED_FACE('',(#27747),#12068,.T.); #336213=ADVANCED_FACE('',(#27748),#12069,.T.); #336214=ADVANCED_FACE('',(#27749),#12070,.F.); #336215=ADVANCED_FACE('',(#27750),#12071,.T.); #336216=ADVANCED_FACE('',(#27751),#12072,.T.); #336217=ADVANCED_FACE('',(#27752),#12073,.T.); #336218=ADVANCED_FACE('',(#27753),#12074,.T.); #336219=ADVANCED_FACE('',(#27754),#12075,.T.); #336220=ADVANCED_FACE('',(#27755),#12076,.T.); #336221=ADVANCED_FACE('',(#27756),#12077,.T.); #336222=ADVANCED_FACE('',(#27757),#12078,.T.); #336223=ADVANCED_FACE('',(#27758),#12079,.T.); #336224=ADVANCED_FACE('',(#27759),#12080,.T.); #336225=ADVANCED_FACE('',(#27760),#12081,.T.); #336226=ADVANCED_FACE('',(#27761),#12082,.T.); #336227=ADVANCED_FACE('',(#27762),#12083,.T.); #336228=ADVANCED_FACE('',(#27763),#12084,.T.); #336229=ADVANCED_FACE('',(#27764),#12085,.T.); #336230=ADVANCED_FACE('',(#27765),#12086,.T.); #336231=ADVANCED_FACE('',(#27766),#12087,.T.); #336232=ADVANCED_FACE('',(#27767),#12088,.T.); #336233=ADVANCED_FACE('',(#27768),#12089,.T.); #336234=ADVANCED_FACE('',(#27769),#12090,.T.); #336235=ADVANCED_FACE('',(#27770),#12091,.F.); #336236=ADVANCED_FACE('',(#27771),#327882,.F.); #336237=ADVANCED_FACE('',(#27772),#327883,.F.); #336238=ADVANCED_FACE('',(#27773),#327884,.F.); #336239=ADVANCED_FACE('',(#27774),#327885,.F.); #336240=ADVANCED_FACE('',(#27775),#327886,.F.); #336241=ADVANCED_FACE('',(#27776),#327887,.F.); #336242=ADVANCED_FACE('',(#27777),#327888,.F.); #336243=ADVANCED_FACE('',(#27778),#327889,.F.); #336244=ADVANCED_FACE('',(#27779),#327890,.F.); #336245=ADVANCED_FACE('',(#27780),#327891,.F.); #336246=ADVANCED_FACE('',(#27781),#327892,.F.); #336247=ADVANCED_FACE('',(#27782),#327893,.F.); #336248=ADVANCED_FACE('',(#27783),#327894,.F.); #336249=ADVANCED_FACE('',(#27784),#327895,.F.); #336250=ADVANCED_FACE('',(#27785),#327896,.F.); #336251=ADVANCED_FACE('',(#27786),#327897,.F.); #336252=ADVANCED_FACE('',(#27787),#327898,.F.); #336253=ADVANCED_FACE('',(#27788),#327899,.F.); #336254=ADVANCED_FACE('',(#27789),#327900,.F.); #336255=ADVANCED_FACE('',(#27790),#327901,.F.); #336256=ADVANCED_FACE('',(#27791),#327902,.F.); #336257=ADVANCED_FACE('',(#27792),#327903,.F.); #336258=ADVANCED_FACE('',(#27793),#327904,.F.); #336259=ADVANCED_FACE('',(#27794),#327905,.F.); #336260=ADVANCED_FACE('',(#27795),#327906,.F.); #336261=ADVANCED_FACE('',(#27796),#327907,.F.); #336262=ADVANCED_FACE('',(#27797),#327908,.F.); #336263=ADVANCED_FACE('',(#27798),#327909,.F.); #336264=ADVANCED_FACE('',(#27799),#327910,.F.); #336265=ADVANCED_FACE('',(#27800),#327911,.F.); #336266=ADVANCED_FACE('',(#27801),#327912,.F.); #336267=ADVANCED_FACE('',(#27802),#327913,.F.); #336268=ADVANCED_FACE('',(#27803),#327914,.F.); #336269=ADVANCED_FACE('',(#27804),#327915,.F.); #336270=ADVANCED_FACE('',(#27805),#327916,.F.); #336271=ADVANCED_FACE('',(#27806),#327917,.F.); #336272=ADVANCED_FACE('',(#27807),#327918,.F.); #336273=ADVANCED_FACE('',(#27808),#327919,.F.); #336274=ADVANCED_FACE('',(#27809),#327920,.F.); #336275=ADVANCED_FACE('',(#27810),#327921,.F.); #336276=ADVANCED_FACE('',(#27811),#327922,.F.); #336277=ADVANCED_FACE('',(#27812),#327923,.F.); #336278=ADVANCED_FACE('',(#27813),#327924,.F.); #336279=ADVANCED_FACE('',(#27814),#327925,.F.); #336280=ADVANCED_FACE('',(#27815),#327926,.F.); #336281=ADVANCED_FACE('',(#27816),#327927,.F.); #336282=ADVANCED_FACE('',(#27817),#327928,.F.); #336283=ADVANCED_FACE('',(#27818),#327929,.F.); #336284=ADVANCED_FACE('',(#27819),#327930,.F.); #336285=ADVANCED_FACE('',(#27820),#12092,.T.); #336286=ADVANCED_FACE('',(#27821),#12093,.T.); #336287=ADVANCED_FACE('',(#27822),#12094,.T.); #336288=ADVANCED_FACE('',(#27823),#12095,.T.); #336289=ADVANCED_FACE('',(#27824),#12096,.T.); #336290=ADVANCED_FACE('',(#27825),#12097,.T.); #336291=ADVANCED_FACE('',(#27826),#12098,.T.); #336292=ADVANCED_FACE('',(#27827),#12099,.T.); #336293=ADVANCED_FACE('',(#27828),#12100,.T.); #336294=ADVANCED_FACE('',(#27829),#12101,.T.); #336295=ADVANCED_FACE('',(#27830),#12102,.T.); #336296=ADVANCED_FACE('',(#27831),#12103,.T.); #336297=ADVANCED_FACE('',(#27832),#12104,.T.); #336298=ADVANCED_FACE('',(#27833),#12105,.T.); #336299=ADVANCED_FACE('',(#27834),#12106,.T.); #336300=ADVANCED_FACE('',(#27835),#12107,.T.); #336301=ADVANCED_FACE('',(#27836),#12108,.T.); #336302=ADVANCED_FACE('',(#27837),#12109,.T.); #336303=ADVANCED_FACE('',(#27838),#12110,.T.); #336304=ADVANCED_FACE('',(#27839),#12111,.T.); #336305=ADVANCED_FACE('',(#27840),#12112,.T.); #336306=ADVANCED_FACE('',(#27841),#12113,.T.); #336307=ADVANCED_FACE('',(#27842),#12114,.T.); #336308=ADVANCED_FACE('',(#27843),#12115,.T.); #336309=ADVANCED_FACE('',(#27844),#12116,.T.); #336310=ADVANCED_FACE('',(#27845),#12117,.T.); #336311=ADVANCED_FACE('',(#27846),#12118,.T.); #336312=ADVANCED_FACE('',(#27847),#12119,.T.); #336313=ADVANCED_FACE('',(#27848),#12120,.T.); #336314=ADVANCED_FACE('',(#27849),#12121,.T.); #336315=ADVANCED_FACE('',(#27850),#12122,.T.); #336316=ADVANCED_FACE('',(#27851),#12123,.T.); #336317=ADVANCED_FACE('',(#27852),#12124,.T.); #336318=ADVANCED_FACE('',(#27853),#12125,.T.); #336319=ADVANCED_FACE('',(#27854),#12126,.T.); #336320=ADVANCED_FACE('',(#27855),#12127,.T.); #336321=ADVANCED_FACE('',(#27856),#12128,.T.); #336322=ADVANCED_FACE('',(#27857),#12129,.T.); #336323=ADVANCED_FACE('',(#27858),#12130,.T.); #336324=ADVANCED_FACE('',(#27859),#12131,.T.); #336325=ADVANCED_FACE('',(#27860),#12132,.T.); #336326=ADVANCED_FACE('',(#27861),#12133,.T.); #336327=ADVANCED_FACE('',(#27862),#12134,.T.); #336328=ADVANCED_FACE('',(#27863),#12135,.T.); #336329=ADVANCED_FACE('',(#27864),#12136,.T.); #336330=ADVANCED_FACE('',(#27865),#12137,.T.); #336331=ADVANCED_FACE('',(#27866),#12138,.T.); #336332=ADVANCED_FACE('',(#27867),#12139,.T.); #336333=ADVANCED_FACE('',(#27868),#12140,.T.); #336334=ADVANCED_FACE('',(#27869),#12141,.T.); #336335=ADVANCED_FACE('',(#27870),#12142,.T.); #336336=ADVANCED_FACE('',(#27871),#12143,.T.); #336337=ADVANCED_FACE('',(#27872),#12144,.T.); #336338=ADVANCED_FACE('',(#27873),#12145,.T.); #336339=ADVANCED_FACE('',(#27874),#12146,.T.); #336340=ADVANCED_FACE('',(#27875),#12147,.T.); #336341=ADVANCED_FACE('',(#27876),#12148,.T.); #336342=ADVANCED_FACE('',(#27877),#12149,.T.); #336343=ADVANCED_FACE('',(#27878),#12150,.T.); #336344=ADVANCED_FACE('',(#27879),#12151,.T.); #336345=ADVANCED_FACE('',(#27880),#12152,.T.); #336346=ADVANCED_FACE('',(#27881),#12153,.T.); #336347=ADVANCED_FACE('',(#27882),#12154,.T.); #336348=ADVANCED_FACE('',(#27883),#12155,.T.); #336349=ADVANCED_FACE('',(#27884),#12156,.T.); #336350=ADVANCED_FACE('',(#27885),#12157,.T.); #336351=ADVANCED_FACE('',(#27886),#12158,.T.); #336352=ADVANCED_FACE('',(#27887),#12159,.T.); #336353=ADVANCED_FACE('',(#27888),#12160,.T.); #336354=ADVANCED_FACE('',(#27889),#12161,.T.); #336355=ADVANCED_FACE('',(#27890),#12162,.T.); #336356=ADVANCED_FACE('',(#27891),#12163,.T.); #336357=ADVANCED_FACE('',(#27892),#12164,.T.); #336358=ADVANCED_FACE('',(#27893),#12165,.T.); #336359=ADVANCED_FACE('',(#27894),#12166,.T.); #336360=ADVANCED_FACE('',(#27895),#12167,.T.); #336361=ADVANCED_FACE('',(#27896),#12168,.T.); #336362=ADVANCED_FACE('',(#27897),#12169,.T.); #336363=ADVANCED_FACE('',(#27898),#12170,.T.); #336364=ADVANCED_FACE('',(#27899),#12171,.T.); #336365=ADVANCED_FACE('',(#27900),#12172,.T.); #336366=ADVANCED_FACE('',(#27901),#12173,.T.); #336367=ADVANCED_FACE('',(#27902),#12174,.T.); #336368=ADVANCED_FACE('',(#27903),#12175,.T.); #336369=ADVANCED_FACE('',(#27904),#12176,.T.); #336370=ADVANCED_FACE('',(#27905),#12177,.T.); #336371=ADVANCED_FACE('',(#27906),#12178,.T.); #336372=ADVANCED_FACE('',(#27907),#12179,.T.); #336373=ADVANCED_FACE('',(#27908),#12180,.T.); #336374=ADVANCED_FACE('',(#27909),#12181,.T.); #336375=ADVANCED_FACE('',(#27910),#12182,.T.); #336376=ADVANCED_FACE('',(#27911),#12183,.T.); #336377=ADVANCED_FACE('',(#27912),#12184,.T.); #336378=ADVANCED_FACE('',(#27913),#12185,.T.); #336379=ADVANCED_FACE('',(#27914),#12186,.T.); #336380=ADVANCED_FACE('',(#27915),#12187,.T.); #336381=ADVANCED_FACE('',(#27916),#12188,.T.); #336382=ADVANCED_FACE('',(#27917),#12189,.T.); #336383=ADVANCED_FACE('',(#27918),#12190,.T.); #336384=ADVANCED_FACE('',(#27919),#12191,.T.); #336385=ADVANCED_FACE('',(#27920),#12192,.T.); #336386=ADVANCED_FACE('',(#27921),#12193,.T.); #336387=ADVANCED_FACE('',(#27922),#12194,.T.); #336388=ADVANCED_FACE('',(#27923),#12195,.T.); #336389=ADVANCED_FACE('',(#27924),#12196,.T.); #336390=ADVANCED_FACE('',(#27925),#12197,.T.); #336391=ADVANCED_FACE('',(#27926),#12198,.T.); #336392=ADVANCED_FACE('',(#27927),#12199,.T.); #336393=ADVANCED_FACE('',(#27928),#12200,.T.); #336394=ADVANCED_FACE('',(#27929),#12201,.T.); #336395=ADVANCED_FACE('',(#27930),#12202,.T.); #336396=ADVANCED_FACE('',(#27931),#12203,.T.); #336397=ADVANCED_FACE('',(#27932),#12204,.T.); #336398=ADVANCED_FACE('',(#27933),#12205,.T.); #336399=ADVANCED_FACE('',(#27934),#12206,.T.); #336400=ADVANCED_FACE('',(#27935),#12207,.T.); #336401=ADVANCED_FACE('',(#27936),#12208,.T.); #336402=ADVANCED_FACE('',(#27937),#12209,.T.); #336403=ADVANCED_FACE('',(#27938),#12210,.T.); #336404=ADVANCED_FACE('',(#27939),#12211,.T.); #336405=ADVANCED_FACE('',(#27940),#12212,.T.); #336406=ADVANCED_FACE('',(#27941),#12213,.T.); #336407=ADVANCED_FACE('',(#27942),#12214,.T.); #336408=ADVANCED_FACE('',(#27943),#12215,.T.); #336409=ADVANCED_FACE('',(#27944),#12216,.T.); #336410=ADVANCED_FACE('',(#27945),#12217,.T.); #336411=ADVANCED_FACE('',(#27946),#12218,.T.); #336412=ADVANCED_FACE('',(#27947),#12219,.T.); #336413=ADVANCED_FACE('',(#27948),#12220,.T.); #336414=ADVANCED_FACE('',(#27949),#12221,.T.); #336415=ADVANCED_FACE('',(#27950),#12222,.T.); #336416=ADVANCED_FACE('',(#27951),#12223,.T.); #336417=ADVANCED_FACE('',(#27952),#12224,.T.); #336418=ADVANCED_FACE('',(#27953),#12225,.T.); #336419=ADVANCED_FACE('',(#27954),#12226,.T.); #336420=ADVANCED_FACE('',(#27955),#12227,.T.); #336421=ADVANCED_FACE('',(#27956),#12228,.T.); #336422=ADVANCED_FACE('',(#27957),#12229,.T.); #336423=ADVANCED_FACE('',(#27958),#12230,.T.); #336424=ADVANCED_FACE('',(#27959),#12231,.T.); #336425=ADVANCED_FACE('',(#27960),#12232,.T.); #336426=ADVANCED_FACE('',(#27961),#12233,.T.); #336427=ADVANCED_FACE('',(#27962),#12234,.T.); #336428=ADVANCED_FACE('',(#27963),#12235,.T.); #336429=ADVANCED_FACE('',(#27964),#12236,.T.); #336430=ADVANCED_FACE('',(#27965),#12237,.T.); #336431=ADVANCED_FACE('',(#27966),#12238,.T.); #336432=ADVANCED_FACE('',(#27967),#12239,.T.); #336433=ADVANCED_FACE('',(#27968),#12240,.T.); #336434=ADVANCED_FACE('',(#27969),#12241,.T.); #336435=ADVANCED_FACE('',(#27970),#12242,.T.); #336436=ADVANCED_FACE('',(#27971),#12243,.T.); #336437=ADVANCED_FACE('',(#27972),#12244,.T.); #336438=ADVANCED_FACE('',(#27973),#12245,.T.); #336439=ADVANCED_FACE('',(#27974),#12246,.T.); #336440=ADVANCED_FACE('',(#27975),#12247,.T.); #336441=ADVANCED_FACE('',(#27976),#12248,.T.); #336442=ADVANCED_FACE('',(#27977),#12249,.T.); #336443=ADVANCED_FACE('',(#27978),#12250,.T.); #336444=ADVANCED_FACE('',(#27979),#12251,.T.); #336445=ADVANCED_FACE('',(#27980),#12252,.T.); #336446=ADVANCED_FACE('',(#27981),#12253,.T.); #336447=ADVANCED_FACE('',(#27982),#12254,.T.); #336448=ADVANCED_FACE('',(#27983),#12255,.T.); #336449=ADVANCED_FACE('',(#27984),#12256,.T.); #336450=ADVANCED_FACE('',(#27985),#12257,.T.); #336451=ADVANCED_FACE('',(#27986),#12258,.T.); #336452=ADVANCED_FACE('',(#27987),#12259,.T.); #336453=ADVANCED_FACE('',(#27988),#12260,.T.); #336454=ADVANCED_FACE('',(#27989),#12261,.T.); #336455=ADVANCED_FACE('',(#27990),#12262,.T.); #336456=ADVANCED_FACE('',(#27991),#12263,.T.); #336457=ADVANCED_FACE('',(#27992),#12264,.T.); #336458=ADVANCED_FACE('',(#27993),#12265,.T.); #336459=ADVANCED_FACE('',(#27994),#12266,.T.); #336460=ADVANCED_FACE('',(#27995),#12267,.T.); #336461=ADVANCED_FACE('',(#27996),#12268,.T.); #336462=ADVANCED_FACE('',(#27997),#12269,.T.); #336463=ADVANCED_FACE('',(#27998),#12270,.T.); #336464=ADVANCED_FACE('',(#27999),#12271,.T.); #336465=ADVANCED_FACE('',(#28000),#12272,.T.); #336466=ADVANCED_FACE('',(#28001),#12273,.T.); #336467=ADVANCED_FACE('',(#28002),#12274,.T.); #336468=ADVANCED_FACE('',(#28003),#12275,.T.); #336469=ADVANCED_FACE('',(#28004),#12276,.T.); #336470=ADVANCED_FACE('',(#28005),#12277,.T.); #336471=ADVANCED_FACE('',(#28006),#12278,.T.); #336472=ADVANCED_FACE('',(#28007),#12279,.T.); #336473=ADVANCED_FACE('',(#28008),#12280,.T.); #336474=ADVANCED_FACE('',(#28009),#12281,.T.); #336475=ADVANCED_FACE('',(#28010),#12282,.T.); #336476=ADVANCED_FACE('',(#28011),#12283,.T.); #336477=ADVANCED_FACE('',(#28012),#12284,.T.); #336478=ADVANCED_FACE('',(#28013),#12285,.T.); #336479=ADVANCED_FACE('',(#28014),#12286,.T.); #336480=ADVANCED_FACE('',(#28015),#12287,.T.); #336481=ADVANCED_FACE('',(#28016),#12288,.T.); #336482=ADVANCED_FACE('',(#28017),#12289,.T.); #336483=ADVANCED_FACE('',(#28018),#12290,.T.); #336484=ADVANCED_FACE('',(#28019),#12291,.T.); #336485=ADVANCED_FACE('',(#28020),#12292,.T.); #336486=ADVANCED_FACE('',(#28021),#12293,.T.); #336487=ADVANCED_FACE('',(#28022),#12294,.T.); #336488=ADVANCED_FACE('',(#28023),#12295,.T.); #336489=ADVANCED_FACE('',(#28024),#12296,.T.); #336490=ADVANCED_FACE('',(#28025),#12297,.T.); #336491=ADVANCED_FACE('',(#28026),#12298,.T.); #336492=ADVANCED_FACE('',(#28027),#12299,.T.); #336493=ADVANCED_FACE('',(#28028),#12300,.T.); #336494=ADVANCED_FACE('',(#28029),#12301,.T.); #336495=ADVANCED_FACE('',(#28030),#12302,.T.); #336496=ADVANCED_FACE('',(#28031),#12303,.T.); #336497=ADVANCED_FACE('',(#28032),#12304,.T.); #336498=ADVANCED_FACE('',(#28033),#12305,.T.); #336499=ADVANCED_FACE('',(#28034),#12306,.T.); #336500=ADVANCED_FACE('',(#28035),#12307,.T.); #336501=ADVANCED_FACE('',(#28036),#12308,.T.); #336502=ADVANCED_FACE('',(#28037),#12309,.T.); #336503=ADVANCED_FACE('',(#28038),#12310,.T.); #336504=ADVANCED_FACE('',(#28039),#12311,.T.); #336505=ADVANCED_FACE('',(#28040),#12312,.T.); #336506=ADVANCED_FACE('',(#28041),#12313,.T.); #336507=ADVANCED_FACE('',(#28042),#12314,.T.); #336508=ADVANCED_FACE('',(#28043),#12315,.T.); #336509=ADVANCED_FACE('',(#28044),#12316,.T.); #336510=ADVANCED_FACE('',(#28045),#12317,.T.); #336511=ADVANCED_FACE('',(#28046),#12318,.T.); #336512=ADVANCED_FACE('',(#28047),#12319,.T.); #336513=ADVANCED_FACE('',(#28048),#12320,.T.); #336514=ADVANCED_FACE('',(#28049),#12321,.T.); #336515=ADVANCED_FACE('',(#28050),#12322,.T.); #336516=ADVANCED_FACE('',(#28051),#12323,.T.); #336517=ADVANCED_FACE('',(#28052),#12324,.T.); #336518=ADVANCED_FACE('',(#28053),#12325,.T.); #336519=ADVANCED_FACE('',(#28054),#12326,.T.); #336520=ADVANCED_FACE('',(#28055),#12327,.T.); #336521=ADVANCED_FACE('',(#28056),#12328,.T.); #336522=ADVANCED_FACE('',(#28057),#12329,.T.); #336523=ADVANCED_FACE('',(#28058),#12330,.T.); #336524=ADVANCED_FACE('',(#28059),#12331,.T.); #336525=ADVANCED_FACE('',(#28060),#12332,.T.); #336526=ADVANCED_FACE('',(#28061),#12333,.T.); #336527=ADVANCED_FACE('',(#28062),#12334,.T.); #336528=ADVANCED_FACE('',(#28063),#12335,.T.); #336529=ADVANCED_FACE('',(#28064),#12336,.T.); #336530=ADVANCED_FACE('',(#28065),#12337,.T.); #336531=ADVANCED_FACE('',(#28066),#12338,.T.); #336532=ADVANCED_FACE('',(#28067),#12339,.T.); #336533=ADVANCED_FACE('',(#28068),#12340,.T.); #336534=ADVANCED_FACE('',(#28069),#12341,.T.); #336535=ADVANCED_FACE('',(#28070),#12342,.T.); #336536=ADVANCED_FACE('',(#28071),#12343,.T.); #336537=ADVANCED_FACE('',(#28072),#12344,.T.); #336538=ADVANCED_FACE('',(#28073),#12345,.T.); #336539=ADVANCED_FACE('',(#28074),#12346,.T.); #336540=ADVANCED_FACE('',(#28075),#12347,.T.); #336541=ADVANCED_FACE('',(#28076),#12348,.T.); #336542=ADVANCED_FACE('',(#28077),#12349,.T.); #336543=ADVANCED_FACE('',(#28078),#12350,.T.); #336544=ADVANCED_FACE('',(#28079),#12351,.T.); #336545=ADVANCED_FACE('',(#28080),#12352,.T.); #336546=ADVANCED_FACE('',(#28081),#12353,.T.); #336547=ADVANCED_FACE('',(#28082),#12354,.T.); #336548=ADVANCED_FACE('',(#28083),#12355,.T.); #336549=ADVANCED_FACE('',(#28084),#12356,.T.); #336550=ADVANCED_FACE('',(#28085),#12357,.T.); #336551=ADVANCED_FACE('',(#28086),#12358,.T.); #336552=ADVANCED_FACE('',(#28087),#12359,.T.); #336553=ADVANCED_FACE('',(#28088),#12360,.T.); #336554=ADVANCED_FACE('',(#28089),#12361,.T.); #336555=ADVANCED_FACE('',(#28090),#12362,.T.); #336556=ADVANCED_FACE('',(#28091),#12363,.T.); #336557=ADVANCED_FACE('',(#28092),#12364,.T.); #336558=ADVANCED_FACE('',(#28093),#12365,.T.); #336559=ADVANCED_FACE('',(#28094),#12366,.T.); #336560=ADVANCED_FACE('',(#28095),#12367,.T.); #336561=ADVANCED_FACE('',(#28096),#12368,.T.); #336562=ADVANCED_FACE('',(#28097),#12369,.T.); #336563=ADVANCED_FACE('',(#28098),#12370,.T.); #336564=ADVANCED_FACE('',(#28099),#12371,.T.); #336565=ADVANCED_FACE('',(#28100),#12372,.T.); #336566=ADVANCED_FACE('',(#28101),#12373,.T.); #336567=ADVANCED_FACE('',(#28102),#12374,.T.); #336568=ADVANCED_FACE('',(#28103),#12375,.T.); #336569=ADVANCED_FACE('',(#28104),#12376,.T.); #336570=ADVANCED_FACE('',(#28105),#12377,.T.); #336571=ADVANCED_FACE('',(#28106),#12378,.T.); #336572=ADVANCED_FACE('',(#28107),#12379,.T.); #336573=ADVANCED_FACE('',(#28108),#12380,.T.); #336574=ADVANCED_FACE('',(#28109),#12381,.T.); #336575=ADVANCED_FACE('',(#28110),#12382,.T.); #336576=ADVANCED_FACE('',(#28111),#12383,.T.); #336577=ADVANCED_FACE('',(#28112),#12384,.T.); #336578=ADVANCED_FACE('',(#28113),#12385,.T.); #336579=ADVANCED_FACE('',(#28114),#12386,.T.); #336580=ADVANCED_FACE('',(#28115),#12387,.T.); #336581=ADVANCED_FACE('',(#28116,#5178,#5179,#5180,#5181,#5182,#5183,#5184, #5185,#5186,#5187,#5188,#5189,#5190,#5191,#5192,#5193,#5194,#5195,#5196, #5197,#5198,#5199,#5200,#5201,#5202,#5203,#5204,#5205,#5206,#5207,#5208, #5209,#5210,#5211,#5212,#5213,#5214,#5215,#5216,#5217,#5218,#5219,#5220, #5221,#5222,#5223,#5224,#5225,#5226),#12388,.T.); #336582=ADVANCED_FACE('',(#28117,#5227,#5228,#5229,#5230,#5231,#5232,#5233, #5234,#5235,#5236,#5237,#5238,#5239,#5240,#5241,#5242,#5243,#5244,#5245, #5246,#5247,#5248,#5249,#5250,#5251,#5252,#5253,#5254,#5255,#5256,#5257, #5258,#5259,#5260,#5261,#5262,#5263,#5264,#5265,#5266,#5267,#5268,#5269, #5270,#5271,#5272,#5273,#5274,#5275),#12389,.F.); #336583=ADVANCED_FACE('',(#28118),#12390,.T.); #336584=ADVANCED_FACE('',(#28119),#12391,.T.); #336585=ADVANCED_FACE('',(#28120),#12392,.T.); #336586=ADVANCED_FACE('',(#28121),#12393,.T.); #336587=ADVANCED_FACE('',(#28122),#12394,.T.); #336588=ADVANCED_FACE('',(#28123),#12395,.T.); #336589=ADVANCED_FACE('',(#28124),#12396,.T.); #336590=ADVANCED_FACE('',(#28125),#12397,.T.); #336591=ADVANCED_FACE('',(#28126),#12398,.T.); #336592=ADVANCED_FACE('',(#28127),#12399,.T.); #336593=ADVANCED_FACE('',(#28128),#12400,.T.); #336594=ADVANCED_FACE('',(#28129),#12401,.T.); #336595=ADVANCED_FACE('',(#28130),#12402,.T.); #336596=ADVANCED_FACE('',(#28131),#12403,.T.); #336597=ADVANCED_FACE('',(#28132),#12404,.T.); #336598=ADVANCED_FACE('',(#28133),#12405,.T.); #336599=ADVANCED_FACE('',(#28134),#12406,.T.); #336600=ADVANCED_FACE('',(#28135),#12407,.T.); #336601=ADVANCED_FACE('',(#28136),#12408,.T.); #336602=ADVANCED_FACE('',(#28137),#12409,.T.); #336603=ADVANCED_FACE('',(#28138),#12410,.T.); #336604=ADVANCED_FACE('',(#28139),#12411,.T.); #336605=ADVANCED_FACE('',(#28140),#12412,.F.); #336606=ADVANCED_FACE('',(#28141),#12413,.T.); #336607=ADVANCED_FACE('',(#28142),#12414,.T.); #336608=ADVANCED_FACE('',(#28143),#12415,.T.); #336609=ADVANCED_FACE('',(#28144),#12416,.T.); #336610=ADVANCED_FACE('',(#28145),#12417,.T.); #336611=ADVANCED_FACE('',(#28146),#12418,.T.); #336612=ADVANCED_FACE('',(#28147),#12419,.T.); #336613=ADVANCED_FACE('',(#28148),#12420,.T.); #336614=ADVANCED_FACE('',(#28149),#12421,.T.); #336615=ADVANCED_FACE('',(#28150),#12422,.T.); #336616=ADVANCED_FACE('',(#28151),#12423,.T.); #336617=ADVANCED_FACE('',(#28152),#12424,.T.); #336618=ADVANCED_FACE('',(#28153),#12425,.T.); #336619=ADVANCED_FACE('',(#28154),#12426,.T.); #336620=ADVANCED_FACE('',(#28155),#12427,.T.); #336621=ADVANCED_FACE('',(#28156),#12428,.T.); #336622=ADVANCED_FACE('',(#28157),#12429,.T.); #336623=ADVANCED_FACE('',(#28158),#12430,.T.); #336624=ADVANCED_FACE('',(#28159),#12431,.T.); #336625=ADVANCED_FACE('',(#28160),#12432,.T.); #336626=ADVANCED_FACE('',(#28161),#12433,.T.); #336627=ADVANCED_FACE('',(#28162),#12434,.T.); #336628=ADVANCED_FACE('',(#28163),#12435,.F.); #336629=ADVANCED_FACE('',(#28164),#12436,.T.); #336630=ADVANCED_FACE('',(#28165),#12437,.T.); #336631=ADVANCED_FACE('',(#28166),#12438,.T.); #336632=ADVANCED_FACE('',(#28167),#12439,.T.); #336633=ADVANCED_FACE('',(#28168),#12440,.T.); #336634=ADVANCED_FACE('',(#28169),#12441,.T.); #336635=ADVANCED_FACE('',(#28170),#12442,.T.); #336636=ADVANCED_FACE('',(#28171),#12443,.T.); #336637=ADVANCED_FACE('',(#28172),#12444,.T.); #336638=ADVANCED_FACE('',(#28173),#12445,.T.); #336639=ADVANCED_FACE('',(#28174),#12446,.T.); #336640=ADVANCED_FACE('',(#28175),#12447,.T.); #336641=ADVANCED_FACE('',(#28176),#12448,.T.); #336642=ADVANCED_FACE('',(#28177),#12449,.T.); #336643=ADVANCED_FACE('',(#28178),#12450,.T.); #336644=ADVANCED_FACE('',(#28179),#12451,.T.); #336645=ADVANCED_FACE('',(#28180),#12452,.T.); #336646=ADVANCED_FACE('',(#28181),#12453,.T.); #336647=ADVANCED_FACE('',(#28182),#12454,.T.); #336648=ADVANCED_FACE('',(#28183),#12455,.T.); #336649=ADVANCED_FACE('',(#28184),#12456,.F.); #336650=ADVANCED_FACE('',(#28185),#12457,.T.); #336651=ADVANCED_FACE('',(#28186),#12458,.T.); #336652=ADVANCED_FACE('',(#28187),#12459,.T.); #336653=ADVANCED_FACE('',(#28188),#12460,.T.); #336654=ADVANCED_FACE('',(#28189),#12461,.T.); #336655=ADVANCED_FACE('',(#28190),#12462,.T.); #336656=ADVANCED_FACE('',(#28191),#12463,.T.); #336657=ADVANCED_FACE('',(#28192),#12464,.T.); #336658=ADVANCED_FACE('',(#28193),#12465,.T.); #336659=ADVANCED_FACE('',(#28194),#12466,.T.); #336660=ADVANCED_FACE('',(#28195),#12467,.T.); #336661=ADVANCED_FACE('',(#28196),#12468,.T.); #336662=ADVANCED_FACE('',(#28197),#12469,.T.); #336663=ADVANCED_FACE('',(#28198),#12470,.T.); #336664=ADVANCED_FACE('',(#28199),#12471,.T.); #336665=ADVANCED_FACE('',(#28200),#12472,.T.); #336666=ADVANCED_FACE('',(#28201),#12473,.T.); #336667=ADVANCED_FACE('',(#28202),#12474,.T.); #336668=ADVANCED_FACE('',(#28203),#12475,.T.); #336669=ADVANCED_FACE('',(#28204),#12476,.T.); #336670=ADVANCED_FACE('',(#28205),#12477,.F.); #336671=ADVANCED_FACE('',(#28206),#12478,.T.); #336672=ADVANCED_FACE('',(#28207),#12479,.T.); #336673=ADVANCED_FACE('',(#28208),#12480,.T.); #336674=ADVANCED_FACE('',(#28209),#12481,.T.); #336675=ADVANCED_FACE('',(#28210),#12482,.T.); #336676=ADVANCED_FACE('',(#28211),#12483,.T.); #336677=ADVANCED_FACE('',(#28212),#12484,.T.); #336678=ADVANCED_FACE('',(#28213),#12485,.T.); #336679=ADVANCED_FACE('',(#28214),#12486,.T.); #336680=ADVANCED_FACE('',(#28215),#12487,.T.); #336681=ADVANCED_FACE('',(#28216),#12488,.T.); #336682=ADVANCED_FACE('',(#28217),#12489,.T.); #336683=ADVANCED_FACE('',(#28218),#12490,.T.); #336684=ADVANCED_FACE('',(#28219),#12491,.T.); #336685=ADVANCED_FACE('',(#28220),#12492,.T.); #336686=ADVANCED_FACE('',(#28221),#12493,.T.); #336687=ADVANCED_FACE('',(#28222),#12494,.T.); #336688=ADVANCED_FACE('',(#28223),#12495,.T.); #336689=ADVANCED_FACE('',(#28224),#12496,.T.); #336690=ADVANCED_FACE('',(#28225),#12497,.T.); #336691=ADVANCED_FACE('',(#28226),#12498,.F.); #336692=ADVANCED_FACE('',(#28227),#12499,.T.); #336693=ADVANCED_FACE('',(#28228),#12500,.T.); #336694=ADVANCED_FACE('',(#28229),#12501,.T.); #336695=ADVANCED_FACE('',(#28230),#12502,.T.); #336696=ADVANCED_FACE('',(#28231),#12503,.T.); #336697=ADVANCED_FACE('',(#28232),#12504,.T.); #336698=ADVANCED_FACE('',(#28233),#12505,.T.); #336699=ADVANCED_FACE('',(#28234),#12506,.T.); #336700=ADVANCED_FACE('',(#28235),#12507,.T.); #336701=ADVANCED_FACE('',(#28236),#12508,.T.); #336702=ADVANCED_FACE('',(#28237),#12509,.T.); #336703=ADVANCED_FACE('',(#28238),#12510,.T.); #336704=ADVANCED_FACE('',(#28239),#12511,.T.); #336705=ADVANCED_FACE('',(#28240),#12512,.T.); #336706=ADVANCED_FACE('',(#28241),#12513,.T.); #336707=ADVANCED_FACE('',(#28242),#12514,.T.); #336708=ADVANCED_FACE('',(#28243),#12515,.T.); #336709=ADVANCED_FACE('',(#28244),#12516,.T.); #336710=ADVANCED_FACE('',(#28245),#12517,.T.); #336711=ADVANCED_FACE('',(#28246),#12518,.T.); #336712=ADVANCED_FACE('',(#28247),#12519,.T.); #336713=ADVANCED_FACE('',(#28248),#12520,.T.); #336714=ADVANCED_FACE('',(#28249),#12521,.T.); #336715=ADVANCED_FACE('',(#28250),#12522,.T.); #336716=ADVANCED_FACE('',(#28251),#12523,.T.); #336717=ADVANCED_FACE('',(#28252),#12524,.T.); #336718=ADVANCED_FACE('',(#28253),#12525,.T.); #336719=ADVANCED_FACE('',(#28254),#12526,.T.); #336720=ADVANCED_FACE('',(#28255),#12527,.T.); #336721=ADVANCED_FACE('',(#28256),#12528,.T.); #336722=ADVANCED_FACE('',(#28257),#12529,.T.); #336723=ADVANCED_FACE('',(#28258),#12530,.T.); #336724=ADVANCED_FACE('',(#28259),#12531,.T.); #336725=ADVANCED_FACE('',(#28260),#12532,.T.); #336726=ADVANCED_FACE('',(#28261),#12533,.T.); #336727=ADVANCED_FACE('',(#28262),#12534,.T.); #336728=ADVANCED_FACE('',(#28263),#12535,.T.); #336729=ADVANCED_FACE('',(#28264),#12536,.T.); #336730=ADVANCED_FACE('',(#28265),#12537,.F.); #336731=ADVANCED_FACE('',(#28266),#12538,.T.); #336732=ADVANCED_FACE('',(#28267),#12539,.T.); #336733=ADVANCED_FACE('',(#28268),#12540,.T.); #336734=ADVANCED_FACE('',(#28269),#12541,.T.); #336735=ADVANCED_FACE('',(#28270),#12542,.T.); #336736=ADVANCED_FACE('',(#28271),#12543,.T.); #336737=ADVANCED_FACE('',(#28272),#12544,.T.); #336738=ADVANCED_FACE('',(#28273),#12545,.T.); #336739=ADVANCED_FACE('',(#28274),#12546,.T.); #336740=ADVANCED_FACE('',(#28275),#12547,.T.); #336741=ADVANCED_FACE('',(#28276),#12548,.T.); #336742=ADVANCED_FACE('',(#28277),#12549,.T.); #336743=ADVANCED_FACE('',(#28278),#12550,.T.); #336744=ADVANCED_FACE('',(#28279),#12551,.T.); #336745=ADVANCED_FACE('',(#28280),#12552,.T.); #336746=ADVANCED_FACE('',(#28281),#12553,.T.); #336747=ADVANCED_FACE('',(#28282),#12554,.T.); #336748=ADVANCED_FACE('',(#28283),#12555,.T.); #336749=ADVANCED_FACE('',(#28284),#12556,.T.); #336750=ADVANCED_FACE('',(#28285),#12557,.T.); #336751=ADVANCED_FACE('',(#28286),#12558,.T.); #336752=ADVANCED_FACE('',(#28287),#12559,.F.); #336753=ADVANCED_FACE('',(#28288),#12560,.T.); #336754=ADVANCED_FACE('',(#28289),#12561,.T.); #336755=ADVANCED_FACE('',(#28290),#12562,.T.); #336756=ADVANCED_FACE('',(#28291),#12563,.T.); #336757=ADVANCED_FACE('',(#28292),#12564,.T.); #336758=ADVANCED_FACE('',(#28293),#12565,.T.); #336759=ADVANCED_FACE('',(#28294),#12566,.T.); #336760=ADVANCED_FACE('',(#28295),#12567,.T.); #336761=ADVANCED_FACE('',(#28296),#12568,.T.); #336762=ADVANCED_FACE('',(#28297),#12569,.T.); #336763=ADVANCED_FACE('',(#28298),#12570,.T.); #336764=ADVANCED_FACE('',(#28299),#12571,.T.); #336765=ADVANCED_FACE('',(#28300),#12572,.T.); #336766=ADVANCED_FACE('',(#28301),#12573,.T.); #336767=ADVANCED_FACE('',(#28302),#12574,.T.); #336768=ADVANCED_FACE('',(#28303),#12575,.T.); #336769=ADVANCED_FACE('',(#28304),#12576,.T.); #336770=ADVANCED_FACE('',(#28305),#12577,.T.); #336771=ADVANCED_FACE('',(#28306),#12578,.T.); #336772=ADVANCED_FACE('',(#28307),#12579,.T.); #336773=ADVANCED_FACE('',(#28308),#12580,.T.); #336774=ADVANCED_FACE('',(#28309),#12581,.T.); #336775=ADVANCED_FACE('',(#28310),#12582,.T.); #336776=ADVANCED_FACE('',(#28311),#12583,.T.); #336777=ADVANCED_FACE('',(#28312),#12584,.T.); #336778=ADVANCED_FACE('',(#28313),#12585,.T.); #336779=ADVANCED_FACE('',(#28314),#12586,.T.); #336780=ADVANCED_FACE('',(#28315),#12587,.T.); #336781=ADVANCED_FACE('',(#28316),#12588,.T.); #336782=ADVANCED_FACE('',(#28317),#12589,.T.); #336783=ADVANCED_FACE('',(#28318),#12590,.T.); #336784=ADVANCED_FACE('',(#28319),#12591,.T.); #336785=ADVANCED_FACE('',(#28320),#12592,.T.); #336786=ADVANCED_FACE('',(#28321),#12593,.T.); #336787=ADVANCED_FACE('',(#28322),#12594,.T.); #336788=ADVANCED_FACE('',(#28323),#12595,.T.); #336789=ADVANCED_FACE('',(#28324),#12596,.T.); #336790=ADVANCED_FACE('',(#28325),#12597,.T.); #336791=ADVANCED_FACE('',(#28326),#12598,.T.); #336792=ADVANCED_FACE('',(#28327),#12599,.T.); #336793=ADVANCED_FACE('',(#28328),#12600,.T.); #336794=ADVANCED_FACE('',(#28329),#12601,.T.); #336795=ADVANCED_FACE('',(#28330),#12602,.T.); #336796=ADVANCED_FACE('',(#28331),#12603,.T.); #336797=ADVANCED_FACE('',(#28332),#12604,.T.); #336798=ADVANCED_FACE('',(#28333),#12605,.T.); #336799=ADVANCED_FACE('',(#28334),#12606,.T.); #336800=ADVANCED_FACE('',(#28335),#12607,.T.); #336801=ADVANCED_FACE('',(#28336),#12608,.T.); #336802=ADVANCED_FACE('',(#28337),#12609,.F.); #336803=ADVANCED_FACE('',(#28338),#12610,.T.); #336804=ADVANCED_FACE('',(#28339),#12611,.T.); #336805=ADVANCED_FACE('',(#28340),#12612,.T.); #336806=ADVANCED_FACE('',(#28341),#12613,.T.); #336807=ADVANCED_FACE('',(#28342),#12614,.T.); #336808=ADVANCED_FACE('',(#28343),#12615,.T.); #336809=ADVANCED_FACE('',(#28344),#12616,.T.); #336810=ADVANCED_FACE('',(#28345),#12617,.T.); #336811=ADVANCED_FACE('',(#28346),#12618,.T.); #336812=ADVANCED_FACE('',(#28347),#12619,.T.); #336813=ADVANCED_FACE('',(#28348),#12620,.T.); #336814=ADVANCED_FACE('',(#28349),#12621,.T.); #336815=ADVANCED_FACE('',(#28350),#12622,.T.); #336816=ADVANCED_FACE('',(#28351),#12623,.T.); #336817=ADVANCED_FACE('',(#28352),#12624,.T.); #336818=ADVANCED_FACE('',(#28353),#12625,.T.); #336819=ADVANCED_FACE('',(#28354),#12626,.T.); #336820=ADVANCED_FACE('',(#28355),#12627,.T.); #336821=ADVANCED_FACE('',(#28356),#12628,.T.); #336822=ADVANCED_FACE('',(#28357),#12629,.T.); #336823=ADVANCED_FACE('',(#28358),#12630,.T.); #336824=ADVANCED_FACE('',(#28359),#12631,.F.); #336825=ADVANCED_FACE('',(#28360),#12632,.T.); #336826=ADVANCED_FACE('',(#28361),#12633,.T.); #336827=ADVANCED_FACE('',(#28362),#12634,.T.); #336828=ADVANCED_FACE('',(#28363),#12635,.T.); #336829=ADVANCED_FACE('',(#28364),#12636,.T.); #336830=ADVANCED_FACE('',(#28365),#12637,.T.); #336831=ADVANCED_FACE('',(#28366),#12638,.T.); #336832=ADVANCED_FACE('',(#28367),#12639,.T.); #336833=ADVANCED_FACE('',(#28368),#12640,.T.); #336834=ADVANCED_FACE('',(#28369),#12641,.T.); #336835=ADVANCED_FACE('',(#28370),#12642,.T.); #336836=ADVANCED_FACE('',(#28371),#12643,.T.); #336837=ADVANCED_FACE('',(#28372),#12644,.T.); #336838=ADVANCED_FACE('',(#28373),#12645,.T.); #336839=ADVANCED_FACE('',(#28374),#12646,.T.); #336840=ADVANCED_FACE('',(#28375),#12647,.T.); #336841=ADVANCED_FACE('',(#28376),#12648,.T.); #336842=ADVANCED_FACE('',(#28377),#12649,.T.); #336843=ADVANCED_FACE('',(#28378),#12650,.T.); #336844=ADVANCED_FACE('',(#28379),#12651,.T.); #336845=ADVANCED_FACE('',(#28380),#12652,.T.); #336846=ADVANCED_FACE('',(#28381),#12653,.T.); #336847=ADVANCED_FACE('',(#28382),#12654,.T.); #336848=ADVANCED_FACE('',(#28383),#12655,.T.); #336849=ADVANCED_FACE('',(#28384),#12656,.T.); #336850=ADVANCED_FACE('',(#28385),#12657,.T.); #336851=ADVANCED_FACE('',(#28386),#12658,.T.); #336852=ADVANCED_FACE('',(#28387),#12659,.T.); #336853=ADVANCED_FACE('',(#28388),#12660,.T.); #336854=ADVANCED_FACE('',(#28389),#12661,.T.); #336855=ADVANCED_FACE('',(#28390),#12662,.T.); #336856=ADVANCED_FACE('',(#28391),#12663,.T.); #336857=ADVANCED_FACE('',(#28392),#12664,.F.); #336858=ADVANCED_FACE('',(#28393),#12665,.T.); #336859=ADVANCED_FACE('',(#28394),#12666,.T.); #336860=ADVANCED_FACE('',(#28395),#12667,.T.); #336861=ADVANCED_FACE('',(#28396),#12668,.T.); #336862=ADVANCED_FACE('',(#28397),#12669,.T.); #336863=ADVANCED_FACE('',(#28398),#12670,.T.); #336864=ADVANCED_FACE('',(#28399),#12671,.T.); #336865=ADVANCED_FACE('',(#28400),#12672,.T.); #336866=ADVANCED_FACE('',(#28401),#12673,.T.); #336867=ADVANCED_FACE('',(#28402),#12674,.T.); #336868=ADVANCED_FACE('',(#28403),#12675,.T.); #336869=ADVANCED_FACE('',(#28404),#12676,.T.); #336870=ADVANCED_FACE('',(#28405),#12677,.T.); #336871=ADVANCED_FACE('',(#28406),#12678,.T.); #336872=ADVANCED_FACE('',(#28407),#12679,.T.); #336873=ADVANCED_FACE('',(#28408),#12680,.T.); #336874=ADVANCED_FACE('',(#28409),#12681,.T.); #336875=ADVANCED_FACE('',(#28410),#12682,.T.); #336876=ADVANCED_FACE('',(#28411),#12683,.T.); #336877=ADVANCED_FACE('',(#28412),#12684,.T.); #336878=ADVANCED_FACE('',(#28413),#12685,.T.); #336879=ADVANCED_FACE('',(#28414),#12686,.F.); #336880=ADVANCED_FACE('',(#28415),#12687,.T.); #336881=ADVANCED_FACE('',(#28416),#12688,.T.); #336882=ADVANCED_FACE('',(#28417),#12689,.T.); #336883=ADVANCED_FACE('',(#28418),#12690,.T.); #336884=ADVANCED_FACE('',(#28419),#12691,.T.); #336885=ADVANCED_FACE('',(#28420),#12692,.T.); #336886=ADVANCED_FACE('',(#28421),#12693,.T.); #336887=ADVANCED_FACE('',(#28422),#12694,.T.); #336888=ADVANCED_FACE('',(#28423),#12695,.T.); #336889=ADVANCED_FACE('',(#28424),#12696,.T.); #336890=ADVANCED_FACE('',(#28425),#12697,.T.); #336891=ADVANCED_FACE('',(#28426),#12698,.T.); #336892=ADVANCED_FACE('',(#28427),#12699,.T.); #336893=ADVANCED_FACE('',(#28428),#12700,.T.); #336894=ADVANCED_FACE('',(#28429),#12701,.T.); #336895=ADVANCED_FACE('',(#28430),#12702,.T.); #336896=ADVANCED_FACE('',(#28431),#12703,.T.); #336897=ADVANCED_FACE('',(#28432),#12704,.T.); #336898=ADVANCED_FACE('',(#28433),#12705,.T.); #336899=ADVANCED_FACE('',(#28434),#12706,.T.); #336900=ADVANCED_FACE('',(#28435),#12707,.T.); #336901=ADVANCED_FACE('',(#28436),#12708,.T.); #336902=ADVANCED_FACE('',(#28437),#12709,.T.); #336903=ADVANCED_FACE('',(#28438),#12710,.T.); #336904=ADVANCED_FACE('',(#28439),#12711,.T.); #336905=ADVANCED_FACE('',(#28440),#12712,.T.); #336906=ADVANCED_FACE('',(#28441),#12713,.T.); #336907=ADVANCED_FACE('',(#28442),#12714,.T.); #336908=ADVANCED_FACE('',(#28443),#12715,.T.); #336909=ADVANCED_FACE('',(#28444),#12716,.T.); #336910=ADVANCED_FACE('',(#28445),#12717,.T.); #336911=ADVANCED_FACE('',(#28446),#12718,.T.); #336912=ADVANCED_FACE('',(#28447),#12719,.F.); #336913=ADVANCED_FACE('',(#28448),#12720,.T.); #336914=ADVANCED_FACE('',(#28449),#12721,.T.); #336915=ADVANCED_FACE('',(#28450),#12722,.T.); #336916=ADVANCED_FACE('',(#28451),#12723,.T.); #336917=ADVANCED_FACE('',(#28452),#12724,.T.); #336918=ADVANCED_FACE('',(#28453),#12725,.T.); #336919=ADVANCED_FACE('',(#28454),#12726,.T.); #336920=ADVANCED_FACE('',(#28455),#12727,.T.); #336921=ADVANCED_FACE('',(#28456),#12728,.T.); #336922=ADVANCED_FACE('',(#28457),#12729,.T.); #336923=ADVANCED_FACE('',(#28458),#12730,.T.); #336924=ADVANCED_FACE('',(#28459),#12731,.T.); #336925=ADVANCED_FACE('',(#28460),#12732,.T.); #336926=ADVANCED_FACE('',(#28461),#12733,.T.); #336927=ADVANCED_FACE('',(#28462),#12734,.T.); #336928=ADVANCED_FACE('',(#28463),#12735,.T.); #336929=ADVANCED_FACE('',(#28464),#12736,.T.); #336930=ADVANCED_FACE('',(#28465),#12737,.T.); #336931=ADVANCED_FACE('',(#28466),#12738,.T.); #336932=ADVANCED_FACE('',(#28467),#12739,.T.); #336933=ADVANCED_FACE('',(#28468),#12740,.T.); #336934=ADVANCED_FACE('',(#28469),#12741,.T.); #336935=ADVANCED_FACE('',(#28470),#12742,.F.); #336936=ADVANCED_FACE('',(#28471),#12743,.T.); #336937=ADVANCED_FACE('',(#28472),#12744,.T.); #336938=ADVANCED_FACE('',(#28473),#12745,.T.); #336939=ADVANCED_FACE('',(#28474),#12746,.T.); #336940=ADVANCED_FACE('',(#28475),#12747,.T.); #336941=ADVANCED_FACE('',(#28476),#12748,.T.); #336942=ADVANCED_FACE('',(#28477),#12749,.T.); #336943=ADVANCED_FACE('',(#28478),#12750,.T.); #336944=ADVANCED_FACE('',(#28479),#12751,.T.); #336945=ADVANCED_FACE('',(#28480),#12752,.T.); #336946=ADVANCED_FACE('',(#28481),#12753,.T.); #336947=ADVANCED_FACE('',(#28482),#12754,.T.); #336948=ADVANCED_FACE('',(#28483),#12755,.T.); #336949=ADVANCED_FACE('',(#28484),#12756,.T.); #336950=ADVANCED_FACE('',(#28485),#12757,.T.); #336951=ADVANCED_FACE('',(#28486),#12758,.T.); #336952=ADVANCED_FACE('',(#28487),#12759,.T.); #336953=ADVANCED_FACE('',(#28488),#12760,.T.); #336954=ADVANCED_FACE('',(#28489),#12761,.T.); #336955=ADVANCED_FACE('',(#28490),#12762,.T.); #336956=ADVANCED_FACE('',(#28491),#12763,.T.); #336957=ADVANCED_FACE('',(#28492),#12764,.T.); #336958=ADVANCED_FACE('',(#28493),#12765,.T.); #336959=ADVANCED_FACE('',(#28494),#12766,.T.); #336960=ADVANCED_FACE('',(#28495),#12767,.T.); #336961=ADVANCED_FACE('',(#28496),#12768,.T.); #336962=ADVANCED_FACE('',(#28497),#12769,.T.); #336963=ADVANCED_FACE('',(#28498),#12770,.T.); #336964=ADVANCED_FACE('',(#28499),#12771,.T.); #336965=ADVANCED_FACE('',(#28500),#12772,.T.); #336966=ADVANCED_FACE('',(#28501),#12773,.T.); #336967=ADVANCED_FACE('',(#28502),#12774,.T.); #336968=ADVANCED_FACE('',(#28503),#12775,.T.); #336969=ADVANCED_FACE('',(#28504),#12776,.T.); #336970=ADVANCED_FACE('',(#28505),#12777,.T.); #336971=ADVANCED_FACE('',(#28506),#12778,.T.); #336972=ADVANCED_FACE('',(#28507),#12779,.T.); #336973=ADVANCED_FACE('',(#28508),#12780,.T.); #336974=ADVANCED_FACE('',(#28509),#12781,.T.); #336975=ADVANCED_FACE('',(#28510),#12782,.T.); #336976=ADVANCED_FACE('',(#28511),#12783,.T.); #336977=ADVANCED_FACE('',(#28512),#12784,.F.); #336978=ADVANCED_FACE('',(#28513),#12785,.T.); #336979=ADVANCED_FACE('',(#28514),#12786,.T.); #336980=ADVANCED_FACE('',(#28515),#12787,.T.); #336981=ADVANCED_FACE('',(#28516),#12788,.T.); #336982=ADVANCED_FACE('',(#28517),#12789,.T.); #336983=ADVANCED_FACE('',(#28518),#12790,.T.); #336984=ADVANCED_FACE('',(#28519),#12791,.T.); #336985=ADVANCED_FACE('',(#28520),#12792,.T.); #336986=ADVANCED_FACE('',(#28521),#12793,.T.); #336987=ADVANCED_FACE('',(#28522),#12794,.T.); #336988=ADVANCED_FACE('',(#28523),#12795,.T.); #336989=ADVANCED_FACE('',(#28524),#12796,.T.); #336990=ADVANCED_FACE('',(#28525),#12797,.T.); #336991=ADVANCED_FACE('',(#28526),#12798,.T.); #336992=ADVANCED_FACE('',(#28527),#12799,.T.); #336993=ADVANCED_FACE('',(#28528),#12800,.T.); #336994=ADVANCED_FACE('',(#28529),#12801,.T.); #336995=ADVANCED_FACE('',(#28530),#12802,.T.); #336996=ADVANCED_FACE('',(#28531),#12803,.T.); #336997=ADVANCED_FACE('',(#28532),#12804,.T.); #336998=ADVANCED_FACE('',(#28533),#12805,.T.); #336999=ADVANCED_FACE('',(#28534),#12806,.F.); #337000=ADVANCED_FACE('',(#28535),#12807,.T.); #337001=ADVANCED_FACE('',(#28536),#12808,.T.); #337002=ADVANCED_FACE('',(#28537),#12809,.T.); #337003=ADVANCED_FACE('',(#28538),#12810,.T.); #337004=ADVANCED_FACE('',(#28539),#12811,.T.); #337005=ADVANCED_FACE('',(#28540),#12812,.T.); #337006=ADVANCED_FACE('',(#28541),#12813,.T.); #337007=ADVANCED_FACE('',(#28542),#12814,.T.); #337008=ADVANCED_FACE('',(#28543),#12815,.T.); #337009=ADVANCED_FACE('',(#28544),#12816,.T.); #337010=ADVANCED_FACE('',(#28545),#12817,.T.); #337011=ADVANCED_FACE('',(#28546),#12818,.T.); #337012=ADVANCED_FACE('',(#28547),#12819,.T.); #337013=ADVANCED_FACE('',(#28548),#12820,.T.); #337014=ADVANCED_FACE('',(#28549),#12821,.T.); #337015=ADVANCED_FACE('',(#28550),#12822,.T.); #337016=ADVANCED_FACE('',(#28551),#12823,.T.); #337017=ADVANCED_FACE('',(#28552),#12824,.T.); #337018=ADVANCED_FACE('',(#28553),#12825,.T.); #337019=ADVANCED_FACE('',(#28554),#12826,.T.); #337020=ADVANCED_FACE('',(#28555),#12827,.T.); #337021=ADVANCED_FACE('',(#28556),#12828,.F.); #337022=ADVANCED_FACE('',(#28557),#12829,.T.); #337023=ADVANCED_FACE('',(#28558),#12830,.T.); #337024=ADVANCED_FACE('',(#28559),#12831,.T.); #337025=ADVANCED_FACE('',(#28560),#12832,.T.); #337026=ADVANCED_FACE('',(#28561),#12833,.T.); #337027=ADVANCED_FACE('',(#28562),#12834,.T.); #337028=ADVANCED_FACE('',(#28563),#12835,.T.); #337029=ADVANCED_FACE('',(#28564),#12836,.T.); #337030=ADVANCED_FACE('',(#28565),#12837,.T.); #337031=ADVANCED_FACE('',(#28566),#12838,.T.); #337032=ADVANCED_FACE('',(#28567),#12839,.T.); #337033=ADVANCED_FACE('',(#28568),#12840,.T.); #337034=ADVANCED_FACE('',(#28569),#12841,.T.); #337035=ADVANCED_FACE('',(#28570),#12842,.T.); #337036=ADVANCED_FACE('',(#28571),#12843,.T.); #337037=ADVANCED_FACE('',(#28572),#12844,.T.); #337038=ADVANCED_FACE('',(#28573),#12845,.T.); #337039=ADVANCED_FACE('',(#28574),#12846,.T.); #337040=ADVANCED_FACE('',(#28575),#12847,.T.); #337041=ADVANCED_FACE('',(#28576),#12848,.T.); #337042=ADVANCED_FACE('',(#28577),#12849,.T.); #337043=ADVANCED_FACE('',(#28578),#12850,.F.); #337044=ADVANCED_FACE('',(#28579),#12851,.T.); #337045=ADVANCED_FACE('',(#28580),#12852,.T.); #337046=ADVANCED_FACE('',(#28581),#12853,.T.); #337047=ADVANCED_FACE('',(#28582),#12854,.T.); #337048=ADVANCED_FACE('',(#28583),#12855,.T.); #337049=ADVANCED_FACE('',(#28584),#12856,.T.); #337050=ADVANCED_FACE('',(#28585),#12857,.T.); #337051=ADVANCED_FACE('',(#28586),#12858,.T.); #337052=ADVANCED_FACE('',(#28587),#12859,.T.); #337053=ADVANCED_FACE('',(#28588),#12860,.T.); #337054=ADVANCED_FACE('',(#28589),#12861,.T.); #337055=ADVANCED_FACE('',(#28590),#12862,.T.); #337056=ADVANCED_FACE('',(#28591),#12863,.T.); #337057=ADVANCED_FACE('',(#28592),#12864,.T.); #337058=ADVANCED_FACE('',(#28593),#12865,.T.); #337059=ADVANCED_FACE('',(#28594),#12866,.T.); #337060=ADVANCED_FACE('',(#28595),#12867,.T.); #337061=ADVANCED_FACE('',(#28596),#12868,.T.); #337062=ADVANCED_FACE('',(#28597),#12869,.T.); #337063=ADVANCED_FACE('',(#28598),#12870,.T.); #337064=ADVANCED_FACE('',(#28599),#12871,.T.); #337065=ADVANCED_FACE('',(#28600),#12872,.T.); #337066=ADVANCED_FACE('',(#28601),#12873,.T.); #337067=ADVANCED_FACE('',(#28602),#12874,.T.); #337068=ADVANCED_FACE('',(#28603),#12875,.T.); #337069=ADVANCED_FACE('',(#28604),#12876,.T.); #337070=ADVANCED_FACE('',(#28605),#12877,.T.); #337071=ADVANCED_FACE('',(#28606),#12878,.T.); #337072=ADVANCED_FACE('',(#28607),#12879,.T.); #337073=ADVANCED_FACE('',(#28608),#12880,.T.); #337074=ADVANCED_FACE('',(#28609),#12881,.T.); #337075=ADVANCED_FACE('',(#28610),#12882,.T.); #337076=ADVANCED_FACE('',(#28611),#12883,.T.); #337077=ADVANCED_FACE('',(#28612),#12884,.T.); #337078=ADVANCED_FACE('',(#28613),#12885,.T.); #337079=ADVANCED_FACE('',(#28614),#12886,.T.); #337080=ADVANCED_FACE('',(#28615),#12887,.T.); #337081=ADVANCED_FACE('',(#28616),#12888,.T.); #337082=ADVANCED_FACE('',(#28617),#12889,.T.); #337083=ADVANCED_FACE('',(#28618),#12890,.T.); #337084=ADVANCED_FACE('',(#28619),#12891,.T.); #337085=ADVANCED_FACE('',(#28620),#12892,.F.); #337086=ADVANCED_FACE('',(#28621),#327931,.F.); #337087=ADVANCED_FACE('',(#28622),#12893,.F.); #337088=ADVANCED_FACE('',(#28623),#327932,.F.); #337089=ADVANCED_FACE('',(#28624),#12894,.F.); #337090=ADVANCED_FACE('',(#28625),#327933,.F.); #337091=ADVANCED_FACE('',(#28626),#12895,.T.); #337092=ADVANCED_FACE('',(#28627),#12896,.T.); #337093=ADVANCED_FACE('',(#28628),#12897,.T.); #337094=ADVANCED_FACE('',(#28629),#12898,.T.); #337095=ADVANCED_FACE('',(#28630),#12899,.T.); #337096=ADVANCED_FACE('',(#28631),#12900,.T.); #337097=ADVANCED_FACE('',(#28632),#12901,.T.); #337098=ADVANCED_FACE('',(#28633),#12902,.T.); #337099=ADVANCED_FACE('',(#28634),#12903,.T.); #337100=ADVANCED_FACE('',(#28635),#12904,.T.); #337101=ADVANCED_FACE('',(#28636),#12905,.T.); #337102=ADVANCED_FACE('',(#28637),#12906,.T.); #337103=ADVANCED_FACE('',(#28638),#12907,.T.); #337104=ADVANCED_FACE('',(#28639),#12908,.T.); #337105=ADVANCED_FACE('',(#28640),#12909,.T.); #337106=ADVANCED_FACE('',(#28641),#12910,.T.); #337107=ADVANCED_FACE('',(#28642),#12911,.T.); #337108=ADVANCED_FACE('',(#28643),#12912,.T.); #337109=ADVANCED_FACE('',(#28644),#12913,.T.); #337110=ADVANCED_FACE('',(#28645),#12914,.T.); #337111=ADVANCED_FACE('',(#28646),#12915,.T.); #337112=ADVANCED_FACE('',(#28647),#12916,.T.); #337113=ADVANCED_FACE('',(#28648),#12917,.T.); #337114=ADVANCED_FACE('',(#28649),#12918,.T.); #337115=ADVANCED_FACE('',(#28650),#12919,.T.); #337116=ADVANCED_FACE('',(#28651),#12920,.T.); #337117=ADVANCED_FACE('',(#28652),#12921,.T.); #337118=ADVANCED_FACE('',(#28653),#12922,.T.); #337119=ADVANCED_FACE('',(#28654),#12923,.T.); #337120=ADVANCED_FACE('',(#28655),#12924,.T.); #337121=ADVANCED_FACE('',(#28656),#12925,.T.); #337122=ADVANCED_FACE('',(#28657),#12926,.T.); #337123=ADVANCED_FACE('',(#28658),#12927,.T.); #337124=ADVANCED_FACE('',(#28659),#12928,.T.); #337125=ADVANCED_FACE('',(#28660),#12929,.T.); #337126=ADVANCED_FACE('',(#28661),#12930,.T.); #337127=ADVANCED_FACE('',(#28662),#12931,.T.); #337128=ADVANCED_FACE('',(#28663),#12932,.T.); #337129=ADVANCED_FACE('',(#28664),#12933,.T.); #337130=ADVANCED_FACE('',(#28665),#12934,.T.); #337131=ADVANCED_FACE('',(#28666),#12935,.T.); #337132=ADVANCED_FACE('',(#28667),#12936,.T.); #337133=ADVANCED_FACE('',(#28668),#12937,.T.); #337134=ADVANCED_FACE('',(#28669),#12938,.T.); #337135=ADVANCED_FACE('',(#28670),#12939,.T.); #337136=ADVANCED_FACE('',(#28671),#12940,.T.); #337137=ADVANCED_FACE('',(#28672),#12941,.T.); #337138=ADVANCED_FACE('',(#28673),#12942,.T.); #337139=ADVANCED_FACE('',(#28674),#12943,.T.); #337140=ADVANCED_FACE('',(#28675),#12944,.T.); #337141=ADVANCED_FACE('',(#28676),#12945,.T.); #337142=ADVANCED_FACE('',(#28677),#12946,.T.); #337143=ADVANCED_FACE('',(#28678),#12947,.T.); #337144=ADVANCED_FACE('',(#28679),#12948,.T.); #337145=ADVANCED_FACE('',(#28680),#12949,.T.); #337146=ADVANCED_FACE('',(#28681),#12950,.T.); #337147=ADVANCED_FACE('',(#28682),#12951,.T.); #337148=ADVANCED_FACE('',(#28683),#12952,.T.); #337149=ADVANCED_FACE('',(#28684),#12953,.T.); #337150=ADVANCED_FACE('',(#28685),#12954,.T.); #337151=ADVANCED_FACE('',(#28686),#12955,.T.); #337152=ADVANCED_FACE('',(#28687),#12956,.T.); #337153=ADVANCED_FACE('',(#28688),#12957,.T.); #337154=ADVANCED_FACE('',(#28689),#12958,.T.); #337155=ADVANCED_FACE('',(#28690),#12959,.T.); #337156=ADVANCED_FACE('',(#28691),#12960,.T.); #337157=ADVANCED_FACE('',(#28692),#12961,.T.); #337158=ADVANCED_FACE('',(#28693),#12962,.T.); #337159=ADVANCED_FACE('',(#28694),#12963,.T.); #337160=ADVANCED_FACE('',(#28695),#12964,.T.); #337161=ADVANCED_FACE('',(#28696),#12965,.T.); #337162=ADVANCED_FACE('',(#28697),#12966,.T.); #337163=ADVANCED_FACE('',(#28698),#12967,.T.); #337164=ADVANCED_FACE('',(#28699),#12968,.T.); #337165=ADVANCED_FACE('',(#28700),#12969,.T.); #337166=ADVANCED_FACE('',(#28701),#12970,.T.); #337167=ADVANCED_FACE('',(#28702),#12971,.T.); #337168=ADVANCED_FACE('',(#28703),#12972,.T.); #337169=ADVANCED_FACE('',(#28704),#12973,.T.); #337170=ADVANCED_FACE('',(#28705),#12974,.T.); #337171=ADVANCED_FACE('',(#28706),#12975,.T.); #337172=ADVANCED_FACE('',(#28707),#12976,.T.); #337173=ADVANCED_FACE('',(#28708),#12977,.T.); #337174=ADVANCED_FACE('',(#28709),#12978,.T.); #337175=ADVANCED_FACE('',(#28710),#12979,.T.); #337176=ADVANCED_FACE('',(#28711),#12980,.T.); #337177=ADVANCED_FACE('',(#28712),#12981,.T.); #337178=ADVANCED_FACE('',(#28713),#12982,.T.); #337179=ADVANCED_FACE('',(#28714),#12983,.T.); #337180=ADVANCED_FACE('',(#28715),#12984,.T.); #337181=ADVANCED_FACE('',(#28716),#12985,.T.); #337182=ADVANCED_FACE('',(#28717),#12986,.T.); #337183=ADVANCED_FACE('',(#28718),#12987,.T.); #337184=ADVANCED_FACE('',(#28719,#5276,#5277),#12988,.T.); #337185=ADVANCED_FACE('',(#28720,#5278,#5279),#12989,.F.); #337186=ADVANCED_FACE('',(#28721),#12990,.T.); #337187=ADVANCED_FACE('',(#28722),#12991,.T.); #337188=ADVANCED_FACE('',(#28723),#12992,.T.); #337189=ADVANCED_FACE('',(#28724),#12993,.T.); #337190=ADVANCED_FACE('',(#28725),#12994,.T.); #337191=ADVANCED_FACE('',(#28726),#12995,.T.); #337192=ADVANCED_FACE('',(#28727),#12996,.T.); #337193=ADVANCED_FACE('',(#28728),#12997,.T.); #337194=ADVANCED_FACE('',(#28729),#12998,.T.); #337195=ADVANCED_FACE('',(#28730),#12999,.T.); #337196=ADVANCED_FACE('',(#28731),#13000,.T.); #337197=ADVANCED_FACE('',(#28732),#13001,.T.); #337198=ADVANCED_FACE('',(#28733),#13002,.T.); #337199=ADVANCED_FACE('',(#28734),#13003,.T.); #337200=ADVANCED_FACE('',(#28735),#13004,.T.); #337201=ADVANCED_FACE('',(#28736),#13005,.T.); #337202=ADVANCED_FACE('',(#28737),#13006,.T.); #337203=ADVANCED_FACE('',(#28738),#13007,.T.); #337204=ADVANCED_FACE('',(#28739),#13008,.T.); #337205=ADVANCED_FACE('',(#28740),#13009,.T.); #337206=ADVANCED_FACE('',(#28741),#13010,.T.); #337207=ADVANCED_FACE('',(#28742),#13011,.F.); #337208=ADVANCED_FACE('',(#28743),#327934,.F.); #337209=ADVANCED_FACE('',(#28744),#327935,.F.); #337210=ADVANCED_FACE('',(#28745),#327936,.F.); #337211=ADVANCED_FACE('',(#28746),#327937,.F.); #337212=ADVANCED_FACE('',(#28747),#327938,.F.); #337213=ADVANCED_FACE('',(#28748),#327939,.F.); #337214=ADVANCED_FACE('',(#28749),#327940,.F.); #337215=ADVANCED_FACE('',(#28750),#327941,.F.); #337216=ADVANCED_FACE('',(#28751),#327942,.F.); #337217=ADVANCED_FACE('',(#28752),#327943,.F.); #337218=ADVANCED_FACE('',(#28753),#327944,.F.); #337219=ADVANCED_FACE('',(#28754),#327945,.F.); #337220=ADVANCED_FACE('',(#28755),#327946,.F.); #337221=ADVANCED_FACE('',(#28756),#327947,.F.); #337222=ADVANCED_FACE('',(#28757),#327948,.F.); #337223=ADVANCED_FACE('',(#28758),#13012,.T.); #337224=ADVANCED_FACE('',(#28759),#13013,.T.); #337225=ADVANCED_FACE('',(#28760),#13014,.T.); #337226=ADVANCED_FACE('',(#28761),#13015,.T.); #337227=ADVANCED_FACE('',(#28762),#13016,.T.); #337228=ADVANCED_FACE('',(#28763),#13017,.T.); #337229=ADVANCED_FACE('',(#28764),#13018,.T.); #337230=ADVANCED_FACE('',(#28765),#13019,.T.); #337231=ADVANCED_FACE('',(#28766),#13020,.T.); #337232=ADVANCED_FACE('',(#28767),#13021,.T.); #337233=ADVANCED_FACE('',(#28768),#13022,.T.); #337234=ADVANCED_FACE('',(#28769),#13023,.T.); #337235=ADVANCED_FACE('',(#28770),#13024,.T.); #337236=ADVANCED_FACE('',(#28771),#13025,.T.); #337237=ADVANCED_FACE('',(#28772),#13026,.T.); #337238=ADVANCED_FACE('',(#28773),#13027,.T.); #337239=ADVANCED_FACE('',(#28774),#13028,.T.); #337240=ADVANCED_FACE('',(#28775),#13029,.T.); #337241=ADVANCED_FACE('',(#28776),#13030,.T.); #337242=ADVANCED_FACE('',(#28777),#13031,.T.); #337243=ADVANCED_FACE('',(#28778),#13032,.T.); #337244=ADVANCED_FACE('',(#28779),#13033,.T.); #337245=ADVANCED_FACE('',(#28780),#13034,.T.); #337246=ADVANCED_FACE('',(#28781),#13035,.T.); #337247=ADVANCED_FACE('',(#28782),#13036,.T.); #337248=ADVANCED_FACE('',(#28783),#13037,.T.); #337249=ADVANCED_FACE('',(#28784),#13038,.T.); #337250=ADVANCED_FACE('',(#28785),#13039,.T.); #337251=ADVANCED_FACE('',(#28786),#13040,.T.); #337252=ADVANCED_FACE('',(#28787),#13041,.T.); #337253=ADVANCED_FACE('',(#28788),#13042,.T.); #337254=ADVANCED_FACE('',(#28789),#13043,.T.); #337255=ADVANCED_FACE('',(#28790),#13044,.T.); #337256=ADVANCED_FACE('',(#28791),#13045,.T.); #337257=ADVANCED_FACE('',(#28792),#13046,.T.); #337258=ADVANCED_FACE('',(#28793),#13047,.T.); #337259=ADVANCED_FACE('',(#28794),#13048,.T.); #337260=ADVANCED_FACE('',(#28795),#13049,.T.); #337261=ADVANCED_FACE('',(#28796),#13050,.T.); #337262=ADVANCED_FACE('',(#28797),#13051,.T.); #337263=ADVANCED_FACE('',(#28798),#13052,.T.); #337264=ADVANCED_FACE('',(#28799,#5280,#5281,#5282,#5283,#5284,#5285,#5286, #5287,#5288,#5289,#5290,#5291,#5292,#5293,#5294),#13053,.T.); #337265=ADVANCED_FACE('',(#28800,#5295,#5296,#5297,#5298,#5299,#5300,#5301, #5302,#5303,#5304,#5305,#5306,#5307,#5308,#5309),#13054,.F.); #337266=ADVANCED_FACE('',(#28801),#327949,.F.); #337267=ADVANCED_FACE('',(#28802),#13055,.T.); #337268=ADVANCED_FACE('',(#28803),#13056,.T.); #337269=ADVANCED_FACE('',(#28804),#13057,.T.); #337270=ADVANCED_FACE('',(#28805),#13058,.T.); #337271=ADVANCED_FACE('',(#28806),#13059,.T.); #337272=ADVANCED_FACE('',(#28807),#327950,.T.); #337273=ADVANCED_FACE('',(#28808),#13060,.T.); #337274=ADVANCED_FACE('',(#28809),#13061,.T.); #337275=ADVANCED_FACE('',(#28810),#327951,.T.); #337276=ADVANCED_FACE('',(#28811),#13062,.T.); #337277=ADVANCED_FACE('',(#28812),#13063,.T.); #337278=ADVANCED_FACE('',(#28813),#13064,.T.); #337279=ADVANCED_FACE('',(#28814),#13065,.T.); #337280=ADVANCED_FACE('',(#28815),#13066,.T.); #337281=ADVANCED_FACE('',(#28816),#13067,.T.); #337282=ADVANCED_FACE('',(#28817),#13068,.T.); #337283=ADVANCED_FACE('',(#28818),#13069,.T.); #337284=ADVANCED_FACE('',(#28819),#13070,.T.); #337285=ADVANCED_FACE('',(#28820),#13071,.T.); #337286=ADVANCED_FACE('',(#28821),#13072,.T.); #337287=ADVANCED_FACE('',(#28822),#13073,.T.); #337288=ADVANCED_FACE('',(#28823),#13074,.T.); #337289=ADVANCED_FACE('',(#28824),#13075,.T.); #337290=ADVANCED_FACE('',(#28825),#13076,.T.); #337291=ADVANCED_FACE('',(#28826),#13077,.T.); #337292=ADVANCED_FACE('',(#28827),#327952,.T.); #337293=ADVANCED_FACE('',(#28828),#13078,.T.); #337294=ADVANCED_FACE('',(#28829),#327953,.T.); #337295=ADVANCED_FACE('',(#28830),#13079,.T.); #337296=ADVANCED_FACE('',(#28831),#327954,.T.); #337297=ADVANCED_FACE('',(#28832),#13080,.T.); #337298=ADVANCED_FACE('',(#28833),#13081,.T.); #337299=ADVANCED_FACE('',(#28834),#13082,.T.); #337300=ADVANCED_FACE('',(#28835),#327955,.T.); #337301=ADVANCED_FACE('',(#28836),#13083,.T.); #337302=ADVANCED_FACE('',(#28837),#327956,.T.); #337303=ADVANCED_FACE('',(#28838),#13084,.T.); #337304=ADVANCED_FACE('',(#28839),#13085,.T.); #337305=ADVANCED_FACE('',(#28840),#327957,.T.); #337306=ADVANCED_FACE('',(#28841),#13086,.T.); #337307=ADVANCED_FACE('',(#28842),#13087,.T.); #337308=ADVANCED_FACE('',(#28843),#13088,.T.); #337309=ADVANCED_FACE('',(#28844,#5310),#13089,.T.); #337310=ADVANCED_FACE('',(#28845,#5311),#13090,.F.); #337311=ADVANCED_FACE('',(#28846),#327958,.F.); #337312=ADVANCED_FACE('',(#28847),#327959,.F.); #337313=ADVANCED_FACE('',(#28848),#327960,.F.); #337314=ADVANCED_FACE('',(#28849),#327961,.F.); #337315=ADVANCED_FACE('',(#28850),#327962,.F.); #337316=ADVANCED_FACE('',(#28851),#327963,.F.); #337317=ADVANCED_FACE('',(#28852),#327964,.F.); #337318=ADVANCED_FACE('',(#28853),#327965,.F.); #337319=ADVANCED_FACE('',(#28854),#327966,.F.); #337320=ADVANCED_FACE('',(#28855),#327967,.F.); #337321=ADVANCED_FACE('',(#28856),#327968,.F.); #337322=ADVANCED_FACE('',(#28857),#327969,.F.); #337323=ADVANCED_FACE('',(#28858),#327970,.F.); #337324=ADVANCED_FACE('',(#28859),#327971,.F.); #337325=ADVANCED_FACE('',(#28860),#327972,.F.); #337326=ADVANCED_FACE('',(#28861),#13091,.T.); #337327=ADVANCED_FACE('',(#28862),#13092,.T.); #337328=ADVANCED_FACE('',(#28863),#13093,.T.); #337329=ADVANCED_FACE('',(#28864),#13094,.T.); #337330=ADVANCED_FACE('',(#28865),#13095,.T.); #337331=ADVANCED_FACE('',(#28866),#13096,.T.); #337332=ADVANCED_FACE('',(#28867),#13097,.T.); #337333=ADVANCED_FACE('',(#28868),#13098,.T.); #337334=ADVANCED_FACE('',(#28869),#13099,.T.); #337335=ADVANCED_FACE('',(#28870),#13100,.T.); #337336=ADVANCED_FACE('',(#28871),#13101,.T.); #337337=ADVANCED_FACE('',(#28872),#13102,.T.); #337338=ADVANCED_FACE('',(#28873),#13103,.T.); #337339=ADVANCED_FACE('',(#28874),#13104,.T.); #337340=ADVANCED_FACE('',(#28875),#13105,.T.); #337341=ADVANCED_FACE('',(#28876),#13106,.T.); #337342=ADVANCED_FACE('',(#28877),#13107,.T.); #337343=ADVANCED_FACE('',(#28878),#13108,.T.); #337344=ADVANCED_FACE('',(#28879),#13109,.T.); #337345=ADVANCED_FACE('',(#28880),#13110,.T.); #337346=ADVANCED_FACE('',(#28881),#13111,.T.); #337347=ADVANCED_FACE('',(#28882),#13112,.T.); #337348=ADVANCED_FACE('',(#28883),#13113,.T.); #337349=ADVANCED_FACE('',(#28884),#13114,.T.); #337350=ADVANCED_FACE('',(#28885),#13115,.T.); #337351=ADVANCED_FACE('',(#28886),#13116,.T.); #337352=ADVANCED_FACE('',(#28887),#13117,.T.); #337353=ADVANCED_FACE('',(#28888),#13118,.T.); #337354=ADVANCED_FACE('',(#28889),#13119,.T.); #337355=ADVANCED_FACE('',(#28890),#13120,.T.); #337356=ADVANCED_FACE('',(#28891),#13121,.T.); #337357=ADVANCED_FACE('',(#28892),#13122,.T.); #337358=ADVANCED_FACE('',(#28893),#13123,.T.); #337359=ADVANCED_FACE('',(#28894),#13124,.T.); #337360=ADVANCED_FACE('',(#28895),#13125,.T.); #337361=ADVANCED_FACE('',(#28896),#13126,.T.); #337362=ADVANCED_FACE('',(#28897),#13127,.T.); #337363=ADVANCED_FACE('',(#28898),#13128,.T.); #337364=ADVANCED_FACE('',(#28899),#13129,.T.); #337365=ADVANCED_FACE('',(#28900),#13130,.T.); #337366=ADVANCED_FACE('',(#28901),#13131,.T.); #337367=ADVANCED_FACE('',(#28902),#13132,.T.); #337368=ADVANCED_FACE('',(#28903),#13133,.T.); #337369=ADVANCED_FACE('',(#28904),#13134,.T.); #337370=ADVANCED_FACE('',(#28905),#13135,.T.); #337371=ADVANCED_FACE('',(#28906),#13136,.T.); #337372=ADVANCED_FACE('',(#28907),#13137,.T.); #337373=ADVANCED_FACE('',(#28908),#13138,.T.); #337374=ADVANCED_FACE('',(#28909),#13139,.T.); #337375=ADVANCED_FACE('',(#28910,#5312,#5313,#5314,#5315,#5316,#5317,#5318, #5319,#5320,#5321,#5322,#5323,#5324,#5325,#5326),#13140,.T.); #337376=ADVANCED_FACE('',(#28911,#5327,#5328,#5329,#5330,#5331,#5332,#5333, #5334,#5335,#5336,#5337,#5338,#5339,#5340,#5341),#13141,.F.); #337377=ADVANCED_FACE('',(#28912),#327973,.F.); #337378=ADVANCED_FACE('',(#28913),#13142,.T.); #337379=ADVANCED_FACE('',(#28914),#13143,.T.); #337380=ADVANCED_FACE('',(#28915),#13144,.T.); #337381=ADVANCED_FACE('',(#28916),#13145,.T.); #337382=ADVANCED_FACE('',(#28917),#13146,.T.); #337383=ADVANCED_FACE('',(#28918),#13147,.T.); #337384=ADVANCED_FACE('',(#28919),#327974,.T.); #337385=ADVANCED_FACE('',(#28920),#13148,.T.); #337386=ADVANCED_FACE('',(#28921),#13149,.T.); #337387=ADVANCED_FACE('',(#28922),#327975,.T.); #337388=ADVANCED_FACE('',(#28923),#13150,.T.); #337389=ADVANCED_FACE('',(#28924),#327976,.T.); #337390=ADVANCED_FACE('',(#28925),#13151,.T.); #337391=ADVANCED_FACE('',(#28926),#13152,.T.); #337392=ADVANCED_FACE('',(#28927),#13153,.T.); #337393=ADVANCED_FACE('',(#28928),#327977,.T.); #337394=ADVANCED_FACE('',(#28929),#13154,.T.); #337395=ADVANCED_FACE('',(#28930),#327978,.T.); #337396=ADVANCED_FACE('',(#28931),#13155,.T.); #337397=ADVANCED_FACE('',(#28932),#327979,.T.); #337398=ADVANCED_FACE('',(#28933),#13156,.T.); #337399=ADVANCED_FACE('',(#28934),#13157,.T.); #337400=ADVANCED_FACE('',(#28935),#13158,.T.); #337401=ADVANCED_FACE('',(#28936),#13159,.T.); #337402=ADVANCED_FACE('',(#28937),#13160,.T.); #337403=ADVANCED_FACE('',(#28938),#13161,.T.); #337404=ADVANCED_FACE('',(#28939),#13162,.T.); #337405=ADVANCED_FACE('',(#28940),#13163,.T.); #337406=ADVANCED_FACE('',(#28941),#13164,.T.); #337407=ADVANCED_FACE('',(#28942),#13165,.T.); #337408=ADVANCED_FACE('',(#28943),#13166,.T.); #337409=ADVANCED_FACE('',(#28944),#13167,.T.); #337410=ADVANCED_FACE('',(#28945),#13168,.T.); #337411=ADVANCED_FACE('',(#28946),#13169,.T.); #337412=ADVANCED_FACE('',(#28947),#13170,.T.); #337413=ADVANCED_FACE('',(#28948),#13171,.T.); #337414=ADVANCED_FACE('',(#28949),#327980,.T.); #337415=ADVANCED_FACE('',(#28950),#13172,.T.); #337416=ADVANCED_FACE('',(#28951),#13173,.T.); #337417=ADVANCED_FACE('',(#28952),#327981,.T.); #337418=ADVANCED_FACE('',(#28953),#13174,.T.); #337419=ADVANCED_FACE('',(#28954),#13175,.T.); #337420=ADVANCED_FACE('',(#28955,#5342),#13176,.T.); #337421=ADVANCED_FACE('',(#28956,#5343),#13177,.F.); #337422=ADVANCED_FACE('',(#28957),#327982,.F.); #337423=ADVANCED_FACE('',(#28958),#327983,.F.); #337424=ADVANCED_FACE('',(#28959),#327984,.F.); #337425=ADVANCED_FACE('',(#28960),#327985,.F.); #337426=ADVANCED_FACE('',(#28961),#327986,.F.); #337427=ADVANCED_FACE('',(#28962),#327987,.F.); #337428=ADVANCED_FACE('',(#28963),#327988,.F.); #337429=ADVANCED_FACE('',(#28964),#327989,.F.); #337430=ADVANCED_FACE('',(#28965),#327990,.F.); #337431=ADVANCED_FACE('',(#28966),#327991,.F.); #337432=ADVANCED_FACE('',(#28967),#327992,.F.); #337433=ADVANCED_FACE('',(#28968),#327993,.F.); #337434=ADVANCED_FACE('',(#28969),#327994,.F.); #337435=ADVANCED_FACE('',(#28970),#327995,.F.); #337436=ADVANCED_FACE('',(#28971),#327996,.F.); #337437=ADVANCED_FACE('',(#28972),#327997,.F.); #337438=ADVANCED_FACE('',(#28973),#327998,.F.); #337439=ADVANCED_FACE('',(#28974),#327999,.F.); #337440=ADVANCED_FACE('',(#28975),#328000,.F.); #337441=ADVANCED_FACE('',(#28976),#328001,.F.); #337442=ADVANCED_FACE('',(#28977),#328002,.F.); #337443=ADVANCED_FACE('',(#28978),#328003,.F.); #337444=ADVANCED_FACE('',(#28979),#328004,.F.); #337445=ADVANCED_FACE('',(#28980),#328005,.F.); #337446=ADVANCED_FACE('',(#28981),#328006,.F.); #337447=ADVANCED_FACE('',(#28982),#328007,.F.); #337448=ADVANCED_FACE('',(#28983),#328008,.F.); #337449=ADVANCED_FACE('',(#28984),#328009,.F.); #337450=ADVANCED_FACE('',(#28985),#328010,.F.); #337451=ADVANCED_FACE('',(#28986),#328011,.F.); #337452=ADVANCED_FACE('',(#28987),#328012,.F.); #337453=ADVANCED_FACE('',(#28988),#13178,.T.); #337454=ADVANCED_FACE('',(#28989),#328013,.T.); #337455=ADVANCED_FACE('',(#28990),#13179,.T.); #337456=ADVANCED_FACE('',(#28991),#13180,.T.); #337457=ADVANCED_FACE('',(#28992),#13181,.T.); #337458=ADVANCED_FACE('',(#28993),#328014,.T.); #337459=ADVANCED_FACE('',(#28994),#13182,.T.); #337460=ADVANCED_FACE('',(#28995),#328015,.T.); #337461=ADVANCED_FACE('',(#28996),#13183,.T.); #337462=ADVANCED_FACE('',(#28997),#13184,.T.); #337463=ADVANCED_FACE('',(#28998),#13185,.T.); #337464=ADVANCED_FACE('',(#28999),#13186,.T.); #337465=ADVANCED_FACE('',(#29000),#13187,.T.); #337466=ADVANCED_FACE('',(#29001),#13188,.T.); #337467=ADVANCED_FACE('',(#29002),#13189,.T.); #337468=ADVANCED_FACE('',(#29003),#13190,.T.); #337469=ADVANCED_FACE('',(#29004),#13191,.T.); #337470=ADVANCED_FACE('',(#29005),#13192,.T.); #337471=ADVANCED_FACE('',(#29006),#13193,.T.); #337472=ADVANCED_FACE('',(#29007),#13194,.T.); #337473=ADVANCED_FACE('',(#29008),#13195,.T.); #337474=ADVANCED_FACE('',(#29009),#13196,.T.); #337475=ADVANCED_FACE('',(#29010),#13197,.T.); #337476=ADVANCED_FACE('',(#29011),#13198,.T.); #337477=ADVANCED_FACE('',(#29012),#13199,.T.); #337478=ADVANCED_FACE('',(#29013),#13200,.T.); #337479=ADVANCED_FACE('',(#29014),#13201,.T.); #337480=ADVANCED_FACE('',(#29015),#13202,.T.); #337481=ADVANCED_FACE('',(#29016),#13203,.T.); #337482=ADVANCED_FACE('',(#29017),#13204,.T.); #337483=ADVANCED_FACE('',(#29018),#13205,.T.); #337484=ADVANCED_FACE('',(#29019),#13206,.T.); #337485=ADVANCED_FACE('',(#29020),#13207,.T.); #337486=ADVANCED_FACE('',(#29021),#13208,.T.); #337487=ADVANCED_FACE('',(#29022),#13209,.T.); #337488=ADVANCED_FACE('',(#29023),#13210,.T.); #337489=ADVANCED_FACE('',(#29024),#13211,.T.); #337490=ADVANCED_FACE('',(#29025),#13212,.T.); #337491=ADVANCED_FACE('',(#29026),#13213,.T.); #337492=ADVANCED_FACE('',(#29027),#13214,.T.); #337493=ADVANCED_FACE('',(#29028),#13215,.T.); #337494=ADVANCED_FACE('',(#29029),#13216,.T.); #337495=ADVANCED_FACE('',(#29030),#13217,.T.); #337496=ADVANCED_FACE('',(#29031),#13218,.T.); #337497=ADVANCED_FACE('',(#29032),#13219,.T.); #337498=ADVANCED_FACE('',(#29033),#13220,.T.); #337499=ADVANCED_FACE('',(#29034),#13221,.T.); #337500=ADVANCED_FACE('',(#29035),#13222,.T.); #337501=ADVANCED_FACE('',(#29036),#13223,.T.); #337502=ADVANCED_FACE('',(#29037),#13224,.T.); #337503=ADVANCED_FACE('',(#29038),#13225,.T.); #337504=ADVANCED_FACE('',(#29039),#13226,.T.); #337505=ADVANCED_FACE('',(#29040),#13227,.T.); #337506=ADVANCED_FACE('',(#29041),#13228,.T.); #337507=ADVANCED_FACE('',(#29042),#13229,.T.); #337508=ADVANCED_FACE('',(#29043),#13230,.T.); #337509=ADVANCED_FACE('',(#29044),#13231,.T.); #337510=ADVANCED_FACE('',(#29045),#13232,.T.); #337511=ADVANCED_FACE('',(#29046),#13233,.T.); #337512=ADVANCED_FACE('',(#29047),#13234,.T.); #337513=ADVANCED_FACE('',(#29048),#13235,.T.); #337514=ADVANCED_FACE('',(#29049),#13236,.T.); #337515=ADVANCED_FACE('',(#29050),#13237,.T.); #337516=ADVANCED_FACE('',(#29051),#13238,.T.); #337517=ADVANCED_FACE('',(#29052),#13239,.T.); #337518=ADVANCED_FACE('',(#29053),#13240,.T.); #337519=ADVANCED_FACE('',(#29054),#13241,.T.); #337520=ADVANCED_FACE('',(#29055),#13242,.T.); #337521=ADVANCED_FACE('',(#29056),#13243,.T.); #337522=ADVANCED_FACE('',(#29057),#13244,.T.); #337523=ADVANCED_FACE('',(#29058),#13245,.T.); #337524=ADVANCED_FACE('',(#29059),#13246,.T.); #337525=ADVANCED_FACE('',(#29060),#13247,.T.); #337526=ADVANCED_FACE('',(#29061),#13248,.T.); #337527=ADVANCED_FACE('',(#29062),#13249,.T.); #337528=ADVANCED_FACE('',(#29063),#13250,.T.); #337529=ADVANCED_FACE('',(#29064),#13251,.T.); #337530=ADVANCED_FACE('',(#29065),#13252,.T.); #337531=ADVANCED_FACE('',(#29066),#13253,.T.); #337532=ADVANCED_FACE('',(#29067),#13254,.T.); #337533=ADVANCED_FACE('',(#29068),#328016,.T.); #337534=ADVANCED_FACE('',(#29069),#13255,.T.); #337535=ADVANCED_FACE('',(#29070),#328017,.T.); #337536=ADVANCED_FACE('',(#29071),#13256,.T.); #337537=ADVANCED_FACE('',(#29072),#328018,.T.); #337538=ADVANCED_FACE('',(#29073,#5344,#5345,#5346,#5347,#5348,#5349,#5350, #5351,#5352,#5353,#5354,#5355,#5356,#5357,#5358,#5359,#5360,#5361,#5362, #5363,#5364,#5365,#5366,#5367,#5368,#5369,#5370,#5371,#5372,#5373,#5374), #13257,.T.); #337539=ADVANCED_FACE('',(#29074,#5375,#5376,#5377,#5378,#5379,#5380,#5381, #5382,#5383,#5384,#5385,#5386,#5387,#5388,#5389,#5390,#5391,#5392,#5393, #5394,#5395,#5396,#5397,#5398,#5399,#5400,#5401,#5402,#5403,#5404,#5405), #13258,.F.); #337540=ADVANCED_FACE('',(#29075),#328019,.F.); #337541=ADVANCED_FACE('',(#29076),#13259,.T.); #337542=ADVANCED_FACE('',(#29077),#13260,.T.); #337543=ADVANCED_FACE('',(#29078),#13261,.T.); #337544=ADVANCED_FACE('',(#29079),#13262,.T.); #337545=ADVANCED_FACE('',(#29080),#13263,.T.); #337546=ADVANCED_FACE('',(#29081),#13264,.T.); #337547=ADVANCED_FACE('',(#29082),#328020,.T.); #337548=ADVANCED_FACE('',(#29083),#13265,.T.); #337549=ADVANCED_FACE('',(#29084),#13266,.T.); #337550=ADVANCED_FACE('',(#29085),#328021,.T.); #337551=ADVANCED_FACE('',(#29086),#13267,.T.); #337552=ADVANCED_FACE('',(#29087),#328022,.T.); #337553=ADVANCED_FACE('',(#29088),#328023,.T.); #337554=ADVANCED_FACE('',(#29089),#13268,.T.); #337555=ADVANCED_FACE('',(#29090),#13269,.T.); #337556=ADVANCED_FACE('',(#29091),#328024,.T.); #337557=ADVANCED_FACE('',(#29092),#13270,.T.); #337558=ADVANCED_FACE('',(#29093),#13271,.T.); #337559=ADVANCED_FACE('',(#29094),#328025,.T.); #337560=ADVANCED_FACE('',(#29095),#13272,.T.); #337561=ADVANCED_FACE('',(#29096),#13273,.T.); #337562=ADVANCED_FACE('',(#29097,#5406),#13274,.T.); #337563=ADVANCED_FACE('',(#29098,#5407),#13275,.F.); #337564=ADVANCED_FACE('',(#29099),#328026,.F.); #337565=ADVANCED_FACE('',(#29100),#328027,.F.); #337566=ADVANCED_FACE('',(#29101),#328028,.F.); #337567=ADVANCED_FACE('',(#29102),#328029,.F.); #337568=ADVANCED_FACE('',(#29103),#328030,.F.); #337569=ADVANCED_FACE('',(#29104),#328031,.F.); #337570=ADVANCED_FACE('',(#29105),#328032,.F.); #337571=ADVANCED_FACE('',(#29106),#328033,.F.); #337572=ADVANCED_FACE('',(#29107),#328034,.F.); #337573=ADVANCED_FACE('',(#29108),#328035,.F.); #337574=ADVANCED_FACE('',(#29109),#328036,.F.); #337575=ADVANCED_FACE('',(#29110),#13276,.T.); #337576=ADVANCED_FACE('',(#29111),#13277,.T.); #337577=ADVANCED_FACE('',(#29112),#13278,.T.); #337578=ADVANCED_FACE('',(#29113),#13279,.T.); #337579=ADVANCED_FACE('',(#29114),#13280,.T.); #337580=ADVANCED_FACE('',(#29115),#13281,.T.); #337581=ADVANCED_FACE('',(#29116),#13282,.T.); #337582=ADVANCED_FACE('',(#29117),#13283,.T.); #337583=ADVANCED_FACE('',(#29118),#13284,.T.); #337584=ADVANCED_FACE('',(#29119),#13285,.T.); #337585=ADVANCED_FACE('',(#29120),#13286,.T.); #337586=ADVANCED_FACE('',(#29121),#13287,.T.); #337587=ADVANCED_FACE('',(#29122),#13288,.T.); #337588=ADVANCED_FACE('',(#29123),#13289,.T.); #337589=ADVANCED_FACE('',(#29124),#13290,.T.); #337590=ADVANCED_FACE('',(#29125),#13291,.T.); #337591=ADVANCED_FACE('',(#29126),#13292,.T.); #337592=ADVANCED_FACE('',(#29127),#13293,.T.); #337593=ADVANCED_FACE('',(#29128),#13294,.T.); #337594=ADVANCED_FACE('',(#29129),#13295,.T.); #337595=ADVANCED_FACE('',(#29130),#13296,.T.); #337596=ADVANCED_FACE('',(#29131),#13297,.T.); #337597=ADVANCED_FACE('',(#29132),#13298,.T.); #337598=ADVANCED_FACE('',(#29133),#13299,.T.); #337599=ADVANCED_FACE('',(#29134),#328037,.T.); #337600=ADVANCED_FACE('',(#29135),#13300,.T.); #337601=ADVANCED_FACE('',(#29136),#13301,.T.); #337602=ADVANCED_FACE('',(#29137),#328038,.T.); #337603=ADVANCED_FACE('',(#29138),#13302,.T.); #337604=ADVANCED_FACE('',(#29139),#13303,.T.); #337605=ADVANCED_FACE('',(#29140),#13304,.T.); #337606=ADVANCED_FACE('',(#29141),#13305,.T.); #337607=ADVANCED_FACE('',(#29142),#13306,.T.); #337608=ADVANCED_FACE('',(#29143),#13307,.T.); #337609=ADVANCED_FACE('',(#29144),#13308,.T.); #337610=ADVANCED_FACE('',(#29145),#13309,.T.); #337611=ADVANCED_FACE('',(#29146),#328039,.T.); #337612=ADVANCED_FACE('',(#29147),#13310,.T.); #337613=ADVANCED_FACE('',(#29148),#328040,.T.); #337614=ADVANCED_FACE('',(#29149),#13311,.T.); #337615=ADVANCED_FACE('',(#29150),#13312,.T.); #337616=ADVANCED_FACE('',(#29151),#13313,.T.); #337617=ADVANCED_FACE('',(#29152),#13314,.T.); #337618=ADVANCED_FACE('',(#29153),#13315,.T.); #337619=ADVANCED_FACE('',(#29154),#13316,.T.); #337620=ADVANCED_FACE('',(#29155),#13317,.T.); #337621=ADVANCED_FACE('',(#29156),#13318,.T.); #337622=ADVANCED_FACE('',(#29157),#13319,.T.); #337623=ADVANCED_FACE('',(#29158),#13320,.T.); #337624=ADVANCED_FACE('',(#29159),#13321,.T.); #337625=ADVANCED_FACE('',(#29160),#13322,.T.); #337626=ADVANCED_FACE('',(#29161),#13323,.T.); #337627=ADVANCED_FACE('',(#29162),#13324,.T.); #337628=ADVANCED_FACE('',(#29163),#13325,.T.); #337629=ADVANCED_FACE('',(#29164),#328041,.T.); #337630=ADVANCED_FACE('',(#29165),#13326,.T.); #337631=ADVANCED_FACE('',(#29166),#328042,.T.); #337632=ADVANCED_FACE('',(#29167),#13327,.T.); #337633=ADVANCED_FACE('',(#29168),#13328,.T.); #337634=ADVANCED_FACE('',(#29169),#13329,.T.); #337635=ADVANCED_FACE('',(#29170),#13330,.T.); #337636=ADVANCED_FACE('',(#29171),#13331,.T.); #337637=ADVANCED_FACE('',(#29172),#13332,.T.); #337638=ADVANCED_FACE('',(#29173),#13333,.T.); #337639=ADVANCED_FACE('',(#29174),#13334,.T.); #337640=ADVANCED_FACE('',(#29175),#13335,.T.); #337641=ADVANCED_FACE('',(#29176),#13336,.T.); #337642=ADVANCED_FACE('',(#29177),#13337,.T.); #337643=ADVANCED_FACE('',(#29178),#13338,.T.); #337644=ADVANCED_FACE('',(#29179),#13339,.T.); #337645=ADVANCED_FACE('',(#29180),#13340,.T.); #337646=ADVANCED_FACE('',(#29181),#13341,.T.); #337647=ADVANCED_FACE('',(#29182),#13342,.T.); #337648=ADVANCED_FACE('',(#29183),#13343,.T.); #337649=ADVANCED_FACE('',(#29184),#13344,.T.); #337650=ADVANCED_FACE('',(#29185),#13345,.T.); #337651=ADVANCED_FACE('',(#29186),#13346,.T.); #337652=ADVANCED_FACE('',(#29187),#13347,.T.); #337653=ADVANCED_FACE('',(#29188),#13348,.T.); #337654=ADVANCED_FACE('',(#29189),#13349,.T.); #337655=ADVANCED_FACE('',(#29190),#13350,.T.); #337656=ADVANCED_FACE('',(#29191),#13351,.T.); #337657=ADVANCED_FACE('',(#29192),#13352,.T.); #337658=ADVANCED_FACE('',(#29193),#13353,.T.); #337659=ADVANCED_FACE('',(#29194),#13354,.T.); #337660=ADVANCED_FACE('',(#29195),#13355,.T.); #337661=ADVANCED_FACE('',(#29196),#13356,.T.); #337662=ADVANCED_FACE('',(#29197),#13357,.T.); #337663=ADVANCED_FACE('',(#29198),#13358,.T.); #337664=ADVANCED_FACE('',(#29199),#13359,.T.); #337665=ADVANCED_FACE('',(#29200),#13360,.T.); #337666=ADVANCED_FACE('',(#29201),#13361,.T.); #337667=ADVANCED_FACE('',(#29202),#13362,.T.); #337668=ADVANCED_FACE('',(#29203),#13363,.T.); #337669=ADVANCED_FACE('',(#29204),#13364,.T.); #337670=ADVANCED_FACE('',(#29205),#13365,.T.); #337671=ADVANCED_FACE('',(#29206),#13366,.T.); #337672=ADVANCED_FACE('',(#29207),#13367,.T.); #337673=ADVANCED_FACE('',(#29208),#13368,.T.); #337674=ADVANCED_FACE('',(#29209),#13369,.T.); #337675=ADVANCED_FACE('',(#29210),#13370,.T.); #337676=ADVANCED_FACE('',(#29211),#13371,.T.); #337677=ADVANCED_FACE('',(#29212),#13372,.T.); #337678=ADVANCED_FACE('',(#29213),#13373,.T.); #337679=ADVANCED_FACE('',(#29214),#13374,.T.); #337680=ADVANCED_FACE('',(#29215),#13375,.T.); #337681=ADVANCED_FACE('',(#29216),#13376,.T.); #337682=ADVANCED_FACE('',(#29217),#13377,.T.); #337683=ADVANCED_FACE('',(#29218),#13378,.T.); #337684=ADVANCED_FACE('',(#29219),#13379,.T.); #337685=ADVANCED_FACE('',(#29220),#13380,.T.); #337686=ADVANCED_FACE('',(#29221),#13381,.T.); #337687=ADVANCED_FACE('',(#29222),#13382,.T.); #337688=ADVANCED_FACE('',(#29223),#13383,.T.); #337689=ADVANCED_FACE('',(#29224),#13384,.T.); #337690=ADVANCED_FACE('',(#29225),#13385,.T.); #337691=ADVANCED_FACE('',(#29226),#13386,.T.); #337692=ADVANCED_FACE('',(#29227),#13387,.T.); #337693=ADVANCED_FACE('',(#29228),#13388,.T.); #337694=ADVANCED_FACE('',(#29229),#13389,.T.); #337695=ADVANCED_FACE('',(#29230),#13390,.T.); #337696=ADVANCED_FACE('',(#29231),#13391,.T.); #337697=ADVANCED_FACE('',(#29232),#13392,.T.); #337698=ADVANCED_FACE('',(#29233),#13393,.T.); #337699=ADVANCED_FACE('',(#29234,#5408,#5409,#5410,#5411,#5412,#5413,#5414, #5415,#5416,#5417,#5418),#13394,.T.); #337700=ADVANCED_FACE('',(#29235,#5419,#5420,#5421,#5422,#5423,#5424,#5425, #5426,#5427,#5428,#5429),#13395,.F.); #337701=ADVANCED_FACE('',(#29236),#328043,.F.); #337702=ADVANCED_FACE('',(#29237),#328044,.F.); #337703=ADVANCED_FACE('',(#29238),#328045,.F.); #337704=ADVANCED_FACE('',(#29239),#328046,.F.); #337705=ADVANCED_FACE('',(#29240),#328047,.F.); #337706=ADVANCED_FACE('',(#29241),#328048,.F.); #337707=ADVANCED_FACE('',(#29242),#328049,.F.); #337708=ADVANCED_FACE('',(#29243),#328050,.F.); #337709=ADVANCED_FACE('',(#29244),#328051,.F.); #337710=ADVANCED_FACE('',(#29245),#328052,.F.); #337711=ADVANCED_FACE('',(#29246),#328053,.F.); #337712=ADVANCED_FACE('',(#29247),#328054,.F.); #337713=ADVANCED_FACE('',(#29248),#328055,.F.); #337714=ADVANCED_FACE('',(#29249),#328056,.F.); #337715=ADVANCED_FACE('',(#29250),#328057,.F.); #337716=ADVANCED_FACE('',(#29251),#328058,.F.); #337717=ADVANCED_FACE('',(#29252),#328059,.F.); #337718=ADVANCED_FACE('',(#29253),#328060,.F.); #337719=ADVANCED_FACE('',(#29254),#328061,.F.); #337720=ADVANCED_FACE('',(#29255),#328062,.F.); #337721=ADVANCED_FACE('',(#29256),#328063,.F.); #337722=ADVANCED_FACE('',(#29257),#328064,.F.); #337723=ADVANCED_FACE('',(#29258),#328065,.F.); #337724=ADVANCED_FACE('',(#29259),#328066,.F.); #337725=ADVANCED_FACE('',(#29260),#328067,.F.); #337726=ADVANCED_FACE('',(#29261),#328068,.F.); #337727=ADVANCED_FACE('',(#29262),#328069,.F.); #337728=ADVANCED_FACE('',(#29263),#328070,.F.); #337729=ADVANCED_FACE('',(#29264),#328071,.F.); #337730=ADVANCED_FACE('',(#29265),#328072,.F.); #337731=ADVANCED_FACE('',(#29266),#328073,.F.); #337732=ADVANCED_FACE('',(#29267),#13396,.T.); #337733=ADVANCED_FACE('',(#29268),#328074,.T.); #337734=ADVANCED_FACE('',(#29269),#13397,.T.); #337735=ADVANCED_FACE('',(#29270),#328075,.T.); #337736=ADVANCED_FACE('',(#29271),#13398,.T.); #337737=ADVANCED_FACE('',(#29272),#328076,.T.); #337738=ADVANCED_FACE('',(#29273),#13399,.T.); #337739=ADVANCED_FACE('',(#29274),#13400,.T.); #337740=ADVANCED_FACE('',(#29275),#13401,.T.); #337741=ADVANCED_FACE('',(#29276),#13402,.T.); #337742=ADVANCED_FACE('',(#29277),#13403,.T.); #337743=ADVANCED_FACE('',(#29278),#13404,.T.); #337744=ADVANCED_FACE('',(#29279),#13405,.T.); #337745=ADVANCED_FACE('',(#29280),#13406,.T.); #337746=ADVANCED_FACE('',(#29281),#13407,.T.); #337747=ADVANCED_FACE('',(#29282),#13408,.T.); #337748=ADVANCED_FACE('',(#29283),#13409,.T.); #337749=ADVANCED_FACE('',(#29284),#13410,.T.); #337750=ADVANCED_FACE('',(#29285),#13411,.T.); #337751=ADVANCED_FACE('',(#29286),#13412,.T.); #337752=ADVANCED_FACE('',(#29287),#13413,.T.); #337753=ADVANCED_FACE('',(#29288),#13414,.T.); #337754=ADVANCED_FACE('',(#29289),#13415,.T.); #337755=ADVANCED_FACE('',(#29290),#13416,.T.); #337756=ADVANCED_FACE('',(#29291),#13417,.T.); #337757=ADVANCED_FACE('',(#29292),#13418,.T.); #337758=ADVANCED_FACE('',(#29293),#13419,.T.); #337759=ADVANCED_FACE('',(#29294),#13420,.T.); #337760=ADVANCED_FACE('',(#29295),#13421,.T.); #337761=ADVANCED_FACE('',(#29296),#13422,.T.); #337762=ADVANCED_FACE('',(#29297),#13423,.T.); #337763=ADVANCED_FACE('',(#29298),#13424,.T.); #337764=ADVANCED_FACE('',(#29299),#13425,.T.); #337765=ADVANCED_FACE('',(#29300),#13426,.T.); #337766=ADVANCED_FACE('',(#29301),#13427,.T.); #337767=ADVANCED_FACE('',(#29302),#13428,.T.); #337768=ADVANCED_FACE('',(#29303),#13429,.T.); #337769=ADVANCED_FACE('',(#29304),#13430,.T.); #337770=ADVANCED_FACE('',(#29305),#13431,.T.); #337771=ADVANCED_FACE('',(#29306),#13432,.T.); #337772=ADVANCED_FACE('',(#29307),#13433,.T.); #337773=ADVANCED_FACE('',(#29308),#13434,.T.); #337774=ADVANCED_FACE('',(#29309),#13435,.T.); #337775=ADVANCED_FACE('',(#29310),#13436,.T.); #337776=ADVANCED_FACE('',(#29311),#13437,.T.); #337777=ADVANCED_FACE('',(#29312),#13438,.T.); #337778=ADVANCED_FACE('',(#29313),#13439,.T.); #337779=ADVANCED_FACE('',(#29314),#13440,.T.); #337780=ADVANCED_FACE('',(#29315),#13441,.T.); #337781=ADVANCED_FACE('',(#29316),#13442,.T.); #337782=ADVANCED_FACE('',(#29317),#13443,.T.); #337783=ADVANCED_FACE('',(#29318),#13444,.T.); #337784=ADVANCED_FACE('',(#29319),#13445,.T.); #337785=ADVANCED_FACE('',(#29320),#13446,.T.); #337786=ADVANCED_FACE('',(#29321),#13447,.T.); #337787=ADVANCED_FACE('',(#29322),#13448,.T.); #337788=ADVANCED_FACE('',(#29323),#13449,.T.); #337789=ADVANCED_FACE('',(#29324),#13450,.T.); #337790=ADVANCED_FACE('',(#29325),#13451,.T.); #337791=ADVANCED_FACE('',(#29326),#13452,.T.); #337792=ADVANCED_FACE('',(#29327),#13453,.T.); #337793=ADVANCED_FACE('',(#29328),#13454,.T.); #337794=ADVANCED_FACE('',(#29329),#13455,.T.); #337795=ADVANCED_FACE('',(#29330),#13456,.T.); #337796=ADVANCED_FACE('',(#29331),#13457,.T.); #337797=ADVANCED_FACE('',(#29332),#13458,.T.); #337798=ADVANCED_FACE('',(#29333),#13459,.T.); #337799=ADVANCED_FACE('',(#29334),#13460,.T.); #337800=ADVANCED_FACE('',(#29335),#13461,.T.); #337801=ADVANCED_FACE('',(#29336),#13462,.T.); #337802=ADVANCED_FACE('',(#29337),#13463,.T.); #337803=ADVANCED_FACE('',(#29338),#13464,.T.); #337804=ADVANCED_FACE('',(#29339),#13465,.T.); #337805=ADVANCED_FACE('',(#29340),#328077,.T.); #337806=ADVANCED_FACE('',(#29341),#13466,.T.); #337807=ADVANCED_FACE('',(#29342),#328078,.T.); #337808=ADVANCED_FACE('',(#29343),#13467,.T.); #337809=ADVANCED_FACE('',(#29344),#13468,.T.); #337810=ADVANCED_FACE('',(#29345),#13469,.T.); #337811=ADVANCED_FACE('',(#29346),#328079,.T.); #337812=ADVANCED_FACE('',(#29347,#5430,#5431,#5432,#5433,#5434,#5435,#5436, #5437,#5438,#5439,#5440,#5441,#5442,#5443,#5444,#5445,#5446,#5447,#5448, #5449,#5450,#5451,#5452,#5453,#5454,#5455,#5456,#5457,#5458,#5459,#5460), #13470,.T.); #337813=ADVANCED_FACE('',(#29348,#5461,#5462,#5463,#5464,#5465,#5466,#5467, #5468,#5469,#5470,#5471,#5472,#5473,#5474,#5475,#5476,#5477,#5478,#5479, #5480,#5481,#5482,#5483,#5484,#5485,#5486,#5487,#5488,#5489,#5490,#5491), #13471,.F.); #337814=ADVANCED_FACE('',(#29349),#328080,.F.); #337815=ADVANCED_FACE('',(#29350),#13472,.T.); #337816=ADVANCED_FACE('',(#29351),#13473,.T.); #337817=ADVANCED_FACE('',(#29352),#13474,.T.); #337818=ADVANCED_FACE('',(#29353),#13475,.T.); #337819=ADVANCED_FACE('',(#29354),#13476,.T.); #337820=ADVANCED_FACE('',(#29355),#328081,.T.); #337821=ADVANCED_FACE('',(#29356),#13477,.T.); #337822=ADVANCED_FACE('',(#29357),#13478,.T.); #337823=ADVANCED_FACE('',(#29358),#328082,.T.); #337824=ADVANCED_FACE('',(#29359),#13479,.T.); #337825=ADVANCED_FACE('',(#29360),#13480,.T.); #337826=ADVANCED_FACE('',(#29361),#328083,.T.); #337827=ADVANCED_FACE('',(#29362),#328084,.T.); #337828=ADVANCED_FACE('',(#29363),#13481,.T.); #337829=ADVANCED_FACE('',(#29364),#328085,.T.); #337830=ADVANCED_FACE('',(#29365),#13482,.T.); #337831=ADVANCED_FACE('',(#29366),#13483,.T.); #337832=ADVANCED_FACE('',(#29367),#328086,.T.); #337833=ADVANCED_FACE('',(#29368),#13484,.T.); #337834=ADVANCED_FACE('',(#29369),#13485,.T.); #337835=ADVANCED_FACE('',(#29370),#13486,.T.); #337836=ADVANCED_FACE('',(#29371,#5492),#13487,.T.); #337837=ADVANCED_FACE('',(#29372,#5493),#13488,.F.); #337838=ADVANCED_FACE('',(#29373),#328087,.F.); #337839=ADVANCED_FACE('',(#29374),#328088,.F.); #337840=ADVANCED_FACE('',(#29375),#328089,.F.); #337841=ADVANCED_FACE('',(#29376),#328090,.F.); #337842=ADVANCED_FACE('',(#29377),#328091,.F.); #337843=ADVANCED_FACE('',(#29378),#328092,.F.); #337844=ADVANCED_FACE('',(#29379),#328093,.F.); #337845=ADVANCED_FACE('',(#29380),#328094,.F.); #337846=ADVANCED_FACE('',(#29381),#328095,.F.); #337847=ADVANCED_FACE('',(#29382),#328096,.F.); #337848=ADVANCED_FACE('',(#29383),#328097,.F.); #337849=ADVANCED_FACE('',(#29384),#328098,.F.); #337850=ADVANCED_FACE('',(#29385),#328099,.F.); #337851=ADVANCED_FACE('',(#29386),#328100,.F.); #337852=ADVANCED_FACE('',(#29387),#328101,.F.); #337853=ADVANCED_FACE('',(#29388),#328102,.F.); #337854=ADVANCED_FACE('',(#29389),#13489,.T.); #337855=ADVANCED_FACE('',(#29390),#13490,.T.); #337856=ADVANCED_FACE('',(#29391),#13491,.T.); #337857=ADVANCED_FACE('',(#29392),#13492,.T.); #337858=ADVANCED_FACE('',(#29393),#13493,.T.); #337859=ADVANCED_FACE('',(#29394),#13494,.T.); #337860=ADVANCED_FACE('',(#29395),#13495,.T.); #337861=ADVANCED_FACE('',(#29396),#13496,.T.); #337862=ADVANCED_FACE('',(#29397),#13497,.T.); #337863=ADVANCED_FACE('',(#29398),#13498,.T.); #337864=ADVANCED_FACE('',(#29399),#13499,.T.); #337865=ADVANCED_FACE('',(#29400),#13500,.T.); #337866=ADVANCED_FACE('',(#29401),#13501,.T.); #337867=ADVANCED_FACE('',(#29402),#13502,.T.); #337868=ADVANCED_FACE('',(#29403),#13503,.T.); #337869=ADVANCED_FACE('',(#29404),#13504,.T.); #337870=ADVANCED_FACE('',(#29405),#13505,.T.); #337871=ADVANCED_FACE('',(#29406),#13506,.T.); #337872=ADVANCED_FACE('',(#29407),#13507,.T.); #337873=ADVANCED_FACE('',(#29408),#13508,.T.); #337874=ADVANCED_FACE('',(#29409),#13509,.T.); #337875=ADVANCED_FACE('',(#29410),#13510,.T.); #337876=ADVANCED_FACE('',(#29411),#13511,.T.); #337877=ADVANCED_FACE('',(#29412),#13512,.T.); #337878=ADVANCED_FACE('',(#29413),#13513,.T.); #337879=ADVANCED_FACE('',(#29414),#13514,.T.); #337880=ADVANCED_FACE('',(#29415),#13515,.T.); #337881=ADVANCED_FACE('',(#29416),#13516,.T.); #337882=ADVANCED_FACE('',(#29417),#13517,.T.); #337883=ADVANCED_FACE('',(#29418),#13518,.T.); #337884=ADVANCED_FACE('',(#29419),#13519,.T.); #337885=ADVANCED_FACE('',(#29420),#13520,.T.); #337886=ADVANCED_FACE('',(#29421),#13521,.T.); #337887=ADVANCED_FACE('',(#29422),#13522,.T.); #337888=ADVANCED_FACE('',(#29423),#13523,.T.); #337889=ADVANCED_FACE('',(#29424),#13524,.T.); #337890=ADVANCED_FACE('',(#29425),#13525,.T.); #337891=ADVANCED_FACE('',(#29426),#13526,.T.); #337892=ADVANCED_FACE('',(#29427),#13527,.T.); #337893=ADVANCED_FACE('',(#29428),#13528,.T.); #337894=ADVANCED_FACE('',(#29429),#13529,.T.); #337895=ADVANCED_FACE('',(#29430),#13530,.T.); #337896=ADVANCED_FACE('',(#29431),#13531,.T.); #337897=ADVANCED_FACE('',(#29432),#13532,.T.); #337898=ADVANCED_FACE('',(#29433),#13533,.T.); #337899=ADVANCED_FACE('',(#29434),#13534,.T.); #337900=ADVANCED_FACE('',(#29435),#13535,.T.); #337901=ADVANCED_FACE('',(#29436),#13536,.T.); #337902=ADVANCED_FACE('',(#29437),#13537,.T.); #337903=ADVANCED_FACE('',(#29438),#13538,.T.); #337904=ADVANCED_FACE('',(#29439),#13539,.T.); #337905=ADVANCED_FACE('',(#29440),#13540,.T.); #337906=ADVANCED_FACE('',(#29441),#13541,.T.); #337907=ADVANCED_FACE('',(#29442),#13542,.T.); #337908=ADVANCED_FACE('',(#29443),#13543,.T.); #337909=ADVANCED_FACE('',(#29444),#13544,.T.); #337910=ADVANCED_FACE('',(#29445),#13545,.T.); #337911=ADVANCED_FACE('',(#29446),#13546,.T.); #337912=ADVANCED_FACE('',(#29447),#13547,.T.); #337913=ADVANCED_FACE('',(#29448),#13548,.T.); #337914=ADVANCED_FACE('',(#29449),#13549,.T.); #337915=ADVANCED_FACE('',(#29450),#13550,.T.); #337916=ADVANCED_FACE('',(#29451),#13551,.T.); #337917=ADVANCED_FACE('',(#29452),#13552,.T.); #337918=ADVANCED_FACE('',(#29453),#13553,.T.); #337919=ADVANCED_FACE('',(#29454),#13554,.T.); #337920=ADVANCED_FACE('',(#29455),#13555,.T.); #337921=ADVANCED_FACE('',(#29456),#13556,.T.); #337922=ADVANCED_FACE('',(#29457),#13557,.T.); #337923=ADVANCED_FACE('',(#29458),#13558,.T.); #337924=ADVANCED_FACE('',(#29459),#13559,.T.); #337925=ADVANCED_FACE('',(#29460),#13560,.T.); #337926=ADVANCED_FACE('',(#29461),#13561,.T.); #337927=ADVANCED_FACE('',(#29462),#13562,.T.); #337928=ADVANCED_FACE('',(#29463),#13563,.T.); #337929=ADVANCED_FACE('',(#29464),#13564,.T.); #337930=ADVANCED_FACE('',(#29465),#13565,.T.); #337931=ADVANCED_FACE('',(#29466),#13566,.T.); #337932=ADVANCED_FACE('',(#29467),#13567,.T.); #337933=ADVANCED_FACE('',(#29468),#13568,.T.); #337934=ADVANCED_FACE('',(#29469),#13569,.T.); #337935=ADVANCED_FACE('',(#29470),#13570,.T.); #337936=ADVANCED_FACE('',(#29471),#13571,.T.); #337937=ADVANCED_FACE('',(#29472),#13572,.T.); #337938=ADVANCED_FACE('',(#29473),#13573,.T.); #337939=ADVANCED_FACE('',(#29474),#13574,.T.); #337940=ADVANCED_FACE('',(#29475),#13575,.T.); #337941=ADVANCED_FACE('',(#29476),#13576,.T.); #337942=ADVANCED_FACE('',(#29477),#13577,.T.); #337943=ADVANCED_FACE('',(#29478),#13578,.T.); #337944=ADVANCED_FACE('',(#29479),#13579,.T.); #337945=ADVANCED_FACE('',(#29480),#13580,.T.); #337946=ADVANCED_FACE('',(#29481),#13581,.T.); #337947=ADVANCED_FACE('',(#29482),#13582,.T.); #337948=ADVANCED_FACE('',(#29483),#13583,.T.); #337949=ADVANCED_FACE('',(#29484),#13584,.T.); #337950=ADVANCED_FACE('',(#29485),#13585,.T.); #337951=ADVANCED_FACE('',(#29486),#13586,.T.); #337952=ADVANCED_FACE('',(#29487),#13587,.T.); #337953=ADVANCED_FACE('',(#29488),#13588,.T.); #337954=ADVANCED_FACE('',(#29489),#13589,.T.); #337955=ADVANCED_FACE('',(#29490),#13590,.T.); #337956=ADVANCED_FACE('',(#29491),#13591,.T.); #337957=ADVANCED_FACE('',(#29492),#13592,.T.); #337958=ADVANCED_FACE('',(#29493),#13593,.T.); #337959=ADVANCED_FACE('',(#29494),#13594,.T.); #337960=ADVANCED_FACE('',(#29495),#13595,.T.); #337961=ADVANCED_FACE('',(#29496),#13596,.T.); #337962=ADVANCED_FACE('',(#29497),#13597,.T.); #337963=ADVANCED_FACE('',(#29498),#13598,.T.); #337964=ADVANCED_FACE('',(#29499),#13599,.T.); #337965=ADVANCED_FACE('',(#29500),#13600,.T.); #337966=ADVANCED_FACE('',(#29501),#13601,.T.); #337967=ADVANCED_FACE('',(#29502),#13602,.T.); #337968=ADVANCED_FACE('',(#29503),#13603,.T.); #337969=ADVANCED_FACE('',(#29504),#13604,.T.); #337970=ADVANCED_FACE('',(#29505),#13605,.T.); #337971=ADVANCED_FACE('',(#29506),#13606,.T.); #337972=ADVANCED_FACE('',(#29507),#13607,.T.); #337973=ADVANCED_FACE('',(#29508),#13608,.T.); #337974=ADVANCED_FACE('',(#29509),#13609,.T.); #337975=ADVANCED_FACE('',(#29510),#13610,.T.); #337976=ADVANCED_FACE('',(#29511),#13611,.T.); #337977=ADVANCED_FACE('',(#29512),#13612,.T.); #337978=ADVANCED_FACE('',(#29513),#13613,.T.); #337979=ADVANCED_FACE('',(#29514),#13614,.T.); #337980=ADVANCED_FACE('',(#29515),#13615,.T.); #337981=ADVANCED_FACE('',(#29516),#13616,.T.); #337982=ADVANCED_FACE('',(#29517),#13617,.T.); #337983=ADVANCED_FACE('',(#29518),#13618,.T.); #337984=ADVANCED_FACE('',(#29519),#13619,.T.); #337985=ADVANCED_FACE('',(#29520),#13620,.T.); #337986=ADVANCED_FACE('',(#29521),#13621,.T.); #337987=ADVANCED_FACE('',(#29522),#13622,.T.); #337988=ADVANCED_FACE('',(#29523),#13623,.T.); #337989=ADVANCED_FACE('',(#29524),#13624,.T.); #337990=ADVANCED_FACE('',(#29525),#13625,.T.); #337991=ADVANCED_FACE('',(#29526),#13626,.T.); #337992=ADVANCED_FACE('',(#29527),#13627,.T.); #337993=ADVANCED_FACE('',(#29528),#13628,.T.); #337994=ADVANCED_FACE('',(#29529),#13629,.T.); #337995=ADVANCED_FACE('',(#29530),#13630,.T.); #337996=ADVANCED_FACE('',(#29531),#13631,.T.); #337997=ADVANCED_FACE('',(#29532),#13632,.T.); #337998=ADVANCED_FACE('',(#29533),#13633,.T.); #337999=ADVANCED_FACE('',(#29534),#13634,.T.); #338000=ADVANCED_FACE('',(#29535),#13635,.T.); #338001=ADVANCED_FACE('',(#29536),#13636,.T.); #338002=ADVANCED_FACE('',(#29537),#13637,.T.); #338003=ADVANCED_FACE('',(#29538),#13638,.T.); #338004=ADVANCED_FACE('',(#29539),#13639,.T.); #338005=ADVANCED_FACE('',(#29540),#13640,.T.); #338006=ADVANCED_FACE('',(#29541),#13641,.T.); #338007=ADVANCED_FACE('',(#29542),#13642,.T.); #338008=ADVANCED_FACE('',(#29543),#13643,.T.); #338009=ADVANCED_FACE('',(#29544),#13644,.T.); #338010=ADVANCED_FACE('',(#29545),#13645,.T.); #338011=ADVANCED_FACE('',(#29546),#13646,.T.); #338012=ADVANCED_FACE('',(#29547),#13647,.T.); #338013=ADVANCED_FACE('',(#29548),#13648,.T.); #338014=ADVANCED_FACE('',(#29549),#13649,.T.); #338015=ADVANCED_FACE('',(#29550),#13650,.T.); #338016=ADVANCED_FACE('',(#29551),#13651,.T.); #338017=ADVANCED_FACE('',(#29552),#13652,.T.); #338018=ADVANCED_FACE('',(#29553),#13653,.T.); #338019=ADVANCED_FACE('',(#29554),#13654,.T.); #338020=ADVANCED_FACE('',(#29555),#13655,.T.); #338021=ADVANCED_FACE('',(#29556),#13656,.T.); #338022=ADVANCED_FACE('',(#29557),#13657,.T.); #338023=ADVANCED_FACE('',(#29558),#13658,.T.); #338024=ADVANCED_FACE('',(#29559),#13659,.T.); #338025=ADVANCED_FACE('',(#29560),#13660,.T.); #338026=ADVANCED_FACE('',(#29561),#13661,.T.); #338027=ADVANCED_FACE('',(#29562),#13662,.T.); #338028=ADVANCED_FACE('',(#29563),#13663,.T.); #338029=ADVANCED_FACE('',(#29564),#13664,.T.); #338030=ADVANCED_FACE('',(#29565),#13665,.T.); #338031=ADVANCED_FACE('',(#29566),#13666,.T.); #338032=ADVANCED_FACE('',(#29567),#13667,.T.); #338033=ADVANCED_FACE('',(#29568),#13668,.T.); #338034=ADVANCED_FACE('',(#29569),#13669,.T.); #338035=ADVANCED_FACE('',(#29570),#13670,.T.); #338036=ADVANCED_FACE('',(#29571),#13671,.T.); #338037=ADVANCED_FACE('',(#29572),#13672,.T.); #338038=ADVANCED_FACE('',(#29573),#13673,.T.); #338039=ADVANCED_FACE('',(#29574),#13674,.T.); #338040=ADVANCED_FACE('',(#29575),#13675,.T.); #338041=ADVANCED_FACE('',(#29576),#13676,.T.); #338042=ADVANCED_FACE('',(#29577),#13677,.T.); #338043=ADVANCED_FACE('',(#29578),#13678,.T.); #338044=ADVANCED_FACE('',(#29579),#13679,.T.); #338045=ADVANCED_FACE('',(#29580),#13680,.T.); #338046=ADVANCED_FACE('',(#29581),#13681,.T.); #338047=ADVANCED_FACE('',(#29582),#13682,.T.); #338048=ADVANCED_FACE('',(#29583),#13683,.T.); #338049=ADVANCED_FACE('',(#29584),#13684,.T.); #338050=ADVANCED_FACE('',(#29585),#13685,.T.); #338051=ADVANCED_FACE('',(#29586),#13686,.T.); #338052=ADVANCED_FACE('',(#29587),#13687,.T.); #338053=ADVANCED_FACE('',(#29588),#13688,.T.); #338054=ADVANCED_FACE('',(#29589),#13689,.T.); #338055=ADVANCED_FACE('',(#29590),#13690,.T.); #338056=ADVANCED_FACE('',(#29591),#13691,.T.); #338057=ADVANCED_FACE('',(#29592),#13692,.T.); #338058=ADVANCED_FACE('',(#29593),#13693,.T.); #338059=ADVANCED_FACE('',(#29594),#13694,.T.); #338060=ADVANCED_FACE('',(#29595),#13695,.T.); #338061=ADVANCED_FACE('',(#29596),#13696,.T.); #338062=ADVANCED_FACE('',(#29597),#13697,.T.); #338063=ADVANCED_FACE('',(#29598),#13698,.T.); #338064=ADVANCED_FACE('',(#29599),#13699,.T.); #338065=ADVANCED_FACE('',(#29600),#13700,.T.); #338066=ADVANCED_FACE('',(#29601),#13701,.T.); #338067=ADVANCED_FACE('',(#29602),#13702,.T.); #338068=ADVANCED_FACE('',(#29603),#13703,.T.); #338069=ADVANCED_FACE('',(#29604),#13704,.T.); #338070=ADVANCED_FACE('',(#29605),#13705,.T.); #338071=ADVANCED_FACE('',(#29606),#13706,.T.); #338072=ADVANCED_FACE('',(#29607),#13707,.T.); #338073=ADVANCED_FACE('',(#29608),#13708,.T.); #338074=ADVANCED_FACE('',(#29609),#13709,.T.); #338075=ADVANCED_FACE('',(#29610),#13710,.T.); #338076=ADVANCED_FACE('',(#29611),#13711,.T.); #338077=ADVANCED_FACE('',(#29612),#328103,.T.); #338078=ADVANCED_FACE('',(#29613),#13712,.T.); #338079=ADVANCED_FACE('',(#29614),#328104,.T.); #338080=ADVANCED_FACE('',(#29615),#13713,.T.); #338081=ADVANCED_FACE('',(#29616),#13714,.T.); #338082=ADVANCED_FACE('',(#29617),#13715,.T.); #338083=ADVANCED_FACE('',(#29618),#13716,.T.); #338084=ADVANCED_FACE('',(#29619),#13717,.T.); #338085=ADVANCED_FACE('',(#29620),#13718,.T.); #338086=ADVANCED_FACE('',(#29621),#13719,.T.); #338087=ADVANCED_FACE('',(#29622),#13720,.T.); #338088=ADVANCED_FACE('',(#29623),#328105,.T.); #338089=ADVANCED_FACE('',(#29624),#13721,.T.); #338090=ADVANCED_FACE('',(#29625),#13722,.T.); #338091=ADVANCED_FACE('',(#29626),#328106,.T.); #338092=ADVANCED_FACE('',(#29627),#13723,.T.); #338093=ADVANCED_FACE('',(#29628),#13724,.T.); #338094=ADVANCED_FACE('',(#29629),#13725,.T.); #338095=ADVANCED_FACE('',(#29630),#13726,.T.); #338096=ADVANCED_FACE('',(#29631),#13727,.T.); #338097=ADVANCED_FACE('',(#29632),#13728,.T.); #338098=ADVANCED_FACE('',(#29633),#13729,.T.); #338099=ADVANCED_FACE('',(#29634),#13730,.T.); #338100=ADVANCED_FACE('',(#29635),#13731,.T.); #338101=ADVANCED_FACE('',(#29636),#13732,.T.); #338102=ADVANCED_FACE('',(#29637),#13733,.T.); #338103=ADVANCED_FACE('',(#29638),#13734,.T.); #338104=ADVANCED_FACE('',(#29639),#13735,.T.); #338105=ADVANCED_FACE('',(#29640),#13736,.T.); #338106=ADVANCED_FACE('',(#29641),#13737,.T.); #338107=ADVANCED_FACE('',(#29642),#13738,.T.); #338108=ADVANCED_FACE('',(#29643),#13739,.T.); #338109=ADVANCED_FACE('',(#29644),#13740,.T.); #338110=ADVANCED_FACE('',(#29645),#13741,.T.); #338111=ADVANCED_FACE('',(#29646),#13742,.T.); #338112=ADVANCED_FACE('',(#29647),#13743,.T.); #338113=ADVANCED_FACE('',(#29648),#13744,.T.); #338114=ADVANCED_FACE('',(#29649),#13745,.T.); #338115=ADVANCED_FACE('',(#29650),#13746,.T.); #338116=ADVANCED_FACE('',(#29651),#13747,.T.); #338117=ADVANCED_FACE('',(#29652),#13748,.T.); #338118=ADVANCED_FACE('',(#29653),#13749,.T.); #338119=ADVANCED_FACE('',(#29654),#13750,.T.); #338120=ADVANCED_FACE('',(#29655),#13751,.T.); #338121=ADVANCED_FACE('',(#29656),#13752,.T.); #338122=ADVANCED_FACE('',(#29657),#13753,.T.); #338123=ADVANCED_FACE('',(#29658),#13754,.T.); #338124=ADVANCED_FACE('',(#29659),#13755,.T.); #338125=ADVANCED_FACE('',(#29660),#13756,.T.); #338126=ADVANCED_FACE('',(#29661),#13757,.T.); #338127=ADVANCED_FACE('',(#29662),#13758,.T.); #338128=ADVANCED_FACE('',(#29663),#13759,.T.); #338129=ADVANCED_FACE('',(#29664),#13760,.T.); #338130=ADVANCED_FACE('',(#29665),#13761,.T.); #338131=ADVANCED_FACE('',(#29666),#13762,.T.); #338132=ADVANCED_FACE('',(#29667),#13763,.T.); #338133=ADVANCED_FACE('',(#29668),#13764,.T.); #338134=ADVANCED_FACE('',(#29669),#13765,.T.); #338135=ADVANCED_FACE('',(#29670),#13766,.T.); #338136=ADVANCED_FACE('',(#29671),#13767,.T.); #338137=ADVANCED_FACE('',(#29672),#13768,.T.); #338138=ADVANCED_FACE('',(#29673),#13769,.T.); #338139=ADVANCED_FACE('',(#29674),#13770,.T.); #338140=ADVANCED_FACE('',(#29675),#13771,.T.); #338141=ADVANCED_FACE('',(#29676),#13772,.T.); #338142=ADVANCED_FACE('',(#29677),#13773,.T.); #338143=ADVANCED_FACE('',(#29678),#13774,.T.); #338144=ADVANCED_FACE('',(#29679),#13775,.T.); #338145=ADVANCED_FACE('',(#29680),#13776,.T.); #338146=ADVANCED_FACE('',(#29681),#13777,.T.); #338147=ADVANCED_FACE('',(#29682),#13778,.T.); #338148=ADVANCED_FACE('',(#29683),#13779,.T.); #338149=ADVANCED_FACE('',(#29684),#13780,.T.); #338150=ADVANCED_FACE('',(#29685),#13781,.T.); #338151=ADVANCED_FACE('',(#29686),#13782,.T.); #338152=ADVANCED_FACE('',(#29687),#13783,.T.); #338153=ADVANCED_FACE('',(#29688),#13784,.T.); #338154=ADVANCED_FACE('',(#29689),#13785,.T.); #338155=ADVANCED_FACE('',(#29690),#13786,.T.); #338156=ADVANCED_FACE('',(#29691),#13787,.T.); #338157=ADVANCED_FACE('',(#29692),#13788,.T.); #338158=ADVANCED_FACE('',(#29693),#13789,.T.); #338159=ADVANCED_FACE('',(#29694),#13790,.T.); #338160=ADVANCED_FACE('',(#29695),#13791,.T.); #338161=ADVANCED_FACE('',(#29696),#13792,.T.); #338162=ADVANCED_FACE('',(#29697),#13793,.T.); #338163=ADVANCED_FACE('',(#29698),#13794,.T.); #338164=ADVANCED_FACE('',(#29699),#13795,.T.); #338165=ADVANCED_FACE('',(#29700),#13796,.T.); #338166=ADVANCED_FACE('',(#29701),#13797,.T.); #338167=ADVANCED_FACE('',(#29702),#13798,.T.); #338168=ADVANCED_FACE('',(#29703),#13799,.T.); #338169=ADVANCED_FACE('',(#29704),#13800,.T.); #338170=ADVANCED_FACE('',(#29705),#13801,.T.); #338171=ADVANCED_FACE('',(#29706),#13802,.T.); #338172=ADVANCED_FACE('',(#29707),#13803,.T.); #338173=ADVANCED_FACE('',(#29708),#13804,.T.); #338174=ADVANCED_FACE('',(#29709),#13805,.T.); #338175=ADVANCED_FACE('',(#29710),#13806,.T.); #338176=ADVANCED_FACE('',(#29711,#5494,#5495,#5496,#5497,#5498,#5499,#5500, #5501,#5502,#5503,#5504,#5505,#5506,#5507,#5508,#5509,#5510),#13807,.T.); #338177=ADVANCED_FACE('',(#29712,#5511,#5512,#5513,#5514,#5515,#5516,#5517, #5518,#5519,#5520,#5521,#5522,#5523,#5524,#5525,#5526,#5527),#13808,.F.); #338178=ADVANCED_FACE('',(#29713),#13809,.T.); #338179=ADVANCED_FACE('',(#29714),#13810,.T.); #338180=ADVANCED_FACE('',(#29715),#13811,.T.); #338181=ADVANCED_FACE('',(#29716),#13812,.T.); #338182=ADVANCED_FACE('',(#29717),#13813,.T.); #338183=ADVANCED_FACE('',(#29718),#13814,.T.); #338184=ADVANCED_FACE('',(#29719),#13815,.T.); #338185=ADVANCED_FACE('',(#29720),#13816,.T.); #338186=ADVANCED_FACE('',(#29721),#13817,.T.); #338187=ADVANCED_FACE('',(#29722),#13818,.T.); #338188=ADVANCED_FACE('',(#29723),#13819,.T.); #338189=ADVANCED_FACE('',(#29724),#13820,.T.); #338190=ADVANCED_FACE('',(#29725),#13821,.T.); #338191=ADVANCED_FACE('',(#29726),#13822,.T.); #338192=ADVANCED_FACE('',(#29727),#13823,.T.); #338193=ADVANCED_FACE('',(#29728),#13824,.T.); #338194=ADVANCED_FACE('',(#29729),#13825,.T.); #338195=ADVANCED_FACE('',(#29730),#13826,.T.); #338196=ADVANCED_FACE('',(#29731),#13827,.T.); #338197=ADVANCED_FACE('',(#29732),#13828,.T.); #338198=ADVANCED_FACE('',(#29733),#13829,.T.); #338199=ADVANCED_FACE('',(#29734),#13830,.F.); #338200=ADVANCED_FACE('',(#29735),#13831,.T.); #338201=ADVANCED_FACE('',(#29736),#13832,.T.); #338202=ADVANCED_FACE('',(#29737),#13833,.T.); #338203=ADVANCED_FACE('',(#29738),#13834,.T.); #338204=ADVANCED_FACE('',(#29739),#13835,.T.); #338205=ADVANCED_FACE('',(#29740),#13836,.T.); #338206=ADVANCED_FACE('',(#29741),#13837,.T.); #338207=ADVANCED_FACE('',(#29742),#13838,.T.); #338208=ADVANCED_FACE('',(#29743),#13839,.T.); #338209=ADVANCED_FACE('',(#29744),#13840,.T.); #338210=ADVANCED_FACE('',(#29745),#13841,.T.); #338211=ADVANCED_FACE('',(#29746),#13842,.T.); #338212=ADVANCED_FACE('',(#29747),#13843,.T.); #338213=ADVANCED_FACE('',(#29748),#13844,.T.); #338214=ADVANCED_FACE('',(#29749),#13845,.T.); #338215=ADVANCED_FACE('',(#29750),#13846,.T.); #338216=ADVANCED_FACE('',(#29751),#13847,.T.); #338217=ADVANCED_FACE('',(#29752),#13848,.T.); #338218=ADVANCED_FACE('',(#29753),#13849,.T.); #338219=ADVANCED_FACE('',(#29754),#13850,.T.); #338220=ADVANCED_FACE('',(#29755),#13851,.T.); #338221=ADVANCED_FACE('',(#29756),#13852,.F.); #338222=ADVANCED_FACE('',(#29757),#13853,.T.); #338223=ADVANCED_FACE('',(#29758),#13854,.T.); #338224=ADVANCED_FACE('',(#29759),#13855,.T.); #338225=ADVANCED_FACE('',(#29760),#13856,.T.); #338226=ADVANCED_FACE('',(#29761),#13857,.T.); #338227=ADVANCED_FACE('',(#29762),#13858,.T.); #338228=ADVANCED_FACE('',(#29763),#13859,.T.); #338229=ADVANCED_FACE('',(#29764),#13860,.T.); #338230=ADVANCED_FACE('',(#29765),#13861,.T.); #338231=ADVANCED_FACE('',(#29766),#13862,.T.); #338232=ADVANCED_FACE('',(#29767),#13863,.T.); #338233=ADVANCED_FACE('',(#29768),#13864,.T.); #338234=ADVANCED_FACE('',(#29769),#13865,.T.); #338235=ADVANCED_FACE('',(#29770),#13866,.T.); #338236=ADVANCED_FACE('',(#29771),#13867,.T.); #338237=ADVANCED_FACE('',(#29772),#13868,.T.); #338238=ADVANCED_FACE('',(#29773),#13869,.T.); #338239=ADVANCED_FACE('',(#29774),#13870,.T.); #338240=ADVANCED_FACE('',(#29775),#13871,.T.); #338241=ADVANCED_FACE('',(#29776),#13872,.T.); #338242=ADVANCED_FACE('',(#29777),#13873,.T.); #338243=ADVANCED_FACE('',(#29778),#13874,.F.); #338244=ADVANCED_FACE('',(#29779),#13875,.T.); #338245=ADVANCED_FACE('',(#29780),#13876,.T.); #338246=ADVANCED_FACE('',(#29781),#13877,.T.); #338247=ADVANCED_FACE('',(#29782),#13878,.T.); #338248=ADVANCED_FACE('',(#29783),#13879,.T.); #338249=ADVANCED_FACE('',(#29784),#13880,.T.); #338250=ADVANCED_FACE('',(#29785),#13881,.T.); #338251=ADVANCED_FACE('',(#29786),#13882,.T.); #338252=ADVANCED_FACE('',(#29787),#13883,.T.); #338253=ADVANCED_FACE('',(#29788),#13884,.T.); #338254=ADVANCED_FACE('',(#29789),#13885,.T.); #338255=ADVANCED_FACE('',(#29790),#13886,.T.); #338256=ADVANCED_FACE('',(#29791),#13887,.T.); #338257=ADVANCED_FACE('',(#29792),#13888,.T.); #338258=ADVANCED_FACE('',(#29793),#13889,.T.); #338259=ADVANCED_FACE('',(#29794),#13890,.T.); #338260=ADVANCED_FACE('',(#29795),#13891,.T.); #338261=ADVANCED_FACE('',(#29796),#13892,.T.); #338262=ADVANCED_FACE('',(#29797),#13893,.T.); #338263=ADVANCED_FACE('',(#29798),#13894,.T.); #338264=ADVANCED_FACE('',(#29799),#13895,.T.); #338265=ADVANCED_FACE('',(#29800),#13896,.T.); #338266=ADVANCED_FACE('',(#29801),#13897,.T.); #338267=ADVANCED_FACE('',(#29802),#13898,.T.); #338268=ADVANCED_FACE('',(#29803),#13899,.F.); #338269=ADVANCED_FACE('',(#29804),#13900,.T.); #338270=ADVANCED_FACE('',(#29805),#13901,.T.); #338271=ADVANCED_FACE('',(#29806),#13902,.T.); #338272=ADVANCED_FACE('',(#29807),#13903,.T.); #338273=ADVANCED_FACE('',(#29808),#13904,.T.); #338274=ADVANCED_FACE('',(#29809),#13905,.T.); #338275=ADVANCED_FACE('',(#29810),#13906,.T.); #338276=ADVANCED_FACE('',(#29811),#13907,.T.); #338277=ADVANCED_FACE('',(#29812),#13908,.T.); #338278=ADVANCED_FACE('',(#29813),#13909,.T.); #338279=ADVANCED_FACE('',(#29814),#13910,.T.); #338280=ADVANCED_FACE('',(#29815),#13911,.T.); #338281=ADVANCED_FACE('',(#29816),#13912,.T.); #338282=ADVANCED_FACE('',(#29817),#13913,.T.); #338283=ADVANCED_FACE('',(#29818),#13914,.T.); #338284=ADVANCED_FACE('',(#29819),#13915,.T.); #338285=ADVANCED_FACE('',(#29820),#13916,.T.); #338286=ADVANCED_FACE('',(#29821),#13917,.T.); #338287=ADVANCED_FACE('',(#29822),#13918,.T.); #338288=ADVANCED_FACE('',(#29823),#13919,.T.); #338289=ADVANCED_FACE('',(#29824),#13920,.T.); #338290=ADVANCED_FACE('',(#29825),#13921,.F.); #338291=ADVANCED_FACE('',(#29826),#13922,.T.); #338292=ADVANCED_FACE('',(#29827),#13923,.T.); #338293=ADVANCED_FACE('',(#29828),#13924,.T.); #338294=ADVANCED_FACE('',(#29829),#13925,.T.); #338295=ADVANCED_FACE('',(#29830),#13926,.T.); #338296=ADVANCED_FACE('',(#29831),#13927,.T.); #338297=ADVANCED_FACE('',(#29832),#13928,.T.); #338298=ADVANCED_FACE('',(#29833),#13929,.T.); #338299=ADVANCED_FACE('',(#29834),#13930,.T.); #338300=ADVANCED_FACE('',(#29835),#13931,.T.); #338301=ADVANCED_FACE('',(#29836),#13932,.T.); #338302=ADVANCED_FACE('',(#29837),#13933,.T.); #338303=ADVANCED_FACE('',(#29838),#13934,.T.); #338304=ADVANCED_FACE('',(#29839),#13935,.T.); #338305=ADVANCED_FACE('',(#29840),#13936,.T.); #338306=ADVANCED_FACE('',(#29841),#13937,.T.); #338307=ADVANCED_FACE('',(#29842),#13938,.T.); #338308=ADVANCED_FACE('',(#29843),#13939,.T.); #338309=ADVANCED_FACE('',(#29844),#13940,.T.); #338310=ADVANCED_FACE('',(#29845),#13941,.T.); #338311=ADVANCED_FACE('',(#29846),#13942,.T.); #338312=ADVANCED_FACE('',(#29847),#13943,.T.); #338313=ADVANCED_FACE('',(#29848),#13944,.F.); #338314=ADVANCED_FACE('',(#29849),#328107,.F.); #338315=ADVANCED_FACE('',(#29850),#13945,.T.); #338316=ADVANCED_FACE('',(#29851),#328108,.T.); #338317=ADVANCED_FACE('',(#29852),#328109,.T.); #338318=ADVANCED_FACE('',(#29853,#5528),#13946,.T.); #338319=ADVANCED_FACE('',(#29854,#5529),#13947,.F.); #338320=ADVANCED_FACE('',(#29855),#328110,.F.); #338321=ADVANCED_FACE('',(#29856),#13948,.T.); #338322=ADVANCED_FACE('',(#29857),#328111,.T.); #338323=ADVANCED_FACE('',(#29858),#328112,.T.); #338324=ADVANCED_FACE('',(#29859,#5530),#13949,.T.); #338325=ADVANCED_FACE('',(#29860,#5531),#13950,.F.); #338326=ADVANCED_FACE('',(#29861),#328113,.F.); #338327=ADVANCED_FACE('',(#29862),#13951,.T.); #338328=ADVANCED_FACE('',(#29863),#328114,.T.); #338329=ADVANCED_FACE('',(#29864),#328115,.T.); #338330=ADVANCED_FACE('',(#29865,#5532),#13952,.T.); #338331=ADVANCED_FACE('',(#29866,#5533),#13953,.F.); #338332=ADVANCED_FACE('',(#29867),#328116,.F.); #338333=ADVANCED_FACE('',(#29868),#13954,.T.); #338334=ADVANCED_FACE('',(#29869),#328117,.T.); #338335=ADVANCED_FACE('',(#29870),#328118,.T.); #338336=ADVANCED_FACE('',(#29871,#5534),#13955,.T.); #338337=ADVANCED_FACE('',(#29872,#5535),#13956,.F.); #338338=ADVANCED_FACE('',(#29873),#328119,.F.); #338339=ADVANCED_FACE('',(#29874),#13957,.T.); #338340=ADVANCED_FACE('',(#29875),#13958,.T.); #338341=ADVANCED_FACE('',(#29876),#13959,.T.); #338342=ADVANCED_FACE('',(#29877),#13960,.T.); #338343=ADVANCED_FACE('',(#29878,#5536),#13961,.T.); #338344=ADVANCED_FACE('',(#29879,#5537),#13962,.F.); #338345=ADVANCED_FACE('',(#29880),#328120,.F.); #338346=ADVANCED_FACE('',(#29881),#13963,.T.); #338347=ADVANCED_FACE('',(#29882),#328121,.T.); #338348=ADVANCED_FACE('',(#29883),#328122,.T.); #338349=ADVANCED_FACE('',(#29884,#5538),#13964,.T.); #338350=ADVANCED_FACE('',(#29885,#5539),#13965,.F.); #338351=ADVANCED_FACE('',(#29886),#328123,.F.); #338352=ADVANCED_FACE('',(#29887),#13966,.T.); #338353=ADVANCED_FACE('',(#29888),#328124,.T.); #338354=ADVANCED_FACE('',(#29889),#328125,.T.); #338355=ADVANCED_FACE('',(#29890,#5540),#13967,.T.); #338356=ADVANCED_FACE('',(#29891,#5541),#13968,.F.); #338357=ADVANCED_FACE('',(#29892),#328126,.F.); #338358=ADVANCED_FACE('',(#29893),#13969,.T.); #338359=ADVANCED_FACE('',(#29894),#328127,.T.); #338360=ADVANCED_FACE('',(#29895),#328128,.T.); #338361=ADVANCED_FACE('',(#29896,#5542),#13970,.T.); #338362=ADVANCED_FACE('',(#29897,#5543),#13971,.F.); #338363=ADVANCED_FACE('',(#29898),#328129,.F.); #338364=ADVANCED_FACE('',(#29899),#13972,.T.); #338365=ADVANCED_FACE('',(#29900),#328130,.T.); #338366=ADVANCED_FACE('',(#29901),#328131,.T.); #338367=ADVANCED_FACE('',(#29902,#5544),#13973,.T.); #338368=ADVANCED_FACE('',(#29903,#5545),#13974,.F.); #338369=ADVANCED_FACE('',(#29904),#13975,.F.); #338370=ADVANCED_FACE('',(#29905),#328132,.F.); #338371=ADVANCED_FACE('',(#29906),#13976,.F.); #338372=ADVANCED_FACE('',(#29907),#328133,.F.); #338373=ADVANCED_FACE('',(#29908),#13977,.T.); #338374=ADVANCED_FACE('',(#29909),#13978,.T.); #338375=ADVANCED_FACE('',(#29910),#13979,.T.); #338376=ADVANCED_FACE('',(#29911),#13980,.T.); #338377=ADVANCED_FACE('',(#29912),#13981,.T.); #338378=ADVANCED_FACE('',(#29913),#13982,.T.); #338379=ADVANCED_FACE('',(#29914),#13983,.T.); #338380=ADVANCED_FACE('',(#29915),#13984,.T.); #338381=ADVANCED_FACE('',(#29916),#13985,.T.); #338382=ADVANCED_FACE('',(#29917),#13986,.T.); #338383=ADVANCED_FACE('',(#29918),#13987,.T.); #338384=ADVANCED_FACE('',(#29919),#13988,.T.); #338385=ADVANCED_FACE('',(#29920),#13989,.T.); #338386=ADVANCED_FACE('',(#29921),#13990,.T.); #338387=ADVANCED_FACE('',(#29922),#13991,.T.); #338388=ADVANCED_FACE('',(#29923),#13992,.T.); #338389=ADVANCED_FACE('',(#29924),#13993,.T.); #338390=ADVANCED_FACE('',(#29925),#13994,.T.); #338391=ADVANCED_FACE('',(#29926),#13995,.T.); #338392=ADVANCED_FACE('',(#29927),#13996,.T.); #338393=ADVANCED_FACE('',(#29928),#13997,.T.); #338394=ADVANCED_FACE('',(#29929),#13998,.T.); #338395=ADVANCED_FACE('',(#29930),#13999,.T.); #338396=ADVANCED_FACE('',(#29931),#14000,.T.); #338397=ADVANCED_FACE('',(#29932),#14001,.T.); #338398=ADVANCED_FACE('',(#29933),#14002,.T.); #338399=ADVANCED_FACE('',(#29934),#14003,.T.); #338400=ADVANCED_FACE('',(#29935),#14004,.T.); #338401=ADVANCED_FACE('',(#29936),#14005,.T.); #338402=ADVANCED_FACE('',(#29937),#14006,.T.); #338403=ADVANCED_FACE('',(#29938),#14007,.T.); #338404=ADVANCED_FACE('',(#29939),#14008,.T.); #338405=ADVANCED_FACE('',(#29940),#14009,.T.); #338406=ADVANCED_FACE('',(#29941),#14010,.T.); #338407=ADVANCED_FACE('',(#29942),#14011,.T.); #338408=ADVANCED_FACE('',(#29943),#14012,.T.); #338409=ADVANCED_FACE('',(#29944),#14013,.T.); #338410=ADVANCED_FACE('',(#29945),#14014,.T.); #338411=ADVANCED_FACE('',(#29946),#14015,.T.); #338412=ADVANCED_FACE('',(#29947),#14016,.T.); #338413=ADVANCED_FACE('',(#29948),#14017,.T.); #338414=ADVANCED_FACE('',(#29949),#14018,.T.); #338415=ADVANCED_FACE('',(#29950),#14019,.T.); #338416=ADVANCED_FACE('',(#29951),#14020,.T.); #338417=ADVANCED_FACE('',(#29952),#14021,.T.); #338418=ADVANCED_FACE('',(#29953),#14022,.T.); #338419=ADVANCED_FACE('',(#29954),#14023,.T.); #338420=ADVANCED_FACE('',(#29955),#14024,.T.); #338421=ADVANCED_FACE('',(#29956),#14025,.T.); #338422=ADVANCED_FACE('',(#29957),#14026,.T.); #338423=ADVANCED_FACE('',(#29958),#14027,.T.); #338424=ADVANCED_FACE('',(#29959),#14028,.T.); #338425=ADVANCED_FACE('',(#29960),#14029,.T.); #338426=ADVANCED_FACE('',(#29961),#14030,.T.); #338427=ADVANCED_FACE('',(#29962),#14031,.T.); #338428=ADVANCED_FACE('',(#29963),#14032,.T.); #338429=ADVANCED_FACE('',(#29964,#5546),#14033,.T.); #338430=ADVANCED_FACE('',(#29965,#5547),#14034,.F.); #338431=ADVANCED_FACE('',(#29966),#14035,.F.); #338432=ADVANCED_FACE('',(#29967),#328134,.F.); #338433=ADVANCED_FACE('',(#29968),#14036,.F.); #338434=ADVANCED_FACE('',(#29969),#328135,.F.); #338435=ADVANCED_FACE('',(#29970),#14037,.T.); #338436=ADVANCED_FACE('',(#29971),#14038,.T.); #338437=ADVANCED_FACE('',(#29972),#14039,.T.); #338438=ADVANCED_FACE('',(#29973),#14040,.T.); #338439=ADVANCED_FACE('',(#29974),#14041,.T.); #338440=ADVANCED_FACE('',(#29975),#14042,.T.); #338441=ADVANCED_FACE('',(#29976),#14043,.T.); #338442=ADVANCED_FACE('',(#29977),#14044,.T.); #338443=ADVANCED_FACE('',(#29978),#14045,.T.); #338444=ADVANCED_FACE('',(#29979),#14046,.T.); #338445=ADVANCED_FACE('',(#29980),#14047,.T.); #338446=ADVANCED_FACE('',(#29981),#14048,.T.); #338447=ADVANCED_FACE('',(#29982),#14049,.T.); #338448=ADVANCED_FACE('',(#29983),#14050,.T.); #338449=ADVANCED_FACE('',(#29984),#14051,.T.); #338450=ADVANCED_FACE('',(#29985),#14052,.T.); #338451=ADVANCED_FACE('',(#29986),#14053,.T.); #338452=ADVANCED_FACE('',(#29987),#14054,.T.); #338453=ADVANCED_FACE('',(#29988),#14055,.T.); #338454=ADVANCED_FACE('',(#29989),#14056,.T.); #338455=ADVANCED_FACE('',(#29990),#14057,.T.); #338456=ADVANCED_FACE('',(#29991),#14058,.T.); #338457=ADVANCED_FACE('',(#29992),#14059,.T.); #338458=ADVANCED_FACE('',(#29993),#14060,.T.); #338459=ADVANCED_FACE('',(#29994),#14061,.T.); #338460=ADVANCED_FACE('',(#29995),#14062,.T.); #338461=ADVANCED_FACE('',(#29996),#14063,.T.); #338462=ADVANCED_FACE('',(#29997),#14064,.T.); #338463=ADVANCED_FACE('',(#29998),#14065,.T.); #338464=ADVANCED_FACE('',(#29999),#14066,.T.); #338465=ADVANCED_FACE('',(#30000),#14067,.T.); #338466=ADVANCED_FACE('',(#30001),#14068,.T.); #338467=ADVANCED_FACE('',(#30002),#14069,.T.); #338468=ADVANCED_FACE('',(#30003),#14070,.T.); #338469=ADVANCED_FACE('',(#30004),#14071,.T.); #338470=ADVANCED_FACE('',(#30005),#14072,.T.); #338471=ADVANCED_FACE('',(#30006),#14073,.T.); #338472=ADVANCED_FACE('',(#30007),#14074,.T.); #338473=ADVANCED_FACE('',(#30008),#14075,.T.); #338474=ADVANCED_FACE('',(#30009),#14076,.T.); #338475=ADVANCED_FACE('',(#30010),#14077,.T.); #338476=ADVANCED_FACE('',(#30011),#14078,.T.); #338477=ADVANCED_FACE('',(#30012),#14079,.T.); #338478=ADVANCED_FACE('',(#30013),#14080,.T.); #338479=ADVANCED_FACE('',(#30014),#14081,.T.); #338480=ADVANCED_FACE('',(#30015),#14082,.T.); #338481=ADVANCED_FACE('',(#30016),#14083,.T.); #338482=ADVANCED_FACE('',(#30017),#14084,.T.); #338483=ADVANCED_FACE('',(#30018),#14085,.T.); #338484=ADVANCED_FACE('',(#30019),#14086,.T.); #338485=ADVANCED_FACE('',(#30020),#14087,.T.); #338486=ADVANCED_FACE('',(#30021),#14088,.T.); #338487=ADVANCED_FACE('',(#30022),#14089,.T.); #338488=ADVANCED_FACE('',(#30023),#14090,.T.); #338489=ADVANCED_FACE('',(#30024),#14091,.T.); #338490=ADVANCED_FACE('',(#30025),#14092,.T.); #338491=ADVANCED_FACE('',(#30026),#14093,.T.); #338492=ADVANCED_FACE('',(#30027),#14094,.T.); #338493=ADVANCED_FACE('',(#30028),#14095,.T.); #338494=ADVANCED_FACE('',(#30029),#14096,.T.); #338495=ADVANCED_FACE('',(#30030),#14097,.T.); #338496=ADVANCED_FACE('',(#30031),#14098,.T.); #338497=ADVANCED_FACE('',(#30032),#14099,.T.); #338498=ADVANCED_FACE('',(#30033),#14100,.T.); #338499=ADVANCED_FACE('',(#30034),#14101,.T.); #338500=ADVANCED_FACE('',(#30035),#14102,.T.); #338501=ADVANCED_FACE('',(#30036),#14103,.T.); #338502=ADVANCED_FACE('',(#30037),#14104,.T.); #338503=ADVANCED_FACE('',(#30038),#14105,.T.); #338504=ADVANCED_FACE('',(#30039),#14106,.T.); #338505=ADVANCED_FACE('',(#30040),#14107,.T.); #338506=ADVANCED_FACE('',(#30041),#14108,.T.); #338507=ADVANCED_FACE('',(#30042,#5548),#14109,.T.); #338508=ADVANCED_FACE('',(#30043,#5549),#14110,.F.); #338509=ADVANCED_FACE('',(#30044),#14111,.F.); #338510=ADVANCED_FACE('',(#30045),#328136,.F.); #338511=ADVANCED_FACE('',(#30046),#14112,.F.); #338512=ADVANCED_FACE('',(#30047),#328137,.F.); #338513=ADVANCED_FACE('',(#30048),#14113,.T.); #338514=ADVANCED_FACE('',(#30049),#14114,.T.); #338515=ADVANCED_FACE('',(#30050),#14115,.T.); #338516=ADVANCED_FACE('',(#30051),#14116,.T.); #338517=ADVANCED_FACE('',(#30052),#14117,.T.); #338518=ADVANCED_FACE('',(#30053),#14118,.T.); #338519=ADVANCED_FACE('',(#30054),#14119,.T.); #338520=ADVANCED_FACE('',(#30055),#14120,.T.); #338521=ADVANCED_FACE('',(#30056),#14121,.T.); #338522=ADVANCED_FACE('',(#30057),#14122,.T.); #338523=ADVANCED_FACE('',(#30058),#14123,.T.); #338524=ADVANCED_FACE('',(#30059),#14124,.T.); #338525=ADVANCED_FACE('',(#30060),#14125,.T.); #338526=ADVANCED_FACE('',(#30061),#14126,.T.); #338527=ADVANCED_FACE('',(#30062),#14127,.T.); #338528=ADVANCED_FACE('',(#30063),#14128,.T.); #338529=ADVANCED_FACE('',(#30064),#14129,.T.); #338530=ADVANCED_FACE('',(#30065),#14130,.T.); #338531=ADVANCED_FACE('',(#30066),#14131,.T.); #338532=ADVANCED_FACE('',(#30067),#14132,.T.); #338533=ADVANCED_FACE('',(#30068),#14133,.T.); #338534=ADVANCED_FACE('',(#30069),#14134,.T.); #338535=ADVANCED_FACE('',(#30070),#14135,.T.); #338536=ADVANCED_FACE('',(#30071),#14136,.T.); #338537=ADVANCED_FACE('',(#30072),#14137,.T.); #338538=ADVANCED_FACE('',(#30073),#14138,.T.); #338539=ADVANCED_FACE('',(#30074),#14139,.T.); #338540=ADVANCED_FACE('',(#30075),#14140,.T.); #338541=ADVANCED_FACE('',(#30076),#14141,.T.); #338542=ADVANCED_FACE('',(#30077),#14142,.T.); #338543=ADVANCED_FACE('',(#30078),#14143,.T.); #338544=ADVANCED_FACE('',(#30079),#14144,.T.); #338545=ADVANCED_FACE('',(#30080),#14145,.T.); #338546=ADVANCED_FACE('',(#30081),#14146,.T.); #338547=ADVANCED_FACE('',(#30082),#14147,.T.); #338548=ADVANCED_FACE('',(#30083),#14148,.T.); #338549=ADVANCED_FACE('',(#30084),#14149,.T.); #338550=ADVANCED_FACE('',(#30085),#14150,.T.); #338551=ADVANCED_FACE('',(#30086),#14151,.T.); #338552=ADVANCED_FACE('',(#30087),#14152,.T.); #338553=ADVANCED_FACE('',(#30088),#14153,.T.); #338554=ADVANCED_FACE('',(#30089),#14154,.T.); #338555=ADVANCED_FACE('',(#30090),#14155,.T.); #338556=ADVANCED_FACE('',(#30091),#14156,.T.); #338557=ADVANCED_FACE('',(#30092),#14157,.T.); #338558=ADVANCED_FACE('',(#30093),#14158,.T.); #338559=ADVANCED_FACE('',(#30094),#14159,.T.); #338560=ADVANCED_FACE('',(#30095),#14160,.T.); #338561=ADVANCED_FACE('',(#30096),#14161,.T.); #338562=ADVANCED_FACE('',(#30097),#14162,.T.); #338563=ADVANCED_FACE('',(#30098),#14163,.T.); #338564=ADVANCED_FACE('',(#30099),#14164,.T.); #338565=ADVANCED_FACE('',(#30100),#14165,.T.); #338566=ADVANCED_FACE('',(#30101),#14166,.T.); #338567=ADVANCED_FACE('',(#30102),#14167,.T.); #338568=ADVANCED_FACE('',(#30103),#14168,.T.); #338569=ADVANCED_FACE('',(#30104,#5550),#14169,.T.); #338570=ADVANCED_FACE('',(#30105,#5551),#14170,.F.); #338571=ADVANCED_FACE('',(#30106),#328138,.F.); #338572=ADVANCED_FACE('',(#30107),#14171,.T.); #338573=ADVANCED_FACE('',(#30108),#14172,.T.); #338574=ADVANCED_FACE('',(#30109),#14173,.T.); #338575=ADVANCED_FACE('',(#30110),#14174,.T.); #338576=ADVANCED_FACE('',(#30111,#5552),#14175,.T.); #338577=ADVANCED_FACE('',(#30112,#5553),#14176,.F.); #338578=ADVANCED_FACE('',(#30113),#14177,.F.); #338579=ADVANCED_FACE('',(#30114),#328139,.F.); #338580=ADVANCED_FACE('',(#30115),#14178,.F.); #338581=ADVANCED_FACE('',(#30116),#328140,.F.); #338582=ADVANCED_FACE('',(#30117),#14179,.T.); #338583=ADVANCED_FACE('',(#30118),#14180,.T.); #338584=ADVANCED_FACE('',(#30119),#14181,.T.); #338585=ADVANCED_FACE('',(#30120),#14182,.T.); #338586=ADVANCED_FACE('',(#30121),#14183,.T.); #338587=ADVANCED_FACE('',(#30122),#14184,.T.); #338588=ADVANCED_FACE('',(#30123),#14185,.T.); #338589=ADVANCED_FACE('',(#30124),#14186,.T.); #338590=ADVANCED_FACE('',(#30125),#14187,.T.); #338591=ADVANCED_FACE('',(#30126),#14188,.T.); #338592=ADVANCED_FACE('',(#30127),#14189,.T.); #338593=ADVANCED_FACE('',(#30128),#14190,.T.); #338594=ADVANCED_FACE('',(#30129),#14191,.T.); #338595=ADVANCED_FACE('',(#30130),#14192,.T.); #338596=ADVANCED_FACE('',(#30131),#14193,.T.); #338597=ADVANCED_FACE('',(#30132),#14194,.T.); #338598=ADVANCED_FACE('',(#30133),#14195,.T.); #338599=ADVANCED_FACE('',(#30134),#14196,.T.); #338600=ADVANCED_FACE('',(#30135),#14197,.T.); #338601=ADVANCED_FACE('',(#30136),#14198,.T.); #338602=ADVANCED_FACE('',(#30137),#14199,.T.); #338603=ADVANCED_FACE('',(#30138),#14200,.T.); #338604=ADVANCED_FACE('',(#30139),#14201,.T.); #338605=ADVANCED_FACE('',(#30140),#14202,.T.); #338606=ADVANCED_FACE('',(#30141),#14203,.T.); #338607=ADVANCED_FACE('',(#30142),#14204,.T.); #338608=ADVANCED_FACE('',(#30143),#14205,.T.); #338609=ADVANCED_FACE('',(#30144),#14206,.T.); #338610=ADVANCED_FACE('',(#30145),#14207,.T.); #338611=ADVANCED_FACE('',(#30146),#14208,.T.); #338612=ADVANCED_FACE('',(#30147),#14209,.T.); #338613=ADVANCED_FACE('',(#30148),#14210,.T.); #338614=ADVANCED_FACE('',(#30149),#14211,.T.); #338615=ADVANCED_FACE('',(#30150),#14212,.T.); #338616=ADVANCED_FACE('',(#30151),#14213,.T.); #338617=ADVANCED_FACE('',(#30152),#14214,.T.); #338618=ADVANCED_FACE('',(#30153),#14215,.T.); #338619=ADVANCED_FACE('',(#30154),#14216,.T.); #338620=ADVANCED_FACE('',(#30155),#14217,.T.); #338621=ADVANCED_FACE('',(#30156),#14218,.T.); #338622=ADVANCED_FACE('',(#30157),#14219,.T.); #338623=ADVANCED_FACE('',(#30158),#14220,.T.); #338624=ADVANCED_FACE('',(#30159),#14221,.T.); #338625=ADVANCED_FACE('',(#30160),#14222,.T.); #338626=ADVANCED_FACE('',(#30161),#14223,.T.); #338627=ADVANCED_FACE('',(#30162),#14224,.T.); #338628=ADVANCED_FACE('',(#30163),#14225,.T.); #338629=ADVANCED_FACE('',(#30164),#14226,.T.); #338630=ADVANCED_FACE('',(#30165),#14227,.T.); #338631=ADVANCED_FACE('',(#30166),#14228,.T.); #338632=ADVANCED_FACE('',(#30167),#14229,.T.); #338633=ADVANCED_FACE('',(#30168),#14230,.T.); #338634=ADVANCED_FACE('',(#30169),#14231,.T.); #338635=ADVANCED_FACE('',(#30170),#14232,.T.); #338636=ADVANCED_FACE('',(#30171),#14233,.T.); #338637=ADVANCED_FACE('',(#30172),#14234,.T.); #338638=ADVANCED_FACE('',(#30173,#5554),#14235,.T.); #338639=ADVANCED_FACE('',(#30174,#5555),#14236,.F.); #338640=ADVANCED_FACE('',(#30175),#14237,.F.); #338641=ADVANCED_FACE('',(#30176),#328141,.F.); #338642=ADVANCED_FACE('',(#30177),#14238,.F.); #338643=ADVANCED_FACE('',(#30178),#328142,.F.); #338644=ADVANCED_FACE('',(#30179),#14239,.T.); #338645=ADVANCED_FACE('',(#30180),#14240,.T.); #338646=ADVANCED_FACE('',(#30181),#14241,.T.); #338647=ADVANCED_FACE('',(#30182),#14242,.T.); #338648=ADVANCED_FACE('',(#30183),#14243,.T.); #338649=ADVANCED_FACE('',(#30184),#14244,.T.); #338650=ADVANCED_FACE('',(#30185),#14245,.T.); #338651=ADVANCED_FACE('',(#30186),#14246,.T.); #338652=ADVANCED_FACE('',(#30187),#14247,.T.); #338653=ADVANCED_FACE('',(#30188),#14248,.T.); #338654=ADVANCED_FACE('',(#30189),#14249,.T.); #338655=ADVANCED_FACE('',(#30190),#14250,.T.); #338656=ADVANCED_FACE('',(#30191),#14251,.T.); #338657=ADVANCED_FACE('',(#30192),#14252,.T.); #338658=ADVANCED_FACE('',(#30193),#14253,.T.); #338659=ADVANCED_FACE('',(#30194),#14254,.T.); #338660=ADVANCED_FACE('',(#30195),#14255,.T.); #338661=ADVANCED_FACE('',(#30196),#14256,.T.); #338662=ADVANCED_FACE('',(#30197),#14257,.T.); #338663=ADVANCED_FACE('',(#30198),#14258,.T.); #338664=ADVANCED_FACE('',(#30199),#14259,.T.); #338665=ADVANCED_FACE('',(#30200),#14260,.T.); #338666=ADVANCED_FACE('',(#30201),#14261,.T.); #338667=ADVANCED_FACE('',(#30202),#14262,.T.); #338668=ADVANCED_FACE('',(#30203),#14263,.T.); #338669=ADVANCED_FACE('',(#30204),#14264,.T.); #338670=ADVANCED_FACE('',(#30205),#14265,.T.); #338671=ADVANCED_FACE('',(#30206),#14266,.T.); #338672=ADVANCED_FACE('',(#30207),#14267,.T.); #338673=ADVANCED_FACE('',(#30208),#14268,.T.); #338674=ADVANCED_FACE('',(#30209),#14269,.T.); #338675=ADVANCED_FACE('',(#30210),#14270,.T.); #338676=ADVANCED_FACE('',(#30211),#14271,.T.); #338677=ADVANCED_FACE('',(#30212),#14272,.T.); #338678=ADVANCED_FACE('',(#30213),#14273,.T.); #338679=ADVANCED_FACE('',(#30214),#14274,.T.); #338680=ADVANCED_FACE('',(#30215),#14275,.T.); #338681=ADVANCED_FACE('',(#30216),#14276,.T.); #338682=ADVANCED_FACE('',(#30217),#14277,.T.); #338683=ADVANCED_FACE('',(#30218),#14278,.T.); #338684=ADVANCED_FACE('',(#30219),#14279,.T.); #338685=ADVANCED_FACE('',(#30220),#14280,.T.); #338686=ADVANCED_FACE('',(#30221),#14281,.T.); #338687=ADVANCED_FACE('',(#30222),#14282,.T.); #338688=ADVANCED_FACE('',(#30223),#14283,.T.); #338689=ADVANCED_FACE('',(#30224),#14284,.T.); #338690=ADVANCED_FACE('',(#30225),#14285,.T.); #338691=ADVANCED_FACE('',(#30226),#14286,.T.); #338692=ADVANCED_FACE('',(#30227),#14287,.T.); #338693=ADVANCED_FACE('',(#30228),#14288,.T.); #338694=ADVANCED_FACE('',(#30229),#14289,.T.); #338695=ADVANCED_FACE('',(#30230),#14290,.T.); #338696=ADVANCED_FACE('',(#30231),#14291,.T.); #338697=ADVANCED_FACE('',(#30232),#14292,.T.); #338698=ADVANCED_FACE('',(#30233),#14293,.T.); #338699=ADVANCED_FACE('',(#30234),#14294,.T.); #338700=ADVANCED_FACE('',(#30235),#14295,.T.); #338701=ADVANCED_FACE('',(#30236),#14296,.T.); #338702=ADVANCED_FACE('',(#30237),#14297,.T.); #338703=ADVANCED_FACE('',(#30238),#14298,.T.); #338704=ADVANCED_FACE('',(#30239),#14299,.T.); #338705=ADVANCED_FACE('',(#30240),#14300,.T.); #338706=ADVANCED_FACE('',(#30241),#14301,.T.); #338707=ADVANCED_FACE('',(#30242),#14302,.T.); #338708=ADVANCED_FACE('',(#30243),#14303,.T.); #338709=ADVANCED_FACE('',(#30244),#14304,.T.); #338710=ADVANCED_FACE('',(#30245),#14305,.T.); #338711=ADVANCED_FACE('',(#30246),#14306,.T.); #338712=ADVANCED_FACE('',(#30247),#14307,.T.); #338713=ADVANCED_FACE('',(#30248),#14308,.T.); #338714=ADVANCED_FACE('',(#30249),#14309,.T.); #338715=ADVANCED_FACE('',(#30250),#14310,.T.); #338716=ADVANCED_FACE('',(#30251,#5556),#14311,.T.); #338717=ADVANCED_FACE('',(#30252,#5557),#14312,.F.); #338718=ADVANCED_FACE('',(#30253),#328143,.F.); #338719=ADVANCED_FACE('',(#30254),#14313,.T.); #338720=ADVANCED_FACE('',(#30255),#328144,.T.); #338721=ADVANCED_FACE('',(#30256),#328145,.T.); #338722=ADVANCED_FACE('',(#30257,#5558),#14314,.T.); #338723=ADVANCED_FACE('',(#30258,#5559),#14315,.F.); #338724=ADVANCED_FACE('',(#30259),#328146,.F.); #338725=ADVANCED_FACE('',(#30260),#14316,.T.); #338726=ADVANCED_FACE('',(#30261),#328147,.T.); #338727=ADVANCED_FACE('',(#30262),#328148,.T.); #338728=ADVANCED_FACE('',(#30263,#5560),#14317,.T.); #338729=ADVANCED_FACE('',(#30264,#5561),#14318,.F.); #338730=ADVANCED_FACE('',(#30265),#14319,.F.); #338731=ADVANCED_FACE('',(#30266),#328149,.F.); #338732=ADVANCED_FACE('',(#30267),#14320,.F.); #338733=ADVANCED_FACE('',(#30268),#328150,.F.); #338734=ADVANCED_FACE('',(#30269),#14321,.T.); #338735=ADVANCED_FACE('',(#30270),#14322,.T.); #338736=ADVANCED_FACE('',(#30271),#14323,.T.); #338737=ADVANCED_FACE('',(#30272),#14324,.T.); #338738=ADVANCED_FACE('',(#30273),#14325,.T.); #338739=ADVANCED_FACE('',(#30274),#14326,.T.); #338740=ADVANCED_FACE('',(#30275),#14327,.T.); #338741=ADVANCED_FACE('',(#30276),#14328,.T.); #338742=ADVANCED_FACE('',(#30277),#14329,.T.); #338743=ADVANCED_FACE('',(#30278),#14330,.T.); #338744=ADVANCED_FACE('',(#30279),#14331,.T.); #338745=ADVANCED_FACE('',(#30280),#14332,.T.); #338746=ADVANCED_FACE('',(#30281),#14333,.T.); #338747=ADVANCED_FACE('',(#30282),#14334,.T.); #338748=ADVANCED_FACE('',(#30283),#14335,.T.); #338749=ADVANCED_FACE('',(#30284),#14336,.T.); #338750=ADVANCED_FACE('',(#30285),#14337,.T.); #338751=ADVANCED_FACE('',(#30286),#14338,.T.); #338752=ADVANCED_FACE('',(#30287),#14339,.T.); #338753=ADVANCED_FACE('',(#30288),#14340,.T.); #338754=ADVANCED_FACE('',(#30289),#14341,.T.); #338755=ADVANCED_FACE('',(#30290),#14342,.T.); #338756=ADVANCED_FACE('',(#30291),#14343,.T.); #338757=ADVANCED_FACE('',(#30292),#14344,.T.); #338758=ADVANCED_FACE('',(#30293),#14345,.T.); #338759=ADVANCED_FACE('',(#30294),#14346,.T.); #338760=ADVANCED_FACE('',(#30295),#14347,.T.); #338761=ADVANCED_FACE('',(#30296),#14348,.T.); #338762=ADVANCED_FACE('',(#30297),#14349,.T.); #338763=ADVANCED_FACE('',(#30298),#14350,.T.); #338764=ADVANCED_FACE('',(#30299),#14351,.T.); #338765=ADVANCED_FACE('',(#30300),#14352,.T.); #338766=ADVANCED_FACE('',(#30301),#14353,.T.); #338767=ADVANCED_FACE('',(#30302),#14354,.T.); #338768=ADVANCED_FACE('',(#30303),#14355,.T.); #338769=ADVANCED_FACE('',(#30304),#14356,.T.); #338770=ADVANCED_FACE('',(#30305),#14357,.T.); #338771=ADVANCED_FACE('',(#30306),#14358,.T.); #338772=ADVANCED_FACE('',(#30307),#14359,.T.); #338773=ADVANCED_FACE('',(#30308),#14360,.T.); #338774=ADVANCED_FACE('',(#30309),#14361,.T.); #338775=ADVANCED_FACE('',(#30310),#14362,.T.); #338776=ADVANCED_FACE('',(#30311),#14363,.T.); #338777=ADVANCED_FACE('',(#30312),#14364,.T.); #338778=ADVANCED_FACE('',(#30313),#14365,.T.); #338779=ADVANCED_FACE('',(#30314),#14366,.T.); #338780=ADVANCED_FACE('',(#30315),#14367,.T.); #338781=ADVANCED_FACE('',(#30316),#14368,.T.); #338782=ADVANCED_FACE('',(#30317),#14369,.T.); #338783=ADVANCED_FACE('',(#30318),#14370,.T.); #338784=ADVANCED_FACE('',(#30319),#14371,.T.); #338785=ADVANCED_FACE('',(#30320),#14372,.T.); #338786=ADVANCED_FACE('',(#30321),#14373,.T.); #338787=ADVANCED_FACE('',(#30322),#14374,.T.); #338788=ADVANCED_FACE('',(#30323),#14375,.T.); #338789=ADVANCED_FACE('',(#30324),#14376,.T.); #338790=ADVANCED_FACE('',(#30325,#5562),#14377,.T.); #338791=ADVANCED_FACE('',(#30326,#5563),#14378,.F.); #338792=ADVANCED_FACE('',(#30327),#328151,.F.); #338793=ADVANCED_FACE('',(#30328),#14379,.T.); #338794=ADVANCED_FACE('',(#30329),#328152,.T.); #338795=ADVANCED_FACE('',(#30330),#328153,.T.); #338796=ADVANCED_FACE('',(#30331,#5564),#14380,.T.); #338797=ADVANCED_FACE('',(#30332,#5565),#14381,.F.); #338798=ADVANCED_FACE('',(#30333),#328154,.F.); #338799=ADVANCED_FACE('',(#30334),#14382,.T.); #338800=ADVANCED_FACE('',(#30335),#328155,.T.); #338801=ADVANCED_FACE('',(#30336),#328156,.T.); #338802=ADVANCED_FACE('',(#30337,#5566),#14383,.T.); #338803=ADVANCED_FACE('',(#30338,#5567),#14384,.F.); #338804=ADVANCED_FACE('',(#30339),#328157,.F.); #338805=ADVANCED_FACE('',(#30340),#14385,.T.); #338806=ADVANCED_FACE('',(#30341),#328158,.T.); #338807=ADVANCED_FACE('',(#30342),#328159,.T.); #338808=ADVANCED_FACE('',(#30343,#5568),#14386,.T.); #338809=ADVANCED_FACE('',(#30344,#5569),#14387,.F.); #338810=ADVANCED_FACE('',(#30345),#328160,.F.); #338811=ADVANCED_FACE('',(#30346),#14388,.T.); #338812=ADVANCED_FACE('',(#30347),#14389,.T.); #338813=ADVANCED_FACE('',(#30348),#14390,.T.); #338814=ADVANCED_FACE('',(#30349),#14391,.T.); #338815=ADVANCED_FACE('',(#30350,#5570),#14392,.T.); #338816=ADVANCED_FACE('',(#30351,#5571),#14393,.F.); #338817=ADVANCED_FACE('',(#30352),#328161,.F.); #338818=ADVANCED_FACE('',(#30353),#14394,.T.); #338819=ADVANCED_FACE('',(#30354),#328162,.T.); #338820=ADVANCED_FACE('',(#30355),#328163,.T.); #338821=ADVANCED_FACE('',(#30356,#5572),#14395,.T.); #338822=ADVANCED_FACE('',(#30357,#5573),#14396,.F.); #338823=ADVANCED_FACE('',(#30358),#14397,.T.); #338824=ADVANCED_FACE('',(#30359),#14398,.T.); #338825=ADVANCED_FACE('',(#30360),#14399,.T.); #338826=ADVANCED_FACE('',(#30361),#14400,.T.); #338827=ADVANCED_FACE('',(#30362),#14401,.T.); #338828=ADVANCED_FACE('',(#30363),#14402,.F.); #338829=ADVANCED_FACE('',(#30364),#14403,.T.); #338830=ADVANCED_FACE('',(#30365),#14404,.T.); #338831=ADVANCED_FACE('',(#30366),#14405,.T.); #338832=ADVANCED_FACE('',(#30367),#14406,.T.); #338833=ADVANCED_FACE('',(#30368),#14407,.T.); #338834=ADVANCED_FACE('',(#30369),#14408,.F.); #338835=ADVANCED_FACE('',(#30370),#14409,.T.); #338836=ADVANCED_FACE('',(#30371),#14410,.T.); #338837=ADVANCED_FACE('',(#30372),#14411,.T.); #338838=ADVANCED_FACE('',(#30373),#14412,.T.); #338839=ADVANCED_FACE('',(#30374),#14413,.T.); #338840=ADVANCED_FACE('',(#30375),#14414,.F.); #338841=ADVANCED_FACE('',(#30376),#14415,.T.); #338842=ADVANCED_FACE('',(#30377),#14416,.T.); #338843=ADVANCED_FACE('',(#30378),#14417,.T.); #338844=ADVANCED_FACE('',(#30379),#14418,.T.); #338845=ADVANCED_FACE('',(#30380),#14419,.T.); #338846=ADVANCED_FACE('',(#30381),#14420,.F.); #338847=ADVANCED_FACE('',(#30382),#14421,.T.); #338848=ADVANCED_FACE('',(#30383),#14422,.T.); #338849=ADVANCED_FACE('',(#30384),#14423,.T.); #338850=ADVANCED_FACE('',(#30385),#14424,.T.); #338851=ADVANCED_FACE('',(#30386),#14425,.T.); #338852=ADVANCED_FACE('',(#30387),#14426,.F.); #338853=ADVANCED_FACE('',(#30388),#14427,.T.); #338854=ADVANCED_FACE('',(#30389),#14428,.T.); #338855=ADVANCED_FACE('',(#30390),#14429,.T.); #338856=ADVANCED_FACE('',(#30391),#14430,.T.); #338857=ADVANCED_FACE('',(#30392),#14431,.T.); #338858=ADVANCED_FACE('',(#30393),#14432,.F.); #338859=ADVANCED_FACE('',(#30394),#14433,.T.); #338860=ADVANCED_FACE('',(#30395),#14434,.T.); #338861=ADVANCED_FACE('',(#30396),#14435,.T.); #338862=ADVANCED_FACE('',(#30397),#14436,.T.); #338863=ADVANCED_FACE('',(#30398),#14437,.T.); #338864=ADVANCED_FACE('',(#30399),#14438,.F.); #338865=ADVANCED_FACE('',(#30400),#14439,.T.); #338866=ADVANCED_FACE('',(#30401),#14440,.T.); #338867=ADVANCED_FACE('',(#30402),#14441,.T.); #338868=ADVANCED_FACE('',(#30403),#14442,.T.); #338869=ADVANCED_FACE('',(#30404),#14443,.T.); #338870=ADVANCED_FACE('',(#30405),#14444,.F.); #338871=ADVANCED_FACE('',(#30406),#14445,.T.); #338872=ADVANCED_FACE('',(#30407),#14446,.T.); #338873=ADVANCED_FACE('',(#30408),#14447,.T.); #338874=ADVANCED_FACE('',(#30409),#14448,.T.); #338875=ADVANCED_FACE('',(#30410),#14449,.T.); #338876=ADVANCED_FACE('',(#30411),#14450,.F.); #338877=ADVANCED_FACE('',(#30412),#14451,.T.); #338878=ADVANCED_FACE('',(#30413),#14452,.T.); #338879=ADVANCED_FACE('',(#30414),#14453,.T.); #338880=ADVANCED_FACE('',(#30415),#14454,.T.); #338881=ADVANCED_FACE('',(#30416),#14455,.T.); #338882=ADVANCED_FACE('',(#30417),#14456,.F.); #338883=ADVANCED_FACE('',(#30418),#14457,.T.); #338884=ADVANCED_FACE('',(#30419),#14458,.T.); #338885=ADVANCED_FACE('',(#30420),#14459,.T.); #338886=ADVANCED_FACE('',(#30421),#14460,.T.); #338887=ADVANCED_FACE('',(#30422),#14461,.T.); #338888=ADVANCED_FACE('',(#30423),#14462,.F.); #338889=ADVANCED_FACE('',(#30424),#14463,.T.); #338890=ADVANCED_FACE('',(#30425),#14464,.T.); #338891=ADVANCED_FACE('',(#30426),#14465,.T.); #338892=ADVANCED_FACE('',(#30427),#14466,.T.); #338893=ADVANCED_FACE('',(#30428),#14467,.T.); #338894=ADVANCED_FACE('',(#30429),#14468,.F.); #338895=ADVANCED_FACE('',(#30430),#14469,.T.); #338896=ADVANCED_FACE('',(#30431),#14470,.T.); #338897=ADVANCED_FACE('',(#30432),#14471,.T.); #338898=ADVANCED_FACE('',(#30433),#14472,.T.); #338899=ADVANCED_FACE('',(#30434),#14473,.T.); #338900=ADVANCED_FACE('',(#30435),#14474,.F.); #338901=ADVANCED_FACE('',(#30436),#328164,.F.); #338902=ADVANCED_FACE('',(#30437),#328165,.F.); #338903=ADVANCED_FACE('',(#30438),#328166,.F.); #338904=ADVANCED_FACE('',(#30439),#328167,.F.); #338905=ADVANCED_FACE('',(#30440),#328168,.F.); #338906=ADVANCED_FACE('',(#30441),#328169,.F.); #338907=ADVANCED_FACE('',(#30442),#14475,.T.); #338908=ADVANCED_FACE('',(#30443),#14476,.T.); #338909=ADVANCED_FACE('',(#30444),#14477,.T.); #338910=ADVANCED_FACE('',(#30445),#14478,.T.); #338911=ADVANCED_FACE('',(#30446,#5574,#5575,#5576,#5577,#5578,#5579),#14479, .T.); #338912=ADVANCED_FACE('',(#30447,#5580,#5581,#5582,#5583,#5584,#5585),#14480, .F.); #338913=ADVANCED_FACE('',(#30448),#14481,.T.); #338914=ADVANCED_FACE('',(#30449),#14482,.T.); #338915=ADVANCED_FACE('',(#30450),#14483,.T.); #338916=ADVANCED_FACE('',(#30451),#14484,.T.); #338917=ADVANCED_FACE('',(#30452),#14485,.T.); #338918=ADVANCED_FACE('',(#30453),#14486,.F.); #338919=ADVANCED_FACE('',(#30454),#14487,.T.); #338920=ADVANCED_FACE('',(#30455),#14488,.T.); #338921=ADVANCED_FACE('',(#30456),#14489,.T.); #338922=ADVANCED_FACE('',(#30457),#14490,.T.); #338923=ADVANCED_FACE('',(#30458),#14491,.T.); #338924=ADVANCED_FACE('',(#30459),#14492,.F.); #338925=ADVANCED_FACE('',(#30460),#14493,.T.); #338926=ADVANCED_FACE('',(#30461),#14494,.T.); #338927=ADVANCED_FACE('',(#30462),#14495,.T.); #338928=ADVANCED_FACE('',(#30463),#14496,.T.); #338929=ADVANCED_FACE('',(#30464),#14497,.T.); #338930=ADVANCED_FACE('',(#30465),#14498,.F.); #338931=ADVANCED_FACE('',(#30466),#14499,.T.); #338932=ADVANCED_FACE('',(#30467),#14500,.T.); #338933=ADVANCED_FACE('',(#30468),#14501,.T.); #338934=ADVANCED_FACE('',(#30469),#14502,.T.); #338935=ADVANCED_FACE('',(#30470),#14503,.T.); #338936=ADVANCED_FACE('',(#30471),#14504,.F.); #338937=ADVANCED_FACE('',(#30472),#14505,.T.); #338938=ADVANCED_FACE('',(#30473),#14506,.T.); #338939=ADVANCED_FACE('',(#30474),#14507,.T.); #338940=ADVANCED_FACE('',(#30475),#14508,.T.); #338941=ADVANCED_FACE('',(#30476),#14509,.T.); #338942=ADVANCED_FACE('',(#30477),#14510,.F.); #338943=ADVANCED_FACE('',(#30478),#14511,.T.); #338944=ADVANCED_FACE('',(#30479),#14512,.T.); #338945=ADVANCED_FACE('',(#30480),#14513,.T.); #338946=ADVANCED_FACE('',(#30481),#14514,.T.); #338947=ADVANCED_FACE('',(#30482),#14515,.T.); #338948=ADVANCED_FACE('',(#30483),#14516,.F.); #338949=ADVANCED_FACE('',(#30484),#14517,.T.); #338950=ADVANCED_FACE('',(#30485),#14518,.T.); #338951=ADVANCED_FACE('',(#30486),#14519,.T.); #338952=ADVANCED_FACE('',(#30487),#14520,.T.); #338953=ADVANCED_FACE('',(#30488),#14521,.T.); #338954=ADVANCED_FACE('',(#30489),#14522,.F.); #338955=ADVANCED_FACE('',(#30490),#14523,.T.); #338956=ADVANCED_FACE('',(#30491),#14524,.T.); #338957=ADVANCED_FACE('',(#30492),#14525,.T.); #338958=ADVANCED_FACE('',(#30493),#14526,.T.); #338959=ADVANCED_FACE('',(#30494),#14527,.T.); #338960=ADVANCED_FACE('',(#30495),#14528,.F.); #338961=ADVANCED_FACE('',(#30496),#14529,.T.); #338962=ADVANCED_FACE('',(#30497),#14530,.T.); #338963=ADVANCED_FACE('',(#30498),#14531,.T.); #338964=ADVANCED_FACE('',(#30499),#14532,.T.); #338965=ADVANCED_FACE('',(#30500),#14533,.T.); #338966=ADVANCED_FACE('',(#30501),#14534,.F.); #338967=ADVANCED_FACE('',(#30502),#14535,.T.); #338968=ADVANCED_FACE('',(#30503),#14536,.T.); #338969=ADVANCED_FACE('',(#30504),#14537,.T.); #338970=ADVANCED_FACE('',(#30505),#14538,.T.); #338971=ADVANCED_FACE('',(#30506),#14539,.T.); #338972=ADVANCED_FACE('',(#30507),#14540,.F.); #338973=ADVANCED_FACE('',(#30508),#14541,.T.); #338974=ADVANCED_FACE('',(#30509),#14542,.T.); #338975=ADVANCED_FACE('',(#30510),#14543,.T.); #338976=ADVANCED_FACE('',(#30511),#14544,.T.); #338977=ADVANCED_FACE('',(#30512),#14545,.T.); #338978=ADVANCED_FACE('',(#30513),#14546,.F.); #338979=ADVANCED_FACE('',(#30514),#14547,.T.); #338980=ADVANCED_FACE('',(#30515),#14548,.T.); #338981=ADVANCED_FACE('',(#30516),#14549,.T.); #338982=ADVANCED_FACE('',(#30517),#14550,.T.); #338983=ADVANCED_FACE('',(#30518),#14551,.T.); #338984=ADVANCED_FACE('',(#30519),#14552,.F.); #338985=ADVANCED_FACE('',(#30520),#14553,.T.); #338986=ADVANCED_FACE('',(#30521),#14554,.T.); #338987=ADVANCED_FACE('',(#30522),#14555,.T.); #338988=ADVANCED_FACE('',(#30523),#14556,.T.); #338989=ADVANCED_FACE('',(#30524),#14557,.T.); #338990=ADVANCED_FACE('',(#30525),#14558,.F.); #338991=ADVANCED_FACE('',(#30526),#14559,.T.); #338992=ADVANCED_FACE('',(#30527),#14560,.T.); #338993=ADVANCED_FACE('',(#30528),#14561,.T.); #338994=ADVANCED_FACE('',(#30529),#14562,.T.); #338995=ADVANCED_FACE('',(#30530),#14563,.T.); #338996=ADVANCED_FACE('',(#30531),#14564,.F.); #338997=ADVANCED_FACE('',(#30532),#14565,.T.); #338998=ADVANCED_FACE('',(#30533),#14566,.T.); #338999=ADVANCED_FACE('',(#30534),#14567,.T.); #339000=ADVANCED_FACE('',(#30535),#14568,.T.); #339001=ADVANCED_FACE('',(#30536),#14569,.T.); #339002=ADVANCED_FACE('',(#30537),#14570,.F.); #339003=ADVANCED_FACE('',(#30538),#14571,.T.); #339004=ADVANCED_FACE('',(#30539),#14572,.T.); #339005=ADVANCED_FACE('',(#30540),#14573,.T.); #339006=ADVANCED_FACE('',(#30541),#14574,.T.); #339007=ADVANCED_FACE('',(#30542),#14575,.T.); #339008=ADVANCED_FACE('',(#30543),#14576,.F.); #339009=ADVANCED_FACE('',(#30544),#14577,.T.); #339010=ADVANCED_FACE('',(#30545),#14578,.T.); #339011=ADVANCED_FACE('',(#30546),#14579,.T.); #339012=ADVANCED_FACE('',(#30547),#14580,.T.); #339013=ADVANCED_FACE('',(#30548),#14581,.T.); #339014=ADVANCED_FACE('',(#30549),#14582,.F.); #339015=ADVANCED_FACE('',(#30550),#14583,.T.); #339016=ADVANCED_FACE('',(#30551),#14584,.T.); #339017=ADVANCED_FACE('',(#30552),#14585,.T.); #339018=ADVANCED_FACE('',(#30553),#14586,.T.); #339019=ADVANCED_FACE('',(#30554),#14587,.T.); #339020=ADVANCED_FACE('',(#30555),#14588,.F.); #339021=ADVANCED_FACE('',(#30556),#14589,.T.); #339022=ADVANCED_FACE('',(#30557),#14590,.T.); #339023=ADVANCED_FACE('',(#30558),#14591,.T.); #339024=ADVANCED_FACE('',(#30559),#14592,.T.); #339025=ADVANCED_FACE('',(#30560),#14593,.T.); #339026=ADVANCED_FACE('',(#30561),#14594,.F.); #339027=ADVANCED_FACE('',(#30562),#14595,.T.); #339028=ADVANCED_FACE('',(#30563),#14596,.T.); #339029=ADVANCED_FACE('',(#30564),#14597,.T.); #339030=ADVANCED_FACE('',(#30565),#14598,.T.); #339031=ADVANCED_FACE('',(#30566),#14599,.T.); #339032=ADVANCED_FACE('',(#30567),#14600,.F.); #339033=ADVANCED_FACE('',(#30568),#14601,.T.); #339034=ADVANCED_FACE('',(#30569),#14602,.T.); #339035=ADVANCED_FACE('',(#30570),#14603,.T.); #339036=ADVANCED_FACE('',(#30571),#14604,.T.); #339037=ADVANCED_FACE('',(#30572),#14605,.T.); #339038=ADVANCED_FACE('',(#30573),#14606,.F.); #339039=ADVANCED_FACE('',(#30574),#14607,.T.); #339040=ADVANCED_FACE('',(#30575),#14608,.T.); #339041=ADVANCED_FACE('',(#30576),#14609,.T.); #339042=ADVANCED_FACE('',(#30577),#14610,.T.); #339043=ADVANCED_FACE('',(#30578),#14611,.T.); #339044=ADVANCED_FACE('',(#30579),#14612,.F.); #339045=ADVANCED_FACE('',(#30580),#14613,.T.); #339046=ADVANCED_FACE('',(#30581),#14614,.T.); #339047=ADVANCED_FACE('',(#30582),#14615,.T.); #339048=ADVANCED_FACE('',(#30583),#14616,.T.); #339049=ADVANCED_FACE('',(#30584),#14617,.T.); #339050=ADVANCED_FACE('',(#30585),#14618,.F.); #339051=ADVANCED_FACE('',(#30586),#14619,.T.); #339052=ADVANCED_FACE('',(#30587),#14620,.T.); #339053=ADVANCED_FACE('',(#30588),#14621,.T.); #339054=ADVANCED_FACE('',(#30589),#14622,.T.); #339055=ADVANCED_FACE('',(#30590),#14623,.T.); #339056=ADVANCED_FACE('',(#30591),#14624,.F.); #339057=ADVANCED_FACE('',(#30592),#14625,.T.); #339058=ADVANCED_FACE('',(#30593),#14626,.T.); #339059=ADVANCED_FACE('',(#30594),#14627,.T.); #339060=ADVANCED_FACE('',(#30595),#14628,.T.); #339061=ADVANCED_FACE('',(#30596),#14629,.T.); #339062=ADVANCED_FACE('',(#30597),#14630,.F.); #339063=ADVANCED_FACE('',(#30598),#14631,.T.); #339064=ADVANCED_FACE('',(#30599),#14632,.T.); #339065=ADVANCED_FACE('',(#30600),#14633,.T.); #339066=ADVANCED_FACE('',(#30601),#14634,.T.); #339067=ADVANCED_FACE('',(#30602),#14635,.T.); #339068=ADVANCED_FACE('',(#30603),#14636,.F.); #339069=ADVANCED_FACE('',(#30604),#328170,.F.); #339070=ADVANCED_FACE('',(#30605),#328171,.F.); #339071=ADVANCED_FACE('',(#30606),#328172,.F.); #339072=ADVANCED_FACE('',(#30607),#328173,.F.); #339073=ADVANCED_FACE('',(#30608),#328174,.F.); #339074=ADVANCED_FACE('',(#30609),#328175,.F.); #339075=ADVANCED_FACE('',(#30610),#14637,.T.); #339076=ADVANCED_FACE('',(#30611),#14638,.T.); #339077=ADVANCED_FACE('',(#30612),#14639,.T.); #339078=ADVANCED_FACE('',(#30613),#14640,.T.); #339079=ADVANCED_FACE('',(#30614,#5586,#5587,#5588,#5589,#5590,#5591),#14641, .T.); #339080=ADVANCED_FACE('',(#30615,#5592,#5593,#5594,#5595,#5596,#5597),#14642, .F.); #339081=ADVANCED_FACE('',(#30616),#14643,.T.); #339082=ADVANCED_FACE('',(#30617),#14644,.T.); #339083=ADVANCED_FACE('',(#30618),#14645,.T.); #339084=ADVANCED_FACE('',(#30619),#14646,.T.); #339085=ADVANCED_FACE('',(#30620),#14647,.T.); #339086=ADVANCED_FACE('',(#30621),#14648,.F.); #339087=ADVANCED_FACE('',(#30622),#14649,.T.); #339088=ADVANCED_FACE('',(#30623),#14650,.T.); #339089=ADVANCED_FACE('',(#30624),#14651,.T.); #339090=ADVANCED_FACE('',(#30625),#14652,.T.); #339091=ADVANCED_FACE('',(#30626),#14653,.T.); #339092=ADVANCED_FACE('',(#30627),#14654,.F.); #339093=ADVANCED_FACE('',(#30628),#14655,.T.); #339094=ADVANCED_FACE('',(#30629),#14656,.T.); #339095=ADVANCED_FACE('',(#30630),#14657,.T.); #339096=ADVANCED_FACE('',(#30631),#14658,.T.); #339097=ADVANCED_FACE('',(#30632),#14659,.T.); #339098=ADVANCED_FACE('',(#30633),#14660,.F.); #339099=ADVANCED_FACE('',(#30634),#14661,.T.); #339100=ADVANCED_FACE('',(#30635),#14662,.T.); #339101=ADVANCED_FACE('',(#30636),#14663,.T.); #339102=ADVANCED_FACE('',(#30637),#14664,.T.); #339103=ADVANCED_FACE('',(#30638),#14665,.T.); #339104=ADVANCED_FACE('',(#30639),#14666,.F.); #339105=ADVANCED_FACE('',(#30640),#14667,.T.); #339106=ADVANCED_FACE('',(#30641),#14668,.T.); #339107=ADVANCED_FACE('',(#30642),#14669,.T.); #339108=ADVANCED_FACE('',(#30643),#14670,.T.); #339109=ADVANCED_FACE('',(#30644),#14671,.T.); #339110=ADVANCED_FACE('',(#30645),#14672,.F.); #339111=ADVANCED_FACE('',(#30646),#14673,.T.); #339112=ADVANCED_FACE('',(#30647),#14674,.T.); #339113=ADVANCED_FACE('',(#30648),#14675,.T.); #339114=ADVANCED_FACE('',(#30649),#14676,.T.); #339115=ADVANCED_FACE('',(#30650),#14677,.T.); #339116=ADVANCED_FACE('',(#30651),#14678,.F.); #339117=ADVANCED_FACE('',(#30652),#328176,.F.); #339118=ADVANCED_FACE('',(#30653),#328177,.F.); #339119=ADVANCED_FACE('',(#30654),#328178,.F.); #339120=ADVANCED_FACE('',(#30655),#328179,.F.); #339121=ADVANCED_FACE('',(#30656),#328180,.F.); #339122=ADVANCED_FACE('',(#30657),#328181,.F.); #339123=ADVANCED_FACE('',(#30658),#14679,.T.); #339124=ADVANCED_FACE('',(#30659),#14680,.T.); #339125=ADVANCED_FACE('',(#30660),#14681,.T.); #339126=ADVANCED_FACE('',(#30661),#14682,.T.); #339127=ADVANCED_FACE('',(#30662,#5598,#5599,#5600,#5601,#5602,#5603),#14683, .T.); #339128=ADVANCED_FACE('',(#30663,#5604,#5605,#5606,#5607,#5608,#5609),#14684, .F.); #339129=ADVANCED_FACE('',(#30664),#14685,.T.); #339130=ADVANCED_FACE('',(#30665),#14686,.T.); #339131=ADVANCED_FACE('',(#30666),#14687,.T.); #339132=ADVANCED_FACE('',(#30667),#14688,.T.); #339133=ADVANCED_FACE('',(#30668),#14689,.T.); #339134=ADVANCED_FACE('',(#30669),#14690,.F.); #339135=ADVANCED_FACE('',(#30670),#14691,.T.); #339136=ADVANCED_FACE('',(#30671),#14692,.T.); #339137=ADVANCED_FACE('',(#30672),#14693,.T.); #339138=ADVANCED_FACE('',(#30673),#14694,.T.); #339139=ADVANCED_FACE('',(#30674),#14695,.T.); #339140=ADVANCED_FACE('',(#30675),#14696,.F.); #339141=ADVANCED_FACE('',(#30676),#328182,.F.); #339142=ADVANCED_FACE('',(#30677),#328183,.F.); #339143=ADVANCED_FACE('',(#30678),#328184,.F.); #339144=ADVANCED_FACE('',(#30679),#328185,.F.); #339145=ADVANCED_FACE('',(#30680),#328186,.F.); #339146=ADVANCED_FACE('',(#30681),#328187,.F.); #339147=ADVANCED_FACE('',(#30682),#328188,.F.); #339148=ADVANCED_FACE('',(#30683),#328189,.F.); #339149=ADVANCED_FACE('',(#30684),#328190,.F.); #339150=ADVANCED_FACE('',(#30685),#328191,.F.); #339151=ADVANCED_FACE('',(#30686),#328192,.F.); #339152=ADVANCED_FACE('',(#30687),#328193,.F.); #339153=ADVANCED_FACE('',(#30688),#328194,.F.); #339154=ADVANCED_FACE('',(#30689),#328195,.F.); #339155=ADVANCED_FACE('',(#30690),#328196,.F.); #339156=ADVANCED_FACE('',(#30691),#328197,.F.); #339157=ADVANCED_FACE('',(#30692),#328198,.F.); #339158=ADVANCED_FACE('',(#30693),#328199,.F.); #339159=ADVANCED_FACE('',(#30694),#328200,.F.); #339160=ADVANCED_FACE('',(#30695),#328201,.F.); #339161=ADVANCED_FACE('',(#30696),#328202,.F.); #339162=ADVANCED_FACE('',(#30697),#328203,.F.); #339163=ADVANCED_FACE('',(#30698),#328204,.F.); #339164=ADVANCED_FACE('',(#30699),#328205,.F.); #339165=ADVANCED_FACE('',(#30700),#328206,.F.); #339166=ADVANCED_FACE('',(#30701),#328207,.F.); #339167=ADVANCED_FACE('',(#30702),#328208,.F.); #339168=ADVANCED_FACE('',(#30703),#328209,.F.); #339169=ADVANCED_FACE('',(#30704),#328210,.F.); #339170=ADVANCED_FACE('',(#30705),#328211,.F.); #339171=ADVANCED_FACE('',(#30706),#328212,.F.); #339172=ADVANCED_FACE('',(#30707),#328213,.F.); #339173=ADVANCED_FACE('',(#30708),#328214,.F.); #339174=ADVANCED_FACE('',(#30709),#328215,.F.); #339175=ADVANCED_FACE('',(#30710),#328216,.F.); #339176=ADVANCED_FACE('',(#30711),#328217,.F.); #339177=ADVANCED_FACE('',(#30712),#328218,.F.); #339178=ADVANCED_FACE('',(#30713),#328219,.F.); #339179=ADVANCED_FACE('',(#30714),#328220,.F.); #339180=ADVANCED_FACE('',(#30715),#328221,.F.); #339181=ADVANCED_FACE('',(#30716),#328222,.F.); #339182=ADVANCED_FACE('',(#30717),#328223,.F.); #339183=ADVANCED_FACE('',(#30718),#328224,.F.); #339184=ADVANCED_FACE('',(#30719),#328225,.F.); #339185=ADVANCED_FACE('',(#30720),#328226,.F.); #339186=ADVANCED_FACE('',(#30721),#328227,.F.); #339187=ADVANCED_FACE('',(#30722),#328228,.F.); #339188=ADVANCED_FACE('',(#30723),#328229,.F.); #339189=ADVANCED_FACE('',(#30724),#328230,.F.); #339190=ADVANCED_FACE('',(#30725),#14697,.T.); #339191=ADVANCED_FACE('',(#30726),#14698,.T.); #339192=ADVANCED_FACE('',(#30727),#14699,.T.); #339193=ADVANCED_FACE('',(#30728),#14700,.T.); #339194=ADVANCED_FACE('',(#30729,#5610,#5611,#5612,#5613,#5614,#5615,#5616, #5617,#5618,#5619,#5620,#5621,#5622,#5623,#5624,#5625,#5626,#5627,#5628, #5629,#5630,#5631,#5632,#5633,#5634,#5635,#5636,#5637,#5638,#5639,#5640, #5641,#5642,#5643,#5644,#5645,#5646,#5647,#5648,#5649,#5650,#5651,#5652, #5653,#5654,#5655,#5656,#5657,#5658),#14701,.T.); #339195=ADVANCED_FACE('',(#30730,#5659,#5660,#5661,#5662,#5663,#5664,#5665, #5666,#5667,#5668,#5669,#5670,#5671,#5672,#5673,#5674,#5675,#5676,#5677, #5678,#5679,#5680,#5681,#5682,#5683,#5684,#5685,#5686,#5687,#5688,#5689, #5690,#5691,#5692,#5693,#5694,#5695,#5696,#5697,#5698,#5699,#5700,#5701, #5702,#5703,#5704,#5705,#5706,#5707),#14702,.F.); #339196=ADVANCED_FACE('',(#30731),#14703,.T.); #339197=ADVANCED_FACE('',(#30732),#14704,.T.); #339198=ADVANCED_FACE('',(#30733),#14705,.T.); #339199=ADVANCED_FACE('',(#30734),#14706,.T.); #339200=ADVANCED_FACE('',(#30735),#14707,.T.); #339201=ADVANCED_FACE('',(#30736),#14708,.F.); #339202=ADVANCED_FACE('',(#30737),#14709,.T.); #339203=ADVANCED_FACE('',(#30738),#14710,.T.); #339204=ADVANCED_FACE('',(#30739),#14711,.T.); #339205=ADVANCED_FACE('',(#30740),#14712,.T.); #339206=ADVANCED_FACE('',(#30741),#14713,.T.); #339207=ADVANCED_FACE('',(#30742),#14714,.F.); #339208=ADVANCED_FACE('',(#30743),#14715,.T.); #339209=ADVANCED_FACE('',(#30744),#14716,.T.); #339210=ADVANCED_FACE('',(#30745),#14717,.T.); #339211=ADVANCED_FACE('',(#30746),#14718,.T.); #339212=ADVANCED_FACE('',(#30747),#14719,.T.); #339213=ADVANCED_FACE('',(#30748),#14720,.F.); #339214=ADVANCED_FACE('',(#30749),#14721,.T.); #339215=ADVANCED_FACE('',(#30750),#14722,.T.); #339216=ADVANCED_FACE('',(#30751),#14723,.T.); #339217=ADVANCED_FACE('',(#30752),#14724,.T.); #339218=ADVANCED_FACE('',(#30753),#14725,.T.); #339219=ADVANCED_FACE('',(#30754),#14726,.F.); #339220=ADVANCED_FACE('',(#30755),#14727,.T.); #339221=ADVANCED_FACE('',(#30756),#14728,.T.); #339222=ADVANCED_FACE('',(#30757),#14729,.T.); #339223=ADVANCED_FACE('',(#30758),#14730,.T.); #339224=ADVANCED_FACE('',(#30759),#14731,.T.); #339225=ADVANCED_FACE('',(#30760),#14732,.F.); #339226=ADVANCED_FACE('',(#30761),#14733,.T.); #339227=ADVANCED_FACE('',(#30762),#14734,.T.); #339228=ADVANCED_FACE('',(#30763),#14735,.T.); #339229=ADVANCED_FACE('',(#30764),#14736,.T.); #339230=ADVANCED_FACE('',(#30765),#14737,.T.); #339231=ADVANCED_FACE('',(#30766),#14738,.F.); #339232=ADVANCED_FACE('',(#30767),#14739,.T.); #339233=ADVANCED_FACE('',(#30768),#14740,.T.); #339234=ADVANCED_FACE('',(#30769),#14741,.T.); #339235=ADVANCED_FACE('',(#30770),#14742,.T.); #339236=ADVANCED_FACE('',(#30771),#14743,.T.); #339237=ADVANCED_FACE('',(#30772),#14744,.F.); #339238=ADVANCED_FACE('',(#30773),#14745,.T.); #339239=ADVANCED_FACE('',(#30774),#14746,.T.); #339240=ADVANCED_FACE('',(#30775),#14747,.T.); #339241=ADVANCED_FACE('',(#30776),#14748,.T.); #339242=ADVANCED_FACE('',(#30777),#14749,.T.); #339243=ADVANCED_FACE('',(#30778),#14750,.F.); #339244=ADVANCED_FACE('',(#30779),#14751,.T.); #339245=ADVANCED_FACE('',(#30780),#14752,.T.); #339246=ADVANCED_FACE('',(#30781),#14753,.T.); #339247=ADVANCED_FACE('',(#30782),#14754,.T.); #339248=ADVANCED_FACE('',(#30783),#14755,.T.); #339249=ADVANCED_FACE('',(#30784),#14756,.F.); #339250=ADVANCED_FACE('',(#30785),#14757,.T.); #339251=ADVANCED_FACE('',(#30786),#14758,.T.); #339252=ADVANCED_FACE('',(#30787),#14759,.T.); #339253=ADVANCED_FACE('',(#30788),#14760,.T.); #339254=ADVANCED_FACE('',(#30789),#14761,.T.); #339255=ADVANCED_FACE('',(#30790),#14762,.F.); #339256=ADVANCED_FACE('',(#30791),#14763,.T.); #339257=ADVANCED_FACE('',(#30792),#14764,.T.); #339258=ADVANCED_FACE('',(#30793),#14765,.T.); #339259=ADVANCED_FACE('',(#30794),#14766,.T.); #339260=ADVANCED_FACE('',(#30795),#14767,.T.); #339261=ADVANCED_FACE('',(#30796),#14768,.F.); #339262=ADVANCED_FACE('',(#30797),#14769,.T.); #339263=ADVANCED_FACE('',(#30798),#14770,.T.); #339264=ADVANCED_FACE('',(#30799),#14771,.T.); #339265=ADVANCED_FACE('',(#30800),#14772,.T.); #339266=ADVANCED_FACE('',(#30801),#14773,.T.); #339267=ADVANCED_FACE('',(#30802),#14774,.F.); #339268=ADVANCED_FACE('',(#30803),#14775,.T.); #339269=ADVANCED_FACE('',(#30804),#14776,.T.); #339270=ADVANCED_FACE('',(#30805),#14777,.T.); #339271=ADVANCED_FACE('',(#30806),#14778,.T.); #339272=ADVANCED_FACE('',(#30807),#14779,.T.); #339273=ADVANCED_FACE('',(#30808),#14780,.F.); #339274=ADVANCED_FACE('',(#30809),#14781,.T.); #339275=ADVANCED_FACE('',(#30810),#14782,.T.); #339276=ADVANCED_FACE('',(#30811),#14783,.T.); #339277=ADVANCED_FACE('',(#30812),#14784,.T.); #339278=ADVANCED_FACE('',(#30813),#14785,.T.); #339279=ADVANCED_FACE('',(#30814),#14786,.F.); #339280=ADVANCED_FACE('',(#30815),#14787,.T.); #339281=ADVANCED_FACE('',(#30816),#14788,.T.); #339282=ADVANCED_FACE('',(#30817),#14789,.T.); #339283=ADVANCED_FACE('',(#30818),#14790,.T.); #339284=ADVANCED_FACE('',(#30819),#14791,.T.); #339285=ADVANCED_FACE('',(#30820),#14792,.F.); #339286=ADVANCED_FACE('',(#30821),#14793,.T.); #339287=ADVANCED_FACE('',(#30822),#14794,.T.); #339288=ADVANCED_FACE('',(#30823),#14795,.T.); #339289=ADVANCED_FACE('',(#30824),#14796,.T.); #339290=ADVANCED_FACE('',(#30825),#14797,.T.); #339291=ADVANCED_FACE('',(#30826),#14798,.F.); #339292=ADVANCED_FACE('',(#30827),#14799,.T.); #339293=ADVANCED_FACE('',(#30828),#14800,.T.); #339294=ADVANCED_FACE('',(#30829),#14801,.T.); #339295=ADVANCED_FACE('',(#30830),#14802,.T.); #339296=ADVANCED_FACE('',(#30831),#14803,.T.); #339297=ADVANCED_FACE('',(#30832),#14804,.F.); #339298=ADVANCED_FACE('',(#30833),#14805,.T.); #339299=ADVANCED_FACE('',(#30834),#14806,.T.); #339300=ADVANCED_FACE('',(#30835),#14807,.T.); #339301=ADVANCED_FACE('',(#30836),#14808,.T.); #339302=ADVANCED_FACE('',(#30837),#14809,.T.); #339303=ADVANCED_FACE('',(#30838),#14810,.F.); #339304=ADVANCED_FACE('',(#30839),#328231,.F.); #339305=ADVANCED_FACE('',(#30840),#14811,.T.); #339306=ADVANCED_FACE('',(#30841),#14812,.T.); #339307=ADVANCED_FACE('',(#30842),#14813,.T.); #339308=ADVANCED_FACE('',(#30843),#14814,.T.); #339309=ADVANCED_FACE('',(#30844,#5708),#14815,.T.); #339310=ADVANCED_FACE('',(#30845,#5709),#14816,.F.); #339311=ADVANCED_FACE('',(#30846),#14817,.T.); #339312=ADVANCED_FACE('',(#30847),#14818,.T.); #339313=ADVANCED_FACE('',(#30848),#14819,.T.); #339314=ADVANCED_FACE('',(#30849),#14820,.T.); #339315=ADVANCED_FACE('',(#30850),#14821,.T.); #339316=ADVANCED_FACE('',(#30851),#14822,.F.); #339317=ADVANCED_FACE('',(#30852),#14823,.T.); #339318=ADVANCED_FACE('',(#30853),#14824,.T.); #339319=ADVANCED_FACE('',(#30854),#14825,.T.); #339320=ADVANCED_FACE('',(#30855),#14826,.T.); #339321=ADVANCED_FACE('',(#30856),#14827,.T.); #339322=ADVANCED_FACE('',(#30857),#14828,.F.); #339323=ADVANCED_FACE('',(#30858),#328232,.F.); #339324=ADVANCED_FACE('',(#30859),#14829,.T.); #339325=ADVANCED_FACE('',(#30860),#14830,.T.); #339326=ADVANCED_FACE('',(#30861),#14831,.T.); #339327=ADVANCED_FACE('',(#30862),#14832,.T.); #339328=ADVANCED_FACE('',(#30863,#5710),#14833,.T.); #339329=ADVANCED_FACE('',(#30864,#5711),#14834,.F.); #339330=ADVANCED_FACE('',(#30865),#14835,.T.); #339331=ADVANCED_FACE('',(#30866),#14836,.T.); #339332=ADVANCED_FACE('',(#30867),#14837,.T.); #339333=ADVANCED_FACE('',(#30868),#14838,.T.); #339334=ADVANCED_FACE('',(#30869),#14839,.T.); #339335=ADVANCED_FACE('',(#30870),#14840,.F.); #339336=ADVANCED_FACE('',(#30871),#14841,.T.); #339337=ADVANCED_FACE('',(#30872),#14842,.T.); #339338=ADVANCED_FACE('',(#30873),#14843,.T.); #339339=ADVANCED_FACE('',(#30874),#14844,.T.); #339340=ADVANCED_FACE('',(#30875),#14845,.T.); #339341=ADVANCED_FACE('',(#30876),#14846,.F.); #339342=ADVANCED_FACE('',(#30877),#14847,.T.); #339343=ADVANCED_FACE('',(#30878),#14848,.T.); #339344=ADVANCED_FACE('',(#30879),#14849,.T.); #339345=ADVANCED_FACE('',(#30880),#14850,.T.); #339346=ADVANCED_FACE('',(#30881),#14851,.T.); #339347=ADVANCED_FACE('',(#30882),#14852,.F.); #339348=ADVANCED_FACE('',(#30883),#14853,.T.); #339349=ADVANCED_FACE('',(#30884),#14854,.T.); #339350=ADVANCED_FACE('',(#30885),#14855,.T.); #339351=ADVANCED_FACE('',(#30886),#14856,.T.); #339352=ADVANCED_FACE('',(#30887),#14857,.T.); #339353=ADVANCED_FACE('',(#30888),#14858,.F.); #339354=ADVANCED_FACE('',(#30889),#14859,.T.); #339355=ADVANCED_FACE('',(#30890),#14860,.T.); #339356=ADVANCED_FACE('',(#30891),#14861,.T.); #339357=ADVANCED_FACE('',(#30892),#14862,.T.); #339358=ADVANCED_FACE('',(#30893),#14863,.T.); #339359=ADVANCED_FACE('',(#30894),#14864,.F.); #339360=ADVANCED_FACE('',(#30895),#14865,.T.); #339361=ADVANCED_FACE('',(#30896),#14866,.T.); #339362=ADVANCED_FACE('',(#30897),#14867,.T.); #339363=ADVANCED_FACE('',(#30898),#14868,.T.); #339364=ADVANCED_FACE('',(#30899),#14869,.T.); #339365=ADVANCED_FACE('',(#30900),#14870,.F.); #339366=ADVANCED_FACE('',(#30901),#14871,.T.); #339367=ADVANCED_FACE('',(#30902),#14872,.T.); #339368=ADVANCED_FACE('',(#30903),#14873,.T.); #339369=ADVANCED_FACE('',(#30904),#14874,.T.); #339370=ADVANCED_FACE('',(#30905),#14875,.T.); #339371=ADVANCED_FACE('',(#30906),#14876,.F.); #339372=ADVANCED_FACE('',(#30907),#14877,.T.); #339373=ADVANCED_FACE('',(#30908),#14878,.T.); #339374=ADVANCED_FACE('',(#30909),#14879,.T.); #339375=ADVANCED_FACE('',(#30910),#14880,.T.); #339376=ADVANCED_FACE('',(#30911),#14881,.T.); #339377=ADVANCED_FACE('',(#30912),#14882,.F.); #339378=ADVANCED_FACE('',(#30913),#14883,.T.); #339379=ADVANCED_FACE('',(#30914),#14884,.T.); #339380=ADVANCED_FACE('',(#30915),#14885,.T.); #339381=ADVANCED_FACE('',(#30916),#14886,.T.); #339382=ADVANCED_FACE('',(#30917),#14887,.T.); #339383=ADVANCED_FACE('',(#30918),#14888,.F.); #339384=ADVANCED_FACE('',(#30919),#14889,.T.); #339385=ADVANCED_FACE('',(#30920),#14890,.T.); #339386=ADVANCED_FACE('',(#30921),#14891,.T.); #339387=ADVANCED_FACE('',(#30922),#14892,.T.); #339388=ADVANCED_FACE('',(#30923),#14893,.T.); #339389=ADVANCED_FACE('',(#30924),#14894,.F.); #339390=ADVANCED_FACE('',(#30925),#14895,.T.); #339391=ADVANCED_FACE('',(#30926),#14896,.T.); #339392=ADVANCED_FACE('',(#30927),#14897,.T.); #339393=ADVANCED_FACE('',(#30928),#14898,.T.); #339394=ADVANCED_FACE('',(#30929),#14899,.T.); #339395=ADVANCED_FACE('',(#30930),#14900,.F.); #339396=ADVANCED_FACE('',(#30931),#328233,.F.); #339397=ADVANCED_FACE('',(#30932),#328234,.F.); #339398=ADVANCED_FACE('',(#30933),#328235,.F.); #339399=ADVANCED_FACE('',(#30934),#328236,.F.); #339400=ADVANCED_FACE('',(#30935),#328237,.F.); #339401=ADVANCED_FACE('',(#30936),#328238,.F.); #339402=ADVANCED_FACE('',(#30937),#328239,.F.); #339403=ADVANCED_FACE('',(#30938),#328240,.F.); #339404=ADVANCED_FACE('',(#30939),#328241,.F.); #339405=ADVANCED_FACE('',(#30940),#14901,.T.); #339406=ADVANCED_FACE('',(#30941),#14902,.T.); #339407=ADVANCED_FACE('',(#30942),#14903,.T.); #339408=ADVANCED_FACE('',(#30943),#14904,.T.); #339409=ADVANCED_FACE('',(#30944),#14905,.T.); #339410=ADVANCED_FACE('',(#30945),#14906,.T.); #339411=ADVANCED_FACE('',(#30946),#14907,.T.); #339412=ADVANCED_FACE('',(#30947),#14908,.T.); #339413=ADVANCED_FACE('',(#30948),#14909,.T.); #339414=ADVANCED_FACE('',(#30949),#14910,.T.); #339415=ADVANCED_FACE('',(#30950,#5712),#14911,.F.); #339416=ADVANCED_FACE('',(#30951,#5713),#14912,.T.); #339417=ADVANCED_FACE('',(#30952),#328242,.F.); #339418=ADVANCED_FACE('',(#30953),#14913,.T.); #339419=ADVANCED_FACE('',(#30954),#14914,.T.); #339420=ADVANCED_FACE('',(#30955),#14915,.T.); #339421=ADVANCED_FACE('',(#30956),#14916,.T.); #339422=ADVANCED_FACE('',(#30957,#5714),#14917,.T.); #339423=ADVANCED_FACE('',(#30958,#5715),#14918,.F.); #339424=ADVANCED_FACE('',(#30959),#14919,.T.); #339425=ADVANCED_FACE('',(#30960),#14920,.T.); #339426=ADVANCED_FACE('',(#30961),#14921,.T.); #339427=ADVANCED_FACE('',(#30962),#14922,.T.); #339428=ADVANCED_FACE('',(#30963),#14923,.T.); #339429=ADVANCED_FACE('',(#30964),#14924,.F.); #339430=ADVANCED_FACE('',(#30965),#14925,.T.); #339431=ADVANCED_FACE('',(#30966),#14926,.T.); #339432=ADVANCED_FACE('',(#30967),#14927,.T.); #339433=ADVANCED_FACE('',(#30968),#14928,.T.); #339434=ADVANCED_FACE('',(#30969),#14929,.T.); #339435=ADVANCED_FACE('',(#30970),#14930,.F.); #339436=ADVANCED_FACE('',(#30971),#14931,.T.); #339437=ADVANCED_FACE('',(#30972),#14932,.T.); #339438=ADVANCED_FACE('',(#30973),#14933,.T.); #339439=ADVANCED_FACE('',(#30974),#14934,.T.); #339440=ADVANCED_FACE('',(#30975),#14935,.T.); #339441=ADVANCED_FACE('',(#30976),#14936,.F.); #339442=ADVANCED_FACE('',(#30977),#14937,.T.); #339443=ADVANCED_FACE('',(#30978),#14938,.T.); #339444=ADVANCED_FACE('',(#30979),#14939,.T.); #339445=ADVANCED_FACE('',(#30980),#14940,.T.); #339446=ADVANCED_FACE('',(#30981),#14941,.T.); #339447=ADVANCED_FACE('',(#30982),#14942,.F.); #339448=ADVANCED_FACE('',(#30983),#14943,.T.); #339449=ADVANCED_FACE('',(#30984),#14944,.T.); #339450=ADVANCED_FACE('',(#30985),#14945,.T.); #339451=ADVANCED_FACE('',(#30986),#14946,.T.); #339452=ADVANCED_FACE('',(#30987),#14947,.T.); #339453=ADVANCED_FACE('',(#30988),#14948,.F.); #339454=ADVANCED_FACE('',(#30989),#328243,.F.); #339455=ADVANCED_FACE('',(#30990),#14949,.T.); #339456=ADVANCED_FACE('',(#30991),#14950,.T.); #339457=ADVANCED_FACE('',(#30992),#14951,.T.); #339458=ADVANCED_FACE('',(#30993),#14952,.T.); #339459=ADVANCED_FACE('',(#30994,#5716),#14953,.T.); #339460=ADVANCED_FACE('',(#30995,#5717),#14954,.F.); #339461=ADVANCED_FACE('',(#30996),#328244,.F.); #339462=ADVANCED_FACE('',(#30997),#14955,.T.); #339463=ADVANCED_FACE('',(#30998),#14956,.T.); #339464=ADVANCED_FACE('',(#30999),#14957,.T.); #339465=ADVANCED_FACE('',(#31000),#14958,.T.); #339466=ADVANCED_FACE('',(#31001,#5718),#14959,.T.); #339467=ADVANCED_FACE('',(#31002,#5719),#14960,.F.); #339468=ADVANCED_FACE('',(#31003),#14961,.T.); #339469=ADVANCED_FACE('',(#31004),#14962,.T.); #339470=ADVANCED_FACE('',(#31005),#14963,.T.); #339471=ADVANCED_FACE('',(#31006),#14964,.T.); #339472=ADVANCED_FACE('',(#31007),#14965,.T.); #339473=ADVANCED_FACE('',(#31008),#14966,.F.); #339474=ADVANCED_FACE('',(#31009),#14967,.T.); #339475=ADVANCED_FACE('',(#31010),#14968,.T.); #339476=ADVANCED_FACE('',(#31011),#14969,.T.); #339477=ADVANCED_FACE('',(#31012),#14970,.T.); #339478=ADVANCED_FACE('',(#31013),#14971,.T.); #339479=ADVANCED_FACE('',(#31014),#14972,.F.); #339480=ADVANCED_FACE('',(#31015),#14973,.T.); #339481=ADVANCED_FACE('',(#31016),#14974,.T.); #339482=ADVANCED_FACE('',(#31017),#14975,.T.); #339483=ADVANCED_FACE('',(#31018),#14976,.T.); #339484=ADVANCED_FACE('',(#31019),#14977,.T.); #339485=ADVANCED_FACE('',(#31020),#14978,.F.); #339486=ADVANCED_FACE('',(#31021),#14979,.T.); #339487=ADVANCED_FACE('',(#31022),#14980,.T.); #339488=ADVANCED_FACE('',(#31023),#14981,.T.); #339489=ADVANCED_FACE('',(#31024),#14982,.T.); #339490=ADVANCED_FACE('',(#31025),#14983,.T.); #339491=ADVANCED_FACE('',(#31026),#14984,.F.); #339492=ADVANCED_FACE('',(#31027),#14985,.T.); #339493=ADVANCED_FACE('',(#31028),#14986,.T.); #339494=ADVANCED_FACE('',(#31029),#14987,.T.); #339495=ADVANCED_FACE('',(#31030),#14988,.T.); #339496=ADVANCED_FACE('',(#31031),#14989,.T.); #339497=ADVANCED_FACE('',(#31032),#14990,.F.); #339498=ADVANCED_FACE('',(#31033),#14991,.T.); #339499=ADVANCED_FACE('',(#31034),#14992,.T.); #339500=ADVANCED_FACE('',(#31035),#14993,.T.); #339501=ADVANCED_FACE('',(#31036),#14994,.T.); #339502=ADVANCED_FACE('',(#31037),#14995,.T.); #339503=ADVANCED_FACE('',(#31038),#14996,.F.); #339504=ADVANCED_FACE('',(#31039),#14997,.T.); #339505=ADVANCED_FACE('',(#31040),#14998,.T.); #339506=ADVANCED_FACE('',(#31041),#14999,.T.); #339507=ADVANCED_FACE('',(#31042),#15000,.T.); #339508=ADVANCED_FACE('',(#31043),#15001,.T.); #339509=ADVANCED_FACE('',(#31044),#15002,.F.); #339510=ADVANCED_FACE('',(#31045),#15003,.T.); #339511=ADVANCED_FACE('',(#31046),#15004,.T.); #339512=ADVANCED_FACE('',(#31047),#15005,.T.); #339513=ADVANCED_FACE('',(#31048),#15006,.T.); #339514=ADVANCED_FACE('',(#31049),#15007,.T.); #339515=ADVANCED_FACE('',(#31050),#15008,.F.); #339516=ADVANCED_FACE('',(#31051),#15009,.T.); #339517=ADVANCED_FACE('',(#31052),#15010,.T.); #339518=ADVANCED_FACE('',(#31053),#15011,.T.); #339519=ADVANCED_FACE('',(#31054),#15012,.T.); #339520=ADVANCED_FACE('',(#31055),#15013,.T.); #339521=ADVANCED_FACE('',(#31056),#15014,.F.); #339522=ADVANCED_FACE('',(#31057),#15015,.T.); #339523=ADVANCED_FACE('',(#31058),#15016,.T.); #339524=ADVANCED_FACE('',(#31059),#15017,.T.); #339525=ADVANCED_FACE('',(#31060),#15018,.T.); #339526=ADVANCED_FACE('',(#31061),#15019,.T.); #339527=ADVANCED_FACE('',(#31062),#15020,.F.); #339528=ADVANCED_FACE('',(#31063),#15021,.T.); #339529=ADVANCED_FACE('',(#31064),#15022,.T.); #339530=ADVANCED_FACE('',(#31065),#15023,.T.); #339531=ADVANCED_FACE('',(#31066),#15024,.T.); #339532=ADVANCED_FACE('',(#31067),#15025,.T.); #339533=ADVANCED_FACE('',(#31068),#15026,.F.); #339534=ADVANCED_FACE('',(#31069),#328245,.F.); #339535=ADVANCED_FACE('',(#31070),#328246,.F.); #339536=ADVANCED_FACE('',(#31071),#328247,.F.); #339537=ADVANCED_FACE('',(#31072),#328248,.F.); #339538=ADVANCED_FACE('',(#31073),#328249,.F.); #339539=ADVANCED_FACE('',(#31074),#328250,.F.); #339540=ADVANCED_FACE('',(#31075),#15027,.T.); #339541=ADVANCED_FACE('',(#31076),#15028,.T.); #339542=ADVANCED_FACE('',(#31077),#15029,.T.); #339543=ADVANCED_FACE('',(#31078),#15030,.T.); #339544=ADVANCED_FACE('',(#31079,#5720,#5721,#5722,#5723,#5724,#5725),#15031, .T.); #339545=ADVANCED_FACE('',(#31080,#5726,#5727,#5728,#5729,#5730,#5731),#15032, .F.); #339546=ADVANCED_FACE('',(#31081),#15033,.T.); #339547=ADVANCED_FACE('',(#31082),#15034,.T.); #339548=ADVANCED_FACE('',(#31083),#15035,.T.); #339549=ADVANCED_FACE('',(#31084),#15036,.T.); #339550=ADVANCED_FACE('',(#31085),#15037,.T.); #339551=ADVANCED_FACE('',(#31086),#15038,.F.); #339552=ADVANCED_FACE('',(#31087),#15039,.T.); #339553=ADVANCED_FACE('',(#31088),#15040,.T.); #339554=ADVANCED_FACE('',(#31089),#15041,.T.); #339555=ADVANCED_FACE('',(#31090),#15042,.T.); #339556=ADVANCED_FACE('',(#31091),#15043,.T.); #339557=ADVANCED_FACE('',(#31092),#15044,.F.); #339558=ADVANCED_FACE('',(#31093),#15045,.T.); #339559=ADVANCED_FACE('',(#31094),#15046,.T.); #339560=ADVANCED_FACE('',(#31095),#15047,.T.); #339561=ADVANCED_FACE('',(#31096),#15048,.T.); #339562=ADVANCED_FACE('',(#31097),#15049,.T.); #339563=ADVANCED_FACE('',(#31098),#15050,.F.); #339564=ADVANCED_FACE('',(#31099),#328251,.F.); #339565=ADVANCED_FACE('',(#31100),#328252,.F.); #339566=ADVANCED_FACE('',(#31101),#328253,.F.); #339567=ADVANCED_FACE('',(#31102),#328254,.F.); #339568=ADVANCED_FACE('',(#31103),#328255,.F.); #339569=ADVANCED_FACE('',(#31104),#328256,.F.); #339570=ADVANCED_FACE('',(#31105),#15051,.T.); #339571=ADVANCED_FACE('',(#31106),#15052,.T.); #339572=ADVANCED_FACE('',(#31107),#15053,.T.); #339573=ADVANCED_FACE('',(#31108),#15054,.T.); #339574=ADVANCED_FACE('',(#31109),#15055,.T.); #339575=ADVANCED_FACE('',(#31110),#15056,.T.); #339576=ADVANCED_FACE('',(#31111,#5732,#5733),#15057,.F.); #339577=ADVANCED_FACE('',(#31112),#15058,.T.); #339578=ADVANCED_FACE('',(#31113),#15059,.T.); #339579=ADVANCED_FACE('',(#31114,#5734,#5735),#15060,.T.); #339580=ADVANCED_FACE('',(#31115),#15061,.T.); #339581=ADVANCED_FACE('',(#31116),#15062,.T.); #339582=ADVANCED_FACE('',(#31117),#15063,.T.); #339583=ADVANCED_FACE('',(#31118),#15064,.T.); #339584=ADVANCED_FACE('',(#31119),#15065,.T.); #339585=ADVANCED_FACE('',(#31120),#15066,.F.); #339586=ADVANCED_FACE('',(#31121),#15067,.T.); #339587=ADVANCED_FACE('',(#31122),#15068,.T.); #339588=ADVANCED_FACE('',(#31123),#15069,.T.); #339589=ADVANCED_FACE('',(#31124),#15070,.T.); #339590=ADVANCED_FACE('',(#31125),#15071,.T.); #339591=ADVANCED_FACE('',(#31126),#15072,.F.); #339592=ADVANCED_FACE('',(#31127),#15073,.T.); #339593=ADVANCED_FACE('',(#31128),#15074,.T.); #339594=ADVANCED_FACE('',(#31129),#15075,.T.); #339595=ADVANCED_FACE('',(#31130),#15076,.T.); #339596=ADVANCED_FACE('',(#31131),#15077,.T.); #339597=ADVANCED_FACE('',(#31132),#15078,.F.); #339598=ADVANCED_FACE('',(#31133),#15079,.T.); #339599=ADVANCED_FACE('',(#31134),#15080,.T.); #339600=ADVANCED_FACE('',(#31135),#15081,.T.); #339601=ADVANCED_FACE('',(#31136),#15082,.T.); #339602=ADVANCED_FACE('',(#31137),#15083,.T.); #339603=ADVANCED_FACE('',(#31138),#15084,.F.); #339604=ADVANCED_FACE('',(#31139),#15085,.T.); #339605=ADVANCED_FACE('',(#31140),#15086,.T.); #339606=ADVANCED_FACE('',(#31141),#15087,.T.); #339607=ADVANCED_FACE('',(#31142),#15088,.T.); #339608=ADVANCED_FACE('',(#31143),#15089,.T.); #339609=ADVANCED_FACE('',(#31144),#15090,.F.); #339610=ADVANCED_FACE('',(#31145),#15091,.T.); #339611=ADVANCED_FACE('',(#31146),#15092,.T.); #339612=ADVANCED_FACE('',(#31147),#15093,.T.); #339613=ADVANCED_FACE('',(#31148),#15094,.T.); #339614=ADVANCED_FACE('',(#31149),#15095,.T.); #339615=ADVANCED_FACE('',(#31150),#15096,.F.); #339616=ADVANCED_FACE('',(#31151),#15097,.T.); #339617=ADVANCED_FACE('',(#31152),#15098,.T.); #339618=ADVANCED_FACE('',(#31153),#15099,.T.); #339619=ADVANCED_FACE('',(#31154),#15100,.T.); #339620=ADVANCED_FACE('',(#31155),#15101,.T.); #339621=ADVANCED_FACE('',(#31156),#15102,.F.); #339622=ADVANCED_FACE('',(#31157),#15103,.T.); #339623=ADVANCED_FACE('',(#31158),#15104,.T.); #339624=ADVANCED_FACE('',(#31159),#15105,.T.); #339625=ADVANCED_FACE('',(#31160),#15106,.T.); #339626=ADVANCED_FACE('',(#31161),#15107,.T.); #339627=ADVANCED_FACE('',(#31162),#15108,.F.); #339628=ADVANCED_FACE('',(#31163),#15109,.T.); #339629=ADVANCED_FACE('',(#31164),#15110,.T.); #339630=ADVANCED_FACE('',(#31165),#15111,.T.); #339631=ADVANCED_FACE('',(#31166),#15112,.T.); #339632=ADVANCED_FACE('',(#31167),#15113,.T.); #339633=ADVANCED_FACE('',(#31168),#15114,.F.); #339634=ADVANCED_FACE('',(#31169),#15115,.T.); #339635=ADVANCED_FACE('',(#31170),#15116,.T.); #339636=ADVANCED_FACE('',(#31171),#15117,.T.); #339637=ADVANCED_FACE('',(#31172),#15118,.T.); #339638=ADVANCED_FACE('',(#31173),#15119,.T.); #339639=ADVANCED_FACE('',(#31174),#15120,.F.); #339640=ADVANCED_FACE('',(#31175),#15121,.T.); #339641=ADVANCED_FACE('',(#31176),#15122,.T.); #339642=ADVANCED_FACE('',(#31177),#15123,.T.); #339643=ADVANCED_FACE('',(#31178),#15124,.T.); #339644=ADVANCED_FACE('',(#31179),#15125,.T.); #339645=ADVANCED_FACE('',(#31180),#15126,.F.); #339646=ADVANCED_FACE('',(#31181),#15127,.T.); #339647=ADVANCED_FACE('',(#31182),#15128,.T.); #339648=ADVANCED_FACE('',(#31183),#15129,.T.); #339649=ADVANCED_FACE('',(#31184),#15130,.T.); #339650=ADVANCED_FACE('',(#31185),#15131,.T.); #339651=ADVANCED_FACE('',(#31186),#15132,.F.); #339652=ADVANCED_FACE('',(#31187),#15133,.T.); #339653=ADVANCED_FACE('',(#31188),#15134,.T.); #339654=ADVANCED_FACE('',(#31189),#15135,.T.); #339655=ADVANCED_FACE('',(#31190),#15136,.T.); #339656=ADVANCED_FACE('',(#31191),#15137,.T.); #339657=ADVANCED_FACE('',(#31192),#15138,.F.); #339658=ADVANCED_FACE('',(#31193),#15139,.T.); #339659=ADVANCED_FACE('',(#31194),#15140,.T.); #339660=ADVANCED_FACE('',(#31195),#15141,.T.); #339661=ADVANCED_FACE('',(#31196),#15142,.T.); #339662=ADVANCED_FACE('',(#31197),#15143,.T.); #339663=ADVANCED_FACE('',(#31198),#15144,.F.); #339664=ADVANCED_FACE('',(#31199),#15145,.T.); #339665=ADVANCED_FACE('',(#31200),#15146,.T.); #339666=ADVANCED_FACE('',(#31201),#15147,.T.); #339667=ADVANCED_FACE('',(#31202),#15148,.T.); #339668=ADVANCED_FACE('',(#31203),#15149,.T.); #339669=ADVANCED_FACE('',(#31204),#15150,.F.); #339670=ADVANCED_FACE('',(#31205),#15151,.T.); #339671=ADVANCED_FACE('',(#31206),#15152,.T.); #339672=ADVANCED_FACE('',(#31207),#15153,.T.); #339673=ADVANCED_FACE('',(#31208),#15154,.T.); #339674=ADVANCED_FACE('',(#31209),#15155,.T.); #339675=ADVANCED_FACE('',(#31210),#15156,.F.); #339676=ADVANCED_FACE('',(#31211),#15157,.T.); #339677=ADVANCED_FACE('',(#31212),#15158,.T.); #339678=ADVANCED_FACE('',(#31213),#15159,.T.); #339679=ADVANCED_FACE('',(#31214),#15160,.T.); #339680=ADVANCED_FACE('',(#31215),#15161,.T.); #339681=ADVANCED_FACE('',(#31216),#15162,.F.); #339682=ADVANCED_FACE('',(#31217),#15163,.T.); #339683=ADVANCED_FACE('',(#31218),#15164,.T.); #339684=ADVANCED_FACE('',(#31219),#15165,.T.); #339685=ADVANCED_FACE('',(#31220),#15166,.T.); #339686=ADVANCED_FACE('',(#31221),#15167,.T.); #339687=ADVANCED_FACE('',(#31222),#15168,.F.); #339688=ADVANCED_FACE('',(#31223),#15169,.T.); #339689=ADVANCED_FACE('',(#31224),#15170,.T.); #339690=ADVANCED_FACE('',(#31225),#15171,.T.); #339691=ADVANCED_FACE('',(#31226),#15172,.T.); #339692=ADVANCED_FACE('',(#31227),#15173,.T.); #339693=ADVANCED_FACE('',(#31228),#15174,.F.); #339694=ADVANCED_FACE('',(#31229),#15175,.T.); #339695=ADVANCED_FACE('',(#31230),#15176,.T.); #339696=ADVANCED_FACE('',(#31231),#15177,.T.); #339697=ADVANCED_FACE('',(#31232),#15178,.T.); #339698=ADVANCED_FACE('',(#31233),#15179,.T.); #339699=ADVANCED_FACE('',(#31234),#15180,.F.); #339700=ADVANCED_FACE('',(#31235),#15181,.T.); #339701=ADVANCED_FACE('',(#31236),#15182,.T.); #339702=ADVANCED_FACE('',(#31237),#15183,.T.); #339703=ADVANCED_FACE('',(#31238),#15184,.T.); #339704=ADVANCED_FACE('',(#31239),#15185,.T.); #339705=ADVANCED_FACE('',(#31240),#15186,.F.); #339706=ADVANCED_FACE('',(#31241),#328257,.F.); #339707=ADVANCED_FACE('',(#31242),#15187,.T.); #339708=ADVANCED_FACE('',(#31243),#328258,.T.); #339709=ADVANCED_FACE('',(#31244),#328259,.T.); #339710=ADVANCED_FACE('',(#31245,#5736),#15188,.T.); #339711=ADVANCED_FACE('',(#31246,#5737),#15189,.F.); #339712=ADVANCED_FACE('',(#31247),#15190,.T.); #339713=ADVANCED_FACE('',(#31248),#15191,.T.); #339714=ADVANCED_FACE('',(#31249),#15192,.T.); #339715=ADVANCED_FACE('',(#31250),#15193,.T.); #339716=ADVANCED_FACE('',(#31251),#15194,.T.); #339717=ADVANCED_FACE('',(#31252),#15195,.F.); #339718=ADVANCED_FACE('',(#31253),#15196,.T.); #339719=ADVANCED_FACE('',(#31254),#15197,.T.); #339720=ADVANCED_FACE('',(#31255),#15198,.T.); #339721=ADVANCED_FACE('',(#31256),#15199,.T.); #339722=ADVANCED_FACE('',(#31257),#15200,.T.); #339723=ADVANCED_FACE('',(#31258),#15201,.F.); #339724=ADVANCED_FACE('',(#31259),#328260,.F.); #339725=ADVANCED_FACE('',(#31260),#328261,.F.); #339726=ADVANCED_FACE('',(#31261),#15202,.T.); #339727=ADVANCED_FACE('',(#31262),#15203,.T.); #339728=ADVANCED_FACE('',(#31263),#15204,.T.); #339729=ADVANCED_FACE('',(#31264),#15205,.T.); #339730=ADVANCED_FACE('',(#31265,#5738,#5739),#15206,.T.); #339731=ADVANCED_FACE('',(#31266,#5740,#5741),#15207,.F.); #339732=ADVANCED_FACE('',(#31267),#15208,.T.); #339733=ADVANCED_FACE('',(#31268),#15209,.T.); #339734=ADVANCED_FACE('',(#31269),#15210,.T.); #339735=ADVANCED_FACE('',(#31270),#15211,.T.); #339736=ADVANCED_FACE('',(#31271),#15212,.T.); #339737=ADVANCED_FACE('',(#31272),#15213,.F.); #339738=ADVANCED_FACE('',(#31273),#328262,.F.); #339739=ADVANCED_FACE('',(#31274),#328263,.F.); #339740=ADVANCED_FACE('',(#31275),#15214,.T.); #339741=ADVANCED_FACE('',(#31276),#15215,.T.); #339742=ADVANCED_FACE('',(#31277),#15216,.T.); #339743=ADVANCED_FACE('',(#31278),#15217,.T.); #339744=ADVANCED_FACE('',(#31279,#5742,#5743),#15218,.T.); #339745=ADVANCED_FACE('',(#31280,#5744,#5745),#15219,.F.); #339746=ADVANCED_FACE('',(#31281),#15220,.T.); #339747=ADVANCED_FACE('',(#31282),#15221,.T.); #339748=ADVANCED_FACE('',(#31283),#15222,.T.); #339749=ADVANCED_FACE('',(#31284),#15223,.T.); #339750=ADVANCED_FACE('',(#31285),#15224,.T.); #339751=ADVANCED_FACE('',(#31286),#15225,.F.); #339752=ADVANCED_FACE('',(#31287),#328264,.F.); #339753=ADVANCED_FACE('',(#31288),#328265,.F.); #339754=ADVANCED_FACE('',(#31289),#15226,.T.); #339755=ADVANCED_FACE('',(#31290),#15227,.T.); #339756=ADVANCED_FACE('',(#31291),#15228,.T.); #339757=ADVANCED_FACE('',(#31292),#15229,.T.); #339758=ADVANCED_FACE('',(#31293,#5746,#5747),#15230,.T.); #339759=ADVANCED_FACE('',(#31294,#5748,#5749),#15231,.F.); #339760=ADVANCED_FACE('',(#31295),#15232,.T.); #339761=ADVANCED_FACE('',(#31296),#15233,.T.); #339762=ADVANCED_FACE('',(#31297),#15234,.T.); #339763=ADVANCED_FACE('',(#31298),#15235,.T.); #339764=ADVANCED_FACE('',(#31299),#15236,.T.); #339765=ADVANCED_FACE('',(#31300),#15237,.F.); #339766=ADVANCED_FACE('',(#31301),#328266,.F.); #339767=ADVANCED_FACE('',(#31302),#328267,.F.); #339768=ADVANCED_FACE('',(#31303),#15238,.T.); #339769=ADVANCED_FACE('',(#31304),#15239,.T.); #339770=ADVANCED_FACE('',(#31305),#15240,.T.); #339771=ADVANCED_FACE('',(#31306),#15241,.T.); #339772=ADVANCED_FACE('',(#31307,#5750,#5751),#15242,.T.); #339773=ADVANCED_FACE('',(#31308,#5752,#5753),#15243,.F.); #339774=ADVANCED_FACE('',(#31309),#15244,.T.); #339775=ADVANCED_FACE('',(#31310),#15245,.T.); #339776=ADVANCED_FACE('',(#31311),#15246,.T.); #339777=ADVANCED_FACE('',(#31312),#15247,.T.); #339778=ADVANCED_FACE('',(#31313),#15248,.T.); #339779=ADVANCED_FACE('',(#31314),#15249,.F.); #339780=ADVANCED_FACE('',(#31315),#15250,.T.); #339781=ADVANCED_FACE('',(#31316),#15251,.T.); #339782=ADVANCED_FACE('',(#31317),#15252,.T.); #339783=ADVANCED_FACE('',(#31318),#15253,.T.); #339784=ADVANCED_FACE('',(#31319),#15254,.T.); #339785=ADVANCED_FACE('',(#31320),#15255,.T.); #339786=ADVANCED_FACE('',(#31321),#328268,.T.); #339787=ADVANCED_FACE('',(#31322),#15256,.T.); #339788=ADVANCED_FACE('',(#31323),#15257,.T.); #339789=ADVANCED_FACE('',(#31324),#15258,.T.); #339790=ADVANCED_FACE('',(#31325),#15259,.T.); #339791=ADVANCED_FACE('',(#31326),#15260,.T.); #339792=ADVANCED_FACE('',(#31327),#328269,.T.); #339793=ADVANCED_FACE('',(#31328),#15261,.T.); #339794=ADVANCED_FACE('',(#31329),#15262,.T.); #339795=ADVANCED_FACE('',(#31330),#328270,.T.); #339796=ADVANCED_FACE('',(#31331),#15263,.T.); #339797=ADVANCED_FACE('',(#31332),#15264,.T.); #339798=ADVANCED_FACE('',(#31333),#15265,.T.); #339799=ADVANCED_FACE('',(#31334),#15266,.T.); #339800=ADVANCED_FACE('',(#31335),#15267,.T.); #339801=ADVANCED_FACE('',(#31336),#15268,.T.); #339802=ADVANCED_FACE('',(#31337),#15269,.T.); #339803=ADVANCED_FACE('',(#31338),#328271,.T.); #339804=ADVANCED_FACE('',(#31339),#15270,.T.); #339805=ADVANCED_FACE('',(#31340),#328272,.T.); #339806=ADVANCED_FACE('',(#31341),#15271,.T.); #339807=ADVANCED_FACE('',(#31342),#15272,.T.); #339808=ADVANCED_FACE('',(#31343),#15273,.T.); #339809=ADVANCED_FACE('',(#31344),#15274,.T.); #339810=ADVANCED_FACE('',(#31345),#15275,.T.); #339811=ADVANCED_FACE('',(#31346),#15276,.T.); #339812=ADVANCED_FACE('',(#31347),#15277,.T.); #339813=ADVANCED_FACE('',(#31348),#15278,.T.); #339814=ADVANCED_FACE('',(#31349),#328273,.T.); #339815=ADVANCED_FACE('',(#31350),#15279,.T.); #339816=ADVANCED_FACE('',(#31351),#328274,.T.); #339817=ADVANCED_FACE('',(#31352),#15280,.T.); #339818=ADVANCED_FACE('',(#31353),#15281,.T.); #339819=ADVANCED_FACE('',(#31354),#15282,.T.); #339820=ADVANCED_FACE('',(#31355),#328275,.T.); #339821=ADVANCED_FACE('',(#31356),#15283,.T.); #339822=ADVANCED_FACE('',(#31357),#328276,.T.); #339823=ADVANCED_FACE('',(#31358),#15284,.T.); #339824=ADVANCED_FACE('',(#31359),#15285,.T.); #339825=ADVANCED_FACE('',(#31360),#15286,.T.); #339826=ADVANCED_FACE('',(#31361),#15287,.T.); #339827=ADVANCED_FACE('',(#31362),#15288,.T.); #339828=ADVANCED_FACE('',(#31363),#328277,.T.); #339829=ADVANCED_FACE('',(#31364),#15289,.T.); #339830=ADVANCED_FACE('',(#31365),#15290,.T.); #339831=ADVANCED_FACE('',(#31366),#15291,.T.); #339832=ADVANCED_FACE('',(#31367),#15292,.T.); #339833=ADVANCED_FACE('',(#31368),#15293,.T.); #339834=ADVANCED_FACE('',(#31369),#15294,.T.); #339835=ADVANCED_FACE('',(#31370),#328278,.T.); #339836=ADVANCED_FACE('',(#31371),#15295,.T.); #339837=ADVANCED_FACE('',(#31372),#15296,.T.); #339838=ADVANCED_FACE('',(#31373),#15297,.T.); #339839=ADVANCED_FACE('',(#31374),#15298,.F.); #339840=ADVANCED_FACE('',(#31375),#328279,.F.); #339841=ADVANCED_FACE('',(#31376),#328280,.F.); #339842=ADVANCED_FACE('',(#31377),#15299,.T.); #339843=ADVANCED_FACE('',(#31378),#328281,.T.); #339844=ADVANCED_FACE('',(#31379),#328282,.T.); #339845=ADVANCED_FACE('',(#31380),#15300,.T.); #339846=ADVANCED_FACE('',(#31381),#328283,.T.); #339847=ADVANCED_FACE('',(#31382),#328284,.T.); #339848=ADVANCED_FACE('',(#31383),#15301,.T.); #339849=ADVANCED_FACE('',(#31384),#328285,.T.); #339850=ADVANCED_FACE('',(#31385,#5754,#5755),#15302,.T.); #339851=ADVANCED_FACE('',(#31386,#5756,#5757),#15303,.F.); #339852=ADVANCED_FACE('',(#31387),#328286,.F.); #339853=ADVANCED_FACE('',(#31388),#15304,.T.); #339854=ADVANCED_FACE('',(#31389),#328287,.T.); #339855=ADVANCED_FACE('',(#31390),#15305,.T.); #339856=ADVANCED_FACE('',(#31391),#15306,.T.); #339857=ADVANCED_FACE('',(#31392),#15307,.T.); #339858=ADVANCED_FACE('',(#31393),#15308,.T.); #339859=ADVANCED_FACE('',(#31394),#15309,.T.); #339860=ADVANCED_FACE('',(#31395),#15310,.T.); #339861=ADVANCED_FACE('',(#31396),#15311,.T.); #339862=ADVANCED_FACE('',(#31397),#328288,.T.); #339863=ADVANCED_FACE('',(#31398,#5758),#15312,.T.); #339864=ADVANCED_FACE('',(#31399,#5759),#15313,.F.); #339865=ADVANCED_FACE('',(#31400),#328289,.F.); #339866=ADVANCED_FACE('',(#31401),#15314,.T.); #339867=ADVANCED_FACE('',(#31402),#15315,.T.); #339868=ADVANCED_FACE('',(#31403),#15316,.T.); #339869=ADVANCED_FACE('',(#31404),#15317,.T.); #339870=ADVANCED_FACE('',(#31405),#15318,.T.); #339871=ADVANCED_FACE('',(#31406),#328290,.T.); #339872=ADVANCED_FACE('',(#31407),#15319,.T.); #339873=ADVANCED_FACE('',(#31408),#328291,.T.); #339874=ADVANCED_FACE('',(#31409),#328292,.T.); #339875=ADVANCED_FACE('',(#31410),#328293,.T.); #339876=ADVANCED_FACE('',(#31411),#15320,.T.); #339877=ADVANCED_FACE('',(#31412),#15321,.T.); #339878=ADVANCED_FACE('',(#31413),#15322,.T.); #339879=ADVANCED_FACE('',(#31414,#5760),#15323,.T.); #339880=ADVANCED_FACE('',(#31415,#5761),#15324,.F.); #339881=ADVANCED_FACE('',(#31416),#328294,.F.); #339882=ADVANCED_FACE('',(#31417),#328295,.F.); #339883=ADVANCED_FACE('',(#31418),#15325,.T.); #339884=ADVANCED_FACE('',(#31419),#328296,.T.); #339885=ADVANCED_FACE('',(#31420),#328297,.T.); #339886=ADVANCED_FACE('',(#31421),#15326,.T.); #339887=ADVANCED_FACE('',(#31422),#15327,.T.); #339888=ADVANCED_FACE('',(#31423),#15328,.T.); #339889=ADVANCED_FACE('',(#31424),#15329,.T.); #339890=ADVANCED_FACE('',(#31425),#15330,.T.); #339891=ADVANCED_FACE('',(#31426),#328298,.T.); #339892=ADVANCED_FACE('',(#31427),#15331,.T.); #339893=ADVANCED_FACE('',(#31428),#15332,.T.); #339894=ADVANCED_FACE('',(#31429),#328299,.T.); #339895=ADVANCED_FACE('',(#31430),#15333,.T.); #339896=ADVANCED_FACE('',(#31431),#328300,.T.); #339897=ADVANCED_FACE('',(#31432),#15334,.T.); #339898=ADVANCED_FACE('',(#31433),#15335,.T.); #339899=ADVANCED_FACE('',(#31434),#15336,.T.); #339900=ADVANCED_FACE('',(#31435),#328301,.T.); #339901=ADVANCED_FACE('',(#31436),#15337,.T.); #339902=ADVANCED_FACE('',(#31437),#328302,.T.); #339903=ADVANCED_FACE('',(#31438),#15338,.T.); #339904=ADVANCED_FACE('',(#31439),#328303,.T.); #339905=ADVANCED_FACE('',(#31440),#328304,.T.); #339906=ADVANCED_FACE('',(#31441),#15339,.T.); #339907=ADVANCED_FACE('',(#31442),#15340,.T.); #339908=ADVANCED_FACE('',(#31443),#15341,.T.); #339909=ADVANCED_FACE('',(#31444),#328305,.T.); #339910=ADVANCED_FACE('',(#31445),#15342,.T.); #339911=ADVANCED_FACE('',(#31446),#328306,.T.); #339912=ADVANCED_FACE('',(#31447),#15343,.T.); #339913=ADVANCED_FACE('',(#31448),#15344,.T.); #339914=ADVANCED_FACE('',(#31449),#15345,.T.); #339915=ADVANCED_FACE('',(#31450),#328307,.T.); #339916=ADVANCED_FACE('',(#31451),#15346,.T.); #339917=ADVANCED_FACE('',(#31452),#15347,.T.); #339918=ADVANCED_FACE('',(#31453),#328308,.T.); #339919=ADVANCED_FACE('',(#31454),#15348,.T.); #339920=ADVANCED_FACE('',(#31455),#328309,.T.); #339921=ADVANCED_FACE('',(#31456),#15349,.T.); #339922=ADVANCED_FACE('',(#31457),#328310,.T.); #339923=ADVANCED_FACE('',(#31458),#15350,.T.); #339924=ADVANCED_FACE('',(#31459),#328311,.T.); #339925=ADVANCED_FACE('',(#31460),#15351,.T.); #339926=ADVANCED_FACE('',(#31461),#328312,.T.); #339927=ADVANCED_FACE('',(#31462,#5762,#5763),#15352,.T.); #339928=ADVANCED_FACE('',(#31463,#5764,#5765),#15353,.F.); #339929=ADVANCED_FACE('',(#31464),#328313,.F.); #339930=ADVANCED_FACE('',(#31465),#328314,.F.); #339931=ADVANCED_FACE('',(#31466),#15354,.T.); #339932=ADVANCED_FACE('',(#31467),#328315,.T.); #339933=ADVANCED_FACE('',(#31468),#328316,.T.); #339934=ADVANCED_FACE('',(#31469),#15355,.T.); #339935=ADVANCED_FACE('',(#31470),#15356,.T.); #339936=ADVANCED_FACE('',(#31471),#328317,.T.); #339937=ADVANCED_FACE('',(#31472),#328318,.T.); #339938=ADVANCED_FACE('',(#31473),#15357,.T.); #339939=ADVANCED_FACE('',(#31474),#328319,.T.); #339940=ADVANCED_FACE('',(#31475),#15358,.T.); #339941=ADVANCED_FACE('',(#31476),#328320,.T.); #339942=ADVANCED_FACE('',(#31477,#5766,#5767),#15359,.T.); #339943=ADVANCED_FACE('',(#31478,#5768,#5769),#15360,.F.); #339944=ADVANCED_FACE('',(#31479),#328321,.F.); #339945=ADVANCED_FACE('',(#31480),#15361,.T.); #339946=ADVANCED_FACE('',(#31481),#15362,.T.); #339947=ADVANCED_FACE('',(#31482),#15363,.T.); #339948=ADVANCED_FACE('',(#31483),#15364,.T.); #339949=ADVANCED_FACE('',(#31484),#15365,.T.); #339950=ADVANCED_FACE('',(#31485),#328322,.T.); #339951=ADVANCED_FACE('',(#31486),#15366,.T.); #339952=ADVANCED_FACE('',(#31487),#328323,.T.); #339953=ADVANCED_FACE('',(#31488),#328324,.T.); #339954=ADVANCED_FACE('',(#31489),#328325,.T.); #339955=ADVANCED_FACE('',(#31490),#15367,.T.); #339956=ADVANCED_FACE('',(#31491),#328326,.T.); #339957=ADVANCED_FACE('',(#31492),#15368,.T.); #339958=ADVANCED_FACE('',(#31493),#15369,.T.); #339959=ADVANCED_FACE('',(#31494),#15370,.T.); #339960=ADVANCED_FACE('',(#31495),#15371,.T.); #339961=ADVANCED_FACE('',(#31496),#15372,.T.); #339962=ADVANCED_FACE('',(#31497),#15373,.T.); #339963=ADVANCED_FACE('',(#31498),#15374,.T.); #339964=ADVANCED_FACE('',(#31499),#15375,.T.); #339965=ADVANCED_FACE('',(#31500),#328327,.T.); #339966=ADVANCED_FACE('',(#31501),#15376,.T.); #339967=ADVANCED_FACE('',(#31502),#15377,.T.); #339968=ADVANCED_FACE('',(#31503),#15378,.T.); #339969=ADVANCED_FACE('',(#31504,#5770),#15379,.T.); #339970=ADVANCED_FACE('',(#31505,#5771),#15380,.F.); #339971=ADVANCED_FACE('',(#31506),#328328,.F.); #339972=ADVANCED_FACE('',(#31507),#15381,.T.); #339973=ADVANCED_FACE('',(#31508),#328329,.T.); #339974=ADVANCED_FACE('',(#31509),#328330,.T.); #339975=ADVANCED_FACE('',(#31510),#15382,.T.); #339976=ADVANCED_FACE('',(#31511),#328331,.T.); #339977=ADVANCED_FACE('',(#31512),#15383,.T.); #339978=ADVANCED_FACE('',(#31513),#15384,.T.); #339979=ADVANCED_FACE('',(#31514),#15385,.T.); #339980=ADVANCED_FACE('',(#31515),#15386,.T.); #339981=ADVANCED_FACE('',(#31516),#15387,.T.); #339982=ADVANCED_FACE('',(#31517),#15388,.T.); #339983=ADVANCED_FACE('',(#31518),#15389,.T.); #339984=ADVANCED_FACE('',(#31519),#15390,.T.); #339985=ADVANCED_FACE('',(#31520),#328332,.T.); #339986=ADVANCED_FACE('',(#31521),#15391,.T.); #339987=ADVANCED_FACE('',(#31522),#15392,.T.); #339988=ADVANCED_FACE('',(#31523),#15393,.T.); #339989=ADVANCED_FACE('',(#31524),#15394,.T.); #339990=ADVANCED_FACE('',(#31525),#15395,.T.); #339991=ADVANCED_FACE('',(#31526),#15396,.T.); #339992=ADVANCED_FACE('',(#31527),#15397,.T.); #339993=ADVANCED_FACE('',(#31528),#15398,.T.); #339994=ADVANCED_FACE('',(#31529),#328333,.T.); #339995=ADVANCED_FACE('',(#31530),#15399,.T.); #339996=ADVANCED_FACE('',(#31531),#328334,.T.); #339997=ADVANCED_FACE('',(#31532),#328335,.T.); #339998=ADVANCED_FACE('',(#31533,#5772),#15400,.T.); #339999=ADVANCED_FACE('',(#31534,#5773),#15401,.F.); #340000=ADVANCED_FACE('',(#31535),#328336,.F.); #340001=ADVANCED_FACE('',(#31536),#328337,.F.); #340002=ADVANCED_FACE('',(#31537),#15402,.T.); #340003=ADVANCED_FACE('',(#31538),#15403,.T.); #340004=ADVANCED_FACE('',(#31539),#328338,.T.); #340005=ADVANCED_FACE('',(#31540),#15404,.T.); #340006=ADVANCED_FACE('',(#31541),#15405,.T.); #340007=ADVANCED_FACE('',(#31542),#328339,.T.); #340008=ADVANCED_FACE('',(#31543),#328340,.T.); #340009=ADVANCED_FACE('',(#31544),#15406,.T.); #340010=ADVANCED_FACE('',(#31545),#328341,.T.); #340011=ADVANCED_FACE('',(#31546),#15407,.T.); #340012=ADVANCED_FACE('',(#31547),#15408,.T.); #340013=ADVANCED_FACE('',(#31548),#15409,.T.); #340014=ADVANCED_FACE('',(#31549),#328342,.T.); #340015=ADVANCED_FACE('',(#31550),#15410,.T.); #340016=ADVANCED_FACE('',(#31551),#15411,.T.); #340017=ADVANCED_FACE('',(#31552),#15412,.T.); #340018=ADVANCED_FACE('',(#31553),#15413,.T.); #340019=ADVANCED_FACE('',(#31554),#15414,.T.); #340020=ADVANCED_FACE('',(#31555),#328343,.T.); #340021=ADVANCED_FACE('',(#31556),#15415,.T.); #340022=ADVANCED_FACE('',(#31557),#15416,.T.); #340023=ADVANCED_FACE('',(#31558),#328344,.T.); #340024=ADVANCED_FACE('',(#31559),#15417,.T.); #340025=ADVANCED_FACE('',(#31560),#328345,.T.); #340026=ADVANCED_FACE('',(#31561),#15418,.T.); #340027=ADVANCED_FACE('',(#31562),#15419,.T.); #340028=ADVANCED_FACE('',(#31563),#15420,.T.); #340029=ADVANCED_FACE('',(#31564),#328346,.T.); #340030=ADVANCED_FACE('',(#31565),#15421,.T.); #340031=ADVANCED_FACE('',(#31566),#328347,.T.); #340032=ADVANCED_FACE('',(#31567),#15422,.T.); #340033=ADVANCED_FACE('',(#31568),#328348,.T.); #340034=ADVANCED_FACE('',(#31569),#15423,.T.); #340035=ADVANCED_FACE('',(#31570),#15424,.T.); #340036=ADVANCED_FACE('',(#31571),#328349,.T.); #340037=ADVANCED_FACE('',(#31572),#328350,.T.); #340038=ADVANCED_FACE('',(#31573),#15425,.T.); #340039=ADVANCED_FACE('',(#31574),#328351,.T.); #340040=ADVANCED_FACE('',(#31575),#15426,.T.); #340041=ADVANCED_FACE('',(#31576),#15427,.T.); #340042=ADVANCED_FACE('',(#31577),#15428,.T.); #340043=ADVANCED_FACE('',(#31578),#15429,.T.); #340044=ADVANCED_FACE('',(#31579),#328352,.T.); #340045=ADVANCED_FACE('',(#31580),#15430,.T.); #340046=ADVANCED_FACE('',(#31581),#328353,.T.); #340047=ADVANCED_FACE('',(#31582),#15431,.T.); #340048=ADVANCED_FACE('',(#31583),#15432,.T.); #340049=ADVANCED_FACE('',(#31584),#15433,.T.); #340050=ADVANCED_FACE('',(#31585),#328354,.T.); #340051=ADVANCED_FACE('',(#31586),#15434,.T.); #340052=ADVANCED_FACE('',(#31587),#15435,.T.); #340053=ADVANCED_FACE('',(#31588),#328355,.T.); #340054=ADVANCED_FACE('',(#31589),#15436,.T.); #340055=ADVANCED_FACE('',(#31590),#328356,.T.); #340056=ADVANCED_FACE('',(#31591),#15437,.T.); #340057=ADVANCED_FACE('',(#31592),#328357,.T.); #340058=ADVANCED_FACE('',(#31593),#15438,.T.); #340059=ADVANCED_FACE('',(#31594),#328358,.T.); #340060=ADVANCED_FACE('',(#31595),#15439,.T.); #340061=ADVANCED_FACE('',(#31596),#15440,.T.); #340062=ADVANCED_FACE('',(#31597),#328359,.T.); #340063=ADVANCED_FACE('',(#31598,#5774,#5775),#15441,.T.); #340064=ADVANCED_FACE('',(#31599,#5776,#5777),#15442,.F.); #340065=ADVANCED_FACE('',(#31600),#328360,.F.); #340066=ADVANCED_FACE('',(#31601),#328361,.F.); #340067=ADVANCED_FACE('',(#31602),#15443,.T.); #340068=ADVANCED_FACE('',(#31603),#328362,.T.); #340069=ADVANCED_FACE('',(#31604),#328363,.T.); #340070=ADVANCED_FACE('',(#31605),#15444,.T.); #340071=ADVANCED_FACE('',(#31606),#328364,.T.); #340072=ADVANCED_FACE('',(#31607),#328365,.T.); #340073=ADVANCED_FACE('',(#31608),#15445,.T.); #340074=ADVANCED_FACE('',(#31609),#328366,.T.); #340075=ADVANCED_FACE('',(#31610,#5778,#5779),#15446,.T.); #340076=ADVANCED_FACE('',(#31611,#5780,#5781),#15447,.F.); #340077=ADVANCED_FACE('',(#31612),#328367,.F.); #340078=ADVANCED_FACE('',(#31613),#328368,.F.); #340079=ADVANCED_FACE('',(#31614),#15448,.T.); #340080=ADVANCED_FACE('',(#31615),#328369,.T.); #340081=ADVANCED_FACE('',(#31616),#328370,.T.); #340082=ADVANCED_FACE('',(#31617),#15449,.T.); #340083=ADVANCED_FACE('',(#31618),#328371,.T.); #340084=ADVANCED_FACE('',(#31619),#328372,.T.); #340085=ADVANCED_FACE('',(#31620),#15450,.T.); #340086=ADVANCED_FACE('',(#31621),#328373,.T.); #340087=ADVANCED_FACE('',(#31622,#5782,#5783),#15451,.T.); #340088=ADVANCED_FACE('',(#31623,#5784,#5785),#15452,.F.); #340089=ADVANCED_FACE('',(#31624),#328374,.F.); #340090=ADVANCED_FACE('',(#31625),#328375,.F.); #340091=ADVANCED_FACE('',(#31626),#15453,.T.); #340092=ADVANCED_FACE('',(#31627),#328376,.T.); #340093=ADVANCED_FACE('',(#31628),#328377,.T.); #340094=ADVANCED_FACE('',(#31629),#15454,.T.); #340095=ADVANCED_FACE('',(#31630),#328378,.T.); #340096=ADVANCED_FACE('',(#31631),#328379,.T.); #340097=ADVANCED_FACE('',(#31632),#15455,.T.); #340098=ADVANCED_FACE('',(#31633),#328380,.T.); #340099=ADVANCED_FACE('',(#31634,#5786,#5787),#15456,.T.); #340100=ADVANCED_FACE('',(#31635,#5788,#5789),#15457,.F.); #340101=ADVANCED_FACE('',(#31636),#328381,.F.); #340102=ADVANCED_FACE('',(#31637),#328382,.F.); #340103=ADVANCED_FACE('',(#31638),#15458,.T.); #340104=ADVANCED_FACE('',(#31639),#328383,.T.); #340105=ADVANCED_FACE('',(#31640),#15459,.T.); #340106=ADVANCED_FACE('',(#31641),#15460,.T.); #340107=ADVANCED_FACE('',(#31642),#15461,.T.); #340108=ADVANCED_FACE('',(#31643),#328384,.T.); #340109=ADVANCED_FACE('',(#31644),#328385,.T.); #340110=ADVANCED_FACE('',(#31645),#15462,.T.); #340111=ADVANCED_FACE('',(#31646),#328386,.T.); #340112=ADVANCED_FACE('',(#31647),#15463,.T.); #340113=ADVANCED_FACE('',(#31648),#328387,.T.); #340114=ADVANCED_FACE('',(#31649),#15464,.T.); #340115=ADVANCED_FACE('',(#31650),#328388,.T.); #340116=ADVANCED_FACE('',(#31651,#5790,#5791),#15465,.T.); #340117=ADVANCED_FACE('',(#31652,#5792,#5793),#15466,.F.); #340118=ADVANCED_FACE('',(#31653),#328389,.F.); #340119=ADVANCED_FACE('',(#31654),#15467,.T.); #340120=ADVANCED_FACE('',(#31655),#15468,.T.); #340121=ADVANCED_FACE('',(#31656),#15469,.T.); #340122=ADVANCED_FACE('',(#31657),#15470,.T.); #340123=ADVANCED_FACE('',(#31658,#5794),#15471,.T.); #340124=ADVANCED_FACE('',(#31659,#5795),#15472,.F.); #340125=ADVANCED_FACE('',(#31660),#328390,.F.); #340126=ADVANCED_FACE('',(#31661),#15473,.T.); #340127=ADVANCED_FACE('',(#31662),#15474,.T.); #340128=ADVANCED_FACE('',(#31663),#15475,.T.); #340129=ADVANCED_FACE('',(#31664),#15476,.T.); #340130=ADVANCED_FACE('',(#31665,#5796),#15477,.T.); #340131=ADVANCED_FACE('',(#31666,#5797),#15478,.F.); #340132=ADVANCED_FACE('',(#31667),#328391,.F.); #340133=ADVANCED_FACE('',(#31668),#15479,.T.); #340134=ADVANCED_FACE('',(#31669),#15480,.T.); #340135=ADVANCED_FACE('',(#31670),#15481,.T.); #340136=ADVANCED_FACE('',(#31671),#15482,.T.); #340137=ADVANCED_FACE('',(#31672,#5798),#15483,.T.); #340138=ADVANCED_FACE('',(#31673,#5799),#15484,.F.); #340139=ADVANCED_FACE('',(#31674),#328392,.F.); #340140=ADVANCED_FACE('',(#31675),#15485,.T.); #340141=ADVANCED_FACE('',(#31676),#328393,.T.); #340142=ADVANCED_FACE('',(#31677),#15486,.T.); #340143=ADVANCED_FACE('',(#31678),#15487,.T.); #340144=ADVANCED_FACE('',(#31679),#15488,.T.); #340145=ADVANCED_FACE('',(#31680),#15489,.T.); #340146=ADVANCED_FACE('',(#31681),#15490,.T.); #340147=ADVANCED_FACE('',(#31682),#15491,.T.); #340148=ADVANCED_FACE('',(#31683),#15492,.T.); #340149=ADVANCED_FACE('',(#31684),#328394,.T.); #340150=ADVANCED_FACE('',(#31685,#5800),#15493,.T.); #340151=ADVANCED_FACE('',(#31686,#5801),#15494,.F.); #340152=ADVANCED_FACE('',(#31687),#328395,.F.); #340153=ADVANCED_FACE('',(#31688),#328396,.F.); #340154=ADVANCED_FACE('',(#31689),#15495,.T.); #340155=ADVANCED_FACE('',(#31690),#15496,.T.); #340156=ADVANCED_FACE('',(#31691),#328397,.T.); #340157=ADVANCED_FACE('',(#31692),#15497,.T.); #340158=ADVANCED_FACE('',(#31693),#15498,.T.); #340159=ADVANCED_FACE('',(#31694),#328398,.T.); #340160=ADVANCED_FACE('',(#31695),#15499,.T.); #340161=ADVANCED_FACE('',(#31696),#15500,.T.); #340162=ADVANCED_FACE('',(#31697),#328399,.T.); #340163=ADVANCED_FACE('',(#31698),#328400,.T.); #340164=ADVANCED_FACE('',(#31699),#15501,.T.); #340165=ADVANCED_FACE('',(#31700),#328401,.T.); #340166=ADVANCED_FACE('',(#31701),#15502,.T.); #340167=ADVANCED_FACE('',(#31702),#15503,.T.); #340168=ADVANCED_FACE('',(#31703),#328402,.T.); #340169=ADVANCED_FACE('',(#31704),#15504,.T.); #340170=ADVANCED_FACE('',(#31705),#15505,.T.); #340171=ADVANCED_FACE('',(#31706),#15506,.T.); #340172=ADVANCED_FACE('',(#31707),#15507,.T.); #340173=ADVANCED_FACE('',(#31708),#15508,.T.); #340174=ADVANCED_FACE('',(#31709),#15509,.T.); #340175=ADVANCED_FACE('',(#31710),#328403,.T.); #340176=ADVANCED_FACE('',(#31711),#15510,.T.); #340177=ADVANCED_FACE('',(#31712),#15511,.T.); #340178=ADVANCED_FACE('',(#31713),#328404,.T.); #340179=ADVANCED_FACE('',(#31714),#15512,.T.); #340180=ADVANCED_FACE('',(#31715),#328405,.T.); #340181=ADVANCED_FACE('',(#31716),#15513,.T.); #340182=ADVANCED_FACE('',(#31717),#15514,.T.); #340183=ADVANCED_FACE('',(#31718),#15515,.T.); #340184=ADVANCED_FACE('',(#31719),#328406,.T.); #340185=ADVANCED_FACE('',(#31720),#15516,.T.); #340186=ADVANCED_FACE('',(#31721),#328407,.T.); #340187=ADVANCED_FACE('',(#31722),#15517,.T.); #340188=ADVANCED_FACE('',(#31723),#15518,.T.); #340189=ADVANCED_FACE('',(#31724),#328408,.T.); #340190=ADVANCED_FACE('',(#31725),#15519,.T.); #340191=ADVANCED_FACE('',(#31726),#328409,.T.); #340192=ADVANCED_FACE('',(#31727),#328410,.T.); #340193=ADVANCED_FACE('',(#31728),#15520,.T.); #340194=ADVANCED_FACE('',(#31729),#15521,.T.); #340195=ADVANCED_FACE('',(#31730),#328411,.T.); #340196=ADVANCED_FACE('',(#31731),#15522,.T.); #340197=ADVANCED_FACE('',(#31732),#15523,.T.); #340198=ADVANCED_FACE('',(#31733),#15524,.T.); #340199=ADVANCED_FACE('',(#31734),#328412,.T.); #340200=ADVANCED_FACE('',(#31735),#15525,.T.); #340201=ADVANCED_FACE('',(#31736),#328413,.T.); #340202=ADVANCED_FACE('',(#31737),#15526,.T.); #340203=ADVANCED_FACE('',(#31738),#15527,.T.); #340204=ADVANCED_FACE('',(#31739),#15528,.T.); #340205=ADVANCED_FACE('',(#31740),#328414,.T.); #340206=ADVANCED_FACE('',(#31741),#15529,.T.); #340207=ADVANCED_FACE('',(#31742),#15530,.T.); #340208=ADVANCED_FACE('',(#31743),#328415,.T.); #340209=ADVANCED_FACE('',(#31744),#15531,.T.); #340210=ADVANCED_FACE('',(#31745),#328416,.T.); #340211=ADVANCED_FACE('',(#31746),#15532,.T.); #340212=ADVANCED_FACE('',(#31747),#328417,.T.); #340213=ADVANCED_FACE('',(#31748),#15533,.T.); #340214=ADVANCED_FACE('',(#31749),#328418,.T.); #340215=ADVANCED_FACE('',(#31750,#5802,#5803),#15534,.T.); #340216=ADVANCED_FACE('',(#31751,#5804,#5805),#15535,.F.); #340217=ADVANCED_FACE('',(#31752),#328419,.F.); #340218=ADVANCED_FACE('',(#31753),#328420,.F.); #340219=ADVANCED_FACE('',(#31754),#15536,.T.); #340220=ADVANCED_FACE('',(#31755),#328421,.T.); #340221=ADVANCED_FACE('',(#31756),#15537,.T.); #340222=ADVANCED_FACE('',(#31757),#328422,.T.); #340223=ADVANCED_FACE('',(#31758),#328423,.T.); #340224=ADVANCED_FACE('',(#31759),#15538,.T.); #340225=ADVANCED_FACE('',(#31760),#328424,.T.); #340226=ADVANCED_FACE('',(#31761,#5806,#5807),#15539,.T.); #340227=ADVANCED_FACE('',(#31762,#5808,#5809),#15540,.F.); #340228=ADVANCED_FACE('',(#31763),#328425,.F.); #340229=ADVANCED_FACE('',(#31764),#15541,.T.); #340230=ADVANCED_FACE('',(#31765),#328426,.T.); #340231=ADVANCED_FACE('',(#31766),#15542,.T.); #340232=ADVANCED_FACE('',(#31767),#15543,.T.); #340233=ADVANCED_FACE('',(#31768),#15544,.T.); #340234=ADVANCED_FACE('',(#31769),#15545,.T.); #340235=ADVANCED_FACE('',(#31770),#15546,.T.); #340236=ADVANCED_FACE('',(#31771),#15547,.T.); #340237=ADVANCED_FACE('',(#31772),#15548,.T.); #340238=ADVANCED_FACE('',(#31773),#328427,.T.); #340239=ADVANCED_FACE('',(#31774,#5810),#15549,.T.); #340240=ADVANCED_FACE('',(#31775,#5811),#15550,.F.); #340241=ADVANCED_FACE('',(#31776),#328428,.F.); #340242=ADVANCED_FACE('',(#31777),#15551,.T.); #340243=ADVANCED_FACE('',(#31778),#328429,.T.); #340244=ADVANCED_FACE('',(#31779),#15552,.T.); #340245=ADVANCED_FACE('',(#31780),#15553,.T.); #340246=ADVANCED_FACE('',(#31781),#15554,.T.); #340247=ADVANCED_FACE('',(#31782),#15555,.T.); #340248=ADVANCED_FACE('',(#31783),#15556,.T.); #340249=ADVANCED_FACE('',(#31784),#15557,.T.); #340250=ADVANCED_FACE('',(#31785),#15558,.T.); #340251=ADVANCED_FACE('',(#31786),#328430,.T.); #340252=ADVANCED_FACE('',(#31787,#5812),#15559,.T.); #340253=ADVANCED_FACE('',(#31788,#5813),#15560,.F.); #340254=ADVANCED_FACE('',(#31789),#328431,.F.); #340255=ADVANCED_FACE('',(#31790),#15561,.T.); #340256=ADVANCED_FACE('',(#31791),#328432,.T.); #340257=ADVANCED_FACE('',(#31792),#15562,.T.); #340258=ADVANCED_FACE('',(#31793),#328433,.T.); #340259=ADVANCED_FACE('',(#31794),#15563,.T.); #340260=ADVANCED_FACE('',(#31795),#328434,.T.); #340261=ADVANCED_FACE('',(#31796),#15564,.T.); #340262=ADVANCED_FACE('',(#31797),#15565,.T.); #340263=ADVANCED_FACE('',(#31798),#15566,.T.); #340264=ADVANCED_FACE('',(#31799),#15567,.T.); #340265=ADVANCED_FACE('',(#31800),#15568,.T.); #340266=ADVANCED_FACE('',(#31801),#15569,.T.); #340267=ADVANCED_FACE('',(#31802),#15570,.T.); #340268=ADVANCED_FACE('',(#31803),#15571,.T.); #340269=ADVANCED_FACE('',(#31804),#15572,.T.); #340270=ADVANCED_FACE('',(#31805),#328435,.T.); #340271=ADVANCED_FACE('',(#31806),#328436,.T.); #340272=ADVANCED_FACE('',(#31807,#5814),#15573,.T.); #340273=ADVANCED_FACE('',(#31808,#5815),#15574,.F.); #340274=ADVANCED_FACE('',(#31809),#328437,.F.); #340275=ADVANCED_FACE('',(#31810),#15575,.T.); #340276=ADVANCED_FACE('',(#31811),#15576,.T.); #340277=ADVANCED_FACE('',(#31812),#15577,.T.); #340278=ADVANCED_FACE('',(#31813),#15578,.T.); #340279=ADVANCED_FACE('',(#31814),#15579,.T.); #340280=ADVANCED_FACE('',(#31815),#15580,.T.); #340281=ADVANCED_FACE('',(#31816),#15581,.T.); #340282=ADVANCED_FACE('',(#31817),#15582,.T.); #340283=ADVANCED_FACE('',(#31818),#328438,.T.); #340284=ADVANCED_FACE('',(#31819),#328439,.T.); #340285=ADVANCED_FACE('',(#31820),#15583,.T.); #340286=ADVANCED_FACE('',(#31821),#328440,.T.); #340287=ADVANCED_FACE('',(#31822),#15584,.T.); #340288=ADVANCED_FACE('',(#31823),#328441,.T.); #340289=ADVANCED_FACE('',(#31824),#15585,.T.); #340290=ADVANCED_FACE('',(#31825,#5816),#15586,.T.); #340291=ADVANCED_FACE('',(#31826,#5817),#15587,.F.); #340292=ADVANCED_FACE('',(#31827),#328442,.F.); #340293=ADVANCED_FACE('',(#31828),#15588,.T.); #340294=ADVANCED_FACE('',(#31829),#328443,.T.); #340295=ADVANCED_FACE('',(#31830),#328444,.T.); #340296=ADVANCED_FACE('',(#31831,#5818),#15589,.T.); #340297=ADVANCED_FACE('',(#31832,#5819),#15590,.F.); #340298=ADVANCED_FACE('',(#31833),#328445,.F.); #340299=ADVANCED_FACE('',(#31834),#15591,.T.); #340300=ADVANCED_FACE('',(#31835),#15592,.T.); #340301=ADVANCED_FACE('',(#31836),#15593,.T.); #340302=ADVANCED_FACE('',(#31837),#15594,.T.); #340303=ADVANCED_FACE('',(#31838),#15595,.T.); #340304=ADVANCED_FACE('',(#31839),#15596,.T.); #340305=ADVANCED_FACE('',(#31840),#15597,.T.); #340306=ADVANCED_FACE('',(#31841),#328446,.T.); #340307=ADVANCED_FACE('',(#31842),#15598,.T.); #340308=ADVANCED_FACE('',(#31843),#15599,.T.); #340309=ADVANCED_FACE('',(#31844),#328447,.T.); #340310=ADVANCED_FACE('',(#31845),#328448,.T.); #340311=ADVANCED_FACE('',(#31846),#15600,.T.); #340312=ADVANCED_FACE('',(#31847),#328449,.T.); #340313=ADVANCED_FACE('',(#31848),#15601,.T.); #340314=ADVANCED_FACE('',(#31849),#15602,.T.); #340315=ADVANCED_FACE('',(#31850),#328450,.T.); #340316=ADVANCED_FACE('',(#31851),#15603,.T.); #340317=ADVANCED_FACE('',(#31852),#328451,.T.); #340318=ADVANCED_FACE('',(#31853),#15604,.T.); #340319=ADVANCED_FACE('',(#31854),#15605,.T.); #340320=ADVANCED_FACE('',(#31855,#5820),#15606,.T.); #340321=ADVANCED_FACE('',(#31856,#5821),#15607,.F.); #340322=ADVANCED_FACE('',(#31857),#328452,.F.); #340323=ADVANCED_FACE('',(#31858),#15608,.T.); #340324=ADVANCED_FACE('',(#31859),#15609,.T.); #340325=ADVANCED_FACE('',(#31860),#15610,.T.); #340326=ADVANCED_FACE('',(#31861),#15611,.T.); #340327=ADVANCED_FACE('',(#31862),#328453,.T.); #340328=ADVANCED_FACE('',(#31863),#15612,.T.); #340329=ADVANCED_FACE('',(#31864),#328454,.T.); #340330=ADVANCED_FACE('',(#31865),#15613,.T.); #340331=ADVANCED_FACE('',(#31866),#328455,.T.); #340332=ADVANCED_FACE('',(#31867),#328456,.T.); #340333=ADVANCED_FACE('',(#31868),#15614,.T.); #340334=ADVANCED_FACE('',(#31869),#15615,.T.); #340335=ADVANCED_FACE('',(#31870),#15616,.T.); #340336=ADVANCED_FACE('',(#31871),#15617,.T.); #340337=ADVANCED_FACE('',(#31872),#15618,.T.); #340338=ADVANCED_FACE('',(#31873,#5822),#15619,.T.); #340339=ADVANCED_FACE('',(#31874,#5823),#15620,.F.); #340340=ADVANCED_FACE('',(#31875),#15621,.T.); #340341=ADVANCED_FACE('',(#31876),#15622,.T.); #340342=ADVANCED_FACE('',(#31877),#15623,.T.); #340343=ADVANCED_FACE('',(#31878),#15624,.T.); #340344=ADVANCED_FACE('',(#31879),#15625,.T.); #340345=ADVANCED_FACE('',(#31880),#328457,.T.); #340346=ADVANCED_FACE('',(#31881),#15626,.T.); #340347=ADVANCED_FACE('',(#31882),#15627,.T.); #340348=ADVANCED_FACE('',(#31883),#15628,.T.); #340349=ADVANCED_FACE('',(#31884),#15629,.T.); #340350=ADVANCED_FACE('',(#31885),#15630,.T.); #340351=ADVANCED_FACE('',(#31886),#15631,.T.); #340352=ADVANCED_FACE('',(#31887),#15632,.T.); #340353=ADVANCED_FACE('',(#31888),#15633,.T.); #340354=ADVANCED_FACE('',(#31889),#15634,.T.); #340355=ADVANCED_FACE('',(#31890),#15635,.F.); #340356=ADVANCED_FACE('',(#31891),#15636,.T.); #340357=ADVANCED_FACE('',(#31892),#15637,.T.); #340358=ADVANCED_FACE('',(#31893),#15638,.T.); #340359=ADVANCED_FACE('',(#31894),#15639,.T.); #340360=ADVANCED_FACE('',(#31895),#15640,.T.); #340361=ADVANCED_FACE('',(#31896),#15641,.T.); #340362=ADVANCED_FACE('',(#31897),#15642,.T.); #340363=ADVANCED_FACE('',(#31898),#15643,.T.); #340364=ADVANCED_FACE('',(#31899),#15644,.T.); #340365=ADVANCED_FACE('',(#31900),#15645,.T.); #340366=ADVANCED_FACE('',(#31901),#15646,.T.); #340367=ADVANCED_FACE('',(#31902),#328458,.T.); #340368=ADVANCED_FACE('',(#31903),#15647,.T.); #340369=ADVANCED_FACE('',(#31904),#15648,.T.); #340370=ADVANCED_FACE('',(#31905),#15649,.T.); #340371=ADVANCED_FACE('',(#31906),#15650,.F.); #340372=ADVANCED_FACE('',(#31907),#328459,.F.); #340373=ADVANCED_FACE('',(#31908),#15651,.T.); #340374=ADVANCED_FACE('',(#31909),#15652,.T.); #340375=ADVANCED_FACE('',(#31910),#328460,.T.); #340376=ADVANCED_FACE('',(#31911),#15653,.T.); #340377=ADVANCED_FACE('',(#31912),#328461,.T.); #340378=ADVANCED_FACE('',(#31913),#15654,.T.); #340379=ADVANCED_FACE('',(#31914),#15655,.T.); #340380=ADVANCED_FACE('',(#31915),#328462,.T.); #340381=ADVANCED_FACE('',(#31916),#15656,.T.); #340382=ADVANCED_FACE('',(#31917),#15657,.T.); #340383=ADVANCED_FACE('',(#31918),#15658,.T.); #340384=ADVANCED_FACE('',(#31919),#15659,.T.); #340385=ADVANCED_FACE('',(#31920),#15660,.T.); #340386=ADVANCED_FACE('',(#31921),#15661,.T.); #340387=ADVANCED_FACE('',(#31922),#15662,.T.); #340388=ADVANCED_FACE('',(#31923),#15663,.T.); #340389=ADVANCED_FACE('',(#31924),#328463,.T.); #340390=ADVANCED_FACE('',(#31925),#15664,.T.); #340391=ADVANCED_FACE('',(#31926),#15665,.T.); #340392=ADVANCED_FACE('',(#31927),#15666,.T.); #340393=ADVANCED_FACE('',(#31928),#15667,.T.); #340394=ADVANCED_FACE('',(#31929),#328464,.T.); #340395=ADVANCED_FACE('',(#31930),#15668,.T.); #340396=ADVANCED_FACE('',(#31931),#15669,.T.); #340397=ADVANCED_FACE('',(#31932),#15670,.T.); #340398=ADVANCED_FACE('',(#31933),#15671,.T.); #340399=ADVANCED_FACE('',(#31934),#15672,.T.); #340400=ADVANCED_FACE('',(#31935),#15673,.T.); #340401=ADVANCED_FACE('',(#31936),#15674,.T.); #340402=ADVANCED_FACE('',(#31937),#15675,.T.); #340403=ADVANCED_FACE('',(#31938),#15676,.T.); #340404=ADVANCED_FACE('',(#31939),#15677,.T.); #340405=ADVANCED_FACE('',(#31940),#15678,.T.); #340406=ADVANCED_FACE('',(#31941),#15679,.T.); #340407=ADVANCED_FACE('',(#31942),#15680,.T.); #340408=ADVANCED_FACE('',(#31943),#15681,.T.); #340409=ADVANCED_FACE('',(#31944),#15682,.T.); #340410=ADVANCED_FACE('',(#31945),#15683,.T.); #340411=ADVANCED_FACE('',(#31946),#328465,.T.); #340412=ADVANCED_FACE('',(#31947,#5824),#15684,.T.); #340413=ADVANCED_FACE('',(#31948,#5825),#15685,.F.); #340414=ADVANCED_FACE('',(#31949),#328466,.F.); #340415=ADVANCED_FACE('',(#31950),#328467,.F.); #340416=ADVANCED_FACE('',(#31951),#15686,.T.); #340417=ADVANCED_FACE('',(#31952),#328468,.T.); #340418=ADVANCED_FACE('',(#31953),#15687,.T.); #340419=ADVANCED_FACE('',(#31954),#328469,.T.); #340420=ADVANCED_FACE('',(#31955),#328470,.T.); #340421=ADVANCED_FACE('',(#31956),#15688,.T.); #340422=ADVANCED_FACE('',(#31957),#328471,.T.); #340423=ADVANCED_FACE('',(#31958,#5826,#5827),#15689,.T.); #340424=ADVANCED_FACE('',(#31959,#5828,#5829),#15690,.F.); #340425=ADVANCED_FACE('',(#31960),#328472,.F.); #340426=ADVANCED_FACE('',(#31961),#15691,.T.); #340427=ADVANCED_FACE('',(#31962),#15692,.T.); #340428=ADVANCED_FACE('',(#31963),#15693,.T.); #340429=ADVANCED_FACE('',(#31964),#15694,.T.); #340430=ADVANCED_FACE('',(#31965,#5830),#15695,.T.); #340431=ADVANCED_FACE('',(#31966,#5831),#15696,.F.); #340432=ADVANCED_FACE('',(#31967),#328473,.F.); #340433=ADVANCED_FACE('',(#31968),#328474,.F.); #340434=ADVANCED_FACE('',(#31969),#15697,.T.); #340435=ADVANCED_FACE('',(#31970),#328475,.T.); #340436=ADVANCED_FACE('',(#31971),#15698,.T.); #340437=ADVANCED_FACE('',(#31972),#328476,.T.); #340438=ADVANCED_FACE('',(#31973),#15699,.T.); #340439=ADVANCED_FACE('',(#31974),#328477,.T.); #340440=ADVANCED_FACE('',(#31975),#15700,.T.); #340441=ADVANCED_FACE('',(#31976),#328478,.T.); #340442=ADVANCED_FACE('',(#31977),#15701,.T.); #340443=ADVANCED_FACE('',(#31978),#328479,.T.); #340444=ADVANCED_FACE('',(#31979),#15702,.T.); #340445=ADVANCED_FACE('',(#31980),#328480,.T.); #340446=ADVANCED_FACE('',(#31981),#15703,.T.); #340447=ADVANCED_FACE('',(#31982),#328481,.T.); #340448=ADVANCED_FACE('',(#31983),#328482,.T.); #340449=ADVANCED_FACE('',(#31984),#15704,.T.); #340450=ADVANCED_FACE('',(#31985),#15705,.T.); #340451=ADVANCED_FACE('',(#31986),#15706,.T.); #340452=ADVANCED_FACE('',(#31987),#15707,.T.); #340453=ADVANCED_FACE('',(#31988),#15708,.T.); #340454=ADVANCED_FACE('',(#31989),#15709,.T.); #340455=ADVANCED_FACE('',(#31990),#328483,.T.); #340456=ADVANCED_FACE('',(#31991),#328484,.T.); #340457=ADVANCED_FACE('',(#31992,#5832,#5833),#15710,.T.); #340458=ADVANCED_FACE('',(#31993,#5834,#5835),#15711,.F.); #340459=ADVANCED_FACE('',(#31994),#328485,.F.); #340460=ADVANCED_FACE('',(#31995),#328486,.F.); #340461=ADVANCED_FACE('',(#31996),#15712,.T.); #340462=ADVANCED_FACE('',(#31997),#328487,.T.); #340463=ADVANCED_FACE('',(#31998),#15713,.T.); #340464=ADVANCED_FACE('',(#31999),#328488,.T.); #340465=ADVANCED_FACE('',(#32000),#328489,.T.); #340466=ADVANCED_FACE('',(#32001),#15714,.T.); #340467=ADVANCED_FACE('',(#32002),#15715,.T.); #340468=ADVANCED_FACE('',(#32003),#328490,.T.); #340469=ADVANCED_FACE('',(#32004,#5836,#5837),#15716,.T.); #340470=ADVANCED_FACE('',(#32005,#5838,#5839),#15717,.F.); #340471=ADVANCED_FACE('',(#32006),#328491,.F.); #340472=ADVANCED_FACE('',(#32007),#15718,.T.); #340473=ADVANCED_FACE('',(#32008),#15719,.T.); #340474=ADVANCED_FACE('',(#32009),#15720,.T.); #340475=ADVANCED_FACE('',(#32010),#15721,.T.); #340476=ADVANCED_FACE('',(#32011,#5840),#15722,.T.); #340477=ADVANCED_FACE('',(#32012,#5841),#15723,.F.); #340478=ADVANCED_FACE('',(#32013),#328492,.F.); #340479=ADVANCED_FACE('',(#32014),#328493,.F.); #340480=ADVANCED_FACE('',(#32015),#328494,.F.); #340481=ADVANCED_FACE('',(#32016),#328495,.F.); #340482=ADVANCED_FACE('',(#32017),#15724,.T.); #340483=ADVANCED_FACE('',(#32018),#15725,.T.); #340484=ADVANCED_FACE('',(#32019),#15726,.T.); #340485=ADVANCED_FACE('',(#32020),#15727,.T.); #340486=ADVANCED_FACE('',(#32021),#15728,.T.); #340487=ADVANCED_FACE('',(#32022),#15729,.T.); #340488=ADVANCED_FACE('',(#32023),#15730,.T.); #340489=ADVANCED_FACE('',(#32024),#15731,.T.); #340490=ADVANCED_FACE('',(#32025),#15732,.T.); #340491=ADVANCED_FACE('',(#32026),#15733,.T.); #340492=ADVANCED_FACE('',(#32027),#15734,.T.); #340493=ADVANCED_FACE('',(#32028),#15735,.T.); #340494=ADVANCED_FACE('',(#32029),#15736,.T.); #340495=ADVANCED_FACE('',(#32030),#15737,.T.); #340496=ADVANCED_FACE('',(#32031),#15738,.T.); #340497=ADVANCED_FACE('',(#32032),#15739,.T.); #340498=ADVANCED_FACE('',(#32033),#15740,.T.); #340499=ADVANCED_FACE('',(#32034),#15741,.T.); #340500=ADVANCED_FACE('',(#32035),#15742,.T.); #340501=ADVANCED_FACE('',(#32036),#15743,.T.); #340502=ADVANCED_FACE('',(#32037),#15744,.T.); #340503=ADVANCED_FACE('',(#32038),#15745,.T.); #340504=ADVANCED_FACE('',(#32039),#15746,.T.); #340505=ADVANCED_FACE('',(#32040),#15747,.T.); #340506=ADVANCED_FACE('',(#32041),#15748,.T.); #340507=ADVANCED_FACE('',(#32042),#15749,.T.); #340508=ADVANCED_FACE('',(#32043),#15750,.T.); #340509=ADVANCED_FACE('',(#32044),#15751,.T.); #340510=ADVANCED_FACE('',(#32045),#15752,.T.); #340511=ADVANCED_FACE('',(#32046),#15753,.T.); #340512=ADVANCED_FACE('',(#32047),#15754,.T.); #340513=ADVANCED_FACE('',(#32048),#15755,.T.); #340514=ADVANCED_FACE('',(#32049),#15756,.T.); #340515=ADVANCED_FACE('',(#32050),#15757,.T.); #340516=ADVANCED_FACE('',(#32051),#15758,.T.); #340517=ADVANCED_FACE('',(#32052),#15759,.T.); #340518=ADVANCED_FACE('',(#32053),#15760,.T.); #340519=ADVANCED_FACE('',(#32054),#15761,.T.); #340520=ADVANCED_FACE('',(#32055),#15762,.T.); #340521=ADVANCED_FACE('',(#32056),#15763,.T.); #340522=ADVANCED_FACE('',(#32057),#15764,.T.); #340523=ADVANCED_FACE('',(#32058),#15765,.T.); #340524=ADVANCED_FACE('',(#32059),#15766,.T.); #340525=ADVANCED_FACE('',(#32060),#15767,.T.); #340526=ADVANCED_FACE('',(#32061),#15768,.T.); #340527=ADVANCED_FACE('',(#32062),#15769,.T.); #340528=ADVANCED_FACE('',(#32063),#15770,.T.); #340529=ADVANCED_FACE('',(#32064),#15771,.T.); #340530=ADVANCED_FACE('',(#32065),#15772,.T.); #340531=ADVANCED_FACE('',(#32066),#15773,.T.); #340532=ADVANCED_FACE('',(#32067),#15774,.T.); #340533=ADVANCED_FACE('',(#32068),#15775,.T.); #340534=ADVANCED_FACE('',(#32069),#15776,.T.); #340535=ADVANCED_FACE('',(#32070),#15777,.T.); #340536=ADVANCED_FACE('',(#32071),#15778,.T.); #340537=ADVANCED_FACE('',(#32072),#15779,.T.); #340538=ADVANCED_FACE('',(#32073),#15780,.T.); #340539=ADVANCED_FACE('',(#32074),#15781,.T.); #340540=ADVANCED_FACE('',(#32075),#15782,.T.); #340541=ADVANCED_FACE('',(#32076),#15783,.T.); #340542=ADVANCED_FACE('',(#32077),#15784,.T.); #340543=ADVANCED_FACE('',(#32078),#15785,.T.); #340544=ADVANCED_FACE('',(#32079),#15786,.T.); #340545=ADVANCED_FACE('',(#32080),#15787,.T.); #340546=ADVANCED_FACE('',(#32081),#15788,.T.); #340547=ADVANCED_FACE('',(#32082),#15789,.T.); #340548=ADVANCED_FACE('',(#32083),#15790,.T.); #340549=ADVANCED_FACE('',(#32084),#15791,.T.); #340550=ADVANCED_FACE('',(#32085),#15792,.T.); #340551=ADVANCED_FACE('',(#32086),#15793,.T.); #340552=ADVANCED_FACE('',(#32087),#328496,.T.); #340553=ADVANCED_FACE('',(#32088),#15794,.T.); #340554=ADVANCED_FACE('',(#32089),#15795,.T.); #340555=ADVANCED_FACE('',(#32090),#15796,.T.); #340556=ADVANCED_FACE('',(#32091),#328497,.T.); #340557=ADVANCED_FACE('',(#32092),#328498,.T.); #340558=ADVANCED_FACE('',(#32093),#15797,.T.); #340559=ADVANCED_FACE('',(#32094),#328499,.T.); #340560=ADVANCED_FACE('',(#32095),#15798,.T.); #340561=ADVANCED_FACE('',(#32096),#328500,.T.); #340562=ADVANCED_FACE('',(#32097),#15799,.T.); #340563=ADVANCED_FACE('',(#32098),#328501,.T.); #340564=ADVANCED_FACE('',(#32099),#15800,.T.); #340565=ADVANCED_FACE('',(#32100),#15801,.T.); #340566=ADVANCED_FACE('',(#32101),#15802,.T.); #340567=ADVANCED_FACE('',(#32102),#15803,.T.); #340568=ADVANCED_FACE('',(#32103),#15804,.T.); #340569=ADVANCED_FACE('',(#32104),#15805,.T.); #340570=ADVANCED_FACE('',(#32105),#15806,.T.); #340571=ADVANCED_FACE('',(#32106),#15807,.T.); #340572=ADVANCED_FACE('',(#32107,#5842,#5843,#5844,#5845,#5846),#15808, .T.); #340573=ADVANCED_FACE('',(#32108,#5847,#5848,#5849,#5850,#5851),#15809, .F.); #340574=ADVANCED_FACE('',(#32109),#328502,.F.); #340575=ADVANCED_FACE('',(#32110),#15810,.T.); #340576=ADVANCED_FACE('',(#32111),#15811,.T.); #340577=ADVANCED_FACE('',(#32112),#328503,.T.); #340578=ADVANCED_FACE('',(#32113),#15812,.T.); #340579=ADVANCED_FACE('',(#32114),#15813,.T.); #340580=ADVANCED_FACE('',(#32115),#15814,.T.); #340581=ADVANCED_FACE('',(#32116),#15815,.T.); #340582=ADVANCED_FACE('',(#32117),#15816,.T.); #340583=ADVANCED_FACE('',(#32118),#15817,.T.); #340584=ADVANCED_FACE('',(#32119),#15818,.T.); #340585=ADVANCED_FACE('',(#32120),#15819,.T.); #340586=ADVANCED_FACE('',(#32121),#15820,.T.); #340587=ADVANCED_FACE('',(#32122),#15821,.T.); #340588=ADVANCED_FACE('',(#32123),#15822,.T.); #340589=ADVANCED_FACE('',(#32124),#15823,.T.); #340590=ADVANCED_FACE('',(#32125),#15824,.T.); #340591=ADVANCED_FACE('',(#32126),#15825,.T.); #340592=ADVANCED_FACE('',(#32127),#15826,.T.); #340593=ADVANCED_FACE('',(#32128),#15827,.T.); #340594=ADVANCED_FACE('',(#32129),#15828,.T.); #340595=ADVANCED_FACE('',(#32130),#15829,.T.); #340596=ADVANCED_FACE('',(#32131),#15830,.T.); #340597=ADVANCED_FACE('',(#32132),#15831,.T.); #340598=ADVANCED_FACE('',(#32133),#328504,.T.); #340599=ADVANCED_FACE('',(#32134),#15832,.T.); #340600=ADVANCED_FACE('',(#32135),#15833,.T.); #340601=ADVANCED_FACE('',(#32136),#15834,.T.); #340602=ADVANCED_FACE('',(#32137),#328505,.T.); #340603=ADVANCED_FACE('',(#32138),#15835,.T.); #340604=ADVANCED_FACE('',(#32139),#15836,.T.); #340605=ADVANCED_FACE('',(#32140),#328506,.T.); #340606=ADVANCED_FACE('',(#32141),#15837,.T.); #340607=ADVANCED_FACE('',(#32142),#328507,.T.); #340608=ADVANCED_FACE('',(#32143),#328508,.T.); #340609=ADVANCED_FACE('',(#32144),#15838,.T.); #340610=ADVANCED_FACE('',(#32145),#15839,.T.); #340611=ADVANCED_FACE('',(#32146),#328509,.T.); #340612=ADVANCED_FACE('',(#32147),#15840,.T.); #340613=ADVANCED_FACE('',(#32148),#15841,.T.); #340614=ADVANCED_FACE('',(#32149),#328510,.T.); #340615=ADVANCED_FACE('',(#32150),#15842,.T.); #340616=ADVANCED_FACE('',(#32151),#328511,.T.); #340617=ADVANCED_FACE('',(#32152),#15843,.T.); #340618=ADVANCED_FACE('',(#32153),#15844,.T.); #340619=ADVANCED_FACE('',(#32154),#15845,.T.); #340620=ADVANCED_FACE('',(#32155),#15846,.T.); #340621=ADVANCED_FACE('',(#32156),#15847,.T.); #340622=ADVANCED_FACE('',(#32157),#328512,.T.); #340623=ADVANCED_FACE('',(#32158,#5852),#15848,.T.); #340624=ADVANCED_FACE('',(#32159,#5853),#15849,.F.); #340625=ADVANCED_FACE('',(#32160),#328513,.F.); #340626=ADVANCED_FACE('',(#32161),#328514,.F.); #340627=ADVANCED_FACE('',(#32162),#328515,.F.); #340628=ADVANCED_FACE('',(#32163),#328516,.F.); #340629=ADVANCED_FACE('',(#32164),#328517,.F.); #340630=ADVANCED_FACE('',(#32165),#328518,.F.); #340631=ADVANCED_FACE('',(#32166),#328519,.F.); #340632=ADVANCED_FACE('',(#32167),#328520,.F.); #340633=ADVANCED_FACE('',(#32168),#328521,.F.); #340634=ADVANCED_FACE('',(#32169),#328522,.F.); #340635=ADVANCED_FACE('',(#32170),#328523,.F.); #340636=ADVANCED_FACE('',(#32171),#328524,.F.); #340637=ADVANCED_FACE('',(#32172),#15850,.T.); #340638=ADVANCED_FACE('',(#32173),#15851,.T.); #340639=ADVANCED_FACE('',(#32174),#15852,.T.); #340640=ADVANCED_FACE('',(#32175),#15853,.T.); #340641=ADVANCED_FACE('',(#32176),#15854,.T.); #340642=ADVANCED_FACE('',(#32177),#15855,.T.); #340643=ADVANCED_FACE('',(#32178),#15856,.T.); #340644=ADVANCED_FACE('',(#32179),#15857,.T.); #340645=ADVANCED_FACE('',(#32180),#15858,.T.); #340646=ADVANCED_FACE('',(#32181),#15859,.T.); #340647=ADVANCED_FACE('',(#32182),#15860,.T.); #340648=ADVANCED_FACE('',(#32183),#15861,.T.); #340649=ADVANCED_FACE('',(#32184),#15862,.T.); #340650=ADVANCED_FACE('',(#32185),#15863,.T.); #340651=ADVANCED_FACE('',(#32186),#15864,.T.); #340652=ADVANCED_FACE('',(#32187),#15865,.T.); #340653=ADVANCED_FACE('',(#32188),#15866,.T.); #340654=ADVANCED_FACE('',(#32189),#15867,.T.); #340655=ADVANCED_FACE('',(#32190),#15868,.T.); #340656=ADVANCED_FACE('',(#32191),#15869,.T.); #340657=ADVANCED_FACE('',(#32192),#15870,.T.); #340658=ADVANCED_FACE('',(#32193),#15871,.T.); #340659=ADVANCED_FACE('',(#32194),#15872,.T.); #340660=ADVANCED_FACE('',(#32195),#15873,.T.); #340661=ADVANCED_FACE('',(#32196),#15874,.T.); #340662=ADVANCED_FACE('',(#32197),#15875,.T.); #340663=ADVANCED_FACE('',(#32198),#15876,.T.); #340664=ADVANCED_FACE('',(#32199),#15877,.T.); #340665=ADVANCED_FACE('',(#32200),#15878,.T.); #340666=ADVANCED_FACE('',(#32201),#15879,.T.); #340667=ADVANCED_FACE('',(#32202),#15880,.T.); #340668=ADVANCED_FACE('',(#32203),#15881,.T.); #340669=ADVANCED_FACE('',(#32204),#15882,.T.); #340670=ADVANCED_FACE('',(#32205),#15883,.T.); #340671=ADVANCED_FACE('',(#32206),#15884,.T.); #340672=ADVANCED_FACE('',(#32207),#15885,.T.); #340673=ADVANCED_FACE('',(#32208),#15886,.T.); #340674=ADVANCED_FACE('',(#32209),#15887,.T.); #340675=ADVANCED_FACE('',(#32210),#15888,.T.); #340676=ADVANCED_FACE('',(#32211),#15889,.T.); #340677=ADVANCED_FACE('',(#32212),#15890,.T.); #340678=ADVANCED_FACE('',(#32213),#15891,.T.); #340679=ADVANCED_FACE('',(#32214),#15892,.T.); #340680=ADVANCED_FACE('',(#32215),#15893,.T.); #340681=ADVANCED_FACE('',(#32216),#15894,.T.); #340682=ADVANCED_FACE('',(#32217),#15895,.T.); #340683=ADVANCED_FACE('',(#32218),#15896,.T.); #340684=ADVANCED_FACE('',(#32219),#15897,.T.); #340685=ADVANCED_FACE('',(#32220),#15898,.T.); #340686=ADVANCED_FACE('',(#32221),#15899,.T.); #340687=ADVANCED_FACE('',(#32222),#15900,.T.); #340688=ADVANCED_FACE('',(#32223),#15901,.T.); #340689=ADVANCED_FACE('',(#32224),#15902,.T.); #340690=ADVANCED_FACE('',(#32225),#15903,.T.); #340691=ADVANCED_FACE('',(#32226),#15904,.T.); #340692=ADVANCED_FACE('',(#32227),#15905,.T.); #340693=ADVANCED_FACE('',(#32228),#15906,.T.); #340694=ADVANCED_FACE('',(#32229),#15907,.T.); #340695=ADVANCED_FACE('',(#32230),#15908,.T.); #340696=ADVANCED_FACE('',(#32231),#15909,.T.); #340697=ADVANCED_FACE('',(#32232),#15910,.T.); #340698=ADVANCED_FACE('',(#32233),#15911,.T.); #340699=ADVANCED_FACE('',(#32234),#15912,.T.); #340700=ADVANCED_FACE('',(#32235),#15913,.T.); #340701=ADVANCED_FACE('',(#32236),#15914,.T.); #340702=ADVANCED_FACE('',(#32237),#15915,.T.); #340703=ADVANCED_FACE('',(#32238),#15916,.T.); #340704=ADVANCED_FACE('',(#32239),#15917,.T.); #340705=ADVANCED_FACE('',(#32240),#15918,.T.); #340706=ADVANCED_FACE('',(#32241),#15919,.T.); #340707=ADVANCED_FACE('',(#32242),#15920,.T.); #340708=ADVANCED_FACE('',(#32243),#15921,.T.); #340709=ADVANCED_FACE('',(#32244),#15922,.T.); #340710=ADVANCED_FACE('',(#32245),#15923,.T.); #340711=ADVANCED_FACE('',(#32246),#15924,.T.); #340712=ADVANCED_FACE('',(#32247),#15925,.T.); #340713=ADVANCED_FACE('',(#32248),#15926,.T.); #340714=ADVANCED_FACE('',(#32249),#15927,.T.); #340715=ADVANCED_FACE('',(#32250),#15928,.T.); #340716=ADVANCED_FACE('',(#32251),#15929,.T.); #340717=ADVANCED_FACE('',(#32252),#15930,.T.); #340718=ADVANCED_FACE('',(#32253),#15931,.T.); #340719=ADVANCED_FACE('',(#32254),#15932,.T.); #340720=ADVANCED_FACE('',(#32255),#15933,.T.); #340721=ADVANCED_FACE('',(#32256),#15934,.T.); #340722=ADVANCED_FACE('',(#32257),#15935,.T.); #340723=ADVANCED_FACE('',(#32258),#15936,.T.); #340724=ADVANCED_FACE('',(#32259),#15937,.T.); #340725=ADVANCED_FACE('',(#32260),#15938,.T.); #340726=ADVANCED_FACE('',(#32261),#15939,.T.); #340727=ADVANCED_FACE('',(#32262),#15940,.T.); #340728=ADVANCED_FACE('',(#32263),#15941,.T.); #340729=ADVANCED_FACE('',(#32264),#15942,.T.); #340730=ADVANCED_FACE('',(#32265),#15943,.T.); #340731=ADVANCED_FACE('',(#32266),#15944,.T.); #340732=ADVANCED_FACE('',(#32267),#15945,.T.); #340733=ADVANCED_FACE('',(#32268),#15946,.T.); #340734=ADVANCED_FACE('',(#32269,#5854,#5855,#5856,#5857,#5858,#5859,#5860, #5861,#5862,#5863,#5864,#5865),#15947,.T.); #340735=ADVANCED_FACE('',(#32270,#5866,#5867,#5868,#5869,#5870,#5871,#5872, #5873,#5874,#5875,#5876,#5877),#15948,.F.); #340736=ADVANCED_FACE('',(#32271),#15949,.T.); #340737=ADVANCED_FACE('',(#32272),#15950,.T.); #340738=ADVANCED_FACE('',(#32273),#15951,.T.); #340739=ADVANCED_FACE('',(#32274),#15952,.T.); #340740=ADVANCED_FACE('',(#32275),#15953,.T.); #340741=ADVANCED_FACE('',(#32276),#15954,.T.); #340742=ADVANCED_FACE('',(#32277),#15955,.T.); #340743=ADVANCED_FACE('',(#32278),#15956,.T.); #340744=ADVANCED_FACE('',(#32279),#15957,.T.); #340745=ADVANCED_FACE('',(#32280),#15958,.T.); #340746=ADVANCED_FACE('',(#32281),#15959,.T.); #340747=ADVANCED_FACE('',(#32282),#15960,.T.); #340748=ADVANCED_FACE('',(#32283),#15961,.T.); #340749=ADVANCED_FACE('',(#32284),#15962,.T.); #340750=ADVANCED_FACE('',(#32285),#15963,.T.); #340751=ADVANCED_FACE('',(#32286),#15964,.T.); #340752=ADVANCED_FACE('',(#32287),#15965,.T.); #340753=ADVANCED_FACE('',(#32288),#15966,.T.); #340754=ADVANCED_FACE('',(#32289),#15967,.T.); #340755=ADVANCED_FACE('',(#32290),#15968,.T.); #340756=ADVANCED_FACE('',(#32291),#15969,.T.); #340757=ADVANCED_FACE('',(#32292),#15970,.T.); #340758=ADVANCED_FACE('',(#32293),#15971,.F.); #340759=ADVANCED_FACE('',(#32294),#15972,.T.); #340760=ADVANCED_FACE('',(#32295),#15973,.T.); #340761=ADVANCED_FACE('',(#32296),#15974,.T.); #340762=ADVANCED_FACE('',(#32297),#15975,.T.); #340763=ADVANCED_FACE('',(#32298),#15976,.T.); #340764=ADVANCED_FACE('',(#32299),#15977,.T.); #340765=ADVANCED_FACE('',(#32300),#15978,.T.); #340766=ADVANCED_FACE('',(#32301),#15979,.T.); #340767=ADVANCED_FACE('',(#32302),#15980,.T.); #340768=ADVANCED_FACE('',(#32303),#15981,.T.); #340769=ADVANCED_FACE('',(#32304),#15982,.T.); #340770=ADVANCED_FACE('',(#32305),#15983,.T.); #340771=ADVANCED_FACE('',(#32306),#15984,.T.); #340772=ADVANCED_FACE('',(#32307),#15985,.T.); #340773=ADVANCED_FACE('',(#32308),#15986,.T.); #340774=ADVANCED_FACE('',(#32309),#15987,.T.); #340775=ADVANCED_FACE('',(#32310),#15988,.T.); #340776=ADVANCED_FACE('',(#32311),#15989,.T.); #340777=ADVANCED_FACE('',(#32312),#15990,.T.); #340778=ADVANCED_FACE('',(#32313),#15991,.T.); #340779=ADVANCED_FACE('',(#32314),#15992,.T.); #340780=ADVANCED_FACE('',(#32315),#15993,.F.); #340781=ADVANCED_FACE('',(#32316),#15994,.T.); #340782=ADVANCED_FACE('',(#32317),#15995,.T.); #340783=ADVANCED_FACE('',(#32318),#15996,.T.); #340784=ADVANCED_FACE('',(#32319),#15997,.T.); #340785=ADVANCED_FACE('',(#32320),#15998,.T.); #340786=ADVANCED_FACE('',(#32321),#15999,.T.); #340787=ADVANCED_FACE('',(#32322),#16000,.T.); #340788=ADVANCED_FACE('',(#32323),#16001,.T.); #340789=ADVANCED_FACE('',(#32324),#16002,.T.); #340790=ADVANCED_FACE('',(#32325),#16003,.T.); #340791=ADVANCED_FACE('',(#32326),#16004,.T.); #340792=ADVANCED_FACE('',(#32327),#16005,.T.); #340793=ADVANCED_FACE('',(#32328),#16006,.T.); #340794=ADVANCED_FACE('',(#32329),#16007,.T.); #340795=ADVANCED_FACE('',(#32330),#16008,.T.); #340796=ADVANCED_FACE('',(#32331),#16009,.T.); #340797=ADVANCED_FACE('',(#32332),#16010,.T.); #340798=ADVANCED_FACE('',(#32333),#16011,.T.); #340799=ADVANCED_FACE('',(#32334),#16012,.T.); #340800=ADVANCED_FACE('',(#32335),#16013,.T.); #340801=ADVANCED_FACE('',(#32336),#16014,.T.); #340802=ADVANCED_FACE('',(#32337),#16015,.T.); #340803=ADVANCED_FACE('',(#32338),#16016,.T.); #340804=ADVANCED_FACE('',(#32339),#16017,.T.); #340805=ADVANCED_FACE('',(#32340),#16018,.T.); #340806=ADVANCED_FACE('',(#32341),#16019,.F.); #340807=ADVANCED_FACE('',(#32342),#16020,.T.); #340808=ADVANCED_FACE('',(#32343),#16021,.T.); #340809=ADVANCED_FACE('',(#32344),#16022,.T.); #340810=ADVANCED_FACE('',(#32345),#16023,.T.); #340811=ADVANCED_FACE('',(#32346),#16024,.T.); #340812=ADVANCED_FACE('',(#32347),#16025,.T.); #340813=ADVANCED_FACE('',(#32348),#16026,.T.); #340814=ADVANCED_FACE('',(#32349),#16027,.T.); #340815=ADVANCED_FACE('',(#32350),#16028,.T.); #340816=ADVANCED_FACE('',(#32351),#16029,.T.); #340817=ADVANCED_FACE('',(#32352),#16030,.T.); #340818=ADVANCED_FACE('',(#32353),#16031,.T.); #340819=ADVANCED_FACE('',(#32354),#16032,.T.); #340820=ADVANCED_FACE('',(#32355),#16033,.T.); #340821=ADVANCED_FACE('',(#32356),#16034,.T.); #340822=ADVANCED_FACE('',(#32357),#16035,.T.); #340823=ADVANCED_FACE('',(#32358),#16036,.T.); #340824=ADVANCED_FACE('',(#32359),#16037,.T.); #340825=ADVANCED_FACE('',(#32360),#16038,.T.); #340826=ADVANCED_FACE('',(#32361),#16039,.T.); #340827=ADVANCED_FACE('',(#32362),#16040,.T.); #340828=ADVANCED_FACE('',(#32363),#16041,.T.); #340829=ADVANCED_FACE('',(#32364),#16042,.F.); #340830=ADVANCED_FACE('',(#32365),#16043,.T.); #340831=ADVANCED_FACE('',(#32366),#16044,.T.); #340832=ADVANCED_FACE('',(#32367),#16045,.T.); #340833=ADVANCED_FACE('',(#32368),#16046,.T.); #340834=ADVANCED_FACE('',(#32369),#16047,.T.); #340835=ADVANCED_FACE('',(#32370),#16048,.T.); #340836=ADVANCED_FACE('',(#32371),#16049,.T.); #340837=ADVANCED_FACE('',(#32372),#16050,.T.); #340838=ADVANCED_FACE('',(#32373),#16051,.T.); #340839=ADVANCED_FACE('',(#32374),#16052,.T.); #340840=ADVANCED_FACE('',(#32375),#16053,.T.); #340841=ADVANCED_FACE('',(#32376),#16054,.T.); #340842=ADVANCED_FACE('',(#32377),#16055,.T.); #340843=ADVANCED_FACE('',(#32378),#16056,.T.); #340844=ADVANCED_FACE('',(#32379),#16057,.T.); #340845=ADVANCED_FACE('',(#32380),#16058,.T.); #340846=ADVANCED_FACE('',(#32381),#16059,.T.); #340847=ADVANCED_FACE('',(#32382),#16060,.T.); #340848=ADVANCED_FACE('',(#32383),#16061,.T.); #340849=ADVANCED_FACE('',(#32384),#16062,.T.); #340850=ADVANCED_FACE('',(#32385),#16063,.T.); #340851=ADVANCED_FACE('',(#32386),#16064,.T.); #340852=ADVANCED_FACE('',(#32387),#16065,.T.); #340853=ADVANCED_FACE('',(#32388),#16066,.T.); #340854=ADVANCED_FACE('',(#32389),#16067,.T.); #340855=ADVANCED_FACE('',(#32390),#16068,.T.); #340856=ADVANCED_FACE('',(#32391),#16069,.T.); #340857=ADVANCED_FACE('',(#32392),#16070,.T.); #340858=ADVANCED_FACE('',(#32393),#16071,.T.); #340859=ADVANCED_FACE('',(#32394),#16072,.T.); #340860=ADVANCED_FACE('',(#32395),#16073,.T.); #340861=ADVANCED_FACE('',(#32396),#16074,.T.); #340862=ADVANCED_FACE('',(#32397),#16075,.T.); #340863=ADVANCED_FACE('',(#32398),#16076,.T.); #340864=ADVANCED_FACE('',(#32399),#16077,.T.); #340865=ADVANCED_FACE('',(#32400),#16078,.T.); #340866=ADVANCED_FACE('',(#32401),#16079,.F.); #340867=ADVANCED_FACE('',(#32402),#16080,.T.); #340868=ADVANCED_FACE('',(#32403),#16081,.T.); #340869=ADVANCED_FACE('',(#32404),#16082,.T.); #340870=ADVANCED_FACE('',(#32405),#16083,.T.); #340871=ADVANCED_FACE('',(#32406),#16084,.T.); #340872=ADVANCED_FACE('',(#32407),#16085,.T.); #340873=ADVANCED_FACE('',(#32408),#16086,.T.); #340874=ADVANCED_FACE('',(#32409),#16087,.T.); #340875=ADVANCED_FACE('',(#32410),#16088,.T.); #340876=ADVANCED_FACE('',(#32411),#16089,.T.); #340877=ADVANCED_FACE('',(#32412),#16090,.T.); #340878=ADVANCED_FACE('',(#32413),#16091,.T.); #340879=ADVANCED_FACE('',(#32414),#16092,.T.); #340880=ADVANCED_FACE('',(#32415),#16093,.T.); #340881=ADVANCED_FACE('',(#32416),#16094,.T.); #340882=ADVANCED_FACE('',(#32417),#16095,.T.); #340883=ADVANCED_FACE('',(#32418),#16096,.T.); #340884=ADVANCED_FACE('',(#32419),#16097,.T.); #340885=ADVANCED_FACE('',(#32420),#16098,.T.); #340886=ADVANCED_FACE('',(#32421),#16099,.T.); #340887=ADVANCED_FACE('',(#32422),#16100,.T.); #340888=ADVANCED_FACE('',(#32423),#16101,.T.); #340889=ADVANCED_FACE('',(#32424),#16102,.F.); #340890=ADVANCED_FACE('',(#32425),#16103,.T.); #340891=ADVANCED_FACE('',(#32426),#16104,.T.); #340892=ADVANCED_FACE('',(#32427),#16105,.T.); #340893=ADVANCED_FACE('',(#32428),#16106,.T.); #340894=ADVANCED_FACE('',(#32429),#16107,.T.); #340895=ADVANCED_FACE('',(#32430),#16108,.T.); #340896=ADVANCED_FACE('',(#32431),#16109,.T.); #340897=ADVANCED_FACE('',(#32432),#16110,.T.); #340898=ADVANCED_FACE('',(#32433),#16111,.T.); #340899=ADVANCED_FACE('',(#32434),#16112,.T.); #340900=ADVANCED_FACE('',(#32435),#16113,.T.); #340901=ADVANCED_FACE('',(#32436),#16114,.T.); #340902=ADVANCED_FACE('',(#32437),#16115,.T.); #340903=ADVANCED_FACE('',(#32438),#16116,.T.); #340904=ADVANCED_FACE('',(#32439),#16117,.T.); #340905=ADVANCED_FACE('',(#32440),#16118,.T.); #340906=ADVANCED_FACE('',(#32441),#16119,.T.); #340907=ADVANCED_FACE('',(#32442),#16120,.T.); #340908=ADVANCED_FACE('',(#32443),#16121,.T.); #340909=ADVANCED_FACE('',(#32444),#16122,.T.); #340910=ADVANCED_FACE('',(#32445),#16123,.T.); #340911=ADVANCED_FACE('',(#32446),#16124,.T.); #340912=ADVANCED_FACE('',(#32447),#16125,.T.); #340913=ADVANCED_FACE('',(#32448),#16126,.T.); #340914=ADVANCED_FACE('',(#32449),#16127,.T.); #340915=ADVANCED_FACE('',(#32450),#16128,.T.); #340916=ADVANCED_FACE('',(#32451),#16129,.T.); #340917=ADVANCED_FACE('',(#32452),#16130,.T.); #340918=ADVANCED_FACE('',(#32453),#16131,.T.); #340919=ADVANCED_FACE('',(#32454),#16132,.T.); #340920=ADVANCED_FACE('',(#32455),#16133,.T.); #340921=ADVANCED_FACE('',(#32456),#16134,.T.); #340922=ADVANCED_FACE('',(#32457),#16135,.F.); #340923=ADVANCED_FACE('',(#32458),#16136,.T.); #340924=ADVANCED_FACE('',(#32459),#16137,.T.); #340925=ADVANCED_FACE('',(#32460),#16138,.T.); #340926=ADVANCED_FACE('',(#32461),#16139,.T.); #340927=ADVANCED_FACE('',(#32462),#16140,.T.); #340928=ADVANCED_FACE('',(#32463),#16141,.T.); #340929=ADVANCED_FACE('',(#32464),#16142,.T.); #340930=ADVANCED_FACE('',(#32465),#16143,.T.); #340931=ADVANCED_FACE('',(#32466),#16144,.T.); #340932=ADVANCED_FACE('',(#32467),#16145,.T.); #340933=ADVANCED_FACE('',(#32468),#16146,.T.); #340934=ADVANCED_FACE('',(#32469),#16147,.T.); #340935=ADVANCED_FACE('',(#32470),#16148,.T.); #340936=ADVANCED_FACE('',(#32471),#16149,.T.); #340937=ADVANCED_FACE('',(#32472),#16150,.T.); #340938=ADVANCED_FACE('',(#32473),#16151,.T.); #340939=ADVANCED_FACE('',(#32474),#16152,.T.); #340940=ADVANCED_FACE('',(#32475),#16153,.T.); #340941=ADVANCED_FACE('',(#32476),#16154,.T.); #340942=ADVANCED_FACE('',(#32477),#16155,.T.); #340943=ADVANCED_FACE('',(#32478),#16156,.T.); #340944=ADVANCED_FACE('',(#32479),#16157,.T.); #340945=ADVANCED_FACE('',(#32480),#16158,.T.); #340946=ADVANCED_FACE('',(#32481),#16159,.F.); #340947=ADVANCED_FACE('',(#32482),#16160,.T.); #340948=ADVANCED_FACE('',(#32483),#16161,.T.); #340949=ADVANCED_FACE('',(#32484),#16162,.T.); #340950=ADVANCED_FACE('',(#32485),#16163,.T.); #340951=ADVANCED_FACE('',(#32486),#16164,.T.); #340952=ADVANCED_FACE('',(#32487),#16165,.T.); #340953=ADVANCED_FACE('',(#32488),#16166,.T.); #340954=ADVANCED_FACE('',(#32489),#16167,.T.); #340955=ADVANCED_FACE('',(#32490),#16168,.T.); #340956=ADVANCED_FACE('',(#32491),#16169,.T.); #340957=ADVANCED_FACE('',(#32492),#16170,.T.); #340958=ADVANCED_FACE('',(#32493),#16171,.T.); #340959=ADVANCED_FACE('',(#32494),#16172,.T.); #340960=ADVANCED_FACE('',(#32495),#16173,.T.); #340961=ADVANCED_FACE('',(#32496),#16174,.T.); #340962=ADVANCED_FACE('',(#32497),#16175,.T.); #340963=ADVANCED_FACE('',(#32498),#16176,.T.); #340964=ADVANCED_FACE('',(#32499),#16177,.T.); #340965=ADVANCED_FACE('',(#32500),#16178,.T.); #340966=ADVANCED_FACE('',(#32501),#16179,.T.); #340967=ADVANCED_FACE('',(#32502),#16180,.T.); #340968=ADVANCED_FACE('',(#32503),#16181,.F.); #340969=ADVANCED_FACE('',(#32504),#328525,.F.); #340970=ADVANCED_FACE('',(#32505),#328526,.F.); #340971=ADVANCED_FACE('',(#32506),#328527,.F.); #340972=ADVANCED_FACE('',(#32507),#328528,.F.); #340973=ADVANCED_FACE('',(#32508),#328529,.F.); #340974=ADVANCED_FACE('',(#32509),#328530,.F.); #340975=ADVANCED_FACE('',(#32510),#328531,.F.); #340976=ADVANCED_FACE('',(#32511),#328532,.F.); #340977=ADVANCED_FACE('',(#32512),#328533,.F.); #340978=ADVANCED_FACE('',(#32513),#328534,.F.); #340979=ADVANCED_FACE('',(#32514),#328535,.F.); #340980=ADVANCED_FACE('',(#32515),#328536,.F.); #340981=ADVANCED_FACE('',(#32516),#328537,.F.); #340982=ADVANCED_FACE('',(#32517),#328538,.F.); #340983=ADVANCED_FACE('',(#32518),#328539,.F.); #340984=ADVANCED_FACE('',(#32519),#328540,.F.); #340985=ADVANCED_FACE('',(#32520),#328541,.F.); #340986=ADVANCED_FACE('',(#32521),#328542,.F.); #340987=ADVANCED_FACE('',(#32522),#328543,.F.); #340988=ADVANCED_FACE('',(#32523),#328544,.F.); #340989=ADVANCED_FACE('',(#32524),#328545,.F.); #340990=ADVANCED_FACE('',(#32525),#328546,.F.); #340991=ADVANCED_FACE('',(#32526),#328547,.F.); #340992=ADVANCED_FACE('',(#32527),#328548,.F.); #340993=ADVANCED_FACE('',(#32528),#328549,.F.); #340994=ADVANCED_FACE('',(#32529),#328550,.F.); #340995=ADVANCED_FACE('',(#32530),#328551,.F.); #340996=ADVANCED_FACE('',(#32531),#328552,.F.); #340997=ADVANCED_FACE('',(#32532),#328553,.F.); #340998=ADVANCED_FACE('',(#32533),#328554,.F.); #340999=ADVANCED_FACE('',(#32534),#328555,.F.); #341000=ADVANCED_FACE('',(#32535),#328556,.F.); #341001=ADVANCED_FACE('',(#32536),#328557,.F.); #341002=ADVANCED_FACE('',(#32537),#328558,.F.); #341003=ADVANCED_FACE('',(#32538),#328559,.F.); #341004=ADVANCED_FACE('',(#32539),#328560,.F.); #341005=ADVANCED_FACE('',(#32540),#328561,.F.); #341006=ADVANCED_FACE('',(#32541),#328562,.F.); #341007=ADVANCED_FACE('',(#32542),#328563,.F.); #341008=ADVANCED_FACE('',(#32543),#328564,.F.); #341009=ADVANCED_FACE('',(#32544),#328565,.F.); #341010=ADVANCED_FACE('',(#32545),#328566,.F.); #341011=ADVANCED_FACE('',(#32546),#328567,.F.); #341012=ADVANCED_FACE('',(#32547),#328568,.F.); #341013=ADVANCED_FACE('',(#32548),#328569,.F.); #341014=ADVANCED_FACE('',(#32549),#328570,.F.); #341015=ADVANCED_FACE('',(#32550),#328571,.F.); #341016=ADVANCED_FACE('',(#32551),#328572,.F.); #341017=ADVANCED_FACE('',(#32552),#328573,.F.); #341018=ADVANCED_FACE('',(#32553),#328574,.F.); #341019=ADVANCED_FACE('',(#32554),#328575,.F.); #341020=ADVANCED_FACE('',(#32555),#328576,.F.); #341021=ADVANCED_FACE('',(#32556),#328577,.F.); #341022=ADVANCED_FACE('',(#32557),#328578,.F.); #341023=ADVANCED_FACE('',(#32558),#328579,.F.); #341024=ADVANCED_FACE('',(#32559),#328580,.F.); #341025=ADVANCED_FACE('',(#32560),#328581,.F.); #341026=ADVANCED_FACE('',(#32561),#328582,.F.); #341027=ADVANCED_FACE('',(#32562),#328583,.F.); #341028=ADVANCED_FACE('',(#32563),#328584,.F.); #341029=ADVANCED_FACE('',(#32564),#328585,.F.); #341030=ADVANCED_FACE('',(#32565),#328586,.F.); #341031=ADVANCED_FACE('',(#32566),#328587,.F.); #341032=ADVANCED_FACE('',(#32567),#328588,.F.); #341033=ADVANCED_FACE('',(#32568),#16182,.F.); #341034=ADVANCED_FACE('',(#32569),#328589,.F.); #341035=ADVANCED_FACE('',(#32570),#16183,.F.); #341036=ADVANCED_FACE('',(#32571),#328590,.F.); #341037=ADVANCED_FACE('',(#32572),#16184,.F.); #341038=ADVANCED_FACE('',(#32573),#328591,.F.); #341039=ADVANCED_FACE('',(#32574),#16185,.F.); #341040=ADVANCED_FACE('',(#32575),#328592,.F.); #341041=ADVANCED_FACE('',(#32576),#328593,.F.); #341042=ADVANCED_FACE('',(#32577),#328594,.F.); #341043=ADVANCED_FACE('',(#32578),#16186,.F.); #341044=ADVANCED_FACE('',(#32579),#328595,.F.); #341045=ADVANCED_FACE('',(#32580),#16187,.F.); #341046=ADVANCED_FACE('',(#32581),#328596,.F.); #341047=ADVANCED_FACE('',(#32582),#328597,.F.); #341048=ADVANCED_FACE('',(#32583),#328598,.F.); #341049=ADVANCED_FACE('',(#32584),#328599,.F.); #341050=ADVANCED_FACE('',(#32585),#328600,.F.); #341051=ADVANCED_FACE('',(#32586),#328601,.F.); #341052=ADVANCED_FACE('',(#32587),#16188,.F.); #341053=ADVANCED_FACE('',(#32588),#328602,.F.); #341054=ADVANCED_FACE('',(#32589),#16189,.F.); #341055=ADVANCED_FACE('',(#32590),#328603,.F.); #341056=ADVANCED_FACE('',(#32591),#328604,.F.); #341057=ADVANCED_FACE('',(#32592),#16190,.F.); #341058=ADVANCED_FACE('',(#32593),#328605,.F.); #341059=ADVANCED_FACE('',(#32594),#16191,.F.); #341060=ADVANCED_FACE('',(#32595),#328606,.F.); #341061=ADVANCED_FACE('',(#32596),#16192,.F.); #341062=ADVANCED_FACE('',(#32597),#328607,.F.); #341063=ADVANCED_FACE('',(#32598),#16193,.F.); #341064=ADVANCED_FACE('',(#32599),#328608,.F.); #341065=ADVANCED_FACE('',(#32600),#328609,.F.); #341066=ADVANCED_FACE('',(#32601),#328610,.F.); #341067=ADVANCED_FACE('',(#32602),#328611,.F.); #341068=ADVANCED_FACE('',(#32603),#328612,.F.); #341069=ADVANCED_FACE('',(#32604),#328613,.F.); #341070=ADVANCED_FACE('',(#32605),#328614,.F.); #341071=ADVANCED_FACE('',(#32606),#328615,.F.); #341072=ADVANCED_FACE('',(#32607),#328616,.F.); #341073=ADVANCED_FACE('',(#32608),#328617,.F.); #341074=ADVANCED_FACE('',(#32609),#328618,.F.); #341075=ADVANCED_FACE('',(#32610),#328619,.F.); #341076=ADVANCED_FACE('',(#32611),#328620,.F.); #341077=ADVANCED_FACE('',(#32612),#328621,.F.); #341078=ADVANCED_FACE('',(#32613),#328622,.F.); #341079=ADVANCED_FACE('',(#32614),#328623,.F.); #341080=ADVANCED_FACE('',(#32615),#328624,.F.); #341081=ADVANCED_FACE('',(#32616),#328625,.F.); #341082=ADVANCED_FACE('',(#32617),#328626,.F.); #341083=ADVANCED_FACE('',(#32618),#328627,.F.); #341084=ADVANCED_FACE('',(#32619),#328628,.F.); #341085=ADVANCED_FACE('',(#32620),#328629,.F.); #341086=ADVANCED_FACE('',(#32621),#328630,.F.); #341087=ADVANCED_FACE('',(#32622),#328631,.F.); #341088=ADVANCED_FACE('',(#32623),#328632,.F.); #341089=ADVANCED_FACE('',(#32624),#328633,.F.); #341090=ADVANCED_FACE('',(#32625),#328634,.F.); #341091=ADVANCED_FACE('',(#32626),#328635,.F.); #341092=ADVANCED_FACE('',(#32627),#328636,.F.); #341093=ADVANCED_FACE('',(#32628),#328637,.F.); #341094=ADVANCED_FACE('',(#32629),#328638,.F.); #341095=ADVANCED_FACE('',(#32630),#328639,.F.); #341096=ADVANCED_FACE('',(#32631),#328640,.F.); #341097=ADVANCED_FACE('',(#32632),#328641,.F.); #341098=ADVANCED_FACE('',(#32633),#328642,.F.); #341099=ADVANCED_FACE('',(#32634),#328643,.F.); #341100=ADVANCED_FACE('',(#32635),#328644,.F.); #341101=ADVANCED_FACE('',(#32636),#328645,.F.); #341102=ADVANCED_FACE('',(#32637),#328646,.F.); #341103=ADVANCED_FACE('',(#32638),#328647,.F.); #341104=ADVANCED_FACE('',(#32639),#328648,.F.); #341105=ADVANCED_FACE('',(#32640),#328649,.F.); #341106=ADVANCED_FACE('',(#32641),#328650,.F.); #341107=ADVANCED_FACE('',(#32642),#328651,.F.); #341108=ADVANCED_FACE('',(#32643),#328652,.F.); #341109=ADVANCED_FACE('',(#32644),#328653,.F.); #341110=ADVANCED_FACE('',(#32645),#328654,.F.); #341111=ADVANCED_FACE('',(#32646),#328655,.F.); #341112=ADVANCED_FACE('',(#32647),#328656,.F.); #341113=ADVANCED_FACE('',(#32648),#328657,.F.); #341114=ADVANCED_FACE('',(#32649),#328658,.F.); #341115=ADVANCED_FACE('',(#32650),#328659,.F.); #341116=ADVANCED_FACE('',(#32651),#328660,.F.); #341117=ADVANCED_FACE('',(#32652),#16194,.T.); #341118=ADVANCED_FACE('',(#32653),#16195,.T.); #341119=ADVANCED_FACE('',(#32654),#16196,.T.); #341120=ADVANCED_FACE('',(#32655),#16197,.T.); #341121=ADVANCED_FACE('',(#32656),#16198,.T.); #341122=ADVANCED_FACE('',(#32657),#16199,.T.); #341123=ADVANCED_FACE('',(#32658),#16200,.T.); #341124=ADVANCED_FACE('',(#32659),#16201,.T.); #341125=ADVANCED_FACE('',(#32660),#16202,.T.); #341126=ADVANCED_FACE('',(#32661),#16203,.T.); #341127=ADVANCED_FACE('',(#32662),#16204,.T.); #341128=ADVANCED_FACE('',(#32663),#16205,.T.); #341129=ADVANCED_FACE('',(#32664),#16206,.T.); #341130=ADVANCED_FACE('',(#32665),#16207,.T.); #341131=ADVANCED_FACE('',(#32666),#16208,.T.); #341132=ADVANCED_FACE('',(#32667),#16209,.T.); #341133=ADVANCED_FACE('',(#32668),#16210,.T.); #341134=ADVANCED_FACE('',(#32669),#16211,.T.); #341135=ADVANCED_FACE('',(#32670),#16212,.T.); #341136=ADVANCED_FACE('',(#32671),#16213,.T.); #341137=ADVANCED_FACE('',(#32672),#16214,.T.); #341138=ADVANCED_FACE('',(#32673),#16215,.T.); #341139=ADVANCED_FACE('',(#32674),#16216,.T.); #341140=ADVANCED_FACE('',(#32675),#16217,.T.); #341141=ADVANCED_FACE('',(#32676),#16218,.T.); #341142=ADVANCED_FACE('',(#32677),#16219,.T.); #341143=ADVANCED_FACE('',(#32678),#16220,.T.); #341144=ADVANCED_FACE('',(#32679),#16221,.T.); #341145=ADVANCED_FACE('',(#32680),#16222,.T.); #341146=ADVANCED_FACE('',(#32681),#16223,.T.); #341147=ADVANCED_FACE('',(#32682),#16224,.T.); #341148=ADVANCED_FACE('',(#32683),#16225,.T.); #341149=ADVANCED_FACE('',(#32684),#16226,.T.); #341150=ADVANCED_FACE('',(#32685),#16227,.T.); #341151=ADVANCED_FACE('',(#32686),#16228,.T.); #341152=ADVANCED_FACE('',(#32687),#16229,.T.); #341153=ADVANCED_FACE('',(#32688),#16230,.T.); #341154=ADVANCED_FACE('',(#32689),#16231,.T.); #341155=ADVANCED_FACE('',(#32690),#16232,.T.); #341156=ADVANCED_FACE('',(#32691),#16233,.T.); #341157=ADVANCED_FACE('',(#32692),#16234,.T.); #341158=ADVANCED_FACE('',(#32693),#16235,.T.); #341159=ADVANCED_FACE('',(#32694),#16236,.T.); #341160=ADVANCED_FACE('',(#32695),#16237,.T.); #341161=ADVANCED_FACE('',(#32696),#16238,.T.); #341162=ADVANCED_FACE('',(#32697),#16239,.T.); #341163=ADVANCED_FACE('',(#32698),#16240,.T.); #341164=ADVANCED_FACE('',(#32699),#16241,.T.); #341165=ADVANCED_FACE('',(#32700),#16242,.T.); #341166=ADVANCED_FACE('',(#32701),#16243,.T.); #341167=ADVANCED_FACE('',(#32702),#16244,.T.); #341168=ADVANCED_FACE('',(#32703),#16245,.T.); #341169=ADVANCED_FACE('',(#32704),#16246,.T.); #341170=ADVANCED_FACE('',(#32705),#16247,.T.); #341171=ADVANCED_FACE('',(#32706),#16248,.T.); #341172=ADVANCED_FACE('',(#32707),#16249,.T.); #341173=ADVANCED_FACE('',(#32708),#16250,.T.); #341174=ADVANCED_FACE('',(#32709),#16251,.T.); #341175=ADVANCED_FACE('',(#32710),#16252,.T.); #341176=ADVANCED_FACE('',(#32711),#16253,.T.); #341177=ADVANCED_FACE('',(#32712),#16254,.T.); #341178=ADVANCED_FACE('',(#32713),#16255,.T.); #341179=ADVANCED_FACE('',(#32714),#16256,.T.); #341180=ADVANCED_FACE('',(#32715),#16257,.T.); #341181=ADVANCED_FACE('',(#32716),#16258,.T.); #341182=ADVANCED_FACE('',(#32717),#16259,.T.); #341183=ADVANCED_FACE('',(#32718),#16260,.T.); #341184=ADVANCED_FACE('',(#32719),#16261,.T.); #341185=ADVANCED_FACE('',(#32720),#16262,.T.); #341186=ADVANCED_FACE('',(#32721),#16263,.T.); #341187=ADVANCED_FACE('',(#32722),#16264,.T.); #341188=ADVANCED_FACE('',(#32723),#16265,.T.); #341189=ADVANCED_FACE('',(#32724),#16266,.T.); #341190=ADVANCED_FACE('',(#32725),#16267,.T.); #341191=ADVANCED_FACE('',(#32726),#16268,.T.); #341192=ADVANCED_FACE('',(#32727),#16269,.T.); #341193=ADVANCED_FACE('',(#32728),#16270,.T.); #341194=ADVANCED_FACE('',(#32729),#16271,.T.); #341195=ADVANCED_FACE('',(#32730),#16272,.T.); #341196=ADVANCED_FACE('',(#32731),#16273,.T.); #341197=ADVANCED_FACE('',(#32732),#16274,.T.); #341198=ADVANCED_FACE('',(#32733),#16275,.T.); #341199=ADVANCED_FACE('',(#32734),#16276,.T.); #341200=ADVANCED_FACE('',(#32735),#16277,.T.); #341201=ADVANCED_FACE('',(#32736),#16278,.T.); #341202=ADVANCED_FACE('',(#32737),#16279,.T.); #341203=ADVANCED_FACE('',(#32738),#16280,.T.); #341204=ADVANCED_FACE('',(#32739),#16281,.T.); #341205=ADVANCED_FACE('',(#32740),#16282,.T.); #341206=ADVANCED_FACE('',(#32741),#16283,.T.); #341207=ADVANCED_FACE('',(#32742),#16284,.T.); #341208=ADVANCED_FACE('',(#32743),#16285,.T.); #341209=ADVANCED_FACE('',(#32744),#16286,.T.); #341210=ADVANCED_FACE('',(#32745),#16287,.T.); #341211=ADVANCED_FACE('',(#32746),#16288,.T.); #341212=ADVANCED_FACE('',(#32747),#16289,.T.); #341213=ADVANCED_FACE('',(#32748),#16290,.T.); #341214=ADVANCED_FACE('',(#32749),#16291,.T.); #341215=ADVANCED_FACE('',(#32750),#16292,.T.); #341216=ADVANCED_FACE('',(#32751),#16293,.T.); #341217=ADVANCED_FACE('',(#32752),#16294,.T.); #341218=ADVANCED_FACE('',(#32753),#16295,.T.); #341219=ADVANCED_FACE('',(#32754),#16296,.T.); #341220=ADVANCED_FACE('',(#32755),#16297,.T.); #341221=ADVANCED_FACE('',(#32756),#16298,.T.); #341222=ADVANCED_FACE('',(#32757),#16299,.T.); #341223=ADVANCED_FACE('',(#32758),#16300,.T.); #341224=ADVANCED_FACE('',(#32759),#16301,.T.); #341225=ADVANCED_FACE('',(#32760),#16302,.T.); #341226=ADVANCED_FACE('',(#32761),#16303,.T.); #341227=ADVANCED_FACE('',(#32762),#16304,.T.); #341228=ADVANCED_FACE('',(#32763),#16305,.T.); #341229=ADVANCED_FACE('',(#32764),#16306,.T.); #341230=ADVANCED_FACE('',(#32765),#16307,.T.); #341231=ADVANCED_FACE('',(#32766),#16308,.T.); #341232=ADVANCED_FACE('',(#32767),#16309,.T.); #341233=ADVANCED_FACE('',(#32768),#16310,.T.); #341234=ADVANCED_FACE('',(#32769),#16311,.T.); #341235=ADVANCED_FACE('',(#32770),#16312,.T.); #341236=ADVANCED_FACE('',(#32771),#16313,.T.); #341237=ADVANCED_FACE('',(#32772),#16314,.T.); #341238=ADVANCED_FACE('',(#32773),#16315,.T.); #341239=ADVANCED_FACE('',(#32774),#16316,.T.); #341240=ADVANCED_FACE('',(#32775),#16317,.T.); #341241=ADVANCED_FACE('',(#32776),#16318,.T.); #341242=ADVANCED_FACE('',(#32777),#16319,.T.); #341243=ADVANCED_FACE('',(#32778),#16320,.T.); #341244=ADVANCED_FACE('',(#32779),#16321,.T.); #341245=ADVANCED_FACE('',(#32780),#16322,.T.); #341246=ADVANCED_FACE('',(#32781),#16323,.T.); #341247=ADVANCED_FACE('',(#32782),#16324,.T.); #341248=ADVANCED_FACE('',(#32783),#16325,.T.); #341249=ADVANCED_FACE('',(#32784),#16326,.T.); #341250=ADVANCED_FACE('',(#32785),#16327,.T.); #341251=ADVANCED_FACE('',(#32786),#16328,.T.); #341252=ADVANCED_FACE('',(#32787),#16329,.T.); #341253=ADVANCED_FACE('',(#32788),#16330,.T.); #341254=ADVANCED_FACE('',(#32789),#16331,.T.); #341255=ADVANCED_FACE('',(#32790),#16332,.T.); #341256=ADVANCED_FACE('',(#32791),#16333,.T.); #341257=ADVANCED_FACE('',(#32792),#16334,.T.); #341258=ADVANCED_FACE('',(#32793),#16335,.T.); #341259=ADVANCED_FACE('',(#32794),#16336,.T.); #341260=ADVANCED_FACE('',(#32795),#16337,.T.); #341261=ADVANCED_FACE('',(#32796),#16338,.T.); #341262=ADVANCED_FACE('',(#32797),#16339,.T.); #341263=ADVANCED_FACE('',(#32798),#16340,.T.); #341264=ADVANCED_FACE('',(#32799),#16341,.T.); #341265=ADVANCED_FACE('',(#32800),#16342,.T.); #341266=ADVANCED_FACE('',(#32801),#16343,.T.); #341267=ADVANCED_FACE('',(#32802),#16344,.T.); #341268=ADVANCED_FACE('',(#32803),#16345,.T.); #341269=ADVANCED_FACE('',(#32804),#16346,.T.); #341270=ADVANCED_FACE('',(#32805),#16347,.T.); #341271=ADVANCED_FACE('',(#32806),#16348,.T.); #341272=ADVANCED_FACE('',(#32807),#16349,.T.); #341273=ADVANCED_FACE('',(#32808),#16350,.T.); #341274=ADVANCED_FACE('',(#32809),#16351,.T.); #341275=ADVANCED_FACE('',(#32810),#16352,.T.); #341276=ADVANCED_FACE('',(#32811),#16353,.T.); #341277=ADVANCED_FACE('',(#32812),#16354,.T.); #341278=ADVANCED_FACE('',(#32813),#16355,.T.); #341279=ADVANCED_FACE('',(#32814),#16356,.T.); #341280=ADVANCED_FACE('',(#32815),#16357,.T.); #341281=ADVANCED_FACE('',(#32816),#16358,.T.); #341282=ADVANCED_FACE('',(#32817),#16359,.T.); #341283=ADVANCED_FACE('',(#32818),#16360,.T.); #341284=ADVANCED_FACE('',(#32819),#16361,.T.); #341285=ADVANCED_FACE('',(#32820),#16362,.T.); #341286=ADVANCED_FACE('',(#32821),#16363,.T.); #341287=ADVANCED_FACE('',(#32822),#16364,.T.); #341288=ADVANCED_FACE('',(#32823),#16365,.T.); #341289=ADVANCED_FACE('',(#32824),#16366,.T.); #341290=ADVANCED_FACE('',(#32825),#16367,.T.); #341291=ADVANCED_FACE('',(#32826),#16368,.T.); #341292=ADVANCED_FACE('',(#32827),#16369,.T.); #341293=ADVANCED_FACE('',(#32828),#16370,.T.); #341294=ADVANCED_FACE('',(#32829),#16371,.T.); #341295=ADVANCED_FACE('',(#32830),#16372,.T.); #341296=ADVANCED_FACE('',(#32831),#16373,.T.); #341297=ADVANCED_FACE('',(#32832),#16374,.T.); #341298=ADVANCED_FACE('',(#32833),#16375,.T.); #341299=ADVANCED_FACE('',(#32834),#16376,.T.); #341300=ADVANCED_FACE('',(#32835),#16377,.T.); #341301=ADVANCED_FACE('',(#32836),#16378,.T.); #341302=ADVANCED_FACE('',(#32837),#16379,.T.); #341303=ADVANCED_FACE('',(#32838),#16380,.T.); #341304=ADVANCED_FACE('',(#32839),#16381,.T.); #341305=ADVANCED_FACE('',(#32840),#16382,.T.); #341306=ADVANCED_FACE('',(#32841),#16383,.T.); #341307=ADVANCED_FACE('',(#32842),#16384,.T.); #341308=ADVANCED_FACE('',(#32843),#16385,.T.); #341309=ADVANCED_FACE('',(#32844),#16386,.T.); #341310=ADVANCED_FACE('',(#32845),#16387,.T.); #341311=ADVANCED_FACE('',(#32846),#16388,.T.); #341312=ADVANCED_FACE('',(#32847),#16389,.T.); #341313=ADVANCED_FACE('',(#32848),#16390,.T.); #341314=ADVANCED_FACE('',(#32849),#16391,.T.); #341315=ADVANCED_FACE('',(#32850),#16392,.T.); #341316=ADVANCED_FACE('',(#32851),#16393,.T.); #341317=ADVANCED_FACE('',(#32852),#16394,.T.); #341318=ADVANCED_FACE('',(#32853),#16395,.T.); #341319=ADVANCED_FACE('',(#32854),#16396,.T.); #341320=ADVANCED_FACE('',(#32855),#16397,.T.); #341321=ADVANCED_FACE('',(#32856),#16398,.T.); #341322=ADVANCED_FACE('',(#32857),#16399,.T.); #341323=ADVANCED_FACE('',(#32858),#16400,.T.); #341324=ADVANCED_FACE('',(#32859),#16401,.T.); #341325=ADVANCED_FACE('',(#32860),#16402,.T.); #341326=ADVANCED_FACE('',(#32861),#16403,.T.); #341327=ADVANCED_FACE('',(#32862),#16404,.T.); #341328=ADVANCED_FACE('',(#32863),#16405,.T.); #341329=ADVANCED_FACE('',(#32864),#16406,.T.); #341330=ADVANCED_FACE('',(#32865),#16407,.T.); #341331=ADVANCED_FACE('',(#32866),#16408,.T.); #341332=ADVANCED_FACE('',(#32867),#16409,.T.); #341333=ADVANCED_FACE('',(#32868),#16410,.T.); #341334=ADVANCED_FACE('',(#32869),#16411,.T.); #341335=ADVANCED_FACE('',(#32870),#16412,.T.); #341336=ADVANCED_FACE('',(#32871),#16413,.T.); #341337=ADVANCED_FACE('',(#32872),#16414,.T.); #341338=ADVANCED_FACE('',(#32873),#16415,.T.); #341339=ADVANCED_FACE('',(#32874),#16416,.T.); #341340=ADVANCED_FACE('',(#32875),#16417,.T.); #341341=ADVANCED_FACE('',(#32876),#16418,.T.); #341342=ADVANCED_FACE('',(#32877),#16419,.T.); #341343=ADVANCED_FACE('',(#32878),#16420,.T.); #341344=ADVANCED_FACE('',(#32879),#16421,.T.); #341345=ADVANCED_FACE('',(#32880),#16422,.T.); #341346=ADVANCED_FACE('',(#32881),#16423,.T.); #341347=ADVANCED_FACE('',(#32882),#16424,.T.); #341348=ADVANCED_FACE('',(#32883),#16425,.T.); #341349=ADVANCED_FACE('',(#32884),#16426,.T.); #341350=ADVANCED_FACE('',(#32885),#16427,.T.); #341351=ADVANCED_FACE('',(#32886),#16428,.T.); #341352=ADVANCED_FACE('',(#32887),#16429,.T.); #341353=ADVANCED_FACE('',(#32888),#16430,.T.); #341354=ADVANCED_FACE('',(#32889),#16431,.T.); #341355=ADVANCED_FACE('',(#32890),#16432,.T.); #341356=ADVANCED_FACE('',(#32891),#16433,.T.); #341357=ADVANCED_FACE('',(#32892),#16434,.T.); #341358=ADVANCED_FACE('',(#32893),#16435,.T.); #341359=ADVANCED_FACE('',(#32894),#16436,.T.); #341360=ADVANCED_FACE('',(#32895),#16437,.T.); #341361=ADVANCED_FACE('',(#32896),#16438,.T.); #341362=ADVANCED_FACE('',(#32897),#16439,.T.); #341363=ADVANCED_FACE('',(#32898),#16440,.T.); #341364=ADVANCED_FACE('',(#32899),#16441,.T.); #341365=ADVANCED_FACE('',(#32900),#16442,.T.); #341366=ADVANCED_FACE('',(#32901),#16443,.T.); #341367=ADVANCED_FACE('',(#32902),#16444,.T.); #341368=ADVANCED_FACE('',(#32903),#16445,.T.); #341369=ADVANCED_FACE('',(#32904),#16446,.T.); #341370=ADVANCED_FACE('',(#32905),#16447,.T.); #341371=ADVANCED_FACE('',(#32906),#16448,.T.); #341372=ADVANCED_FACE('',(#32907),#16449,.T.); #341373=ADVANCED_FACE('',(#32908),#16450,.T.); #341374=ADVANCED_FACE('',(#32909),#16451,.T.); #341375=ADVANCED_FACE('',(#32910),#16452,.T.); #341376=ADVANCED_FACE('',(#32911),#16453,.T.); #341377=ADVANCED_FACE('',(#32912),#16454,.T.); #341378=ADVANCED_FACE('',(#32913),#16455,.T.); #341379=ADVANCED_FACE('',(#32914),#16456,.T.); #341380=ADVANCED_FACE('',(#32915),#16457,.T.); #341381=ADVANCED_FACE('',(#32916),#16458,.T.); #341382=ADVANCED_FACE('',(#32917),#16459,.T.); #341383=ADVANCED_FACE('',(#32918),#16460,.T.); #341384=ADVANCED_FACE('',(#32919),#16461,.T.); #341385=ADVANCED_FACE('',(#32920),#16462,.T.); #341386=ADVANCED_FACE('',(#32921),#16463,.T.); #341387=ADVANCED_FACE('',(#32922),#16464,.T.); #341388=ADVANCED_FACE('',(#32923),#16465,.T.); #341389=ADVANCED_FACE('',(#32924),#16466,.T.); #341390=ADVANCED_FACE('',(#32925),#16467,.T.); #341391=ADVANCED_FACE('',(#32926),#16468,.T.); #341392=ADVANCED_FACE('',(#32927),#16469,.T.); #341393=ADVANCED_FACE('',(#32928),#16470,.T.); #341394=ADVANCED_FACE('',(#32929),#16471,.T.); #341395=ADVANCED_FACE('',(#32930),#16472,.T.); #341396=ADVANCED_FACE('',(#32931),#16473,.T.); #341397=ADVANCED_FACE('',(#32932),#16474,.T.); #341398=ADVANCED_FACE('',(#32933),#16475,.T.); #341399=ADVANCED_FACE('',(#32934),#16476,.T.); #341400=ADVANCED_FACE('',(#32935),#16477,.T.); #341401=ADVANCED_FACE('',(#32936),#16478,.T.); #341402=ADVANCED_FACE('',(#32937),#16479,.T.); #341403=ADVANCED_FACE('',(#32938),#16480,.T.); #341404=ADVANCED_FACE('',(#32939),#16481,.T.); #341405=ADVANCED_FACE('',(#32940),#16482,.T.); #341406=ADVANCED_FACE('',(#32941),#16483,.T.); #341407=ADVANCED_FACE('',(#32942),#16484,.T.); #341408=ADVANCED_FACE('',(#32943),#16485,.T.); #341409=ADVANCED_FACE('',(#32944),#16486,.T.); #341410=ADVANCED_FACE('',(#32945),#16487,.T.); #341411=ADVANCED_FACE('',(#32946),#16488,.T.); #341412=ADVANCED_FACE('',(#32947),#16489,.T.); #341413=ADVANCED_FACE('',(#32948),#16490,.T.); #341414=ADVANCED_FACE('',(#32949),#16491,.T.); #341415=ADVANCED_FACE('',(#32950),#16492,.T.); #341416=ADVANCED_FACE('',(#32951),#16493,.T.); #341417=ADVANCED_FACE('',(#32952),#16494,.T.); #341418=ADVANCED_FACE('',(#32953),#16495,.T.); #341419=ADVANCED_FACE('',(#32954),#16496,.T.); #341420=ADVANCED_FACE('',(#32955),#16497,.T.); #341421=ADVANCED_FACE('',(#32956),#16498,.T.); #341422=ADVANCED_FACE('',(#32957),#16499,.T.); #341423=ADVANCED_FACE('',(#32958),#16500,.T.); #341424=ADVANCED_FACE('',(#32959),#16501,.T.); #341425=ADVANCED_FACE('',(#32960),#16502,.T.); #341426=ADVANCED_FACE('',(#32961),#16503,.T.); #341427=ADVANCED_FACE('',(#32962),#16504,.T.); #341428=ADVANCED_FACE('',(#32963),#16505,.T.); #341429=ADVANCED_FACE('',(#32964),#16506,.T.); #341430=ADVANCED_FACE('',(#32965),#16507,.T.); #341431=ADVANCED_FACE('',(#32966),#16508,.T.); #341432=ADVANCED_FACE('',(#32967),#16509,.T.); #341433=ADVANCED_FACE('',(#32968),#16510,.T.); #341434=ADVANCED_FACE('',(#32969),#16511,.T.); #341435=ADVANCED_FACE('',(#32970),#16512,.T.); #341436=ADVANCED_FACE('',(#32971),#16513,.T.); #341437=ADVANCED_FACE('',(#32972),#16514,.T.); #341438=ADVANCED_FACE('',(#32973),#16515,.T.); #341439=ADVANCED_FACE('',(#32974),#16516,.T.); #341440=ADVANCED_FACE('',(#32975),#16517,.T.); #341441=ADVANCED_FACE('',(#32976),#16518,.T.); #341442=ADVANCED_FACE('',(#32977),#16519,.T.); #341443=ADVANCED_FACE('',(#32978),#16520,.T.); #341444=ADVANCED_FACE('',(#32979),#16521,.T.); #341445=ADVANCED_FACE('',(#32980),#16522,.T.); #341446=ADVANCED_FACE('',(#32981),#16523,.T.); #341447=ADVANCED_FACE('',(#32982),#16524,.T.); #341448=ADVANCED_FACE('',(#32983),#16525,.T.); #341449=ADVANCED_FACE('',(#32984),#16526,.T.); #341450=ADVANCED_FACE('',(#32985),#16527,.T.); #341451=ADVANCED_FACE('',(#32986),#16528,.T.); #341452=ADVANCED_FACE('',(#32987),#16529,.T.); #341453=ADVANCED_FACE('',(#32988),#16530,.T.); #341454=ADVANCED_FACE('',(#32989),#16531,.T.); #341455=ADVANCED_FACE('',(#32990),#16532,.T.); #341456=ADVANCED_FACE('',(#32991),#16533,.T.); #341457=ADVANCED_FACE('',(#32992),#16534,.T.); #341458=ADVANCED_FACE('',(#32993),#16535,.T.); #341459=ADVANCED_FACE('',(#32994),#16536,.T.); #341460=ADVANCED_FACE('',(#32995),#16537,.T.); #341461=ADVANCED_FACE('',(#32996),#16538,.T.); #341462=ADVANCED_FACE('',(#32997),#16539,.T.); #341463=ADVANCED_FACE('',(#32998),#16540,.T.); #341464=ADVANCED_FACE('',(#32999),#16541,.T.); #341465=ADVANCED_FACE('',(#33000),#16542,.T.); #341466=ADVANCED_FACE('',(#33001),#16543,.T.); #341467=ADVANCED_FACE('',(#33002),#16544,.T.); #341468=ADVANCED_FACE('',(#33003),#16545,.T.); #341469=ADVANCED_FACE('',(#33004),#16546,.T.); #341470=ADVANCED_FACE('',(#33005),#16547,.T.); #341471=ADVANCED_FACE('',(#33006),#16548,.T.); #341472=ADVANCED_FACE('',(#33007),#16549,.T.); #341473=ADVANCED_FACE('',(#33008),#16550,.T.); #341474=ADVANCED_FACE('',(#33009),#16551,.T.); #341475=ADVANCED_FACE('',(#33010),#16552,.T.); #341476=ADVANCED_FACE('',(#33011),#16553,.T.); #341477=ADVANCED_FACE('',(#33012),#16554,.T.); #341478=ADVANCED_FACE('',(#33013),#16555,.T.); #341479=ADVANCED_FACE('',(#33014),#16556,.T.); #341480=ADVANCED_FACE('',(#33015),#16557,.T.); #341481=ADVANCED_FACE('',(#33016),#16558,.T.); #341482=ADVANCED_FACE('',(#33017),#16559,.T.); #341483=ADVANCED_FACE('',(#33018),#16560,.T.); #341484=ADVANCED_FACE('',(#33019),#16561,.T.); #341485=ADVANCED_FACE('',(#33020),#16562,.T.); #341486=ADVANCED_FACE('',(#33021),#16563,.T.); #341487=ADVANCED_FACE('',(#33022),#16564,.T.); #341488=ADVANCED_FACE('',(#33023),#16565,.T.); #341489=ADVANCED_FACE('',(#33024),#16566,.T.); #341490=ADVANCED_FACE('',(#33025),#16567,.T.); #341491=ADVANCED_FACE('',(#33026),#16568,.T.); #341492=ADVANCED_FACE('',(#33027),#16569,.T.); #341493=ADVANCED_FACE('',(#33028),#16570,.T.); #341494=ADVANCED_FACE('',(#33029),#16571,.T.); #341495=ADVANCED_FACE('',(#33030),#16572,.T.); #341496=ADVANCED_FACE('',(#33031),#16573,.T.); #341497=ADVANCED_FACE('',(#33032),#16574,.T.); #341498=ADVANCED_FACE('',(#33033),#16575,.T.); #341499=ADVANCED_FACE('',(#33034),#16576,.T.); #341500=ADVANCED_FACE('',(#33035),#16577,.T.); #341501=ADVANCED_FACE('',(#33036),#16578,.T.); #341502=ADVANCED_FACE('',(#33037),#16579,.T.); #341503=ADVANCED_FACE('',(#33038),#16580,.T.); #341504=ADVANCED_FACE('',(#33039),#16581,.T.); #341505=ADVANCED_FACE('',(#33040),#16582,.T.); #341506=ADVANCED_FACE('',(#33041),#16583,.T.); #341507=ADVANCED_FACE('',(#33042),#16584,.T.); #341508=ADVANCED_FACE('',(#33043),#16585,.T.); #341509=ADVANCED_FACE('',(#33044),#16586,.T.); #341510=ADVANCED_FACE('',(#33045),#16587,.T.); #341511=ADVANCED_FACE('',(#33046),#16588,.T.); #341512=ADVANCED_FACE('',(#33047),#16589,.T.); #341513=ADVANCED_FACE('',(#33048),#16590,.T.); #341514=ADVANCED_FACE('',(#33049),#16591,.T.); #341515=ADVANCED_FACE('',(#33050),#16592,.T.); #341516=ADVANCED_FACE('',(#33051),#16593,.T.); #341517=ADVANCED_FACE('',(#33052),#16594,.T.); #341518=ADVANCED_FACE('',(#33053),#16595,.T.); #341519=ADVANCED_FACE('',(#33054),#16596,.T.); #341520=ADVANCED_FACE('',(#33055),#16597,.T.); #341521=ADVANCED_FACE('',(#33056),#16598,.T.); #341522=ADVANCED_FACE('',(#33057),#16599,.T.); #341523=ADVANCED_FACE('',(#33058),#16600,.T.); #341524=ADVANCED_FACE('',(#33059),#16601,.T.); #341525=ADVANCED_FACE('',(#33060),#16602,.T.); #341526=ADVANCED_FACE('',(#33061),#16603,.T.); #341527=ADVANCED_FACE('',(#33062),#16604,.T.); #341528=ADVANCED_FACE('',(#33063),#16605,.T.); #341529=ADVANCED_FACE('',(#33064),#16606,.T.); #341530=ADVANCED_FACE('',(#33065),#16607,.T.); #341531=ADVANCED_FACE('',(#33066),#16608,.T.); #341532=ADVANCED_FACE('',(#33067),#16609,.T.); #341533=ADVANCED_FACE('',(#33068),#16610,.T.); #341534=ADVANCED_FACE('',(#33069),#16611,.T.); #341535=ADVANCED_FACE('',(#33070),#16612,.T.); #341536=ADVANCED_FACE('',(#33071),#16613,.T.); #341537=ADVANCED_FACE('',(#33072),#16614,.T.); #341538=ADVANCED_FACE('',(#33073),#16615,.T.); #341539=ADVANCED_FACE('',(#33074),#16616,.T.); #341540=ADVANCED_FACE('',(#33075),#16617,.T.); #341541=ADVANCED_FACE('',(#33076),#16618,.T.); #341542=ADVANCED_FACE('',(#33077),#16619,.T.); #341543=ADVANCED_FACE('',(#33078),#16620,.T.); #341544=ADVANCED_FACE('',(#33079),#16621,.T.); #341545=ADVANCED_FACE('',(#33080),#16622,.T.); #341546=ADVANCED_FACE('',(#33081),#16623,.T.); #341547=ADVANCED_FACE('',(#33082),#16624,.T.); #341548=ADVANCED_FACE('',(#33083),#16625,.T.); #341549=ADVANCED_FACE('',(#33084),#16626,.T.); #341550=ADVANCED_FACE('',(#33085),#16627,.T.); #341551=ADVANCED_FACE('',(#33086),#16628,.T.); #341552=ADVANCED_FACE('',(#33087),#16629,.T.); #341553=ADVANCED_FACE('',(#33088),#16630,.T.); #341554=ADVANCED_FACE('',(#33089),#16631,.T.); #341555=ADVANCED_FACE('',(#33090),#16632,.T.); #341556=ADVANCED_FACE('',(#33091),#16633,.T.); #341557=ADVANCED_FACE('',(#33092),#16634,.T.); #341558=ADVANCED_FACE('',(#33093),#16635,.T.); #341559=ADVANCED_FACE('',(#33094),#16636,.T.); #341560=ADVANCED_FACE('',(#33095),#16637,.T.); #341561=ADVANCED_FACE('',(#33096),#16638,.T.); #341562=ADVANCED_FACE('',(#33097),#16639,.T.); #341563=ADVANCED_FACE('',(#33098),#16640,.T.); #341564=ADVANCED_FACE('',(#33099),#16641,.T.); #341565=ADVANCED_FACE('',(#33100),#16642,.T.); #341566=ADVANCED_FACE('',(#33101),#16643,.T.); #341567=ADVANCED_FACE('',(#33102),#16644,.T.); #341568=ADVANCED_FACE('',(#33103),#16645,.T.); #341569=ADVANCED_FACE('',(#33104),#16646,.T.); #341570=ADVANCED_FACE('',(#33105),#16647,.T.); #341571=ADVANCED_FACE('',(#33106),#16648,.T.); #341572=ADVANCED_FACE('',(#33107),#16649,.T.); #341573=ADVANCED_FACE('',(#33108),#16650,.T.); #341574=ADVANCED_FACE('',(#33109),#16651,.T.); #341575=ADVANCED_FACE('',(#33110),#16652,.T.); #341576=ADVANCED_FACE('',(#33111),#16653,.T.); #341577=ADVANCED_FACE('',(#33112),#16654,.T.); #341578=ADVANCED_FACE('',(#33113),#16655,.T.); #341579=ADVANCED_FACE('',(#33114),#16656,.T.); #341580=ADVANCED_FACE('',(#33115),#16657,.T.); #341581=ADVANCED_FACE('',(#33116),#16658,.T.); #341582=ADVANCED_FACE('',(#33117),#16659,.T.); #341583=ADVANCED_FACE('',(#33118),#16660,.T.); #341584=ADVANCED_FACE('',(#33119),#16661,.T.); #341585=ADVANCED_FACE('',(#33120),#16662,.T.); #341586=ADVANCED_FACE('',(#33121),#16663,.T.); #341587=ADVANCED_FACE('',(#33122),#16664,.T.); #341588=ADVANCED_FACE('',(#33123),#16665,.T.); #341589=ADVANCED_FACE('',(#33124),#16666,.T.); #341590=ADVANCED_FACE('',(#33125),#16667,.T.); #341591=ADVANCED_FACE('',(#33126),#16668,.T.); #341592=ADVANCED_FACE('',(#33127),#16669,.T.); #341593=ADVANCED_FACE('',(#33128),#16670,.T.); #341594=ADVANCED_FACE('',(#33129),#16671,.T.); #341595=ADVANCED_FACE('',(#33130),#16672,.T.); #341596=ADVANCED_FACE('',(#33131),#16673,.T.); #341597=ADVANCED_FACE('',(#33132),#16674,.T.); #341598=ADVANCED_FACE('',(#33133),#16675,.T.); #341599=ADVANCED_FACE('',(#33134),#16676,.T.); #341600=ADVANCED_FACE('',(#33135),#16677,.T.); #341601=ADVANCED_FACE('',(#33136),#16678,.T.); #341602=ADVANCED_FACE('',(#33137),#16679,.T.); #341603=ADVANCED_FACE('',(#33138),#16680,.T.); #341604=ADVANCED_FACE('',(#33139),#16681,.T.); #341605=ADVANCED_FACE('',(#33140),#16682,.T.); #341606=ADVANCED_FACE('',(#33141),#16683,.T.); #341607=ADVANCED_FACE('',(#33142),#16684,.T.); #341608=ADVANCED_FACE('',(#33143),#16685,.T.); #341609=ADVANCED_FACE('',(#33144),#16686,.T.); #341610=ADVANCED_FACE('',(#33145),#16687,.T.); #341611=ADVANCED_FACE('',(#33146),#16688,.T.); #341612=ADVANCED_FACE('',(#33147),#16689,.T.); #341613=ADVANCED_FACE('',(#33148),#16690,.T.); #341614=ADVANCED_FACE('',(#33149),#16691,.T.); #341615=ADVANCED_FACE('',(#33150),#16692,.T.); #341616=ADVANCED_FACE('',(#33151),#16693,.T.); #341617=ADVANCED_FACE('',(#33152),#16694,.T.); #341618=ADVANCED_FACE('',(#33153),#16695,.T.); #341619=ADVANCED_FACE('',(#33154),#16696,.T.); #341620=ADVANCED_FACE('',(#33155),#16697,.T.); #341621=ADVANCED_FACE('',(#33156),#16698,.T.); #341622=ADVANCED_FACE('',(#33157),#16699,.T.); #341623=ADVANCED_FACE('',(#33158),#16700,.T.); #341624=ADVANCED_FACE('',(#33159),#16701,.T.); #341625=ADVANCED_FACE('',(#33160),#16702,.T.); #341626=ADVANCED_FACE('',(#33161),#16703,.T.); #341627=ADVANCED_FACE('',(#33162),#16704,.T.); #341628=ADVANCED_FACE('',(#33163),#16705,.T.); #341629=ADVANCED_FACE('',(#33164),#16706,.T.); #341630=ADVANCED_FACE('',(#33165),#16707,.T.); #341631=ADVANCED_FACE('',(#33166),#16708,.T.); #341632=ADVANCED_FACE('',(#33167),#16709,.T.); #341633=ADVANCED_FACE('',(#33168),#16710,.T.); #341634=ADVANCED_FACE('',(#33169),#16711,.T.); #341635=ADVANCED_FACE('',(#33170),#16712,.T.); #341636=ADVANCED_FACE('',(#33171),#16713,.T.); #341637=ADVANCED_FACE('',(#33172),#16714,.T.); #341638=ADVANCED_FACE('',(#33173),#16715,.T.); #341639=ADVANCED_FACE('',(#33174),#16716,.T.); #341640=ADVANCED_FACE('',(#33175),#16717,.T.); #341641=ADVANCED_FACE('',(#33176),#16718,.T.); #341642=ADVANCED_FACE('',(#33177),#16719,.T.); #341643=ADVANCED_FACE('',(#33178),#16720,.T.); #341644=ADVANCED_FACE('',(#33179),#16721,.T.); #341645=ADVANCED_FACE('',(#33180),#16722,.T.); #341646=ADVANCED_FACE('',(#33181),#16723,.T.); #341647=ADVANCED_FACE('',(#33182),#16724,.T.); #341648=ADVANCED_FACE('',(#33183),#16725,.T.); #341649=ADVANCED_FACE('',(#33184),#16726,.T.); #341650=ADVANCED_FACE('',(#33185),#16727,.T.); #341651=ADVANCED_FACE('',(#33186),#16728,.T.); #341652=ADVANCED_FACE('',(#33187),#16729,.T.); #341653=ADVANCED_FACE('',(#33188),#16730,.T.); #341654=ADVANCED_FACE('',(#33189),#16731,.T.); #341655=ADVANCED_FACE('',(#33190),#16732,.T.); #341656=ADVANCED_FACE('',(#33191),#16733,.T.); #341657=ADVANCED_FACE('',(#33192),#16734,.T.); #341658=ADVANCED_FACE('',(#33193),#16735,.T.); #341659=ADVANCED_FACE('',(#33194),#16736,.T.); #341660=ADVANCED_FACE('',(#33195),#16737,.T.); #341661=ADVANCED_FACE('',(#33196),#16738,.T.); #341662=ADVANCED_FACE('',(#33197),#16739,.T.); #341663=ADVANCED_FACE('',(#33198),#16740,.T.); #341664=ADVANCED_FACE('',(#33199),#16741,.T.); #341665=ADVANCED_FACE('',(#33200),#16742,.T.); #341666=ADVANCED_FACE('',(#33201),#16743,.T.); #341667=ADVANCED_FACE('',(#33202),#16744,.T.); #341668=ADVANCED_FACE('',(#33203),#16745,.T.); #341669=ADVANCED_FACE('',(#33204),#16746,.T.); #341670=ADVANCED_FACE('',(#33205),#16747,.T.); #341671=ADVANCED_FACE('',(#33206),#16748,.T.); #341672=ADVANCED_FACE('',(#33207),#16749,.T.); #341673=ADVANCED_FACE('',(#33208),#16750,.T.); #341674=ADVANCED_FACE('',(#33209),#16751,.T.); #341675=ADVANCED_FACE('',(#33210),#16752,.T.); #341676=ADVANCED_FACE('',(#33211),#16753,.T.); #341677=ADVANCED_FACE('',(#33212),#16754,.T.); #341678=ADVANCED_FACE('',(#33213),#16755,.T.); #341679=ADVANCED_FACE('',(#33214),#16756,.T.); #341680=ADVANCED_FACE('',(#33215),#16757,.T.); #341681=ADVANCED_FACE('',(#33216),#16758,.T.); #341682=ADVANCED_FACE('',(#33217),#16759,.T.); #341683=ADVANCED_FACE('',(#33218),#16760,.T.); #341684=ADVANCED_FACE('',(#33219),#16761,.T.); #341685=ADVANCED_FACE('',(#33220),#16762,.T.); #341686=ADVANCED_FACE('',(#33221),#16763,.T.); #341687=ADVANCED_FACE('',(#33222),#16764,.T.); #341688=ADVANCED_FACE('',(#33223),#16765,.T.); #341689=ADVANCED_FACE('',(#33224),#16766,.T.); #341690=ADVANCED_FACE('',(#33225),#16767,.T.); #341691=ADVANCED_FACE('',(#33226),#16768,.T.); #341692=ADVANCED_FACE('',(#33227),#16769,.T.); #341693=ADVANCED_FACE('',(#33228),#16770,.T.); #341694=ADVANCED_FACE('',(#33229),#16771,.T.); #341695=ADVANCED_FACE('',(#33230),#16772,.T.); #341696=ADVANCED_FACE('',(#33231),#16773,.T.); #341697=ADVANCED_FACE('',(#33232),#16774,.T.); #341698=ADVANCED_FACE('',(#33233),#16775,.T.); #341699=ADVANCED_FACE('',(#33234),#16776,.T.); #341700=ADVANCED_FACE('',(#33235),#16777,.T.); #341701=ADVANCED_FACE('',(#33236),#16778,.T.); #341702=ADVANCED_FACE('',(#33237),#16779,.T.); #341703=ADVANCED_FACE('',(#33238),#16780,.T.); #341704=ADVANCED_FACE('',(#33239),#16781,.T.); #341705=ADVANCED_FACE('',(#33240),#16782,.T.); #341706=ADVANCED_FACE('',(#33241),#16783,.T.); #341707=ADVANCED_FACE('',(#33242),#16784,.T.); #341708=ADVANCED_FACE('',(#33243),#16785,.T.); #341709=ADVANCED_FACE('',(#33244),#16786,.T.); #341710=ADVANCED_FACE('',(#33245),#16787,.T.); #341711=ADVANCED_FACE('',(#33246),#16788,.T.); #341712=ADVANCED_FACE('',(#33247),#16789,.T.); #341713=ADVANCED_FACE('',(#33248),#16790,.T.); #341714=ADVANCED_FACE('',(#33249),#16791,.T.); #341715=ADVANCED_FACE('',(#33250),#16792,.T.); #341716=ADVANCED_FACE('',(#33251),#16793,.T.); #341717=ADVANCED_FACE('',(#33252),#16794,.T.); #341718=ADVANCED_FACE('',(#33253),#16795,.T.); #341719=ADVANCED_FACE('',(#33254),#16796,.T.); #341720=ADVANCED_FACE('',(#33255),#16797,.T.); #341721=ADVANCED_FACE('',(#33256),#16798,.T.); #341722=ADVANCED_FACE('',(#33257),#16799,.T.); #341723=ADVANCED_FACE('',(#33258),#16800,.T.); #341724=ADVANCED_FACE('',(#33259),#16801,.T.); #341725=ADVANCED_FACE('',(#33260),#16802,.T.); #341726=ADVANCED_FACE('',(#33261),#16803,.T.); #341727=ADVANCED_FACE('',(#33262),#16804,.T.); #341728=ADVANCED_FACE('',(#33263),#16805,.T.); #341729=ADVANCED_FACE('',(#33264),#16806,.T.); #341730=ADVANCED_FACE('',(#33265),#16807,.T.); #341731=ADVANCED_FACE('',(#33266),#16808,.T.); #341732=ADVANCED_FACE('',(#33267),#16809,.T.); #341733=ADVANCED_FACE('',(#33268),#16810,.T.); #341734=ADVANCED_FACE('',(#33269),#16811,.T.); #341735=ADVANCED_FACE('',(#33270),#16812,.T.); #341736=ADVANCED_FACE('',(#33271),#16813,.T.); #341737=ADVANCED_FACE('',(#33272),#16814,.T.); #341738=ADVANCED_FACE('',(#33273),#16815,.T.); #341739=ADVANCED_FACE('',(#33274),#16816,.T.); #341740=ADVANCED_FACE('',(#33275),#16817,.T.); #341741=ADVANCED_FACE('',(#33276),#16818,.T.); #341742=ADVANCED_FACE('',(#33277),#16819,.T.); #341743=ADVANCED_FACE('',(#33278),#16820,.T.); #341744=ADVANCED_FACE('',(#33279),#16821,.T.); #341745=ADVANCED_FACE('',(#33280),#16822,.T.); #341746=ADVANCED_FACE('',(#33281),#16823,.T.); #341747=ADVANCED_FACE('',(#33282),#16824,.T.); #341748=ADVANCED_FACE('',(#33283),#16825,.T.); #341749=ADVANCED_FACE('',(#33284),#16826,.T.); #341750=ADVANCED_FACE('',(#33285),#16827,.T.); #341751=ADVANCED_FACE('',(#33286),#16828,.T.); #341752=ADVANCED_FACE('',(#33287),#16829,.T.); #341753=ADVANCED_FACE('',(#33288),#16830,.T.); #341754=ADVANCED_FACE('',(#33289),#16831,.T.); #341755=ADVANCED_FACE('',(#33290),#16832,.T.); #341756=ADVANCED_FACE('',(#33291),#16833,.T.); #341757=ADVANCED_FACE('',(#33292),#16834,.T.); #341758=ADVANCED_FACE('',(#33293),#16835,.T.); #341759=ADVANCED_FACE('',(#33294),#16836,.T.); #341760=ADVANCED_FACE('',(#33295),#16837,.T.); #341761=ADVANCED_FACE('',(#33296),#16838,.T.); #341762=ADVANCED_FACE('',(#33297),#16839,.T.); #341763=ADVANCED_FACE('',(#33298),#16840,.T.); #341764=ADVANCED_FACE('',(#33299),#16841,.T.); #341765=ADVANCED_FACE('',(#33300),#16842,.T.); #341766=ADVANCED_FACE('',(#33301),#16843,.T.); #341767=ADVANCED_FACE('',(#33302),#16844,.T.); #341768=ADVANCED_FACE('',(#33303),#16845,.T.); #341769=ADVANCED_FACE('',(#33304),#16846,.T.); #341770=ADVANCED_FACE('',(#33305),#16847,.T.); #341771=ADVANCED_FACE('',(#33306),#16848,.T.); #341772=ADVANCED_FACE('',(#33307),#16849,.T.); #341773=ADVANCED_FACE('',(#33308),#16850,.T.); #341774=ADVANCED_FACE('',(#33309),#16851,.T.); #341775=ADVANCED_FACE('',(#33310),#16852,.T.); #341776=ADVANCED_FACE('',(#33311),#16853,.T.); #341777=ADVANCED_FACE('',(#33312),#16854,.T.); #341778=ADVANCED_FACE('',(#33313),#16855,.T.); #341779=ADVANCED_FACE('',(#33314),#16856,.T.); #341780=ADVANCED_FACE('',(#33315),#16857,.T.); #341781=ADVANCED_FACE('',(#33316),#16858,.T.); #341782=ADVANCED_FACE('',(#33317),#16859,.T.); #341783=ADVANCED_FACE('',(#33318),#16860,.T.); #341784=ADVANCED_FACE('',(#33319),#16861,.T.); #341785=ADVANCED_FACE('',(#33320),#16862,.T.); #341786=ADVANCED_FACE('',(#33321),#16863,.T.); #341787=ADVANCED_FACE('',(#33322),#16864,.T.); #341788=ADVANCED_FACE('',(#33323),#16865,.T.); #341789=ADVANCED_FACE('',(#33324),#16866,.T.); #341790=ADVANCED_FACE('',(#33325),#16867,.T.); #341791=ADVANCED_FACE('',(#33326),#16868,.T.); #341792=ADVANCED_FACE('',(#33327),#16869,.T.); #341793=ADVANCED_FACE('',(#33328),#16870,.T.); #341794=ADVANCED_FACE('',(#33329),#16871,.T.); #341795=ADVANCED_FACE('',(#33330),#16872,.T.); #341796=ADVANCED_FACE('',(#33331),#16873,.T.); #341797=ADVANCED_FACE('',(#33332),#16874,.T.); #341798=ADVANCED_FACE('',(#33333),#16875,.T.); #341799=ADVANCED_FACE('',(#33334),#16876,.T.); #341800=ADVANCED_FACE('',(#33335),#16877,.T.); #341801=ADVANCED_FACE('',(#33336),#16878,.T.); #341802=ADVANCED_FACE('',(#33337),#16879,.T.); #341803=ADVANCED_FACE('',(#33338),#16880,.T.); #341804=ADVANCED_FACE('',(#33339),#16881,.T.); #341805=ADVANCED_FACE('',(#33340),#16882,.T.); #341806=ADVANCED_FACE('',(#33341),#16883,.T.); #341807=ADVANCED_FACE('',(#33342),#16884,.T.); #341808=ADVANCED_FACE('',(#33343),#16885,.T.); #341809=ADVANCED_FACE('',(#33344),#16886,.T.); #341810=ADVANCED_FACE('',(#33345),#16887,.T.); #341811=ADVANCED_FACE('',(#33346),#16888,.T.); #341812=ADVANCED_FACE('',(#33347),#16889,.T.); #341813=ADVANCED_FACE('',(#33348),#16890,.T.); #341814=ADVANCED_FACE('',(#33349),#16891,.T.); #341815=ADVANCED_FACE('',(#33350),#16892,.T.); #341816=ADVANCED_FACE('',(#33351),#16893,.T.); #341817=ADVANCED_FACE('',(#33352),#16894,.T.); #341818=ADVANCED_FACE('',(#33353),#16895,.T.); #341819=ADVANCED_FACE('',(#33354),#16896,.T.); #341820=ADVANCED_FACE('',(#33355),#16897,.T.); #341821=ADVANCED_FACE('',(#33356),#16898,.T.); #341822=ADVANCED_FACE('',(#33357),#16899,.T.); #341823=ADVANCED_FACE('',(#33358),#16900,.T.); #341824=ADVANCED_FACE('',(#33359),#16901,.T.); #341825=ADVANCED_FACE('',(#33360),#16902,.T.); #341826=ADVANCED_FACE('',(#33361),#16903,.T.); #341827=ADVANCED_FACE('',(#33362),#16904,.T.); #341828=ADVANCED_FACE('',(#33363),#16905,.T.); #341829=ADVANCED_FACE('',(#33364),#16906,.T.); #341830=ADVANCED_FACE('',(#33365),#16907,.T.); #341831=ADVANCED_FACE('',(#33366),#16908,.T.); #341832=ADVANCED_FACE('',(#33367),#16909,.T.); #341833=ADVANCED_FACE('',(#33368),#16910,.T.); #341834=ADVANCED_FACE('',(#33369),#16911,.T.); #341835=ADVANCED_FACE('',(#33370),#16912,.T.); #341836=ADVANCED_FACE('',(#33371),#16913,.T.); #341837=ADVANCED_FACE('',(#33372),#16914,.T.); #341838=ADVANCED_FACE('',(#33373),#16915,.T.); #341839=ADVANCED_FACE('',(#33374),#16916,.T.); #341840=ADVANCED_FACE('',(#33375),#16917,.T.); #341841=ADVANCED_FACE('',(#33376),#16918,.T.); #341842=ADVANCED_FACE('',(#33377),#16919,.T.); #341843=ADVANCED_FACE('',(#33378),#16920,.T.); #341844=ADVANCED_FACE('',(#33379),#16921,.T.); #341845=ADVANCED_FACE('',(#33380),#16922,.T.); #341846=ADVANCED_FACE('',(#33381),#16923,.T.); #341847=ADVANCED_FACE('',(#33382),#16924,.T.); #341848=ADVANCED_FACE('',(#33383),#16925,.T.); #341849=ADVANCED_FACE('',(#33384),#16926,.T.); #341850=ADVANCED_FACE('',(#33385),#16927,.T.); #341851=ADVANCED_FACE('',(#33386),#16928,.T.); #341852=ADVANCED_FACE('',(#33387),#16929,.T.); #341853=ADVANCED_FACE('',(#33388),#16930,.T.); #341854=ADVANCED_FACE('',(#33389),#16931,.T.); #341855=ADVANCED_FACE('',(#33390),#16932,.T.); #341856=ADVANCED_FACE('',(#33391),#16933,.T.); #341857=ADVANCED_FACE('',(#33392),#16934,.T.); #341858=ADVANCED_FACE('',(#33393),#16935,.T.); #341859=ADVANCED_FACE('',(#33394),#16936,.T.); #341860=ADVANCED_FACE('',(#33395),#16937,.T.); #341861=ADVANCED_FACE('',(#33396),#16938,.T.); #341862=ADVANCED_FACE('',(#33397),#16939,.T.); #341863=ADVANCED_FACE('',(#33398),#16940,.T.); #341864=ADVANCED_FACE('',(#33399),#16941,.T.); #341865=ADVANCED_FACE('',(#33400),#16942,.T.); #341866=ADVANCED_FACE('',(#33401),#16943,.T.); #341867=ADVANCED_FACE('',(#33402),#16944,.T.); #341868=ADVANCED_FACE('',(#33403),#16945,.T.); #341869=ADVANCED_FACE('',(#33404),#16946,.T.); #341870=ADVANCED_FACE('',(#33405),#16947,.T.); #341871=ADVANCED_FACE('',(#33406),#16948,.T.); #341872=ADVANCED_FACE('',(#33407),#16949,.T.); #341873=ADVANCED_FACE('',(#33408),#16950,.T.); #341874=ADVANCED_FACE('',(#33409),#16951,.T.); #341875=ADVANCED_FACE('',(#33410),#16952,.T.); #341876=ADVANCED_FACE('',(#33411),#16953,.T.); #341877=ADVANCED_FACE('',(#33412),#16954,.T.); #341878=ADVANCED_FACE('',(#33413),#16955,.T.); #341879=ADVANCED_FACE('',(#33414),#16956,.T.); #341880=ADVANCED_FACE('',(#33415),#16957,.T.); #341881=ADVANCED_FACE('',(#33416),#16958,.T.); #341882=ADVANCED_FACE('',(#33417),#16959,.T.); #341883=ADVANCED_FACE('',(#33418),#16960,.T.); #341884=ADVANCED_FACE('',(#33419),#16961,.T.); #341885=ADVANCED_FACE('',(#33420),#16962,.T.); #341886=ADVANCED_FACE('',(#33421),#16963,.T.); #341887=ADVANCED_FACE('',(#33422),#16964,.T.); #341888=ADVANCED_FACE('',(#33423),#16965,.T.); #341889=ADVANCED_FACE('',(#33424),#16966,.T.); #341890=ADVANCED_FACE('',(#33425),#16967,.T.); #341891=ADVANCED_FACE('',(#33426),#16968,.T.); #341892=ADVANCED_FACE('',(#33427),#16969,.T.); #341893=ADVANCED_FACE('',(#33428),#16970,.T.); #341894=ADVANCED_FACE('',(#33429),#16971,.T.); #341895=ADVANCED_FACE('',(#33430),#16972,.T.); #341896=ADVANCED_FACE('',(#33431),#16973,.T.); #341897=ADVANCED_FACE('',(#33432),#16974,.T.); #341898=ADVANCED_FACE('',(#33433),#16975,.T.); #341899=ADVANCED_FACE('',(#33434),#16976,.T.); #341900=ADVANCED_FACE('',(#33435),#16977,.T.); #341901=ADVANCED_FACE('',(#33436),#16978,.T.); #341902=ADVANCED_FACE('',(#33437),#16979,.T.); #341903=ADVANCED_FACE('',(#33438),#16980,.T.); #341904=ADVANCED_FACE('',(#33439),#16981,.T.); #341905=ADVANCED_FACE('',(#33440),#16982,.T.); #341906=ADVANCED_FACE('',(#33441),#16983,.T.); #341907=ADVANCED_FACE('',(#33442),#16984,.T.); #341908=ADVANCED_FACE('',(#33443),#16985,.T.); #341909=ADVANCED_FACE('',(#33444),#16986,.T.); #341910=ADVANCED_FACE('',(#33445),#16987,.T.); #341911=ADVANCED_FACE('',(#33446),#16988,.T.); #341912=ADVANCED_FACE('',(#33447),#16989,.T.); #341913=ADVANCED_FACE('',(#33448),#16990,.T.); #341914=ADVANCED_FACE('',(#33449),#16991,.T.); #341915=ADVANCED_FACE('',(#33450),#16992,.T.); #341916=ADVANCED_FACE('',(#33451),#16993,.T.); #341917=ADVANCED_FACE('',(#33452),#16994,.T.); #341918=ADVANCED_FACE('',(#33453),#16995,.T.); #341919=ADVANCED_FACE('',(#33454),#16996,.T.); #341920=ADVANCED_FACE('',(#33455),#16997,.T.); #341921=ADVANCED_FACE('',(#33456),#16998,.T.); #341922=ADVANCED_FACE('',(#33457),#16999,.T.); #341923=ADVANCED_FACE('',(#33458),#17000,.T.); #341924=ADVANCED_FACE('',(#33459),#17001,.T.); #341925=ADVANCED_FACE('',(#33460),#17002,.T.); #341926=ADVANCED_FACE('',(#33461),#17003,.T.); #341927=ADVANCED_FACE('',(#33462),#17004,.T.); #341928=ADVANCED_FACE('',(#33463),#17005,.T.); #341929=ADVANCED_FACE('',(#33464),#17006,.T.); #341930=ADVANCED_FACE('',(#33465),#17007,.T.); #341931=ADVANCED_FACE('',(#33466),#17008,.T.); #341932=ADVANCED_FACE('',(#33467),#17009,.T.); #341933=ADVANCED_FACE('',(#33468),#17010,.T.); #341934=ADVANCED_FACE('',(#33469),#17011,.T.); #341935=ADVANCED_FACE('',(#33470),#17012,.T.); #341936=ADVANCED_FACE('',(#33471),#17013,.T.); #341937=ADVANCED_FACE('',(#33472),#17014,.T.); #341938=ADVANCED_FACE('',(#33473),#17015,.T.); #341939=ADVANCED_FACE('',(#33474),#17016,.T.); #341940=ADVANCED_FACE('',(#33475),#17017,.T.); #341941=ADVANCED_FACE('',(#33476),#17018,.T.); #341942=ADVANCED_FACE('',(#33477),#17019,.T.); #341943=ADVANCED_FACE('',(#33478),#17020,.T.); #341944=ADVANCED_FACE('',(#33479),#17021,.T.); #341945=ADVANCED_FACE('',(#33480),#17022,.T.); #341946=ADVANCED_FACE('',(#33481),#17023,.T.); #341947=ADVANCED_FACE('',(#33482),#17024,.T.); #341948=ADVANCED_FACE('',(#33483),#17025,.T.); #341949=ADVANCED_FACE('',(#33484),#17026,.T.); #341950=ADVANCED_FACE('',(#33485),#17027,.T.); #341951=ADVANCED_FACE('',(#33486),#17028,.T.); #341952=ADVANCED_FACE('',(#33487),#17029,.T.); #341953=ADVANCED_FACE('',(#33488),#17030,.T.); #341954=ADVANCED_FACE('',(#33489),#17031,.T.); #341955=ADVANCED_FACE('',(#33490),#17032,.T.); #341956=ADVANCED_FACE('',(#33491),#17033,.T.); #341957=ADVANCED_FACE('',(#33492),#17034,.T.); #341958=ADVANCED_FACE('',(#33493),#17035,.T.); #341959=ADVANCED_FACE('',(#33494),#17036,.T.); #341960=ADVANCED_FACE('',(#33495),#17037,.T.); #341961=ADVANCED_FACE('',(#33496),#17038,.T.); #341962=ADVANCED_FACE('',(#33497),#17039,.T.); #341963=ADVANCED_FACE('',(#33498),#17040,.T.); #341964=ADVANCED_FACE('',(#33499),#17041,.T.); #341965=ADVANCED_FACE('',(#33500),#17042,.T.); #341966=ADVANCED_FACE('',(#33501),#17043,.T.); #341967=ADVANCED_FACE('',(#33502),#17044,.T.); #341968=ADVANCED_FACE('',(#33503),#17045,.T.); #341969=ADVANCED_FACE('',(#33504),#17046,.T.); #341970=ADVANCED_FACE('',(#33505),#17047,.T.); #341971=ADVANCED_FACE('',(#33506),#17048,.T.); #341972=ADVANCED_FACE('',(#33507),#17049,.T.); #341973=ADVANCED_FACE('',(#33508),#17050,.T.); #341974=ADVANCED_FACE('',(#33509),#17051,.T.); #341975=ADVANCED_FACE('',(#33510),#17052,.T.); #341976=ADVANCED_FACE('',(#33511),#17053,.T.); #341977=ADVANCED_FACE('',(#33512),#17054,.T.); #341978=ADVANCED_FACE('',(#33513),#17055,.T.); #341979=ADVANCED_FACE('',(#33514),#17056,.T.); #341980=ADVANCED_FACE('',(#33515),#17057,.T.); #341981=ADVANCED_FACE('',(#33516),#17058,.T.); #341982=ADVANCED_FACE('',(#33517),#17059,.T.); #341983=ADVANCED_FACE('',(#33518),#17060,.T.); #341984=ADVANCED_FACE('',(#33519),#17061,.T.); #341985=ADVANCED_FACE('',(#33520),#17062,.T.); #341986=ADVANCED_FACE('',(#33521),#17063,.T.); #341987=ADVANCED_FACE('',(#33522),#17064,.T.); #341988=ADVANCED_FACE('',(#33523),#17065,.T.); #341989=ADVANCED_FACE('',(#33524),#17066,.T.); #341990=ADVANCED_FACE('',(#33525),#17067,.T.); #341991=ADVANCED_FACE('',(#33526),#17068,.T.); #341992=ADVANCED_FACE('',(#33527),#17069,.T.); #341993=ADVANCED_FACE('',(#33528),#17070,.T.); #341994=ADVANCED_FACE('',(#33529),#17071,.T.); #341995=ADVANCED_FACE('',(#33530),#17072,.T.); #341996=ADVANCED_FACE('',(#33531),#17073,.T.); #341997=ADVANCED_FACE('',(#33532),#17074,.T.); #341998=ADVANCED_FACE('',(#33533),#17075,.T.); #341999=ADVANCED_FACE('',(#33534),#17076,.T.); #342000=ADVANCED_FACE('',(#33535),#17077,.T.); #342001=ADVANCED_FACE('',(#33536),#17078,.T.); #342002=ADVANCED_FACE('',(#33537),#17079,.T.); #342003=ADVANCED_FACE('',(#33538),#17080,.T.); #342004=ADVANCED_FACE('',(#33539),#17081,.T.); #342005=ADVANCED_FACE('',(#33540),#17082,.T.); #342006=ADVANCED_FACE('',(#33541),#17083,.T.); #342007=ADVANCED_FACE('',(#33542),#17084,.T.); #342008=ADVANCED_FACE('',(#33543),#17085,.T.); #342009=ADVANCED_FACE('',(#33544),#17086,.T.); #342010=ADVANCED_FACE('',(#33545),#17087,.T.); #342011=ADVANCED_FACE('',(#33546),#17088,.T.); #342012=ADVANCED_FACE('',(#33547),#17089,.T.); #342013=ADVANCED_FACE('',(#33548),#17090,.T.); #342014=ADVANCED_FACE('',(#33549),#17091,.T.); #342015=ADVANCED_FACE('',(#33550),#17092,.T.); #342016=ADVANCED_FACE('',(#33551),#17093,.T.); #342017=ADVANCED_FACE('',(#33552),#17094,.T.); #342018=ADVANCED_FACE('',(#33553),#17095,.T.); #342019=ADVANCED_FACE('',(#33554),#17096,.T.); #342020=ADVANCED_FACE('',(#33555),#17097,.T.); #342021=ADVANCED_FACE('',(#33556),#17098,.T.); #342022=ADVANCED_FACE('',(#33557),#17099,.T.); #342023=ADVANCED_FACE('',(#33558),#17100,.T.); #342024=ADVANCED_FACE('',(#33559),#17101,.T.); #342025=ADVANCED_FACE('',(#33560),#17102,.T.); #342026=ADVANCED_FACE('',(#33561),#17103,.T.); #342027=ADVANCED_FACE('',(#33562),#17104,.T.); #342028=ADVANCED_FACE('',(#33563),#17105,.T.); #342029=ADVANCED_FACE('',(#33564),#17106,.T.); #342030=ADVANCED_FACE('',(#33565),#17107,.T.); #342031=ADVANCED_FACE('',(#33566),#17108,.T.); #342032=ADVANCED_FACE('',(#33567),#17109,.T.); #342033=ADVANCED_FACE('',(#33568),#17110,.T.); #342034=ADVANCED_FACE('',(#33569),#17111,.T.); #342035=ADVANCED_FACE('',(#33570),#17112,.T.); #342036=ADVANCED_FACE('',(#33571),#17113,.T.); #342037=ADVANCED_FACE('',(#33572),#17114,.T.); #342038=ADVANCED_FACE('',(#33573),#17115,.T.); #342039=ADVANCED_FACE('',(#33574),#17116,.T.); #342040=ADVANCED_FACE('',(#33575),#17117,.T.); #342041=ADVANCED_FACE('',(#33576),#17118,.T.); #342042=ADVANCED_FACE('',(#33577),#17119,.T.); #342043=ADVANCED_FACE('',(#33578),#17120,.T.); #342044=ADVANCED_FACE('',(#33579),#17121,.T.); #342045=ADVANCED_FACE('',(#33580),#17122,.T.); #342046=ADVANCED_FACE('',(#33581),#17123,.T.); #342047=ADVANCED_FACE('',(#33582),#17124,.T.); #342048=ADVANCED_FACE('',(#33583),#17125,.T.); #342049=ADVANCED_FACE('',(#33584),#17126,.T.); #342050=ADVANCED_FACE('',(#33585),#17127,.T.); #342051=ADVANCED_FACE('',(#33586),#17128,.T.); #342052=ADVANCED_FACE('',(#33587),#17129,.T.); #342053=ADVANCED_FACE('',(#33588),#17130,.T.); #342054=ADVANCED_FACE('',(#33589),#17131,.T.); #342055=ADVANCED_FACE('',(#33590),#17132,.T.); #342056=ADVANCED_FACE('',(#33591),#17133,.T.); #342057=ADVANCED_FACE('',(#33592),#17134,.T.); #342058=ADVANCED_FACE('',(#33593),#17135,.T.); #342059=ADVANCED_FACE('',(#33594),#17136,.T.); #342060=ADVANCED_FACE('',(#33595),#17137,.T.); #342061=ADVANCED_FACE('',(#33596),#17138,.T.); #342062=ADVANCED_FACE('',(#33597),#17139,.T.); #342063=ADVANCED_FACE('',(#33598),#17140,.T.); #342064=ADVANCED_FACE('',(#33599),#17141,.T.); #342065=ADVANCED_FACE('',(#33600),#17142,.T.); #342066=ADVANCED_FACE('',(#33601),#17143,.T.); #342067=ADVANCED_FACE('',(#33602),#17144,.T.); #342068=ADVANCED_FACE('',(#33603),#17145,.T.); #342069=ADVANCED_FACE('',(#33604),#17146,.T.); #342070=ADVANCED_FACE('',(#33605),#17147,.T.); #342071=ADVANCED_FACE('',(#33606),#17148,.T.); #342072=ADVANCED_FACE('',(#33607),#17149,.T.); #342073=ADVANCED_FACE('',(#33608),#17150,.T.); #342074=ADVANCED_FACE('',(#33609),#17151,.T.); #342075=ADVANCED_FACE('',(#33610),#17152,.T.); #342076=ADVANCED_FACE('',(#33611),#17153,.T.); #342077=ADVANCED_FACE('',(#33612),#17154,.T.); #342078=ADVANCED_FACE('',(#33613),#17155,.T.); #342079=ADVANCED_FACE('',(#33614),#17156,.T.); #342080=ADVANCED_FACE('',(#33615),#17157,.T.); #342081=ADVANCED_FACE('',(#33616),#17158,.T.); #342082=ADVANCED_FACE('',(#33617),#17159,.T.); #342083=ADVANCED_FACE('',(#33618),#17160,.T.); #342084=ADVANCED_FACE('',(#33619),#17161,.T.); #342085=ADVANCED_FACE('',(#33620),#17162,.T.); #342086=ADVANCED_FACE('',(#33621),#17163,.T.); #342087=ADVANCED_FACE('',(#33622),#17164,.T.); #342088=ADVANCED_FACE('',(#33623),#17165,.T.); #342089=ADVANCED_FACE('',(#33624),#17166,.T.); #342090=ADVANCED_FACE('',(#33625),#17167,.T.); #342091=ADVANCED_FACE('',(#33626),#17168,.T.); #342092=ADVANCED_FACE('',(#33627),#17169,.T.); #342093=ADVANCED_FACE('',(#33628),#17170,.T.); #342094=ADVANCED_FACE('',(#33629),#17171,.T.); #342095=ADVANCED_FACE('',(#33630),#17172,.T.); #342096=ADVANCED_FACE('',(#33631),#17173,.T.); #342097=ADVANCED_FACE('',(#33632),#17174,.T.); #342098=ADVANCED_FACE('',(#33633),#17175,.T.); #342099=ADVANCED_FACE('',(#33634),#17176,.T.); #342100=ADVANCED_FACE('',(#33635),#17177,.T.); #342101=ADVANCED_FACE('',(#33636),#17178,.T.); #342102=ADVANCED_FACE('',(#33637),#17179,.T.); #342103=ADVANCED_FACE('',(#33638),#17180,.T.); #342104=ADVANCED_FACE('',(#33639),#17181,.T.); #342105=ADVANCED_FACE('',(#33640),#17182,.T.); #342106=ADVANCED_FACE('',(#33641),#17183,.T.); #342107=ADVANCED_FACE('',(#33642),#17184,.T.); #342108=ADVANCED_FACE('',(#33643),#17185,.T.); #342109=ADVANCED_FACE('',(#33644),#17186,.T.); #342110=ADVANCED_FACE('',(#33645),#17187,.T.); #342111=ADVANCED_FACE('',(#33646),#17188,.T.); #342112=ADVANCED_FACE('',(#33647),#17189,.T.); #342113=ADVANCED_FACE('',(#33648),#17190,.T.); #342114=ADVANCED_FACE('',(#33649),#17191,.T.); #342115=ADVANCED_FACE('',(#33650),#17192,.T.); #342116=ADVANCED_FACE('',(#33651),#17193,.T.); #342117=ADVANCED_FACE('',(#33652),#17194,.T.); #342118=ADVANCED_FACE('',(#33653),#17195,.T.); #342119=ADVANCED_FACE('',(#33654),#17196,.T.); #342120=ADVANCED_FACE('',(#33655),#17197,.T.); #342121=ADVANCED_FACE('',(#33656),#17198,.T.); #342122=ADVANCED_FACE('',(#33657),#17199,.T.); #342123=ADVANCED_FACE('',(#33658),#17200,.T.); #342124=ADVANCED_FACE('',(#33659),#17201,.T.); #342125=ADVANCED_FACE('',(#33660),#17202,.T.); #342126=ADVANCED_FACE('',(#33661),#17203,.T.); #342127=ADVANCED_FACE('',(#33662),#17204,.T.); #342128=ADVANCED_FACE('',(#33663),#17205,.T.); #342129=ADVANCED_FACE('',(#33664),#17206,.T.); #342130=ADVANCED_FACE('',(#33665),#17207,.T.); #342131=ADVANCED_FACE('',(#33666),#17208,.T.); #342132=ADVANCED_FACE('',(#33667),#17209,.T.); #342133=ADVANCED_FACE('',(#33668),#17210,.T.); #342134=ADVANCED_FACE('',(#33669),#17211,.T.); #342135=ADVANCED_FACE('',(#33670),#17212,.T.); #342136=ADVANCED_FACE('',(#33671),#17213,.T.); #342137=ADVANCED_FACE('',(#33672),#17214,.T.); #342138=ADVANCED_FACE('',(#33673),#17215,.T.); #342139=ADVANCED_FACE('',(#33674),#17216,.T.); #342140=ADVANCED_FACE('',(#33675),#17217,.T.); #342141=ADVANCED_FACE('',(#33676),#17218,.T.); #342142=ADVANCED_FACE('',(#33677),#17219,.T.); #342143=ADVANCED_FACE('',(#33678),#17220,.T.); #342144=ADVANCED_FACE('',(#33679),#17221,.T.); #342145=ADVANCED_FACE('',(#33680),#17222,.T.); #342146=ADVANCED_FACE('',(#33681),#17223,.T.); #342147=ADVANCED_FACE('',(#33682),#17224,.T.); #342148=ADVANCED_FACE('',(#33683),#17225,.T.); #342149=ADVANCED_FACE('',(#33684),#17226,.T.); #342150=ADVANCED_FACE('',(#33685),#17227,.T.); #342151=ADVANCED_FACE('',(#33686),#17228,.T.); #342152=ADVANCED_FACE('',(#33687),#17229,.T.); #342153=ADVANCED_FACE('',(#33688),#17230,.T.); #342154=ADVANCED_FACE('',(#33689),#17231,.T.); #342155=ADVANCED_FACE('',(#33690),#17232,.T.); #342156=ADVANCED_FACE('',(#33691),#17233,.T.); #342157=ADVANCED_FACE('',(#33692),#17234,.T.); #342158=ADVANCED_FACE('',(#33693),#17235,.T.); #342159=ADVANCED_FACE('',(#33694),#17236,.T.); #342160=ADVANCED_FACE('',(#33695),#17237,.T.); #342161=ADVANCED_FACE('',(#33696),#17238,.T.); #342162=ADVANCED_FACE('',(#33697),#17239,.T.); #342163=ADVANCED_FACE('',(#33698),#17240,.T.); #342164=ADVANCED_FACE('',(#33699),#17241,.T.); #342165=ADVANCED_FACE('',(#33700),#17242,.T.); #342166=ADVANCED_FACE('',(#33701),#17243,.T.); #342167=ADVANCED_FACE('',(#33702),#17244,.T.); #342168=ADVANCED_FACE('',(#33703),#17245,.T.); #342169=ADVANCED_FACE('',(#33704),#17246,.T.); #342170=ADVANCED_FACE('',(#33705),#17247,.T.); #342171=ADVANCED_FACE('',(#33706),#17248,.T.); #342172=ADVANCED_FACE('',(#33707),#17249,.T.); #342173=ADVANCED_FACE('',(#33708),#17250,.T.); #342174=ADVANCED_FACE('',(#33709),#17251,.T.); #342175=ADVANCED_FACE('',(#33710),#17252,.T.); #342176=ADVANCED_FACE('',(#33711),#17253,.T.); #342177=ADVANCED_FACE('',(#33712),#17254,.T.); #342178=ADVANCED_FACE('',(#33713),#17255,.T.); #342179=ADVANCED_FACE('',(#33714),#17256,.T.); #342180=ADVANCED_FACE('',(#33715),#17257,.T.); #342181=ADVANCED_FACE('',(#33716),#17258,.T.); #342182=ADVANCED_FACE('',(#33717),#17259,.T.); #342183=ADVANCED_FACE('',(#33718),#17260,.T.); #342184=ADVANCED_FACE('',(#33719),#17261,.T.); #342185=ADVANCED_FACE('',(#33720),#17262,.T.); #342186=ADVANCED_FACE('',(#33721),#17263,.T.); #342187=ADVANCED_FACE('',(#33722),#17264,.T.); #342188=ADVANCED_FACE('',(#33723),#17265,.T.); #342189=ADVANCED_FACE('',(#33724),#17266,.T.); #342190=ADVANCED_FACE('',(#33725),#17267,.T.); #342191=ADVANCED_FACE('',(#33726),#17268,.T.); #342192=ADVANCED_FACE('',(#33727),#17269,.T.); #342193=ADVANCED_FACE('',(#33728),#17270,.T.); #342194=ADVANCED_FACE('',(#33729),#17271,.T.); #342195=ADVANCED_FACE('',(#33730),#17272,.T.); #342196=ADVANCED_FACE('',(#33731),#17273,.T.); #342197=ADVANCED_FACE('',(#33732),#17274,.T.); #342198=ADVANCED_FACE('',(#33733),#17275,.T.); #342199=ADVANCED_FACE('',(#33734),#17276,.T.); #342200=ADVANCED_FACE('',(#33735),#17277,.T.); #342201=ADVANCED_FACE('',(#33736),#17278,.T.); #342202=ADVANCED_FACE('',(#33737),#17279,.T.); #342203=ADVANCED_FACE('',(#33738),#17280,.T.); #342204=ADVANCED_FACE('',(#33739),#17281,.T.); #342205=ADVANCED_FACE('',(#33740),#17282,.T.); #342206=ADVANCED_FACE('',(#33741),#17283,.T.); #342207=ADVANCED_FACE('',(#33742),#17284,.T.); #342208=ADVANCED_FACE('',(#33743),#17285,.T.); #342209=ADVANCED_FACE('',(#33744),#17286,.T.); #342210=ADVANCED_FACE('',(#33745),#17287,.T.); #342211=ADVANCED_FACE('',(#33746),#17288,.T.); #342212=ADVANCED_FACE('',(#33747),#17289,.T.); #342213=ADVANCED_FACE('',(#33748),#17290,.T.); #342214=ADVANCED_FACE('',(#33749),#17291,.T.); #342215=ADVANCED_FACE('',(#33750),#17292,.T.); #342216=ADVANCED_FACE('',(#33751),#17293,.T.); #342217=ADVANCED_FACE('',(#33752),#17294,.T.); #342218=ADVANCED_FACE('',(#33753),#17295,.T.); #342219=ADVANCED_FACE('',(#33754),#17296,.T.); #342220=ADVANCED_FACE('',(#33755),#17297,.T.); #342221=ADVANCED_FACE('',(#33756),#17298,.T.); #342222=ADVANCED_FACE('',(#33757),#17299,.T.); #342223=ADVANCED_FACE('',(#33758),#17300,.T.); #342224=ADVANCED_FACE('',(#33759),#17301,.T.); #342225=ADVANCED_FACE('',(#33760),#17302,.T.); #342226=ADVANCED_FACE('',(#33761),#17303,.T.); #342227=ADVANCED_FACE('',(#33762),#17304,.T.); #342228=ADVANCED_FACE('',(#33763),#17305,.T.); #342229=ADVANCED_FACE('',(#33764),#17306,.T.); #342230=ADVANCED_FACE('',(#33765),#17307,.T.); #342231=ADVANCED_FACE('',(#33766),#17308,.T.); #342232=ADVANCED_FACE('',(#33767),#17309,.T.); #342233=ADVANCED_FACE('',(#33768),#17310,.T.); #342234=ADVANCED_FACE('',(#33769),#17311,.T.); #342235=ADVANCED_FACE('',(#33770),#17312,.T.); #342236=ADVANCED_FACE('',(#33771),#17313,.T.); #342237=ADVANCED_FACE('',(#33772),#17314,.T.); #342238=ADVANCED_FACE('',(#33773),#17315,.T.); #342239=ADVANCED_FACE('',(#33774),#17316,.T.); #342240=ADVANCED_FACE('',(#33775),#17317,.T.); #342241=ADVANCED_FACE('',(#33776),#17318,.T.); #342242=ADVANCED_FACE('',(#33777),#17319,.T.); #342243=ADVANCED_FACE('',(#33778),#17320,.T.); #342244=ADVANCED_FACE('',(#33779),#17321,.T.); #342245=ADVANCED_FACE('',(#33780),#17322,.T.); #342246=ADVANCED_FACE('',(#33781),#17323,.T.); #342247=ADVANCED_FACE('',(#33782),#17324,.T.); #342248=ADVANCED_FACE('',(#33783),#17325,.T.); #342249=ADVANCED_FACE('',(#33784),#17326,.T.); #342250=ADVANCED_FACE('',(#33785),#17327,.T.); #342251=ADVANCED_FACE('',(#33786),#17328,.T.); #342252=ADVANCED_FACE('',(#33787),#17329,.T.); #342253=ADVANCED_FACE('',(#33788),#17330,.T.); #342254=ADVANCED_FACE('',(#33789),#17331,.T.); #342255=ADVANCED_FACE('',(#33790),#17332,.T.); #342256=ADVANCED_FACE('',(#33791),#17333,.T.); #342257=ADVANCED_FACE('',(#33792),#17334,.T.); #342258=ADVANCED_FACE('',(#33793),#17335,.T.); #342259=ADVANCED_FACE('',(#33794),#17336,.T.); #342260=ADVANCED_FACE('',(#33795),#17337,.T.); #342261=ADVANCED_FACE('',(#33796),#17338,.T.); #342262=ADVANCED_FACE('',(#33797),#17339,.T.); #342263=ADVANCED_FACE('',(#33798),#17340,.T.); #342264=ADVANCED_FACE('',(#33799),#17341,.T.); #342265=ADVANCED_FACE('',(#33800),#17342,.T.); #342266=ADVANCED_FACE('',(#33801),#17343,.T.); #342267=ADVANCED_FACE('',(#33802),#17344,.T.); #342268=ADVANCED_FACE('',(#33803),#17345,.T.); #342269=ADVANCED_FACE('',(#33804),#17346,.T.); #342270=ADVANCED_FACE('',(#33805),#17347,.T.); #342271=ADVANCED_FACE('',(#33806),#17348,.T.); #342272=ADVANCED_FACE('',(#33807),#17349,.T.); #342273=ADVANCED_FACE('',(#33808),#17350,.T.); #342274=ADVANCED_FACE('',(#33809),#17351,.T.); #342275=ADVANCED_FACE('',(#33810),#17352,.T.); #342276=ADVANCED_FACE('',(#33811),#17353,.T.); #342277=ADVANCED_FACE('',(#33812),#17354,.T.); #342278=ADVANCED_FACE('',(#33813),#17355,.T.); #342279=ADVANCED_FACE('',(#33814),#17356,.T.); #342280=ADVANCED_FACE('',(#33815),#17357,.T.); #342281=ADVANCED_FACE('',(#33816),#17358,.T.); #342282=ADVANCED_FACE('',(#33817),#17359,.T.); #342283=ADVANCED_FACE('',(#33818),#17360,.T.); #342284=ADVANCED_FACE('',(#33819),#17361,.T.); #342285=ADVANCED_FACE('',(#33820),#17362,.T.); #342286=ADVANCED_FACE('',(#33821),#17363,.T.); #342287=ADVANCED_FACE('',(#33822),#17364,.T.); #342288=ADVANCED_FACE('',(#33823),#17365,.T.); #342289=ADVANCED_FACE('',(#33824),#17366,.T.); #342290=ADVANCED_FACE('',(#33825),#17367,.T.); #342291=ADVANCED_FACE('',(#33826),#17368,.T.); #342292=ADVANCED_FACE('',(#33827),#17369,.T.); #342293=ADVANCED_FACE('',(#33828),#17370,.T.); #342294=ADVANCED_FACE('',(#33829),#17371,.T.); #342295=ADVANCED_FACE('',(#33830),#17372,.T.); #342296=ADVANCED_FACE('',(#33831),#17373,.T.); #342297=ADVANCED_FACE('',(#33832),#17374,.T.); #342298=ADVANCED_FACE('',(#33833),#17375,.T.); #342299=ADVANCED_FACE('',(#33834),#17376,.T.); #342300=ADVANCED_FACE('',(#33835),#17377,.T.); #342301=ADVANCED_FACE('',(#33836),#17378,.T.); #342302=ADVANCED_FACE('',(#33837),#17379,.T.); #342303=ADVANCED_FACE('',(#33838),#17380,.T.); #342304=ADVANCED_FACE('',(#33839),#17381,.T.); #342305=ADVANCED_FACE('',(#33840),#17382,.T.); #342306=ADVANCED_FACE('',(#33841),#17383,.T.); #342307=ADVANCED_FACE('',(#33842),#17384,.T.); #342308=ADVANCED_FACE('',(#33843),#17385,.T.); #342309=ADVANCED_FACE('',(#33844),#17386,.T.); #342310=ADVANCED_FACE('',(#33845),#17387,.T.); #342311=ADVANCED_FACE('',(#33846),#17388,.T.); #342312=ADVANCED_FACE('',(#33847),#17389,.T.); #342313=ADVANCED_FACE('',(#33848),#17390,.T.); #342314=ADVANCED_FACE('',(#33849),#17391,.T.); #342315=ADVANCED_FACE('',(#33850),#17392,.T.); #342316=ADVANCED_FACE('',(#33851),#17393,.T.); #342317=ADVANCED_FACE('',(#33852),#17394,.T.); #342318=ADVANCED_FACE('',(#33853),#17395,.T.); #342319=ADVANCED_FACE('',(#33854),#17396,.T.); #342320=ADVANCED_FACE('',(#33855),#17397,.T.); #342321=ADVANCED_FACE('',(#33856),#17398,.T.); #342322=ADVANCED_FACE('',(#33857),#17399,.T.); #342323=ADVANCED_FACE('',(#33858),#17400,.T.); #342324=ADVANCED_FACE('',(#33859),#17401,.T.); #342325=ADVANCED_FACE('',(#33860),#17402,.T.); #342326=ADVANCED_FACE('',(#33861),#17403,.T.); #342327=ADVANCED_FACE('',(#33862),#17404,.T.); #342328=ADVANCED_FACE('',(#33863),#17405,.T.); #342329=ADVANCED_FACE('',(#33864),#17406,.T.); #342330=ADVANCED_FACE('',(#33865),#17407,.T.); #342331=ADVANCED_FACE('',(#33866),#17408,.T.); #342332=ADVANCED_FACE('',(#33867),#17409,.T.); #342333=ADVANCED_FACE('',(#33868),#17410,.T.); #342334=ADVANCED_FACE('',(#33869),#17411,.T.); #342335=ADVANCED_FACE('',(#33870),#17412,.T.); #342336=ADVANCED_FACE('',(#33871),#17413,.T.); #342337=ADVANCED_FACE('',(#33872),#17414,.T.); #342338=ADVANCED_FACE('',(#33873),#17415,.T.); #342339=ADVANCED_FACE('',(#33874),#17416,.T.); #342340=ADVANCED_FACE('',(#33875),#17417,.T.); #342341=ADVANCED_FACE('',(#33876),#17418,.T.); #342342=ADVANCED_FACE('',(#33877),#17419,.T.); #342343=ADVANCED_FACE('',(#33878),#17420,.T.); #342344=ADVANCED_FACE('',(#33879),#17421,.T.); #342345=ADVANCED_FACE('',(#33880),#17422,.T.); #342346=ADVANCED_FACE('',(#33881),#17423,.T.); #342347=ADVANCED_FACE('',(#33882),#17424,.T.); #342348=ADVANCED_FACE('',(#33883),#17425,.T.); #342349=ADVANCED_FACE('',(#33884),#17426,.T.); #342350=ADVANCED_FACE('',(#33885),#17427,.T.); #342351=ADVANCED_FACE('',(#33886),#17428,.T.); #342352=ADVANCED_FACE('',(#33887),#17429,.T.); #342353=ADVANCED_FACE('',(#33888),#17430,.T.); #342354=ADVANCED_FACE('',(#33889),#17431,.T.); #342355=ADVANCED_FACE('',(#33890),#17432,.T.); #342356=ADVANCED_FACE('',(#33891),#17433,.T.); #342357=ADVANCED_FACE('',(#33892),#17434,.T.); #342358=ADVANCED_FACE('',(#33893),#17435,.T.); #342359=ADVANCED_FACE('',(#33894),#17436,.T.); #342360=ADVANCED_FACE('',(#33895),#17437,.T.); #342361=ADVANCED_FACE('',(#33896),#17438,.T.); #342362=ADVANCED_FACE('',(#33897),#17439,.T.); #342363=ADVANCED_FACE('',(#33898),#17440,.T.); #342364=ADVANCED_FACE('',(#33899),#17441,.T.); #342365=ADVANCED_FACE('',(#33900),#17442,.T.); #342366=ADVANCED_FACE('',(#33901),#17443,.T.); #342367=ADVANCED_FACE('',(#33902),#17444,.T.); #342368=ADVANCED_FACE('',(#33903),#17445,.T.); #342369=ADVANCED_FACE('',(#33904),#17446,.T.); #342370=ADVANCED_FACE('',(#33905),#17447,.T.); #342371=ADVANCED_FACE('',(#33906),#17448,.T.); #342372=ADVANCED_FACE('',(#33907),#17449,.T.); #342373=ADVANCED_FACE('',(#33908),#17450,.T.); #342374=ADVANCED_FACE('',(#33909),#17451,.T.); #342375=ADVANCED_FACE('',(#33910),#17452,.T.); #342376=ADVANCED_FACE('',(#33911),#17453,.T.); #342377=ADVANCED_FACE('',(#33912),#17454,.T.); #342378=ADVANCED_FACE('',(#33913),#17455,.T.); #342379=ADVANCED_FACE('',(#33914),#17456,.T.); #342380=ADVANCED_FACE('',(#33915),#17457,.T.); #342381=ADVANCED_FACE('',(#33916),#17458,.T.); #342382=ADVANCED_FACE('',(#33917),#17459,.T.); #342383=ADVANCED_FACE('',(#33918),#17460,.T.); #342384=ADVANCED_FACE('',(#33919),#17461,.T.); #342385=ADVANCED_FACE('',(#33920),#17462,.T.); #342386=ADVANCED_FACE('',(#33921),#17463,.T.); #342387=ADVANCED_FACE('',(#33922),#17464,.T.); #342388=ADVANCED_FACE('',(#33923),#17465,.T.); #342389=ADVANCED_FACE('',(#33924),#17466,.T.); #342390=ADVANCED_FACE('',(#33925),#17467,.T.); #342391=ADVANCED_FACE('',(#33926),#17468,.T.); #342392=ADVANCED_FACE('',(#33927),#17469,.T.); #342393=ADVANCED_FACE('',(#33928),#17470,.T.); #342394=ADVANCED_FACE('',(#33929),#17471,.T.); #342395=ADVANCED_FACE('',(#33930),#17472,.T.); #342396=ADVANCED_FACE('',(#33931),#17473,.T.); #342397=ADVANCED_FACE('',(#33932),#17474,.T.); #342398=ADVANCED_FACE('',(#33933),#17475,.T.); #342399=ADVANCED_FACE('',(#33934),#17476,.T.); #342400=ADVANCED_FACE('',(#33935),#17477,.T.); #342401=ADVANCED_FACE('',(#33936),#17478,.T.); #342402=ADVANCED_FACE('',(#33937),#17479,.T.); #342403=ADVANCED_FACE('',(#33938),#17480,.T.); #342404=ADVANCED_FACE('',(#33939),#17481,.T.); #342405=ADVANCED_FACE('',(#33940),#17482,.T.); #342406=ADVANCED_FACE('',(#33941),#17483,.T.); #342407=ADVANCED_FACE('',(#33942),#17484,.T.); #342408=ADVANCED_FACE('',(#33943),#17485,.T.); #342409=ADVANCED_FACE('',(#33944),#17486,.T.); #342410=ADVANCED_FACE('',(#33945),#17487,.T.); #342411=ADVANCED_FACE('',(#33946),#17488,.T.); #342412=ADVANCED_FACE('',(#33947),#17489,.T.); #342413=ADVANCED_FACE('',(#33948),#17490,.T.); #342414=ADVANCED_FACE('',(#33949),#17491,.T.); #342415=ADVANCED_FACE('',(#33950),#17492,.T.); #342416=ADVANCED_FACE('',(#33951),#17493,.T.); #342417=ADVANCED_FACE('',(#33952),#17494,.T.); #342418=ADVANCED_FACE('',(#33953),#17495,.T.); #342419=ADVANCED_FACE('',(#33954),#17496,.T.); #342420=ADVANCED_FACE('',(#33955),#17497,.T.); #342421=ADVANCED_FACE('',(#33956),#17498,.T.); #342422=ADVANCED_FACE('',(#33957),#17499,.T.); #342423=ADVANCED_FACE('',(#33958),#17500,.T.); #342424=ADVANCED_FACE('',(#33959),#17501,.T.); #342425=ADVANCED_FACE('',(#33960),#17502,.T.); #342426=ADVANCED_FACE('',(#33961),#17503,.T.); #342427=ADVANCED_FACE('',(#33962),#17504,.T.); #342428=ADVANCED_FACE('',(#33963),#17505,.T.); #342429=ADVANCED_FACE('',(#33964),#17506,.T.); #342430=ADVANCED_FACE('',(#33965),#17507,.T.); #342431=ADVANCED_FACE('',(#33966),#17508,.T.); #342432=ADVANCED_FACE('',(#33967),#17509,.T.); #342433=ADVANCED_FACE('',(#33968),#17510,.T.); #342434=ADVANCED_FACE('',(#33969),#17511,.T.); #342435=ADVANCED_FACE('',(#33970),#17512,.T.); #342436=ADVANCED_FACE('',(#33971),#17513,.T.); #342437=ADVANCED_FACE('',(#33972),#17514,.T.); #342438=ADVANCED_FACE('',(#33973),#17515,.T.); #342439=ADVANCED_FACE('',(#33974),#17516,.T.); #342440=ADVANCED_FACE('',(#33975),#17517,.T.); #342441=ADVANCED_FACE('',(#33976),#17518,.T.); #342442=ADVANCED_FACE('',(#33977),#17519,.T.); #342443=ADVANCED_FACE('',(#33978),#17520,.T.); #342444=ADVANCED_FACE('',(#33979),#17521,.T.); #342445=ADVANCED_FACE('',(#33980),#17522,.T.); #342446=ADVANCED_FACE('',(#33981),#17523,.T.); #342447=ADVANCED_FACE('',(#33982),#17524,.T.); #342448=ADVANCED_FACE('',(#33983),#17525,.T.); #342449=ADVANCED_FACE('',(#33984),#17526,.T.); #342450=ADVANCED_FACE('',(#33985),#17527,.T.); #342451=ADVANCED_FACE('',(#33986),#17528,.T.); #342452=ADVANCED_FACE('',(#33987),#17529,.T.); #342453=ADVANCED_FACE('',(#33988),#17530,.T.); #342454=ADVANCED_FACE('',(#33989),#17531,.T.); #342455=ADVANCED_FACE('',(#33990),#17532,.T.); #342456=ADVANCED_FACE('',(#33991),#17533,.T.); #342457=ADVANCED_FACE('',(#33992),#17534,.T.); #342458=ADVANCED_FACE('',(#33993),#17535,.T.); #342459=ADVANCED_FACE('',(#33994),#17536,.T.); #342460=ADVANCED_FACE('',(#33995),#17537,.T.); #342461=ADVANCED_FACE('',(#33996),#17538,.T.); #342462=ADVANCED_FACE('',(#33997),#17539,.T.); #342463=ADVANCED_FACE('',(#33998),#17540,.T.); #342464=ADVANCED_FACE('',(#33999),#17541,.T.); #342465=ADVANCED_FACE('',(#34000),#17542,.T.); #342466=ADVANCED_FACE('',(#34001),#17543,.T.); #342467=ADVANCED_FACE('',(#34002),#17544,.T.); #342468=ADVANCED_FACE('',(#34003),#17545,.T.); #342469=ADVANCED_FACE('',(#34004),#17546,.T.); #342470=ADVANCED_FACE('',(#34005),#17547,.T.); #342471=ADVANCED_FACE('',(#34006),#17548,.T.); #342472=ADVANCED_FACE('',(#34007),#17549,.T.); #342473=ADVANCED_FACE('',(#34008),#17550,.T.); #342474=ADVANCED_FACE('',(#34009),#17551,.T.); #342475=ADVANCED_FACE('',(#34010),#17552,.T.); #342476=ADVANCED_FACE('',(#34011),#17553,.T.); #342477=ADVANCED_FACE('',(#34012),#17554,.T.); #342478=ADVANCED_FACE('',(#34013),#17555,.T.); #342479=ADVANCED_FACE('',(#34014),#17556,.T.); #342480=ADVANCED_FACE('',(#34015),#17557,.T.); #342481=ADVANCED_FACE('',(#34016),#17558,.T.); #342482=ADVANCED_FACE('',(#34017),#17559,.T.); #342483=ADVANCED_FACE('',(#34018),#17560,.T.); #342484=ADVANCED_FACE('',(#34019),#17561,.T.); #342485=ADVANCED_FACE('',(#34020),#17562,.T.); #342486=ADVANCED_FACE('',(#34021),#17563,.T.); #342487=ADVANCED_FACE('',(#34022),#17564,.T.); #342488=ADVANCED_FACE('',(#34023),#17565,.T.); #342489=ADVANCED_FACE('',(#34024),#17566,.T.); #342490=ADVANCED_FACE('',(#34025),#17567,.T.); #342491=ADVANCED_FACE('',(#34026),#17568,.T.); #342492=ADVANCED_FACE('',(#34027),#17569,.T.); #342493=ADVANCED_FACE('',(#34028),#17570,.T.); #342494=ADVANCED_FACE('',(#34029),#17571,.T.); #342495=ADVANCED_FACE('',(#34030),#17572,.T.); #342496=ADVANCED_FACE('',(#34031),#17573,.T.); #342497=ADVANCED_FACE('',(#34032),#17574,.T.); #342498=ADVANCED_FACE('',(#34033),#17575,.T.); #342499=ADVANCED_FACE('',(#34034),#17576,.T.); #342500=ADVANCED_FACE('',(#34035),#17577,.T.); #342501=ADVANCED_FACE('',(#34036),#17578,.T.); #342502=ADVANCED_FACE('',(#34037),#17579,.T.); #342503=ADVANCED_FACE('',(#34038),#17580,.T.); #342504=ADVANCED_FACE('',(#34039),#17581,.T.); #342505=ADVANCED_FACE('',(#34040),#17582,.T.); #342506=ADVANCED_FACE('',(#34041),#17583,.T.); #342507=ADVANCED_FACE('',(#34042),#17584,.T.); #342508=ADVANCED_FACE('',(#34043),#17585,.T.); #342509=ADVANCED_FACE('',(#34044),#17586,.T.); #342510=ADVANCED_FACE('',(#34045),#17587,.T.); #342511=ADVANCED_FACE('',(#34046),#17588,.T.); #342512=ADVANCED_FACE('',(#34047),#17589,.T.); #342513=ADVANCED_FACE('',(#34048),#17590,.T.); #342514=ADVANCED_FACE('',(#34049),#17591,.T.); #342515=ADVANCED_FACE('',(#34050),#17592,.T.); #342516=ADVANCED_FACE('',(#34051),#17593,.T.); #342517=ADVANCED_FACE('',(#34052),#17594,.T.); #342518=ADVANCED_FACE('',(#34053),#17595,.T.); #342519=ADVANCED_FACE('',(#34054),#17596,.T.); #342520=ADVANCED_FACE('',(#34055),#17597,.T.); #342521=ADVANCED_FACE('',(#34056),#17598,.T.); #342522=ADVANCED_FACE('',(#34057),#17599,.T.); #342523=ADVANCED_FACE('',(#34058),#17600,.T.); #342524=ADVANCED_FACE('',(#34059),#17601,.T.); #342525=ADVANCED_FACE('',(#34060),#17602,.T.); #342526=ADVANCED_FACE('',(#34061),#17603,.T.); #342527=ADVANCED_FACE('',(#34062),#17604,.T.); #342528=ADVANCED_FACE('',(#34063),#17605,.T.); #342529=ADVANCED_FACE('',(#34064),#17606,.T.); #342530=ADVANCED_FACE('',(#34065),#17607,.T.); #342531=ADVANCED_FACE('',(#34066),#17608,.T.); #342532=ADVANCED_FACE('',(#34067),#17609,.T.); #342533=ADVANCED_FACE('',(#34068),#17610,.T.); #342534=ADVANCED_FACE('',(#34069),#17611,.T.); #342535=ADVANCED_FACE('',(#34070),#17612,.T.); #342536=ADVANCED_FACE('',(#34071),#17613,.T.); #342537=ADVANCED_FACE('',(#34072),#17614,.T.); #342538=ADVANCED_FACE('',(#34073),#17615,.T.); #342539=ADVANCED_FACE('',(#34074),#17616,.T.); #342540=ADVANCED_FACE('',(#34075),#17617,.T.); #342541=ADVANCED_FACE('',(#34076),#17618,.T.); #342542=ADVANCED_FACE('',(#34077),#17619,.T.); #342543=ADVANCED_FACE('',(#34078),#17620,.T.); #342544=ADVANCED_FACE('',(#34079),#17621,.T.); #342545=ADVANCED_FACE('',(#34080),#17622,.T.); #342546=ADVANCED_FACE('',(#34081),#17623,.T.); #342547=ADVANCED_FACE('',(#34082),#17624,.T.); #342548=ADVANCED_FACE('',(#34083),#17625,.T.); #342549=ADVANCED_FACE('',(#34084),#17626,.T.); #342550=ADVANCED_FACE('',(#34085),#17627,.T.); #342551=ADVANCED_FACE('',(#34086),#17628,.T.); #342552=ADVANCED_FACE('',(#34087),#17629,.T.); #342553=ADVANCED_FACE('',(#34088),#17630,.T.); #342554=ADVANCED_FACE('',(#34089),#17631,.T.); #342555=ADVANCED_FACE('',(#34090),#17632,.T.); #342556=ADVANCED_FACE('',(#34091),#17633,.T.); #342557=ADVANCED_FACE('',(#34092),#17634,.T.); #342558=ADVANCED_FACE('',(#34093),#17635,.T.); #342559=ADVANCED_FACE('',(#34094),#17636,.T.); #342560=ADVANCED_FACE('',(#34095),#17637,.T.); #342561=ADVANCED_FACE('',(#34096),#17638,.T.); #342562=ADVANCED_FACE('',(#34097),#17639,.T.); #342563=ADVANCED_FACE('',(#34098),#17640,.T.); #342564=ADVANCED_FACE('',(#34099),#17641,.T.); #342565=ADVANCED_FACE('',(#34100),#17642,.T.); #342566=ADVANCED_FACE('',(#34101),#17643,.T.); #342567=ADVANCED_FACE('',(#34102),#17644,.T.); #342568=ADVANCED_FACE('',(#34103),#17645,.T.); #342569=ADVANCED_FACE('',(#34104),#17646,.T.); #342570=ADVANCED_FACE('',(#34105),#17647,.T.); #342571=ADVANCED_FACE('',(#34106),#17648,.T.); #342572=ADVANCED_FACE('',(#34107),#17649,.T.); #342573=ADVANCED_FACE('',(#34108),#17650,.T.); #342574=ADVANCED_FACE('',(#34109),#17651,.T.); #342575=ADVANCED_FACE('',(#34110),#17652,.T.); #342576=ADVANCED_FACE('',(#34111),#17653,.T.); #342577=ADVANCED_FACE('',(#34112),#17654,.T.); #342578=ADVANCED_FACE('',(#34113),#17655,.T.); #342579=ADVANCED_FACE('',(#34114),#17656,.T.); #342580=ADVANCED_FACE('',(#34115),#17657,.T.); #342581=ADVANCED_FACE('',(#34116),#17658,.T.); #342582=ADVANCED_FACE('',(#34117),#17659,.T.); #342583=ADVANCED_FACE('',(#34118),#17660,.T.); #342584=ADVANCED_FACE('',(#34119),#17661,.T.); #342585=ADVANCED_FACE('',(#34120),#17662,.T.); #342586=ADVANCED_FACE('',(#34121),#17663,.T.); #342587=ADVANCED_FACE('',(#34122),#17664,.T.); #342588=ADVANCED_FACE('',(#34123),#17665,.T.); #342589=ADVANCED_FACE('',(#34124),#17666,.T.); #342590=ADVANCED_FACE('',(#34125),#17667,.T.); #342591=ADVANCED_FACE('',(#34126),#17668,.T.); #342592=ADVANCED_FACE('',(#34127),#17669,.T.); #342593=ADVANCED_FACE('',(#34128),#17670,.T.); #342594=ADVANCED_FACE('',(#34129),#17671,.T.); #342595=ADVANCED_FACE('',(#34130),#17672,.T.); #342596=ADVANCED_FACE('',(#34131),#17673,.T.); #342597=ADVANCED_FACE('',(#34132),#17674,.T.); #342598=ADVANCED_FACE('',(#34133),#17675,.T.); #342599=ADVANCED_FACE('',(#34134),#17676,.T.); #342600=ADVANCED_FACE('',(#34135),#17677,.T.); #342601=ADVANCED_FACE('',(#34136),#17678,.T.); #342602=ADVANCED_FACE('',(#34137),#17679,.T.); #342603=ADVANCED_FACE('',(#34138),#17680,.T.); #342604=ADVANCED_FACE('',(#34139),#17681,.T.); #342605=ADVANCED_FACE('',(#34140),#17682,.T.); #342606=ADVANCED_FACE('',(#34141),#17683,.T.); #342607=ADVANCED_FACE('',(#34142),#17684,.T.); #342608=ADVANCED_FACE('',(#34143),#17685,.T.); #342609=ADVANCED_FACE('',(#34144),#17686,.T.); #342610=ADVANCED_FACE('',(#34145),#17687,.T.); #342611=ADVANCED_FACE('',(#34146),#17688,.T.); #342612=ADVANCED_FACE('',(#34147),#17689,.T.); #342613=ADVANCED_FACE('',(#34148),#17690,.T.); #342614=ADVANCED_FACE('',(#34149),#17691,.T.); #342615=ADVANCED_FACE('',(#34150),#17692,.T.); #342616=ADVANCED_FACE('',(#34151),#17693,.T.); #342617=ADVANCED_FACE('',(#34152),#17694,.T.); #342618=ADVANCED_FACE('',(#34153),#17695,.T.); #342619=ADVANCED_FACE('',(#34154),#17696,.T.); #342620=ADVANCED_FACE('',(#34155),#17697,.T.); #342621=ADVANCED_FACE('',(#34156),#17698,.T.); #342622=ADVANCED_FACE('',(#34157),#17699,.T.); #342623=ADVANCED_FACE('',(#34158),#17700,.T.); #342624=ADVANCED_FACE('',(#34159),#17701,.T.); #342625=ADVANCED_FACE('',(#34160),#17702,.T.); #342626=ADVANCED_FACE('',(#34161),#17703,.T.); #342627=ADVANCED_FACE('',(#34162),#17704,.T.); #342628=ADVANCED_FACE('',(#34163),#17705,.T.); #342629=ADVANCED_FACE('',(#34164),#17706,.T.); #342630=ADVANCED_FACE('',(#34165),#17707,.T.); #342631=ADVANCED_FACE('',(#34166),#17708,.T.); #342632=ADVANCED_FACE('',(#34167),#17709,.T.); #342633=ADVANCED_FACE('',(#34168),#17710,.T.); #342634=ADVANCED_FACE('',(#34169),#17711,.T.); #342635=ADVANCED_FACE('',(#34170),#17712,.T.); #342636=ADVANCED_FACE('',(#34171),#17713,.T.); #342637=ADVANCED_FACE('',(#34172),#17714,.T.); #342638=ADVANCED_FACE('',(#34173),#17715,.T.); #342639=ADVANCED_FACE('',(#34174),#17716,.T.); #342640=ADVANCED_FACE('',(#34175),#17717,.T.); #342641=ADVANCED_FACE('',(#34176),#17718,.T.); #342642=ADVANCED_FACE('',(#34177),#17719,.T.); #342643=ADVANCED_FACE('',(#34178),#17720,.T.); #342644=ADVANCED_FACE('',(#34179),#17721,.T.); #342645=ADVANCED_FACE('',(#34180),#17722,.T.); #342646=ADVANCED_FACE('',(#34181),#17723,.T.); #342647=ADVANCED_FACE('',(#34182),#17724,.T.); #342648=ADVANCED_FACE('',(#34183),#17725,.T.); #342649=ADVANCED_FACE('',(#34184),#17726,.T.); #342650=ADVANCED_FACE('',(#34185,#5878,#5879,#5880,#5881,#5882,#5883,#5884, #5885,#5886,#5887,#5888,#5889,#5890,#5891,#5892,#5893,#5894,#5895,#5896, #5897,#5898,#5899,#5900,#5901,#5902,#5903,#5904,#5905,#5906,#5907,#5908, #5909,#5910,#5911,#5912,#5913,#5914,#5915,#5916,#5917,#5918,#5919,#5920, #5921,#5922,#5923,#5924,#5925,#5926,#5927,#5928,#5929,#5930,#5931,#5932, #5933,#5934,#5935,#5936,#5937,#5938,#5939,#5940,#5941,#5942,#5943,#5944, #5945,#5946,#5947,#5948,#5949,#5950,#5951,#5952,#5953,#5954,#5955,#5956, #5957,#5958,#5959,#5960,#5961,#5962,#5963,#5964,#5965,#5966,#5967,#5968, #5969,#5970,#5971,#5972,#5973,#5974,#5975,#5976,#5977,#5978,#5979,#5980, #5981,#5982,#5983,#5984,#5985,#5986,#5987,#5988,#5989,#5990,#5991,#5992, #5993,#5994,#5995,#5996,#5997,#5998,#5999,#6000,#6001,#6002,#6003,#6004, #6005,#6006,#6007,#6008,#6009,#6010,#6011,#6012,#6013,#6014,#6015,#6016, #6017,#6018,#6019,#6020,#6021,#6022),#17727,.T.); #342651=ADVANCED_FACE('',(#34186,#6023,#6024,#6025,#6026,#6027,#6028,#6029, #6030,#6031,#6032,#6033,#6034,#6035,#6036,#6037,#6038,#6039,#6040,#6041, #6042,#6043,#6044,#6045,#6046,#6047,#6048,#6049,#6050,#6051,#6052,#6053, #6054,#6055,#6056,#6057,#6058,#6059,#6060,#6061,#6062,#6063,#6064,#6065, #6066,#6067,#6068,#6069,#6070,#6071,#6072,#6073,#6074,#6075,#6076,#6077, #6078,#6079,#6080,#6081,#6082,#6083,#6084,#6085,#6086,#6087,#6088,#6089, #6090,#6091,#6092,#6093,#6094,#6095,#6096,#6097,#6098,#6099,#6100,#6101, #6102,#6103,#6104,#6105,#6106,#6107,#6108,#6109,#6110,#6111,#6112,#6113, #6114,#6115,#6116,#6117,#6118,#6119,#6120,#6121,#6122,#6123,#6124,#6125, #6126,#6127,#6128,#6129,#6130,#6131,#6132,#6133,#6134,#6135,#6136,#6137, #6138,#6139,#6140,#6141,#6142,#6143,#6144,#6145,#6146,#6147,#6148,#6149, #6150,#6151,#6152,#6153,#6154,#6155,#6156,#6157,#6158,#6159,#6160,#6161, #6162,#6163,#6164,#6165,#6166,#6167),#17728,.F.); #342652=ADVANCED_FACE('',(#34187),#17729,.T.); #342653=ADVANCED_FACE('',(#34188),#17730,.T.); #342654=ADVANCED_FACE('',(#34189),#17731,.T.); #342655=ADVANCED_FACE('',(#34190),#17732,.T.); #342656=ADVANCED_FACE('',(#34191),#17733,.T.); #342657=ADVANCED_FACE('',(#34192),#17734,.T.); #342658=ADVANCED_FACE('',(#34193),#17735,.T.); #342659=ADVANCED_FACE('',(#34194),#17736,.T.); #342660=ADVANCED_FACE('',(#34195),#17737,.T.); #342661=ADVANCED_FACE('',(#34196),#17738,.T.); #342662=ADVANCED_FACE('',(#34197),#17739,.T.); #342663=ADVANCED_FACE('',(#34198),#17740,.T.); #342664=ADVANCED_FACE('',(#34199),#17741,.T.); #342665=ADVANCED_FACE('',(#34200),#17742,.T.); #342666=ADVANCED_FACE('',(#34201),#17743,.T.); #342667=ADVANCED_FACE('',(#34202),#17744,.T.); #342668=ADVANCED_FACE('',(#34203),#17745,.T.); #342669=ADVANCED_FACE('',(#34204),#17746,.T.); #342670=ADVANCED_FACE('',(#34205),#17747,.T.); #342671=ADVANCED_FACE('',(#34206),#17748,.T.); #342672=ADVANCED_FACE('',(#34207),#17749,.T.); #342673=ADVANCED_FACE('',(#34208),#17750,.T.); #342674=ADVANCED_FACE('',(#34209),#17751,.T.); #342675=ADVANCED_FACE('',(#34210),#17752,.T.); #342676=ADVANCED_FACE('',(#34211),#17753,.T.); #342677=ADVANCED_FACE('',(#34212),#17754,.T.); #342678=ADVANCED_FACE('',(#34213),#17755,.T.); #342679=ADVANCED_FACE('',(#34214),#17756,.T.); #342680=ADVANCED_FACE('',(#34215),#17757,.F.); #342681=ADVANCED_FACE('',(#34216),#17758,.T.); #342682=ADVANCED_FACE('',(#34217),#17759,.T.); #342683=ADVANCED_FACE('',(#34218),#17760,.T.); #342684=ADVANCED_FACE('',(#34219),#17761,.T.); #342685=ADVANCED_FACE('',(#34220),#17762,.T.); #342686=ADVANCED_FACE('',(#34221),#17763,.T.); #342687=ADVANCED_FACE('',(#34222),#17764,.T.); #342688=ADVANCED_FACE('',(#34223),#17765,.T.); #342689=ADVANCED_FACE('',(#34224),#17766,.T.); #342690=ADVANCED_FACE('',(#34225),#17767,.T.); #342691=ADVANCED_FACE('',(#34226),#17768,.T.); #342692=ADVANCED_FACE('',(#34227),#17769,.T.); #342693=ADVANCED_FACE('',(#34228),#17770,.T.); #342694=ADVANCED_FACE('',(#34229),#17771,.T.); #342695=ADVANCED_FACE('',(#34230),#17772,.T.); #342696=ADVANCED_FACE('',(#34231),#17773,.T.); #342697=ADVANCED_FACE('',(#34232),#17774,.T.); #342698=ADVANCED_FACE('',(#34233),#17775,.T.); #342699=ADVANCED_FACE('',(#34234),#17776,.T.); #342700=ADVANCED_FACE('',(#34235),#17777,.T.); #342701=ADVANCED_FACE('',(#34236),#17778,.T.); #342702=ADVANCED_FACE('',(#34237),#17779,.T.); #342703=ADVANCED_FACE('',(#34238),#17780,.F.); #342704=ADVANCED_FACE('',(#34239),#17781,.T.); #342705=ADVANCED_FACE('',(#34240),#17782,.T.); #342706=ADVANCED_FACE('',(#34241),#17783,.T.); #342707=ADVANCED_FACE('',(#34242),#17784,.T.); #342708=ADVANCED_FACE('',(#34243),#17785,.T.); #342709=ADVANCED_FACE('',(#34244),#17786,.T.); #342710=ADVANCED_FACE('',(#34245),#17787,.T.); #342711=ADVANCED_FACE('',(#34246),#17788,.T.); #342712=ADVANCED_FACE('',(#34247),#17789,.T.); #342713=ADVANCED_FACE('',(#34248),#17790,.T.); #342714=ADVANCED_FACE('',(#34249),#17791,.T.); #342715=ADVANCED_FACE('',(#34250),#17792,.T.); #342716=ADVANCED_FACE('',(#34251),#17793,.T.); #342717=ADVANCED_FACE('',(#34252),#17794,.T.); #342718=ADVANCED_FACE('',(#34253),#17795,.T.); #342719=ADVANCED_FACE('',(#34254),#17796,.T.); #342720=ADVANCED_FACE('',(#34255),#17797,.T.); #342721=ADVANCED_FACE('',(#34256),#17798,.T.); #342722=ADVANCED_FACE('',(#34257),#17799,.T.); #342723=ADVANCED_FACE('',(#34258),#17800,.T.); #342724=ADVANCED_FACE('',(#34259),#17801,.T.); #342725=ADVANCED_FACE('',(#34260),#17802,.F.); #342726=ADVANCED_FACE('',(#34261),#17803,.T.); #342727=ADVANCED_FACE('',(#34262),#17804,.T.); #342728=ADVANCED_FACE('',(#34263),#17805,.T.); #342729=ADVANCED_FACE('',(#34264),#17806,.T.); #342730=ADVANCED_FACE('',(#34265),#17807,.T.); #342731=ADVANCED_FACE('',(#34266),#17808,.T.); #342732=ADVANCED_FACE('',(#34267),#17809,.T.); #342733=ADVANCED_FACE('',(#34268),#17810,.T.); #342734=ADVANCED_FACE('',(#34269),#17811,.T.); #342735=ADVANCED_FACE('',(#34270),#17812,.T.); #342736=ADVANCED_FACE('',(#34271),#17813,.T.); #342737=ADVANCED_FACE('',(#34272),#17814,.T.); #342738=ADVANCED_FACE('',(#34273),#17815,.T.); #342739=ADVANCED_FACE('',(#34274),#17816,.T.); #342740=ADVANCED_FACE('',(#34275),#17817,.T.); #342741=ADVANCED_FACE('',(#34276),#17818,.T.); #342742=ADVANCED_FACE('',(#34277),#17819,.T.); #342743=ADVANCED_FACE('',(#34278),#17820,.T.); #342744=ADVANCED_FACE('',(#34279),#17821,.T.); #342745=ADVANCED_FACE('',(#34280),#17822,.T.); #342746=ADVANCED_FACE('',(#34281),#17823,.T.); #342747=ADVANCED_FACE('',(#34282),#17824,.T.); #342748=ADVANCED_FACE('',(#34283),#17825,.T.); #342749=ADVANCED_FACE('',(#34284),#17826,.T.); #342750=ADVANCED_FACE('',(#34285),#17827,.T.); #342751=ADVANCED_FACE('',(#34286),#17828,.T.); #342752=ADVANCED_FACE('',(#34287),#17829,.T.); #342753=ADVANCED_FACE('',(#34288),#17830,.T.); #342754=ADVANCED_FACE('',(#34289),#17831,.T.); #342755=ADVANCED_FACE('',(#34290),#17832,.T.); #342756=ADVANCED_FACE('',(#34291),#17833,.T.); #342757=ADVANCED_FACE('',(#34292),#17834,.T.); #342758=ADVANCED_FACE('',(#34293),#17835,.T.); #342759=ADVANCED_FACE('',(#34294),#17836,.T.); #342760=ADVANCED_FACE('',(#34295),#17837,.T.); #342761=ADVANCED_FACE('',(#34296),#17838,.T.); #342762=ADVANCED_FACE('',(#34297),#17839,.T.); #342763=ADVANCED_FACE('',(#34298),#17840,.T.); #342764=ADVANCED_FACE('',(#34299),#17841,.T.); #342765=ADVANCED_FACE('',(#34300),#17842,.T.); #342766=ADVANCED_FACE('',(#34301),#17843,.T.); #342767=ADVANCED_FACE('',(#34302),#17844,.T.); #342768=ADVANCED_FACE('',(#34303),#17845,.T.); #342769=ADVANCED_FACE('',(#34304),#17846,.T.); #342770=ADVANCED_FACE('',(#34305),#17847,.T.); #342771=ADVANCED_FACE('',(#34306),#17848,.T.); #342772=ADVANCED_FACE('',(#34307),#17849,.T.); #342773=ADVANCED_FACE('',(#34308),#17850,.T.); #342774=ADVANCED_FACE('',(#34309),#17851,.F.); #342775=ADVANCED_FACE('',(#34310),#17852,.T.); #342776=ADVANCED_FACE('',(#34311),#17853,.T.); #342777=ADVANCED_FACE('',(#34312),#17854,.T.); #342778=ADVANCED_FACE('',(#34313),#17855,.T.); #342779=ADVANCED_FACE('',(#34314),#17856,.T.); #342780=ADVANCED_FACE('',(#34315),#17857,.T.); #342781=ADVANCED_FACE('',(#34316),#17858,.T.); #342782=ADVANCED_FACE('',(#34317),#17859,.T.); #342783=ADVANCED_FACE('',(#34318),#17860,.T.); #342784=ADVANCED_FACE('',(#34319),#17861,.T.); #342785=ADVANCED_FACE('',(#34320),#17862,.T.); #342786=ADVANCED_FACE('',(#34321),#17863,.T.); #342787=ADVANCED_FACE('',(#34322),#17864,.T.); #342788=ADVANCED_FACE('',(#34323),#17865,.T.); #342789=ADVANCED_FACE('',(#34324),#17866,.T.); #342790=ADVANCED_FACE('',(#34325),#17867,.T.); #342791=ADVANCED_FACE('',(#34326),#17868,.T.); #342792=ADVANCED_FACE('',(#34327),#17869,.T.); #342793=ADVANCED_FACE('',(#34328),#17870,.T.); #342794=ADVANCED_FACE('',(#34329),#17871,.T.); #342795=ADVANCED_FACE('',(#34330),#17872,.T.); #342796=ADVANCED_FACE('',(#34331),#17873,.T.); #342797=ADVANCED_FACE('',(#34332),#17874,.T.); #342798=ADVANCED_FACE('',(#34333),#17875,.T.); #342799=ADVANCED_FACE('',(#34334),#17876,.T.); #342800=ADVANCED_FACE('',(#34335),#17877,.T.); #342801=ADVANCED_FACE('',(#34336),#17878,.T.); #342802=ADVANCED_FACE('',(#34337),#17879,.T.); #342803=ADVANCED_FACE('',(#34338),#17880,.T.); #342804=ADVANCED_FACE('',(#34339),#17881,.T.); #342805=ADVANCED_FACE('',(#34340),#17882,.T.); #342806=ADVANCED_FACE('',(#34341),#17883,.T.); #342807=ADVANCED_FACE('',(#34342),#17884,.T.); #342808=ADVANCED_FACE('',(#34343),#17885,.T.); #342809=ADVANCED_FACE('',(#34344),#17886,.T.); #342810=ADVANCED_FACE('',(#34345),#17887,.F.); #342811=ADVANCED_FACE('',(#34346),#17888,.T.); #342812=ADVANCED_FACE('',(#34347),#17889,.T.); #342813=ADVANCED_FACE('',(#34348),#17890,.T.); #342814=ADVANCED_FACE('',(#34349),#17891,.T.); #342815=ADVANCED_FACE('',(#34350),#17892,.T.); #342816=ADVANCED_FACE('',(#34351),#17893,.T.); #342817=ADVANCED_FACE('',(#34352),#17894,.T.); #342818=ADVANCED_FACE('',(#34353),#17895,.T.); #342819=ADVANCED_FACE('',(#34354),#17896,.T.); #342820=ADVANCED_FACE('',(#34355),#17897,.T.); #342821=ADVANCED_FACE('',(#34356),#17898,.T.); #342822=ADVANCED_FACE('',(#34357),#17899,.T.); #342823=ADVANCED_FACE('',(#34358),#17900,.T.); #342824=ADVANCED_FACE('',(#34359),#17901,.T.); #342825=ADVANCED_FACE('',(#34360),#17902,.T.); #342826=ADVANCED_FACE('',(#34361),#17903,.T.); #342827=ADVANCED_FACE('',(#34362),#17904,.T.); #342828=ADVANCED_FACE('',(#34363),#17905,.T.); #342829=ADVANCED_FACE('',(#34364),#17906,.T.); #342830=ADVANCED_FACE('',(#34365),#17907,.T.); #342831=ADVANCED_FACE('',(#34366),#17908,.T.); #342832=ADVANCED_FACE('',(#34367),#17909,.T.); #342833=ADVANCED_FACE('',(#34368),#17910,.T.); #342834=ADVANCED_FACE('',(#34369),#17911,.F.); #342835=ADVANCED_FACE('',(#34370),#17912,.T.); #342836=ADVANCED_FACE('',(#34371),#17913,.T.); #342837=ADVANCED_FACE('',(#34372),#17914,.T.); #342838=ADVANCED_FACE('',(#34373),#17915,.T.); #342839=ADVANCED_FACE('',(#34374),#17916,.T.); #342840=ADVANCED_FACE('',(#34375),#17917,.T.); #342841=ADVANCED_FACE('',(#34376),#17918,.T.); #342842=ADVANCED_FACE('',(#34377),#17919,.T.); #342843=ADVANCED_FACE('',(#34378),#17920,.T.); #342844=ADVANCED_FACE('',(#34379),#17921,.T.); #342845=ADVANCED_FACE('',(#34380),#17922,.T.); #342846=ADVANCED_FACE('',(#34381),#17923,.T.); #342847=ADVANCED_FACE('',(#34382),#17924,.T.); #342848=ADVANCED_FACE('',(#34383),#17925,.T.); #342849=ADVANCED_FACE('',(#34384),#17926,.T.); #342850=ADVANCED_FACE('',(#34385),#17927,.T.); #342851=ADVANCED_FACE('',(#34386),#17928,.T.); #342852=ADVANCED_FACE('',(#34387),#17929,.T.); #342853=ADVANCED_FACE('',(#34388),#17930,.T.); #342854=ADVANCED_FACE('',(#34389),#17931,.T.); #342855=ADVANCED_FACE('',(#34390),#17932,.T.); #342856=ADVANCED_FACE('',(#34391),#17933,.F.); #342857=ADVANCED_FACE('',(#34392),#17934,.T.); #342858=ADVANCED_FACE('',(#34393),#17935,.T.); #342859=ADVANCED_FACE('',(#34394),#17936,.T.); #342860=ADVANCED_FACE('',(#34395),#17937,.T.); #342861=ADVANCED_FACE('',(#34396),#17938,.T.); #342862=ADVANCED_FACE('',(#34397),#17939,.T.); #342863=ADVANCED_FACE('',(#34398),#17940,.T.); #342864=ADVANCED_FACE('',(#34399),#17941,.T.); #342865=ADVANCED_FACE('',(#34400),#17942,.T.); #342866=ADVANCED_FACE('',(#34401),#17943,.T.); #342867=ADVANCED_FACE('',(#34402),#17944,.T.); #342868=ADVANCED_FACE('',(#34403),#17945,.T.); #342869=ADVANCED_FACE('',(#34404),#17946,.T.); #342870=ADVANCED_FACE('',(#34405),#17947,.T.); #342871=ADVANCED_FACE('',(#34406),#17948,.T.); #342872=ADVANCED_FACE('',(#34407),#17949,.T.); #342873=ADVANCED_FACE('',(#34408),#17950,.T.); #342874=ADVANCED_FACE('',(#34409),#17951,.T.); #342875=ADVANCED_FACE('',(#34410),#17952,.T.); #342876=ADVANCED_FACE('',(#34411),#17953,.T.); #342877=ADVANCED_FACE('',(#34412),#17954,.T.); #342878=ADVANCED_FACE('',(#34413),#17955,.T.); #342879=ADVANCED_FACE('',(#34414),#17956,.T.); #342880=ADVANCED_FACE('',(#34415),#17957,.T.); #342881=ADVANCED_FACE('',(#34416),#17958,.T.); #342882=ADVANCED_FACE('',(#34417),#17959,.T.); #342883=ADVANCED_FACE('',(#34418),#17960,.T.); #342884=ADVANCED_FACE('',(#34419),#17961,.T.); #342885=ADVANCED_FACE('',(#34420),#17962,.T.); #342886=ADVANCED_FACE('',(#34421),#17963,.T.); #342887=ADVANCED_FACE('',(#34422),#17964,.T.); #342888=ADVANCED_FACE('',(#34423),#17965,.T.); #342889=ADVANCED_FACE('',(#34424),#17966,.T.); #342890=ADVANCED_FACE('',(#34425),#17967,.T.); #342891=ADVANCED_FACE('',(#34426),#17968,.T.); #342892=ADVANCED_FACE('',(#34427),#17969,.T.); #342893=ADVANCED_FACE('',(#34428),#17970,.T.); #342894=ADVANCED_FACE('',(#34429),#17971,.T.); #342895=ADVANCED_FACE('',(#34430),#17972,.T.); #342896=ADVANCED_FACE('',(#34431),#17973,.T.); #342897=ADVANCED_FACE('',(#34432),#17974,.T.); #342898=ADVANCED_FACE('',(#34433),#17975,.T.); #342899=ADVANCED_FACE('',(#34434),#17976,.T.); #342900=ADVANCED_FACE('',(#34435),#17977,.T.); #342901=ADVANCED_FACE('',(#34436),#17978,.T.); #342902=ADVANCED_FACE('',(#34437),#17979,.T.); #342903=ADVANCED_FACE('',(#34438),#17980,.T.); #342904=ADVANCED_FACE('',(#34439),#17981,.T.); #342905=ADVANCED_FACE('',(#34440),#17982,.T.); #342906=ADVANCED_FACE('',(#34441),#17983,.T.); #342907=ADVANCED_FACE('',(#34442),#17984,.T.); #342908=ADVANCED_FACE('',(#34443),#17985,.T.); #342909=ADVANCED_FACE('',(#34444),#17986,.T.); #342910=ADVANCED_FACE('',(#34445),#17987,.T.); #342911=ADVANCED_FACE('',(#34446),#17988,.T.); #342912=ADVANCED_FACE('',(#34447),#17989,.T.); #342913=ADVANCED_FACE('',(#34448),#17990,.T.); #342914=ADVANCED_FACE('',(#34449),#17991,.T.); #342915=ADVANCED_FACE('',(#34450),#17992,.T.); #342916=ADVANCED_FACE('',(#34451),#17993,.T.); #342917=ADVANCED_FACE('',(#34452),#17994,.T.); #342918=ADVANCED_FACE('',(#34453),#17995,.T.); #342919=ADVANCED_FACE('',(#34454),#17996,.T.); #342920=ADVANCED_FACE('',(#34455),#17997,.T.); #342921=ADVANCED_FACE('',(#34456),#17998,.T.); #342922=ADVANCED_FACE('',(#34457),#17999,.T.); #342923=ADVANCED_FACE('',(#34458),#18000,.T.); #342924=ADVANCED_FACE('',(#34459),#18001,.T.); #342925=ADVANCED_FACE('',(#34460),#18002,.T.); #342926=ADVANCED_FACE('',(#34461),#18003,.T.); #342927=ADVANCED_FACE('',(#34462),#18004,.T.); #342928=ADVANCED_FACE('',(#34463),#18005,.T.); #342929=ADVANCED_FACE('',(#34464),#18006,.T.); #342930=ADVANCED_FACE('',(#34465),#18007,.F.); #342931=ADVANCED_FACE('',(#34466),#18008,.T.); #342932=ADVANCED_FACE('',(#34467),#18009,.T.); #342933=ADVANCED_FACE('',(#34468),#18010,.T.); #342934=ADVANCED_FACE('',(#34469),#18011,.T.); #342935=ADVANCED_FACE('',(#34470),#18012,.T.); #342936=ADVANCED_FACE('',(#34471),#18013,.T.); #342937=ADVANCED_FACE('',(#34472),#18014,.T.); #342938=ADVANCED_FACE('',(#34473),#18015,.T.); #342939=ADVANCED_FACE('',(#34474),#18016,.T.); #342940=ADVANCED_FACE('',(#34475),#18017,.T.); #342941=ADVANCED_FACE('',(#34476),#18018,.T.); #342942=ADVANCED_FACE('',(#34477),#18019,.T.); #342943=ADVANCED_FACE('',(#34478),#18020,.T.); #342944=ADVANCED_FACE('',(#34479),#18021,.T.); #342945=ADVANCED_FACE('',(#34480),#18022,.T.); #342946=ADVANCED_FACE('',(#34481),#18023,.T.); #342947=ADVANCED_FACE('',(#34482),#18024,.T.); #342948=ADVANCED_FACE('',(#34483),#18025,.T.); #342949=ADVANCED_FACE('',(#34484),#18026,.T.); #342950=ADVANCED_FACE('',(#34485),#18027,.T.); #342951=ADVANCED_FACE('',(#34486),#18028,.T.); #342952=ADVANCED_FACE('',(#34487),#18029,.T.); #342953=ADVANCED_FACE('',(#34488),#18030,.T.); #342954=ADVANCED_FACE('',(#34489),#18031,.T.); #342955=ADVANCED_FACE('',(#34490),#18032,.T.); #342956=ADVANCED_FACE('',(#34491),#18033,.T.); #342957=ADVANCED_FACE('',(#34492),#18034,.T.); #342958=ADVANCED_FACE('',(#34493),#18035,.T.); #342959=ADVANCED_FACE('',(#34494),#18036,.T.); #342960=ADVANCED_FACE('',(#34495),#18037,.F.); #342961=ADVANCED_FACE('',(#34496),#328661,.F.); #342962=ADVANCED_FACE('',(#34497),#328662,.F.); #342963=ADVANCED_FACE('',(#34498),#328663,.F.); #342964=ADVANCED_FACE('',(#34499),#328664,.F.); #342965=ADVANCED_FACE('',(#34500),#328665,.F.); #342966=ADVANCED_FACE('',(#34501),#328666,.F.); #342967=ADVANCED_FACE('',(#34502),#328667,.F.); #342968=ADVANCED_FACE('',(#34503),#328668,.F.); #342969=ADVANCED_FACE('',(#34504),#328669,.F.); #342970=ADVANCED_FACE('',(#34505),#328670,.F.); #342971=ADVANCED_FACE('',(#34506),#328671,.F.); #342972=ADVANCED_FACE('',(#34507),#328672,.F.); #342973=ADVANCED_FACE('',(#34508),#328673,.F.); #342974=ADVANCED_FACE('',(#34509),#328674,.F.); #342975=ADVANCED_FACE('',(#34510),#328675,.F.); #342976=ADVANCED_FACE('',(#34511),#328676,.F.); #342977=ADVANCED_FACE('',(#34512),#18038,.T.); #342978=ADVANCED_FACE('',(#34513),#18039,.T.); #342979=ADVANCED_FACE('',(#34514),#18040,.T.); #342980=ADVANCED_FACE('',(#34515),#18041,.T.); #342981=ADVANCED_FACE('',(#34516),#18042,.T.); #342982=ADVANCED_FACE('',(#34517),#18043,.T.); #342983=ADVANCED_FACE('',(#34518),#18044,.T.); #342984=ADVANCED_FACE('',(#34519),#18045,.T.); #342985=ADVANCED_FACE('',(#34520),#18046,.T.); #342986=ADVANCED_FACE('',(#34521),#18047,.T.); #342987=ADVANCED_FACE('',(#34522),#18048,.T.); #342988=ADVANCED_FACE('',(#34523),#18049,.T.); #342989=ADVANCED_FACE('',(#34524),#18050,.T.); #342990=ADVANCED_FACE('',(#34525),#18051,.T.); #342991=ADVANCED_FACE('',(#34526),#18052,.T.); #342992=ADVANCED_FACE('',(#34527),#18053,.T.); #342993=ADVANCED_FACE('',(#34528),#18054,.T.); #342994=ADVANCED_FACE('',(#34529),#18055,.T.); #342995=ADVANCED_FACE('',(#34530),#18056,.T.); #342996=ADVANCED_FACE('',(#34531),#18057,.T.); #342997=ADVANCED_FACE('',(#34532),#18058,.T.); #342998=ADVANCED_FACE('',(#34533),#18059,.T.); #342999=ADVANCED_FACE('',(#34534),#18060,.T.); #343000=ADVANCED_FACE('',(#34535),#18061,.T.); #343001=ADVANCED_FACE('',(#34536),#18062,.T.); #343002=ADVANCED_FACE('',(#34537),#18063,.T.); #343003=ADVANCED_FACE('',(#34538),#18064,.T.); #343004=ADVANCED_FACE('',(#34539),#18065,.T.); #343005=ADVANCED_FACE('',(#34540),#18066,.T.); #343006=ADVANCED_FACE('',(#34541),#18067,.T.); #343007=ADVANCED_FACE('',(#34542),#18068,.T.); #343008=ADVANCED_FACE('',(#34543),#18069,.T.); #343009=ADVANCED_FACE('',(#34544),#18070,.T.); #343010=ADVANCED_FACE('',(#34545),#18071,.T.); #343011=ADVANCED_FACE('',(#34546),#18072,.T.); #343012=ADVANCED_FACE('',(#34547),#18073,.T.); #343013=ADVANCED_FACE('',(#34548),#18074,.T.); #343014=ADVANCED_FACE('',(#34549),#18075,.T.); #343015=ADVANCED_FACE('',(#34550),#18076,.T.); #343016=ADVANCED_FACE('',(#34551),#18077,.T.); #343017=ADVANCED_FACE('',(#34552),#18078,.T.); #343018=ADVANCED_FACE('',(#34553),#18079,.T.); #343019=ADVANCED_FACE('',(#34554),#18080,.T.); #343020=ADVANCED_FACE('',(#34555),#18081,.T.); #343021=ADVANCED_FACE('',(#34556),#18082,.T.); #343022=ADVANCED_FACE('',(#34557),#18083,.T.); #343023=ADVANCED_FACE('',(#34558),#18084,.T.); #343024=ADVANCED_FACE('',(#34559),#18085,.T.); #343025=ADVANCED_FACE('',(#34560),#18086,.T.); #343026=ADVANCED_FACE('',(#34561),#18087,.T.); #343027=ADVANCED_FACE('',(#34562),#18088,.T.); #343028=ADVANCED_FACE('',(#34563),#18089,.T.); #343029=ADVANCED_FACE('',(#34564),#18090,.T.); #343030=ADVANCED_FACE('',(#34565),#18091,.T.); #343031=ADVANCED_FACE('',(#34566),#18092,.T.); #343032=ADVANCED_FACE('',(#34567),#18093,.T.); #343033=ADVANCED_FACE('',(#34568),#18094,.T.); #343034=ADVANCED_FACE('',(#34569),#18095,.T.); #343035=ADVANCED_FACE('',(#34570),#18096,.T.); #343036=ADVANCED_FACE('',(#34571),#18097,.T.); #343037=ADVANCED_FACE('',(#34572),#18098,.T.); #343038=ADVANCED_FACE('',(#34573),#18099,.T.); #343039=ADVANCED_FACE('',(#34574),#18100,.T.); #343040=ADVANCED_FACE('',(#34575),#18101,.T.); #343041=ADVANCED_FACE('',(#34576),#18102,.T.); #343042=ADVANCED_FACE('',(#34577),#18103,.T.); #343043=ADVANCED_FACE('',(#34578),#18104,.T.); #343044=ADVANCED_FACE('',(#34579),#18105,.T.); #343045=ADVANCED_FACE('',(#34580),#18106,.T.); #343046=ADVANCED_FACE('',(#34581),#18107,.T.); #343047=ADVANCED_FACE('',(#34582),#18108,.T.); #343048=ADVANCED_FACE('',(#34583),#18109,.T.); #343049=ADVANCED_FACE('',(#34584),#18110,.T.); #343050=ADVANCED_FACE('',(#34585),#18111,.T.); #343051=ADVANCED_FACE('',(#34586,#6168,#6169,#6170,#6171,#6172,#6173,#6174, #6175,#6176,#6177,#6178,#6179,#6180,#6181,#6182,#6183),#18112,.T.); #343052=ADVANCED_FACE('',(#34587,#6184,#6185,#6186,#6187,#6188,#6189,#6190, #6191,#6192,#6193,#6194,#6195,#6196,#6197,#6198,#6199),#18113,.F.); #343053=ADVANCED_FACE('',(#34588),#328677,.F.); #343054=ADVANCED_FACE('',(#34589),#328678,.F.); #343055=ADVANCED_FACE('',(#34590),#328679,.F.); #343056=ADVANCED_FACE('',(#34591),#328680,.F.); #343057=ADVANCED_FACE('',(#34592),#328681,.F.); #343058=ADVANCED_FACE('',(#34593),#328682,.F.); #343059=ADVANCED_FACE('',(#34594),#328683,.F.); #343060=ADVANCED_FACE('',(#34595),#328684,.F.); #343061=ADVANCED_FACE('',(#34596),#328685,.F.); #343062=ADVANCED_FACE('',(#34597),#328686,.F.); #343063=ADVANCED_FACE('',(#34598),#328687,.F.); #343064=ADVANCED_FACE('',(#34599),#328688,.F.); #343065=ADVANCED_FACE('',(#34600),#328689,.F.); #343066=ADVANCED_FACE('',(#34601),#328690,.F.); #343067=ADVANCED_FACE('',(#34602),#328691,.F.); #343068=ADVANCED_FACE('',(#34603),#328692,.F.); #343069=ADVANCED_FACE('',(#34604),#18114,.T.); #343070=ADVANCED_FACE('',(#34605),#18115,.T.); #343071=ADVANCED_FACE('',(#34606),#18116,.T.); #343072=ADVANCED_FACE('',(#34607),#18117,.T.); #343073=ADVANCED_FACE('',(#34608),#18118,.T.); #343074=ADVANCED_FACE('',(#34609),#18119,.T.); #343075=ADVANCED_FACE('',(#34610),#18120,.T.); #343076=ADVANCED_FACE('',(#34611),#18121,.T.); #343077=ADVANCED_FACE('',(#34612),#18122,.T.); #343078=ADVANCED_FACE('',(#34613),#18123,.T.); #343079=ADVANCED_FACE('',(#34614),#18124,.T.); #343080=ADVANCED_FACE('',(#34615),#18125,.T.); #343081=ADVANCED_FACE('',(#34616),#18126,.T.); #343082=ADVANCED_FACE('',(#34617),#18127,.T.); #343083=ADVANCED_FACE('',(#34618),#18128,.T.); #343084=ADVANCED_FACE('',(#34619),#18129,.T.); #343085=ADVANCED_FACE('',(#34620),#18130,.T.); #343086=ADVANCED_FACE('',(#34621),#18131,.T.); #343087=ADVANCED_FACE('',(#34622),#18132,.T.); #343088=ADVANCED_FACE('',(#34623),#18133,.T.); #343089=ADVANCED_FACE('',(#34624),#18134,.T.); #343090=ADVANCED_FACE('',(#34625),#18135,.T.); #343091=ADVANCED_FACE('',(#34626),#18136,.T.); #343092=ADVANCED_FACE('',(#34627),#18137,.T.); #343093=ADVANCED_FACE('',(#34628),#18138,.T.); #343094=ADVANCED_FACE('',(#34629),#18139,.T.); #343095=ADVANCED_FACE('',(#34630),#18140,.T.); #343096=ADVANCED_FACE('',(#34631),#18141,.T.); #343097=ADVANCED_FACE('',(#34632),#18142,.T.); #343098=ADVANCED_FACE('',(#34633),#18143,.T.); #343099=ADVANCED_FACE('',(#34634),#18144,.T.); #343100=ADVANCED_FACE('',(#34635),#18145,.T.); #343101=ADVANCED_FACE('',(#34636),#18146,.T.); #343102=ADVANCED_FACE('',(#34637),#18147,.T.); #343103=ADVANCED_FACE('',(#34638),#18148,.T.); #343104=ADVANCED_FACE('',(#34639),#18149,.T.); #343105=ADVANCED_FACE('',(#34640),#18150,.T.); #343106=ADVANCED_FACE('',(#34641),#18151,.T.); #343107=ADVANCED_FACE('',(#34642),#18152,.T.); #343108=ADVANCED_FACE('',(#34643),#18153,.T.); #343109=ADVANCED_FACE('',(#34644),#18154,.T.); #343110=ADVANCED_FACE('',(#34645),#18155,.T.); #343111=ADVANCED_FACE('',(#34646),#18156,.T.); #343112=ADVANCED_FACE('',(#34647),#18157,.T.); #343113=ADVANCED_FACE('',(#34648),#18158,.T.); #343114=ADVANCED_FACE('',(#34649),#18159,.T.); #343115=ADVANCED_FACE('',(#34650),#18160,.T.); #343116=ADVANCED_FACE('',(#34651),#18161,.T.); #343117=ADVANCED_FACE('',(#34652),#18162,.T.); #343118=ADVANCED_FACE('',(#34653),#18163,.T.); #343119=ADVANCED_FACE('',(#34654),#18164,.T.); #343120=ADVANCED_FACE('',(#34655),#18165,.T.); #343121=ADVANCED_FACE('',(#34656),#18166,.T.); #343122=ADVANCED_FACE('',(#34657),#18167,.T.); #343123=ADVANCED_FACE('',(#34658),#18168,.T.); #343124=ADVANCED_FACE('',(#34659),#18169,.T.); #343125=ADVANCED_FACE('',(#34660),#18170,.T.); #343126=ADVANCED_FACE('',(#34661),#18171,.T.); #343127=ADVANCED_FACE('',(#34662),#18172,.T.); #343128=ADVANCED_FACE('',(#34663),#18173,.T.); #343129=ADVANCED_FACE('',(#34664),#18174,.T.); #343130=ADVANCED_FACE('',(#34665),#18175,.T.); #343131=ADVANCED_FACE('',(#34666),#18176,.T.); #343132=ADVANCED_FACE('',(#34667),#18177,.T.); #343133=ADVANCED_FACE('',(#34668),#18178,.T.); #343134=ADVANCED_FACE('',(#34669),#18179,.T.); #343135=ADVANCED_FACE('',(#34670),#18180,.T.); #343136=ADVANCED_FACE('',(#34671),#18181,.T.); #343137=ADVANCED_FACE('',(#34672),#18182,.T.); #343138=ADVANCED_FACE('',(#34673),#18183,.T.); #343139=ADVANCED_FACE('',(#34674,#6200,#6201,#6202,#6203,#6204,#6205,#6206, #6207,#6208,#6209,#6210,#6211,#6212,#6213,#6214,#6215),#18184,.T.); #343140=ADVANCED_FACE('',(#34675,#6216,#6217,#6218,#6219,#6220,#6221,#6222, #6223,#6224,#6225,#6226,#6227,#6228,#6229,#6230,#6231),#18185,.F.); #343141=ADVANCED_FACE('',(#34676),#328693,.F.); #343142=ADVANCED_FACE('',(#34677),#328694,.F.); #343143=ADVANCED_FACE('',(#34678),#328695,.F.); #343144=ADVANCED_FACE('',(#34679),#328696,.F.); #343145=ADVANCED_FACE('',(#34680),#328697,.F.); #343146=ADVANCED_FACE('',(#34681),#328698,.F.); #343147=ADVANCED_FACE('',(#34682),#328699,.F.); #343148=ADVANCED_FACE('',(#34683),#328700,.F.); #343149=ADVANCED_FACE('',(#34684),#328701,.F.); #343150=ADVANCED_FACE('',(#34685),#328702,.F.); #343151=ADVANCED_FACE('',(#34686),#328703,.F.); #343152=ADVANCED_FACE('',(#34687),#328704,.F.); #343153=ADVANCED_FACE('',(#34688),#328705,.F.); #343154=ADVANCED_FACE('',(#34689),#328706,.F.); #343155=ADVANCED_FACE('',(#34690),#328707,.F.); #343156=ADVANCED_FACE('',(#34691),#328708,.F.); #343157=ADVANCED_FACE('',(#34692),#328709,.F.); #343158=ADVANCED_FACE('',(#34693),#328710,.F.); #343159=ADVANCED_FACE('',(#34694),#328711,.F.); #343160=ADVANCED_FACE('',(#34695),#328712,.F.); #343161=ADVANCED_FACE('',(#34696),#328713,.F.); #343162=ADVANCED_FACE('',(#34697),#328714,.F.); #343163=ADVANCED_FACE('',(#34698),#328715,.F.); #343164=ADVANCED_FACE('',(#34699),#328716,.F.); #343165=ADVANCED_FACE('',(#34700),#328717,.F.); #343166=ADVANCED_FACE('',(#34701),#328718,.F.); #343167=ADVANCED_FACE('',(#34702),#328719,.F.); #343168=ADVANCED_FACE('',(#34703),#328720,.F.); #343169=ADVANCED_FACE('',(#34704),#328721,.F.); #343170=ADVANCED_FACE('',(#34705),#328722,.F.); #343171=ADVANCED_FACE('',(#34706),#18186,.T.); #343172=ADVANCED_FACE('',(#34707),#18187,.T.); #343173=ADVANCED_FACE('',(#34708),#18188,.T.); #343174=ADVANCED_FACE('',(#34709),#18189,.T.); #343175=ADVANCED_FACE('',(#34710),#18190,.T.); #343176=ADVANCED_FACE('',(#34711),#18191,.T.); #343177=ADVANCED_FACE('',(#34712),#18192,.T.); #343178=ADVANCED_FACE('',(#34713),#18193,.T.); #343179=ADVANCED_FACE('',(#34714),#18194,.T.); #343180=ADVANCED_FACE('',(#34715),#18195,.T.); #343181=ADVANCED_FACE('',(#34716),#18196,.T.); #343182=ADVANCED_FACE('',(#34717),#18197,.T.); #343183=ADVANCED_FACE('',(#34718),#18198,.T.); #343184=ADVANCED_FACE('',(#34719),#18199,.T.); #343185=ADVANCED_FACE('',(#34720),#18200,.T.); #343186=ADVANCED_FACE('',(#34721),#18201,.T.); #343187=ADVANCED_FACE('',(#34722),#18202,.T.); #343188=ADVANCED_FACE('',(#34723),#18203,.T.); #343189=ADVANCED_FACE('',(#34724),#18204,.T.); #343190=ADVANCED_FACE('',(#34725),#18205,.T.); #343191=ADVANCED_FACE('',(#34726),#18206,.T.); #343192=ADVANCED_FACE('',(#34727),#18207,.T.); #343193=ADVANCED_FACE('',(#34728),#18208,.T.); #343194=ADVANCED_FACE('',(#34729),#18209,.T.); #343195=ADVANCED_FACE('',(#34730),#18210,.T.); #343196=ADVANCED_FACE('',(#34731),#18211,.T.); #343197=ADVANCED_FACE('',(#34732),#18212,.T.); #343198=ADVANCED_FACE('',(#34733),#18213,.T.); #343199=ADVANCED_FACE('',(#34734),#18214,.T.); #343200=ADVANCED_FACE('',(#34735),#18215,.T.); #343201=ADVANCED_FACE('',(#34736),#18216,.T.); #343202=ADVANCED_FACE('',(#34737),#18217,.T.); #343203=ADVANCED_FACE('',(#34738),#18218,.T.); #343204=ADVANCED_FACE('',(#34739),#18219,.T.); #343205=ADVANCED_FACE('',(#34740),#18220,.T.); #343206=ADVANCED_FACE('',(#34741),#18221,.T.); #343207=ADVANCED_FACE('',(#34742),#18222,.T.); #343208=ADVANCED_FACE('',(#34743),#18223,.T.); #343209=ADVANCED_FACE('',(#34744),#18224,.T.); #343210=ADVANCED_FACE('',(#34745),#18225,.T.); #343211=ADVANCED_FACE('',(#34746),#18226,.T.); #343212=ADVANCED_FACE('',(#34747),#18227,.T.); #343213=ADVANCED_FACE('',(#34748),#18228,.T.); #343214=ADVANCED_FACE('',(#34749),#18229,.T.); #343215=ADVANCED_FACE('',(#34750),#18230,.T.); #343216=ADVANCED_FACE('',(#34751,#6232,#6233,#6234,#6235,#6236,#6237,#6238, #6239,#6240,#6241,#6242,#6243,#6244,#6245,#6246,#6247,#6248,#6249,#6250, #6251,#6252,#6253,#6254,#6255,#6256,#6257,#6258,#6259,#6260,#6261),#18231, .T.); #343217=ADVANCED_FACE('',(#34752,#6262,#6263,#6264,#6265,#6266,#6267,#6268, #6269,#6270,#6271,#6272,#6273,#6274,#6275,#6276,#6277,#6278,#6279,#6280, #6281,#6282,#6283,#6284,#6285,#6286,#6287,#6288,#6289,#6290,#6291),#18232, .F.); #343218=ADVANCED_FACE('',(#34753),#328723,.F.); #343219=ADVANCED_FACE('',(#34754),#328724,.F.); #343220=ADVANCED_FACE('',(#34755),#18233,.T.); #343221=ADVANCED_FACE('',(#34756),#328725,.T.); #343222=ADVANCED_FACE('',(#34757),#18234,.T.); #343223=ADVANCED_FACE('',(#34758),#328726,.T.); #343224=ADVANCED_FACE('',(#34759),#328727,.T.); #343225=ADVANCED_FACE('',(#34760),#18235,.T.); #343226=ADVANCED_FACE('',(#34761),#328728,.T.); #343227=ADVANCED_FACE('',(#34762,#6292,#6293),#18236,.T.); #343228=ADVANCED_FACE('',(#34763,#6294,#6295),#18237,.F.); #343229=ADVANCED_FACE('',(#34764),#328729,.F.); #343230=ADVANCED_FACE('',(#34765),#328730,.F.); #343231=ADVANCED_FACE('',(#34766),#328731,.F.); #343232=ADVANCED_FACE('',(#34767),#328732,.F.); #343233=ADVANCED_FACE('',(#34768),#328733,.F.); #343234=ADVANCED_FACE('',(#34769),#328734,.F.); #343235=ADVANCED_FACE('',(#34770),#328735,.F.); #343236=ADVANCED_FACE('',(#34771),#328736,.F.); #343237=ADVANCED_FACE('',(#34772),#328737,.F.); #343238=ADVANCED_FACE('',(#34773),#328738,.F.); #343239=ADVANCED_FACE('',(#34774),#18238,.T.); #343240=ADVANCED_FACE('',(#34775),#18239,.T.); #343241=ADVANCED_FACE('',(#34776),#18240,.T.); #343242=ADVANCED_FACE('',(#34777),#18241,.T.); #343243=ADVANCED_FACE('',(#34778),#18242,.T.); #343244=ADVANCED_FACE('',(#34779),#18243,.T.); #343245=ADVANCED_FACE('',(#34780),#18244,.T.); #343246=ADVANCED_FACE('',(#34781),#18245,.T.); #343247=ADVANCED_FACE('',(#34782),#18246,.T.); #343248=ADVANCED_FACE('',(#34783),#18247,.T.); #343249=ADVANCED_FACE('',(#34784),#18248,.T.); #343250=ADVANCED_FACE('',(#34785),#18249,.T.); #343251=ADVANCED_FACE('',(#34786),#18250,.T.); #343252=ADVANCED_FACE('',(#34787),#18251,.T.); #343253=ADVANCED_FACE('',(#34788),#18252,.T.); #343254=ADVANCED_FACE('',(#34789),#18253,.T.); #343255=ADVANCED_FACE('',(#34790),#18254,.T.); #343256=ADVANCED_FACE('',(#34791),#18255,.T.); #343257=ADVANCED_FACE('',(#34792),#18256,.T.); #343258=ADVANCED_FACE('',(#34793),#18257,.T.); #343259=ADVANCED_FACE('',(#34794),#18258,.T.); #343260=ADVANCED_FACE('',(#34795),#18259,.T.); #343261=ADVANCED_FACE('',(#34796),#18260,.T.); #343262=ADVANCED_FACE('',(#34797),#18261,.T.); #343263=ADVANCED_FACE('',(#34798,#6296,#6297,#6298,#6299,#6300,#6301,#6302, #6303,#6304,#6305),#18262,.T.); #343264=ADVANCED_FACE('',(#34799,#6306,#6307,#6308,#6309,#6310,#6311,#6312, #6313,#6314,#6315),#18263,.F.); #343265=ADVANCED_FACE('',(#34800),#328739,.F.); #343266=ADVANCED_FACE('',(#34801),#18264,.T.); #343267=ADVANCED_FACE('',(#34802),#328740,.T.); #343268=ADVANCED_FACE('',(#34803),#328741,.T.); #343269=ADVANCED_FACE('',(#34804,#6316),#18265,.T.); #343270=ADVANCED_FACE('',(#34805,#6317),#18266,.F.); #343271=ADVANCED_FACE('',(#34806),#328742,.F.); #343272=ADVANCED_FACE('',(#34807),#328743,.F.); #343273=ADVANCED_FACE('',(#34808),#328744,.F.); #343274=ADVANCED_FACE('',(#34809),#328745,.F.); #343275=ADVANCED_FACE('',(#34810),#328746,.F.); #343276=ADVANCED_FACE('',(#34811),#328747,.F.); #343277=ADVANCED_FACE('',(#34812),#328748,.F.); #343278=ADVANCED_FACE('',(#34813),#328749,.F.); #343279=ADVANCED_FACE('',(#34814),#328750,.F.); #343280=ADVANCED_FACE('',(#34815),#328751,.F.); #343281=ADVANCED_FACE('',(#34816),#328752,.F.); #343282=ADVANCED_FACE('',(#34817),#328753,.F.); #343283=ADVANCED_FACE('',(#34818),#328754,.F.); #343284=ADVANCED_FACE('',(#34819),#328755,.F.); #343285=ADVANCED_FACE('',(#34820),#328756,.F.); #343286=ADVANCED_FACE('',(#34821),#328757,.F.); #343287=ADVANCED_FACE('',(#34822),#328758,.F.); #343288=ADVANCED_FACE('',(#34823),#328759,.F.); #343289=ADVANCED_FACE('',(#34824),#328760,.F.); #343290=ADVANCED_FACE('',(#34825),#328761,.F.); #343291=ADVANCED_FACE('',(#34826),#328762,.F.); #343292=ADVANCED_FACE('',(#34827),#328763,.F.); #343293=ADVANCED_FACE('',(#34828),#328764,.F.); #343294=ADVANCED_FACE('',(#34829),#328765,.F.); #343295=ADVANCED_FACE('',(#34830),#328766,.F.); #343296=ADVANCED_FACE('',(#34831),#328767,.F.); #343297=ADVANCED_FACE('',(#34832),#328768,.F.); #343298=ADVANCED_FACE('',(#34833),#328769,.F.); #343299=ADVANCED_FACE('',(#34834),#328770,.F.); #343300=ADVANCED_FACE('',(#34835),#328771,.F.); #343301=ADVANCED_FACE('',(#34836),#18267,.T.); #343302=ADVANCED_FACE('',(#34837),#18268,.T.); #343303=ADVANCED_FACE('',(#34838),#18269,.T.); #343304=ADVANCED_FACE('',(#34839),#18270,.T.); #343305=ADVANCED_FACE('',(#34840),#18271,.T.); #343306=ADVANCED_FACE('',(#34841),#18272,.T.); #343307=ADVANCED_FACE('',(#34842),#18273,.T.); #343308=ADVANCED_FACE('',(#34843),#18274,.T.); #343309=ADVANCED_FACE('',(#34844),#18275,.T.); #343310=ADVANCED_FACE('',(#34845),#18276,.T.); #343311=ADVANCED_FACE('',(#34846),#18277,.T.); #343312=ADVANCED_FACE('',(#34847),#18278,.T.); #343313=ADVANCED_FACE('',(#34848),#18279,.T.); #343314=ADVANCED_FACE('',(#34849),#18280,.T.); #343315=ADVANCED_FACE('',(#34850),#18281,.T.); #343316=ADVANCED_FACE('',(#34851),#18282,.T.); #343317=ADVANCED_FACE('',(#34852),#18283,.T.); #343318=ADVANCED_FACE('',(#34853),#18284,.T.); #343319=ADVANCED_FACE('',(#34854),#18285,.T.); #343320=ADVANCED_FACE('',(#34855),#18286,.T.); #343321=ADVANCED_FACE('',(#34856),#18287,.T.); #343322=ADVANCED_FACE('',(#34857),#18288,.T.); #343323=ADVANCED_FACE('',(#34858),#18289,.T.); #343324=ADVANCED_FACE('',(#34859),#18290,.T.); #343325=ADVANCED_FACE('',(#34860),#18291,.T.); #343326=ADVANCED_FACE('',(#34861),#18292,.T.); #343327=ADVANCED_FACE('',(#34862),#18293,.T.); #343328=ADVANCED_FACE('',(#34863),#18294,.T.); #343329=ADVANCED_FACE('',(#34864),#18295,.T.); #343330=ADVANCED_FACE('',(#34865),#18296,.T.); #343331=ADVANCED_FACE('',(#34866),#18297,.T.); #343332=ADVANCED_FACE('',(#34867),#18298,.T.); #343333=ADVANCED_FACE('',(#34868),#18299,.T.); #343334=ADVANCED_FACE('',(#34869),#18300,.T.); #343335=ADVANCED_FACE('',(#34870),#18301,.T.); #343336=ADVANCED_FACE('',(#34871),#18302,.T.); #343337=ADVANCED_FACE('',(#34872),#18303,.T.); #343338=ADVANCED_FACE('',(#34873),#18304,.T.); #343339=ADVANCED_FACE('',(#34874),#18305,.T.); #343340=ADVANCED_FACE('',(#34875),#18306,.T.); #343341=ADVANCED_FACE('',(#34876),#18307,.T.); #343342=ADVANCED_FACE('',(#34877),#18308,.T.); #343343=ADVANCED_FACE('',(#34878),#18309,.T.); #343344=ADVANCED_FACE('',(#34879),#18310,.T.); #343345=ADVANCED_FACE('',(#34880),#18311,.T.); #343346=ADVANCED_FACE('',(#34881,#6318,#6319,#6320,#6321,#6322,#6323,#6324, #6325,#6326,#6327,#6328,#6329,#6330,#6331,#6332,#6333,#6334,#6335,#6336, #6337,#6338,#6339,#6340,#6341,#6342,#6343,#6344,#6345,#6346,#6347),#18312, .T.); #343347=ADVANCED_FACE('',(#34882,#6348,#6349,#6350,#6351,#6352,#6353,#6354, #6355,#6356,#6357,#6358,#6359,#6360,#6361,#6362,#6363,#6364,#6365,#6366, #6367,#6368,#6369,#6370,#6371,#6372,#6373,#6374,#6375,#6376,#6377),#18313, .F.); #343348=ADVANCED_FACE('',(#34883),#328772,.F.); #343349=ADVANCED_FACE('',(#34884),#328773,.F.); #343350=ADVANCED_FACE('',(#34885),#18314,.T.); #343351=ADVANCED_FACE('',(#34886),#328774,.T.); #343352=ADVANCED_FACE('',(#34887),#18315,.T.); #343353=ADVANCED_FACE('',(#34888),#328775,.T.); #343354=ADVANCED_FACE('',(#34889),#328776,.T.); #343355=ADVANCED_FACE('',(#34890),#18316,.T.); #343356=ADVANCED_FACE('',(#34891),#328777,.T.); #343357=ADVANCED_FACE('',(#34892,#6378,#6379),#18317,.T.); #343358=ADVANCED_FACE('',(#34893,#6380,#6381),#18318,.F.); #343359=ADVANCED_FACE('',(#34894),#328778,.F.); #343360=ADVANCED_FACE('',(#34895),#18319,.T.); #343361=ADVANCED_FACE('',(#34896),#328779,.T.); #343362=ADVANCED_FACE('',(#34897),#328780,.T.); #343363=ADVANCED_FACE('',(#34898,#6382),#18320,.T.); #343364=ADVANCED_FACE('',(#34899,#6383),#18321,.F.); #343365=ADVANCED_FACE('',(#34900),#328781,.F.); #343366=ADVANCED_FACE('',(#34901),#328782,.F.); #343367=ADVANCED_FACE('',(#34902),#328783,.F.); #343368=ADVANCED_FACE('',(#34903),#328784,.F.); #343369=ADVANCED_FACE('',(#34904),#328785,.F.); #343370=ADVANCED_FACE('',(#34905),#328786,.F.); #343371=ADVANCED_FACE('',(#34906),#328787,.F.); #343372=ADVANCED_FACE('',(#34907),#328788,.F.); #343373=ADVANCED_FACE('',(#34908),#328789,.F.); #343374=ADVANCED_FACE('',(#34909),#328790,.F.); #343375=ADVANCED_FACE('',(#34910),#328791,.F.); #343376=ADVANCED_FACE('',(#34911),#328792,.F.); #343377=ADVANCED_FACE('',(#34912),#328793,.F.); #343378=ADVANCED_FACE('',(#34913),#328794,.F.); #343379=ADVANCED_FACE('',(#34914),#328795,.F.); #343380=ADVANCED_FACE('',(#34915),#18322,.T.); #343381=ADVANCED_FACE('',(#34916),#18323,.T.); #343382=ADVANCED_FACE('',(#34917),#18324,.T.); #343383=ADVANCED_FACE('',(#34918),#18325,.T.); #343384=ADVANCED_FACE('',(#34919),#18326,.T.); #343385=ADVANCED_FACE('',(#34920),#18327,.T.); #343386=ADVANCED_FACE('',(#34921),#18328,.T.); #343387=ADVANCED_FACE('',(#34922),#18329,.T.); #343388=ADVANCED_FACE('',(#34923),#18330,.T.); #343389=ADVANCED_FACE('',(#34924),#18331,.T.); #343390=ADVANCED_FACE('',(#34925),#18332,.T.); #343391=ADVANCED_FACE('',(#34926),#18333,.T.); #343392=ADVANCED_FACE('',(#34927),#18334,.T.); #343393=ADVANCED_FACE('',(#34928),#18335,.T.); #343394=ADVANCED_FACE('',(#34929),#18336,.T.); #343395=ADVANCED_FACE('',(#34930),#18337,.T.); #343396=ADVANCED_FACE('',(#34931),#18338,.T.); #343397=ADVANCED_FACE('',(#34932),#18339,.T.); #343398=ADVANCED_FACE('',(#34933),#18340,.T.); #343399=ADVANCED_FACE('',(#34934),#18341,.T.); #343400=ADVANCED_FACE('',(#34935),#18342,.T.); #343401=ADVANCED_FACE('',(#34936),#18343,.T.); #343402=ADVANCED_FACE('',(#34937),#18344,.T.); #343403=ADVANCED_FACE('',(#34938),#18345,.T.); #343404=ADVANCED_FACE('',(#34939),#18346,.T.); #343405=ADVANCED_FACE('',(#34940),#18347,.T.); #343406=ADVANCED_FACE('',(#34941),#18348,.T.); #343407=ADVANCED_FACE('',(#34942),#18349,.T.); #343408=ADVANCED_FACE('',(#34943),#18350,.T.); #343409=ADVANCED_FACE('',(#34944),#18351,.T.); #343410=ADVANCED_FACE('',(#34945),#18352,.T.); #343411=ADVANCED_FACE('',(#34946),#18353,.T.); #343412=ADVANCED_FACE('',(#34947),#18354,.T.); #343413=ADVANCED_FACE('',(#34948),#18355,.T.); #343414=ADVANCED_FACE('',(#34949),#18356,.T.); #343415=ADVANCED_FACE('',(#34950),#18357,.T.); #343416=ADVANCED_FACE('',(#34951),#18358,.T.); #343417=ADVANCED_FACE('',(#34952),#18359,.T.); #343418=ADVANCED_FACE('',(#34953),#18360,.T.); #343419=ADVANCED_FACE('',(#34954),#18361,.T.); #343420=ADVANCED_FACE('',(#34955),#18362,.T.); #343421=ADVANCED_FACE('',(#34956),#18363,.T.); #343422=ADVANCED_FACE('',(#34957),#18364,.T.); #343423=ADVANCED_FACE('',(#34958),#18365,.T.); #343424=ADVANCED_FACE('',(#34959),#18366,.T.); #343425=ADVANCED_FACE('',(#34960),#18367,.T.); #343426=ADVANCED_FACE('',(#34961),#18368,.T.); #343427=ADVANCED_FACE('',(#34962),#18369,.T.); #343428=ADVANCED_FACE('',(#34963),#18370,.T.); #343429=ADVANCED_FACE('',(#34964),#18371,.T.); #343430=ADVANCED_FACE('',(#34965),#18372,.T.); #343431=ADVANCED_FACE('',(#34966),#18373,.T.); #343432=ADVANCED_FACE('',(#34967),#18374,.T.); #343433=ADVANCED_FACE('',(#34968),#18375,.T.); #343434=ADVANCED_FACE('',(#34969),#18376,.T.); #343435=ADVANCED_FACE('',(#34970),#18377,.T.); #343436=ADVANCED_FACE('',(#34971),#18378,.T.); #343437=ADVANCED_FACE('',(#34972),#18379,.T.); #343438=ADVANCED_FACE('',(#34973),#18380,.T.); #343439=ADVANCED_FACE('',(#34974),#18381,.T.); #343440=ADVANCED_FACE('',(#34975),#18382,.T.); #343441=ADVANCED_FACE('',(#34976),#18383,.T.); #343442=ADVANCED_FACE('',(#34977),#18384,.T.); #343443=ADVANCED_FACE('',(#34978),#18385,.T.); #343444=ADVANCED_FACE('',(#34979),#18386,.T.); #343445=ADVANCED_FACE('',(#34980),#18387,.T.); #343446=ADVANCED_FACE('',(#34981),#18388,.T.); #343447=ADVANCED_FACE('',(#34982),#18389,.T.); #343448=ADVANCED_FACE('',(#34983),#18390,.T.); #343449=ADVANCED_FACE('',(#34984),#18391,.T.); #343450=ADVANCED_FACE('',(#34985),#18392,.T.); #343451=ADVANCED_FACE('',(#34986),#18393,.T.); #343452=ADVANCED_FACE('',(#34987),#18394,.T.); #343453=ADVANCED_FACE('',(#34988),#18395,.T.); #343454=ADVANCED_FACE('',(#34989),#18396,.T.); #343455=ADVANCED_FACE('',(#34990),#18397,.T.); #343456=ADVANCED_FACE('',(#34991),#18398,.T.); #343457=ADVANCED_FACE('',(#34992),#18399,.T.); #343458=ADVANCED_FACE('',(#34993),#18400,.T.); #343459=ADVANCED_FACE('',(#34994),#18401,.T.); #343460=ADVANCED_FACE('',(#34995),#18402,.T.); #343461=ADVANCED_FACE('',(#34996),#18403,.T.); #343462=ADVANCED_FACE('',(#34997),#18404,.T.); #343463=ADVANCED_FACE('',(#34998),#18405,.T.); #343464=ADVANCED_FACE('',(#34999),#18406,.T.); #343465=ADVANCED_FACE('',(#35000),#18407,.T.); #343466=ADVANCED_FACE('',(#35001),#18408,.T.); #343467=ADVANCED_FACE('',(#35002),#18409,.T.); #343468=ADVANCED_FACE('',(#35003),#18410,.T.); #343469=ADVANCED_FACE('',(#35004),#18411,.T.); #343470=ADVANCED_FACE('',(#35005),#18412,.T.); #343471=ADVANCED_FACE('',(#35006),#18413,.T.); #343472=ADVANCED_FACE('',(#35007),#18414,.T.); #343473=ADVANCED_FACE('',(#35008),#18415,.T.); #343474=ADVANCED_FACE('',(#35009),#18416,.T.); #343475=ADVANCED_FACE('',(#35010),#18417,.T.); #343476=ADVANCED_FACE('',(#35011),#18418,.T.); #343477=ADVANCED_FACE('',(#35012),#18419,.T.); #343478=ADVANCED_FACE('',(#35013),#18420,.T.); #343479=ADVANCED_FACE('',(#35014),#18421,.T.); #343480=ADVANCED_FACE('',(#35015),#18422,.T.); #343481=ADVANCED_FACE('',(#35016),#18423,.T.); #343482=ADVANCED_FACE('',(#35017),#18424,.T.); #343483=ADVANCED_FACE('',(#35018),#18425,.T.); #343484=ADVANCED_FACE('',(#35019),#18426,.T.); #343485=ADVANCED_FACE('',(#35020),#18427,.T.); #343486=ADVANCED_FACE('',(#35021),#18428,.T.); #343487=ADVANCED_FACE('',(#35022),#18429,.T.); #343488=ADVANCED_FACE('',(#35023),#18430,.T.); #343489=ADVANCED_FACE('',(#35024),#18431,.T.); #343490=ADVANCED_FACE('',(#35025),#18432,.T.); #343491=ADVANCED_FACE('',(#35026),#18433,.T.); #343492=ADVANCED_FACE('',(#35027),#18434,.T.); #343493=ADVANCED_FACE('',(#35028),#18435,.T.); #343494=ADVANCED_FACE('',(#35029),#18436,.T.); #343495=ADVANCED_FACE('',(#35030),#18437,.T.); #343496=ADVANCED_FACE('',(#35031),#18438,.T.); #343497=ADVANCED_FACE('',(#35032),#18439,.T.); #343498=ADVANCED_FACE('',(#35033),#18440,.T.); #343499=ADVANCED_FACE('',(#35034),#18441,.T.); #343500=ADVANCED_FACE('',(#35035),#18442,.T.); #343501=ADVANCED_FACE('',(#35036),#18443,.T.); #343502=ADVANCED_FACE('',(#35037),#18444,.T.); #343503=ADVANCED_FACE('',(#35038),#18445,.T.); #343504=ADVANCED_FACE('',(#35039),#18446,.T.); #343505=ADVANCED_FACE('',(#35040),#18447,.T.); #343506=ADVANCED_FACE('',(#35041),#18448,.T.); #343507=ADVANCED_FACE('',(#35042),#18449,.T.); #343508=ADVANCED_FACE('',(#35043),#18450,.T.); #343509=ADVANCED_FACE('',(#35044),#18451,.T.); #343510=ADVANCED_FACE('',(#35045),#18452,.T.); #343511=ADVANCED_FACE('',(#35046),#18453,.T.); #343512=ADVANCED_FACE('',(#35047),#18454,.T.); #343513=ADVANCED_FACE('',(#35048),#18455,.T.); #343514=ADVANCED_FACE('',(#35049),#18456,.T.); #343515=ADVANCED_FACE('',(#35050),#18457,.T.); #343516=ADVANCED_FACE('',(#35051),#18458,.T.); #343517=ADVANCED_FACE('',(#35052),#18459,.T.); #343518=ADVANCED_FACE('',(#35053),#18460,.T.); #343519=ADVANCED_FACE('',(#35054),#18461,.T.); #343520=ADVANCED_FACE('',(#35055),#18462,.T.); #343521=ADVANCED_FACE('',(#35056),#18463,.T.); #343522=ADVANCED_FACE('',(#35057),#18464,.T.); #343523=ADVANCED_FACE('',(#35058),#18465,.T.); #343524=ADVANCED_FACE('',(#35059),#18466,.T.); #343525=ADVANCED_FACE('',(#35060),#18467,.T.); #343526=ADVANCED_FACE('',(#35061),#18468,.T.); #343527=ADVANCED_FACE('',(#35062),#18469,.T.); #343528=ADVANCED_FACE('',(#35063),#18470,.T.); #343529=ADVANCED_FACE('',(#35064),#18471,.T.); #343530=ADVANCED_FACE('',(#35065),#18472,.T.); #343531=ADVANCED_FACE('',(#35066),#18473,.T.); #343532=ADVANCED_FACE('',(#35067),#18474,.T.); #343533=ADVANCED_FACE('',(#35068),#18475,.T.); #343534=ADVANCED_FACE('',(#35069),#18476,.T.); #343535=ADVANCED_FACE('',(#35070),#18477,.T.); #343536=ADVANCED_FACE('',(#35071),#18478,.T.); #343537=ADVANCED_FACE('',(#35072),#18479,.T.); #343538=ADVANCED_FACE('',(#35073),#18480,.T.); #343539=ADVANCED_FACE('',(#35074),#18481,.T.); #343540=ADVANCED_FACE('',(#35075),#18482,.T.); #343541=ADVANCED_FACE('',(#35076),#18483,.T.); #343542=ADVANCED_FACE('',(#35077),#18484,.T.); #343543=ADVANCED_FACE('',(#35078),#18485,.T.); #343544=ADVANCED_FACE('',(#35079),#18486,.T.); #343545=ADVANCED_FACE('',(#35080),#18487,.T.); #343546=ADVANCED_FACE('',(#35081),#18488,.T.); #343547=ADVANCED_FACE('',(#35082,#6384,#6385,#6386,#6387,#6388,#6389,#6390, #6391,#6392,#6393,#6394,#6395,#6396,#6397,#6398,#6399),#18489,.T.); #343548=ADVANCED_FACE('',(#35083,#6400,#6401,#6402,#6403,#6404,#6405,#6406, #6407,#6408,#6409,#6410,#6411,#6412,#6413,#6414,#6415),#18490,.F.); #343549=ADVANCED_FACE('',(#35084),#328796,.F.); #343550=ADVANCED_FACE('',(#35085),#18491,.T.); #343551=ADVANCED_FACE('',(#35086),#328797,.T.); #343552=ADVANCED_FACE('',(#35087),#328798,.T.); #343553=ADVANCED_FACE('',(#35088,#6416),#18492,.T.); #343554=ADVANCED_FACE('',(#35089,#6417),#18493,.F.); #343555=ADVANCED_FACE('',(#35090),#328799,.F.); #343556=ADVANCED_FACE('',(#35091),#18494,.T.); #343557=ADVANCED_FACE('',(#35092),#328800,.T.); #343558=ADVANCED_FACE('',(#35093),#328801,.T.); #343559=ADVANCED_FACE('',(#35094,#6418),#18495,.T.); #343560=ADVANCED_FACE('',(#35095,#6419),#18496,.F.); #343561=ADVANCED_FACE('',(#35096),#328802,.F.); #343562=ADVANCED_FACE('',(#35097),#18497,.T.); #343563=ADVANCED_FACE('',(#35098),#328803,.T.); #343564=ADVANCED_FACE('',(#35099),#328804,.T.); #343565=ADVANCED_FACE('',(#35100,#6420),#18498,.T.); #343566=ADVANCED_FACE('',(#35101,#6421),#18499,.F.); #343567=ADVANCED_FACE('',(#35102),#328805,.F.); #343568=ADVANCED_FACE('',(#35103),#18500,.T.); #343569=ADVANCED_FACE('',(#35104),#328806,.T.); #343570=ADVANCED_FACE('',(#35105),#328807,.T.); #343571=ADVANCED_FACE('',(#35106,#6422),#18501,.T.); #343572=ADVANCED_FACE('',(#35107,#6423),#18502,.F.); #343573=ADVANCED_FACE('',(#35108),#328808,.F.); #343574=ADVANCED_FACE('',(#35109),#18503,.T.); #343575=ADVANCED_FACE('',(#35110),#18504,.T.); #343576=ADVANCED_FACE('',(#35111),#18505,.T.); #343577=ADVANCED_FACE('',(#35112),#18506,.T.); #343578=ADVANCED_FACE('',(#35113,#6424),#18507,.T.); #343579=ADVANCED_FACE('',(#35114,#6425),#18508,.F.); #343580=ADVANCED_FACE('',(#35115),#328809,.F.); #343581=ADVANCED_FACE('',(#35116),#18509,.T.); #343582=ADVANCED_FACE('',(#35117),#328810,.T.); #343583=ADVANCED_FACE('',(#35118),#328811,.T.); #343584=ADVANCED_FACE('',(#35119,#6426),#18510,.T.); #343585=ADVANCED_FACE('',(#35120,#6427),#18511,.F.); #343586=ADVANCED_FACE('',(#35121),#328812,.F.); #343587=ADVANCED_FACE('',(#35122),#18512,.T.); #343588=ADVANCED_FACE('',(#35123),#328813,.T.); #343589=ADVANCED_FACE('',(#35124),#328814,.T.); #343590=ADVANCED_FACE('',(#35125,#6428),#18513,.T.); #343591=ADVANCED_FACE('',(#35126,#6429),#18514,.F.); #343592=ADVANCED_FACE('',(#35127),#328815,.F.); #343593=ADVANCED_FACE('',(#35128),#18515,.T.); #343594=ADVANCED_FACE('',(#35129),#328816,.T.); #343595=ADVANCED_FACE('',(#35130),#328817,.T.); #343596=ADVANCED_FACE('',(#35131,#6430),#18516,.T.); #343597=ADVANCED_FACE('',(#35132,#6431),#18517,.F.); #343598=ADVANCED_FACE('',(#35133),#328818,.F.); #343599=ADVANCED_FACE('',(#35134),#18518,.T.); #343600=ADVANCED_FACE('',(#35135),#328819,.T.); #343601=ADVANCED_FACE('',(#35136),#328820,.T.); #343602=ADVANCED_FACE('',(#35137,#6432),#18519,.T.); #343603=ADVANCED_FACE('',(#35138,#6433),#18520,.F.); #343604=ADVANCED_FACE('',(#35139),#18521,.F.); #343605=ADVANCED_FACE('',(#35140),#328821,.F.); #343606=ADVANCED_FACE('',(#35141),#18522,.F.); #343607=ADVANCED_FACE('',(#35142),#328822,.F.); #343608=ADVANCED_FACE('',(#35143),#18523,.T.); #343609=ADVANCED_FACE('',(#35144),#18524,.T.); #343610=ADVANCED_FACE('',(#35145),#18525,.T.); #343611=ADVANCED_FACE('',(#35146),#18526,.T.); #343612=ADVANCED_FACE('',(#35147),#18527,.T.); #343613=ADVANCED_FACE('',(#35148),#18528,.T.); #343614=ADVANCED_FACE('',(#35149),#18529,.T.); #343615=ADVANCED_FACE('',(#35150),#18530,.T.); #343616=ADVANCED_FACE('',(#35151),#18531,.T.); #343617=ADVANCED_FACE('',(#35152),#18532,.T.); #343618=ADVANCED_FACE('',(#35153),#18533,.T.); #343619=ADVANCED_FACE('',(#35154),#18534,.T.); #343620=ADVANCED_FACE('',(#35155),#18535,.T.); #343621=ADVANCED_FACE('',(#35156),#18536,.T.); #343622=ADVANCED_FACE('',(#35157),#18537,.T.); #343623=ADVANCED_FACE('',(#35158),#18538,.T.); #343624=ADVANCED_FACE('',(#35159),#18539,.T.); #343625=ADVANCED_FACE('',(#35160),#18540,.T.); #343626=ADVANCED_FACE('',(#35161),#18541,.T.); #343627=ADVANCED_FACE('',(#35162),#18542,.T.); #343628=ADVANCED_FACE('',(#35163),#18543,.T.); #343629=ADVANCED_FACE('',(#35164),#18544,.T.); #343630=ADVANCED_FACE('',(#35165),#18545,.T.); #343631=ADVANCED_FACE('',(#35166),#18546,.T.); #343632=ADVANCED_FACE('',(#35167),#18547,.T.); #343633=ADVANCED_FACE('',(#35168),#18548,.T.); #343634=ADVANCED_FACE('',(#35169),#18549,.T.); #343635=ADVANCED_FACE('',(#35170),#18550,.T.); #343636=ADVANCED_FACE('',(#35171),#18551,.T.); #343637=ADVANCED_FACE('',(#35172),#18552,.T.); #343638=ADVANCED_FACE('',(#35173),#18553,.T.); #343639=ADVANCED_FACE('',(#35174),#18554,.T.); #343640=ADVANCED_FACE('',(#35175),#18555,.T.); #343641=ADVANCED_FACE('',(#35176),#18556,.T.); #343642=ADVANCED_FACE('',(#35177),#18557,.T.); #343643=ADVANCED_FACE('',(#35178),#18558,.T.); #343644=ADVANCED_FACE('',(#35179),#18559,.T.); #343645=ADVANCED_FACE('',(#35180),#18560,.T.); #343646=ADVANCED_FACE('',(#35181),#18561,.T.); #343647=ADVANCED_FACE('',(#35182),#18562,.T.); #343648=ADVANCED_FACE('',(#35183),#18563,.T.); #343649=ADVANCED_FACE('',(#35184),#18564,.T.); #343650=ADVANCED_FACE('',(#35185),#18565,.T.); #343651=ADVANCED_FACE('',(#35186),#18566,.T.); #343652=ADVANCED_FACE('',(#35187),#18567,.T.); #343653=ADVANCED_FACE('',(#35188),#18568,.T.); #343654=ADVANCED_FACE('',(#35189),#18569,.T.); #343655=ADVANCED_FACE('',(#35190),#18570,.T.); #343656=ADVANCED_FACE('',(#35191),#18571,.T.); #343657=ADVANCED_FACE('',(#35192),#18572,.T.); #343658=ADVANCED_FACE('',(#35193),#18573,.T.); #343659=ADVANCED_FACE('',(#35194),#18574,.T.); #343660=ADVANCED_FACE('',(#35195),#18575,.T.); #343661=ADVANCED_FACE('',(#35196),#18576,.T.); #343662=ADVANCED_FACE('',(#35197),#18577,.T.); #343663=ADVANCED_FACE('',(#35198),#18578,.T.); #343664=ADVANCED_FACE('',(#35199,#6434),#18579,.T.); #343665=ADVANCED_FACE('',(#35200,#6435),#18580,.F.); #343666=ADVANCED_FACE('',(#35201),#18581,.F.); #343667=ADVANCED_FACE('',(#35202),#328823,.F.); #343668=ADVANCED_FACE('',(#35203),#18582,.F.); #343669=ADVANCED_FACE('',(#35204),#328824,.F.); #343670=ADVANCED_FACE('',(#35205),#18583,.T.); #343671=ADVANCED_FACE('',(#35206),#18584,.T.); #343672=ADVANCED_FACE('',(#35207),#18585,.T.); #343673=ADVANCED_FACE('',(#35208),#18586,.T.); #343674=ADVANCED_FACE('',(#35209),#18587,.T.); #343675=ADVANCED_FACE('',(#35210),#18588,.T.); #343676=ADVANCED_FACE('',(#35211),#18589,.T.); #343677=ADVANCED_FACE('',(#35212),#18590,.T.); #343678=ADVANCED_FACE('',(#35213),#18591,.T.); #343679=ADVANCED_FACE('',(#35214),#18592,.T.); #343680=ADVANCED_FACE('',(#35215),#18593,.T.); #343681=ADVANCED_FACE('',(#35216),#18594,.T.); #343682=ADVANCED_FACE('',(#35217),#18595,.T.); #343683=ADVANCED_FACE('',(#35218),#18596,.T.); #343684=ADVANCED_FACE('',(#35219),#18597,.T.); #343685=ADVANCED_FACE('',(#35220),#18598,.T.); #343686=ADVANCED_FACE('',(#35221),#18599,.T.); #343687=ADVANCED_FACE('',(#35222),#18600,.T.); #343688=ADVANCED_FACE('',(#35223),#18601,.T.); #343689=ADVANCED_FACE('',(#35224),#18602,.T.); #343690=ADVANCED_FACE('',(#35225),#18603,.T.); #343691=ADVANCED_FACE('',(#35226),#18604,.T.); #343692=ADVANCED_FACE('',(#35227),#18605,.T.); #343693=ADVANCED_FACE('',(#35228),#18606,.T.); #343694=ADVANCED_FACE('',(#35229),#18607,.T.); #343695=ADVANCED_FACE('',(#35230),#18608,.T.); #343696=ADVANCED_FACE('',(#35231),#18609,.T.); #343697=ADVANCED_FACE('',(#35232),#18610,.T.); #343698=ADVANCED_FACE('',(#35233),#18611,.T.); #343699=ADVANCED_FACE('',(#35234),#18612,.T.); #343700=ADVANCED_FACE('',(#35235),#18613,.T.); #343701=ADVANCED_FACE('',(#35236),#18614,.T.); #343702=ADVANCED_FACE('',(#35237),#18615,.T.); #343703=ADVANCED_FACE('',(#35238),#18616,.T.); #343704=ADVANCED_FACE('',(#35239),#18617,.T.); #343705=ADVANCED_FACE('',(#35240),#18618,.T.); #343706=ADVANCED_FACE('',(#35241),#18619,.T.); #343707=ADVANCED_FACE('',(#35242),#18620,.T.); #343708=ADVANCED_FACE('',(#35243),#18621,.T.); #343709=ADVANCED_FACE('',(#35244),#18622,.T.); #343710=ADVANCED_FACE('',(#35245),#18623,.T.); #343711=ADVANCED_FACE('',(#35246),#18624,.T.); #343712=ADVANCED_FACE('',(#35247),#18625,.T.); #343713=ADVANCED_FACE('',(#35248),#18626,.T.); #343714=ADVANCED_FACE('',(#35249),#18627,.T.); #343715=ADVANCED_FACE('',(#35250),#18628,.T.); #343716=ADVANCED_FACE('',(#35251),#18629,.T.); #343717=ADVANCED_FACE('',(#35252),#18630,.T.); #343718=ADVANCED_FACE('',(#35253),#18631,.T.); #343719=ADVANCED_FACE('',(#35254),#18632,.T.); #343720=ADVANCED_FACE('',(#35255),#18633,.T.); #343721=ADVANCED_FACE('',(#35256),#18634,.T.); #343722=ADVANCED_FACE('',(#35257),#18635,.T.); #343723=ADVANCED_FACE('',(#35258),#18636,.T.); #343724=ADVANCED_FACE('',(#35259),#18637,.T.); #343725=ADVANCED_FACE('',(#35260),#18638,.T.); #343726=ADVANCED_FACE('',(#35261),#18639,.T.); #343727=ADVANCED_FACE('',(#35262),#18640,.T.); #343728=ADVANCED_FACE('',(#35263),#18641,.T.); #343729=ADVANCED_FACE('',(#35264),#18642,.T.); #343730=ADVANCED_FACE('',(#35265),#18643,.T.); #343731=ADVANCED_FACE('',(#35266),#18644,.T.); #343732=ADVANCED_FACE('',(#35267),#18645,.T.); #343733=ADVANCED_FACE('',(#35268),#18646,.T.); #343734=ADVANCED_FACE('',(#35269),#18647,.T.); #343735=ADVANCED_FACE('',(#35270),#18648,.T.); #343736=ADVANCED_FACE('',(#35271),#18649,.T.); #343737=ADVANCED_FACE('',(#35272),#18650,.T.); #343738=ADVANCED_FACE('',(#35273),#18651,.T.); #343739=ADVANCED_FACE('',(#35274),#18652,.T.); #343740=ADVANCED_FACE('',(#35275),#18653,.T.); #343741=ADVANCED_FACE('',(#35276),#18654,.T.); #343742=ADVANCED_FACE('',(#35277,#6436),#18655,.T.); #343743=ADVANCED_FACE('',(#35278,#6437),#18656,.F.); #343744=ADVANCED_FACE('',(#35279),#18657,.F.); #343745=ADVANCED_FACE('',(#35280),#328825,.F.); #343746=ADVANCED_FACE('',(#35281),#18658,.F.); #343747=ADVANCED_FACE('',(#35282),#328826,.F.); #343748=ADVANCED_FACE('',(#35283),#18659,.T.); #343749=ADVANCED_FACE('',(#35284),#18660,.T.); #343750=ADVANCED_FACE('',(#35285),#18661,.T.); #343751=ADVANCED_FACE('',(#35286),#18662,.T.); #343752=ADVANCED_FACE('',(#35287),#18663,.T.); #343753=ADVANCED_FACE('',(#35288),#18664,.T.); #343754=ADVANCED_FACE('',(#35289),#18665,.T.); #343755=ADVANCED_FACE('',(#35290),#18666,.T.); #343756=ADVANCED_FACE('',(#35291),#18667,.T.); #343757=ADVANCED_FACE('',(#35292),#18668,.T.); #343758=ADVANCED_FACE('',(#35293),#18669,.T.); #343759=ADVANCED_FACE('',(#35294),#18670,.T.); #343760=ADVANCED_FACE('',(#35295),#18671,.T.); #343761=ADVANCED_FACE('',(#35296),#18672,.T.); #343762=ADVANCED_FACE('',(#35297),#18673,.T.); #343763=ADVANCED_FACE('',(#35298),#18674,.T.); #343764=ADVANCED_FACE('',(#35299),#18675,.T.); #343765=ADVANCED_FACE('',(#35300),#18676,.T.); #343766=ADVANCED_FACE('',(#35301),#18677,.T.); #343767=ADVANCED_FACE('',(#35302),#18678,.T.); #343768=ADVANCED_FACE('',(#35303),#18679,.T.); #343769=ADVANCED_FACE('',(#35304),#18680,.T.); #343770=ADVANCED_FACE('',(#35305),#18681,.T.); #343771=ADVANCED_FACE('',(#35306),#18682,.T.); #343772=ADVANCED_FACE('',(#35307),#18683,.T.); #343773=ADVANCED_FACE('',(#35308),#18684,.T.); #343774=ADVANCED_FACE('',(#35309),#18685,.T.); #343775=ADVANCED_FACE('',(#35310),#18686,.T.); #343776=ADVANCED_FACE('',(#35311),#18687,.T.); #343777=ADVANCED_FACE('',(#35312),#18688,.T.); #343778=ADVANCED_FACE('',(#35313),#18689,.T.); #343779=ADVANCED_FACE('',(#35314),#18690,.T.); #343780=ADVANCED_FACE('',(#35315),#18691,.T.); #343781=ADVANCED_FACE('',(#35316),#18692,.T.); #343782=ADVANCED_FACE('',(#35317),#18693,.T.); #343783=ADVANCED_FACE('',(#35318),#18694,.T.); #343784=ADVANCED_FACE('',(#35319),#18695,.T.); #343785=ADVANCED_FACE('',(#35320),#18696,.T.); #343786=ADVANCED_FACE('',(#35321),#18697,.T.); #343787=ADVANCED_FACE('',(#35322),#18698,.T.); #343788=ADVANCED_FACE('',(#35323),#18699,.T.); #343789=ADVANCED_FACE('',(#35324),#18700,.T.); #343790=ADVANCED_FACE('',(#35325),#18701,.T.); #343791=ADVANCED_FACE('',(#35326),#18702,.T.); #343792=ADVANCED_FACE('',(#35327),#18703,.T.); #343793=ADVANCED_FACE('',(#35328),#18704,.T.); #343794=ADVANCED_FACE('',(#35329),#18705,.T.); #343795=ADVANCED_FACE('',(#35330),#18706,.T.); #343796=ADVANCED_FACE('',(#35331),#18707,.T.); #343797=ADVANCED_FACE('',(#35332),#18708,.T.); #343798=ADVANCED_FACE('',(#35333),#18709,.T.); #343799=ADVANCED_FACE('',(#35334),#18710,.T.); #343800=ADVANCED_FACE('',(#35335),#18711,.T.); #343801=ADVANCED_FACE('',(#35336),#18712,.T.); #343802=ADVANCED_FACE('',(#35337),#18713,.T.); #343803=ADVANCED_FACE('',(#35338),#18714,.T.); #343804=ADVANCED_FACE('',(#35339,#6438),#18715,.T.); #343805=ADVANCED_FACE('',(#35340,#6439),#18716,.F.); #343806=ADVANCED_FACE('',(#35341),#328827,.F.); #343807=ADVANCED_FACE('',(#35342),#18717,.T.); #343808=ADVANCED_FACE('',(#35343),#18718,.T.); #343809=ADVANCED_FACE('',(#35344),#18719,.T.); #343810=ADVANCED_FACE('',(#35345),#18720,.T.); #343811=ADVANCED_FACE('',(#35346,#6440),#18721,.T.); #343812=ADVANCED_FACE('',(#35347,#6441),#18722,.F.); #343813=ADVANCED_FACE('',(#35348),#18723,.F.); #343814=ADVANCED_FACE('',(#35349),#328828,.F.); #343815=ADVANCED_FACE('',(#35350),#18724,.F.); #343816=ADVANCED_FACE('',(#35351),#328829,.F.); #343817=ADVANCED_FACE('',(#35352),#18725,.T.); #343818=ADVANCED_FACE('',(#35353),#18726,.T.); #343819=ADVANCED_FACE('',(#35354),#18727,.T.); #343820=ADVANCED_FACE('',(#35355),#18728,.T.); #343821=ADVANCED_FACE('',(#35356),#18729,.T.); #343822=ADVANCED_FACE('',(#35357),#18730,.T.); #343823=ADVANCED_FACE('',(#35358),#18731,.T.); #343824=ADVANCED_FACE('',(#35359),#18732,.T.); #343825=ADVANCED_FACE('',(#35360),#18733,.T.); #343826=ADVANCED_FACE('',(#35361),#18734,.T.); #343827=ADVANCED_FACE('',(#35362),#18735,.T.); #343828=ADVANCED_FACE('',(#35363),#18736,.T.); #343829=ADVANCED_FACE('',(#35364),#18737,.T.); #343830=ADVANCED_FACE('',(#35365),#18738,.T.); #343831=ADVANCED_FACE('',(#35366),#18739,.T.); #343832=ADVANCED_FACE('',(#35367),#18740,.T.); #343833=ADVANCED_FACE('',(#35368),#18741,.T.); #343834=ADVANCED_FACE('',(#35369),#18742,.T.); #343835=ADVANCED_FACE('',(#35370),#18743,.T.); #343836=ADVANCED_FACE('',(#35371),#18744,.T.); #343837=ADVANCED_FACE('',(#35372),#18745,.T.); #343838=ADVANCED_FACE('',(#35373),#18746,.T.); #343839=ADVANCED_FACE('',(#35374),#18747,.T.); #343840=ADVANCED_FACE('',(#35375),#18748,.T.); #343841=ADVANCED_FACE('',(#35376),#18749,.T.); #343842=ADVANCED_FACE('',(#35377),#18750,.T.); #343843=ADVANCED_FACE('',(#35378),#18751,.T.); #343844=ADVANCED_FACE('',(#35379),#18752,.T.); #343845=ADVANCED_FACE('',(#35380),#18753,.T.); #343846=ADVANCED_FACE('',(#35381),#18754,.T.); #343847=ADVANCED_FACE('',(#35382),#18755,.T.); #343848=ADVANCED_FACE('',(#35383),#18756,.T.); #343849=ADVANCED_FACE('',(#35384),#18757,.T.); #343850=ADVANCED_FACE('',(#35385),#18758,.T.); #343851=ADVANCED_FACE('',(#35386),#18759,.T.); #343852=ADVANCED_FACE('',(#35387),#18760,.T.); #343853=ADVANCED_FACE('',(#35388),#18761,.T.); #343854=ADVANCED_FACE('',(#35389),#18762,.T.); #343855=ADVANCED_FACE('',(#35390),#18763,.T.); #343856=ADVANCED_FACE('',(#35391),#18764,.T.); #343857=ADVANCED_FACE('',(#35392),#18765,.T.); #343858=ADVANCED_FACE('',(#35393),#18766,.T.); #343859=ADVANCED_FACE('',(#35394),#18767,.T.); #343860=ADVANCED_FACE('',(#35395),#18768,.T.); #343861=ADVANCED_FACE('',(#35396),#18769,.T.); #343862=ADVANCED_FACE('',(#35397),#18770,.T.); #343863=ADVANCED_FACE('',(#35398),#18771,.T.); #343864=ADVANCED_FACE('',(#35399),#18772,.T.); #343865=ADVANCED_FACE('',(#35400),#18773,.T.); #343866=ADVANCED_FACE('',(#35401),#18774,.T.); #343867=ADVANCED_FACE('',(#35402),#18775,.T.); #343868=ADVANCED_FACE('',(#35403),#18776,.T.); #343869=ADVANCED_FACE('',(#35404),#18777,.T.); #343870=ADVANCED_FACE('',(#35405),#18778,.T.); #343871=ADVANCED_FACE('',(#35406),#18779,.T.); #343872=ADVANCED_FACE('',(#35407),#18780,.T.); #343873=ADVANCED_FACE('',(#35408,#6442),#18781,.T.); #343874=ADVANCED_FACE('',(#35409,#6443),#18782,.F.); #343875=ADVANCED_FACE('',(#35410),#18783,.F.); #343876=ADVANCED_FACE('',(#35411),#328830,.F.); #343877=ADVANCED_FACE('',(#35412),#18784,.F.); #343878=ADVANCED_FACE('',(#35413),#328831,.F.); #343879=ADVANCED_FACE('',(#35414),#18785,.T.); #343880=ADVANCED_FACE('',(#35415),#18786,.T.); #343881=ADVANCED_FACE('',(#35416),#18787,.T.); #343882=ADVANCED_FACE('',(#35417),#18788,.T.); #343883=ADVANCED_FACE('',(#35418),#18789,.T.); #343884=ADVANCED_FACE('',(#35419),#18790,.T.); #343885=ADVANCED_FACE('',(#35420),#18791,.T.); #343886=ADVANCED_FACE('',(#35421),#18792,.T.); #343887=ADVANCED_FACE('',(#35422),#18793,.T.); #343888=ADVANCED_FACE('',(#35423),#18794,.T.); #343889=ADVANCED_FACE('',(#35424),#18795,.T.); #343890=ADVANCED_FACE('',(#35425),#18796,.T.); #343891=ADVANCED_FACE('',(#35426),#18797,.T.); #343892=ADVANCED_FACE('',(#35427),#18798,.T.); #343893=ADVANCED_FACE('',(#35428),#18799,.T.); #343894=ADVANCED_FACE('',(#35429),#18800,.T.); #343895=ADVANCED_FACE('',(#35430),#18801,.T.); #343896=ADVANCED_FACE('',(#35431),#18802,.T.); #343897=ADVANCED_FACE('',(#35432),#18803,.T.); #343898=ADVANCED_FACE('',(#35433),#18804,.T.); #343899=ADVANCED_FACE('',(#35434),#18805,.T.); #343900=ADVANCED_FACE('',(#35435),#18806,.T.); #343901=ADVANCED_FACE('',(#35436),#18807,.T.); #343902=ADVANCED_FACE('',(#35437),#18808,.T.); #343903=ADVANCED_FACE('',(#35438),#18809,.T.); #343904=ADVANCED_FACE('',(#35439),#18810,.T.); #343905=ADVANCED_FACE('',(#35440),#18811,.T.); #343906=ADVANCED_FACE('',(#35441),#18812,.T.); #343907=ADVANCED_FACE('',(#35442),#18813,.T.); #343908=ADVANCED_FACE('',(#35443),#18814,.T.); #343909=ADVANCED_FACE('',(#35444),#18815,.T.); #343910=ADVANCED_FACE('',(#35445),#18816,.T.); #343911=ADVANCED_FACE('',(#35446),#18817,.T.); #343912=ADVANCED_FACE('',(#35447),#18818,.T.); #343913=ADVANCED_FACE('',(#35448),#18819,.T.); #343914=ADVANCED_FACE('',(#35449),#18820,.T.); #343915=ADVANCED_FACE('',(#35450),#18821,.T.); #343916=ADVANCED_FACE('',(#35451),#18822,.T.); #343917=ADVANCED_FACE('',(#35452),#18823,.T.); #343918=ADVANCED_FACE('',(#35453),#18824,.T.); #343919=ADVANCED_FACE('',(#35454),#18825,.T.); #343920=ADVANCED_FACE('',(#35455),#18826,.T.); #343921=ADVANCED_FACE('',(#35456),#18827,.T.); #343922=ADVANCED_FACE('',(#35457),#18828,.T.); #343923=ADVANCED_FACE('',(#35458),#18829,.T.); #343924=ADVANCED_FACE('',(#35459),#18830,.T.); #343925=ADVANCED_FACE('',(#35460),#18831,.T.); #343926=ADVANCED_FACE('',(#35461),#18832,.T.); #343927=ADVANCED_FACE('',(#35462),#18833,.T.); #343928=ADVANCED_FACE('',(#35463),#18834,.T.); #343929=ADVANCED_FACE('',(#35464),#18835,.T.); #343930=ADVANCED_FACE('',(#35465),#18836,.T.); #343931=ADVANCED_FACE('',(#35466),#18837,.T.); #343932=ADVANCED_FACE('',(#35467),#18838,.T.); #343933=ADVANCED_FACE('',(#35468),#18839,.T.); #343934=ADVANCED_FACE('',(#35469),#18840,.T.); #343935=ADVANCED_FACE('',(#35470),#18841,.T.); #343936=ADVANCED_FACE('',(#35471),#18842,.T.); #343937=ADVANCED_FACE('',(#35472),#18843,.T.); #343938=ADVANCED_FACE('',(#35473),#18844,.T.); #343939=ADVANCED_FACE('',(#35474),#18845,.T.); #343940=ADVANCED_FACE('',(#35475),#18846,.T.); #343941=ADVANCED_FACE('',(#35476),#18847,.T.); #343942=ADVANCED_FACE('',(#35477),#18848,.T.); #343943=ADVANCED_FACE('',(#35478),#18849,.T.); #343944=ADVANCED_FACE('',(#35479),#18850,.T.); #343945=ADVANCED_FACE('',(#35480),#18851,.T.); #343946=ADVANCED_FACE('',(#35481),#18852,.T.); #343947=ADVANCED_FACE('',(#35482),#18853,.T.); #343948=ADVANCED_FACE('',(#35483),#18854,.T.); #343949=ADVANCED_FACE('',(#35484),#18855,.T.); #343950=ADVANCED_FACE('',(#35485),#18856,.T.); #343951=ADVANCED_FACE('',(#35486,#6444),#18857,.T.); #343952=ADVANCED_FACE('',(#35487,#6445),#18858,.F.); #343953=ADVANCED_FACE('',(#35488),#328832,.F.); #343954=ADVANCED_FACE('',(#35489),#18859,.T.); #343955=ADVANCED_FACE('',(#35490),#328833,.T.); #343956=ADVANCED_FACE('',(#35491),#328834,.T.); #343957=ADVANCED_FACE('',(#35492,#6446),#18860,.T.); #343958=ADVANCED_FACE('',(#35493,#6447),#18861,.F.); #343959=ADVANCED_FACE('',(#35494),#328835,.F.); #343960=ADVANCED_FACE('',(#35495),#18862,.T.); #343961=ADVANCED_FACE('',(#35496),#328836,.T.); #343962=ADVANCED_FACE('',(#35497),#328837,.T.); #343963=ADVANCED_FACE('',(#35498,#6448),#18863,.T.); #343964=ADVANCED_FACE('',(#35499,#6449),#18864,.F.); #343965=ADVANCED_FACE('',(#35500),#18865,.F.); #343966=ADVANCED_FACE('',(#35501),#328838,.F.); #343967=ADVANCED_FACE('',(#35502),#18866,.F.); #343968=ADVANCED_FACE('',(#35503),#328839,.F.); #343969=ADVANCED_FACE('',(#35504),#18867,.T.); #343970=ADVANCED_FACE('',(#35505),#18868,.T.); #343971=ADVANCED_FACE('',(#35506),#18869,.T.); #343972=ADVANCED_FACE('',(#35507),#18870,.T.); #343973=ADVANCED_FACE('',(#35508),#18871,.T.); #343974=ADVANCED_FACE('',(#35509),#18872,.T.); #343975=ADVANCED_FACE('',(#35510),#18873,.T.); #343976=ADVANCED_FACE('',(#35511),#18874,.T.); #343977=ADVANCED_FACE('',(#35512),#18875,.T.); #343978=ADVANCED_FACE('',(#35513),#18876,.T.); #343979=ADVANCED_FACE('',(#35514),#18877,.T.); #343980=ADVANCED_FACE('',(#35515),#18878,.T.); #343981=ADVANCED_FACE('',(#35516),#18879,.T.); #343982=ADVANCED_FACE('',(#35517),#18880,.T.); #343983=ADVANCED_FACE('',(#35518),#18881,.T.); #343984=ADVANCED_FACE('',(#35519),#18882,.T.); #343985=ADVANCED_FACE('',(#35520),#18883,.T.); #343986=ADVANCED_FACE('',(#35521),#18884,.T.); #343987=ADVANCED_FACE('',(#35522),#18885,.T.); #343988=ADVANCED_FACE('',(#35523),#18886,.T.); #343989=ADVANCED_FACE('',(#35524),#18887,.T.); #343990=ADVANCED_FACE('',(#35525),#18888,.T.); #343991=ADVANCED_FACE('',(#35526),#18889,.T.); #343992=ADVANCED_FACE('',(#35527),#18890,.T.); #343993=ADVANCED_FACE('',(#35528),#18891,.T.); #343994=ADVANCED_FACE('',(#35529),#18892,.T.); #343995=ADVANCED_FACE('',(#35530),#18893,.T.); #343996=ADVANCED_FACE('',(#35531),#18894,.T.); #343997=ADVANCED_FACE('',(#35532),#18895,.T.); #343998=ADVANCED_FACE('',(#35533),#18896,.T.); #343999=ADVANCED_FACE('',(#35534),#18897,.T.); #344000=ADVANCED_FACE('',(#35535),#18898,.T.); #344001=ADVANCED_FACE('',(#35536),#18899,.T.); #344002=ADVANCED_FACE('',(#35537),#18900,.T.); #344003=ADVANCED_FACE('',(#35538),#18901,.T.); #344004=ADVANCED_FACE('',(#35539),#18902,.T.); #344005=ADVANCED_FACE('',(#35540),#18903,.T.); #344006=ADVANCED_FACE('',(#35541),#18904,.T.); #344007=ADVANCED_FACE('',(#35542),#18905,.T.); #344008=ADVANCED_FACE('',(#35543),#18906,.T.); #344009=ADVANCED_FACE('',(#35544),#18907,.T.); #344010=ADVANCED_FACE('',(#35545),#18908,.T.); #344011=ADVANCED_FACE('',(#35546),#18909,.T.); #344012=ADVANCED_FACE('',(#35547),#18910,.T.); #344013=ADVANCED_FACE('',(#35548),#18911,.T.); #344014=ADVANCED_FACE('',(#35549),#18912,.T.); #344015=ADVANCED_FACE('',(#35550),#18913,.T.); #344016=ADVANCED_FACE('',(#35551),#18914,.T.); #344017=ADVANCED_FACE('',(#35552),#18915,.T.); #344018=ADVANCED_FACE('',(#35553),#18916,.T.); #344019=ADVANCED_FACE('',(#35554),#18917,.T.); #344020=ADVANCED_FACE('',(#35555),#18918,.T.); #344021=ADVANCED_FACE('',(#35556),#18919,.T.); #344022=ADVANCED_FACE('',(#35557),#18920,.T.); #344023=ADVANCED_FACE('',(#35558),#18921,.T.); #344024=ADVANCED_FACE('',(#35559),#18922,.T.); #344025=ADVANCED_FACE('',(#35560,#6450),#18923,.T.); #344026=ADVANCED_FACE('',(#35561,#6451),#18924,.F.); #344027=ADVANCED_FACE('',(#35562),#328840,.F.); #344028=ADVANCED_FACE('',(#35563),#18925,.T.); #344029=ADVANCED_FACE('',(#35564),#328841,.T.); #344030=ADVANCED_FACE('',(#35565),#328842,.T.); #344031=ADVANCED_FACE('',(#35566,#6452),#18926,.T.); #344032=ADVANCED_FACE('',(#35567,#6453),#18927,.F.); #344033=ADVANCED_FACE('',(#35568),#328843,.F.); #344034=ADVANCED_FACE('',(#35569),#18928,.T.); #344035=ADVANCED_FACE('',(#35570),#328844,.T.); #344036=ADVANCED_FACE('',(#35571),#328845,.T.); #344037=ADVANCED_FACE('',(#35572,#6454),#18929,.T.); #344038=ADVANCED_FACE('',(#35573,#6455),#18930,.F.); #344039=ADVANCED_FACE('',(#35574),#328846,.F.); #344040=ADVANCED_FACE('',(#35575),#18931,.T.); #344041=ADVANCED_FACE('',(#35576),#328847,.T.); #344042=ADVANCED_FACE('',(#35577),#328848,.T.); #344043=ADVANCED_FACE('',(#35578,#6456),#18932,.T.); #344044=ADVANCED_FACE('',(#35579,#6457),#18933,.F.); #344045=ADVANCED_FACE('',(#35580),#328849,.F.); #344046=ADVANCED_FACE('',(#35581),#18934,.T.); #344047=ADVANCED_FACE('',(#35582),#18935,.T.); #344048=ADVANCED_FACE('',(#35583),#18936,.T.); #344049=ADVANCED_FACE('',(#35584),#18937,.T.); #344050=ADVANCED_FACE('',(#35585,#6458),#18938,.T.); #344051=ADVANCED_FACE('',(#35586,#6459),#18939,.F.); #344052=ADVANCED_FACE('',(#35587),#328850,.F.); #344053=ADVANCED_FACE('',(#35588),#18940,.T.); #344054=ADVANCED_FACE('',(#35589),#328851,.T.); #344055=ADVANCED_FACE('',(#35590),#328852,.T.); #344056=ADVANCED_FACE('',(#35591,#6460),#18941,.T.); #344057=ADVANCED_FACE('',(#35592,#6461),#18942,.F.); #344058=ADVANCED_FACE('',(#35593),#18943,.T.); #344059=ADVANCED_FACE('',(#35594),#18944,.T.); #344060=ADVANCED_FACE('',(#35595),#18945,.T.); #344061=ADVANCED_FACE('',(#35596),#18946,.T.); #344062=ADVANCED_FACE('',(#35597),#18947,.T.); #344063=ADVANCED_FACE('',(#35598),#18948,.F.); #344064=ADVANCED_FACE('',(#35599),#18949,.T.); #344065=ADVANCED_FACE('',(#35600),#18950,.T.); #344066=ADVANCED_FACE('',(#35601),#18951,.T.); #344067=ADVANCED_FACE('',(#35602),#18952,.T.); #344068=ADVANCED_FACE('',(#35603),#18953,.T.); #344069=ADVANCED_FACE('',(#35604),#18954,.F.); #344070=ADVANCED_FACE('',(#35605),#18955,.T.); #344071=ADVANCED_FACE('',(#35606),#18956,.T.); #344072=ADVANCED_FACE('',(#35607),#18957,.T.); #344073=ADVANCED_FACE('',(#35608),#18958,.T.); #344074=ADVANCED_FACE('',(#35609),#18959,.T.); #344075=ADVANCED_FACE('',(#35610),#18960,.F.); #344076=ADVANCED_FACE('',(#35611),#18961,.T.); #344077=ADVANCED_FACE('',(#35612),#18962,.T.); #344078=ADVANCED_FACE('',(#35613),#18963,.T.); #344079=ADVANCED_FACE('',(#35614),#18964,.T.); #344080=ADVANCED_FACE('',(#35615),#18965,.T.); #344081=ADVANCED_FACE('',(#35616),#18966,.F.); #344082=ADVANCED_FACE('',(#35617),#18967,.T.); #344083=ADVANCED_FACE('',(#35618),#18968,.T.); #344084=ADVANCED_FACE('',(#35619),#18969,.T.); #344085=ADVANCED_FACE('',(#35620),#18970,.T.); #344086=ADVANCED_FACE('',(#35621),#18971,.T.); #344087=ADVANCED_FACE('',(#35622),#18972,.F.); #344088=ADVANCED_FACE('',(#35623),#18973,.T.); #344089=ADVANCED_FACE('',(#35624),#18974,.T.); #344090=ADVANCED_FACE('',(#35625),#18975,.T.); #344091=ADVANCED_FACE('',(#35626),#18976,.T.); #344092=ADVANCED_FACE('',(#35627),#18977,.T.); #344093=ADVANCED_FACE('',(#35628),#18978,.F.); #344094=ADVANCED_FACE('',(#35629),#18979,.T.); #344095=ADVANCED_FACE('',(#35630),#18980,.T.); #344096=ADVANCED_FACE('',(#35631),#18981,.T.); #344097=ADVANCED_FACE('',(#35632),#18982,.T.); #344098=ADVANCED_FACE('',(#35633),#18983,.T.); #344099=ADVANCED_FACE('',(#35634),#18984,.F.); #344100=ADVANCED_FACE('',(#35635),#18985,.T.); #344101=ADVANCED_FACE('',(#35636),#18986,.T.); #344102=ADVANCED_FACE('',(#35637),#18987,.T.); #344103=ADVANCED_FACE('',(#35638),#18988,.T.); #344104=ADVANCED_FACE('',(#35639),#18989,.T.); #344105=ADVANCED_FACE('',(#35640),#18990,.F.); #344106=ADVANCED_FACE('',(#35641),#18991,.T.); #344107=ADVANCED_FACE('',(#35642),#18992,.T.); #344108=ADVANCED_FACE('',(#35643),#18993,.T.); #344109=ADVANCED_FACE('',(#35644),#18994,.T.); #344110=ADVANCED_FACE('',(#35645),#18995,.T.); #344111=ADVANCED_FACE('',(#35646),#18996,.F.); #344112=ADVANCED_FACE('',(#35647),#18997,.T.); #344113=ADVANCED_FACE('',(#35648),#18998,.T.); #344114=ADVANCED_FACE('',(#35649),#18999,.T.); #344115=ADVANCED_FACE('',(#35650),#19000,.T.); #344116=ADVANCED_FACE('',(#35651),#19001,.T.); #344117=ADVANCED_FACE('',(#35652),#19002,.F.); #344118=ADVANCED_FACE('',(#35653),#19003,.T.); #344119=ADVANCED_FACE('',(#35654),#19004,.T.); #344120=ADVANCED_FACE('',(#35655),#19005,.T.); #344121=ADVANCED_FACE('',(#35656),#19006,.T.); #344122=ADVANCED_FACE('',(#35657),#19007,.T.); #344123=ADVANCED_FACE('',(#35658),#19008,.F.); #344124=ADVANCED_FACE('',(#35659),#19009,.T.); #344125=ADVANCED_FACE('',(#35660),#19010,.T.); #344126=ADVANCED_FACE('',(#35661),#19011,.T.); #344127=ADVANCED_FACE('',(#35662),#19012,.T.); #344128=ADVANCED_FACE('',(#35663),#19013,.T.); #344129=ADVANCED_FACE('',(#35664),#19014,.F.); #344130=ADVANCED_FACE('',(#35665),#19015,.T.); #344131=ADVANCED_FACE('',(#35666),#19016,.T.); #344132=ADVANCED_FACE('',(#35667),#19017,.T.); #344133=ADVANCED_FACE('',(#35668),#19018,.T.); #344134=ADVANCED_FACE('',(#35669),#19019,.T.); #344135=ADVANCED_FACE('',(#35670),#19020,.F.); #344136=ADVANCED_FACE('',(#35671),#19021,.T.); #344137=ADVANCED_FACE('',(#35672),#19022,.T.); #344138=ADVANCED_FACE('',(#35673),#19023,.T.); #344139=ADVANCED_FACE('',(#35674),#19024,.T.); #344140=ADVANCED_FACE('',(#35675),#19025,.T.); #344141=ADVANCED_FACE('',(#35676),#19026,.F.); #344142=ADVANCED_FACE('',(#35677),#19027,.T.); #344143=ADVANCED_FACE('',(#35678),#19028,.T.); #344144=ADVANCED_FACE('',(#35679),#19029,.T.); #344145=ADVANCED_FACE('',(#35680),#19030,.T.); #344146=ADVANCED_FACE('',(#35681),#19031,.T.); #344147=ADVANCED_FACE('',(#35682),#19032,.F.); #344148=ADVANCED_FACE('',(#35683),#19033,.T.); #344149=ADVANCED_FACE('',(#35684),#19034,.T.); #344150=ADVANCED_FACE('',(#35685),#19035,.T.); #344151=ADVANCED_FACE('',(#35686),#19036,.T.); #344152=ADVANCED_FACE('',(#35687),#19037,.T.); #344153=ADVANCED_FACE('',(#35688),#19038,.F.); #344154=ADVANCED_FACE('',(#35689),#19039,.T.); #344155=ADVANCED_FACE('',(#35690),#19040,.T.); #344156=ADVANCED_FACE('',(#35691),#19041,.T.); #344157=ADVANCED_FACE('',(#35692),#19042,.T.); #344158=ADVANCED_FACE('',(#35693),#19043,.T.); #344159=ADVANCED_FACE('',(#35694),#19044,.F.); #344160=ADVANCED_FACE('',(#35695),#19045,.T.); #344161=ADVANCED_FACE('',(#35696),#19046,.T.); #344162=ADVANCED_FACE('',(#35697),#19047,.T.); #344163=ADVANCED_FACE('',(#35698),#19048,.T.); #344164=ADVANCED_FACE('',(#35699),#19049,.T.); #344165=ADVANCED_FACE('',(#35700),#19050,.F.); #344166=ADVANCED_FACE('',(#35701),#19051,.T.); #344167=ADVANCED_FACE('',(#35702),#19052,.T.); #344168=ADVANCED_FACE('',(#35703),#19053,.T.); #344169=ADVANCED_FACE('',(#35704),#19054,.T.); #344170=ADVANCED_FACE('',(#35705),#19055,.T.); #344171=ADVANCED_FACE('',(#35706),#19056,.F.); #344172=ADVANCED_FACE('',(#35707),#19057,.T.); #344173=ADVANCED_FACE('',(#35708),#19058,.T.); #344174=ADVANCED_FACE('',(#35709),#19059,.T.); #344175=ADVANCED_FACE('',(#35710),#19060,.T.); #344176=ADVANCED_FACE('',(#35711),#19061,.T.); #344177=ADVANCED_FACE('',(#35712),#19062,.F.); #344178=ADVANCED_FACE('',(#35713),#19063,.T.); #344179=ADVANCED_FACE('',(#35714),#19064,.T.); #344180=ADVANCED_FACE('',(#35715),#19065,.T.); #344181=ADVANCED_FACE('',(#35716),#19066,.T.); #344182=ADVANCED_FACE('',(#35717),#19067,.T.); #344183=ADVANCED_FACE('',(#35718),#19068,.F.); #344184=ADVANCED_FACE('',(#35719),#19069,.T.); #344185=ADVANCED_FACE('',(#35720),#19070,.T.); #344186=ADVANCED_FACE('',(#35721),#19071,.T.); #344187=ADVANCED_FACE('',(#35722),#19072,.T.); #344188=ADVANCED_FACE('',(#35723),#19073,.T.); #344189=ADVANCED_FACE('',(#35724),#19074,.F.); #344190=ADVANCED_FACE('',(#35725),#19075,.T.); #344191=ADVANCED_FACE('',(#35726),#19076,.T.); #344192=ADVANCED_FACE('',(#35727),#19077,.T.); #344193=ADVANCED_FACE('',(#35728),#19078,.T.); #344194=ADVANCED_FACE('',(#35729),#19079,.T.); #344195=ADVANCED_FACE('',(#35730),#19080,.F.); #344196=ADVANCED_FACE('',(#35731),#328853,.F.); #344197=ADVANCED_FACE('',(#35732),#328854,.F.); #344198=ADVANCED_FACE('',(#35733),#19081,.T.); #344199=ADVANCED_FACE('',(#35734),#19082,.T.); #344200=ADVANCED_FACE('',(#35735),#19083,.T.); #344201=ADVANCED_FACE('',(#35736),#19084,.T.); #344202=ADVANCED_FACE('',(#35737,#6462,#6463),#19085,.T.); #344203=ADVANCED_FACE('',(#35738,#6464,#6465),#19086,.F.); #344204=ADVANCED_FACE('',(#35739),#19087,.T.); #344205=ADVANCED_FACE('',(#35740),#19088,.T.); #344206=ADVANCED_FACE('',(#35741),#19089,.T.); #344207=ADVANCED_FACE('',(#35742),#19090,.T.); #344208=ADVANCED_FACE('',(#35743),#19091,.T.); #344209=ADVANCED_FACE('',(#35744),#19092,.F.); #344210=ADVANCED_FACE('',(#35745),#328855,.F.); #344211=ADVANCED_FACE('',(#35746),#328856,.F.); #344212=ADVANCED_FACE('',(#35747),#19093,.T.); #344213=ADVANCED_FACE('',(#35748),#19094,.T.); #344214=ADVANCED_FACE('',(#35749),#19095,.T.); #344215=ADVANCED_FACE('',(#35750),#19096,.T.); #344216=ADVANCED_FACE('',(#35751,#6466,#6467),#19097,.T.); #344217=ADVANCED_FACE('',(#35752,#6468,#6469),#19098,.F.); #344218=ADVANCED_FACE('',(#35753),#19099,.T.); #344219=ADVANCED_FACE('',(#35754),#19100,.T.); #344220=ADVANCED_FACE('',(#35755),#19101,.T.); #344221=ADVANCED_FACE('',(#35756),#19102,.T.); #344222=ADVANCED_FACE('',(#35757),#19103,.T.); #344223=ADVANCED_FACE('',(#35758),#19104,.F.); #344224=ADVANCED_FACE('',(#35759),#19105,.T.); #344225=ADVANCED_FACE('',(#35760),#19106,.T.); #344226=ADVANCED_FACE('',(#35761),#19107,.T.); #344227=ADVANCED_FACE('',(#35762),#19108,.T.); #344228=ADVANCED_FACE('',(#35763),#19109,.T.); #344229=ADVANCED_FACE('',(#35764),#19110,.F.); #344230=ADVANCED_FACE('',(#35765),#19111,.T.); #344231=ADVANCED_FACE('',(#35766),#19112,.T.); #344232=ADVANCED_FACE('',(#35767),#19113,.T.); #344233=ADVANCED_FACE('',(#35768),#19114,.T.); #344234=ADVANCED_FACE('',(#35769),#19115,.T.); #344235=ADVANCED_FACE('',(#35770),#19116,.F.); #344236=ADVANCED_FACE('',(#35771),#19117,.T.); #344237=ADVANCED_FACE('',(#35772),#19118,.T.); #344238=ADVANCED_FACE('',(#35773),#19119,.T.); #344239=ADVANCED_FACE('',(#35774),#19120,.T.); #344240=ADVANCED_FACE('',(#35775),#19121,.T.); #344241=ADVANCED_FACE('',(#35776),#19122,.F.); #344242=ADVANCED_FACE('',(#35777),#19123,.T.); #344243=ADVANCED_FACE('',(#35778),#19124,.T.); #344244=ADVANCED_FACE('',(#35779),#19125,.T.); #344245=ADVANCED_FACE('',(#35780),#19126,.T.); #344246=ADVANCED_FACE('',(#35781),#19127,.T.); #344247=ADVANCED_FACE('',(#35782),#19128,.F.); #344248=ADVANCED_FACE('',(#35783),#19129,.T.); #344249=ADVANCED_FACE('',(#35784),#19130,.T.); #344250=ADVANCED_FACE('',(#35785),#19131,.T.); #344251=ADVANCED_FACE('',(#35786),#19132,.T.); #344252=ADVANCED_FACE('',(#35787),#19133,.T.); #344253=ADVANCED_FACE('',(#35788),#19134,.F.); #344254=ADVANCED_FACE('',(#35789),#19135,.T.); #344255=ADVANCED_FACE('',(#35790),#19136,.T.); #344256=ADVANCED_FACE('',(#35791),#19137,.T.); #344257=ADVANCED_FACE('',(#35792),#19138,.T.); #344258=ADVANCED_FACE('',(#35793),#19139,.T.); #344259=ADVANCED_FACE('',(#35794),#19140,.F.); #344260=ADVANCED_FACE('',(#35795),#19141,.T.); #344261=ADVANCED_FACE('',(#35796),#19142,.T.); #344262=ADVANCED_FACE('',(#35797),#19143,.T.); #344263=ADVANCED_FACE('',(#35798),#19144,.T.); #344264=ADVANCED_FACE('',(#35799),#19145,.T.); #344265=ADVANCED_FACE('',(#35800),#19146,.F.); #344266=ADVANCED_FACE('',(#35801),#19147,.T.); #344267=ADVANCED_FACE('',(#35802),#19148,.T.); #344268=ADVANCED_FACE('',(#35803),#19149,.T.); #344269=ADVANCED_FACE('',(#35804),#19150,.T.); #344270=ADVANCED_FACE('',(#35805),#19151,.T.); #344271=ADVANCED_FACE('',(#35806),#19152,.F.); #344272=ADVANCED_FACE('',(#35807),#19153,.T.); #344273=ADVANCED_FACE('',(#35808),#19154,.T.); #344274=ADVANCED_FACE('',(#35809),#19155,.T.); #344275=ADVANCED_FACE('',(#35810),#19156,.T.); #344276=ADVANCED_FACE('',(#35811),#19157,.T.); #344277=ADVANCED_FACE('',(#35812),#19158,.F.); #344278=ADVANCED_FACE('',(#35813),#19159,.T.); #344279=ADVANCED_FACE('',(#35814),#19160,.T.); #344280=ADVANCED_FACE('',(#35815),#19161,.T.); #344281=ADVANCED_FACE('',(#35816),#19162,.T.); #344282=ADVANCED_FACE('',(#35817),#19163,.T.); #344283=ADVANCED_FACE('',(#35818),#19164,.F.); #344284=ADVANCED_FACE('',(#35819),#19165,.T.); #344285=ADVANCED_FACE('',(#35820),#19166,.T.); #344286=ADVANCED_FACE('',(#35821),#19167,.T.); #344287=ADVANCED_FACE('',(#35822),#19168,.T.); #344288=ADVANCED_FACE('',(#35823),#19169,.T.); #344289=ADVANCED_FACE('',(#35824),#19170,.F.); #344290=ADVANCED_FACE('',(#35825),#19171,.T.); #344291=ADVANCED_FACE('',(#35826),#19172,.T.); #344292=ADVANCED_FACE('',(#35827),#19173,.T.); #344293=ADVANCED_FACE('',(#35828),#19174,.T.); #344294=ADVANCED_FACE('',(#35829),#19175,.T.); #344295=ADVANCED_FACE('',(#35830),#19176,.F.); #344296=ADVANCED_FACE('',(#35831),#19177,.T.); #344297=ADVANCED_FACE('',(#35832),#19178,.T.); #344298=ADVANCED_FACE('',(#35833),#19179,.T.); #344299=ADVANCED_FACE('',(#35834),#19180,.T.); #344300=ADVANCED_FACE('',(#35835),#19181,.T.); #344301=ADVANCED_FACE('',(#35836),#19182,.F.); #344302=ADVANCED_FACE('',(#35837),#19183,.T.); #344303=ADVANCED_FACE('',(#35838),#19184,.T.); #344304=ADVANCED_FACE('',(#35839),#19185,.T.); #344305=ADVANCED_FACE('',(#35840),#19186,.T.); #344306=ADVANCED_FACE('',(#35841),#19187,.T.); #344307=ADVANCED_FACE('',(#35842),#19188,.F.); #344308=ADVANCED_FACE('',(#35843),#19189,.T.); #344309=ADVANCED_FACE('',(#35844),#19190,.T.); #344310=ADVANCED_FACE('',(#35845),#19191,.T.); #344311=ADVANCED_FACE('',(#35846),#19192,.T.); #344312=ADVANCED_FACE('',(#35847),#19193,.T.); #344313=ADVANCED_FACE('',(#35848),#19194,.F.); #344314=ADVANCED_FACE('',(#35849),#328857,.F.); #344315=ADVANCED_FACE('',(#35850),#19195,.T.); #344316=ADVANCED_FACE('',(#35851),#19196,.T.); #344317=ADVANCED_FACE('',(#35852),#19197,.T.); #344318=ADVANCED_FACE('',(#35853),#19198,.T.); #344319=ADVANCED_FACE('',(#35854,#6470),#19199,.T.); #344320=ADVANCED_FACE('',(#35855,#6471),#19200,.F.); #344321=ADVANCED_FACE('',(#35856),#19201,.T.); #344322=ADVANCED_FACE('',(#35857),#19202,.T.); #344323=ADVANCED_FACE('',(#35858),#19203,.T.); #344324=ADVANCED_FACE('',(#35859),#19204,.T.); #344325=ADVANCED_FACE('',(#35860),#19205,.T.); #344326=ADVANCED_FACE('',(#35861),#19206,.F.); #344327=ADVANCED_FACE('',(#35862),#19207,.T.); #344328=ADVANCED_FACE('',(#35863),#19208,.T.); #344329=ADVANCED_FACE('',(#35864),#19209,.T.); #344330=ADVANCED_FACE('',(#35865),#19210,.T.); #344331=ADVANCED_FACE('',(#35866),#19211,.T.); #344332=ADVANCED_FACE('',(#35867),#19212,.F.); #344333=ADVANCED_FACE('',(#35868),#19213,.T.); #344334=ADVANCED_FACE('',(#35869),#19214,.T.); #344335=ADVANCED_FACE('',(#35870),#19215,.T.); #344336=ADVANCED_FACE('',(#35871),#19216,.T.); #344337=ADVANCED_FACE('',(#35872),#19217,.T.); #344338=ADVANCED_FACE('',(#35873),#19218,.F.); #344339=ADVANCED_FACE('',(#35874),#19219,.T.); #344340=ADVANCED_FACE('',(#35875),#19220,.T.); #344341=ADVANCED_FACE('',(#35876),#19221,.T.); #344342=ADVANCED_FACE('',(#35877),#19222,.T.); #344343=ADVANCED_FACE('',(#35878),#19223,.T.); #344344=ADVANCED_FACE('',(#35879),#19224,.F.); #344345=ADVANCED_FACE('',(#35880),#328858,.F.); #344346=ADVANCED_FACE('',(#35881),#328859,.F.); #344347=ADVANCED_FACE('',(#35882),#328860,.F.); #344348=ADVANCED_FACE('',(#35883),#328861,.F.); #344349=ADVANCED_FACE('',(#35884),#328862,.F.); #344350=ADVANCED_FACE('',(#35885),#328863,.F.); #344351=ADVANCED_FACE('',(#35886),#328864,.F.); #344352=ADVANCED_FACE('',(#35887),#328865,.F.); #344353=ADVANCED_FACE('',(#35888),#328866,.F.); #344354=ADVANCED_FACE('',(#35889),#328867,.F.); #344355=ADVANCED_FACE('',(#35890),#328868,.F.); #344356=ADVANCED_FACE('',(#35891),#328869,.F.); #344357=ADVANCED_FACE('',(#35892),#328870,.F.); #344358=ADVANCED_FACE('',(#35893),#328871,.F.); #344359=ADVANCED_FACE('',(#35894),#328872,.F.); #344360=ADVANCED_FACE('',(#35895),#19225,.T.); #344361=ADVANCED_FACE('',(#35896),#19226,.T.); #344362=ADVANCED_FACE('',(#35897),#19227,.T.); #344363=ADVANCED_FACE('',(#35898),#19228,.T.); #344364=ADVANCED_FACE('',(#35899,#6472,#6473,#6474,#6475,#6476,#6477,#6478, #6479,#6480,#6481,#6482,#6483,#6484,#6485,#6486),#19229,.T.); #344365=ADVANCED_FACE('',(#35900,#6487,#6488,#6489,#6490,#6491,#6492,#6493, #6494,#6495,#6496,#6497,#6498,#6499,#6500,#6501),#19230,.F.); #344366=ADVANCED_FACE('',(#35901),#19231,.T.); #344367=ADVANCED_FACE('',(#35902),#19232,.T.); #344368=ADVANCED_FACE('',(#35903),#19233,.T.); #344369=ADVANCED_FACE('',(#35904),#19234,.T.); #344370=ADVANCED_FACE('',(#35905),#19235,.T.); #344371=ADVANCED_FACE('',(#35906),#19236,.F.); #344372=ADVANCED_FACE('',(#35907),#19237,.T.); #344373=ADVANCED_FACE('',(#35908),#19238,.T.); #344374=ADVANCED_FACE('',(#35909),#19239,.T.); #344375=ADVANCED_FACE('',(#35910),#19240,.T.); #344376=ADVANCED_FACE('',(#35911),#19241,.T.); #344377=ADVANCED_FACE('',(#35912),#19242,.F.); #344378=ADVANCED_FACE('',(#35913),#19243,.T.); #344379=ADVANCED_FACE('',(#35914),#19244,.T.); #344380=ADVANCED_FACE('',(#35915),#19245,.T.); #344381=ADVANCED_FACE('',(#35916),#19246,.T.); #344382=ADVANCED_FACE('',(#35917),#19247,.T.); #344383=ADVANCED_FACE('',(#35918),#19248,.F.); #344384=ADVANCED_FACE('',(#35919),#328873,.F.); #344385=ADVANCED_FACE('',(#35920),#19249,.T.); #344386=ADVANCED_FACE('',(#35921),#19250,.T.); #344387=ADVANCED_FACE('',(#35922),#19251,.T.); #344388=ADVANCED_FACE('',(#35923),#19252,.T.); #344389=ADVANCED_FACE('',(#35924,#6502),#19253,.T.); #344390=ADVANCED_FACE('',(#35925,#6503),#19254,.F.); #344391=ADVANCED_FACE('',(#35926),#19255,.T.); #344392=ADVANCED_FACE('',(#35927),#19256,.T.); #344393=ADVANCED_FACE('',(#35928),#19257,.T.); #344394=ADVANCED_FACE('',(#35929),#19258,.T.); #344395=ADVANCED_FACE('',(#35930),#19259,.T.); #344396=ADVANCED_FACE('',(#35931),#19260,.F.); #344397=ADVANCED_FACE('',(#35932),#19261,.T.); #344398=ADVANCED_FACE('',(#35933),#19262,.T.); #344399=ADVANCED_FACE('',(#35934),#19263,.T.); #344400=ADVANCED_FACE('',(#35935),#19264,.T.); #344401=ADVANCED_FACE('',(#35936),#19265,.T.); #344402=ADVANCED_FACE('',(#35937),#19266,.F.); #344403=ADVANCED_FACE('',(#35938),#19267,.T.); #344404=ADVANCED_FACE('',(#35939),#19268,.T.); #344405=ADVANCED_FACE('',(#35940),#19269,.T.); #344406=ADVANCED_FACE('',(#35941),#19270,.T.); #344407=ADVANCED_FACE('',(#35942),#19271,.T.); #344408=ADVANCED_FACE('',(#35943),#19272,.F.); #344409=ADVANCED_FACE('',(#35944),#19273,.T.); #344410=ADVANCED_FACE('',(#35945),#19274,.T.); #344411=ADVANCED_FACE('',(#35946),#19275,.T.); #344412=ADVANCED_FACE('',(#35947),#19276,.T.); #344413=ADVANCED_FACE('',(#35948),#19277,.T.); #344414=ADVANCED_FACE('',(#35949),#19278,.F.); #344415=ADVANCED_FACE('',(#35950),#328874,.F.); #344416=ADVANCED_FACE('',(#35951),#328875,.F.); #344417=ADVANCED_FACE('',(#35952),#328876,.F.); #344418=ADVANCED_FACE('',(#35953),#328877,.F.); #344419=ADVANCED_FACE('',(#35954),#328878,.F.); #344420=ADVANCED_FACE('',(#35955),#328879,.F.); #344421=ADVANCED_FACE('',(#35956),#328880,.F.); #344422=ADVANCED_FACE('',(#35957),#328881,.F.); #344423=ADVANCED_FACE('',(#35958),#328882,.F.); #344424=ADVANCED_FACE('',(#35959),#328883,.F.); #344425=ADVANCED_FACE('',(#35960),#328884,.F.); #344426=ADVANCED_FACE('',(#35961),#328885,.F.); #344427=ADVANCED_FACE('',(#35962),#328886,.F.); #344428=ADVANCED_FACE('',(#35963),#328887,.F.); #344429=ADVANCED_FACE('',(#35964),#328888,.F.); #344430=ADVANCED_FACE('',(#35965),#19279,.T.); #344431=ADVANCED_FACE('',(#35966),#19280,.T.); #344432=ADVANCED_FACE('',(#35967),#19281,.T.); #344433=ADVANCED_FACE('',(#35968),#19282,.T.); #344434=ADVANCED_FACE('',(#35969,#6504,#6505,#6506,#6507,#6508,#6509,#6510, #6511,#6512,#6513,#6514,#6515,#6516,#6517,#6518),#19283,.T.); #344435=ADVANCED_FACE('',(#35970,#6519,#6520,#6521,#6522,#6523,#6524,#6525, #6526,#6527,#6528,#6529,#6530,#6531,#6532,#6533),#19284,.F.); #344436=ADVANCED_FACE('',(#35971),#19285,.T.); #344437=ADVANCED_FACE('',(#35972),#19286,.T.); #344438=ADVANCED_FACE('',(#35973),#19287,.T.); #344439=ADVANCED_FACE('',(#35974),#19288,.T.); #344440=ADVANCED_FACE('',(#35975),#19289,.T.); #344441=ADVANCED_FACE('',(#35976),#19290,.F.); #344442=ADVANCED_FACE('',(#35977),#19291,.T.); #344443=ADVANCED_FACE('',(#35978),#19292,.T.); #344444=ADVANCED_FACE('',(#35979),#19293,.T.); #344445=ADVANCED_FACE('',(#35980),#19294,.T.); #344446=ADVANCED_FACE('',(#35981),#19295,.T.); #344447=ADVANCED_FACE('',(#35982),#19296,.F.); #344448=ADVANCED_FACE('',(#35983),#19297,.T.); #344449=ADVANCED_FACE('',(#35984),#19298,.T.); #344450=ADVANCED_FACE('',(#35985),#19299,.T.); #344451=ADVANCED_FACE('',(#35986),#19300,.T.); #344452=ADVANCED_FACE('',(#35987),#19301,.T.); #344453=ADVANCED_FACE('',(#35988),#19302,.F.); #344454=ADVANCED_FACE('',(#35989),#328889,.F.); #344455=ADVANCED_FACE('',(#35990),#19303,.T.); #344456=ADVANCED_FACE('',(#35991),#19304,.T.); #344457=ADVANCED_FACE('',(#35992),#19305,.T.); #344458=ADVANCED_FACE('',(#35993),#19306,.T.); #344459=ADVANCED_FACE('',(#35994,#6534),#19307,.T.); #344460=ADVANCED_FACE('',(#35995,#6535),#19308,.F.); #344461=ADVANCED_FACE('',(#35996),#328890,.F.); #344462=ADVANCED_FACE('',(#35997),#19309,.T.); #344463=ADVANCED_FACE('',(#35998),#19310,.T.); #344464=ADVANCED_FACE('',(#35999),#19311,.T.); #344465=ADVANCED_FACE('',(#36000),#19312,.T.); #344466=ADVANCED_FACE('',(#36001,#6536),#19313,.T.); #344467=ADVANCED_FACE('',(#36002,#6537),#19314,.F.); #344468=ADVANCED_FACE('',(#36003),#328891,.F.); #344469=ADVANCED_FACE('',(#36004),#19315,.T.); #344470=ADVANCED_FACE('',(#36005),#19316,.T.); #344471=ADVANCED_FACE('',(#36006),#19317,.T.); #344472=ADVANCED_FACE('',(#36007),#19318,.T.); #344473=ADVANCED_FACE('',(#36008,#6538),#19319,.T.); #344474=ADVANCED_FACE('',(#36009,#6539),#19320,.F.); #344475=ADVANCED_FACE('',(#36010),#328892,.F.); #344476=ADVANCED_FACE('',(#36011),#328893,.F.); #344477=ADVANCED_FACE('',(#36012),#328894,.F.); #344478=ADVANCED_FACE('',(#36013),#328895,.F.); #344479=ADVANCED_FACE('',(#36014),#328896,.F.); #344480=ADVANCED_FACE('',(#36015),#328897,.F.); #344481=ADVANCED_FACE('',(#36016),#328898,.F.); #344482=ADVANCED_FACE('',(#36017),#328899,.F.); #344483=ADVANCED_FACE('',(#36018),#328900,.F.); #344484=ADVANCED_FACE('',(#36019),#328901,.F.); #344485=ADVANCED_FACE('',(#36020),#328902,.F.); #344486=ADVANCED_FACE('',(#36021),#328903,.F.); #344487=ADVANCED_FACE('',(#36022),#328904,.F.); #344488=ADVANCED_FACE('',(#36023),#328905,.F.); #344489=ADVANCED_FACE('',(#36024),#328906,.F.); #344490=ADVANCED_FACE('',(#36025),#19321,.T.); #344491=ADVANCED_FACE('',(#36026),#19322,.T.); #344492=ADVANCED_FACE('',(#36027),#19323,.T.); #344493=ADVANCED_FACE('',(#36028),#19324,.T.); #344494=ADVANCED_FACE('',(#36029,#6540,#6541,#6542,#6543,#6544,#6545,#6546, #6547,#6548,#6549,#6550,#6551,#6552,#6553,#6554),#19325,.T.); #344495=ADVANCED_FACE('',(#36030,#6555,#6556,#6557,#6558,#6559,#6560,#6561, #6562,#6563,#6564,#6565,#6566,#6567,#6568,#6569),#19326,.F.); #344496=ADVANCED_FACE('',(#36031),#19327,.T.); #344497=ADVANCED_FACE('',(#36032),#19328,.T.); #344498=ADVANCED_FACE('',(#36033),#19329,.T.); #344499=ADVANCED_FACE('',(#36034),#19330,.T.); #344500=ADVANCED_FACE('',(#36035),#19331,.T.); #344501=ADVANCED_FACE('',(#36036),#19332,.F.); #344502=ADVANCED_FACE('',(#36037),#19333,.T.); #344503=ADVANCED_FACE('',(#36038),#19334,.T.); #344504=ADVANCED_FACE('',(#36039),#19335,.T.); #344505=ADVANCED_FACE('',(#36040),#19336,.T.); #344506=ADVANCED_FACE('',(#36041),#19337,.T.); #344507=ADVANCED_FACE('',(#36042),#19338,.F.); #344508=ADVANCED_FACE('',(#36043),#19339,.T.); #344509=ADVANCED_FACE('',(#36044),#19340,.T.); #344510=ADVANCED_FACE('',(#36045),#19341,.T.); #344511=ADVANCED_FACE('',(#36046),#19342,.T.); #344512=ADVANCED_FACE('',(#36047),#19343,.T.); #344513=ADVANCED_FACE('',(#36048),#19344,.F.); #344514=ADVANCED_FACE('',(#36049),#19345,.T.); #344515=ADVANCED_FACE('',(#36050),#19346,.T.); #344516=ADVANCED_FACE('',(#36051),#19347,.T.); #344517=ADVANCED_FACE('',(#36052),#19348,.T.); #344518=ADVANCED_FACE('',(#36053),#19349,.T.); #344519=ADVANCED_FACE('',(#36054),#19350,.F.); #344520=ADVANCED_FACE('',(#36055),#19351,.T.); #344521=ADVANCED_FACE('',(#36056),#19352,.T.); #344522=ADVANCED_FACE('',(#36057),#19353,.T.); #344523=ADVANCED_FACE('',(#36058),#19354,.T.); #344524=ADVANCED_FACE('',(#36059),#19355,.T.); #344525=ADVANCED_FACE('',(#36060),#19356,.F.); #344526=ADVANCED_FACE('',(#36061),#19357,.T.); #344527=ADVANCED_FACE('',(#36062),#19358,.T.); #344528=ADVANCED_FACE('',(#36063),#19359,.T.); #344529=ADVANCED_FACE('',(#36064),#19360,.T.); #344530=ADVANCED_FACE('',(#36065),#19361,.T.); #344531=ADVANCED_FACE('',(#36066),#19362,.F.); #344532=ADVANCED_FACE('',(#36067),#19363,.T.); #344533=ADVANCED_FACE('',(#36068),#19364,.T.); #344534=ADVANCED_FACE('',(#36069),#19365,.T.); #344535=ADVANCED_FACE('',(#36070),#19366,.T.); #344536=ADVANCED_FACE('',(#36071),#19367,.T.); #344537=ADVANCED_FACE('',(#36072),#19368,.F.); #344538=ADVANCED_FACE('',(#36073),#19369,.T.); #344539=ADVANCED_FACE('',(#36074),#19370,.T.); #344540=ADVANCED_FACE('',(#36075),#19371,.T.); #344541=ADVANCED_FACE('',(#36076),#19372,.T.); #344542=ADVANCED_FACE('',(#36077),#19373,.T.); #344543=ADVANCED_FACE('',(#36078),#19374,.F.); #344544=ADVANCED_FACE('',(#36079),#19375,.T.); #344545=ADVANCED_FACE('',(#36080),#19376,.T.); #344546=ADVANCED_FACE('',(#36081),#19377,.T.); #344547=ADVANCED_FACE('',(#36082),#19378,.T.); #344548=ADVANCED_FACE('',(#36083),#19379,.T.); #344549=ADVANCED_FACE('',(#36084),#19380,.F.); #344550=ADVANCED_FACE('',(#36085),#19381,.T.); #344551=ADVANCED_FACE('',(#36086),#19382,.T.); #344552=ADVANCED_FACE('',(#36087),#19383,.T.); #344553=ADVANCED_FACE('',(#36088),#19384,.T.); #344554=ADVANCED_FACE('',(#36089),#19385,.T.); #344555=ADVANCED_FACE('',(#36090),#19386,.F.); #344556=ADVANCED_FACE('',(#36091),#19387,.T.); #344557=ADVANCED_FACE('',(#36092),#19388,.T.); #344558=ADVANCED_FACE('',(#36093),#19389,.T.); #344559=ADVANCED_FACE('',(#36094),#19390,.T.); #344560=ADVANCED_FACE('',(#36095),#19391,.T.); #344561=ADVANCED_FACE('',(#36096),#19392,.F.); #344562=ADVANCED_FACE('',(#36097),#19393,.T.); #344563=ADVANCED_FACE('',(#36098),#19394,.T.); #344564=ADVANCED_FACE('',(#36099),#19395,.T.); #344565=ADVANCED_FACE('',(#36100),#19396,.T.); #344566=ADVANCED_FACE('',(#36101),#19397,.T.); #344567=ADVANCED_FACE('',(#36102),#19398,.F.); #344568=ADVANCED_FACE('',(#36103),#19399,.T.); #344569=ADVANCED_FACE('',(#36104),#19400,.T.); #344570=ADVANCED_FACE('',(#36105),#19401,.T.); #344571=ADVANCED_FACE('',(#36106),#19402,.T.); #344572=ADVANCED_FACE('',(#36107),#19403,.T.); #344573=ADVANCED_FACE('',(#36108),#19404,.F.); #344574=ADVANCED_FACE('',(#36109),#19405,.T.); #344575=ADVANCED_FACE('',(#36110),#19406,.T.); #344576=ADVANCED_FACE('',(#36111),#19407,.T.); #344577=ADVANCED_FACE('',(#36112),#19408,.T.); #344578=ADVANCED_FACE('',(#36113),#19409,.T.); #344579=ADVANCED_FACE('',(#36114),#19410,.F.); #344580=ADVANCED_FACE('',(#36115),#19411,.T.); #344581=ADVANCED_FACE('',(#36116),#19412,.T.); #344582=ADVANCED_FACE('',(#36117),#19413,.T.); #344583=ADVANCED_FACE('',(#36118),#19414,.T.); #344584=ADVANCED_FACE('',(#36119),#19415,.T.); #344585=ADVANCED_FACE('',(#36120),#19416,.F.); #344586=ADVANCED_FACE('',(#36121),#19417,.T.); #344587=ADVANCED_FACE('',(#36122),#19418,.T.); #344588=ADVANCED_FACE('',(#36123),#19419,.T.); #344589=ADVANCED_FACE('',(#36124),#19420,.T.); #344590=ADVANCED_FACE('',(#36125),#19421,.T.); #344591=ADVANCED_FACE('',(#36126),#19422,.F.); #344592=ADVANCED_FACE('',(#36127),#19423,.T.); #344593=ADVANCED_FACE('',(#36128),#19424,.T.); #344594=ADVANCED_FACE('',(#36129),#19425,.T.); #344595=ADVANCED_FACE('',(#36130),#19426,.T.); #344596=ADVANCED_FACE('',(#36131),#19427,.T.); #344597=ADVANCED_FACE('',(#36132),#19428,.F.); #344598=ADVANCED_FACE('',(#36133),#19429,.T.); #344599=ADVANCED_FACE('',(#36134),#19430,.T.); #344600=ADVANCED_FACE('',(#36135),#19431,.T.); #344601=ADVANCED_FACE('',(#36136),#19432,.T.); #344602=ADVANCED_FACE('',(#36137),#19433,.T.); #344603=ADVANCED_FACE('',(#36138),#19434,.F.); #344604=ADVANCED_FACE('',(#36139),#19435,.T.); #344605=ADVANCED_FACE('',(#36140),#19436,.T.); #344606=ADVANCED_FACE('',(#36141),#19437,.T.); #344607=ADVANCED_FACE('',(#36142),#19438,.T.); #344608=ADVANCED_FACE('',(#36143),#19439,.T.); #344609=ADVANCED_FACE('',(#36144),#19440,.F.); #344610=ADVANCED_FACE('',(#36145),#19441,.T.); #344611=ADVANCED_FACE('',(#36146),#19442,.T.); #344612=ADVANCED_FACE('',(#36147),#19443,.T.); #344613=ADVANCED_FACE('',(#36148),#19444,.T.); #344614=ADVANCED_FACE('',(#36149),#19445,.T.); #344615=ADVANCED_FACE('',(#36150),#19446,.F.); #344616=ADVANCED_FACE('',(#36151),#328907,.F.); #344617=ADVANCED_FACE('',(#36152),#328908,.F.); #344618=ADVANCED_FACE('',(#36153),#328909,.F.); #344619=ADVANCED_FACE('',(#36154),#328910,.F.); #344620=ADVANCED_FACE('',(#36155),#328911,.F.); #344621=ADVANCED_FACE('',(#36156),#328912,.F.); #344622=ADVANCED_FACE('',(#36157),#328913,.F.); #344623=ADVANCED_FACE('',(#36158),#328914,.F.); #344624=ADVANCED_FACE('',(#36159),#328915,.F.); #344625=ADVANCED_FACE('',(#36160),#328916,.F.); #344626=ADVANCED_FACE('',(#36161),#328917,.F.); #344627=ADVANCED_FACE('',(#36162),#328918,.F.); #344628=ADVANCED_FACE('',(#36163),#328919,.F.); #344629=ADVANCED_FACE('',(#36164),#328920,.F.); #344630=ADVANCED_FACE('',(#36165),#328921,.F.); #344631=ADVANCED_FACE('',(#36166),#19447,.T.); #344632=ADVANCED_FACE('',(#36167),#19448,.T.); #344633=ADVANCED_FACE('',(#36168),#19449,.T.); #344634=ADVANCED_FACE('',(#36169),#19450,.T.); #344635=ADVANCED_FACE('',(#36170,#6570,#6571,#6572,#6573,#6574,#6575,#6576, #6577,#6578,#6579,#6580,#6581,#6582,#6583,#6584),#19451,.T.); #344636=ADVANCED_FACE('',(#36171,#6585,#6586,#6587,#6588,#6589,#6590,#6591, #6592,#6593,#6594,#6595,#6596,#6597,#6598,#6599),#19452,.F.); #344637=ADVANCED_FACE('',(#36172),#19453,.T.); #344638=ADVANCED_FACE('',(#36173),#19454,.T.); #344639=ADVANCED_FACE('',(#36174),#19455,.T.); #344640=ADVANCED_FACE('',(#36175),#19456,.T.); #344641=ADVANCED_FACE('',(#36176),#19457,.T.); #344642=ADVANCED_FACE('',(#36177),#19458,.F.); #344643=ADVANCED_FACE('',(#36178),#19459,.T.); #344644=ADVANCED_FACE('',(#36179),#19460,.T.); #344645=ADVANCED_FACE('',(#36180),#19461,.T.); #344646=ADVANCED_FACE('',(#36181),#19462,.T.); #344647=ADVANCED_FACE('',(#36182),#19463,.T.); #344648=ADVANCED_FACE('',(#36183),#19464,.F.); #344649=ADVANCED_FACE('',(#36184),#19465,.T.); #344650=ADVANCED_FACE('',(#36185),#19466,.T.); #344651=ADVANCED_FACE('',(#36186),#19467,.T.); #344652=ADVANCED_FACE('',(#36187),#19468,.T.); #344653=ADVANCED_FACE('',(#36188),#19469,.T.); #344654=ADVANCED_FACE('',(#36189),#19470,.F.); #344655=ADVANCED_FACE('',(#36190),#19471,.T.); #344656=ADVANCED_FACE('',(#36191),#19472,.T.); #344657=ADVANCED_FACE('',(#36192),#19473,.T.); #344658=ADVANCED_FACE('',(#36193),#19474,.T.); #344659=ADVANCED_FACE('',(#36194),#19475,.T.); #344660=ADVANCED_FACE('',(#36195),#19476,.F.); #344661=ADVANCED_FACE('',(#36196),#19477,.T.); #344662=ADVANCED_FACE('',(#36197),#19478,.T.); #344663=ADVANCED_FACE('',(#36198),#19479,.T.); #344664=ADVANCED_FACE('',(#36199),#19480,.T.); #344665=ADVANCED_FACE('',(#36200),#19481,.T.); #344666=ADVANCED_FACE('',(#36201),#19482,.F.); #344667=ADVANCED_FACE('',(#36202),#19483,.T.); #344668=ADVANCED_FACE('',(#36203),#19484,.T.); #344669=ADVANCED_FACE('',(#36204),#19485,.T.); #344670=ADVANCED_FACE('',(#36205),#19486,.T.); #344671=ADVANCED_FACE('',(#36206),#19487,.T.); #344672=ADVANCED_FACE('',(#36207),#19488,.F.); #344673=ADVANCED_FACE('',(#36208),#328922,.F.); #344674=ADVANCED_FACE('',(#36209),#19489,.T.); #344675=ADVANCED_FACE('',(#36210),#19490,.T.); #344676=ADVANCED_FACE('',(#36211),#19491,.T.); #344677=ADVANCED_FACE('',(#36212),#19492,.T.); #344678=ADVANCED_FACE('',(#36213,#6600),#19493,.T.); #344679=ADVANCED_FACE('',(#36214,#6601),#19494,.F.); #344680=ADVANCED_FACE('',(#36215),#328923,.F.); #344681=ADVANCED_FACE('',(#36216),#328924,.F.); #344682=ADVANCED_FACE('',(#36217),#19495,.T.); #344683=ADVANCED_FACE('',(#36218),#19496,.T.); #344684=ADVANCED_FACE('',(#36219),#19497,.T.); #344685=ADVANCED_FACE('',(#36220),#19498,.T.); #344686=ADVANCED_FACE('',(#36221,#6602,#6603),#19499,.T.); #344687=ADVANCED_FACE('',(#36222,#6604,#6605),#19500,.F.); #344688=ADVANCED_FACE('',(#36223),#328925,.F.); #344689=ADVANCED_FACE('',(#36224),#328926,.F.); #344690=ADVANCED_FACE('',(#36225),#19501,.T.); #344691=ADVANCED_FACE('',(#36226),#19502,.T.); #344692=ADVANCED_FACE('',(#36227),#19503,.T.); #344693=ADVANCED_FACE('',(#36228),#19504,.T.); #344694=ADVANCED_FACE('',(#36229,#6606,#6607),#19505,.T.); #344695=ADVANCED_FACE('',(#36230,#6608,#6609),#19506,.F.); #344696=ADVANCED_FACE('',(#36231),#328927,.F.); #344697=ADVANCED_FACE('',(#36232),#19507,.T.); #344698=ADVANCED_FACE('',(#36233),#19508,.T.); #344699=ADVANCED_FACE('',(#36234),#19509,.T.); #344700=ADVANCED_FACE('',(#36235),#19510,.T.); #344701=ADVANCED_FACE('',(#36236,#6610),#19511,.T.); #344702=ADVANCED_FACE('',(#36237,#6611),#19512,.F.); #344703=ADVANCED_FACE('',(#36238),#328928,.F.); #344704=ADVANCED_FACE('',(#36239),#328929,.F.); #344705=ADVANCED_FACE('',(#36240),#328930,.F.); #344706=ADVANCED_FACE('',(#36241),#328931,.F.); #344707=ADVANCED_FACE('',(#36242),#328932,.F.); #344708=ADVANCED_FACE('',(#36243),#328933,.F.); #344709=ADVANCED_FACE('',(#36244),#328934,.F.); #344710=ADVANCED_FACE('',(#36245),#328935,.F.); #344711=ADVANCED_FACE('',(#36246),#328936,.F.); #344712=ADVANCED_FACE('',(#36247),#328937,.F.); #344713=ADVANCED_FACE('',(#36248),#328938,.F.); #344714=ADVANCED_FACE('',(#36249),#328939,.F.); #344715=ADVANCED_FACE('',(#36250),#328940,.F.); #344716=ADVANCED_FACE('',(#36251),#328941,.F.); #344717=ADVANCED_FACE('',(#36252),#328942,.F.); #344718=ADVANCED_FACE('',(#36253),#328943,.F.); #344719=ADVANCED_FACE('',(#36254),#328944,.F.); #344720=ADVANCED_FACE('',(#36255),#328945,.F.); #344721=ADVANCED_FACE('',(#36256),#328946,.F.); #344722=ADVANCED_FACE('',(#36257),#328947,.F.); #344723=ADVANCED_FACE('',(#36258),#328948,.F.); #344724=ADVANCED_FACE('',(#36259),#328949,.F.); #344725=ADVANCED_FACE('',(#36260),#328950,.F.); #344726=ADVANCED_FACE('',(#36261),#328951,.F.); #344727=ADVANCED_FACE('',(#36262),#328952,.F.); #344728=ADVANCED_FACE('',(#36263),#328953,.F.); #344729=ADVANCED_FACE('',(#36264),#328954,.F.); #344730=ADVANCED_FACE('',(#36265),#328955,.F.); #344731=ADVANCED_FACE('',(#36266),#328956,.F.); #344732=ADVANCED_FACE('',(#36267),#328957,.F.); #344733=ADVANCED_FACE('',(#36268),#328958,.F.); #344734=ADVANCED_FACE('',(#36269),#328959,.F.); #344735=ADVANCED_FACE('',(#36270),#328960,.F.); #344736=ADVANCED_FACE('',(#36271),#328961,.F.); #344737=ADVANCED_FACE('',(#36272),#328962,.F.); #344738=ADVANCED_FACE('',(#36273),#328963,.F.); #344739=ADVANCED_FACE('',(#36274),#328964,.F.); #344740=ADVANCED_FACE('',(#36275),#328965,.F.); #344741=ADVANCED_FACE('',(#36276),#328966,.F.); #344742=ADVANCED_FACE('',(#36277),#328967,.F.); #344743=ADVANCED_FACE('',(#36278),#328968,.F.); #344744=ADVANCED_FACE('',(#36279),#328969,.F.); #344745=ADVANCED_FACE('',(#36280),#328970,.F.); #344746=ADVANCED_FACE('',(#36281),#328971,.F.); #344747=ADVANCED_FACE('',(#36282),#328972,.F.); #344748=ADVANCED_FACE('',(#36283),#328973,.F.); #344749=ADVANCED_FACE('',(#36284),#328974,.F.); #344750=ADVANCED_FACE('',(#36285),#328975,.F.); #344751=ADVANCED_FACE('',(#36286),#328976,.F.); #344752=ADVANCED_FACE('',(#36287),#328977,.F.); #344753=ADVANCED_FACE('',(#36288),#328978,.F.); #344754=ADVANCED_FACE('',(#36289),#328979,.F.); #344755=ADVANCED_FACE('',(#36290),#328980,.F.); #344756=ADVANCED_FACE('',(#36291),#328981,.F.); #344757=ADVANCED_FACE('',(#36292),#328982,.F.); #344758=ADVANCED_FACE('',(#36293),#328983,.F.); #344759=ADVANCED_FACE('',(#36294),#328984,.F.); #344760=ADVANCED_FACE('',(#36295),#328985,.F.); #344761=ADVANCED_FACE('',(#36296),#328986,.F.); #344762=ADVANCED_FACE('',(#36297),#328987,.F.); #344763=ADVANCED_FACE('',(#36298),#328988,.F.); #344764=ADVANCED_FACE('',(#36299),#328989,.F.); #344765=ADVANCED_FACE('',(#36300),#328990,.F.); #344766=ADVANCED_FACE('',(#36301),#328991,.F.); #344767=ADVANCED_FACE('',(#36302),#328992,.F.); #344768=ADVANCED_FACE('',(#36303),#328993,.F.); #344769=ADVANCED_FACE('',(#36304),#328994,.F.); #344770=ADVANCED_FACE('',(#36305),#328995,.F.); #344771=ADVANCED_FACE('',(#36306),#328996,.F.); #344772=ADVANCED_FACE('',(#36307),#328997,.F.); #344773=ADVANCED_FACE('',(#36308),#328998,.F.); #344774=ADVANCED_FACE('',(#36309),#328999,.F.); #344775=ADVANCED_FACE('',(#36310),#329000,.F.); #344776=ADVANCED_FACE('',(#36311),#329001,.F.); #344777=ADVANCED_FACE('',(#36312),#329002,.F.); #344778=ADVANCED_FACE('',(#36313),#329003,.F.); #344779=ADVANCED_FACE('',(#36314),#329004,.F.); #344780=ADVANCED_FACE('',(#36315),#329005,.F.); #344781=ADVANCED_FACE('',(#36316),#329006,.F.); #344782=ADVANCED_FACE('',(#36317),#329007,.F.); #344783=ADVANCED_FACE('',(#36318),#329008,.F.); #344784=ADVANCED_FACE('',(#36319),#329009,.F.); #344785=ADVANCED_FACE('',(#36320),#329010,.F.); #344786=ADVANCED_FACE('',(#36321),#329011,.F.); #344787=ADVANCED_FACE('',(#36322),#329012,.F.); #344788=ADVANCED_FACE('',(#36323),#329013,.F.); #344789=ADVANCED_FACE('',(#36324),#329014,.F.); #344790=ADVANCED_FACE('',(#36325),#329015,.F.); #344791=ADVANCED_FACE('',(#36326),#329016,.F.); #344792=ADVANCED_FACE('',(#36327),#329017,.F.); #344793=ADVANCED_FACE('',(#36328),#329018,.F.); #344794=ADVANCED_FACE('',(#36329),#329019,.F.); #344795=ADVANCED_FACE('',(#36330),#329020,.F.); #344796=ADVANCED_FACE('',(#36331),#329021,.F.); #344797=ADVANCED_FACE('',(#36332),#329022,.F.); #344798=ADVANCED_FACE('',(#36333),#329023,.F.); #344799=ADVANCED_FACE('',(#36334),#329024,.F.); #344800=ADVANCED_FACE('',(#36335),#329025,.F.); #344801=ADVANCED_FACE('',(#36336),#329026,.F.); #344802=ADVANCED_FACE('',(#36337),#329027,.F.); #344803=ADVANCED_FACE('',(#36338),#329028,.F.); #344804=ADVANCED_FACE('',(#36339),#329029,.F.); #344805=ADVANCED_FACE('',(#36340),#329030,.F.); #344806=ADVANCED_FACE('',(#36341),#329031,.F.); #344807=ADVANCED_FACE('',(#36342),#329032,.F.); #344808=ADVANCED_FACE('',(#36343),#329033,.F.); #344809=ADVANCED_FACE('',(#36344),#329034,.F.); #344810=ADVANCED_FACE('',(#36345),#329035,.F.); #344811=ADVANCED_FACE('',(#36346),#329036,.F.); #344812=ADVANCED_FACE('',(#36347),#329037,.F.); #344813=ADVANCED_FACE('',(#36348),#329038,.F.); #344814=ADVANCED_FACE('',(#36349),#329039,.F.); #344815=ADVANCED_FACE('',(#36350),#329040,.F.); #344816=ADVANCED_FACE('',(#36351),#329041,.F.); #344817=ADVANCED_FACE('',(#36352),#329042,.F.); #344818=ADVANCED_FACE('',(#36353),#329043,.F.); #344819=ADVANCED_FACE('',(#36354),#329044,.F.); #344820=ADVANCED_FACE('',(#36355),#329045,.F.); #344821=ADVANCED_FACE('',(#36356),#329046,.F.); #344822=ADVANCED_FACE('',(#36357),#329047,.F.); #344823=ADVANCED_FACE('',(#36358),#329048,.F.); #344824=ADVANCED_FACE('',(#36359),#329049,.F.); #344825=ADVANCED_FACE('',(#36360),#329050,.F.); #344826=ADVANCED_FACE('',(#36361),#329051,.F.); #344827=ADVANCED_FACE('',(#36362),#329052,.F.); #344828=ADVANCED_FACE('',(#36363),#329053,.F.); #344829=ADVANCED_FACE('',(#36364),#329054,.F.); #344830=ADVANCED_FACE('',(#36365),#329055,.F.); #344831=ADVANCED_FACE('',(#36366),#329056,.F.); #344832=ADVANCED_FACE('',(#36367),#329057,.F.); #344833=ADVANCED_FACE('',(#36368),#329058,.F.); #344834=ADVANCED_FACE('',(#36369),#329059,.F.); #344835=ADVANCED_FACE('',(#36370),#329060,.F.); #344836=ADVANCED_FACE('',(#36371),#329061,.F.); #344837=ADVANCED_FACE('',(#36372),#329062,.F.); #344838=ADVANCED_FACE('',(#36373),#329063,.F.); #344839=ADVANCED_FACE('',(#36374),#329064,.F.); #344840=ADVANCED_FACE('',(#36375),#329065,.F.); #344841=ADVANCED_FACE('',(#36376),#329066,.F.); #344842=ADVANCED_FACE('',(#36377),#329067,.F.); #344843=ADVANCED_FACE('',(#36378),#329068,.F.); #344844=ADVANCED_FACE('',(#36379),#329069,.F.); #344845=ADVANCED_FACE('',(#36380),#329070,.F.); #344846=ADVANCED_FACE('',(#36381),#329071,.F.); #344847=ADVANCED_FACE('',(#36382),#329072,.F.); #344848=ADVANCED_FACE('',(#36383),#329073,.F.); #344849=ADVANCED_FACE('',(#36384),#329074,.F.); #344850=ADVANCED_FACE('',(#36385),#329075,.F.); #344851=ADVANCED_FACE('',(#36386),#329076,.F.); #344852=ADVANCED_FACE('',(#36387),#329077,.F.); #344853=ADVANCED_FACE('',(#36388),#329078,.F.); #344854=ADVANCED_FACE('',(#36389),#329079,.F.); #344855=ADVANCED_FACE('',(#36390),#329080,.F.); #344856=ADVANCED_FACE('',(#36391),#329081,.F.); #344857=ADVANCED_FACE('',(#36392),#329082,.F.); #344858=ADVANCED_FACE('',(#36393),#329083,.F.); #344859=ADVANCED_FACE('',(#36394),#329084,.F.); #344860=ADVANCED_FACE('',(#36395),#329085,.F.); #344861=ADVANCED_FACE('',(#36396),#329086,.F.); #344862=ADVANCED_FACE('',(#36397),#329087,.F.); #344863=ADVANCED_FACE('',(#36398),#329088,.F.); #344864=ADVANCED_FACE('',(#36399),#329089,.F.); #344865=ADVANCED_FACE('',(#36400),#329090,.F.); #344866=ADVANCED_FACE('',(#36401),#19513,.F.); #344867=ADVANCED_FACE('',(#36402),#329091,.F.); #344868=ADVANCED_FACE('',(#36403),#19514,.F.); #344869=ADVANCED_FACE('',(#36404),#329092,.F.); #344870=ADVANCED_FACE('',(#36405),#329093,.F.); #344871=ADVANCED_FACE('',(#36406),#19515,.F.); #344872=ADVANCED_FACE('',(#36407),#329094,.F.); #344873=ADVANCED_FACE('',(#36408),#19516,.F.); #344874=ADVANCED_FACE('',(#36409),#329095,.F.); #344875=ADVANCED_FACE('',(#36410),#329096,.F.); #344876=ADVANCED_FACE('',(#36411),#329097,.F.); #344877=ADVANCED_FACE('',(#36412),#329098,.F.); #344878=ADVANCED_FACE('',(#36413),#19517,.F.); #344879=ADVANCED_FACE('',(#36414),#329099,.F.); #344880=ADVANCED_FACE('',(#36415),#19518,.F.); #344881=ADVANCED_FACE('',(#36416),#329100,.F.); #344882=ADVANCED_FACE('',(#36417),#329101,.F.); #344883=ADVANCED_FACE('',(#36418),#329102,.F.); #344884=ADVANCED_FACE('',(#36419),#329103,.F.); #344885=ADVANCED_FACE('',(#36420),#329104,.F.); #344886=ADVANCED_FACE('',(#36421),#329105,.F.); #344887=ADVANCED_FACE('',(#36422),#329106,.F.); #344888=ADVANCED_FACE('',(#36423),#329107,.F.); #344889=ADVANCED_FACE('',(#36424),#329108,.F.); #344890=ADVANCED_FACE('',(#36425),#329109,.F.); #344891=ADVANCED_FACE('',(#36426),#329110,.F.); #344892=ADVANCED_FACE('',(#36427),#19519,.F.); #344893=ADVANCED_FACE('',(#36428),#329111,.F.); #344894=ADVANCED_FACE('',(#36429),#19520,.F.); #344895=ADVANCED_FACE('',(#36430),#329112,.F.); #344896=ADVANCED_FACE('',(#36431),#329113,.F.); #344897=ADVANCED_FACE('',(#36432),#19521,.F.); #344898=ADVANCED_FACE('',(#36433),#329114,.F.); #344899=ADVANCED_FACE('',(#36434),#19522,.F.); #344900=ADVANCED_FACE('',(#36435),#329115,.F.); #344901=ADVANCED_FACE('',(#36436),#329116,.F.); #344902=ADVANCED_FACE('',(#36437),#19523,.F.); #344903=ADVANCED_FACE('',(#36438),#329117,.F.); #344904=ADVANCED_FACE('',(#36439),#19524,.F.); #344905=ADVANCED_FACE('',(#36440),#329118,.F.); #344906=ADVANCED_FACE('',(#36441),#329119,.F.); #344907=ADVANCED_FACE('',(#36442),#329120,.F.); #344908=ADVANCED_FACE('',(#36443),#329121,.F.); #344909=ADVANCED_FACE('',(#36444),#329122,.F.); #344910=ADVANCED_FACE('',(#36445),#329123,.F.); #344911=ADVANCED_FACE('',(#36446),#329124,.F.); #344912=ADVANCED_FACE('',(#36447),#329125,.F.); #344913=ADVANCED_FACE('',(#36448),#329126,.F.); #344914=ADVANCED_FACE('',(#36449),#329127,.F.); #344915=ADVANCED_FACE('',(#36450),#329128,.F.); #344916=ADVANCED_FACE('',(#36451),#329129,.F.); #344917=ADVANCED_FACE('',(#36452),#329130,.F.); #344918=ADVANCED_FACE('',(#36453),#329131,.F.); #344919=ADVANCED_FACE('',(#36454),#329132,.F.); #344920=ADVANCED_FACE('',(#36455),#329133,.F.); #344921=ADVANCED_FACE('',(#36456),#329134,.F.); #344922=ADVANCED_FACE('',(#36457),#329135,.F.); #344923=ADVANCED_FACE('',(#36458),#329136,.F.); #344924=ADVANCED_FACE('',(#36459),#329137,.F.); #344925=ADVANCED_FACE('',(#36460),#329138,.F.); #344926=ADVANCED_FACE('',(#36461),#329139,.F.); #344927=ADVANCED_FACE('',(#36462),#329140,.F.); #344928=ADVANCED_FACE('',(#36463),#329141,.F.); #344929=ADVANCED_FACE('',(#36464),#329142,.F.); #344930=ADVANCED_FACE('',(#36465),#329143,.F.); #344931=ADVANCED_FACE('',(#36466),#329144,.F.); #344932=ADVANCED_FACE('',(#36467),#329145,.F.); #344933=ADVANCED_FACE('',(#36468),#329146,.F.); #344934=ADVANCED_FACE('',(#36469),#329147,.F.); #344935=ADVANCED_FACE('',(#36470),#329148,.F.); #344936=ADVANCED_FACE('',(#36471),#329149,.F.); #344937=ADVANCED_FACE('',(#36472),#329150,.F.); #344938=ADVANCED_FACE('',(#36473),#329151,.F.); #344939=ADVANCED_FACE('',(#36474),#329152,.F.); #344940=ADVANCED_FACE('',(#36475),#329153,.F.); #344941=ADVANCED_FACE('',(#36476),#329154,.F.); #344942=ADVANCED_FACE('',(#36477),#329155,.F.); #344943=ADVANCED_FACE('',(#36478),#329156,.F.); #344944=ADVANCED_FACE('',(#36479),#329157,.F.); #344945=ADVANCED_FACE('',(#36480),#329158,.F.); #344946=ADVANCED_FACE('',(#36481),#329159,.F.); #344947=ADVANCED_FACE('',(#36482),#329160,.F.); #344948=ADVANCED_FACE('',(#36483),#329161,.F.); #344949=ADVANCED_FACE('',(#36484),#329162,.F.); #344950=ADVANCED_FACE('',(#36485),#329163,.F.); #344951=ADVANCED_FACE('',(#36486),#329164,.F.); #344952=ADVANCED_FACE('',(#36487),#329165,.F.); #344953=ADVANCED_FACE('',(#36488),#329166,.F.); #344954=ADVANCED_FACE('',(#36489),#329167,.F.); #344955=ADVANCED_FACE('',(#36490),#329168,.F.); #344956=ADVANCED_FACE('',(#36491),#329169,.F.); #344957=ADVANCED_FACE('',(#36492),#329170,.F.); #344958=ADVANCED_FACE('',(#36493),#329171,.F.); #344959=ADVANCED_FACE('',(#36494),#329172,.F.); #344960=ADVANCED_FACE('',(#36495),#329173,.F.); #344961=ADVANCED_FACE('',(#36496),#329174,.F.); #344962=ADVANCED_FACE('',(#36497),#329175,.F.); #344963=ADVANCED_FACE('',(#36498),#329176,.F.); #344964=ADVANCED_FACE('',(#36499),#329177,.F.); #344965=ADVANCED_FACE('',(#36500),#329178,.F.); #344966=ADVANCED_FACE('',(#36501),#329179,.F.); #344967=ADVANCED_FACE('',(#36502),#329180,.F.); #344968=ADVANCED_FACE('',(#36503),#329181,.F.); #344969=ADVANCED_FACE('',(#36504),#329182,.F.); #344970=ADVANCED_FACE('',(#36505),#329183,.F.); #344971=ADVANCED_FACE('',(#36506),#329184,.F.); #344972=ADVANCED_FACE('',(#36507),#329185,.F.); #344973=ADVANCED_FACE('',(#36508),#329186,.F.); #344974=ADVANCED_FACE('',(#36509),#329187,.F.); #344975=ADVANCED_FACE('',(#36510),#329188,.F.); #344976=ADVANCED_FACE('',(#36511),#329189,.F.); #344977=ADVANCED_FACE('',(#36512),#329190,.F.); #344978=ADVANCED_FACE('',(#36513),#329191,.F.); #344979=ADVANCED_FACE('',(#36514),#329192,.F.); #344980=ADVANCED_FACE('',(#36515),#329193,.F.); #344981=ADVANCED_FACE('',(#36516),#329194,.F.); #344982=ADVANCED_FACE('',(#36517),#329195,.F.); #344983=ADVANCED_FACE('',(#36518),#329196,.F.); #344984=ADVANCED_FACE('',(#36519),#329197,.F.); #344985=ADVANCED_FACE('',(#36520),#329198,.F.); #344986=ADVANCED_FACE('',(#36521),#329199,.F.); #344987=ADVANCED_FACE('',(#36522),#329200,.F.); #344988=ADVANCED_FACE('',(#36523),#329201,.F.); #344989=ADVANCED_FACE('',(#36524),#329202,.F.); #344990=ADVANCED_FACE('',(#36525),#329203,.F.); #344991=ADVANCED_FACE('',(#36526),#329204,.F.); #344992=ADVANCED_FACE('',(#36527),#329205,.F.); #344993=ADVANCED_FACE('',(#36528),#329206,.F.); #344994=ADVANCED_FACE('',(#36529),#329207,.F.); #344995=ADVANCED_FACE('',(#36530),#329208,.F.); #344996=ADVANCED_FACE('',(#36531),#329209,.F.); #344997=ADVANCED_FACE('',(#36532),#329210,.F.); #344998=ADVANCED_FACE('',(#36533),#329211,.F.); #344999=ADVANCED_FACE('',(#36534),#329212,.F.); #345000=ADVANCED_FACE('',(#36535),#329213,.F.); #345001=ADVANCED_FACE('',(#36536),#329214,.F.); #345002=ADVANCED_FACE('',(#36537),#329215,.F.); #345003=ADVANCED_FACE('',(#36538),#329216,.F.); #345004=ADVANCED_FACE('',(#36539),#329217,.F.); #345005=ADVANCED_FACE('',(#36540),#329218,.F.); #345006=ADVANCED_FACE('',(#36541),#329219,.F.); #345007=ADVANCED_FACE('',(#36542),#329220,.F.); #345008=ADVANCED_FACE('',(#36543),#329221,.F.); #345009=ADVANCED_FACE('',(#36544),#329222,.F.); #345010=ADVANCED_FACE('',(#36545),#329223,.F.); #345011=ADVANCED_FACE('',(#36546),#329224,.F.); #345012=ADVANCED_FACE('',(#36547),#329225,.F.); #345013=ADVANCED_FACE('',(#36548),#329226,.F.); #345014=ADVANCED_FACE('',(#36549),#329227,.F.); #345015=ADVANCED_FACE('',(#36550),#329228,.F.); #345016=ADVANCED_FACE('',(#36551),#329229,.F.); #345017=ADVANCED_FACE('',(#36552),#329230,.F.); #345018=ADVANCED_FACE('',(#36553),#329231,.F.); #345019=ADVANCED_FACE('',(#36554),#329232,.F.); #345020=ADVANCED_FACE('',(#36555),#329233,.F.); #345021=ADVANCED_FACE('',(#36556),#329234,.F.); #345022=ADVANCED_FACE('',(#36557),#329235,.F.); #345023=ADVANCED_FACE('',(#36558),#329236,.F.); #345024=ADVANCED_FACE('',(#36559),#329237,.F.); #345025=ADVANCED_FACE('',(#36560),#329238,.F.); #345026=ADVANCED_FACE('',(#36561),#329239,.F.); #345027=ADVANCED_FACE('',(#36562),#329240,.F.); #345028=ADVANCED_FACE('',(#36563),#329241,.F.); #345029=ADVANCED_FACE('',(#36564),#329242,.F.); #345030=ADVANCED_FACE('',(#36565),#329243,.F.); #345031=ADVANCED_FACE('',(#36566),#329244,.F.); #345032=ADVANCED_FACE('',(#36567),#329245,.F.); #345033=ADVANCED_FACE('',(#36568),#329246,.F.); #345034=ADVANCED_FACE('',(#36569),#329247,.F.); #345035=ADVANCED_FACE('',(#36570),#329248,.F.); #345036=ADVANCED_FACE('',(#36571),#329249,.F.); #345037=ADVANCED_FACE('',(#36572),#329250,.F.); #345038=ADVANCED_FACE('',(#36573),#329251,.T.); #345039=ADVANCED_FACE('',(#36574,#6612,#6613,#6614,#6615,#6616,#6617,#6618, #6619,#6620,#6621,#6622,#6623,#6624,#6625,#6626,#6627,#6628,#6629,#6630, #6631,#6632,#6633,#6634,#6635,#6636,#6637,#6638,#6639,#6640,#6641,#6642, #6643,#6644,#6645,#6646,#6647,#6648,#6649,#6650,#6651,#6652,#6653,#6654, #6655,#6656,#6657,#6658,#6659,#6660,#6661,#6662,#6663,#6664,#6665,#6666, #6667,#6668,#6669,#6670,#6671,#6672,#6673,#6674,#6675,#6676,#6677,#6678, #6679,#6680,#6681,#6682,#6683,#6684,#6685,#6686,#6687,#6688,#6689,#6690, #6691,#6692,#6693,#6694,#6695,#6696,#6697,#6698,#6699,#6700,#6701,#6702, #6703,#6704,#6705,#6706,#6707,#6708,#6709,#6710,#6711,#6712,#6713,#6714, #6715,#6716,#6717,#6718,#6719,#6720,#6721,#6722,#6723,#6724,#6725,#6726, #6727,#6728,#6729,#6730,#6731,#6732,#6733,#6734,#6735,#6736,#6737,#6738, #6739,#6740,#6741,#6742,#6743,#6744,#6745,#6746,#6747,#6748,#6749,#6750, #6751,#6752,#6753,#6754,#6755,#6756,#6757,#6758,#6759,#6760,#6761,#6762, #6763,#6764,#6765,#6766,#6767,#6768,#6769,#6770,#6771,#6772,#6773,#6774, #6775,#6776,#6777,#6778,#6779,#6780,#6781,#6782,#6783,#6784,#6785,#6786, #6787,#6788,#6789,#6790,#6791,#6792,#6793,#6794,#6795,#6796,#6797,#6798, #6799,#6800,#6801,#6802,#6803,#6804,#6805,#6806,#6807,#6808,#6809,#6810, #6811,#6812,#6813,#6814,#6815,#6816,#6817,#6818,#6819,#6820,#6821,#6822, #6823,#6824,#6825,#6826,#6827,#6828,#6829,#6830,#6831,#6832,#6833,#6834, #6835,#6836,#6837,#6838,#6839,#6840,#6841,#6842,#6843,#6844,#6845,#6846, #6847,#6848,#6849,#6850,#6851,#6852,#6853,#6854,#6855,#6856,#6857,#6858, #6859,#6860,#6861,#6862,#6863,#6864,#6865,#6866,#6867,#6868,#6869,#6870, #6871,#6872,#6873,#6874,#6875,#6876,#6877,#6878,#6879,#6880,#6881,#6882, #6883,#6884,#6885,#6886,#6887,#6888,#6889,#6890,#6891,#6892,#6893,#6894, #6895,#6896,#6897,#6898,#6899,#6900,#6901,#6902,#6903,#6904,#6905,#6906, #6907,#6908,#6909,#6910,#6911,#6912,#6913,#6914,#6915,#6916,#6917,#6918, #6919,#6920,#6921,#6922,#6923,#6924,#6925,#6926,#6927,#6928),#19525,.T.); #345040=ADVANCED_FACE('',(#36575,#6929,#6930,#6931,#6932,#6933,#6934,#6935, #6936,#6937,#6938,#6939,#6940,#6941,#6942,#6943,#6944,#6945,#6946,#6947, #6948,#6949,#6950,#6951,#6952,#6953,#6954,#6955,#6956,#6957,#6958,#6959, #6960,#6961,#6962,#6963,#6964,#6965,#6966,#6967,#6968,#6969,#6970,#6971, #6972,#6973,#6974,#6975,#6976,#6977,#6978,#6979,#6980,#6981,#6982,#6983, #6984,#6985,#6986,#6987,#6988,#6989,#6990,#6991,#6992,#6993,#6994,#6995, #6996,#6997,#6998,#6999,#7000,#7001,#7002,#7003,#7004,#7005,#7006,#7007, #7008,#7009,#7010,#7011,#7012,#7013,#7014,#7015,#7016,#7017,#7018,#7019, #7020,#7021,#7022,#7023,#7024,#7025,#7026,#7027,#7028,#7029,#7030,#7031, #7032,#7033,#7034,#7035,#7036,#7037,#7038,#7039,#7040,#7041,#7042,#7043, #7044,#7045,#7046,#7047,#7048,#7049,#7050,#7051,#7052,#7053,#7054,#7055, #7056,#7057,#7058,#7059,#7060,#7061,#7062,#7063,#7064,#7065,#7066,#7067, #7068,#7069,#7070,#7071,#7072,#7073,#7074,#7075,#7076,#7077,#7078,#7079, #7080,#7081,#7082,#7083,#7084,#7085,#7086,#7087,#7088,#7089,#7090,#7091, #7092,#7093,#7094,#7095,#7096,#7097,#7098,#7099,#7100,#7101,#7102,#7103, #7104,#7105,#7106,#7107,#7108,#7109,#7110,#7111,#7112,#7113,#7114,#7115, #7116,#7117,#7118,#7119,#7120,#7121,#7122,#7123,#7124,#7125,#7126,#7127, #7128,#7129,#7130,#7131,#7132,#7133,#7134,#7135,#7136,#7137,#7138,#7139, #7140,#7141,#7142,#7143,#7144,#7145,#7146,#7147,#7148,#7149,#7150,#7151, #7152,#7153,#7154,#7155,#7156,#7157,#7158,#7159,#7160,#7161,#7162,#7163, #7164,#7165,#7166,#7167,#7168,#7169,#7170,#7171,#7172,#7173,#7174,#7175, #7176,#7177,#7178,#7179,#7180,#7181,#7182,#7183,#7184,#7185,#7186,#7187, #7188,#7189,#7190,#7191,#7192,#7193,#7194,#7195,#7196,#7197,#7198,#7199, #7200,#7201,#7202,#7203,#7204,#7205,#7206,#7207,#7208,#7209,#7210,#7211, #7212,#7213,#7214,#7215,#7216,#7217,#7218,#7219,#7220,#7221,#7222,#7223, #7224,#7225,#7226,#7227,#7228,#7229,#7230,#7231,#7232,#7233,#7234,#7235, #7236,#7237,#7238,#7239,#7240,#7241,#7242,#7243,#7244,#7245),#19526,.F.); #345041=ADVANCED_FACE('',(#36576),#329252,.F.); #345042=ADVANCED_FACE('',(#36577),#329253,.F.); #345043=ADVANCED_FACE('',(#36578),#329254,.F.); #345044=ADVANCED_FACE('',(#36579),#329255,.F.); #345045=ADVANCED_FACE('',(#36580),#329256,.F.); #345046=ADVANCED_FACE('',(#36581),#329257,.F.); #345047=ADVANCED_FACE('',(#36582),#329258,.F.); #345048=ADVANCED_FACE('',(#36583),#329259,.F.); #345049=ADVANCED_FACE('',(#36584),#329260,.F.); #345050=ADVANCED_FACE('',(#36585),#329261,.F.); #345051=ADVANCED_FACE('',(#36586),#329262,.F.); #345052=ADVANCED_FACE('',(#36587),#329263,.F.); #345053=ADVANCED_FACE('',(#36588),#329264,.F.); #345054=ADVANCED_FACE('',(#36589),#329265,.F.); #345055=ADVANCED_FACE('',(#36590),#329266,.F.); #345056=ADVANCED_FACE('',(#36591),#329267,.F.); #345057=ADVANCED_FACE('',(#36592),#329268,.F.); #345058=ADVANCED_FACE('',(#36593),#329269,.F.); #345059=ADVANCED_FACE('',(#36594),#329270,.F.); #345060=ADVANCED_FACE('',(#36595),#329271,.F.); #345061=ADVANCED_FACE('',(#36596),#329272,.F.); #345062=ADVANCED_FACE('',(#36597),#329273,.F.); #345063=ADVANCED_FACE('',(#36598),#329274,.F.); #345064=ADVANCED_FACE('',(#36599),#329275,.F.); #345065=ADVANCED_FACE('',(#36600),#329276,.F.); #345066=ADVANCED_FACE('',(#36601),#329277,.F.); #345067=ADVANCED_FACE('',(#36602),#329278,.F.); #345068=ADVANCED_FACE('',(#36603),#329279,.F.); #345069=ADVANCED_FACE('',(#36604),#329280,.F.); #345070=ADVANCED_FACE('',(#36605),#329281,.F.); #345071=ADVANCED_FACE('',(#36606),#329282,.F.); #345072=ADVANCED_FACE('',(#36607),#329283,.F.); #345073=ADVANCED_FACE('',(#36608),#329284,.F.); #345074=ADVANCED_FACE('',(#36609),#329285,.F.); #345075=ADVANCED_FACE('',(#36610),#329286,.F.); #345076=ADVANCED_FACE('',(#36611),#329287,.F.); #345077=ADVANCED_FACE('',(#36612),#329288,.F.); #345078=ADVANCED_FACE('',(#36613),#329289,.F.); #345079=ADVANCED_FACE('',(#36614),#329290,.F.); #345080=ADVANCED_FACE('',(#36615),#329291,.F.); #345081=ADVANCED_FACE('',(#36616),#329292,.F.); #345082=ADVANCED_FACE('',(#36617),#329293,.F.); #345083=ADVANCED_FACE('',(#36618),#329294,.F.); #345084=ADVANCED_FACE('',(#36619),#329295,.F.); #345085=ADVANCED_FACE('',(#36620),#329296,.F.); #345086=ADVANCED_FACE('',(#36621),#329297,.F.); #345087=ADVANCED_FACE('',(#36622),#329298,.F.); #345088=ADVANCED_FACE('',(#36623),#329299,.F.); #345089=ADVANCED_FACE('',(#36624),#329300,.F.); #345090=ADVANCED_FACE('',(#36625),#329301,.F.); #345091=ADVANCED_FACE('',(#36626),#329302,.F.); #345092=ADVANCED_FACE('',(#36627),#329303,.F.); #345093=ADVANCED_FACE('',(#36628),#329304,.F.); #345094=ADVANCED_FACE('',(#36629),#329305,.F.); #345095=ADVANCED_FACE('',(#36630),#329306,.F.); #345096=ADVANCED_FACE('',(#36631),#329307,.F.); #345097=ADVANCED_FACE('',(#36632),#329308,.F.); #345098=ADVANCED_FACE('',(#36633),#329309,.F.); #345099=ADVANCED_FACE('',(#36634),#329310,.F.); #345100=ADVANCED_FACE('',(#36635),#329311,.F.); #345101=ADVANCED_FACE('',(#36636),#329312,.F.); #345102=ADVANCED_FACE('',(#36637),#329313,.F.); #345103=ADVANCED_FACE('',(#36638),#329314,.F.); #345104=ADVANCED_FACE('',(#36639),#329315,.F.); #345105=ADVANCED_FACE('',(#36640),#329316,.F.); #345106=ADVANCED_FACE('',(#36641),#329317,.F.); #345107=ADVANCED_FACE('',(#36642),#329318,.F.); #345108=ADVANCED_FACE('',(#36643),#329319,.F.); #345109=ADVANCED_FACE('',(#36644),#329320,.F.); #345110=ADVANCED_FACE('',(#36645),#329321,.F.); #345111=ADVANCED_FACE('',(#36646),#329322,.F.); #345112=ADVANCED_FACE('',(#36647),#329323,.F.); #345113=ADVANCED_FACE('',(#36648),#329324,.F.); #345114=ADVANCED_FACE('',(#36649),#329325,.F.); #345115=ADVANCED_FACE('',(#36650),#329326,.F.); #345116=ADVANCED_FACE('',(#36651),#329327,.F.); #345117=ADVANCED_FACE('',(#36652),#329328,.F.); #345118=ADVANCED_FACE('',(#36653),#329329,.F.); #345119=ADVANCED_FACE('',(#36654),#329330,.F.); #345120=ADVANCED_FACE('',(#36655),#329331,.F.); #345121=ADVANCED_FACE('',(#36656),#329332,.F.); #345122=ADVANCED_FACE('',(#36657),#329333,.F.); #345123=ADVANCED_FACE('',(#36658),#329334,.F.); #345124=ADVANCED_FACE('',(#36659),#329335,.F.); #345125=ADVANCED_FACE('',(#36660),#329336,.F.); #345126=ADVANCED_FACE('',(#36661),#329337,.F.); #345127=ADVANCED_FACE('',(#36662),#329338,.F.); #345128=ADVANCED_FACE('',(#36663),#329339,.F.); #345129=ADVANCED_FACE('',(#36664),#329340,.F.); #345130=ADVANCED_FACE('',(#36665),#329341,.F.); #345131=ADVANCED_FACE('',(#36666),#329342,.F.); #345132=ADVANCED_FACE('',(#36667),#329343,.F.); #345133=ADVANCED_FACE('',(#36668),#329344,.F.); #345134=ADVANCED_FACE('',(#36669),#329345,.F.); #345135=ADVANCED_FACE('',(#36670),#329346,.F.); #345136=ADVANCED_FACE('',(#36671),#329347,.F.); #345137=ADVANCED_FACE('',(#36672),#329348,.F.); #345138=ADVANCED_FACE('',(#36673),#329349,.F.); #345139=ADVANCED_FACE('',(#36674),#329350,.F.); #345140=ADVANCED_FACE('',(#36675),#329351,.F.); #345141=ADVANCED_FACE('',(#36676),#329352,.F.); #345142=ADVANCED_FACE('',(#36677),#329353,.F.); #345143=ADVANCED_FACE('',(#36678),#329354,.F.); #345144=ADVANCED_FACE('',(#36679),#329355,.F.); #345145=ADVANCED_FACE('',(#36680),#329356,.F.); #345146=ADVANCED_FACE('',(#36681),#329357,.F.); #345147=ADVANCED_FACE('',(#36682),#329358,.F.); #345148=ADVANCED_FACE('',(#36683),#329359,.F.); #345149=ADVANCED_FACE('',(#36684),#329360,.F.); #345150=ADVANCED_FACE('',(#36685),#329361,.F.); #345151=ADVANCED_FACE('',(#36686),#329362,.F.); #345152=ADVANCED_FACE('',(#36687),#329363,.F.); #345153=ADVANCED_FACE('',(#36688),#329364,.F.); #345154=ADVANCED_FACE('',(#36689),#329365,.F.); #345155=ADVANCED_FACE('',(#36690),#329366,.F.); #345156=ADVANCED_FACE('',(#36691),#329367,.F.); #345157=ADVANCED_FACE('',(#36692),#329368,.F.); #345158=ADVANCED_FACE('',(#36693),#329369,.F.); #345159=ADVANCED_FACE('',(#36694),#329370,.F.); #345160=ADVANCED_FACE('',(#36695),#329371,.F.); #345161=ADVANCED_FACE('',(#36696),#329372,.F.); #345162=ADVANCED_FACE('',(#36697),#329373,.F.); #345163=ADVANCED_FACE('',(#36698),#329374,.F.); #345164=ADVANCED_FACE('',(#36699),#329375,.F.); #345165=ADVANCED_FACE('',(#36700),#329376,.F.); #345166=ADVANCED_FACE('',(#36701),#329377,.F.); #345167=ADVANCED_FACE('',(#36702),#329378,.F.); #345168=ADVANCED_FACE('',(#36703),#329379,.F.); #345169=ADVANCED_FACE('',(#36704),#329380,.F.); #345170=ADVANCED_FACE('',(#36705),#329381,.F.); #345171=ADVANCED_FACE('',(#36706),#329382,.F.); #345172=ADVANCED_FACE('',(#36707),#329383,.F.); #345173=ADVANCED_FACE('',(#36708),#329384,.F.); #345174=ADVANCED_FACE('',(#36709),#329385,.F.); #345175=ADVANCED_FACE('',(#36710),#329386,.F.); #345176=ADVANCED_FACE('',(#36711),#329387,.F.); #345177=ADVANCED_FACE('',(#36712),#329388,.F.); #345178=ADVANCED_FACE('',(#36713),#329389,.F.); #345179=ADVANCED_FACE('',(#36714),#329390,.F.); #345180=ADVANCED_FACE('',(#36715),#329391,.F.); #345181=ADVANCED_FACE('',(#36716),#329392,.F.); #345182=ADVANCED_FACE('',(#36717),#329393,.F.); #345183=ADVANCED_FACE('',(#36718),#329394,.F.); #345184=ADVANCED_FACE('',(#36719),#329395,.F.); #345185=ADVANCED_FACE('',(#36720),#329396,.F.); #345186=ADVANCED_FACE('',(#36721),#329397,.F.); #345187=ADVANCED_FACE('',(#36722),#329398,.F.); #345188=ADVANCED_FACE('',(#36723),#329399,.F.); #345189=ADVANCED_FACE('',(#36724),#329400,.F.); #345190=ADVANCED_FACE('',(#36725),#329401,.F.); #345191=ADVANCED_FACE('',(#36726),#329402,.F.); #345192=ADVANCED_FACE('',(#36727),#329403,.F.); #345193=ADVANCED_FACE('',(#36728),#329404,.F.); #345194=ADVANCED_FACE('',(#36729),#329405,.F.); #345195=ADVANCED_FACE('',(#36730),#329406,.F.); #345196=ADVANCED_FACE('',(#36731),#329407,.F.); #345197=ADVANCED_FACE('',(#36732),#329408,.F.); #345198=ADVANCED_FACE('',(#36733),#329409,.F.); #345199=ADVANCED_FACE('',(#36734),#329410,.F.); #345200=ADVANCED_FACE('',(#36735),#329411,.F.); #345201=ADVANCED_FACE('',(#36736),#329412,.F.); #345202=ADVANCED_FACE('',(#36737),#329413,.F.); #345203=ADVANCED_FACE('',(#36738),#329414,.F.); #345204=ADVANCED_FACE('',(#36739),#19527,.F.); #345205=ADVANCED_FACE('',(#36740),#329415,.F.); #345206=ADVANCED_FACE('',(#36741),#19528,.F.); #345207=ADVANCED_FACE('',(#36742),#329416,.F.); #345208=ADVANCED_FACE('',(#36743),#329417,.F.); #345209=ADVANCED_FACE('',(#36744),#19529,.F.); #345210=ADVANCED_FACE('',(#36745),#329418,.F.); #345211=ADVANCED_FACE('',(#36746),#19530,.F.); #345212=ADVANCED_FACE('',(#36747),#329419,.F.); #345213=ADVANCED_FACE('',(#36748),#329420,.F.); #345214=ADVANCED_FACE('',(#36749),#329421,.F.); #345215=ADVANCED_FACE('',(#36750),#329422,.F.); #345216=ADVANCED_FACE('',(#36751),#19531,.F.); #345217=ADVANCED_FACE('',(#36752),#329423,.F.); #345218=ADVANCED_FACE('',(#36753),#19532,.F.); #345219=ADVANCED_FACE('',(#36754),#329424,.F.); #345220=ADVANCED_FACE('',(#36755),#329425,.F.); #345221=ADVANCED_FACE('',(#36756),#329426,.F.); #345222=ADVANCED_FACE('',(#36757),#329427,.F.); #345223=ADVANCED_FACE('',(#36758),#329428,.F.); #345224=ADVANCED_FACE('',(#36759),#329429,.F.); #345225=ADVANCED_FACE('',(#36760),#329430,.F.); #345226=ADVANCED_FACE('',(#36761),#329431,.F.); #345227=ADVANCED_FACE('',(#36762),#329432,.F.); #345228=ADVANCED_FACE('',(#36763),#329433,.F.); #345229=ADVANCED_FACE('',(#36764),#329434,.F.); #345230=ADVANCED_FACE('',(#36765),#19533,.F.); #345231=ADVANCED_FACE('',(#36766),#329435,.F.); #345232=ADVANCED_FACE('',(#36767),#19534,.F.); #345233=ADVANCED_FACE('',(#36768),#329436,.F.); #345234=ADVANCED_FACE('',(#36769),#329437,.F.); #345235=ADVANCED_FACE('',(#36770),#19535,.F.); #345236=ADVANCED_FACE('',(#36771),#329438,.F.); #345237=ADVANCED_FACE('',(#36772),#19536,.F.); #345238=ADVANCED_FACE('',(#36773),#329439,.F.); #345239=ADVANCED_FACE('',(#36774),#329440,.F.); #345240=ADVANCED_FACE('',(#36775),#19537,.F.); #345241=ADVANCED_FACE('',(#36776),#329441,.F.); #345242=ADVANCED_FACE('',(#36777),#19538,.F.); #345243=ADVANCED_FACE('',(#36778),#329442,.F.); #345244=ADVANCED_FACE('',(#36779),#329443,.F.); #345245=ADVANCED_FACE('',(#36780),#329444,.F.); #345246=ADVANCED_FACE('',(#36781),#329445,.F.); #345247=ADVANCED_FACE('',(#36782),#329446,.F.); #345248=ADVANCED_FACE('',(#36783),#329447,.F.); #345249=ADVANCED_FACE('',(#36784),#329448,.F.); #345250=ADVANCED_FACE('',(#36785),#329449,.F.); #345251=ADVANCED_FACE('',(#36786),#329450,.F.); #345252=ADVANCED_FACE('',(#36787),#329451,.F.); #345253=ADVANCED_FACE('',(#36788),#329452,.F.); #345254=ADVANCED_FACE('',(#36789),#329453,.F.); #345255=ADVANCED_FACE('',(#36790),#329454,.F.); #345256=ADVANCED_FACE('',(#36791),#329455,.F.); #345257=ADVANCED_FACE('',(#36792),#329456,.F.); #345258=ADVANCED_FACE('',(#36793),#329457,.F.); #345259=ADVANCED_FACE('',(#36794),#329458,.F.); #345260=ADVANCED_FACE('',(#36795),#329459,.F.); #345261=ADVANCED_FACE('',(#36796),#329460,.F.); #345262=ADVANCED_FACE('',(#36797),#329461,.F.); #345263=ADVANCED_FACE('',(#36798),#329462,.F.); #345264=ADVANCED_FACE('',(#36799),#329463,.F.); #345265=ADVANCED_FACE('',(#36800),#329464,.F.); #345266=ADVANCED_FACE('',(#36801),#329465,.F.); #345267=ADVANCED_FACE('',(#36802),#329466,.F.); #345268=ADVANCED_FACE('',(#36803),#329467,.F.); #345269=ADVANCED_FACE('',(#36804),#329468,.F.); #345270=ADVANCED_FACE('',(#36805),#329469,.F.); #345271=ADVANCED_FACE('',(#36806),#329470,.F.); #345272=ADVANCED_FACE('',(#36807),#329471,.F.); #345273=ADVANCED_FACE('',(#36808),#329472,.F.); #345274=ADVANCED_FACE('',(#36809),#329473,.F.); #345275=ADVANCED_FACE('',(#36810),#329474,.F.); #345276=ADVANCED_FACE('',(#36811),#329475,.F.); #345277=ADVANCED_FACE('',(#36812),#329476,.F.); #345278=ADVANCED_FACE('',(#36813),#329477,.F.); #345279=ADVANCED_FACE('',(#36814),#329478,.F.); #345280=ADVANCED_FACE('',(#36815),#329479,.F.); #345281=ADVANCED_FACE('',(#36816),#329480,.F.); #345282=ADVANCED_FACE('',(#36817),#329481,.F.); #345283=ADVANCED_FACE('',(#36818),#329482,.F.); #345284=ADVANCED_FACE('',(#36819),#329483,.F.); #345285=ADVANCED_FACE('',(#36820),#329484,.F.); #345286=ADVANCED_FACE('',(#36821),#329485,.F.); #345287=ADVANCED_FACE('',(#36822),#329486,.F.); #345288=ADVANCED_FACE('',(#36823),#329487,.F.); #345289=ADVANCED_FACE('',(#36824),#329488,.F.); #345290=ADVANCED_FACE('',(#36825),#329489,.F.); #345291=ADVANCED_FACE('',(#36826),#329490,.F.); #345292=ADVANCED_FACE('',(#36827),#329491,.F.); #345293=ADVANCED_FACE('',(#36828),#329492,.F.); #345294=ADVANCED_FACE('',(#36829),#329493,.F.); #345295=ADVANCED_FACE('',(#36830),#329494,.F.); #345296=ADVANCED_FACE('',(#36831),#329495,.F.); #345297=ADVANCED_FACE('',(#36832),#329496,.F.); #345298=ADVANCED_FACE('',(#36833),#329497,.F.); #345299=ADVANCED_FACE('',(#36834),#329498,.F.); #345300=ADVANCED_FACE('',(#36835),#329499,.F.); #345301=ADVANCED_FACE('',(#36836),#329500,.F.); #345302=ADVANCED_FACE('',(#36837),#329501,.F.); #345303=ADVANCED_FACE('',(#36838),#329502,.F.); #345304=ADVANCED_FACE('',(#36839),#329503,.F.); #345305=ADVANCED_FACE('',(#36840),#329504,.F.); #345306=ADVANCED_FACE('',(#36841),#329505,.F.); #345307=ADVANCED_FACE('',(#36842),#329506,.F.); #345308=ADVANCED_FACE('',(#36843),#329507,.F.); #345309=ADVANCED_FACE('',(#36844),#329508,.F.); #345310=ADVANCED_FACE('',(#36845),#329509,.F.); #345311=ADVANCED_FACE('',(#36846),#329510,.F.); #345312=ADVANCED_FACE('',(#36847),#329511,.F.); #345313=ADVANCED_FACE('',(#36848),#329512,.F.); #345314=ADVANCED_FACE('',(#36849),#329513,.F.); #345315=ADVANCED_FACE('',(#36850),#329514,.F.); #345316=ADVANCED_FACE('',(#36851),#329515,.F.); #345317=ADVANCED_FACE('',(#36852),#329516,.F.); #345318=ADVANCED_FACE('',(#36853),#329517,.F.); #345319=ADVANCED_FACE('',(#36854),#329518,.F.); #345320=ADVANCED_FACE('',(#36855),#329519,.F.); #345321=ADVANCED_FACE('',(#36856),#329520,.F.); #345322=ADVANCED_FACE('',(#36857),#329521,.F.); #345323=ADVANCED_FACE('',(#36858),#329522,.F.); #345324=ADVANCED_FACE('',(#36859),#329523,.F.); #345325=ADVANCED_FACE('',(#36860),#329524,.F.); #345326=ADVANCED_FACE('',(#36861),#329525,.F.); #345327=ADVANCED_FACE('',(#36862),#329526,.F.); #345328=ADVANCED_FACE('',(#36863),#329527,.F.); #345329=ADVANCED_FACE('',(#36864),#329528,.F.); #345330=ADVANCED_FACE('',(#36865),#329529,.F.); #345331=ADVANCED_FACE('',(#36866),#329530,.F.); #345332=ADVANCED_FACE('',(#36867),#329531,.F.); #345333=ADVANCED_FACE('',(#36868),#329532,.F.); #345334=ADVANCED_FACE('',(#36869),#329533,.F.); #345335=ADVANCED_FACE('',(#36870),#329534,.F.); #345336=ADVANCED_FACE('',(#36871),#329535,.F.); #345337=ADVANCED_FACE('',(#36872),#329536,.F.); #345338=ADVANCED_FACE('',(#36873),#329537,.F.); #345339=ADVANCED_FACE('',(#36874),#329538,.F.); #345340=ADVANCED_FACE('',(#36875),#329539,.F.); #345341=ADVANCED_FACE('',(#36876),#329540,.F.); #345342=ADVANCED_FACE('',(#36877),#329541,.F.); #345343=ADVANCED_FACE('',(#36878),#329542,.F.); #345344=ADVANCED_FACE('',(#36879),#329543,.F.); #345345=ADVANCED_FACE('',(#36880),#329544,.F.); #345346=ADVANCED_FACE('',(#36881),#329545,.F.); #345347=ADVANCED_FACE('',(#36882),#329546,.F.); #345348=ADVANCED_FACE('',(#36883),#329547,.F.); #345349=ADVANCED_FACE('',(#36884),#329548,.F.); #345350=ADVANCED_FACE('',(#36885),#329549,.F.); #345351=ADVANCED_FACE('',(#36886),#329550,.F.); #345352=ADVANCED_FACE('',(#36887),#329551,.F.); #345353=ADVANCED_FACE('',(#36888),#329552,.F.); #345354=ADVANCED_FACE('',(#36889),#329553,.F.); #345355=ADVANCED_FACE('',(#36890),#329554,.F.); #345356=ADVANCED_FACE('',(#36891),#329555,.F.); #345357=ADVANCED_FACE('',(#36892),#329556,.F.); #345358=ADVANCED_FACE('',(#36893),#329557,.F.); #345359=ADVANCED_FACE('',(#36894),#329558,.F.); #345360=ADVANCED_FACE('',(#36895),#329559,.F.); #345361=ADVANCED_FACE('',(#36896),#329560,.F.); #345362=ADVANCED_FACE('',(#36897),#329561,.F.); #345363=ADVANCED_FACE('',(#36898),#329562,.F.); #345364=ADVANCED_FACE('',(#36899),#329563,.F.); #345365=ADVANCED_FACE('',(#36900),#329564,.F.); #345366=ADVANCED_FACE('',(#36901),#329565,.F.); #345367=ADVANCED_FACE('',(#36902),#329566,.F.); #345368=ADVANCED_FACE('',(#36903),#329567,.F.); #345369=ADVANCED_FACE('',(#36904),#329568,.F.); #345370=ADVANCED_FACE('',(#36905),#329569,.F.); #345371=ADVANCED_FACE('',(#36906),#329570,.F.); #345372=ADVANCED_FACE('',(#36907),#329571,.F.); #345373=ADVANCED_FACE('',(#36908),#329572,.F.); #345374=ADVANCED_FACE('',(#36909),#329573,.F.); #345375=ADVANCED_FACE('',(#36910),#329574,.F.); #345376=ADVANCED_FACE('',(#36911),#329575,.T.); #345377=ADVANCED_FACE('',(#36912,#7246,#7247,#7248,#7249,#7250,#7251,#7252, #7253,#7254,#7255,#7256,#7257,#7258,#7259,#7260,#7261,#7262,#7263,#7264, #7265,#7266,#7267,#7268,#7269,#7270,#7271,#7272,#7273,#7274,#7275,#7276, #7277,#7278,#7279,#7280,#7281,#7282,#7283,#7284,#7285,#7286,#7287,#7288, #7289,#7290,#7291,#7292,#7293,#7294,#7295,#7296,#7297,#7298,#7299,#7300, #7301,#7302,#7303,#7304,#7305,#7306,#7307,#7308,#7309,#7310,#7311,#7312, #7313,#7314,#7315,#7316,#7317,#7318,#7319,#7320,#7321,#7322,#7323,#7324, #7325,#7326,#7327,#7328,#7329,#7330,#7331,#7332,#7333,#7334,#7335,#7336, #7337,#7338,#7339,#7340,#7341,#7342,#7343,#7344,#7345,#7346,#7347,#7348, #7349,#7350,#7351,#7352,#7353,#7354,#7355,#7356,#7357,#7358,#7359,#7360, #7361,#7362,#7363,#7364,#7365,#7366,#7367,#7368,#7369,#7370,#7371,#7372, #7373,#7374,#7375,#7376,#7377,#7378,#7379,#7380,#7381,#7382,#7383,#7384, #7385,#7386,#7387,#7388,#7389,#7390,#7391,#7392,#7393,#7394,#7395,#7396, #7397,#7398,#7399,#7400,#7401,#7402,#7403,#7404,#7405,#7406,#7407,#7408, #7409,#7410,#7411,#7412,#7413,#7414,#7415,#7416,#7417,#7418,#7419,#7420, #7421,#7422,#7423,#7424,#7425,#7426,#7427,#7428,#7429,#7430,#7431,#7432, #7433,#7434,#7435,#7436,#7437,#7438,#7439,#7440,#7441,#7442,#7443,#7444, #7445,#7446,#7447,#7448,#7449,#7450,#7451,#7452,#7453,#7454,#7455,#7456, #7457,#7458,#7459,#7460,#7461,#7462,#7463,#7464,#7465,#7466,#7467,#7468, #7469,#7470,#7471,#7472,#7473,#7474,#7475,#7476,#7477,#7478,#7479,#7480, #7481,#7482,#7483,#7484,#7485,#7486,#7487,#7488,#7489,#7490,#7491,#7492, #7493,#7494,#7495,#7496,#7497,#7498,#7499,#7500,#7501,#7502,#7503,#7504, #7505,#7506,#7507,#7508,#7509,#7510,#7511,#7512,#7513,#7514,#7515,#7516, #7517,#7518,#7519,#7520,#7521,#7522,#7523,#7524,#7525,#7526,#7527,#7528, #7529,#7530,#7531,#7532,#7533,#7534,#7535,#7536,#7537,#7538,#7539,#7540, #7541,#7542,#7543,#7544,#7545,#7546,#7547,#7548,#7549,#7550,#7551,#7552, #7553,#7554,#7555,#7556,#7557,#7558,#7559,#7560,#7561,#7562),#19539,.T.); #345378=ADVANCED_FACE('',(#36913,#7563,#7564,#7565,#7566,#7567,#7568,#7569, #7570,#7571,#7572,#7573,#7574,#7575,#7576,#7577,#7578,#7579,#7580,#7581, #7582,#7583,#7584,#7585,#7586,#7587,#7588,#7589,#7590,#7591,#7592,#7593, #7594,#7595,#7596,#7597,#7598,#7599,#7600,#7601,#7602,#7603,#7604,#7605, #7606,#7607,#7608,#7609,#7610,#7611,#7612,#7613,#7614,#7615,#7616,#7617, #7618,#7619,#7620,#7621,#7622,#7623,#7624,#7625,#7626,#7627,#7628,#7629, #7630,#7631,#7632,#7633,#7634,#7635,#7636,#7637,#7638,#7639,#7640,#7641, #7642,#7643,#7644,#7645,#7646,#7647,#7648,#7649,#7650,#7651,#7652,#7653, #7654,#7655,#7656,#7657,#7658,#7659,#7660,#7661,#7662,#7663,#7664,#7665, #7666,#7667,#7668,#7669,#7670,#7671,#7672,#7673,#7674,#7675,#7676,#7677, #7678,#7679,#7680,#7681,#7682,#7683,#7684,#7685,#7686,#7687,#7688,#7689, #7690,#7691,#7692,#7693,#7694,#7695,#7696,#7697,#7698,#7699,#7700,#7701, #7702,#7703,#7704,#7705,#7706,#7707,#7708,#7709,#7710,#7711,#7712,#7713, #7714,#7715,#7716,#7717,#7718,#7719,#7720,#7721,#7722,#7723,#7724,#7725, #7726,#7727,#7728,#7729,#7730,#7731,#7732,#7733,#7734,#7735,#7736,#7737, #7738,#7739,#7740,#7741,#7742,#7743,#7744,#7745,#7746,#7747,#7748,#7749, #7750,#7751,#7752,#7753,#7754,#7755,#7756,#7757,#7758,#7759,#7760,#7761, #7762,#7763,#7764,#7765,#7766,#7767,#7768,#7769,#7770,#7771,#7772,#7773, #7774,#7775,#7776,#7777,#7778,#7779,#7780,#7781,#7782,#7783,#7784,#7785, #7786,#7787,#7788,#7789,#7790,#7791,#7792,#7793,#7794,#7795,#7796,#7797, #7798,#7799,#7800,#7801,#7802,#7803,#7804,#7805,#7806,#7807,#7808,#7809, #7810,#7811,#7812,#7813,#7814,#7815,#7816,#7817,#7818,#7819,#7820,#7821, #7822,#7823,#7824,#7825,#7826,#7827,#7828,#7829,#7830,#7831,#7832,#7833, #7834,#7835,#7836,#7837,#7838,#7839,#7840,#7841,#7842,#7843,#7844,#7845, #7846,#7847,#7848,#7849,#7850,#7851,#7852,#7853,#7854,#7855,#7856,#7857, #7858,#7859,#7860,#7861,#7862,#7863,#7864,#7865,#7866,#7867,#7868,#7869, #7870,#7871,#7872,#7873,#7874,#7875,#7876,#7877,#7878,#7879),#19540,.F.); #345379=ADVANCED_FACE('',(#36914),#19541,.T.); #345380=ADVANCED_FACE('',(#36915),#19542,.F.); #345381=ADVANCED_FACE('',(#36916),#19543,.F.); #345382=ADVANCED_FACE('',(#36917),#19544,.T.); #345383=ADVANCED_FACE('',(#36918),#19545,.T.); #345384=ADVANCED_FACE('',(#36919),#19546,.F.); #345385=ADVANCED_FACE('',(#36920,#7880,#7881,#7882,#7883),#19547,.F.); #345386=ADVANCED_FACE('',(#36921,#7884),#19548,.F.); #345387=ADVANCED_FACE('',(#36922,#7885),#19549,.F.); #345388=ADVANCED_FACE('',(#36923,#7886),#19550,.F.); #345389=ADVANCED_FACE('',(#36924),#19551,.F.); #345390=ADVANCED_FACE('',(#36925),#19552,.F.); #345391=ADVANCED_FACE('',(#36926,#7887),#19553,.F.); #345392=ADVANCED_FACE('',(#36927),#19554,.F.); #345393=ADVANCED_FACE('',(#36928),#19555,.F.); #345394=ADVANCED_FACE('',(#36929),#19556,.F.); #345395=ADVANCED_FACE('',(#36930),#19557,.F.); #345396=ADVANCED_FACE('',(#36931),#19558,.T.); #345397=ADVANCED_FACE('',(#36932),#19559,.T.); #345398=ADVANCED_FACE('',(#36933),#19560,.T.); #345399=ADVANCED_FACE('',(#36934),#19561,.F.); #345400=ADVANCED_FACE('',(#36935),#19562,.F.); #345401=ADVANCED_FACE('',(#36936),#19563,.F.); #345402=ADVANCED_FACE('',(#36937),#19564,.F.); #345403=ADVANCED_FACE('',(#36938),#19565,.F.); #345404=ADVANCED_FACE('',(#36939),#19566,.T.); #345405=ADVANCED_FACE('',(#36940),#19567,.T.); #345406=ADVANCED_FACE('',(#36941),#19568,.F.); #345407=ADVANCED_FACE('',(#36942),#19569,.T.); #345408=ADVANCED_FACE('',(#36943),#19570,.F.); #345409=ADVANCED_FACE('',(#36944),#19571,.F.); #345410=ADVANCED_FACE('',(#36945),#19572,.T.); #345411=ADVANCED_FACE('',(#36946),#19573,.F.); #345412=ADVANCED_FACE('',(#36947),#19574,.T.); #345413=ADVANCED_FACE('',(#36948),#19575,.T.); #345414=ADVANCED_FACE('',(#36949),#19576,.F.); #345415=ADVANCED_FACE('',(#36950),#19577,.T.); #345416=ADVANCED_FACE('',(#36951),#19578,.F.); #345417=ADVANCED_FACE('',(#36952),#19579,.T.); #345418=ADVANCED_FACE('',(#36953),#19580,.T.); #345419=ADVANCED_FACE('',(#36954),#19581,.T.); #345420=ADVANCED_FACE('',(#36955),#19582,.F.); #345421=ADVANCED_FACE('',(#36956),#19583,.F.); #345422=ADVANCED_FACE('',(#36957),#19584,.F.); #345423=ADVANCED_FACE('',(#36958),#19585,.F.); #345424=ADVANCED_FACE('',(#36959),#19586,.F.); #345425=ADVANCED_FACE('',(#36960),#19587,.F.); #345426=ADVANCED_FACE('',(#36961),#19588,.F.); #345427=ADVANCED_FACE('',(#36962),#19589,.F.); #345428=ADVANCED_FACE('',(#36963),#19590,.T.); #345429=ADVANCED_FACE('',(#36964),#19591,.T.); #345430=ADVANCED_FACE('',(#36965),#19592,.F.); #345431=ADVANCED_FACE('',(#36966),#19593,.T.); #345432=ADVANCED_FACE('',(#36967),#19594,.F.); #345433=ADVANCED_FACE('',(#36968),#19595,.F.); #345434=ADVANCED_FACE('',(#36969),#19596,.T.); #345435=ADVANCED_FACE('',(#36970),#19597,.F.); #345436=ADVANCED_FACE('',(#36971),#19598,.T.); #345437=ADVANCED_FACE('',(#36972),#19599,.T.); #345438=ADVANCED_FACE('',(#36973),#19600,.T.); #345439=ADVANCED_FACE('',(#36974),#19601,.T.); #345440=ADVANCED_FACE('',(#36975),#19602,.F.); #345441=ADVANCED_FACE('',(#36976),#19603,.T.); #345442=ADVANCED_FACE('',(#36977),#19604,.F.); #345443=ADVANCED_FACE('',(#36978),#19605,.F.); #345444=ADVANCED_FACE('',(#36979),#19606,.T.); #345445=ADVANCED_FACE('',(#36980),#19607,.F.); #345446=ADVANCED_FACE('',(#36981),#19608,.T.); #345447=ADVANCED_FACE('',(#36982),#19609,.T.); #345448=ADVANCED_FACE('',(#36983),#19610,.T.); #345449=ADVANCED_FACE('',(#36984),#19611,.T.); #345450=ADVANCED_FACE('',(#36985),#19612,.F.); #345451=ADVANCED_FACE('',(#36986),#19613,.T.); #345452=ADVANCED_FACE('',(#36987),#19614,.F.); #345453=ADVANCED_FACE('',(#36988),#19615,.F.); #345454=ADVANCED_FACE('',(#36989),#19616,.T.); #345455=ADVANCED_FACE('',(#36990),#19617,.F.); #345456=ADVANCED_FACE('',(#36991),#19618,.T.); #345457=ADVANCED_FACE('',(#36992),#19619,.T.); #345458=ADVANCED_FACE('',(#36993),#19620,.F.); #345459=ADVANCED_FACE('',(#36994),#19621,.T.); #345460=ADVANCED_FACE('',(#36995),#19622,.F.); #345461=ADVANCED_FACE('',(#36996),#19623,.T.); #345462=ADVANCED_FACE('',(#36997),#19624,.T.); #345463=ADVANCED_FACE('',(#36998),#19625,.T.); #345464=ADVANCED_FACE('',(#36999),#19626,.F.); #345465=ADVANCED_FACE('',(#37000),#19627,.F.); #345466=ADVANCED_FACE('',(#37001),#19628,.F.); #345467=ADVANCED_FACE('',(#37002),#19629,.T.); #345468=ADVANCED_FACE('',(#37003),#19630,.F.); #345469=ADVANCED_FACE('',(#37004),#19631,.T.); #345470=ADVANCED_FACE('',(#37005),#19632,.T.); #345471=ADVANCED_FACE('',(#37006),#19633,.T.); #345472=ADVANCED_FACE('',(#37007),#19634,.F.); #345473=ADVANCED_FACE('',(#37008),#19635,.F.); #345474=ADVANCED_FACE('',(#37009),#19636,.F.); #345475=ADVANCED_FACE('',(#37010),#19637,.T.); #345476=ADVANCED_FACE('',(#37011),#19638,.F.); #345477=ADVANCED_FACE('',(#37012),#19639,.T.); #345478=ADVANCED_FACE('',(#37013),#19640,.T.); #345479=ADVANCED_FACE('',(#37014),#19641,.T.); #345480=ADVANCED_FACE('',(#37015),#19642,.F.); #345481=ADVANCED_FACE('',(#37016),#19643,.F.); #345482=ADVANCED_FACE('',(#37017),#19644,.F.); #345483=ADVANCED_FACE('',(#37018),#23,.T.); #345484=ADVANCED_FACE('',(#37019),#24,.T.); #345485=ADVANCED_FACE('',(#37020),#25,.T.); #345486=ADVANCED_FACE('',(#37021),#26,.T.); #345487=ADVANCED_FACE('',(#37022),#27,.T.); #345488=ADVANCED_FACE('',(#37023),#329576,.T.); #345489=ADVANCED_FACE('',(#37024),#28,.T.); #345490=ADVANCED_FACE('',(#37025),#29,.T.); #345491=ADVANCED_FACE('',(#37026),#329577,.T.); #345492=ADVANCED_FACE('',(#37027),#329578,.T.); #345493=ADVANCED_FACE('',(#37028),#30,.T.); #345494=ADVANCED_FACE('',(#37029),#329579,.T.); #345495=ADVANCED_FACE('',(#37030),#329580,.T.); #345496=ADVANCED_FACE('',(#37031),#329581,.T.); #345497=ADVANCED_FACE('',(#37032),#329582,.T.); #345498=ADVANCED_FACE('',(#37033),#329583,.T.); #345499=ADVANCED_FACE('',(#37034),#329584,.T.); #345500=ADVANCED_FACE('',(#37035),#329585,.T.); #345501=ADVANCED_FACE('',(#37036),#329586,.T.); #345502=ADVANCED_FACE('',(#37037),#329587,.T.); #345503=ADVANCED_FACE('',(#37038),#19645,.T.); #345504=ADVANCED_FACE('',(#37039),#19646,.F.); #345505=ADVANCED_FACE('',(#37040),#19647,.T.); #345506=ADVANCED_FACE('',(#37041),#19648,.T.); #345507=ADVANCED_FACE('',(#37042),#19649,.T.); #345508=ADVANCED_FACE('',(#37043),#19650,.T.); #345509=ADVANCED_FACE('',(#37044),#31,.T.); #345510=ADVANCED_FACE('',(#37045),#32,.T.); #345511=ADVANCED_FACE('',(#37046),#33,.T.); #345512=ADVANCED_FACE('',(#37047),#34,.T.); #345513=ADVANCED_FACE('',(#37048),#35,.T.); #345514=ADVANCED_FACE('',(#37049),#329588,.T.); #345515=ADVANCED_FACE('',(#37050),#36,.T.); #345516=ADVANCED_FACE('',(#37051),#37,.T.); #345517=ADVANCED_FACE('',(#37052),#329589,.T.); #345518=ADVANCED_FACE('',(#37053),#329590,.T.); #345519=ADVANCED_FACE('',(#37054),#38,.T.); #345520=ADVANCED_FACE('',(#37055),#329591,.T.); #345521=ADVANCED_FACE('',(#37056),#329592,.T.); #345522=ADVANCED_FACE('',(#37057),#329593,.T.); #345523=ADVANCED_FACE('',(#37058),#329594,.T.); #345524=ADVANCED_FACE('',(#37059),#329595,.T.); #345525=ADVANCED_FACE('',(#37060),#329596,.T.); #345526=ADVANCED_FACE('',(#37061),#329597,.T.); #345527=ADVANCED_FACE('',(#37062),#329598,.T.); #345528=ADVANCED_FACE('',(#37063),#329599,.T.); #345529=ADVANCED_FACE('',(#37064),#19651,.T.); #345530=ADVANCED_FACE('',(#37065),#19652,.F.); #345531=ADVANCED_FACE('',(#37066),#19653,.T.); #345532=ADVANCED_FACE('',(#37067),#19654,.T.); #345533=ADVANCED_FACE('',(#37068),#19655,.T.); #345534=ADVANCED_FACE('',(#37069),#19656,.T.); #345535=ADVANCED_FACE('',(#37070),#19657,.T.); #345536=ADVANCED_FACE('',(#37071),#19658,.T.); #345537=ADVANCED_FACE('',(#37072),#19659,.T.); #345538=ADVANCED_FACE('',(#37073),#19660,.T.); #345539=ADVANCED_FACE('',(#37074),#19661,.T.); #345540=ADVANCED_FACE('',(#37075),#19662,.F.); #345541=ADVANCED_FACE('',(#37076),#19663,.T.); #345542=ADVANCED_FACE('',(#37077),#19664,.F.); #345543=ADVANCED_FACE('',(#37078),#19665,.F.); #345544=ADVANCED_FACE('',(#37079),#19666,.F.); #345545=ADVANCED_FACE('',(#37080),#19667,.F.); #345546=ADVANCED_FACE('',(#37081),#19668,.F.); #345547=ADVANCED_FACE('',(#37082),#19669,.T.); #345548=ADVANCED_FACE('',(#37083),#19670,.T.); #345549=ADVANCED_FACE('',(#37084),#19671,.T.); #345550=ADVANCED_FACE('',(#37085),#19672,.T.); #345551=ADVANCED_FACE('',(#37086),#19673,.T.); #345552=ADVANCED_FACE('',(#37087),#19674,.F.); #345553=ADVANCED_FACE('',(#37088),#19675,.T.); #345554=ADVANCED_FACE('',(#37089),#19676,.F.); #345555=ADVANCED_FACE('',(#37090),#329600,.T.); #345556=ADVANCED_FACE('',(#37091),#329601,.T.); #345557=ADVANCED_FACE('',(#37092),#19677,.F.); #345558=ADVANCED_FACE('',(#37093),#19678,.F.); #345559=ADVANCED_FACE('',(#37094),#19679,.T.); #345560=ADVANCED_FACE('',(#37095),#19680,.T.); #345561=ADVANCED_FACE('',(#37096),#19681,.T.); #345562=ADVANCED_FACE('',(#37097),#19682,.F.); #345563=ADVANCED_FACE('',(#37098),#19683,.F.); #345564=ADVANCED_FACE('',(#37099),#3494,.T.); #345565=ADVANCED_FACE('',(#37100),#19684,.T.); #345566=ADVANCED_FACE('',(#37101),#19685,.T.); #345567=ADVANCED_FACE('',(#37102),#19686,.T.); #345568=ADVANCED_FACE('',(#37103),#19687,.T.); #345569=ADVANCED_FACE('',(#37104),#19688,.T.); #345570=ADVANCED_FACE('',(#37105),#19689,.T.); #345571=ADVANCED_FACE('',(#37106),#19690,.T.); #345572=ADVANCED_FACE('',(#37107),#19691,.T.); #345573=ADVANCED_FACE('',(#37108),#19692,.T.); #345574=ADVANCED_FACE('',(#37109),#19693,.T.); #345575=ADVANCED_FACE('',(#37110),#19694,.T.); #345576=ADVANCED_FACE('',(#37111),#19695,.T.); #345577=ADVANCED_FACE('',(#37112),#19696,.T.); #345578=ADVANCED_FACE('',(#37113),#19697,.T.); #345579=ADVANCED_FACE('',(#37114),#19698,.T.); #345580=ADVANCED_FACE('',(#37115),#19699,.T.); #345581=ADVANCED_FACE('',(#37116),#19700,.T.); #345582=ADVANCED_FACE('',(#37117),#19701,.T.); #345583=ADVANCED_FACE('',(#37118),#19702,.T.); #345584=ADVANCED_FACE('',(#37119),#19703,.T.); #345585=ADVANCED_FACE('',(#37120),#19704,.T.); #345586=ADVANCED_FACE('',(#37121),#19705,.T.); #345587=ADVANCED_FACE('',(#37122),#19706,.T.); #345588=ADVANCED_FACE('',(#37123),#19707,.T.); #345589=ADVANCED_FACE('',(#37124),#19708,.T.); #345590=ADVANCED_FACE('',(#37125),#19709,.T.); #345591=ADVANCED_FACE('',(#37126),#19710,.T.); #345592=ADVANCED_FACE('',(#37127),#19711,.T.); #345593=ADVANCED_FACE('',(#37128),#19712,.T.); #345594=ADVANCED_FACE('',(#37129),#19713,.T.); #345595=ADVANCED_FACE('',(#37130),#19714,.T.); #345596=ADVANCED_FACE('',(#37131),#19715,.T.); #345597=ADVANCED_FACE('',(#37132),#19716,.T.); #345598=ADVANCED_FACE('',(#37133),#19717,.T.); #345599=ADVANCED_FACE('',(#37134),#19718,.T.); #345600=ADVANCED_FACE('',(#37135),#19719,.T.); #345601=ADVANCED_FACE('',(#37136),#19720,.T.); #345602=ADVANCED_FACE('',(#37137),#19721,.T.); #345603=ADVANCED_FACE('',(#37138),#19722,.F.); #345604=ADVANCED_FACE('',(#37139),#19723,.F.); #345605=ADVANCED_FACE('',(#37140),#19724,.F.); #345606=ADVANCED_FACE('',(#37141),#19725,.F.); #345607=ADVANCED_FACE('',(#37142),#19726,.F.); #345608=ADVANCED_FACE('',(#37143),#19727,.F.); #345609=ADVANCED_FACE('',(#37144),#19728,.F.); #345610=ADVANCED_FACE('',(#37145),#19729,.F.); #345611=ADVANCED_FACE('',(#37146),#19730,.F.); #345612=ADVANCED_FACE('',(#37147),#19731,.F.); #345613=ADVANCED_FACE('',(#37148),#19732,.F.); #345614=ADVANCED_FACE('',(#37149),#19733,.F.); #345615=ADVANCED_FACE('',(#37150),#19734,.F.); #345616=ADVANCED_FACE('',(#37151),#19735,.F.); #345617=ADVANCED_FACE('',(#37152),#19736,.F.); #345618=ADVANCED_FACE('',(#37153),#19737,.F.); #345619=ADVANCED_FACE('',(#37154),#19738,.F.); #345620=ADVANCED_FACE('',(#37155),#19739,.F.); #345621=ADVANCED_FACE('',(#37156),#19740,.F.); #345622=ADVANCED_FACE('',(#37157),#19741,.F.); #345623=ADVANCED_FACE('',(#37158),#19742,.F.); #345624=ADVANCED_FACE('',(#37159),#19743,.F.); #345625=ADVANCED_FACE('',(#37160),#19744,.T.); #345626=ADVANCED_FACE('',(#37161),#19745,.T.); #345627=ADVANCED_FACE('',(#37162),#19746,.F.); #345628=ADVANCED_FACE('',(#37163),#19747,.T.); #345629=ADVANCED_FACE('',(#37164),#19748,.T.); #345630=ADVANCED_FACE('',(#37165),#19749,.T.); #345631=ADVANCED_FACE('',(#37166),#329602,.T.); #345632=ADVANCED_FACE('',(#37167),#19750,.T.); #345633=ADVANCED_FACE('',(#37168),#19751,.T.); #345634=ADVANCED_FACE('',(#37169),#19752,.T.); #345635=ADVANCED_FACE('',(#37170),#19753,.T.); #345636=ADVANCED_FACE('',(#37171),#19754,.F.); #345637=ADVANCED_FACE('',(#37172),#19755,.T.); #345638=ADVANCED_FACE('',(#37173),#19756,.F.); #345639=ADVANCED_FACE('',(#37174),#19757,.F.); #345640=ADVANCED_FACE('',(#37175),#19758,.T.); #345641=ADVANCED_FACE('',(#37176),#19759,.T.); #345642=ADVANCED_FACE('',(#37177),#19760,.F.); #345643=ADVANCED_FACE('',(#37178),#19761,.F.); #345644=ADVANCED_FACE('',(#37179),#19762,.F.); #345645=ADVANCED_FACE('',(#37180),#329603,.T.); #345646=ADVANCED_FACE('',(#37181),#19763,.T.); #345647=ADVANCED_FACE('',(#37182),#329604,.T.); #345648=ADVANCED_FACE('',(#37183),#329605,.T.); #345649=ADVANCED_FACE('',(#37184),#19764,.T.); #345650=ADVANCED_FACE('',(#37185),#19765,.F.); #345651=ADVANCED_FACE('',(#37186),#329606,.T.); #345652=ADVANCED_FACE('',(#37187),#19766,.F.); #345653=ADVANCED_FACE('',(#37188),#329607,.T.); #345654=ADVANCED_FACE('',(#37189),#19767,.F.); #345655=ADVANCED_FACE('',(#37190,#7888),#19768,.T.); #345656=ADVANCED_FACE('',(#37191),#19769,.T.); #345657=ADVANCED_FACE('',(#37192),#19770,.T.); #345658=ADVANCED_FACE('',(#37193),#329608,.F.); #345659=ADVANCED_FACE('',(#37194),#19771,.T.); #345660=ADVANCED_FACE('',(#37195),#19772,.T.); #345661=ADVANCED_FACE('',(#37196),#19773,.T.); #345662=ADVANCED_FACE('',(#37197),#19774,.T.); #345663=ADVANCED_FACE('',(#37198),#19775,.T.); #345664=ADVANCED_FACE('',(#37199),#329609,.F.); #345665=ADVANCED_FACE('',(#37200),#19776,.T.); #345666=ADVANCED_FACE('',(#37201),#19777,.F.); #345667=ADVANCED_FACE('',(#37202),#3495,.T.); #345668=ADVANCED_FACE('',(#37203),#19778,.T.); #345669=ADVANCED_FACE('',(#37204),#19779,.T.); #345670=ADVANCED_FACE('',(#37205),#3496,.T.); #345671=ADVANCED_FACE('',(#37206),#3497,.T.); #345672=ADVANCED_FACE('',(#37207),#19780,.T.); #345673=ADVANCED_FACE('',(#37208),#19781,.T.); #345674=ADVANCED_FACE('',(#37209),#3498,.T.); #345675=ADVANCED_FACE('',(#37210),#3499,.T.); #345676=ADVANCED_FACE('',(#37211),#19782,.F.); #345677=ADVANCED_FACE('',(#37212),#3500,.T.); #345678=ADVANCED_FACE('',(#37213),#3501,.T.); #345679=ADVANCED_FACE('',(#37214),#19783,.F.); #345680=ADVANCED_FACE('',(#37215),#3502,.T.); #345681=ADVANCED_FACE('',(#37216),#19784,.T.); #345682=ADVANCED_FACE('',(#37217),#19785,.F.); #345683=ADVANCED_FACE('',(#37218),#329610,.T.); #345684=ADVANCED_FACE('',(#37219),#329611,.T.); #345685=ADVANCED_FACE('',(#37220),#19786,.F.); #345686=ADVANCED_FACE('',(#37221),#19787,.F.); #345687=ADVANCED_FACE('',(#37222),#19788,.T.); #345688=ADVANCED_FACE('',(#37223),#19789,.T.); #345689=ADVANCED_FACE('',(#37224),#19790,.T.); #345690=ADVANCED_FACE('',(#37225),#19791,.F.); #345691=ADVANCED_FACE('',(#37226),#19792,.T.); #345692=ADVANCED_FACE('',(#37227),#19793,.T.); #345693=ADVANCED_FACE('',(#37228),#19794,.T.); #345694=ADVANCED_FACE('',(#37229),#19795,.T.); #345695=ADVANCED_FACE('',(#37230),#19796,.F.); #345696=ADVANCED_FACE('',(#37231),#19797,.F.); #345697=ADVANCED_FACE('',(#37232),#19798,.T.); #345698=ADVANCED_FACE('',(#37233),#19799,.F.); #345699=ADVANCED_FACE('',(#37234),#19800,.F.); #345700=ADVANCED_FACE('',(#37235),#19801,.F.); #345701=ADVANCED_FACE('',(#37236),#19802,.F.); #345702=ADVANCED_FACE('',(#37237),#19803,.T.); #345703=ADVANCED_FACE('',(#37238),#19804,.T.); #345704=ADVANCED_FACE('',(#37239),#19805,.T.); #345705=ADVANCED_FACE('',(#37240),#19806,.F.); #345706=ADVANCED_FACE('',(#37241),#19807,.F.); #345707=ADVANCED_FACE('',(#37242),#19808,.F.); #345708=ADVANCED_FACE('',(#37243),#19809,.F.); #345709=ADVANCED_FACE('',(#37244),#19810,.F.); #345710=ADVANCED_FACE('',(#37245,#7889,#7890),#19811,.T.); #345711=ADVANCED_FACE('',(#37246),#329612,.T.); #345712=ADVANCED_FACE('',(#37247),#19812,.F.); #345713=ADVANCED_FACE('',(#37248),#329613,.T.); #345714=ADVANCED_FACE('',(#37249),#19813,.T.); #345715=ADVANCED_FACE('',(#37250),#3503,.T.); #345716=ADVANCED_FACE('',(#37251),#3504,.T.); #345717=ADVANCED_FACE('',(#37252),#19814,.T.); #345718=ADVANCED_FACE('',(#37253),#19815,.T.); #345719=ADVANCED_FACE('',(#37254),#3505,.T.); #345720=ADVANCED_FACE('',(#37255),#19816,.T.); #345721=ADVANCED_FACE('',(#37256),#19817,.T.); #345722=ADVANCED_FACE('',(#37257),#19818,.T.); #345723=ADVANCED_FACE('',(#37258),#19819,.F.); #345724=ADVANCED_FACE('',(#37259),#19820,.T.); #345725=ADVANCED_FACE('',(#37260),#19821,.T.); #345726=ADVANCED_FACE('',(#37261),#19822,.T.); #345727=ADVANCED_FACE('',(#37262),#19823,.T.); #345728=ADVANCED_FACE('',(#37263),#19824,.T.); #345729=ADVANCED_FACE('',(#37264),#19825,.T.); #345730=ADVANCED_FACE('',(#37265),#19826,.T.); #345731=ADVANCED_FACE('',(#37266),#19827,.T.); #345732=ADVANCED_FACE('',(#37267),#19828,.T.); #345733=ADVANCED_FACE('',(#37268),#19829,.T.); #345734=ADVANCED_FACE('',(#37269),#19830,.T.); #345735=ADVANCED_FACE('',(#37270),#19831,.T.); #345736=ADVANCED_FACE('',(#37271),#19832,.T.); #345737=ADVANCED_FACE('',(#37272),#19833,.T.); #345738=ADVANCED_FACE('',(#37273),#19834,.T.); #345739=ADVANCED_FACE('',(#37274),#19835,.T.); #345740=ADVANCED_FACE('',(#37275),#19836,.T.); #345741=ADVANCED_FACE('',(#37276),#19837,.T.); #345742=ADVANCED_FACE('',(#37277),#19838,.T.); #345743=ADVANCED_FACE('',(#37278),#19839,.T.); #345744=ADVANCED_FACE('',(#37279),#19840,.T.); #345745=ADVANCED_FACE('',(#37280),#19841,.T.); #345746=ADVANCED_FACE('',(#37281),#19842,.T.); #345747=ADVANCED_FACE('',(#37282),#19843,.T.); #345748=ADVANCED_FACE('',(#37283),#19844,.T.); #345749=ADVANCED_FACE('',(#37284),#19845,.F.); #345750=ADVANCED_FACE('',(#37285),#19846,.F.); #345751=ADVANCED_FACE('',(#37286),#19847,.F.); #345752=ADVANCED_FACE('',(#37287),#19848,.F.); #345753=ADVANCED_FACE('',(#37288),#19849,.F.); #345754=ADVANCED_FACE('',(#37289),#19850,.F.); #345755=ADVANCED_FACE('',(#37290),#19851,.F.); #345756=ADVANCED_FACE('',(#37291),#19852,.T.); #345757=ADVANCED_FACE('',(#37292),#19853,.T.); #345758=ADVANCED_FACE('',(#37293),#19854,.T.); #345759=ADVANCED_FACE('',(#37294),#19855,.T.); #345760=ADVANCED_FACE('',(#37295),#19856,.F.); #345761=ADVANCED_FACE('',(#37296),#19857,.F.); #345762=ADVANCED_FACE('',(#37297),#19858,.F.); #345763=ADVANCED_FACE('',(#37298),#19859,.F.); #345764=ADVANCED_FACE('',(#37299),#19860,.T.); #345765=ADVANCED_FACE('',(#37300),#19861,.F.); #345766=ADVANCED_FACE('',(#37301),#19862,.F.); #345767=ADVANCED_FACE('',(#37302),#19863,.F.); #345768=ADVANCED_FACE('',(#37303),#19864,.F.); #345769=ADVANCED_FACE('',(#37304),#19865,.F.); #345770=ADVANCED_FACE('',(#37305),#19866,.F.); #345771=ADVANCED_FACE('',(#37306),#19867,.F.); #345772=ADVANCED_FACE('',(#37307),#19868,.F.); #345773=ADVANCED_FACE('',(#37308),#19869,.F.); #345774=ADVANCED_FACE('',(#37309),#19870,.F.); #345775=ADVANCED_FACE('',(#37310),#19871,.F.); #345776=ADVANCED_FACE('',(#37311),#19872,.F.); #345777=ADVANCED_FACE('',(#37312),#19873,.F.); #345778=ADVANCED_FACE('',(#37313),#19874,.F.); #345779=ADVANCED_FACE('',(#37314),#19875,.F.); #345780=ADVANCED_FACE('',(#37315),#19876,.F.); #345781=ADVANCED_FACE('',(#37316),#19877,.F.); #345782=ADVANCED_FACE('',(#37317),#19878,.F.); #345783=ADVANCED_FACE('',(#37318),#19879,.F.); #345784=ADVANCED_FACE('',(#37319),#19880,.F.); #345785=ADVANCED_FACE('',(#37320),#19881,.F.); #345786=ADVANCED_FACE('',(#37321),#19882,.F.); #345787=ADVANCED_FACE('',(#37322),#19883,.F.); #345788=ADVANCED_FACE('',(#37323),#19884,.F.); #345789=ADVANCED_FACE('',(#37324),#19885,.F.); #345790=ADVANCED_FACE('',(#37325),#19886,.F.); #345791=ADVANCED_FACE('',(#37326),#19887,.F.); #345792=ADVANCED_FACE('',(#37327),#19888,.F.); #345793=ADVANCED_FACE('',(#37328),#19889,.F.); #345794=ADVANCED_FACE('',(#37329),#19890,.F.); #345795=ADVANCED_FACE('',(#37330),#19891,.T.); #345796=ADVANCED_FACE('',(#37331),#19892,.T.); #345797=ADVANCED_FACE('',(#37332),#19893,.T.); #345798=ADVANCED_FACE('',(#37333),#19894,.T.); #345799=ADVANCED_FACE('',(#37334),#19895,.F.); #345800=ADVANCED_FACE('',(#37335),#19896,.F.); #345801=ADVANCED_FACE('',(#37336),#19897,.F.); #345802=ADVANCED_FACE('',(#37337),#19898,.T.); #345803=ADVANCED_FACE('',(#37338),#19899,.F.); #345804=ADVANCED_FACE('',(#37339),#19900,.F.); #345805=ADVANCED_FACE('',(#37340),#19901,.F.); #345806=ADVANCED_FACE('',(#37341),#19902,.F.); #345807=ADVANCED_FACE('',(#37342),#19903,.F.); #345808=ADVANCED_FACE('',(#37343),#19904,.F.); #345809=ADVANCED_FACE('',(#37344),#19905,.F.); #345810=ADVANCED_FACE('',(#37345),#19906,.F.); #345811=ADVANCED_FACE('',(#37346),#19907,.F.); #345812=ADVANCED_FACE('',(#37347),#19908,.F.); #345813=ADVANCED_FACE('',(#37348),#19909,.T.); #345814=ADVANCED_FACE('',(#37349),#19910,.F.); #345815=ADVANCED_FACE('',(#37350),#19911,.T.); #345816=ADVANCED_FACE('',(#37351),#329614,.F.); #345817=ADVANCED_FACE('',(#37352),#19912,.F.); #345818=ADVANCED_FACE('',(#37353),#329615,.T.); #345819=ADVANCED_FACE('',(#37354),#19913,.F.); #345820=ADVANCED_FACE('',(#37355),#329616,.T.); #345821=ADVANCED_FACE('',(#37356),#19914,.T.); #345822=ADVANCED_FACE('',(#37357),#329617,.F.); #345823=ADVANCED_FACE('',(#37358),#19915,.T.); #345824=ADVANCED_FACE('',(#37359),#19916,.T.); #345825=ADVANCED_FACE('',(#37360),#19917,.F.); #345826=ADVANCED_FACE('',(#37361),#19918,.F.); #345827=ADVANCED_FACE('',(#37362),#19919,.F.); #345828=ADVANCED_FACE('',(#37363),#19920,.F.); #345829=ADVANCED_FACE('',(#37364),#19921,.F.); #345830=ADVANCED_FACE('',(#37365),#19922,.F.); #345831=ADVANCED_FACE('',(#37366),#19923,.F.); #345832=ADVANCED_FACE('',(#37367),#19924,.F.); #345833=ADVANCED_FACE('',(#37368),#19925,.T.); #345834=ADVANCED_FACE('',(#37369),#19926,.F.); #345835=ADVANCED_FACE('',(#37370),#19927,.T.); #345836=ADVANCED_FACE('',(#37371),#329618,.F.); #345837=ADVANCED_FACE('',(#37372),#19928,.F.); #345838=ADVANCED_FACE('',(#37373),#329619,.T.); #345839=ADVANCED_FACE('',(#37374),#19929,.F.); #345840=ADVANCED_FACE('',(#37375),#329620,.T.); #345841=ADVANCED_FACE('',(#37376),#19930,.T.); #345842=ADVANCED_FACE('',(#37377),#329621,.F.); #345843=ADVANCED_FACE('',(#37378),#19931,.T.); #345844=ADVANCED_FACE('',(#37379),#19932,.T.); #345845=ADVANCED_FACE('',(#37380),#19933,.F.); #345846=ADVANCED_FACE('',(#37381),#19934,.F.); #345847=ADVANCED_FACE('',(#37382),#19935,.F.); #345848=ADVANCED_FACE('',(#37383),#19936,.F.); #345849=ADVANCED_FACE('',(#37384),#19937,.F.); #345850=ADVANCED_FACE('',(#37385),#19938,.F.); #345851=ADVANCED_FACE('',(#37386),#19939,.F.); #345852=ADVANCED_FACE('',(#37387),#19940,.F.); #345853=ADVANCED_FACE('',(#37388),#19941,.T.); #345854=ADVANCED_FACE('',(#37389),#19942,.F.); #345855=ADVANCED_FACE('',(#37390),#19943,.T.); #345856=ADVANCED_FACE('',(#37391),#329622,.F.); #345857=ADVANCED_FACE('',(#37392),#19944,.F.); #345858=ADVANCED_FACE('',(#37393),#329623,.T.); #345859=ADVANCED_FACE('',(#37394),#19945,.F.); #345860=ADVANCED_FACE('',(#37395),#329624,.T.); #345861=ADVANCED_FACE('',(#37396),#19946,.T.); #345862=ADVANCED_FACE('',(#37397),#329625,.F.); #345863=ADVANCED_FACE('',(#37398),#19947,.T.); #345864=ADVANCED_FACE('',(#37399),#19948,.T.); #345865=ADVANCED_FACE('',(#37400),#19949,.F.); #345866=ADVANCED_FACE('',(#37401),#19950,.F.); #345867=ADVANCED_FACE('',(#37402),#19951,.F.); #345868=ADVANCED_FACE('',(#37403),#19952,.F.); #345869=ADVANCED_FACE('',(#37404),#19953,.F.); #345870=ADVANCED_FACE('',(#37405),#19954,.F.); #345871=ADVANCED_FACE('',(#37406),#19955,.F.); #345872=ADVANCED_FACE('',(#37407),#19956,.F.); #345873=ADVANCED_FACE('',(#37408),#19957,.T.); #345874=ADVANCED_FACE('',(#37409),#19958,.F.); #345875=ADVANCED_FACE('',(#37410),#19959,.T.); #345876=ADVANCED_FACE('',(#37411),#329626,.F.); #345877=ADVANCED_FACE('',(#37412),#19960,.F.); #345878=ADVANCED_FACE('',(#37413),#329627,.T.); #345879=ADVANCED_FACE('',(#37414),#19961,.F.); #345880=ADVANCED_FACE('',(#37415),#329628,.T.); #345881=ADVANCED_FACE('',(#37416),#19962,.T.); #345882=ADVANCED_FACE('',(#37417),#329629,.F.); #345883=ADVANCED_FACE('',(#37418),#19963,.T.); #345884=ADVANCED_FACE('',(#37419),#19964,.T.); #345885=ADVANCED_FACE('',(#37420),#19965,.F.); #345886=ADVANCED_FACE('',(#37421),#19966,.F.); #345887=ADVANCED_FACE('',(#37422),#19967,.F.); #345888=ADVANCED_FACE('',(#37423),#19968,.F.); #345889=ADVANCED_FACE('',(#37424),#19969,.F.); #345890=ADVANCED_FACE('',(#37425),#19970,.F.); #345891=ADVANCED_FACE('',(#37426),#19971,.F.); #345892=ADVANCED_FACE('',(#37427),#19972,.F.); #345893=ADVANCED_FACE('',(#37428),#19973,.T.); #345894=ADVANCED_FACE('',(#37429),#19974,.F.); #345895=ADVANCED_FACE('',(#37430),#19975,.T.); #345896=ADVANCED_FACE('',(#37431),#329630,.F.); #345897=ADVANCED_FACE('',(#37432),#19976,.F.); #345898=ADVANCED_FACE('',(#37433),#329631,.T.); #345899=ADVANCED_FACE('',(#37434),#19977,.F.); #345900=ADVANCED_FACE('',(#37435),#329632,.T.); #345901=ADVANCED_FACE('',(#37436),#19978,.T.); #345902=ADVANCED_FACE('',(#37437),#329633,.F.); #345903=ADVANCED_FACE('',(#37438),#19979,.T.); #345904=ADVANCED_FACE('',(#37439),#19980,.T.); #345905=ADVANCED_FACE('',(#37440),#19981,.F.); #345906=ADVANCED_FACE('',(#37441),#19982,.F.); #345907=ADVANCED_FACE('',(#37442),#19983,.F.); #345908=ADVANCED_FACE('',(#37443),#19984,.F.); #345909=ADVANCED_FACE('',(#37444),#19985,.F.); #345910=ADVANCED_FACE('',(#37445),#19986,.F.); #345911=ADVANCED_FACE('',(#37446),#19987,.F.); #345912=ADVANCED_FACE('',(#37447),#19988,.F.); #345913=ADVANCED_FACE('',(#37448),#19989,.T.); #345914=ADVANCED_FACE('',(#37449),#19990,.F.); #345915=ADVANCED_FACE('',(#37450),#19991,.T.); #345916=ADVANCED_FACE('',(#37451),#329634,.F.); #345917=ADVANCED_FACE('',(#37452),#19992,.F.); #345918=ADVANCED_FACE('',(#37453),#329635,.T.); #345919=ADVANCED_FACE('',(#37454),#19993,.F.); #345920=ADVANCED_FACE('',(#37455),#329636,.T.); #345921=ADVANCED_FACE('',(#37456),#19994,.T.); #345922=ADVANCED_FACE('',(#37457),#329637,.F.); #345923=ADVANCED_FACE('',(#37458),#19995,.T.); #345924=ADVANCED_FACE('',(#37459),#19996,.T.); #345925=ADVANCED_FACE('',(#37460),#19997,.F.); #345926=ADVANCED_FACE('',(#37461),#19998,.F.); #345927=ADVANCED_FACE('',(#37462),#19999,.F.); #345928=ADVANCED_FACE('',(#37463),#20000,.F.); #345929=ADVANCED_FACE('',(#37464),#20001,.F.); #345930=ADVANCED_FACE('',(#37465),#20002,.F.); #345931=ADVANCED_FACE('',(#37466),#20003,.F.); #345932=ADVANCED_FACE('',(#37467),#20004,.F.); #345933=ADVANCED_FACE('',(#37468),#20005,.T.); #345934=ADVANCED_FACE('',(#37469),#20006,.F.); #345935=ADVANCED_FACE('',(#37470),#20007,.T.); #345936=ADVANCED_FACE('',(#37471),#329638,.F.); #345937=ADVANCED_FACE('',(#37472),#20008,.F.); #345938=ADVANCED_FACE('',(#37473),#329639,.T.); #345939=ADVANCED_FACE('',(#37474),#20009,.F.); #345940=ADVANCED_FACE('',(#37475),#329640,.T.); #345941=ADVANCED_FACE('',(#37476),#20010,.T.); #345942=ADVANCED_FACE('',(#37477),#329641,.F.); #345943=ADVANCED_FACE('',(#37478),#20011,.T.); #345944=ADVANCED_FACE('',(#37479),#20012,.T.); #345945=ADVANCED_FACE('',(#37480),#20013,.F.); #345946=ADVANCED_FACE('',(#37481),#20014,.F.); #345947=ADVANCED_FACE('',(#37482),#20015,.F.); #345948=ADVANCED_FACE('',(#37483),#20016,.F.); #345949=ADVANCED_FACE('',(#37484),#20017,.F.); #345950=ADVANCED_FACE('',(#37485),#20018,.F.); #345951=ADVANCED_FACE('',(#37486),#20019,.F.); #345952=ADVANCED_FACE('',(#37487),#20020,.F.); #345953=ADVANCED_FACE('',(#37488),#20021,.T.); #345954=ADVANCED_FACE('',(#37489),#20022,.F.); #345955=ADVANCED_FACE('',(#37490),#20023,.T.); #345956=ADVANCED_FACE('',(#37491),#329642,.F.); #345957=ADVANCED_FACE('',(#37492),#20024,.F.); #345958=ADVANCED_FACE('',(#37493),#329643,.T.); #345959=ADVANCED_FACE('',(#37494),#20025,.F.); #345960=ADVANCED_FACE('',(#37495),#329644,.T.); #345961=ADVANCED_FACE('',(#37496),#20026,.T.); #345962=ADVANCED_FACE('',(#37497),#329645,.F.); #345963=ADVANCED_FACE('',(#37498),#20027,.T.); #345964=ADVANCED_FACE('',(#37499),#20028,.T.); #345965=ADVANCED_FACE('',(#37500),#20029,.F.); #345966=ADVANCED_FACE('',(#37501),#20030,.F.); #345967=ADVANCED_FACE('',(#37502),#20031,.F.); #345968=ADVANCED_FACE('',(#37503),#20032,.F.); #345969=ADVANCED_FACE('',(#37504),#20033,.F.); #345970=ADVANCED_FACE('',(#37505),#20034,.F.); #345971=ADVANCED_FACE('',(#37506),#20035,.F.); #345972=ADVANCED_FACE('',(#37507),#20036,.F.); #345973=ADVANCED_FACE('',(#37508),#20037,.T.); #345974=ADVANCED_FACE('',(#37509),#20038,.F.); #345975=ADVANCED_FACE('',(#37510),#20039,.T.); #345976=ADVANCED_FACE('',(#37511),#329646,.F.); #345977=ADVANCED_FACE('',(#37512),#20040,.F.); #345978=ADVANCED_FACE('',(#37513),#329647,.T.); #345979=ADVANCED_FACE('',(#37514),#20041,.F.); #345980=ADVANCED_FACE('',(#37515),#329648,.T.); #345981=ADVANCED_FACE('',(#37516),#20042,.T.); #345982=ADVANCED_FACE('',(#37517),#329649,.F.); #345983=ADVANCED_FACE('',(#37518),#20043,.T.); #345984=ADVANCED_FACE('',(#37519),#20044,.T.); #345985=ADVANCED_FACE('',(#37520),#20045,.F.); #345986=ADVANCED_FACE('',(#37521),#20046,.F.); #345987=ADVANCED_FACE('',(#37522),#20047,.F.); #345988=ADVANCED_FACE('',(#37523),#20048,.F.); #345989=ADVANCED_FACE('',(#37524),#20049,.F.); #345990=ADVANCED_FACE('',(#37525),#20050,.F.); #345991=ADVANCED_FACE('',(#37526),#20051,.F.); #345992=ADVANCED_FACE('',(#37527),#20052,.F.); #345993=ADVANCED_FACE('',(#37528),#20053,.T.); #345994=ADVANCED_FACE('',(#37529),#20054,.F.); #345995=ADVANCED_FACE('',(#37530),#20055,.T.); #345996=ADVANCED_FACE('',(#37531),#329650,.F.); #345997=ADVANCED_FACE('',(#37532),#20056,.F.); #345998=ADVANCED_FACE('',(#37533),#329651,.T.); #345999=ADVANCED_FACE('',(#37534),#20057,.F.); #346000=ADVANCED_FACE('',(#37535),#329652,.T.); #346001=ADVANCED_FACE('',(#37536),#20058,.T.); #346002=ADVANCED_FACE('',(#37537),#329653,.F.); #346003=ADVANCED_FACE('',(#37538),#20059,.T.); #346004=ADVANCED_FACE('',(#37539),#20060,.T.); #346005=ADVANCED_FACE('',(#37540),#20061,.F.); #346006=ADVANCED_FACE('',(#37541),#20062,.F.); #346007=ADVANCED_FACE('',(#37542),#20063,.F.); #346008=ADVANCED_FACE('',(#37543),#20064,.F.); #346009=ADVANCED_FACE('',(#37544),#20065,.F.); #346010=ADVANCED_FACE('',(#37545),#20066,.F.); #346011=ADVANCED_FACE('',(#37546),#20067,.F.); #346012=ADVANCED_FACE('',(#37547),#20068,.F.); #346013=ADVANCED_FACE('',(#37548),#20069,.T.); #346014=ADVANCED_FACE('',(#37549),#20070,.F.); #346015=ADVANCED_FACE('',(#37550),#20071,.T.); #346016=ADVANCED_FACE('',(#37551),#329654,.F.); #346017=ADVANCED_FACE('',(#37552),#20072,.F.); #346018=ADVANCED_FACE('',(#37553),#329655,.T.); #346019=ADVANCED_FACE('',(#37554),#20073,.F.); #346020=ADVANCED_FACE('',(#37555),#329656,.T.); #346021=ADVANCED_FACE('',(#37556),#20074,.T.); #346022=ADVANCED_FACE('',(#37557),#329657,.F.); #346023=ADVANCED_FACE('',(#37558),#20075,.T.); #346024=ADVANCED_FACE('',(#37559),#20076,.T.); #346025=ADVANCED_FACE('',(#37560),#20077,.F.); #346026=ADVANCED_FACE('',(#37561),#20078,.F.); #346027=ADVANCED_FACE('',(#37562),#20079,.F.); #346028=ADVANCED_FACE('',(#37563),#20080,.F.); #346029=ADVANCED_FACE('',(#37564),#20081,.F.); #346030=ADVANCED_FACE('',(#37565),#20082,.F.); #346031=ADVANCED_FACE('',(#37566),#20083,.F.); #346032=ADVANCED_FACE('',(#37567),#20084,.F.); #346033=ADVANCED_FACE('',(#37568),#20085,.T.); #346034=ADVANCED_FACE('',(#37569),#20086,.F.); #346035=ADVANCED_FACE('',(#37570),#20087,.T.); #346036=ADVANCED_FACE('',(#37571),#329658,.F.); #346037=ADVANCED_FACE('',(#37572),#20088,.F.); #346038=ADVANCED_FACE('',(#37573),#329659,.T.); #346039=ADVANCED_FACE('',(#37574),#20089,.F.); #346040=ADVANCED_FACE('',(#37575),#329660,.T.); #346041=ADVANCED_FACE('',(#37576),#20090,.T.); #346042=ADVANCED_FACE('',(#37577),#329661,.F.); #346043=ADVANCED_FACE('',(#37578),#20091,.T.); #346044=ADVANCED_FACE('',(#37579),#20092,.T.); #346045=ADVANCED_FACE('',(#37580),#20093,.F.); #346046=ADVANCED_FACE('',(#37581),#20094,.F.); #346047=ADVANCED_FACE('',(#37582),#20095,.F.); #346048=ADVANCED_FACE('',(#37583),#20096,.F.); #346049=ADVANCED_FACE('',(#37584),#20097,.F.); #346050=ADVANCED_FACE('',(#37585),#20098,.F.); #346051=ADVANCED_FACE('',(#37586),#20099,.F.); #346052=ADVANCED_FACE('',(#37587),#20100,.F.); #346053=ADVANCED_FACE('',(#37588),#20101,.T.); #346054=ADVANCED_FACE('',(#37589),#20102,.F.); #346055=ADVANCED_FACE('',(#37590),#20103,.T.); #346056=ADVANCED_FACE('',(#37591),#329662,.F.); #346057=ADVANCED_FACE('',(#37592),#20104,.F.); #346058=ADVANCED_FACE('',(#37593),#329663,.T.); #346059=ADVANCED_FACE('',(#37594),#20105,.F.); #346060=ADVANCED_FACE('',(#37595),#329664,.T.); #346061=ADVANCED_FACE('',(#37596),#20106,.T.); #346062=ADVANCED_FACE('',(#37597),#329665,.F.); #346063=ADVANCED_FACE('',(#37598),#20107,.T.); #346064=ADVANCED_FACE('',(#37599),#20108,.T.); #346065=ADVANCED_FACE('',(#37600),#20109,.F.); #346066=ADVANCED_FACE('',(#37601),#20110,.F.); #346067=ADVANCED_FACE('',(#37602),#20111,.F.); #346068=ADVANCED_FACE('',(#37603),#20112,.F.); #346069=ADVANCED_FACE('',(#37604),#20113,.F.); #346070=ADVANCED_FACE('',(#37605),#20114,.F.); #346071=ADVANCED_FACE('',(#37606),#20115,.F.); #346072=ADVANCED_FACE('',(#37607),#20116,.F.); #346073=ADVANCED_FACE('',(#37608),#20117,.T.); #346074=ADVANCED_FACE('',(#37609),#20118,.F.); #346075=ADVANCED_FACE('',(#37610),#20119,.T.); #346076=ADVANCED_FACE('',(#37611),#329666,.F.); #346077=ADVANCED_FACE('',(#37612),#20120,.F.); #346078=ADVANCED_FACE('',(#37613),#329667,.T.); #346079=ADVANCED_FACE('',(#37614),#20121,.F.); #346080=ADVANCED_FACE('',(#37615),#329668,.T.); #346081=ADVANCED_FACE('',(#37616),#20122,.T.); #346082=ADVANCED_FACE('',(#37617),#329669,.F.); #346083=ADVANCED_FACE('',(#37618),#20123,.T.); #346084=ADVANCED_FACE('',(#37619),#20124,.T.); #346085=ADVANCED_FACE('',(#37620),#20125,.F.); #346086=ADVANCED_FACE('',(#37621),#20126,.F.); #346087=ADVANCED_FACE('',(#37622),#20127,.F.); #346088=ADVANCED_FACE('',(#37623),#20128,.F.); #346089=ADVANCED_FACE('',(#37624),#20129,.F.); #346090=ADVANCED_FACE('',(#37625),#329670,.T.); #346091=ADVANCED_FACE('',(#37626),#20130,.F.); #346092=ADVANCED_FACE('',(#37627),#20131,.F.); #346093=ADVANCED_FACE('',(#37628),#20132,.F.); #346094=ADVANCED_FACE('',(#37629),#329671,.F.); #346095=ADVANCED_FACE('',(#37630),#20133,.F.); #346096=ADVANCED_FACE('',(#37631),#329672,.F.); #346097=ADVANCED_FACE('',(#37632),#329673,.T.); #346098=ADVANCED_FACE('',(#37633),#20134,.F.); #346099=ADVANCED_FACE('',(#37634),#329674,.F.); #346100=ADVANCED_FACE('',(#37635),#20135,.F.); #346101=ADVANCED_FACE('',(#37636),#329675,.F.); #346102=ADVANCED_FACE('',(#37637),#20136,.F.); #346103=ADVANCED_FACE('',(#37638),#20137,.F.); #346104=ADVANCED_FACE('',(#37639),#20138,.F.); #346105=ADVANCED_FACE('',(#37640),#20139,.F.); #346106=ADVANCED_FACE('',(#37641),#20140,.F.); #346107=ADVANCED_FACE('',(#37642),#20141,.F.); #346108=ADVANCED_FACE('',(#37643),#20142,.F.); #346109=ADVANCED_FACE('',(#37644),#329676,.F.); #346110=ADVANCED_FACE('',(#37645),#20143,.F.); #346111=ADVANCED_FACE('',(#37646),#329677,.F.); #346112=ADVANCED_FACE('',(#37647),#20144,.F.); #346113=ADVANCED_FACE('',(#37648),#329678,.T.); #346114=ADVANCED_FACE('',(#37649),#329679,.F.); #346115=ADVANCED_FACE('',(#37650),#20145,.F.); #346116=ADVANCED_FACE('',(#37651),#329680,.F.); #346117=ADVANCED_FACE('',(#37652),#20146,.F.); #346118=ADVANCED_FACE('',(#37653),#20147,.F.); #346119=ADVANCED_FACE('',(#37654),#20148,.F.); #346120=ADVANCED_FACE('',(#37655),#329681,.T.); #346121=ADVANCED_FACE('',(#37656),#20149,.F.); #346122=ADVANCED_FACE('',(#37657),#20150,.T.); #346123=ADVANCED_FACE('',(#37658),#20151,.F.); #346124=ADVANCED_FACE('',(#37659),#20152,.F.); #346125=ADVANCED_FACE('',(#37660),#20153,.F.); #346126=ADVANCED_FACE('',(#37661,#7891),#20154,.T.); #346127=ADVANCED_FACE('',(#37662,#7892),#20155,.F.); #346128=ADVANCED_FACE('',(#37663),#20156,.T.); #346129=ADVANCED_FACE('',(#37664),#20157,.T.); #346130=ADVANCED_FACE('',(#37665),#329682,.F.); #346131=ADVANCED_FACE('',(#37666),#329683,.F.); #346132=ADVANCED_FACE('',(#37667,#7893,#7894),#20158,.T.); #346133=ADVANCED_FACE('',(#37668,#7895),#20159,.T.); #346134=ADVANCED_FACE('',(#37669),#20160,.F.); #346135=ADVANCED_FACE('',(#37670,#7896),#20161,.T.); #346136=ADVANCED_FACE('',(#37671),#20162,.F.); #346137=ADVANCED_FACE('',(#37672),#20163,.F.); #346138=ADVANCED_FACE('',(#37673),#20164,.F.); #346139=ADVANCED_FACE('',(#37674),#20165,.F.); #346140=ADVANCED_FACE('',(#37675),#20166,.F.); #346141=ADVANCED_FACE('',(#37676),#20167,.T.); #346142=ADVANCED_FACE('',(#37677,#7897),#20168,.T.); #346143=ADVANCED_FACE('',(#37678),#329684,.F.); #346144=ADVANCED_FACE('',(#37679),#20169,.F.); #346145=ADVANCED_FACE('',(#37680),#20170,.F.); #346146=ADVANCED_FACE('',(#37681),#20171,.F.); #346147=ADVANCED_FACE('',(#37682),#20172,.F.); #346148=ADVANCED_FACE('',(#37683,#7898),#20173,.F.); #346149=ADVANCED_FACE('',(#37684),#20174,.F.); #346150=ADVANCED_FACE('',(#37685,#7899),#20175,.F.); #346151=ADVANCED_FACE('',(#37686),#329685,.F.); #346152=ADVANCED_FACE('',(#37687),#329686,.F.); #346153=ADVANCED_FACE('',(#37688),#329687,.T.); #346154=ADVANCED_FACE('',(#37689),#329688,.T.); #346155=ADVANCED_FACE('',(#37690),#329689,.F.); #346156=ADVANCED_FACE('',(#37691),#329690,.T.); #346157=ADVANCED_FACE('',(#37692),#20176,.T.); #346158=ADVANCED_FACE('',(#37693),#20177,.F.); #346159=ADVANCED_FACE('',(#37694),#20178,.T.); #346160=ADVANCED_FACE('',(#37695),#20179,.T.); #346161=ADVANCED_FACE('',(#37696),#20180,.F.); #346162=ADVANCED_FACE('',(#37697),#329691,.F.); #346163=ADVANCED_FACE('',(#37698),#329692,.F.); #346164=ADVANCED_FACE('',(#37699),#329693,.F.); #346165=ADVANCED_FACE('',(#37700,#7900),#20181,.F.); #346166=ADVANCED_FACE('',(#37701),#329694,.T.); #346167=ADVANCED_FACE('',(#37702,#7901,#7902),#20182,.F.); #346168=ADVANCED_FACE('',(#37703),#329695,.T.); #346169=ADVANCED_FACE('',(#37704),#329696,.T.); #346170=ADVANCED_FACE('',(#37705),#329697,.F.); #346171=ADVANCED_FACE('',(#37706),#329698,.F.); #346172=ADVANCED_FACE('',(#37707),#329699,.F.); #346173=ADVANCED_FACE('',(#37708),#20183,.F.); #346174=ADVANCED_FACE('',(#37709),#20184,.F.); #346175=ADVANCED_FACE('',(#37710),#329700,.T.); #346176=ADVANCED_FACE('',(#37711),#20185,.F.); #346177=ADVANCED_FACE('',(#37712),#329701,.F.); #346178=ADVANCED_FACE('',(#37713),#20186,.F.); #346179=ADVANCED_FACE('',(#37714),#20187,.F.); #346180=ADVANCED_FACE('',(#37715),#329702,.T.); #346181=ADVANCED_FACE('',(#37716),#20188,.F.); #346182=ADVANCED_FACE('',(#37717),#329703,.F.); #346183=ADVANCED_FACE('',(#37718),#20189,.F.); #346184=ADVANCED_FACE('',(#37719),#329704,.T.); #346185=ADVANCED_FACE('',(#37720),#20190,.F.); #346186=ADVANCED_FACE('',(#37721),#329705,.F.); #346187=ADVANCED_FACE('',(#37722),#329706,.T.); #346188=ADVANCED_FACE('',(#37723),#20191,.F.); #346189=ADVANCED_FACE('',(#37724),#329707,.F.); #346190=ADVANCED_FACE('',(#37725),#20192,.F.); #346191=ADVANCED_FACE('',(#37726),#329708,.F.); #346192=ADVANCED_FACE('',(#37727),#20193,.F.); #346193=ADVANCED_FACE('',(#37728),#329709,.F.); #346194=ADVANCED_FACE('',(#37729),#20194,.F.); #346195=ADVANCED_FACE('',(#37730),#20195,.F.); #346196=ADVANCED_FACE('',(#37731),#329710,.F.); #346197=ADVANCED_FACE('',(#37732),#20196,.F.); #346198=ADVANCED_FACE('',(#37733),#329711,.F.); #346199=ADVANCED_FACE('',(#37734),#20197,.F.); #346200=ADVANCED_FACE('',(#37735),#329712,.T.); #346201=ADVANCED_FACE('',(#37736),#20198,.T.); #346202=ADVANCED_FACE('',(#37737),#329713,.F.); #346203=ADVANCED_FACE('',(#37738),#20199,.T.); #346204=ADVANCED_FACE('',(#37739),#329714,.F.); #346205=ADVANCED_FACE('',(#37740),#20200,.T.); #346206=ADVANCED_FACE('',(#37741),#20201,.T.); #346207=ADVANCED_FACE('',(#37742),#329715,.F.); #346208=ADVANCED_FACE('',(#37743),#20202,.T.); #346209=ADVANCED_FACE('',(#37744),#329716,.F.); #346210=ADVANCED_FACE('',(#37745),#20203,.T.); #346211=ADVANCED_FACE('',(#37746),#329717,.T.); #346212=ADVANCED_FACE('',(#37747),#20204,.T.); #346213=ADVANCED_FACE('',(#37748),#329718,.T.); #346214=ADVANCED_FACE('',(#37749),#20205,.T.); #346215=ADVANCED_FACE('',(#37750),#329719,.T.); #346216=ADVANCED_FACE('',(#37751),#20206,.T.); #346217=ADVANCED_FACE('',(#37752),#329720,.T.); #346218=ADVANCED_FACE('',(#37753),#20207,.T.); #346219=ADVANCED_FACE('',(#37754),#329721,.T.); #346220=ADVANCED_FACE('',(#37755),#20208,.T.); #346221=ADVANCED_FACE('',(#37756),#329722,.T.); #346222=ADVANCED_FACE('',(#37757),#329723,.F.); #346223=ADVANCED_FACE('',(#37758),#329724,.T.); #346224=ADVANCED_FACE('',(#37759),#20209,.T.); #346225=ADVANCED_FACE('',(#37760),#329725,.T.); #346226=ADVANCED_FACE('',(#37761),#20210,.T.); #346227=ADVANCED_FACE('',(#37762),#329726,.F.); #346228=ADVANCED_FACE('',(#37763),#329727,.T.); #346229=ADVANCED_FACE('',(#37764),#20211,.F.); #346230=ADVANCED_FACE('',(#37765),#20212,.F.); #346231=ADVANCED_FACE('',(#37766),#20213,.F.); #346232=ADVANCED_FACE('',(#37767),#329728,.T.); #346233=ADVANCED_FACE('',(#37768),#20214,.F.); #346234=ADVANCED_FACE('',(#37769),#329729,.T.); #346235=ADVANCED_FACE('',(#37770),#20215,.F.); #346236=ADVANCED_FACE('',(#37771),#329730,.T.); #346237=ADVANCED_FACE('',(#37772),#20216,.F.); #346238=ADVANCED_FACE('',(#37773),#329731,.T.); #346239=ADVANCED_FACE('',(#37774),#20217,.F.); #346240=ADVANCED_FACE('',(#37775),#329732,.F.); #346241=ADVANCED_FACE('',(#37776),#329733,.T.); #346242=ADVANCED_FACE('',(#37777),#20218,.F.); #346243=ADVANCED_FACE('',(#37778),#20219,.F.); #346244=ADVANCED_FACE('',(#37779),#329734,.F.); #346245=ADVANCED_FACE('',(#37780),#329735,.F.); #346246=ADVANCED_FACE('',(#37781),#329736,.F.); #346247=ADVANCED_FACE('',(#37782),#20220,.T.); #346248=ADVANCED_FACE('',(#37783),#3506,.F.); #346249=ADVANCED_FACE('',(#37784),#20221,.T.); #346250=ADVANCED_FACE('',(#37785),#3507,.F.); #346251=ADVANCED_FACE('',(#37786),#20222,.T.); #346252=ADVANCED_FACE('',(#37787),#3508,.F.); #346253=ADVANCED_FACE('',(#37788),#20223,.T.); #346254=ADVANCED_FACE('',(#37789),#3509,.F.); #346255=ADVANCED_FACE('',(#37790),#20224,.T.); #346256=ADVANCED_FACE('',(#37791),#20225,.F.); #346257=ADVANCED_FACE('',(#37792),#20226,.F.); #346258=ADVANCED_FACE('',(#37793),#20227,.F.); #346259=ADVANCED_FACE('',(#37794),#20228,.F.); #346260=ADVANCED_FACE('',(#37795),#20229,.F.); #346261=ADVANCED_FACE('',(#37796),#20230,.F.); #346262=ADVANCED_FACE('',(#37797),#329737,.T.); #346263=ADVANCED_FACE('',(#37798),#20231,.F.); #346264=ADVANCED_FACE('',(#37799),#329738,.F.); #346265=ADVANCED_FACE('',(#37800),#20232,.F.); #346266=ADVANCED_FACE('',(#37801),#329739,.F.); #346267=ADVANCED_FACE('',(#37802),#20233,.F.); #346268=ADVANCED_FACE('',(#37803),#329740,.T.); #346269=ADVANCED_FACE('',(#37804),#329741,.F.); #346270=ADVANCED_FACE('',(#37805),#20234,.T.); #346271=ADVANCED_FACE('',(#37806),#329742,.T.); #346272=ADVANCED_FACE('',(#37807),#20235,.T.); #346273=ADVANCED_FACE('',(#37808),#329743,.T.); #346274=ADVANCED_FACE('',(#37809),#20236,.T.); #346275=ADVANCED_FACE('',(#37810),#329744,.F.); #346276=ADVANCED_FACE('',(#37811),#20237,.F.); #346277=ADVANCED_FACE('',(#37812),#20238,.F.); #346278=ADVANCED_FACE('',(#37813),#20239,.F.); #346279=ADVANCED_FACE('',(#37814),#20240,.F.); #346280=ADVANCED_FACE('',(#37815),#20241,.F.); #346281=ADVANCED_FACE('',(#37816),#20242,.F.); #346282=ADVANCED_FACE('',(#37817),#329745,.T.); #346283=ADVANCED_FACE('',(#37818),#20243,.F.); #346284=ADVANCED_FACE('',(#37819),#329746,.F.); #346285=ADVANCED_FACE('',(#37820),#20244,.F.); #346286=ADVANCED_FACE('',(#37821),#329747,.F.); #346287=ADVANCED_FACE('',(#37822),#20245,.F.); #346288=ADVANCED_FACE('',(#37823),#329748,.T.); #346289=ADVANCED_FACE('',(#37824),#329749,.F.); #346290=ADVANCED_FACE('',(#37825),#20246,.T.); #346291=ADVANCED_FACE('',(#37826),#329750,.T.); #346292=ADVANCED_FACE('',(#37827),#20247,.T.); #346293=ADVANCED_FACE('',(#37828),#329751,.T.); #346294=ADVANCED_FACE('',(#37829),#20248,.T.); #346295=ADVANCED_FACE('',(#37830),#329752,.F.); #346296=ADVANCED_FACE('',(#37831),#20249,.F.); #346297=ADVANCED_FACE('',(#37832),#329753,.T.); #346298=ADVANCED_FACE('',(#37833),#20250,.F.); #346299=ADVANCED_FACE('',(#37834),#329754,.T.); #346300=ADVANCED_FACE('',(#37835),#20251,.F.); #346301=ADVANCED_FACE('',(#37836),#329755,.F.); #346302=ADVANCED_FACE('',(#37837),#20252,.T.); #346303=ADVANCED_FACE('',(#37838),#3384,.F.); #346304=ADVANCED_FACE('',(#37839),#329756,.T.); #346305=ADVANCED_FACE('',(#37840),#329757,.F.); #346306=ADVANCED_FACE('',(#37841),#329758,.T.); #346307=ADVANCED_FACE('',(#37842),#20253,.T.); #346308=ADVANCED_FACE('',(#37843),#329759,.T.); #346309=ADVANCED_FACE('',(#37844),#20254,.F.); #346310=ADVANCED_FACE('',(#37845),#39,.T.); #346311=ADVANCED_FACE('',(#37846),#329760,.T.); #346312=ADVANCED_FACE('',(#37847),#40,.T.); #346313=ADVANCED_FACE('',(#37848),#20255,.T.); #346314=ADVANCED_FACE('',(#37849),#20256,.T.); #346315=ADVANCED_FACE('',(#37850),#20257,.T.); #346316=ADVANCED_FACE('',(#37851),#20258,.F.); #346317=ADVANCED_FACE('',(#37852),#20259,.T.); #346318=ADVANCED_FACE('',(#37853),#20260,.T.); #346319=ADVANCED_FACE('',(#37854),#20261,.T.); #346320=ADVANCED_FACE('',(#37855),#329761,.T.); #346321=ADVANCED_FACE('',(#37856),#20262,.T.); #346322=ADVANCED_FACE('',(#37857),#329762,.T.); #346323=ADVANCED_FACE('',(#37858),#329763,.F.); #346324=ADVANCED_FACE('',(#37859),#329764,.T.); #346325=ADVANCED_FACE('',(#37860),#20263,.T.); #346326=ADVANCED_FACE('',(#37861),#329765,.T.); #346327=ADVANCED_FACE('',(#37862),#20264,.T.); #346328=ADVANCED_FACE('',(#37863),#329766,.T.); #346329=ADVANCED_FACE('',(#37864),#20265,.T.); #346330=ADVANCED_FACE('',(#37865),#329767,.T.); #346331=ADVANCED_FACE('',(#37866),#20266,.T.); #346332=ADVANCED_FACE('',(#37867),#329768,.T.); #346333=ADVANCED_FACE('',(#37868),#20267,.F.); #346334=ADVANCED_FACE('',(#37869),#329769,.T.); #346335=ADVANCED_FACE('',(#37870),#329770,.F.); #346336=ADVANCED_FACE('',(#37871),#20268,.F.); #346337=ADVANCED_FACE('',(#37872),#329771,.T.); #346338=ADVANCED_FACE('',(#37873),#20269,.F.); #346339=ADVANCED_FACE('',(#37874),#329772,.T.); #346340=ADVANCED_FACE('',(#37875),#20270,.F.); #346341=ADVANCED_FACE('',(#37876),#329773,.T.); #346342=ADVANCED_FACE('',(#37877),#20271,.F.); #346343=ADVANCED_FACE('',(#37878),#329774,.T.); #346344=ADVANCED_FACE('',(#37879),#20272,.F.); #346345=ADVANCED_FACE('',(#37880),#20273,.F.); #346346=ADVANCED_FACE('',(#37881),#20274,.F.); #346347=ADVANCED_FACE('',(#37882),#329775,.T.); #346348=ADVANCED_FACE('',(#37883),#329776,.F.); #346349=ADVANCED_FACE('',(#37884),#20275,.F.); #346350=ADVANCED_FACE('',(#37885),#329777,.F.); #346351=ADVANCED_FACE('',(#37886),#329778,.F.); #346352=ADVANCED_FACE('',(#37887),#329779,.F.); #346353=ADVANCED_FACE('',(#37888),#20276,.F.); #346354=ADVANCED_FACE('',(#37889),#20277,.F.); #346355=ADVANCED_FACE('',(#37890),#20278,.F.); #346356=ADVANCED_FACE('',(#37891),#20279,.F.); #346357=ADVANCED_FACE('',(#37892),#20280,.F.); #346358=ADVANCED_FACE('',(#37893),#20281,.F.); #346359=ADVANCED_FACE('',(#37894),#329780,.T.); #346360=ADVANCED_FACE('',(#37895),#20282,.F.); #346361=ADVANCED_FACE('',(#37896),#329781,.F.); #346362=ADVANCED_FACE('',(#37897),#20283,.F.); #346363=ADVANCED_FACE('',(#37898),#329782,.F.); #346364=ADVANCED_FACE('',(#37899),#20284,.F.); #346365=ADVANCED_FACE('',(#37900),#329783,.T.); #346366=ADVANCED_FACE('',(#37901),#329784,.F.); #346367=ADVANCED_FACE('',(#37902),#20285,.T.); #346368=ADVANCED_FACE('',(#37903),#329785,.T.); #346369=ADVANCED_FACE('',(#37904),#20286,.T.); #346370=ADVANCED_FACE('',(#37905),#329786,.T.); #346371=ADVANCED_FACE('',(#37906),#20287,.T.); #346372=ADVANCED_FACE('',(#37907),#329787,.F.); #346373=ADVANCED_FACE('',(#37908),#20288,.F.); #346374=ADVANCED_FACE('',(#37909),#20289,.F.); #346375=ADVANCED_FACE('',(#37910),#20290,.F.); #346376=ADVANCED_FACE('',(#37911),#20291,.F.); #346377=ADVANCED_FACE('',(#37912),#20292,.F.); #346378=ADVANCED_FACE('',(#37913),#20293,.F.); #346379=ADVANCED_FACE('',(#37914),#329788,.T.); #346380=ADVANCED_FACE('',(#37915),#20294,.F.); #346381=ADVANCED_FACE('',(#37916),#329789,.F.); #346382=ADVANCED_FACE('',(#37917),#20295,.F.); #346383=ADVANCED_FACE('',(#37918),#329790,.F.); #346384=ADVANCED_FACE('',(#37919),#20296,.F.); #346385=ADVANCED_FACE('',(#37920),#329791,.T.); #346386=ADVANCED_FACE('',(#37921),#329792,.F.); #346387=ADVANCED_FACE('',(#37922),#20297,.T.); #346388=ADVANCED_FACE('',(#37923),#329793,.T.); #346389=ADVANCED_FACE('',(#37924),#20298,.T.); #346390=ADVANCED_FACE('',(#37925),#329794,.T.); #346391=ADVANCED_FACE('',(#37926),#20299,.T.); #346392=ADVANCED_FACE('',(#37927),#329795,.F.); #346393=ADVANCED_FACE('',(#37928),#329796,.T.); #346394=ADVANCED_FACE('',(#37929),#20300,.F.); #346395=ADVANCED_FACE('',(#37930),#329797,.T.); #346396=ADVANCED_FACE('',(#37931),#20301,.F.); #346397=ADVANCED_FACE('',(#37932),#329798,.F.); #346398=ADVANCED_FACE('',(#37933),#20302,.F.); #346399=ADVANCED_FACE('',(#37934),#20303,.T.); #346400=ADVANCED_FACE('',(#37935),#3385,.F.); #346401=ADVANCED_FACE('',(#37936),#329799,.T.); #346402=ADVANCED_FACE('',(#37937),#329800,.F.); #346403=ADVANCED_FACE('',(#37938),#329801,.T.); #346404=ADVANCED_FACE('',(#37939),#329802,.T.); #346405=ADVANCED_FACE('',(#37940),#20304,.T.); #346406=ADVANCED_FACE('',(#37941),#20305,.F.); #346407=ADVANCED_FACE('',(#37942),#41,.T.); #346408=ADVANCED_FACE('',(#37943),#329803,.T.); #346409=ADVANCED_FACE('',(#37944),#42,.T.); #346410=ADVANCED_FACE('',(#37945),#20306,.T.); #346411=ADVANCED_FACE('',(#37946),#20307,.T.); #346412=ADVANCED_FACE('',(#37947),#20308,.T.); #346413=ADVANCED_FACE('',(#37948),#20309,.F.); #346414=ADVANCED_FACE('',(#37949),#20310,.T.); #346415=ADVANCED_FACE('',(#37950),#20311,.T.); #346416=ADVANCED_FACE('',(#37951),#329804,.T.); #346417=ADVANCED_FACE('',(#37952),#20312,.T.); #346418=ADVANCED_FACE('',(#37953),#329805,.T.); #346419=ADVANCED_FACE('',(#37954),#329806,.F.); #346420=ADVANCED_FACE('',(#37955),#329807,.T.); #346421=ADVANCED_FACE('',(#37956),#20313,.T.); #346422=ADVANCED_FACE('',(#37957),#329808,.T.); #346423=ADVANCED_FACE('',(#37958),#329809,.F.); #346424=ADVANCED_FACE('',(#37959),#329810,.T.); #346425=ADVANCED_FACE('',(#37960),#20314,.T.); #346426=ADVANCED_FACE('',(#37961),#329811,.F.); #346427=ADVANCED_FACE('',(#37962),#20315,.T.); #346428=ADVANCED_FACE('',(#37963),#329812,.F.); #346429=ADVANCED_FACE('',(#37964),#20316,.T.); #346430=ADVANCED_FACE('',(#37965),#329813,.T.); #346431=ADVANCED_FACE('',(#37966),#329814,.F.); #346432=ADVANCED_FACE('',(#37967),#329815,.T.); #346433=ADVANCED_FACE('',(#37968),#20317,.T.); #346434=ADVANCED_FACE('',(#37969),#329816,.T.); #346435=ADVANCED_FACE('',(#37970),#329817,.F.); #346436=ADVANCED_FACE('',(#37971),#329818,.T.); #346437=ADVANCED_FACE('',(#37972),#20318,.T.); #346438=ADVANCED_FACE('',(#37973),#329819,.F.); #346439=ADVANCED_FACE('',(#37974),#20319,.T.); #346440=ADVANCED_FACE('',(#37975),#329820,.F.); #346441=ADVANCED_FACE('',(#37976),#20320,.T.); #346442=ADVANCED_FACE('',(#37977),#329821,.T.); #346443=ADVANCED_FACE('',(#37978),#3386,.T.); #346444=ADVANCED_FACE('',(#37979),#3387,.T.); #346445=ADVANCED_FACE('',(#37980),#20321,.T.); #346446=ADVANCED_FACE('',(#37981,#7903),#20322,.F.); #346447=ADVANCED_FACE('',(#37982),#329822,.T.); #346448=ADVANCED_FACE('',(#37983),#20323,.F.); #346449=ADVANCED_FACE('',(#37984,#7904),#20324,.T.); #346450=ADVANCED_FACE('',(#37985,#7905),#20325,.T.); #346451=ADVANCED_FACE('',(#37986),#20326,.F.); #346452=ADVANCED_FACE('',(#37987),#329823,.T.); #346453=ADVANCED_FACE('',(#37988),#3388,.T.); #346454=ADVANCED_FACE('',(#37989,#7906),#20327,.F.); #346455=ADVANCED_FACE('',(#37990),#20328,.T.); #346456=ADVANCED_FACE('',(#37991),#3389,.T.); #346457=ADVANCED_FACE('',(#37992),#329824,.F.); #346458=ADVANCED_FACE('',(#37993),#20329,.T.); #346459=ADVANCED_FACE('',(#37994),#20330,.T.); #346460=ADVANCED_FACE('',(#37995),#329825,.F.); #346461=ADVANCED_FACE('',(#37996),#20331,.T.); #346462=ADVANCED_FACE('',(#37997),#329826,.F.); #346463=ADVANCED_FACE('',(#37998),#329827,.T.); #346464=ADVANCED_FACE('',(#37999),#329828,.T.); #346465=ADVANCED_FACE('',(#38000),#329829,.T.); #346466=ADVANCED_FACE('',(#38001),#20332,.T.); #346467=ADVANCED_FACE('',(#38002),#329830,.T.); #346468=ADVANCED_FACE('',(#38003),#20333,.T.); #346469=ADVANCED_FACE('',(#38004),#329831,.F.); #346470=ADVANCED_FACE('',(#38005),#20334,.T.); #346471=ADVANCED_FACE('',(#38006),#329832,.T.); #346472=ADVANCED_FACE('',(#38007),#329833,.F.); #346473=ADVANCED_FACE('',(#38008),#20335,.T.); #346474=ADVANCED_FACE('',(#38009),#329834,.F.); #346475=ADVANCED_FACE('',(#38010),#329835,.T.); #346476=ADVANCED_FACE('',(#38011),#20336,.T.); #346477=ADVANCED_FACE('',(#38012),#329836,.T.); #346478=ADVANCED_FACE('',(#38013),#329837,.F.); #346479=ADVANCED_FACE('',(#38014),#20337,.T.); #346480=ADVANCED_FACE('',(#38015),#329838,.F.); #346481=ADVANCED_FACE('',(#38016),#329839,.T.); #346482=ADVANCED_FACE('',(#38017),#20338,.T.); #346483=ADVANCED_FACE('',(#38018),#329840,.F.); #346484=ADVANCED_FACE('',(#38019),#20339,.T.); #346485=ADVANCED_FACE('',(#38020),#329841,.T.); #346486=ADVANCED_FACE('',(#38021),#20340,.T.); #346487=ADVANCED_FACE('',(#38022),#329842,.T.); #346488=ADVANCED_FACE('',(#38023),#329843,.T.); #346489=ADVANCED_FACE('',(#38024),#329844,.T.); #346490=ADVANCED_FACE('',(#38025),#329845,.F.); #346491=ADVANCED_FACE('',(#38026),#20341,.T.); #346492=ADVANCED_FACE('',(#38027),#20342,.T.); #346493=ADVANCED_FACE('',(#38028),#329846,.F.); #346494=ADVANCED_FACE('',(#38029),#329847,.F.); #346495=ADVANCED_FACE('',(#38030),#20343,.T.); #346496=ADVANCED_FACE('',(#38031),#20344,.F.); #346497=ADVANCED_FACE('',(#38032),#329848,.F.); #346498=ADVANCED_FACE('',(#38033),#20345,.T.); #346499=ADVANCED_FACE('',(#38034),#329849,.F.); #346500=ADVANCED_FACE('',(#38035),#20346,.T.); #346501=ADVANCED_FACE('',(#38036),#3390,.T.); #346502=ADVANCED_FACE('',(#38037),#20347,.T.); #346503=ADVANCED_FACE('',(#38038),#3391,.T.); #346504=ADVANCED_FACE('',(#38039),#3392,.T.); #346505=ADVANCED_FACE('',(#38040),#329850,.T.); #346506=ADVANCED_FACE('',(#38041),#329851,.F.); #346507=ADVANCED_FACE('',(#38042),#3393,.T.); #346508=ADVANCED_FACE('',(#38043),#3394,.T.); #346509=ADVANCED_FACE('',(#38044),#20348,.T.); #346510=ADVANCED_FACE('',(#38045),#3395,.T.); #346511=ADVANCED_FACE('',(#38046),#329852,.T.); #346512=ADVANCED_FACE('',(#38047),#329853,.F.); #346513=ADVANCED_FACE('',(#38048),#329854,.T.); #346514=ADVANCED_FACE('',(#38049),#20349,.T.); #346515=ADVANCED_FACE('',(#38050),#329855,.F.); #346516=ADVANCED_FACE('',(#38051),#20350,.T.); #346517=ADVANCED_FACE('',(#38052),#329856,.F.); #346518=ADVANCED_FACE('',(#38053),#20351,.T.); #346519=ADVANCED_FACE('',(#38054),#329857,.T.); #346520=ADVANCED_FACE('',(#38055),#329858,.T.); #346521=ADVANCED_FACE('',(#38056),#20352,.F.); #346522=ADVANCED_FACE('',(#38057),#329859,.F.); #346523=ADVANCED_FACE('',(#38058),#20353,.F.); #346524=ADVANCED_FACE('',(#38059),#329860,.F.); #346525=ADVANCED_FACE('',(#38060),#20354,.F.); #346526=ADVANCED_FACE('',(#38061),#329861,.T.); #346527=ADVANCED_FACE('',(#38062),#329862,.F.); #346528=ADVANCED_FACE('',(#38063),#20355,.T.); #346529=ADVANCED_FACE('',(#38064),#329863,.T.); #346530=ADVANCED_FACE('',(#38065),#20356,.T.); #346531=ADVANCED_FACE('',(#38066),#329864,.T.); #346532=ADVANCED_FACE('',(#38067),#20357,.T.); #346533=ADVANCED_FACE('',(#38068),#329865,.F.); #346534=ADVANCED_FACE('',(#38069),#329866,.F.); #346535=ADVANCED_FACE('',(#38070),#20358,.F.); #346536=ADVANCED_FACE('',(#38071),#329867,.T.); #346537=ADVANCED_FACE('',(#38072),#20359,.F.); #346538=ADVANCED_FACE('',(#38073),#329868,.T.); #346539=ADVANCED_FACE('',(#38074),#20360,.F.); #346540=ADVANCED_FACE('',(#38075),#329869,.F.); #346541=ADVANCED_FACE('',(#38076),#20361,.F.); #346542=ADVANCED_FACE('',(#38077),#20362,.F.); #346543=ADVANCED_FACE('',(#38078),#20363,.F.); #346544=ADVANCED_FACE('',(#38079),#20364,.F.); #346545=ADVANCED_FACE('',(#38080),#20365,.F.); #346546=ADVANCED_FACE('',(#38081),#20366,.F.); #346547=ADVANCED_FACE('',(#38082),#20367,.F.); #346548=ADVANCED_FACE('',(#38083),#20368,.T.); #346549=ADVANCED_FACE('',(#38084),#20369,.F.); #346550=ADVANCED_FACE('',(#38085),#20370,.T.); #346551=ADVANCED_FACE('',(#38086),#329870,.F.); #346552=ADVANCED_FACE('',(#38087),#20371,.F.); #346553=ADVANCED_FACE('',(#38088),#329871,.T.); #346554=ADVANCED_FACE('',(#38089),#20372,.F.); #346555=ADVANCED_FACE('',(#38090),#329872,.T.); #346556=ADVANCED_FACE('',(#38091),#20373,.T.); #346557=ADVANCED_FACE('',(#38092),#329873,.F.); #346558=ADVANCED_FACE('',(#38093),#20374,.T.); #346559=ADVANCED_FACE('',(#38094),#20375,.T.); #346560=ADVANCED_FACE('',(#38095),#20376,.F.); #346561=ADVANCED_FACE('',(#38096),#20377,.F.); #346562=ADVANCED_FACE('',(#38097),#20378,.T.); #346563=ADVANCED_FACE('',(#38098),#20379,.T.); #346564=ADVANCED_FACE('',(#38099,#7907),#20380,.T.); #346565=ADVANCED_FACE('',(#38100,#7908),#20381,.T.); #346566=ADVANCED_FACE('',(#38101,#7909,#7910),#20382,.T.); #346567=ADVANCED_FACE('',(#38102),#20383,.F.); #346568=ADVANCED_FACE('',(#38103),#20384,.F.); #346569=ADVANCED_FACE('',(#38104),#20385,.F.); #346570=ADVANCED_FACE('',(#38105),#20386,.F.); #346571=ADVANCED_FACE('',(#38106),#20387,.F.); #346572=ADVANCED_FACE('',(#38107),#20388,.F.); #346573=ADVANCED_FACE('',(#38108),#20389,.T.); #346574=ADVANCED_FACE('',(#38109),#20390,.F.); #346575=ADVANCED_FACE('',(#38110),#20391,.F.); #346576=ADVANCED_FACE('',(#38111),#20392,.F.); #346577=ADVANCED_FACE('',(#38112),#20393,.F.); #346578=ADVANCED_FACE('',(#38113),#20394,.F.); #346579=ADVANCED_FACE('',(#38114),#20395,.F.); #346580=ADVANCED_FACE('',(#38115),#20396,.F.); #346581=ADVANCED_FACE('',(#38116),#20397,.F.); #346582=ADVANCED_FACE('',(#38117),#20398,.F.); #346583=ADVANCED_FACE('',(#38118),#20399,.F.); #346584=ADVANCED_FACE('',(#38119),#20400,.F.); #346585=ADVANCED_FACE('',(#38120),#20401,.F.); #346586=ADVANCED_FACE('',(#38121),#329874,.F.); #346587=ADVANCED_FACE('',(#38122),#20402,.F.); #346588=ADVANCED_FACE('',(#38123),#20403,.F.); #346589=ADVANCED_FACE('',(#38124),#329875,.F.); #346590=ADVANCED_FACE('',(#38125),#20404,.F.); #346591=ADVANCED_FACE('',(#38126),#20405,.F.); #346592=ADVANCED_FACE('',(#38127),#20406,.F.); #346593=ADVANCED_FACE('',(#38128),#20407,.F.); #346594=ADVANCED_FACE('',(#38129),#20408,.F.); #346595=ADVANCED_FACE('',(#38130),#20409,.F.); #346596=ADVANCED_FACE('',(#38131),#20410,.F.); #346597=ADVANCED_FACE('',(#38132),#20411,.F.); #346598=ADVANCED_FACE('',(#38133),#20412,.F.); #346599=ADVANCED_FACE('',(#38134),#20413,.F.); #346600=ADVANCED_FACE('',(#38135),#20414,.F.); #346601=ADVANCED_FACE('',(#38136),#20415,.T.); #346602=ADVANCED_FACE('',(#38137),#20416,.T.); #346603=ADVANCED_FACE('',(#38138),#20417,.T.); #346604=ADVANCED_FACE('',(#38139),#20418,.T.); #346605=ADVANCED_FACE('',(#38140),#20419,.T.); #346606=ADVANCED_FACE('',(#38141),#20420,.F.); #346607=ADVANCED_FACE('',(#38142),#20421,.F.); #346608=ADVANCED_FACE('',(#38143),#20422,.F.); #346609=ADVANCED_FACE('',(#38144),#20423,.F.); #346610=ADVANCED_FACE('',(#38145),#20424,.T.); #346611=ADVANCED_FACE('',(#38146),#20425,.T.); #346612=ADVANCED_FACE('',(#38147),#20426,.T.); #346613=ADVANCED_FACE('',(#38148),#20427,.T.); #346614=ADVANCED_FACE('',(#38149),#20428,.T.); #346615=ADVANCED_FACE('',(#38150),#20429,.F.); #346616=ADVANCED_FACE('',(#38151),#20430,.T.); #346617=ADVANCED_FACE('',(#38152),#20431,.T.); #346618=ADVANCED_FACE('',(#38153),#20432,.F.); #346619=ADVANCED_FACE('',(#38154),#20433,.T.); #346620=ADVANCED_FACE('',(#38155),#20434,.F.); #346621=ADVANCED_FACE('',(#38156),#20435,.T.); #346622=ADVANCED_FACE('',(#38157),#20436,.F.); #346623=ADVANCED_FACE('',(#38158),#20437,.T.); #346624=ADVANCED_FACE('',(#38159),#20438,.F.); #346625=ADVANCED_FACE('',(#38160),#20439,.T.); #346626=ADVANCED_FACE('',(#38161),#20440,.T.); #346627=ADVANCED_FACE('',(#38162),#20441,.F.); #346628=ADVANCED_FACE('',(#38163),#20442,.T.); #346629=ADVANCED_FACE('',(#38164),#20443,.F.); #346630=ADVANCED_FACE('',(#38165),#20444,.T.); #346631=ADVANCED_FACE('',(#38166),#20445,.F.); #346632=ADVANCED_FACE('',(#38167),#20446,.T.); #346633=ADVANCED_FACE('',(#38168),#20447,.F.); #346634=ADVANCED_FACE('',(#38169),#20448,.T.); #346635=ADVANCED_FACE('',(#38170),#20449,.F.); #346636=ADVANCED_FACE('',(#38171),#20450,.T.); #346637=ADVANCED_FACE('',(#38172),#329876,.F.); #346638=ADVANCED_FACE('',(#38173),#329877,.F.); #346639=ADVANCED_FACE('',(#38174),#20451,.T.); #346640=ADVANCED_FACE('',(#38175),#20452,.T.); #346641=ADVANCED_FACE('',(#38176),#20453,.T.); #346642=ADVANCED_FACE('',(#38177),#43,.T.); #346643=ADVANCED_FACE('',(#38178),#44,.T.); #346644=ADVANCED_FACE('',(#38179),#45,.T.); #346645=ADVANCED_FACE('',(#38180),#46,.T.); #346646=ADVANCED_FACE('',(#38181),#47,.T.); #346647=ADVANCED_FACE('',(#38182),#329878,.T.); #346648=ADVANCED_FACE('',(#38183),#48,.T.); #346649=ADVANCED_FACE('',(#38184),#49,.T.); #346650=ADVANCED_FACE('',(#38185),#329879,.T.); #346651=ADVANCED_FACE('',(#38186),#329880,.T.); #346652=ADVANCED_FACE('',(#38187),#50,.T.); #346653=ADVANCED_FACE('',(#38188),#329881,.T.); #346654=ADVANCED_FACE('',(#38189),#329882,.T.); #346655=ADVANCED_FACE('',(#38190),#329883,.T.); #346656=ADVANCED_FACE('',(#38191),#329884,.T.); #346657=ADVANCED_FACE('',(#38192),#329885,.T.); #346658=ADVANCED_FACE('',(#38193),#329886,.T.); #346659=ADVANCED_FACE('',(#38194),#329887,.T.); #346660=ADVANCED_FACE('',(#38195),#329888,.T.); #346661=ADVANCED_FACE('',(#38196),#329889,.T.); #346662=ADVANCED_FACE('',(#38197),#20454,.T.); #346663=ADVANCED_FACE('',(#38198),#20455,.F.); #346664=ADVANCED_FACE('',(#38199),#20456,.T.); #346665=ADVANCED_FACE('',(#38200),#20457,.T.); #346666=ADVANCED_FACE('',(#38201),#20458,.T.); #346667=ADVANCED_FACE('',(#38202),#20459,.T.); #346668=ADVANCED_FACE('',(#38203),#51,.T.); #346669=ADVANCED_FACE('',(#38204),#52,.T.); #346670=ADVANCED_FACE('',(#38205),#53,.T.); #346671=ADVANCED_FACE('',(#38206),#54,.T.); #346672=ADVANCED_FACE('',(#38207),#55,.T.); #346673=ADVANCED_FACE('',(#38208),#329890,.T.); #346674=ADVANCED_FACE('',(#38209),#56,.T.); #346675=ADVANCED_FACE('',(#38210),#57,.T.); #346676=ADVANCED_FACE('',(#38211),#329891,.T.); #346677=ADVANCED_FACE('',(#38212),#329892,.T.); #346678=ADVANCED_FACE('',(#38213),#58,.T.); #346679=ADVANCED_FACE('',(#38214),#329893,.T.); #346680=ADVANCED_FACE('',(#38215),#329894,.T.); #346681=ADVANCED_FACE('',(#38216),#329895,.T.); #346682=ADVANCED_FACE('',(#38217),#329896,.T.); #346683=ADVANCED_FACE('',(#38218),#329897,.T.); #346684=ADVANCED_FACE('',(#38219),#329898,.T.); #346685=ADVANCED_FACE('',(#38220),#329899,.T.); #346686=ADVANCED_FACE('',(#38221),#329900,.T.); #346687=ADVANCED_FACE('',(#38222),#329901,.T.); #346688=ADVANCED_FACE('',(#38223),#20460,.T.); #346689=ADVANCED_FACE('',(#38224),#20461,.F.); #346690=ADVANCED_FACE('',(#38225),#20462,.T.); #346691=ADVANCED_FACE('',(#38226),#20463,.T.); #346692=ADVANCED_FACE('',(#38227),#20464,.T.); #346693=ADVANCED_FACE('',(#38228),#20465,.T.); #346694=ADVANCED_FACE('',(#38229),#20466,.T.); #346695=ADVANCED_FACE('',(#38230),#20467,.T.); #346696=ADVANCED_FACE('',(#38231),#20468,.T.); #346697=ADVANCED_FACE('',(#38232),#20469,.T.); #346698=ADVANCED_FACE('',(#38233),#20470,.T.); #346699=ADVANCED_FACE('',(#38234),#20471,.F.); #346700=ADVANCED_FACE('',(#38235),#59,.T.); #346701=ADVANCED_FACE('',(#38236),#60,.T.); #346702=ADVANCED_FACE('',(#38237),#61,.T.); #346703=ADVANCED_FACE('',(#38238),#62,.T.); #346704=ADVANCED_FACE('',(#38239),#63,.T.); #346705=ADVANCED_FACE('',(#38240),#329902,.T.); #346706=ADVANCED_FACE('',(#38241),#64,.T.); #346707=ADVANCED_FACE('',(#38242),#65,.T.); #346708=ADVANCED_FACE('',(#38243),#329903,.T.); #346709=ADVANCED_FACE('',(#38244),#329904,.T.); #346710=ADVANCED_FACE('',(#38245),#66,.T.); #346711=ADVANCED_FACE('',(#38246),#329905,.T.); #346712=ADVANCED_FACE('',(#38247),#329906,.T.); #346713=ADVANCED_FACE('',(#38248),#329907,.T.); #346714=ADVANCED_FACE('',(#38249),#329908,.T.); #346715=ADVANCED_FACE('',(#38250),#329909,.T.); #346716=ADVANCED_FACE('',(#38251),#329910,.T.); #346717=ADVANCED_FACE('',(#38252),#329911,.T.); #346718=ADVANCED_FACE('',(#38253),#329912,.T.); #346719=ADVANCED_FACE('',(#38254),#329913,.T.); #346720=ADVANCED_FACE('',(#38255),#20472,.T.); #346721=ADVANCED_FACE('',(#38256),#20473,.F.); #346722=ADVANCED_FACE('',(#38257),#20474,.T.); #346723=ADVANCED_FACE('',(#38258),#20475,.T.); #346724=ADVANCED_FACE('',(#38259),#20476,.T.); #346725=ADVANCED_FACE('',(#38260),#20477,.T.); #346726=ADVANCED_FACE('',(#38261),#67,.T.); #346727=ADVANCED_FACE('',(#38262),#68,.T.); #346728=ADVANCED_FACE('',(#38263),#69,.T.); #346729=ADVANCED_FACE('',(#38264),#70,.T.); #346730=ADVANCED_FACE('',(#38265),#71,.T.); #346731=ADVANCED_FACE('',(#38266),#329914,.T.); #346732=ADVANCED_FACE('',(#38267),#72,.T.); #346733=ADVANCED_FACE('',(#38268),#73,.T.); #346734=ADVANCED_FACE('',(#38269),#329915,.T.); #346735=ADVANCED_FACE('',(#38270),#329916,.T.); #346736=ADVANCED_FACE('',(#38271),#74,.T.); #346737=ADVANCED_FACE('',(#38272),#329917,.T.); #346738=ADVANCED_FACE('',(#38273),#329918,.T.); #346739=ADVANCED_FACE('',(#38274),#329919,.T.); #346740=ADVANCED_FACE('',(#38275),#329920,.T.); #346741=ADVANCED_FACE('',(#38276),#329921,.T.); #346742=ADVANCED_FACE('',(#38277),#329922,.T.); #346743=ADVANCED_FACE('',(#38278),#329923,.T.); #346744=ADVANCED_FACE('',(#38279),#329924,.T.); #346745=ADVANCED_FACE('',(#38280),#329925,.T.); #346746=ADVANCED_FACE('',(#38281),#20478,.T.); #346747=ADVANCED_FACE('',(#38282),#20479,.F.); #346748=ADVANCED_FACE('',(#38283),#20480,.T.); #346749=ADVANCED_FACE('',(#38284),#20481,.T.); #346750=ADVANCED_FACE('',(#38285),#20482,.T.); #346751=ADVANCED_FACE('',(#38286),#20483,.T.); #346752=ADVANCED_FACE('',(#38287),#20484,.T.); #346753=ADVANCED_FACE('',(#38288),#20485,.T.); #346754=ADVANCED_FACE('',(#38289),#20486,.T.); #346755=ADVANCED_FACE('',(#38290),#20487,.T.); #346756=ADVANCED_FACE('',(#38291),#20488,.T.); #346757=ADVANCED_FACE('',(#38292),#20489,.F.); #346758=ADVANCED_FACE('',(#38293),#75,.T.); #346759=ADVANCED_FACE('',(#38294),#76,.T.); #346760=ADVANCED_FACE('',(#38295),#77,.T.); #346761=ADVANCED_FACE('',(#38296),#78,.T.); #346762=ADVANCED_FACE('',(#38297),#79,.T.); #346763=ADVANCED_FACE('',(#38298),#329926,.T.); #346764=ADVANCED_FACE('',(#38299),#80,.T.); #346765=ADVANCED_FACE('',(#38300),#81,.T.); #346766=ADVANCED_FACE('',(#38301),#329927,.T.); #346767=ADVANCED_FACE('',(#38302),#329928,.T.); #346768=ADVANCED_FACE('',(#38303),#82,.T.); #346769=ADVANCED_FACE('',(#38304),#329929,.T.); #346770=ADVANCED_FACE('',(#38305),#329930,.T.); #346771=ADVANCED_FACE('',(#38306),#329931,.T.); #346772=ADVANCED_FACE('',(#38307),#329932,.T.); #346773=ADVANCED_FACE('',(#38308),#329933,.T.); #346774=ADVANCED_FACE('',(#38309),#329934,.T.); #346775=ADVANCED_FACE('',(#38310),#329935,.T.); #346776=ADVANCED_FACE('',(#38311),#329936,.T.); #346777=ADVANCED_FACE('',(#38312),#329937,.T.); #346778=ADVANCED_FACE('',(#38313),#20490,.T.); #346779=ADVANCED_FACE('',(#38314),#20491,.F.); #346780=ADVANCED_FACE('',(#38315),#20492,.T.); #346781=ADVANCED_FACE('',(#38316),#20493,.T.); #346782=ADVANCED_FACE('',(#38317),#20494,.T.); #346783=ADVANCED_FACE('',(#38318),#20495,.T.); #346784=ADVANCED_FACE('',(#38319),#83,.T.); #346785=ADVANCED_FACE('',(#38320),#84,.T.); #346786=ADVANCED_FACE('',(#38321),#85,.T.); #346787=ADVANCED_FACE('',(#38322),#86,.T.); #346788=ADVANCED_FACE('',(#38323),#87,.T.); #346789=ADVANCED_FACE('',(#38324),#329938,.T.); #346790=ADVANCED_FACE('',(#38325),#88,.T.); #346791=ADVANCED_FACE('',(#38326),#89,.T.); #346792=ADVANCED_FACE('',(#38327),#329939,.T.); #346793=ADVANCED_FACE('',(#38328),#329940,.T.); #346794=ADVANCED_FACE('',(#38329),#90,.T.); #346795=ADVANCED_FACE('',(#38330),#329941,.T.); #346796=ADVANCED_FACE('',(#38331),#329942,.T.); #346797=ADVANCED_FACE('',(#38332),#329943,.T.); #346798=ADVANCED_FACE('',(#38333),#329944,.T.); #346799=ADVANCED_FACE('',(#38334),#329945,.T.); #346800=ADVANCED_FACE('',(#38335),#329946,.T.); #346801=ADVANCED_FACE('',(#38336),#329947,.T.); #346802=ADVANCED_FACE('',(#38337),#329948,.T.); #346803=ADVANCED_FACE('',(#38338),#329949,.T.); #346804=ADVANCED_FACE('',(#38339),#20496,.T.); #346805=ADVANCED_FACE('',(#38340),#20497,.F.); #346806=ADVANCED_FACE('',(#38341),#20498,.T.); #346807=ADVANCED_FACE('',(#38342),#20499,.T.); #346808=ADVANCED_FACE('',(#38343),#20500,.T.); #346809=ADVANCED_FACE('',(#38344),#20501,.T.); #346810=ADVANCED_FACE('',(#38345),#20502,.T.); #346811=ADVANCED_FACE('',(#38346),#20503,.T.); #346812=ADVANCED_FACE('',(#38347),#20504,.T.); #346813=ADVANCED_FACE('',(#38348),#20505,.T.); #346814=ADVANCED_FACE('',(#38349),#20506,.T.); #346815=ADVANCED_FACE('',(#38350),#20507,.F.); #346816=ADVANCED_FACE('',(#38351),#329950,.F.); #346817=ADVANCED_FACE('',(#38352),#20508,.T.); #346818=ADVANCED_FACE('',(#38353),#20509,.T.); #346819=ADVANCED_FACE('',(#38354),#814,.T.); #346820=ADVANCED_FACE('',(#38355),#329951,.T.); #346821=ADVANCED_FACE('',(#38356),#815,.T.); #346822=ADVANCED_FACE('',(#38357),#816,.F.); #346823=ADVANCED_FACE('',(#38358),#817,.T.); #346824=ADVANCED_FACE('',(#38359),#329952,.T.); #346825=ADVANCED_FACE('',(#38360),#818,.T.); #346826=ADVANCED_FACE('',(#38361,#7911),#20510,.T.); #346827=ADVANCED_FACE('',(#38362),#20511,.F.); #346828=ADVANCED_FACE('',(#38363),#819,.F.); #346829=ADVANCED_FACE('',(#38364),#820,.T.); #346830=ADVANCED_FACE('',(#38365),#329953,.T.); #346831=ADVANCED_FACE('',(#38366),#821,.T.); #346832=ADVANCED_FACE('',(#38367),#20512,.T.); #346833=ADVANCED_FACE('',(#38368),#822,.F.); #346834=ADVANCED_FACE('',(#38369),#329954,.F.); #346835=ADVANCED_FACE('',(#38370),#823,.F.); #346836=ADVANCED_FACE('',(#38371),#824,.T.); #346837=ADVANCED_FACE('',(#38372),#825,.F.); #346838=ADVANCED_FACE('',(#38373),#329955,.F.); #346839=ADVANCED_FACE('',(#38374),#826,.F.); #346840=ADVANCED_FACE('',(#38375),#20513,.T.); #346841=ADVANCED_FACE('',(#38376),#827,.T.); #346842=ADVANCED_FACE('',(#38377),#329956,.T.); #346843=ADVANCED_FACE('',(#38378),#828,.T.); #346844=ADVANCED_FACE('',(#38379),#20514,.T.); #346845=ADVANCED_FACE('',(#38380),#329957,.T.); #346846=ADVANCED_FACE('',(#38381),#20515,.T.); #346847=ADVANCED_FACE('',(#38382),#20516,.F.); #346848=ADVANCED_FACE('',(#38383),#329958,.T.); #346849=ADVANCED_FACE('',(#38384),#20517,.F.); #346850=ADVANCED_FACE('',(#38385),#20518,.T.); #346851=ADVANCED_FACE('',(#38386),#20519,.F.); #346852=ADVANCED_FACE('',(#38387),#20520,.T.); #346853=ADVANCED_FACE('',(#38388),#329959,.T.); #346854=ADVANCED_FACE('',(#38389),#20521,.T.); #346855=ADVANCED_FACE('',(#38390),#329960,.F.); #346856=ADVANCED_FACE('',(#38391),#20522,.T.); #346857=ADVANCED_FACE('',(#38392),#20523,.F.); #346858=ADVANCED_FACE('',(#38393),#20524,.T.); #346859=ADVANCED_FACE('',(#38394),#329961,.T.); #346860=ADVANCED_FACE('',(#38395),#20525,.T.); #346861=ADVANCED_FACE('',(#38396),#329962,.F.); #346862=ADVANCED_FACE('',(#38397),#20526,.T.); #346863=ADVANCED_FACE('',(#38398),#20527,.F.); #346864=ADVANCED_FACE('',(#38399),#20528,.T.); #346865=ADVANCED_FACE('',(#38400),#329963,.T.); #346866=ADVANCED_FACE('',(#38401),#20529,.T.); #346867=ADVANCED_FACE('',(#38402),#329964,.F.); #346868=ADVANCED_FACE('',(#38403),#20530,.T.); #346869=ADVANCED_FACE('',(#38404),#20531,.F.); #346870=ADVANCED_FACE('',(#38405),#20532,.T.); #346871=ADVANCED_FACE('',(#38406),#329965,.T.); #346872=ADVANCED_FACE('',(#38407),#20533,.T.); #346873=ADVANCED_FACE('',(#38408),#329966,.F.); #346874=ADVANCED_FACE('',(#38409),#20534,.T.); #346875=ADVANCED_FACE('',(#38410),#20535,.F.); #346876=ADVANCED_FACE('',(#38411),#20536,.T.); #346877=ADVANCED_FACE('',(#38412),#329967,.T.); #346878=ADVANCED_FACE('',(#38413),#20537,.T.); #346879=ADVANCED_FACE('',(#38414),#329968,.F.); #346880=ADVANCED_FACE('',(#38415),#20538,.T.); #346881=ADVANCED_FACE('',(#38416),#20539,.F.); #346882=ADVANCED_FACE('',(#38417),#20540,.T.); #346883=ADVANCED_FACE('',(#38418),#329969,.T.); #346884=ADVANCED_FACE('',(#38419),#20541,.T.); #346885=ADVANCED_FACE('',(#38420),#329970,.F.); #346886=ADVANCED_FACE('',(#38421),#20542,.T.); #346887=ADVANCED_FACE('',(#38422),#20543,.F.); #346888=ADVANCED_FACE('',(#38423),#20544,.T.); #346889=ADVANCED_FACE('',(#38424),#329971,.T.); #346890=ADVANCED_FACE('',(#38425),#20545,.T.); #346891=ADVANCED_FACE('',(#38426),#329972,.F.); #346892=ADVANCED_FACE('',(#38427),#20546,.T.); #346893=ADVANCED_FACE('',(#38428),#20547,.F.); #346894=ADVANCED_FACE('',(#38429),#20548,.T.); #346895=ADVANCED_FACE('',(#38430),#329973,.T.); #346896=ADVANCED_FACE('',(#38431),#20549,.T.); #346897=ADVANCED_FACE('',(#38432),#329974,.F.); #346898=ADVANCED_FACE('',(#38433),#20550,.T.); #346899=ADVANCED_FACE('',(#38434),#20551,.F.); #346900=ADVANCED_FACE('',(#38435),#20552,.T.); #346901=ADVANCED_FACE('',(#38436),#329975,.T.); #346902=ADVANCED_FACE('',(#38437),#20553,.T.); #346903=ADVANCED_FACE('',(#38438),#329976,.F.); #346904=ADVANCED_FACE('',(#38439),#20554,.T.); #346905=ADVANCED_FACE('',(#38440),#329977,.F.); #346906=ADVANCED_FACE('',(#38441),#20555,.T.); #346907=ADVANCED_FACE('',(#38442),#20556,.T.); #346908=ADVANCED_FACE('',(#38443),#20557,.T.); #346909=ADVANCED_FACE('',(#38444),#20558,.T.); #346910=ADVANCED_FACE('',(#38445),#20559,.T.); #346911=ADVANCED_FACE('',(#38446),#20560,.T.); #346912=ADVANCED_FACE('',(#38447),#20561,.T.); #346913=ADVANCED_FACE('',(#38448,#7912),#20562,.T.); #346914=ADVANCED_FACE('',(#38449),#20563,.T.); #346915=ADVANCED_FACE('',(#38450),#20564,.T.); #346916=ADVANCED_FACE('',(#38451),#20565,.T.); #346917=ADVANCED_FACE('',(#38452),#20566,.T.); #346918=ADVANCED_FACE('',(#38453),#20567,.T.); #346919=ADVANCED_FACE('',(#38454),#20568,.T.); #346920=ADVANCED_FACE('',(#38455),#20569,.T.); #346921=ADVANCED_FACE('',(#38456),#20570,.T.); #346922=ADVANCED_FACE('',(#38457),#20571,.F.); #346923=ADVANCED_FACE('',(#38458),#20572,.T.); #346924=ADVANCED_FACE('',(#38459),#20573,.T.); #346925=ADVANCED_FACE('',(#38460),#329978,.T.); #346926=ADVANCED_FACE('',(#38461),#20574,.T.); #346927=ADVANCED_FACE('',(#38462),#329979,.T.); #346928=ADVANCED_FACE('',(#38463),#20575,.T.); #346929=ADVANCED_FACE('',(#38464),#20576,.T.); #346930=ADVANCED_FACE('',(#38465),#20577,.F.); #346931=ADVANCED_FACE('',(#38466),#20578,.F.); #346932=ADVANCED_FACE('',(#38467),#20579,.F.); #346933=ADVANCED_FACE('',(#38468),#329980,.T.); #346934=ADVANCED_FACE('',(#38469),#20580,.F.); #346935=ADVANCED_FACE('',(#38470),#329981,.T.); #346936=ADVANCED_FACE('',(#38471),#20581,.F.); #346937=ADVANCED_FACE('',(#38472),#20582,.F.); #346938=ADVANCED_FACE('',(#38473),#20583,.T.); #346939=ADVANCED_FACE('',(#38474),#20584,.T.); #346940=ADVANCED_FACE('',(#38475),#20585,.T.); #346941=ADVANCED_FACE('',(#38476),#329982,.T.); #346942=ADVANCED_FACE('',(#38477),#20586,.T.); #346943=ADVANCED_FACE('',(#38478),#329983,.T.); #346944=ADVANCED_FACE('',(#38479),#20587,.T.); #346945=ADVANCED_FACE('',(#38480),#20588,.T.); #346946=ADVANCED_FACE('',(#38481),#20589,.F.); #346947=ADVANCED_FACE('',(#38482),#20590,.T.); #346948=ADVANCED_FACE('',(#38483),#20591,.T.); #346949=ADVANCED_FACE('',(#38484),#329984,.T.); #346950=ADVANCED_FACE('',(#38485),#20592,.T.); #346951=ADVANCED_FACE('',(#38486),#329985,.T.); #346952=ADVANCED_FACE('',(#38487),#20593,.T.); #346953=ADVANCED_FACE('',(#38488),#20594,.T.); #346954=ADVANCED_FACE('',(#38489),#20595,.F.); #346955=ADVANCED_FACE('',(#38490),#20596,.T.); #346956=ADVANCED_FACE('',(#38491),#20597,.T.); #346957=ADVANCED_FACE('',(#38492),#329986,.T.); #346958=ADVANCED_FACE('',(#38493),#20598,.T.); #346959=ADVANCED_FACE('',(#38494),#329987,.T.); #346960=ADVANCED_FACE('',(#38495),#20599,.T.); #346961=ADVANCED_FACE('',(#38496),#20600,.T.); #346962=ADVANCED_FACE('',(#38497),#20601,.F.); #346963=ADVANCED_FACE('',(#38498),#20602,.F.); #346964=ADVANCED_FACE('',(#38499),#20603,.F.); #346965=ADVANCED_FACE('',(#38500),#329988,.T.); #346966=ADVANCED_FACE('',(#38501),#20604,.F.); #346967=ADVANCED_FACE('',(#38502),#329989,.T.); #346968=ADVANCED_FACE('',(#38503),#20605,.F.); #346969=ADVANCED_FACE('',(#38504),#20606,.F.); #346970=ADVANCED_FACE('',(#38505),#20607,.T.); #346971=ADVANCED_FACE('',(#38506),#20608,.F.); #346972=ADVANCED_FACE('',(#38507),#20609,.F.); #346973=ADVANCED_FACE('',(#38508),#329990,.T.); #346974=ADVANCED_FACE('',(#38509),#20610,.F.); #346975=ADVANCED_FACE('',(#38510),#329991,.T.); #346976=ADVANCED_FACE('',(#38511),#20611,.F.); #346977=ADVANCED_FACE('',(#38512),#20612,.F.); #346978=ADVANCED_FACE('',(#38513),#20613,.T.); #346979=ADVANCED_FACE('',(#38514),#20614,.F.); #346980=ADVANCED_FACE('',(#38515),#20615,.F.); #346981=ADVANCED_FACE('',(#38516),#329992,.T.); #346982=ADVANCED_FACE('',(#38517),#20616,.F.); #346983=ADVANCED_FACE('',(#38518),#329993,.T.); #346984=ADVANCED_FACE('',(#38519),#20617,.F.); #346985=ADVANCED_FACE('',(#38520),#20618,.F.); #346986=ADVANCED_FACE('',(#38521),#20619,.T.); #346987=ADVANCED_FACE('',(#38522),#20620,.F.); #346988=ADVANCED_FACE('',(#38523),#20621,.F.); #346989=ADVANCED_FACE('',(#38524),#20622,.F.); #346990=ADVANCED_FACE('',(#38525),#20623,.F.); #346991=ADVANCED_FACE('',(#38526),#20624,.F.); #346992=ADVANCED_FACE('',(#38527),#20625,.T.); #346993=ADVANCED_FACE('',(#38528),#20626,.T.); #346994=ADVANCED_FACE('',(#38529),#20627,.T.); #346995=ADVANCED_FACE('',(#38530),#20628,.T.); #346996=ADVANCED_FACE('',(#38531),#20629,.T.); #346997=ADVANCED_FACE('',(#38532),#20630,.T.); #346998=ADVANCED_FACE('',(#38533),#20631,.F.); #346999=ADVANCED_FACE('',(#38534),#20632,.T.); #347000=ADVANCED_FACE('',(#38535),#20633,.T.); #347001=ADVANCED_FACE('',(#38536),#20634,.T.); #347002=ADVANCED_FACE('',(#38537),#20635,.T.); #347003=ADVANCED_FACE('',(#38538),#20636,.T.); #347004=ADVANCED_FACE('',(#38539),#20637,.F.); #347005=ADVANCED_FACE('',(#38540),#20638,.T.); #347006=ADVANCED_FACE('',(#38541),#20639,.T.); #347007=ADVANCED_FACE('',(#38542),#20640,.T.); #347008=ADVANCED_FACE('',(#38543),#20641,.T.); #347009=ADVANCED_FACE('',(#38544),#20642,.T.); #347010=ADVANCED_FACE('',(#38545),#20643,.F.); #347011=ADVANCED_FACE('',(#38546),#20644,.F.); #347012=ADVANCED_FACE('',(#38547),#20645,.F.); #347013=ADVANCED_FACE('',(#38548),#20646,.F.); #347014=ADVANCED_FACE('',(#38549),#20647,.F.); #347015=ADVANCED_FACE('',(#38550),#20648,.F.); #347016=ADVANCED_FACE('',(#38551),#20649,.T.); #347017=ADVANCED_FACE('',(#38552),#20650,.F.); #347018=ADVANCED_FACE('',(#38553),#20651,.F.); #347019=ADVANCED_FACE('',(#38554),#20652,.F.); #347020=ADVANCED_FACE('',(#38555),#20653,.F.); #347021=ADVANCED_FACE('',(#38556),#20654,.F.); #347022=ADVANCED_FACE('',(#38557),#20655,.T.); #347023=ADVANCED_FACE('',(#38558),#20656,.F.); #347024=ADVANCED_FACE('',(#38559),#20657,.F.); #347025=ADVANCED_FACE('',(#38560),#20658,.F.); #347026=ADVANCED_FACE('',(#38561),#20659,.F.); #347027=ADVANCED_FACE('',(#38562),#20660,.F.); #347028=ADVANCED_FACE('',(#38563),#20661,.T.); #347029=ADVANCED_FACE('',(#38564),#20662,.T.); #347030=ADVANCED_FACE('',(#38565),#20663,.T.); #347031=ADVANCED_FACE('',(#38566),#20664,.T.); #347032=ADVANCED_FACE('',(#38567),#20665,.T.); #347033=ADVANCED_FACE('',(#38568),#20666,.T.); #347034=ADVANCED_FACE('',(#38569),#20667,.F.); #347035=ADVANCED_FACE('',(#38570),#329994,.F.); #347036=ADVANCED_FACE('',(#38571),#20668,.T.); #347037=ADVANCED_FACE('',(#38572),#20669,.T.); #347038=ADVANCED_FACE('',(#38573),#20670,.T.); #347039=ADVANCED_FACE('',(#38574),#20671,.T.); #347040=ADVANCED_FACE('',(#38575),#20672,.T.); #347041=ADVANCED_FACE('',(#38576),#20673,.T.); #347042=ADVANCED_FACE('',(#38577),#20674,.T.); #347043=ADVANCED_FACE('',(#38578,#7913),#20675,.T.); #347044=ADVANCED_FACE('',(#38579),#20676,.T.); #347045=ADVANCED_FACE('',(#38580),#20677,.T.); #347046=ADVANCED_FACE('',(#38581),#20678,.T.); #347047=ADVANCED_FACE('',(#38582),#20679,.T.); #347048=ADVANCED_FACE('',(#38583),#20680,.T.); #347049=ADVANCED_FACE('',(#38584),#20681,.T.); #347050=ADVANCED_FACE('',(#38585),#20682,.T.); #347051=ADVANCED_FACE('',(#38586),#20683,.T.); #347052=ADVANCED_FACE('',(#38587),#20684,.F.); #347053=ADVANCED_FACE('',(#38588),#20685,.T.); #347054=ADVANCED_FACE('',(#38589),#20686,.T.); #347055=ADVANCED_FACE('',(#38590),#329995,.T.); #347056=ADVANCED_FACE('',(#38591),#20687,.T.); #347057=ADVANCED_FACE('',(#38592),#329996,.T.); #347058=ADVANCED_FACE('',(#38593),#20688,.T.); #347059=ADVANCED_FACE('',(#38594),#20689,.T.); #347060=ADVANCED_FACE('',(#38595),#20690,.F.); #347061=ADVANCED_FACE('',(#38596),#20691,.F.); #347062=ADVANCED_FACE('',(#38597),#20692,.F.); #347063=ADVANCED_FACE('',(#38598),#329997,.T.); #347064=ADVANCED_FACE('',(#38599),#20693,.F.); #347065=ADVANCED_FACE('',(#38600),#329998,.T.); #347066=ADVANCED_FACE('',(#38601),#20694,.F.); #347067=ADVANCED_FACE('',(#38602),#20695,.F.); #347068=ADVANCED_FACE('',(#38603),#20696,.T.); #347069=ADVANCED_FACE('',(#38604),#20697,.T.); #347070=ADVANCED_FACE('',(#38605),#20698,.T.); #347071=ADVANCED_FACE('',(#38606),#329999,.T.); #347072=ADVANCED_FACE('',(#38607),#20699,.T.); #347073=ADVANCED_FACE('',(#38608),#330000,.T.); #347074=ADVANCED_FACE('',(#38609),#20700,.T.); #347075=ADVANCED_FACE('',(#38610),#20701,.T.); #347076=ADVANCED_FACE('',(#38611),#20702,.F.); #347077=ADVANCED_FACE('',(#38612),#20703,.T.); #347078=ADVANCED_FACE('',(#38613),#20704,.T.); #347079=ADVANCED_FACE('',(#38614),#330001,.T.); #347080=ADVANCED_FACE('',(#38615),#20705,.T.); #347081=ADVANCED_FACE('',(#38616),#330002,.T.); #347082=ADVANCED_FACE('',(#38617),#20706,.T.); #347083=ADVANCED_FACE('',(#38618),#20707,.T.); #347084=ADVANCED_FACE('',(#38619),#20708,.F.); #347085=ADVANCED_FACE('',(#38620),#20709,.T.); #347086=ADVANCED_FACE('',(#38621),#20710,.T.); #347087=ADVANCED_FACE('',(#38622),#330003,.T.); #347088=ADVANCED_FACE('',(#38623),#20711,.T.); #347089=ADVANCED_FACE('',(#38624),#330004,.T.); #347090=ADVANCED_FACE('',(#38625),#20712,.T.); #347091=ADVANCED_FACE('',(#38626),#20713,.T.); #347092=ADVANCED_FACE('',(#38627),#20714,.F.); #347093=ADVANCED_FACE('',(#38628),#20715,.F.); #347094=ADVANCED_FACE('',(#38629),#20716,.F.); #347095=ADVANCED_FACE('',(#38630),#330005,.T.); #347096=ADVANCED_FACE('',(#38631),#20717,.F.); #347097=ADVANCED_FACE('',(#38632),#330006,.T.); #347098=ADVANCED_FACE('',(#38633),#20718,.F.); #347099=ADVANCED_FACE('',(#38634),#20719,.F.); #347100=ADVANCED_FACE('',(#38635),#20720,.T.); #347101=ADVANCED_FACE('',(#38636),#20721,.F.); #347102=ADVANCED_FACE('',(#38637),#20722,.F.); #347103=ADVANCED_FACE('',(#38638),#330007,.T.); #347104=ADVANCED_FACE('',(#38639),#20723,.F.); #347105=ADVANCED_FACE('',(#38640),#330008,.T.); #347106=ADVANCED_FACE('',(#38641),#20724,.F.); #347107=ADVANCED_FACE('',(#38642),#20725,.F.); #347108=ADVANCED_FACE('',(#38643),#20726,.T.); #347109=ADVANCED_FACE('',(#38644),#20727,.F.); #347110=ADVANCED_FACE('',(#38645),#20728,.F.); #347111=ADVANCED_FACE('',(#38646),#330009,.T.); #347112=ADVANCED_FACE('',(#38647),#20729,.F.); #347113=ADVANCED_FACE('',(#38648),#330010,.T.); #347114=ADVANCED_FACE('',(#38649),#20730,.F.); #347115=ADVANCED_FACE('',(#38650),#20731,.F.); #347116=ADVANCED_FACE('',(#38651),#20732,.T.); #347117=ADVANCED_FACE('',(#38652),#20733,.F.); #347118=ADVANCED_FACE('',(#38653),#20734,.F.); #347119=ADVANCED_FACE('',(#38654),#20735,.F.); #347120=ADVANCED_FACE('',(#38655),#20736,.F.); #347121=ADVANCED_FACE('',(#38656),#20737,.F.); #347122=ADVANCED_FACE('',(#38657),#20738,.T.); #347123=ADVANCED_FACE('',(#38658),#20739,.T.); #347124=ADVANCED_FACE('',(#38659),#20740,.T.); #347125=ADVANCED_FACE('',(#38660),#20741,.T.); #347126=ADVANCED_FACE('',(#38661),#20742,.T.); #347127=ADVANCED_FACE('',(#38662),#20743,.T.); #347128=ADVANCED_FACE('',(#38663),#20744,.F.); #347129=ADVANCED_FACE('',(#38664),#20745,.T.); #347130=ADVANCED_FACE('',(#38665),#20746,.T.); #347131=ADVANCED_FACE('',(#38666),#20747,.T.); #347132=ADVANCED_FACE('',(#38667),#20748,.T.); #347133=ADVANCED_FACE('',(#38668),#20749,.T.); #347134=ADVANCED_FACE('',(#38669),#20750,.F.); #347135=ADVANCED_FACE('',(#38670),#20751,.T.); #347136=ADVANCED_FACE('',(#38671),#20752,.T.); #347137=ADVANCED_FACE('',(#38672),#20753,.T.); #347138=ADVANCED_FACE('',(#38673),#20754,.T.); #347139=ADVANCED_FACE('',(#38674),#20755,.T.); #347140=ADVANCED_FACE('',(#38675),#20756,.F.); #347141=ADVANCED_FACE('',(#38676),#20757,.F.); #347142=ADVANCED_FACE('',(#38677),#20758,.F.); #347143=ADVANCED_FACE('',(#38678),#20759,.F.); #347144=ADVANCED_FACE('',(#38679),#20760,.F.); #347145=ADVANCED_FACE('',(#38680),#20761,.F.); #347146=ADVANCED_FACE('',(#38681),#20762,.T.); #347147=ADVANCED_FACE('',(#38682),#20763,.F.); #347148=ADVANCED_FACE('',(#38683),#20764,.F.); #347149=ADVANCED_FACE('',(#38684),#20765,.F.); #347150=ADVANCED_FACE('',(#38685),#20766,.F.); #347151=ADVANCED_FACE('',(#38686),#20767,.F.); #347152=ADVANCED_FACE('',(#38687),#20768,.T.); #347153=ADVANCED_FACE('',(#38688),#20769,.F.); #347154=ADVANCED_FACE('',(#38689),#20770,.F.); #347155=ADVANCED_FACE('',(#38690),#20771,.F.); #347156=ADVANCED_FACE('',(#38691),#20772,.F.); #347157=ADVANCED_FACE('',(#38692),#20773,.F.); #347158=ADVANCED_FACE('',(#38693),#20774,.T.); #347159=ADVANCED_FACE('',(#38694),#20775,.T.); #347160=ADVANCED_FACE('',(#38695),#20776,.T.); #347161=ADVANCED_FACE('',(#38696),#20777,.T.); #347162=ADVANCED_FACE('',(#38697),#20778,.T.); #347163=ADVANCED_FACE('',(#38698),#20779,.T.); #347164=ADVANCED_FACE('',(#38699),#20780,.F.); #347165=ADVANCED_FACE('',(#38700),#20781,.T.); #347166=ADVANCED_FACE('',(#38701),#20782,.T.); #347167=ADVANCED_FACE('',(#38702),#20783,.T.); #347168=ADVANCED_FACE('',(#38703),#20784,.T.); #347169=ADVANCED_FACE('',(#38704),#20785,.T.); #347170=ADVANCED_FACE('',(#38705),#20786,.T.); #347171=ADVANCED_FACE('',(#38706),#20787,.T.); #347172=ADVANCED_FACE('',(#38707),#20788,.T.); #347173=ADVANCED_FACE('',(#38708),#20789,.T.); #347174=ADVANCED_FACE('',(#38709),#20790,.F.); #347175=ADVANCED_FACE('',(#38710),#20791,.T.); #347176=ADVANCED_FACE('',(#38711),#20792,.T.); #347177=ADVANCED_FACE('',(#38712),#20793,.T.); #347178=ADVANCED_FACE('',(#38713),#20794,.T.); #347179=ADVANCED_FACE('',(#38714),#20795,.T.); #347180=ADVANCED_FACE('',(#38715),#20796,.F.); #347181=ADVANCED_FACE('',(#38716),#20797,.T.); #347182=ADVANCED_FACE('',(#38717),#20798,.T.); #347183=ADVANCED_FACE('',(#38718),#20799,.T.); #347184=ADVANCED_FACE('',(#38719),#20800,.T.); #347185=ADVANCED_FACE('',(#38720),#20801,.T.); #347186=ADVANCED_FACE('',(#38721),#20802,.F.); #347187=ADVANCED_FACE('',(#38722),#20803,.T.); #347188=ADVANCED_FACE('',(#38723),#20804,.T.); #347189=ADVANCED_FACE('',(#38724),#20805,.T.); #347190=ADVANCED_FACE('',(#38725),#20806,.T.); #347191=ADVANCED_FACE('',(#38726),#20807,.T.); #347192=ADVANCED_FACE('',(#38727),#20808,.F.); #347193=ADVANCED_FACE('',(#38728),#20809,.T.); #347194=ADVANCED_FACE('',(#38729),#20810,.T.); #347195=ADVANCED_FACE('',(#38730),#20811,.T.); #347196=ADVANCED_FACE('',(#38731),#20812,.T.); #347197=ADVANCED_FACE('',(#38732),#20813,.T.); #347198=ADVANCED_FACE('',(#38733),#20814,.F.); #347199=ADVANCED_FACE('',(#38734),#330011,.F.); #347200=ADVANCED_FACE('',(#38735),#330012,.T.); #347201=ADVANCED_FACE('',(#38736,#7914),#20815,.T.); #347202=ADVANCED_FACE('',(#38737,#7915),#20816,.F.); #347203=ADVANCED_FACE('',(#38738),#330013,.F.); #347204=ADVANCED_FACE('',(#38739),#330014,.F.); #347205=ADVANCED_FACE('',(#38740),#330015,.F.); #347206=ADVANCED_FACE('',(#38741),#330016,.F.); #347207=ADVANCED_FACE('',(#38742),#330017,.F.); #347208=ADVANCED_FACE('',(#38743),#330018,.F.); #347209=ADVANCED_FACE('',(#38744),#3396,.F.); #347210=ADVANCED_FACE('',(#38745),#3397,.F.); #347211=ADVANCED_FACE('',(#38746),#839,.F.); #347212=ADVANCED_FACE('',(#38747),#840,.F.); #347213=ADVANCED_FACE('',(#38748),#330019,.F.); #347214=ADVANCED_FACE('',(#38749),#330020,.F.); #347215=ADVANCED_FACE('',(#38750,#7916),#20817,.F.); #347216=ADVANCED_FACE('',(#38751),#20818,.F.); #347217=ADVANCED_FACE('',(#38752),#20819,.F.); #347218=ADVANCED_FACE('',(#38753),#20820,.F.); #347219=ADVANCED_FACE('',(#38754),#20821,.F.); #347220=ADVANCED_FACE('',(#38755),#20822,.F.); #347221=ADVANCED_FACE('',(#38756),#20823,.F.); #347222=ADVANCED_FACE('',(#38757),#330021,.T.); #347223=ADVANCED_FACE('',(#38758),#330022,.T.); #347224=ADVANCED_FACE('',(#38759),#330023,.T.); #347225=ADVANCED_FACE('',(#38760),#330024,.T.); #347226=ADVANCED_FACE('',(#38761),#330025,.T.); #347227=ADVANCED_FACE('',(#38762),#330026,.T.); #347228=ADVANCED_FACE('',(#38763),#330027,.T.); #347229=ADVANCED_FACE('',(#38764),#330028,.T.); #347230=ADVANCED_FACE('',(#38765),#330029,.T.); #347231=ADVANCED_FACE('',(#38766),#330030,.T.); #347232=ADVANCED_FACE('',(#38767),#330031,.T.); #347233=ADVANCED_FACE('',(#38768),#330032,.T.); #347234=ADVANCED_FACE('',(#38769),#330033,.T.); #347235=ADVANCED_FACE('',(#38770),#330034,.T.); #347236=ADVANCED_FACE('',(#38771),#20824,.T.); #347237=ADVANCED_FACE('',(#38772),#20825,.T.); #347238=ADVANCED_FACE('',(#38773),#20826,.T.); #347239=ADVANCED_FACE('',(#38774),#20827,.T.); #347240=ADVANCED_FACE('',(#38775),#20828,.T.); #347241=ADVANCED_FACE('',(#38776),#20829,.T.); #347242=ADVANCED_FACE('',(#38777),#20830,.T.); #347243=ADVANCED_FACE('',(#38778),#3398,.T.); #347244=ADVANCED_FACE('',(#38779),#3399,.T.); #347245=ADVANCED_FACE('',(#38780),#20831,.T.); #347246=ADVANCED_FACE('',(#38781),#20832,.F.); #347247=ADVANCED_FACE('',(#38782),#20833,.F.); #347248=ADVANCED_FACE('',(#38783),#20834,.T.); #347249=ADVANCED_FACE('',(#38784),#3400,.T.); #347250=ADVANCED_FACE('',(#38785),#3401,.T.); #347251=ADVANCED_FACE('',(#38786),#20835,.T.); #347252=ADVANCED_FACE('',(#38787),#20836,.F.); #347253=ADVANCED_FACE('',(#38788),#20837,.F.); #347254=ADVANCED_FACE('',(#38789),#20838,.F.); #347255=ADVANCED_FACE('',(#38790),#20839,.F.); #347256=ADVANCED_FACE('',(#38791),#20840,.F.); #347257=ADVANCED_FACE('',(#38792),#20841,.F.); #347258=ADVANCED_FACE('',(#38793),#20842,.F.); #347259=ADVANCED_FACE('',(#38794),#20843,.F.); #347260=ADVANCED_FACE('',(#38795),#20844,.F.); #347261=ADVANCED_FACE('',(#38796),#20845,.F.); #347262=ADVANCED_FACE('',(#38797),#20846,.F.); #347263=ADVANCED_FACE('',(#38798),#20847,.F.); #347264=ADVANCED_FACE('',(#38799),#20848,.F.); #347265=ADVANCED_FACE('',(#38800),#20849,.F.); #347266=ADVANCED_FACE('',(#38801),#20850,.F.); #347267=ADVANCED_FACE('',(#38802),#20851,.F.); #347268=ADVANCED_FACE('',(#38803),#20852,.F.); #347269=ADVANCED_FACE('',(#38804),#3510,.T.); #347270=ADVANCED_FACE('',(#38805),#20853,.T.); #347271=ADVANCED_FACE('',(#38806),#3511,.T.); #347272=ADVANCED_FACE('',(#38807),#3512,.T.); #347273=ADVANCED_FACE('',(#38808),#3513,.T.); #347274=ADVANCED_FACE('',(#38809),#3514,.T.); #347275=ADVANCED_FACE('',(#38810),#3515,.T.); #347276=ADVANCED_FACE('',(#38811),#3516,.T.); #347277=ADVANCED_FACE('',(#38812),#3517,.T.); #347278=ADVANCED_FACE('',(#38813),#20854,.F.); #347279=ADVANCED_FACE('',(#38814),#20855,.F.); #347280=ADVANCED_FACE('',(#38815),#20856,.F.); #347281=ADVANCED_FACE('',(#38816),#20857,.F.); #347282=ADVANCED_FACE('',(#38817),#20858,.F.); #347283=ADVANCED_FACE('',(#38818),#20859,.F.); #347284=ADVANCED_FACE('',(#38819),#20860,.F.); #347285=ADVANCED_FACE('',(#38820),#20861,.F.); #347286=ADVANCED_FACE('',(#38821),#20862,.F.); #347287=ADVANCED_FACE('',(#38822),#20863,.F.); #347288=ADVANCED_FACE('',(#38823),#20864,.F.); #347289=ADVANCED_FACE('',(#38824),#20865,.F.); #347290=ADVANCED_FACE('',(#38825),#20866,.F.); #347291=ADVANCED_FACE('',(#38826),#20867,.F.); #347292=ADVANCED_FACE('',(#38827),#3518,.T.); #347293=ADVANCED_FACE('',(#38828),#3519,.T.); #347294=ADVANCED_FACE('',(#38829),#3520,.T.); #347295=ADVANCED_FACE('',(#38830),#3521,.T.); #347296=ADVANCED_FACE('',(#38831),#3522,.T.); #347297=ADVANCED_FACE('',(#38832),#3523,.T.); #347298=ADVANCED_FACE('',(#38833),#3524,.T.); #347299=ADVANCED_FACE('',(#38834),#20868,.T.); #347300=ADVANCED_FACE('',(#38835),#3525,.T.); #347301=ADVANCED_FACE('',(#38836),#20869,.F.); #347302=ADVANCED_FACE('',(#38837),#20870,.F.); #347303=ADVANCED_FACE('',(#38838),#20871,.F.); #347304=ADVANCED_FACE('',(#38839),#20872,.F.); #347305=ADVANCED_FACE('',(#38840),#20873,.F.); #347306=ADVANCED_FACE('',(#38841),#20874,.F.); #347307=ADVANCED_FACE('',(#38842),#20875,.F.); #347308=ADVANCED_FACE('',(#38843),#20876,.F.); #347309=ADVANCED_FACE('',(#38844),#20877,.F.); #347310=ADVANCED_FACE('',(#38845),#20878,.F.); #347311=ADVANCED_FACE('',(#38846),#20879,.F.); #347312=ADVANCED_FACE('',(#38847),#20880,.F.); #347313=ADVANCED_FACE('',(#38848),#20881,.F.); #347314=ADVANCED_FACE('',(#38849),#20882,.F.); #347315=ADVANCED_FACE('',(#38850),#20883,.F.); #347316=ADVANCED_FACE('',(#38851),#20884,.F.); #347317=ADVANCED_FACE('',(#38852),#330035,.T.); #347318=ADVANCED_FACE('',(#38853),#20885,.T.); #347319=ADVANCED_FACE('',(#38854),#20886,.T.); #347320=ADVANCED_FACE('',(#38855),#330036,.F.); #347321=ADVANCED_FACE('',(#38856),#330037,.T.); #347322=ADVANCED_FACE('',(#38857),#20887,.F.); #347323=ADVANCED_FACE('',(#38858),#20888,.T.); #347324=ADVANCED_FACE('',(#38859),#20889,.F.); #347325=ADVANCED_FACE('',(#38860),#20890,.F.); #347326=ADVANCED_FACE('',(#38861),#20891,.F.); #347327=ADVANCED_FACE('',(#38862),#330038,.T.); #347328=ADVANCED_FACE('',(#38863),#20892,.F.); #347329=ADVANCED_FACE('',(#38864),#330039,.T.); #347330=ADVANCED_FACE('',(#38865),#330040,.T.); #347331=ADVANCED_FACE('',(#38866),#20893,.F.); #347332=ADVANCED_FACE('',(#38867),#20894,.F.); #347333=ADVANCED_FACE('',(#38868),#330041,.T.); #347334=ADVANCED_FACE('',(#38869),#20895,.T.); #347335=ADVANCED_FACE('',(#38870),#20896,.F.); #347336=ADVANCED_FACE('',(#38871),#20897,.F.); #347337=ADVANCED_FACE('',(#38872),#20898,.F.); #347338=ADVANCED_FACE('',(#38873),#330042,.T.); #347339=ADVANCED_FACE('',(#38874),#20899,.F.); #347340=ADVANCED_FACE('',(#38875),#330043,.T.); #347341=ADVANCED_FACE('',(#38876),#330044,.T.); #347342=ADVANCED_FACE('',(#38877),#20900,.F.); #347343=ADVANCED_FACE('',(#38878),#330045,.T.); #347344=ADVANCED_FACE('',(#38879),#20901,.F.); #347345=ADVANCED_FACE('',(#38880),#20902,.T.); #347346=ADVANCED_FACE('',(#38881),#20903,.F.); #347347=ADVANCED_FACE('',(#38882),#20904,.T.); #347348=ADVANCED_FACE('',(#38883),#20905,.F.); #347349=ADVANCED_FACE('',(#38884),#330046,.T.); #347350=ADVANCED_FACE('',(#38885),#330047,.F.); #347351=ADVANCED_FACE('',(#38886),#20906,.F.); #347352=ADVANCED_FACE('',(#38887),#20907,.F.); #347353=ADVANCED_FACE('',(#38888),#20908,.T.); #347354=ADVANCED_FACE('',(#38889),#330048,.T.); #347355=ADVANCED_FACE('',(#38890),#20909,.F.); #347356=ADVANCED_FACE('',(#38891),#20910,.F.); #347357=ADVANCED_FACE('',(#38892),#330049,.T.); #347358=ADVANCED_FACE('',(#38893),#330050,.T.); #347359=ADVANCED_FACE('',(#38894),#20911,.F.); #347360=ADVANCED_FACE('',(#38895),#330051,.T.); #347361=ADVANCED_FACE('',(#38896),#20912,.F.); #347362=ADVANCED_FACE('',(#38897),#20913,.F.); #347363=ADVANCED_FACE('',(#38898),#20914,.T.); #347364=ADVANCED_FACE('',(#38899),#20915,.F.); #347365=ADVANCED_FACE('',(#38900),#20916,.T.); #347366=ADVANCED_FACE('',(#38901),#20917,.T.); #347367=ADVANCED_FACE('',(#38902),#330052,.F.); #347368=ADVANCED_FACE('',(#38903),#330053,.T.); #347369=ADVANCED_FACE('',(#38904),#20918,.F.); #347370=ADVANCED_FACE('',(#38905),#20919,.T.); #347371=ADVANCED_FACE('',(#38906),#20920,.F.); #347372=ADVANCED_FACE('',(#38907),#20921,.F.); #347373=ADVANCED_FACE('',(#38908),#20922,.F.); #347374=ADVANCED_FACE('',(#38909),#330054,.T.); #347375=ADVANCED_FACE('',(#38910),#20923,.F.); #347376=ADVANCED_FACE('',(#38911),#330055,.T.); #347377=ADVANCED_FACE('',(#38912),#330056,.T.); #347378=ADVANCED_FACE('',(#38913),#20924,.F.); #347379=ADVANCED_FACE('',(#38914),#20925,.F.); #347380=ADVANCED_FACE('',(#38915),#330057,.T.); #347381=ADVANCED_FACE('',(#38916),#20926,.T.); #347382=ADVANCED_FACE('',(#38917),#20927,.F.); #347383=ADVANCED_FACE('',(#38918),#20928,.F.); #347384=ADVANCED_FACE('',(#38919),#20929,.F.); #347385=ADVANCED_FACE('',(#38920),#20930,.T.); #347386=ADVANCED_FACE('',(#38921),#20931,.F.); #347387=ADVANCED_FACE('',(#38922),#20932,.F.); #347388=ADVANCED_FACE('',(#38923),#20933,.F.); #347389=ADVANCED_FACE('',(#38924),#330058,.T.); #347390=ADVANCED_FACE('',(#38925),#20934,.F.); #347391=ADVANCED_FACE('',(#38926),#330059,.T.); #347392=ADVANCED_FACE('',(#38927),#330060,.T.); #347393=ADVANCED_FACE('',(#38928),#20935,.F.); #347394=ADVANCED_FACE('',(#38929),#330061,.T.); #347395=ADVANCED_FACE('',(#38930),#20936,.T.); #347396=ADVANCED_FACE('',(#38931),#20937,.T.); #347397=ADVANCED_FACE('',(#38932),#20938,.T.); #347398=ADVANCED_FACE('',(#38933),#20939,.T.); #347399=ADVANCED_FACE('',(#38934,#7917,#7918),#20940,.T.); #347400=ADVANCED_FACE('',(#38935),#3402,.F.); #347401=ADVANCED_FACE('',(#38936),#330062,.T.); #347402=ADVANCED_FACE('',(#38937),#3403,.F.); #347403=ADVANCED_FACE('',(#38938),#330063,.T.); #347404=ADVANCED_FACE('',(#38939),#20941,.T.); #347405=ADVANCED_FACE('',(#38940),#20942,.T.); #347406=ADVANCED_FACE('',(#38941),#20943,.T.); #347407=ADVANCED_FACE('',(#38942),#20944,.T.); #347408=ADVANCED_FACE('',(#38943),#20945,.T.); #347409=ADVANCED_FACE('',(#38944),#20946,.T.); #347410=ADVANCED_FACE('',(#38945),#20947,.F.); #347411=ADVANCED_FACE('',(#38946,#7919),#20948,.T.); #347412=ADVANCED_FACE('',(#38947),#20949,.F.); #347413=ADVANCED_FACE('',(#38948),#20950,.F.); #347414=ADVANCED_FACE('',(#38949),#20951,.T.); #347415=ADVANCED_FACE('',(#38950),#20952,.F.); #347416=ADVANCED_FACE('',(#38951),#20953,.F.); #347417=ADVANCED_FACE('',(#38952),#20954,.F.); #347418=ADVANCED_FACE('',(#38953),#330064,.T.); #347419=ADVANCED_FACE('',(#38954),#20955,.F.); #347420=ADVANCED_FACE('',(#38955),#20956,.T.); #347421=ADVANCED_FACE('',(#38956),#20957,.F.); #347422=ADVANCED_FACE('',(#38957),#20958,.F.); #347423=ADVANCED_FACE('',(#38958),#20959,.F.); #347424=ADVANCED_FACE('',(#38959),#330065,.T.); #347425=ADVANCED_FACE('',(#38960),#20960,.F.); #347426=ADVANCED_FACE('',(#38961),#330066,.T.); #347427=ADVANCED_FACE('',(#38962),#20961,.F.); #347428=ADVANCED_FACE('',(#38963),#20962,.F.); #347429=ADVANCED_FACE('',(#38964),#20963,.F.); #347430=ADVANCED_FACE('',(#38965),#20964,.F.); #347431=ADVANCED_FACE('',(#38966),#330067,.T.); #347432=ADVANCED_FACE('',(#38967,#7920),#20965,.F.); #347433=ADVANCED_FACE('',(#38968),#330068,.T.); #347434=ADVANCED_FACE('',(#38969),#20966,.T.); #347435=ADVANCED_FACE('',(#38970),#20967,.T.); #347436=ADVANCED_FACE('',(#38971),#20968,.F.); #347437=ADVANCED_FACE('',(#38972),#20969,.T.); #347438=ADVANCED_FACE('',(#38973),#20970,.F.); #347439=ADVANCED_FACE('',(#38974),#20971,.T.); #347440=ADVANCED_FACE('',(#38975),#20972,.F.); #347441=ADVANCED_FACE('',(#38976),#20973,.T.); #347442=ADVANCED_FACE('',(#38977),#330069,.T.); #347443=ADVANCED_FACE('',(#38978),#20974,.T.); #347444=ADVANCED_FACE('',(#38979),#330070,.T.); #347445=ADVANCED_FACE('',(#38980),#20975,.T.); #347446=ADVANCED_FACE('',(#38981),#20976,.F.); #347447=ADVANCED_FACE('',(#38982),#20977,.F.); #347448=ADVANCED_FACE('',(#38983),#20978,.F.); #347449=ADVANCED_FACE('',(#38984),#20979,.F.); #347450=ADVANCED_FACE('',(#38985),#20980,.T.); #347451=ADVANCED_FACE('',(#38986),#330071,.T.); #347452=ADVANCED_FACE('',(#38987),#20981,.T.); #347453=ADVANCED_FACE('',(#38988),#330072,.T.); #347454=ADVANCED_FACE('',(#38989),#20982,.T.); #347455=ADVANCED_FACE('',(#38990),#20983,.F.); #347456=ADVANCED_FACE('',(#38991),#20984,.T.); #347457=ADVANCED_FACE('',(#38992),#330073,.T.); #347458=ADVANCED_FACE('',(#38993),#20985,.F.); #347459=ADVANCED_FACE('',(#38994),#20986,.T.); #347460=ADVANCED_FACE('',(#38995),#330074,.T.); #347461=ADVANCED_FACE('',(#38996),#20987,.T.); #347462=ADVANCED_FACE('',(#38997),#330075,.T.); #347463=ADVANCED_FACE('',(#38998),#330076,.T.); #347464=ADVANCED_FACE('',(#38999,#7921,#7922,#7923),#20988,.F.); #347465=ADVANCED_FACE('',(#39000),#330077,.T.); #347466=ADVANCED_FACE('',(#39001),#20989,.F.); #347467=ADVANCED_FACE('',(#39002),#20990,.T.); #347468=ADVANCED_FACE('',(#39003),#330078,.T.); #347469=ADVANCED_FACE('',(#39004),#20991,.F.); #347470=ADVANCED_FACE('',(#39005),#20992,.F.); #347471=ADVANCED_FACE('',(#39006),#330079,.T.); #347472=ADVANCED_FACE('',(#39007),#20993,.T.); #347473=ADVANCED_FACE('',(#39008),#330080,.T.); #347474=ADVANCED_FACE('',(#39009),#20994,.F.); #347475=ADVANCED_FACE('',(#39010),#330081,.T.); #347476=ADVANCED_FACE('',(#39011),#20995,.T.); #347477=ADVANCED_FACE('',(#39012),#330082,.T.); #347478=ADVANCED_FACE('',(#39013),#20996,.F.); #347479=ADVANCED_FACE('',(#39014),#330083,.T.); #347480=ADVANCED_FACE('',(#39015),#20997,.F.); #347481=ADVANCED_FACE('',(#39016),#330084,.T.); #347482=ADVANCED_FACE('',(#39017),#20998,.F.); #347483=ADVANCED_FACE('',(#39018),#20999,.T.); #347484=ADVANCED_FACE('',(#39019),#21000,.F.); #347485=ADVANCED_FACE('',(#39020),#21001,.T.); #347486=ADVANCED_FACE('',(#39021),#21002,.T.); #347487=ADVANCED_FACE('',(#39022),#21003,.T.); #347488=ADVANCED_FACE('',(#39023),#21004,.F.); #347489=ADVANCED_FACE('',(#39024),#21005,.F.); #347490=ADVANCED_FACE('',(#39025),#21006,.F.); #347491=ADVANCED_FACE('',(#39026),#21007,.F.); #347492=ADVANCED_FACE('',(#39027),#330085,.F.); #347493=ADVANCED_FACE('',(#39028),#330086,.T.); #347494=ADVANCED_FACE('',(#39029),#21008,.F.); #347495=ADVANCED_FACE('',(#39030),#21009,.F.); #347496=ADVANCED_FACE('',(#39031),#21010,.F.); #347497=ADVANCED_FACE('',(#39032),#21011,.T.); #347498=ADVANCED_FACE('',(#39033),#330087,.T.); #347499=ADVANCED_FACE('',(#39034),#21012,.F.); #347500=ADVANCED_FACE('',(#39035),#21013,.F.); #347501=ADVANCED_FACE('',(#39036),#330088,.T.); #347502=ADVANCED_FACE('',(#39037),#21014,.F.); #347503=ADVANCED_FACE('',(#39038),#21015,.T.); #347504=ADVANCED_FACE('',(#39039),#21016,.T.); #347505=ADVANCED_FACE('',(#39040),#21017,.T.); #347506=ADVANCED_FACE('',(#39041),#330089,.F.); #347507=ADVANCED_FACE('',(#39042),#330090,.T.); #347508=ADVANCED_FACE('',(#39043),#21018,.T.); #347509=ADVANCED_FACE('',(#39044),#21019,.T.); #347510=ADVANCED_FACE('',(#39045),#21020,.T.); #347511=ADVANCED_FACE('',(#39046),#21021,.T.); #347512=ADVANCED_FACE('',(#39047),#330091,.T.); #347513=ADVANCED_FACE('',(#39048),#21022,.F.); #347514=ADVANCED_FACE('',(#39049),#21023,.F.); #347515=ADVANCED_FACE('',(#39050),#330092,.T.); #347516=ADVANCED_FACE('',(#39051),#21024,.F.); #347517=ADVANCED_FACE('',(#39052),#21025,.F.); #347518=ADVANCED_FACE('',(#39053),#21026,.F.); #347519=ADVANCED_FACE('',(#39054),#21027,.F.); #347520=ADVANCED_FACE('',(#39055),#21028,.F.); #347521=ADVANCED_FACE('',(#39056),#330093,.F.); #347522=ADVANCED_FACE('',(#39057),#21029,.F.); #347523=ADVANCED_FACE('',(#39058),#330094,.T.); #347524=ADVANCED_FACE('',(#39059),#21030,.F.); #347525=ADVANCED_FACE('',(#39060),#21031,.F.); #347526=ADVANCED_FACE('',(#39061),#330095,.F.); #347527=ADVANCED_FACE('',(#39062),#21032,.F.); #347528=ADVANCED_FACE('',(#39063),#330096,.T.); #347529=ADVANCED_FACE('',(#39064),#21033,.T.); #347530=ADVANCED_FACE('',(#39065),#330097,.T.); #347531=ADVANCED_FACE('',(#39066),#21034,.T.); #347532=ADVANCED_FACE('',(#39067),#21035,.F.); #347533=ADVANCED_FACE('',(#39068),#330098,.F.); #347534=ADVANCED_FACE('',(#39069),#330099,.T.); #347535=ADVANCED_FACE('',(#39070),#21036,.F.); #347536=ADVANCED_FACE('',(#39071),#21037,.F.); #347537=ADVANCED_FACE('',(#39072),#330100,.F.); #347538=ADVANCED_FACE('',(#39073),#330101,.F.); #347539=ADVANCED_FACE('',(#39074),#21038,.F.); #347540=ADVANCED_FACE('',(#39075),#330102,.T.); #347541=ADVANCED_FACE('',(#39076),#21039,.F.); #347542=ADVANCED_FACE('',(#39077),#21040,.F.); #347543=ADVANCED_FACE('',(#39078),#21041,.F.); #347544=ADVANCED_FACE('',(#39079),#330103,.T.); #347545=ADVANCED_FACE('',(#39080),#21042,.F.); #347546=ADVANCED_FACE('',(#39081),#330104,.F.); #347547=ADVANCED_FACE('',(#39082),#21043,.T.); #347548=ADVANCED_FACE('',(#39083),#21044,.T.); #347549=ADVANCED_FACE('',(#39084),#21045,.F.); #347550=ADVANCED_FACE('',(#39085),#21046,.T.); #347551=ADVANCED_FACE('',(#39086),#21047,.T.); #347552=ADVANCED_FACE('',(#39087),#3404,.T.); #347553=ADVANCED_FACE('',(#39088),#330105,.F.); #347554=ADVANCED_FACE('',(#39089),#3405,.T.); #347555=ADVANCED_FACE('',(#39090),#330106,.F.); #347556=ADVANCED_FACE('',(#39091),#330107,.F.); #347557=ADVANCED_FACE('',(#39092),#330108,.F.); #347558=ADVANCED_FACE('',(#39093),#330109,.T.); #347559=ADVANCED_FACE('',(#39094),#330110,.T.); #347560=ADVANCED_FACE('',(#39095),#21048,.T.); #347561=ADVANCED_FACE('',(#39096),#21049,.T.); #347562=ADVANCED_FACE('',(#39097),#330111,.T.); #347563=ADVANCED_FACE('',(#39098),#330112,.F.); #347564=ADVANCED_FACE('',(#39099),#21050,.F.); #347565=ADVANCED_FACE('',(#39100),#330113,.T.); #347566=ADVANCED_FACE('',(#39101),#21051,.F.); #347567=ADVANCED_FACE('',(#39102),#330114,.F.); #347568=ADVANCED_FACE('',(#39103),#21052,.T.); #347569=ADVANCED_FACE('',(#39104),#21053,.T.); #347570=ADVANCED_FACE('',(#39105),#21054,.T.); #347571=ADVANCED_FACE('',(#39106),#21055,.T.); #347572=ADVANCED_FACE('',(#39107),#21056,.T.); #347573=ADVANCED_FACE('',(#39108),#330115,.F.); #347574=ADVANCED_FACE('',(#39109),#21057,.T.); #347575=ADVANCED_FACE('',(#39110),#330116,.T.); #347576=ADVANCED_FACE('',(#39111),#21058,.F.); #347577=ADVANCED_FACE('',(#39112),#21059,.T.); #347578=ADVANCED_FACE('',(#39113),#21060,.T.); #347579=ADVANCED_FACE('',(#39114),#21061,.T.); #347580=ADVANCED_FACE('',(#39115),#21062,.T.); #347581=ADVANCED_FACE('',(#39116),#21063,.T.); #347582=ADVANCED_FACE('',(#39117),#21064,.T.); #347583=ADVANCED_FACE('',(#39118),#21065,.T.); #347584=ADVANCED_FACE('',(#39119),#21066,.T.); #347585=ADVANCED_FACE('',(#39120),#330117,.T.); #347586=ADVANCED_FACE('',(#39121),#330118,.T.); #347587=ADVANCED_FACE('',(#39122),#21067,.T.); #347588=ADVANCED_FACE('',(#39123),#21068,.T.); #347589=ADVANCED_FACE('',(#39124),#330119,.T.); #347590=ADVANCED_FACE('',(#39125),#330120,.F.); #347591=ADVANCED_FACE('',(#39126),#21069,.T.); #347592=ADVANCED_FACE('',(#39127),#330121,.T.); #347593=ADVANCED_FACE('',(#39128),#21070,.T.); #347594=ADVANCED_FACE('',(#39129),#21071,.F.); #347595=ADVANCED_FACE('',(#39130),#21072,.T.); #347596=ADVANCED_FACE('',(#39131),#21073,.T.); #347597=ADVANCED_FACE('',(#39132),#21074,.T.); #347598=ADVANCED_FACE('',(#39133),#21075,.F.); #347599=ADVANCED_FACE('',(#39134),#330122,.F.); #347600=ADVANCED_FACE('',(#39135),#21076,.F.); #347601=ADVANCED_FACE('',(#39136),#21077,.T.); #347602=ADVANCED_FACE('',(#39137),#21078,.T.); #347603=ADVANCED_FACE('',(#39138),#21079,.T.); #347604=ADVANCED_FACE('',(#39139),#21080,.T.); #347605=ADVANCED_FACE('',(#39140),#21081,.T.); #347606=ADVANCED_FACE('',(#39141),#21082,.T.); #347607=ADVANCED_FACE('',(#39142),#21083,.T.); #347608=ADVANCED_FACE('',(#39143),#21084,.T.); #347609=ADVANCED_FACE('',(#39144),#330123,.T.); #347610=ADVANCED_FACE('',(#39145),#21085,.T.); #347611=ADVANCED_FACE('',(#39146),#330124,.F.); #347612=ADVANCED_FACE('',(#39147),#330125,.F.); #347613=ADVANCED_FACE('',(#39148),#21086,.T.); #347614=ADVANCED_FACE('',(#39149),#21087,.T.); #347615=ADVANCED_FACE('',(#39150),#21088,.T.); #347616=ADVANCED_FACE('',(#39151),#21089,.T.); #347617=ADVANCED_FACE('',(#39152),#21090,.T.); #347618=ADVANCED_FACE('',(#39153),#21091,.T.); #347619=ADVANCED_FACE('',(#39154),#21092,.T.); #347620=ADVANCED_FACE('',(#39155,#7924),#21093,.T.); #347621=ADVANCED_FACE('',(#39156),#21094,.T.); #347622=ADVANCED_FACE('',(#39157),#21095,.T.); #347623=ADVANCED_FACE('',(#39158),#21096,.T.); #347624=ADVANCED_FACE('',(#39159),#21097,.T.); #347625=ADVANCED_FACE('',(#39160),#330126,.T.); #347626=ADVANCED_FACE('',(#39161),#21098,.T.); #347627=ADVANCED_FACE('',(#39162),#21099,.T.); #347628=ADVANCED_FACE('',(#39163),#21100,.T.); #347629=ADVANCED_FACE('',(#39164),#21101,.T.); #347630=ADVANCED_FACE('',(#39165),#21102,.T.); #347631=ADVANCED_FACE('',(#39166),#21103,.F.); #347632=ADVANCED_FACE('',(#39167),#21104,.F.); #347633=ADVANCED_FACE('',(#39168),#330127,.T.); #347634=ADVANCED_FACE('',(#39169),#21105,.F.); #347635=ADVANCED_FACE('',(#39170),#21106,.F.); #347636=ADVANCED_FACE('',(#39171),#21107,.F.); #347637=ADVANCED_FACE('',(#39172),#21108,.F.); #347638=ADVANCED_FACE('',(#39173),#21109,.F.); #347639=ADVANCED_FACE('',(#39174),#21110,.T.); #347640=ADVANCED_FACE('',(#39175),#21111,.T.); #347641=ADVANCED_FACE('',(#39176),#330128,.T.); #347642=ADVANCED_FACE('',(#39177),#21112,.T.); #347643=ADVANCED_FACE('',(#39178),#21113,.T.); #347644=ADVANCED_FACE('',(#39179),#21114,.T.); #347645=ADVANCED_FACE('',(#39180),#21115,.T.); #347646=ADVANCED_FACE('',(#39181),#21116,.T.); #347647=ADVANCED_FACE('',(#39182),#21117,.F.); #347648=ADVANCED_FACE('',(#39183),#21118,.T.); #347649=ADVANCED_FACE('',(#39184),#330129,.T.); #347650=ADVANCED_FACE('',(#39185),#21119,.T.); #347651=ADVANCED_FACE('',(#39186),#21120,.T.); #347652=ADVANCED_FACE('',(#39187),#21121,.T.); #347653=ADVANCED_FACE('',(#39188),#21122,.T.); #347654=ADVANCED_FACE('',(#39189),#21123,.T.); #347655=ADVANCED_FACE('',(#39190),#21124,.F.); #347656=ADVANCED_FACE('',(#39191),#21125,.T.); #347657=ADVANCED_FACE('',(#39192),#330130,.T.); #347658=ADVANCED_FACE('',(#39193),#21126,.T.); #347659=ADVANCED_FACE('',(#39194),#21127,.T.); #347660=ADVANCED_FACE('',(#39195),#21128,.T.); #347661=ADVANCED_FACE('',(#39196),#21129,.T.); #347662=ADVANCED_FACE('',(#39197),#21130,.T.); #347663=ADVANCED_FACE('',(#39198),#21131,.F.); #347664=ADVANCED_FACE('',(#39199),#21132,.T.); #347665=ADVANCED_FACE('',(#39200),#330131,.T.); #347666=ADVANCED_FACE('',(#39201),#21133,.T.); #347667=ADVANCED_FACE('',(#39202),#21134,.T.); #347668=ADVANCED_FACE('',(#39203),#21135,.T.); #347669=ADVANCED_FACE('',(#39204),#21136,.T.); #347670=ADVANCED_FACE('',(#39205),#21137,.T.); #347671=ADVANCED_FACE('',(#39206),#21138,.F.); #347672=ADVANCED_FACE('',(#39207),#21139,.T.); #347673=ADVANCED_FACE('',(#39208),#330132,.T.); #347674=ADVANCED_FACE('',(#39209),#21140,.T.); #347675=ADVANCED_FACE('',(#39210),#21141,.T.); #347676=ADVANCED_FACE('',(#39211),#21142,.T.); #347677=ADVANCED_FACE('',(#39212),#21143,.T.); #347678=ADVANCED_FACE('',(#39213),#21144,.T.); #347679=ADVANCED_FACE('',(#39214),#21145,.F.); #347680=ADVANCED_FACE('',(#39215),#21146,.T.); #347681=ADVANCED_FACE('',(#39216),#330133,.T.); #347682=ADVANCED_FACE('',(#39217),#21147,.T.); #347683=ADVANCED_FACE('',(#39218),#21148,.T.); #347684=ADVANCED_FACE('',(#39219),#21149,.T.); #347685=ADVANCED_FACE('',(#39220),#21150,.T.); #347686=ADVANCED_FACE('',(#39221),#21151,.T.); #347687=ADVANCED_FACE('',(#39222),#21152,.F.); #347688=ADVANCED_FACE('',(#39223),#21153,.T.); #347689=ADVANCED_FACE('',(#39224),#330134,.T.); #347690=ADVANCED_FACE('',(#39225),#21154,.T.); #347691=ADVANCED_FACE('',(#39226),#21155,.T.); #347692=ADVANCED_FACE('',(#39227),#21156,.T.); #347693=ADVANCED_FACE('',(#39228),#21157,.T.); #347694=ADVANCED_FACE('',(#39229),#21158,.T.); #347695=ADVANCED_FACE('',(#39230),#21159,.F.); #347696=ADVANCED_FACE('',(#39231),#21160,.T.); #347697=ADVANCED_FACE('',(#39232),#330135,.T.); #347698=ADVANCED_FACE('',(#39233),#21161,.T.); #347699=ADVANCED_FACE('',(#39234),#21162,.T.); #347700=ADVANCED_FACE('',(#39235),#21163,.T.); #347701=ADVANCED_FACE('',(#39236),#21164,.T.); #347702=ADVANCED_FACE('',(#39237),#21165,.T.); #347703=ADVANCED_FACE('',(#39238),#21166,.F.); #347704=ADVANCED_FACE('',(#39239),#21167,.T.); #347705=ADVANCED_FACE('',(#39240),#330136,.T.); #347706=ADVANCED_FACE('',(#39241),#21168,.T.); #347707=ADVANCED_FACE('',(#39242),#21169,.T.); #347708=ADVANCED_FACE('',(#39243),#21170,.T.); #347709=ADVANCED_FACE('',(#39244),#21171,.T.); #347710=ADVANCED_FACE('',(#39245),#21172,.T.); #347711=ADVANCED_FACE('',(#39246),#21173,.F.); #347712=ADVANCED_FACE('',(#39247),#21174,.T.); #347713=ADVANCED_FACE('',(#39248),#330137,.T.); #347714=ADVANCED_FACE('',(#39249),#21175,.T.); #347715=ADVANCED_FACE('',(#39250),#21176,.T.); #347716=ADVANCED_FACE('',(#39251),#21177,.T.); #347717=ADVANCED_FACE('',(#39252),#21178,.T.); #347718=ADVANCED_FACE('',(#39253),#21179,.T.); #347719=ADVANCED_FACE('',(#39254),#21180,.F.); #347720=ADVANCED_FACE('',(#39255),#21181,.T.); #347721=ADVANCED_FACE('',(#39256),#330138,.T.); #347722=ADVANCED_FACE('',(#39257),#21182,.T.); #347723=ADVANCED_FACE('',(#39258),#21183,.T.); #347724=ADVANCED_FACE('',(#39259),#21184,.T.); #347725=ADVANCED_FACE('',(#39260),#21185,.T.); #347726=ADVANCED_FACE('',(#39261),#21186,.T.); #347727=ADVANCED_FACE('',(#39262),#21187,.F.); #347728=ADVANCED_FACE('',(#39263),#21188,.F.); #347729=ADVANCED_FACE('',(#39264),#330139,.T.); #347730=ADVANCED_FACE('',(#39265),#21189,.F.); #347731=ADVANCED_FACE('',(#39266),#21190,.F.); #347732=ADVANCED_FACE('',(#39267),#21191,.F.); #347733=ADVANCED_FACE('',(#39268),#21192,.F.); #347734=ADVANCED_FACE('',(#39269),#21193,.F.); #347735=ADVANCED_FACE('',(#39270),#21194,.T.); #347736=ADVANCED_FACE('',(#39271),#21195,.F.); #347737=ADVANCED_FACE('',(#39272),#330140,.T.); #347738=ADVANCED_FACE('',(#39273),#21196,.F.); #347739=ADVANCED_FACE('',(#39274),#21197,.F.); #347740=ADVANCED_FACE('',(#39275),#21198,.F.); #347741=ADVANCED_FACE('',(#39276),#21199,.F.); #347742=ADVANCED_FACE('',(#39277),#21200,.F.); #347743=ADVANCED_FACE('',(#39278),#21201,.T.); #347744=ADVANCED_FACE('',(#39279),#21202,.F.); #347745=ADVANCED_FACE('',(#39280),#330141,.T.); #347746=ADVANCED_FACE('',(#39281),#21203,.F.); #347747=ADVANCED_FACE('',(#39282),#21204,.F.); #347748=ADVANCED_FACE('',(#39283),#21205,.F.); #347749=ADVANCED_FACE('',(#39284),#21206,.F.); #347750=ADVANCED_FACE('',(#39285),#21207,.F.); #347751=ADVANCED_FACE('',(#39286),#21208,.T.); #347752=ADVANCED_FACE('',(#39287),#21209,.F.); #347753=ADVANCED_FACE('',(#39288),#330142,.T.); #347754=ADVANCED_FACE('',(#39289),#21210,.F.); #347755=ADVANCED_FACE('',(#39290),#21211,.F.); #347756=ADVANCED_FACE('',(#39291),#21212,.F.); #347757=ADVANCED_FACE('',(#39292),#21213,.F.); #347758=ADVANCED_FACE('',(#39293),#21214,.F.); #347759=ADVANCED_FACE('',(#39294),#21215,.T.); #347760=ADVANCED_FACE('',(#39295),#21216,.F.); #347761=ADVANCED_FACE('',(#39296),#330143,.T.); #347762=ADVANCED_FACE('',(#39297),#21217,.F.); #347763=ADVANCED_FACE('',(#39298),#21218,.F.); #347764=ADVANCED_FACE('',(#39299),#21219,.F.); #347765=ADVANCED_FACE('',(#39300),#21220,.F.); #347766=ADVANCED_FACE('',(#39301),#21221,.F.); #347767=ADVANCED_FACE('',(#39302),#21222,.T.); #347768=ADVANCED_FACE('',(#39303),#21223,.F.); #347769=ADVANCED_FACE('',(#39304),#330144,.T.); #347770=ADVANCED_FACE('',(#39305),#21224,.F.); #347771=ADVANCED_FACE('',(#39306),#21225,.F.); #347772=ADVANCED_FACE('',(#39307),#21226,.F.); #347773=ADVANCED_FACE('',(#39308),#21227,.F.); #347774=ADVANCED_FACE('',(#39309),#21228,.F.); #347775=ADVANCED_FACE('',(#39310),#21229,.T.); #347776=ADVANCED_FACE('',(#39311),#21230,.F.); #347777=ADVANCED_FACE('',(#39312),#330145,.T.); #347778=ADVANCED_FACE('',(#39313),#21231,.F.); #347779=ADVANCED_FACE('',(#39314),#21232,.F.); #347780=ADVANCED_FACE('',(#39315),#21233,.F.); #347781=ADVANCED_FACE('',(#39316),#21234,.F.); #347782=ADVANCED_FACE('',(#39317),#21235,.F.); #347783=ADVANCED_FACE('',(#39318),#21236,.T.); #347784=ADVANCED_FACE('',(#39319),#21237,.F.); #347785=ADVANCED_FACE('',(#39320),#330146,.T.); #347786=ADVANCED_FACE('',(#39321),#21238,.F.); #347787=ADVANCED_FACE('',(#39322),#21239,.F.); #347788=ADVANCED_FACE('',(#39323),#21240,.F.); #347789=ADVANCED_FACE('',(#39324),#21241,.F.); #347790=ADVANCED_FACE('',(#39325),#21242,.F.); #347791=ADVANCED_FACE('',(#39326),#21243,.T.); #347792=ADVANCED_FACE('',(#39327),#21244,.F.); #347793=ADVANCED_FACE('',(#39328),#330147,.T.); #347794=ADVANCED_FACE('',(#39329),#21245,.F.); #347795=ADVANCED_FACE('',(#39330),#21246,.F.); #347796=ADVANCED_FACE('',(#39331),#21247,.F.); #347797=ADVANCED_FACE('',(#39332),#21248,.F.); #347798=ADVANCED_FACE('',(#39333),#21249,.F.); #347799=ADVANCED_FACE('',(#39334),#21250,.T.); #347800=ADVANCED_FACE('',(#39335),#21251,.F.); #347801=ADVANCED_FACE('',(#39336),#330148,.T.); #347802=ADVANCED_FACE('',(#39337),#21252,.F.); #347803=ADVANCED_FACE('',(#39338),#21253,.F.); #347804=ADVANCED_FACE('',(#39339),#21254,.F.); #347805=ADVANCED_FACE('',(#39340),#21255,.F.); #347806=ADVANCED_FACE('',(#39341),#21256,.F.); #347807=ADVANCED_FACE('',(#39342),#21257,.T.); #347808=ADVANCED_FACE('',(#39343),#21258,.F.); #347809=ADVANCED_FACE('',(#39344),#330149,.T.); #347810=ADVANCED_FACE('',(#39345),#21259,.F.); #347811=ADVANCED_FACE('',(#39346),#21260,.F.); #347812=ADVANCED_FACE('',(#39347),#21261,.F.); #347813=ADVANCED_FACE('',(#39348),#21262,.F.); #347814=ADVANCED_FACE('',(#39349),#21263,.F.); #347815=ADVANCED_FACE('',(#39350),#21264,.T.); #347816=ADVANCED_FACE('',(#39351),#21265,.T.); #347817=ADVANCED_FACE('',(#39352),#330150,.T.); #347818=ADVANCED_FACE('',(#39353),#21266,.T.); #347819=ADVANCED_FACE('',(#39354),#21267,.T.); #347820=ADVANCED_FACE('',(#39355),#21268,.T.); #347821=ADVANCED_FACE('',(#39356),#21269,.T.); #347822=ADVANCED_FACE('',(#39357),#21270,.T.); #347823=ADVANCED_FACE('',(#39358),#21271,.F.); #347824=ADVANCED_FACE('',(#39359),#21272,.F.); #347825=ADVANCED_FACE('',(#39360),#330151,.T.); #347826=ADVANCED_FACE('',(#39361),#21273,.F.); #347827=ADVANCED_FACE('',(#39362),#21274,.F.); #347828=ADVANCED_FACE('',(#39363),#21275,.F.); #347829=ADVANCED_FACE('',(#39364),#21276,.F.); #347830=ADVANCED_FACE('',(#39365),#21277,.F.); #347831=ADVANCED_FACE('',(#39366),#21278,.T.); #347832=ADVANCED_FACE('',(#39367),#21279,.T.); #347833=ADVANCED_FACE('',(#39368),#330152,.T.); #347834=ADVANCED_FACE('',(#39369),#21280,.T.); #347835=ADVANCED_FACE('',(#39370),#21281,.T.); #347836=ADVANCED_FACE('',(#39371),#21282,.T.); #347837=ADVANCED_FACE('',(#39372),#21283,.T.); #347838=ADVANCED_FACE('',(#39373),#21284,.T.); #347839=ADVANCED_FACE('',(#39374),#21285,.F.); #347840=ADVANCED_FACE('',(#39375),#21286,.T.); #347841=ADVANCED_FACE('',(#39376),#330153,.T.); #347842=ADVANCED_FACE('',(#39377),#21287,.T.); #347843=ADVANCED_FACE('',(#39378),#21288,.T.); #347844=ADVANCED_FACE('',(#39379),#21289,.T.); #347845=ADVANCED_FACE('',(#39380),#21290,.T.); #347846=ADVANCED_FACE('',(#39381),#21291,.T.); #347847=ADVANCED_FACE('',(#39382),#21292,.F.); #347848=ADVANCED_FACE('',(#39383),#21293,.T.); #347849=ADVANCED_FACE('',(#39384),#330154,.T.); #347850=ADVANCED_FACE('',(#39385),#21294,.T.); #347851=ADVANCED_FACE('',(#39386),#21295,.T.); #347852=ADVANCED_FACE('',(#39387),#21296,.T.); #347853=ADVANCED_FACE('',(#39388),#21297,.T.); #347854=ADVANCED_FACE('',(#39389),#21298,.T.); #347855=ADVANCED_FACE('',(#39390),#21299,.F.); #347856=ADVANCED_FACE('',(#39391),#21300,.T.); #347857=ADVANCED_FACE('',(#39392),#330155,.T.); #347858=ADVANCED_FACE('',(#39393),#21301,.T.); #347859=ADVANCED_FACE('',(#39394),#21302,.T.); #347860=ADVANCED_FACE('',(#39395),#21303,.T.); #347861=ADVANCED_FACE('',(#39396),#21304,.T.); #347862=ADVANCED_FACE('',(#39397),#21305,.T.); #347863=ADVANCED_FACE('',(#39398),#21306,.F.); #347864=ADVANCED_FACE('',(#39399),#21307,.T.); #347865=ADVANCED_FACE('',(#39400),#330156,.T.); #347866=ADVANCED_FACE('',(#39401),#21308,.T.); #347867=ADVANCED_FACE('',(#39402),#21309,.T.); #347868=ADVANCED_FACE('',(#39403),#21310,.T.); #347869=ADVANCED_FACE('',(#39404),#21311,.T.); #347870=ADVANCED_FACE('',(#39405),#21312,.T.); #347871=ADVANCED_FACE('',(#39406),#21313,.F.); #347872=ADVANCED_FACE('',(#39407),#21314,.T.); #347873=ADVANCED_FACE('',(#39408),#330157,.T.); #347874=ADVANCED_FACE('',(#39409),#21315,.T.); #347875=ADVANCED_FACE('',(#39410),#21316,.T.); #347876=ADVANCED_FACE('',(#39411),#21317,.T.); #347877=ADVANCED_FACE('',(#39412),#21318,.T.); #347878=ADVANCED_FACE('',(#39413),#21319,.T.); #347879=ADVANCED_FACE('',(#39414),#21320,.F.); #347880=ADVANCED_FACE('',(#39415),#21321,.T.); #347881=ADVANCED_FACE('',(#39416),#330158,.T.); #347882=ADVANCED_FACE('',(#39417),#21322,.T.); #347883=ADVANCED_FACE('',(#39418),#21323,.T.); #347884=ADVANCED_FACE('',(#39419),#21324,.T.); #347885=ADVANCED_FACE('',(#39420),#21325,.T.); #347886=ADVANCED_FACE('',(#39421),#21326,.T.); #347887=ADVANCED_FACE('',(#39422),#21327,.F.); #347888=ADVANCED_FACE('',(#39423),#21328,.T.); #347889=ADVANCED_FACE('',(#39424),#330159,.T.); #347890=ADVANCED_FACE('',(#39425),#21329,.T.); #347891=ADVANCED_FACE('',(#39426),#21330,.T.); #347892=ADVANCED_FACE('',(#39427),#21331,.T.); #347893=ADVANCED_FACE('',(#39428),#21332,.T.); #347894=ADVANCED_FACE('',(#39429),#21333,.T.); #347895=ADVANCED_FACE('',(#39430),#21334,.F.); #347896=ADVANCED_FACE('',(#39431),#21335,.T.); #347897=ADVANCED_FACE('',(#39432),#330160,.T.); #347898=ADVANCED_FACE('',(#39433),#21336,.T.); #347899=ADVANCED_FACE('',(#39434),#21337,.T.); #347900=ADVANCED_FACE('',(#39435),#21338,.T.); #347901=ADVANCED_FACE('',(#39436),#21339,.T.); #347902=ADVANCED_FACE('',(#39437),#21340,.T.); #347903=ADVANCED_FACE('',(#39438),#21341,.F.); #347904=ADVANCED_FACE('',(#39439),#21342,.T.); #347905=ADVANCED_FACE('',(#39440),#330161,.T.); #347906=ADVANCED_FACE('',(#39441),#21343,.T.); #347907=ADVANCED_FACE('',(#39442),#21344,.T.); #347908=ADVANCED_FACE('',(#39443),#21345,.T.); #347909=ADVANCED_FACE('',(#39444),#21346,.T.); #347910=ADVANCED_FACE('',(#39445),#21347,.T.); #347911=ADVANCED_FACE('',(#39446),#21348,.F.); #347912=ADVANCED_FACE('',(#39447),#21349,.T.); #347913=ADVANCED_FACE('',(#39448),#330162,.T.); #347914=ADVANCED_FACE('',(#39449),#21350,.T.); #347915=ADVANCED_FACE('',(#39450),#21351,.T.); #347916=ADVANCED_FACE('',(#39451),#21352,.T.); #347917=ADVANCED_FACE('',(#39452),#21353,.T.); #347918=ADVANCED_FACE('',(#39453),#21354,.T.); #347919=ADVANCED_FACE('',(#39454),#21355,.F.); #347920=ADVANCED_FACE('',(#39455),#21356,.F.); #347921=ADVANCED_FACE('',(#39456),#330163,.T.); #347922=ADVANCED_FACE('',(#39457),#21357,.F.); #347923=ADVANCED_FACE('',(#39458),#21358,.F.); #347924=ADVANCED_FACE('',(#39459),#21359,.F.); #347925=ADVANCED_FACE('',(#39460),#21360,.F.); #347926=ADVANCED_FACE('',(#39461),#21361,.F.); #347927=ADVANCED_FACE('',(#39462),#21362,.T.); #347928=ADVANCED_FACE('',(#39463),#21363,.F.); #347929=ADVANCED_FACE('',(#39464),#330164,.T.); #347930=ADVANCED_FACE('',(#39465),#21364,.F.); #347931=ADVANCED_FACE('',(#39466),#21365,.F.); #347932=ADVANCED_FACE('',(#39467),#21366,.F.); #347933=ADVANCED_FACE('',(#39468),#21367,.F.); #347934=ADVANCED_FACE('',(#39469),#21368,.F.); #347935=ADVANCED_FACE('',(#39470),#21369,.T.); #347936=ADVANCED_FACE('',(#39471),#21370,.F.); #347937=ADVANCED_FACE('',(#39472),#330165,.T.); #347938=ADVANCED_FACE('',(#39473),#21371,.F.); #347939=ADVANCED_FACE('',(#39474),#21372,.F.); #347940=ADVANCED_FACE('',(#39475),#21373,.F.); #347941=ADVANCED_FACE('',(#39476),#21374,.F.); #347942=ADVANCED_FACE('',(#39477),#21375,.F.); #347943=ADVANCED_FACE('',(#39478),#21376,.T.); #347944=ADVANCED_FACE('',(#39479),#21377,.F.); #347945=ADVANCED_FACE('',(#39480),#330166,.T.); #347946=ADVANCED_FACE('',(#39481),#21378,.F.); #347947=ADVANCED_FACE('',(#39482),#21379,.F.); #347948=ADVANCED_FACE('',(#39483),#21380,.F.); #347949=ADVANCED_FACE('',(#39484),#21381,.F.); #347950=ADVANCED_FACE('',(#39485),#21382,.F.); #347951=ADVANCED_FACE('',(#39486),#21383,.T.); #347952=ADVANCED_FACE('',(#39487),#21384,.F.); #347953=ADVANCED_FACE('',(#39488),#330167,.T.); #347954=ADVANCED_FACE('',(#39489),#21385,.F.); #347955=ADVANCED_FACE('',(#39490),#21386,.F.); #347956=ADVANCED_FACE('',(#39491),#21387,.F.); #347957=ADVANCED_FACE('',(#39492),#21388,.F.); #347958=ADVANCED_FACE('',(#39493),#21389,.F.); #347959=ADVANCED_FACE('',(#39494),#21390,.T.); #347960=ADVANCED_FACE('',(#39495),#21391,.F.); #347961=ADVANCED_FACE('',(#39496),#330168,.T.); #347962=ADVANCED_FACE('',(#39497),#21392,.F.); #347963=ADVANCED_FACE('',(#39498),#21393,.F.); #347964=ADVANCED_FACE('',(#39499),#21394,.F.); #347965=ADVANCED_FACE('',(#39500),#21395,.F.); #347966=ADVANCED_FACE('',(#39501),#21396,.F.); #347967=ADVANCED_FACE('',(#39502),#21397,.T.); #347968=ADVANCED_FACE('',(#39503),#21398,.F.); #347969=ADVANCED_FACE('',(#39504),#330169,.T.); #347970=ADVANCED_FACE('',(#39505),#21399,.F.); #347971=ADVANCED_FACE('',(#39506),#21400,.F.); #347972=ADVANCED_FACE('',(#39507),#21401,.F.); #347973=ADVANCED_FACE('',(#39508),#21402,.F.); #347974=ADVANCED_FACE('',(#39509),#21403,.F.); #347975=ADVANCED_FACE('',(#39510),#21404,.T.); #347976=ADVANCED_FACE('',(#39511),#21405,.F.); #347977=ADVANCED_FACE('',(#39512),#330170,.T.); #347978=ADVANCED_FACE('',(#39513),#21406,.F.); #347979=ADVANCED_FACE('',(#39514),#21407,.F.); #347980=ADVANCED_FACE('',(#39515),#21408,.F.); #347981=ADVANCED_FACE('',(#39516),#21409,.F.); #347982=ADVANCED_FACE('',(#39517),#21410,.F.); #347983=ADVANCED_FACE('',(#39518),#21411,.T.); #347984=ADVANCED_FACE('',(#39519),#21412,.F.); #347985=ADVANCED_FACE('',(#39520),#330171,.T.); #347986=ADVANCED_FACE('',(#39521),#21413,.F.); #347987=ADVANCED_FACE('',(#39522),#21414,.F.); #347988=ADVANCED_FACE('',(#39523),#21415,.F.); #347989=ADVANCED_FACE('',(#39524),#21416,.F.); #347990=ADVANCED_FACE('',(#39525),#21417,.F.); #347991=ADVANCED_FACE('',(#39526),#21418,.T.); #347992=ADVANCED_FACE('',(#39527),#21419,.F.); #347993=ADVANCED_FACE('',(#39528),#330172,.T.); #347994=ADVANCED_FACE('',(#39529),#21420,.F.); #347995=ADVANCED_FACE('',(#39530),#21421,.F.); #347996=ADVANCED_FACE('',(#39531),#21422,.F.); #347997=ADVANCED_FACE('',(#39532),#21423,.F.); #347998=ADVANCED_FACE('',(#39533),#21424,.F.); #347999=ADVANCED_FACE('',(#39534),#21425,.T.); #348000=ADVANCED_FACE('',(#39535),#21426,.F.); #348001=ADVANCED_FACE('',(#39536),#330173,.T.); #348002=ADVANCED_FACE('',(#39537),#21427,.F.); #348003=ADVANCED_FACE('',(#39538),#21428,.F.); #348004=ADVANCED_FACE('',(#39539),#21429,.F.); #348005=ADVANCED_FACE('',(#39540),#21430,.F.); #348006=ADVANCED_FACE('',(#39541),#21431,.F.); #348007=ADVANCED_FACE('',(#39542),#21432,.T.); #348008=ADVANCED_FACE('',(#39543),#21433,.T.); #348009=ADVANCED_FACE('',(#39544),#21434,.T.); #348010=ADVANCED_FACE('',(#39545),#21435,.T.); #348011=ADVANCED_FACE('',(#39546),#21436,.T.); #348012=ADVANCED_FACE('',(#39547),#21437,.T.); #348013=ADVANCED_FACE('',(#39548),#21438,.F.); #348014=ADVANCED_FACE('',(#39549),#91,.T.); #348015=ADVANCED_FACE('',(#39550),#92,.T.); #348016=ADVANCED_FACE('',(#39551),#93,.T.); #348017=ADVANCED_FACE('',(#39552),#94,.T.); #348018=ADVANCED_FACE('',(#39553),#95,.T.); #348019=ADVANCED_FACE('',(#39554),#330174,.T.); #348020=ADVANCED_FACE('',(#39555),#96,.T.); #348021=ADVANCED_FACE('',(#39556),#97,.T.); #348022=ADVANCED_FACE('',(#39557),#330175,.T.); #348023=ADVANCED_FACE('',(#39558),#330176,.T.); #348024=ADVANCED_FACE('',(#39559),#98,.T.); #348025=ADVANCED_FACE('',(#39560),#330177,.T.); #348026=ADVANCED_FACE('',(#39561),#330178,.T.); #348027=ADVANCED_FACE('',(#39562),#330179,.T.); #348028=ADVANCED_FACE('',(#39563),#330180,.T.); #348029=ADVANCED_FACE('',(#39564),#330181,.T.); #348030=ADVANCED_FACE('',(#39565),#330182,.T.); #348031=ADVANCED_FACE('',(#39566),#330183,.T.); #348032=ADVANCED_FACE('',(#39567),#330184,.T.); #348033=ADVANCED_FACE('',(#39568),#330185,.T.); #348034=ADVANCED_FACE('',(#39569),#21439,.T.); #348035=ADVANCED_FACE('',(#39570),#21440,.F.); #348036=ADVANCED_FACE('',(#39571),#21441,.T.); #348037=ADVANCED_FACE('',(#39572),#21442,.T.); #348038=ADVANCED_FACE('',(#39573),#21443,.T.); #348039=ADVANCED_FACE('',(#39574),#21444,.T.); #348040=ADVANCED_FACE('',(#39575),#99,.T.); #348041=ADVANCED_FACE('',(#39576),#100,.T.); #348042=ADVANCED_FACE('',(#39577),#101,.T.); #348043=ADVANCED_FACE('',(#39578),#102,.T.); #348044=ADVANCED_FACE('',(#39579),#103,.T.); #348045=ADVANCED_FACE('',(#39580),#330186,.T.); #348046=ADVANCED_FACE('',(#39581),#104,.T.); #348047=ADVANCED_FACE('',(#39582),#105,.T.); #348048=ADVANCED_FACE('',(#39583),#330187,.T.); #348049=ADVANCED_FACE('',(#39584),#330188,.T.); #348050=ADVANCED_FACE('',(#39585),#106,.T.); #348051=ADVANCED_FACE('',(#39586),#330189,.T.); #348052=ADVANCED_FACE('',(#39587),#330190,.T.); #348053=ADVANCED_FACE('',(#39588),#330191,.T.); #348054=ADVANCED_FACE('',(#39589),#330192,.T.); #348055=ADVANCED_FACE('',(#39590),#330193,.T.); #348056=ADVANCED_FACE('',(#39591),#330194,.T.); #348057=ADVANCED_FACE('',(#39592),#330195,.T.); #348058=ADVANCED_FACE('',(#39593),#330196,.T.); #348059=ADVANCED_FACE('',(#39594),#330197,.T.); #348060=ADVANCED_FACE('',(#39595),#21445,.T.); #348061=ADVANCED_FACE('',(#39596),#21446,.F.); #348062=ADVANCED_FACE('',(#39597),#21447,.T.); #348063=ADVANCED_FACE('',(#39598),#21448,.T.); #348064=ADVANCED_FACE('',(#39599),#21449,.T.); #348065=ADVANCED_FACE('',(#39600),#21450,.T.); #348066=ADVANCED_FACE('',(#39601),#21451,.T.); #348067=ADVANCED_FACE('',(#39602),#21452,.T.); #348068=ADVANCED_FACE('',(#39603),#21453,.T.); #348069=ADVANCED_FACE('',(#39604),#21454,.T.); #348070=ADVANCED_FACE('',(#39605),#21455,.T.); #348071=ADVANCED_FACE('',(#39606),#21456,.F.); #348072=ADVANCED_FACE('',(#39607),#21457,.T.); #348073=ADVANCED_FACE('',(#39608),#21458,.T.); #348074=ADVANCED_FACE('',(#39609),#21459,.T.); #348075=ADVANCED_FACE('',(#39610),#21460,.T.); #348076=ADVANCED_FACE('',(#39611),#21461,.T.); #348077=ADVANCED_FACE('',(#39612),#21462,.T.); #348078=ADVANCED_FACE('',(#39613),#21463,.T.); #348079=ADVANCED_FACE('',(#39614),#21464,.T.); #348080=ADVANCED_FACE('',(#39615),#21465,.T.); #348081=ADVANCED_FACE('',(#39616),#21466,.F.); #348082=ADVANCED_FACE('',(#39617),#21467,.T.); #348083=ADVANCED_FACE('',(#39618),#21468,.T.); #348084=ADVANCED_FACE('',(#39619),#21469,.T.); #348085=ADVANCED_FACE('',(#39620),#21470,.T.); #348086=ADVANCED_FACE('',(#39621),#21471,.T.); #348087=ADVANCED_FACE('',(#39622),#21472,.F.); #348088=ADVANCED_FACE('',(#39623),#21473,.T.); #348089=ADVANCED_FACE('',(#39624),#21474,.T.); #348090=ADVANCED_FACE('',(#39625),#21475,.T.); #348091=ADVANCED_FACE('',(#39626),#21476,.T.); #348092=ADVANCED_FACE('',(#39627),#21477,.T.); #348093=ADVANCED_FACE('',(#39628),#21478,.F.); #348094=ADVANCED_FACE('',(#39629),#21479,.T.); #348095=ADVANCED_FACE('',(#39630),#21480,.T.); #348096=ADVANCED_FACE('',(#39631),#21481,.T.); #348097=ADVANCED_FACE('',(#39632),#21482,.T.); #348098=ADVANCED_FACE('',(#39633),#21483,.T.); #348099=ADVANCED_FACE('',(#39634),#21484,.F.); #348100=ADVANCED_FACE('',(#39635),#21485,.T.); #348101=ADVANCED_FACE('',(#39636),#21486,.T.); #348102=ADVANCED_FACE('',(#39637),#21487,.T.); #348103=ADVANCED_FACE('',(#39638),#21488,.T.); #348104=ADVANCED_FACE('',(#39639),#21489,.T.); #348105=ADVANCED_FACE('',(#39640),#21490,.F.); #348106=ADVANCED_FACE('',(#39641),#21491,.T.); #348107=ADVANCED_FACE('',(#39642),#21492,.T.); #348108=ADVANCED_FACE('',(#39643),#21493,.T.); #348109=ADVANCED_FACE('',(#39644),#21494,.T.); #348110=ADVANCED_FACE('',(#39645),#21495,.T.); #348111=ADVANCED_FACE('',(#39646),#21496,.F.); #348112=ADVANCED_FACE('',(#39647),#330198,.F.); #348113=ADVANCED_FACE('',(#39648),#21497,.T.); #348114=ADVANCED_FACE('',(#39649),#21498,.T.); #348115=ADVANCED_FACE('',(#39650),#21499,.T.); #348116=ADVANCED_FACE('',(#39651),#21500,.T.); #348117=ADVANCED_FACE('',(#39652),#21501,.T.); #348118=ADVANCED_FACE('',(#39653),#21502,.T.); #348119=ADVANCED_FACE('',(#39654),#21503,.T.); #348120=ADVANCED_FACE('',(#39655,#7925),#21504,.T.); #348121=ADVANCED_FACE('',(#39656),#21505,.T.); #348122=ADVANCED_FACE('',(#39657),#21506,.T.); #348123=ADVANCED_FACE('',(#39658),#21507,.T.); #348124=ADVANCED_FACE('',(#39659),#21508,.T.); #348125=ADVANCED_FACE('',(#39660),#21509,.T.); #348126=ADVANCED_FACE('',(#39661),#21510,.F.); #348127=ADVANCED_FACE('',(#39662),#21511,.T.); #348128=ADVANCED_FACE('',(#39663),#21512,.F.); #348129=ADVANCED_FACE('',(#39664),#21513,.T.); #348130=ADVANCED_FACE('',(#39665),#330199,.F.); #348131=ADVANCED_FACE('',(#39666),#21514,.T.); #348132=ADVANCED_FACE('',(#39667),#21515,.T.); #348133=ADVANCED_FACE('',(#39668),#21516,.T.); #348134=ADVANCED_FACE('',(#39669),#330200,.T.); #348135=ADVANCED_FACE('',(#39670),#21517,.T.); #348136=ADVANCED_FACE('',(#39671),#330201,.F.); #348137=ADVANCED_FACE('',(#39672),#21518,.T.); #348138=ADVANCED_FACE('',(#39673),#21519,.T.); #348139=ADVANCED_FACE('',(#39674),#21520,.T.); #348140=ADVANCED_FACE('',(#39675),#330202,.T.); #348141=ADVANCED_FACE('',(#39676),#21521,.T.); #348142=ADVANCED_FACE('',(#39677),#21522,.T.); #348143=ADVANCED_FACE('',(#39678),#21523,.F.); #348144=ADVANCED_FACE('',(#39679),#330203,.F.); #348145=ADVANCED_FACE('',(#39680),#21524,.F.); #348146=ADVANCED_FACE('',(#39681),#21525,.F.); #348147=ADVANCED_FACE('',(#39682),#21526,.F.); #348148=ADVANCED_FACE('',(#39683),#330204,.T.); #348149=ADVANCED_FACE('',(#39684),#21527,.F.); #348150=ADVANCED_FACE('',(#39685),#330205,.F.); #348151=ADVANCED_FACE('',(#39686),#21528,.F.); #348152=ADVANCED_FACE('',(#39687),#21529,.F.); #348153=ADVANCED_FACE('',(#39688),#21530,.F.); #348154=ADVANCED_FACE('',(#39689),#330206,.T.); #348155=ADVANCED_FACE('',(#39690),#21531,.F.); #348156=ADVANCED_FACE('',(#39691),#21532,.F.); #348157=ADVANCED_FACE('',(#39692),#21533,.T.); #348158=ADVANCED_FACE('',(#39693),#330207,.F.); #348159=ADVANCED_FACE('',(#39694),#21534,.T.); #348160=ADVANCED_FACE('',(#39695),#21535,.T.); #348161=ADVANCED_FACE('',(#39696),#21536,.T.); #348162=ADVANCED_FACE('',(#39697),#330208,.T.); #348163=ADVANCED_FACE('',(#39698),#21537,.T.); #348164=ADVANCED_FACE('',(#39699),#330209,.F.); #348165=ADVANCED_FACE('',(#39700),#21538,.T.); #348166=ADVANCED_FACE('',(#39701),#21539,.T.); #348167=ADVANCED_FACE('',(#39702),#21540,.T.); #348168=ADVANCED_FACE('',(#39703),#330210,.T.); #348169=ADVANCED_FACE('',(#39704),#21541,.T.); #348170=ADVANCED_FACE('',(#39705),#21542,.F.); #348171=ADVANCED_FACE('',(#39706),#21543,.T.); #348172=ADVANCED_FACE('',(#39707),#330211,.F.); #348173=ADVANCED_FACE('',(#39708),#21544,.T.); #348174=ADVANCED_FACE('',(#39709),#21545,.T.); #348175=ADVANCED_FACE('',(#39710),#21546,.T.); #348176=ADVANCED_FACE('',(#39711),#330212,.T.); #348177=ADVANCED_FACE('',(#39712),#21547,.T.); #348178=ADVANCED_FACE('',(#39713),#330213,.F.); #348179=ADVANCED_FACE('',(#39714),#21548,.T.); #348180=ADVANCED_FACE('',(#39715),#21549,.T.); #348181=ADVANCED_FACE('',(#39716),#21550,.T.); #348182=ADVANCED_FACE('',(#39717),#330214,.T.); #348183=ADVANCED_FACE('',(#39718),#21551,.T.); #348184=ADVANCED_FACE('',(#39719),#21552,.F.); #348185=ADVANCED_FACE('',(#39720),#21553,.T.); #348186=ADVANCED_FACE('',(#39721),#330215,.F.); #348187=ADVANCED_FACE('',(#39722),#21554,.T.); #348188=ADVANCED_FACE('',(#39723),#21555,.T.); #348189=ADVANCED_FACE('',(#39724),#21556,.T.); #348190=ADVANCED_FACE('',(#39725),#330216,.T.); #348191=ADVANCED_FACE('',(#39726),#21557,.T.); #348192=ADVANCED_FACE('',(#39727),#330217,.F.); #348193=ADVANCED_FACE('',(#39728),#21558,.T.); #348194=ADVANCED_FACE('',(#39729),#21559,.T.); #348195=ADVANCED_FACE('',(#39730),#21560,.T.); #348196=ADVANCED_FACE('',(#39731),#330218,.T.); #348197=ADVANCED_FACE('',(#39732),#21561,.T.); #348198=ADVANCED_FACE('',(#39733),#21562,.T.); #348199=ADVANCED_FACE('',(#39734),#21563,.F.); #348200=ADVANCED_FACE('',(#39735),#330219,.F.); #348201=ADVANCED_FACE('',(#39736),#21564,.F.); #348202=ADVANCED_FACE('',(#39737),#21565,.F.); #348203=ADVANCED_FACE('',(#39738),#21566,.F.); #348204=ADVANCED_FACE('',(#39739),#330220,.T.); #348205=ADVANCED_FACE('',(#39740),#21567,.F.); #348206=ADVANCED_FACE('',(#39741),#330221,.F.); #348207=ADVANCED_FACE('',(#39742),#21568,.F.); #348208=ADVANCED_FACE('',(#39743),#21569,.F.); #348209=ADVANCED_FACE('',(#39744),#21570,.F.); #348210=ADVANCED_FACE('',(#39745),#330222,.T.); #348211=ADVANCED_FACE('',(#39746),#21571,.F.); #348212=ADVANCED_FACE('',(#39747),#21572,.T.); #348213=ADVANCED_FACE('',(#39748),#21573,.F.); #348214=ADVANCED_FACE('',(#39749),#330223,.F.); #348215=ADVANCED_FACE('',(#39750),#21574,.F.); #348216=ADVANCED_FACE('',(#39751),#21575,.F.); #348217=ADVANCED_FACE('',(#39752),#21576,.F.); #348218=ADVANCED_FACE('',(#39753),#330224,.T.); #348219=ADVANCED_FACE('',(#39754),#21577,.F.); #348220=ADVANCED_FACE('',(#39755),#330225,.F.); #348221=ADVANCED_FACE('',(#39756),#21578,.F.); #348222=ADVANCED_FACE('',(#39757),#21579,.F.); #348223=ADVANCED_FACE('',(#39758),#21580,.F.); #348224=ADVANCED_FACE('',(#39759),#330226,.T.); #348225=ADVANCED_FACE('',(#39760),#21581,.F.); #348226=ADVANCED_FACE('',(#39761),#21582,.T.); #348227=ADVANCED_FACE('',(#39762),#21583,.F.); #348228=ADVANCED_FACE('',(#39763),#330227,.F.); #348229=ADVANCED_FACE('',(#39764),#21584,.F.); #348230=ADVANCED_FACE('',(#39765),#21585,.F.); #348231=ADVANCED_FACE('',(#39766),#21586,.F.); #348232=ADVANCED_FACE('',(#39767),#330228,.T.); #348233=ADVANCED_FACE('',(#39768),#21587,.F.); #348234=ADVANCED_FACE('',(#39769),#330229,.F.); #348235=ADVANCED_FACE('',(#39770),#21588,.F.); #348236=ADVANCED_FACE('',(#39771),#21589,.F.); #348237=ADVANCED_FACE('',(#39772),#21590,.F.); #348238=ADVANCED_FACE('',(#39773),#330230,.T.); #348239=ADVANCED_FACE('',(#39774),#21591,.F.); #348240=ADVANCED_FACE('',(#39775),#330231,.F.); #348241=ADVANCED_FACE('',(#39776),#21592,.T.); #348242=ADVANCED_FACE('',(#39777),#21593,.T.); #348243=ADVANCED_FACE('',(#39778),#21594,.T.); #348244=ADVANCED_FACE('',(#39779),#21595,.T.); #348245=ADVANCED_FACE('',(#39780),#21596,.T.); #348246=ADVANCED_FACE('',(#39781),#21597,.T.); #348247=ADVANCED_FACE('',(#39782),#21598,.T.); #348248=ADVANCED_FACE('',(#39783,#7926),#21599,.T.); #348249=ADVANCED_FACE('',(#39784),#21600,.T.); #348250=ADVANCED_FACE('',(#39785),#21601,.T.); #348251=ADVANCED_FACE('',(#39786),#21602,.T.); #348252=ADVANCED_FACE('',(#39787),#21603,.T.); #348253=ADVANCED_FACE('',(#39788),#21604,.T.); #348254=ADVANCED_FACE('',(#39789),#21605,.F.); #348255=ADVANCED_FACE('',(#39790),#21606,.T.); #348256=ADVANCED_FACE('',(#39791),#21607,.T.); #348257=ADVANCED_FACE('',(#39792),#21608,.T.); #348258=ADVANCED_FACE('',(#39793),#21609,.T.); #348259=ADVANCED_FACE('',(#39794),#21610,.T.); #348260=ADVANCED_FACE('',(#39795),#330232,.F.); #348261=ADVANCED_FACE('',(#39796),#21611,.T.); #348262=ADVANCED_FACE('',(#39797),#330233,.T.); #348263=ADVANCED_FACE('',(#39798),#21612,.T.); #348264=ADVANCED_FACE('',(#39799),#21613,.T.); #348265=ADVANCED_FACE('',(#39800),#21614,.T.); #348266=ADVANCED_FACE('',(#39801),#330234,.F.); #348267=ADVANCED_FACE('',(#39802),#21615,.T.); #348268=ADVANCED_FACE('',(#39803),#330235,.T.); #348269=ADVANCED_FACE('',(#39804),#21616,.F.); #348270=ADVANCED_FACE('',(#39805),#21617,.T.); #348271=ADVANCED_FACE('',(#39806),#21618,.T.); #348272=ADVANCED_FACE('',(#39807),#21619,.T.); #348273=ADVANCED_FACE('',(#39808),#21620,.T.); #348274=ADVANCED_FACE('',(#39809),#330236,.F.); #348275=ADVANCED_FACE('',(#39810),#21621,.T.); #348276=ADVANCED_FACE('',(#39811),#330237,.T.); #348277=ADVANCED_FACE('',(#39812),#21622,.T.); #348278=ADVANCED_FACE('',(#39813),#21623,.T.); #348279=ADVANCED_FACE('',(#39814),#21624,.T.); #348280=ADVANCED_FACE('',(#39815),#330238,.F.); #348281=ADVANCED_FACE('',(#39816),#21625,.T.); #348282=ADVANCED_FACE('',(#39817),#330239,.T.); #348283=ADVANCED_FACE('',(#39818),#21626,.F.); #348284=ADVANCED_FACE('',(#39819),#21627,.T.); #348285=ADVANCED_FACE('',(#39820),#21628,.T.); #348286=ADVANCED_FACE('',(#39821),#21629,.T.); #348287=ADVANCED_FACE('',(#39822),#21630,.T.); #348288=ADVANCED_FACE('',(#39823),#330240,.F.); #348289=ADVANCED_FACE('',(#39824),#21631,.T.); #348290=ADVANCED_FACE('',(#39825),#330241,.T.); #348291=ADVANCED_FACE('',(#39826),#21632,.T.); #348292=ADVANCED_FACE('',(#39827),#21633,.T.); #348293=ADVANCED_FACE('',(#39828),#21634,.T.); #348294=ADVANCED_FACE('',(#39829),#330242,.F.); #348295=ADVANCED_FACE('',(#39830),#21635,.T.); #348296=ADVANCED_FACE('',(#39831),#330243,.T.); #348297=ADVANCED_FACE('',(#39832),#21636,.F.); #348298=ADVANCED_FACE('',(#39833),#21637,.T.); #348299=ADVANCED_FACE('',(#39834),#21638,.T.); #348300=ADVANCED_FACE('',(#39835),#21639,.T.); #348301=ADVANCED_FACE('',(#39836),#21640,.T.); #348302=ADVANCED_FACE('',(#39837),#330244,.F.); #348303=ADVANCED_FACE('',(#39838),#21641,.T.); #348304=ADVANCED_FACE('',(#39839),#330245,.T.); #348305=ADVANCED_FACE('',(#39840),#21642,.T.); #348306=ADVANCED_FACE('',(#39841),#21643,.T.); #348307=ADVANCED_FACE('',(#39842),#21644,.T.); #348308=ADVANCED_FACE('',(#39843),#330246,.F.); #348309=ADVANCED_FACE('',(#39844),#21645,.T.); #348310=ADVANCED_FACE('',(#39845),#330247,.T.); #348311=ADVANCED_FACE('',(#39846),#21646,.F.); #348312=ADVANCED_FACE('',(#39847),#21647,.T.); #348313=ADVANCED_FACE('',(#39848),#21648,.T.); #348314=ADVANCED_FACE('',(#39849),#21649,.T.); #348315=ADVANCED_FACE('',(#39850),#21650,.T.); #348316=ADVANCED_FACE('',(#39851),#330248,.F.); #348317=ADVANCED_FACE('',(#39852),#21651,.T.); #348318=ADVANCED_FACE('',(#39853),#330249,.T.); #348319=ADVANCED_FACE('',(#39854),#21652,.T.); #348320=ADVANCED_FACE('',(#39855),#21653,.T.); #348321=ADVANCED_FACE('',(#39856),#21654,.T.); #348322=ADVANCED_FACE('',(#39857),#330250,.F.); #348323=ADVANCED_FACE('',(#39858),#21655,.T.); #348324=ADVANCED_FACE('',(#39859),#330251,.T.); #348325=ADVANCED_FACE('',(#39860),#21656,.F.); #348326=ADVANCED_FACE('',(#39861),#107,.T.); #348327=ADVANCED_FACE('',(#39862),#108,.T.); #348328=ADVANCED_FACE('',(#39863),#109,.T.); #348329=ADVANCED_FACE('',(#39864),#110,.T.); #348330=ADVANCED_FACE('',(#39865),#111,.T.); #348331=ADVANCED_FACE('',(#39866),#330252,.T.); #348332=ADVANCED_FACE('',(#39867),#112,.T.); #348333=ADVANCED_FACE('',(#39868),#113,.T.); #348334=ADVANCED_FACE('',(#39869),#330253,.T.); #348335=ADVANCED_FACE('',(#39870),#330254,.T.); #348336=ADVANCED_FACE('',(#39871),#114,.T.); #348337=ADVANCED_FACE('',(#39872),#330255,.T.); #348338=ADVANCED_FACE('',(#39873),#330256,.T.); #348339=ADVANCED_FACE('',(#39874),#330257,.T.); #348340=ADVANCED_FACE('',(#39875),#330258,.T.); #348341=ADVANCED_FACE('',(#39876),#330259,.T.); #348342=ADVANCED_FACE('',(#39877),#330260,.T.); #348343=ADVANCED_FACE('',(#39878),#330261,.T.); #348344=ADVANCED_FACE('',(#39879),#330262,.T.); #348345=ADVANCED_FACE('',(#39880),#330263,.T.); #348346=ADVANCED_FACE('',(#39881),#21657,.T.); #348347=ADVANCED_FACE('',(#39882),#21658,.F.); #348348=ADVANCED_FACE('',(#39883),#21659,.T.); #348349=ADVANCED_FACE('',(#39884),#21660,.T.); #348350=ADVANCED_FACE('',(#39885),#21661,.T.); #348351=ADVANCED_FACE('',(#39886),#21662,.T.); #348352=ADVANCED_FACE('',(#39887),#115,.T.); #348353=ADVANCED_FACE('',(#39888),#116,.T.); #348354=ADVANCED_FACE('',(#39889),#117,.T.); #348355=ADVANCED_FACE('',(#39890),#118,.T.); #348356=ADVANCED_FACE('',(#39891),#119,.T.); #348357=ADVANCED_FACE('',(#39892),#330264,.T.); #348358=ADVANCED_FACE('',(#39893),#120,.T.); #348359=ADVANCED_FACE('',(#39894),#121,.T.); #348360=ADVANCED_FACE('',(#39895),#330265,.T.); #348361=ADVANCED_FACE('',(#39896),#330266,.T.); #348362=ADVANCED_FACE('',(#39897),#122,.T.); #348363=ADVANCED_FACE('',(#39898),#330267,.T.); #348364=ADVANCED_FACE('',(#39899),#330268,.T.); #348365=ADVANCED_FACE('',(#39900),#330269,.T.); #348366=ADVANCED_FACE('',(#39901),#330270,.T.); #348367=ADVANCED_FACE('',(#39902),#330271,.T.); #348368=ADVANCED_FACE('',(#39903),#330272,.T.); #348369=ADVANCED_FACE('',(#39904),#330273,.T.); #348370=ADVANCED_FACE('',(#39905),#330274,.T.); #348371=ADVANCED_FACE('',(#39906),#330275,.T.); #348372=ADVANCED_FACE('',(#39907),#21663,.T.); #348373=ADVANCED_FACE('',(#39908),#21664,.F.); #348374=ADVANCED_FACE('',(#39909),#21665,.T.); #348375=ADVANCED_FACE('',(#39910),#21666,.T.); #348376=ADVANCED_FACE('',(#39911),#21667,.T.); #348377=ADVANCED_FACE('',(#39912),#21668,.T.); #348378=ADVANCED_FACE('',(#39913),#21669,.T.); #348379=ADVANCED_FACE('',(#39914),#21670,.T.); #348380=ADVANCED_FACE('',(#39915),#21671,.T.); #348381=ADVANCED_FACE('',(#39916),#21672,.T.); #348382=ADVANCED_FACE('',(#39917),#21673,.T.); #348383=ADVANCED_FACE('',(#39918),#21674,.F.); #348384=ADVANCED_FACE('',(#39919),#330276,.F.); #348385=ADVANCED_FACE('',(#39920),#330277,.F.); #348386=ADVANCED_FACE('',(#39921),#21675,.T.); #348387=ADVANCED_FACE('',(#39922),#21676,.T.); #348388=ADVANCED_FACE('',(#39923),#21677,.T.); #348389=ADVANCED_FACE('',(#39924),#21678,.T.); #348390=ADVANCED_FACE('',(#39925),#21679,.T.); #348391=ADVANCED_FACE('',(#39926),#21680,.T.); #348392=ADVANCED_FACE('',(#39927),#21681,.T.); #348393=ADVANCED_FACE('',(#39928),#21682,.T.); #348394=ADVANCED_FACE('',(#39929),#21683,.T.); #348395=ADVANCED_FACE('',(#39930),#21684,.T.); #348396=ADVANCED_FACE('',(#39931),#21685,.T.); #348397=ADVANCED_FACE('',(#39932),#21686,.T.); #348398=ADVANCED_FACE('',(#39933,#7927,#7928),#21687,.T.); #348399=ADVANCED_FACE('',(#39934,#7929,#7930),#21688,.F.); #348400=ADVANCED_FACE('',(#39935),#330278,.F.); #348401=ADVANCED_FACE('',(#39936),#330279,.F.); #348402=ADVANCED_FACE('',(#39937),#21689,.T.); #348403=ADVANCED_FACE('',(#39938),#21690,.T.); #348404=ADVANCED_FACE('',(#39939),#21691,.T.); #348405=ADVANCED_FACE('',(#39940),#21692,.T.); #348406=ADVANCED_FACE('',(#39941),#21693,.T.); #348407=ADVANCED_FACE('',(#39942),#21694,.T.); #348408=ADVANCED_FACE('',(#39943),#21695,.T.); #348409=ADVANCED_FACE('',(#39944),#21696,.T.); #348410=ADVANCED_FACE('',(#39945),#21697,.T.); #348411=ADVANCED_FACE('',(#39946),#21698,.T.); #348412=ADVANCED_FACE('',(#39947),#21699,.T.); #348413=ADVANCED_FACE('',(#39948),#21700,.T.); #348414=ADVANCED_FACE('',(#39949,#7931,#7932),#21701,.T.); #348415=ADVANCED_FACE('',(#39950,#7933,#7934),#21702,.F.); #348416=ADVANCED_FACE('',(#39951),#330280,.F.); #348417=ADVANCED_FACE('',(#39952),#330281,.F.); #348418=ADVANCED_FACE('',(#39953),#21703,.T.); #348419=ADVANCED_FACE('',(#39954),#21704,.T.); #348420=ADVANCED_FACE('',(#39955),#21705,.T.); #348421=ADVANCED_FACE('',(#39956),#21706,.T.); #348422=ADVANCED_FACE('',(#39957),#21707,.T.); #348423=ADVANCED_FACE('',(#39958),#21708,.T.); #348424=ADVANCED_FACE('',(#39959),#21709,.T.); #348425=ADVANCED_FACE('',(#39960),#21710,.T.); #348426=ADVANCED_FACE('',(#39961),#21711,.T.); #348427=ADVANCED_FACE('',(#39962),#21712,.T.); #348428=ADVANCED_FACE('',(#39963),#21713,.T.); #348429=ADVANCED_FACE('',(#39964),#21714,.T.); #348430=ADVANCED_FACE('',(#39965,#7935,#7936),#21715,.T.); #348431=ADVANCED_FACE('',(#39966,#7937,#7938),#21716,.T.); #348432=ADVANCED_FACE('',(#39967),#21717,.F.); #348433=ADVANCED_FACE('',(#39968),#21718,.T.); #348434=ADVANCED_FACE('',(#39969),#21719,.T.); #348435=ADVANCED_FACE('',(#39970),#21720,.T.); #348436=ADVANCED_FACE('',(#39971),#21721,.T.); #348437=ADVANCED_FACE('',(#39972),#21722,.F.); #348438=ADVANCED_FACE('',(#39973),#3526,.F.); #348439=ADVANCED_FACE('',(#39974,#7939),#21723,.F.); #348440=ADVANCED_FACE('',(#39975),#330282,.F.); #348441=ADVANCED_FACE('',(#39976),#21724,.T.); #348442=ADVANCED_FACE('',(#39977),#21725,.T.); #348443=ADVANCED_FACE('',(#39978),#21726,.T.); #348444=ADVANCED_FACE('',(#39979),#330283,.T.); #348445=ADVANCED_FACE('',(#39980),#3527,.T.); #348446=ADVANCED_FACE('',(#39981,#7940),#21727,.T.); #348447=ADVANCED_FACE('',(#39982,#7941,#7942,#7943),#330284,.T.); #348448=ADVANCED_FACE('',(#39983),#3528,.F.); #348449=ADVANCED_FACE('',(#39984),#330285,.F.); #348450=ADVANCED_FACE('',(#39985),#3529,.F.); #348451=ADVANCED_FACE('',(#39986),#21728,.T.); #348452=ADVANCED_FACE('',(#39987),#21729,.T.); #348453=ADVANCED_FACE('',(#39988),#21730,.T.); #348454=ADVANCED_FACE('',(#39989),#21731,.T.); #348455=ADVANCED_FACE('',(#39990),#21732,.T.); #348456=ADVANCED_FACE('',(#39991),#21733,.T.); #348457=ADVANCED_FACE('',(#39992),#3530,.T.); #348458=ADVANCED_FACE('',(#39993),#3531,.T.); #348459=ADVANCED_FACE('',(#39994),#330286,.T.); #348460=ADVANCED_FACE('',(#39995),#3532,.T.); #348461=ADVANCED_FACE('',(#39996),#3533,.T.); #348462=ADVANCED_FACE('',(#39997),#330287,.T.); #348463=ADVANCED_FACE('',(#39998),#3534,.T.); #348464=ADVANCED_FACE('',(#39999),#3535,.T.); #348465=ADVANCED_FACE('',(#40000),#330288,.T.); #348466=ADVANCED_FACE('',(#40001),#3536,.T.); #348467=ADVANCED_FACE('',(#40002,#7944),#21734,.T.); #348468=ADVANCED_FACE('',(#40003),#21735,.T.); #348469=ADVANCED_FACE('',(#40004),#3537,.T.); #348470=ADVANCED_FACE('',(#40005),#21736,.T.); #348471=ADVANCED_FACE('',(#40006),#3538,.T.); #348472=ADVANCED_FACE('',(#40007),#21737,.F.); #348473=ADVANCED_FACE('',(#40008),#21738,.F.); #348474=ADVANCED_FACE('',(#40009),#330289,.T.); #348475=ADVANCED_FACE('',(#40010),#21739,.F.); #348476=ADVANCED_FACE('',(#40011),#21740,.F.); #348477=ADVANCED_FACE('',(#40012),#21741,.F.); #348478=ADVANCED_FACE('',(#40013),#21742,.F.); #348479=ADVANCED_FACE('',(#40014),#330290,.T.); #348480=ADVANCED_FACE('',(#40015),#330291,.T.); #348481=ADVANCED_FACE('',(#40016),#330292,.T.); #348482=ADVANCED_FACE('',(#40017),#330293,.T.); #348483=ADVANCED_FACE('',(#40018),#21743,.F.); #348484=ADVANCED_FACE('',(#40019),#330294,.F.); #348485=ADVANCED_FACE('',(#40020),#21744,.F.); #348486=ADVANCED_FACE('',(#40021),#330295,.F.); #348487=ADVANCED_FACE('',(#40022),#330296,.F.); #348488=ADVANCED_FACE('',(#40023,#7945),#21745,.T.); #348489=ADVANCED_FACE('',(#40024),#330297,.F.); #348490=ADVANCED_FACE('',(#40025),#330298,.F.); #348491=ADVANCED_FACE('',(#40026),#21746,.F.); #348492=ADVANCED_FACE('',(#40027),#330299,.F.); #348493=ADVANCED_FACE('',(#40028),#330300,.F.); #348494=ADVANCED_FACE('',(#40029),#21747,.F.); #348495=ADVANCED_FACE('',(#40030),#330301,.F.); #348496=ADVANCED_FACE('',(#40031),#21748,.F.); #348497=ADVANCED_FACE('',(#40032),#330302,.F.); #348498=ADVANCED_FACE('',(#40033),#21749,.F.); #348499=ADVANCED_FACE('',(#40034),#21750,.F.); #348500=ADVANCED_FACE('',(#40035),#21751,.F.); #348501=ADVANCED_FACE('',(#40036),#21752,.F.); #348502=ADVANCED_FACE('',(#40037),#21753,.F.); #348503=ADVANCED_FACE('',(#40038),#330303,.F.); #348504=ADVANCED_FACE('',(#40039,#7946),#21754,.F.); #348505=ADVANCED_FACE('',(#40040),#330304,.F.); #348506=ADVANCED_FACE('',(#40041,#7947),#21755,.T.); #348507=ADVANCED_FACE('',(#40042),#21756,.F.); #348508=ADVANCED_FACE('',(#40043),#21757,.F.); #348509=ADVANCED_FACE('',(#40044),#330305,.F.); #348510=ADVANCED_FACE('',(#40045),#21758,.T.); #348511=ADVANCED_FACE('',(#40046),#21759,.T.); #348512=ADVANCED_FACE('',(#40047),#21760,.T.); #348513=ADVANCED_FACE('',(#40048),#21761,.T.); #348514=ADVANCED_FACE('',(#40049),#21762,.T.); #348515=ADVANCED_FACE('',(#40050),#21763,.T.); #348516=ADVANCED_FACE('',(#40051),#21764,.F.); #348517=ADVANCED_FACE('',(#40052),#21765,.F.); #348518=ADVANCED_FACE('',(#40053),#21766,.F.); #348519=ADVANCED_FACE('',(#40054),#330306,.F.); #348520=ADVANCED_FACE('',(#40055,#7948,#7949),#330307,.T.); #348521=ADVANCED_FACE('',(#40056),#21767,.T.); #348522=ADVANCED_FACE('',(#40057),#330308,.F.); #348523=ADVANCED_FACE('',(#40058),#21768,.T.); #348524=ADVANCED_FACE('',(#40059),#21769,.F.); #348525=ADVANCED_FACE('',(#40060),#21770,.T.); #348526=ADVANCED_FACE('',(#40061),#21771,.F.); #348527=ADVANCED_FACE('',(#40062),#21772,.T.); #348528=ADVANCED_FACE('',(#40063),#330309,.F.); #348529=ADVANCED_FACE('',(#40064),#3539,.F.); #348530=ADVANCED_FACE('',(#40065,#7950,#7951,#7952,#7953,#7954,#7955),#21773, .T.); #348531=ADVANCED_FACE('',(#40066),#330310,.F.); #348532=ADVANCED_FACE('',(#40067),#3540,.F.); #348533=ADVANCED_FACE('',(#40068),#330311,.F.); #348534=ADVANCED_FACE('',(#40069),#3541,.F.); #348535=ADVANCED_FACE('',(#40070,#7956,#7957,#7958,#7959,#7960,#7961),#21774, .F.); #348536=ADVANCED_FACE('',(#40071),#330312,.F.); #348537=ADVANCED_FACE('',(#40072),#3542,.F.); #348538=ADVANCED_FACE('',(#40073),#330313,.F.); #348539=ADVANCED_FACE('',(#40074),#21775,.T.); #348540=ADVANCED_FACE('',(#40075),#3543,.F.); #348541=ADVANCED_FACE('',(#40076),#21776,.T.); #348542=ADVANCED_FACE('',(#40077),#3544,.F.); #348543=ADVANCED_FACE('',(#40078),#21777,.T.); #348544=ADVANCED_FACE('',(#40079),#330314,.F.); #348545=ADVANCED_FACE('',(#40080),#3545,.F.); #348546=ADVANCED_FACE('',(#40081),#21778,.T.); #348547=ADVANCED_FACE('',(#40082),#330315,.F.); #348548=ADVANCED_FACE('',(#40083),#21779,.T.); #348549=ADVANCED_FACE('',(#40084),#3546,.F.); #348550=ADVANCED_FACE('',(#40085),#21780,.T.); #348551=ADVANCED_FACE('',(#40086,#7962),#21781,.T.); #348552=ADVANCED_FACE('',(#40087,#7963),#21782,.T.); #348553=ADVANCED_FACE('',(#40088),#21783,.T.); #348554=ADVANCED_FACE('',(#40089),#21784,.T.); #348555=ADVANCED_FACE('',(#40090),#330316,.F.); #348556=ADVANCED_FACE('',(#40091),#21785,.T.); #348557=ADVANCED_FACE('',(#40092),#330317,.T.); #348558=ADVANCED_FACE('',(#40093),#21786,.T.); #348559=ADVANCED_FACE('',(#40094),#21787,.T.); #348560=ADVANCED_FACE('',(#40095),#330318,.F.); #348561=ADVANCED_FACE('',(#40096),#21788,.T.); #348562=ADVANCED_FACE('',(#40097),#330319,.T.); #348563=ADVANCED_FACE('',(#40098,#7964),#21789,.F.); #348564=ADVANCED_FACE('',(#40099),#330320,.T.); #348565=ADVANCED_FACE('',(#40100),#21790,.T.); #348566=ADVANCED_FACE('',(#40101),#330321,.T.); #348567=ADVANCED_FACE('',(#40102),#21791,.T.); #348568=ADVANCED_FACE('',(#40103),#21792,.T.); #348569=ADVANCED_FACE('',(#40104),#21793,.F.); #348570=ADVANCED_FACE('',(#40105),#21794,.T.); #348571=ADVANCED_FACE('',(#40106),#21795,.T.); #348572=ADVANCED_FACE('',(#40107),#21796,.F.); #348573=ADVANCED_FACE('',(#40108),#21797,.T.); #348574=ADVANCED_FACE('',(#40109),#21798,.T.); #348575=ADVANCED_FACE('',(#40110),#21799,.T.); #348576=ADVANCED_FACE('',(#40111),#21800,.F.); #348577=ADVANCED_FACE('',(#40112),#21801,.T.); #348578=ADVANCED_FACE('',(#40113),#21802,.F.); #348579=ADVANCED_FACE('',(#40114),#21803,.T.); #348580=ADVANCED_FACE('',(#40115),#21804,.T.); #348581=ADVANCED_FACE('',(#40116),#21805,.T.); #348582=ADVANCED_FACE('',(#40117),#21806,.F.); #348583=ADVANCED_FACE('',(#40118),#21807,.F.); #348584=ADVANCED_FACE('',(#40119),#21808,.F.); #348585=ADVANCED_FACE('',(#40120),#21809,.F.); #348586=ADVANCED_FACE('',(#40121),#21810,.T.); #348587=ADVANCED_FACE('',(#40122),#21811,.F.); #348588=ADVANCED_FACE('',(#40123),#21812,.T.); #348589=ADVANCED_FACE('',(#40124),#21813,.F.); #348590=ADVANCED_FACE('',(#40125),#21814,.F.); #348591=ADVANCED_FACE('',(#40126),#21815,.F.); #348592=ADVANCED_FACE('',(#40127),#21816,.F.); #348593=ADVANCED_FACE('',(#40128),#21817,.F.); #348594=ADVANCED_FACE('',(#40129),#21818,.F.); #348595=ADVANCED_FACE('',(#40130),#21819,.T.); #348596=ADVANCED_FACE('',(#40131),#21820,.F.); #348597=ADVANCED_FACE('',(#40132),#21821,.T.); #348598=ADVANCED_FACE('',(#40133),#21822,.T.); #348599=ADVANCED_FACE('',(#40134),#21823,.T.); #348600=ADVANCED_FACE('',(#40135),#21824,.T.); #348601=ADVANCED_FACE('',(#40136),#21825,.T.); #348602=ADVANCED_FACE('',(#40137),#21826,.T.); #348603=ADVANCED_FACE('',(#40138),#21827,.F.); #348604=ADVANCED_FACE('',(#40139),#21828,.T.); #348605=ADVANCED_FACE('',(#40140),#21829,.T.); #348606=ADVANCED_FACE('',(#40141),#21830,.T.); #348607=ADVANCED_FACE('',(#40142),#21831,.F.); #348608=ADVANCED_FACE('',(#40143),#330322,.F.); #348609=ADVANCED_FACE('',(#40144),#330323,.F.); #348610=ADVANCED_FACE('',(#40145),#21832,.T.); #348611=ADVANCED_FACE('',(#40146),#21833,.T.); #348612=ADVANCED_FACE('',(#40147,#7965),#21834,.F.); #348613=ADVANCED_FACE('',(#40148),#21835,.T.); #348614=ADVANCED_FACE('',(#40149,#7966),#21836,.F.); #348615=ADVANCED_FACE('',(#40150,#7967),#21837,.F.); #348616=ADVANCED_FACE('',(#40151,#7968),#21838,.T.); #348617=ADVANCED_FACE('',(#40152),#21839,.T.); #348618=ADVANCED_FACE('',(#40153,#7969),#21840,.F.); #348619=ADVANCED_FACE('',(#40154),#330324,.F.); #348620=ADVANCED_FACE('',(#40155),#21841,.F.); #348621=ADVANCED_FACE('',(#40156),#21842,.F.); #348622=ADVANCED_FACE('',(#40157),#330325,.T.); #348623=ADVANCED_FACE('',(#40158),#21843,.F.); #348624=ADVANCED_FACE('',(#40159),#21844,.F.); #348625=ADVANCED_FACE('',(#40160),#21845,.T.); #348626=ADVANCED_FACE('',(#40161),#330326,.F.); #348627=ADVANCED_FACE('',(#40162),#330327,.F.); #348628=ADVANCED_FACE('',(#40163),#21846,.T.); #348629=ADVANCED_FACE('',(#40164),#21847,.F.); #348630=ADVANCED_FACE('',(#40165),#21848,.F.); #348631=ADVANCED_FACE('',(#40166,#7970),#21849,.F.); #348632=ADVANCED_FACE('',(#40167),#21850,.T.); #348633=ADVANCED_FACE('',(#40168),#330328,.F.); #348634=ADVANCED_FACE('',(#40169),#21851,.T.); #348635=ADVANCED_FACE('',(#40170),#21852,.F.); #348636=ADVANCED_FACE('',(#40171),#21853,.T.); #348637=ADVANCED_FACE('',(#40172),#330329,.F.); #348638=ADVANCED_FACE('',(#40173),#21854,.T.); #348639=ADVANCED_FACE('',(#40174),#21855,.F.); #348640=ADVANCED_FACE('',(#40175),#21856,.T.); #348641=ADVANCED_FACE('',(#40176),#21857,.T.); #348642=ADVANCED_FACE('',(#40177),#21858,.T.); #348643=ADVANCED_FACE('',(#40178),#21859,.T.); #348644=ADVANCED_FACE('',(#40179),#330330,.F.); #348645=ADVANCED_FACE('',(#40180),#21860,.T.); #348646=ADVANCED_FACE('',(#40181),#330331,.T.); #348647=ADVANCED_FACE('',(#40182),#21861,.T.); #348648=ADVANCED_FACE('',(#40183),#330332,.T.); #348649=ADVANCED_FACE('',(#40184,#7971,#7972,#7973,#7974),#21862,.T.); #348650=ADVANCED_FACE('',(#40185,#7975,#7976,#7977,#7978),#21863,.F.); #348651=ADVANCED_FACE('',(#40186),#3406,.F.); #348652=ADVANCED_FACE('',(#40187),#3407,.F.); #348653=ADVANCED_FACE('',(#40188),#3408,.F.); #348654=ADVANCED_FACE('',(#40189),#3409,.F.); #348655=ADVANCED_FACE('',(#40190),#3410,.F.); #348656=ADVANCED_FACE('',(#40191),#3411,.F.); #348657=ADVANCED_FACE('',(#40192),#3412,.F.); #348658=ADVANCED_FACE('',(#40193),#3413,.F.); #348659=ADVANCED_FACE('',(#40194,#7979),#21864,.T.); #348660=ADVANCED_FACE('',(#40195),#3414,.F.); #348661=ADVANCED_FACE('',(#40196),#3415,.F.); #348662=ADVANCED_FACE('',(#40197),#3416,.F.); #348663=ADVANCED_FACE('',(#40198),#3417,.F.); #348664=ADVANCED_FACE('',(#40199),#3418,.F.); #348665=ADVANCED_FACE('',(#40200),#3419,.F.); #348666=ADVANCED_FACE('',(#40201),#3420,.F.); #348667=ADVANCED_FACE('',(#40202),#3421,.F.); #348668=ADVANCED_FACE('',(#40203),#3547,.T.); #348669=ADVANCED_FACE('',(#40204),#330333,.T.); #348670=ADVANCED_FACE('',(#40205,#7980),#21865,.F.); #348671=ADVANCED_FACE('',(#40206),#330334,.T.); #348672=ADVANCED_FACE('',(#40207,#7981),#21866,.F.); #348673=ADVANCED_FACE('',(#40208),#330335,.F.); #348674=ADVANCED_FACE('',(#40209),#330336,.F.); #348675=ADVANCED_FACE('',(#40210),#21867,.T.); #348676=ADVANCED_FACE('',(#40211),#21868,.F.); #348677=ADVANCED_FACE('',(#40212),#330337,.F.); #348678=ADVANCED_FACE('',(#40213),#21869,.T.); #348679=ADVANCED_FACE('',(#40214),#21870,.F.); #348680=ADVANCED_FACE('',(#40215),#330338,.F.); #348681=ADVANCED_FACE('',(#40216),#21871,.T.); #348682=ADVANCED_FACE('',(#40217),#21872,.F.); #348683=ADVANCED_FACE('',(#40218),#330339,.F.); #348684=ADVANCED_FACE('',(#40219),#21873,.T.); #348685=ADVANCED_FACE('',(#40220),#21874,.F.); #348686=CLOSED_SHELL('',(#330340,#330341,#330342,#330343,#330344,#330345, #330346,#330347,#330348,#330349,#330350,#330351,#330352,#330353,#330354, #330355,#330356,#330357,#330358,#330359,#330360,#330361,#330362,#330363, #330364,#330365,#330366,#330367,#330368,#330369,#330370,#330371,#330372, #330373,#330374,#330375,#330376,#330377,#330378,#330379,#330380,#330381, #330382,#330383,#330384,#330385,#330386,#330387,#330388,#330389,#330390, #330391,#330392,#330393,#330394,#330395,#330396,#330397,#330398,#330399, #330400,#330401,#330402,#330403,#330404,#330405,#330406,#330407,#330408, #330409,#330410,#330411,#330412,#330413,#330414,#330415,#330416,#330417, #330418,#330419,#330420,#330421,#330422,#330423,#330424,#330425,#330426, #330427,#330428,#330429,#330430,#330431,#330432,#330433,#330434,#330435, #330436,#330437,#330438,#330439,#330440,#330441,#330442,#330443,#330444, #330445,#330446,#330447,#330448,#330449,#330450,#330451,#330452,#330453, #330454,#330455,#330456,#330457,#330458,#330459,#330460,#330461,#330462, #330463,#330464,#330465,#330466,#330467,#330468,#330469,#330470,#330471, #330472,#330473,#330474,#330475,#330476,#330477,#330478,#330479,#330480, #330481,#330482,#330483,#330484,#330485,#330486,#330487,#330488,#330489, #330490,#330491,#330492,#330493,#330494,#330495,#330496,#330497,#330498, #330499,#330500,#330501,#330502,#330503,#330504,#330505,#330506,#330507, #330508,#330509,#330510,#330511,#330512,#330513,#330514,#330515,#330516, #330517,#330518,#330519,#330520,#330521,#330522,#330523,#330524,#330525, #330526,#330527,#330528,#330529,#330530,#330531,#330532,#330533,#330534, #330535,#330536,#330537,#330538,#330539,#330540,#330541,#330542,#330543, #330544,#330545,#330546,#330547,#330548,#330549,#330550,#330551,#330552, #330553,#330554,#330555,#330556,#330557,#330558,#330559,#330560,#330561, #330562,#330563,#330564,#330565,#330566,#330567,#330568,#330569,#330570, #330571,#330572,#330573,#330574,#330575,#330576,#330577,#330578,#330579, #330580,#330581,#330582,#330583,#330584,#330585,#330586,#330587,#330588, #330589,#330590,#330591,#330592,#330593,#330594,#330595,#330596,#330597, #330598,#330599,#330600,#330601,#330602,#330603,#330604,#330605,#330606, #330607,#330608,#330609,#330610,#330611,#330612,#330613,#330614,#330615, #330616,#330617,#330618,#330619,#330620,#330621,#330622,#330623,#330624, #330625,#330626,#330627,#330628,#330629,#330630,#330631,#330632,#330633, #330634,#330635,#330636,#330637,#330638,#330639,#330640,#330641,#330642, #330643,#330644,#330645,#330646,#330647,#330648,#330649,#330650,#330651, #330652,#330653,#330654,#330655,#330656,#330657,#330658,#330659,#330660, #330661,#330662,#330663,#330664,#330665,#330666,#330667,#330668,#330669, #330670,#330671,#330672,#330673,#330674,#330675,#330676,#330677,#330678, #330679,#330680,#330681,#330682,#330683,#330684,#330685)); #348687=CLOSED_SHELL('',(#330686,#330687,#330688,#330689,#330690,#330691, #330692,#330693,#330694,#330695,#330696,#330697,#330698,#330699,#330700, #330701,#330702,#330703,#330704,#330705,#330706,#330707,#330708,#330709, #330710,#330711,#330712,#330713,#330714,#330715,#330716,#330717,#330718, #330719,#330720,#330721,#330722,#330723,#330724,#330725,#330726,#330727, #330728,#330729,#330730,#330731,#330732,#330733,#330734,#330735,#330736, #330737,#330738,#330739)); #348688=CLOSED_SHELL('',(#330740,#330741,#330742,#330743,#330744,#330745, #330746,#330747,#330748,#330749,#330750,#330751,#330752,#330753,#330754, #330755,#330756,#330757,#330758,#330759,#330760,#330761,#330762,#330763, #330764,#330765,#330766,#330767,#330768,#330769,#330770,#330771,#330772, #330773,#330774,#330775,#330776,#330777,#330778,#330779,#330780,#330781, #330782,#330783,#330784,#330785,#330786,#330787,#330788,#330789,#330790, #330791,#330792,#330793,#330794,#330795,#330796,#330797,#330798,#330799, #330800,#330801,#330802,#330803,#330804,#330805,#330806,#330807,#330808, #330809,#330810,#330811,#330812,#330813,#330814,#330815,#330816,#330817, #330818,#330819,#330820,#330821,#330822,#330823,#330824,#330825,#330826, #330827,#330828,#330829,#330830,#330831,#330832,#330833,#330834,#330835, #330836,#330837,#330838,#330839,#330840,#330841,#330842,#330843,#330844)); #348689=CLOSED_SHELL('',(#330845,#330846,#330847,#330848,#330849,#330850, #330851,#330852,#330853,#330854,#330855,#330856,#330857,#330858,#330859, #330860,#330861,#330862,#330863,#330864,#330865,#330866,#330867)); #348690=CLOSED_SHELL('',(#330868,#330869,#330870,#330871,#330872,#330873, #330874,#330875,#330876,#330877,#330878,#330879,#330880,#330881,#330882, #330883)); #348691=CLOSED_SHELL('',(#330884,#330885,#330886,#330887,#330888,#330889, #330890,#330891,#330892,#330893,#330894,#330895,#330896,#330897,#330898, #330899,#330900,#330901,#330902,#330903,#330904,#330905,#330906,#330907, #330908,#330909,#330910,#330911,#330912,#330913,#330914,#330915,#330916, #330917,#330918,#330919,#330920,#330921,#330922,#330923,#330924,#330925, #330926,#330927,#330928,#330929,#330930,#330931,#330932,#330933,#330934, #330935,#330936,#330937,#330938,#330939,#330940,#330941,#330942,#330943, #330944,#330945,#330946,#330947,#330948,#330949,#330950,#330951,#330952, #330953,#330954,#330955,#330956,#330957,#330958,#330959,#330960,#330961, #330962,#330963,#330964,#330965,#330966,#330967,#330968,#330969,#330970, #330971,#330972,#330973,#330974,#330975,#330976,#330977,#330978,#330979, #330980,#330981,#330982,#330983,#330984,#330985,#330986,#330987,#330988, #330989,#330990,#330991,#330992,#330993,#330994,#330995,#330996,#330997, #330998,#330999,#331000,#331001,#331002,#331003,#331004,#331005,#331006, #331007,#331008,#331009,#331010,#331011,#331012,#331013,#331014,#331015, #331016,#331017,#331018,#331019,#331020,#331021,#331022,#331023,#331024, #331025,#331026,#331027,#331028,#331029,#331030,#331031,#331032,#331033, #331034,#331035,#331036,#331037,#331038,#331039,#331040,#331041,#331042, #331043,#331044,#331045,#331046,#331047,#331048,#331049,#331050,#331051, #331052,#331053,#331054,#331055,#331056,#331057,#331058,#331059,#331060, #331061,#331062,#331063,#331064,#331065,#331066,#331067,#331068,#331069, #331070,#331071,#331072,#331073,#331074,#331075,#331076,#331077,#331078, #331079,#331080,#331081,#331082,#331083,#331084,#331085,#331086,#331087, #331088,#331089,#331090,#331091,#331092,#331093,#331094,#331095,#331096, #331097,#331098,#331099,#331100,#331101,#331102,#331103,#331104,#331105, #331106,#331107,#331108,#331109,#331110,#331111,#331112,#331113,#331114, #331115,#331116,#331117,#331118,#331119,#331120,#331121,#331122,#331123, #331124,#331125,#331126,#331127,#331128,#331129,#331130,#331131,#331132, #331133,#331134,#331135,#331136,#331137,#331138,#331139,#331140,#331141, #331142,#331143,#331144,#331145,#331146,#331147,#331148,#331149,#331150, #331151,#331152,#331153,#331154,#331155,#331156,#331157,#331158,#331159, #331160,#331161,#331162,#331163,#331164,#331165,#331166,#331167,#331168, #331169,#331170,#331171,#331172,#331173,#331174,#331175,#331176,#331177, #331178,#331179,#331180,#331181,#331182,#331183,#331184,#331185,#331186, #331187,#331188,#331189,#331190,#331191,#331192,#331193,#331194,#331195, #331196,#331197,#331198,#331199,#331200,#331201,#331202,#331203,#331204, #331205,#331206,#331207,#331208,#331209,#331210,#331211,#331212,#331213, #331214,#331215,#331216,#331217,#331218,#331219)); #348692=CLOSED_SHELL('',(#331220,#331221,#331222,#331223,#331224,#331225, #331226,#331227,#331228,#331229,#331230,#331231,#331232,#331233,#331234, #331235,#331236,#331237,#331238)); #348693=CLOSED_SHELL('',(#331239,#331240,#331241,#331242,#331243,#331244, #331245,#331246,#331247,#331248,#331249,#331250,#331251,#331252,#331253, #331254,#331255,#331256,#331257,#331258,#331259,#331260,#331261,#331262, #331263,#331264,#331265,#331266,#331267,#331268,#331269,#331270,#331271, #331272,#331273,#331274,#331275,#331276,#331277,#331278,#331279,#331280, #331281,#331282,#331283,#331284,#331285,#331286,#331287,#331288,#331289, #331290,#331291,#331292,#331293,#331294,#331295,#331296,#331297,#331298, #331299,#331300,#331301,#331302,#331303,#331304,#331305,#331306,#331307, #331308,#331309,#331310,#331311,#331312,#331313,#331314,#331315,#331316, #331317,#331318,#331319,#331320,#331321,#331322,#331323,#331324,#331325, #331326)); #348694=CLOSED_SHELL('',(#331327,#331328,#331329,#331330,#331331,#331332, #331333,#331334,#331335,#331336,#331337,#331338,#331339,#331340,#331341, #331342,#331343,#331344,#331345,#331346,#331347,#331348,#331349,#331350, #331351,#331352,#331353,#331354,#331355,#331356,#331357,#331358,#331359, #331360,#331361,#331362,#331363,#331364,#331365,#331366,#331367,#331368, #331369,#331370,#331371,#331372,#331373,#331374,#331375,#331376,#331377, #331378,#331379,#331380,#331381,#331382,#331383,#331384,#331385,#331386, #331387,#331388,#331389,#331390,#331391,#331392,#331393,#331394,#331395, #331396,#331397,#331398,#331399,#331400,#331401,#331402,#331403,#331404, #331405,#331406,#331407,#331408,#331409,#331410,#331411,#331412,#331413, #331414,#331415,#331416,#331417,#331418,#331419,#331420,#331421,#331422, #331423,#331424,#331425,#331426,#331427,#331428,#331429,#331430,#331431, #331432,#331433,#331434,#331435,#331436,#331437,#331438,#331439,#331440, #331441,#331442,#331443,#331444,#331445,#331446,#331447,#331448,#331449, #331450,#331451,#331452,#331453,#331454,#331455,#331456,#331457,#331458, #331459,#331460,#331461,#331462,#331463,#331464,#331465,#331466,#331467, #331468,#331469,#331470,#331471,#331472,#331473,#331474,#331475,#331476, #331477,#331478,#331479,#331480,#331481,#331482,#331483,#331484,#331485, #331486,#331487,#331488,#331489,#331490,#331491,#331492,#331493,#331494, #331495,#331496,#331497,#331498,#331499,#331500,#331501,#331502,#331503, #331504,#331505,#331506,#331507,#331508,#331509,#331510,#331511,#331512, #331513,#331514,#331515,#331516,#331517,#331518,#331519,#331520,#331521, #331522,#331523,#331524,#331525,#331526,#331527,#331528,#331529,#331530, #331531,#331532,#331533,#331534,#331535,#331536,#331537,#331538,#331539, #331540,#331541,#331542,#331543,#331544,#331545,#331546,#331547,#331548, #331549,#331550,#331551,#331552,#331553,#331554,#331555,#331556,#331557, #331558,#331559,#331560,#331561,#331562,#331563,#331564,#331565,#331566, #331567,#331568,#331569,#331570,#331571,#331572,#331573,#331574,#331575, #331576,#331577,#331578,#331579,#331580,#331581,#331582,#331583,#331584, #331585,#331586,#331587,#331588,#331589,#331590,#331591,#331592,#331593, #331594,#331595,#331596,#331597,#331598,#331599,#331600,#331601,#331602, #331603,#331604,#331605,#331606,#331607,#331608,#331609,#331610,#331611, #331612,#331613,#331614,#331615,#331616,#331617,#331618,#331619,#331620, #331621,#331622,#331623,#331624,#331625,#331626,#331627,#331628,#331629, #331630,#331631,#331632,#331633,#331634,#331635,#331636,#331637,#331638, #331639,#331640,#331641,#331642,#331643,#331644,#331645,#331646,#331647, #331648,#331649,#331650,#331651,#331652,#331653,#331654,#331655,#331656, #331657,#331658,#331659,#331660,#331661,#331662,#331663,#331664)); #348695=CLOSED_SHELL('',(#331665,#331666,#331667,#331668)); #348696=CLOSED_SHELL('',(#331669,#331670,#331671,#331672)); #348697=CLOSED_SHELL('',(#331673,#331674,#331675,#331676)); #348698=CLOSED_SHELL('',(#331677,#331678,#331679,#331680)); #348699=CLOSED_SHELL('',(#331681,#331682,#331683,#331684)); #348700=CLOSED_SHELL('',(#331685,#331686,#331687,#331688)); #348701=CLOSED_SHELL('',(#331689,#331690,#331691,#331692)); #348702=CLOSED_SHELL('',(#331693,#331694,#331695,#331696)); #348703=CLOSED_SHELL('',(#331697,#331698,#331699,#331700)); #348704=CLOSED_SHELL('',(#331701,#331702,#331703,#331704)); #348705=CLOSED_SHELL('',(#331705,#331706,#331707,#331708)); #348706=CLOSED_SHELL('',(#331709,#331710,#331711,#331712)); #348707=CLOSED_SHELL('',(#331713,#331714,#331715,#331716)); #348708=CLOSED_SHELL('',(#331717,#331718,#331719,#331720)); #348709=CLOSED_SHELL('',(#331721,#331722,#331723,#331724)); #348710=CLOSED_SHELL('',(#331725,#331726,#331727,#331728)); #348711=CLOSED_SHELL('',(#331729,#331730,#331731,#331732)); #348712=CLOSED_SHELL('',(#331733,#331734,#331735,#331736)); #348713=CLOSED_SHELL('',(#331737,#331738,#331739,#331740)); #348714=CLOSED_SHELL('',(#331741,#331742,#331743,#331744)); #348715=CLOSED_SHELL('',(#331745,#331746,#331747,#331748)); #348716=CLOSED_SHELL('',(#331749,#331750,#331751,#331752)); #348717=CLOSED_SHELL('',(#331753,#331754,#331755,#331756)); #348718=CLOSED_SHELL('',(#331757,#331758,#331759,#331760)); #348719=CLOSED_SHELL('',(#331761,#331762,#331763,#331764)); #348720=CLOSED_SHELL('',(#331765,#331766,#331767,#331768)); #348721=CLOSED_SHELL('',(#331769,#331770,#331771,#331772)); #348722=CLOSED_SHELL('',(#331773,#331774,#331775,#331776)); #348723=CLOSED_SHELL('',(#331777,#331778,#331779,#331780)); #348724=CLOSED_SHELL('',(#331781,#331782,#331783,#331784)); #348725=CLOSED_SHELL('',(#331785,#331786,#331787,#331788)); #348726=CLOSED_SHELL('',(#331789,#331790,#331791,#331792)); #348727=CLOSED_SHELL('',(#331793,#331794,#331795,#331796)); #348728=CLOSED_SHELL('',(#331797,#331798,#331799,#331800)); #348729=CLOSED_SHELL('',(#331801,#331802,#331803,#331804)); #348730=CLOSED_SHELL('',(#331805,#331806,#331807,#331808)); #348731=CLOSED_SHELL('',(#331809,#331810,#331811,#331812)); #348732=CLOSED_SHELL('',(#331813,#331814,#331815,#331816)); #348733=CLOSED_SHELL('',(#331817,#331818,#331819,#331820)); #348734=CLOSED_SHELL('',(#331821,#331822,#331823,#331824)); #348735=CLOSED_SHELL('',(#331825,#331826,#331827,#331828)); #348736=CLOSED_SHELL('',(#331829,#331830,#331831,#331832)); #348737=CLOSED_SHELL('',(#331833,#331834,#331835,#331836)); #348738=CLOSED_SHELL('',(#331837,#331838,#331839,#331840)); #348739=CLOSED_SHELL('',(#331841,#331842,#331843,#331844)); #348740=CLOSED_SHELL('',(#331845,#331846,#331847,#331848)); #348741=CLOSED_SHELL('',(#331849,#331850,#331851,#331852)); #348742=CLOSED_SHELL('',(#331853,#331854,#331855,#331856)); #348743=CLOSED_SHELL('',(#331857,#331858,#331859,#331860)); #348744=CLOSED_SHELL('',(#331861,#331862,#331863,#331864)); #348745=CLOSED_SHELL('',(#331865,#331866,#331867,#331868)); #348746=CLOSED_SHELL('',(#331869,#331870,#331871,#331872)); #348747=CLOSED_SHELL('',(#331873,#331874,#331875,#331876)); #348748=CLOSED_SHELL('',(#331877,#331878,#331879,#331880)); #348749=CLOSED_SHELL('',(#331881,#331882,#331883,#331884)); #348750=CLOSED_SHELL('',(#331885,#331886,#331887,#331888)); #348751=CLOSED_SHELL('',(#331889,#331890,#331891,#331892)); #348752=CLOSED_SHELL('',(#331893,#331894,#331895,#331896)); #348753=CLOSED_SHELL('',(#331897,#331898,#331899,#331900)); #348754=CLOSED_SHELL('',(#331901,#331902,#331903,#331904)); #348755=CLOSED_SHELL('',(#331905,#331906,#331907,#331908)); #348756=CLOSED_SHELL('',(#331909,#331910,#331911,#331912)); #348757=CLOSED_SHELL('',(#331913,#331914,#331915,#331916)); #348758=CLOSED_SHELL('',(#331917,#331918,#331919,#331920)); #348759=CLOSED_SHELL('',(#331921,#331922,#331923,#331924)); #348760=CLOSED_SHELL('',(#331925,#331926,#331927,#331928)); #348761=CLOSED_SHELL('',(#331929,#331930,#331931,#331932)); #348762=CLOSED_SHELL('',(#331933,#331934,#331935,#331936)); #348763=CLOSED_SHELL('',(#331937,#331938,#331939,#331940)); #348764=CLOSED_SHELL('',(#331941,#331942,#331943,#331944)); #348765=CLOSED_SHELL('',(#331945,#331946,#331947,#331948)); #348766=CLOSED_SHELL('',(#331949,#331950,#331951,#331952)); #348767=CLOSED_SHELL('',(#331953,#331954,#331955,#331956)); #348768=CLOSED_SHELL('',(#331957,#331958,#331959,#331960)); #348769=CLOSED_SHELL('',(#331961,#331962,#331963,#331964)); #348770=CLOSED_SHELL('',(#331965,#331966,#331967,#331968)); #348771=CLOSED_SHELL('',(#331969,#331970,#331971,#331972)); #348772=CLOSED_SHELL('',(#331973,#331974,#331975,#331976)); #348773=CLOSED_SHELL('',(#331977,#331978,#331979,#331980)); #348774=CLOSED_SHELL('',(#331981,#331982,#331983,#331984)); #348775=CLOSED_SHELL('',(#331985,#331986,#331987,#331988)); #348776=CLOSED_SHELL('',(#331989,#331990,#331991,#331992)); #348777=CLOSED_SHELL('',(#331993,#331994,#331995,#331996)); #348778=CLOSED_SHELL('',(#331997,#331998,#331999,#332000)); #348779=CLOSED_SHELL('',(#332001,#332002,#332003,#332004)); #348780=CLOSED_SHELL('',(#332005,#332006,#332007,#332008)); #348781=CLOSED_SHELL('',(#332009,#332010,#332011,#332012)); #348782=CLOSED_SHELL('',(#332013,#332014,#332015,#332016)); #348783=CLOSED_SHELL('',(#332017,#332018,#332019,#332020)); #348784=CLOSED_SHELL('',(#332021,#332022,#332023,#332024)); #348785=CLOSED_SHELL('',(#332025,#332026,#332027,#332028)); #348786=CLOSED_SHELL('',(#332029,#332030,#332031,#332032)); #348787=CLOSED_SHELL('',(#332033,#332034,#332035,#332036)); #348788=CLOSED_SHELL('',(#332037,#332038,#332039,#332040)); #348789=CLOSED_SHELL('',(#332041,#332042,#332043,#332044)); #348790=CLOSED_SHELL('',(#332045,#332046,#332047,#332048)); #348791=CLOSED_SHELL('',(#332049,#332050,#332051,#332052)); #348792=CLOSED_SHELL('',(#332053,#332054,#332055,#332056)); #348793=CLOSED_SHELL('',(#332057,#332058,#332059,#332060)); #348794=CLOSED_SHELL('',(#332061,#332062,#332063,#332064)); #348795=CLOSED_SHELL('',(#332065,#332066,#332067,#332068)); #348796=CLOSED_SHELL('',(#332069,#332070,#332071,#332072)); #348797=CLOSED_SHELL('',(#332073,#332074,#332075,#332076)); #348798=CLOSED_SHELL('',(#332077,#332078,#332079,#332080)); #348799=CLOSED_SHELL('',(#332081,#332082,#332083,#332084)); #348800=CLOSED_SHELL('',(#332085,#332086,#332087,#332088)); #348801=CLOSED_SHELL('',(#332089,#332090,#332091,#332092)); #348802=CLOSED_SHELL('',(#332093,#332094,#332095,#332096)); #348803=CLOSED_SHELL('',(#332097,#332098,#332099,#332100)); #348804=CLOSED_SHELL('',(#332101,#332102,#332103,#332104)); #348805=CLOSED_SHELL('',(#332105,#332106,#332107,#332108)); #348806=CLOSED_SHELL('',(#332109,#332110,#332111,#332112)); #348807=CLOSED_SHELL('',(#332113,#332114,#332115,#332116)); #348808=CLOSED_SHELL('',(#332117,#332118,#332119,#332120)); #348809=CLOSED_SHELL('',(#332121,#332122,#332123,#332124)); #348810=CLOSED_SHELL('',(#332125,#332126,#332127,#332128)); #348811=CLOSED_SHELL('',(#332129,#332130,#332131,#332132)); #348812=CLOSED_SHELL('',(#332133,#332134,#332135,#332136)); #348813=CLOSED_SHELL('',(#332137,#332138,#332139,#332140)); #348814=CLOSED_SHELL('',(#332141,#332142,#332143,#332144)); #348815=CLOSED_SHELL('',(#332145,#332146,#332147,#332148)); #348816=CLOSED_SHELL('',(#332149,#332150,#332151,#332152)); #348817=CLOSED_SHELL('',(#332153,#332154,#332155,#332156)); #348818=CLOSED_SHELL('',(#332157,#332158,#332159,#332160)); #348819=CLOSED_SHELL('',(#332161,#332162,#332163,#332164)); #348820=CLOSED_SHELL('',(#332165,#332166,#332167,#332168)); #348821=CLOSED_SHELL('',(#332169,#332170,#332171,#332172)); #348822=CLOSED_SHELL('',(#332173,#332174,#332175,#332176)); #348823=CLOSED_SHELL('',(#332177,#332178,#332179,#332180)); #348824=CLOSED_SHELL('',(#332181,#332182,#332183,#332184)); #348825=CLOSED_SHELL('',(#332185,#332186,#332187,#332188)); #348826=CLOSED_SHELL('',(#332189,#332190,#332191,#332192)); #348827=CLOSED_SHELL('',(#332193,#332194,#332195,#332196,#332197,#332198, #332199,#332200,#332201,#332202)); #348828=CLOSED_SHELL('',(#332203,#332204,#332205,#332206)); #348829=CLOSED_SHELL('',(#332207,#332208,#332209,#332210,#332211,#332212, #332213,#332214,#332215,#332216)); #348830=CLOSED_SHELL('',(#332217,#332218,#332219,#332220)); #348831=CLOSED_SHELL('',(#332221,#332222,#332223,#332224,#332225,#332226, #332227,#332228,#332229,#332230)); #348832=CLOSED_SHELL('',(#332231,#332232,#332233,#332234)); #348833=CLOSED_SHELL('',(#332235,#332236,#332237,#332238)); #348834=CLOSED_SHELL('',(#332239,#332240,#332241,#332242)); #348835=CLOSED_SHELL('',(#332243,#332244,#332245,#332246)); #348836=CLOSED_SHELL('',(#332247,#332248,#332249,#332250)); #348837=CLOSED_SHELL('',(#332251,#332252,#332253,#332254)); #348838=CLOSED_SHELL('',(#332255,#332256,#332257,#332258)); #348839=CLOSED_SHELL('',(#332259,#332260,#332261,#332262)); #348840=CLOSED_SHELL('',(#332263,#332264,#332265,#332266)); #348841=CLOSED_SHELL('',(#332267,#332268,#332269,#332270)); #348842=CLOSED_SHELL('',(#332271,#332272,#332273,#332274,#332275,#332276, #332277,#332278,#332279,#332280)); #348843=CLOSED_SHELL('',(#332281,#332282,#332283,#332284)); #348844=CLOSED_SHELL('',(#332285,#332286,#332287,#332288)); #348845=CLOSED_SHELL('',(#332289,#332290,#332291,#332292)); #348846=CLOSED_SHELL('',(#332293,#332294,#332295,#332296,#332297,#332298, #332299,#332300,#332301,#332302)); #348847=CLOSED_SHELL('',(#332303,#332304,#332305,#332306)); #348848=CLOSED_SHELL('',(#332307,#332308,#332309,#332310,#332311,#332312, #332313,#332314,#332315,#332316)); #348849=CLOSED_SHELL('',(#332317,#332318,#332319,#332320)); #348850=CLOSED_SHELL('',(#332321,#332322,#332323,#332324)); #348851=CLOSED_SHELL('',(#332325,#332326,#332327,#332328)); #348852=CLOSED_SHELL('',(#332329,#332330,#332331,#332332)); #348853=CLOSED_SHELL('',(#332333,#332334,#332335,#332336)); #348854=CLOSED_SHELL('',(#332337,#332338,#332339,#332340)); #348855=CLOSED_SHELL('',(#332341,#332342,#332343,#332344)); #348856=CLOSED_SHELL('',(#332345,#332346,#332347,#332348)); #348857=CLOSED_SHELL('',(#332349,#332350,#332351,#332352)); #348858=CLOSED_SHELL('',(#332353,#332354,#332355,#332356)); #348859=CLOSED_SHELL('',(#332357,#332358,#332359,#332360)); #348860=CLOSED_SHELL('',(#332361,#332362,#332363,#332364)); #348861=CLOSED_SHELL('',(#332365,#332366,#332367,#332368)); #348862=CLOSED_SHELL('',(#332369,#332370,#332371,#332372)); #348863=CLOSED_SHELL('',(#332373,#332374,#332375,#332376)); #348864=CLOSED_SHELL('',(#332377,#332378,#332379,#332380)); #348865=CLOSED_SHELL('',(#332381,#332382,#332383,#332384)); #348866=CLOSED_SHELL('',(#332385,#332386,#332387,#332388)); #348867=CLOSED_SHELL('',(#332389,#332390,#332391,#332392)); #348868=CLOSED_SHELL('',(#332393,#332394,#332395,#332396)); #348869=CLOSED_SHELL('',(#332397,#332398,#332399,#332400)); #348870=CLOSED_SHELL('',(#332401,#332402,#332403,#332404)); #348871=CLOSED_SHELL('',(#332405,#332406,#332407,#332408)); #348872=CLOSED_SHELL('',(#332409,#332410,#332411,#332412)); #348873=CLOSED_SHELL('',(#332413,#332414,#332415,#332416)); #348874=CLOSED_SHELL('',(#332417,#332418,#332419,#332420)); #348875=CLOSED_SHELL('',(#332421,#332422,#332423,#332424)); #348876=CLOSED_SHELL('',(#332425,#332426,#332427,#332428)); #348877=CLOSED_SHELL('',(#332429,#332430,#332431,#332432)); #348878=CLOSED_SHELL('',(#332433,#332434,#332435,#332436)); #348879=CLOSED_SHELL('',(#332437,#332438,#332439,#332440)); #348880=CLOSED_SHELL('',(#332441,#332442,#332443,#332444)); #348881=CLOSED_SHELL('',(#332445,#332446,#332447,#332448)); #348882=CLOSED_SHELL('',(#332449,#332450,#332451,#332452)); #348883=CLOSED_SHELL('',(#332453,#332454,#332455,#332456)); #348884=CLOSED_SHELL('',(#332457,#332458,#332459,#332460)); #348885=CLOSED_SHELL('',(#332461,#332462,#332463,#332464)); #348886=CLOSED_SHELL('',(#332465,#332466,#332467,#332468)); #348887=CLOSED_SHELL('',(#332469,#332470,#332471,#332472)); #348888=CLOSED_SHELL('',(#332473,#332474,#332475,#332476)); #348889=CLOSED_SHELL('',(#332477,#332478,#332479,#332480)); #348890=CLOSED_SHELL('',(#332481,#332482,#332483,#332484)); #348891=CLOSED_SHELL('',(#332485,#332486,#332487,#332488)); #348892=CLOSED_SHELL('',(#332489,#332490,#332491,#332492)); #348893=CLOSED_SHELL('',(#332493,#332494,#332495,#332496)); #348894=CLOSED_SHELL('',(#332497,#332498,#332499,#332500)); #348895=CLOSED_SHELL('',(#332501,#332502,#332503,#332504)); #348896=CLOSED_SHELL('',(#332505,#332506,#332507,#332508)); #348897=CLOSED_SHELL('',(#332509,#332510,#332511,#332512)); #348898=CLOSED_SHELL('',(#332513,#332514,#332515,#332516)); #348899=CLOSED_SHELL('',(#332517,#332518,#332519,#332520)); #348900=CLOSED_SHELL('',(#332521,#332522,#332523,#332524)); #348901=CLOSED_SHELL('',(#332525,#332526,#332527,#332528)); #348902=CLOSED_SHELL('',(#332529,#332530,#332531,#332532)); #348903=CLOSED_SHELL('',(#332533,#332534,#332535,#332536)); #348904=CLOSED_SHELL('',(#332537,#332538,#332539,#332540)); #348905=CLOSED_SHELL('',(#332541,#332542,#332543,#332544)); #348906=CLOSED_SHELL('',(#332545,#332546,#332547,#332548)); #348907=CLOSED_SHELL('',(#332549,#332550,#332551,#332552)); #348908=CLOSED_SHELL('',(#332553,#332554,#332555,#332556)); #348909=CLOSED_SHELL('',(#332557,#332558,#332559,#332560)); #348910=CLOSED_SHELL('',(#332561,#332562,#332563,#332564)); #348911=CLOSED_SHELL('',(#332565,#332566,#332567,#332568)); #348912=CLOSED_SHELL('',(#332569,#332570,#332571,#332572)); #348913=CLOSED_SHELL('',(#332573,#332574,#332575,#332576)); #348914=CLOSED_SHELL('',(#332577,#332578,#332579,#332580)); #348915=CLOSED_SHELL('',(#332581,#332582,#332583,#332584)); #348916=CLOSED_SHELL('',(#332585,#332586,#332587,#332588)); #348917=CLOSED_SHELL('',(#332589,#332590,#332591,#332592)); #348918=CLOSED_SHELL('',(#332593,#332594,#332595,#332596)); #348919=CLOSED_SHELL('',(#332597,#332598,#332599,#332600)); #348920=CLOSED_SHELL('',(#332601,#332602,#332603,#332604)); #348921=CLOSED_SHELL('',(#332605,#332606,#332607,#332608)); #348922=CLOSED_SHELL('',(#332609,#332610,#332611,#332612)); #348923=CLOSED_SHELL('',(#332613,#332614,#332615,#332616)); #348924=CLOSED_SHELL('',(#332617,#332618,#332619,#332620)); #348925=CLOSED_SHELL('',(#332621,#332622,#332623,#332624)); #348926=CLOSED_SHELL('',(#332625,#332626,#332627,#332628)); #348927=CLOSED_SHELL('',(#332629,#332630,#332631,#332632)); #348928=CLOSED_SHELL('',(#332633,#332634,#332635,#332636)); #348929=CLOSED_SHELL('',(#332637,#332638,#332639,#332640)); #348930=CLOSED_SHELL('',(#332641,#332642,#332643,#332644)); #348931=CLOSED_SHELL('',(#332645,#332646,#332647,#332648)); #348932=CLOSED_SHELL('',(#332649,#332650,#332651,#332652)); #348933=CLOSED_SHELL('',(#332653,#332654,#332655,#332656)); #348934=CLOSED_SHELL('',(#332657,#332658,#332659,#332660)); #348935=CLOSED_SHELL('',(#332661,#332662,#332663,#332664)); #348936=CLOSED_SHELL('',(#332665,#332666,#332667,#332668)); #348937=CLOSED_SHELL('',(#332669,#332670,#332671,#332672)); #348938=CLOSED_SHELL('',(#332673,#332674,#332675,#332676)); #348939=CLOSED_SHELL('',(#332677,#332678,#332679,#332680)); #348940=CLOSED_SHELL('',(#332681,#332682,#332683,#332684)); #348941=CLOSED_SHELL('',(#332685,#332686,#332687,#332688)); #348942=CLOSED_SHELL('',(#332689,#332690,#332691,#332692)); #348943=CLOSED_SHELL('',(#332693,#332694,#332695,#332696)); #348944=CLOSED_SHELL('',(#332697,#332698,#332699,#332700)); #348945=CLOSED_SHELL('',(#332701,#332702,#332703,#332704)); #348946=CLOSED_SHELL('',(#332705,#332706,#332707,#332708)); #348947=CLOSED_SHELL('',(#332709,#332710,#332711,#332712)); #348948=CLOSED_SHELL('',(#332713,#332714,#332715,#332716)); #348949=CLOSED_SHELL('',(#332717,#332718,#332719,#332720)); #348950=CLOSED_SHELL('',(#332721,#332722,#332723,#332724)); #348951=CLOSED_SHELL('',(#332725,#332726,#332727,#332728)); #348952=CLOSED_SHELL('',(#332729,#332730,#332731,#332732)); #348953=CLOSED_SHELL('',(#332733,#332734,#332735,#332736)); #348954=CLOSED_SHELL('',(#332737,#332738,#332739,#332740)); #348955=CLOSED_SHELL('',(#332741,#332742,#332743,#332744)); #348956=CLOSED_SHELL('',(#332745,#332746,#332747,#332748)); #348957=CLOSED_SHELL('',(#332749,#332750,#332751,#332752)); #348958=CLOSED_SHELL('',(#332753,#332754,#332755,#332756)); #348959=CLOSED_SHELL('',(#332757,#332758,#332759,#332760)); #348960=CLOSED_SHELL('',(#332761,#332762,#332763,#332764)); #348961=CLOSED_SHELL('',(#332765,#332766,#332767,#332768)); #348962=CLOSED_SHELL('',(#332769,#332770,#332771,#332772)); #348963=CLOSED_SHELL('',(#332773,#332774,#332775,#332776)); #348964=CLOSED_SHELL('',(#332777,#332778,#332779,#332780)); #348965=CLOSED_SHELL('',(#332781,#332782,#332783,#332784)); #348966=CLOSED_SHELL('',(#332785,#332786,#332787,#332788)); #348967=CLOSED_SHELL('',(#332789,#332790,#332791,#332792)); #348968=CLOSED_SHELL('',(#332793,#332794,#332795,#332796)); #348969=CLOSED_SHELL('',(#332797,#332798,#332799,#332800)); #348970=CLOSED_SHELL('',(#332801,#332802,#332803,#332804)); #348971=CLOSED_SHELL('',(#332805,#332806,#332807,#332808)); #348972=CLOSED_SHELL('',(#332809,#332810,#332811,#332812)); #348973=CLOSED_SHELL('',(#332813,#332814,#332815,#332816)); #348974=CLOSED_SHELL('',(#332817,#332818,#332819,#332820)); #348975=CLOSED_SHELL('',(#332821,#332822,#332823,#332824)); #348976=CLOSED_SHELL('',(#332825,#332826,#332827,#332828)); #348977=CLOSED_SHELL('',(#332829,#332830,#332831,#332832)); #348978=CLOSED_SHELL('',(#332833,#332834,#332835,#332836)); #348979=CLOSED_SHELL('',(#332837,#332838,#332839,#332840)); #348980=CLOSED_SHELL('',(#332841,#332842,#332843,#332844)); #348981=CLOSED_SHELL('',(#332845,#332846,#332847,#332848)); #348982=CLOSED_SHELL('',(#332849,#332850,#332851,#332852)); #348983=CLOSED_SHELL('',(#332853,#332854,#332855,#332856)); #348984=CLOSED_SHELL('',(#332857,#332858,#332859,#332860)); #348985=CLOSED_SHELL('',(#332861,#332862,#332863,#332864)); #348986=CLOSED_SHELL('',(#332865,#332866,#332867,#332868)); #348987=CLOSED_SHELL('',(#332869,#332870,#332871,#332872)); #348988=CLOSED_SHELL('',(#332873,#332874,#332875,#332876)); #348989=CLOSED_SHELL('',(#332877,#332878,#332879,#332880)); #348990=CLOSED_SHELL('',(#332881,#332882,#332883,#332884)); #348991=CLOSED_SHELL('',(#332885,#332886,#332887,#332888)); #348992=CLOSED_SHELL('',(#332889,#332890,#332891,#332892)); #348993=CLOSED_SHELL('',(#332893,#332894,#332895,#332896)); #348994=CLOSED_SHELL('',(#332897,#332898,#332899,#332900)); #348995=CLOSED_SHELL('',(#332901,#332902,#332903,#332904)); #348996=CLOSED_SHELL('',(#332905,#332906,#332907,#332908)); #348997=CLOSED_SHELL('',(#332909,#332910,#332911,#332912)); #348998=CLOSED_SHELL('',(#332913,#332914,#332915,#332916)); #348999=CLOSED_SHELL('',(#332917,#332918,#332919,#332920)); #349000=CLOSED_SHELL('',(#332921,#332922,#332923,#332924)); #349001=CLOSED_SHELL('',(#332925,#332926,#332927,#332928)); #349002=CLOSED_SHELL('',(#332929,#332930,#332931,#332932)); #349003=CLOSED_SHELL('',(#332933,#332934,#332935,#332936)); #349004=CLOSED_SHELL('',(#332937,#332938,#332939,#332940)); #349005=CLOSED_SHELL('',(#332941,#332942,#332943,#332944)); #349006=CLOSED_SHELL('',(#332945,#332946,#332947,#332948)); #349007=CLOSED_SHELL('',(#332949,#332950,#332951,#332952)); #349008=CLOSED_SHELL('',(#332953,#332954,#332955,#332956)); #349009=CLOSED_SHELL('',(#332957,#332958,#332959,#332960)); #349010=CLOSED_SHELL('',(#332961,#332962,#332963,#332964,#332965,#332966)); #349011=CLOSED_SHELL('',(#332967,#332968,#332969,#332970,#332971,#332972)); #349012=CLOSED_SHELL('',(#332973,#332974,#332975,#332976,#332977,#332978)); #349013=CLOSED_SHELL('',(#332979,#332980,#332981,#332982,#332983,#332984)); #349014=CLOSED_SHELL('',(#332985,#332986,#332987,#332988,#332989,#332990)); #349015=CLOSED_SHELL('',(#332991,#332992,#332993,#332994,#332995,#332996)); #349016=CLOSED_SHELL('',(#332997,#332998,#332999,#333000,#333001,#333002)); #349017=CLOSED_SHELL('',(#333003,#333004,#333005,#333006,#333007,#333008)); #349018=CLOSED_SHELL('',(#333009,#333010,#333011,#333012,#333013,#333014)); #349019=CLOSED_SHELL('',(#333015,#333016,#333017,#333018,#333019,#333020)); #349020=CLOSED_SHELL('',(#333021,#333022,#333023,#333024,#333025,#333026)); #349021=CLOSED_SHELL('',(#333027,#333028,#333029,#333030,#333031,#333032)); #349022=CLOSED_SHELL('',(#333033,#333034,#333035,#333036,#333037,#333038, #333039,#333040,#333041,#333042,#333043,#333044)); #349023=CLOSED_SHELL('',(#333045,#333046,#333047,#333048,#333049,#333050)); #349024=CLOSED_SHELL('',(#333051,#333052,#333053,#333054,#333055,#333056)); #349025=CLOSED_SHELL('',(#333057,#333058,#333059,#333060,#333061,#333062, #333063,#333064,#333065,#333066,#333067,#333068,#333069,#333070,#333071, #333072)); #349026=CLOSED_SHELL('',(#333073,#333074,#333075,#333076,#333077,#333078, #333079,#333080,#333081,#333082,#333083,#333084,#333085)); #349027=CLOSED_SHELL('',(#333086,#333087,#333088,#333089,#333090,#333091, #333092,#333093,#333094,#333095,#333096,#333097,#333098,#333099,#333100, #333101,#333102,#333103,#333104,#333105,#333106,#333107)); #349028=CLOSED_SHELL('',(#333108,#333109,#333110,#333111,#333112,#333113, #333114,#333115,#333116,#333117,#333118,#333119,#333120,#333121,#333122, #333123,#333124,#333125,#333126,#333127,#333128,#333129,#333130,#333131, #333132,#333133,#333134,#333135,#333136,#333137,#333138,#333139,#333140, #333141,#333142,#333143,#333144,#333145)); #349029=CLOSED_SHELL('',(#333146,#333147,#333148,#333149,#333150,#333151, #333152,#333153,#333154,#333155,#333156,#333157,#333158,#333159,#333160, #333161,#333162,#333163,#333164,#333165,#333166,#333167,#333168,#333169, #333170,#333171,#333172,#333173,#333174,#333175,#333176,#333177,#333178, #333179,#333180,#333181,#333182,#333183)); #349030=CLOSED_SHELL('',(#333184,#333185,#333186,#333187,#333188,#333189, #333190,#333191,#333192,#333193,#333194,#333195,#333196,#333197,#333198, #333199,#333200,#333201,#333202,#333203,#333204,#333205,#333206,#333207, #333208)); #349031=CLOSED_SHELL('',(#333209,#333210,#333211,#333212,#333213,#333214, #333215,#333216,#333217,#333218,#333219,#333220,#333221,#333222,#333223, #333224,#333225,#333226,#333227)); #349032=CLOSED_SHELL('',(#333228,#333229,#333230,#333231,#333232,#333233, #333234,#333235,#333236,#333237,#333238,#333239,#333240,#333241,#333242)); #349033=CLOSED_SHELL('',(#333243,#333244,#333245,#333246,#333247,#333248, #333249,#333250,#333251,#333252,#333253,#333254,#333255,#333256,#333257, #333258,#333259)); #349034=CLOSED_SHELL('',(#333260,#333261,#333262,#333263,#333264,#333265, #333266,#333267,#333268,#333269,#333270,#333271)); #349035=CLOSED_SHELL('',(#333272,#333273,#333274,#333275,#333276,#333277, #333278,#333279,#333280,#333281,#333282,#333283,#333284,#333285,#333286, #333287,#333288,#333289,#333290)); #349036=CLOSED_SHELL('',(#333291,#333292,#333293,#333294,#333295,#333296, #333297,#333298,#333299,#333300,#333301,#333302,#333303,#333304,#333305, #333306,#333307,#333308,#333309,#333310,#333311,#333312,#333313,#333314, #333315)); #349037=CLOSED_SHELL('',(#333316,#333317,#333318,#333319,#333320,#333321, #333322,#333323,#333324,#333325,#333326,#333327,#333328,#333329,#333330, #333331,#333332,#333333,#333334,#333335,#333336,#333337,#333338,#333339, #333340,#333341,#333342,#333343)); #349038=CLOSED_SHELL('',(#333344,#333345,#333346,#333347,#333348,#333349, #333350,#333351,#333352,#333353,#333354,#333355,#333356,#333357,#333358, #333359,#333360,#333361,#333362,#333363,#333364,#333365,#333366,#333367, #333368,#333369,#333370)); #349039=CLOSED_SHELL('',(#333371,#333372,#333373,#333374,#333375,#333376, #333377,#333378,#333379,#333380,#333381,#333382,#333383,#333384)); #349040=CLOSED_SHELL('',(#333385,#333386,#333387,#333388,#333389,#333390, #333391,#333392,#333393,#333394,#333395,#333396,#333397,#333398,#333399, #333400,#333401,#333402,#333403,#333404,#333405,#333406,#333407,#333408, #333409,#333410,#333411,#333412,#333413,#333414,#333415,#333416,#333417, #333418,#333419,#333420,#333421,#333422,#333423,#333424)); #349041=CLOSED_SHELL('',(#333425,#333426,#333427,#333428,#333429,#333430, #333431,#333432,#333433,#333434,#333435,#333436,#333437,#333438,#333439, #333440,#333441,#333442,#333443,#333444,#333445,#333446,#333447,#333448, #333449,#333450,#333451,#333452,#333453,#333454,#333455,#333456,#333457, #333458,#333459,#333460,#333461,#333462,#333463,#333464)); #349042=CLOSED_SHELL('',(#333465,#333466,#333467,#333468,#333469,#333470, #333471,#333472,#333473,#333474,#333475,#333476,#333477,#333478,#333479, #333480,#333481,#333482,#333483,#333484,#333485,#333486)); #349043=CLOSED_SHELL('',(#333487,#333488,#333489,#333490,#333491,#333492, #333493,#333494,#333495,#333496,#333497,#333498,#333499,#333500,#333501, #333502,#333503,#333504,#333505,#333506,#333507,#333508,#333509,#333510)); #349044=CLOSED_SHELL('',(#333511,#333512,#333513,#333514,#333515,#333516, #333517,#333518,#333519,#333520,#333521,#333522,#333523,#333524,#333525, #333526,#333527,#333528,#333529)); #349045=CLOSED_SHELL('',(#333530,#333531,#333532,#333533,#333534,#333535, #333536,#333537,#333538,#333539,#333540,#333541,#333542,#333543,#333544, #333545)); #349046=CLOSED_SHELL('',(#333546,#333547,#333548,#333549,#333550,#333551, #333552,#333553,#333554,#333555,#333556,#333557,#333558,#333559,#333560, #333561,#333562,#333563,#333564,#333565,#333566)); #349047=CLOSED_SHELL('',(#333567,#333568,#333569,#333570,#333571,#333572, #333573,#333574,#333575,#333576,#333577,#333578,#333579,#333580,#333581, #333582)); #349048=CLOSED_SHELL('',(#333583,#333584,#333585,#333586,#333587,#333588, #333589,#333590,#333591,#333592,#333593,#333594,#333595,#333596,#333597, #333598,#333599,#333600,#333601,#333602,#333603)); #349049=CLOSED_SHELL('',(#333604,#333605,#333606,#333607,#333608,#333609, #333610,#333611,#333612,#333613,#333614,#333615,#333616,#333617)); #349050=CLOSED_SHELL('',(#333618,#333619,#333620,#333621,#333622,#333623, #333624,#333625,#333626,#333627,#333628,#333629,#333630,#333631)); #349051=CLOSED_SHELL('',(#333632,#333633,#333634,#333635,#333636,#333637, #333638,#333639,#333640,#333641,#333642,#333643,#333644,#333645,#333646, #333647,#333648,#333649,#333650,#333651,#333652,#333653,#333654,#333655, #333656,#333657)); #349052=CLOSED_SHELL('',(#333658,#333659,#333660,#333661,#333662,#333663, #333664,#333665,#333666,#333667,#333668,#333669,#333670,#333671,#333672, #333673,#333674,#333675,#333676,#333677)); #349053=CLOSED_SHELL('',(#333678,#333679,#333680,#333681,#333682,#333683, #333684,#333685,#333686,#333687,#333688,#333689,#333690,#333691,#333692, #333693,#333694,#333695,#333696,#333697,#333698,#333699,#333700,#333701, #333702,#333703)); #349054=CLOSED_SHELL('',(#333704,#333705,#333706,#333707,#333708,#333709, #333710,#333711,#333712,#333713,#333714,#333715,#333716,#333717,#333718, #333719,#333720,#333721,#333722,#333723,#333724,#333725,#333726,#333727, #333728,#333729)); #349055=CLOSED_SHELL('',(#333730,#333731,#333732,#333733,#333734,#333735, #333736)); #349056=CLOSED_SHELL('',(#333737,#333738,#333739,#333740,#333741,#333742, #333743,#333744,#333745,#333746,#333747,#333748,#333749,#333750,#333751, #333752,#333753,#333754,#333755,#333756,#333757,#333758,#333759,#333760, #333761,#333762,#333763)); #349057=CLOSED_SHELL('',(#333764,#333765,#333766,#333767,#333768,#333769, #333770,#333771,#333772,#333773,#333774,#333775,#333776,#333777,#333778, #333779,#333780,#333781)); #349058=CLOSED_SHELL('',(#333782,#333783,#333784,#333785,#333786,#333787, #333788,#333789,#333790,#333791,#333792,#333793,#333794,#333795,#333796, #333797,#333798,#333799,#333800,#333801,#333802,#333803,#333804,#333805, #333806,#333807,#333808,#333809,#333810,#333811,#333812,#333813,#333814, #333815,#333816,#333817,#333818,#333819,#333820,#333821,#333822,#333823, #333824,#333825,#333826,#333827)); #349059=CLOSED_SHELL('',(#333828,#333829,#333830,#333831,#333832,#333833, #333834,#333835,#333836,#333837,#333838,#333839,#333840,#333841,#333842, #333843,#333844,#333845,#333846,#333847,#333848,#333849,#333850,#333851)); #349060=CLOSED_SHELL('',(#333852,#333853,#333854,#333855,#333856,#333857, #333858)); #349061=CLOSED_SHELL('',(#333859,#333860,#333861,#333862,#333863,#333864, #333865)); #349062=CLOSED_SHELL('',(#333866,#333867,#333868,#333869,#333870,#333871, #333872,#333873,#333874,#333875,#333876,#333877,#333878,#333879,#333880, #333881,#333882)); #349063=CLOSED_SHELL('',(#333883,#333884,#333885,#333886,#333887,#333888)); #349064=CLOSED_SHELL('',(#333889,#333890,#333891,#333892,#333893,#333894, #333895,#333896,#333897,#333898,#333899)); #349065=CLOSED_SHELL('',(#333900,#333901,#333902,#333903,#333904,#333905)); #349066=CLOSED_SHELL('',(#333906,#333907,#333908,#333909,#333910,#333911, #333912)); #349067=CLOSED_SHELL('',(#333913,#333914,#333915,#333916,#333917,#333918, #333919,#333920,#333921,#333922,#333923,#333924,#333925,#333926,#333927, #333928,#333929,#333930,#333931,#333932,#333933,#333934,#333935,#333936, #333937,#333938,#333939,#333940,#333941,#333942,#333943,#333944,#333945, #333946,#333947,#333948,#333949,#333950,#333951,#333952,#333953,#333954, #333955,#333956,#333957,#333958)); #349068=CLOSED_SHELL('',(#333959,#333960,#333961,#333962,#333963,#333964, #333965,#333966,#333967,#333968,#333969,#333970,#333971,#333972,#333973, #333974,#333975,#333976,#333977,#333978,#333979,#333980,#333981,#333982)); #349069=CLOSED_SHELL('',(#333983,#333984,#333985,#333986,#333987,#333988, #333989,#333990,#333991,#333992,#333993,#333994,#333995,#333996)); #349070=CLOSED_SHELL('',(#333997,#333998,#333999,#334000,#334001,#334002, #334003,#334004,#334005,#334006,#334007,#334008,#334009,#334010)); #349071=CLOSED_SHELL('',(#334011,#334012,#334013,#334014,#334015,#334016, #334017,#334018,#334019,#334020,#334021,#334022,#334023,#334024,#334025, #334026,#334027,#334028,#334029,#334030)); #349072=CLOSED_SHELL('',(#334031,#334032,#334033,#334034,#334035,#334036, #334037,#334038,#334039,#334040,#334041,#334042,#334043,#334044,#334045, #334046,#334047,#334048,#334049,#334050,#334051,#334052,#334053,#334054, #334055)); #349073=CLOSED_SHELL('',(#334056,#334057,#334058,#334059,#334060,#334061, #334062,#334063,#334064,#334065,#334066,#334067,#334068,#334069,#334070)); #349074=CLOSED_SHELL('',(#334071,#334072,#334073,#334074,#334075,#334076, #334077,#334078,#334079,#334080,#334081,#334082,#334083,#334084,#334085, #334086,#334087,#334088,#334089,#334090,#334091,#334092,#334093,#334094, #334095,#334096,#334097,#334098,#334099,#334100,#334101,#334102,#334103, #334104,#334105,#334106,#334107,#334108)); #349075=CLOSED_SHELL('',(#334109,#334110,#334111,#334112,#334113,#334114, #334115,#334116,#334117,#334118,#334119,#334120,#334121)); #349076=CLOSED_SHELL('',(#334122,#334123,#334124,#334125,#334126,#334127, #334128,#334129,#334130,#334131,#334132,#334133,#334134,#334135,#334136, #334137,#334138,#334139,#334140,#334141,#334142,#334143,#334144,#334145, #334146)); #349077=CLOSED_SHELL('',(#334147,#334148,#334149,#334150,#334151,#334152, #334153,#334154,#334155,#334156,#334157,#334158,#334159,#334160,#334161, #334162)); #349078=CLOSED_SHELL('',(#334163,#334164,#334165,#334166,#334167,#334168, #334169,#334170,#334171,#334172,#334173,#334174,#334175,#334176,#334177, #334178,#334179,#334180,#334181,#334182,#334183,#334184,#334185,#334186, #334187,#334188,#334189,#334190,#334191,#334192,#334193,#334194,#334195, #334196,#334197,#334198,#334199,#334200,#334201,#334202,#334203,#334204, #334205,#334206,#334207,#334208,#334209,#334210,#334211,#334212,#334213, #334214,#334215,#334216,#334217,#334218,#334219,#334220,#334221,#334222, #334223,#334224,#334225,#334226,#334227,#334228,#334229,#334230,#334231, #334232,#334233,#334234,#334235,#334236,#334237,#334238,#334239,#334240, #334241,#334242,#334243,#334244,#334245,#334246,#334247,#334248,#334249, #334250,#334251,#334252,#334253,#334254,#334255,#334256,#334257,#334258, #334259,#334260,#334261,#334262,#334263,#334264,#334265,#334266,#334267, #334268,#334269,#334270,#334271,#334272,#334273,#334274,#334275,#334276, #334277,#334278,#334279,#334280,#334281,#334282,#334283,#334284,#334285, #334286,#334287,#334288,#334289,#334290,#334291,#334292,#334293,#334294, #334295,#334296,#334297,#334298,#334299,#334300,#334301,#334302,#334303, #334304,#334305,#334306,#334307,#334308)); #349079=CLOSED_SHELL('',(#334309,#334310,#334311,#334312,#334313,#334314)); #349080=CLOSED_SHELL('',(#334315,#334316,#334317,#334318,#334319,#334320, #334321,#334322,#334323,#334324,#334325,#334326,#334327,#334328,#334329, #334330,#334331,#334332,#334333,#334334,#334335,#334336)); #349081=CLOSED_SHELL('',(#334337,#334338,#334339,#334340,#334341,#334342, #334343,#334344,#334345,#334346,#334347,#334348,#334349,#334350,#334351, #334352,#334353,#334354,#334355,#334356,#334357,#334358,#334359)); #349082=CLOSED_SHELL('',(#334360,#334361,#334362,#334363,#334364,#334365, #334366,#334367,#334368,#334369,#334370,#334371,#334372,#334373,#334374, #334375,#334376,#334377,#334378,#334379,#334380,#334381)); #349083=CLOSED_SHELL('',(#334382,#334383,#334384,#334385,#334386,#334387, #334388,#334389,#334390,#334391,#334392,#334393,#334394,#334395,#334396, #334397,#334398,#334399,#334400,#334401,#334402,#334403,#334404)); #349084=CLOSED_SHELL('',(#334405,#334406,#334407,#334408,#334409,#334410, #334411,#334412,#334413,#334414,#334415,#334416,#334417,#334418,#334419, #334420,#334421,#334422,#334423,#334424,#334425,#334426,#334427,#334428, #334429,#334430,#334431,#334432,#334433,#334434,#334435,#334436,#334437, #334438,#334439,#334440,#334441,#334442,#334443,#334444,#334445,#334446, #334447,#334448,#334449,#334450,#334451,#334452,#334453,#334454,#334455, #334456,#334457,#334458,#334459,#334460,#334461,#334462,#334463,#334464, #334465,#334466,#334467,#334468,#334469,#334470,#334471,#334472,#334473, #334474,#334475,#334476,#334477,#334478,#334479,#334480,#334481,#334482, #334483,#334484,#334485,#334486,#334487,#334488,#334489,#334490,#334491, #334492,#334493,#334494,#334495,#334496,#334497,#334498,#334499)); #349085=CLOSED_SHELL('',(#334500,#334501,#334502,#334503,#334504,#334505, #334506,#334507,#334508,#334509,#334510,#334511,#334512,#334513,#334514, #334515,#334516,#334517,#334518,#334519,#334520,#334521,#334522,#334523, #334524,#334525,#334526,#334527,#334528,#334529,#334530,#334531,#334532, #334533,#334534,#334535)); #349086=CLOSED_SHELL('',(#334536,#334537,#334538,#334539,#334540,#334541, #334542,#334543,#334544,#334545,#334546,#334547,#334548,#334549,#334550, #334551,#334552,#334553,#334554,#334555,#334556,#334557)); #349087=CLOSED_SHELL('',(#334558,#334559,#334560,#334561,#334562,#334563, #334564,#334565,#334566,#334567,#334568,#334569,#334570,#334571,#334572, #334573,#334574,#334575,#334576,#334577,#334578,#334579,#334580)); #349088=CLOSED_SHELL('',(#334581,#334582,#334583,#334584,#334585,#334586, #334587,#334588,#334589,#334590,#334591,#334592,#334593,#334594,#334595, #334596,#334597,#334598,#334599,#334600,#334601,#334602,#334603,#334604, #334605,#334606,#334607,#334608,#334609,#334610,#334611,#334612,#334613, #334614,#334615,#334616,#334617,#334618,#334619,#334620,#334621,#334622, #334623,#334624,#334625,#334626,#334627,#334628,#334629,#334630,#334631, #334632,#334633,#334634,#334635,#334636,#334637,#334638,#334639,#334640, #334641,#334642,#334643,#334644,#334645,#334646,#334647,#334648,#334649, #334650,#334651,#334652,#334653,#334654,#334655,#334656,#334657,#334658, #334659,#334660,#334661,#334662,#334663,#334664,#334665,#334666,#334667, #334668,#334669,#334670,#334671,#334672,#334673,#334674,#334675,#334676, #334677,#334678,#334679,#334680,#334681,#334682,#334683,#334684,#334685, #334686,#334687,#334688,#334689,#334690,#334691,#334692,#334693,#334694, #334695,#334696,#334697,#334698,#334699,#334700,#334701,#334702,#334703, #334704,#334705,#334706,#334707,#334708,#334709,#334710,#334711,#334712, #334713,#334714,#334715,#334716,#334717,#334718,#334719,#334720,#334721, #334722,#334723,#334724,#334725,#334726,#334727,#334728,#334729,#334730, #334731,#334732,#334733,#334734,#334735,#334736,#334737,#334738,#334739, #334740,#334741,#334742,#334743,#334744,#334745,#334746,#334747,#334748, #334749,#334750,#334751,#334752,#334753,#334754,#334755,#334756,#334757, #334758,#334759,#334760,#334761,#334762,#334763,#334764,#334765,#334766, #334767,#334768,#334769,#334770,#334771,#334772,#334773,#334774,#334775, #334776,#334777,#334778,#334779,#334780,#334781,#334782,#334783,#334784, #334785,#334786,#334787,#334788,#334789,#334790,#334791,#334792,#334793, #334794,#334795,#334796,#334797,#334798,#334799,#334800,#334801,#334802, #334803,#334804,#334805,#334806,#334807,#334808,#334809,#334810,#334811, #334812,#334813,#334814,#334815,#334816,#334817,#334818,#334819,#334820, #334821,#334822,#334823)); #349089=CLOSED_SHELL('',(#334824,#334825,#334826,#334827,#334828,#334829, #334830,#334831,#334832,#334833,#334834,#334835,#334836,#334837,#334838, #334839,#334840,#334841,#334842,#334843,#334844,#334845,#334846)); #349090=CLOSED_SHELL('',(#334847,#334848,#334849,#334850,#334851,#334852, #334853,#334854,#334855,#334856,#334857,#334858,#334859,#334860,#334861, #334862,#334863,#334864,#334865,#334866,#334867,#334868)); #349091=CLOSED_SHELL('',(#334869,#334870,#334871,#334872,#334873,#334874, #334875,#334876,#334877,#334878,#334879,#334880,#334881,#334882,#334883, #334884,#334885,#334886,#334887,#334888,#334889,#334890,#334891,#334892, #334893,#334894,#334895,#334896,#334897,#334898,#334899,#334900,#334901, #334902,#334903,#334904,#334905,#334906,#334907,#334908,#334909,#334910, #334911,#334912,#334913,#334914,#334915,#334916,#334917,#334918,#334919, #334920,#334921,#334922,#334923,#334924,#334925,#334926,#334927,#334928, #334929,#334930,#334931,#334932,#334933,#334934,#334935,#334936,#334937, #334938,#334939,#334940,#334941,#334942,#334943,#334944,#334945,#334946, #334947,#334948,#334949,#334950,#334951,#334952,#334953,#334954,#334955, #334956,#334957,#334958,#334959,#334960,#334961,#334962,#334963,#334964, #334965,#334966,#334967,#334968,#334969,#334970,#334971,#334972,#334973, #334974,#334975,#334976,#334977,#334978,#334979,#334980,#334981,#334982, #334983,#334984,#334985,#334986,#334987,#334988,#334989,#334990,#334991, #334992,#334993,#334994,#334995,#334996,#334997,#334998,#334999,#335000, #335001,#335002,#335003,#335004,#335005,#335006,#335007,#335008,#335009, #335010,#335011,#335012,#335013,#335014,#335015,#335016,#335017,#335018, #335019,#335020,#335021,#335022,#335023,#335024,#335025,#335026,#335027, #335028,#335029,#335030,#335031,#335032,#335033,#335034,#335035,#335036, #335037,#335038,#335039,#335040,#335041,#335042,#335043,#335044,#335045, #335046,#335047,#335048,#335049,#335050,#335051,#335052,#335053,#335054, #335055,#335056,#335057,#335058,#335059,#335060,#335061,#335062,#335063, #335064,#335065,#335066,#335067,#335068,#335069,#335070,#335071,#335072, #335073,#335074,#335075,#335076,#335077,#335078,#335079,#335080,#335081, #335082,#335083,#335084,#335085,#335086,#335087,#335088,#335089,#335090, #335091,#335092,#335093,#335094,#335095,#335096,#335097,#335098,#335099, #335100,#335101,#335102,#335103,#335104,#335105,#335106,#335107,#335108, #335109,#335110,#335111,#335112,#335113,#335114,#335115,#335116,#335117, #335118,#335119,#335120,#335121,#335122,#335123,#335124,#335125,#335126, #335127,#335128,#335129,#335130,#335131,#335132,#335133,#335134,#335135, #335136,#335137,#335138,#335139,#335140,#335141,#335142,#335143,#335144, #335145,#335146,#335147,#335148,#335149,#335150,#335151,#335152,#335153, #335154,#335155,#335156,#335157,#335158,#335159,#335160,#335161,#335162, #335163,#335164,#335165,#335166,#335167,#335168,#335169,#335170,#335171, #335172,#335173,#335174,#335175,#335176,#335177,#335178,#335179,#335180, #335181,#335182,#335183,#335184,#335185,#335186,#335187,#335188,#335189, #335190,#335191,#335192,#335193,#335194,#335195,#335196,#335197,#335198, #335199,#335200,#335201,#335202,#335203,#335204,#335205,#335206,#335207, #335208,#335209,#335210,#335211,#335212,#335213,#335214,#335215,#335216, #335217,#335218,#335219,#335220,#335221,#335222,#335223,#335224,#335225, #335226,#335227,#335228,#335229,#335230,#335231,#335232,#335233,#335234, #335235,#335236,#335237,#335238,#335239,#335240,#335241,#335242,#335243, #335244,#335245,#335246,#335247,#335248,#335249,#335250,#335251,#335252, #335253,#335254,#335255,#335256,#335257,#335258,#335259,#335260,#335261, #335262,#335263,#335264,#335265,#335266,#335267,#335268,#335269,#335270, #335271,#335272,#335273,#335274,#335275,#335276,#335277,#335278,#335279, #335280,#335281,#335282,#335283,#335284,#335285,#335286,#335287,#335288, #335289,#335290,#335291,#335292,#335293,#335294,#335295,#335296,#335297, #335298,#335299,#335300,#335301,#335302,#335303,#335304,#335305,#335306, #335307,#335308,#335309,#335310,#335311,#335312,#335313,#335314,#335315, #335316,#335317,#335318,#335319,#335320,#335321,#335322,#335323,#335324, #335325,#335326,#335327,#335328,#335329,#335330,#335331,#335332,#335333, #335334,#335335,#335336,#335337,#335338,#335339,#335340,#335341,#335342, #335343,#335344,#335345,#335346,#335347,#335348,#335349,#335350,#335351, #335352,#335353,#335354,#335355,#335356,#335357,#335358,#335359,#335360, #335361,#335362,#335363,#335364,#335365,#335366,#335367,#335368,#335369, #335370,#335371,#335372,#335373,#335374,#335375,#335376,#335377,#335378, #335379,#335380,#335381,#335382,#335383,#335384,#335385,#335386,#335387, #335388,#335389,#335390,#335391,#335392,#335393,#335394,#335395,#335396, #335397,#335398,#335399,#335400,#335401,#335402,#335403,#335404,#335405, #335406,#335407,#335408,#335409,#335410,#335411,#335412,#335413,#335414, #335415,#335416,#335417,#335418,#335419,#335420,#335421,#335422,#335423, #335424,#335425,#335426,#335427,#335428,#335429,#335430,#335431,#335432, #335433,#335434,#335435,#335436,#335437,#335438,#335439,#335440,#335441, #335442,#335443,#335444,#335445,#335446,#335447,#335448,#335449,#335450, #335451,#335452,#335453,#335454,#335455,#335456,#335457,#335458,#335459, #335460,#335461,#335462,#335463,#335464,#335465,#335466,#335467,#335468, #335469,#335470,#335471,#335472,#335473,#335474,#335475,#335476,#335477, #335478,#335479,#335480,#335481,#335482,#335483,#335484,#335485,#335486, #335487,#335488,#335489,#335490,#335491,#335492,#335493,#335494,#335495, #335496,#335497,#335498,#335499,#335500,#335501,#335502,#335503,#335504, #335505,#335506,#335507,#335508,#335509,#335510,#335511,#335512,#335513, #335514,#335515,#335516,#335517,#335518,#335519,#335520,#335521,#335522, #335523,#335524,#335525,#335526,#335527,#335528,#335529,#335530,#335531, #335532,#335533,#335534,#335535,#335536,#335537,#335538,#335539,#335540, #335541,#335542,#335543,#335544,#335545,#335546,#335547,#335548,#335549, #335550,#335551,#335552,#335553,#335554,#335555,#335556,#335557,#335558, #335559,#335560,#335561,#335562,#335563,#335564,#335565,#335566,#335567, #335568,#335569,#335570,#335571,#335572,#335573,#335574,#335575,#335576, #335577,#335578,#335579,#335580,#335581,#335582,#335583,#335584,#335585, #335586,#335587,#335588,#335589,#335590,#335591,#335592,#335593,#335594, #335595,#335596,#335597,#335598,#335599,#335600,#335601,#335602,#335603, #335604,#335605,#335606,#335607,#335608,#335609,#335610,#335611,#335612, #335613,#335614,#335615,#335616,#335617,#335618,#335619,#335620,#335621, #335622,#335623,#335624,#335625,#335626,#335627,#335628,#335629,#335630, #335631,#335632,#335633,#335634,#335635,#335636,#335637,#335638,#335639, #335640,#335641,#335642,#335643,#335644,#335645,#335646,#335647,#335648, #335649,#335650,#335651,#335652,#335653,#335654,#335655,#335656,#335657, #335658,#335659,#335660,#335661,#335662,#335663,#335664,#335665,#335666, #335667,#335668,#335669,#335670,#335671,#335672,#335673,#335674,#335675, #335676,#335677,#335678,#335679,#335680,#335681,#335682,#335683,#335684, #335685,#335686,#335687,#335688,#335689,#335690,#335691,#335692,#335693, #335694,#335695,#335696,#335697,#335698,#335699,#335700,#335701,#335702, #335703,#335704,#335705,#335706,#335707,#335708,#335709,#335710,#335711, #335712,#335713,#335714,#335715,#335716,#335717,#335718,#335719,#335720, #335721,#335722,#335723,#335724,#335725,#335726,#335727,#335728,#335729, #335730,#335731,#335732,#335733,#335734,#335735,#335736,#335737,#335738, #335739,#335740,#335741,#335742,#335743,#335744,#335745,#335746,#335747, #335748,#335749,#335750,#335751,#335752,#335753,#335754,#335755,#335756, #335757,#335758,#335759,#335760,#335761,#335762,#335763,#335764,#335765, #335766,#335767,#335768,#335769,#335770,#335771,#335772,#335773,#335774, #335775,#335776,#335777,#335778,#335779,#335780,#335781,#335782,#335783, #335784,#335785,#335786,#335787,#335788,#335789,#335790,#335791,#335792, #335793,#335794,#335795,#335796,#335797,#335798,#335799,#335800,#335801, #335802,#335803,#335804,#335805,#335806,#335807,#335808,#335809,#335810, #335811,#335812,#335813,#335814,#335815,#335816,#335817,#335818,#335819, #335820,#335821,#335822,#335823,#335824,#335825,#335826,#335827,#335828, #335829,#335830,#335831,#335832,#335833,#335834,#335835,#335836,#335837, #335838,#335839,#335840,#335841,#335842,#335843,#335844,#335845,#335846, #335847,#335848,#335849,#335850,#335851,#335852,#335853,#335854,#335855, #335856,#335857,#335858,#335859,#335860,#335861,#335862,#335863,#335864, #335865,#335866,#335867,#335868,#335869,#335870,#335871,#335872,#335873, #335874,#335875,#335876,#335877,#335878,#335879,#335880,#335881)); #349092=CLOSED_SHELL('',(#335882,#335883,#335884,#335885,#335886,#335887, #335888,#335889,#335890,#335891,#335892,#335893,#335894,#335895,#335896, #335897,#335898,#335899,#335900,#335901,#335902,#335903,#335904)); #349093=CLOSED_SHELL('',(#335905,#335906,#335907,#335908,#335909,#335910, #335911,#335912,#335913,#335914,#335915,#335916,#335917,#335918,#335919, #335920,#335921,#335922,#335923,#335924,#335925,#335926,#335927,#335928, #335929,#335930,#335931,#335932)); #349094=CLOSED_SHELL('',(#335933,#335934,#335935,#335936,#335937,#335938, #335939,#335940,#335941,#335942,#335943,#335944,#335945,#335946,#335947, #335948,#335949,#335950,#335951,#335952,#335953,#335954)); #349095=CLOSED_SHELL('',(#335955,#335956,#335957,#335958,#335959,#335960, #335961,#335962,#335963,#335964,#335965,#335966,#335967,#335968,#335969, #335970,#335971,#335972,#335973,#335974,#335975,#335976,#335977,#335978, #335979)); #349096=CLOSED_SHELL('',(#335980,#335981,#335982,#335983,#335984,#335985, #335986,#335987,#335988,#335989,#335990,#335991,#335992,#335993,#335994, #335995,#335996,#335997,#335998,#335999,#336000,#336001,#336002)); #349097=CLOSED_SHELL('',(#336003,#336004,#336005,#336006,#336007,#336008, #336009,#336010,#336011,#336012,#336013,#336014,#336015,#336016,#336017, #336018,#336019,#336020,#336021,#336022,#336023,#336024,#336025,#336026, #336027,#336028,#336029,#336030,#336031,#336032,#336033,#336034)); #349098=CLOSED_SHELL('',(#336035,#336036,#336037,#336038,#336039,#336040, #336041,#336042,#336043,#336044,#336045,#336046,#336047,#336048,#336049, #336050,#336051,#336052,#336053,#336054,#336055,#336056)); #349099=CLOSED_SHELL('',(#336057,#336058,#336059,#336060,#336061,#336062, #336063,#336064,#336065,#336066,#336067,#336068,#336069,#336070,#336071, #336072,#336073,#336074,#336075,#336076,#336077,#336078,#336079,#336080, #336081,#336082,#336083,#336084,#336085,#336086,#336087,#336088,#336089, #336090,#336091)); #349100=CLOSED_SHELL('',(#336092,#336093,#336094,#336095,#336096,#336097, #336098,#336099,#336100,#336101,#336102,#336103,#336104,#336105,#336106, #336107,#336108,#336109,#336110,#336111,#336112,#336113,#336114,#336115, #336116,#336117,#336118,#336119,#336120,#336121,#336122,#336123,#336124, #336125,#336126,#336127,#336128,#336129,#336130,#336131,#336132,#336133, #336134,#336135,#336136,#336137,#336138,#336139,#336140,#336141,#336142, #336143,#336144,#336145,#336146,#336147,#336148,#336149,#336150,#336151, #336152,#336153,#336154,#336155,#336156,#336157,#336158,#336159,#336160, #336161,#336162,#336163,#336164,#336165,#336166,#336167,#336168,#336169, #336170,#336171,#336172,#336173,#336174,#336175,#336176,#336177,#336178, #336179,#336180,#336181,#336182,#336183)); #349101=CLOSED_SHELL('',(#336184,#336185,#336186,#336187,#336188,#336189, #336190,#336191,#336192,#336193,#336194,#336195,#336196,#336197,#336198, #336199,#336200,#336201,#336202,#336203,#336204,#336205,#336206,#336207, #336208,#336209,#336210,#336211,#336212,#336213,#336214)); #349102=CLOSED_SHELL('',(#336215,#336216,#336217,#336218,#336219,#336220, #336221,#336222,#336223,#336224,#336225,#336226,#336227,#336228,#336229, #336230,#336231,#336232,#336233,#336234,#336235)); #349103=CLOSED_SHELL('',(#336236,#336237,#336238,#336239,#336240,#336241, #336242,#336243,#336244,#336245,#336246,#336247,#336248,#336249,#336250, #336251,#336252,#336253,#336254,#336255,#336256,#336257,#336258,#336259, #336260,#336261,#336262,#336263,#336264,#336265,#336266,#336267,#336268, #336269,#336270,#336271,#336272,#336273,#336274,#336275,#336276,#336277, #336278,#336279,#336280,#336281,#336282,#336283,#336284,#336285,#336286, #336287,#336288,#336289,#336290,#336291,#336292,#336293,#336294,#336295, #336296,#336297,#336298,#336299,#336300,#336301,#336302,#336303,#336304, #336305,#336306,#336307,#336308,#336309,#336310,#336311,#336312,#336313, #336314,#336315,#336316,#336317,#336318,#336319,#336320,#336321,#336322, #336323,#336324,#336325,#336326,#336327,#336328,#336329,#336330,#336331, #336332,#336333,#336334,#336335,#336336,#336337,#336338,#336339,#336340, #336341,#336342,#336343,#336344,#336345,#336346,#336347,#336348,#336349, #336350,#336351,#336352,#336353,#336354,#336355,#336356,#336357,#336358, #336359,#336360,#336361,#336362,#336363,#336364,#336365,#336366,#336367, #336368,#336369,#336370,#336371,#336372,#336373,#336374,#336375,#336376, #336377,#336378,#336379,#336380,#336381,#336382,#336383,#336384,#336385, #336386,#336387,#336388,#336389,#336390,#336391,#336392,#336393,#336394, #336395,#336396,#336397,#336398,#336399,#336400,#336401,#336402,#336403, #336404,#336405,#336406,#336407,#336408,#336409,#336410,#336411,#336412, #336413,#336414,#336415,#336416,#336417,#336418,#336419,#336420,#336421, #336422,#336423,#336424,#336425,#336426,#336427,#336428,#336429,#336430, #336431,#336432,#336433,#336434,#336435,#336436,#336437,#336438,#336439, #336440,#336441,#336442,#336443,#336444,#336445,#336446,#336447,#336448, #336449,#336450,#336451,#336452,#336453,#336454,#336455,#336456,#336457, #336458,#336459,#336460,#336461,#336462,#336463,#336464,#336465,#336466, #336467,#336468,#336469,#336470,#336471,#336472,#336473,#336474,#336475, #336476,#336477,#336478,#336479,#336480,#336481,#336482,#336483,#336484, #336485,#336486,#336487,#336488,#336489,#336490,#336491,#336492,#336493, #336494,#336495,#336496,#336497,#336498,#336499,#336500,#336501,#336502, #336503,#336504,#336505,#336506,#336507,#336508,#336509,#336510,#336511, #336512,#336513,#336514,#336515,#336516,#336517,#336518,#336519,#336520, #336521,#336522,#336523,#336524,#336525,#336526,#336527,#336528,#336529, #336530,#336531,#336532,#336533,#336534,#336535,#336536,#336537,#336538, #336539,#336540,#336541,#336542,#336543,#336544,#336545,#336546,#336547, #336548,#336549,#336550,#336551,#336552,#336553,#336554,#336555,#336556, #336557,#336558,#336559,#336560,#336561,#336562,#336563,#336564,#336565, #336566,#336567,#336568,#336569,#336570,#336571,#336572,#336573,#336574, #336575,#336576,#336577,#336578,#336579,#336580,#336581,#336582)); #349104=CLOSED_SHELL('',(#336583,#336584,#336585,#336586,#336587,#336588, #336589,#336590,#336591,#336592,#336593,#336594,#336595,#336596,#336597, #336598,#336599,#336600,#336601,#336602,#336603,#336604,#336605)); #349105=CLOSED_SHELL('',(#336606,#336607,#336608,#336609,#336610,#336611, #336612,#336613,#336614,#336615,#336616,#336617,#336618,#336619,#336620, #336621,#336622,#336623,#336624,#336625,#336626,#336627,#336628)); #349106=CLOSED_SHELL('',(#336629,#336630,#336631,#336632,#336633,#336634, #336635,#336636,#336637,#336638,#336639,#336640,#336641,#336642,#336643, #336644,#336645,#336646,#336647,#336648,#336649)); #349107=CLOSED_SHELL('',(#336650,#336651,#336652,#336653,#336654,#336655, #336656,#336657,#336658,#336659,#336660,#336661,#336662,#336663,#336664, #336665,#336666,#336667,#336668,#336669,#336670)); #349108=CLOSED_SHELL('',(#336671,#336672,#336673,#336674,#336675,#336676, #336677,#336678,#336679,#336680,#336681,#336682,#336683,#336684,#336685, #336686,#336687,#336688,#336689,#336690,#336691)); #349109=CLOSED_SHELL('',(#336692,#336693,#336694,#336695,#336696,#336697, #336698,#336699,#336700,#336701,#336702,#336703,#336704,#336705,#336706, #336707,#336708,#336709,#336710,#336711,#336712,#336713,#336714,#336715, #336716,#336717,#336718,#336719,#336720,#336721,#336722,#336723,#336724, #336725,#336726,#336727,#336728,#336729,#336730)); #349110=CLOSED_SHELL('',(#336731,#336732,#336733,#336734,#336735,#336736, #336737,#336738,#336739,#336740,#336741,#336742,#336743,#336744,#336745, #336746,#336747,#336748,#336749,#336750,#336751,#336752)); #349111=CLOSED_SHELL('',(#336753,#336754,#336755,#336756,#336757,#336758, #336759,#336760,#336761,#336762,#336763,#336764,#336765,#336766,#336767, #336768,#336769,#336770,#336771,#336772,#336773,#336774,#336775,#336776, #336777,#336778,#336779,#336780,#336781,#336782,#336783,#336784,#336785, #336786,#336787,#336788,#336789,#336790,#336791,#336792,#336793,#336794, #336795,#336796,#336797,#336798,#336799,#336800,#336801,#336802)); #349112=CLOSED_SHELL('',(#336803,#336804,#336805,#336806,#336807,#336808, #336809,#336810,#336811,#336812,#336813,#336814,#336815,#336816,#336817, #336818,#336819,#336820,#336821,#336822,#336823,#336824)); #349113=CLOSED_SHELL('',(#336825,#336826,#336827,#336828,#336829,#336830, #336831,#336832,#336833,#336834,#336835,#336836,#336837,#336838,#336839, #336840,#336841,#336842,#336843,#336844,#336845,#336846,#336847,#336848, #336849,#336850,#336851,#336852,#336853,#336854,#336855,#336856,#336857)); #349114=CLOSED_SHELL('',(#336858,#336859,#336860,#336861,#336862,#336863, #336864,#336865,#336866,#336867,#336868,#336869,#336870,#336871,#336872, #336873,#336874,#336875,#336876,#336877,#336878,#336879)); #349115=CLOSED_SHELL('',(#336880,#336881,#336882,#336883,#336884,#336885, #336886,#336887,#336888,#336889,#336890,#336891,#336892,#336893,#336894, #336895,#336896,#336897,#336898,#336899,#336900,#336901,#336902,#336903, #336904,#336905,#336906,#336907,#336908,#336909,#336910,#336911,#336912)); #349116=CLOSED_SHELL('',(#336913,#336914,#336915,#336916,#336917,#336918, #336919,#336920,#336921,#336922,#336923,#336924,#336925,#336926,#336927, #336928,#336929,#336930,#336931,#336932,#336933,#336934,#336935)); #349117=CLOSED_SHELL('',(#336936,#336937,#336938,#336939,#336940,#336941, #336942,#336943,#336944,#336945,#336946,#336947,#336948,#336949,#336950, #336951,#336952,#336953,#336954,#336955,#336956,#336957,#336958,#336959, #336960,#336961,#336962,#336963,#336964,#336965,#336966,#336967,#336968, #336969,#336970,#336971,#336972,#336973,#336974,#336975,#336976,#336977)); #349118=CLOSED_SHELL('',(#336978,#336979,#336980,#336981,#336982,#336983, #336984,#336985,#336986,#336987,#336988,#336989,#336990,#336991,#336992, #336993,#336994,#336995,#336996,#336997,#336998,#336999)); #349119=CLOSED_SHELL('',(#337000,#337001,#337002,#337003,#337004,#337005, #337006,#337007,#337008,#337009,#337010,#337011,#337012,#337013,#337014, #337015,#337016,#337017,#337018,#337019,#337020,#337021)); #349120=CLOSED_SHELL('',(#337022,#337023,#337024,#337025,#337026,#337027, #337028,#337029,#337030,#337031,#337032,#337033,#337034,#337035,#337036, #337037,#337038,#337039,#337040,#337041,#337042,#337043)); #349121=CLOSED_SHELL('',(#337044,#337045,#337046,#337047,#337048,#337049, #337050,#337051,#337052,#337053,#337054,#337055,#337056,#337057,#337058, #337059,#337060,#337061,#337062,#337063,#337064,#337065,#337066,#337067, #337068,#337069,#337070,#337071,#337072,#337073,#337074,#337075,#337076, #337077,#337078,#337079,#337080,#337081,#337082,#337083,#337084,#337085)); #349122=CLOSED_SHELL('',(#337086,#337087,#337088,#337089,#337090,#337091, #337092,#337093,#337094,#337095,#337096,#337097,#337098,#337099,#337100, #337101,#337102,#337103,#337104,#337105,#337106,#337107,#337108,#337109, #337110,#337111,#337112,#337113,#337114,#337115,#337116,#337117,#337118, #337119,#337120,#337121,#337122,#337123,#337124,#337125,#337126,#337127, #337128,#337129,#337130,#337131,#337132,#337133,#337134,#337135,#337136, #337137,#337138,#337139,#337140,#337141,#337142,#337143,#337144,#337145, #337146,#337147,#337148,#337149,#337150,#337151,#337152,#337153,#337154, #337155,#337156,#337157,#337158,#337159,#337160,#337161,#337162,#337163, #337164,#337165,#337166,#337167,#337168,#337169,#337170,#337171,#337172, #337173,#337174,#337175,#337176,#337177,#337178,#337179,#337180,#337181, #337182,#337183,#337184,#337185)); #349123=CLOSED_SHELL('',(#337186,#337187,#337188,#337189,#337190,#337191, #337192,#337193,#337194,#337195,#337196,#337197,#337198,#337199,#337200, #337201,#337202,#337203,#337204,#337205,#337206,#337207)); #349124=CLOSED_SHELL('',(#337208,#337209,#337210,#337211,#337212,#337213, #337214,#337215,#337216,#337217,#337218,#337219,#337220,#337221,#337222, #337223,#337224,#337225,#337226,#337227,#337228,#337229,#337230,#337231, #337232,#337233,#337234,#337235,#337236,#337237,#337238,#337239,#337240, #337241,#337242,#337243,#337244,#337245,#337246,#337247,#337248,#337249, #337250,#337251,#337252,#337253,#337254,#337255,#337256,#337257,#337258, #337259,#337260,#337261,#337262,#337263,#337264,#337265)); #349125=CLOSED_SHELL('',(#337266,#337267,#337268,#337269,#337270,#337271, #337272,#337273,#337274,#337275,#337276,#337277,#337278,#337279,#337280, #337281,#337282,#337283,#337284,#337285,#337286,#337287,#337288,#337289, #337290,#337291,#337292,#337293,#337294,#337295,#337296,#337297,#337298, #337299,#337300,#337301,#337302,#337303,#337304,#337305,#337306,#337307, #337308,#337309,#337310)); #349126=CLOSED_SHELL('',(#337311,#337312,#337313,#337314,#337315,#337316, #337317,#337318,#337319,#337320,#337321,#337322,#337323,#337324,#337325, #337326,#337327,#337328,#337329,#337330,#337331,#337332,#337333,#337334, #337335,#337336,#337337,#337338,#337339,#337340,#337341,#337342,#337343, #337344,#337345,#337346,#337347,#337348,#337349,#337350,#337351,#337352, #337353,#337354,#337355,#337356,#337357,#337358,#337359,#337360,#337361, #337362,#337363,#337364,#337365,#337366,#337367,#337368,#337369,#337370, #337371,#337372,#337373,#337374,#337375,#337376)); #349127=CLOSED_SHELL('',(#337377,#337378,#337379,#337380,#337381,#337382, #337383,#337384,#337385,#337386,#337387,#337388,#337389,#337390,#337391, #337392,#337393,#337394,#337395,#337396,#337397,#337398,#337399,#337400, #337401,#337402,#337403,#337404,#337405,#337406,#337407,#337408,#337409, #337410,#337411,#337412,#337413,#337414,#337415,#337416,#337417,#337418, #337419,#337420,#337421)); #349128=CLOSED_SHELL('',(#337422,#337423,#337424,#337425,#337426,#337427, #337428,#337429,#337430,#337431,#337432,#337433,#337434,#337435,#337436, #337437,#337438,#337439,#337440,#337441,#337442,#337443,#337444,#337445, #337446,#337447,#337448,#337449,#337450,#337451,#337452,#337453,#337454, #337455,#337456,#337457,#337458,#337459,#337460,#337461,#337462,#337463, #337464,#337465,#337466,#337467,#337468,#337469,#337470,#337471,#337472, #337473,#337474,#337475,#337476,#337477,#337478,#337479,#337480,#337481, #337482,#337483,#337484,#337485,#337486,#337487,#337488,#337489,#337490, #337491,#337492,#337493,#337494,#337495,#337496,#337497,#337498,#337499, #337500,#337501,#337502,#337503,#337504,#337505,#337506,#337507,#337508, #337509,#337510,#337511,#337512,#337513,#337514,#337515,#337516,#337517, #337518,#337519,#337520,#337521,#337522,#337523,#337524,#337525,#337526, #337527,#337528,#337529,#337530,#337531,#337532,#337533,#337534,#337535, #337536,#337537,#337538,#337539)); #349129=CLOSED_SHELL('',(#337540,#337541,#337542,#337543,#337544,#337545, #337546,#337547,#337548,#337549,#337550,#337551,#337552,#337553,#337554, #337555,#337556,#337557,#337558,#337559,#337560,#337561,#337562,#337563)); #349130=CLOSED_SHELL('',(#337564,#337565,#337566,#337567,#337568,#337569, #337570,#337571,#337572,#337573,#337574,#337575,#337576,#337577,#337578, #337579,#337580,#337581,#337582,#337583,#337584,#337585,#337586,#337587, #337588,#337589,#337590,#337591,#337592,#337593,#337594,#337595,#337596, #337597,#337598,#337599,#337600,#337601,#337602,#337603,#337604,#337605, #337606,#337607,#337608,#337609,#337610,#337611,#337612,#337613,#337614, #337615,#337616,#337617,#337618,#337619,#337620,#337621,#337622,#337623, #337624,#337625,#337626,#337627,#337628,#337629,#337630,#337631,#337632, #337633,#337634,#337635,#337636,#337637,#337638,#337639,#337640,#337641, #337642,#337643,#337644,#337645,#337646,#337647,#337648,#337649,#337650, #337651,#337652,#337653,#337654,#337655,#337656,#337657,#337658,#337659, #337660,#337661,#337662,#337663,#337664,#337665,#337666,#337667,#337668, #337669,#337670,#337671,#337672,#337673,#337674,#337675,#337676,#337677, #337678,#337679,#337680,#337681,#337682,#337683,#337684,#337685,#337686, #337687,#337688,#337689,#337690,#337691,#337692,#337693,#337694,#337695, #337696,#337697,#337698,#337699,#337700)); #349131=CLOSED_SHELL('',(#337701,#337702,#337703,#337704,#337705,#337706, #337707,#337708,#337709,#337710,#337711,#337712,#337713,#337714,#337715, #337716,#337717,#337718,#337719,#337720,#337721,#337722,#337723,#337724, #337725,#337726,#337727,#337728,#337729,#337730,#337731,#337732,#337733, #337734,#337735,#337736,#337737,#337738,#337739,#337740,#337741,#337742, #337743,#337744,#337745,#337746,#337747,#337748,#337749,#337750,#337751, #337752,#337753,#337754,#337755,#337756,#337757,#337758,#337759,#337760, #337761,#337762,#337763,#337764,#337765,#337766,#337767,#337768,#337769, #337770,#337771,#337772,#337773,#337774,#337775,#337776,#337777,#337778, #337779,#337780,#337781,#337782,#337783,#337784,#337785,#337786,#337787, #337788,#337789,#337790,#337791,#337792,#337793,#337794,#337795,#337796, #337797,#337798,#337799,#337800,#337801,#337802,#337803,#337804,#337805, #337806,#337807,#337808,#337809,#337810,#337811,#337812,#337813)); #349132=CLOSED_SHELL('',(#337814,#337815,#337816,#337817,#337818,#337819, #337820,#337821,#337822,#337823,#337824,#337825,#337826,#337827,#337828, #337829,#337830,#337831,#337832,#337833,#337834,#337835,#337836,#337837)); #349133=CLOSED_SHELL('',(#337838,#337839,#337840,#337841,#337842,#337843, #337844,#337845,#337846,#337847,#337848,#337849,#337850,#337851,#337852, #337853,#337854,#337855,#337856,#337857,#337858,#337859,#337860,#337861, #337862,#337863,#337864,#337865,#337866,#337867,#337868,#337869,#337870, #337871,#337872,#337873,#337874,#337875,#337876,#337877,#337878,#337879, #337880,#337881,#337882,#337883,#337884,#337885,#337886,#337887,#337888, #337889,#337890,#337891,#337892,#337893,#337894,#337895,#337896,#337897, #337898,#337899,#337900,#337901,#337902,#337903,#337904,#337905,#337906, #337907,#337908,#337909,#337910,#337911,#337912,#337913,#337914,#337915, #337916,#337917,#337918,#337919,#337920,#337921,#337922,#337923,#337924, #337925,#337926,#337927,#337928,#337929,#337930,#337931,#337932,#337933, #337934,#337935,#337936,#337937,#337938,#337939,#337940,#337941,#337942, #337943,#337944,#337945,#337946,#337947,#337948,#337949,#337950,#337951, #337952,#337953,#337954,#337955,#337956,#337957,#337958,#337959,#337960, #337961,#337962,#337963,#337964,#337965,#337966,#337967,#337968,#337969, #337970,#337971,#337972,#337973,#337974,#337975,#337976,#337977,#337978, #337979,#337980,#337981,#337982,#337983,#337984,#337985,#337986,#337987, #337988,#337989,#337990,#337991,#337992,#337993,#337994,#337995,#337996, #337997,#337998,#337999,#338000,#338001,#338002,#338003,#338004,#338005, #338006,#338007,#338008,#338009,#338010,#338011,#338012,#338013,#338014, #338015,#338016,#338017,#338018,#338019,#338020,#338021,#338022,#338023, #338024,#338025,#338026,#338027,#338028,#338029,#338030,#338031,#338032, #338033,#338034,#338035,#338036,#338037,#338038,#338039,#338040,#338041, #338042,#338043,#338044,#338045,#338046,#338047,#338048,#338049,#338050, #338051,#338052,#338053,#338054,#338055,#338056,#338057,#338058,#338059, #338060,#338061,#338062,#338063,#338064,#338065,#338066,#338067,#338068, #338069,#338070,#338071,#338072,#338073,#338074,#338075,#338076,#338077, #338078,#338079,#338080,#338081,#338082,#338083,#338084,#338085,#338086, #338087,#338088,#338089,#338090,#338091,#338092,#338093,#338094,#338095, #338096,#338097,#338098,#338099,#338100,#338101,#338102,#338103,#338104, #338105,#338106,#338107,#338108,#338109,#338110,#338111,#338112,#338113, #338114,#338115,#338116,#338117,#338118,#338119,#338120,#338121,#338122, #338123,#338124,#338125,#338126,#338127,#338128,#338129,#338130,#338131, #338132,#338133,#338134,#338135,#338136,#338137,#338138,#338139,#338140, #338141,#338142,#338143,#338144,#338145,#338146,#338147,#338148,#338149, #338150,#338151,#338152,#338153,#338154,#338155,#338156,#338157,#338158, #338159,#338160,#338161,#338162,#338163,#338164,#338165,#338166,#338167, #338168,#338169,#338170,#338171,#338172,#338173,#338174,#338175,#338176, #338177)); #349134=CLOSED_SHELL('',(#338178,#338179,#338180,#338181,#338182,#338183, #338184,#338185,#338186,#338187,#338188,#338189,#338190,#338191,#338192, #338193,#338194,#338195,#338196,#338197,#338198,#338199)); #349135=CLOSED_SHELL('',(#338200,#338201,#338202,#338203,#338204,#338205, #338206,#338207,#338208,#338209,#338210,#338211,#338212,#338213,#338214, #338215,#338216,#338217,#338218,#338219,#338220,#338221)); #349136=CLOSED_SHELL('',(#338222,#338223,#338224,#338225,#338226,#338227, #338228,#338229,#338230,#338231,#338232,#338233,#338234,#338235,#338236, #338237,#338238,#338239,#338240,#338241,#338242,#338243)); #349137=CLOSED_SHELL('',(#338244,#338245,#338246,#338247,#338248,#338249, #338250,#338251,#338252,#338253,#338254,#338255,#338256,#338257,#338258, #338259,#338260,#338261,#338262,#338263,#338264,#338265,#338266,#338267, #338268)); #349138=CLOSED_SHELL('',(#338269,#338270,#338271,#338272,#338273,#338274, #338275,#338276,#338277,#338278,#338279,#338280,#338281,#338282,#338283, #338284,#338285,#338286,#338287,#338288,#338289,#338290)); #349139=CLOSED_SHELL('',(#338291,#338292,#338293,#338294,#338295,#338296, #338297,#338298,#338299,#338300,#338301,#338302,#338303,#338304,#338305, #338306,#338307,#338308,#338309,#338310,#338311,#338312,#338313)); #349140=CLOSED_SHELL('',(#338314,#338315,#338316,#338317,#338318,#338319)); #349141=CLOSED_SHELL('',(#338320,#338321,#338322,#338323,#338324,#338325)); #349142=CLOSED_SHELL('',(#338326,#338327,#338328,#338329,#338330,#338331)); #349143=CLOSED_SHELL('',(#338332,#338333,#338334,#338335,#338336,#338337)); #349144=CLOSED_SHELL('',(#338338,#338339,#338340,#338341,#338342,#338343, #338344)); #349145=CLOSED_SHELL('',(#338345,#338346,#338347,#338348,#338349,#338350)); #349146=CLOSED_SHELL('',(#338351,#338352,#338353,#338354,#338355,#338356)); #349147=CLOSED_SHELL('',(#338357,#338358,#338359,#338360,#338361,#338362)); #349148=CLOSED_SHELL('',(#338363,#338364,#338365,#338366,#338367,#338368)); #349149=CLOSED_SHELL('',(#338369,#338370,#338371,#338372,#338373,#338374, #338375,#338376,#338377,#338378,#338379,#338380,#338381,#338382,#338383, #338384,#338385,#338386,#338387,#338388,#338389,#338390,#338391,#338392, #338393,#338394,#338395,#338396,#338397,#338398,#338399,#338400,#338401, #338402,#338403,#338404,#338405,#338406,#338407,#338408,#338409,#338410, #338411,#338412,#338413,#338414,#338415,#338416,#338417,#338418,#338419, #338420,#338421,#338422,#338423,#338424,#338425,#338426,#338427,#338428, #338429,#338430)); #349150=CLOSED_SHELL('',(#338431,#338432,#338433,#338434,#338435,#338436, #338437,#338438,#338439,#338440,#338441,#338442,#338443,#338444,#338445, #338446,#338447,#338448,#338449,#338450,#338451,#338452,#338453,#338454, #338455,#338456,#338457,#338458,#338459,#338460,#338461,#338462,#338463, #338464,#338465,#338466,#338467,#338468,#338469,#338470,#338471,#338472, #338473,#338474,#338475,#338476,#338477,#338478,#338479,#338480,#338481, #338482,#338483,#338484,#338485,#338486,#338487,#338488,#338489,#338490, #338491,#338492,#338493,#338494,#338495,#338496,#338497,#338498,#338499, #338500,#338501,#338502,#338503,#338504,#338505,#338506,#338507,#338508)); #349151=CLOSED_SHELL('',(#338509,#338510,#338511,#338512,#338513,#338514, #338515,#338516,#338517,#338518,#338519,#338520,#338521,#338522,#338523, #338524,#338525,#338526,#338527,#338528,#338529,#338530,#338531,#338532, #338533,#338534,#338535,#338536,#338537,#338538,#338539,#338540,#338541, #338542,#338543,#338544,#338545,#338546,#338547,#338548,#338549,#338550, #338551,#338552,#338553,#338554,#338555,#338556,#338557,#338558,#338559, #338560,#338561,#338562,#338563,#338564,#338565,#338566,#338567,#338568, #338569,#338570)); #349152=CLOSED_SHELL('',(#338571,#338572,#338573,#338574,#338575,#338576, #338577)); #349153=CLOSED_SHELL('',(#338578,#338579,#338580,#338581,#338582,#338583, #338584,#338585,#338586,#338587,#338588,#338589,#338590,#338591,#338592, #338593,#338594,#338595,#338596,#338597,#338598,#338599,#338600,#338601, #338602,#338603,#338604,#338605,#338606,#338607,#338608,#338609,#338610, #338611,#338612,#338613,#338614,#338615,#338616,#338617,#338618,#338619, #338620,#338621,#338622,#338623,#338624,#338625,#338626,#338627,#338628, #338629,#338630,#338631,#338632,#338633,#338634,#338635,#338636,#338637, #338638,#338639)); #349154=CLOSED_SHELL('',(#338640,#338641,#338642,#338643,#338644,#338645, #338646,#338647,#338648,#338649,#338650,#338651,#338652,#338653,#338654, #338655,#338656,#338657,#338658,#338659,#338660,#338661,#338662,#338663, #338664,#338665,#338666,#338667,#338668,#338669,#338670,#338671,#338672, #338673,#338674,#338675,#338676,#338677,#338678,#338679,#338680,#338681, #338682,#338683,#338684,#338685,#338686,#338687,#338688,#338689,#338690, #338691,#338692,#338693,#338694,#338695,#338696,#338697,#338698,#338699, #338700,#338701,#338702,#338703,#338704,#338705,#338706,#338707,#338708, #338709,#338710,#338711,#338712,#338713,#338714,#338715,#338716,#338717)); #349155=CLOSED_SHELL('',(#338718,#338719,#338720,#338721,#338722,#338723)); #349156=CLOSED_SHELL('',(#338724,#338725,#338726,#338727,#338728,#338729)); #349157=CLOSED_SHELL('',(#338730,#338731,#338732,#338733,#338734,#338735, #338736,#338737,#338738,#338739,#338740,#338741,#338742,#338743,#338744, #338745,#338746,#338747,#338748,#338749,#338750,#338751,#338752,#338753, #338754,#338755,#338756,#338757,#338758,#338759,#338760,#338761,#338762, #338763,#338764,#338765,#338766,#338767,#338768,#338769,#338770,#338771, #338772,#338773,#338774,#338775,#338776,#338777,#338778,#338779,#338780, #338781,#338782,#338783,#338784,#338785,#338786,#338787,#338788,#338789, #338790,#338791)); #349158=CLOSED_SHELL('',(#338792,#338793,#338794,#338795,#338796,#338797)); #349159=CLOSED_SHELL('',(#338798,#338799,#338800,#338801,#338802,#338803)); #349160=CLOSED_SHELL('',(#338804,#338805,#338806,#338807,#338808,#338809)); #349161=CLOSED_SHELL('',(#338810,#338811,#338812,#338813,#338814,#338815, #338816)); #349162=CLOSED_SHELL('',(#338817,#338818,#338819,#338820,#338821,#338822)); #349163=CLOSED_SHELL('',(#338823,#338824,#338825,#338826,#338827,#338828)); #349164=CLOSED_SHELL('',(#338829,#338830,#338831,#338832,#338833,#338834)); #349165=CLOSED_SHELL('',(#338835,#338836,#338837,#338838,#338839,#338840)); #349166=CLOSED_SHELL('',(#338841,#338842,#338843,#338844,#338845,#338846)); #349167=CLOSED_SHELL('',(#338847,#338848,#338849,#338850,#338851,#338852)); #349168=CLOSED_SHELL('',(#338853,#338854,#338855,#338856,#338857,#338858)); #349169=CLOSED_SHELL('',(#338859,#338860,#338861,#338862,#338863,#338864)); #349170=CLOSED_SHELL('',(#338865,#338866,#338867,#338868,#338869,#338870)); #349171=CLOSED_SHELL('',(#338871,#338872,#338873,#338874,#338875,#338876)); #349172=CLOSED_SHELL('',(#338877,#338878,#338879,#338880,#338881,#338882)); #349173=CLOSED_SHELL('',(#338883,#338884,#338885,#338886,#338887,#338888)); #349174=CLOSED_SHELL('',(#338889,#338890,#338891,#338892,#338893,#338894)); #349175=CLOSED_SHELL('',(#338895,#338896,#338897,#338898,#338899,#338900)); #349176=CLOSED_SHELL('',(#338901,#338902,#338903,#338904,#338905,#338906, #338907,#338908,#338909,#338910,#338911,#338912)); #349177=CLOSED_SHELL('',(#338913,#338914,#338915,#338916,#338917,#338918)); #349178=CLOSED_SHELL('',(#338919,#338920,#338921,#338922,#338923,#338924)); #349179=CLOSED_SHELL('',(#338925,#338926,#338927,#338928,#338929,#338930)); #349180=CLOSED_SHELL('',(#338931,#338932,#338933,#338934,#338935,#338936)); #349181=CLOSED_SHELL('',(#338937,#338938,#338939,#338940,#338941,#338942)); #349182=CLOSED_SHELL('',(#338943,#338944,#338945,#338946,#338947,#338948)); #349183=CLOSED_SHELL('',(#338949,#338950,#338951,#338952,#338953,#338954)); #349184=CLOSED_SHELL('',(#338955,#338956,#338957,#338958,#338959,#338960)); #349185=CLOSED_SHELL('',(#338961,#338962,#338963,#338964,#338965,#338966)); #349186=CLOSED_SHELL('',(#338967,#338968,#338969,#338970,#338971,#338972)); #349187=CLOSED_SHELL('',(#338973,#338974,#338975,#338976,#338977,#338978)); #349188=CLOSED_SHELL('',(#338979,#338980,#338981,#338982,#338983,#338984)); #349189=CLOSED_SHELL('',(#338985,#338986,#338987,#338988,#338989,#338990)); #349190=CLOSED_SHELL('',(#338991,#338992,#338993,#338994,#338995,#338996)); #349191=CLOSED_SHELL('',(#338997,#338998,#338999,#339000,#339001,#339002)); #349192=CLOSED_SHELL('',(#339003,#339004,#339005,#339006,#339007,#339008)); #349193=CLOSED_SHELL('',(#339009,#339010,#339011,#339012,#339013,#339014)); #349194=CLOSED_SHELL('',(#339015,#339016,#339017,#339018,#339019,#339020)); #349195=CLOSED_SHELL('',(#339021,#339022,#339023,#339024,#339025,#339026)); #349196=CLOSED_SHELL('',(#339027,#339028,#339029,#339030,#339031,#339032)); #349197=CLOSED_SHELL('',(#339033,#339034,#339035,#339036,#339037,#339038)); #349198=CLOSED_SHELL('',(#339039,#339040,#339041,#339042,#339043,#339044)); #349199=CLOSED_SHELL('',(#339045,#339046,#339047,#339048,#339049,#339050)); #349200=CLOSED_SHELL('',(#339051,#339052,#339053,#339054,#339055,#339056)); #349201=CLOSED_SHELL('',(#339057,#339058,#339059,#339060,#339061,#339062)); #349202=CLOSED_SHELL('',(#339063,#339064,#339065,#339066,#339067,#339068)); #349203=CLOSED_SHELL('',(#339069,#339070,#339071,#339072,#339073,#339074, #339075,#339076,#339077,#339078,#339079,#339080)); #349204=CLOSED_SHELL('',(#339081,#339082,#339083,#339084,#339085,#339086)); #349205=CLOSED_SHELL('',(#339087,#339088,#339089,#339090,#339091,#339092)); #349206=CLOSED_SHELL('',(#339093,#339094,#339095,#339096,#339097,#339098)); #349207=CLOSED_SHELL('',(#339099,#339100,#339101,#339102,#339103,#339104)); #349208=CLOSED_SHELL('',(#339105,#339106,#339107,#339108,#339109,#339110)); #349209=CLOSED_SHELL('',(#339111,#339112,#339113,#339114,#339115,#339116)); #349210=CLOSED_SHELL('',(#339117,#339118,#339119,#339120,#339121,#339122, #339123,#339124,#339125,#339126,#339127,#339128)); #349211=CLOSED_SHELL('',(#339129,#339130,#339131,#339132,#339133,#339134)); #349212=CLOSED_SHELL('',(#339135,#339136,#339137,#339138,#339139,#339140)); #349213=CLOSED_SHELL('',(#339141,#339142,#339143,#339144,#339145,#339146, #339147,#339148,#339149,#339150,#339151,#339152,#339153,#339154,#339155, #339156,#339157,#339158,#339159,#339160,#339161,#339162,#339163,#339164, #339165,#339166,#339167,#339168,#339169,#339170,#339171,#339172,#339173, #339174,#339175,#339176,#339177,#339178,#339179,#339180,#339181,#339182, #339183,#339184,#339185,#339186,#339187,#339188,#339189,#339190,#339191, #339192,#339193,#339194,#339195)); #349214=CLOSED_SHELL('',(#339196,#339197,#339198,#339199,#339200,#339201)); #349215=CLOSED_SHELL('',(#339202,#339203,#339204,#339205,#339206,#339207)); #349216=CLOSED_SHELL('',(#339208,#339209,#339210,#339211,#339212,#339213)); #349217=CLOSED_SHELL('',(#339214,#339215,#339216,#339217,#339218,#339219)); #349218=CLOSED_SHELL('',(#339220,#339221,#339222,#339223,#339224,#339225)); #349219=CLOSED_SHELL('',(#339226,#339227,#339228,#339229,#339230,#339231)); #349220=CLOSED_SHELL('',(#339232,#339233,#339234,#339235,#339236,#339237)); #349221=CLOSED_SHELL('',(#339238,#339239,#339240,#339241,#339242,#339243)); #349222=CLOSED_SHELL('',(#339244,#339245,#339246,#339247,#339248,#339249)); #349223=CLOSED_SHELL('',(#339250,#339251,#339252,#339253,#339254,#339255)); #349224=CLOSED_SHELL('',(#339256,#339257,#339258,#339259,#339260,#339261)); #349225=CLOSED_SHELL('',(#339262,#339263,#339264,#339265,#339266,#339267)); #349226=CLOSED_SHELL('',(#339268,#339269,#339270,#339271,#339272,#339273)); #349227=CLOSED_SHELL('',(#339274,#339275,#339276,#339277,#339278,#339279)); #349228=CLOSED_SHELL('',(#339280,#339281,#339282,#339283,#339284,#339285)); #349229=CLOSED_SHELL('',(#339286,#339287,#339288,#339289,#339290,#339291)); #349230=CLOSED_SHELL('',(#339292,#339293,#339294,#339295,#339296,#339297)); #349231=CLOSED_SHELL('',(#339298,#339299,#339300,#339301,#339302,#339303)); #349232=CLOSED_SHELL('',(#339304,#339305,#339306,#339307,#339308,#339309, #339310)); #349233=CLOSED_SHELL('',(#339311,#339312,#339313,#339314,#339315,#339316)); #349234=CLOSED_SHELL('',(#339317,#339318,#339319,#339320,#339321,#339322)); #349235=CLOSED_SHELL('',(#339323,#339324,#339325,#339326,#339327,#339328, #339329)); #349236=CLOSED_SHELL('',(#339330,#339331,#339332,#339333,#339334,#339335)); #349237=CLOSED_SHELL('',(#339336,#339337,#339338,#339339,#339340,#339341)); #349238=CLOSED_SHELL('',(#339342,#339343,#339344,#339345,#339346,#339347)); #349239=CLOSED_SHELL('',(#339348,#339349,#339350,#339351,#339352,#339353)); #349240=CLOSED_SHELL('',(#339354,#339355,#339356,#339357,#339358,#339359)); #349241=CLOSED_SHELL('',(#339360,#339361,#339362,#339363,#339364,#339365)); #349242=CLOSED_SHELL('',(#339366,#339367,#339368,#339369,#339370,#339371)); #349243=CLOSED_SHELL('',(#339372,#339373,#339374,#339375,#339376,#339377)); #349244=CLOSED_SHELL('',(#339378,#339379,#339380,#339381,#339382,#339383)); #349245=CLOSED_SHELL('',(#339384,#339385,#339386,#339387,#339388,#339389)); #349246=CLOSED_SHELL('',(#339390,#339391,#339392,#339393,#339394,#339395)); #349247=CLOSED_SHELL('',(#339396,#339397,#339398,#339399,#339400,#339401, #339402,#339403,#339404,#339405,#339406,#339407,#339408,#339409,#339410, #339411,#339412,#339413,#339414,#339415,#339416)); #349248=CLOSED_SHELL('',(#339417,#339418,#339419,#339420,#339421,#339422, #339423)); #349249=CLOSED_SHELL('',(#339424,#339425,#339426,#339427,#339428,#339429)); #349250=CLOSED_SHELL('',(#339430,#339431,#339432,#339433,#339434,#339435)); #349251=CLOSED_SHELL('',(#339436,#339437,#339438,#339439,#339440,#339441)); #349252=CLOSED_SHELL('',(#339442,#339443,#339444,#339445,#339446,#339447)); #349253=CLOSED_SHELL('',(#339448,#339449,#339450,#339451,#339452,#339453)); #349254=CLOSED_SHELL('',(#339454,#339455,#339456,#339457,#339458,#339459, #339460)); #349255=CLOSED_SHELL('',(#339461,#339462,#339463,#339464,#339465,#339466, #339467)); #349256=CLOSED_SHELL('',(#339468,#339469,#339470,#339471,#339472,#339473)); #349257=CLOSED_SHELL('',(#339474,#339475,#339476,#339477,#339478,#339479)); #349258=CLOSED_SHELL('',(#339480,#339481,#339482,#339483,#339484,#339485)); #349259=CLOSED_SHELL('',(#339486,#339487,#339488,#339489,#339490,#339491)); #349260=CLOSED_SHELL('',(#339492,#339493,#339494,#339495,#339496,#339497)); #349261=CLOSED_SHELL('',(#339498,#339499,#339500,#339501,#339502,#339503)); #349262=CLOSED_SHELL('',(#339504,#339505,#339506,#339507,#339508,#339509)); #349263=CLOSED_SHELL('',(#339510,#339511,#339512,#339513,#339514,#339515)); #349264=CLOSED_SHELL('',(#339516,#339517,#339518,#339519,#339520,#339521)); #349265=CLOSED_SHELL('',(#339522,#339523,#339524,#339525,#339526,#339527)); #349266=CLOSED_SHELL('',(#339528,#339529,#339530,#339531,#339532,#339533)); #349267=CLOSED_SHELL('',(#339534,#339535,#339536,#339537,#339538,#339539, #339540,#339541,#339542,#339543,#339544,#339545)); #349268=CLOSED_SHELL('',(#339546,#339547,#339548,#339549,#339550,#339551)); #349269=CLOSED_SHELL('',(#339552,#339553,#339554,#339555,#339556,#339557)); #349270=CLOSED_SHELL('',(#339558,#339559,#339560,#339561,#339562,#339563)); #349271=CLOSED_SHELL('',(#339564,#339565,#339566,#339567,#339568,#339569, #339570,#339571,#339572,#339573,#339574,#339575,#339576,#339577,#339578, #339579)); #349272=CLOSED_SHELL('',(#339580,#339581,#339582,#339583,#339584,#339585)); #349273=CLOSED_SHELL('',(#339586,#339587,#339588,#339589,#339590,#339591)); #349274=CLOSED_SHELL('',(#339592,#339593,#339594,#339595,#339596,#339597)); #349275=CLOSED_SHELL('',(#339598,#339599,#339600,#339601,#339602,#339603)); #349276=CLOSED_SHELL('',(#339604,#339605,#339606,#339607,#339608,#339609)); #349277=CLOSED_SHELL('',(#339610,#339611,#339612,#339613,#339614,#339615)); #349278=CLOSED_SHELL('',(#339616,#339617,#339618,#339619,#339620,#339621)); #349279=CLOSED_SHELL('',(#339622,#339623,#339624,#339625,#339626,#339627)); #349280=CLOSED_SHELL('',(#339628,#339629,#339630,#339631,#339632,#339633)); #349281=CLOSED_SHELL('',(#339634,#339635,#339636,#339637,#339638,#339639)); #349282=CLOSED_SHELL('',(#339640,#339641,#339642,#339643,#339644,#339645)); #349283=CLOSED_SHELL('',(#339646,#339647,#339648,#339649,#339650,#339651)); #349284=CLOSED_SHELL('',(#339652,#339653,#339654,#339655,#339656,#339657)); #349285=CLOSED_SHELL('',(#339658,#339659,#339660,#339661,#339662,#339663)); #349286=CLOSED_SHELL('',(#339664,#339665,#339666,#339667,#339668,#339669)); #349287=CLOSED_SHELL('',(#339670,#339671,#339672,#339673,#339674,#339675)); #349288=CLOSED_SHELL('',(#339676,#339677,#339678,#339679,#339680,#339681)); #349289=CLOSED_SHELL('',(#339682,#339683,#339684,#339685,#339686,#339687)); #349290=CLOSED_SHELL('',(#339688,#339689,#339690,#339691,#339692,#339693)); #349291=CLOSED_SHELL('',(#339694,#339695,#339696,#339697,#339698,#339699)); #349292=CLOSED_SHELL('',(#339700,#339701,#339702,#339703,#339704,#339705)); #349293=CLOSED_SHELL('',(#339706,#339707,#339708,#339709,#339710,#339711)); #349294=CLOSED_SHELL('',(#339712,#339713,#339714,#339715,#339716,#339717)); #349295=CLOSED_SHELL('',(#339718,#339719,#339720,#339721,#339722,#339723)); #349296=CLOSED_SHELL('',(#339724,#339725,#339726,#339727,#339728,#339729, #339730,#339731)); #349297=CLOSED_SHELL('',(#339732,#339733,#339734,#339735,#339736,#339737)); #349298=CLOSED_SHELL('',(#339738,#339739,#339740,#339741,#339742,#339743, #339744,#339745)); #349299=CLOSED_SHELL('',(#339746,#339747,#339748,#339749,#339750,#339751)); #349300=CLOSED_SHELL('',(#339752,#339753,#339754,#339755,#339756,#339757, #339758,#339759)); #349301=CLOSED_SHELL('',(#339760,#339761,#339762,#339763,#339764,#339765)); #349302=CLOSED_SHELL('',(#339766,#339767,#339768,#339769,#339770,#339771, #339772,#339773)); #349303=CLOSED_SHELL('',(#339774,#339775,#339776,#339777,#339778,#339779)); #349304=CLOSED_SHELL('',(#339780,#339781,#339782,#339783,#339784,#339785, #339786,#339787,#339788,#339789,#339790,#339791,#339792,#339793,#339794, #339795,#339796,#339797,#339798,#339799,#339800,#339801,#339802,#339803, #339804,#339805,#339806,#339807,#339808,#339809,#339810,#339811,#339812, #339813,#339814,#339815,#339816,#339817,#339818,#339819,#339820,#339821, #339822,#339823,#339824,#339825,#339826,#339827,#339828,#339829,#339830, #339831,#339832,#339833,#339834,#339835,#339836,#339837,#339838,#339839)); #349305=CLOSED_SHELL('',(#339840,#339841,#339842,#339843,#339844,#339845, #339846,#339847,#339848,#339849,#339850,#339851)); #349306=CLOSED_SHELL('',(#339852,#339853,#339854,#339855,#339856,#339857, #339858,#339859,#339860,#339861,#339862,#339863,#339864)); #349307=CLOSED_SHELL('',(#339865,#339866,#339867,#339868,#339869,#339870, #339871,#339872,#339873,#339874,#339875,#339876,#339877,#339878,#339879, #339880)); #349308=CLOSED_SHELL('',(#339881,#339882,#339883,#339884,#339885,#339886, #339887,#339888,#339889,#339890,#339891,#339892,#339893,#339894,#339895, #339896,#339897,#339898,#339899,#339900,#339901,#339902,#339903,#339904, #339905,#339906,#339907,#339908,#339909,#339910,#339911,#339912,#339913, #339914,#339915,#339916,#339917,#339918,#339919,#339920,#339921,#339922, #339923,#339924,#339925,#339926,#339927,#339928)); #349309=CLOSED_SHELL('',(#339929,#339930,#339931,#339932,#339933,#339934, #339935,#339936,#339937,#339938,#339939,#339940,#339941,#339942,#339943)); #349310=CLOSED_SHELL('',(#339944,#339945,#339946,#339947,#339948,#339949, #339950,#339951,#339952,#339953,#339954,#339955,#339956,#339957,#339958, #339959,#339960,#339961,#339962,#339963,#339964,#339965,#339966,#339967, #339968,#339969,#339970)); #349311=CLOSED_SHELL('',(#339971,#339972,#339973,#339974,#339975,#339976, #339977,#339978,#339979,#339980,#339981,#339982,#339983,#339984,#339985, #339986,#339987,#339988,#339989,#339990,#339991,#339992,#339993,#339994, #339995,#339996,#339997,#339998,#339999)); #349312=CLOSED_SHELL('',(#340000,#340001,#340002,#340003,#340004,#340005, #340006,#340007,#340008,#340009,#340010,#340011,#340012,#340013,#340014, #340015,#340016,#340017,#340018,#340019,#340020,#340021,#340022,#340023, #340024,#340025,#340026,#340027,#340028,#340029,#340030,#340031,#340032, #340033,#340034,#340035,#340036,#340037,#340038,#340039,#340040,#340041, #340042,#340043,#340044,#340045,#340046,#340047,#340048,#340049,#340050, #340051,#340052,#340053,#340054,#340055,#340056,#340057,#340058,#340059, #340060,#340061,#340062,#340063,#340064)); #349313=CLOSED_SHELL('',(#340065,#340066,#340067,#340068,#340069,#340070, #340071,#340072,#340073,#340074,#340075,#340076)); #349314=CLOSED_SHELL('',(#340077,#340078,#340079,#340080,#340081,#340082, #340083,#340084,#340085,#340086,#340087,#340088)); #349315=CLOSED_SHELL('',(#340089,#340090,#340091,#340092,#340093,#340094, #340095,#340096,#340097,#340098,#340099,#340100)); #349316=CLOSED_SHELL('',(#340101,#340102,#340103,#340104,#340105,#340106, #340107,#340108,#340109,#340110,#340111,#340112,#340113,#340114,#340115, #340116,#340117)); #349317=CLOSED_SHELL('',(#340118,#340119,#340120,#340121,#340122,#340123, #340124)); #349318=CLOSED_SHELL('',(#340125,#340126,#340127,#340128,#340129,#340130, #340131)); #349319=CLOSED_SHELL('',(#340132,#340133,#340134,#340135,#340136,#340137, #340138)); #349320=CLOSED_SHELL('',(#340139,#340140,#340141,#340142,#340143,#340144, #340145,#340146,#340147,#340148,#340149,#340150,#340151)); #349321=CLOSED_SHELL('',(#340152,#340153,#340154,#340155,#340156,#340157, #340158,#340159,#340160,#340161,#340162,#340163,#340164,#340165,#340166, #340167,#340168,#340169,#340170,#340171,#340172,#340173,#340174,#340175, #340176,#340177,#340178,#340179,#340180,#340181,#340182,#340183,#340184, #340185,#340186,#340187,#340188,#340189,#340190,#340191,#340192,#340193, #340194,#340195,#340196,#340197,#340198,#340199,#340200,#340201,#340202, #340203,#340204,#340205,#340206,#340207,#340208,#340209,#340210,#340211, #340212,#340213,#340214,#340215,#340216)); #349322=CLOSED_SHELL('',(#340217,#340218,#340219,#340220,#340221,#340222, #340223,#340224,#340225,#340226,#340227)); #349323=CLOSED_SHELL('',(#340228,#340229,#340230,#340231,#340232,#340233, #340234,#340235,#340236,#340237,#340238,#340239,#340240)); #349324=CLOSED_SHELL('',(#340241,#340242,#340243,#340244,#340245,#340246, #340247,#340248,#340249,#340250,#340251,#340252,#340253)); #349325=CLOSED_SHELL('',(#340254,#340255,#340256,#340257,#340258,#340259, #340260,#340261,#340262,#340263,#340264,#340265,#340266,#340267,#340268, #340269,#340270,#340271,#340272,#340273)); #349326=CLOSED_SHELL('',(#340274,#340275,#340276,#340277,#340278,#340279, #340280,#340281,#340282,#340283,#340284,#340285,#340286,#340287,#340288, #340289,#340290,#340291)); #349327=CLOSED_SHELL('',(#340292,#340293,#340294,#340295,#340296,#340297)); #349328=CLOSED_SHELL('',(#340298,#340299,#340300,#340301,#340302,#340303, #340304,#340305,#340306,#340307,#340308,#340309,#340310,#340311,#340312, #340313,#340314,#340315,#340316,#340317,#340318,#340319,#340320,#340321)); #349329=CLOSED_SHELL('',(#340322,#340323,#340324,#340325,#340326,#340327, #340328,#340329,#340330,#340331,#340332,#340333,#340334,#340335,#340336, #340337,#340338,#340339)); #349330=CLOSED_SHELL('',(#340340,#340341,#340342,#340343,#340344,#340345, #340346,#340347,#340348,#340349,#340350,#340351,#340352,#340353,#340354, #340355)); #349331=CLOSED_SHELL('',(#340356,#340357,#340358,#340359,#340360,#340361, #340362,#340363,#340364,#340365,#340366,#340367,#340368,#340369,#340370, #340371)); #349332=CLOSED_SHELL('',(#340372,#340373,#340374,#340375,#340376,#340377, #340378,#340379,#340380,#340381,#340382,#340383,#340384,#340385,#340386, #340387,#340388,#340389,#340390,#340391,#340392,#340393,#340394,#340395, #340396,#340397,#340398,#340399,#340400,#340401,#340402,#340403,#340404, #340405,#340406,#340407,#340408,#340409,#340410,#340411,#340412,#340413)); #349333=CLOSED_SHELL('',(#340414,#340415,#340416,#340417,#340418,#340419, #340420,#340421,#340422,#340423,#340424)); #349334=CLOSED_SHELL('',(#340425,#340426,#340427,#340428,#340429,#340430, #340431)); #349335=CLOSED_SHELL('',(#340432,#340433,#340434,#340435,#340436,#340437, #340438,#340439,#340440,#340441,#340442,#340443,#340444,#340445,#340446, #340447,#340448,#340449,#340450,#340451,#340452,#340453,#340454,#340455, #340456,#340457,#340458)); #349336=CLOSED_SHELL('',(#340459,#340460,#340461,#340462,#340463,#340464, #340465,#340466,#340467,#340468,#340469,#340470)); #349337=CLOSED_SHELL('',(#340471,#340472,#340473,#340474,#340475,#340476, #340477)); #349338=CLOSED_SHELL('',(#340478,#340479,#340480,#340481,#340482,#340483, #340484,#340485,#340486,#340487,#340488,#340489,#340490,#340491,#340492, #340493,#340494,#340495,#340496,#340497,#340498,#340499,#340500,#340501, #340502,#340503,#340504,#340505,#340506,#340507,#340508,#340509,#340510, #340511,#340512,#340513,#340514,#340515,#340516,#340517,#340518,#340519, #340520,#340521,#340522,#340523,#340524,#340525,#340526,#340527,#340528, #340529,#340530,#340531,#340532,#340533,#340534,#340535,#340536,#340537, #340538,#340539,#340540,#340541,#340542,#340543,#340544,#340545,#340546, #340547,#340548,#340549,#340550,#340551,#340552,#340553,#340554,#340555, #340556,#340557,#340558,#340559,#340560,#340561,#340562,#340563,#340564, #340565,#340566,#340567,#340568,#340569,#340570,#340571,#340572,#340573)); #349339=CLOSED_SHELL('',(#340574,#340575,#340576,#340577,#340578,#340579, #340580,#340581,#340582,#340583,#340584,#340585,#340586,#340587,#340588, #340589,#340590,#340591,#340592,#340593,#340594,#340595,#340596,#340597, #340598,#340599,#340600,#340601,#340602,#340603,#340604,#340605,#340606, #340607,#340608,#340609,#340610,#340611,#340612,#340613,#340614,#340615, #340616,#340617,#340618,#340619,#340620,#340621,#340622,#340623,#340624)); #349340=CLOSED_SHELL('',(#340625,#340626,#340627,#340628,#340629,#340630, #340631,#340632,#340633,#340634,#340635,#340636,#340637,#340638,#340639, #340640,#340641,#340642,#340643,#340644,#340645,#340646,#340647,#340648, #340649,#340650,#340651,#340652,#340653,#340654,#340655,#340656,#340657, #340658,#340659,#340660,#340661,#340662,#340663,#340664,#340665,#340666, #340667,#340668,#340669,#340670,#340671,#340672,#340673,#340674,#340675, #340676,#340677,#340678,#340679,#340680,#340681,#340682,#340683,#340684, #340685,#340686,#340687,#340688,#340689,#340690,#340691,#340692,#340693, #340694,#340695,#340696,#340697,#340698,#340699,#340700,#340701,#340702, #340703,#340704,#340705,#340706,#340707,#340708,#340709,#340710,#340711, #340712,#340713,#340714,#340715,#340716,#340717,#340718,#340719,#340720, #340721,#340722,#340723,#340724,#340725,#340726,#340727,#340728,#340729, #340730,#340731,#340732,#340733,#340734,#340735)); #349341=CLOSED_SHELL('',(#340736,#340737,#340738,#340739,#340740,#340741, #340742,#340743,#340744,#340745,#340746,#340747,#340748,#340749,#340750, #340751,#340752,#340753,#340754,#340755,#340756,#340757,#340758)); #349342=CLOSED_SHELL('',(#340759,#340760,#340761,#340762,#340763,#340764, #340765,#340766,#340767,#340768,#340769,#340770,#340771,#340772,#340773, #340774,#340775,#340776,#340777,#340778,#340779,#340780)); #349343=CLOSED_SHELL('',(#340781,#340782,#340783,#340784,#340785,#340786, #340787,#340788,#340789,#340790,#340791,#340792,#340793,#340794,#340795, #340796,#340797,#340798,#340799,#340800,#340801,#340802,#340803,#340804, #340805,#340806)); #349344=CLOSED_SHELL('',(#340807,#340808,#340809,#340810,#340811,#340812, #340813,#340814,#340815,#340816,#340817,#340818,#340819,#340820,#340821, #340822,#340823,#340824,#340825,#340826,#340827,#340828,#340829)); #349345=CLOSED_SHELL('',(#340830,#340831,#340832,#340833,#340834,#340835, #340836,#340837,#340838,#340839,#340840,#340841,#340842,#340843,#340844, #340845,#340846,#340847,#340848,#340849,#340850,#340851,#340852,#340853, #340854,#340855,#340856,#340857,#340858,#340859,#340860,#340861,#340862, #340863,#340864,#340865,#340866)); #349346=CLOSED_SHELL('',(#340867,#340868,#340869,#340870,#340871,#340872, #340873,#340874,#340875,#340876,#340877,#340878,#340879,#340880,#340881, #340882,#340883,#340884,#340885,#340886,#340887,#340888,#340889)); #349347=CLOSED_SHELL('',(#340890,#340891,#340892,#340893,#340894,#340895, #340896,#340897,#340898,#340899,#340900,#340901,#340902,#340903,#340904, #340905,#340906,#340907,#340908,#340909,#340910,#340911,#340912,#340913, #340914,#340915,#340916,#340917,#340918,#340919,#340920,#340921,#340922)); #349348=CLOSED_SHELL('',(#340923,#340924,#340925,#340926,#340927,#340928, #340929,#340930,#340931,#340932,#340933,#340934,#340935,#340936,#340937, #340938,#340939,#340940,#340941,#340942,#340943,#340944,#340945,#340946)); #349349=CLOSED_SHELL('',(#340947,#340948,#340949,#340950,#340951,#340952, #340953,#340954,#340955,#340956,#340957,#340958,#340959,#340960,#340961, #340962,#340963,#340964,#340965,#340966,#340967,#340968)); #349350=CLOSED_SHELL('',(#340969,#340970,#340971,#340972,#340973,#340974, #340975,#340976,#340977,#340978,#340979,#340980,#340981,#340982,#340983, #340984,#340985,#340986,#340987,#340988,#340989,#340990,#340991,#340992, #340993,#340994,#340995,#340996,#340997,#340998,#340999,#341000,#341001, #341002,#341003,#341004,#341005,#341006,#341007,#341008,#341009,#341010, #341011,#341012,#341013,#341014,#341015,#341016,#341017,#341018,#341019, #341020,#341021,#341022,#341023,#341024,#341025,#341026,#341027,#341028, #341029,#341030,#341031,#341032,#341033,#341034,#341035,#341036,#341037, #341038,#341039,#341040,#341041,#341042,#341043,#341044,#341045,#341046, #341047,#341048,#341049,#341050,#341051,#341052,#341053,#341054,#341055, #341056,#341057,#341058,#341059,#341060,#341061,#341062,#341063,#341064, #341065,#341066,#341067,#341068,#341069,#341070,#341071,#341072,#341073, #341074,#341075,#341076,#341077,#341078,#341079,#341080,#341081,#341082, #341083,#341084,#341085,#341086,#341087,#341088,#341089,#341090,#341091, #341092,#341093,#341094,#341095,#341096,#341097,#341098,#341099,#341100, #341101,#341102,#341103,#341104,#341105,#341106,#341107,#341108,#341109, #341110,#341111,#341112,#341113,#341114,#341115,#341116,#341117,#341118, #341119,#341120,#341121,#341122,#341123,#341124,#341125,#341126,#341127, #341128,#341129,#341130,#341131,#341132,#341133,#341134,#341135,#341136, #341137,#341138,#341139,#341140,#341141,#341142,#341143,#341144,#341145, #341146,#341147,#341148,#341149,#341150,#341151,#341152,#341153,#341154, #341155,#341156,#341157,#341158,#341159,#341160,#341161,#341162,#341163, #341164,#341165,#341166,#341167,#341168,#341169,#341170,#341171,#341172, #341173,#341174,#341175,#341176,#341177,#341178,#341179,#341180,#341181, #341182,#341183,#341184,#341185,#341186,#341187,#341188,#341189,#341190, #341191,#341192,#341193,#341194,#341195,#341196,#341197,#341198,#341199, #341200,#341201,#341202,#341203,#341204,#341205,#341206,#341207,#341208, #341209,#341210,#341211,#341212,#341213,#341214,#341215,#341216,#341217, #341218,#341219,#341220,#341221,#341222,#341223,#341224,#341225,#341226, #341227,#341228,#341229,#341230,#341231,#341232,#341233,#341234,#341235, #341236,#341237,#341238,#341239,#341240,#341241,#341242,#341243,#341244, #341245,#341246,#341247,#341248,#341249,#341250,#341251,#341252,#341253, #341254,#341255,#341256,#341257,#341258,#341259,#341260,#341261,#341262, #341263,#341264,#341265,#341266,#341267,#341268,#341269,#341270,#341271, #341272,#341273,#341274,#341275,#341276,#341277,#341278,#341279,#341280, #341281,#341282,#341283,#341284,#341285,#341286,#341287,#341288,#341289, #341290,#341291,#341292,#341293,#341294,#341295,#341296,#341297,#341298, #341299,#341300,#341301,#341302,#341303,#341304,#341305,#341306,#341307, #341308,#341309,#341310,#341311,#341312,#341313,#341314,#341315,#341316, #341317,#341318,#341319,#341320,#341321,#341322,#341323,#341324,#341325, #341326,#341327,#341328,#341329,#341330,#341331,#341332,#341333,#341334, #341335,#341336,#341337,#341338,#341339,#341340,#341341,#341342,#341343, #341344,#341345,#341346,#341347,#341348,#341349,#341350,#341351,#341352, #341353,#341354,#341355,#341356,#341357,#341358,#341359,#341360,#341361, #341362,#341363,#341364,#341365,#341366,#341367,#341368,#341369,#341370, #341371,#341372,#341373,#341374,#341375,#341376,#341377,#341378,#341379, #341380,#341381,#341382,#341383,#341384,#341385,#341386,#341387,#341388, #341389,#341390,#341391,#341392,#341393,#341394,#341395,#341396,#341397, #341398,#341399,#341400,#341401,#341402,#341403,#341404,#341405,#341406, #341407,#341408,#341409,#341410,#341411,#341412,#341413,#341414,#341415, #341416,#341417,#341418,#341419,#341420,#341421,#341422,#341423,#341424, #341425,#341426,#341427,#341428,#341429,#341430,#341431,#341432,#341433, #341434,#341435,#341436,#341437,#341438,#341439,#341440,#341441,#341442, #341443,#341444,#341445,#341446,#341447,#341448,#341449,#341450,#341451, #341452,#341453,#341454,#341455,#341456,#341457,#341458,#341459,#341460, #341461,#341462,#341463,#341464,#341465,#341466,#341467,#341468,#341469, #341470,#341471,#341472,#341473,#341474,#341475,#341476,#341477,#341478, #341479,#341480,#341481,#341482,#341483,#341484,#341485,#341486,#341487, #341488,#341489,#341490,#341491,#341492,#341493,#341494,#341495,#341496, #341497,#341498,#341499,#341500,#341501,#341502,#341503,#341504,#341505, #341506,#341507,#341508,#341509,#341510,#341511,#341512,#341513,#341514, #341515,#341516,#341517,#341518,#341519,#341520,#341521,#341522,#341523, #341524,#341525,#341526,#341527,#341528,#341529,#341530,#341531,#341532, #341533,#341534,#341535,#341536,#341537,#341538,#341539,#341540,#341541, #341542,#341543,#341544,#341545,#341546,#341547,#341548,#341549,#341550, #341551,#341552,#341553,#341554,#341555,#341556,#341557,#341558,#341559, #341560,#341561,#341562,#341563,#341564,#341565,#341566,#341567,#341568, #341569,#341570,#341571,#341572,#341573,#341574,#341575,#341576,#341577, #341578,#341579,#341580,#341581,#341582,#341583,#341584,#341585,#341586, #341587,#341588,#341589,#341590,#341591,#341592,#341593,#341594,#341595, #341596,#341597,#341598,#341599,#341600,#341601,#341602,#341603,#341604, #341605,#341606,#341607,#341608,#341609,#341610,#341611,#341612,#341613, #341614,#341615,#341616,#341617,#341618,#341619,#341620,#341621,#341622, #341623,#341624,#341625,#341626,#341627,#341628,#341629,#341630,#341631, #341632,#341633,#341634,#341635,#341636,#341637,#341638,#341639,#341640, #341641,#341642,#341643,#341644,#341645,#341646,#341647,#341648,#341649, #341650,#341651,#341652,#341653,#341654,#341655,#341656,#341657,#341658, #341659,#341660,#341661,#341662,#341663,#341664,#341665,#341666,#341667, #341668,#341669,#341670,#341671,#341672,#341673,#341674,#341675,#341676, #341677,#341678,#341679,#341680,#341681,#341682,#341683,#341684,#341685, #341686,#341687,#341688,#341689,#341690,#341691,#341692,#341693,#341694, #341695,#341696,#341697,#341698,#341699,#341700,#341701,#341702,#341703, #341704,#341705,#341706,#341707,#341708,#341709,#341710,#341711,#341712, #341713,#341714,#341715,#341716,#341717,#341718,#341719,#341720,#341721, #341722,#341723,#341724,#341725,#341726,#341727,#341728,#341729,#341730, #341731,#341732,#341733,#341734,#341735,#341736,#341737,#341738,#341739, #341740,#341741,#341742,#341743,#341744,#341745,#341746,#341747,#341748, #341749,#341750,#341751,#341752,#341753,#341754,#341755,#341756,#341757, #341758,#341759,#341760,#341761,#341762,#341763,#341764,#341765,#341766, #341767,#341768,#341769,#341770,#341771,#341772,#341773,#341774,#341775, #341776,#341777,#341778,#341779,#341780,#341781,#341782,#341783,#341784, #341785,#341786,#341787,#341788,#341789,#341790,#341791,#341792,#341793, #341794,#341795,#341796,#341797,#341798,#341799,#341800,#341801,#341802, #341803,#341804,#341805,#341806,#341807,#341808,#341809,#341810,#341811, #341812,#341813,#341814,#341815,#341816,#341817,#341818,#341819,#341820, #341821,#341822,#341823,#341824,#341825,#341826,#341827,#341828,#341829, #341830,#341831,#341832,#341833,#341834,#341835,#341836,#341837,#341838, #341839,#341840,#341841,#341842,#341843,#341844,#341845,#341846,#341847, #341848,#341849,#341850,#341851,#341852,#341853,#341854,#341855,#341856, #341857,#341858,#341859,#341860,#341861,#341862,#341863,#341864,#341865, #341866,#341867,#341868,#341869,#341870,#341871,#341872,#341873,#341874, #341875,#341876,#341877,#341878,#341879,#341880,#341881,#341882,#341883, #341884,#341885,#341886,#341887,#341888,#341889,#341890,#341891,#341892, #341893,#341894,#341895,#341896,#341897,#341898,#341899,#341900,#341901, #341902,#341903,#341904,#341905,#341906,#341907,#341908,#341909,#341910, #341911,#341912,#341913,#341914,#341915,#341916,#341917,#341918,#341919, #341920,#341921,#341922,#341923,#341924,#341925,#341926,#341927,#341928, #341929,#341930,#341931,#341932,#341933,#341934,#341935,#341936,#341937, #341938,#341939,#341940,#341941,#341942,#341943,#341944,#341945,#341946, #341947,#341948,#341949,#341950,#341951,#341952,#341953,#341954,#341955, #341956,#341957,#341958,#341959,#341960,#341961,#341962,#341963,#341964, #341965,#341966,#341967,#341968,#341969,#341970,#341971,#341972,#341973, #341974,#341975,#341976,#341977,#341978,#341979,#341980,#341981,#341982, #341983,#341984,#341985,#341986,#341987,#341988,#341989,#341990,#341991, #341992,#341993,#341994,#341995,#341996,#341997,#341998,#341999,#342000, #342001,#342002,#342003,#342004,#342005,#342006,#342007,#342008,#342009, #342010,#342011,#342012,#342013,#342014,#342015,#342016,#342017,#342018, #342019,#342020,#342021,#342022,#342023,#342024,#342025,#342026,#342027, #342028,#342029,#342030,#342031,#342032,#342033,#342034,#342035,#342036, #342037,#342038,#342039,#342040,#342041,#342042,#342043,#342044,#342045, #342046,#342047,#342048,#342049,#342050,#342051,#342052,#342053,#342054, #342055,#342056,#342057,#342058,#342059,#342060,#342061,#342062,#342063, #342064,#342065,#342066,#342067,#342068,#342069,#342070,#342071,#342072, #342073,#342074,#342075,#342076,#342077,#342078,#342079,#342080,#342081, #342082,#342083,#342084,#342085,#342086,#342087,#342088,#342089,#342090, #342091,#342092,#342093,#342094,#342095,#342096,#342097,#342098,#342099, #342100,#342101,#342102,#342103,#342104,#342105,#342106,#342107,#342108, #342109,#342110,#342111,#342112,#342113,#342114,#342115,#342116,#342117, #342118,#342119,#342120,#342121,#342122,#342123,#342124,#342125,#342126, #342127,#342128,#342129,#342130,#342131,#342132,#342133,#342134,#342135, #342136,#342137,#342138,#342139,#342140,#342141,#342142,#342143,#342144, #342145,#342146,#342147,#342148,#342149,#342150,#342151,#342152,#342153, #342154,#342155,#342156,#342157,#342158,#342159,#342160,#342161,#342162, #342163,#342164,#342165,#342166,#342167,#342168,#342169,#342170,#342171, #342172,#342173,#342174,#342175,#342176,#342177,#342178,#342179,#342180, #342181,#342182,#342183,#342184,#342185,#342186,#342187,#342188,#342189, #342190,#342191,#342192,#342193,#342194,#342195,#342196,#342197,#342198, #342199,#342200,#342201,#342202,#342203,#342204,#342205,#342206,#342207, #342208,#342209,#342210,#342211,#342212,#342213,#342214,#342215,#342216, #342217,#342218,#342219,#342220,#342221,#342222,#342223,#342224,#342225, #342226,#342227,#342228,#342229,#342230,#342231,#342232,#342233,#342234, #342235,#342236,#342237,#342238,#342239,#342240,#342241,#342242,#342243, #342244,#342245,#342246,#342247,#342248,#342249,#342250,#342251,#342252, #342253,#342254,#342255,#342256,#342257,#342258,#342259,#342260,#342261, #342262,#342263,#342264,#342265,#342266,#342267,#342268,#342269,#342270, #342271,#342272,#342273,#342274,#342275,#342276,#342277,#342278,#342279, #342280,#342281,#342282,#342283,#342284,#342285,#342286,#342287,#342288, #342289,#342290,#342291,#342292,#342293,#342294,#342295,#342296,#342297, #342298,#342299,#342300,#342301,#342302,#342303,#342304,#342305,#342306, #342307,#342308,#342309,#342310,#342311,#342312,#342313,#342314,#342315, #342316,#342317,#342318,#342319,#342320,#342321,#342322,#342323,#342324, #342325,#342326,#342327,#342328,#342329,#342330,#342331,#342332,#342333, #342334,#342335,#342336,#342337,#342338,#342339,#342340,#342341,#342342, #342343,#342344,#342345,#342346,#342347,#342348,#342349,#342350,#342351, #342352,#342353,#342354,#342355,#342356,#342357,#342358,#342359,#342360, #342361,#342362,#342363,#342364,#342365,#342366,#342367,#342368,#342369, #342370,#342371,#342372,#342373,#342374,#342375,#342376,#342377,#342378, #342379,#342380,#342381,#342382,#342383,#342384,#342385,#342386,#342387, #342388,#342389,#342390,#342391,#342392,#342393,#342394,#342395,#342396, #342397,#342398,#342399,#342400,#342401,#342402,#342403,#342404,#342405, #342406,#342407,#342408,#342409,#342410,#342411,#342412,#342413,#342414, #342415,#342416,#342417,#342418,#342419,#342420,#342421,#342422,#342423, #342424,#342425,#342426,#342427,#342428,#342429,#342430,#342431,#342432, #342433,#342434,#342435,#342436,#342437,#342438,#342439,#342440,#342441, #342442,#342443,#342444,#342445,#342446,#342447,#342448,#342449,#342450, #342451,#342452,#342453,#342454,#342455,#342456,#342457,#342458,#342459, #342460,#342461,#342462,#342463,#342464,#342465,#342466,#342467,#342468, #342469,#342470,#342471,#342472,#342473,#342474,#342475,#342476,#342477, #342478,#342479,#342480,#342481,#342482,#342483,#342484,#342485,#342486, #342487,#342488,#342489,#342490,#342491,#342492,#342493,#342494,#342495, #342496,#342497,#342498,#342499,#342500,#342501,#342502,#342503,#342504, #342505,#342506,#342507,#342508,#342509,#342510,#342511,#342512,#342513, #342514,#342515,#342516,#342517,#342518,#342519,#342520,#342521,#342522, #342523,#342524,#342525,#342526,#342527,#342528,#342529,#342530,#342531, #342532,#342533,#342534,#342535,#342536,#342537,#342538,#342539,#342540, #342541,#342542,#342543,#342544,#342545,#342546,#342547,#342548,#342549, #342550,#342551,#342552,#342553,#342554,#342555,#342556,#342557,#342558, #342559,#342560,#342561,#342562,#342563,#342564,#342565,#342566,#342567, #342568,#342569,#342570,#342571,#342572,#342573,#342574,#342575,#342576, #342577,#342578,#342579,#342580,#342581,#342582,#342583,#342584,#342585, #342586,#342587,#342588,#342589,#342590,#342591,#342592,#342593,#342594, #342595,#342596,#342597,#342598,#342599,#342600,#342601,#342602,#342603, #342604,#342605,#342606,#342607,#342608,#342609,#342610,#342611,#342612, #342613,#342614,#342615,#342616,#342617,#342618,#342619,#342620,#342621, #342622,#342623,#342624,#342625,#342626,#342627,#342628,#342629,#342630, #342631,#342632,#342633,#342634,#342635,#342636,#342637,#342638,#342639, #342640,#342641,#342642,#342643,#342644,#342645,#342646,#342647,#342648, #342649,#342650,#342651)); #349351=CLOSED_SHELL('',(#342652,#342653,#342654,#342655,#342656,#342657, #342658,#342659,#342660,#342661,#342662,#342663,#342664,#342665,#342666, #342667,#342668,#342669,#342670,#342671,#342672,#342673,#342674,#342675, #342676,#342677,#342678,#342679,#342680)); #349352=CLOSED_SHELL('',(#342681,#342682,#342683,#342684,#342685,#342686, #342687,#342688,#342689,#342690,#342691,#342692,#342693,#342694,#342695, #342696,#342697,#342698,#342699,#342700,#342701,#342702,#342703)); #349353=CLOSED_SHELL('',(#342704,#342705,#342706,#342707,#342708,#342709, #342710,#342711,#342712,#342713,#342714,#342715,#342716,#342717,#342718, #342719,#342720,#342721,#342722,#342723,#342724,#342725)); #349354=CLOSED_SHELL('',(#342726,#342727,#342728,#342729,#342730,#342731, #342732,#342733,#342734,#342735,#342736,#342737,#342738,#342739,#342740, #342741,#342742,#342743,#342744,#342745,#342746,#342747,#342748,#342749, #342750,#342751,#342752,#342753,#342754,#342755,#342756,#342757,#342758, #342759,#342760,#342761,#342762,#342763,#342764,#342765,#342766,#342767, #342768,#342769,#342770,#342771,#342772,#342773,#342774)); #349355=CLOSED_SHELL('',(#342775,#342776,#342777,#342778,#342779,#342780, #342781,#342782,#342783,#342784,#342785,#342786,#342787,#342788,#342789, #342790,#342791,#342792,#342793,#342794,#342795,#342796,#342797,#342798, #342799,#342800,#342801,#342802,#342803,#342804,#342805,#342806,#342807, #342808,#342809,#342810)); #349356=CLOSED_SHELL('',(#342811,#342812,#342813,#342814,#342815,#342816, #342817,#342818,#342819,#342820,#342821,#342822,#342823,#342824,#342825, #342826,#342827,#342828,#342829,#342830,#342831,#342832,#342833,#342834)); #349357=CLOSED_SHELL('',(#342835,#342836,#342837,#342838,#342839,#342840, #342841,#342842,#342843,#342844,#342845,#342846,#342847,#342848,#342849, #342850,#342851,#342852,#342853,#342854,#342855,#342856)); #349358=CLOSED_SHELL('',(#342857,#342858,#342859,#342860,#342861,#342862, #342863,#342864,#342865,#342866,#342867,#342868,#342869,#342870,#342871, #342872,#342873,#342874,#342875,#342876,#342877,#342878,#342879,#342880, #342881,#342882,#342883,#342884,#342885,#342886,#342887,#342888,#342889, #342890,#342891,#342892,#342893,#342894,#342895,#342896,#342897,#342898, #342899,#342900,#342901,#342902,#342903,#342904,#342905,#342906,#342907, #342908,#342909,#342910,#342911,#342912,#342913,#342914,#342915,#342916, #342917,#342918,#342919,#342920,#342921,#342922,#342923,#342924,#342925, #342926,#342927,#342928,#342929,#342930)); #349359=CLOSED_SHELL('',(#342931,#342932,#342933,#342934,#342935,#342936, #342937,#342938,#342939,#342940,#342941,#342942,#342943,#342944,#342945, #342946,#342947,#342948,#342949,#342950,#342951,#342952,#342953,#342954, #342955,#342956,#342957,#342958,#342959,#342960)); #349360=CLOSED_SHELL('',(#342961,#342962,#342963,#342964,#342965,#342966, #342967,#342968,#342969,#342970,#342971,#342972,#342973,#342974,#342975, #342976,#342977,#342978,#342979,#342980,#342981,#342982,#342983,#342984, #342985,#342986,#342987,#342988,#342989,#342990,#342991,#342992,#342993, #342994,#342995,#342996,#342997,#342998,#342999,#343000,#343001,#343002, #343003,#343004,#343005,#343006,#343007,#343008,#343009,#343010,#343011, #343012,#343013,#343014,#343015,#343016,#343017,#343018,#343019,#343020, #343021,#343022,#343023,#343024,#343025,#343026,#343027,#343028,#343029, #343030,#343031,#343032,#343033,#343034,#343035,#343036,#343037,#343038, #343039,#343040,#343041,#343042,#343043,#343044,#343045,#343046,#343047, #343048,#343049,#343050,#343051,#343052)); #349361=CLOSED_SHELL('',(#343053,#343054,#343055,#343056,#343057,#343058, #343059,#343060,#343061,#343062,#343063,#343064,#343065,#343066,#343067, #343068,#343069,#343070,#343071,#343072,#343073,#343074,#343075,#343076, #343077,#343078,#343079,#343080,#343081,#343082,#343083,#343084,#343085, #343086,#343087,#343088,#343089,#343090,#343091,#343092,#343093,#343094, #343095,#343096,#343097,#343098,#343099,#343100,#343101,#343102,#343103, #343104,#343105,#343106,#343107,#343108,#343109,#343110,#343111,#343112, #343113,#343114,#343115,#343116,#343117,#343118,#343119,#343120,#343121, #343122,#343123,#343124,#343125,#343126,#343127,#343128,#343129,#343130, #343131,#343132,#343133,#343134,#343135,#343136,#343137,#343138,#343139, #343140)); #349362=CLOSED_SHELL('',(#343141,#343142,#343143,#343144,#343145,#343146, #343147,#343148,#343149,#343150,#343151,#343152,#343153,#343154,#343155, #343156,#343157,#343158,#343159,#343160,#343161,#343162,#343163,#343164, #343165,#343166,#343167,#343168,#343169,#343170,#343171,#343172,#343173, #343174,#343175,#343176,#343177,#343178,#343179,#343180,#343181,#343182, #343183,#343184,#343185,#343186,#343187,#343188,#343189,#343190,#343191, #343192,#343193,#343194,#343195,#343196,#343197,#343198,#343199,#343200, #343201,#343202,#343203,#343204,#343205,#343206,#343207,#343208,#343209, #343210,#343211,#343212,#343213,#343214,#343215,#343216,#343217)); #349363=CLOSED_SHELL('',(#343218,#343219,#343220,#343221,#343222,#343223, #343224,#343225,#343226,#343227,#343228)); #349364=CLOSED_SHELL('',(#343229,#343230,#343231,#343232,#343233,#343234, #343235,#343236,#343237,#343238,#343239,#343240,#343241,#343242,#343243, #343244,#343245,#343246,#343247,#343248,#343249,#343250,#343251,#343252, #343253,#343254,#343255,#343256,#343257,#343258,#343259,#343260,#343261, #343262,#343263,#343264)); #349365=CLOSED_SHELL('',(#343265,#343266,#343267,#343268,#343269,#343270)); #349366=CLOSED_SHELL('',(#343271,#343272,#343273,#343274,#343275,#343276, #343277,#343278,#343279,#343280,#343281,#343282,#343283,#343284,#343285, #343286,#343287,#343288,#343289,#343290,#343291,#343292,#343293,#343294, #343295,#343296,#343297,#343298,#343299,#343300,#343301,#343302,#343303, #343304,#343305,#343306,#343307,#343308,#343309,#343310,#343311,#343312, #343313,#343314,#343315,#343316,#343317,#343318,#343319,#343320,#343321, #343322,#343323,#343324,#343325,#343326,#343327,#343328,#343329,#343330, #343331,#343332,#343333,#343334,#343335,#343336,#343337,#343338,#343339, #343340,#343341,#343342,#343343,#343344,#343345,#343346,#343347)); #349367=CLOSED_SHELL('',(#343348,#343349,#343350,#343351,#343352,#343353, #343354,#343355,#343356,#343357,#343358)); #349368=CLOSED_SHELL('',(#343359,#343360,#343361,#343362,#343363,#343364)); #349369=CLOSED_SHELL('',(#343365,#343366,#343367,#343368,#343369,#343370, #343371,#343372,#343373,#343374,#343375,#343376,#343377,#343378,#343379, #343380,#343381,#343382,#343383,#343384,#343385,#343386,#343387,#343388, #343389,#343390,#343391,#343392,#343393,#343394,#343395,#343396,#343397, #343398,#343399,#343400,#343401,#343402,#343403,#343404,#343405,#343406, #343407,#343408,#343409,#343410,#343411,#343412,#343413,#343414,#343415, #343416,#343417,#343418,#343419,#343420,#343421,#343422,#343423,#343424, #343425,#343426,#343427,#343428,#343429,#343430,#343431,#343432,#343433, #343434,#343435,#343436,#343437,#343438,#343439,#343440,#343441,#343442, #343443,#343444,#343445,#343446,#343447,#343448,#343449,#343450,#343451, #343452,#343453,#343454,#343455,#343456,#343457,#343458,#343459,#343460, #343461,#343462,#343463,#343464,#343465,#343466,#343467,#343468,#343469, #343470,#343471,#343472,#343473,#343474,#343475,#343476,#343477,#343478, #343479,#343480,#343481,#343482,#343483,#343484,#343485,#343486,#343487, #343488,#343489,#343490,#343491,#343492,#343493,#343494,#343495,#343496, #343497,#343498,#343499,#343500,#343501,#343502,#343503,#343504,#343505, #343506,#343507,#343508,#343509,#343510,#343511,#343512,#343513,#343514, #343515,#343516,#343517,#343518,#343519,#343520,#343521,#343522,#343523, #343524,#343525,#343526,#343527,#343528,#343529,#343530,#343531,#343532, #343533,#343534,#343535,#343536,#343537,#343538,#343539,#343540,#343541, #343542,#343543,#343544,#343545,#343546,#343547,#343548)); #349370=CLOSED_SHELL('',(#343549,#343550,#343551,#343552,#343553,#343554)); #349371=CLOSED_SHELL('',(#343555,#343556,#343557,#343558,#343559,#343560)); #349372=CLOSED_SHELL('',(#343561,#343562,#343563,#343564,#343565,#343566)); #349373=CLOSED_SHELL('',(#343567,#343568,#343569,#343570,#343571,#343572)); #349374=CLOSED_SHELL('',(#343573,#343574,#343575,#343576,#343577,#343578, #343579)); #349375=CLOSED_SHELL('',(#343580,#343581,#343582,#343583,#343584,#343585)); #349376=CLOSED_SHELL('',(#343586,#343587,#343588,#343589,#343590,#343591)); #349377=CLOSED_SHELL('',(#343592,#343593,#343594,#343595,#343596,#343597)); #349378=CLOSED_SHELL('',(#343598,#343599,#343600,#343601,#343602,#343603)); #349379=CLOSED_SHELL('',(#343604,#343605,#343606,#343607,#343608,#343609, #343610,#343611,#343612,#343613,#343614,#343615,#343616,#343617,#343618, #343619,#343620,#343621,#343622,#343623,#343624,#343625,#343626,#343627, #343628,#343629,#343630,#343631,#343632,#343633,#343634,#343635,#343636, #343637,#343638,#343639,#343640,#343641,#343642,#343643,#343644,#343645, #343646,#343647,#343648,#343649,#343650,#343651,#343652,#343653,#343654, #343655,#343656,#343657,#343658,#343659,#343660,#343661,#343662,#343663, #343664,#343665)); #349380=CLOSED_SHELL('',(#343666,#343667,#343668,#343669,#343670,#343671, #343672,#343673,#343674,#343675,#343676,#343677,#343678,#343679,#343680, #343681,#343682,#343683,#343684,#343685,#343686,#343687,#343688,#343689, #343690,#343691,#343692,#343693,#343694,#343695,#343696,#343697,#343698, #343699,#343700,#343701,#343702,#343703,#343704,#343705,#343706,#343707, #343708,#343709,#343710,#343711,#343712,#343713,#343714,#343715,#343716, #343717,#343718,#343719,#343720,#343721,#343722,#343723,#343724,#343725, #343726,#343727,#343728,#343729,#343730,#343731,#343732,#343733,#343734, #343735,#343736,#343737,#343738,#343739,#343740,#343741,#343742,#343743)); #349381=CLOSED_SHELL('',(#343744,#343745,#343746,#343747,#343748,#343749, #343750,#343751,#343752,#343753,#343754,#343755,#343756,#343757,#343758, #343759,#343760,#343761,#343762,#343763,#343764,#343765,#343766,#343767, #343768,#343769,#343770,#343771,#343772,#343773,#343774,#343775,#343776, #343777,#343778,#343779,#343780,#343781,#343782,#343783,#343784,#343785, #343786,#343787,#343788,#343789,#343790,#343791,#343792,#343793,#343794, #343795,#343796,#343797,#343798,#343799,#343800,#343801,#343802,#343803, #343804,#343805)); #349382=CLOSED_SHELL('',(#343806,#343807,#343808,#343809,#343810,#343811, #343812)); #349383=CLOSED_SHELL('',(#343813,#343814,#343815,#343816,#343817,#343818, #343819,#343820,#343821,#343822,#343823,#343824,#343825,#343826,#343827, #343828,#343829,#343830,#343831,#343832,#343833,#343834,#343835,#343836, #343837,#343838,#343839,#343840,#343841,#343842,#343843,#343844,#343845, #343846,#343847,#343848,#343849,#343850,#343851,#343852,#343853,#343854, #343855,#343856,#343857,#343858,#343859,#343860,#343861,#343862,#343863, #343864,#343865,#343866,#343867,#343868,#343869,#343870,#343871,#343872, #343873,#343874)); #349384=CLOSED_SHELL('',(#343875,#343876,#343877,#343878,#343879,#343880, #343881,#343882,#343883,#343884,#343885,#343886,#343887,#343888,#343889, #343890,#343891,#343892,#343893,#343894,#343895,#343896,#343897,#343898, #343899,#343900,#343901,#343902,#343903,#343904,#343905,#343906,#343907, #343908,#343909,#343910,#343911,#343912,#343913,#343914,#343915,#343916, #343917,#343918,#343919,#343920,#343921,#343922,#343923,#343924,#343925, #343926,#343927,#343928,#343929,#343930,#343931,#343932,#343933,#343934, #343935,#343936,#343937,#343938,#343939,#343940,#343941,#343942,#343943, #343944,#343945,#343946,#343947,#343948,#343949,#343950,#343951,#343952)); #349385=CLOSED_SHELL('',(#343953,#343954,#343955,#343956,#343957,#343958)); #349386=CLOSED_SHELL('',(#343959,#343960,#343961,#343962,#343963,#343964)); #349387=CLOSED_SHELL('',(#343965,#343966,#343967,#343968,#343969,#343970, #343971,#343972,#343973,#343974,#343975,#343976,#343977,#343978,#343979, #343980,#343981,#343982,#343983,#343984,#343985,#343986,#343987,#343988, #343989,#343990,#343991,#343992,#343993,#343994,#343995,#343996,#343997, #343998,#343999,#344000,#344001,#344002,#344003,#344004,#344005,#344006, #344007,#344008,#344009,#344010,#344011,#344012,#344013,#344014,#344015, #344016,#344017,#344018,#344019,#344020,#344021,#344022,#344023,#344024, #344025,#344026)); #349388=CLOSED_SHELL('',(#344027,#344028,#344029,#344030,#344031,#344032)); #349389=CLOSED_SHELL('',(#344033,#344034,#344035,#344036,#344037,#344038)); #349390=CLOSED_SHELL('',(#344039,#344040,#344041,#344042,#344043,#344044)); #349391=CLOSED_SHELL('',(#344045,#344046,#344047,#344048,#344049,#344050, #344051)); #349392=CLOSED_SHELL('',(#344052,#344053,#344054,#344055,#344056,#344057)); #349393=CLOSED_SHELL('',(#344058,#344059,#344060,#344061,#344062,#344063)); #349394=CLOSED_SHELL('',(#344064,#344065,#344066,#344067,#344068,#344069)); #349395=CLOSED_SHELL('',(#344070,#344071,#344072,#344073,#344074,#344075)); #349396=CLOSED_SHELL('',(#344076,#344077,#344078,#344079,#344080,#344081)); #349397=CLOSED_SHELL('',(#344082,#344083,#344084,#344085,#344086,#344087)); #349398=CLOSED_SHELL('',(#344088,#344089,#344090,#344091,#344092,#344093)); #349399=CLOSED_SHELL('',(#344094,#344095,#344096,#344097,#344098,#344099)); #349400=CLOSED_SHELL('',(#344100,#344101,#344102,#344103,#344104,#344105)); #349401=CLOSED_SHELL('',(#344106,#344107,#344108,#344109,#344110,#344111)); #349402=CLOSED_SHELL('',(#344112,#344113,#344114,#344115,#344116,#344117)); #349403=CLOSED_SHELL('',(#344118,#344119,#344120,#344121,#344122,#344123)); #349404=CLOSED_SHELL('',(#344124,#344125,#344126,#344127,#344128,#344129)); #349405=CLOSED_SHELL('',(#344130,#344131,#344132,#344133,#344134,#344135)); #349406=CLOSED_SHELL('',(#344136,#344137,#344138,#344139,#344140,#344141)); #349407=CLOSED_SHELL('',(#344142,#344143,#344144,#344145,#344146,#344147)); #349408=CLOSED_SHELL('',(#344148,#344149,#344150,#344151,#344152,#344153)); #349409=CLOSED_SHELL('',(#344154,#344155,#344156,#344157,#344158,#344159)); #349410=CLOSED_SHELL('',(#344160,#344161,#344162,#344163,#344164,#344165)); #349411=CLOSED_SHELL('',(#344166,#344167,#344168,#344169,#344170,#344171)); #349412=CLOSED_SHELL('',(#344172,#344173,#344174,#344175,#344176,#344177)); #349413=CLOSED_SHELL('',(#344178,#344179,#344180,#344181,#344182,#344183)); #349414=CLOSED_SHELL('',(#344184,#344185,#344186,#344187,#344188,#344189)); #349415=CLOSED_SHELL('',(#344190,#344191,#344192,#344193,#344194,#344195)); #349416=CLOSED_SHELL('',(#344196,#344197,#344198,#344199,#344200,#344201, #344202,#344203)); #349417=CLOSED_SHELL('',(#344204,#344205,#344206,#344207,#344208,#344209)); #349418=CLOSED_SHELL('',(#344210,#344211,#344212,#344213,#344214,#344215, #344216,#344217)); #349419=CLOSED_SHELL('',(#344218,#344219,#344220,#344221,#344222,#344223)); #349420=CLOSED_SHELL('',(#344224,#344225,#344226,#344227,#344228,#344229)); #349421=CLOSED_SHELL('',(#344230,#344231,#344232,#344233,#344234,#344235)); #349422=CLOSED_SHELL('',(#344236,#344237,#344238,#344239,#344240,#344241)); #349423=CLOSED_SHELL('',(#344242,#344243,#344244,#344245,#344246,#344247)); #349424=CLOSED_SHELL('',(#344248,#344249,#344250,#344251,#344252,#344253)); #349425=CLOSED_SHELL('',(#344254,#344255,#344256,#344257,#344258,#344259)); #349426=CLOSED_SHELL('',(#344260,#344261,#344262,#344263,#344264,#344265)); #349427=CLOSED_SHELL('',(#344266,#344267,#344268,#344269,#344270,#344271)); #349428=CLOSED_SHELL('',(#344272,#344273,#344274,#344275,#344276,#344277)); #349429=CLOSED_SHELL('',(#344278,#344279,#344280,#344281,#344282,#344283)); #349430=CLOSED_SHELL('',(#344284,#344285,#344286,#344287,#344288,#344289)); #349431=CLOSED_SHELL('',(#344290,#344291,#344292,#344293,#344294,#344295)); #349432=CLOSED_SHELL('',(#344296,#344297,#344298,#344299,#344300,#344301)); #349433=CLOSED_SHELL('',(#344302,#344303,#344304,#344305,#344306,#344307)); #349434=CLOSED_SHELL('',(#344308,#344309,#344310,#344311,#344312,#344313)); #349435=CLOSED_SHELL('',(#344314,#344315,#344316,#344317,#344318,#344319, #344320)); #349436=CLOSED_SHELL('',(#344321,#344322,#344323,#344324,#344325,#344326)); #349437=CLOSED_SHELL('',(#344327,#344328,#344329,#344330,#344331,#344332)); #349438=CLOSED_SHELL('',(#344333,#344334,#344335,#344336,#344337,#344338)); #349439=CLOSED_SHELL('',(#344339,#344340,#344341,#344342,#344343,#344344)); #349440=CLOSED_SHELL('',(#344345,#344346,#344347,#344348,#344349,#344350, #344351,#344352,#344353,#344354,#344355,#344356,#344357,#344358,#344359, #344360,#344361,#344362,#344363,#344364,#344365)); #349441=CLOSED_SHELL('',(#344366,#344367,#344368,#344369,#344370,#344371)); #349442=CLOSED_SHELL('',(#344372,#344373,#344374,#344375,#344376,#344377)); #349443=CLOSED_SHELL('',(#344378,#344379,#344380,#344381,#344382,#344383)); #349444=CLOSED_SHELL('',(#344384,#344385,#344386,#344387,#344388,#344389, #344390)); #349445=CLOSED_SHELL('',(#344391,#344392,#344393,#344394,#344395,#344396)); #349446=CLOSED_SHELL('',(#344397,#344398,#344399,#344400,#344401,#344402)); #349447=CLOSED_SHELL('',(#344403,#344404,#344405,#344406,#344407,#344408)); #349448=CLOSED_SHELL('',(#344409,#344410,#344411,#344412,#344413,#344414)); #349449=CLOSED_SHELL('',(#344415,#344416,#344417,#344418,#344419,#344420, #344421,#344422,#344423,#344424,#344425,#344426,#344427,#344428,#344429, #344430,#344431,#344432,#344433,#344434,#344435)); #349450=CLOSED_SHELL('',(#344436,#344437,#344438,#344439,#344440,#344441)); #349451=CLOSED_SHELL('',(#344442,#344443,#344444,#344445,#344446,#344447)); #349452=CLOSED_SHELL('',(#344448,#344449,#344450,#344451,#344452,#344453)); #349453=CLOSED_SHELL('',(#344454,#344455,#344456,#344457,#344458,#344459, #344460)); #349454=CLOSED_SHELL('',(#344461,#344462,#344463,#344464,#344465,#344466, #344467)); #349455=CLOSED_SHELL('',(#344468,#344469,#344470,#344471,#344472,#344473, #344474)); #349456=CLOSED_SHELL('',(#344475,#344476,#344477,#344478,#344479,#344480, #344481,#344482,#344483,#344484,#344485,#344486,#344487,#344488,#344489, #344490,#344491,#344492,#344493,#344494,#344495)); #349457=CLOSED_SHELL('',(#344496,#344497,#344498,#344499,#344500,#344501)); #349458=CLOSED_SHELL('',(#344502,#344503,#344504,#344505,#344506,#344507)); #349459=CLOSED_SHELL('',(#344508,#344509,#344510,#344511,#344512,#344513)); #349460=CLOSED_SHELL('',(#344514,#344515,#344516,#344517,#344518,#344519)); #349461=CLOSED_SHELL('',(#344520,#344521,#344522,#344523,#344524,#344525)); #349462=CLOSED_SHELL('',(#344526,#344527,#344528,#344529,#344530,#344531)); #349463=CLOSED_SHELL('',(#344532,#344533,#344534,#344535,#344536,#344537)); #349464=CLOSED_SHELL('',(#344538,#344539,#344540,#344541,#344542,#344543)); #349465=CLOSED_SHELL('',(#344544,#344545,#344546,#344547,#344548,#344549)); #349466=CLOSED_SHELL('',(#344550,#344551,#344552,#344553,#344554,#344555)); #349467=CLOSED_SHELL('',(#344556,#344557,#344558,#344559,#344560,#344561)); #349468=CLOSED_SHELL('',(#344562,#344563,#344564,#344565,#344566,#344567)); #349469=CLOSED_SHELL('',(#344568,#344569,#344570,#344571,#344572,#344573)); #349470=CLOSED_SHELL('',(#344574,#344575,#344576,#344577,#344578,#344579)); #349471=CLOSED_SHELL('',(#344580,#344581,#344582,#344583,#344584,#344585)); #349472=CLOSED_SHELL('',(#344586,#344587,#344588,#344589,#344590,#344591)); #349473=CLOSED_SHELL('',(#344592,#344593,#344594,#344595,#344596,#344597)); #349474=CLOSED_SHELL('',(#344598,#344599,#344600,#344601,#344602,#344603)); #349475=CLOSED_SHELL('',(#344604,#344605,#344606,#344607,#344608,#344609)); #349476=CLOSED_SHELL('',(#344610,#344611,#344612,#344613,#344614,#344615)); #349477=CLOSED_SHELL('',(#344616,#344617,#344618,#344619,#344620,#344621, #344622,#344623,#344624,#344625,#344626,#344627,#344628,#344629,#344630, #344631,#344632,#344633,#344634,#344635,#344636)); #349478=CLOSED_SHELL('',(#344637,#344638,#344639,#344640,#344641,#344642)); #349479=CLOSED_SHELL('',(#344643,#344644,#344645,#344646,#344647,#344648)); #349480=CLOSED_SHELL('',(#344649,#344650,#344651,#344652,#344653,#344654)); #349481=CLOSED_SHELL('',(#344655,#344656,#344657,#344658,#344659,#344660)); #349482=CLOSED_SHELL('',(#344661,#344662,#344663,#344664,#344665,#344666)); #349483=CLOSED_SHELL('',(#344667,#344668,#344669,#344670,#344671,#344672)); #349484=CLOSED_SHELL('',(#344673,#344674,#344675,#344676,#344677,#344678, #344679)); #349485=CLOSED_SHELL('',(#344680,#344681,#344682,#344683,#344684,#344685, #344686,#344687)); #349486=CLOSED_SHELL('',(#344688,#344689,#344690,#344691,#344692,#344693, #344694,#344695)); #349487=CLOSED_SHELL('',(#344696,#344697,#344698,#344699,#344700,#344701, #344702)); #349488=CLOSED_SHELL('',(#344703,#344704,#344705,#344706,#344707,#344708, #344709,#344710,#344711,#344712,#344713,#344714,#344715,#344716,#344717, #344718,#344719,#344720,#344721,#344722,#344723,#344724,#344725,#344726, #344727,#344728,#344729,#344730,#344731,#344732,#344733,#344734,#344735, #344736,#344737,#344738,#344739,#344740,#344741,#344742,#344743,#344744, #344745,#344746,#344747,#344748,#344749,#344750,#344751,#344752,#344753, #344754,#344755,#344756,#344757,#344758,#344759,#344760,#344761,#344762, #344763,#344764,#344765,#344766,#344767,#344768,#344769,#344770,#344771, #344772,#344773,#344774,#344775,#344776,#344777,#344778,#344779,#344780, #344781,#344782,#344783,#344784,#344785,#344786,#344787,#344788,#344789, #344790,#344791,#344792,#344793,#344794,#344795,#344796,#344797,#344798, #344799,#344800,#344801,#344802,#344803,#344804,#344805,#344806,#344807, #344808,#344809,#344810,#344811,#344812,#344813,#344814,#344815,#344816, #344817,#344818,#344819,#344820,#344821,#344822,#344823,#344824,#344825, #344826,#344827,#344828,#344829,#344830,#344831,#344832,#344833,#344834, #344835,#344836,#344837,#344838,#344839,#344840,#344841,#344842,#344843, #344844,#344845,#344846,#344847,#344848,#344849,#344850,#344851,#344852, #344853,#344854,#344855,#344856,#344857,#344858,#344859,#344860,#344861, #344862,#344863,#344864,#344865,#344866,#344867,#344868,#344869,#344870, #344871,#344872,#344873,#344874,#344875,#344876,#344877,#344878,#344879, #344880,#344881,#344882,#344883,#344884,#344885,#344886,#344887,#344888, #344889,#344890,#344891,#344892,#344893,#344894,#344895,#344896,#344897, #344898,#344899,#344900,#344901,#344902,#344903,#344904,#344905,#344906, #344907,#344908,#344909,#344910,#344911,#344912,#344913,#344914,#344915, #344916,#344917,#344918,#344919,#344920,#344921,#344922,#344923,#344924, #344925,#344926,#344927,#344928,#344929,#344930,#344931,#344932,#344933, #344934,#344935,#344936,#344937,#344938,#344939,#344940,#344941,#344942, #344943,#344944,#344945,#344946,#344947,#344948,#344949,#344950,#344951, #344952,#344953,#344954,#344955,#344956,#344957,#344958,#344959,#344960, #344961,#344962,#344963,#344964,#344965,#344966,#344967,#344968,#344969, #344970,#344971,#344972,#344973,#344974,#344975,#344976,#344977,#344978, #344979,#344980,#344981,#344982,#344983,#344984,#344985,#344986,#344987, #344988,#344989,#344990,#344991,#344992,#344993,#344994,#344995,#344996, #344997,#344998,#344999,#345000,#345001,#345002,#345003,#345004,#345005, #345006,#345007,#345008,#345009,#345010,#345011,#345012,#345013,#345014, #345015,#345016,#345017,#345018,#345019,#345020,#345021,#345022,#345023, #345024,#345025,#345026,#345027,#345028,#345029,#345030,#345031,#345032, #345033,#345034,#345035,#345036,#345037,#345038,#345039,#345040)); #349489=CLOSED_SHELL('',(#345041,#345042,#345043,#345044,#345045,#345046, #345047,#345048,#345049,#345050,#345051,#345052,#345053,#345054,#345055, #345056,#345057,#345058,#345059,#345060,#345061,#345062,#345063,#345064, #345065,#345066,#345067,#345068,#345069,#345070,#345071,#345072,#345073, #345074,#345075,#345076,#345077,#345078,#345079,#345080,#345081,#345082, #345083,#345084,#345085,#345086,#345087,#345088,#345089,#345090,#345091, #345092,#345093,#345094,#345095,#345096,#345097,#345098,#345099,#345100, #345101,#345102,#345103,#345104,#345105,#345106,#345107,#345108,#345109, #345110,#345111,#345112,#345113,#345114,#345115,#345116,#345117,#345118, #345119,#345120,#345121,#345122,#345123,#345124,#345125,#345126,#345127, #345128,#345129,#345130,#345131,#345132,#345133,#345134,#345135,#345136, #345137,#345138,#345139,#345140,#345141,#345142,#345143,#345144,#345145, #345146,#345147,#345148,#345149,#345150,#345151,#345152,#345153,#345154, #345155,#345156,#345157,#345158,#345159,#345160,#345161,#345162,#345163, #345164,#345165,#345166,#345167,#345168,#345169,#345170,#345171,#345172, #345173,#345174,#345175,#345176,#345177,#345178,#345179,#345180,#345181, #345182,#345183,#345184,#345185,#345186,#345187,#345188,#345189,#345190, #345191,#345192,#345193,#345194,#345195,#345196,#345197,#345198,#345199, #345200,#345201,#345202,#345203,#345204,#345205,#345206,#345207,#345208, #345209,#345210,#345211,#345212,#345213,#345214,#345215,#345216,#345217, #345218,#345219,#345220,#345221,#345222,#345223,#345224,#345225,#345226, #345227,#345228,#345229,#345230,#345231,#345232,#345233,#345234,#345235, #345236,#345237,#345238,#345239,#345240,#345241,#345242,#345243,#345244, #345245,#345246,#345247,#345248,#345249,#345250,#345251,#345252,#345253, #345254,#345255,#345256,#345257,#345258,#345259,#345260,#345261,#345262, #345263,#345264,#345265,#345266,#345267,#345268,#345269,#345270,#345271, #345272,#345273,#345274,#345275,#345276,#345277,#345278,#345279,#345280, #345281,#345282,#345283,#345284,#345285,#345286,#345287,#345288,#345289, #345290,#345291,#345292,#345293,#345294,#345295,#345296,#345297,#345298, #345299,#345300,#345301,#345302,#345303,#345304,#345305,#345306,#345307, #345308,#345309,#345310,#345311,#345312,#345313,#345314,#345315,#345316, #345317,#345318,#345319,#345320,#345321,#345322,#345323,#345324,#345325, #345326,#345327,#345328,#345329,#345330,#345331,#345332,#345333,#345334, #345335,#345336,#345337,#345338,#345339,#345340,#345341,#345342,#345343, #345344,#345345,#345346,#345347,#345348,#345349,#345350,#345351,#345352, #345353,#345354,#345355,#345356,#345357,#345358,#345359,#345360,#345361, #345362,#345363,#345364,#345365,#345366,#345367,#345368,#345369,#345370, #345371,#345372,#345373,#345374,#345375,#345376,#345377,#345378)); #349490=CLOSED_SHELL('',(#345379,#345380,#345381,#345382,#345383,#345384, #345385,#345386,#345387,#345388,#345389,#345390,#345391,#345392,#345393, #345394,#345395,#345396,#345397,#345398,#345399,#345400,#345401,#345402, #345403,#345404,#345405,#345406,#345407,#345408,#345409,#345410,#345411, #345412,#345413,#345414,#345415,#345416,#345417,#345418,#345419,#345420, #345421,#345422,#345423,#345424,#345425,#345426,#345427,#345428,#345429, #345430,#345431,#345432,#345433,#345434,#345435,#345436,#345437,#345438, #345439,#345440,#345441,#345442,#345443,#345444,#345445,#345446,#345447, #345448,#345449,#345450,#345451,#345452,#345453,#345454,#345455,#345456, #345457,#345458,#345459,#345460,#345461,#345462,#345463,#345464,#345465, #345466,#345467,#345468,#345469,#345470,#345471,#345472,#345473,#345474, #345475,#345476,#345477,#345478,#345479,#345480,#345481,#345482)); #349491=CLOSED_SHELL('',(#345483,#345484,#345485,#345486,#345487,#345488, #345489,#345490,#345491,#345492,#345493,#345494,#345495,#345496,#345497, #345498,#345499,#345500,#345501,#345502,#345503,#345504,#345505,#345506, #345507,#345508)); #349492=CLOSED_SHELL('',(#345509,#345510,#345511,#345512,#345513,#345514, #345515,#345516,#345517,#345518,#345519,#345520,#345521,#345522,#345523, #345524,#345525,#345526,#345527,#345528,#345529,#345530,#345531,#345532, #345533,#345534)); #349493=CLOSED_SHELL('',(#345535,#345536,#345537,#345538,#345539,#345540)); #349494=CLOSED_SHELL('',(#345541,#345542,#345543,#345544,#345545,#345546)); #349495=CLOSED_SHELL('',(#345547,#345548,#345549,#345550,#345551,#345552)); #349496=CLOSED_SHELL('',(#345553,#345554,#345555,#345556,#345557,#345558, #345559,#345560,#345561,#345562,#345563,#345564,#345565,#345566,#345567, #345568,#345569,#345570,#345571,#345572,#345573,#345574,#345575,#345576, #345577,#345578,#345579,#345580,#345581,#345582,#345583,#345584,#345585, #345586,#345587,#345588,#345589,#345590,#345591,#345592,#345593,#345594, #345595,#345596,#345597,#345598,#345599,#345600,#345601,#345602,#345603, #345604,#345605,#345606,#345607,#345608,#345609,#345610,#345611,#345612, #345613,#345614,#345615,#345616,#345617,#345618,#345619,#345620,#345621, #345622,#345623,#345624,#345625,#345626,#345627,#345628,#345629,#345630, #345631,#345632,#345633,#345634,#345635,#345636,#345637,#345638,#345639, #345640,#345641,#345642,#345643,#345644,#345645,#345646,#345647,#345648, #345649,#345650,#345651,#345652,#345653,#345654,#345655,#345656,#345657, #345658,#345659,#345660,#345661,#345662,#345663,#345664,#345665,#345666, #345667,#345668,#345669,#345670,#345671,#345672,#345673,#345674,#345675, #345676,#345677,#345678,#345679,#345680,#345681,#345682,#345683,#345684, #345685,#345686,#345687,#345688,#345689,#345690,#345691,#345692,#345693, #345694,#345695,#345696,#345697,#345698,#345699,#345700,#345701,#345702, #345703,#345704,#345705,#345706,#345707,#345708,#345709,#345710,#345711, #345712,#345713,#345714,#345715,#345716,#345717,#345718,#345719,#345720, #345721,#345722,#345723,#345724,#345725,#345726,#345727,#345728,#345729, #345730,#345731,#345732,#345733,#345734,#345735,#345736,#345737,#345738, #345739,#345740,#345741,#345742,#345743,#345744,#345745,#345746,#345747, #345748,#345749,#345750,#345751,#345752,#345753,#345754,#345755,#345756, #345757,#345758,#345759,#345760,#345761,#345762,#345763,#345764,#345765, #345766,#345767,#345768,#345769,#345770,#345771,#345772,#345773,#345774, #345775,#345776,#345777,#345778,#345779,#345780,#345781,#345782,#345783, #345784,#345785,#345786,#345787,#345788,#345789,#345790,#345791,#345792, #345793,#345794,#345795,#345796,#345797,#345798,#345799,#345800,#345801, #345802,#345803,#345804,#345805)); #349497=CLOSED_SHELL('',(#345806,#345807,#345808,#345809,#345810,#345811, #345812,#345813,#345814,#345815,#345816,#345817,#345818,#345819,#345820, #345821,#345822,#345823,#345824,#345825)); #349498=CLOSED_SHELL('',(#345826,#345827,#345828,#345829,#345830,#345831, #345832,#345833,#345834,#345835,#345836,#345837,#345838,#345839,#345840, #345841,#345842,#345843,#345844,#345845)); #349499=CLOSED_SHELL('',(#345846,#345847,#345848,#345849,#345850,#345851, #345852,#345853,#345854,#345855,#345856,#345857,#345858,#345859,#345860, #345861,#345862,#345863,#345864,#345865)); #349500=CLOSED_SHELL('',(#345866,#345867,#345868,#345869,#345870,#345871, #345872,#345873,#345874,#345875,#345876,#345877,#345878,#345879,#345880, #345881,#345882,#345883,#345884,#345885)); #349501=CLOSED_SHELL('',(#345886,#345887,#345888,#345889,#345890,#345891, #345892,#345893,#345894,#345895,#345896,#345897,#345898,#345899,#345900, #345901,#345902,#345903,#345904,#345905)); #349502=CLOSED_SHELL('',(#345906,#345907,#345908,#345909,#345910,#345911, #345912,#345913,#345914,#345915,#345916,#345917,#345918,#345919,#345920, #345921,#345922,#345923,#345924,#345925)); #349503=CLOSED_SHELL('',(#345926,#345927,#345928,#345929,#345930,#345931, #345932,#345933,#345934,#345935,#345936,#345937,#345938,#345939,#345940, #345941,#345942,#345943,#345944,#345945)); #349504=CLOSED_SHELL('',(#345946,#345947,#345948,#345949,#345950,#345951, #345952,#345953,#345954,#345955,#345956,#345957,#345958,#345959,#345960, #345961,#345962,#345963,#345964,#345965)); #349505=CLOSED_SHELL('',(#345966,#345967,#345968,#345969,#345970,#345971, #345972,#345973,#345974,#345975,#345976,#345977,#345978,#345979,#345980, #345981,#345982,#345983,#345984,#345985)); #349506=CLOSED_SHELL('',(#345986,#345987,#345988,#345989,#345990,#345991, #345992,#345993,#345994,#345995,#345996,#345997,#345998,#345999,#346000, #346001,#346002,#346003,#346004,#346005)); #349507=CLOSED_SHELL('',(#346006,#346007,#346008,#346009,#346010,#346011, #346012,#346013,#346014,#346015,#346016,#346017,#346018,#346019,#346020, #346021,#346022,#346023,#346024,#346025)); #349508=CLOSED_SHELL('',(#346026,#346027,#346028,#346029,#346030,#346031, #346032,#346033,#346034,#346035,#346036,#346037,#346038,#346039,#346040, #346041,#346042,#346043,#346044,#346045)); #349509=CLOSED_SHELL('',(#346046,#346047,#346048,#346049,#346050,#346051, #346052,#346053,#346054,#346055,#346056,#346057,#346058,#346059,#346060, #346061,#346062,#346063,#346064,#346065)); #349510=CLOSED_SHELL('',(#346066,#346067,#346068,#346069,#346070,#346071, #346072,#346073,#346074,#346075,#346076,#346077,#346078,#346079,#346080, #346081,#346082,#346083,#346084,#346085)); #349511=CLOSED_SHELL('',(#346086,#346087,#346088,#346089,#346090,#346091, #346092,#346093,#346094,#346095,#346096,#346097,#346098,#346099,#346100, #346101,#346102,#346103,#346104,#346105,#346106,#346107,#346108,#346109, #346110,#346111,#346112,#346113,#346114,#346115,#346116,#346117,#346118, #346119,#346120,#346121,#346122,#346123)); #349512=CLOSED_SHELL('',(#346124,#346125,#346126,#346127,#346128,#346129, #346130,#346131,#346132,#346133,#346134,#346135,#346136,#346137,#346138, #346139,#346140,#346141,#346142,#346143,#346144,#346145,#346146,#346147, #346148,#346149,#346150,#346151,#346152,#346153,#346154,#346155,#346156, #346157,#346158,#346159,#346160,#346161,#346162,#346163,#346164,#346165, #346166,#346167,#346168,#346169,#346170,#346171,#346172,#346173,#346174, #346175,#346176,#346177,#346178,#346179,#346180,#346181,#346182,#346183, #346184,#346185,#346186,#346187,#346188,#346189,#346190,#346191,#346192, #346193,#346194,#346195,#346196,#346197,#346198,#346199,#346200,#346201, #346202,#346203,#346204,#346205,#346206,#346207,#346208,#346209,#346210, #346211,#346212,#346213,#346214,#346215,#346216,#346217,#346218,#346219, #346220,#346221,#346222,#346223,#346224,#346225,#346226,#346227,#346228, #346229,#346230,#346231,#346232,#346233,#346234,#346235,#346236,#346237, #346238,#346239,#346240,#346241,#346242,#346243,#346244,#346245,#346246, #346247,#346248,#346249,#346250,#346251,#346252,#346253,#346254,#346255, #346256,#346257,#346258,#346259,#346260,#346261,#346262,#346263,#346264, #346265,#346266,#346267,#346268,#346269,#346270,#346271,#346272,#346273, #346274,#346275,#346276,#346277,#346278,#346279,#346280,#346281,#346282, #346283,#346284,#346285,#346286,#346287,#346288,#346289,#346290,#346291, #346292,#346293,#346294,#346295,#346296,#346297,#346298,#346299,#346300, #346301,#346302,#346303,#346304,#346305,#346306,#346307,#346308,#346309, #346310,#346311,#346312,#346313,#346314,#346315,#346316,#346317,#346318, #346319,#346320,#346321,#346322,#346323,#346324,#346325,#346326,#346327, #346328,#346329,#346330,#346331,#346332,#346333,#346334,#346335,#346336, #346337,#346338,#346339,#346340,#346341,#346342,#346343,#346344,#346345, #346346,#346347,#346348,#346349,#346350,#346351,#346352,#346353,#346354, #346355,#346356,#346357,#346358,#346359,#346360,#346361,#346362,#346363, #346364,#346365,#346366,#346367,#346368,#346369,#346370,#346371,#346372, #346373,#346374,#346375,#346376,#346377,#346378,#346379,#346380,#346381, #346382,#346383,#346384,#346385,#346386,#346387,#346388,#346389,#346390, #346391,#346392,#346393,#346394,#346395,#346396,#346397,#346398,#346399, #346400,#346401,#346402,#346403,#346404,#346405,#346406,#346407,#346408, #346409,#346410,#346411,#346412,#346413,#346414,#346415,#346416,#346417, #346418,#346419,#346420,#346421,#346422,#346423,#346424,#346425,#346426, #346427,#346428,#346429,#346430,#346431,#346432,#346433,#346434,#346435, #346436,#346437,#346438,#346439,#346440,#346441,#346442,#346443,#346444, #346445,#346446,#346447,#346448,#346449,#346450,#346451,#346452,#346453, #346454,#346455,#346456,#346457,#346458,#346459,#346460,#346461,#346462, #346463,#346464,#346465,#346466,#346467,#346468,#346469,#346470,#346471, #346472,#346473,#346474,#346475,#346476,#346477,#346478,#346479,#346480, #346481,#346482,#346483,#346484,#346485,#346486,#346487,#346488,#346489, #346490,#346491,#346492,#346493,#346494,#346495,#346496,#346497,#346498, #346499,#346500,#346501,#346502,#346503,#346504,#346505,#346506,#346507, #346508,#346509,#346510,#346511,#346512,#346513,#346514,#346515,#346516, #346517,#346518,#346519,#346520,#346521,#346522,#346523,#346524,#346525, #346526,#346527,#346528,#346529,#346530,#346531,#346532,#346533,#346534, #346535,#346536,#346537,#346538,#346539,#346540)); #349513=CLOSED_SHELL('',(#346541,#346542,#346543,#346544,#346545,#346546, #346547,#346548,#346549,#346550,#346551,#346552,#346553,#346554,#346555, #346556,#346557,#346558,#346559,#346560)); #349514=CLOSED_SHELL('',(#346561,#346562,#346563,#346564,#346565,#346566, #346567,#346568,#346569,#346570,#346571,#346572,#346573,#346574,#346575, #346576,#346577,#346578,#346579,#346580,#346581,#346582,#346583,#346584, #346585,#346586,#346587,#346588,#346589,#346590,#346591,#346592,#346593, #346594,#346595,#346596,#346597,#346598,#346599,#346600,#346601,#346602, #346603,#346604,#346605,#346606,#346607,#346608,#346609,#346610,#346611, #346612,#346613,#346614,#346615,#346616,#346617,#346618,#346619,#346620, #346621,#346622,#346623,#346624,#346625,#346626,#346627,#346628,#346629, #346630,#346631,#346632,#346633,#346634,#346635,#346636,#346637,#346638, #346639,#346640,#346641)); #349515=CLOSED_SHELL('',(#346642,#346643,#346644,#346645,#346646,#346647, #346648,#346649,#346650,#346651,#346652,#346653,#346654,#346655,#346656, #346657,#346658,#346659,#346660,#346661,#346662,#346663,#346664,#346665, #346666,#346667)); #349516=CLOSED_SHELL('',(#346668,#346669,#346670,#346671,#346672,#346673, #346674,#346675,#346676,#346677,#346678,#346679,#346680,#346681,#346682, #346683,#346684,#346685,#346686,#346687,#346688,#346689,#346690,#346691, #346692,#346693)); #349517=CLOSED_SHELL('',(#346694,#346695,#346696,#346697,#346698,#346699)); #349518=CLOSED_SHELL('',(#346700,#346701,#346702,#346703,#346704,#346705, #346706,#346707,#346708,#346709,#346710,#346711,#346712,#346713,#346714, #346715,#346716,#346717,#346718,#346719,#346720,#346721,#346722,#346723, #346724,#346725)); #349519=CLOSED_SHELL('',(#346726,#346727,#346728,#346729,#346730,#346731, #346732,#346733,#346734,#346735,#346736,#346737,#346738,#346739,#346740, #346741,#346742,#346743,#346744,#346745,#346746,#346747,#346748,#346749, #346750,#346751)); #349520=CLOSED_SHELL('',(#346752,#346753,#346754,#346755,#346756,#346757)); #349521=CLOSED_SHELL('',(#346758,#346759,#346760,#346761,#346762,#346763, #346764,#346765,#346766,#346767,#346768,#346769,#346770,#346771,#346772, #346773,#346774,#346775,#346776,#346777,#346778,#346779,#346780,#346781, #346782,#346783)); #349522=CLOSED_SHELL('',(#346784,#346785,#346786,#346787,#346788,#346789, #346790,#346791,#346792,#346793,#346794,#346795,#346796,#346797,#346798, #346799,#346800,#346801,#346802,#346803,#346804,#346805,#346806,#346807, #346808,#346809)); #349523=CLOSED_SHELL('',(#346810,#346811,#346812,#346813,#346814,#346815)); #349524=CLOSED_SHELL('',(#346816,#346817,#346818,#346819,#346820,#346821, #346822,#346823,#346824,#346825,#346826)); #349525=CLOSED_SHELL('',(#346827,#346828,#346829,#346830,#346831,#346832, #346833,#346834,#346835,#346836,#346837,#346838,#346839,#346840,#346841, #346842,#346843,#346844)); #349526=CLOSED_SHELL('',(#346845,#346846,#346847)); #349527=CLOSED_SHELL('',(#346848,#346849,#346850)); #349528=CLOSED_SHELL('',(#346851,#346852,#346853,#346854,#346855,#346856)); #349529=CLOSED_SHELL('',(#346857,#346858,#346859,#346860,#346861,#346862)); #349530=CLOSED_SHELL('',(#346863,#346864,#346865,#346866,#346867,#346868)); #349531=CLOSED_SHELL('',(#346869,#346870,#346871,#346872,#346873,#346874)); #349532=CLOSED_SHELL('',(#346875,#346876,#346877,#346878,#346879,#346880)); #349533=CLOSED_SHELL('',(#346881,#346882,#346883,#346884,#346885,#346886)); #349534=CLOSED_SHELL('',(#346887,#346888,#346889,#346890,#346891,#346892)); #349535=CLOSED_SHELL('',(#346893,#346894,#346895,#346896,#346897,#346898)); #349536=CLOSED_SHELL('',(#346899,#346900,#346901,#346902,#346903,#346904)); #349537=CLOSED_SHELL('',(#346905,#346906,#346907,#346908,#346909,#346910, #346911,#346912,#346913,#346914,#346915,#346916)); #349538=CLOSED_SHELL('',(#346917,#346918,#346919,#346920,#346921,#346922)); #349539=CLOSED_SHELL('',(#346923,#346924,#346925,#346926,#346927,#346928, #346929,#346930)); #349540=CLOSED_SHELL('',(#346931,#346932,#346933,#346934,#346935,#346936, #346937,#346938)); #349541=CLOSED_SHELL('',(#346939,#346940,#346941,#346942,#346943,#346944, #346945,#346946)); #349542=CLOSED_SHELL('',(#346947,#346948,#346949,#346950,#346951,#346952, #346953,#346954)); #349543=CLOSED_SHELL('',(#346955,#346956,#346957,#346958,#346959,#346960, #346961,#346962)); #349544=CLOSED_SHELL('',(#346963,#346964,#346965,#346966,#346967,#346968, #346969,#346970)); #349545=CLOSED_SHELL('',(#346971,#346972,#346973,#346974,#346975,#346976, #346977,#346978)); #349546=CLOSED_SHELL('',(#346979,#346980,#346981,#346982,#346983,#346984, #346985,#346986)); #349547=CLOSED_SHELL('',(#346987,#346988,#346989,#346990,#346991,#346992)); #349548=CLOSED_SHELL('',(#346993,#346994,#346995,#346996,#346997,#346998)); #349549=CLOSED_SHELL('',(#346999,#347000,#347001,#347002,#347003,#347004)); #349550=CLOSED_SHELL('',(#347005,#347006,#347007,#347008,#347009,#347010)); #349551=CLOSED_SHELL('',(#347011,#347012,#347013,#347014,#347015,#347016)); #349552=CLOSED_SHELL('',(#347017,#347018,#347019,#347020,#347021,#347022)); #349553=CLOSED_SHELL('',(#347023,#347024,#347025,#347026,#347027,#347028)); #349554=CLOSED_SHELL('',(#347029,#347030,#347031,#347032,#347033,#347034)); #349555=CLOSED_SHELL('',(#347035,#347036,#347037,#347038,#347039,#347040, #347041,#347042,#347043,#347044,#347045,#347046)); #349556=CLOSED_SHELL('',(#347047,#347048,#347049,#347050,#347051,#347052)); #349557=CLOSED_SHELL('',(#347053,#347054,#347055,#347056,#347057,#347058, #347059,#347060)); #349558=CLOSED_SHELL('',(#347061,#347062,#347063,#347064,#347065,#347066, #347067,#347068)); #349559=CLOSED_SHELL('',(#347069,#347070,#347071,#347072,#347073,#347074, #347075,#347076)); #349560=CLOSED_SHELL('',(#347077,#347078,#347079,#347080,#347081,#347082, #347083,#347084)); #349561=CLOSED_SHELL('',(#347085,#347086,#347087,#347088,#347089,#347090, #347091,#347092)); #349562=CLOSED_SHELL('',(#347093,#347094,#347095,#347096,#347097,#347098, #347099,#347100)); #349563=CLOSED_SHELL('',(#347101,#347102,#347103,#347104,#347105,#347106, #347107,#347108)); #349564=CLOSED_SHELL('',(#347109,#347110,#347111,#347112,#347113,#347114, #347115,#347116)); #349565=CLOSED_SHELL('',(#347117,#347118,#347119,#347120,#347121,#347122)); #349566=CLOSED_SHELL('',(#347123,#347124,#347125,#347126,#347127,#347128)); #349567=CLOSED_SHELL('',(#347129,#347130,#347131,#347132,#347133,#347134)); #349568=CLOSED_SHELL('',(#347135,#347136,#347137,#347138,#347139,#347140)); #349569=CLOSED_SHELL('',(#347141,#347142,#347143,#347144,#347145,#347146)); #349570=CLOSED_SHELL('',(#347147,#347148,#347149,#347150,#347151,#347152)); #349571=CLOSED_SHELL('',(#347153,#347154,#347155,#347156,#347157,#347158)); #349572=CLOSED_SHELL('',(#347159,#347160,#347161,#347162,#347163,#347164)); #349573=CLOSED_SHELL('',(#347165,#347166,#347167,#347168,#347169,#347170, #347171,#347172,#347173,#347174)); #349574=CLOSED_SHELL('',(#347175,#347176,#347177,#347178,#347179,#347180)); #349575=CLOSED_SHELL('',(#347181,#347182,#347183,#347184,#347185,#347186)); #349576=CLOSED_SHELL('',(#347187,#347188,#347189,#347190,#347191,#347192)); #349577=CLOSED_SHELL('',(#347193,#347194,#347195,#347196,#347197,#347198)); #349578=CLOSED_SHELL('',(#347199,#347200,#347201,#347202)); #349579=CLOSED_SHELL('',(#347203,#347204,#347205,#347206,#347207,#347208, #347209,#347210,#347211,#347212,#347213,#347214,#347215,#347216,#347217, #347218,#347219,#347220,#347221)); #349580=CLOSED_SHELL('',(#347222,#347223,#347224,#347225,#347226,#347227, #347228,#347229,#347230,#347231,#347232,#347233,#347234,#347235,#347236, #347237,#347238,#347239,#347240,#347241,#347242,#347243,#347244,#347245, #347246,#347247,#347248,#347249,#347250,#347251,#347252,#347253,#347254, #347255,#347256,#347257,#347258,#347259,#347260,#347261,#347262,#347263, #347264,#347265,#347266,#347267,#347268,#347269,#347270,#347271,#347272, #347273,#347274,#347275,#347276,#347277,#347278,#347279,#347280,#347281, #347282,#347283,#347284,#347285,#347286,#347287,#347288,#347289,#347290, #347291,#347292,#347293,#347294,#347295,#347296,#347297,#347298,#347299, #347300,#347301,#347302,#347303,#347304,#347305,#347306,#347307,#347308, #347309,#347310,#347311,#347312,#347313,#347314,#347315,#347316,#347317, #347318,#347319,#347320,#347321,#347322,#347323,#347324,#347325,#347326, #347327,#347328,#347329,#347330,#347331,#347332,#347333,#347334,#347335, #347336,#347337,#347338,#347339,#347340,#347341,#347342,#347343,#347344, #347345,#347346,#347347,#347348,#347349,#347350,#347351,#347352,#347353, #347354,#347355,#347356,#347357,#347358,#347359,#347360,#347361,#347362, #347363,#347364,#347365,#347366,#347367,#347368,#347369,#347370,#347371, #347372,#347373,#347374,#347375,#347376,#347377,#347378,#347379,#347380, #347381,#347382,#347383,#347384,#347385,#347386,#347387,#347388,#347389, #347390,#347391,#347392,#347393,#347394,#347395,#347396,#347397,#347398, #347399,#347400,#347401,#347402,#347403,#347404,#347405,#347406,#347407, #347408,#347409,#347410,#347411,#347412,#347413,#347414,#347415,#347416, #347417,#347418,#347419,#347420,#347421,#347422,#347423,#347424,#347425, #347426,#347427,#347428,#347429,#347430,#347431,#347432,#347433,#347434, #347435,#347436,#347437,#347438,#347439,#347440,#347441,#347442,#347443, #347444,#347445,#347446,#347447,#347448,#347449,#347450,#347451,#347452, #347453,#347454,#347455,#347456,#347457,#347458,#347459,#347460,#347461, #347462,#347463,#347464,#347465,#347466,#347467,#347468,#347469,#347470, #347471,#347472,#347473,#347474,#347475,#347476,#347477,#347478,#347479, #347480,#347481,#347482,#347483,#347484,#347485,#347486,#347487,#347488, #347489,#347490,#347491,#347492,#347493,#347494,#347495,#347496,#347497, #347498,#347499,#347500,#347501,#347502,#347503,#347504,#347505,#347506, #347507,#347508,#347509,#347510,#347511,#347512,#347513,#347514,#347515, #347516,#347517,#347518,#347519,#347520,#347521,#347522,#347523,#347524, #347525,#347526,#347527,#347528,#347529,#347530,#347531,#347532,#347533, #347534,#347535,#347536,#347537,#347538,#347539,#347540,#347541,#347542, #347543,#347544,#347545,#347546,#347547,#347548,#347549,#347550,#347551, #347552,#347553,#347554,#347555,#347556,#347557,#347558,#347559,#347560, #347561,#347562,#347563,#347564,#347565,#347566,#347567,#347568,#347569, #347570,#347571,#347572,#347573,#347574,#347575,#347576,#347577,#347578, #347579,#347580,#347581,#347582,#347583,#347584,#347585,#347586,#347587, #347588,#347589,#347590,#347591,#347592,#347593,#347594,#347595,#347596, #347597,#347598,#347599,#347600,#347601,#347602,#347603,#347604,#347605, #347606,#347607,#347608,#347609,#347610,#347611)); #349581=CLOSED_SHELL('',(#347612,#347613,#347614,#347615,#347616,#347617, #347618,#347619,#347620,#347621,#347622,#347623)); #349582=CLOSED_SHELL('',(#347624,#347625,#347626,#347627,#347628,#347629, #347630,#347631)); #349583=CLOSED_SHELL('',(#347632,#347633,#347634,#347635,#347636,#347637, #347638,#347639)); #349584=CLOSED_SHELL('',(#347640,#347641,#347642,#347643,#347644,#347645, #347646,#347647)); #349585=CLOSED_SHELL('',(#347648,#347649,#347650,#347651,#347652,#347653, #347654,#347655)); #349586=CLOSED_SHELL('',(#347656,#347657,#347658,#347659,#347660,#347661, #347662,#347663)); #349587=CLOSED_SHELL('',(#347664,#347665,#347666,#347667,#347668,#347669, #347670,#347671)); #349588=CLOSED_SHELL('',(#347672,#347673,#347674,#347675,#347676,#347677, #347678,#347679)); #349589=CLOSED_SHELL('',(#347680,#347681,#347682,#347683,#347684,#347685, #347686,#347687)); #349590=CLOSED_SHELL('',(#347688,#347689,#347690,#347691,#347692,#347693, #347694,#347695)); #349591=CLOSED_SHELL('',(#347696,#347697,#347698,#347699,#347700,#347701, #347702,#347703)); #349592=CLOSED_SHELL('',(#347704,#347705,#347706,#347707,#347708,#347709, #347710,#347711)); #349593=CLOSED_SHELL('',(#347712,#347713,#347714,#347715,#347716,#347717, #347718,#347719)); #349594=CLOSED_SHELL('',(#347720,#347721,#347722,#347723,#347724,#347725, #347726,#347727)); #349595=CLOSED_SHELL('',(#347728,#347729,#347730,#347731,#347732,#347733, #347734,#347735)); #349596=CLOSED_SHELL('',(#347736,#347737,#347738,#347739,#347740,#347741, #347742,#347743)); #349597=CLOSED_SHELL('',(#347744,#347745,#347746,#347747,#347748,#347749, #347750,#347751)); #349598=CLOSED_SHELL('',(#347752,#347753,#347754,#347755,#347756,#347757, #347758,#347759)); #349599=CLOSED_SHELL('',(#347760,#347761,#347762,#347763,#347764,#347765, #347766,#347767)); #349600=CLOSED_SHELL('',(#347768,#347769,#347770,#347771,#347772,#347773, #347774,#347775)); #349601=CLOSED_SHELL('',(#347776,#347777,#347778,#347779,#347780,#347781, #347782,#347783)); #349602=CLOSED_SHELL('',(#347784,#347785,#347786,#347787,#347788,#347789, #347790,#347791)); #349603=CLOSED_SHELL('',(#347792,#347793,#347794,#347795,#347796,#347797, #347798,#347799)); #349604=CLOSED_SHELL('',(#347800,#347801,#347802,#347803,#347804,#347805, #347806,#347807)); #349605=CLOSED_SHELL('',(#347808,#347809,#347810,#347811,#347812,#347813, #347814,#347815)); #349606=CLOSED_SHELL('',(#347816,#347817,#347818,#347819,#347820,#347821, #347822,#347823)); #349607=CLOSED_SHELL('',(#347824,#347825,#347826,#347827,#347828,#347829, #347830,#347831)); #349608=CLOSED_SHELL('',(#347832,#347833,#347834,#347835,#347836,#347837, #347838,#347839)); #349609=CLOSED_SHELL('',(#347840,#347841,#347842,#347843,#347844,#347845, #347846,#347847)); #349610=CLOSED_SHELL('',(#347848,#347849,#347850,#347851,#347852,#347853, #347854,#347855)); #349611=CLOSED_SHELL('',(#347856,#347857,#347858,#347859,#347860,#347861, #347862,#347863)); #349612=CLOSED_SHELL('',(#347864,#347865,#347866,#347867,#347868,#347869, #347870,#347871)); #349613=CLOSED_SHELL('',(#347872,#347873,#347874,#347875,#347876,#347877, #347878,#347879)); #349614=CLOSED_SHELL('',(#347880,#347881,#347882,#347883,#347884,#347885, #347886,#347887)); #349615=CLOSED_SHELL('',(#347888,#347889,#347890,#347891,#347892,#347893, #347894,#347895)); #349616=CLOSED_SHELL('',(#347896,#347897,#347898,#347899,#347900,#347901, #347902,#347903)); #349617=CLOSED_SHELL('',(#347904,#347905,#347906,#347907,#347908,#347909, #347910,#347911)); #349618=CLOSED_SHELL('',(#347912,#347913,#347914,#347915,#347916,#347917, #347918,#347919)); #349619=CLOSED_SHELL('',(#347920,#347921,#347922,#347923,#347924,#347925, #347926,#347927)); #349620=CLOSED_SHELL('',(#347928,#347929,#347930,#347931,#347932,#347933, #347934,#347935)); #349621=CLOSED_SHELL('',(#347936,#347937,#347938,#347939,#347940,#347941, #347942,#347943)); #349622=CLOSED_SHELL('',(#347944,#347945,#347946,#347947,#347948,#347949, #347950,#347951)); #349623=CLOSED_SHELL('',(#347952,#347953,#347954,#347955,#347956,#347957, #347958,#347959)); #349624=CLOSED_SHELL('',(#347960,#347961,#347962,#347963,#347964,#347965, #347966,#347967)); #349625=CLOSED_SHELL('',(#347968,#347969,#347970,#347971,#347972,#347973, #347974,#347975)); #349626=CLOSED_SHELL('',(#347976,#347977,#347978,#347979,#347980,#347981, #347982,#347983)); #349627=CLOSED_SHELL('',(#347984,#347985,#347986,#347987,#347988,#347989, #347990,#347991)); #349628=CLOSED_SHELL('',(#347992,#347993,#347994,#347995,#347996,#347997, #347998,#347999)); #349629=CLOSED_SHELL('',(#348000,#348001,#348002,#348003,#348004,#348005, #348006,#348007)); #349630=CLOSED_SHELL('',(#348008,#348009,#348010,#348011,#348012,#348013)); #349631=CLOSED_SHELL('',(#348014,#348015,#348016,#348017,#348018,#348019, #348020,#348021,#348022,#348023,#348024,#348025,#348026,#348027,#348028, #348029,#348030,#348031,#348032,#348033,#348034,#348035,#348036,#348037, #348038,#348039)); #349632=CLOSED_SHELL('',(#348040,#348041,#348042,#348043,#348044,#348045, #348046,#348047,#348048,#348049,#348050,#348051,#348052,#348053,#348054, #348055,#348056,#348057,#348058,#348059,#348060,#348061,#348062,#348063, #348064,#348065)); #349633=CLOSED_SHELL('',(#348066,#348067,#348068,#348069,#348070,#348071)); #349634=CLOSED_SHELL('',(#348072,#348073,#348074,#348075,#348076,#348077, #348078,#348079,#348080,#348081)); #349635=CLOSED_SHELL('',(#348082,#348083,#348084,#348085,#348086,#348087)); #349636=CLOSED_SHELL('',(#348088,#348089,#348090,#348091,#348092,#348093)); #349637=CLOSED_SHELL('',(#348094,#348095,#348096,#348097,#348098,#348099)); #349638=CLOSED_SHELL('',(#348100,#348101,#348102,#348103,#348104,#348105)); #349639=CLOSED_SHELL('',(#348106,#348107,#348108,#348109,#348110,#348111)); #349640=CLOSED_SHELL('',(#348112,#348113,#348114,#348115,#348116,#348117, #348118,#348119,#348120,#348121,#348122,#348123,#348124,#348125,#348126, #348127)); #349641=CLOSED_SHELL('',(#348128,#348129,#348130,#348131,#348132,#348133, #348134,#348135,#348136,#348137,#348138,#348139,#348140,#348141)); #349642=CLOSED_SHELL('',(#348142,#348143,#348144,#348145,#348146,#348147, #348148,#348149,#348150,#348151,#348152,#348153,#348154,#348155)); #349643=CLOSED_SHELL('',(#348156,#348157,#348158,#348159,#348160,#348161, #348162,#348163,#348164,#348165,#348166,#348167,#348168,#348169)); #349644=CLOSED_SHELL('',(#348170,#348171,#348172,#348173,#348174,#348175, #348176,#348177,#348178,#348179,#348180,#348181,#348182,#348183)); #349645=CLOSED_SHELL('',(#348184,#348185,#348186,#348187,#348188,#348189, #348190,#348191,#348192,#348193,#348194,#348195,#348196,#348197)); #349646=CLOSED_SHELL('',(#348198,#348199,#348200,#348201,#348202,#348203, #348204,#348205,#348206,#348207,#348208,#348209,#348210,#348211)); #349647=CLOSED_SHELL('',(#348212,#348213,#348214,#348215,#348216,#348217, #348218,#348219,#348220,#348221,#348222,#348223,#348224,#348225)); #349648=CLOSED_SHELL('',(#348226,#348227,#348228,#348229,#348230,#348231, #348232,#348233,#348234,#348235,#348236,#348237,#348238,#348239)); #349649=CLOSED_SHELL('',(#348240,#348241,#348242,#348243,#348244,#348245, #348246,#348247,#348248,#348249,#348250,#348251,#348252,#348253,#348254, #348255)); #349650=CLOSED_SHELL('',(#348256,#348257,#348258,#348259,#348260,#348261, #348262,#348263,#348264,#348265,#348266,#348267,#348268,#348269)); #349651=CLOSED_SHELL('',(#348270,#348271,#348272,#348273,#348274,#348275, #348276,#348277,#348278,#348279,#348280,#348281,#348282,#348283)); #349652=CLOSED_SHELL('',(#348284,#348285,#348286,#348287,#348288,#348289, #348290,#348291,#348292,#348293,#348294,#348295,#348296,#348297)); #349653=CLOSED_SHELL('',(#348298,#348299,#348300,#348301,#348302,#348303, #348304,#348305,#348306,#348307,#348308,#348309,#348310,#348311)); #349654=CLOSED_SHELL('',(#348312,#348313,#348314,#348315,#348316,#348317, #348318,#348319,#348320,#348321,#348322,#348323,#348324,#348325)); #349655=CLOSED_SHELL('',(#348326,#348327,#348328,#348329,#348330,#348331, #348332,#348333,#348334,#348335,#348336,#348337,#348338,#348339,#348340, #348341,#348342,#348343,#348344,#348345,#348346,#348347,#348348,#348349, #348350,#348351)); #349656=CLOSED_SHELL('',(#348352,#348353,#348354,#348355,#348356,#348357, #348358,#348359,#348360,#348361,#348362,#348363,#348364,#348365,#348366, #348367,#348368,#348369,#348370,#348371,#348372,#348373,#348374,#348375, #348376,#348377)); #349657=CLOSED_SHELL('',(#348378,#348379,#348380,#348381,#348382,#348383)); #349658=CLOSED_SHELL('',(#348384,#348385,#348386,#348387,#348388,#348389, #348390,#348391,#348392,#348393,#348394,#348395,#348396,#348397,#348398, #348399)); #349659=CLOSED_SHELL('',(#348400,#348401,#348402,#348403,#348404,#348405, #348406,#348407,#348408,#348409,#348410,#348411,#348412,#348413,#348414, #348415)); #349660=CLOSED_SHELL('',(#348416,#348417,#348418,#348419,#348420,#348421, #348422,#348423,#348424,#348425,#348426,#348427,#348428,#348429,#348430, #348431)); #349661=CLOSED_SHELL('',(#348432,#348433,#348434,#348435,#348436,#348437)); #349662=CLOSED_SHELL('',(#348438,#348439,#348440,#348441,#348442,#348443, #348444,#348445,#348446,#348447,#348448,#348449,#348450,#348451,#348452, #348453,#348454,#348455,#348456,#348457,#348458,#348459,#348460,#348461, #348462,#348463,#348464,#348465,#348466,#348467)); #349663=CLOSED_SHELL('',(#348468,#348469,#348470,#348471,#348472,#348473, #348474,#348475,#348476,#348477,#348478,#348479,#348480,#348481,#348482, #348483,#348484,#348485,#348486,#348487,#348488,#348489,#348490,#348491, #348492,#348493,#348494,#348495,#348496,#348497,#348498,#348499,#348500, #348501,#348502,#348503,#348504,#348505,#348506,#348507,#348508,#348509, #348510,#348511,#348512,#348513,#348514,#348515,#348516,#348517,#348518, #348519,#348520)); #349664=CLOSED_SHELL('',(#348521,#348522,#348523,#348524,#348525,#348526, #348527,#348528,#348529,#348530,#348531,#348532,#348533,#348534,#348535, #348536,#348537,#348538,#348539,#348540,#348541,#348542,#348543,#348544, #348545,#348546,#348547,#348548,#348549,#348550,#348551,#348552,#348553, #348554,#348555,#348556,#348557,#348558,#348559,#348560,#348561,#348562, #348563,#348564,#348565,#348566,#348567)); #349665=CLOSED_SHELL('',(#348568,#348569,#348570,#348571,#348572,#348573, #348574,#348575,#348576,#348577,#348578,#348579,#348580,#348581,#348582, #348583,#348584,#348585,#348586,#348587,#348588,#348589,#348590,#348591, #348592,#348593,#348594,#348595,#348596,#348597,#348598,#348599,#348600, #348601,#348602,#348603,#348604,#348605,#348606,#348607,#348608,#348609, #348610,#348611,#348612,#348613,#348614,#348615,#348616,#348617,#348618, #348619,#348620,#348621,#348622,#348623,#348624,#348625,#348626,#348627, #348628,#348629,#348630,#348631)); #349666=CLOSED_SHELL('',(#348632,#348633,#348634,#348635,#348636,#348637, #348638,#348639,#348640,#348641,#348642,#348643,#348644,#348645,#348646, #348647,#348648,#348649,#348650)); #349667=CLOSED_SHELL('',(#348651,#348652,#348653,#348654,#348655,#348656, #348657,#348658,#348659,#348660,#348661,#348662,#348663,#348664,#348665, #348666,#348667,#348668,#348669,#348670,#348671,#348672,#348673)); #349668=CLOSED_SHELL('',(#348674,#348675,#348676)); #349669=CLOSED_SHELL('',(#348677,#348678,#348679)); #349670=CLOSED_SHELL('',(#348680,#348681,#348682)); #349671=CLOSED_SHELL('',(#348683,#348684,#348685)); #349672=DERIVED_UNIT_ELEMENT(#349764,1.); #349673=DERIVED_UNIT_ELEMENT(#595613,-3.); #349674=DERIVED_UNIT_ELEMENT(#349764,1.); #349675=DERIVED_UNIT_ELEMENT(#595613,-3.); #349676=DERIVED_UNIT_ELEMENT(#349764,1.); #349677=DERIVED_UNIT_ELEMENT(#595613,-3.); #349678=DERIVED_UNIT_ELEMENT(#349764,1.); #349679=DERIVED_UNIT_ELEMENT(#595613,-3.); #349680=DERIVED_UNIT_ELEMENT(#349764,1.); #349681=DERIVED_UNIT_ELEMENT(#595613,-3.); #349682=DERIVED_UNIT_ELEMENT(#349764,1.); #349683=DERIVED_UNIT_ELEMENT(#595613,-3.); #349684=DERIVED_UNIT_ELEMENT(#349764,1.); #349685=DERIVED_UNIT_ELEMENT(#595613,-3.); #349686=DERIVED_UNIT_ELEMENT(#349764,1.); #349687=DERIVED_UNIT_ELEMENT(#595613,-3.); #349688=DERIVED_UNIT_ELEMENT(#349764,1.); #349689=DERIVED_UNIT_ELEMENT(#595613,-3.); #349690=DERIVED_UNIT_ELEMENT(#349764,1.); #349691=DERIVED_UNIT_ELEMENT(#595613,-3.); #349692=DERIVED_UNIT_ELEMENT(#349764,1.); #349693=DERIVED_UNIT_ELEMENT(#595613,-3.); #349694=DERIVED_UNIT_ELEMENT(#349764,1.); #349695=DERIVED_UNIT_ELEMENT(#595613,-3.); #349696=DERIVED_UNIT_ELEMENT(#349764,1.); #349697=DERIVED_UNIT_ELEMENT(#595613,-3.); #349698=DERIVED_UNIT_ELEMENT(#349764,1.); #349699=DERIVED_UNIT_ELEMENT(#595613,-3.); #349700=DERIVED_UNIT_ELEMENT(#349764,1.); #349701=DERIVED_UNIT_ELEMENT(#595613,-3.); #349702=DERIVED_UNIT_ELEMENT(#349764,1.); #349703=DERIVED_UNIT_ELEMENT(#595613,-3.); #349704=DERIVED_UNIT_ELEMENT(#349764,1.); #349705=DERIVED_UNIT_ELEMENT(#595613,-3.); #349706=DERIVED_UNIT_ELEMENT(#349764,1.); #349707=DERIVED_UNIT_ELEMENT(#595613,-3.); #349708=DERIVED_UNIT_ELEMENT(#349764,1.); #349709=DERIVED_UNIT_ELEMENT(#595613,-3.); #349710=DERIVED_UNIT_ELEMENT(#349764,1.); #349711=DERIVED_UNIT_ELEMENT(#595613,-3.); #349712=DERIVED_UNIT_ELEMENT(#349764,1.); #349713=DERIVED_UNIT_ELEMENT(#595613,-3.); #349714=DERIVED_UNIT_ELEMENT(#349764,1.); #349715=DERIVED_UNIT_ELEMENT(#595613,-3.); #349716=DERIVED_UNIT_ELEMENT(#349764,1.); #349717=DERIVED_UNIT_ELEMENT(#595613,-3.); #349718=DERIVED_UNIT_ELEMENT(#349764,1.); #349719=DERIVED_UNIT_ELEMENT(#595613,-3.); #349720=DERIVED_UNIT_ELEMENT(#349764,1.); #349721=DERIVED_UNIT_ELEMENT(#595613,-3.); #349722=DERIVED_UNIT_ELEMENT(#349764,1.); #349723=DERIVED_UNIT_ELEMENT(#595613,-3.); #349724=DERIVED_UNIT_ELEMENT(#349764,1.); #349725=DERIVED_UNIT_ELEMENT(#595613,-3.); #349726=DERIVED_UNIT_ELEMENT(#349764,1.); #349727=DERIVED_UNIT_ELEMENT(#595613,-3.); #349728=DERIVED_UNIT_ELEMENT(#349764,1.); #349729=DERIVED_UNIT_ELEMENT(#595613,-3.); #349730=DERIVED_UNIT_ELEMENT(#349764,1.); #349731=DERIVED_UNIT_ELEMENT(#595613,-3.); #349732=DERIVED_UNIT_ELEMENT(#349764,1.); #349733=DERIVED_UNIT_ELEMENT(#595613,-3.); #349734=DERIVED_UNIT_ELEMENT(#349764,1.); #349735=DERIVED_UNIT_ELEMENT(#595613,-3.); #349736=DERIVED_UNIT_ELEMENT(#349764,1.); #349737=DERIVED_UNIT_ELEMENT(#595613,-3.); #349738=DERIVED_UNIT_ELEMENT(#349764,1.); #349739=DERIVED_UNIT_ELEMENT(#595613,-3.); #349740=DERIVED_UNIT_ELEMENT(#349764,1.); #349741=DERIVED_UNIT_ELEMENT(#595613,-3.); #349742=DERIVED_UNIT_ELEMENT(#349764,1.); #349743=DERIVED_UNIT_ELEMENT(#595613,-3.); #349744=DERIVED_UNIT_ELEMENT(#349764,1.); #349745=DERIVED_UNIT_ELEMENT(#595613,-3.); #349746=DERIVED_UNIT_ELEMENT(#349764,1.); #349747=DERIVED_UNIT_ELEMENT(#595613,-3.); #349748=DERIVED_UNIT_ELEMENT(#349764,1.); #349749=DERIVED_UNIT_ELEMENT(#595613,-3.); #349750=DERIVED_UNIT_ELEMENT(#349764,1.); #349751=DERIVED_UNIT_ELEMENT(#595613,-3.); #349752=DERIVED_UNIT_ELEMENT(#349764,1.); #349753=DERIVED_UNIT_ELEMENT(#595613,-3.); #349754=DERIVED_UNIT_ELEMENT(#349764,1.); #349755=DERIVED_UNIT_ELEMENT(#595613,-3.); #349756=DERIVED_UNIT_ELEMENT(#349764,1.); #349757=DERIVED_UNIT_ELEMENT(#595613,-3.); #349758=DERIVED_UNIT_ELEMENT(#349764,1.); #349759=DERIVED_UNIT_ELEMENT(#595613,-3.); #349760=DERIVED_UNIT_ELEMENT(#349764,1.); #349761=DERIVED_UNIT_ELEMENT(#595613,-3.); #349762=DERIVED_UNIT_ELEMENT(#349764,1.); #349763=DERIVED_UNIT_ELEMENT(#595613,-3.); #349764=( MASS_UNIT() NAMED_UNIT(*) SI_UNIT(.KILO.,.GRAM.) ); #349765=DERIVED_UNIT((#349672,#349673)); #349766=DERIVED_UNIT((#349674,#349675)); #349767=DERIVED_UNIT((#349676,#349677)); #349768=DERIVED_UNIT((#349678,#349679)); #349769=DERIVED_UNIT((#349680,#349681)); #349770=DERIVED_UNIT((#349682,#349683)); #349771=DERIVED_UNIT((#349684,#349685)); #349772=DERIVED_UNIT((#349686,#349687)); #349773=DERIVED_UNIT((#349688,#349689)); #349774=DERIVED_UNIT((#349690,#349691)); #349775=DERIVED_UNIT((#349692,#349693)); #349776=DERIVED_UNIT((#349694,#349695)); #349777=DERIVED_UNIT((#349696,#349697)); #349778=DERIVED_UNIT((#349698,#349699)); #349779=DERIVED_UNIT((#349700,#349701)); #349780=DERIVED_UNIT((#349702,#349703)); #349781=DERIVED_UNIT((#349704,#349705)); #349782=DERIVED_UNIT((#349706,#349707)); #349783=DERIVED_UNIT((#349708,#349709)); #349784=DERIVED_UNIT((#349710,#349711)); #349785=DERIVED_UNIT((#349712,#349713)); #349786=DERIVED_UNIT((#349714,#349715)); #349787=DERIVED_UNIT((#349716,#349717)); #349788=DERIVED_UNIT((#349718,#349719)); #349789=DERIVED_UNIT((#349720,#349721)); #349790=DERIVED_UNIT((#349722,#349723)); #349791=DERIVED_UNIT((#349724,#349725)); #349792=DERIVED_UNIT((#349726,#349727)); #349793=DERIVED_UNIT((#349728,#349729)); #349794=DERIVED_UNIT((#349730,#349731)); #349795=DERIVED_UNIT((#349732,#349733)); #349796=DERIVED_UNIT((#349734,#349735)); #349797=DERIVED_UNIT((#349736,#349737)); #349798=DERIVED_UNIT((#349738,#349739)); #349799=DERIVED_UNIT((#349740,#349741)); #349800=DERIVED_UNIT((#349742,#349743)); #349801=DERIVED_UNIT((#349744,#349745)); #349802=DERIVED_UNIT((#349746,#349747)); #349803=DERIVED_UNIT((#349748,#349749)); #349804=DERIVED_UNIT((#349750,#349751)); #349805=DERIVED_UNIT((#349752,#349753)); #349806=DERIVED_UNIT((#349754,#349755)); #349807=DERIVED_UNIT((#349756,#349757)); #349808=DERIVED_UNIT((#349758,#349759)); #349809=DERIVED_UNIT((#349760,#349761)); #349810=DERIVED_UNIT((#349762,#349763)); #349811=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#349765); #349812=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#349766); #349813=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#349767); #349814=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#349768); #349815=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#349769); #349816=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#349770); #349817=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#349771); #349818=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#349772); #349819=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#349773); #349820=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#349774); #349821=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#349775); #349822=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#349776); #349823=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#349777); #349824=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#349778); #349825=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#349779); #349826=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#349780); #349827=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#349781); #349828=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#349782); #349829=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#349783); #349830=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#349784); #349831=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#349785); #349832=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#349786); #349833=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#349787); #349834=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#349788); #349835=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#349789); #349836=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#349790); #349837=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#349791); #349838=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#349792); #349839=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#349793); #349840=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#349794); #349841=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#349795); #349842=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#349796); #349843=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#349797); #349844=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#349798); #349845=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#349799); #349846=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#349800); #349847=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#349801); #349848=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#349802); #349849=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#349803); #349850=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#349804); #349851=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#349805); #349852=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#349806); #349853=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#349807); #349854=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#349808); #349855=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#349809); #349856=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#349810); #349857=PROPERTY_DEFINITION_REPRESENTATION(#350087,#349949); #349858=PROPERTY_DEFINITION_REPRESENTATION(#350088,#349950); #349859=PROPERTY_DEFINITION_REPRESENTATION(#350089,#349951); #349860=PROPERTY_DEFINITION_REPRESENTATION(#350090,#349952); #349861=PROPERTY_DEFINITION_REPRESENTATION(#350091,#349953); #349862=PROPERTY_DEFINITION_REPRESENTATION(#350092,#349954); #349863=PROPERTY_DEFINITION_REPRESENTATION(#350093,#349955); #349864=PROPERTY_DEFINITION_REPRESENTATION(#350094,#349956); #349865=PROPERTY_DEFINITION_REPRESENTATION(#350095,#349957); #349866=PROPERTY_DEFINITION_REPRESENTATION(#350096,#349958); #349867=PROPERTY_DEFINITION_REPRESENTATION(#350097,#349959); #349868=PROPERTY_DEFINITION_REPRESENTATION(#350098,#349960); #349869=PROPERTY_DEFINITION_REPRESENTATION(#350099,#349961); #349870=PROPERTY_DEFINITION_REPRESENTATION(#350100,#349962); #349871=PROPERTY_DEFINITION_REPRESENTATION(#350101,#349963); #349872=PROPERTY_DEFINITION_REPRESENTATION(#350102,#349964); #349873=PROPERTY_DEFINITION_REPRESENTATION(#350103,#349965); #349874=PROPERTY_DEFINITION_REPRESENTATION(#350104,#349966); #349875=PROPERTY_DEFINITION_REPRESENTATION(#350105,#349967); #349876=PROPERTY_DEFINITION_REPRESENTATION(#350106,#349968); #349877=PROPERTY_DEFINITION_REPRESENTATION(#350107,#349969); #349878=PROPERTY_DEFINITION_REPRESENTATION(#350108,#349970); #349879=PROPERTY_DEFINITION_REPRESENTATION(#350109,#349971); #349880=PROPERTY_DEFINITION_REPRESENTATION(#350110,#349972); #349881=PROPERTY_DEFINITION_REPRESENTATION(#350111,#349973); #349882=PROPERTY_DEFINITION_REPRESENTATION(#350112,#349974); #349883=PROPERTY_DEFINITION_REPRESENTATION(#350113,#349975); #349884=PROPERTY_DEFINITION_REPRESENTATION(#350114,#349976); #349885=PROPERTY_DEFINITION_REPRESENTATION(#350115,#349977); #349886=PROPERTY_DEFINITION_REPRESENTATION(#350116,#349978); #349887=PROPERTY_DEFINITION_REPRESENTATION(#350117,#349979); #349888=PROPERTY_DEFINITION_REPRESENTATION(#350118,#349980); #349889=PROPERTY_DEFINITION_REPRESENTATION(#350119,#349981); #349890=PROPERTY_DEFINITION_REPRESENTATION(#350120,#349982); #349891=PROPERTY_DEFINITION_REPRESENTATION(#350121,#349983); #349892=PROPERTY_DEFINITION_REPRESENTATION(#350122,#349984); #349893=PROPERTY_DEFINITION_REPRESENTATION(#350123,#349985); #349894=PROPERTY_DEFINITION_REPRESENTATION(#350124,#349986); #349895=PROPERTY_DEFINITION_REPRESENTATION(#350125,#349987); #349896=PROPERTY_DEFINITION_REPRESENTATION(#350126,#349988); #349897=PROPERTY_DEFINITION_REPRESENTATION(#350127,#349989); #349898=PROPERTY_DEFINITION_REPRESENTATION(#350128,#349990); #349899=PROPERTY_DEFINITION_REPRESENTATION(#350129,#349991); #349900=PROPERTY_DEFINITION_REPRESENTATION(#350130,#349992); #349901=PROPERTY_DEFINITION_REPRESENTATION(#350131,#349993); #349902=PROPERTY_DEFINITION_REPRESENTATION(#350132,#349994); #349903=PROPERTY_DEFINITION_REPRESENTATION(#350133,#349995); #349904=PROPERTY_DEFINITION_REPRESENTATION(#350134,#349996); #349905=PROPERTY_DEFINITION_REPRESENTATION(#350135,#349997); #349906=PROPERTY_DEFINITION_REPRESENTATION(#350136,#349998); #349907=PROPERTY_DEFINITION_REPRESENTATION(#350137,#349999); #349908=PROPERTY_DEFINITION_REPRESENTATION(#350138,#350000); #349909=PROPERTY_DEFINITION_REPRESENTATION(#350139,#350001); #349910=PROPERTY_DEFINITION_REPRESENTATION(#350140,#350002); #349911=PROPERTY_DEFINITION_REPRESENTATION(#350141,#350003); #349912=PROPERTY_DEFINITION_REPRESENTATION(#350142,#350004); #349913=PROPERTY_DEFINITION_REPRESENTATION(#350143,#350005); #349914=PROPERTY_DEFINITION_REPRESENTATION(#350144,#350006); #349915=PROPERTY_DEFINITION_REPRESENTATION(#350145,#350007); #349916=PROPERTY_DEFINITION_REPRESENTATION(#350146,#350008); #349917=PROPERTY_DEFINITION_REPRESENTATION(#350147,#350009); #349918=PROPERTY_DEFINITION_REPRESENTATION(#350148,#350010); #349919=PROPERTY_DEFINITION_REPRESENTATION(#350149,#350011); #349920=PROPERTY_DEFINITION_REPRESENTATION(#350150,#350012); #349921=PROPERTY_DEFINITION_REPRESENTATION(#350151,#350013); #349922=PROPERTY_DEFINITION_REPRESENTATION(#350152,#350014); #349923=PROPERTY_DEFINITION_REPRESENTATION(#350153,#350015); #349924=PROPERTY_DEFINITION_REPRESENTATION(#350154,#350016); #349925=PROPERTY_DEFINITION_REPRESENTATION(#350155,#350017); #349926=PROPERTY_DEFINITION_REPRESENTATION(#350156,#350018); #349927=PROPERTY_DEFINITION_REPRESENTATION(#350157,#350019); #349928=PROPERTY_DEFINITION_REPRESENTATION(#350158,#350020); #349929=PROPERTY_DEFINITION_REPRESENTATION(#350159,#350021); #349930=PROPERTY_DEFINITION_REPRESENTATION(#350160,#350022); #349931=PROPERTY_DEFINITION_REPRESENTATION(#350161,#350023); #349932=PROPERTY_DEFINITION_REPRESENTATION(#350162,#350024); #349933=PROPERTY_DEFINITION_REPRESENTATION(#350163,#350025); #349934=PROPERTY_DEFINITION_REPRESENTATION(#350164,#350026); #349935=PROPERTY_DEFINITION_REPRESENTATION(#350165,#350027); #349936=PROPERTY_DEFINITION_REPRESENTATION(#350166,#350028); #349937=PROPERTY_DEFINITION_REPRESENTATION(#350167,#350029); #349938=PROPERTY_DEFINITION_REPRESENTATION(#350168,#350030); #349939=PROPERTY_DEFINITION_REPRESENTATION(#350169,#350031); #349940=PROPERTY_DEFINITION_REPRESENTATION(#350170,#350032); #349941=PROPERTY_DEFINITION_REPRESENTATION(#350171,#350033); #349942=PROPERTY_DEFINITION_REPRESENTATION(#350172,#350034); #349943=PROPERTY_DEFINITION_REPRESENTATION(#350173,#350035); #349944=PROPERTY_DEFINITION_REPRESENTATION(#350174,#350036); #349945=PROPERTY_DEFINITION_REPRESENTATION(#350175,#350037); #349946=PROPERTY_DEFINITION_REPRESENTATION(#350176,#350038); #349947=PROPERTY_DEFINITION_REPRESENTATION(#350177,#350039); #349948=PROPERTY_DEFINITION_REPRESENTATION(#350178,#350040); #349949=REPRESENTATION('material name',(#350041),#595515); #349950=REPRESENTATION('density',(#349811),#595515); #349951=REPRESENTATION('material name',(#350042),#595516); #349952=REPRESENTATION('density',(#349812),#595516); #349953=REPRESENTATION('material name',(#350043),#595519); #349954=REPRESENTATION('density',(#349813),#595519); #349955=REPRESENTATION('material name',(#350044),#595520); #349956=REPRESENTATION('density',(#349814),#595520); #349957=REPRESENTATION('material name',(#350045),#595521); #349958=REPRESENTATION('density',(#349815),#595521); #349959=REPRESENTATION('material name',(#350046),#595522); #349960=REPRESENTATION('density',(#349816),#595522); #349961=REPRESENTATION('material name',(#350047),#595523); #349962=REPRESENTATION('density',(#349817),#595523); #349963=REPRESENTATION('material name',(#350048),#595526); #349964=REPRESENTATION('density',(#349818),#595526); #349965=REPRESENTATION('material name',(#350049),#595530); #349966=REPRESENTATION('density',(#349819),#595530); #349967=REPRESENTATION('material name',(#350050),#595556); #349968=REPRESENTATION('density',(#349820),#595556); #349969=REPRESENTATION('material name',(#350051),#595557); #349970=REPRESENTATION('density',(#349821),#595557); #349971=REPRESENTATION('material name',(#350052),#595558); #349972=REPRESENTATION('density',(#349822),#595558); #349973=REPRESENTATION('material name',(#350053),#595559); #349974=REPRESENTATION('density',(#349823),#595559); #349975=REPRESENTATION('material name',(#350054),#595560); #349976=REPRESENTATION('density',(#349824),#595560); #349977=REPRESENTATION('material name',(#350055),#595561); #349978=REPRESENTATION('density',(#349825),#595561); #349979=REPRESENTATION('material name',(#350056),#595562); #349980=REPRESENTATION('density',(#349826),#595562); #349981=REPRESENTATION('material name',(#350057),#595563); #349982=REPRESENTATION('density',(#349827),#595563); #349983=REPRESENTATION('material name',(#350058),#595564); #349984=REPRESENTATION('density',(#349828),#595564); #349985=REPRESENTATION('material name',(#350059),#595565); #349986=REPRESENTATION('density',(#349829),#595565); #349987=REPRESENTATION('material name',(#350060),#595566); #349988=REPRESENTATION('density',(#349830),#595566); #349989=REPRESENTATION('material name',(#350061),#595567); #349990=REPRESENTATION('density',(#349831),#595567); #349991=REPRESENTATION('material name',(#350062),#595568); #349992=REPRESENTATION('density',(#349832),#595568); #349993=REPRESENTATION('material name',(#350063),#595569); #349994=REPRESENTATION('density',(#349833),#595569); #349995=REPRESENTATION('material name',(#350064),#595570); #349996=REPRESENTATION('density',(#349834),#595570); #349997=REPRESENTATION('material name',(#350065),#595571); #349998=REPRESENTATION('density',(#349835),#595571); #349999=REPRESENTATION('material name',(#350066),#595572); #350000=REPRESENTATION('density',(#349836),#595572); #350001=REPRESENTATION('material name',(#350067),#595573); #350002=REPRESENTATION('density',(#349837),#595573); #350003=REPRESENTATION('material name',(#350068),#595576); #350004=REPRESENTATION('density',(#349838),#595576); #350005=REPRESENTATION('material name',(#350069),#595578); #350006=REPRESENTATION('density',(#349839),#595578); #350007=REPRESENTATION('material name',(#350070),#595580); #350008=REPRESENTATION('density',(#349840),#595580); #350009=REPRESENTATION('material name',(#350071),#595582); #350010=REPRESENTATION('density',(#349841),#595582); #350011=REPRESENTATION('material name',(#350072),#595584); #350012=REPRESENTATION('density',(#349842),#595584); #350013=REPRESENTATION('material name',(#350073),#595586); #350014=REPRESENTATION('density',(#349843),#595586); #350015=REPRESENTATION('material name',(#350074),#595588); #350016=REPRESENTATION('density',(#349844),#595588); #350017=REPRESENTATION('material name',(#350075),#595590); #350018=REPRESENTATION('density',(#349845),#595590); #350019=REPRESENTATION('material name',(#350076),#595592); #350020=REPRESENTATION('density',(#349846),#595592); #350021=REPRESENTATION('material name',(#350077),#595594); #350022=REPRESENTATION('density',(#349847),#595594); #350023=REPRESENTATION('material name',(#350078),#595596); #350024=REPRESENTATION('density',(#349848),#595596); #350025=REPRESENTATION('material name',(#350079),#595598); #350026=REPRESENTATION('density',(#349849),#595598); #350027=REPRESENTATION('material name',(#350080),#595600); #350028=REPRESENTATION('density',(#349850),#595600); #350029=REPRESENTATION('material name',(#350081),#595602); #350030=REPRESENTATION('density',(#349851),#595602); #350031=REPRESENTATION('material name',(#350082),#595604); #350032=REPRESENTATION('density',(#349852),#595604); #350033=REPRESENTATION('material name',(#350083),#595606); #350034=REPRESENTATION('density',(#349853),#595606); #350035=REPRESENTATION('material name',(#350084),#595607); #350036=REPRESENTATION('density',(#349854),#595607); #350037=REPRESENTATION('material name',(#350085),#595608); #350038=REPRESENTATION('density',(#349855),#595608); #350039=REPRESENTATION('material name',(#350086),#595610); #350040=REPRESENTATION('density',(#349856),#595610); #350041=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #350042=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #350043=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #350044=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #350045=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #350046=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #350047=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #350048=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #350049=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #350050=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #350051=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #350052=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #350053=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #350054=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #350055=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #350056=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #350057=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #350058=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #350059=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #350060=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #350061=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #350062=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #350063=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #350064=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #350065=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #350066=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #350067=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #350068=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #350069=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #350070=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #350071=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #350072=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #350073=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #350074=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #350075=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #350076=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #350077=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #350078=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #350079=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #350080=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #350081=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #350082=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #350083=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #350084=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #350085=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #350086=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #350087=PROPERTY_DEFINITION('material property','material name',#596061); #350088=PROPERTY_DEFINITION('material property','density of part',#596061); #350089=PROPERTY_DEFINITION('material property','material name',#596062); #350090=PROPERTY_DEFINITION('material property','density of part',#596062); #350091=PROPERTY_DEFINITION('material property','material name',#596065); #350092=PROPERTY_DEFINITION('material property','density of part',#596065); #350093=PROPERTY_DEFINITION('material property','material name',#596066); #350094=PROPERTY_DEFINITION('material property','density of part',#596066); #350095=PROPERTY_DEFINITION('material property','material name',#596067); #350096=PROPERTY_DEFINITION('material property','density of part',#596067); #350097=PROPERTY_DEFINITION('material property','material name',#596068); #350098=PROPERTY_DEFINITION('material property','density of part',#596068); #350099=PROPERTY_DEFINITION('material property','material name',#596069); #350100=PROPERTY_DEFINITION('material property','density of part',#596069); #350101=PROPERTY_DEFINITION('material property','material name',#596072); #350102=PROPERTY_DEFINITION('material property','density of part',#596072); #350103=PROPERTY_DEFINITION('material property','material name',#596076); #350104=PROPERTY_DEFINITION('material property','density of part',#596076); #350105=PROPERTY_DEFINITION('material property','material name',#596102); #350106=PROPERTY_DEFINITION('material property','density of part',#596102); #350107=PROPERTY_DEFINITION('material property','material name',#596103); #350108=PROPERTY_DEFINITION('material property','density of part',#596103); #350109=PROPERTY_DEFINITION('material property','material name',#596104); #350110=PROPERTY_DEFINITION('material property','density of part',#596104); #350111=PROPERTY_DEFINITION('material property','material name',#596105); #350112=PROPERTY_DEFINITION('material property','density of part',#596105); #350113=PROPERTY_DEFINITION('material property','material name',#596106); #350114=PROPERTY_DEFINITION('material property','density of part',#596106); #350115=PROPERTY_DEFINITION('material property','material name',#596107); #350116=PROPERTY_DEFINITION('material property','density of part',#596107); #350117=PROPERTY_DEFINITION('material property','material name',#596108); #350118=PROPERTY_DEFINITION('material property','density of part',#596108); #350119=PROPERTY_DEFINITION('material property','material name',#596109); #350120=PROPERTY_DEFINITION('material property','density of part',#596109); #350121=PROPERTY_DEFINITION('material property','material name',#596110); #350122=PROPERTY_DEFINITION('material property','density of part',#596110); #350123=PROPERTY_DEFINITION('material property','material name',#596111); #350124=PROPERTY_DEFINITION('material property','density of part',#596111); #350125=PROPERTY_DEFINITION('material property','material name',#596112); #350126=PROPERTY_DEFINITION('material property','density of part',#596112); #350127=PROPERTY_DEFINITION('material property','material name',#596113); #350128=PROPERTY_DEFINITION('material property','density of part',#596113); #350129=PROPERTY_DEFINITION('material property','material name',#596114); #350130=PROPERTY_DEFINITION('material property','density of part',#596114); #350131=PROPERTY_DEFINITION('material property','material name',#596115); #350132=PROPERTY_DEFINITION('material property','density of part',#596115); #350133=PROPERTY_DEFINITION('material property','material name',#596116); #350134=PROPERTY_DEFINITION('material property','density of part',#596116); #350135=PROPERTY_DEFINITION('material property','material name',#596117); #350136=PROPERTY_DEFINITION('material property','density of part',#596117); #350137=PROPERTY_DEFINITION('material property','material name',#596118); #350138=PROPERTY_DEFINITION('material property','density of part',#596118); #350139=PROPERTY_DEFINITION('material property','material name',#596119); #350140=PROPERTY_DEFINITION('material property','density of part',#596119); #350141=PROPERTY_DEFINITION('material property','material name',#596122); #350142=PROPERTY_DEFINITION('material property','density of part',#596122); #350143=PROPERTY_DEFINITION('material property','material name',#596124); #350144=PROPERTY_DEFINITION('material property','density of part',#596124); #350145=PROPERTY_DEFINITION('material property','material name',#596126); #350146=PROPERTY_DEFINITION('material property','density of part',#596126); #350147=PROPERTY_DEFINITION('material property','material name',#596128); #350148=PROPERTY_DEFINITION('material property','density of part',#596128); #350149=PROPERTY_DEFINITION('material property','material name',#596130); #350150=PROPERTY_DEFINITION('material property','density of part',#596130); #350151=PROPERTY_DEFINITION('material property','material name',#596132); #350152=PROPERTY_DEFINITION('material property','density of part',#596132); #350153=PROPERTY_DEFINITION('material property','material name',#596134); #350154=PROPERTY_DEFINITION('material property','density of part',#596134); #350155=PROPERTY_DEFINITION('material property','material name',#596136); #350156=PROPERTY_DEFINITION('material property','density of part',#596136); #350157=PROPERTY_DEFINITION('material property','material name',#596138); #350158=PROPERTY_DEFINITION('material property','density of part',#596138); #350159=PROPERTY_DEFINITION('material property','material name',#596140); #350160=PROPERTY_DEFINITION('material property','density of part',#596140); #350161=PROPERTY_DEFINITION('material property','material name',#596142); #350162=PROPERTY_DEFINITION('material property','density of part',#596142); #350163=PROPERTY_DEFINITION('material property','material name',#596144); #350164=PROPERTY_DEFINITION('material property','density of part',#596144); #350165=PROPERTY_DEFINITION('material property','material name',#596146); #350166=PROPERTY_DEFINITION('material property','density of part',#596146); #350167=PROPERTY_DEFINITION('material property','material name',#596148); #350168=PROPERTY_DEFINITION('material property','density of part',#596148); #350169=PROPERTY_DEFINITION('material property','material name',#596150); #350170=PROPERTY_DEFINITION('material property','density of part',#596150); #350171=PROPERTY_DEFINITION('material property','material name',#596152); #350172=PROPERTY_DEFINITION('material property','density of part',#596152); #350173=PROPERTY_DEFINITION('material property','material name',#596153); #350174=PROPERTY_DEFINITION('material property','density of part',#596153); #350175=PROPERTY_DEFINITION('material property','material name',#596154); #350176=PROPERTY_DEFINITION('material property','density of part',#596154); #350177=PROPERTY_DEFINITION('material property','material name',#596156); #350178=PROPERTY_DEFINITION('material property','density of part',#596156); #350179=AXIS2_PLACEMENT_3D('',#469619,#377020,#377021); #350180=AXIS2_PLACEMENT_3D('',#469620,#377022,#377023); #350181=AXIS2_PLACEMENT_3D('',#469621,#377024,#377025); #350182=AXIS2_PLACEMENT_3D('',#469622,#377026,#377027); #350183=AXIS2_PLACEMENT_3D('',#469627,#377029,#377030); #350184=AXIS2_PLACEMENT_3D('',#469655,#377031,#377032); #350185=AXIS2_PLACEMENT_3D('',#469660,#377034,#377035); #350186=AXIS2_PLACEMENT_3D('',#469663,#377037,#377038); #350187=AXIS2_PLACEMENT_3D('',#469664,#377039,#377040); #350188=AXIS2_PLACEMENT_3D('',#469678,#377047,#377048); #350189=AXIS2_PLACEMENT_3D('',#469688,#377054,#377055); #350190=AXIS2_PLACEMENT_3D('',#469690,#377056,#377057); #350191=AXIS2_PLACEMENT_3D('',#469692,#377059,#377060); #350192=AXIS2_PLACEMENT_3D('',#469716,#377071,#377072); #350193=AXIS2_PLACEMENT_3D('',#469722,#377076,#377077); #350194=AXIS2_PLACEMENT_3D('',#469748,#377089,#377090); #350195=AXIS2_PLACEMENT_3D('',#469753,#377092,#377093); #350196=AXIS2_PLACEMENT_3D('',#469757,#377095,#377096); #350197=AXIS2_PLACEMENT_3D('',#469761,#377098,#377099); #350198=AXIS2_PLACEMENT_3D('',#469765,#377101,#377102); #350199=AXIS2_PLACEMENT_3D('',#469769,#377104,#377105); #350200=AXIS2_PLACEMENT_3D('',#469773,#377107,#377108); #350201=AXIS2_PLACEMENT_3D('',#469779,#377111,#377112); #350202=AXIS2_PLACEMENT_3D('',#469783,#377114,#377115); #350203=AXIS2_PLACEMENT_3D('',#469787,#377117,#377118); #350204=AXIS2_PLACEMENT_3D('',#469789,#377119,#377120); #350205=AXIS2_PLACEMENT_3D('',#469791,#377121,#377122); #350206=AXIS2_PLACEMENT_3D('',#469795,#377124,#377125); #350207=AXIS2_PLACEMENT_3D('',#469799,#377127,#377128); #350208=AXIS2_PLACEMENT_3D('',#469807,#377132,#377133); #350209=AXIS2_PLACEMENT_3D('',#469811,#377135,#377136); #350210=AXIS2_PLACEMENT_3D('',#469815,#377138,#377139); #350211=AXIS2_PLACEMENT_3D('',#469817,#377140,#377141); #350212=AXIS2_PLACEMENT_3D('',#469819,#377142,#377143); #350213=AXIS2_PLACEMENT_3D('',#469822,#377145,#377146); #350214=AXIS2_PLACEMENT_3D('',#469823,#377147,#377148); #350215=AXIS2_PLACEMENT_3D('',#469825,#377149,#377150); #350216=AXIS2_PLACEMENT_3D('',#469829,#377152,#377153); #350217=AXIS2_PLACEMENT_3D('',#469833,#377155,#377156); #350218=AXIS2_PLACEMENT_3D('',#469835,#377157,#377158); #350219=AXIS2_PLACEMENT_3D('',#469837,#377159,#377160); #350220=AXIS2_PLACEMENT_3D('',#469841,#377162,#377163); #350221=AXIS2_PLACEMENT_3D('',#469845,#377165,#377166); #350222=AXIS2_PLACEMENT_3D('',#469853,#377170,#377171); #350223=AXIS2_PLACEMENT_3D('',#469857,#377173,#377174); #350224=AXIS2_PLACEMENT_3D('',#469861,#377176,#377177); #350225=AXIS2_PLACEMENT_3D('',#469863,#377178,#377179); #350226=AXIS2_PLACEMENT_3D('',#469865,#377180,#377181); #350227=AXIS2_PLACEMENT_3D('',#469869,#377183,#377184); #350228=AXIS2_PLACEMENT_3D('',#469873,#377186,#377187); #350229=AXIS2_PLACEMENT_3D('',#469883,#377192,#377193); #350230=AXIS2_PLACEMENT_3D('',#469889,#377196,#377197); #350231=AXIS2_PLACEMENT_3D('',#469890,#377198,#377199); #350232=AXIS2_PLACEMENT_3D('',#469892,#377200,#377201); #350233=AXIS2_PLACEMENT_3D('',#469894,#377202,#377203); #350234=AXIS2_PLACEMENT_3D('',#469896,#377204,#377205); #350235=AXIS2_PLACEMENT_3D('',#469898,#377206,#377207); #350236=AXIS2_PLACEMENT_3D('',#469899,#377208,#377209); #350237=AXIS2_PLACEMENT_3D('',#469905,#377213,#377214); #350238=AXIS2_PLACEMENT_3D('',#469909,#377216,#377217); #350239=AXIS2_PLACEMENT_3D('',#469911,#377218,#377219); #350240=AXIS2_PLACEMENT_3D('',#469913,#377220,#377221); #350241=AXIS2_PLACEMENT_3D('',#469919,#377224,#377225); #350242=AXIS2_PLACEMENT_3D('',#469925,#377228,#377229); #350243=AXIS2_PLACEMENT_3D('',#469929,#377231,#377232); #350244=AXIS2_PLACEMENT_3D('',#469933,#377234,#377235); #350245=AXIS2_PLACEMENT_3D('',#469937,#377237,#377238); #350246=AXIS2_PLACEMENT_3D('',#469940,#377240,#377241); #350247=AXIS2_PLACEMENT_3D('',#469943,#377242,#377243); #350248=AXIS2_PLACEMENT_3D('',#469945,#377244,#377245); #350249=AXIS2_PLACEMENT_3D('',#469947,#377246,#377247); #350250=AXIS2_PLACEMENT_3D('',#469951,#377249,#377250); #350251=AXIS2_PLACEMENT_3D('',#469953,#377251,#377252); #350252=AXIS2_PLACEMENT_3D('',#469955,#377253,#377254); #350253=AXIS2_PLACEMENT_3D('',#469959,#377256,#377257); #350254=AXIS2_PLACEMENT_3D('',#469961,#377258,#377259); #350255=AXIS2_PLACEMENT_3D('',#469963,#377260,#377261); #350256=AXIS2_PLACEMENT_3D('',#469967,#377263,#377264); #350257=AXIS2_PLACEMENT_3D('',#469969,#377265,#377266); #350258=AXIS2_PLACEMENT_3D('',#469971,#377267,#377268); #350259=AXIS2_PLACEMENT_3D('',#469974,#377270,#377271); #350260=AXIS2_PLACEMENT_3D('',#469976,#377272,#377273); #350261=AXIS2_PLACEMENT_3D('',#469978,#377274,#377275); #350262=AXIS2_PLACEMENT_3D('',#469980,#377276,#377277); #350263=AXIS2_PLACEMENT_3D('',#469981,#377278,#377279); #350264=AXIS2_PLACEMENT_3D('',#469984,#377282,#377283); #350265=AXIS2_PLACEMENT_3D('',#469986,#377285,#377286); #350266=AXIS2_PLACEMENT_3D('',#469988,#377288,#377289); #350267=AXIS2_PLACEMENT_3D('',#469990,#377291,#377292); #350268=AXIS2_PLACEMENT_3D('',#469992,#377294,#377295); #350269=AXIS2_PLACEMENT_3D('',#469994,#377297,#377298); #350270=AXIS2_PLACEMENT_3D('',#469996,#377300,#377301); #350271=AXIS2_PLACEMENT_3D('',#469998,#377303,#377304); #350272=AXIS2_PLACEMENT_3D('',#470000,#377306,#377307); #350273=AXIS2_PLACEMENT_3D('',#470002,#377309,#377310); #350274=AXIS2_PLACEMENT_3D('',#470004,#377312,#377313); #350275=AXIS2_PLACEMENT_3D('',#470006,#377315,#377316); #350276=AXIS2_PLACEMENT_3D('',#470008,#377318,#377319); #350277=AXIS2_PLACEMENT_3D('',#470010,#377321,#377322); #350278=AXIS2_PLACEMENT_3D('',#470041,#377339,#377340); #350279=AXIS2_PLACEMENT_3D('',#470045,#377342,#377343); #350280=AXIS2_PLACEMENT_3D('',#470046,#377344,#377345); #350281=AXIS2_PLACEMENT_3D('',#470047,#377346,#377347); #350282=AXIS2_PLACEMENT_3D('',#470068,#377359,#377360); #350283=AXIS2_PLACEMENT_3D('',#470069,#377361,#377362); #350284=AXIS2_PLACEMENT_3D('',#470070,#377363,#377364); #350285=AXIS2_PLACEMENT_3D('',#470071,#377365,#377366); #350286=AXIS2_PLACEMENT_3D('',#470072,#377367,#377368); #350287=AXIS2_PLACEMENT_3D('',#470073,#377369,#377370); #350288=AXIS2_PLACEMENT_3D('',#470074,#377371,#377372); #350289=AXIS2_PLACEMENT_3D('',#470075,#377373,#377374); #350290=AXIS2_PLACEMENT_3D('',#470076,#377375,#377376); #350291=AXIS2_PLACEMENT_3D('',#470077,#377377,#377378); #350292=AXIS2_PLACEMENT_3D('',#470078,#377379,#377380); #350293=AXIS2_PLACEMENT_3D('',#470079,#377381,#377382); #350294=AXIS2_PLACEMENT_3D('',#470080,#377383,#377384); #350295=AXIS2_PLACEMENT_3D('',#470081,#377385,#377386); #350296=AXIS2_PLACEMENT_3D('',#470082,#377387,#377388); #350297=AXIS2_PLACEMENT_3D('',#470083,#377389,#377390); #350298=AXIS2_PLACEMENT_3D('',#470084,#377391,#377392); #350299=AXIS2_PLACEMENT_3D('',#470089,#377394,#377395); #350300=AXIS2_PLACEMENT_3D('',#470093,#377397,#377398); #350301=AXIS2_PLACEMENT_3D('',#470094,#377399,#377400); #350302=AXIS2_PLACEMENT_3D('',#470100,#377403,#377404); #350303=AXIS2_PLACEMENT_3D('',#470104,#377406,#377407); #350304=AXIS2_PLACEMENT_3D('',#470108,#377409,#377410); #350305=AXIS2_PLACEMENT_3D('',#470112,#377412,#377413); #350306=AXIS2_PLACEMENT_3D('',#470116,#377415,#377416); #350307=AXIS2_PLACEMENT_3D('',#470124,#377420,#377421); #350308=AXIS2_PLACEMENT_3D('',#470128,#377423,#377424); #350309=AXIS2_PLACEMENT_3D('',#470132,#377426,#377427); #350310=AXIS2_PLACEMENT_3D('',#470136,#377429,#377430); #350311=AXIS2_PLACEMENT_3D('',#470139,#377432,#377433); #350312=AXIS2_PLACEMENT_3D('',#470140,#377434,#377435); #350313=AXIS2_PLACEMENT_3D('',#470142,#377436,#377437); #350314=AXIS2_PLACEMENT_3D('',#470146,#377439,#377440); #350315=AXIS2_PLACEMENT_3D('',#470150,#377442,#377443); #350316=AXIS2_PLACEMENT_3D('',#470154,#377445,#377446); #350317=AXIS2_PLACEMENT_3D('',#470162,#377450,#377451); #350318=AXIS2_PLACEMENT_3D('',#470166,#377453,#377454); #350319=AXIS2_PLACEMENT_3D('',#470170,#377456,#377457); #350320=AXIS2_PLACEMENT_3D('',#470173,#377459,#377460); #350321=AXIS2_PLACEMENT_3D('',#470177,#377462,#377463); #350322=AXIS2_PLACEMENT_3D('',#470183,#377466,#377467); #350323=AXIS2_PLACEMENT_3D('',#470187,#377469,#377470); #350324=AXIS2_PLACEMENT_3D('',#470191,#377472,#377473); #350325=AXIS2_PLACEMENT_3D('',#470195,#377475,#377476); #350326=AXIS2_PLACEMENT_3D('',#470198,#377478,#377479); #350327=AXIS2_PLACEMENT_3D('',#470200,#377480,#377481); #350328=AXIS2_PLACEMENT_3D('',#470202,#377482,#377483); #350329=AXIS2_PLACEMENT_3D('',#470204,#377484,#377485); #350330=AXIS2_PLACEMENT_3D('',#470206,#377486,#377487); #350331=AXIS2_PLACEMENT_3D('',#470207,#377488,#377489); #350332=AXIS2_PLACEMENT_3D('',#470213,#377493,#377494); #350333=AXIS2_PLACEMENT_3D('',#470217,#377496,#377497); #350334=AXIS2_PLACEMENT_3D('',#470219,#377498,#377499); #350335=AXIS2_PLACEMENT_3D('',#470223,#377501,#377502); #350336=AXIS2_PLACEMENT_3D('',#470227,#377504,#377505); #350337=AXIS2_PLACEMENT_3D('',#470231,#377507,#377508); #350338=AXIS2_PLACEMENT_3D('',#470235,#377510,#377511); #350339=AXIS2_PLACEMENT_3D('',#470241,#377514,#377515); #350340=AXIS2_PLACEMENT_3D('',#470244,#377517,#377518); #350341=AXIS2_PLACEMENT_3D('',#470245,#377519,#377520); #350342=AXIS2_PLACEMENT_3D('',#470248,#377521,#377522); #350343=AXIS2_PLACEMENT_3D('',#470250,#377523,#377524); #350344=AXIS2_PLACEMENT_3D('',#470252,#377525,#377526); #350345=AXIS2_PLACEMENT_3D('',#470256,#377528,#377529); #350346=AXIS2_PLACEMENT_3D('',#470258,#377530,#377531); #350347=AXIS2_PLACEMENT_3D('',#470260,#377532,#377533); #350348=AXIS2_PLACEMENT_3D('',#470264,#377535,#377536); #350349=AXIS2_PLACEMENT_3D('',#470266,#377537,#377538); #350350=AXIS2_PLACEMENT_3D('',#470268,#377539,#377540); #350351=AXIS2_PLACEMENT_3D('',#470272,#377542,#377543); #350352=AXIS2_PLACEMENT_3D('',#470274,#377544,#377545); #350353=AXIS2_PLACEMENT_3D('',#470276,#377546,#377547); #350354=AXIS2_PLACEMENT_3D('',#470279,#377549,#377550); #350355=AXIS2_PLACEMENT_3D('',#470281,#377551,#377552); #350356=AXIS2_PLACEMENT_3D('',#470283,#377553,#377554); #350357=AXIS2_PLACEMENT_3D('',#470285,#377555,#377556); #350358=AXIS2_PLACEMENT_3D('',#470286,#377557,#377558); #350359=AXIS2_PLACEMENT_3D('',#470289,#377561,#377562); #350360=AXIS2_PLACEMENT_3D('',#470291,#377564,#377565); #350361=AXIS2_PLACEMENT_3D('',#470292,#377566,#377567); #350362=AXIS2_PLACEMENT_3D('',#470293,#377568,#377569); #350363=AXIS2_PLACEMENT_3D('',#470295,#377571,#377572); #350364=AXIS2_PLACEMENT_3D('',#470297,#377574,#377575); #350365=AXIS2_PLACEMENT_3D('',#470299,#377577,#377578); #350366=AXIS2_PLACEMENT_3D('',#470301,#377580,#377581); #350367=AXIS2_PLACEMENT_3D('',#470303,#377583,#377584); #350368=AXIS2_PLACEMENT_3D('',#470305,#377586,#377587); #350369=AXIS2_PLACEMENT_3D('',#470307,#377589,#377590); #350370=AXIS2_PLACEMENT_3D('',#470309,#377592,#377593); #350371=AXIS2_PLACEMENT_3D('',#470311,#377595,#377596); #350372=AXIS2_PLACEMENT_3D('',#470313,#377598,#377599); #350373=AXIS2_PLACEMENT_3D('',#470315,#377601,#377602); #350374=AXIS2_PLACEMENT_3D('',#470317,#377604,#377605); #350375=AXIS2_PLACEMENT_3D('',#470318,#377606,#377607); #350376=AXIS2_PLACEMENT_3D('',#470342,#377608,#377609); #350377=AXIS2_PLACEMENT_3D('',#470346,#377610,#377611); #350378=AXIS2_PLACEMENT_3D('',#470347,#377612,#377613); #350379=AXIS2_PLACEMENT_3D('',#470351,#377614,#377615); #350380=AXIS2_PLACEMENT_3D('',#470371,#377616,#377617); #350381=AXIS2_PLACEMENT_3D('',#470375,#377618,#377619); #350382=AXIS2_PLACEMENT_3D('',#470376,#377620,#377621); #350383=AXIS2_PLACEMENT_3D('',#470380,#377622,#377623); #350384=AXIS2_PLACEMENT_3D('',#470400,#377624,#377625); #350385=AXIS2_PLACEMENT_3D('',#470404,#377626,#377627); #350386=AXIS2_PLACEMENT_3D('',#470405,#377628,#377629); #350387=AXIS2_PLACEMENT_3D('',#470409,#377630,#377631); #350388=AXIS2_PLACEMENT_3D('',#470428,#377632,#377633); #350389=AXIS2_PLACEMENT_3D('',#470432,#377634,#377635); #350390=AXIS2_PLACEMENT_3D('',#470433,#377636,#377637); #350391=AXIS2_PLACEMENT_3D('',#470434,#377638,#377639); #350392=AXIS2_PLACEMENT_3D('',#470437,#377640,#377641); #350393=AXIS2_PLACEMENT_3D('',#470560,#377642,#377643); #350394=AXIS2_PLACEMENT_3D('',#470677,#377644,#377645); #350395=AXIS2_PLACEMENT_3D('',#470679,#377646,#377647); #350396=AXIS2_PLACEMENT_3D('',#470747,#377648,#377649); #350397=AXIS2_PLACEMENT_3D('',#470749,#377651,#377652); #350398=AXIS2_PLACEMENT_3D('',#470753,#377654,#377655); #350399=AXIS2_PLACEMENT_3D('',#470756,#377657,#377658); #350400=AXIS2_PLACEMENT_3D('',#470824,#377659,#377660); #350401=AXIS2_PLACEMENT_3D('',#470826,#377661,#377662); #350402=AXIS2_PLACEMENT_3D('',#470893,#377663,#377664); #350403=AXIS2_PLACEMENT_3D('',#471894,#377665,#377666); #350404=AXIS2_PLACEMENT_3D('',#471897,#377667,#377668); #350405=AXIS2_PLACEMENT_3D('',#471905,#377669,#377670); #350406=AXIS2_PLACEMENT_3D('',#471907,#377671,#377672); #350407=AXIS2_PLACEMENT_3D('',#471908,#377673,#377674); #350408=AXIS2_PLACEMENT_3D('',#471927,#377675,#377676); #350409=AXIS2_PLACEMENT_3D('',#472086,#377677,#377678); #350410=AXIS2_PLACEMENT_3D('',#472099,#377680,#377681); #350411=AXIS2_PLACEMENT_3D('',#472207,#377682,#377683); #350412=AXIS2_PLACEMENT_3D('',#472344,#377684,#377685); #350413=AXIS2_PLACEMENT_3D('',#472434,#377686,#377687); #350414=AXIS2_PLACEMENT_3D('',#472712,#377688,#377689); #350415=AXIS2_PLACEMENT_3D('',#472886,#377690,#377691); #350416=AXIS2_PLACEMENT_3D('',#472993,#377692,#377693); #350417=AXIS2_PLACEMENT_3D('',#473167,#377694,#377695); #350418=AXIS2_PLACEMENT_3D('',#473274,#377696,#377697); #350419=AXIS2_PLACEMENT_3D('',#473275,#377698,#377699); #350420=AXIS2_PLACEMENT_3D('',#473286,#377700,#377701); #350421=AXIS2_PLACEMENT_3D('',#473297,#377702,#377703); #350422=AXIS2_PLACEMENT_3D('',#473308,#377704,#377705); #350423=AXIS2_PLACEMENT_3D('',#473547,#377706,#377707); #350424=AXIS2_PLACEMENT_3D('',#473646,#377708,#377709); #350425=AXIS2_PLACEMENT_3D('',#473761,#377710,#377711); #350426=AXIS2_PLACEMENT_3D('',#473798,#377712,#377713); #350427=AXIS2_PLACEMENT_3D('',#473899,#377714,#377715); #350428=AXIS2_PLACEMENT_3D('',#474100,#377716,#377717); #350429=AXIS2_PLACEMENT_3D('',#474211,#377718,#377719); #350430=AXIS2_PLACEMENT_3D('',#474231,#377720,#377721); #350431=AXIS2_PLACEMENT_3D('',#474461,#377722,#377723); #350432=AXIS2_PLACEMENT_3D('',#474595,#377724,#377725); #350433=AXIS2_PLACEMENT_3D('',#474753,#377726,#377727); #350434=AXIS2_PLACEMENT_3D('',#474799,#377728,#377729); #350435=AXIS2_PLACEMENT_3D('',#475022,#377730,#377731); #350436=AXIS2_PLACEMENT_3D('',#475023,#377732,#377733); #350437=AXIS2_PLACEMENT_3D('',#475091,#377734,#377735); #350438=AXIS2_PLACEMENT_3D('',#475304,#377736,#377737); #350439=AXIS2_PLACEMENT_3D('',#475783,#377738,#377739); #350440=AXIS2_PLACEMENT_3D('',#475813,#377740,#377741); #350441=AXIS2_PLACEMENT_3D('',#475817,#377742,#377743); #350442=AXIS2_PLACEMENT_3D('',#475818,#377744,#377745); #350443=AXIS2_PLACEMENT_3D('',#475840,#377746,#377747); #350444=AXIS2_PLACEMENT_3D('',#476096,#377748,#377749); #350445=AXIS2_PLACEMENT_3D('',#476142,#377750,#377751); #350446=AXIS2_PLACEMENT_3D('',#476183,#377752,#377753); #350447=AXIS2_PLACEMENT_3D('',#476398,#377754,#377755); #350448=AXIS2_PLACEMENT_3D('',#476497,#377756,#377757); #350449=AXIS2_PLACEMENT_3D('',#476612,#377758,#377759); #350450=AXIS2_PLACEMENT_3D('',#476649,#377760,#377761); #350451=AXIS2_PLACEMENT_3D('',#476750,#377762,#377763); #350452=AXIS2_PLACEMENT_3D('',#476951,#377764,#377765); #350453=AXIS2_PLACEMENT_3D('',#477764,#377766,#377767); #350454=AXIS2_PLACEMENT_3D('',#477919,#377768,#377769); #350455=AXIS2_PLACEMENT_3D('',#477964,#377770,#377771); #350456=AXIS2_PLACEMENT_3D('',#478108,#377772,#377773); #350457=AXIS2_PLACEMENT_3D('',#478175,#377774,#377775); #350458=AXIS2_PLACEMENT_3D('',#478380,#377776,#377777); #350459=AXIS2_PLACEMENT_3D('',#478436,#377778,#377779); #350460=AXIS2_PLACEMENT_3D('',#478456,#377780,#377781); #350461=AXIS2_PLACEMENT_3D('',#478686,#377782,#377783); #350462=AXIS2_PLACEMENT_3D('',#478820,#377784,#377785); #350463=AXIS2_PLACEMENT_3D('',#478976,#377786,#377787); #350464=AXIS2_PLACEMENT_3D('',#479036,#377788,#377789); #350465=AXIS2_PLACEMENT_3D('',#479258,#377790,#377791); #350466=AXIS2_PLACEMENT_3D('',#479326,#377792,#377793); #350467=AXIS2_PLACEMENT_3D('',#479539,#377794,#377795); #350468=AXIS2_PLACEMENT_3D('',#479624,#377796,#377797); #350469=AXIS2_PLACEMENT_3D('',#479850,#377798,#377799); #350470=AXIS2_PLACEMENT_3D('',#479952,#377800,#377801); #350471=AXIS2_PLACEMENT_3D('',#480067,#377802,#377803); #350472=AXIS2_PLACEMENT_3D('',#480104,#377804,#377805); #350473=AXIS2_PLACEMENT_3D('',#480205,#377806,#377807); #350474=AXIS2_PLACEMENT_3D('',#480612,#377808,#377809); #350475=AXIS2_PLACEMENT_3D('',#480614,#377811,#377812); #350476=AXIS2_PLACEMENT_3D('',#480616,#377814,#377815); #350477=AXIS2_PLACEMENT_3D('',#480617,#377816,#377817); #350478=AXIS2_PLACEMENT_3D('',#480642,#377818,#377819); #350479=AXIS2_PLACEMENT_3D('',#480646,#377820,#377821); #350480=AXIS2_PLACEMENT_3D('',#480647,#377822,#377823); #350481=AXIS2_PLACEMENT_3D('',#480673,#377824,#377825); #350482=AXIS2_PLACEMENT_3D('',#480896,#377826,#377827); #350483=AXIS2_PLACEMENT_3D('',#481066,#377828,#377829); #350484=AXIS2_PLACEMENT_3D('',#481224,#377830,#377831); #350485=AXIS2_PLACEMENT_3D('',#481409,#377832,#377833); #350486=AXIS2_PLACEMENT_3D('',#481710,#377834,#377835); #350487=AXIS2_PLACEMENT_3D('',#481795,#377836,#377837); #350488=AXIS2_PLACEMENT_3D('',#482021,#377838,#377839); #350489=AXIS2_PLACEMENT_3D('',#482123,#377840,#377841); #350490=AXIS2_PLACEMENT_3D('',#482245,#377842,#377843); #350491=AXIS2_PLACEMENT_3D('',#482312,#377844,#377845); #350492=AXIS2_PLACEMENT_3D('',#482479,#377846,#377847); #350493=AXIS2_PLACEMENT_3D('',#482547,#377848,#377849); #350494=AXIS2_PLACEMENT_3D('',#483059,#377850,#377851); #350495=AXIS2_PLACEMENT_3D('',#483063,#377854,#377855); #350496=AXIS2_PLACEMENT_3D('',#483065,#377857,#377858); #350497=AXIS2_PLACEMENT_3D('',#483067,#377859,#377860); #350498=AXIS2_PLACEMENT_3D('',#483069,#377862,#377863); #350499=AXIS2_PLACEMENT_3D('',#483070,#377864,#377865); #350500=AXIS2_PLACEMENT_3D('',#483071,#377866,#377867); #350501=AXIS2_PLACEMENT_3D('',#483072,#377868,#377869); #350502=AXIS2_PLACEMENT_3D('',#483073,#377870,#377871); #350503=AXIS2_PLACEMENT_3D('',#483074,#377872,#377873); #350504=AXIS2_PLACEMENT_3D('',#483293,#377874,#377875); #350505=AXIS2_PLACEMENT_3D('',#483294,#377876,#377877); #350506=AXIS2_PLACEMENT_3D('',#483295,#377878,#377879); #350507=AXIS2_PLACEMENT_3D('',#483523,#377880,#377881); #350508=AXIS2_PLACEMENT_3D('',#483524,#377882,#377883); #350509=AXIS2_PLACEMENT_3D('',#483624,#377884,#377885); #350510=AXIS2_PLACEMENT_3D('',#483655,#377886,#377887); #350511=AXIS2_PLACEMENT_3D('',#483736,#377888,#377889); #350512=AXIS2_PLACEMENT_3D('',#483832,#377890,#377891); #350513=AXIS2_PLACEMENT_3D('',#483942,#377892,#377893); #350514=AXIS2_PLACEMENT_3D('',#483943,#377894,#377895); #350515=AXIS2_PLACEMENT_3D('',#484076,#377896,#377897); #350516=AXIS2_PLACEMENT_3D('',#484143,#377898,#377899); #350517=AXIS2_PLACEMENT_3D('',#484287,#377900,#377901); #350518=AXIS2_PLACEMENT_3D('',#484332,#377902,#377903); #350519=AXIS2_PLACEMENT_3D('',#484476,#377904,#377905); #350520=AXIS2_PLACEMENT_3D('',#484587,#377906,#377907); #350521=AXIS2_PLACEMENT_3D('',#484742,#377908,#377909); #350522=AXIS2_PLACEMENT_3D('',#484743,#377910,#377911); #350523=AXIS2_PLACEMENT_3D('',#484745,#377913,#377914); #350524=AXIS2_PLACEMENT_3D('',#484747,#377916,#377917); #350525=AXIS2_PLACEMENT_3D('',#484749,#377919,#377920); #350526=AXIS2_PLACEMENT_3D('',#484751,#377922,#377923); #350527=AXIS2_PLACEMENT_3D('',#484753,#377925,#377926); #350528=AXIS2_PLACEMENT_3D('',#484782,#377936,#377937); #350529=AXIS2_PLACEMENT_3D('',#484786,#377939,#377940); #350530=AXIS2_PLACEMENT_3D('',#484787,#377941,#377942); #350531=AXIS2_PLACEMENT_3D('',#484788,#377943,#377944); #350532=AXIS2_PLACEMENT_3D('',#484815,#377954,#377955); #350533=AXIS2_PLACEMENT_3D('',#484816,#377956,#377957); #350534=AXIS2_PLACEMENT_3D('',#484817,#377958,#377959); #350535=AXIS2_PLACEMENT_3D('',#484818,#377960,#377961); #350536=AXIS2_PLACEMENT_3D('',#484819,#377962,#377963); #350537=AXIS2_PLACEMENT_3D('',#484820,#377964,#377965); #350538=AXIS2_PLACEMENT_3D('',#484821,#377966,#377967); #350539=AXIS2_PLACEMENT_3D('',#484822,#377968,#377969); #350540=AXIS2_PLACEMENT_3D('',#484823,#377970,#377971); #350541=AXIS2_PLACEMENT_3D('',#484824,#377972,#377973); #350542=AXIS2_PLACEMENT_3D('',#484825,#377974,#377975); #350543=AXIS2_PLACEMENT_3D('',#484826,#377976,#377977); #350544=AXIS2_PLACEMENT_3D('',#484827,#377978,#377979); #350545=AXIS2_PLACEMENT_3D('',#484846,#377980,#377981); #350546=AXIS2_PLACEMENT_3D('',#484847,#377982,#377983); #350547=AXIS2_PLACEMENT_3D('',#484849,#377985,#377986); #350548=AXIS2_PLACEMENT_3D('',#484865,#377994,#377995); #350549=AXIS2_PLACEMENT_3D('',#484869,#377998,#377999); #350550=AXIS2_PLACEMENT_3D('',#484871,#378000,#378001); #350551=AXIS2_PLACEMENT_3D('',#484874,#378003,#378004); #350552=AXIS2_PLACEMENT_3D('',#484875,#378005,#378006); #350553=AXIS2_PLACEMENT_3D('',#484878,#378008,#378009); #350554=AXIS2_PLACEMENT_3D('',#484879,#378010,#378011); #350555=AXIS2_PLACEMENT_3D('',#484882,#378013,#378014); #350556=AXIS2_PLACEMENT_3D('',#484883,#378015,#378016); #350557=AXIS2_PLACEMENT_3D('',#484885,#378017,#378018); #350558=AXIS2_PLACEMENT_3D('',#484887,#378020,#378021); #350559=AXIS2_PLACEMENT_3D('',#484890,#378023,#378024); #350560=AXIS2_PLACEMENT_3D('',#484891,#378025,#378026); #350561=AXIS2_PLACEMENT_3D('',#484894,#378028,#378029); #350562=AXIS2_PLACEMENT_3D('',#484895,#378030,#378031); #350563=AXIS2_PLACEMENT_3D('',#484898,#378033,#378034); #350564=AXIS2_PLACEMENT_3D('',#484899,#378035,#378036); #350565=AXIS2_PLACEMENT_3D('',#484903,#378037,#378038); #350566=AXIS2_PLACEMENT_3D('',#484908,#378042,#378043); #350567=AXIS2_PLACEMENT_3D('',#484910,#378045,#378046); #350568=AXIS2_PLACEMENT_3D('',#484932,#378056,#378057); #350569=AXIS2_PLACEMENT_3D('',#484934,#378058,#378059); #350570=AXIS2_PLACEMENT_3D('',#484935,#378060,#378061); #350571=AXIS2_PLACEMENT_3D('',#484936,#378062,#378063); #350572=AXIS2_PLACEMENT_3D('',#484955,#378074,#378075); #350573=AXIS2_PLACEMENT_3D('',#484956,#378076,#378077); #350574=AXIS2_PLACEMENT_3D('',#484957,#378078,#378079); #350575=AXIS2_PLACEMENT_3D('',#484958,#378080,#378081); #350576=AXIS2_PLACEMENT_3D('',#484959,#378082,#378083); #350577=AXIS2_PLACEMENT_3D('',#484960,#378084,#378085); #350578=AXIS2_PLACEMENT_3D('',#484961,#378086,#378087); #350579=AXIS2_PLACEMENT_3D('',#484962,#378088,#378089); #350580=AXIS2_PLACEMENT_3D('',#484963,#378090,#378091); #350581=AXIS2_PLACEMENT_3D('',#484964,#378092,#378093); #350582=AXIS2_PLACEMENT_3D('',#484965,#378094,#378095); #350583=AXIS2_PLACEMENT_3D('',#484966,#378096,#378097); #350584=AXIS2_PLACEMENT_3D('',#484967,#378098,#378099); #350585=AXIS2_PLACEMENT_3D('',#484968,#378100,#378101); #350586=AXIS2_PLACEMENT_3D('',#484969,#378102,#378103); #350587=AXIS2_PLACEMENT_3D('',#484970,#378104,#378105); #350588=AXIS2_PLACEMENT_3D('',#484971,#378106,#378107); #350589=AXIS2_PLACEMENT_3D('',#484972,#378108,#378109); #350590=AXIS2_PLACEMENT_3D('',#484974,#378111,#378112); #350591=AXIS2_PLACEMENT_3D('',#485003,#378122,#378123); #350592=AXIS2_PLACEMENT_3D('',#485007,#378125,#378126); #350593=AXIS2_PLACEMENT_3D('',#485008,#378127,#378128); #350594=AXIS2_PLACEMENT_3D('',#485009,#378129,#378130); #350595=AXIS2_PLACEMENT_3D('',#485036,#378140,#378141); #350596=AXIS2_PLACEMENT_3D('',#485037,#378142,#378143); #350597=AXIS2_PLACEMENT_3D('',#485038,#378144,#378145); #350598=AXIS2_PLACEMENT_3D('',#485039,#378146,#378147); #350599=AXIS2_PLACEMENT_3D('',#485040,#378148,#378149); #350600=AXIS2_PLACEMENT_3D('',#485041,#378150,#378151); #350601=AXIS2_PLACEMENT_3D('',#485042,#378152,#378153); #350602=AXIS2_PLACEMENT_3D('',#485043,#378154,#378155); #350603=AXIS2_PLACEMENT_3D('',#485044,#378156,#378157); #350604=AXIS2_PLACEMENT_3D('',#485045,#378158,#378159); #350605=AXIS2_PLACEMENT_3D('',#485046,#378160,#378161); #350606=AXIS2_PLACEMENT_3D('',#485047,#378162,#378163); #350607=AXIS2_PLACEMENT_3D('',#485048,#378164,#378165); #350608=AXIS2_PLACEMENT_3D('',#485067,#378166,#378167); #350609=AXIS2_PLACEMENT_3D('',#485068,#378168,#378169); #350610=AXIS2_PLACEMENT_3D('',#485069,#378170,#378171); #350611=AXIS2_PLACEMENT_3D('',#485070,#378172,#378173); #350612=AXIS2_PLACEMENT_3D('',#485071,#378174,#378175); #350613=AXIS2_PLACEMENT_3D('',#485072,#378176,#378177); #350614=AXIS2_PLACEMENT_3D('',#485073,#378178,#378179); #350615=AXIS2_PLACEMENT_3D('',#485074,#378180,#378181); #350616=AXIS2_PLACEMENT_3D('',#485075,#378182,#378183); #350617=AXIS2_PLACEMENT_3D('',#485076,#378184,#378185); #350618=AXIS2_PLACEMENT_3D('',#485077,#378186,#378187); #350619=AXIS2_PLACEMENT_3D('',#485078,#378188,#378189); #350620=AXIS2_PLACEMENT_3D('',#485079,#378190,#378191); #350621=AXIS2_PLACEMENT_3D('',#485082,#378193,#378194); #350622=AXIS2_PLACEMENT_3D('',#485083,#378195,#378196); #350623=AXIS2_PLACEMENT_3D('',#485094,#378202,#378203); #350624=AXIS2_PLACEMENT_3D('',#485102,#378208,#378209); #350625=AXIS2_PLACEMENT_3D('',#485103,#378210,#378211); #350626=AXIS2_PLACEMENT_3D('',#485104,#378212,#378213); #350627=AXIS2_PLACEMENT_3D('',#485105,#378214,#378215); #350628=AXIS2_PLACEMENT_3D('',#485106,#378216,#378217); #350629=AXIS2_PLACEMENT_3D('',#485107,#378218,#378219); #350630=AXIS2_PLACEMENT_3D('',#485108,#378220,#378221); #350631=AXIS2_PLACEMENT_3D('',#485109,#378222,#378223); #350632=AXIS2_PLACEMENT_3D('',#485110,#378224,#378225); #350633=AXIS2_PLACEMENT_3D('',#485111,#378226,#378227); #350634=AXIS2_PLACEMENT_3D('',#485112,#378228,#378229); #350635=AXIS2_PLACEMENT_3D('',#485113,#378230,#378231); #350636=AXIS2_PLACEMENT_3D('',#485114,#378232,#378233); #350637=AXIS2_PLACEMENT_3D('',#485115,#378234,#378235); #350638=AXIS2_PLACEMENT_3D('',#485116,#378236,#378237); #350639=AXIS2_PLACEMENT_3D('',#485117,#378238,#378239); #350640=AXIS2_PLACEMENT_3D('',#485118,#378240,#378241); #350641=AXIS2_PLACEMENT_3D('',#485119,#378242,#378243); #350642=AXIS2_PLACEMENT_3D('',#485121,#378245,#378246); #350643=AXIS2_PLACEMENT_3D('',#485150,#378256,#378257); #350644=AXIS2_PLACEMENT_3D('',#485154,#378259,#378260); #350645=AXIS2_PLACEMENT_3D('',#485155,#378261,#378262); #350646=AXIS2_PLACEMENT_3D('',#485156,#378263,#378264); #350647=AXIS2_PLACEMENT_3D('',#485183,#378274,#378275); #350648=AXIS2_PLACEMENT_3D('',#485184,#378276,#378277); #350649=AXIS2_PLACEMENT_3D('',#485185,#378278,#378279); #350650=AXIS2_PLACEMENT_3D('',#485186,#378280,#378281); #350651=AXIS2_PLACEMENT_3D('',#485187,#378282,#378283); #350652=AXIS2_PLACEMENT_3D('',#485188,#378284,#378285); #350653=AXIS2_PLACEMENT_3D('',#485189,#378286,#378287); #350654=AXIS2_PLACEMENT_3D('',#485190,#378288,#378289); #350655=AXIS2_PLACEMENT_3D('',#485191,#378290,#378291); #350656=AXIS2_PLACEMENT_3D('',#485192,#378292,#378293); #350657=AXIS2_PLACEMENT_3D('',#485193,#378294,#378295); #350658=AXIS2_PLACEMENT_3D('',#485194,#378296,#378297); #350659=AXIS2_PLACEMENT_3D('',#485195,#378298,#378299); #350660=AXIS2_PLACEMENT_3D('',#485214,#378300,#378301); #350661=AXIS2_PLACEMENT_3D('',#485215,#378302,#378303); #350662=AXIS2_PLACEMENT_3D('',#485217,#378305,#378306); #350663=AXIS2_PLACEMENT_3D('',#485233,#378314,#378315); #350664=AXIS2_PLACEMENT_3D('',#485237,#378318,#378319); #350665=AXIS2_PLACEMENT_3D('',#485239,#378320,#378321); #350666=AXIS2_PLACEMENT_3D('',#485242,#378323,#378324); #350667=AXIS2_PLACEMENT_3D('',#485243,#378325,#378326); #350668=AXIS2_PLACEMENT_3D('',#485246,#378328,#378329); #350669=AXIS2_PLACEMENT_3D('',#485247,#378330,#378331); #350670=AXIS2_PLACEMENT_3D('',#485250,#378333,#378334); #350671=AXIS2_PLACEMENT_3D('',#485251,#378335,#378336); #350672=AXIS2_PLACEMENT_3D('',#485253,#378337,#378338); #350673=AXIS2_PLACEMENT_3D('',#485255,#378340,#378341); #350674=AXIS2_PLACEMENT_3D('',#485258,#378343,#378344); #350675=AXIS2_PLACEMENT_3D('',#485259,#378345,#378346); #350676=AXIS2_PLACEMENT_3D('',#485262,#378348,#378349); #350677=AXIS2_PLACEMENT_3D('',#485263,#378350,#378351); #350678=AXIS2_PLACEMENT_3D('',#485266,#378353,#378354); #350679=AXIS2_PLACEMENT_3D('',#485267,#378355,#378356); #350680=AXIS2_PLACEMENT_3D('',#485271,#378357,#378358); #350681=AXIS2_PLACEMENT_3D('',#485276,#378362,#378363); #350682=AXIS2_PLACEMENT_3D('',#485278,#378365,#378366); #350683=AXIS2_PLACEMENT_3D('',#485300,#378376,#378377); #350684=AXIS2_PLACEMENT_3D('',#485302,#378378,#378379); #350685=AXIS2_PLACEMENT_3D('',#485303,#378380,#378381); #350686=AXIS2_PLACEMENT_3D('',#485304,#378382,#378383); #350687=AXIS2_PLACEMENT_3D('',#485323,#378394,#378395); #350688=AXIS2_PLACEMENT_3D('',#485324,#378396,#378397); #350689=AXIS2_PLACEMENT_3D('',#485325,#378398,#378399); #350690=AXIS2_PLACEMENT_3D('',#485326,#378400,#378401); #350691=AXIS2_PLACEMENT_3D('',#485327,#378402,#378403); #350692=AXIS2_PLACEMENT_3D('',#485328,#378404,#378405); #350693=AXIS2_PLACEMENT_3D('',#485329,#378406,#378407); #350694=AXIS2_PLACEMENT_3D('',#485330,#378408,#378409); #350695=AXIS2_PLACEMENT_3D('',#485331,#378410,#378411); #350696=AXIS2_PLACEMENT_3D('',#485332,#378412,#378413); #350697=AXIS2_PLACEMENT_3D('',#485333,#378414,#378415); #350698=AXIS2_PLACEMENT_3D('',#485334,#378416,#378417); #350699=AXIS2_PLACEMENT_3D('',#485335,#378418,#378419); #350700=AXIS2_PLACEMENT_3D('',#485336,#378420,#378421); #350701=AXIS2_PLACEMENT_3D('',#485337,#378422,#378423); #350702=AXIS2_PLACEMENT_3D('',#485338,#378424,#378425); #350703=AXIS2_PLACEMENT_3D('',#485339,#378426,#378427); #350704=AXIS2_PLACEMENT_3D('',#485340,#378428,#378429); #350705=AXIS2_PLACEMENT_3D('',#485342,#378431,#378432); #350706=AXIS2_PLACEMENT_3D('',#485371,#378442,#378443); #350707=AXIS2_PLACEMENT_3D('',#485375,#378445,#378446); #350708=AXIS2_PLACEMENT_3D('',#485376,#378447,#378448); #350709=AXIS2_PLACEMENT_3D('',#485377,#378449,#378450); #350710=AXIS2_PLACEMENT_3D('',#485404,#378460,#378461); #350711=AXIS2_PLACEMENT_3D('',#485405,#378462,#378463); #350712=AXIS2_PLACEMENT_3D('',#485406,#378464,#378465); #350713=AXIS2_PLACEMENT_3D('',#485407,#378466,#378467); #350714=AXIS2_PLACEMENT_3D('',#485408,#378468,#378469); #350715=AXIS2_PLACEMENT_3D('',#485409,#378470,#378471); #350716=AXIS2_PLACEMENT_3D('',#485410,#378472,#378473); #350717=AXIS2_PLACEMENT_3D('',#485411,#378474,#378475); #350718=AXIS2_PLACEMENT_3D('',#485412,#378476,#378477); #350719=AXIS2_PLACEMENT_3D('',#485413,#378478,#378479); #350720=AXIS2_PLACEMENT_3D('',#485414,#378480,#378481); #350721=AXIS2_PLACEMENT_3D('',#485415,#378482,#378483); #350722=AXIS2_PLACEMENT_3D('',#485416,#378484,#378485); #350723=AXIS2_PLACEMENT_3D('',#485435,#378486,#378487); #350724=AXIS2_PLACEMENT_3D('',#485436,#378488,#378489); #350725=AXIS2_PLACEMENT_3D('',#485438,#378491,#378492); #350726=AXIS2_PLACEMENT_3D('',#485454,#378500,#378501); #350727=AXIS2_PLACEMENT_3D('',#485458,#378504,#378505); #350728=AXIS2_PLACEMENT_3D('',#485460,#378506,#378507); #350729=AXIS2_PLACEMENT_3D('',#485463,#378509,#378510); #350730=AXIS2_PLACEMENT_3D('',#485464,#378511,#378512); #350731=AXIS2_PLACEMENT_3D('',#485467,#378514,#378515); #350732=AXIS2_PLACEMENT_3D('',#485468,#378516,#378517); #350733=AXIS2_PLACEMENT_3D('',#485471,#378519,#378520); #350734=AXIS2_PLACEMENT_3D('',#485472,#378521,#378522); #350735=AXIS2_PLACEMENT_3D('',#485474,#378523,#378524); #350736=AXIS2_PLACEMENT_3D('',#485476,#378526,#378527); #350737=AXIS2_PLACEMENT_3D('',#485479,#378529,#378530); #350738=AXIS2_PLACEMENT_3D('',#485480,#378531,#378532); #350739=AXIS2_PLACEMENT_3D('',#485483,#378534,#378535); #350740=AXIS2_PLACEMENT_3D('',#485484,#378536,#378537); #350741=AXIS2_PLACEMENT_3D('',#485487,#378539,#378540); #350742=AXIS2_PLACEMENT_3D('',#485488,#378541,#378542); #350743=AXIS2_PLACEMENT_3D('',#485492,#378543,#378544); #350744=AXIS2_PLACEMENT_3D('',#485497,#378548,#378549); #350745=AXIS2_PLACEMENT_3D('',#485498,#378550,#378551); #350746=AXIS2_PLACEMENT_3D('',#485499,#378552,#378553); #350747=AXIS2_PLACEMENT_3D('',#485500,#378554,#378555); #350748=AXIS2_PLACEMENT_3D('',#485501,#378556,#378557); #350749=AXIS2_PLACEMENT_3D('',#485502,#378558,#378559); #350750=AXIS2_PLACEMENT_3D('',#485503,#378560,#378561); #350751=AXIS2_PLACEMENT_3D('',#485504,#378562,#378563); #350752=AXIS2_PLACEMENT_3D('',#485505,#378564,#378565); #350753=AXIS2_PLACEMENT_3D('',#485506,#378566,#378567); #350754=AXIS2_PLACEMENT_3D('',#485507,#378568,#378569); #350755=AXIS2_PLACEMENT_3D('',#485508,#378570,#378571); #350756=AXIS2_PLACEMENT_3D('',#485509,#378572,#378573); #350757=AXIS2_PLACEMENT_3D('',#485510,#378574,#378575); #350758=AXIS2_PLACEMENT_3D('',#485512,#378577,#378578); #350759=AXIS2_PLACEMENT_3D('',#485513,#378579,#378580); #350760=AXIS2_PLACEMENT_3D('',#485514,#378581,#378582); #350761=AXIS2_PLACEMENT_3D('',#485516,#378584,#378585); #350762=AXIS2_PLACEMENT_3D('',#485518,#378587,#378588); #350763=AXIS2_PLACEMENT_3D('',#485520,#378590,#378591); #350764=AXIS2_PLACEMENT_3D('',#485522,#378593,#378594); #350765=AXIS2_PLACEMENT_3D('',#485523,#378595,#378596); #350766=AXIS2_PLACEMENT_3D('',#485524,#378597,#378598); #350767=AXIS2_PLACEMENT_3D('',#485525,#378599,#378600); #350768=AXIS2_PLACEMENT_3D('',#485526,#378601,#378602); #350769=AXIS2_PLACEMENT_3D('',#485527,#378603,#378604); #350770=AXIS2_PLACEMENT_3D('',#485528,#378605,#378606); #350771=AXIS2_PLACEMENT_3D('',#485529,#378607,#378608); #350772=AXIS2_PLACEMENT_3D('',#485530,#378609,#378610); #350773=AXIS2_PLACEMENT_3D('',#485531,#378611,#378612); #350774=AXIS2_PLACEMENT_3D('',#485532,#378613,#378614); #350775=AXIS2_PLACEMENT_3D('',#485533,#378615,#378616); #350776=AXIS2_PLACEMENT_3D('',#485534,#378617,#378618); #350777=AXIS2_PLACEMENT_3D('',#485645,#378619,#378620); #350778=AXIS2_PLACEMENT_3D('',#485654,#378621,#378622); #350779=AXIS2_PLACEMENT_3D('',#485656,#378623,#378624); #350780=AXIS2_PLACEMENT_3D('',#485667,#378625,#378626); #350781=AXIS2_PLACEMENT_3D('',#485670,#378627,#378628); #350782=AXIS2_PLACEMENT_3D('',#485911,#378629,#378630); #350783=AXIS2_PLACEMENT_3D('',#486074,#378632,#378633); #350784=AXIS2_PLACEMENT_3D('',#486077,#378635,#378636); #350785=AXIS2_PLACEMENT_3D('',#486086,#378637,#378638); #350786=AXIS2_PLACEMENT_3D('',#486133,#378639,#378640); #350787=AXIS2_PLACEMENT_3D('',#486180,#378641,#378642); #350788=AXIS2_PLACEMENT_3D('',#486227,#378643,#378644); #350789=AXIS2_PLACEMENT_3D('',#486404,#378646,#378647); #350790=AXIS2_PLACEMENT_3D('',#486406,#378648,#378649); #350791=AXIS2_PLACEMENT_3D('',#486414,#378650,#378651); #350792=AXIS2_PLACEMENT_3D('',#487040,#378654,#378655); #350793=AXIS2_PLACEMENT_3D('',#487154,#378657,#378658); #350794=AXIS2_PLACEMENT_3D('',#487156,#378659,#378660); #350795=AXIS2_PLACEMENT_3D('',#487206,#378662,#378663); #350796=AXIS2_PLACEMENT_3D('',#487446,#378665,#378666); #350797=AXIS2_PLACEMENT_3D('',#487448,#378667,#378668); #350798=AXIS2_PLACEMENT_3D('',#487450,#378669,#378670); #350799=AXIS2_PLACEMENT_3D('',#487936,#378673,#378674); #350800=AXIS2_PLACEMENT_3D('',#487938,#378675,#378676); #350801=AXIS2_PLACEMENT_3D('',#487940,#378677,#378678); #350802=AXIS2_PLACEMENT_3D('',#488392,#378682,#378683); #350803=AXIS2_PLACEMENT_3D('',#488409,#378684,#378685); #350804=AXIS2_PLACEMENT_3D('',#488508,#378687,#378688); #350805=AXIS2_PLACEMENT_3D('',#488757,#378691,#378692); #350806=AXIS2_PLACEMENT_3D('',#488759,#378693,#378694); #350807=AXIS2_PLACEMENT_3D('',#488761,#378695,#378696); #350808=AXIS2_PLACEMENT_3D('',#488993,#378698,#378699); #350809=AXIS2_PLACEMENT_3D('',#489070,#378701,#378702); #350810=AXIS2_PLACEMENT_3D('',#489086,#378703,#378704); #350811=AXIS2_PLACEMENT_3D('',#489087,#378705,#378706); #350812=AXIS2_PLACEMENT_3D('',#489383,#378707,#378708); #350813=AXIS2_PLACEMENT_3D('',#489385,#378709,#378710); #350814=AXIS2_PLACEMENT_3D('',#489387,#378711,#378712); #350815=AXIS2_PLACEMENT_3D('',#489388,#378713,#378714); #350816=AXIS2_PLACEMENT_3D('',#489389,#378715,#378716); #350817=AXIS2_PLACEMENT_3D('',#489390,#378717,#378718); #350818=AXIS2_PLACEMENT_3D('',#489391,#378719,#378720); #350819=AXIS2_PLACEMENT_3D('',#489392,#378721,#378722); #350820=AXIS2_PLACEMENT_3D('',#489393,#378723,#378724); #350821=AXIS2_PLACEMENT_3D('',#489416,#378725,#378726); #350822=AXIS2_PLACEMENT_3D('',#489767,#378728,#378729); #350823=AXIS2_PLACEMENT_3D('',#489768,#378730,#378731); #350824=AXIS2_PLACEMENT_3D('',#489769,#378732,#378733); #350825=AXIS2_PLACEMENT_3D('',#489804,#378734,#378735); #350826=AXIS2_PLACEMENT_3D('',#489805,#378736,#378737); #350827=AXIS2_PLACEMENT_3D('',#489806,#378738,#378739); #350828=AXIS2_PLACEMENT_3D('',#489823,#378740,#378741); #350829=AXIS2_PLACEMENT_3D('',#490144,#378743,#378744); #350830=AXIS2_PLACEMENT_3D('',#490185,#378745,#378746); #350831=AXIS2_PLACEMENT_3D('',#490186,#378747,#378748); #350832=AXIS2_PLACEMENT_3D('',#490188,#378749,#378750); #350833=AXIS2_PLACEMENT_3D('',#490189,#378751,#378752); #350834=AXIS2_PLACEMENT_3D('',#490190,#378753,#378754); #350835=AXIS2_PLACEMENT_3D('',#490191,#378755,#378756); #350836=AXIS2_PLACEMENT_3D('',#490192,#378757,#378758); #350837=AXIS2_PLACEMENT_3D('',#490200,#378762,#378763); #350838=AXIS2_PLACEMENT_3D('',#490201,#378764,#378765); #350839=AXIS2_PLACEMENT_3D('',#490207,#378768,#378769); #350840=AXIS2_PLACEMENT_3D('',#490208,#378770,#378771); #350841=AXIS2_PLACEMENT_3D('',#490216,#378775,#378776); #350842=AXIS2_PLACEMENT_3D('',#490217,#378777,#378778); #350843=AXIS2_PLACEMENT_3D('',#490222,#378780,#378781); #350844=AXIS2_PLACEMENT_3D('',#490224,#378783,#378784); #350845=AXIS2_PLACEMENT_3D('',#490228,#378786,#378787); #350846=AXIS2_PLACEMENT_3D('',#490229,#378788,#378789); #350847=AXIS2_PLACEMENT_3D('',#490234,#378791,#378792); #350848=AXIS2_PLACEMENT_3D('',#490235,#378793,#378794); #350849=AXIS2_PLACEMENT_3D('',#490236,#378795,#378796); #350850=AXIS2_PLACEMENT_3D('',#490242,#378800,#378801); #350851=AXIS2_PLACEMENT_3D('',#490246,#378803,#378804); #350852=AXIS2_PLACEMENT_3D('',#490247,#378805,#378806); #350853=AXIS2_PLACEMENT_3D('',#490258,#378813,#378814); #350854=AXIS2_PLACEMENT_3D('',#490267,#378819,#378820); #350855=AXIS2_PLACEMENT_3D('',#490276,#378825,#378826); #350856=AXIS2_PLACEMENT_3D('',#490279,#378829,#378830); #350857=AXIS2_PLACEMENT_3D('',#490289,#378836,#378837); #350858=AXIS2_PLACEMENT_3D('',#490299,#378843,#378844); #350859=AXIS2_PLACEMENT_3D('',#490305,#378848,#378849); #350860=AXIS2_PLACEMENT_3D('',#490308,#378850,#378851); #350861=AXIS2_PLACEMENT_3D('',#490312,#378853,#378854); #350862=AXIS2_PLACEMENT_3D('',#490314,#378856,#378857); #350863=AXIS2_PLACEMENT_3D('',#490316,#378858,#378859); #350864=AXIS2_PLACEMENT_3D('',#490320,#378861,#378862); #350865=AXIS2_PLACEMENT_3D('',#490324,#378864,#378865); #350866=AXIS2_PLACEMENT_3D('',#490326,#378867,#378868); #350867=AXIS2_PLACEMENT_3D('',#490328,#378869,#378870); #350868=AXIS2_PLACEMENT_3D('',#490334,#378874,#378875); #350869=AXIS2_PLACEMENT_3D('',#490337,#378876,#378877); #350870=AXIS2_PLACEMENT_3D('',#490341,#378879,#378880); #350871=AXIS2_PLACEMENT_3D('',#490343,#378882,#378883); #350872=AXIS2_PLACEMENT_3D('',#490351,#378889,#378890); #350873=AXIS2_PLACEMENT_3D('',#490360,#378895,#378896); #350874=AXIS2_PLACEMENT_3D('',#490363,#378898,#378899); #350875=AXIS2_PLACEMENT_3D('',#490369,#378902,#378903); #350876=AXIS2_PLACEMENT_3D('',#490371,#378905,#378906); #350877=AXIS2_PLACEMENT_3D('',#490380,#378911,#378912); #350878=AXIS2_PLACEMENT_3D('',#490384,#378914,#378915); #350879=AXIS2_PLACEMENT_3D('',#490388,#378917,#378918); #350880=AXIS2_PLACEMENT_3D('',#490390,#378920,#378921); #350881=AXIS2_PLACEMENT_3D('',#490393,#378922,#378923); #350882=AXIS2_PLACEMENT_3D('',#490397,#378925,#378926); #350883=AXIS2_PLACEMENT_3D('',#490399,#378928,#378929); #350884=AXIS2_PLACEMENT_3D('',#490413,#378937,#378938); #350885=AXIS2_PLACEMENT_3D('',#490422,#378943,#378944); #350886=AXIS2_PLACEMENT_3D('',#490426,#378946,#378947); #350887=AXIS2_PLACEMENT_3D('',#490429,#378950,#378951); #350888=AXIS2_PLACEMENT_3D('',#490432,#378952,#378953); #350889=AXIS2_PLACEMENT_3D('',#490436,#378955,#378956); #350890=AXIS2_PLACEMENT_3D('',#490438,#378958,#378959); #350891=AXIS2_PLACEMENT_3D('',#490450,#378966,#378967); #350892=AXIS2_PLACEMENT_3D('',#490459,#378972,#378973); #350893=AXIS2_PLACEMENT_3D('',#490463,#378975,#378976); #350894=AXIS2_PLACEMENT_3D('',#490471,#378980,#378981); #350895=AXIS2_PLACEMENT_3D('',#490473,#378983,#378984); #350896=AXIS2_PLACEMENT_3D('',#490477,#378986,#378987); #350897=AXIS2_PLACEMENT_3D('',#490479,#378989,#378990); #350898=AXIS2_PLACEMENT_3D('',#490484,#378993,#378994); #350899=AXIS2_PLACEMENT_3D('',#490485,#378995,#378996); #350900=AXIS2_PLACEMENT_3D('',#490489,#378998,#378999); #350901=AXIS2_PLACEMENT_3D('',#490492,#379001,#379002); #350902=AXIS2_PLACEMENT_3D('',#490494,#379003,#379004); #350903=AXIS2_PLACEMENT_3D('',#490496,#379006,#379007); #350904=AXIS2_PLACEMENT_3D('',#490499,#379010,#379011); #350905=AXIS2_PLACEMENT_3D('',#490505,#379015,#379016); #350906=AXIS2_PLACEMENT_3D('',#490509,#379019,#379020); #350907=AXIS2_PLACEMENT_3D('',#490515,#379024,#379025); #350908=AXIS2_PLACEMENT_3D('',#490519,#379027,#379028); #350909=AXIS2_PLACEMENT_3D('',#490526,#379032,#379033); #350910=AXIS2_PLACEMENT_3D('',#490527,#379034,#379035); #350911=AXIS2_PLACEMENT_3D('',#490529,#379037,#379038); #350912=AXIS2_PLACEMENT_3D('',#490531,#379040,#379041); #350913=AXIS2_PLACEMENT_3D('',#490533,#379043,#379044); #350914=AXIS2_PLACEMENT_3D('',#490536,#379046,#379047); #350915=AXIS2_PLACEMENT_3D('',#490537,#379048,#379049); #350916=AXIS2_PLACEMENT_3D('',#490538,#379050,#379051); #350917=AXIS2_PLACEMENT_3D('',#490542,#379054,#379055); #350918=AXIS2_PLACEMENT_3D('',#490544,#379056,#379057); #350919=AXIS2_PLACEMENT_3D('',#490547,#379059,#379060); #350920=AXIS2_PLACEMENT_3D('',#490548,#379061,#379062); #350921=AXIS2_PLACEMENT_3D('',#490553,#379065,#379066); #350922=AXIS2_PLACEMENT_3D('',#490554,#379067,#379068); #350923=AXIS2_PLACEMENT_3D('',#490560,#379072,#379073); #350924=AXIS2_PLACEMENT_3D('',#490566,#379077,#379078); #350925=AXIS2_PLACEMENT_3D('',#490573,#379083,#379084); #350926=AXIS2_PLACEMENT_3D('',#490579,#379088,#379089); #350927=AXIS2_PLACEMENT_3D('',#490581,#379090,#379091); #350928=AXIS2_PLACEMENT_3D('',#490588,#379095,#379096); #350929=AXIS2_PLACEMENT_3D('',#490589,#379097,#379098); #350930=AXIS2_PLACEMENT_3D('',#490590,#379099,#379100); #350931=AXIS2_PLACEMENT_3D('',#490592,#379102,#379103); #350932=AXIS2_PLACEMENT_3D('',#490594,#379105,#379106); #350933=AXIS2_PLACEMENT_3D('',#490596,#379108,#379109); #350934=AXIS2_PLACEMENT_3D('',#490598,#379111,#379112); #350935=AXIS2_PLACEMENT_3D('',#490602,#379115,#379116); #350936=AXIS2_PLACEMENT_3D('',#490605,#379118,#379119); #350937=AXIS2_PLACEMENT_3D('',#490606,#379120,#379121); #350938=AXIS2_PLACEMENT_3D('',#490607,#379122,#379123); #350939=AXIS2_PLACEMENT_3D('',#490611,#379126,#379127); #350940=AXIS2_PLACEMENT_3D('',#490613,#379128,#379129); #350941=AXIS2_PLACEMENT_3D('',#490615,#379131,#379132); #350942=AXIS2_PLACEMENT_3D('',#490616,#379133,#379134); #350943=AXIS2_PLACEMENT_3D('',#490621,#379136,#379137); #350944=AXIS2_PLACEMENT_3D('',#490624,#379139,#379140); #350945=AXIS2_PLACEMENT_3D('',#490625,#379141,#379142); #350946=AXIS2_PLACEMENT_3D('',#490629,#379144,#379145); #350947=AXIS2_PLACEMENT_3D('',#490635,#379149,#379150); #350948=AXIS2_PLACEMENT_3D('',#490637,#379151,#379152); #350949=AXIS2_PLACEMENT_3D('',#490640,#379155,#379156); #350950=AXIS2_PLACEMENT_3D('',#490644,#379159,#379160); #350951=AXIS2_PLACEMENT_3D('',#490646,#379162,#379163); #350952=AXIS2_PLACEMENT_3D('',#490647,#379164,#379165); #350953=AXIS2_PLACEMENT_3D('',#490648,#379166,#379167); #350954=AXIS2_PLACEMENT_3D('',#490657,#379173,#379174); #350955=AXIS2_PLACEMENT_3D('',#490659,#379175,#379176); #350956=AXIS2_PLACEMENT_3D('',#490662,#379178,#379179); #350957=AXIS2_PLACEMENT_3D('',#490663,#379180,#379181); #350958=AXIS2_PLACEMENT_3D('',#490667,#379183,#379184); #350959=AXIS2_PLACEMENT_3D('',#490668,#379185,#379186); #350960=AXIS2_PLACEMENT_3D('',#490669,#379187,#379188); #350961=AXIS2_PLACEMENT_3D('',#490673,#379190,#379191); #350962=AXIS2_PLACEMENT_3D('',#490677,#379194,#379195); #350963=AXIS2_PLACEMENT_3D('',#490679,#379196,#379197); #350964=AXIS2_PLACEMENT_3D('',#490682,#379200,#379201); #350965=AXIS2_PLACEMENT_3D('',#490686,#379204,#379205); #350966=AXIS2_PLACEMENT_3D('',#490688,#379207,#379208); #350967=AXIS2_PLACEMENT_3D('',#490689,#379209,#379210); #350968=AXIS2_PLACEMENT_3D('',#490690,#379211,#379212); #350969=AXIS2_PLACEMENT_3D('',#490694,#379215,#379216); #350970=AXIS2_PLACEMENT_3D('',#490698,#379219,#379220); #350971=AXIS2_PLACEMENT_3D('',#490700,#379222,#379223); #350972=AXIS2_PLACEMENT_3D('',#490701,#379224,#379225); #350973=AXIS2_PLACEMENT_3D('',#490702,#379226,#379227); #350974=AXIS2_PLACEMENT_3D('',#490704,#379229,#379230); #350975=AXIS2_PLACEMENT_3D('',#490705,#379231,#379232); #350976=AXIS2_PLACEMENT_3D('',#490710,#379236,#379237); #350977=AXIS2_PLACEMENT_3D('',#490719,#379243,#379244); #350978=AXIS2_PLACEMENT_3D('',#490723,#379247,#379248); #350979=AXIS2_PLACEMENT_3D('',#490726,#379251,#379252); #350980=AXIS2_PLACEMENT_3D('',#490729,#379255,#379256); #350981=AXIS2_PLACEMENT_3D('',#490730,#379257,#379258); #350982=AXIS2_PLACEMENT_3D('',#490731,#379259,#379260); #350983=AXIS2_PLACEMENT_3D('',#490733,#379261,#379262); #350984=AXIS2_PLACEMENT_3D('',#490734,#379263,#379264); #350985=AXIS2_PLACEMENT_3D('',#490735,#379265,#379266); #350986=AXIS2_PLACEMENT_3D('',#490738,#379267,#379268); #350987=AXIS2_PLACEMENT_3D('',#490744,#379271,#379272); #350988=AXIS2_PLACEMENT_3D('',#490746,#379274,#379275); #350989=AXIS2_PLACEMENT_3D('',#490755,#379280,#379281); #350990=AXIS2_PLACEMENT_3D('',#490760,#379285,#379286); #350991=AXIS2_PLACEMENT_3D('',#490763,#379289,#379290); #350992=AXIS2_PLACEMENT_3D('',#490769,#379294,#379295); #350993=AXIS2_PLACEMENT_3D('',#490776,#379300,#379301); #350994=AXIS2_PLACEMENT_3D('',#490779,#379304,#379305); #350995=AXIS2_PLACEMENT_3D('',#490785,#379309,#379310); #350996=AXIS2_PLACEMENT_3D('',#490788,#379313,#379314); #350997=AXIS2_PLACEMENT_3D('',#490789,#379315,#379316); #350998=AXIS2_PLACEMENT_3D('',#490790,#379317,#379318); #350999=AXIS2_PLACEMENT_3D('',#490792,#379319,#379320); #351000=AXIS2_PLACEMENT_3D('',#490793,#379321,#379322); #351001=AXIS2_PLACEMENT_3D('',#490794,#379323,#379324); #351002=AXIS2_PLACEMENT_3D('',#490797,#379326,#379327); #351003=AXIS2_PLACEMENT_3D('',#490798,#379328,#379329); #351004=AXIS2_PLACEMENT_3D('',#490799,#379330,#379331); #351005=AXIS2_PLACEMENT_3D('',#490800,#379332,#379333); #351006=AXIS2_PLACEMENT_3D('',#490801,#379334,#379335); #351007=AXIS2_PLACEMENT_3D('',#490802,#379336,#379337); #351008=AXIS2_PLACEMENT_3D('',#490804,#379338,#379339); #351009=AXIS2_PLACEMENT_3D('',#490805,#379340,#379341); #351010=AXIS2_PLACEMENT_3D('',#490806,#379342,#379343); #351011=AXIS2_PLACEMENT_3D('',#490808,#379345,#379346); #351012=AXIS2_PLACEMENT_3D('',#490811,#379348,#379349); #351013=AXIS2_PLACEMENT_3D('',#490812,#379350,#379351); #351014=AXIS2_PLACEMENT_3D('',#490813,#379352,#379353); #351015=AXIS2_PLACEMENT_3D('',#490814,#379354,#379355); #351016=AXIS2_PLACEMENT_3D('',#490823,#379360,#379361); #351017=AXIS2_PLACEMENT_3D('',#490829,#379365,#379366); #351018=AXIS2_PLACEMENT_3D('',#490835,#379370,#379371); #351019=AXIS2_PLACEMENT_3D('',#490845,#379377,#379378); #351020=AXIS2_PLACEMENT_3D('',#490858,#379384,#379385); #351021=AXIS2_PLACEMENT_3D('',#490862,#379387,#379388); #351022=AXIS2_PLACEMENT_3D('',#490865,#379390,#379391); #351023=AXIS2_PLACEMENT_3D('',#490868,#379393,#379394); #351024=AXIS2_PLACEMENT_3D('',#490872,#379396,#379397); #351025=AXIS2_PLACEMENT_3D('',#490875,#379399,#379400); #351026=AXIS2_PLACEMENT_3D('',#490876,#379401,#379402); #351027=AXIS2_PLACEMENT_3D('',#490877,#379403,#379404); #351028=AXIS2_PLACEMENT_3D('',#490883,#379408,#379409); #351029=AXIS2_PLACEMENT_3D('',#490887,#379412,#379413); #351030=AXIS2_PLACEMENT_3D('',#490893,#379417,#379418); #351031=AXIS2_PLACEMENT_3D('',#490897,#379421,#379422); #351032=AXIS2_PLACEMENT_3D('',#490900,#379423,#379424); #351033=AXIS2_PLACEMENT_3D('',#490903,#379426,#379427); #351034=AXIS2_PLACEMENT_3D('',#490905,#379428,#379429); #351035=AXIS2_PLACEMENT_3D('',#490907,#379431,#379432); #351036=AXIS2_PLACEMENT_3D('',#490910,#379434,#379435); #351037=AXIS2_PLACEMENT_3D('',#490914,#379437,#379438); #351038=AXIS2_PLACEMENT_3D('',#490917,#379440,#379441); #351039=AXIS2_PLACEMENT_3D('',#490918,#379442,#379443); #351040=AXIS2_PLACEMENT_3D('',#490921,#379446,#379447); #351041=AXIS2_PLACEMENT_3D('',#490923,#379449,#379450); #351042=AXIS2_PLACEMENT_3D('',#490924,#379451,#379452); #351043=AXIS2_PLACEMENT_3D('',#490927,#379455,#379456); #351044=AXIS2_PLACEMENT_3D('',#490929,#379458,#379459); #351045=AXIS2_PLACEMENT_3D('',#490931,#379461,#379462); #351046=AXIS2_PLACEMENT_3D('',#490932,#379463,#379464); #351047=AXIS2_PLACEMENT_3D('',#490934,#379466,#379467); #351048=AXIS2_PLACEMENT_3D('',#490935,#379468,#379469); #351049=AXIS2_PLACEMENT_3D('',#490936,#379470,#379471); #351050=AXIS2_PLACEMENT_3D('',#490937,#379472,#379473); #351051=AXIS2_PLACEMENT_3D('',#490946,#379478,#379479); #351052=AXIS2_PLACEMENT_3D('',#490952,#379483,#379484); #351053=AXIS2_PLACEMENT_3D('',#490956,#379486,#379487); #351054=AXIS2_PLACEMENT_3D('',#490960,#379489,#379490); #351055=AXIS2_PLACEMENT_3D('',#490964,#379492,#379493); #351056=AXIS2_PLACEMENT_3D('',#490976,#379500,#379501); #351057=AXIS2_PLACEMENT_3D('',#490982,#379505,#379506); #351058=AXIS2_PLACEMENT_3D('',#490986,#379509,#379510); #351059=AXIS2_PLACEMENT_3D('',#490992,#379514,#379515); #351060=AXIS2_PLACEMENT_3D('',#490994,#379517,#379518); #351061=AXIS2_PLACEMENT_3D('',#490996,#379520,#379521); #351062=AXIS2_PLACEMENT_3D('',#491000,#379524,#379525); #351063=AXIS2_PLACEMENT_3D('',#491002,#379526,#379527); #351064=AXIS2_PLACEMENT_3D('',#491004,#379529,#379530); #351065=AXIS2_PLACEMENT_3D('',#491008,#379533,#379534); #351066=AXIS2_PLACEMENT_3D('',#491010,#379535,#379536); #351067=AXIS2_PLACEMENT_3D('',#491012,#379538,#379539); #351068=AXIS2_PLACEMENT_3D('',#491016,#379542,#379543); #351069=AXIS2_PLACEMENT_3D('',#491018,#379544,#379545); #351070=AXIS2_PLACEMENT_3D('',#491020,#379547,#379548); #351071=AXIS2_PLACEMENT_3D('',#491022,#379550,#379551); #351072=AXIS2_PLACEMENT_3D('',#491023,#379552,#379553); #351073=AXIS2_PLACEMENT_3D('',#491036,#379558,#379559); #351074=AXIS2_PLACEMENT_3D('',#491038,#379560,#379561); #351075=AXIS2_PLACEMENT_3D('',#491041,#379563,#379564); #351076=AXIS2_PLACEMENT_3D('',#491042,#379565,#379566); #351077=AXIS2_PLACEMENT_3D('',#491044,#379567,#379568); #351078=AXIS2_PLACEMENT_3D('',#491046,#379570,#379571); #351079=AXIS2_PLACEMENT_3D('',#491058,#379572,#379573); #351080=AXIS2_PLACEMENT_3D('',#491061,#379575,#379576); #351081=AXIS2_PLACEMENT_3D('',#491062,#379577,#379578); #351082=AXIS2_PLACEMENT_3D('',#491068,#379582,#379583); #351083=AXIS2_PLACEMENT_3D('',#491072,#379585,#379586); #351084=AXIS2_PLACEMENT_3D('',#491073,#379587,#379588); #351085=AXIS2_PLACEMENT_3D('',#491074,#379589,#379590); #351086=AXIS2_PLACEMENT_3D('',#491080,#379594,#379595); #351087=AXIS2_PLACEMENT_3D('',#491084,#379597,#379598); #351088=AXIS2_PLACEMENT_3D('',#491085,#379599,#379600); #351089=AXIS2_PLACEMENT_3D('',#491086,#379601,#379602); #351090=AXIS2_PLACEMENT_3D('',#491092,#379606,#379607); #351091=AXIS2_PLACEMENT_3D('',#491103,#379608,#379609); #351092=AXIS2_PLACEMENT_3D('',#491104,#379610,#379611); #351093=AXIS2_PLACEMENT_3D('',#491105,#379612,#379613); #351094=AXIS2_PLACEMENT_3D('',#491107,#379614,#379615); #351095=AXIS2_PLACEMENT_3D('',#491109,#379616,#379617); #351096=AXIS2_PLACEMENT_3D('',#491113,#379619,#379620); #351097=AXIS2_PLACEMENT_3D('',#491117,#379622,#379623); #351098=AXIS2_PLACEMENT_3D('',#491121,#379625,#379626); #351099=AXIS2_PLACEMENT_3D('',#491122,#379627,#379628); #351100=AXIS2_PLACEMENT_3D('',#491123,#379629,#379630); #351101=AXIS2_PLACEMENT_3D('',#491135,#379632,#379633); #351102=AXIS2_PLACEMENT_3D('',#491136,#379634,#379635); #351103=AXIS2_PLACEMENT_3D('',#491140,#379638,#379639); #351104=AXIS2_PLACEMENT_3D('',#491143,#379641,#379642); #351105=AXIS2_PLACEMENT_3D('',#491144,#379643,#379644); #351106=AXIS2_PLACEMENT_3D('',#491148,#379647,#379648); #351107=AXIS2_PLACEMENT_3D('',#491151,#379650,#379651); #351108=AXIS2_PLACEMENT_3D('',#491152,#379652,#379653); #351109=AXIS2_PLACEMENT_3D('',#491156,#379656,#379657); #351110=AXIS2_PLACEMENT_3D('',#491166,#379658,#379659); #351111=AXIS2_PLACEMENT_3D('',#491167,#379660,#379661); #351112=AXIS2_PLACEMENT_3D('',#491179,#379662,#379663); #351113=AXIS2_PLACEMENT_3D('',#491182,#379665,#379666); #351114=AXIS2_PLACEMENT_3D('',#491183,#379667,#379668); #351115=AXIS2_PLACEMENT_3D('',#491189,#379672,#379673); #351116=AXIS2_PLACEMENT_3D('',#491193,#379675,#379676); #351117=AXIS2_PLACEMENT_3D('',#491194,#379677,#379678); #351118=AXIS2_PLACEMENT_3D('',#491195,#379679,#379680); #351119=AXIS2_PLACEMENT_3D('',#491201,#379684,#379685); #351120=AXIS2_PLACEMENT_3D('',#491205,#379687,#379688); #351121=AXIS2_PLACEMENT_3D('',#491206,#379689,#379690); #351122=AXIS2_PLACEMENT_3D('',#491207,#379691,#379692); #351123=AXIS2_PLACEMENT_3D('',#491213,#379696,#379697); #351124=AXIS2_PLACEMENT_3D('',#491224,#379698,#379699); #351125=AXIS2_PLACEMENT_3D('',#491225,#379700,#379701); #351126=AXIS2_PLACEMENT_3D('',#491226,#379702,#379703); #351127=AXIS2_PLACEMENT_3D('',#491228,#379704,#379705); #351128=AXIS2_PLACEMENT_3D('',#491230,#379706,#379707); #351129=AXIS2_PLACEMENT_3D('',#491234,#379709,#379710); #351130=AXIS2_PLACEMENT_3D('',#491238,#379712,#379713); #351131=AXIS2_PLACEMENT_3D('',#491242,#379715,#379716); #351132=AXIS2_PLACEMENT_3D('',#491243,#379717,#379718); #351133=AXIS2_PLACEMENT_3D('',#491244,#379719,#379720); #351134=AXIS2_PLACEMENT_3D('',#491256,#379722,#379723); #351135=AXIS2_PLACEMENT_3D('',#491257,#379724,#379725); #351136=AXIS2_PLACEMENT_3D('',#491261,#379728,#379729); #351137=AXIS2_PLACEMENT_3D('',#491264,#379731,#379732); #351138=AXIS2_PLACEMENT_3D('',#491265,#379733,#379734); #351139=AXIS2_PLACEMENT_3D('',#491269,#379737,#379738); #351140=AXIS2_PLACEMENT_3D('',#491272,#379740,#379741); #351141=AXIS2_PLACEMENT_3D('',#491273,#379742,#379743); #351142=AXIS2_PLACEMENT_3D('',#491277,#379746,#379747); #351143=AXIS2_PLACEMENT_3D('',#491287,#379748,#379749); #351144=AXIS2_PLACEMENT_3D('',#491288,#379750,#379751); #351145=AXIS2_PLACEMENT_3D('',#491300,#379752,#379753); #351146=AXIS2_PLACEMENT_3D('',#491303,#379755,#379756); #351147=AXIS2_PLACEMENT_3D('',#491304,#379757,#379758); #351148=AXIS2_PLACEMENT_3D('',#491310,#379762,#379763); #351149=AXIS2_PLACEMENT_3D('',#491314,#379765,#379766); #351150=AXIS2_PLACEMENT_3D('',#491315,#379767,#379768); #351151=AXIS2_PLACEMENT_3D('',#491316,#379769,#379770); #351152=AXIS2_PLACEMENT_3D('',#491322,#379774,#379775); #351153=AXIS2_PLACEMENT_3D('',#491326,#379777,#379778); #351154=AXIS2_PLACEMENT_3D('',#491327,#379779,#379780); #351155=AXIS2_PLACEMENT_3D('',#491328,#379781,#379782); #351156=AXIS2_PLACEMENT_3D('',#491334,#379786,#379787); #351157=AXIS2_PLACEMENT_3D('',#491345,#379788,#379789); #351158=AXIS2_PLACEMENT_3D('',#491346,#379790,#379791); #351159=AXIS2_PLACEMENT_3D('',#491347,#379792,#379793); #351160=AXIS2_PLACEMENT_3D('',#491349,#379794,#379795); #351161=AXIS2_PLACEMENT_3D('',#491351,#379796,#379797); #351162=AXIS2_PLACEMENT_3D('',#491355,#379799,#379800); #351163=AXIS2_PLACEMENT_3D('',#491359,#379802,#379803); #351164=AXIS2_PLACEMENT_3D('',#491363,#379805,#379806); #351165=AXIS2_PLACEMENT_3D('',#491364,#379807,#379808); #351166=AXIS2_PLACEMENT_3D('',#491365,#379809,#379810); #351167=AXIS2_PLACEMENT_3D('',#491377,#379812,#379813); #351168=AXIS2_PLACEMENT_3D('',#491378,#379814,#379815); #351169=AXIS2_PLACEMENT_3D('',#491382,#379818,#379819); #351170=AXIS2_PLACEMENT_3D('',#491385,#379821,#379822); #351171=AXIS2_PLACEMENT_3D('',#491386,#379823,#379824); #351172=AXIS2_PLACEMENT_3D('',#491390,#379827,#379828); #351173=AXIS2_PLACEMENT_3D('',#491393,#379830,#379831); #351174=AXIS2_PLACEMENT_3D('',#491394,#379832,#379833); #351175=AXIS2_PLACEMENT_3D('',#491398,#379836,#379837); #351176=AXIS2_PLACEMENT_3D('',#491408,#379838,#379839); #351177=AXIS2_PLACEMENT_3D('',#491409,#379840,#379841); #351178=AXIS2_PLACEMENT_3D('',#491421,#379842,#379843); #351179=AXIS2_PLACEMENT_3D('',#491424,#379845,#379846); #351180=AXIS2_PLACEMENT_3D('',#491425,#379847,#379848); #351181=AXIS2_PLACEMENT_3D('',#491431,#379852,#379853); #351182=AXIS2_PLACEMENT_3D('',#491435,#379855,#379856); #351183=AXIS2_PLACEMENT_3D('',#491436,#379857,#379858); #351184=AXIS2_PLACEMENT_3D('',#491437,#379859,#379860); #351185=AXIS2_PLACEMENT_3D('',#491443,#379864,#379865); #351186=AXIS2_PLACEMENT_3D('',#491447,#379867,#379868); #351187=AXIS2_PLACEMENT_3D('',#491448,#379869,#379870); #351188=AXIS2_PLACEMENT_3D('',#491449,#379871,#379872); #351189=AXIS2_PLACEMENT_3D('',#491455,#379876,#379877); #351190=AXIS2_PLACEMENT_3D('',#491466,#379878,#379879); #351191=AXIS2_PLACEMENT_3D('',#491467,#379880,#379881); #351192=AXIS2_PLACEMENT_3D('',#491468,#379882,#379883); #351193=AXIS2_PLACEMENT_3D('',#491470,#379884,#379885); #351194=AXIS2_PLACEMENT_3D('',#491472,#379886,#379887); #351195=AXIS2_PLACEMENT_3D('',#491476,#379889,#379890); #351196=AXIS2_PLACEMENT_3D('',#491480,#379892,#379893); #351197=AXIS2_PLACEMENT_3D('',#491484,#379895,#379896); #351198=AXIS2_PLACEMENT_3D('',#491485,#379897,#379898); #351199=AXIS2_PLACEMENT_3D('',#491486,#379899,#379900); #351200=AXIS2_PLACEMENT_3D('',#491498,#379902,#379903); #351201=AXIS2_PLACEMENT_3D('',#491499,#379904,#379905); #351202=AXIS2_PLACEMENT_3D('',#491503,#379908,#379909); #351203=AXIS2_PLACEMENT_3D('',#491506,#379911,#379912); #351204=AXIS2_PLACEMENT_3D('',#491507,#379913,#379914); #351205=AXIS2_PLACEMENT_3D('',#491511,#379917,#379918); #351206=AXIS2_PLACEMENT_3D('',#491514,#379920,#379921); #351207=AXIS2_PLACEMENT_3D('',#491515,#379922,#379923); #351208=AXIS2_PLACEMENT_3D('',#491519,#379926,#379927); #351209=AXIS2_PLACEMENT_3D('',#491529,#379928,#379929); #351210=AXIS2_PLACEMENT_3D('',#491530,#379930,#379931); #351211=AXIS2_PLACEMENT_3D('',#491533,#379934,#379935); #351212=AXIS2_PLACEMENT_3D('',#491535,#379937,#379938); #351213=AXIS2_PLACEMENT_3D('',#491538,#379941,#379942); #351214=AXIS2_PLACEMENT_3D('',#491540,#379944,#379945); #351215=AXIS2_PLACEMENT_3D('',#491543,#379948,#379949); #351216=AXIS2_PLACEMENT_3D('',#491545,#379951,#379952); #351217=AXIS2_PLACEMENT_3D('',#491548,#379955,#379956); #351218=AXIS2_PLACEMENT_3D('',#491550,#379958,#379959); #351219=AXIS2_PLACEMENT_3D('',#491553,#379962,#379963); #351220=AXIS2_PLACEMENT_3D('',#491555,#379965,#379966); #351221=AXIS2_PLACEMENT_3D('',#491557,#379968,#379969); #351222=AXIS2_PLACEMENT_3D('',#491559,#379971,#379972); #351223=AXIS2_PLACEMENT_3D('',#491561,#379974,#379975); #351224=AXIS2_PLACEMENT_3D('',#491563,#379977,#379978); #351225=AXIS2_PLACEMENT_3D('',#491566,#379981,#379982); #351226=AXIS2_PLACEMENT_3D('',#491567,#379983,#379984); #351227=AXIS2_PLACEMENT_3D('',#491569,#379986,#379987); #351228=AXIS2_PLACEMENT_3D('',#491572,#379990,#379991); #351229=AXIS2_PLACEMENT_3D('',#491574,#379993,#379994); #351230=AXIS2_PLACEMENT_3D('',#491577,#379997,#379998); #351231=AXIS2_PLACEMENT_3D('',#491578,#379999,#380000); #351232=AXIS2_PLACEMENT_3D('',#491580,#380002,#380003); #351233=AXIS2_PLACEMENT_3D('',#491582,#380005,#380006); #351234=AXIS2_PLACEMENT_3D('',#491585,#380009,#380010); #351235=AXIS2_PLACEMENT_3D('',#491586,#380011,#380012); #351236=AXIS2_PLACEMENT_3D('',#491588,#380014,#380015); #351237=AXIS2_PLACEMENT_3D('',#491589,#380016,#380017); #351238=AXIS2_PLACEMENT_3D('',#491590,#380018,#380019); #351239=AXIS2_PLACEMENT_3D('',#491607,#380020,#380021); #351240=AXIS2_PLACEMENT_3D('',#491611,#380023,#380024); #351241=AXIS2_PLACEMENT_3D('',#491614,#380026,#380027); #351242=AXIS2_PLACEMENT_3D('',#491615,#380028,#380029); #351243=AXIS2_PLACEMENT_3D('',#491624,#380030,#380031); #351244=AXIS2_PLACEMENT_3D('',#491631,#380032,#380033); #351245=AXIS2_PLACEMENT_3D('',#491664,#380035,#380036); #351246=AXIS2_PLACEMENT_3D('',#491703,#380039,#380040); #351247=AXIS2_PLACEMENT_3D('',#491743,#380043,#380044); #351248=AXIS2_PLACEMENT_3D('',#491783,#380047,#380048); #351249=AXIS2_PLACEMENT_3D('',#491824,#380051,#380052); #351250=AXIS2_PLACEMENT_3D('',#491865,#380055,#380056); #351251=AXIS2_PLACEMENT_3D('',#491908,#380059,#380060); #351252=AXIS2_PLACEMENT_3D('',#491949,#380063,#380064); #351253=AXIS2_PLACEMENT_3D('',#491990,#380067,#380068); #351254=AXIS2_PLACEMENT_3D('',#492017,#380071,#380072); #351255=AXIS2_PLACEMENT_3D('',#492021,#380075,#380076); #351256=AXIS2_PLACEMENT_3D('',#492043,#380078,#380079); #351257=AXIS2_PLACEMENT_3D('',#492086,#380082,#380083); #351258=AXIS2_PLACEMENT_3D('',#492128,#380086,#380087); #351259=AXIS2_PLACEMENT_3D('',#492168,#380090,#380091); #351260=AXIS2_PLACEMENT_3D('',#492207,#380094,#380095); #351261=AXIS2_PLACEMENT_3D('',#492246,#380098,#380099); #351262=AXIS2_PLACEMENT_3D('',#492286,#380102,#380103); #351263=AXIS2_PLACEMENT_3D('',#492307,#380105,#380106); #351264=AXIS2_PLACEMENT_3D('',#492308,#380107,#380108); #351265=AXIS2_PLACEMENT_3D('',#492309,#380109,#380110); #351266=AXIS2_PLACEMENT_3D('',#492311,#380111,#380112); #351267=AXIS2_PLACEMENT_3D('',#492313,#380114,#380115); #351268=AXIS2_PLACEMENT_3D('',#492324,#380119,#380120); #351269=AXIS2_PLACEMENT_3D('',#492326,#380121,#380122); #351270=AXIS2_PLACEMENT_3D('',#492327,#380123,#380124); #351271=AXIS2_PLACEMENT_3D('',#492328,#380125,#380126); #351272=AXIS2_PLACEMENT_3D('',#492329,#380127,#380128); #351273=AXIS2_PLACEMENT_3D('',#492335,#380131,#380132); #351274=AXIS2_PLACEMENT_3D('',#492338,#380134,#380135); #351275=AXIS2_PLACEMENT_3D('',#492339,#380136,#380137); #351276=AXIS2_PLACEMENT_3D('',#492350,#380139,#380140); #351277=AXIS2_PLACEMENT_3D('',#492354,#380141,#380142); #351278=AXIS2_PLACEMENT_3D('',#492365,#380144,#380145); #351279=AXIS2_PLACEMENT_3D('',#492369,#380146,#380147); #351280=AXIS2_PLACEMENT_3D('',#492371,#380148,#380149); #351281=AXIS2_PLACEMENT_3D('',#492373,#380150,#380151); #351282=AXIS2_PLACEMENT_3D('',#492375,#380152,#380153); #351283=AXIS2_PLACEMENT_3D('',#492377,#380154,#380155); #351284=AXIS2_PLACEMENT_3D('',#492379,#380156,#380157); #351285=AXIS2_PLACEMENT_3D('',#492381,#380158,#380159); #351286=AXIS2_PLACEMENT_3D('',#492382,#380160,#380161); #351287=AXIS2_PLACEMENT_3D('',#492383,#380162,#380163); #351288=AXIS2_PLACEMENT_3D('',#492385,#380164,#380165); #351289=AXIS2_PLACEMENT_3D('',#492387,#380167,#380168); #351290=AXIS2_PLACEMENT_3D('',#492393,#380172,#380173); #351291=AXIS2_PLACEMENT_3D('',#492397,#380176,#380177); #351292=AXIS2_PLACEMENT_3D('',#492398,#380178,#380179); #351293=AXIS2_PLACEMENT_3D('',#492399,#380180,#380181); #351294=AXIS2_PLACEMENT_3D('',#492403,#380184,#380185); #351295=AXIS2_PLACEMENT_3D('',#492410,#380190,#380191); #351296=AXIS2_PLACEMENT_3D('',#492412,#380192,#380193); #351297=AXIS2_PLACEMENT_3D('',#492415,#380195,#380196); #351298=AXIS2_PLACEMENT_3D('',#492416,#380197,#380198); #351299=AXIS2_PLACEMENT_3D('',#492418,#380199,#380200); #351300=AXIS2_PLACEMENT_3D('',#492419,#380201,#380202); #351301=AXIS2_PLACEMENT_3D('',#492421,#380203,#380204); #351302=AXIS2_PLACEMENT_3D('',#492424,#380206,#380207); #351303=AXIS2_PLACEMENT_3D('',#492425,#380208,#380209); #351304=AXIS2_PLACEMENT_3D('',#492427,#380210,#380211); #351305=AXIS2_PLACEMENT_3D('',#492428,#380212,#380213); #351306=AXIS2_PLACEMENT_3D('',#492430,#380214,#380215); #351307=AXIS2_PLACEMENT_3D('',#492433,#380217,#380218); #351308=AXIS2_PLACEMENT_3D('',#492434,#380219,#380220); #351309=AXIS2_PLACEMENT_3D('',#492436,#380221,#380222); #351310=AXIS2_PLACEMENT_3D('',#492437,#380223,#380224); #351311=AXIS2_PLACEMENT_3D('',#492439,#380225,#380226); #351312=AXIS2_PLACEMENT_3D('',#492442,#380228,#380229); #351313=AXIS2_PLACEMENT_3D('',#492443,#380230,#380231); #351314=AXIS2_PLACEMENT_3D('',#492445,#380232,#380233); #351315=AXIS2_PLACEMENT_3D('',#492446,#380234,#380235); #351316=AXIS2_PLACEMENT_3D('',#492449,#380237,#380238); #351317=AXIS2_PLACEMENT_3D('',#492450,#380239,#380240); #351318=AXIS2_PLACEMENT_3D('',#492451,#380241,#380242); #351319=AXIS2_PLACEMENT_3D('',#492454,#380244,#380245); #351320=AXIS2_PLACEMENT_3D('',#492455,#380246,#380247); #351321=AXIS2_PLACEMENT_3D('',#492456,#380248,#380249); #351322=AXIS2_PLACEMENT_3D('',#492459,#380251,#380252); #351323=AXIS2_PLACEMENT_3D('',#492460,#380253,#380254); #351324=AXIS2_PLACEMENT_3D('',#492461,#380255,#380256); #351325=AXIS2_PLACEMENT_3D('',#492464,#380258,#380259); #351326=AXIS2_PLACEMENT_3D('',#492465,#380260,#380261); #351327=AXIS2_PLACEMENT_3D('',#492466,#380262,#380263); #351328=AXIS2_PLACEMENT_3D('',#492468,#380264,#380265); #351329=AXIS2_PLACEMENT_3D('',#492469,#380266,#380267); #351330=AXIS2_PLACEMENT_3D('',#492471,#380269,#380270); #351331=AXIS2_PLACEMENT_3D('',#492544,#380275,#380276); #351332=AXIS2_PLACEMENT_3D('',#492618,#380279,#380280); #351333=AXIS2_PLACEMENT_3D('',#492639,#380283,#380284); #351334=AXIS2_PLACEMENT_3D('',#492643,#380287,#380288); #351335=AXIS2_PLACEMENT_3D('',#492736,#380293,#380294); #351336=AXIS2_PLACEMENT_3D('',#492821,#380298,#380299); #351337=AXIS2_PLACEMENT_3D('',#492823,#380301,#380302); #351338=AXIS2_PLACEMENT_3D('',#492852,#380306,#380307); #351339=AXIS2_PLACEMENT_3D('',#493361,#380337,#380338); #351340=AXIS2_PLACEMENT_3D('',#493362,#380339,#380340); #351341=AXIS2_PLACEMENT_3D('',#493440,#380345,#380346); #351342=AXIS2_PLACEMENT_3D('',#493519,#380349,#380350); #351343=AXIS2_PLACEMENT_3D('',#493537,#380353,#380354); #351344=AXIS2_PLACEMENT_3D('',#493541,#380357,#380358); #351345=AXIS2_PLACEMENT_3D('',#493626,#380362,#380363); #351346=AXIS2_PLACEMENT_3D('',#493681,#380366,#380367); #351347=AXIS2_PLACEMENT_3D('',#493683,#380369,#380370); #351348=AXIS2_PLACEMENT_3D('',#493684,#380371,#380372); #351349=AXIS2_PLACEMENT_3D('',#493762,#380377,#380378); #351350=AXIS2_PLACEMENT_3D('',#493846,#380381,#380382); #351351=AXIS2_PLACEMENT_3D('',#493864,#380385,#380386); #351352=AXIS2_PLACEMENT_3D('',#493868,#380389,#380390); #351353=AXIS2_PLACEMENT_3D('',#493933,#380394,#380395); #351354=AXIS2_PLACEMENT_3D('',#493988,#380398,#380399); #351355=AXIS2_PLACEMENT_3D('',#493990,#380401,#380402); #351356=AXIS2_PLACEMENT_3D('',#493991,#380403,#380404); #351357=AXIS2_PLACEMENT_3D('',#494064,#380409,#380410); #351358=AXIS2_PLACEMENT_3D('',#494138,#380413,#380414); #351359=AXIS2_PLACEMENT_3D('',#494156,#380417,#380418); #351360=AXIS2_PLACEMENT_3D('',#494160,#380421,#380422); #351361=AXIS2_PLACEMENT_3D('',#494235,#380426,#380427); #351362=AXIS2_PLACEMENT_3D('',#494290,#380430,#380431); #351363=AXIS2_PLACEMENT_3D('',#494292,#380433,#380434); #351364=AXIS2_PLACEMENT_3D('',#494293,#380435,#380436); #351365=AXIS2_PLACEMENT_3D('',#494371,#380441,#380442); #351366=AXIS2_PLACEMENT_3D('',#494445,#380445,#380446); #351367=AXIS2_PLACEMENT_3D('',#494466,#380449,#380450); #351368=AXIS2_PLACEMENT_3D('',#494470,#380453,#380454); #351369=AXIS2_PLACEMENT_3D('',#494550,#380458,#380459); #351370=AXIS2_PLACEMENT_3D('',#494617,#380462,#380463); #351371=AXIS2_PLACEMENT_3D('',#494619,#380465,#380466); #351372=AXIS2_PLACEMENT_3D('',#494620,#380467,#380468); #351373=AXIS2_PLACEMENT_3D('',#494698,#380473,#380474); #351374=AXIS2_PLACEMENT_3D('',#494772,#380477,#380478); #351375=AXIS2_PLACEMENT_3D('',#494790,#380481,#380482); #351376=AXIS2_PLACEMENT_3D('',#494794,#380485,#380486); #351377=AXIS2_PLACEMENT_3D('',#494874,#380490,#380491); #351378=AXIS2_PLACEMENT_3D('',#494929,#380494,#380495); #351379=AXIS2_PLACEMENT_3D('',#494931,#380497,#380498); #351380=AXIS2_PLACEMENT_3D('',#494932,#380499,#380500); #351381=AXIS2_PLACEMENT_3D('',#495005,#380505,#380506); #351382=AXIS2_PLACEMENT_3D('',#495089,#380509,#380510); #351383=AXIS2_PLACEMENT_3D('',#495108,#380513,#380514); #351384=AXIS2_PLACEMENT_3D('',#495112,#380517,#380518); #351385=AXIS2_PLACEMENT_3D('',#495192,#380522,#380523); #351386=AXIS2_PLACEMENT_3D('',#495251,#380526,#380527); #351387=AXIS2_PLACEMENT_3D('',#495253,#380529,#380530); #351388=AXIS2_PLACEMENT_3D('',#495254,#380531,#380532); #351389=AXIS2_PLACEMENT_3D('',#495337,#380537,#380538); #351390=AXIS2_PLACEMENT_3D('',#495411,#380541,#380542); #351391=AXIS2_PLACEMENT_3D('',#495431,#380545,#380546); #351392=AXIS2_PLACEMENT_3D('',#495435,#380549,#380550); #351393=AXIS2_PLACEMENT_3D('',#495510,#380554,#380555); #351394=AXIS2_PLACEMENT_3D('',#495573,#380558,#380559); #351395=AXIS2_PLACEMENT_3D('',#495575,#380561,#380562); #351396=AXIS2_PLACEMENT_3D('',#495576,#380563,#380564); #351397=AXIS2_PLACEMENT_3D('',#495659,#380569,#380570); #351398=AXIS2_PLACEMENT_3D('',#495743,#380573,#380574); #351399=AXIS2_PLACEMENT_3D('',#495762,#380577,#380578); #351400=AXIS2_PLACEMENT_3D('',#495766,#380581,#380582); #351401=AXIS2_PLACEMENT_3D('',#495841,#380586,#380587); #351402=AXIS2_PLACEMENT_3D('',#495900,#380590,#380591); #351403=AXIS2_PLACEMENT_3D('',#495902,#380593,#380594); #351404=AXIS2_PLACEMENT_3D('',#495903,#380595,#380596); #351405=AXIS2_PLACEMENT_3D('',#495976,#380601,#380602); #351406=AXIS2_PLACEMENT_3D('',#496060,#380605,#380606); #351407=AXIS2_PLACEMENT_3D('',#496078,#380609,#380610); #351408=AXIS2_PLACEMENT_3D('',#496082,#380613,#380614); #351409=AXIS2_PLACEMENT_3D('',#496162,#380618,#380619); #351410=AXIS2_PLACEMENT_3D('',#496217,#380622,#380623); #351411=AXIS2_PLACEMENT_3D('',#496219,#380625,#380626); #351412=AXIS2_PLACEMENT_3D('',#496220,#380627,#380628); #351413=AXIS2_PLACEMENT_3D('',#496298,#380633,#380634); #351414=AXIS2_PLACEMENT_3D('',#496377,#380637,#380638); #351415=AXIS2_PLACEMENT_3D('',#496398,#380641,#380642); #351416=AXIS2_PLACEMENT_3D('',#496402,#380645,#380646); #351417=AXIS2_PLACEMENT_3D('',#496472,#380650,#380651); #351418=AXIS2_PLACEMENT_3D('',#496539,#380654,#380655); #351419=AXIS2_PLACEMENT_3D('',#496541,#380657,#380658); #351420=AXIS2_PLACEMENT_3D('',#496542,#380659,#380660); #351421=AXIS2_PLACEMENT_3D('',#496615,#380665,#380666); #351422=AXIS2_PLACEMENT_3D('',#496694,#380669,#380670); #351423=AXIS2_PLACEMENT_3D('',#496714,#380673,#380674); #351424=AXIS2_PLACEMENT_3D('',#496718,#380677,#380678); #351425=AXIS2_PLACEMENT_3D('',#496798,#380682,#380683); #351426=AXIS2_PLACEMENT_3D('',#496861,#380686,#380687); #351427=AXIS2_PLACEMENT_3D('',#496863,#380689,#380690); #351428=AXIS2_PLACEMENT_3D('',#496864,#380691,#380692); #351429=AXIS2_PLACEMENT_3D('',#496952,#380697,#380698); #351430=AXIS2_PLACEMENT_3D('',#497031,#380701,#380702); #351431=AXIS2_PLACEMENT_3D('',#497051,#380705,#380706); #351432=AXIS2_PLACEMENT_3D('',#497055,#380709,#380710); #351433=AXIS2_PLACEMENT_3D('',#497135,#380714,#380715); #351434=AXIS2_PLACEMENT_3D('',#497198,#380718,#380719); #351435=AXIS2_PLACEMENT_3D('',#497200,#380721,#380722); #351436=AXIS2_PLACEMENT_3D('',#497201,#380723,#380724); #351437=AXIS2_PLACEMENT_3D('',#497279,#380729,#380730); #351438=AXIS2_PLACEMENT_3D('',#497363,#380733,#380734); #351439=AXIS2_PLACEMENT_3D('',#497384,#380737,#380738); #351440=AXIS2_PLACEMENT_3D('',#497388,#380741,#380742); #351441=AXIS2_PLACEMENT_3D('',#497463,#380746,#380747); #351442=AXIS2_PLACEMENT_3D('',#497530,#380750,#380751); #351443=AXIS2_PLACEMENT_3D('',#497532,#380753,#380754); #351444=AXIS2_PLACEMENT_3D('',#497533,#380755,#380756); #351445=AXIS2_PLACEMENT_3D('',#497611,#380761,#380762); #351446=AXIS2_PLACEMENT_3D('',#497685,#380765,#380766); #351447=AXIS2_PLACEMENT_3D('',#497706,#380769,#380770); #351448=AXIS2_PLACEMENT_3D('',#497710,#380773,#380774); #351449=AXIS2_PLACEMENT_3D('',#497795,#380778,#380779); #351450=AXIS2_PLACEMENT_3D('',#497862,#380782,#380783); #351451=AXIS2_PLACEMENT_3D('',#497864,#380785,#380786); #351452=AXIS2_PLACEMENT_3D('',#497865,#380787,#380788); #351453=AXIS2_PLACEMENT_3D('',#497938,#380793,#380794); #351454=AXIS2_PLACEMENT_3D('',#498012,#380797,#380798); #351455=AXIS2_PLACEMENT_3D('',#498031,#380801,#380802); #351456=AXIS2_PLACEMENT_3D('',#498035,#380805,#380806); #351457=AXIS2_PLACEMENT_3D('',#498110,#380810,#380811); #351458=AXIS2_PLACEMENT_3D('',#498169,#380814,#380815); #351459=AXIS2_PLACEMENT_3D('',#498171,#380817,#380818); #351460=AXIS2_PLACEMENT_3D('',#498172,#380819,#380820); #351461=AXIS2_PLACEMENT_3D('',#498174,#380822,#380823); #351462=AXIS2_PLACEMENT_3D('',#498175,#380824,#380825); #351463=AXIS2_PLACEMENT_3D('',#498178,#380826,#380827); #351464=AXIS2_PLACEMENT_3D('',#498181,#380830,#380831); #351465=AXIS2_PLACEMENT_3D('',#498184,#380832,#380833); #351466=AXIS2_PLACEMENT_3D('',#498187,#380836,#380837); #351467=AXIS2_PLACEMENT_3D('',#498190,#380839,#380840); #351468=AXIS2_PLACEMENT_3D('',#498191,#380841,#380842); #351469=AXIS2_PLACEMENT_3D('',#498193,#380844,#380845); #351470=AXIS2_PLACEMENT_3D('',#498195,#380847,#380848); #351471=AXIS2_PLACEMENT_3D('',#498197,#380849,#380850); #351472=AXIS2_PLACEMENT_3D('',#498199,#380852,#380853); #351473=AXIS2_PLACEMENT_3D('',#498203,#380855,#380856); #351474=AXIS2_PLACEMENT_3D('',#498205,#380858,#380859); #351475=AXIS2_PLACEMENT_3D('',#498207,#380861,#380862); #351476=AXIS2_PLACEMENT_3D('',#498211,#380864,#380865); #351477=AXIS2_PLACEMENT_3D('',#498213,#380867,#380868); #351478=AXIS2_PLACEMENT_3D('',#498215,#380870,#380871); #351479=AXIS2_PLACEMENT_3D('',#498218,#380873,#380874); #351480=AXIS2_PLACEMENT_3D('',#498219,#380875,#380876); #351481=AXIS2_PLACEMENT_3D('',#498221,#380878,#380879); #351482=AXIS2_PLACEMENT_3D('',#498222,#380880,#380881); #351483=AXIS2_PLACEMENT_3D('',#498224,#380882,#380883); #351484=AXIS2_PLACEMENT_3D('',#498227,#380885,#380886); #351485=AXIS2_PLACEMENT_3D('',#498228,#380887,#380888); #351486=AXIS2_PLACEMENT_3D('',#498230,#380889,#380890); #351487=AXIS2_PLACEMENT_3D('',#498232,#380892,#380893); #351488=AXIS2_PLACEMENT_3D('',#498234,#380894,#380895); #351489=AXIS2_PLACEMENT_3D('',#498236,#380896,#380897); #351490=AXIS2_PLACEMENT_3D('',#498238,#380898,#380899); #351491=AXIS2_PLACEMENT_3D('',#498240,#380900,#380901); #351492=AXIS2_PLACEMENT_3D('',#498242,#380902,#380903); #351493=AXIS2_PLACEMENT_3D('',#498243,#380904,#380905); #351494=AXIS2_PLACEMENT_3D('',#498245,#380906,#380907); #351495=AXIS2_PLACEMENT_3D('',#498246,#380908,#380909); #351496=AXIS2_PLACEMENT_3D('',#498248,#380910,#380911); #351497=AXIS2_PLACEMENT_3D('',#498251,#380913,#380914); #351498=AXIS2_PLACEMENT_3D('',#498252,#380915,#380916); #351499=AXIS2_PLACEMENT_3D('',#498254,#380917,#380918); #351500=AXIS2_PLACEMENT_3D('',#498257,#380920,#380921); #351501=AXIS2_PLACEMENT_3D('',#498258,#380922,#380923); #351502=AXIS2_PLACEMENT_3D('',#498260,#380924,#380925); #351503=AXIS2_PLACEMENT_3D('',#498263,#380927,#380928); #351504=AXIS2_PLACEMENT_3D('',#498264,#380929,#380930); #351505=AXIS2_PLACEMENT_3D('',#498266,#380931,#380932); #351506=AXIS2_PLACEMENT_3D('',#498269,#380934,#380935); #351507=AXIS2_PLACEMENT_3D('',#498270,#380936,#380937); #351508=AXIS2_PLACEMENT_3D('',#498273,#380939,#380940); #351509=AXIS2_PLACEMENT_3D('',#498274,#380941,#380942); #351510=AXIS2_PLACEMENT_3D('',#498275,#380943,#380944); #351511=AXIS2_PLACEMENT_3D('',#498278,#380946,#380947); #351512=AXIS2_PLACEMENT_3D('',#498279,#380948,#380949); #351513=AXIS2_PLACEMENT_3D('',#498280,#380950,#380951); #351514=AXIS2_PLACEMENT_3D('',#498283,#380953,#380954); #351515=AXIS2_PLACEMENT_3D('',#498284,#380955,#380956); #351516=AXIS2_PLACEMENT_3D('',#498285,#380957,#380958); #351517=AXIS2_PLACEMENT_3D('',#498288,#380960,#380961); #351518=AXIS2_PLACEMENT_3D('',#498289,#380962,#380963); #351519=AXIS2_PLACEMENT_3D('',#498290,#380964,#380965); #351520=AXIS2_PLACEMENT_3D('',#498293,#380967,#380968); #351521=AXIS2_PLACEMENT_3D('',#498294,#380969,#380970); #351522=AXIS2_PLACEMENT_3D('',#498297,#380972,#380973); #351523=AXIS2_PLACEMENT_3D('',#498298,#380974,#380975); #351524=AXIS2_PLACEMENT_3D('',#498299,#380976,#380977); #351525=AXIS2_PLACEMENT_3D('',#498304,#380979,#380980); #351526=AXIS2_PLACEMENT_3D('',#498307,#380982,#380983); #351527=AXIS2_PLACEMENT_3D('',#498308,#380984,#380985); #351528=AXIS2_PLACEMENT_3D('',#498310,#380986,#380987); #351529=AXIS2_PLACEMENT_3D('',#498313,#380989,#380990); #351530=AXIS2_PLACEMENT_3D('',#498314,#380991,#380992); #351531=AXIS2_PLACEMENT_3D('',#498317,#380994,#380995); #351532=AXIS2_PLACEMENT_3D('',#498318,#380996,#380997); #351533=AXIS2_PLACEMENT_3D('',#498327,#381002,#381003); #351534=AXIS2_PLACEMENT_3D('',#498329,#381004,#381005); #351535=AXIS2_PLACEMENT_3D('',#498330,#381006,#381007); #351536=AXIS2_PLACEMENT_3D('',#498339,#381012,#381013); #351537=AXIS2_PLACEMENT_3D('',#498348,#381018,#381019); #351538=AXIS2_PLACEMENT_3D('',#498362,#381027,#381028); #351539=AXIS2_PLACEMENT_3D('',#498368,#381032,#381033); #351540=AXIS2_PLACEMENT_3D('',#498377,#381038,#381039); #351541=AXIS2_PLACEMENT_3D('',#498388,#381046,#381047); #351542=AXIS2_PLACEMENT_3D('',#498390,#381048,#381049); #351543=AXIS2_PLACEMENT_3D('',#498396,#381053,#381054); #351544=AXIS2_PLACEMENT_3D('',#498397,#381055,#381056); #351545=AXIS2_PLACEMENT_3D('',#498398,#381057,#381058); #351546=AXIS2_PLACEMENT_3D('',#498399,#381059,#381060); #351547=AXIS2_PLACEMENT_3D('',#498405,#381064,#381065); #351548=AXIS2_PLACEMENT_3D('',#498414,#381071,#381072); #351549=AXIS2_PLACEMENT_3D('',#498419,#381076,#381077); #351550=AXIS2_PLACEMENT_3D('',#498423,#381080,#381081); #351551=AXIS2_PLACEMENT_3D('',#498428,#381085,#381086); #351552=AXIS2_PLACEMENT_3D('',#498429,#381087,#381088); #351553=AXIS2_PLACEMENT_3D('',#498431,#381090,#381091); #351554=AXIS2_PLACEMENT_3D('',#498432,#381092,#381093); #351555=AXIS2_PLACEMENT_3D('',#498434,#381095,#381096); #351556=AXIS2_PLACEMENT_3D('',#498436,#381098,#381099); #351557=AXIS2_PLACEMENT_3D('',#498438,#381100,#381101); #351558=AXIS2_PLACEMENT_3D('',#498446,#381105,#381106); #351559=AXIS2_PLACEMENT_3D('',#498450,#381108,#381109); #351560=AXIS2_PLACEMENT_3D('',#498454,#381111,#381112); #351561=AXIS2_PLACEMENT_3D('',#498458,#381115,#381116); #351562=AXIS2_PLACEMENT_3D('',#498462,#381119,#381120); #351563=AXIS2_PLACEMENT_3D('',#498475,#381127,#381128); #351564=AXIS2_PLACEMENT_3D('',#498488,#381135,#381136); #351565=AXIS2_PLACEMENT_3D('',#498501,#381143,#381144); #351566=AXIS2_PLACEMENT_3D('',#498503,#381145,#381146); #351567=AXIS2_PLACEMENT_3D('',#498506,#381148,#381149); #351568=AXIS2_PLACEMENT_3D('',#498507,#381150,#381151); #351569=AXIS2_PLACEMENT_3D('',#498516,#381156,#381157); #351570=AXIS2_PLACEMENT_3D('',#498518,#381158,#381159); #351571=AXIS2_PLACEMENT_3D('',#498521,#381161,#381162); #351572=AXIS2_PLACEMENT_3D('',#498522,#381163,#381164); #351573=AXIS2_PLACEMENT_3D('',#498523,#381165,#381166); #351574=AXIS2_PLACEMENT_3D('',#498525,#381167,#381168); #351575=AXIS2_PLACEMENT_3D('',#498527,#381170,#381171); #351576=AXIS2_PLACEMENT_3D('',#498528,#381172,#381173); #351577=AXIS2_PLACEMENT_3D('',#498530,#381174,#381175); #351578=AXIS2_PLACEMENT_3D('',#498532,#381177,#381178); #351579=AXIS2_PLACEMENT_3D('',#498541,#381183,#381184); #351580=AXIS2_PLACEMENT_3D('',#498543,#381185,#381186); #351581=AXIS2_PLACEMENT_3D('',#498546,#381188,#381189); #351582=AXIS2_PLACEMENT_3D('',#498547,#381190,#381191); #351583=AXIS2_PLACEMENT_3D('',#498548,#381192,#381193); #351584=AXIS2_PLACEMENT_3D('',#498550,#381194,#381195); #351585=AXIS2_PLACEMENT_3D('',#498552,#381197,#381198); #351586=AXIS2_PLACEMENT_3D('',#498553,#381199,#381200); #351587=AXIS2_PLACEMENT_3D('',#498555,#381201,#381202); #351588=AXIS2_PLACEMENT_3D('',#498557,#381204,#381205); #351589=AXIS2_PLACEMENT_3D('',#498559,#381206,#381207); #351590=AXIS2_PLACEMENT_3D('',#498563,#381209,#381210); #351591=AXIS2_PLACEMENT_3D('',#498566,#381212,#381213); #351592=AXIS2_PLACEMENT_3D('',#498567,#381214,#381215); #351593=AXIS2_PLACEMENT_3D('',#498569,#381216,#381217); #351594=AXIS2_PLACEMENT_3D('',#498571,#381219,#381220); #351595=AXIS2_PLACEMENT_3D('',#498575,#381223,#381224); #351596=AXIS2_PLACEMENT_3D('',#498577,#381225,#381226); #351597=AXIS2_PLACEMENT_3D('',#498580,#381228,#381229); #351598=AXIS2_PLACEMENT_3D('',#498581,#381230,#381231); #351599=AXIS2_PLACEMENT_3D('',#498584,#381232,#381233); #351600=AXIS2_PLACEMENT_3D('',#498588,#381235,#381236); #351601=AXIS2_PLACEMENT_3D('',#498590,#381238,#381239); #351602=AXIS2_PLACEMENT_3D('',#498601,#381242,#381243); #351603=AXIS2_PLACEMENT_3D('',#498604,#381244,#381245); #351604=AXIS2_PLACEMENT_3D('',#498608,#381247,#381248); #351605=AXIS2_PLACEMENT_3D('',#498610,#381250,#381251); #351606=AXIS2_PLACEMENT_3D('',#498622,#381255,#381256); #351607=AXIS2_PLACEMENT_3D('',#498623,#381257,#381258); #351608=AXIS2_PLACEMENT_3D('',#498626,#381259,#381260); #351609=AXIS2_PLACEMENT_3D('',#498630,#381262,#381263); #351610=AXIS2_PLACEMENT_3D('',#498632,#381265,#381266); #351611=AXIS2_PLACEMENT_3D('',#498643,#381269,#381270); #351612=AXIS2_PLACEMENT_3D('',#498644,#381271,#381272); #351613=AXIS2_PLACEMENT_3D('',#498645,#381273,#381274); #351614=AXIS2_PLACEMENT_3D('',#498650,#381276,#381277); #351615=AXIS2_PLACEMENT_3D('',#498653,#381279,#381280); #351616=AXIS2_PLACEMENT_3D('',#498654,#381281,#381282); #351617=AXIS2_PLACEMENT_3D('',#498658,#381283,#381284); #351618=AXIS2_PLACEMENT_3D('',#498665,#381285,#381286); #351619=AXIS2_PLACEMENT_3D('',#498669,#381287,#381288); #351620=AXIS2_PLACEMENT_3D('',#498670,#381289,#381290); #351621=AXIS2_PLACEMENT_3D('',#498675,#381291,#381292); #351622=AXIS2_PLACEMENT_3D('',#498677,#381293,#381294); #351623=AXIS2_PLACEMENT_3D('',#498684,#381295,#381296); #351624=AXIS2_PLACEMENT_3D('',#498689,#381297,#381298); #351625=AXIS2_PLACEMENT_3D('',#498690,#381299,#381300); #351626=AXIS2_PLACEMENT_3D('',#498691,#381301,#381302); #351627=AXIS2_PLACEMENT_3D('',#498692,#381303,#381304); #351628=AXIS2_PLACEMENT_3D('',#498693,#381305,#381306); #351629=AXIS2_PLACEMENT_3D('',#498694,#381307,#381308); #351630=AXIS2_PLACEMENT_3D('',#498695,#381309,#381310); #351631=AXIS2_PLACEMENT_3D('',#498696,#381311,#381312); #351632=AXIS2_PLACEMENT_3D('',#498697,#381313,#381314); #351633=AXIS2_PLACEMENT_3D('',#498698,#381315,#381316); #351634=AXIS2_PLACEMENT_3D('',#498699,#381317,#381318); #351635=AXIS2_PLACEMENT_3D('',#498701,#381319,#381320); #351636=AXIS2_PLACEMENT_3D('',#498703,#381322,#381323); #351637=AXIS2_PLACEMENT_3D('',#498708,#381326,#381327); #351638=AXIS2_PLACEMENT_3D('',#498709,#381328,#381329); #351639=AXIS2_PLACEMENT_3D('',#498711,#381330,#381331); #351640=AXIS2_PLACEMENT_3D('',#498714,#381333,#381334); #351641=AXIS2_PLACEMENT_3D('',#498715,#381335,#381336); #351642=AXIS2_PLACEMENT_3D('',#498720,#381338,#381339); #351643=AXIS2_PLACEMENT_3D('',#498723,#381341,#381342); #351644=AXIS2_PLACEMENT_3D('',#498724,#381343,#381344); #351645=AXIS2_PLACEMENT_3D('',#498726,#381345,#381346); #351646=AXIS2_PLACEMENT_3D('',#498731,#381349,#381350); #351647=AXIS2_PLACEMENT_3D('',#498735,#381352,#381353); #351648=AXIS2_PLACEMENT_3D('',#498740,#381356,#381357); #351649=AXIS2_PLACEMENT_3D('',#498744,#381359,#381360); #351650=AXIS2_PLACEMENT_3D('',#498748,#381362,#381363); #351651=AXIS2_PLACEMENT_3D('',#498751,#381366,#381367); #351652=AXIS2_PLACEMENT_3D('',#498762,#381368,#381369); #351653=AXIS2_PLACEMENT_3D('',#498766,#381370,#381371); #351654=AXIS2_PLACEMENT_3D('',#498773,#381372,#381373); #351655=AXIS2_PLACEMENT_3D('',#498777,#381374,#381375); #351656=AXIS2_PLACEMENT_3D('',#498787,#381376,#381377); #351657=AXIS2_PLACEMENT_3D('',#498794,#381378,#381379); #351658=AXIS2_PLACEMENT_3D('',#498799,#381380,#381381); #351659=AXIS2_PLACEMENT_3D('',#498804,#381382,#381383); #351660=AXIS2_PLACEMENT_3D('',#498805,#381384,#381385); #351661=AXIS2_PLACEMENT_3D('',#498807,#381387,#381388); #351662=AXIS2_PLACEMENT_3D('',#498812,#381389,#381390); #351663=AXIS2_PLACEMENT_3D('',#498813,#381391,#381392); #351664=AXIS2_PLACEMENT_3D('',#498818,#381393,#381394); #351665=AXIS2_PLACEMENT_3D('',#498819,#381395,#381396); #351666=AXIS2_PLACEMENT_3D('',#498823,#381398,#381399); #351667=AXIS2_PLACEMENT_3D('',#498825,#381401,#381402); #351668=AXIS2_PLACEMENT_3D('',#498829,#381405,#381406); #351669=AXIS2_PLACEMENT_3D('',#498832,#381408,#381409); #351670=AXIS2_PLACEMENT_3D('',#498833,#381410,#381411); #351671=AXIS2_PLACEMENT_3D('',#498837,#381414,#381415); #351672=AXIS2_PLACEMENT_3D('',#498840,#381417,#381418); #351673=AXIS2_PLACEMENT_3D('',#498841,#381419,#381420); #351674=AXIS2_PLACEMENT_3D('',#498845,#381423,#381424); #351675=AXIS2_PLACEMENT_3D('',#498847,#381426,#381427); #351676=AXIS2_PLACEMENT_3D('',#498851,#381430,#381431); #351677=AXIS2_PLACEMENT_3D('',#498853,#381433,#381434); #351678=AXIS2_PLACEMENT_3D('',#498854,#381435,#381436); #351679=AXIS2_PLACEMENT_3D('',#498855,#381437,#381438); #351680=AXIS2_PLACEMENT_3D('',#498856,#381439,#381440); #351681=AXIS2_PLACEMENT_3D('',#498857,#381441,#381442); #351682=AXIS2_PLACEMENT_3D('',#498858,#381443,#381444); #351683=AXIS2_PLACEMENT_3D('',#498859,#381445,#381446); #351684=AXIS2_PLACEMENT_3D('',#498861,#381447,#381448); #351685=AXIS2_PLACEMENT_3D('',#498864,#381450,#381451); #351686=AXIS2_PLACEMENT_3D('',#498865,#381452,#381453); #351687=AXIS2_PLACEMENT_3D('',#498867,#381454,#381455); #351688=AXIS2_PLACEMENT_3D('',#498870,#381457,#381458); #351689=AXIS2_PLACEMENT_3D('',#498871,#381459,#381460); #351690=AXIS2_PLACEMENT_3D('',#498873,#381461,#381462); #351691=AXIS2_PLACEMENT_3D('',#498876,#381464,#381465); #351692=AXIS2_PLACEMENT_3D('',#498877,#381466,#381467); #351693=AXIS2_PLACEMENT_3D('',#498879,#381468,#381469); #351694=AXIS2_PLACEMENT_3D('',#498882,#381471,#381472); #351695=AXIS2_PLACEMENT_3D('',#498883,#381473,#381474); #351696=AXIS2_PLACEMENT_3D('',#498885,#381475,#381476); #351697=AXIS2_PLACEMENT_3D('',#498888,#381478,#381479); #351698=AXIS2_PLACEMENT_3D('',#498889,#381480,#381481); #351699=AXIS2_PLACEMENT_3D('',#498891,#381482,#381483); #351700=AXIS2_PLACEMENT_3D('',#498894,#381485,#381486); #351701=AXIS2_PLACEMENT_3D('',#498895,#381487,#381488); #351702=AXIS2_PLACEMENT_3D('',#498897,#381489,#381490); #351703=AXIS2_PLACEMENT_3D('',#498900,#381492,#381493); #351704=AXIS2_PLACEMENT_3D('',#498901,#381494,#381495); #351705=AXIS2_PLACEMENT_3D('',#498903,#381496,#381497); #351706=AXIS2_PLACEMENT_3D('',#498906,#381499,#381500); #351707=AXIS2_PLACEMENT_3D('',#498907,#381501,#381502); #351708=AXIS2_PLACEMENT_3D('',#498909,#381503,#381504); #351709=AXIS2_PLACEMENT_3D('',#498912,#381506,#381507); #351710=AXIS2_PLACEMENT_3D('',#498913,#381508,#381509); #351711=AXIS2_PLACEMENT_3D('',#498915,#381510,#381511); #351712=AXIS2_PLACEMENT_3D('',#498918,#381513,#381514); #351713=AXIS2_PLACEMENT_3D('',#498919,#381515,#381516); #351714=AXIS2_PLACEMENT_3D('',#498921,#381517,#381518); #351715=AXIS2_PLACEMENT_3D('',#498924,#381520,#381521); #351716=AXIS2_PLACEMENT_3D('',#498925,#381522,#381523); #351717=AXIS2_PLACEMENT_3D('',#498927,#381524,#381525); #351718=AXIS2_PLACEMENT_3D('',#498930,#381527,#381528); #351719=AXIS2_PLACEMENT_3D('',#498931,#381529,#381530); #351720=AXIS2_PLACEMENT_3D('',#498933,#381531,#381532); #351721=AXIS2_PLACEMENT_3D('',#498936,#381534,#381535); #351722=AXIS2_PLACEMENT_3D('',#498937,#381536,#381537); #351723=AXIS2_PLACEMENT_3D('',#498939,#381538,#381539); #351724=AXIS2_PLACEMENT_3D('',#498942,#381541,#381542); #351725=AXIS2_PLACEMENT_3D('',#498943,#381543,#381544); #351726=AXIS2_PLACEMENT_3D('',#498945,#381545,#381546); #351727=AXIS2_PLACEMENT_3D('',#498948,#381548,#381549); #351728=AXIS2_PLACEMENT_3D('',#498949,#381550,#381551); #351729=AXIS2_PLACEMENT_3D('',#498951,#381552,#381553); #351730=AXIS2_PLACEMENT_3D('',#498954,#381555,#381556); #351731=AXIS2_PLACEMENT_3D('',#498955,#381557,#381558); #351732=AXIS2_PLACEMENT_3D('',#498957,#381559,#381560); #351733=AXIS2_PLACEMENT_3D('',#498960,#381562,#381563); #351734=AXIS2_PLACEMENT_3D('',#498961,#381564,#381565); #351735=AXIS2_PLACEMENT_3D('',#498963,#381566,#381567); #351736=AXIS2_PLACEMENT_3D('',#498966,#381569,#381570); #351737=AXIS2_PLACEMENT_3D('',#498967,#381571,#381572); #351738=AXIS2_PLACEMENT_3D('',#498969,#381573,#381574); #351739=AXIS2_PLACEMENT_3D('',#498972,#381576,#381577); #351740=AXIS2_PLACEMENT_3D('',#498973,#381578,#381579); #351741=AXIS2_PLACEMENT_3D('',#498975,#381580,#381581); #351742=AXIS2_PLACEMENT_3D('',#498978,#381583,#381584); #351743=AXIS2_PLACEMENT_3D('',#498979,#381585,#381586); #351744=AXIS2_PLACEMENT_3D('',#498981,#381587,#381588); #351745=AXIS2_PLACEMENT_3D('',#498984,#381590,#381591); #351746=AXIS2_PLACEMENT_3D('',#498985,#381592,#381593); #351747=AXIS2_PLACEMENT_3D('',#498987,#381594,#381595); #351748=AXIS2_PLACEMENT_3D('',#498990,#381597,#381598); #351749=AXIS2_PLACEMENT_3D('',#498991,#381599,#381600); #351750=AXIS2_PLACEMENT_3D('',#498993,#381601,#381602); #351751=AXIS2_PLACEMENT_3D('',#498996,#381604,#381605); #351752=AXIS2_PLACEMENT_3D('',#498997,#381606,#381607); #351753=AXIS2_PLACEMENT_3D('',#498999,#381608,#381609); #351754=AXIS2_PLACEMENT_3D('',#499002,#381611,#381612); #351755=AXIS2_PLACEMENT_3D('',#499003,#381613,#381614); #351756=AXIS2_PLACEMENT_3D('',#499005,#381615,#381616); #351757=AXIS2_PLACEMENT_3D('',#499008,#381618,#381619); #351758=AXIS2_PLACEMENT_3D('',#499009,#381620,#381621); #351759=AXIS2_PLACEMENT_3D('',#499011,#381622,#381623); #351760=AXIS2_PLACEMENT_3D('',#499014,#381625,#381626); #351761=AXIS2_PLACEMENT_3D('',#499015,#381627,#381628); #351762=AXIS2_PLACEMENT_3D('',#499017,#381629,#381630); #351763=AXIS2_PLACEMENT_3D('',#499020,#381632,#381633); #351764=AXIS2_PLACEMENT_3D('',#499021,#381634,#381635); #351765=AXIS2_PLACEMENT_3D('',#499023,#381636,#381637); #351766=AXIS2_PLACEMENT_3D('',#499026,#381639,#381640); #351767=AXIS2_PLACEMENT_3D('',#499027,#381641,#381642); #351768=AXIS2_PLACEMENT_3D('',#499029,#381643,#381644); #351769=AXIS2_PLACEMENT_3D('',#499032,#381646,#381647); #351770=AXIS2_PLACEMENT_3D('',#499033,#381648,#381649); #351771=AXIS2_PLACEMENT_3D('',#499035,#381650,#381651); #351772=AXIS2_PLACEMENT_3D('',#499038,#381653,#381654); #351773=AXIS2_PLACEMENT_3D('',#499039,#381655,#381656); #351774=AXIS2_PLACEMENT_3D('',#499041,#381657,#381658); #351775=AXIS2_PLACEMENT_3D('',#499044,#381660,#381661); #351776=AXIS2_PLACEMENT_3D('',#499045,#381662,#381663); #351777=AXIS2_PLACEMENT_3D('',#499047,#381664,#381665); #351778=AXIS2_PLACEMENT_3D('',#499050,#381667,#381668); #351779=AXIS2_PLACEMENT_3D('',#499051,#381669,#381670); #351780=AXIS2_PLACEMENT_3D('',#499053,#381671,#381672); #351781=AXIS2_PLACEMENT_3D('',#499056,#381674,#381675); #351782=AXIS2_PLACEMENT_3D('',#499057,#381676,#381677); #351783=AXIS2_PLACEMENT_3D('',#499059,#381678,#381679); #351784=AXIS2_PLACEMENT_3D('',#499062,#381681,#381682); #351785=AXIS2_PLACEMENT_3D('',#499063,#381683,#381684); #351786=AXIS2_PLACEMENT_3D('',#499065,#381685,#381686); #351787=AXIS2_PLACEMENT_3D('',#499068,#381688,#381689); #351788=AXIS2_PLACEMENT_3D('',#499069,#381690,#381691); #351789=AXIS2_PLACEMENT_3D('',#499071,#381692,#381693); #351790=AXIS2_PLACEMENT_3D('',#499074,#381695,#381696); #351791=AXIS2_PLACEMENT_3D('',#499075,#381697,#381698); #351792=AXIS2_PLACEMENT_3D('',#499077,#381699,#381700); #351793=AXIS2_PLACEMENT_3D('',#499080,#381702,#381703); #351794=AXIS2_PLACEMENT_3D('',#499081,#381704,#381705); #351795=AXIS2_PLACEMENT_3D('',#499083,#381706,#381707); #351796=AXIS2_PLACEMENT_3D('',#499086,#381709,#381710); #351797=AXIS2_PLACEMENT_3D('',#499087,#381711,#381712); #351798=AXIS2_PLACEMENT_3D('',#499089,#381713,#381714); #351799=AXIS2_PLACEMENT_3D('',#499092,#381716,#381717); #351800=AXIS2_PLACEMENT_3D('',#499093,#381718,#381719); #351801=AXIS2_PLACEMENT_3D('',#499095,#381720,#381721); #351802=AXIS2_PLACEMENT_3D('',#499098,#381723,#381724); #351803=AXIS2_PLACEMENT_3D('',#499099,#381725,#381726); #351804=AXIS2_PLACEMENT_3D('',#499101,#381727,#381728); #351805=AXIS2_PLACEMENT_3D('',#499104,#381730,#381731); #351806=AXIS2_PLACEMENT_3D('',#499105,#381732,#381733); #351807=AXIS2_PLACEMENT_3D('',#499107,#381734,#381735); #351808=AXIS2_PLACEMENT_3D('',#499110,#381737,#381738); #351809=AXIS2_PLACEMENT_3D('',#499111,#381739,#381740); #351810=AXIS2_PLACEMENT_3D('',#499113,#381741,#381742); #351811=AXIS2_PLACEMENT_3D('',#499116,#381744,#381745); #351812=AXIS2_PLACEMENT_3D('',#499117,#381746,#381747); #351813=AXIS2_PLACEMENT_3D('',#499119,#381748,#381749); #351814=AXIS2_PLACEMENT_3D('',#499122,#381751,#381752); #351815=AXIS2_PLACEMENT_3D('',#499123,#381753,#381754); #351816=AXIS2_PLACEMENT_3D('',#499125,#381755,#381756); #351817=AXIS2_PLACEMENT_3D('',#499128,#381758,#381759); #351818=AXIS2_PLACEMENT_3D('',#499129,#381760,#381761); #351819=AXIS2_PLACEMENT_3D('',#499131,#381762,#381763); #351820=AXIS2_PLACEMENT_3D('',#499134,#381765,#381766); #351821=AXIS2_PLACEMENT_3D('',#499135,#381767,#381768); #351822=AXIS2_PLACEMENT_3D('',#499137,#381769,#381770); #351823=AXIS2_PLACEMENT_3D('',#499140,#381772,#381773); #351824=AXIS2_PLACEMENT_3D('',#499141,#381774,#381775); #351825=AXIS2_PLACEMENT_3D('',#499143,#381776,#381777); #351826=AXIS2_PLACEMENT_3D('',#499146,#381779,#381780); #351827=AXIS2_PLACEMENT_3D('',#499147,#381781,#381782); #351828=AXIS2_PLACEMENT_3D('',#499149,#381783,#381784); #351829=AXIS2_PLACEMENT_3D('',#499152,#381786,#381787); #351830=AXIS2_PLACEMENT_3D('',#499153,#381788,#381789); #351831=AXIS2_PLACEMENT_3D('',#499155,#381790,#381791); #351832=AXIS2_PLACEMENT_3D('',#499158,#381793,#381794); #351833=AXIS2_PLACEMENT_3D('',#499159,#381795,#381796); #351834=AXIS2_PLACEMENT_3D('',#499161,#381797,#381798); #351835=AXIS2_PLACEMENT_3D('',#499164,#381800,#381801); #351836=AXIS2_PLACEMENT_3D('',#499165,#381802,#381803); #351837=AXIS2_PLACEMENT_3D('',#499167,#381804,#381805); #351838=AXIS2_PLACEMENT_3D('',#499170,#381807,#381808); #351839=AXIS2_PLACEMENT_3D('',#499171,#381809,#381810); #351840=AXIS2_PLACEMENT_3D('',#499173,#381811,#381812); #351841=AXIS2_PLACEMENT_3D('',#499176,#381814,#381815); #351842=AXIS2_PLACEMENT_3D('',#499177,#381816,#381817); #351843=AXIS2_PLACEMENT_3D('',#499179,#381818,#381819); #351844=AXIS2_PLACEMENT_3D('',#499182,#381821,#381822); #351845=AXIS2_PLACEMENT_3D('',#499183,#381823,#381824); #351846=AXIS2_PLACEMENT_3D('',#499185,#381825,#381826); #351847=AXIS2_PLACEMENT_3D('',#499188,#381828,#381829); #351848=AXIS2_PLACEMENT_3D('',#499189,#381830,#381831); #351849=AXIS2_PLACEMENT_3D('',#499191,#381832,#381833); #351850=AXIS2_PLACEMENT_3D('',#499194,#381835,#381836); #351851=AXIS2_PLACEMENT_3D('',#499195,#381837,#381838); #351852=AXIS2_PLACEMENT_3D('',#499197,#381839,#381840); #351853=AXIS2_PLACEMENT_3D('',#499200,#381842,#381843); #351854=AXIS2_PLACEMENT_3D('',#499201,#381844,#381845); #351855=AXIS2_PLACEMENT_3D('',#499203,#381846,#381847); #351856=AXIS2_PLACEMENT_3D('',#499206,#381849,#381850); #351857=AXIS2_PLACEMENT_3D('',#499207,#381851,#381852); #351858=AXIS2_PLACEMENT_3D('',#499209,#381853,#381854); #351859=AXIS2_PLACEMENT_3D('',#499212,#381856,#381857); #351860=AXIS2_PLACEMENT_3D('',#499213,#381858,#381859); #351861=AXIS2_PLACEMENT_3D('',#499215,#381860,#381861); #351862=AXIS2_PLACEMENT_3D('',#499218,#381863,#381864); #351863=AXIS2_PLACEMENT_3D('',#499219,#381865,#381866); #351864=AXIS2_PLACEMENT_3D('',#499221,#381867,#381868); #351865=AXIS2_PLACEMENT_3D('',#499224,#381870,#381871); #351866=AXIS2_PLACEMENT_3D('',#499225,#381872,#381873); #351867=AXIS2_PLACEMENT_3D('',#499227,#381874,#381875); #351868=AXIS2_PLACEMENT_3D('',#499230,#381877,#381878); #351869=AXIS2_PLACEMENT_3D('',#499231,#381879,#381880); #351870=AXIS2_PLACEMENT_3D('',#499233,#381881,#381882); #351871=AXIS2_PLACEMENT_3D('',#499236,#381884,#381885); #351872=AXIS2_PLACEMENT_3D('',#499237,#381886,#381887); #351873=AXIS2_PLACEMENT_3D('',#499239,#381888,#381889); #351874=AXIS2_PLACEMENT_3D('',#499242,#381891,#381892); #351875=AXIS2_PLACEMENT_3D('',#499243,#381893,#381894); #351876=AXIS2_PLACEMENT_3D('',#499245,#381895,#381896); #351877=AXIS2_PLACEMENT_3D('',#499248,#381898,#381899); #351878=AXIS2_PLACEMENT_3D('',#499249,#381900,#381901); #351879=AXIS2_PLACEMENT_3D('',#499251,#381902,#381903); #351880=AXIS2_PLACEMENT_3D('',#499254,#381905,#381906); #351881=AXIS2_PLACEMENT_3D('',#499255,#381907,#381908); #351882=AXIS2_PLACEMENT_3D('',#499257,#381909,#381910); #351883=AXIS2_PLACEMENT_3D('',#499260,#381912,#381913); #351884=AXIS2_PLACEMENT_3D('',#499261,#381914,#381915); #351885=AXIS2_PLACEMENT_3D('',#499263,#381916,#381917); #351886=AXIS2_PLACEMENT_3D('',#499266,#381919,#381920); #351887=AXIS2_PLACEMENT_3D('',#499267,#381921,#381922); #351888=AXIS2_PLACEMENT_3D('',#499269,#381923,#381924); #351889=AXIS2_PLACEMENT_3D('',#499272,#381926,#381927); #351890=AXIS2_PLACEMENT_3D('',#499273,#381928,#381929); #351891=AXIS2_PLACEMENT_3D('',#499275,#381930,#381931); #351892=AXIS2_PLACEMENT_3D('',#499278,#381933,#381934); #351893=AXIS2_PLACEMENT_3D('',#499279,#381935,#381936); #351894=AXIS2_PLACEMENT_3D('',#499281,#381937,#381938); #351895=AXIS2_PLACEMENT_3D('',#499284,#381940,#381941); #351896=AXIS2_PLACEMENT_3D('',#499285,#381942,#381943); #351897=AXIS2_PLACEMENT_3D('',#499287,#381944,#381945); #351898=AXIS2_PLACEMENT_3D('',#499290,#381947,#381948); #351899=AXIS2_PLACEMENT_3D('',#499291,#381949,#381950); #351900=AXIS2_PLACEMENT_3D('',#499293,#381951,#381952); #351901=AXIS2_PLACEMENT_3D('',#499296,#381954,#381955); #351902=AXIS2_PLACEMENT_3D('',#499297,#381956,#381957); #351903=AXIS2_PLACEMENT_3D('',#499299,#381958,#381959); #351904=AXIS2_PLACEMENT_3D('',#499302,#381961,#381962); #351905=AXIS2_PLACEMENT_3D('',#499303,#381963,#381964); #351906=AXIS2_PLACEMENT_3D('',#499305,#381965,#381966); #351907=AXIS2_PLACEMENT_3D('',#499308,#381968,#381969); #351908=AXIS2_PLACEMENT_3D('',#499309,#381970,#381971); #351909=AXIS2_PLACEMENT_3D('',#499311,#381972,#381973); #351910=AXIS2_PLACEMENT_3D('',#499314,#381975,#381976); #351911=AXIS2_PLACEMENT_3D('',#499315,#381977,#381978); #351912=AXIS2_PLACEMENT_3D('',#499317,#381979,#381980); #351913=AXIS2_PLACEMENT_3D('',#499320,#381982,#381983); #351914=AXIS2_PLACEMENT_3D('',#499321,#381984,#381985); #351915=AXIS2_PLACEMENT_3D('',#499323,#381986,#381987); #351916=AXIS2_PLACEMENT_3D('',#499326,#381989,#381990); #351917=AXIS2_PLACEMENT_3D('',#499327,#381991,#381992); #351918=AXIS2_PLACEMENT_3D('',#499329,#381993,#381994); #351919=AXIS2_PLACEMENT_3D('',#499332,#381996,#381997); #351920=AXIS2_PLACEMENT_3D('',#499333,#381998,#381999); #351921=AXIS2_PLACEMENT_3D('',#499335,#382000,#382001); #351922=AXIS2_PLACEMENT_3D('',#499338,#382003,#382004); #351923=AXIS2_PLACEMENT_3D('',#499339,#382005,#382006); #351924=AXIS2_PLACEMENT_3D('',#499341,#382007,#382008); #351925=AXIS2_PLACEMENT_3D('',#499344,#382010,#382011); #351926=AXIS2_PLACEMENT_3D('',#499345,#382012,#382013); #351927=AXIS2_PLACEMENT_3D('',#499347,#382014,#382015); #351928=AXIS2_PLACEMENT_3D('',#499350,#382017,#382018); #351929=AXIS2_PLACEMENT_3D('',#499351,#382019,#382020); #351930=AXIS2_PLACEMENT_3D('',#499353,#382021,#382022); #351931=AXIS2_PLACEMENT_3D('',#499356,#382024,#382025); #351932=AXIS2_PLACEMENT_3D('',#499357,#382026,#382027); #351933=AXIS2_PLACEMENT_3D('',#499359,#382028,#382029); #351934=AXIS2_PLACEMENT_3D('',#499362,#382031,#382032); #351935=AXIS2_PLACEMENT_3D('',#499363,#382033,#382034); #351936=AXIS2_PLACEMENT_3D('',#499365,#382035,#382036); #351937=AXIS2_PLACEMENT_3D('',#499368,#382038,#382039); #351938=AXIS2_PLACEMENT_3D('',#499369,#382040,#382041); #351939=AXIS2_PLACEMENT_3D('',#499371,#382042,#382043); #351940=AXIS2_PLACEMENT_3D('',#499374,#382045,#382046); #351941=AXIS2_PLACEMENT_3D('',#499375,#382047,#382048); #351942=AXIS2_PLACEMENT_3D('',#499377,#382049,#382050); #351943=AXIS2_PLACEMENT_3D('',#499380,#382052,#382053); #351944=AXIS2_PLACEMENT_3D('',#499381,#382054,#382055); #351945=AXIS2_PLACEMENT_3D('',#499383,#382056,#382057); #351946=AXIS2_PLACEMENT_3D('',#499386,#382059,#382060); #351947=AXIS2_PLACEMENT_3D('',#499387,#382061,#382062); #351948=AXIS2_PLACEMENT_3D('',#499389,#382063,#382064); #351949=AXIS2_PLACEMENT_3D('',#499392,#382066,#382067); #351950=AXIS2_PLACEMENT_3D('',#499393,#382068,#382069); #351951=AXIS2_PLACEMENT_3D('',#499395,#382070,#382071); #351952=AXIS2_PLACEMENT_3D('',#499398,#382073,#382074); #351953=AXIS2_PLACEMENT_3D('',#499399,#382075,#382076); #351954=AXIS2_PLACEMENT_3D('',#499401,#382077,#382078); #351955=AXIS2_PLACEMENT_3D('',#499404,#382080,#382081); #351956=AXIS2_PLACEMENT_3D('',#499405,#382082,#382083); #351957=AXIS2_PLACEMENT_3D('',#499407,#382084,#382085); #351958=AXIS2_PLACEMENT_3D('',#499410,#382087,#382088); #351959=AXIS2_PLACEMENT_3D('',#499411,#382089,#382090); #351960=AXIS2_PLACEMENT_3D('',#499413,#382091,#382092); #351961=AXIS2_PLACEMENT_3D('',#499416,#382094,#382095); #351962=AXIS2_PLACEMENT_3D('',#499417,#382096,#382097); #351963=AXIS2_PLACEMENT_3D('',#499419,#382098,#382099); #351964=AXIS2_PLACEMENT_3D('',#499422,#382101,#382102); #351965=AXIS2_PLACEMENT_3D('',#499423,#382103,#382104); #351966=AXIS2_PLACEMENT_3D('',#499425,#382105,#382106); #351967=AXIS2_PLACEMENT_3D('',#499428,#382108,#382109); #351968=AXIS2_PLACEMENT_3D('',#499429,#382110,#382111); #351969=AXIS2_PLACEMENT_3D('',#499431,#382112,#382113); #351970=AXIS2_PLACEMENT_3D('',#499434,#382115,#382116); #351971=AXIS2_PLACEMENT_3D('',#499435,#382117,#382118); #351972=AXIS2_PLACEMENT_3D('',#499437,#382119,#382120); #351973=AXIS2_PLACEMENT_3D('',#499440,#382122,#382123); #351974=AXIS2_PLACEMENT_3D('',#499441,#382124,#382125); #351975=AXIS2_PLACEMENT_3D('',#499443,#382126,#382127); #351976=AXIS2_PLACEMENT_3D('',#499446,#382129,#382130); #351977=AXIS2_PLACEMENT_3D('',#499447,#382131,#382132); #351978=AXIS2_PLACEMENT_3D('',#499449,#382133,#382134); #351979=AXIS2_PLACEMENT_3D('',#499452,#382136,#382137); #351980=AXIS2_PLACEMENT_3D('',#499453,#382138,#382139); #351981=AXIS2_PLACEMENT_3D('',#499455,#382140,#382141); #351982=AXIS2_PLACEMENT_3D('',#499458,#382143,#382144); #351983=AXIS2_PLACEMENT_3D('',#499459,#382145,#382146); #351984=AXIS2_PLACEMENT_3D('',#499461,#382147,#382148); #351985=AXIS2_PLACEMENT_3D('',#499464,#382150,#382151); #351986=AXIS2_PLACEMENT_3D('',#499465,#382152,#382153); #351987=AXIS2_PLACEMENT_3D('',#499467,#382154,#382155); #351988=AXIS2_PLACEMENT_3D('',#499470,#382157,#382158); #351989=AXIS2_PLACEMENT_3D('',#499471,#382159,#382160); #351990=AXIS2_PLACEMENT_3D('',#499473,#382161,#382162); #351991=AXIS2_PLACEMENT_3D('',#499476,#382164,#382165); #351992=AXIS2_PLACEMENT_3D('',#499477,#382166,#382167); #351993=AXIS2_PLACEMENT_3D('',#499479,#382168,#382169); #351994=AXIS2_PLACEMENT_3D('',#499482,#382171,#382172); #351995=AXIS2_PLACEMENT_3D('',#499483,#382173,#382174); #351996=AXIS2_PLACEMENT_3D('',#499485,#382175,#382176); #351997=AXIS2_PLACEMENT_3D('',#499488,#382178,#382179); #351998=AXIS2_PLACEMENT_3D('',#499489,#382180,#382181); #351999=AXIS2_PLACEMENT_3D('',#499491,#382182,#382183); #352000=AXIS2_PLACEMENT_3D('',#499494,#382185,#382186); #352001=AXIS2_PLACEMENT_3D('',#499495,#382187,#382188); #352002=AXIS2_PLACEMENT_3D('',#499497,#382189,#382190); #352003=AXIS2_PLACEMENT_3D('',#499500,#382192,#382193); #352004=AXIS2_PLACEMENT_3D('',#499501,#382194,#382195); #352005=AXIS2_PLACEMENT_3D('',#499503,#382196,#382197); #352006=AXIS2_PLACEMENT_3D('',#499506,#382199,#382200); #352007=AXIS2_PLACEMENT_3D('',#499507,#382201,#382202); #352008=AXIS2_PLACEMENT_3D('',#499509,#382203,#382204); #352009=AXIS2_PLACEMENT_3D('',#499512,#382206,#382207); #352010=AXIS2_PLACEMENT_3D('',#499513,#382208,#382209); #352011=AXIS2_PLACEMENT_3D('',#499515,#382210,#382211); #352012=AXIS2_PLACEMENT_3D('',#499518,#382213,#382214); #352013=AXIS2_PLACEMENT_3D('',#499519,#382215,#382216); #352014=AXIS2_PLACEMENT_3D('',#499521,#382217,#382218); #352015=AXIS2_PLACEMENT_3D('',#499524,#382220,#382221); #352016=AXIS2_PLACEMENT_3D('',#499525,#382222,#382223); #352017=AXIS2_PLACEMENT_3D('',#499527,#382224,#382225); #352018=AXIS2_PLACEMENT_3D('',#499530,#382227,#382228); #352019=AXIS2_PLACEMENT_3D('',#499531,#382229,#382230); #352020=AXIS2_PLACEMENT_3D('',#499533,#382231,#382232); #352021=AXIS2_PLACEMENT_3D('',#499536,#382234,#382235); #352022=AXIS2_PLACEMENT_3D('',#499537,#382236,#382237); #352023=AXIS2_PLACEMENT_3D('',#499539,#382238,#382239); #352024=AXIS2_PLACEMENT_3D('',#499542,#382241,#382242); #352025=AXIS2_PLACEMENT_3D('',#499543,#382243,#382244); #352026=AXIS2_PLACEMENT_3D('',#499545,#382245,#382246); #352027=AXIS2_PLACEMENT_3D('',#499548,#382248,#382249); #352028=AXIS2_PLACEMENT_3D('',#499549,#382250,#382251); #352029=AXIS2_PLACEMENT_3D('',#499551,#382252,#382253); #352030=AXIS2_PLACEMENT_3D('',#499554,#382255,#382256); #352031=AXIS2_PLACEMENT_3D('',#499555,#382257,#382258); #352032=AXIS2_PLACEMENT_3D('',#499557,#382259,#382260); #352033=AXIS2_PLACEMENT_3D('',#499560,#382262,#382263); #352034=AXIS2_PLACEMENT_3D('',#499561,#382264,#382265); #352035=AXIS2_PLACEMENT_3D('',#499563,#382266,#382267); #352036=AXIS2_PLACEMENT_3D('',#499566,#382269,#382270); #352037=AXIS2_PLACEMENT_3D('',#499567,#382271,#382272); #352038=AXIS2_PLACEMENT_3D('',#499569,#382273,#382274); #352039=AXIS2_PLACEMENT_3D('',#499572,#382276,#382277); #352040=AXIS2_PLACEMENT_3D('',#499573,#382278,#382279); #352041=AXIS2_PLACEMENT_3D('',#499575,#382280,#382281); #352042=AXIS2_PLACEMENT_3D('',#499578,#382283,#382284); #352043=AXIS2_PLACEMENT_3D('',#499579,#382285,#382286); #352044=AXIS2_PLACEMENT_3D('',#499581,#382287,#382288); #352045=AXIS2_PLACEMENT_3D('',#499584,#382290,#382291); #352046=AXIS2_PLACEMENT_3D('',#499585,#382292,#382293); #352047=AXIS2_PLACEMENT_3D('',#499587,#382294,#382295); #352048=AXIS2_PLACEMENT_3D('',#499590,#382297,#382298); #352049=AXIS2_PLACEMENT_3D('',#499591,#382299,#382300); #352050=AXIS2_PLACEMENT_3D('',#499593,#382301,#382302); #352051=AXIS2_PLACEMENT_3D('',#499596,#382304,#382305); #352052=AXIS2_PLACEMENT_3D('',#499597,#382306,#382307); #352053=AXIS2_PLACEMENT_3D('',#499599,#382308,#382309); #352054=AXIS2_PLACEMENT_3D('',#499602,#382311,#382312); #352055=AXIS2_PLACEMENT_3D('',#499603,#382313,#382314); #352056=AXIS2_PLACEMENT_3D('',#499605,#382315,#382316); #352057=AXIS2_PLACEMENT_3D('',#499608,#382318,#382319); #352058=AXIS2_PLACEMENT_3D('',#499609,#382320,#382321); #352059=AXIS2_PLACEMENT_3D('',#499611,#382322,#382323); #352060=AXIS2_PLACEMENT_3D('',#499614,#382325,#382326); #352061=AXIS2_PLACEMENT_3D('',#499615,#382327,#382328); #352062=AXIS2_PLACEMENT_3D('',#499617,#382329,#382330); #352063=AXIS2_PLACEMENT_3D('',#499620,#382332,#382333); #352064=AXIS2_PLACEMENT_3D('',#499621,#382334,#382335); #352065=AXIS2_PLACEMENT_3D('',#499623,#382336,#382337); #352066=AXIS2_PLACEMENT_3D('',#499626,#382339,#382340); #352067=AXIS2_PLACEMENT_3D('',#499627,#382341,#382342); #352068=AXIS2_PLACEMENT_3D('',#499629,#382343,#382344); #352069=AXIS2_PLACEMENT_3D('',#499632,#382346,#382347); #352070=AXIS2_PLACEMENT_3D('',#499633,#382348,#382349); #352071=AXIS2_PLACEMENT_3D('',#499635,#382350,#382351); #352072=AXIS2_PLACEMENT_3D('',#499638,#382353,#382354); #352073=AXIS2_PLACEMENT_3D('',#499639,#382355,#382356); #352074=AXIS2_PLACEMENT_3D('',#499641,#382357,#382358); #352075=AXIS2_PLACEMENT_3D('',#499644,#382360,#382361); #352076=AXIS2_PLACEMENT_3D('',#499645,#382362,#382363); #352077=AXIS2_PLACEMENT_3D('',#499647,#382364,#382365); #352078=AXIS2_PLACEMENT_3D('',#499650,#382367,#382368); #352079=AXIS2_PLACEMENT_3D('',#499651,#382369,#382370); #352080=AXIS2_PLACEMENT_3D('',#499653,#382371,#382372); #352081=AXIS2_PLACEMENT_3D('',#499656,#382374,#382375); #352082=AXIS2_PLACEMENT_3D('',#499657,#382376,#382377); #352083=AXIS2_PLACEMENT_3D('',#499659,#382378,#382379); #352084=AXIS2_PLACEMENT_3D('',#499662,#382381,#382382); #352085=AXIS2_PLACEMENT_3D('',#499663,#382383,#382384); #352086=AXIS2_PLACEMENT_3D('',#499665,#382385,#382386); #352087=AXIS2_PLACEMENT_3D('',#499668,#382388,#382389); #352088=AXIS2_PLACEMENT_3D('',#499669,#382390,#382391); #352089=AXIS2_PLACEMENT_3D('',#499671,#382392,#382393); #352090=AXIS2_PLACEMENT_3D('',#499674,#382395,#382396); #352091=AXIS2_PLACEMENT_3D('',#499675,#382397,#382398); #352092=AXIS2_PLACEMENT_3D('',#499677,#382399,#382400); #352093=AXIS2_PLACEMENT_3D('',#499680,#382402,#382403); #352094=AXIS2_PLACEMENT_3D('',#499681,#382404,#382405); #352095=AXIS2_PLACEMENT_3D('',#499683,#382406,#382407); #352096=AXIS2_PLACEMENT_3D('',#499686,#382409,#382410); #352097=AXIS2_PLACEMENT_3D('',#499687,#382411,#382412); #352098=AXIS2_PLACEMENT_3D('',#499689,#382413,#382414); #352099=AXIS2_PLACEMENT_3D('',#499692,#382416,#382417); #352100=AXIS2_PLACEMENT_3D('',#499693,#382418,#382419); #352101=AXIS2_PLACEMENT_3D('',#499695,#382420,#382421); #352102=AXIS2_PLACEMENT_3D('',#499698,#382423,#382424); #352103=AXIS2_PLACEMENT_3D('',#499699,#382425,#382426); #352104=AXIS2_PLACEMENT_3D('',#499701,#382427,#382428); #352105=AXIS2_PLACEMENT_3D('',#499704,#382430,#382431); #352106=AXIS2_PLACEMENT_3D('',#499705,#382432,#382433); #352107=AXIS2_PLACEMENT_3D('',#499707,#382434,#382435); #352108=AXIS2_PLACEMENT_3D('',#499710,#382437,#382438); #352109=AXIS2_PLACEMENT_3D('',#499711,#382439,#382440); #352110=AXIS2_PLACEMENT_3D('',#499713,#382441,#382442); #352111=AXIS2_PLACEMENT_3D('',#499716,#382444,#382445); #352112=AXIS2_PLACEMENT_3D('',#499717,#382446,#382447); #352113=AXIS2_PLACEMENT_3D('',#499719,#382448,#382449); #352114=AXIS2_PLACEMENT_3D('',#499722,#382451,#382452); #352115=AXIS2_PLACEMENT_3D('',#499723,#382453,#382454); #352116=AXIS2_PLACEMENT_3D('',#499725,#382455,#382456); #352117=AXIS2_PLACEMENT_3D('',#499728,#382458,#382459); #352118=AXIS2_PLACEMENT_3D('',#499729,#382460,#382461); #352119=AXIS2_PLACEMENT_3D('',#499731,#382462,#382463); #352120=AXIS2_PLACEMENT_3D('',#499734,#382465,#382466); #352121=AXIS2_PLACEMENT_3D('',#499735,#382467,#382468); #352122=AXIS2_PLACEMENT_3D('',#499737,#382469,#382470); #352123=AXIS2_PLACEMENT_3D('',#499740,#382472,#382473); #352124=AXIS2_PLACEMENT_3D('',#499741,#382474,#382475); #352125=AXIS2_PLACEMENT_3D('',#499743,#382476,#382477); #352126=AXIS2_PLACEMENT_3D('',#499746,#382479,#382480); #352127=AXIS2_PLACEMENT_3D('',#499747,#382481,#382482); #352128=AXIS2_PLACEMENT_3D('',#499749,#382483,#382484); #352129=AXIS2_PLACEMENT_3D('',#499752,#382486,#382487); #352130=AXIS2_PLACEMENT_3D('',#499753,#382488,#382489); #352131=AXIS2_PLACEMENT_3D('',#499755,#382490,#382491); #352132=AXIS2_PLACEMENT_3D('',#499758,#382493,#382494); #352133=AXIS2_PLACEMENT_3D('',#499759,#382495,#382496); #352134=AXIS2_PLACEMENT_3D('',#499761,#382497,#382498); #352135=AXIS2_PLACEMENT_3D('',#499764,#382500,#382501); #352136=AXIS2_PLACEMENT_3D('',#499765,#382502,#382503); #352137=AXIS2_PLACEMENT_3D('',#499767,#382504,#382505); #352138=AXIS2_PLACEMENT_3D('',#499770,#382507,#382508); #352139=AXIS2_PLACEMENT_3D('',#499771,#382509,#382510); #352140=AXIS2_PLACEMENT_3D('',#499773,#382511,#382512); #352141=AXIS2_PLACEMENT_3D('',#499776,#382514,#382515); #352142=AXIS2_PLACEMENT_3D('',#499777,#382516,#382517); #352143=AXIS2_PLACEMENT_3D('',#499779,#382518,#382519); #352144=AXIS2_PLACEMENT_3D('',#499782,#382521,#382522); #352145=AXIS2_PLACEMENT_3D('',#499783,#382523,#382524); #352146=AXIS2_PLACEMENT_3D('',#499785,#382525,#382526); #352147=AXIS2_PLACEMENT_3D('',#499788,#382528,#382529); #352148=AXIS2_PLACEMENT_3D('',#499789,#382530,#382531); #352149=AXIS2_PLACEMENT_3D('',#499791,#382532,#382533); #352150=AXIS2_PLACEMENT_3D('',#499794,#382535,#382536); #352151=AXIS2_PLACEMENT_3D('',#499795,#382537,#382538); #352152=AXIS2_PLACEMENT_3D('',#499797,#382539,#382540); #352153=AXIS2_PLACEMENT_3D('',#499800,#382542,#382543); #352154=AXIS2_PLACEMENT_3D('',#499801,#382544,#382545); #352155=AXIS2_PLACEMENT_3D('',#499803,#382546,#382547); #352156=AXIS2_PLACEMENT_3D('',#499806,#382549,#382550); #352157=AXIS2_PLACEMENT_3D('',#499807,#382551,#382552); #352158=AXIS2_PLACEMENT_3D('',#499809,#382553,#382554); #352159=AXIS2_PLACEMENT_3D('',#499812,#382556,#382557); #352160=AXIS2_PLACEMENT_3D('',#499813,#382558,#382559); #352161=AXIS2_PLACEMENT_3D('',#499815,#382560,#382561); #352162=AXIS2_PLACEMENT_3D('',#499818,#382563,#382564); #352163=AXIS2_PLACEMENT_3D('',#499819,#382565,#382566); #352164=AXIS2_PLACEMENT_3D('',#499821,#382567,#382568); #352165=AXIS2_PLACEMENT_3D('',#499824,#382570,#382571); #352166=AXIS2_PLACEMENT_3D('',#499825,#382572,#382573); #352167=AXIS2_PLACEMENT_3D('',#499827,#382574,#382575); #352168=AXIS2_PLACEMENT_3D('',#499830,#382577,#382578); #352169=AXIS2_PLACEMENT_3D('',#499831,#382579,#382580); #352170=AXIS2_PLACEMENT_3D('',#499833,#382581,#382582); #352171=AXIS2_PLACEMENT_3D('',#499836,#382584,#382585); #352172=AXIS2_PLACEMENT_3D('',#499837,#382586,#382587); #352173=AXIS2_PLACEMENT_3D('',#499846,#382592,#382593); #352174=AXIS2_PLACEMENT_3D('',#499848,#382594,#382595); #352175=AXIS2_PLACEMENT_3D('',#499850,#382596,#382597); #352176=AXIS2_PLACEMENT_3D('',#499852,#382599,#382600); #352177=AXIS2_PLACEMENT_3D('',#499858,#382604,#382605); #352178=AXIS2_PLACEMENT_3D('',#499859,#382606,#382607); #352179=AXIS2_PLACEMENT_3D('',#499860,#382608,#382609); #352180=AXIS2_PLACEMENT_3D('',#499861,#382610,#382611); #352181=AXIS2_PLACEMENT_3D('',#499863,#382612,#382613); #352182=AXIS2_PLACEMENT_3D('',#499866,#382615,#382616); #352183=AXIS2_PLACEMENT_3D('',#499867,#382617,#382618); #352184=AXIS2_PLACEMENT_3D('',#499876,#382623,#382624); #352185=AXIS2_PLACEMENT_3D('',#499878,#382625,#382626); #352186=AXIS2_PLACEMENT_3D('',#499880,#382627,#382628); #352187=AXIS2_PLACEMENT_3D('',#499882,#382630,#382631); #352188=AXIS2_PLACEMENT_3D('',#499888,#382635,#382636); #352189=AXIS2_PLACEMENT_3D('',#499889,#382637,#382638); #352190=AXIS2_PLACEMENT_3D('',#499890,#382639,#382640); #352191=AXIS2_PLACEMENT_3D('',#499891,#382641,#382642); #352192=AXIS2_PLACEMENT_3D('',#499893,#382643,#382644); #352193=AXIS2_PLACEMENT_3D('',#499896,#382646,#382647); #352194=AXIS2_PLACEMENT_3D('',#499897,#382648,#382649); #352195=AXIS2_PLACEMENT_3D('',#499899,#382650,#382651); #352196=AXIS2_PLACEMENT_3D('',#499902,#382653,#382654); #352197=AXIS2_PLACEMENT_3D('',#499903,#382655,#382656); #352198=AXIS2_PLACEMENT_3D('',#499905,#382657,#382658); #352199=AXIS2_PLACEMENT_3D('',#499908,#382660,#382661); #352200=AXIS2_PLACEMENT_3D('',#499909,#382662,#382663); #352201=AXIS2_PLACEMENT_3D('',#499918,#382668,#382669); #352202=AXIS2_PLACEMENT_3D('',#499920,#382670,#382671); #352203=AXIS2_PLACEMENT_3D('',#499922,#382672,#382673); #352204=AXIS2_PLACEMENT_3D('',#499924,#382675,#382676); #352205=AXIS2_PLACEMENT_3D('',#499930,#382680,#382681); #352206=AXIS2_PLACEMENT_3D('',#499931,#382682,#382683); #352207=AXIS2_PLACEMENT_3D('',#499932,#382684,#382685); #352208=AXIS2_PLACEMENT_3D('',#499933,#382686,#382687); #352209=AXIS2_PLACEMENT_3D('',#499935,#382688,#382689); #352210=AXIS2_PLACEMENT_3D('',#499938,#382691,#382692); #352211=AXIS2_PLACEMENT_3D('',#499939,#382693,#382694); #352212=AXIS2_PLACEMENT_3D('',#499941,#382695,#382696); #352213=AXIS2_PLACEMENT_3D('',#499944,#382698,#382699); #352214=AXIS2_PLACEMENT_3D('',#499945,#382700,#382701); #352215=AXIS2_PLACEMENT_3D('',#499947,#382702,#382703); #352216=AXIS2_PLACEMENT_3D('',#499950,#382705,#382706); #352217=AXIS2_PLACEMENT_3D('',#499951,#382707,#382708); #352218=AXIS2_PLACEMENT_3D('',#499953,#382709,#382710); #352219=AXIS2_PLACEMENT_3D('',#499956,#382712,#382713); #352220=AXIS2_PLACEMENT_3D('',#499957,#382714,#382715); #352221=AXIS2_PLACEMENT_3D('',#499959,#382716,#382717); #352222=AXIS2_PLACEMENT_3D('',#499962,#382719,#382720); #352223=AXIS2_PLACEMENT_3D('',#499963,#382721,#382722); #352224=AXIS2_PLACEMENT_3D('',#499965,#382723,#382724); #352225=AXIS2_PLACEMENT_3D('',#499968,#382726,#382727); #352226=AXIS2_PLACEMENT_3D('',#499969,#382728,#382729); #352227=AXIS2_PLACEMENT_3D('',#499971,#382730,#382731); #352228=AXIS2_PLACEMENT_3D('',#499974,#382733,#382734); #352229=AXIS2_PLACEMENT_3D('',#499975,#382735,#382736); #352230=AXIS2_PLACEMENT_3D('',#499977,#382737,#382738); #352231=AXIS2_PLACEMENT_3D('',#499980,#382740,#382741); #352232=AXIS2_PLACEMENT_3D('',#499981,#382742,#382743); #352233=AXIS2_PLACEMENT_3D('',#499983,#382744,#382745); #352234=AXIS2_PLACEMENT_3D('',#499986,#382747,#382748); #352235=AXIS2_PLACEMENT_3D('',#499987,#382749,#382750); #352236=AXIS2_PLACEMENT_3D('',#499989,#382751,#382752); #352237=AXIS2_PLACEMENT_3D('',#499992,#382754,#382755); #352238=AXIS2_PLACEMENT_3D('',#499993,#382756,#382757); #352239=AXIS2_PLACEMENT_3D('',#500002,#382762,#382763); #352240=AXIS2_PLACEMENT_3D('',#500004,#382764,#382765); #352241=AXIS2_PLACEMENT_3D('',#500006,#382766,#382767); #352242=AXIS2_PLACEMENT_3D('',#500008,#382769,#382770); #352243=AXIS2_PLACEMENT_3D('',#500014,#382774,#382775); #352244=AXIS2_PLACEMENT_3D('',#500015,#382776,#382777); #352245=AXIS2_PLACEMENT_3D('',#500016,#382778,#382779); #352246=AXIS2_PLACEMENT_3D('',#500017,#382780,#382781); #352247=AXIS2_PLACEMENT_3D('',#500019,#382782,#382783); #352248=AXIS2_PLACEMENT_3D('',#500022,#382785,#382786); #352249=AXIS2_PLACEMENT_3D('',#500023,#382787,#382788); #352250=AXIS2_PLACEMENT_3D('',#500032,#382793,#382794); #352251=AXIS2_PLACEMENT_3D('',#500034,#382795,#382796); #352252=AXIS2_PLACEMENT_3D('',#500036,#382797,#382798); #352253=AXIS2_PLACEMENT_3D('',#500038,#382800,#382801); #352254=AXIS2_PLACEMENT_3D('',#500044,#382805,#382806); #352255=AXIS2_PLACEMENT_3D('',#500045,#382807,#382808); #352256=AXIS2_PLACEMENT_3D('',#500046,#382809,#382810); #352257=AXIS2_PLACEMENT_3D('',#500047,#382811,#382812); #352258=AXIS2_PLACEMENT_3D('',#500049,#382813,#382814); #352259=AXIS2_PLACEMENT_3D('',#500052,#382816,#382817); #352260=AXIS2_PLACEMENT_3D('',#500053,#382818,#382819); #352261=AXIS2_PLACEMENT_3D('',#500062,#382824,#382825); #352262=AXIS2_PLACEMENT_3D('',#500064,#382826,#382827); #352263=AXIS2_PLACEMENT_3D('',#500066,#382828,#382829); #352264=AXIS2_PLACEMENT_3D('',#500068,#382831,#382832); #352265=AXIS2_PLACEMENT_3D('',#500074,#382836,#382837); #352266=AXIS2_PLACEMENT_3D('',#500075,#382838,#382839); #352267=AXIS2_PLACEMENT_3D('',#500076,#382840,#382841); #352268=AXIS2_PLACEMENT_3D('',#500077,#382842,#382843); #352269=AXIS2_PLACEMENT_3D('',#500079,#382844,#382845); #352270=AXIS2_PLACEMENT_3D('',#500082,#382847,#382848); #352271=AXIS2_PLACEMENT_3D('',#500083,#382849,#382850); #352272=AXIS2_PLACEMENT_3D('',#500085,#382851,#382852); #352273=AXIS2_PLACEMENT_3D('',#500088,#382854,#382855); #352274=AXIS2_PLACEMENT_3D('',#500089,#382856,#382857); #352275=AXIS2_PLACEMENT_3D('',#500091,#382858,#382859); #352276=AXIS2_PLACEMENT_3D('',#500094,#382861,#382862); #352277=AXIS2_PLACEMENT_3D('',#500095,#382863,#382864); #352278=AXIS2_PLACEMENT_3D('',#500097,#382865,#382866); #352279=AXIS2_PLACEMENT_3D('',#500100,#382868,#382869); #352280=AXIS2_PLACEMENT_3D('',#500101,#382870,#382871); #352281=AXIS2_PLACEMENT_3D('',#500103,#382872,#382873); #352282=AXIS2_PLACEMENT_3D('',#500106,#382875,#382876); #352283=AXIS2_PLACEMENT_3D('',#500107,#382877,#382878); #352284=AXIS2_PLACEMENT_3D('',#500109,#382879,#382880); #352285=AXIS2_PLACEMENT_3D('',#500112,#382882,#382883); #352286=AXIS2_PLACEMENT_3D('',#500113,#382884,#382885); #352287=AXIS2_PLACEMENT_3D('',#500115,#382886,#382887); #352288=AXIS2_PLACEMENT_3D('',#500118,#382889,#382890); #352289=AXIS2_PLACEMENT_3D('',#500119,#382891,#382892); #352290=AXIS2_PLACEMENT_3D('',#500121,#382893,#382894); #352291=AXIS2_PLACEMENT_3D('',#500124,#382896,#382897); #352292=AXIS2_PLACEMENT_3D('',#500125,#382898,#382899); #352293=AXIS2_PLACEMENT_3D('',#500127,#382900,#382901); #352294=AXIS2_PLACEMENT_3D('',#500130,#382903,#382904); #352295=AXIS2_PLACEMENT_3D('',#500131,#382905,#382906); #352296=AXIS2_PLACEMENT_3D('',#500133,#382907,#382908); #352297=AXIS2_PLACEMENT_3D('',#500136,#382910,#382911); #352298=AXIS2_PLACEMENT_3D('',#500137,#382912,#382913); #352299=AXIS2_PLACEMENT_3D('',#500139,#382914,#382915); #352300=AXIS2_PLACEMENT_3D('',#500142,#382917,#382918); #352301=AXIS2_PLACEMENT_3D('',#500143,#382919,#382920); #352302=AXIS2_PLACEMENT_3D('',#500145,#382921,#382922); #352303=AXIS2_PLACEMENT_3D('',#500148,#382924,#382925); #352304=AXIS2_PLACEMENT_3D('',#500149,#382926,#382927); #352305=AXIS2_PLACEMENT_3D('',#500151,#382928,#382929); #352306=AXIS2_PLACEMENT_3D('',#500154,#382931,#382932); #352307=AXIS2_PLACEMENT_3D('',#500155,#382933,#382934); #352308=AXIS2_PLACEMENT_3D('',#500157,#382935,#382936); #352309=AXIS2_PLACEMENT_3D('',#500160,#382938,#382939); #352310=AXIS2_PLACEMENT_3D('',#500161,#382940,#382941); #352311=AXIS2_PLACEMENT_3D('',#500163,#382942,#382943); #352312=AXIS2_PLACEMENT_3D('',#500166,#382945,#382946); #352313=AXIS2_PLACEMENT_3D('',#500167,#382947,#382948); #352314=AXIS2_PLACEMENT_3D('',#500169,#382949,#382950); #352315=AXIS2_PLACEMENT_3D('',#500172,#382952,#382953); #352316=AXIS2_PLACEMENT_3D('',#500173,#382954,#382955); #352317=AXIS2_PLACEMENT_3D('',#500175,#382956,#382957); #352318=AXIS2_PLACEMENT_3D('',#500178,#382959,#382960); #352319=AXIS2_PLACEMENT_3D('',#500179,#382961,#382962); #352320=AXIS2_PLACEMENT_3D('',#500181,#382963,#382964); #352321=AXIS2_PLACEMENT_3D('',#500184,#382966,#382967); #352322=AXIS2_PLACEMENT_3D('',#500185,#382968,#382969); #352323=AXIS2_PLACEMENT_3D('',#500187,#382970,#382971); #352324=AXIS2_PLACEMENT_3D('',#500190,#382973,#382974); #352325=AXIS2_PLACEMENT_3D('',#500191,#382975,#382976); #352326=AXIS2_PLACEMENT_3D('',#500193,#382977,#382978); #352327=AXIS2_PLACEMENT_3D('',#500196,#382980,#382981); #352328=AXIS2_PLACEMENT_3D('',#500197,#382982,#382983); #352329=AXIS2_PLACEMENT_3D('',#500199,#382984,#382985); #352330=AXIS2_PLACEMENT_3D('',#500202,#382987,#382988); #352331=AXIS2_PLACEMENT_3D('',#500203,#382989,#382990); #352332=AXIS2_PLACEMENT_3D('',#500205,#382991,#382992); #352333=AXIS2_PLACEMENT_3D('',#500208,#382994,#382995); #352334=AXIS2_PLACEMENT_3D('',#500209,#382996,#382997); #352335=AXIS2_PLACEMENT_3D('',#500211,#382998,#382999); #352336=AXIS2_PLACEMENT_3D('',#500214,#383001,#383002); #352337=AXIS2_PLACEMENT_3D('',#500215,#383003,#383004); #352338=AXIS2_PLACEMENT_3D('',#500217,#383005,#383006); #352339=AXIS2_PLACEMENT_3D('',#500220,#383008,#383009); #352340=AXIS2_PLACEMENT_3D('',#500221,#383010,#383011); #352341=AXIS2_PLACEMENT_3D('',#500223,#383012,#383013); #352342=AXIS2_PLACEMENT_3D('',#500226,#383015,#383016); #352343=AXIS2_PLACEMENT_3D('',#500227,#383017,#383018); #352344=AXIS2_PLACEMENT_3D('',#500229,#383019,#383020); #352345=AXIS2_PLACEMENT_3D('',#500232,#383022,#383023); #352346=AXIS2_PLACEMENT_3D('',#500233,#383024,#383025); #352347=AXIS2_PLACEMENT_3D('',#500235,#383026,#383027); #352348=AXIS2_PLACEMENT_3D('',#500238,#383029,#383030); #352349=AXIS2_PLACEMENT_3D('',#500239,#383031,#383032); #352350=AXIS2_PLACEMENT_3D('',#500241,#383033,#383034); #352351=AXIS2_PLACEMENT_3D('',#500244,#383036,#383037); #352352=AXIS2_PLACEMENT_3D('',#500245,#383038,#383039); #352353=AXIS2_PLACEMENT_3D('',#500247,#383040,#383041); #352354=AXIS2_PLACEMENT_3D('',#500250,#383043,#383044); #352355=AXIS2_PLACEMENT_3D('',#500251,#383045,#383046); #352356=AXIS2_PLACEMENT_3D('',#500253,#383047,#383048); #352357=AXIS2_PLACEMENT_3D('',#500256,#383050,#383051); #352358=AXIS2_PLACEMENT_3D('',#500257,#383052,#383053); #352359=AXIS2_PLACEMENT_3D('',#500259,#383054,#383055); #352360=AXIS2_PLACEMENT_3D('',#500262,#383057,#383058); #352361=AXIS2_PLACEMENT_3D('',#500263,#383059,#383060); #352362=AXIS2_PLACEMENT_3D('',#500265,#383061,#383062); #352363=AXIS2_PLACEMENT_3D('',#500268,#383064,#383065); #352364=AXIS2_PLACEMENT_3D('',#500269,#383066,#383067); #352365=AXIS2_PLACEMENT_3D('',#500271,#383068,#383069); #352366=AXIS2_PLACEMENT_3D('',#500274,#383071,#383072); #352367=AXIS2_PLACEMENT_3D('',#500275,#383073,#383074); #352368=AXIS2_PLACEMENT_3D('',#500277,#383075,#383076); #352369=AXIS2_PLACEMENT_3D('',#500280,#383078,#383079); #352370=AXIS2_PLACEMENT_3D('',#500281,#383080,#383081); #352371=AXIS2_PLACEMENT_3D('',#500283,#383082,#383083); #352372=AXIS2_PLACEMENT_3D('',#500286,#383085,#383086); #352373=AXIS2_PLACEMENT_3D('',#500287,#383087,#383088); #352374=AXIS2_PLACEMENT_3D('',#500289,#383089,#383090); #352375=AXIS2_PLACEMENT_3D('',#500292,#383092,#383093); #352376=AXIS2_PLACEMENT_3D('',#500293,#383094,#383095); #352377=AXIS2_PLACEMENT_3D('',#500295,#383096,#383097); #352378=AXIS2_PLACEMENT_3D('',#500298,#383099,#383100); #352379=AXIS2_PLACEMENT_3D('',#500299,#383101,#383102); #352380=AXIS2_PLACEMENT_3D('',#500301,#383103,#383104); #352381=AXIS2_PLACEMENT_3D('',#500304,#383106,#383107); #352382=AXIS2_PLACEMENT_3D('',#500305,#383108,#383109); #352383=AXIS2_PLACEMENT_3D('',#500307,#383110,#383111); #352384=AXIS2_PLACEMENT_3D('',#500310,#383113,#383114); #352385=AXIS2_PLACEMENT_3D('',#500311,#383115,#383116); #352386=AXIS2_PLACEMENT_3D('',#500313,#383117,#383118); #352387=AXIS2_PLACEMENT_3D('',#500316,#383120,#383121); #352388=AXIS2_PLACEMENT_3D('',#500317,#383122,#383123); #352389=AXIS2_PLACEMENT_3D('',#500319,#383124,#383125); #352390=AXIS2_PLACEMENT_3D('',#500322,#383127,#383128); #352391=AXIS2_PLACEMENT_3D('',#500323,#383129,#383130); #352392=AXIS2_PLACEMENT_3D('',#500325,#383131,#383132); #352393=AXIS2_PLACEMENT_3D('',#500328,#383134,#383135); #352394=AXIS2_PLACEMENT_3D('',#500329,#383136,#383137); #352395=AXIS2_PLACEMENT_3D('',#500331,#383138,#383139); #352396=AXIS2_PLACEMENT_3D('',#500334,#383141,#383142); #352397=AXIS2_PLACEMENT_3D('',#500335,#383143,#383144); #352398=AXIS2_PLACEMENT_3D('',#500337,#383145,#383146); #352399=AXIS2_PLACEMENT_3D('',#500340,#383148,#383149); #352400=AXIS2_PLACEMENT_3D('',#500341,#383150,#383151); #352401=AXIS2_PLACEMENT_3D('',#500343,#383152,#383153); #352402=AXIS2_PLACEMENT_3D('',#500346,#383155,#383156); #352403=AXIS2_PLACEMENT_3D('',#500347,#383157,#383158); #352404=AXIS2_PLACEMENT_3D('',#500349,#383159,#383160); #352405=AXIS2_PLACEMENT_3D('',#500352,#383162,#383163); #352406=AXIS2_PLACEMENT_3D('',#500353,#383164,#383165); #352407=AXIS2_PLACEMENT_3D('',#500355,#383166,#383167); #352408=AXIS2_PLACEMENT_3D('',#500358,#383169,#383170); #352409=AXIS2_PLACEMENT_3D('',#500359,#383171,#383172); #352410=AXIS2_PLACEMENT_3D('',#500361,#383173,#383174); #352411=AXIS2_PLACEMENT_3D('',#500364,#383176,#383177); #352412=AXIS2_PLACEMENT_3D('',#500365,#383178,#383179); #352413=AXIS2_PLACEMENT_3D('',#500367,#383180,#383181); #352414=AXIS2_PLACEMENT_3D('',#500370,#383183,#383184); #352415=AXIS2_PLACEMENT_3D('',#500371,#383185,#383186); #352416=AXIS2_PLACEMENT_3D('',#500373,#383187,#383188); #352417=AXIS2_PLACEMENT_3D('',#500376,#383190,#383191); #352418=AXIS2_PLACEMENT_3D('',#500377,#383192,#383193); #352419=AXIS2_PLACEMENT_3D('',#500379,#383194,#383195); #352420=AXIS2_PLACEMENT_3D('',#500382,#383197,#383198); #352421=AXIS2_PLACEMENT_3D('',#500383,#383199,#383200); #352422=AXIS2_PLACEMENT_3D('',#500385,#383201,#383202); #352423=AXIS2_PLACEMENT_3D('',#500388,#383204,#383205); #352424=AXIS2_PLACEMENT_3D('',#500389,#383206,#383207); #352425=AXIS2_PLACEMENT_3D('',#500391,#383208,#383209); #352426=AXIS2_PLACEMENT_3D('',#500394,#383211,#383212); #352427=AXIS2_PLACEMENT_3D('',#500395,#383213,#383214); #352428=AXIS2_PLACEMENT_3D('',#500397,#383215,#383216); #352429=AXIS2_PLACEMENT_3D('',#500400,#383218,#383219); #352430=AXIS2_PLACEMENT_3D('',#500401,#383220,#383221); #352431=AXIS2_PLACEMENT_3D('',#500403,#383222,#383223); #352432=AXIS2_PLACEMENT_3D('',#500406,#383225,#383226); #352433=AXIS2_PLACEMENT_3D('',#500407,#383227,#383228); #352434=AXIS2_PLACEMENT_3D('',#500409,#383229,#383230); #352435=AXIS2_PLACEMENT_3D('',#500412,#383232,#383233); #352436=AXIS2_PLACEMENT_3D('',#500413,#383234,#383235); #352437=AXIS2_PLACEMENT_3D('',#500415,#383236,#383237); #352438=AXIS2_PLACEMENT_3D('',#500418,#383239,#383240); #352439=AXIS2_PLACEMENT_3D('',#500419,#383241,#383242); #352440=AXIS2_PLACEMENT_3D('',#500421,#383243,#383244); #352441=AXIS2_PLACEMENT_3D('',#500424,#383246,#383247); #352442=AXIS2_PLACEMENT_3D('',#500425,#383248,#383249); #352443=AXIS2_PLACEMENT_3D('',#500427,#383250,#383251); #352444=AXIS2_PLACEMENT_3D('',#500430,#383253,#383254); #352445=AXIS2_PLACEMENT_3D('',#500431,#383255,#383256); #352446=AXIS2_PLACEMENT_3D('',#500433,#383257,#383258); #352447=AXIS2_PLACEMENT_3D('',#500436,#383260,#383261); #352448=AXIS2_PLACEMENT_3D('',#500437,#383262,#383263); #352449=AXIS2_PLACEMENT_3D('',#500439,#383264,#383265); #352450=AXIS2_PLACEMENT_3D('',#500442,#383267,#383268); #352451=AXIS2_PLACEMENT_3D('',#500443,#383269,#383270); #352452=AXIS2_PLACEMENT_3D('',#500445,#383271,#383272); #352453=AXIS2_PLACEMENT_3D('',#500448,#383274,#383275); #352454=AXIS2_PLACEMENT_3D('',#500449,#383276,#383277); #352455=AXIS2_PLACEMENT_3D('',#500451,#383278,#383279); #352456=AXIS2_PLACEMENT_3D('',#500454,#383281,#383282); #352457=AXIS2_PLACEMENT_3D('',#500455,#383283,#383284); #352458=AXIS2_PLACEMENT_3D('',#500457,#383285,#383286); #352459=AXIS2_PLACEMENT_3D('',#500460,#383288,#383289); #352460=AXIS2_PLACEMENT_3D('',#500461,#383290,#383291); #352461=AXIS2_PLACEMENT_3D('',#500463,#383292,#383293); #352462=AXIS2_PLACEMENT_3D('',#500466,#383295,#383296); #352463=AXIS2_PLACEMENT_3D('',#500467,#383297,#383298); #352464=AXIS2_PLACEMENT_3D('',#500469,#383299,#383300); #352465=AXIS2_PLACEMENT_3D('',#500472,#383302,#383303); #352466=AXIS2_PLACEMENT_3D('',#500473,#383304,#383305); #352467=AXIS2_PLACEMENT_3D('',#500475,#383306,#383307); #352468=AXIS2_PLACEMENT_3D('',#500478,#383309,#383310); #352469=AXIS2_PLACEMENT_3D('',#500479,#383311,#383312); #352470=AXIS2_PLACEMENT_3D('',#500481,#383313,#383314); #352471=AXIS2_PLACEMENT_3D('',#500484,#383316,#383317); #352472=AXIS2_PLACEMENT_3D('',#500485,#383318,#383319); #352473=AXIS2_PLACEMENT_3D('',#500487,#383320,#383321); #352474=AXIS2_PLACEMENT_3D('',#500490,#383323,#383324); #352475=AXIS2_PLACEMENT_3D('',#500491,#383325,#383326); #352476=AXIS2_PLACEMENT_3D('',#500493,#383327,#383328); #352477=AXIS2_PLACEMENT_3D('',#500496,#383330,#383331); #352478=AXIS2_PLACEMENT_3D('',#500497,#383332,#383333); #352479=AXIS2_PLACEMENT_3D('',#500499,#383334,#383335); #352480=AXIS2_PLACEMENT_3D('',#500502,#383337,#383338); #352481=AXIS2_PLACEMENT_3D('',#500503,#383339,#383340); #352482=AXIS2_PLACEMENT_3D('',#500505,#383341,#383342); #352483=AXIS2_PLACEMENT_3D('',#500508,#383344,#383345); #352484=AXIS2_PLACEMENT_3D('',#500509,#383346,#383347); #352485=AXIS2_PLACEMENT_3D('',#500511,#383348,#383349); #352486=AXIS2_PLACEMENT_3D('',#500514,#383351,#383352); #352487=AXIS2_PLACEMENT_3D('',#500515,#383353,#383354); #352488=AXIS2_PLACEMENT_3D('',#500517,#383355,#383356); #352489=AXIS2_PLACEMENT_3D('',#500520,#383358,#383359); #352490=AXIS2_PLACEMENT_3D('',#500521,#383360,#383361); #352491=AXIS2_PLACEMENT_3D('',#500523,#383362,#383363); #352492=AXIS2_PLACEMENT_3D('',#500526,#383365,#383366); #352493=AXIS2_PLACEMENT_3D('',#500527,#383367,#383368); #352494=AXIS2_PLACEMENT_3D('',#500529,#383369,#383370); #352495=AXIS2_PLACEMENT_3D('',#500532,#383372,#383373); #352496=AXIS2_PLACEMENT_3D('',#500533,#383374,#383375); #352497=AXIS2_PLACEMENT_3D('',#500535,#383376,#383377); #352498=AXIS2_PLACEMENT_3D('',#500538,#383379,#383380); #352499=AXIS2_PLACEMENT_3D('',#500539,#383381,#383382); #352500=AXIS2_PLACEMENT_3D('',#500541,#383383,#383384); #352501=AXIS2_PLACEMENT_3D('',#500544,#383386,#383387); #352502=AXIS2_PLACEMENT_3D('',#500545,#383388,#383389); #352503=AXIS2_PLACEMENT_3D('',#500547,#383390,#383391); #352504=AXIS2_PLACEMENT_3D('',#500550,#383393,#383394); #352505=AXIS2_PLACEMENT_3D('',#500551,#383395,#383396); #352506=AXIS2_PLACEMENT_3D('',#500553,#383397,#383398); #352507=AXIS2_PLACEMENT_3D('',#500556,#383400,#383401); #352508=AXIS2_PLACEMENT_3D('',#500557,#383402,#383403); #352509=AXIS2_PLACEMENT_3D('',#500559,#383404,#383405); #352510=AXIS2_PLACEMENT_3D('',#500562,#383407,#383408); #352511=AXIS2_PLACEMENT_3D('',#500563,#383409,#383410); #352512=AXIS2_PLACEMENT_3D('',#500565,#383411,#383412); #352513=AXIS2_PLACEMENT_3D('',#500568,#383414,#383415); #352514=AXIS2_PLACEMENT_3D('',#500569,#383416,#383417); #352515=AXIS2_PLACEMENT_3D('',#500571,#383418,#383419); #352516=AXIS2_PLACEMENT_3D('',#500574,#383421,#383422); #352517=AXIS2_PLACEMENT_3D('',#500575,#383423,#383424); #352518=AXIS2_PLACEMENT_3D('',#500577,#383425,#383426); #352519=AXIS2_PLACEMENT_3D('',#500580,#383428,#383429); #352520=AXIS2_PLACEMENT_3D('',#500581,#383430,#383431); #352521=AXIS2_PLACEMENT_3D('',#500583,#383432,#383433); #352522=AXIS2_PLACEMENT_3D('',#500586,#383435,#383436); #352523=AXIS2_PLACEMENT_3D('',#500587,#383437,#383438); #352524=AXIS2_PLACEMENT_3D('',#500589,#383439,#383440); #352525=AXIS2_PLACEMENT_3D('',#500592,#383442,#383443); #352526=AXIS2_PLACEMENT_3D('',#500593,#383444,#383445); #352527=AXIS2_PLACEMENT_3D('',#500595,#383446,#383447); #352528=AXIS2_PLACEMENT_3D('',#500598,#383449,#383450); #352529=AXIS2_PLACEMENT_3D('',#500599,#383451,#383452); #352530=AXIS2_PLACEMENT_3D('',#500601,#383453,#383454); #352531=AXIS2_PLACEMENT_3D('',#500604,#383456,#383457); #352532=AXIS2_PLACEMENT_3D('',#500605,#383458,#383459); #352533=AXIS2_PLACEMENT_3D('',#500607,#383460,#383461); #352534=AXIS2_PLACEMENT_3D('',#500610,#383463,#383464); #352535=AXIS2_PLACEMENT_3D('',#500611,#383465,#383466); #352536=AXIS2_PLACEMENT_3D('',#500613,#383467,#383468); #352537=AXIS2_PLACEMENT_3D('',#500616,#383470,#383471); #352538=AXIS2_PLACEMENT_3D('',#500617,#383472,#383473); #352539=AXIS2_PLACEMENT_3D('',#500619,#383474,#383475); #352540=AXIS2_PLACEMENT_3D('',#500622,#383477,#383478); #352541=AXIS2_PLACEMENT_3D('',#500623,#383479,#383480); #352542=AXIS2_PLACEMENT_3D('',#500625,#383481,#383482); #352543=AXIS2_PLACEMENT_3D('',#500628,#383484,#383485); #352544=AXIS2_PLACEMENT_3D('',#500629,#383486,#383487); #352545=AXIS2_PLACEMENT_3D('',#500631,#383488,#383489); #352546=AXIS2_PLACEMENT_3D('',#500634,#383491,#383492); #352547=AXIS2_PLACEMENT_3D('',#500635,#383493,#383494); #352548=AXIS2_PLACEMENT_3D('',#500637,#383495,#383496); #352549=AXIS2_PLACEMENT_3D('',#500640,#383498,#383499); #352550=AXIS2_PLACEMENT_3D('',#500641,#383500,#383501); #352551=AXIS2_PLACEMENT_3D('',#500643,#383502,#383503); #352552=AXIS2_PLACEMENT_3D('',#500646,#383505,#383506); #352553=AXIS2_PLACEMENT_3D('',#500647,#383507,#383508); #352554=AXIS2_PLACEMENT_3D('',#500649,#383509,#383510); #352555=AXIS2_PLACEMENT_3D('',#500652,#383512,#383513); #352556=AXIS2_PLACEMENT_3D('',#500653,#383514,#383515); #352557=AXIS2_PLACEMENT_3D('',#500655,#383516,#383517); #352558=AXIS2_PLACEMENT_3D('',#500658,#383519,#383520); #352559=AXIS2_PLACEMENT_3D('',#500659,#383521,#383522); #352560=AXIS2_PLACEMENT_3D('',#500661,#383523,#383524); #352561=AXIS2_PLACEMENT_3D('',#500664,#383526,#383527); #352562=AXIS2_PLACEMENT_3D('',#500665,#383528,#383529); #352563=AXIS2_PLACEMENT_3D('',#500667,#383530,#383531); #352564=AXIS2_PLACEMENT_3D('',#500670,#383533,#383534); #352565=AXIS2_PLACEMENT_3D('',#500671,#383535,#383536); #352566=AXIS2_PLACEMENT_3D('',#500673,#383537,#383538); #352567=AXIS2_PLACEMENT_3D('',#500676,#383540,#383541); #352568=AXIS2_PLACEMENT_3D('',#500677,#383542,#383543); #352569=AXIS2_PLACEMENT_3D('',#500679,#383544,#383545); #352570=AXIS2_PLACEMENT_3D('',#500682,#383547,#383548); #352571=AXIS2_PLACEMENT_3D('',#500683,#383549,#383550); #352572=AXIS2_PLACEMENT_3D('',#500685,#383551,#383552); #352573=AXIS2_PLACEMENT_3D('',#500688,#383554,#383555); #352574=AXIS2_PLACEMENT_3D('',#500689,#383556,#383557); #352575=AXIS2_PLACEMENT_3D('',#500691,#383558,#383559); #352576=AXIS2_PLACEMENT_3D('',#500694,#383561,#383562); #352577=AXIS2_PLACEMENT_3D('',#500695,#383563,#383564); #352578=AXIS2_PLACEMENT_3D('',#500697,#383565,#383566); #352579=AXIS2_PLACEMENT_3D('',#500700,#383568,#383569); #352580=AXIS2_PLACEMENT_3D('',#500701,#383570,#383571); #352581=AXIS2_PLACEMENT_3D('',#500703,#383572,#383573); #352582=AXIS2_PLACEMENT_3D('',#500706,#383575,#383576); #352583=AXIS2_PLACEMENT_3D('',#500707,#383577,#383578); #352584=AXIS2_PLACEMENT_3D('',#500709,#383579,#383580); #352585=AXIS2_PLACEMENT_3D('',#500712,#383582,#383583); #352586=AXIS2_PLACEMENT_3D('',#500713,#383584,#383585); #352587=AXIS2_PLACEMENT_3D('',#500715,#383586,#383587); #352588=AXIS2_PLACEMENT_3D('',#500718,#383589,#383590); #352589=AXIS2_PLACEMENT_3D('',#500719,#383591,#383592); #352590=AXIS2_PLACEMENT_3D('',#500721,#383593,#383594); #352591=AXIS2_PLACEMENT_3D('',#500724,#383596,#383597); #352592=AXIS2_PLACEMENT_3D('',#500725,#383598,#383599); #352593=AXIS2_PLACEMENT_3D('',#500727,#383600,#383601); #352594=AXIS2_PLACEMENT_3D('',#500730,#383603,#383604); #352595=AXIS2_PLACEMENT_3D('',#500731,#383605,#383606); #352596=AXIS2_PLACEMENT_3D('',#500733,#383607,#383608); #352597=AXIS2_PLACEMENT_3D('',#500736,#383610,#383611); #352598=AXIS2_PLACEMENT_3D('',#500737,#383612,#383613); #352599=AXIS2_PLACEMENT_3D('',#500739,#383614,#383615); #352600=AXIS2_PLACEMENT_3D('',#500742,#383617,#383618); #352601=AXIS2_PLACEMENT_3D('',#500743,#383619,#383620); #352602=AXIS2_PLACEMENT_3D('',#500745,#383621,#383622); #352603=AXIS2_PLACEMENT_3D('',#500748,#383624,#383625); #352604=AXIS2_PLACEMENT_3D('',#500749,#383626,#383627); #352605=AXIS2_PLACEMENT_3D('',#500751,#383628,#383629); #352606=AXIS2_PLACEMENT_3D('',#500754,#383631,#383632); #352607=AXIS2_PLACEMENT_3D('',#500755,#383633,#383634); #352608=AXIS2_PLACEMENT_3D('',#500757,#383635,#383636); #352609=AXIS2_PLACEMENT_3D('',#500760,#383638,#383639); #352610=AXIS2_PLACEMENT_3D('',#500761,#383640,#383641); #352611=AXIS2_PLACEMENT_3D('',#500763,#383642,#383643); #352612=AXIS2_PLACEMENT_3D('',#500766,#383645,#383646); #352613=AXIS2_PLACEMENT_3D('',#500767,#383647,#383648); #352614=AXIS2_PLACEMENT_3D('',#500769,#383649,#383650); #352615=AXIS2_PLACEMENT_3D('',#500772,#383652,#383653); #352616=AXIS2_PLACEMENT_3D('',#500773,#383654,#383655); #352617=AXIS2_PLACEMENT_3D('',#500775,#383656,#383657); #352618=AXIS2_PLACEMENT_3D('',#500778,#383659,#383660); #352619=AXIS2_PLACEMENT_3D('',#500779,#383661,#383662); #352620=AXIS2_PLACEMENT_3D('',#500781,#383663,#383664); #352621=AXIS2_PLACEMENT_3D('',#500784,#383666,#383667); #352622=AXIS2_PLACEMENT_3D('',#500785,#383668,#383669); #352623=AXIS2_PLACEMENT_3D('',#500787,#383670,#383671); #352624=AXIS2_PLACEMENT_3D('',#500790,#383673,#383674); #352625=AXIS2_PLACEMENT_3D('',#500791,#383675,#383676); #352626=AXIS2_PLACEMENT_3D('',#500793,#383677,#383678); #352627=AXIS2_PLACEMENT_3D('',#500796,#383680,#383681); #352628=AXIS2_PLACEMENT_3D('',#500797,#383682,#383683); #352629=AXIS2_PLACEMENT_3D('',#500799,#383684,#383685); #352630=AXIS2_PLACEMENT_3D('',#500802,#383687,#383688); #352631=AXIS2_PLACEMENT_3D('',#500803,#383689,#383690); #352632=AXIS2_PLACEMENT_3D('',#500805,#383691,#383692); #352633=AXIS2_PLACEMENT_3D('',#500808,#383694,#383695); #352634=AXIS2_PLACEMENT_3D('',#500809,#383696,#383697); #352635=AXIS2_PLACEMENT_3D('',#500811,#383698,#383699); #352636=AXIS2_PLACEMENT_3D('',#500814,#383701,#383702); #352637=AXIS2_PLACEMENT_3D('',#500815,#383703,#383704); #352638=AXIS2_PLACEMENT_3D('',#500817,#383705,#383706); #352639=AXIS2_PLACEMENT_3D('',#500820,#383708,#383709); #352640=AXIS2_PLACEMENT_3D('',#500821,#383710,#383711); #352641=AXIS2_PLACEMENT_3D('',#500823,#383712,#383713); #352642=AXIS2_PLACEMENT_3D('',#500826,#383715,#383716); #352643=AXIS2_PLACEMENT_3D('',#500827,#383717,#383718); #352644=AXIS2_PLACEMENT_3D('',#500829,#383719,#383720); #352645=AXIS2_PLACEMENT_3D('',#500832,#383722,#383723); #352646=AXIS2_PLACEMENT_3D('',#500833,#383724,#383725); #352647=AXIS2_PLACEMENT_3D('',#500835,#383726,#383727); #352648=AXIS2_PLACEMENT_3D('',#500838,#383729,#383730); #352649=AXIS2_PLACEMENT_3D('',#500839,#383731,#383732); #352650=AXIS2_PLACEMENT_3D('',#500841,#383733,#383734); #352651=AXIS2_PLACEMENT_3D('',#500844,#383736,#383737); #352652=AXIS2_PLACEMENT_3D('',#500845,#383738,#383739); #352653=AXIS2_PLACEMENT_3D('',#500847,#383740,#383741); #352654=AXIS2_PLACEMENT_3D('',#500850,#383743,#383744); #352655=AXIS2_PLACEMENT_3D('',#500851,#383745,#383746); #352656=AXIS2_PLACEMENT_3D('',#500853,#383747,#383748); #352657=AXIS2_PLACEMENT_3D('',#500856,#383750,#383751); #352658=AXIS2_PLACEMENT_3D('',#500857,#383752,#383753); #352659=AXIS2_PLACEMENT_3D('',#500859,#383754,#383755); #352660=AXIS2_PLACEMENT_3D('',#500862,#383757,#383758); #352661=AXIS2_PLACEMENT_3D('',#500863,#383759,#383760); #352662=AXIS2_PLACEMENT_3D('',#500865,#383761,#383762); #352663=AXIS2_PLACEMENT_3D('',#500868,#383764,#383765); #352664=AXIS2_PLACEMENT_3D('',#500869,#383766,#383767); #352665=AXIS2_PLACEMENT_3D('',#500871,#383768,#383769); #352666=AXIS2_PLACEMENT_3D('',#500874,#383771,#383772); #352667=AXIS2_PLACEMENT_3D('',#500875,#383773,#383774); #352668=AXIS2_PLACEMENT_3D('',#500876,#383775,#383776); #352669=AXIS2_PLACEMENT_3D('',#500877,#383777,#383778); #352670=AXIS2_PLACEMENT_3D('',#500879,#383779,#383780); #352671=AXIS2_PLACEMENT_3D('',#500882,#383782,#383783); #352672=AXIS2_PLACEMENT_3D('',#500883,#383784,#383785); #352673=AXIS2_PLACEMENT_3D('',#500885,#383786,#383787); #352674=AXIS2_PLACEMENT_3D('',#500888,#383789,#383790); #352675=AXIS2_PLACEMENT_3D('',#500889,#383791,#383792); #352676=AXIS2_PLACEMENT_3D('',#500890,#383793,#383794); #352677=AXIS2_PLACEMENT_3D('',#500891,#383795,#383796); #352678=AXIS2_PLACEMENT_3D('',#500893,#383797,#383798); #352679=AXIS2_PLACEMENT_3D('',#500896,#383800,#383801); #352680=AXIS2_PLACEMENT_3D('',#500897,#383802,#383803); #352681=AXIS2_PLACEMENT_3D('',#500899,#383804,#383805); #352682=AXIS2_PLACEMENT_3D('',#500902,#383807,#383808); #352683=AXIS2_PLACEMENT_3D('',#500903,#383809,#383810); #352684=AXIS2_PLACEMENT_3D('',#500904,#383811,#383812); #352685=AXIS2_PLACEMENT_3D('',#500905,#383813,#383814); #352686=AXIS2_PLACEMENT_3D('',#500907,#383815,#383816); #352687=AXIS2_PLACEMENT_3D('',#500910,#383818,#383819); #352688=AXIS2_PLACEMENT_3D('',#500911,#383820,#383821); #352689=AXIS2_PLACEMENT_3D('',#500913,#383822,#383823); #352690=AXIS2_PLACEMENT_3D('',#500916,#383825,#383826); #352691=AXIS2_PLACEMENT_3D('',#500917,#383827,#383828); #352692=AXIS2_PLACEMENT_3D('',#500918,#383829,#383830); #352693=AXIS2_PLACEMENT_3D('',#500919,#383831,#383832); #352694=AXIS2_PLACEMENT_3D('',#500921,#383833,#383834); #352695=AXIS2_PLACEMENT_3D('',#500924,#383836,#383837); #352696=AXIS2_PLACEMENT_3D('',#500925,#383838,#383839); #352697=AXIS2_PLACEMENT_3D('',#500927,#383840,#383841); #352698=AXIS2_PLACEMENT_3D('',#500930,#383843,#383844); #352699=AXIS2_PLACEMENT_3D('',#500931,#383845,#383846); #352700=AXIS2_PLACEMENT_3D('',#500932,#383847,#383848); #352701=AXIS2_PLACEMENT_3D('',#500933,#383849,#383850); #352702=AXIS2_PLACEMENT_3D('',#500935,#383851,#383852); #352703=AXIS2_PLACEMENT_3D('',#500938,#383854,#383855); #352704=AXIS2_PLACEMENT_3D('',#500939,#383856,#383857); #352705=AXIS2_PLACEMENT_3D('',#500941,#383858,#383859); #352706=AXIS2_PLACEMENT_3D('',#500944,#383861,#383862); #352707=AXIS2_PLACEMENT_3D('',#500945,#383863,#383864); #352708=AXIS2_PLACEMENT_3D('',#500946,#383865,#383866); #352709=AXIS2_PLACEMENT_3D('',#500947,#383867,#383868); #352710=AXIS2_PLACEMENT_3D('',#500949,#383869,#383870); #352711=AXIS2_PLACEMENT_3D('',#500952,#383872,#383873); #352712=AXIS2_PLACEMENT_3D('',#500953,#383874,#383875); #352713=AXIS2_PLACEMENT_3D('',#500955,#383876,#383877); #352714=AXIS2_PLACEMENT_3D('',#500958,#383879,#383880); #352715=AXIS2_PLACEMENT_3D('',#500959,#383881,#383882); #352716=AXIS2_PLACEMENT_3D('',#500960,#383883,#383884); #352717=AXIS2_PLACEMENT_3D('',#500961,#383885,#383886); #352718=AXIS2_PLACEMENT_3D('',#500963,#383887,#383888); #352719=AXIS2_PLACEMENT_3D('',#500966,#383890,#383891); #352720=AXIS2_PLACEMENT_3D('',#500967,#383892,#383893); #352721=AXIS2_PLACEMENT_3D('',#500969,#383894,#383895); #352722=AXIS2_PLACEMENT_3D('',#500972,#383897,#383898); #352723=AXIS2_PLACEMENT_3D('',#500973,#383899,#383900); #352724=AXIS2_PLACEMENT_3D('',#500974,#383901,#383902); #352725=AXIS2_PLACEMENT_3D('',#500975,#383903,#383904); #352726=AXIS2_PLACEMENT_3D('',#500977,#383905,#383906); #352727=AXIS2_PLACEMENT_3D('',#500980,#383908,#383909); #352728=AXIS2_PLACEMENT_3D('',#500981,#383910,#383911); #352729=AXIS2_PLACEMENT_3D('',#500983,#383912,#383913); #352730=AXIS2_PLACEMENT_3D('',#500986,#383915,#383916); #352731=AXIS2_PLACEMENT_3D('',#500987,#383917,#383918); #352732=AXIS2_PLACEMENT_3D('',#500988,#383919,#383920); #352733=AXIS2_PLACEMENT_3D('',#500989,#383921,#383922); #352734=AXIS2_PLACEMENT_3D('',#500991,#383923,#383924); #352735=AXIS2_PLACEMENT_3D('',#500994,#383926,#383927); #352736=AXIS2_PLACEMENT_3D('',#500995,#383928,#383929); #352737=AXIS2_PLACEMENT_3D('',#500997,#383930,#383931); #352738=AXIS2_PLACEMENT_3D('',#501000,#383933,#383934); #352739=AXIS2_PLACEMENT_3D('',#501001,#383935,#383936); #352740=AXIS2_PLACEMENT_3D('',#501002,#383937,#383938); #352741=AXIS2_PLACEMENT_3D('',#501003,#383939,#383940); #352742=AXIS2_PLACEMENT_3D('',#501005,#383941,#383942); #352743=AXIS2_PLACEMENT_3D('',#501008,#383944,#383945); #352744=AXIS2_PLACEMENT_3D('',#501009,#383946,#383947); #352745=AXIS2_PLACEMENT_3D('',#501011,#383948,#383949); #352746=AXIS2_PLACEMENT_3D('',#501014,#383951,#383952); #352747=AXIS2_PLACEMENT_3D('',#501015,#383953,#383954); #352748=AXIS2_PLACEMENT_3D('',#501016,#383955,#383956); #352749=AXIS2_PLACEMENT_3D('',#501017,#383957,#383958); #352750=AXIS2_PLACEMENT_3D('',#501019,#383959,#383960); #352751=AXIS2_PLACEMENT_3D('',#501022,#383962,#383963); #352752=AXIS2_PLACEMENT_3D('',#501023,#383964,#383965); #352753=AXIS2_PLACEMENT_3D('',#501025,#383966,#383967); #352754=AXIS2_PLACEMENT_3D('',#501028,#383969,#383970); #352755=AXIS2_PLACEMENT_3D('',#501029,#383971,#383972); #352756=AXIS2_PLACEMENT_3D('',#501030,#383973,#383974); #352757=AXIS2_PLACEMENT_3D('',#501031,#383975,#383976); #352758=AXIS2_PLACEMENT_3D('',#501033,#383977,#383978); #352759=AXIS2_PLACEMENT_3D('',#501036,#383980,#383981); #352760=AXIS2_PLACEMENT_3D('',#501037,#383982,#383983); #352761=AXIS2_PLACEMENT_3D('',#501039,#383984,#383985); #352762=AXIS2_PLACEMENT_3D('',#501042,#383987,#383988); #352763=AXIS2_PLACEMENT_3D('',#501043,#383989,#383990); #352764=AXIS2_PLACEMENT_3D('',#501044,#383991,#383992); #352765=AXIS2_PLACEMENT_3D('',#501045,#383993,#383994); #352766=AXIS2_PLACEMENT_3D('',#501047,#383995,#383996); #352767=AXIS2_PLACEMENT_3D('',#501050,#383998,#383999); #352768=AXIS2_PLACEMENT_3D('',#501051,#384000,#384001); #352769=AXIS2_PLACEMENT_3D('',#501053,#384002,#384003); #352770=AXIS2_PLACEMENT_3D('',#501056,#384005,#384006); #352771=AXIS2_PLACEMENT_3D('',#501057,#384007,#384008); #352772=AXIS2_PLACEMENT_3D('',#501058,#384009,#384010); #352773=AXIS2_PLACEMENT_3D('',#501059,#384011,#384012); #352774=AXIS2_PLACEMENT_3D('',#501061,#384013,#384014); #352775=AXIS2_PLACEMENT_3D('',#501064,#384016,#384017); #352776=AXIS2_PLACEMENT_3D('',#501065,#384018,#384019); #352777=AXIS2_PLACEMENT_3D('',#501067,#384020,#384021); #352778=AXIS2_PLACEMENT_3D('',#501070,#384023,#384024); #352779=AXIS2_PLACEMENT_3D('',#501071,#384025,#384026); #352780=AXIS2_PLACEMENT_3D('',#501072,#384027,#384028); #352781=AXIS2_PLACEMENT_3D('',#501073,#384029,#384030); #352782=AXIS2_PLACEMENT_3D('',#501075,#384031,#384032); #352783=AXIS2_PLACEMENT_3D('',#501078,#384034,#384035); #352784=AXIS2_PLACEMENT_3D('',#501079,#384036,#384037); #352785=AXIS2_PLACEMENT_3D('',#501081,#384038,#384039); #352786=AXIS2_PLACEMENT_3D('',#501084,#384041,#384042); #352787=AXIS2_PLACEMENT_3D('',#501085,#384043,#384044); #352788=AXIS2_PLACEMENT_3D('',#501086,#384045,#384046); #352789=AXIS2_PLACEMENT_3D('',#501087,#384047,#384048); #352790=AXIS2_PLACEMENT_3D('',#501089,#384049,#384050); #352791=AXIS2_PLACEMENT_3D('',#501092,#384052,#384053); #352792=AXIS2_PLACEMENT_3D('',#501093,#384054,#384055); #352793=AXIS2_PLACEMENT_3D('',#501095,#384056,#384057); #352794=AXIS2_PLACEMENT_3D('',#501098,#384059,#384060); #352795=AXIS2_PLACEMENT_3D('',#501099,#384061,#384062); #352796=AXIS2_PLACEMENT_3D('',#501100,#384063,#384064); #352797=AXIS2_PLACEMENT_3D('',#501101,#384065,#384066); #352798=AXIS2_PLACEMENT_3D('',#501103,#384067,#384068); #352799=AXIS2_PLACEMENT_3D('',#501106,#384070,#384071); #352800=AXIS2_PLACEMENT_3D('',#501107,#384072,#384073); #352801=AXIS2_PLACEMENT_3D('',#501109,#384074,#384075); #352802=AXIS2_PLACEMENT_3D('',#501112,#384077,#384078); #352803=AXIS2_PLACEMENT_3D('',#501113,#384079,#384080); #352804=AXIS2_PLACEMENT_3D('',#501114,#384081,#384082); #352805=AXIS2_PLACEMENT_3D('',#501115,#384083,#384084); #352806=AXIS2_PLACEMENT_3D('',#501117,#384085,#384086); #352807=AXIS2_PLACEMENT_3D('',#501120,#384088,#384089); #352808=AXIS2_PLACEMENT_3D('',#501121,#384090,#384091); #352809=AXIS2_PLACEMENT_3D('',#501123,#384092,#384093); #352810=AXIS2_PLACEMENT_3D('',#501126,#384095,#384096); #352811=AXIS2_PLACEMENT_3D('',#501127,#384097,#384098); #352812=AXIS2_PLACEMENT_3D('',#501128,#384099,#384100); #352813=AXIS2_PLACEMENT_3D('',#501129,#384101,#384102); #352814=AXIS2_PLACEMENT_3D('',#501131,#384103,#384104); #352815=AXIS2_PLACEMENT_3D('',#501134,#384106,#384107); #352816=AXIS2_PLACEMENT_3D('',#501135,#384108,#384109); #352817=AXIS2_PLACEMENT_3D('',#501137,#384110,#384111); #352818=AXIS2_PLACEMENT_3D('',#501140,#384113,#384114); #352819=AXIS2_PLACEMENT_3D('',#501141,#384115,#384116); #352820=AXIS2_PLACEMENT_3D('',#501142,#384117,#384118); #352821=AXIS2_PLACEMENT_3D('',#501143,#384119,#384120); #352822=AXIS2_PLACEMENT_3D('',#501145,#384121,#384122); #352823=AXIS2_PLACEMENT_3D('',#501148,#384124,#384125); #352824=AXIS2_PLACEMENT_3D('',#501149,#384126,#384127); #352825=AXIS2_PLACEMENT_3D('',#501151,#384128,#384129); #352826=AXIS2_PLACEMENT_3D('',#501154,#384131,#384132); #352827=AXIS2_PLACEMENT_3D('',#501155,#384133,#384134); #352828=AXIS2_PLACEMENT_3D('',#501156,#384135,#384136); #352829=AXIS2_PLACEMENT_3D('',#501157,#384137,#384138); #352830=AXIS2_PLACEMENT_3D('',#501159,#384139,#384140); #352831=AXIS2_PLACEMENT_3D('',#501162,#384142,#384143); #352832=AXIS2_PLACEMENT_3D('',#501163,#384144,#384145); #352833=AXIS2_PLACEMENT_3D('',#501165,#384146,#384147); #352834=AXIS2_PLACEMENT_3D('',#501168,#384149,#384150); #352835=AXIS2_PLACEMENT_3D('',#501169,#384151,#384152); #352836=AXIS2_PLACEMENT_3D('',#501170,#384153,#384154); #352837=AXIS2_PLACEMENT_3D('',#501171,#384155,#384156); #352838=AXIS2_PLACEMENT_3D('',#501173,#384157,#384158); #352839=AXIS2_PLACEMENT_3D('',#501176,#384160,#384161); #352840=AXIS2_PLACEMENT_3D('',#501177,#384162,#384163); #352841=AXIS2_PLACEMENT_3D('',#501179,#384164,#384165); #352842=AXIS2_PLACEMENT_3D('',#501182,#384167,#384168); #352843=AXIS2_PLACEMENT_3D('',#501183,#384169,#384170); #352844=AXIS2_PLACEMENT_3D('',#501184,#384171,#384172); #352845=AXIS2_PLACEMENT_3D('',#501185,#384173,#384174); #352846=AXIS2_PLACEMENT_3D('',#501187,#384175,#384176); #352847=AXIS2_PLACEMENT_3D('',#501190,#384178,#384179); #352848=AXIS2_PLACEMENT_3D('',#501191,#384180,#384181); #352849=AXIS2_PLACEMENT_3D('',#501193,#384182,#384183); #352850=AXIS2_PLACEMENT_3D('',#501196,#384185,#384186); #352851=AXIS2_PLACEMENT_3D('',#501197,#384187,#384188); #352852=AXIS2_PLACEMENT_3D('',#501198,#384189,#384190); #352853=AXIS2_PLACEMENT_3D('',#501199,#384191,#384192); #352854=AXIS2_PLACEMENT_3D('',#501201,#384193,#384194); #352855=AXIS2_PLACEMENT_3D('',#501204,#384196,#384197); #352856=AXIS2_PLACEMENT_3D('',#501205,#384198,#384199); #352857=AXIS2_PLACEMENT_3D('',#501207,#384200,#384201); #352858=AXIS2_PLACEMENT_3D('',#501210,#384203,#384204); #352859=AXIS2_PLACEMENT_3D('',#501211,#384205,#384206); #352860=AXIS2_PLACEMENT_3D('',#501212,#384207,#384208); #352861=AXIS2_PLACEMENT_3D('',#501213,#384209,#384210); #352862=AXIS2_PLACEMENT_3D('',#501215,#384211,#384212); #352863=AXIS2_PLACEMENT_3D('',#501218,#384214,#384215); #352864=AXIS2_PLACEMENT_3D('',#501219,#384216,#384217); #352865=AXIS2_PLACEMENT_3D('',#501221,#384218,#384219); #352866=AXIS2_PLACEMENT_3D('',#501224,#384221,#384222); #352867=AXIS2_PLACEMENT_3D('',#501225,#384223,#384224); #352868=AXIS2_PLACEMENT_3D('',#501226,#384225,#384226); #352869=AXIS2_PLACEMENT_3D('',#501227,#384227,#384228); #352870=AXIS2_PLACEMENT_3D('',#501229,#384229,#384230); #352871=AXIS2_PLACEMENT_3D('',#501232,#384232,#384233); #352872=AXIS2_PLACEMENT_3D('',#501233,#384234,#384235); #352873=AXIS2_PLACEMENT_3D('',#501235,#384236,#384237); #352874=AXIS2_PLACEMENT_3D('',#501238,#384239,#384240); #352875=AXIS2_PLACEMENT_3D('',#501239,#384241,#384242); #352876=AXIS2_PLACEMENT_3D('',#501240,#384243,#384244); #352877=AXIS2_PLACEMENT_3D('',#501241,#384245,#384246); #352878=AXIS2_PLACEMENT_3D('',#501243,#384247,#384248); #352879=AXIS2_PLACEMENT_3D('',#501246,#384250,#384251); #352880=AXIS2_PLACEMENT_3D('',#501247,#384252,#384253); #352881=AXIS2_PLACEMENT_3D('',#501249,#384254,#384255); #352882=AXIS2_PLACEMENT_3D('',#501252,#384257,#384258); #352883=AXIS2_PLACEMENT_3D('',#501253,#384259,#384260); #352884=AXIS2_PLACEMENT_3D('',#501254,#384261,#384262); #352885=AXIS2_PLACEMENT_3D('',#501255,#384263,#384264); #352886=AXIS2_PLACEMENT_3D('',#501257,#384265,#384266); #352887=AXIS2_PLACEMENT_3D('',#501260,#384268,#384269); #352888=AXIS2_PLACEMENT_3D('',#501261,#384270,#384271); #352889=AXIS2_PLACEMENT_3D('',#501263,#384272,#384273); #352890=AXIS2_PLACEMENT_3D('',#501266,#384275,#384276); #352891=AXIS2_PLACEMENT_3D('',#501267,#384277,#384278); #352892=AXIS2_PLACEMENT_3D('',#501268,#384279,#384280); #352893=AXIS2_PLACEMENT_3D('',#501269,#384281,#384282); #352894=AXIS2_PLACEMENT_3D('',#501271,#384283,#384284); #352895=AXIS2_PLACEMENT_3D('',#501274,#384286,#384287); #352896=AXIS2_PLACEMENT_3D('',#501275,#384288,#384289); #352897=AXIS2_PLACEMENT_3D('',#501277,#384290,#384291); #352898=AXIS2_PLACEMENT_3D('',#501280,#384293,#384294); #352899=AXIS2_PLACEMENT_3D('',#501281,#384295,#384296); #352900=AXIS2_PLACEMENT_3D('',#501282,#384297,#384298); #352901=AXIS2_PLACEMENT_3D('',#501283,#384299,#384300); #352902=AXIS2_PLACEMENT_3D('',#501285,#384301,#384302); #352903=AXIS2_PLACEMENT_3D('',#501288,#384304,#384305); #352904=AXIS2_PLACEMENT_3D('',#501289,#384306,#384307); #352905=AXIS2_PLACEMENT_3D('',#501291,#384308,#384309); #352906=AXIS2_PLACEMENT_3D('',#501294,#384311,#384312); #352907=AXIS2_PLACEMENT_3D('',#501295,#384313,#384314); #352908=AXIS2_PLACEMENT_3D('',#501296,#384315,#384316); #352909=AXIS2_PLACEMENT_3D('',#501297,#384317,#384318); #352910=AXIS2_PLACEMENT_3D('',#501299,#384319,#384320); #352911=AXIS2_PLACEMENT_3D('',#501302,#384322,#384323); #352912=AXIS2_PLACEMENT_3D('',#501303,#384324,#384325); #352913=AXIS2_PLACEMENT_3D('',#501305,#384326,#384327); #352914=AXIS2_PLACEMENT_3D('',#501308,#384329,#384330); #352915=AXIS2_PLACEMENT_3D('',#501309,#384331,#384332); #352916=AXIS2_PLACEMENT_3D('',#501310,#384333,#384334); #352917=AXIS2_PLACEMENT_3D('',#501311,#384335,#384336); #352918=AXIS2_PLACEMENT_3D('',#501313,#384337,#384338); #352919=AXIS2_PLACEMENT_3D('',#501316,#384340,#384341); #352920=AXIS2_PLACEMENT_3D('',#501317,#384342,#384343); #352921=AXIS2_PLACEMENT_3D('',#501319,#384344,#384345); #352922=AXIS2_PLACEMENT_3D('',#501322,#384347,#384348); #352923=AXIS2_PLACEMENT_3D('',#501323,#384349,#384350); #352924=AXIS2_PLACEMENT_3D('',#501324,#384351,#384352); #352925=AXIS2_PLACEMENT_3D('',#501325,#384353,#384354); #352926=AXIS2_PLACEMENT_3D('',#501327,#384355,#384356); #352927=AXIS2_PLACEMENT_3D('',#501330,#384358,#384359); #352928=AXIS2_PLACEMENT_3D('',#501331,#384360,#384361); #352929=AXIS2_PLACEMENT_3D('',#501333,#384362,#384363); #352930=AXIS2_PLACEMENT_3D('',#501336,#384365,#384366); #352931=AXIS2_PLACEMENT_3D('',#501337,#384367,#384368); #352932=AXIS2_PLACEMENT_3D('',#501338,#384369,#384370); #352933=AXIS2_PLACEMENT_3D('',#501339,#384371,#384372); #352934=AXIS2_PLACEMENT_3D('',#501341,#384373,#384374); #352935=AXIS2_PLACEMENT_3D('',#501344,#384376,#384377); #352936=AXIS2_PLACEMENT_3D('',#501345,#384378,#384379); #352937=AXIS2_PLACEMENT_3D('',#501347,#384380,#384381); #352938=AXIS2_PLACEMENT_3D('',#501350,#384383,#384384); #352939=AXIS2_PLACEMENT_3D('',#501351,#384385,#384386); #352940=AXIS2_PLACEMENT_3D('',#501352,#384387,#384388); #352941=AXIS2_PLACEMENT_3D('',#501353,#384389,#384390); #352942=AXIS2_PLACEMENT_3D('',#501355,#384391,#384392); #352943=AXIS2_PLACEMENT_3D('',#501358,#384394,#384395); #352944=AXIS2_PLACEMENT_3D('',#501359,#384396,#384397); #352945=AXIS2_PLACEMENT_3D('',#501361,#384398,#384399); #352946=AXIS2_PLACEMENT_3D('',#501364,#384401,#384402); #352947=AXIS2_PLACEMENT_3D('',#501365,#384403,#384404); #352948=AXIS2_PLACEMENT_3D('',#501366,#384405,#384406); #352949=AXIS2_PLACEMENT_3D('',#501367,#384407,#384408); #352950=AXIS2_PLACEMENT_3D('',#501369,#384409,#384410); #352951=AXIS2_PLACEMENT_3D('',#501372,#384412,#384413); #352952=AXIS2_PLACEMENT_3D('',#501373,#384414,#384415); #352953=AXIS2_PLACEMENT_3D('',#501375,#384416,#384417); #352954=AXIS2_PLACEMENT_3D('',#501378,#384419,#384420); #352955=AXIS2_PLACEMENT_3D('',#501379,#384421,#384422); #352956=AXIS2_PLACEMENT_3D('',#501380,#384423,#384424); #352957=AXIS2_PLACEMENT_3D('',#501381,#384425,#384426); #352958=AXIS2_PLACEMENT_3D('',#501383,#384427,#384428); #352959=AXIS2_PLACEMENT_3D('',#501386,#384430,#384431); #352960=AXIS2_PLACEMENT_3D('',#501387,#384432,#384433); #352961=AXIS2_PLACEMENT_3D('',#501389,#384434,#384435); #352962=AXIS2_PLACEMENT_3D('',#501392,#384437,#384438); #352963=AXIS2_PLACEMENT_3D('',#501393,#384439,#384440); #352964=AXIS2_PLACEMENT_3D('',#501394,#384441,#384442); #352965=AXIS2_PLACEMENT_3D('',#501395,#384443,#384444); #352966=AXIS2_PLACEMENT_3D('',#501397,#384445,#384446); #352967=AXIS2_PLACEMENT_3D('',#501400,#384448,#384449); #352968=AXIS2_PLACEMENT_3D('',#501401,#384450,#384451); #352969=AXIS2_PLACEMENT_3D('',#501403,#384452,#384453); #352970=AXIS2_PLACEMENT_3D('',#501406,#384455,#384456); #352971=AXIS2_PLACEMENT_3D('',#501407,#384457,#384458); #352972=AXIS2_PLACEMENT_3D('',#501408,#384459,#384460); #352973=AXIS2_PLACEMENT_3D('',#501409,#384461,#384462); #352974=AXIS2_PLACEMENT_3D('',#501411,#384463,#384464); #352975=AXIS2_PLACEMENT_3D('',#501414,#384466,#384467); #352976=AXIS2_PLACEMENT_3D('',#501415,#384468,#384469); #352977=AXIS2_PLACEMENT_3D('',#501417,#384470,#384471); #352978=AXIS2_PLACEMENT_3D('',#501420,#384473,#384474); #352979=AXIS2_PLACEMENT_3D('',#501421,#384475,#384476); #352980=AXIS2_PLACEMENT_3D('',#501422,#384477,#384478); #352981=AXIS2_PLACEMENT_3D('',#501423,#384479,#384480); #352982=AXIS2_PLACEMENT_3D('',#501425,#384481,#384482); #352983=AXIS2_PLACEMENT_3D('',#501428,#384484,#384485); #352984=AXIS2_PLACEMENT_3D('',#501429,#384486,#384487); #352985=AXIS2_PLACEMENT_3D('',#501431,#384488,#384489); #352986=AXIS2_PLACEMENT_3D('',#501434,#384491,#384492); #352987=AXIS2_PLACEMENT_3D('',#501435,#384493,#384494); #352988=AXIS2_PLACEMENT_3D('',#501436,#384495,#384496); #352989=AXIS2_PLACEMENT_3D('',#501437,#384497,#384498); #352990=AXIS2_PLACEMENT_3D('',#501439,#384499,#384500); #352991=AXIS2_PLACEMENT_3D('',#501442,#384502,#384503); #352992=AXIS2_PLACEMENT_3D('',#501443,#384504,#384505); #352993=AXIS2_PLACEMENT_3D('',#501445,#384506,#384507); #352994=AXIS2_PLACEMENT_3D('',#501448,#384509,#384510); #352995=AXIS2_PLACEMENT_3D('',#501449,#384511,#384512); #352996=AXIS2_PLACEMENT_3D('',#501450,#384513,#384514); #352997=AXIS2_PLACEMENT_3D('',#501451,#384515,#384516); #352998=AXIS2_PLACEMENT_3D('',#501453,#384517,#384518); #352999=AXIS2_PLACEMENT_3D('',#501456,#384520,#384521); #353000=AXIS2_PLACEMENT_3D('',#501457,#384522,#384523); #353001=AXIS2_PLACEMENT_3D('',#501459,#384524,#384525); #353002=AXIS2_PLACEMENT_3D('',#501462,#384527,#384528); #353003=AXIS2_PLACEMENT_3D('',#501463,#384529,#384530); #353004=AXIS2_PLACEMENT_3D('',#501464,#384531,#384532); #353005=AXIS2_PLACEMENT_3D('',#501465,#384533,#384534); #353006=AXIS2_PLACEMENT_3D('',#501467,#384535,#384536); #353007=AXIS2_PLACEMENT_3D('',#501470,#384538,#384539); #353008=AXIS2_PLACEMENT_3D('',#501471,#384540,#384541); #353009=AXIS2_PLACEMENT_3D('',#501473,#384542,#384543); #353010=AXIS2_PLACEMENT_3D('',#501476,#384545,#384546); #353011=AXIS2_PLACEMENT_3D('',#501477,#384547,#384548); #353012=AXIS2_PLACEMENT_3D('',#501478,#384549,#384550); #353013=AXIS2_PLACEMENT_3D('',#501479,#384551,#384552); #353014=AXIS2_PLACEMENT_3D('',#501481,#384553,#384554); #353015=AXIS2_PLACEMENT_3D('',#501484,#384556,#384557); #353016=AXIS2_PLACEMENT_3D('',#501485,#384558,#384559); #353017=AXIS2_PLACEMENT_3D('',#501487,#384560,#384561); #353018=AXIS2_PLACEMENT_3D('',#501490,#384563,#384564); #353019=AXIS2_PLACEMENT_3D('',#501491,#384565,#384566); #353020=AXIS2_PLACEMENT_3D('',#501492,#384567,#384568); #353021=AXIS2_PLACEMENT_3D('',#501493,#384569,#384570); #353022=AXIS2_PLACEMENT_3D('',#501495,#384571,#384572); #353023=AXIS2_PLACEMENT_3D('',#501498,#384574,#384575); #353024=AXIS2_PLACEMENT_3D('',#501499,#384576,#384577); #353025=AXIS2_PLACEMENT_3D('',#501501,#384578,#384579); #353026=AXIS2_PLACEMENT_3D('',#501504,#384581,#384582); #353027=AXIS2_PLACEMENT_3D('',#501505,#384583,#384584); #353028=AXIS2_PLACEMENT_3D('',#501506,#384585,#384586); #353029=AXIS2_PLACEMENT_3D('',#501507,#384587,#384588); #353030=AXIS2_PLACEMENT_3D('',#501509,#384589,#384590); #353031=AXIS2_PLACEMENT_3D('',#501512,#384592,#384593); #353032=AXIS2_PLACEMENT_3D('',#501513,#384594,#384595); #353033=AXIS2_PLACEMENT_3D('',#501515,#384596,#384597); #353034=AXIS2_PLACEMENT_3D('',#501518,#384599,#384600); #353035=AXIS2_PLACEMENT_3D('',#501519,#384601,#384602); #353036=AXIS2_PLACEMENT_3D('',#501520,#384603,#384604); #353037=AXIS2_PLACEMENT_3D('',#501521,#384605,#384606); #353038=AXIS2_PLACEMENT_3D('',#501523,#384607,#384608); #353039=AXIS2_PLACEMENT_3D('',#501526,#384610,#384611); #353040=AXIS2_PLACEMENT_3D('',#501527,#384612,#384613); #353041=AXIS2_PLACEMENT_3D('',#501529,#384614,#384615); #353042=AXIS2_PLACEMENT_3D('',#501532,#384617,#384618); #353043=AXIS2_PLACEMENT_3D('',#501533,#384619,#384620); #353044=AXIS2_PLACEMENT_3D('',#501534,#384621,#384622); #353045=AXIS2_PLACEMENT_3D('',#501535,#384623,#384624); #353046=AXIS2_PLACEMENT_3D('',#501537,#384625,#384626); #353047=AXIS2_PLACEMENT_3D('',#501540,#384628,#384629); #353048=AXIS2_PLACEMENT_3D('',#501541,#384630,#384631); #353049=AXIS2_PLACEMENT_3D('',#501543,#384632,#384633); #353050=AXIS2_PLACEMENT_3D('',#501546,#384635,#384636); #353051=AXIS2_PLACEMENT_3D('',#501547,#384637,#384638); #353052=AXIS2_PLACEMENT_3D('',#501548,#384639,#384640); #353053=AXIS2_PLACEMENT_3D('',#501549,#384641,#384642); #353054=AXIS2_PLACEMENT_3D('',#501551,#384643,#384644); #353055=AXIS2_PLACEMENT_3D('',#501554,#384646,#384647); #353056=AXIS2_PLACEMENT_3D('',#501555,#384648,#384649); #353057=AXIS2_PLACEMENT_3D('',#501557,#384650,#384651); #353058=AXIS2_PLACEMENT_3D('',#501560,#384653,#384654); #353059=AXIS2_PLACEMENT_3D('',#501561,#384655,#384656); #353060=AXIS2_PLACEMENT_3D('',#501562,#384657,#384658); #353061=AXIS2_PLACEMENT_3D('',#501563,#384659,#384660); #353062=AXIS2_PLACEMENT_3D('',#501565,#384661,#384662); #353063=AXIS2_PLACEMENT_3D('',#501568,#384664,#384665); #353064=AXIS2_PLACEMENT_3D('',#501569,#384666,#384667); #353065=AXIS2_PLACEMENT_3D('',#501571,#384668,#384669); #353066=AXIS2_PLACEMENT_3D('',#501574,#384671,#384672); #353067=AXIS2_PLACEMENT_3D('',#501575,#384673,#384674); #353068=AXIS2_PLACEMENT_3D('',#501576,#384675,#384676); #353069=AXIS2_PLACEMENT_3D('',#501577,#384677,#384678); #353070=AXIS2_PLACEMENT_3D('',#501579,#384679,#384680); #353071=AXIS2_PLACEMENT_3D('',#501582,#384682,#384683); #353072=AXIS2_PLACEMENT_3D('',#501583,#384684,#384685); #353073=AXIS2_PLACEMENT_3D('',#501585,#384686,#384687); #353074=AXIS2_PLACEMENT_3D('',#501588,#384689,#384690); #353075=AXIS2_PLACEMENT_3D('',#501589,#384691,#384692); #353076=AXIS2_PLACEMENT_3D('',#501590,#384693,#384694); #353077=AXIS2_PLACEMENT_3D('',#501591,#384695,#384696); #353078=AXIS2_PLACEMENT_3D('',#501593,#384697,#384698); #353079=AXIS2_PLACEMENT_3D('',#501596,#384700,#384701); #353080=AXIS2_PLACEMENT_3D('',#501597,#384702,#384703); #353081=AXIS2_PLACEMENT_3D('',#501599,#384704,#384705); #353082=AXIS2_PLACEMENT_3D('',#501602,#384707,#384708); #353083=AXIS2_PLACEMENT_3D('',#501603,#384709,#384710); #353084=AXIS2_PLACEMENT_3D('',#501604,#384711,#384712); #353085=AXIS2_PLACEMENT_3D('',#501605,#384713,#384714); #353086=AXIS2_PLACEMENT_3D('',#501607,#384715,#384716); #353087=AXIS2_PLACEMENT_3D('',#501610,#384718,#384719); #353088=AXIS2_PLACEMENT_3D('',#501611,#384720,#384721); #353089=AXIS2_PLACEMENT_3D('',#501613,#384722,#384723); #353090=AXIS2_PLACEMENT_3D('',#501616,#384725,#384726); #353091=AXIS2_PLACEMENT_3D('',#501617,#384727,#384728); #353092=AXIS2_PLACEMENT_3D('',#501618,#384729,#384730); #353093=AXIS2_PLACEMENT_3D('',#501619,#384731,#384732); #353094=AXIS2_PLACEMENT_3D('',#501621,#384733,#384734); #353095=AXIS2_PLACEMENT_3D('',#501624,#384736,#384737); #353096=AXIS2_PLACEMENT_3D('',#501625,#384738,#384739); #353097=AXIS2_PLACEMENT_3D('',#501627,#384740,#384741); #353098=AXIS2_PLACEMENT_3D('',#501630,#384743,#384744); #353099=AXIS2_PLACEMENT_3D('',#501631,#384745,#384746); #353100=AXIS2_PLACEMENT_3D('',#501632,#384747,#384748); #353101=AXIS2_PLACEMENT_3D('',#501633,#384749,#384750); #353102=AXIS2_PLACEMENT_3D('',#501635,#384751,#384752); #353103=AXIS2_PLACEMENT_3D('',#501638,#384754,#384755); #353104=AXIS2_PLACEMENT_3D('',#501639,#384756,#384757); #353105=AXIS2_PLACEMENT_3D('',#501641,#384758,#384759); #353106=AXIS2_PLACEMENT_3D('',#501644,#384761,#384762); #353107=AXIS2_PLACEMENT_3D('',#501645,#384763,#384764); #353108=AXIS2_PLACEMENT_3D('',#501646,#384765,#384766); #353109=AXIS2_PLACEMENT_3D('',#501647,#384767,#384768); #353110=AXIS2_PLACEMENT_3D('',#501649,#384769,#384770); #353111=AXIS2_PLACEMENT_3D('',#501652,#384772,#384773); #353112=AXIS2_PLACEMENT_3D('',#501653,#384774,#384775); #353113=AXIS2_PLACEMENT_3D('',#501655,#384776,#384777); #353114=AXIS2_PLACEMENT_3D('',#501658,#384779,#384780); #353115=AXIS2_PLACEMENT_3D('',#501659,#384781,#384782); #353116=AXIS2_PLACEMENT_3D('',#501660,#384783,#384784); #353117=AXIS2_PLACEMENT_3D('',#501661,#384785,#384786); #353118=AXIS2_PLACEMENT_3D('',#501663,#384787,#384788); #353119=AXIS2_PLACEMENT_3D('',#501666,#384790,#384791); #353120=AXIS2_PLACEMENT_3D('',#501667,#384792,#384793); #353121=AXIS2_PLACEMENT_3D('',#501669,#384794,#384795); #353122=AXIS2_PLACEMENT_3D('',#501672,#384797,#384798); #353123=AXIS2_PLACEMENT_3D('',#501673,#384799,#384800); #353124=AXIS2_PLACEMENT_3D('',#501674,#384801,#384802); #353125=AXIS2_PLACEMENT_3D('',#501675,#384803,#384804); #353126=AXIS2_PLACEMENT_3D('',#501677,#384805,#384806); #353127=AXIS2_PLACEMENT_3D('',#501680,#384808,#384809); #353128=AXIS2_PLACEMENT_3D('',#501681,#384810,#384811); #353129=AXIS2_PLACEMENT_3D('',#501683,#384812,#384813); #353130=AXIS2_PLACEMENT_3D('',#501686,#384815,#384816); #353131=AXIS2_PLACEMENT_3D('',#501687,#384817,#384818); #353132=AXIS2_PLACEMENT_3D('',#501688,#384819,#384820); #353133=AXIS2_PLACEMENT_3D('',#501689,#384821,#384822); #353134=AXIS2_PLACEMENT_3D('',#501691,#384823,#384824); #353135=AXIS2_PLACEMENT_3D('',#501694,#384826,#384827); #353136=AXIS2_PLACEMENT_3D('',#501695,#384828,#384829); #353137=AXIS2_PLACEMENT_3D('',#501697,#384830,#384831); #353138=AXIS2_PLACEMENT_3D('',#501700,#384833,#384834); #353139=AXIS2_PLACEMENT_3D('',#501701,#384835,#384836); #353140=AXIS2_PLACEMENT_3D('',#501702,#384837,#384838); #353141=AXIS2_PLACEMENT_3D('',#501703,#384839,#384840); #353142=AXIS2_PLACEMENT_3D('',#501705,#384841,#384842); #353143=AXIS2_PLACEMENT_3D('',#501708,#384844,#384845); #353144=AXIS2_PLACEMENT_3D('',#501709,#384846,#384847); #353145=AXIS2_PLACEMENT_3D('',#501711,#384848,#384849); #353146=AXIS2_PLACEMENT_3D('',#501714,#384851,#384852); #353147=AXIS2_PLACEMENT_3D('',#501715,#384853,#384854); #353148=AXIS2_PLACEMENT_3D('',#501716,#384855,#384856); #353149=AXIS2_PLACEMENT_3D('',#501717,#384857,#384858); #353150=AXIS2_PLACEMENT_3D('',#501719,#384859,#384860); #353151=AXIS2_PLACEMENT_3D('',#501722,#384862,#384863); #353152=AXIS2_PLACEMENT_3D('',#501723,#384864,#384865); #353153=AXIS2_PLACEMENT_3D('',#501725,#384866,#384867); #353154=AXIS2_PLACEMENT_3D('',#501728,#384869,#384870); #353155=AXIS2_PLACEMENT_3D('',#501729,#384871,#384872); #353156=AXIS2_PLACEMENT_3D('',#501730,#384873,#384874); #353157=AXIS2_PLACEMENT_3D('',#501731,#384875,#384876); #353158=AXIS2_PLACEMENT_3D('',#501733,#384877,#384878); #353159=AXIS2_PLACEMENT_3D('',#501736,#384880,#384881); #353160=AXIS2_PLACEMENT_3D('',#501737,#384882,#384883); #353161=AXIS2_PLACEMENT_3D('',#501739,#384884,#384885); #353162=AXIS2_PLACEMENT_3D('',#501742,#384887,#384888); #353163=AXIS2_PLACEMENT_3D('',#501743,#384889,#384890); #353164=AXIS2_PLACEMENT_3D('',#501744,#384891,#384892); #353165=AXIS2_PLACEMENT_3D('',#501745,#384893,#384894); #353166=AXIS2_PLACEMENT_3D('',#501747,#384895,#384896); #353167=AXIS2_PLACEMENT_3D('',#501750,#384898,#384899); #353168=AXIS2_PLACEMENT_3D('',#501751,#384900,#384901); #353169=AXIS2_PLACEMENT_3D('',#501753,#384902,#384903); #353170=AXIS2_PLACEMENT_3D('',#501756,#384905,#384906); #353171=AXIS2_PLACEMENT_3D('',#501757,#384907,#384908); #353172=AXIS2_PLACEMENT_3D('',#501758,#384909,#384910); #353173=AXIS2_PLACEMENT_3D('',#501759,#384911,#384912); #353174=AXIS2_PLACEMENT_3D('',#501761,#384913,#384914); #353175=AXIS2_PLACEMENT_3D('',#501764,#384916,#384917); #353176=AXIS2_PLACEMENT_3D('',#501765,#384918,#384919); #353177=AXIS2_PLACEMENT_3D('',#501767,#384920,#384921); #353178=AXIS2_PLACEMENT_3D('',#501770,#384923,#384924); #353179=AXIS2_PLACEMENT_3D('',#501771,#384925,#384926); #353180=AXIS2_PLACEMENT_3D('',#501772,#384927,#384928); #353181=AXIS2_PLACEMENT_3D('',#501773,#384929,#384930); #353182=AXIS2_PLACEMENT_3D('',#501775,#384931,#384932); #353183=AXIS2_PLACEMENT_3D('',#501778,#384934,#384935); #353184=AXIS2_PLACEMENT_3D('',#501779,#384936,#384937); #353185=AXIS2_PLACEMENT_3D('',#501781,#384938,#384939); #353186=AXIS2_PLACEMENT_3D('',#501784,#384941,#384942); #353187=AXIS2_PLACEMENT_3D('',#501785,#384943,#384944); #353188=AXIS2_PLACEMENT_3D('',#501786,#384945,#384946); #353189=AXIS2_PLACEMENT_3D('',#501787,#384947,#384948); #353190=AXIS2_PLACEMENT_3D('',#501789,#384949,#384950); #353191=AXIS2_PLACEMENT_3D('',#501792,#384952,#384953); #353192=AXIS2_PLACEMENT_3D('',#501793,#384954,#384955); #353193=AXIS2_PLACEMENT_3D('',#501795,#384956,#384957); #353194=AXIS2_PLACEMENT_3D('',#501798,#384959,#384960); #353195=AXIS2_PLACEMENT_3D('',#501799,#384961,#384962); #353196=AXIS2_PLACEMENT_3D('',#501800,#384963,#384964); #353197=AXIS2_PLACEMENT_3D('',#501801,#384965,#384966); #353198=AXIS2_PLACEMENT_3D('',#501803,#384967,#384968); #353199=AXIS2_PLACEMENT_3D('',#501806,#384970,#384971); #353200=AXIS2_PLACEMENT_3D('',#501807,#384972,#384973); #353201=AXIS2_PLACEMENT_3D('',#501809,#384974,#384975); #353202=AXIS2_PLACEMENT_3D('',#501812,#384977,#384978); #353203=AXIS2_PLACEMENT_3D('',#501813,#384979,#384980); #353204=AXIS2_PLACEMENT_3D('',#501814,#384981,#384982); #353205=AXIS2_PLACEMENT_3D('',#501815,#384983,#384984); #353206=AXIS2_PLACEMENT_3D('',#501817,#384985,#384986); #353207=AXIS2_PLACEMENT_3D('',#501820,#384988,#384989); #353208=AXIS2_PLACEMENT_3D('',#501821,#384990,#384991); #353209=AXIS2_PLACEMENT_3D('',#501823,#384992,#384993); #353210=AXIS2_PLACEMENT_3D('',#501826,#384995,#384996); #353211=AXIS2_PLACEMENT_3D('',#501827,#384997,#384998); #353212=AXIS2_PLACEMENT_3D('',#501828,#384999,#385000); #353213=AXIS2_PLACEMENT_3D('',#501829,#385001,#385002); #353214=AXIS2_PLACEMENT_3D('',#501831,#385003,#385004); #353215=AXIS2_PLACEMENT_3D('',#501834,#385006,#385007); #353216=AXIS2_PLACEMENT_3D('',#501835,#385008,#385009); #353217=AXIS2_PLACEMENT_3D('',#501837,#385010,#385011); #353218=AXIS2_PLACEMENT_3D('',#501840,#385013,#385014); #353219=AXIS2_PLACEMENT_3D('',#501841,#385015,#385016); #353220=AXIS2_PLACEMENT_3D('',#501842,#385017,#385018); #353221=AXIS2_PLACEMENT_3D('',#501843,#385019,#385020); #353222=AXIS2_PLACEMENT_3D('',#501845,#385021,#385022); #353223=AXIS2_PLACEMENT_3D('',#501848,#385024,#385025); #353224=AXIS2_PLACEMENT_3D('',#501849,#385026,#385027); #353225=AXIS2_PLACEMENT_3D('',#501851,#385028,#385029); #353226=AXIS2_PLACEMENT_3D('',#501854,#385031,#385032); #353227=AXIS2_PLACEMENT_3D('',#501855,#385033,#385034); #353228=AXIS2_PLACEMENT_3D('',#501856,#385035,#385036); #353229=AXIS2_PLACEMENT_3D('',#501857,#385037,#385038); #353230=AXIS2_PLACEMENT_3D('',#501859,#385039,#385040); #353231=AXIS2_PLACEMENT_3D('',#501862,#385042,#385043); #353232=AXIS2_PLACEMENT_3D('',#501863,#385044,#385045); #353233=AXIS2_PLACEMENT_3D('',#501865,#385046,#385047); #353234=AXIS2_PLACEMENT_3D('',#501868,#385049,#385050); #353235=AXIS2_PLACEMENT_3D('',#501869,#385051,#385052); #353236=AXIS2_PLACEMENT_3D('',#501870,#385053,#385054); #353237=AXIS2_PLACEMENT_3D('',#501871,#385055,#385056); #353238=AXIS2_PLACEMENT_3D('',#501873,#385057,#385058); #353239=AXIS2_PLACEMENT_3D('',#501876,#385060,#385061); #353240=AXIS2_PLACEMENT_3D('',#501877,#385062,#385063); #353241=AXIS2_PLACEMENT_3D('',#501879,#385064,#385065); #353242=AXIS2_PLACEMENT_3D('',#501882,#385067,#385068); #353243=AXIS2_PLACEMENT_3D('',#501883,#385069,#385070); #353244=AXIS2_PLACEMENT_3D('',#501884,#385071,#385072); #353245=AXIS2_PLACEMENT_3D('',#501885,#385073,#385074); #353246=AXIS2_PLACEMENT_3D('',#501887,#385075,#385076); #353247=AXIS2_PLACEMENT_3D('',#501890,#385078,#385079); #353248=AXIS2_PLACEMENT_3D('',#501891,#385080,#385081); #353249=AXIS2_PLACEMENT_3D('',#501893,#385082,#385083); #353250=AXIS2_PLACEMENT_3D('',#501896,#385085,#385086); #353251=AXIS2_PLACEMENT_3D('',#501897,#385087,#385088); #353252=AXIS2_PLACEMENT_3D('',#501898,#385089,#385090); #353253=AXIS2_PLACEMENT_3D('',#501899,#385091,#385092); #353254=AXIS2_PLACEMENT_3D('',#501901,#385093,#385094); #353255=AXIS2_PLACEMENT_3D('',#501904,#385096,#385097); #353256=AXIS2_PLACEMENT_3D('',#501905,#385098,#385099); #353257=AXIS2_PLACEMENT_3D('',#501907,#385100,#385101); #353258=AXIS2_PLACEMENT_3D('',#501910,#385103,#385104); #353259=AXIS2_PLACEMENT_3D('',#501911,#385105,#385106); #353260=AXIS2_PLACEMENT_3D('',#501912,#385107,#385108); #353261=AXIS2_PLACEMENT_3D('',#501913,#385109,#385110); #353262=AXIS2_PLACEMENT_3D('',#501915,#385111,#385112); #353263=AXIS2_PLACEMENT_3D('',#501918,#385114,#385115); #353264=AXIS2_PLACEMENT_3D('',#501919,#385116,#385117); #353265=AXIS2_PLACEMENT_3D('',#501921,#385118,#385119); #353266=AXIS2_PLACEMENT_3D('',#501924,#385121,#385122); #353267=AXIS2_PLACEMENT_3D('',#501925,#385123,#385124); #353268=AXIS2_PLACEMENT_3D('',#501926,#385125,#385126); #353269=AXIS2_PLACEMENT_3D('',#501927,#385127,#385128); #353270=AXIS2_PLACEMENT_3D('',#501929,#385129,#385130); #353271=AXIS2_PLACEMENT_3D('',#501932,#385132,#385133); #353272=AXIS2_PLACEMENT_3D('',#501933,#385134,#385135); #353273=AXIS2_PLACEMENT_3D('',#501935,#385136,#385137); #353274=AXIS2_PLACEMENT_3D('',#501938,#385139,#385140); #353275=AXIS2_PLACEMENT_3D('',#501939,#385141,#385142); #353276=AXIS2_PLACEMENT_3D('',#501940,#385143,#385144); #353277=AXIS2_PLACEMENT_3D('',#501941,#385145,#385146); #353278=AXIS2_PLACEMENT_3D('',#501943,#385147,#385148); #353279=AXIS2_PLACEMENT_3D('',#501946,#385150,#385151); #353280=AXIS2_PLACEMENT_3D('',#501947,#385152,#385153); #353281=AXIS2_PLACEMENT_3D('',#501949,#385154,#385155); #353282=AXIS2_PLACEMENT_3D('',#501952,#385157,#385158); #353283=AXIS2_PLACEMENT_3D('',#501953,#385159,#385160); #353284=AXIS2_PLACEMENT_3D('',#501954,#385161,#385162); #353285=AXIS2_PLACEMENT_3D('',#501955,#385163,#385164); #353286=AXIS2_PLACEMENT_3D('',#501957,#385165,#385166); #353287=AXIS2_PLACEMENT_3D('',#501960,#385168,#385169); #353288=AXIS2_PLACEMENT_3D('',#501961,#385170,#385171); #353289=AXIS2_PLACEMENT_3D('',#501963,#385172,#385173); #353290=AXIS2_PLACEMENT_3D('',#501966,#385175,#385176); #353291=AXIS2_PLACEMENT_3D('',#501967,#385177,#385178); #353292=AXIS2_PLACEMENT_3D('',#501968,#385179,#385180); #353293=AXIS2_PLACEMENT_3D('',#501969,#385181,#385182); #353294=AXIS2_PLACEMENT_3D('',#501971,#385183,#385184); #353295=AXIS2_PLACEMENT_3D('',#501974,#385186,#385187); #353296=AXIS2_PLACEMENT_3D('',#501975,#385188,#385189); #353297=AXIS2_PLACEMENT_3D('',#501977,#385190,#385191); #353298=AXIS2_PLACEMENT_3D('',#501980,#385193,#385194); #353299=AXIS2_PLACEMENT_3D('',#501981,#385195,#385196); #353300=AXIS2_PLACEMENT_3D('',#501982,#385197,#385198); #353301=AXIS2_PLACEMENT_3D('',#501983,#385199,#385200); #353302=AXIS2_PLACEMENT_3D('',#501985,#385201,#385202); #353303=AXIS2_PLACEMENT_3D('',#501988,#385204,#385205); #353304=AXIS2_PLACEMENT_3D('',#501989,#385206,#385207); #353305=AXIS2_PLACEMENT_3D('',#501991,#385208,#385209); #353306=AXIS2_PLACEMENT_3D('',#501994,#385211,#385212); #353307=AXIS2_PLACEMENT_3D('',#501995,#385213,#385214); #353308=AXIS2_PLACEMENT_3D('',#501996,#385215,#385216); #353309=AXIS2_PLACEMENT_3D('',#501997,#385217,#385218); #353310=AXIS2_PLACEMENT_3D('',#501999,#385219,#385220); #353311=AXIS2_PLACEMENT_3D('',#502002,#385222,#385223); #353312=AXIS2_PLACEMENT_3D('',#502003,#385224,#385225); #353313=AXIS2_PLACEMENT_3D('',#502005,#385226,#385227); #353314=AXIS2_PLACEMENT_3D('',#502008,#385229,#385230); #353315=AXIS2_PLACEMENT_3D('',#502009,#385231,#385232); #353316=AXIS2_PLACEMENT_3D('',#502010,#385233,#385234); #353317=AXIS2_PLACEMENT_3D('',#502011,#385235,#385236); #353318=AXIS2_PLACEMENT_3D('',#502013,#385237,#385238); #353319=AXIS2_PLACEMENT_3D('',#502016,#385240,#385241); #353320=AXIS2_PLACEMENT_3D('',#502017,#385242,#385243); #353321=AXIS2_PLACEMENT_3D('',#502019,#385244,#385245); #353322=AXIS2_PLACEMENT_3D('',#502022,#385247,#385248); #353323=AXIS2_PLACEMENT_3D('',#502023,#385249,#385250); #353324=AXIS2_PLACEMENT_3D('',#502024,#385251,#385252); #353325=AXIS2_PLACEMENT_3D('',#502025,#385253,#385254); #353326=AXIS2_PLACEMENT_3D('',#502027,#385255,#385256); #353327=AXIS2_PLACEMENT_3D('',#502030,#385258,#385259); #353328=AXIS2_PLACEMENT_3D('',#502031,#385260,#385261); #353329=AXIS2_PLACEMENT_3D('',#502033,#385262,#385263); #353330=AXIS2_PLACEMENT_3D('',#502036,#385265,#385266); #353331=AXIS2_PLACEMENT_3D('',#502037,#385267,#385268); #353332=AXIS2_PLACEMENT_3D('',#502038,#385269,#385270); #353333=AXIS2_PLACEMENT_3D('',#502039,#385271,#385272); #353334=AXIS2_PLACEMENT_3D('',#502041,#385273,#385274); #353335=AXIS2_PLACEMENT_3D('',#502044,#385276,#385277); #353336=AXIS2_PLACEMENT_3D('',#502045,#385278,#385279); #353337=AXIS2_PLACEMENT_3D('',#502047,#385280,#385281); #353338=AXIS2_PLACEMENT_3D('',#502050,#385283,#385284); #353339=AXIS2_PLACEMENT_3D('',#502051,#385285,#385286); #353340=AXIS2_PLACEMENT_3D('',#502052,#385287,#385288); #353341=AXIS2_PLACEMENT_3D('',#502053,#385289,#385290); #353342=AXIS2_PLACEMENT_3D('',#502055,#385291,#385292); #353343=AXIS2_PLACEMENT_3D('',#502058,#385294,#385295); #353344=AXIS2_PLACEMENT_3D('',#502059,#385296,#385297); #353345=AXIS2_PLACEMENT_3D('',#502061,#385298,#385299); #353346=AXIS2_PLACEMENT_3D('',#502064,#385301,#385302); #353347=AXIS2_PLACEMENT_3D('',#502065,#385303,#385304); #353348=AXIS2_PLACEMENT_3D('',#502066,#385305,#385306); #353349=AXIS2_PLACEMENT_3D('',#502067,#385307,#385308); #353350=AXIS2_PLACEMENT_3D('',#502069,#385309,#385310); #353351=AXIS2_PLACEMENT_3D('',#502072,#385312,#385313); #353352=AXIS2_PLACEMENT_3D('',#502073,#385314,#385315); #353353=AXIS2_PLACEMENT_3D('',#502075,#385316,#385317); #353354=AXIS2_PLACEMENT_3D('',#502078,#385319,#385320); #353355=AXIS2_PLACEMENT_3D('',#502079,#385321,#385322); #353356=AXIS2_PLACEMENT_3D('',#502080,#385323,#385324); #353357=AXIS2_PLACEMENT_3D('',#502081,#385325,#385326); #353358=AXIS2_PLACEMENT_3D('',#502083,#385327,#385328); #353359=AXIS2_PLACEMENT_3D('',#502086,#385330,#385331); #353360=AXIS2_PLACEMENT_3D('',#502087,#385332,#385333); #353361=AXIS2_PLACEMENT_3D('',#502089,#385334,#385335); #353362=AXIS2_PLACEMENT_3D('',#502092,#385337,#385338); #353363=AXIS2_PLACEMENT_3D('',#502093,#385339,#385340); #353364=AXIS2_PLACEMENT_3D('',#502094,#385341,#385342); #353365=AXIS2_PLACEMENT_3D('',#502095,#385343,#385344); #353366=AXIS2_PLACEMENT_3D('',#502097,#385345,#385346); #353367=AXIS2_PLACEMENT_3D('',#502100,#385348,#385349); #353368=AXIS2_PLACEMENT_3D('',#502101,#385350,#385351); #353369=AXIS2_PLACEMENT_3D('',#502103,#385352,#385353); #353370=AXIS2_PLACEMENT_3D('',#502106,#385355,#385356); #353371=AXIS2_PLACEMENT_3D('',#502107,#385357,#385358); #353372=AXIS2_PLACEMENT_3D('',#502108,#385359,#385360); #353373=AXIS2_PLACEMENT_3D('',#502109,#385361,#385362); #353374=AXIS2_PLACEMENT_3D('',#502111,#385363,#385364); #353375=AXIS2_PLACEMENT_3D('',#502114,#385366,#385367); #353376=AXIS2_PLACEMENT_3D('',#502115,#385368,#385369); #353377=AXIS2_PLACEMENT_3D('',#502117,#385370,#385371); #353378=AXIS2_PLACEMENT_3D('',#502120,#385373,#385374); #353379=AXIS2_PLACEMENT_3D('',#502121,#385375,#385376); #353380=AXIS2_PLACEMENT_3D('',#502122,#385377,#385378); #353381=AXIS2_PLACEMENT_3D('',#502123,#385379,#385380); #353382=AXIS2_PLACEMENT_3D('',#502125,#385381,#385382); #353383=AXIS2_PLACEMENT_3D('',#502128,#385384,#385385); #353384=AXIS2_PLACEMENT_3D('',#502129,#385386,#385387); #353385=AXIS2_PLACEMENT_3D('',#502131,#385388,#385389); #353386=AXIS2_PLACEMENT_3D('',#502134,#385391,#385392); #353387=AXIS2_PLACEMENT_3D('',#502135,#385393,#385394); #353388=AXIS2_PLACEMENT_3D('',#502136,#385395,#385396); #353389=AXIS2_PLACEMENT_3D('',#502137,#385397,#385398); #353390=AXIS2_PLACEMENT_3D('',#502139,#385399,#385400); #353391=AXIS2_PLACEMENT_3D('',#502142,#385402,#385403); #353392=AXIS2_PLACEMENT_3D('',#502143,#385404,#385405); #353393=AXIS2_PLACEMENT_3D('',#502145,#385406,#385407); #353394=AXIS2_PLACEMENT_3D('',#502148,#385409,#385410); #353395=AXIS2_PLACEMENT_3D('',#502149,#385411,#385412); #353396=AXIS2_PLACEMENT_3D('',#502150,#385413,#385414); #353397=AXIS2_PLACEMENT_3D('',#502151,#385415,#385416); #353398=AXIS2_PLACEMENT_3D('',#502153,#385417,#385418); #353399=AXIS2_PLACEMENT_3D('',#502156,#385420,#385421); #353400=AXIS2_PLACEMENT_3D('',#502157,#385422,#385423); #353401=AXIS2_PLACEMENT_3D('',#502159,#385424,#385425); #353402=AXIS2_PLACEMENT_3D('',#502162,#385427,#385428); #353403=AXIS2_PLACEMENT_3D('',#502163,#385429,#385430); #353404=AXIS2_PLACEMENT_3D('',#502164,#385431,#385432); #353405=AXIS2_PLACEMENT_3D('',#502165,#385433,#385434); #353406=AXIS2_PLACEMENT_3D('',#502167,#385435,#385436); #353407=AXIS2_PLACEMENT_3D('',#502170,#385438,#385439); #353408=AXIS2_PLACEMENT_3D('',#502171,#385440,#385441); #353409=AXIS2_PLACEMENT_3D('',#502173,#385442,#385443); #353410=AXIS2_PLACEMENT_3D('',#502176,#385445,#385446); #353411=AXIS2_PLACEMENT_3D('',#502177,#385447,#385448); #353412=AXIS2_PLACEMENT_3D('',#502178,#385449,#385450); #353413=AXIS2_PLACEMENT_3D('',#502179,#385451,#385452); #353414=AXIS2_PLACEMENT_3D('',#502181,#385453,#385454); #353415=AXIS2_PLACEMENT_3D('',#502184,#385456,#385457); #353416=AXIS2_PLACEMENT_3D('',#502185,#385458,#385459); #353417=AXIS2_PLACEMENT_3D('',#502187,#385460,#385461); #353418=AXIS2_PLACEMENT_3D('',#502190,#385463,#385464); #353419=AXIS2_PLACEMENT_3D('',#502191,#385465,#385466); #353420=AXIS2_PLACEMENT_3D('',#502192,#385467,#385468); #353421=AXIS2_PLACEMENT_3D('',#502193,#385469,#385470); #353422=AXIS2_PLACEMENT_3D('',#502195,#385471,#385472); #353423=AXIS2_PLACEMENT_3D('',#502198,#385474,#385475); #353424=AXIS2_PLACEMENT_3D('',#502199,#385476,#385477); #353425=AXIS2_PLACEMENT_3D('',#502201,#385478,#385479); #353426=AXIS2_PLACEMENT_3D('',#502204,#385481,#385482); #353427=AXIS2_PLACEMENT_3D('',#502205,#385483,#385484); #353428=AXIS2_PLACEMENT_3D('',#502206,#385485,#385486); #353429=AXIS2_PLACEMENT_3D('',#502207,#385487,#385488); #353430=AXIS2_PLACEMENT_3D('',#502209,#385489,#385490); #353431=AXIS2_PLACEMENT_3D('',#502212,#385492,#385493); #353432=AXIS2_PLACEMENT_3D('',#502213,#385494,#385495); #353433=AXIS2_PLACEMENT_3D('',#502215,#385496,#385497); #353434=AXIS2_PLACEMENT_3D('',#502218,#385499,#385500); #353435=AXIS2_PLACEMENT_3D('',#502219,#385501,#385502); #353436=AXIS2_PLACEMENT_3D('',#502220,#385503,#385504); #353437=AXIS2_PLACEMENT_3D('',#502221,#385505,#385506); #353438=AXIS2_PLACEMENT_3D('',#502223,#385507,#385508); #353439=AXIS2_PLACEMENT_3D('',#502226,#385510,#385511); #353440=AXIS2_PLACEMENT_3D('',#502227,#385512,#385513); #353441=AXIS2_PLACEMENT_3D('',#502229,#385514,#385515); #353442=AXIS2_PLACEMENT_3D('',#502232,#385517,#385518); #353443=AXIS2_PLACEMENT_3D('',#502233,#385519,#385520); #353444=AXIS2_PLACEMENT_3D('',#502234,#385521,#385522); #353445=AXIS2_PLACEMENT_3D('',#502235,#385523,#385524); #353446=AXIS2_PLACEMENT_3D('',#502237,#385525,#385526); #353447=AXIS2_PLACEMENT_3D('',#502240,#385528,#385529); #353448=AXIS2_PLACEMENT_3D('',#502241,#385530,#385531); #353449=AXIS2_PLACEMENT_3D('',#502243,#385532,#385533); #353450=AXIS2_PLACEMENT_3D('',#502246,#385535,#385536); #353451=AXIS2_PLACEMENT_3D('',#502247,#385537,#385538); #353452=AXIS2_PLACEMENT_3D('',#502248,#385539,#385540); #353453=AXIS2_PLACEMENT_3D('',#502249,#385541,#385542); #353454=AXIS2_PLACEMENT_3D('',#502251,#385543,#385544); #353455=AXIS2_PLACEMENT_3D('',#502254,#385546,#385547); #353456=AXIS2_PLACEMENT_3D('',#502255,#385548,#385549); #353457=AXIS2_PLACEMENT_3D('',#502257,#385550,#385551); #353458=AXIS2_PLACEMENT_3D('',#502260,#385553,#385554); #353459=AXIS2_PLACEMENT_3D('',#502261,#385555,#385556); #353460=AXIS2_PLACEMENT_3D('',#502262,#385557,#385558); #353461=AXIS2_PLACEMENT_3D('',#502263,#385559,#385560); #353462=AXIS2_PLACEMENT_3D('',#502265,#385561,#385562); #353463=AXIS2_PLACEMENT_3D('',#502268,#385564,#385565); #353464=AXIS2_PLACEMENT_3D('',#502269,#385566,#385567); #353465=AXIS2_PLACEMENT_3D('',#502271,#385568,#385569); #353466=AXIS2_PLACEMENT_3D('',#502274,#385571,#385572); #353467=AXIS2_PLACEMENT_3D('',#502275,#385573,#385574); #353468=AXIS2_PLACEMENT_3D('',#502276,#385575,#385576); #353469=AXIS2_PLACEMENT_3D('',#502277,#385577,#385578); #353470=AXIS2_PLACEMENT_3D('',#502279,#385579,#385580); #353471=AXIS2_PLACEMENT_3D('',#502282,#385582,#385583); #353472=AXIS2_PLACEMENT_3D('',#502283,#385584,#385585); #353473=AXIS2_PLACEMENT_3D('',#502285,#385586,#385587); #353474=AXIS2_PLACEMENT_3D('',#502288,#385589,#385590); #353475=AXIS2_PLACEMENT_3D('',#502289,#385591,#385592); #353476=AXIS2_PLACEMENT_3D('',#502290,#385593,#385594); #353477=AXIS2_PLACEMENT_3D('',#502291,#385595,#385596); #353478=AXIS2_PLACEMENT_3D('',#502293,#385597,#385598); #353479=AXIS2_PLACEMENT_3D('',#502296,#385600,#385601); #353480=AXIS2_PLACEMENT_3D('',#502297,#385602,#385603); #353481=AXIS2_PLACEMENT_3D('',#502299,#385604,#385605); #353482=AXIS2_PLACEMENT_3D('',#502302,#385607,#385608); #353483=AXIS2_PLACEMENT_3D('',#502303,#385609,#385610); #353484=AXIS2_PLACEMENT_3D('',#502304,#385611,#385612); #353485=AXIS2_PLACEMENT_3D('',#502305,#385613,#385614); #353486=AXIS2_PLACEMENT_3D('',#502307,#385615,#385616); #353487=AXIS2_PLACEMENT_3D('',#502310,#385618,#385619); #353488=AXIS2_PLACEMENT_3D('',#502311,#385620,#385621); #353489=AXIS2_PLACEMENT_3D('',#502313,#385622,#385623); #353490=AXIS2_PLACEMENT_3D('',#502316,#385625,#385626); #353491=AXIS2_PLACEMENT_3D('',#502317,#385627,#385628); #353492=AXIS2_PLACEMENT_3D('',#502318,#385629,#385630); #353493=AXIS2_PLACEMENT_3D('',#502319,#385631,#385632); #353494=AXIS2_PLACEMENT_3D('',#502321,#385633,#385634); #353495=AXIS2_PLACEMENT_3D('',#502324,#385636,#385637); #353496=AXIS2_PLACEMENT_3D('',#502325,#385638,#385639); #353497=AXIS2_PLACEMENT_3D('',#502327,#385640,#385641); #353498=AXIS2_PLACEMENT_3D('',#502330,#385643,#385644); #353499=AXIS2_PLACEMENT_3D('',#502331,#385645,#385646); #353500=AXIS2_PLACEMENT_3D('',#502332,#385647,#385648); #353501=AXIS2_PLACEMENT_3D('',#502333,#385649,#385650); #353502=AXIS2_PLACEMENT_3D('',#502335,#385651,#385652); #353503=AXIS2_PLACEMENT_3D('',#502338,#385654,#385655); #353504=AXIS2_PLACEMENT_3D('',#502339,#385656,#385657); #353505=AXIS2_PLACEMENT_3D('',#502341,#385658,#385659); #353506=AXIS2_PLACEMENT_3D('',#502344,#385661,#385662); #353507=AXIS2_PLACEMENT_3D('',#502345,#385663,#385664); #353508=AXIS2_PLACEMENT_3D('',#502346,#385665,#385666); #353509=AXIS2_PLACEMENT_3D('',#502347,#385667,#385668); #353510=AXIS2_PLACEMENT_3D('',#502349,#385669,#385670); #353511=AXIS2_PLACEMENT_3D('',#502352,#385672,#385673); #353512=AXIS2_PLACEMENT_3D('',#502353,#385674,#385675); #353513=AXIS2_PLACEMENT_3D('',#502355,#385676,#385677); #353514=AXIS2_PLACEMENT_3D('',#502358,#385679,#385680); #353515=AXIS2_PLACEMENT_3D('',#502359,#385681,#385682); #353516=AXIS2_PLACEMENT_3D('',#502360,#385683,#385684); #353517=AXIS2_PLACEMENT_3D('',#502361,#385685,#385686); #353518=AXIS2_PLACEMENT_3D('',#502363,#385687,#385688); #353519=AXIS2_PLACEMENT_3D('',#502366,#385690,#385691); #353520=AXIS2_PLACEMENT_3D('',#502367,#385692,#385693); #353521=AXIS2_PLACEMENT_3D('',#502369,#385694,#385695); #353522=AXIS2_PLACEMENT_3D('',#502372,#385697,#385698); #353523=AXIS2_PLACEMENT_3D('',#502373,#385699,#385700); #353524=AXIS2_PLACEMENT_3D('',#502374,#385701,#385702); #353525=AXIS2_PLACEMENT_3D('',#502375,#385703,#385704); #353526=AXIS2_PLACEMENT_3D('',#502377,#385705,#385706); #353527=AXIS2_PLACEMENT_3D('',#502380,#385708,#385709); #353528=AXIS2_PLACEMENT_3D('',#502381,#385710,#385711); #353529=AXIS2_PLACEMENT_3D('',#502383,#385712,#385713); #353530=AXIS2_PLACEMENT_3D('',#502386,#385715,#385716); #353531=AXIS2_PLACEMENT_3D('',#502387,#385717,#385718); #353532=AXIS2_PLACEMENT_3D('',#502388,#385719,#385720); #353533=AXIS2_PLACEMENT_3D('',#502389,#385721,#385722); #353534=AXIS2_PLACEMENT_3D('',#502391,#385723,#385724); #353535=AXIS2_PLACEMENT_3D('',#502394,#385726,#385727); #353536=AXIS2_PLACEMENT_3D('',#502395,#385728,#385729); #353537=AXIS2_PLACEMENT_3D('',#502397,#385730,#385731); #353538=AXIS2_PLACEMENT_3D('',#502400,#385733,#385734); #353539=AXIS2_PLACEMENT_3D('',#502401,#385735,#385736); #353540=AXIS2_PLACEMENT_3D('',#502402,#385737,#385738); #353541=AXIS2_PLACEMENT_3D('',#502403,#385739,#385740); #353542=AXIS2_PLACEMENT_3D('',#502405,#385741,#385742); #353543=AXIS2_PLACEMENT_3D('',#502408,#385744,#385745); #353544=AXIS2_PLACEMENT_3D('',#502409,#385746,#385747); #353545=AXIS2_PLACEMENT_3D('',#502411,#385748,#385749); #353546=AXIS2_PLACEMENT_3D('',#502414,#385751,#385752); #353547=AXIS2_PLACEMENT_3D('',#502415,#385753,#385754); #353548=AXIS2_PLACEMENT_3D('',#502416,#385755,#385756); #353549=AXIS2_PLACEMENT_3D('',#502417,#385757,#385758); #353550=AXIS2_PLACEMENT_3D('',#502419,#385759,#385760); #353551=AXIS2_PLACEMENT_3D('',#502422,#385762,#385763); #353552=AXIS2_PLACEMENT_3D('',#502423,#385764,#385765); #353553=AXIS2_PLACEMENT_3D('',#502425,#385766,#385767); #353554=AXIS2_PLACEMENT_3D('',#502428,#385769,#385770); #353555=AXIS2_PLACEMENT_3D('',#502429,#385771,#385772); #353556=AXIS2_PLACEMENT_3D('',#502430,#385773,#385774); #353557=AXIS2_PLACEMENT_3D('',#502431,#385775,#385776); #353558=AXIS2_PLACEMENT_3D('',#502433,#385777,#385778); #353559=AXIS2_PLACEMENT_3D('',#502436,#385780,#385781); #353560=AXIS2_PLACEMENT_3D('',#502437,#385782,#385783); #353561=AXIS2_PLACEMENT_3D('',#502439,#385784,#385785); #353562=AXIS2_PLACEMENT_3D('',#502442,#385787,#385788); #353563=AXIS2_PLACEMENT_3D('',#502443,#385789,#385790); #353564=AXIS2_PLACEMENT_3D('',#502444,#385791,#385792); #353565=AXIS2_PLACEMENT_3D('',#502445,#385793,#385794); #353566=AXIS2_PLACEMENT_3D('',#502447,#385795,#385796); #353567=AXIS2_PLACEMENT_3D('',#502450,#385798,#385799); #353568=AXIS2_PLACEMENT_3D('',#502451,#385800,#385801); #353569=AXIS2_PLACEMENT_3D('',#502453,#385802,#385803); #353570=AXIS2_PLACEMENT_3D('',#502456,#385805,#385806); #353571=AXIS2_PLACEMENT_3D('',#502457,#385807,#385808); #353572=AXIS2_PLACEMENT_3D('',#502458,#385809,#385810); #353573=AXIS2_PLACEMENT_3D('',#502459,#385811,#385812); #353574=AXIS2_PLACEMENT_3D('',#502461,#385813,#385814); #353575=AXIS2_PLACEMENT_3D('',#502464,#385816,#385817); #353576=AXIS2_PLACEMENT_3D('',#502465,#385818,#385819); #353577=AXIS2_PLACEMENT_3D('',#502467,#385820,#385821); #353578=AXIS2_PLACEMENT_3D('',#502470,#385823,#385824); #353579=AXIS2_PLACEMENT_3D('',#502471,#385825,#385826); #353580=AXIS2_PLACEMENT_3D('',#502472,#385827,#385828); #353581=AXIS2_PLACEMENT_3D('',#502473,#385829,#385830); #353582=AXIS2_PLACEMENT_3D('',#502475,#385831,#385832); #353583=AXIS2_PLACEMENT_3D('',#502478,#385834,#385835); #353584=AXIS2_PLACEMENT_3D('',#502479,#385836,#385837); #353585=AXIS2_PLACEMENT_3D('',#502481,#385838,#385839); #353586=AXIS2_PLACEMENT_3D('',#502484,#385841,#385842); #353587=AXIS2_PLACEMENT_3D('',#502485,#385843,#385844); #353588=AXIS2_PLACEMENT_3D('',#502486,#385845,#385846); #353589=AXIS2_PLACEMENT_3D('',#502487,#385847,#385848); #353590=AXIS2_PLACEMENT_3D('',#502489,#385849,#385850); #353591=AXIS2_PLACEMENT_3D('',#502492,#385852,#385853); #353592=AXIS2_PLACEMENT_3D('',#502493,#385854,#385855); #353593=AXIS2_PLACEMENT_3D('',#502495,#385856,#385857); #353594=AXIS2_PLACEMENT_3D('',#502498,#385859,#385860); #353595=AXIS2_PLACEMENT_3D('',#502499,#385861,#385862); #353596=AXIS2_PLACEMENT_3D('',#502500,#385863,#385864); #353597=AXIS2_PLACEMENT_3D('',#502501,#385865,#385866); #353598=AXIS2_PLACEMENT_3D('',#502503,#385867,#385868); #353599=AXIS2_PLACEMENT_3D('',#502506,#385870,#385871); #353600=AXIS2_PLACEMENT_3D('',#502507,#385872,#385873); #353601=AXIS2_PLACEMENT_3D('',#502509,#385874,#385875); #353602=AXIS2_PLACEMENT_3D('',#502512,#385877,#385878); #353603=AXIS2_PLACEMENT_3D('',#502513,#385879,#385880); #353604=AXIS2_PLACEMENT_3D('',#502514,#385881,#385882); #353605=AXIS2_PLACEMENT_3D('',#502515,#385883,#385884); #353606=AXIS2_PLACEMENT_3D('',#502517,#385885,#385886); #353607=AXIS2_PLACEMENT_3D('',#502520,#385888,#385889); #353608=AXIS2_PLACEMENT_3D('',#502521,#385890,#385891); #353609=AXIS2_PLACEMENT_3D('',#502523,#385892,#385893); #353610=AXIS2_PLACEMENT_3D('',#502526,#385895,#385896); #353611=AXIS2_PLACEMENT_3D('',#502527,#385897,#385898); #353612=AXIS2_PLACEMENT_3D('',#502528,#385899,#385900); #353613=AXIS2_PLACEMENT_3D('',#502529,#385901,#385902); #353614=AXIS2_PLACEMENT_3D('',#502531,#385903,#385904); #353615=AXIS2_PLACEMENT_3D('',#502534,#385906,#385907); #353616=AXIS2_PLACEMENT_3D('',#502535,#385908,#385909); #353617=AXIS2_PLACEMENT_3D('',#502537,#385910,#385911); #353618=AXIS2_PLACEMENT_3D('',#502540,#385913,#385914); #353619=AXIS2_PLACEMENT_3D('',#502541,#385915,#385916); #353620=AXIS2_PLACEMENT_3D('',#502542,#385917,#385918); #353621=AXIS2_PLACEMENT_3D('',#502543,#385919,#385920); #353622=AXIS2_PLACEMENT_3D('',#502545,#385921,#385922); #353623=AXIS2_PLACEMENT_3D('',#502548,#385924,#385925); #353624=AXIS2_PLACEMENT_3D('',#502549,#385926,#385927); #353625=AXIS2_PLACEMENT_3D('',#502551,#385928,#385929); #353626=AXIS2_PLACEMENT_3D('',#502554,#385931,#385932); #353627=AXIS2_PLACEMENT_3D('',#502555,#385933,#385934); #353628=AXIS2_PLACEMENT_3D('',#502556,#385935,#385936); #353629=AXIS2_PLACEMENT_3D('',#502557,#385937,#385938); #353630=AXIS2_PLACEMENT_3D('',#502559,#385939,#385940); #353631=AXIS2_PLACEMENT_3D('',#502562,#385942,#385943); #353632=AXIS2_PLACEMENT_3D('',#502563,#385944,#385945); #353633=AXIS2_PLACEMENT_3D('',#502565,#385946,#385947); #353634=AXIS2_PLACEMENT_3D('',#502568,#385949,#385950); #353635=AXIS2_PLACEMENT_3D('',#502569,#385951,#385952); #353636=AXIS2_PLACEMENT_3D('',#502570,#385953,#385954); #353637=AXIS2_PLACEMENT_3D('',#502571,#385955,#385956); #353638=AXIS2_PLACEMENT_3D('',#502573,#385957,#385958); #353639=AXIS2_PLACEMENT_3D('',#502576,#385960,#385961); #353640=AXIS2_PLACEMENT_3D('',#502577,#385962,#385963); #353641=AXIS2_PLACEMENT_3D('',#502579,#385964,#385965); #353642=AXIS2_PLACEMENT_3D('',#502582,#385967,#385968); #353643=AXIS2_PLACEMENT_3D('',#502583,#385969,#385970); #353644=AXIS2_PLACEMENT_3D('',#502584,#385971,#385972); #353645=AXIS2_PLACEMENT_3D('',#502585,#385973,#385974); #353646=AXIS2_PLACEMENT_3D('',#502587,#385975,#385976); #353647=AXIS2_PLACEMENT_3D('',#502590,#385978,#385979); #353648=AXIS2_PLACEMENT_3D('',#502591,#385980,#385981); #353649=AXIS2_PLACEMENT_3D('',#502593,#385982,#385983); #353650=AXIS2_PLACEMENT_3D('',#502596,#385985,#385986); #353651=AXIS2_PLACEMENT_3D('',#502597,#385987,#385988); #353652=AXIS2_PLACEMENT_3D('',#502598,#385989,#385990); #353653=AXIS2_PLACEMENT_3D('',#502599,#385991,#385992); #353654=AXIS2_PLACEMENT_3D('',#502601,#385993,#385994); #353655=AXIS2_PLACEMENT_3D('',#502604,#385996,#385997); #353656=AXIS2_PLACEMENT_3D('',#502605,#385998,#385999); #353657=AXIS2_PLACEMENT_3D('',#502607,#386000,#386001); #353658=AXIS2_PLACEMENT_3D('',#502610,#386003,#386004); #353659=AXIS2_PLACEMENT_3D('',#502611,#386005,#386006); #353660=AXIS2_PLACEMENT_3D('',#502612,#386007,#386008); #353661=AXIS2_PLACEMENT_3D('',#502613,#386009,#386010); #353662=AXIS2_PLACEMENT_3D('',#502615,#386011,#386012); #353663=AXIS2_PLACEMENT_3D('',#502618,#386014,#386015); #353664=AXIS2_PLACEMENT_3D('',#502619,#386016,#386017); #353665=AXIS2_PLACEMENT_3D('',#502621,#386018,#386019); #353666=AXIS2_PLACEMENT_3D('',#502624,#386021,#386022); #353667=AXIS2_PLACEMENT_3D('',#502625,#386023,#386024); #353668=AXIS2_PLACEMENT_3D('',#502626,#386025,#386026); #353669=AXIS2_PLACEMENT_3D('',#502627,#386027,#386028); #353670=AXIS2_PLACEMENT_3D('',#502629,#386029,#386030); #353671=AXIS2_PLACEMENT_3D('',#502632,#386032,#386033); #353672=AXIS2_PLACEMENT_3D('',#502633,#386034,#386035); #353673=AXIS2_PLACEMENT_3D('',#502635,#386036,#386037); #353674=AXIS2_PLACEMENT_3D('',#502638,#386039,#386040); #353675=AXIS2_PLACEMENT_3D('',#502639,#386041,#386042); #353676=AXIS2_PLACEMENT_3D('',#502640,#386043,#386044); #353677=AXIS2_PLACEMENT_3D('',#502641,#386045,#386046); #353678=AXIS2_PLACEMENT_3D('',#502643,#386047,#386048); #353679=AXIS2_PLACEMENT_3D('',#502646,#386050,#386051); #353680=AXIS2_PLACEMENT_3D('',#502647,#386052,#386053); #353681=AXIS2_PLACEMENT_3D('',#502649,#386054,#386055); #353682=AXIS2_PLACEMENT_3D('',#502652,#386057,#386058); #353683=AXIS2_PLACEMENT_3D('',#502653,#386059,#386060); #353684=AXIS2_PLACEMENT_3D('',#502654,#386061,#386062); #353685=AXIS2_PLACEMENT_3D('',#502655,#386063,#386064); #353686=AXIS2_PLACEMENT_3D('',#502657,#386065,#386066); #353687=AXIS2_PLACEMENT_3D('',#502660,#386068,#386069); #353688=AXIS2_PLACEMENT_3D('',#502661,#386070,#386071); #353689=AXIS2_PLACEMENT_3D('',#502663,#386072,#386073); #353690=AXIS2_PLACEMENT_3D('',#502666,#386075,#386076); #353691=AXIS2_PLACEMENT_3D('',#502667,#386077,#386078); #353692=AXIS2_PLACEMENT_3D('',#502668,#386079,#386080); #353693=AXIS2_PLACEMENT_3D('',#502669,#386081,#386082); #353694=AXIS2_PLACEMENT_3D('',#502671,#386083,#386084); #353695=AXIS2_PLACEMENT_3D('',#502674,#386086,#386087); #353696=AXIS2_PLACEMENT_3D('',#502675,#386088,#386089); #353697=AXIS2_PLACEMENT_3D('',#502677,#386090,#386091); #353698=AXIS2_PLACEMENT_3D('',#502680,#386093,#386094); #353699=AXIS2_PLACEMENT_3D('',#502681,#386095,#386096); #353700=AXIS2_PLACEMENT_3D('',#502682,#386097,#386098); #353701=AXIS2_PLACEMENT_3D('',#502683,#386099,#386100); #353702=AXIS2_PLACEMENT_3D('',#502685,#386101,#386102); #353703=AXIS2_PLACEMENT_3D('',#502688,#386104,#386105); #353704=AXIS2_PLACEMENT_3D('',#502689,#386106,#386107); #353705=AXIS2_PLACEMENT_3D('',#502691,#386108,#386109); #353706=AXIS2_PLACEMENT_3D('',#502694,#386111,#386112); #353707=AXIS2_PLACEMENT_3D('',#502695,#386113,#386114); #353708=AXIS2_PLACEMENT_3D('',#502696,#386115,#386116); #353709=AXIS2_PLACEMENT_3D('',#502697,#386117,#386118); #353710=AXIS2_PLACEMENT_3D('',#502699,#386119,#386120); #353711=AXIS2_PLACEMENT_3D('',#502702,#386122,#386123); #353712=AXIS2_PLACEMENT_3D('',#502703,#386124,#386125); #353713=AXIS2_PLACEMENT_3D('',#502705,#386126,#386127); #353714=AXIS2_PLACEMENT_3D('',#502708,#386129,#386130); #353715=AXIS2_PLACEMENT_3D('',#502709,#386131,#386132); #353716=AXIS2_PLACEMENT_3D('',#502710,#386133,#386134); #353717=AXIS2_PLACEMENT_3D('',#502711,#386135,#386136); #353718=AXIS2_PLACEMENT_3D('',#502713,#386137,#386138); #353719=AXIS2_PLACEMENT_3D('',#502716,#386140,#386141); #353720=AXIS2_PLACEMENT_3D('',#502717,#386142,#386143); #353721=AXIS2_PLACEMENT_3D('',#502719,#386144,#386145); #353722=AXIS2_PLACEMENT_3D('',#502722,#386147,#386148); #353723=AXIS2_PLACEMENT_3D('',#502723,#386149,#386150); #353724=AXIS2_PLACEMENT_3D('',#502724,#386151,#386152); #353725=AXIS2_PLACEMENT_3D('',#502725,#386153,#386154); #353726=AXIS2_PLACEMENT_3D('',#502734,#386159,#386160); #353727=AXIS2_PLACEMENT_3D('',#502736,#386161,#386162); #353728=AXIS2_PLACEMENT_3D('',#502738,#386163,#386164); #353729=AXIS2_PLACEMENT_3D('',#502740,#386166,#386167); #353730=AXIS2_PLACEMENT_3D('',#502746,#386171,#386172); #353731=AXIS2_PLACEMENT_3D('',#502747,#386173,#386174); #353732=AXIS2_PLACEMENT_3D('',#502748,#386175,#386176); #353733=AXIS2_PLACEMENT_3D('',#502749,#386177,#386178); #353734=AXIS2_PLACEMENT_3D('',#502758,#386183,#386184); #353735=AXIS2_PLACEMENT_3D('',#502760,#386185,#386186); #353736=AXIS2_PLACEMENT_3D('',#502762,#386187,#386188); #353737=AXIS2_PLACEMENT_3D('',#502764,#386190,#386191); #353738=AXIS2_PLACEMENT_3D('',#502770,#386195,#386196); #353739=AXIS2_PLACEMENT_3D('',#502771,#386197,#386198); #353740=AXIS2_PLACEMENT_3D('',#502772,#386199,#386200); #353741=AXIS2_PLACEMENT_3D('',#502773,#386201,#386202); #353742=AXIS2_PLACEMENT_3D('',#502774,#386203,#386204); #353743=AXIS2_PLACEMENT_3D('',#502775,#386205,#386206); #353744=AXIS2_PLACEMENT_3D('',#502777,#386207,#386208); #353745=AXIS2_PLACEMENT_3D('',#502780,#386210,#386211); #353746=AXIS2_PLACEMENT_3D('',#502781,#386212,#386213); #353747=AXIS2_PLACEMENT_3D('',#502783,#386214,#386215); #353748=AXIS2_PLACEMENT_3D('',#502786,#386217,#386218); #353749=AXIS2_PLACEMENT_3D('',#502787,#386219,#386220); #353750=AXIS2_PLACEMENT_3D('',#502788,#386221,#386222); #353751=AXIS2_PLACEMENT_3D('',#502789,#386223,#386224); #353752=AXIS2_PLACEMENT_3D('',#502798,#386229,#386230); #353753=AXIS2_PLACEMENT_3D('',#502800,#386231,#386232); #353754=AXIS2_PLACEMENT_3D('',#502802,#386233,#386234); #353755=AXIS2_PLACEMENT_3D('',#502804,#386236,#386237); #353756=AXIS2_PLACEMENT_3D('',#502810,#386241,#386242); #353757=AXIS2_PLACEMENT_3D('',#502811,#386243,#386244); #353758=AXIS2_PLACEMENT_3D('',#502812,#386245,#386246); #353759=AXIS2_PLACEMENT_3D('',#502813,#386247,#386248); #353760=AXIS2_PLACEMENT_3D('',#502822,#386253,#386254); #353761=AXIS2_PLACEMENT_3D('',#502824,#386255,#386256); #353762=AXIS2_PLACEMENT_3D('',#502826,#386257,#386258); #353763=AXIS2_PLACEMENT_3D('',#502828,#386260,#386261); #353764=AXIS2_PLACEMENT_3D('',#502834,#386265,#386266); #353765=AXIS2_PLACEMENT_3D('',#502835,#386267,#386268); #353766=AXIS2_PLACEMENT_3D('',#502836,#386269,#386270); #353767=AXIS2_PLACEMENT_3D('',#502837,#386271,#386272); #353768=AXIS2_PLACEMENT_3D('',#502838,#386273,#386274); #353769=AXIS2_PLACEMENT_3D('',#502839,#386275,#386276); #353770=AXIS2_PLACEMENT_3D('',#502841,#386277,#386278); #353771=AXIS2_PLACEMENT_3D('',#502844,#386280,#386281); #353772=AXIS2_PLACEMENT_3D('',#502845,#386282,#386283); #353773=AXIS2_PLACEMENT_3D('',#502847,#386284,#386285); #353774=AXIS2_PLACEMENT_3D('',#502850,#386287,#386288); #353775=AXIS2_PLACEMENT_3D('',#502851,#386289,#386290); #353776=AXIS2_PLACEMENT_3D('',#502852,#386291,#386292); #353777=AXIS2_PLACEMENT_3D('',#502853,#386293,#386294); #353778=AXIS2_PLACEMENT_3D('',#502862,#386299,#386300); #353779=AXIS2_PLACEMENT_3D('',#502864,#386301,#386302); #353780=AXIS2_PLACEMENT_3D('',#502866,#386303,#386304); #353781=AXIS2_PLACEMENT_3D('',#502868,#386306,#386307); #353782=AXIS2_PLACEMENT_3D('',#502874,#386311,#386312); #353783=AXIS2_PLACEMENT_3D('',#502875,#386313,#386314); #353784=AXIS2_PLACEMENT_3D('',#502876,#386315,#386316); #353785=AXIS2_PLACEMENT_3D('',#502877,#386317,#386318); #353786=AXIS2_PLACEMENT_3D('',#502886,#386323,#386324); #353787=AXIS2_PLACEMENT_3D('',#502888,#386325,#386326); #353788=AXIS2_PLACEMENT_3D('',#502890,#386327,#386328); #353789=AXIS2_PLACEMENT_3D('',#502892,#386330,#386331); #353790=AXIS2_PLACEMENT_3D('',#502898,#386335,#386336); #353791=AXIS2_PLACEMENT_3D('',#502899,#386337,#386338); #353792=AXIS2_PLACEMENT_3D('',#502900,#386339,#386340); #353793=AXIS2_PLACEMENT_3D('',#502901,#386341,#386342); #353794=AXIS2_PLACEMENT_3D('',#502902,#386343,#386344); #353795=AXIS2_PLACEMENT_3D('',#502903,#386345,#386346); #353796=AXIS2_PLACEMENT_3D('',#502905,#386347,#386348); #353797=AXIS2_PLACEMENT_3D('',#502908,#386350,#386351); #353798=AXIS2_PLACEMENT_3D('',#502909,#386352,#386353); #353799=AXIS2_PLACEMENT_3D('',#502911,#386354,#386355); #353800=AXIS2_PLACEMENT_3D('',#502914,#386357,#386358); #353801=AXIS2_PLACEMENT_3D('',#502915,#386359,#386360); #353802=AXIS2_PLACEMENT_3D('',#502916,#386361,#386362); #353803=AXIS2_PLACEMENT_3D('',#502917,#386363,#386364); #353804=AXIS2_PLACEMENT_3D('',#502919,#386365,#386366); #353805=AXIS2_PLACEMENT_3D('',#502922,#386368,#386369); #353806=AXIS2_PLACEMENT_3D('',#502923,#386370,#386371); #353807=AXIS2_PLACEMENT_3D('',#502925,#386372,#386373); #353808=AXIS2_PLACEMENT_3D('',#502928,#386375,#386376); #353809=AXIS2_PLACEMENT_3D('',#502929,#386377,#386378); #353810=AXIS2_PLACEMENT_3D('',#502930,#386379,#386380); #353811=AXIS2_PLACEMENT_3D('',#502931,#386381,#386382); #353812=AXIS2_PLACEMENT_3D('',#502933,#386383,#386384); #353813=AXIS2_PLACEMENT_3D('',#502936,#386386,#386387); #353814=AXIS2_PLACEMENT_3D('',#502937,#386388,#386389); #353815=AXIS2_PLACEMENT_3D('',#502939,#386390,#386391); #353816=AXIS2_PLACEMENT_3D('',#502942,#386393,#386394); #353817=AXIS2_PLACEMENT_3D('',#502943,#386395,#386396); #353818=AXIS2_PLACEMENT_3D('',#502944,#386397,#386398); #353819=AXIS2_PLACEMENT_3D('',#502945,#386399,#386400); #353820=AXIS2_PLACEMENT_3D('',#502947,#386401,#386402); #353821=AXIS2_PLACEMENT_3D('',#502950,#386404,#386405); #353822=AXIS2_PLACEMENT_3D('',#502951,#386406,#386407); #353823=AXIS2_PLACEMENT_3D('',#502953,#386408,#386409); #353824=AXIS2_PLACEMENT_3D('',#502956,#386411,#386412); #353825=AXIS2_PLACEMENT_3D('',#502957,#386413,#386414); #353826=AXIS2_PLACEMENT_3D('',#502958,#386415,#386416); #353827=AXIS2_PLACEMENT_3D('',#502959,#386417,#386418); #353828=AXIS2_PLACEMENT_3D('',#502961,#386419,#386420); #353829=AXIS2_PLACEMENT_3D('',#502964,#386422,#386423); #353830=AXIS2_PLACEMENT_3D('',#502965,#386424,#386425); #353831=AXIS2_PLACEMENT_3D('',#502967,#386426,#386427); #353832=AXIS2_PLACEMENT_3D('',#502970,#386429,#386430); #353833=AXIS2_PLACEMENT_3D('',#502971,#386431,#386432); #353834=AXIS2_PLACEMENT_3D('',#502972,#386433,#386434); #353835=AXIS2_PLACEMENT_3D('',#502973,#386435,#386436); #353836=AXIS2_PLACEMENT_3D('',#502975,#386437,#386438); #353837=AXIS2_PLACEMENT_3D('',#502978,#386440,#386441); #353838=AXIS2_PLACEMENT_3D('',#502979,#386442,#386443); #353839=AXIS2_PLACEMENT_3D('',#502981,#386444,#386445); #353840=AXIS2_PLACEMENT_3D('',#502984,#386447,#386448); #353841=AXIS2_PLACEMENT_3D('',#502985,#386449,#386450); #353842=AXIS2_PLACEMENT_3D('',#502986,#386451,#386452); #353843=AXIS2_PLACEMENT_3D('',#502987,#386453,#386454); #353844=AXIS2_PLACEMENT_3D('',#502989,#386455,#386456); #353845=AXIS2_PLACEMENT_3D('',#502992,#386458,#386459); #353846=AXIS2_PLACEMENT_3D('',#502993,#386460,#386461); #353847=AXIS2_PLACEMENT_3D('',#502995,#386462,#386463); #353848=AXIS2_PLACEMENT_3D('',#502998,#386465,#386466); #353849=AXIS2_PLACEMENT_3D('',#502999,#386467,#386468); #353850=AXIS2_PLACEMENT_3D('',#503000,#386469,#386470); #353851=AXIS2_PLACEMENT_3D('',#503001,#386471,#386472); #353852=AXIS2_PLACEMENT_3D('',#503003,#386473,#386474); #353853=AXIS2_PLACEMENT_3D('',#503006,#386476,#386477); #353854=AXIS2_PLACEMENT_3D('',#503007,#386478,#386479); #353855=AXIS2_PLACEMENT_3D('',#503009,#386480,#386481); #353856=AXIS2_PLACEMENT_3D('',#503012,#386483,#386484); #353857=AXIS2_PLACEMENT_3D('',#503013,#386485,#386486); #353858=AXIS2_PLACEMENT_3D('',#503014,#386487,#386488); #353859=AXIS2_PLACEMENT_3D('',#503015,#386489,#386490); #353860=AXIS2_PLACEMENT_3D('',#503017,#386491,#386492); #353861=AXIS2_PLACEMENT_3D('',#503020,#386494,#386495); #353862=AXIS2_PLACEMENT_3D('',#503021,#386496,#386497); #353863=AXIS2_PLACEMENT_3D('',#503023,#386498,#386499); #353864=AXIS2_PLACEMENT_3D('',#503026,#386501,#386502); #353865=AXIS2_PLACEMENT_3D('',#503027,#386503,#386504); #353866=AXIS2_PLACEMENT_3D('',#503028,#386505,#386506); #353867=AXIS2_PLACEMENT_3D('',#503029,#386507,#386508); #353868=AXIS2_PLACEMENT_3D('',#503031,#386509,#386510); #353869=AXIS2_PLACEMENT_3D('',#503034,#386512,#386513); #353870=AXIS2_PLACEMENT_3D('',#503035,#386514,#386515); #353871=AXIS2_PLACEMENT_3D('',#503037,#386516,#386517); #353872=AXIS2_PLACEMENT_3D('',#503040,#386519,#386520); #353873=AXIS2_PLACEMENT_3D('',#503041,#386521,#386522); #353874=AXIS2_PLACEMENT_3D('',#503042,#386523,#386524); #353875=AXIS2_PLACEMENT_3D('',#503043,#386525,#386526); #353876=AXIS2_PLACEMENT_3D('',#503052,#386531,#386532); #353877=AXIS2_PLACEMENT_3D('',#503054,#386533,#386534); #353878=AXIS2_PLACEMENT_3D('',#503056,#386535,#386536); #353879=AXIS2_PLACEMENT_3D('',#503058,#386538,#386539); #353880=AXIS2_PLACEMENT_3D('',#503064,#386543,#386544); #353881=AXIS2_PLACEMENT_3D('',#503065,#386545,#386546); #353882=AXIS2_PLACEMENT_3D('',#503066,#386547,#386548); #353883=AXIS2_PLACEMENT_3D('',#503067,#386549,#386550); #353884=AXIS2_PLACEMENT_3D('',#503076,#386555,#386556); #353885=AXIS2_PLACEMENT_3D('',#503078,#386557,#386558); #353886=AXIS2_PLACEMENT_3D('',#503080,#386559,#386560); #353887=AXIS2_PLACEMENT_3D('',#503082,#386562,#386563); #353888=AXIS2_PLACEMENT_3D('',#503088,#386567,#386568); #353889=AXIS2_PLACEMENT_3D('',#503089,#386569,#386570); #353890=AXIS2_PLACEMENT_3D('',#503090,#386571,#386572); #353891=AXIS2_PLACEMENT_3D('',#503091,#386573,#386574); #353892=AXIS2_PLACEMENT_3D('',#503092,#386575,#386576); #353893=AXIS2_PLACEMENT_3D('',#503093,#386577,#386578); #353894=AXIS2_PLACEMENT_3D('',#503095,#386579,#386580); #353895=AXIS2_PLACEMENT_3D('',#503098,#386582,#386583); #353896=AXIS2_PLACEMENT_3D('',#503099,#386584,#386585); #353897=AXIS2_PLACEMENT_3D('',#503101,#386586,#386587); #353898=AXIS2_PLACEMENT_3D('',#503104,#386589,#386590); #353899=AXIS2_PLACEMENT_3D('',#503105,#386591,#386592); #353900=AXIS2_PLACEMENT_3D('',#503106,#386593,#386594); #353901=AXIS2_PLACEMENT_3D('',#503107,#386595,#386596); #353902=AXIS2_PLACEMENT_3D('',#503109,#386597,#386598); #353903=AXIS2_PLACEMENT_3D('',#503112,#386600,#386601); #353904=AXIS2_PLACEMENT_3D('',#503113,#386602,#386603); #353905=AXIS2_PLACEMENT_3D('',#503115,#386604,#386605); #353906=AXIS2_PLACEMENT_3D('',#503118,#386607,#386608); #353907=AXIS2_PLACEMENT_3D('',#503119,#386609,#386610); #353908=AXIS2_PLACEMENT_3D('',#503120,#386611,#386612); #353909=AXIS2_PLACEMENT_3D('',#503121,#386613,#386614); #353910=AXIS2_PLACEMENT_3D('',#503123,#386615,#386616); #353911=AXIS2_PLACEMENT_3D('',#503126,#386618,#386619); #353912=AXIS2_PLACEMENT_3D('',#503127,#386620,#386621); #353913=AXIS2_PLACEMENT_3D('',#503129,#386622,#386623); #353914=AXIS2_PLACEMENT_3D('',#503132,#386625,#386626); #353915=AXIS2_PLACEMENT_3D('',#503133,#386627,#386628); #353916=AXIS2_PLACEMENT_3D('',#503134,#386629,#386630); #353917=AXIS2_PLACEMENT_3D('',#503135,#386631,#386632); #353918=AXIS2_PLACEMENT_3D('',#503144,#386637,#386638); #353919=AXIS2_PLACEMENT_3D('',#503146,#386639,#386640); #353920=AXIS2_PLACEMENT_3D('',#503148,#386641,#386642); #353921=AXIS2_PLACEMENT_3D('',#503150,#386644,#386645); #353922=AXIS2_PLACEMENT_3D('',#503156,#386649,#386650); #353923=AXIS2_PLACEMENT_3D('',#503157,#386651,#386652); #353924=AXIS2_PLACEMENT_3D('',#503158,#386653,#386654); #353925=AXIS2_PLACEMENT_3D('',#503159,#386655,#386656); #353926=AXIS2_PLACEMENT_3D('',#503168,#386661,#386662); #353927=AXIS2_PLACEMENT_3D('',#503170,#386663,#386664); #353928=AXIS2_PLACEMENT_3D('',#503172,#386665,#386666); #353929=AXIS2_PLACEMENT_3D('',#503174,#386668,#386669); #353930=AXIS2_PLACEMENT_3D('',#503180,#386673,#386674); #353931=AXIS2_PLACEMENT_3D('',#503181,#386675,#386676); #353932=AXIS2_PLACEMENT_3D('',#503182,#386677,#386678); #353933=AXIS2_PLACEMENT_3D('',#503183,#386679,#386680); #353934=AXIS2_PLACEMENT_3D('',#503184,#386681,#386682); #353935=AXIS2_PLACEMENT_3D('',#503185,#386683,#386684); #353936=AXIS2_PLACEMENT_3D('',#503187,#386685,#386686); #353937=AXIS2_PLACEMENT_3D('',#503190,#386688,#386689); #353938=AXIS2_PLACEMENT_3D('',#503191,#386690,#386691); #353939=AXIS2_PLACEMENT_3D('',#503193,#386692,#386693); #353940=AXIS2_PLACEMENT_3D('',#503196,#386695,#386696); #353941=AXIS2_PLACEMENT_3D('',#503197,#386697,#386698); #353942=AXIS2_PLACEMENT_3D('',#503198,#386699,#386700); #353943=AXIS2_PLACEMENT_3D('',#503199,#386701,#386702); #353944=AXIS2_PLACEMENT_3D('',#503208,#386707,#386708); #353945=AXIS2_PLACEMENT_3D('',#503210,#386709,#386710); #353946=AXIS2_PLACEMENT_3D('',#503212,#386711,#386712); #353947=AXIS2_PLACEMENT_3D('',#503214,#386714,#386715); #353948=AXIS2_PLACEMENT_3D('',#503220,#386719,#386720); #353949=AXIS2_PLACEMENT_3D('',#503221,#386721,#386722); #353950=AXIS2_PLACEMENT_3D('',#503222,#386723,#386724); #353951=AXIS2_PLACEMENT_3D('',#503223,#386725,#386726); #353952=AXIS2_PLACEMENT_3D('',#503232,#386731,#386732); #353953=AXIS2_PLACEMENT_3D('',#503234,#386733,#386734); #353954=AXIS2_PLACEMENT_3D('',#503236,#386735,#386736); #353955=AXIS2_PLACEMENT_3D('',#503238,#386738,#386739); #353956=AXIS2_PLACEMENT_3D('',#503244,#386743,#386744); #353957=AXIS2_PLACEMENT_3D('',#503245,#386745,#386746); #353958=AXIS2_PLACEMENT_3D('',#503246,#386747,#386748); #353959=AXIS2_PLACEMENT_3D('',#503247,#386749,#386750); #353960=AXIS2_PLACEMENT_3D('',#503248,#386751,#386752); #353961=AXIS2_PLACEMENT_3D('',#503249,#386753,#386754); #353962=AXIS2_PLACEMENT_3D('',#503251,#386755,#386756); #353963=AXIS2_PLACEMENT_3D('',#503254,#386758,#386759); #353964=AXIS2_PLACEMENT_3D('',#503255,#386760,#386761); #353965=AXIS2_PLACEMENT_3D('',#503257,#386762,#386763); #353966=AXIS2_PLACEMENT_3D('',#503260,#386765,#386766); #353967=AXIS2_PLACEMENT_3D('',#503261,#386767,#386768); #353968=AXIS2_PLACEMENT_3D('',#503262,#386769,#386770); #353969=AXIS2_PLACEMENT_3D('',#503263,#386771,#386772); #353970=AXIS2_PLACEMENT_3D('',#503265,#386773,#386774); #353971=AXIS2_PLACEMENT_3D('',#503268,#386776,#386777); #353972=AXIS2_PLACEMENT_3D('',#503269,#386778,#386779); #353973=AXIS2_PLACEMENT_3D('',#503271,#386780,#386781); #353974=AXIS2_PLACEMENT_3D('',#503274,#386783,#386784); #353975=AXIS2_PLACEMENT_3D('',#503275,#386785,#386786); #353976=AXIS2_PLACEMENT_3D('',#503276,#386787,#386788); #353977=AXIS2_PLACEMENT_3D('',#503277,#386789,#386790); #353978=AXIS2_PLACEMENT_3D('',#503279,#386791,#386792); #353979=AXIS2_PLACEMENT_3D('',#503282,#386794,#386795); #353980=AXIS2_PLACEMENT_3D('',#503283,#386796,#386797); #353981=AXIS2_PLACEMENT_3D('',#503285,#386798,#386799); #353982=AXIS2_PLACEMENT_3D('',#503288,#386801,#386802); #353983=AXIS2_PLACEMENT_3D('',#503289,#386803,#386804); #353984=AXIS2_PLACEMENT_3D('',#503290,#386805,#386806); #353985=AXIS2_PLACEMENT_3D('',#503291,#386807,#386808); #353986=AXIS2_PLACEMENT_3D('',#503293,#386809,#386810); #353987=AXIS2_PLACEMENT_3D('',#503296,#386812,#386813); #353988=AXIS2_PLACEMENT_3D('',#503297,#386814,#386815); #353989=AXIS2_PLACEMENT_3D('',#503299,#386816,#386817); #353990=AXIS2_PLACEMENT_3D('',#503302,#386819,#386820); #353991=AXIS2_PLACEMENT_3D('',#503303,#386821,#386822); #353992=AXIS2_PLACEMENT_3D('',#503304,#386823,#386824); #353993=AXIS2_PLACEMENT_3D('',#503305,#386825,#386826); #353994=AXIS2_PLACEMENT_3D('',#503307,#386827,#386828); #353995=AXIS2_PLACEMENT_3D('',#503310,#386830,#386831); #353996=AXIS2_PLACEMENT_3D('',#503311,#386832,#386833); #353997=AXIS2_PLACEMENT_3D('',#503313,#386834,#386835); #353998=AXIS2_PLACEMENT_3D('',#503316,#386837,#386838); #353999=AXIS2_PLACEMENT_3D('',#503317,#386839,#386840); #354000=AXIS2_PLACEMENT_3D('',#503318,#386841,#386842); #354001=AXIS2_PLACEMENT_3D('',#503319,#386843,#386844); #354002=AXIS2_PLACEMENT_3D('',#503321,#386845,#386846); #354003=AXIS2_PLACEMENT_3D('',#503324,#386848,#386849); #354004=AXIS2_PLACEMENT_3D('',#503325,#386850,#386851); #354005=AXIS2_PLACEMENT_3D('',#503327,#386852,#386853); #354006=AXIS2_PLACEMENT_3D('',#503330,#386855,#386856); #354007=AXIS2_PLACEMENT_3D('',#503331,#386857,#386858); #354008=AXIS2_PLACEMENT_3D('',#503332,#386859,#386860); #354009=AXIS2_PLACEMENT_3D('',#503333,#386861,#386862); #354010=AXIS2_PLACEMENT_3D('',#503335,#386863,#386864); #354011=AXIS2_PLACEMENT_3D('',#503338,#386866,#386867); #354012=AXIS2_PLACEMENT_3D('',#503339,#386868,#386869); #354013=AXIS2_PLACEMENT_3D('',#503341,#386870,#386871); #354014=AXIS2_PLACEMENT_3D('',#503344,#386873,#386874); #354015=AXIS2_PLACEMENT_3D('',#503345,#386875,#386876); #354016=AXIS2_PLACEMENT_3D('',#503346,#386877,#386878); #354017=AXIS2_PLACEMENT_3D('',#503347,#386879,#386880); #354018=AXIS2_PLACEMENT_3D('',#503349,#386881,#386882); #354019=AXIS2_PLACEMENT_3D('',#503352,#386884,#386885); #354020=AXIS2_PLACEMENT_3D('',#503353,#386886,#386887); #354021=AXIS2_PLACEMENT_3D('',#503355,#386888,#386889); #354022=AXIS2_PLACEMENT_3D('',#503358,#386891,#386892); #354023=AXIS2_PLACEMENT_3D('',#503359,#386893,#386894); #354024=AXIS2_PLACEMENT_3D('',#503360,#386895,#386896); #354025=AXIS2_PLACEMENT_3D('',#503361,#386897,#386898); #354026=AXIS2_PLACEMENT_3D('',#503363,#386899,#386900); #354027=AXIS2_PLACEMENT_3D('',#503366,#386902,#386903); #354028=AXIS2_PLACEMENT_3D('',#503367,#386904,#386905); #354029=AXIS2_PLACEMENT_3D('',#503369,#386906,#386907); #354030=AXIS2_PLACEMENT_3D('',#503372,#386909,#386910); #354031=AXIS2_PLACEMENT_3D('',#503373,#386911,#386912); #354032=AXIS2_PLACEMENT_3D('',#503374,#386913,#386914); #354033=AXIS2_PLACEMENT_3D('',#503375,#386915,#386916); #354034=AXIS2_PLACEMENT_3D('',#503377,#386917,#386918); #354035=AXIS2_PLACEMENT_3D('',#503380,#386920,#386921); #354036=AXIS2_PLACEMENT_3D('',#503381,#386922,#386923); #354037=AXIS2_PLACEMENT_3D('',#503383,#386924,#386925); #354038=AXIS2_PLACEMENT_3D('',#503386,#386927,#386928); #354039=AXIS2_PLACEMENT_3D('',#503387,#386929,#386930); #354040=AXIS2_PLACEMENT_3D('',#503388,#386931,#386932); #354041=AXIS2_PLACEMENT_3D('',#503389,#386933,#386934); #354042=AXIS2_PLACEMENT_3D('',#503391,#386935,#386936); #354043=AXIS2_PLACEMENT_3D('',#503394,#386938,#386939); #354044=AXIS2_PLACEMENT_3D('',#503395,#386940,#386941); #354045=AXIS2_PLACEMENT_3D('',#503397,#386942,#386943); #354046=AXIS2_PLACEMENT_3D('',#503400,#386945,#386946); #354047=AXIS2_PLACEMENT_3D('',#503401,#386947,#386948); #354048=AXIS2_PLACEMENT_3D('',#503402,#386949,#386950); #354049=AXIS2_PLACEMENT_3D('',#503403,#386951,#386952); #354050=AXIS2_PLACEMENT_3D('',#503405,#386953,#386954); #354051=AXIS2_PLACEMENT_3D('',#503408,#386956,#386957); #354052=AXIS2_PLACEMENT_3D('',#503409,#386958,#386959); #354053=AXIS2_PLACEMENT_3D('',#503411,#386960,#386961); #354054=AXIS2_PLACEMENT_3D('',#503414,#386963,#386964); #354055=AXIS2_PLACEMENT_3D('',#503415,#386965,#386966); #354056=AXIS2_PLACEMENT_3D('',#503416,#386967,#386968); #354057=AXIS2_PLACEMENT_3D('',#503417,#386969,#386970); #354058=AXIS2_PLACEMENT_3D('',#503419,#386971,#386972); #354059=AXIS2_PLACEMENT_3D('',#503422,#386974,#386975); #354060=AXIS2_PLACEMENT_3D('',#503423,#386976,#386977); #354061=AXIS2_PLACEMENT_3D('',#503425,#386978,#386979); #354062=AXIS2_PLACEMENT_3D('',#503428,#386981,#386982); #354063=AXIS2_PLACEMENT_3D('',#503429,#386983,#386984); #354064=AXIS2_PLACEMENT_3D('',#503430,#386985,#386986); #354065=AXIS2_PLACEMENT_3D('',#503431,#386987,#386988); #354066=AXIS2_PLACEMENT_3D('',#503433,#386989,#386990); #354067=AXIS2_PLACEMENT_3D('',#503436,#386992,#386993); #354068=AXIS2_PLACEMENT_3D('',#503437,#386994,#386995); #354069=AXIS2_PLACEMENT_3D('',#503439,#386996,#386997); #354070=AXIS2_PLACEMENT_3D('',#503442,#386999,#387000); #354071=AXIS2_PLACEMENT_3D('',#503443,#387001,#387002); #354072=AXIS2_PLACEMENT_3D('',#503444,#387003,#387004); #354073=AXIS2_PLACEMENT_3D('',#503445,#387005,#387006); #354074=AXIS2_PLACEMENT_3D('',#503447,#387007,#387008); #354075=AXIS2_PLACEMENT_3D('',#503450,#387010,#387011); #354076=AXIS2_PLACEMENT_3D('',#503451,#387012,#387013); #354077=AXIS2_PLACEMENT_3D('',#503453,#387014,#387015); #354078=AXIS2_PLACEMENT_3D('',#503456,#387017,#387018); #354079=AXIS2_PLACEMENT_3D('',#503457,#387019,#387020); #354080=AXIS2_PLACEMENT_3D('',#503458,#387021,#387022); #354081=AXIS2_PLACEMENT_3D('',#503459,#387023,#387024); #354082=AXIS2_PLACEMENT_3D('',#503461,#387025,#387026); #354083=AXIS2_PLACEMENT_3D('',#503464,#387028,#387029); #354084=AXIS2_PLACEMENT_3D('',#503465,#387030,#387031); #354085=AXIS2_PLACEMENT_3D('',#503467,#387032,#387033); #354086=AXIS2_PLACEMENT_3D('',#503470,#387035,#387036); #354087=AXIS2_PLACEMENT_3D('',#503471,#387037,#387038); #354088=AXIS2_PLACEMENT_3D('',#503472,#387039,#387040); #354089=AXIS2_PLACEMENT_3D('',#503473,#387041,#387042); #354090=AXIS2_PLACEMENT_3D('',#503475,#387043,#387044); #354091=AXIS2_PLACEMENT_3D('',#503478,#387046,#387047); #354092=AXIS2_PLACEMENT_3D('',#503479,#387048,#387049); #354093=AXIS2_PLACEMENT_3D('',#503481,#387050,#387051); #354094=AXIS2_PLACEMENT_3D('',#503484,#387053,#387054); #354095=AXIS2_PLACEMENT_3D('',#503485,#387055,#387056); #354096=AXIS2_PLACEMENT_3D('',#503486,#387057,#387058); #354097=AXIS2_PLACEMENT_3D('',#503487,#387059,#387060); #354098=AXIS2_PLACEMENT_3D('',#503489,#387061,#387062); #354099=AXIS2_PLACEMENT_3D('',#503492,#387064,#387065); #354100=AXIS2_PLACEMENT_3D('',#503493,#387066,#387067); #354101=AXIS2_PLACEMENT_3D('',#503495,#387068,#387069); #354102=AXIS2_PLACEMENT_3D('',#503498,#387071,#387072); #354103=AXIS2_PLACEMENT_3D('',#503499,#387073,#387074); #354104=AXIS2_PLACEMENT_3D('',#503500,#387075,#387076); #354105=AXIS2_PLACEMENT_3D('',#503501,#387077,#387078); #354106=AXIS2_PLACEMENT_3D('',#503503,#387079,#387080); #354107=AXIS2_PLACEMENT_3D('',#503506,#387082,#387083); #354108=AXIS2_PLACEMENT_3D('',#503507,#387084,#387085); #354109=AXIS2_PLACEMENT_3D('',#503509,#387086,#387087); #354110=AXIS2_PLACEMENT_3D('',#503512,#387089,#387090); #354111=AXIS2_PLACEMENT_3D('',#503513,#387091,#387092); #354112=AXIS2_PLACEMENT_3D('',#503514,#387093,#387094); #354113=AXIS2_PLACEMENT_3D('',#503515,#387095,#387096); #354114=AXIS2_PLACEMENT_3D('',#503517,#387097,#387098); #354115=AXIS2_PLACEMENT_3D('',#503520,#387100,#387101); #354116=AXIS2_PLACEMENT_3D('',#503521,#387102,#387103); #354117=AXIS2_PLACEMENT_3D('',#503523,#387104,#387105); #354118=AXIS2_PLACEMENT_3D('',#503526,#387107,#387108); #354119=AXIS2_PLACEMENT_3D('',#503527,#387109,#387110); #354120=AXIS2_PLACEMENT_3D('',#503528,#387111,#387112); #354121=AXIS2_PLACEMENT_3D('',#503529,#387113,#387114); #354122=AXIS2_PLACEMENT_3D('',#503531,#387115,#387116); #354123=AXIS2_PLACEMENT_3D('',#503534,#387118,#387119); #354124=AXIS2_PLACEMENT_3D('',#503535,#387120,#387121); #354125=AXIS2_PLACEMENT_3D('',#503537,#387122,#387123); #354126=AXIS2_PLACEMENT_3D('',#503540,#387125,#387126); #354127=AXIS2_PLACEMENT_3D('',#503541,#387127,#387128); #354128=AXIS2_PLACEMENT_3D('',#503542,#387129,#387130); #354129=AXIS2_PLACEMENT_3D('',#503543,#387131,#387132); #354130=AXIS2_PLACEMENT_3D('',#503545,#387133,#387134); #354131=AXIS2_PLACEMENT_3D('',#503548,#387136,#387137); #354132=AXIS2_PLACEMENT_3D('',#503549,#387138,#387139); #354133=AXIS2_PLACEMENT_3D('',#503551,#387140,#387141); #354134=AXIS2_PLACEMENT_3D('',#503554,#387143,#387144); #354135=AXIS2_PLACEMENT_3D('',#503555,#387145,#387146); #354136=AXIS2_PLACEMENT_3D('',#503556,#387147,#387148); #354137=AXIS2_PLACEMENT_3D('',#503557,#387149,#387150); #354138=AXIS2_PLACEMENT_3D('',#503559,#387151,#387152); #354139=AXIS2_PLACEMENT_3D('',#503562,#387154,#387155); #354140=AXIS2_PLACEMENT_3D('',#503563,#387156,#387157); #354141=AXIS2_PLACEMENT_3D('',#503565,#387158,#387159); #354142=AXIS2_PLACEMENT_3D('',#503568,#387161,#387162); #354143=AXIS2_PLACEMENT_3D('',#503569,#387163,#387164); #354144=AXIS2_PLACEMENT_3D('',#503570,#387165,#387166); #354145=AXIS2_PLACEMENT_3D('',#503571,#387167,#387168); #354146=AXIS2_PLACEMENT_3D('',#503573,#387169,#387170); #354147=AXIS2_PLACEMENT_3D('',#503576,#387172,#387173); #354148=AXIS2_PLACEMENT_3D('',#503577,#387174,#387175); #354149=AXIS2_PLACEMENT_3D('',#503579,#387176,#387177); #354150=AXIS2_PLACEMENT_3D('',#503582,#387179,#387180); #354151=AXIS2_PLACEMENT_3D('',#503583,#387181,#387182); #354152=AXIS2_PLACEMENT_3D('',#503584,#387183,#387184); #354153=AXIS2_PLACEMENT_3D('',#503585,#387185,#387186); #354154=AXIS2_PLACEMENT_3D('',#503587,#387187,#387188); #354155=AXIS2_PLACEMENT_3D('',#503590,#387190,#387191); #354156=AXIS2_PLACEMENT_3D('',#503591,#387192,#387193); #354157=AXIS2_PLACEMENT_3D('',#503593,#387194,#387195); #354158=AXIS2_PLACEMENT_3D('',#503596,#387197,#387198); #354159=AXIS2_PLACEMENT_3D('',#503597,#387199,#387200); #354160=AXIS2_PLACEMENT_3D('',#503598,#387201,#387202); #354161=AXIS2_PLACEMENT_3D('',#503599,#387203,#387204); #354162=AXIS2_PLACEMENT_3D('',#503601,#387205,#387206); #354163=AXIS2_PLACEMENT_3D('',#503604,#387208,#387209); #354164=AXIS2_PLACEMENT_3D('',#503605,#387210,#387211); #354165=AXIS2_PLACEMENT_3D('',#503607,#387212,#387213); #354166=AXIS2_PLACEMENT_3D('',#503610,#387215,#387216); #354167=AXIS2_PLACEMENT_3D('',#503611,#387217,#387218); #354168=AXIS2_PLACEMENT_3D('',#503612,#387219,#387220); #354169=AXIS2_PLACEMENT_3D('',#503613,#387221,#387222); #354170=AXIS2_PLACEMENT_3D('',#503615,#387223,#387224); #354171=AXIS2_PLACEMENT_3D('',#503618,#387226,#387227); #354172=AXIS2_PLACEMENT_3D('',#503619,#387228,#387229); #354173=AXIS2_PLACEMENT_3D('',#503621,#387230,#387231); #354174=AXIS2_PLACEMENT_3D('',#503624,#387233,#387234); #354175=AXIS2_PLACEMENT_3D('',#503625,#387235,#387236); #354176=AXIS2_PLACEMENT_3D('',#503626,#387237,#387238); #354177=AXIS2_PLACEMENT_3D('',#503627,#387239,#387240); #354178=AXIS2_PLACEMENT_3D('',#503629,#387241,#387242); #354179=AXIS2_PLACEMENT_3D('',#503632,#387244,#387245); #354180=AXIS2_PLACEMENT_3D('',#503633,#387246,#387247); #354181=AXIS2_PLACEMENT_3D('',#503635,#387248,#387249); #354182=AXIS2_PLACEMENT_3D('',#503638,#387251,#387252); #354183=AXIS2_PLACEMENT_3D('',#503639,#387253,#387254); #354184=AXIS2_PLACEMENT_3D('',#503640,#387255,#387256); #354185=AXIS2_PLACEMENT_3D('',#503641,#387257,#387258); #354186=AXIS2_PLACEMENT_3D('',#503643,#387259,#387260); #354187=AXIS2_PLACEMENT_3D('',#503646,#387262,#387263); #354188=AXIS2_PLACEMENT_3D('',#503647,#387264,#387265); #354189=AXIS2_PLACEMENT_3D('',#503649,#387266,#387267); #354190=AXIS2_PLACEMENT_3D('',#503652,#387269,#387270); #354191=AXIS2_PLACEMENT_3D('',#503653,#387271,#387272); #354192=AXIS2_PLACEMENT_3D('',#503654,#387273,#387274); #354193=AXIS2_PLACEMENT_3D('',#503655,#387275,#387276); #354194=AXIS2_PLACEMENT_3D('',#503657,#387277,#387278); #354195=AXIS2_PLACEMENT_3D('',#503660,#387280,#387281); #354196=AXIS2_PLACEMENT_3D('',#503661,#387282,#387283); #354197=AXIS2_PLACEMENT_3D('',#503663,#387284,#387285); #354198=AXIS2_PLACEMENT_3D('',#503666,#387287,#387288); #354199=AXIS2_PLACEMENT_3D('',#503667,#387289,#387290); #354200=AXIS2_PLACEMENT_3D('',#503668,#387291,#387292); #354201=AXIS2_PLACEMENT_3D('',#503669,#387293,#387294); #354202=AXIS2_PLACEMENT_3D('',#503671,#387295,#387296); #354203=AXIS2_PLACEMENT_3D('',#503674,#387298,#387299); #354204=AXIS2_PLACEMENT_3D('',#503675,#387300,#387301); #354205=AXIS2_PLACEMENT_3D('',#503677,#387302,#387303); #354206=AXIS2_PLACEMENT_3D('',#503680,#387305,#387306); #354207=AXIS2_PLACEMENT_3D('',#503681,#387307,#387308); #354208=AXIS2_PLACEMENT_3D('',#503682,#387309,#387310); #354209=AXIS2_PLACEMENT_3D('',#503683,#387311,#387312); #354210=AXIS2_PLACEMENT_3D('',#503685,#387313,#387314); #354211=AXIS2_PLACEMENT_3D('',#503688,#387316,#387317); #354212=AXIS2_PLACEMENT_3D('',#503689,#387318,#387319); #354213=AXIS2_PLACEMENT_3D('',#503691,#387320,#387321); #354214=AXIS2_PLACEMENT_3D('',#503694,#387323,#387324); #354215=AXIS2_PLACEMENT_3D('',#503695,#387325,#387326); #354216=AXIS2_PLACEMENT_3D('',#503696,#387327,#387328); #354217=AXIS2_PLACEMENT_3D('',#503697,#387329,#387330); #354218=AXIS2_PLACEMENT_3D('',#503699,#387331,#387332); #354219=AXIS2_PLACEMENT_3D('',#503702,#387334,#387335); #354220=AXIS2_PLACEMENT_3D('',#503703,#387336,#387337); #354221=AXIS2_PLACEMENT_3D('',#503705,#387338,#387339); #354222=AXIS2_PLACEMENT_3D('',#503708,#387341,#387342); #354223=AXIS2_PLACEMENT_3D('',#503709,#387343,#387344); #354224=AXIS2_PLACEMENT_3D('',#503710,#387345,#387346); #354225=AXIS2_PLACEMENT_3D('',#503711,#387347,#387348); #354226=AXIS2_PLACEMENT_3D('',#503713,#387349,#387350); #354227=AXIS2_PLACEMENT_3D('',#503716,#387352,#387353); #354228=AXIS2_PLACEMENT_3D('',#503717,#387354,#387355); #354229=AXIS2_PLACEMENT_3D('',#503719,#387356,#387357); #354230=AXIS2_PLACEMENT_3D('',#503722,#387359,#387360); #354231=AXIS2_PLACEMENT_3D('',#503723,#387361,#387362); #354232=AXIS2_PLACEMENT_3D('',#503724,#387363,#387364); #354233=AXIS2_PLACEMENT_3D('',#503725,#387365,#387366); #354234=AXIS2_PLACEMENT_3D('',#503727,#387367,#387368); #354235=AXIS2_PLACEMENT_3D('',#503730,#387370,#387371); #354236=AXIS2_PLACEMENT_3D('',#503731,#387372,#387373); #354237=AXIS2_PLACEMENT_3D('',#503733,#387374,#387375); #354238=AXIS2_PLACEMENT_3D('',#503736,#387377,#387378); #354239=AXIS2_PLACEMENT_3D('',#503737,#387379,#387380); #354240=AXIS2_PLACEMENT_3D('',#503738,#387381,#387382); #354241=AXIS2_PLACEMENT_3D('',#503739,#387383,#387384); #354242=AXIS2_PLACEMENT_3D('',#503741,#387385,#387386); #354243=AXIS2_PLACEMENT_3D('',#503744,#387388,#387389); #354244=AXIS2_PLACEMENT_3D('',#503745,#387390,#387391); #354245=AXIS2_PLACEMENT_3D('',#503747,#387392,#387393); #354246=AXIS2_PLACEMENT_3D('',#503750,#387395,#387396); #354247=AXIS2_PLACEMENT_3D('',#503751,#387397,#387398); #354248=AXIS2_PLACEMENT_3D('',#503752,#387399,#387400); #354249=AXIS2_PLACEMENT_3D('',#503753,#387401,#387402); #354250=AXIS2_PLACEMENT_3D('',#503755,#387403,#387404); #354251=AXIS2_PLACEMENT_3D('',#503758,#387406,#387407); #354252=AXIS2_PLACEMENT_3D('',#503759,#387408,#387409); #354253=AXIS2_PLACEMENT_3D('',#503761,#387410,#387411); #354254=AXIS2_PLACEMENT_3D('',#503764,#387413,#387414); #354255=AXIS2_PLACEMENT_3D('',#503765,#387415,#387416); #354256=AXIS2_PLACEMENT_3D('',#503766,#387417,#387418); #354257=AXIS2_PLACEMENT_3D('',#503767,#387419,#387420); #354258=AXIS2_PLACEMENT_3D('',#503769,#387421,#387422); #354259=AXIS2_PLACEMENT_3D('',#503772,#387424,#387425); #354260=AXIS2_PLACEMENT_3D('',#503773,#387426,#387427); #354261=AXIS2_PLACEMENT_3D('',#503775,#387428,#387429); #354262=AXIS2_PLACEMENT_3D('',#503778,#387431,#387432); #354263=AXIS2_PLACEMENT_3D('',#503779,#387433,#387434); #354264=AXIS2_PLACEMENT_3D('',#503780,#387435,#387436); #354265=AXIS2_PLACEMENT_3D('',#503781,#387437,#387438); #354266=AXIS2_PLACEMENT_3D('',#503783,#387439,#387440); #354267=AXIS2_PLACEMENT_3D('',#503786,#387442,#387443); #354268=AXIS2_PLACEMENT_3D('',#503787,#387444,#387445); #354269=AXIS2_PLACEMENT_3D('',#503789,#387446,#387447); #354270=AXIS2_PLACEMENT_3D('',#503792,#387449,#387450); #354271=AXIS2_PLACEMENT_3D('',#503793,#387451,#387452); #354272=AXIS2_PLACEMENT_3D('',#503794,#387453,#387454); #354273=AXIS2_PLACEMENT_3D('',#503795,#387455,#387456); #354274=AXIS2_PLACEMENT_3D('',#503797,#387457,#387458); #354275=AXIS2_PLACEMENT_3D('',#503800,#387460,#387461); #354276=AXIS2_PLACEMENT_3D('',#503801,#387462,#387463); #354277=AXIS2_PLACEMENT_3D('',#503803,#387464,#387465); #354278=AXIS2_PLACEMENT_3D('',#503806,#387467,#387468); #354279=AXIS2_PLACEMENT_3D('',#503807,#387469,#387470); #354280=AXIS2_PLACEMENT_3D('',#503808,#387471,#387472); #354281=AXIS2_PLACEMENT_3D('',#503809,#387473,#387474); #354282=AXIS2_PLACEMENT_3D('',#503811,#387475,#387476); #354283=AXIS2_PLACEMENT_3D('',#503814,#387478,#387479); #354284=AXIS2_PLACEMENT_3D('',#503815,#387480,#387481); #354285=AXIS2_PLACEMENT_3D('',#503817,#387482,#387483); #354286=AXIS2_PLACEMENT_3D('',#503820,#387485,#387486); #354287=AXIS2_PLACEMENT_3D('',#503821,#387487,#387488); #354288=AXIS2_PLACEMENT_3D('',#503822,#387489,#387490); #354289=AXIS2_PLACEMENT_3D('',#503823,#387491,#387492); #354290=AXIS2_PLACEMENT_3D('',#503825,#387493,#387494); #354291=AXIS2_PLACEMENT_3D('',#503828,#387496,#387497); #354292=AXIS2_PLACEMENT_3D('',#503829,#387498,#387499); #354293=AXIS2_PLACEMENT_3D('',#503831,#387500,#387501); #354294=AXIS2_PLACEMENT_3D('',#503834,#387503,#387504); #354295=AXIS2_PLACEMENT_3D('',#503835,#387505,#387506); #354296=AXIS2_PLACEMENT_3D('',#503836,#387507,#387508); #354297=AXIS2_PLACEMENT_3D('',#503837,#387509,#387510); #354298=AXIS2_PLACEMENT_3D('',#503839,#387511,#387512); #354299=AXIS2_PLACEMENT_3D('',#503842,#387514,#387515); #354300=AXIS2_PLACEMENT_3D('',#503843,#387516,#387517); #354301=AXIS2_PLACEMENT_3D('',#503845,#387518,#387519); #354302=AXIS2_PLACEMENT_3D('',#503848,#387521,#387522); #354303=AXIS2_PLACEMENT_3D('',#503849,#387523,#387524); #354304=AXIS2_PLACEMENT_3D('',#503850,#387525,#387526); #354305=AXIS2_PLACEMENT_3D('',#503851,#387527,#387528); #354306=AXIS2_PLACEMENT_3D('',#503853,#387529,#387530); #354307=AXIS2_PLACEMENT_3D('',#503856,#387532,#387533); #354308=AXIS2_PLACEMENT_3D('',#503857,#387534,#387535); #354309=AXIS2_PLACEMENT_3D('',#503859,#387536,#387537); #354310=AXIS2_PLACEMENT_3D('',#503862,#387539,#387540); #354311=AXIS2_PLACEMENT_3D('',#503863,#387541,#387542); #354312=AXIS2_PLACEMENT_3D('',#503864,#387543,#387544); #354313=AXIS2_PLACEMENT_3D('',#503865,#387545,#387546); #354314=AXIS2_PLACEMENT_3D('',#503867,#387547,#387548); #354315=AXIS2_PLACEMENT_3D('',#503870,#387550,#387551); #354316=AXIS2_PLACEMENT_3D('',#503871,#387552,#387553); #354317=AXIS2_PLACEMENT_3D('',#503873,#387554,#387555); #354318=AXIS2_PLACEMENT_3D('',#503876,#387557,#387558); #354319=AXIS2_PLACEMENT_3D('',#503877,#387559,#387560); #354320=AXIS2_PLACEMENT_3D('',#503878,#387561,#387562); #354321=AXIS2_PLACEMENT_3D('',#503879,#387563,#387564); #354322=AXIS2_PLACEMENT_3D('',#503881,#387565,#387566); #354323=AXIS2_PLACEMENT_3D('',#503884,#387568,#387569); #354324=AXIS2_PLACEMENT_3D('',#503885,#387570,#387571); #354325=AXIS2_PLACEMENT_3D('',#503887,#387572,#387573); #354326=AXIS2_PLACEMENT_3D('',#503890,#387575,#387576); #354327=AXIS2_PLACEMENT_3D('',#503891,#387577,#387578); #354328=AXIS2_PLACEMENT_3D('',#503892,#387579,#387580); #354329=AXIS2_PLACEMENT_3D('',#503893,#387581,#387582); #354330=AXIS2_PLACEMENT_3D('',#503895,#387583,#387584); #354331=AXIS2_PLACEMENT_3D('',#503898,#387586,#387587); #354332=AXIS2_PLACEMENT_3D('',#503899,#387588,#387589); #354333=AXIS2_PLACEMENT_3D('',#503901,#387590,#387591); #354334=AXIS2_PLACEMENT_3D('',#503904,#387593,#387594); #354335=AXIS2_PLACEMENT_3D('',#503905,#387595,#387596); #354336=AXIS2_PLACEMENT_3D('',#503906,#387597,#387598); #354337=AXIS2_PLACEMENT_3D('',#503907,#387599,#387600); #354338=AXIS2_PLACEMENT_3D('',#503909,#387601,#387602); #354339=AXIS2_PLACEMENT_3D('',#503912,#387604,#387605); #354340=AXIS2_PLACEMENT_3D('',#503913,#387606,#387607); #354341=AXIS2_PLACEMENT_3D('',#503915,#387608,#387609); #354342=AXIS2_PLACEMENT_3D('',#503918,#387611,#387612); #354343=AXIS2_PLACEMENT_3D('',#503919,#387613,#387614); #354344=AXIS2_PLACEMENT_3D('',#503920,#387615,#387616); #354345=AXIS2_PLACEMENT_3D('',#503921,#387617,#387618); #354346=AXIS2_PLACEMENT_3D('',#503923,#387619,#387620); #354347=AXIS2_PLACEMENT_3D('',#503926,#387622,#387623); #354348=AXIS2_PLACEMENT_3D('',#503927,#387624,#387625); #354349=AXIS2_PLACEMENT_3D('',#503929,#387626,#387627); #354350=AXIS2_PLACEMENT_3D('',#503932,#387629,#387630); #354351=AXIS2_PLACEMENT_3D('',#503933,#387631,#387632); #354352=AXIS2_PLACEMENT_3D('',#503934,#387633,#387634); #354353=AXIS2_PLACEMENT_3D('',#503935,#387635,#387636); #354354=AXIS2_PLACEMENT_3D('',#503937,#387637,#387638); #354355=AXIS2_PLACEMENT_3D('',#503940,#387640,#387641); #354356=AXIS2_PLACEMENT_3D('',#503941,#387642,#387643); #354357=AXIS2_PLACEMENT_3D('',#503943,#387644,#387645); #354358=AXIS2_PLACEMENT_3D('',#503946,#387647,#387648); #354359=AXIS2_PLACEMENT_3D('',#503947,#387649,#387650); #354360=AXIS2_PLACEMENT_3D('',#503948,#387651,#387652); #354361=AXIS2_PLACEMENT_3D('',#503949,#387653,#387654); #354362=AXIS2_PLACEMENT_3D('',#503951,#387655,#387656); #354363=AXIS2_PLACEMENT_3D('',#503954,#387658,#387659); #354364=AXIS2_PLACEMENT_3D('',#503955,#387660,#387661); #354365=AXIS2_PLACEMENT_3D('',#503957,#387662,#387663); #354366=AXIS2_PLACEMENT_3D('',#503960,#387665,#387666); #354367=AXIS2_PLACEMENT_3D('',#503961,#387667,#387668); #354368=AXIS2_PLACEMENT_3D('',#503962,#387669,#387670); #354369=AXIS2_PLACEMENT_3D('',#503963,#387671,#387672); #354370=AXIS2_PLACEMENT_3D('',#503965,#387673,#387674); #354371=AXIS2_PLACEMENT_3D('',#503968,#387676,#387677); #354372=AXIS2_PLACEMENT_3D('',#503969,#387678,#387679); #354373=AXIS2_PLACEMENT_3D('',#503971,#387680,#387681); #354374=AXIS2_PLACEMENT_3D('',#503974,#387683,#387684); #354375=AXIS2_PLACEMENT_3D('',#503975,#387685,#387686); #354376=AXIS2_PLACEMENT_3D('',#503976,#387687,#387688); #354377=AXIS2_PLACEMENT_3D('',#503977,#387689,#387690); #354378=AXIS2_PLACEMENT_3D('',#503979,#387691,#387692); #354379=AXIS2_PLACEMENT_3D('',#503982,#387694,#387695); #354380=AXIS2_PLACEMENT_3D('',#503983,#387696,#387697); #354381=AXIS2_PLACEMENT_3D('',#503985,#387698,#387699); #354382=AXIS2_PLACEMENT_3D('',#503988,#387701,#387702); #354383=AXIS2_PLACEMENT_3D('',#503989,#387703,#387704); #354384=AXIS2_PLACEMENT_3D('',#503990,#387705,#387706); #354385=AXIS2_PLACEMENT_3D('',#503991,#387707,#387708); #354386=AXIS2_PLACEMENT_3D('',#503993,#387709,#387710); #354387=AXIS2_PLACEMENT_3D('',#503996,#387712,#387713); #354388=AXIS2_PLACEMENT_3D('',#503997,#387714,#387715); #354389=AXIS2_PLACEMENT_3D('',#503999,#387716,#387717); #354390=AXIS2_PLACEMENT_3D('',#504002,#387719,#387720); #354391=AXIS2_PLACEMENT_3D('',#504003,#387721,#387722); #354392=AXIS2_PLACEMENT_3D('',#504004,#387723,#387724); #354393=AXIS2_PLACEMENT_3D('',#504005,#387725,#387726); #354394=AXIS2_PLACEMENT_3D('',#504007,#387727,#387728); #354395=AXIS2_PLACEMENT_3D('',#504010,#387730,#387731); #354396=AXIS2_PLACEMENT_3D('',#504011,#387732,#387733); #354397=AXIS2_PLACEMENT_3D('',#504013,#387734,#387735); #354398=AXIS2_PLACEMENT_3D('',#504016,#387737,#387738); #354399=AXIS2_PLACEMENT_3D('',#504017,#387739,#387740); #354400=AXIS2_PLACEMENT_3D('',#504018,#387741,#387742); #354401=AXIS2_PLACEMENT_3D('',#504019,#387743,#387744); #354402=AXIS2_PLACEMENT_3D('',#504021,#387745,#387746); #354403=AXIS2_PLACEMENT_3D('',#504024,#387748,#387749); #354404=AXIS2_PLACEMENT_3D('',#504025,#387750,#387751); #354405=AXIS2_PLACEMENT_3D('',#504027,#387752,#387753); #354406=AXIS2_PLACEMENT_3D('',#504030,#387755,#387756); #354407=AXIS2_PLACEMENT_3D('',#504031,#387757,#387758); #354408=AXIS2_PLACEMENT_3D('',#504032,#387759,#387760); #354409=AXIS2_PLACEMENT_3D('',#504033,#387761,#387762); #354410=AXIS2_PLACEMENT_3D('',#504035,#387763,#387764); #354411=AXIS2_PLACEMENT_3D('',#504038,#387766,#387767); #354412=AXIS2_PLACEMENT_3D('',#504039,#387768,#387769); #354413=AXIS2_PLACEMENT_3D('',#504041,#387770,#387771); #354414=AXIS2_PLACEMENT_3D('',#504044,#387773,#387774); #354415=AXIS2_PLACEMENT_3D('',#504045,#387775,#387776); #354416=AXIS2_PLACEMENT_3D('',#504046,#387777,#387778); #354417=AXIS2_PLACEMENT_3D('',#504047,#387779,#387780); #354418=AXIS2_PLACEMENT_3D('',#504049,#387781,#387782); #354419=AXIS2_PLACEMENT_3D('',#504052,#387784,#387785); #354420=AXIS2_PLACEMENT_3D('',#504053,#387786,#387787); #354421=AXIS2_PLACEMENT_3D('',#504055,#387788,#387789); #354422=AXIS2_PLACEMENT_3D('',#504058,#387791,#387792); #354423=AXIS2_PLACEMENT_3D('',#504059,#387793,#387794); #354424=AXIS2_PLACEMENT_3D('',#504060,#387795,#387796); #354425=AXIS2_PLACEMENT_3D('',#504061,#387797,#387798); #354426=AXIS2_PLACEMENT_3D('',#504063,#387799,#387800); #354427=AXIS2_PLACEMENT_3D('',#504066,#387802,#387803); #354428=AXIS2_PLACEMENT_3D('',#504067,#387804,#387805); #354429=AXIS2_PLACEMENT_3D('',#504069,#387806,#387807); #354430=AXIS2_PLACEMENT_3D('',#504072,#387809,#387810); #354431=AXIS2_PLACEMENT_3D('',#504073,#387811,#387812); #354432=AXIS2_PLACEMENT_3D('',#504074,#387813,#387814); #354433=AXIS2_PLACEMENT_3D('',#504075,#387815,#387816); #354434=AXIS2_PLACEMENT_3D('',#504077,#387817,#387818); #354435=AXIS2_PLACEMENT_3D('',#504080,#387820,#387821); #354436=AXIS2_PLACEMENT_3D('',#504081,#387822,#387823); #354437=AXIS2_PLACEMENT_3D('',#504083,#387824,#387825); #354438=AXIS2_PLACEMENT_3D('',#504086,#387827,#387828); #354439=AXIS2_PLACEMENT_3D('',#504087,#387829,#387830); #354440=AXIS2_PLACEMENT_3D('',#504088,#387831,#387832); #354441=AXIS2_PLACEMENT_3D('',#504089,#387833,#387834); #354442=AXIS2_PLACEMENT_3D('',#504091,#387835,#387836); #354443=AXIS2_PLACEMENT_3D('',#504094,#387838,#387839); #354444=AXIS2_PLACEMENT_3D('',#504095,#387840,#387841); #354445=AXIS2_PLACEMENT_3D('',#504097,#387842,#387843); #354446=AXIS2_PLACEMENT_3D('',#504100,#387845,#387846); #354447=AXIS2_PLACEMENT_3D('',#504101,#387847,#387848); #354448=AXIS2_PLACEMENT_3D('',#504102,#387849,#387850); #354449=AXIS2_PLACEMENT_3D('',#504103,#387851,#387852); #354450=AXIS2_PLACEMENT_3D('',#504105,#387853,#387854); #354451=AXIS2_PLACEMENT_3D('',#504108,#387856,#387857); #354452=AXIS2_PLACEMENT_3D('',#504109,#387858,#387859); #354453=AXIS2_PLACEMENT_3D('',#504111,#387860,#387861); #354454=AXIS2_PLACEMENT_3D('',#504114,#387863,#387864); #354455=AXIS2_PLACEMENT_3D('',#504115,#387865,#387866); #354456=AXIS2_PLACEMENT_3D('',#504116,#387867,#387868); #354457=AXIS2_PLACEMENT_3D('',#504117,#387869,#387870); #354458=AXIS2_PLACEMENT_3D('',#504119,#387871,#387872); #354459=AXIS2_PLACEMENT_3D('',#504122,#387874,#387875); #354460=AXIS2_PLACEMENT_3D('',#504123,#387876,#387877); #354461=AXIS2_PLACEMENT_3D('',#504125,#387878,#387879); #354462=AXIS2_PLACEMENT_3D('',#504128,#387881,#387882); #354463=AXIS2_PLACEMENT_3D('',#504129,#387883,#387884); #354464=AXIS2_PLACEMENT_3D('',#504130,#387885,#387886); #354465=AXIS2_PLACEMENT_3D('',#504131,#387887,#387888); #354466=AXIS2_PLACEMENT_3D('',#504133,#387889,#387890); #354467=AXIS2_PLACEMENT_3D('',#504136,#387892,#387893); #354468=AXIS2_PLACEMENT_3D('',#504137,#387894,#387895); #354469=AXIS2_PLACEMENT_3D('',#504139,#387896,#387897); #354470=AXIS2_PLACEMENT_3D('',#504142,#387899,#387900); #354471=AXIS2_PLACEMENT_3D('',#504143,#387901,#387902); #354472=AXIS2_PLACEMENT_3D('',#504144,#387903,#387904); #354473=AXIS2_PLACEMENT_3D('',#504145,#387905,#387906); #354474=AXIS2_PLACEMENT_3D('',#504147,#387907,#387908); #354475=AXIS2_PLACEMENT_3D('',#504150,#387910,#387911); #354476=AXIS2_PLACEMENT_3D('',#504151,#387912,#387913); #354477=AXIS2_PLACEMENT_3D('',#504153,#387914,#387915); #354478=AXIS2_PLACEMENT_3D('',#504156,#387917,#387918); #354479=AXIS2_PLACEMENT_3D('',#504157,#387919,#387920); #354480=AXIS2_PLACEMENT_3D('',#504158,#387921,#387922); #354481=AXIS2_PLACEMENT_3D('',#504159,#387923,#387924); #354482=AXIS2_PLACEMENT_3D('',#504161,#387925,#387926); #354483=AXIS2_PLACEMENT_3D('',#504164,#387928,#387929); #354484=AXIS2_PLACEMENT_3D('',#504165,#387930,#387931); #354485=AXIS2_PLACEMENT_3D('',#504167,#387932,#387933); #354486=AXIS2_PLACEMENT_3D('',#504170,#387935,#387936); #354487=AXIS2_PLACEMENT_3D('',#504171,#387937,#387938); #354488=AXIS2_PLACEMENT_3D('',#504172,#387939,#387940); #354489=AXIS2_PLACEMENT_3D('',#504173,#387941,#387942); #354490=AXIS2_PLACEMENT_3D('',#504175,#387943,#387944); #354491=AXIS2_PLACEMENT_3D('',#504178,#387946,#387947); #354492=AXIS2_PLACEMENT_3D('',#504179,#387948,#387949); #354493=AXIS2_PLACEMENT_3D('',#504181,#387950,#387951); #354494=AXIS2_PLACEMENT_3D('',#504184,#387953,#387954); #354495=AXIS2_PLACEMENT_3D('',#504185,#387955,#387956); #354496=AXIS2_PLACEMENT_3D('',#504186,#387957,#387958); #354497=AXIS2_PLACEMENT_3D('',#504187,#387959,#387960); #354498=AXIS2_PLACEMENT_3D('',#504189,#387961,#387962); #354499=AXIS2_PLACEMENT_3D('',#504192,#387964,#387965); #354500=AXIS2_PLACEMENT_3D('',#504193,#387966,#387967); #354501=AXIS2_PLACEMENT_3D('',#504195,#387968,#387969); #354502=AXIS2_PLACEMENT_3D('',#504198,#387971,#387972); #354503=AXIS2_PLACEMENT_3D('',#504199,#387973,#387974); #354504=AXIS2_PLACEMENT_3D('',#504200,#387975,#387976); #354505=AXIS2_PLACEMENT_3D('',#504201,#387977,#387978); #354506=AXIS2_PLACEMENT_3D('',#504203,#387979,#387980); #354507=AXIS2_PLACEMENT_3D('',#504206,#387982,#387983); #354508=AXIS2_PLACEMENT_3D('',#504207,#387984,#387985); #354509=AXIS2_PLACEMENT_3D('',#504209,#387986,#387987); #354510=AXIS2_PLACEMENT_3D('',#504212,#387989,#387990); #354511=AXIS2_PLACEMENT_3D('',#504213,#387991,#387992); #354512=AXIS2_PLACEMENT_3D('',#504214,#387993,#387994); #354513=AXIS2_PLACEMENT_3D('',#504215,#387995,#387996); #354514=AXIS2_PLACEMENT_3D('',#504217,#387997,#387998); #354515=AXIS2_PLACEMENT_3D('',#504220,#388000,#388001); #354516=AXIS2_PLACEMENT_3D('',#504221,#388002,#388003); #354517=AXIS2_PLACEMENT_3D('',#504223,#388004,#388005); #354518=AXIS2_PLACEMENT_3D('',#504226,#388007,#388008); #354519=AXIS2_PLACEMENT_3D('',#504227,#388009,#388010); #354520=AXIS2_PLACEMENT_3D('',#504228,#388011,#388012); #354521=AXIS2_PLACEMENT_3D('',#504229,#388013,#388014); #354522=AXIS2_PLACEMENT_3D('',#504231,#388015,#388016); #354523=AXIS2_PLACEMENT_3D('',#504234,#388018,#388019); #354524=AXIS2_PLACEMENT_3D('',#504235,#388020,#388021); #354525=AXIS2_PLACEMENT_3D('',#504237,#388022,#388023); #354526=AXIS2_PLACEMENT_3D('',#504240,#388025,#388026); #354527=AXIS2_PLACEMENT_3D('',#504241,#388027,#388028); #354528=AXIS2_PLACEMENT_3D('',#504242,#388029,#388030); #354529=AXIS2_PLACEMENT_3D('',#504243,#388031,#388032); #354530=AXIS2_PLACEMENT_3D('',#504245,#388033,#388034); #354531=AXIS2_PLACEMENT_3D('',#504248,#388036,#388037); #354532=AXIS2_PLACEMENT_3D('',#504249,#388038,#388039); #354533=AXIS2_PLACEMENT_3D('',#504251,#388040,#388041); #354534=AXIS2_PLACEMENT_3D('',#504254,#388043,#388044); #354535=AXIS2_PLACEMENT_3D('',#504255,#388045,#388046); #354536=AXIS2_PLACEMENT_3D('',#504256,#388047,#388048); #354537=AXIS2_PLACEMENT_3D('',#504257,#388049,#388050); #354538=AXIS2_PLACEMENT_3D('',#504259,#388051,#388052); #354539=AXIS2_PLACEMENT_3D('',#504262,#388054,#388055); #354540=AXIS2_PLACEMENT_3D('',#504263,#388056,#388057); #354541=AXIS2_PLACEMENT_3D('',#504265,#388058,#388059); #354542=AXIS2_PLACEMENT_3D('',#504268,#388061,#388062); #354543=AXIS2_PLACEMENT_3D('',#504269,#388063,#388064); #354544=AXIS2_PLACEMENT_3D('',#504270,#388065,#388066); #354545=AXIS2_PLACEMENT_3D('',#504271,#388067,#388068); #354546=AXIS2_PLACEMENT_3D('',#504273,#388069,#388070); #354547=AXIS2_PLACEMENT_3D('',#504276,#388072,#388073); #354548=AXIS2_PLACEMENT_3D('',#504277,#388074,#388075); #354549=AXIS2_PLACEMENT_3D('',#504279,#388076,#388077); #354550=AXIS2_PLACEMENT_3D('',#504282,#388079,#388080); #354551=AXIS2_PLACEMENT_3D('',#504283,#388081,#388082); #354552=AXIS2_PLACEMENT_3D('',#504284,#388083,#388084); #354553=AXIS2_PLACEMENT_3D('',#504285,#388085,#388086); #354554=AXIS2_PLACEMENT_3D('',#504287,#388087,#388088); #354555=AXIS2_PLACEMENT_3D('',#504290,#388090,#388091); #354556=AXIS2_PLACEMENT_3D('',#504291,#388092,#388093); #354557=AXIS2_PLACEMENT_3D('',#504293,#388094,#388095); #354558=AXIS2_PLACEMENT_3D('',#504296,#388097,#388098); #354559=AXIS2_PLACEMENT_3D('',#504297,#388099,#388100); #354560=AXIS2_PLACEMENT_3D('',#504298,#388101,#388102); #354561=AXIS2_PLACEMENT_3D('',#504299,#388103,#388104); #354562=AXIS2_PLACEMENT_3D('',#504301,#388105,#388106); #354563=AXIS2_PLACEMENT_3D('',#504304,#388108,#388109); #354564=AXIS2_PLACEMENT_3D('',#504305,#388110,#388111); #354565=AXIS2_PLACEMENT_3D('',#504307,#388112,#388113); #354566=AXIS2_PLACEMENT_3D('',#504310,#388115,#388116); #354567=AXIS2_PLACEMENT_3D('',#504311,#388117,#388118); #354568=AXIS2_PLACEMENT_3D('',#504312,#388119,#388120); #354569=AXIS2_PLACEMENT_3D('',#504313,#388121,#388122); #354570=AXIS2_PLACEMENT_3D('',#504315,#388123,#388124); #354571=AXIS2_PLACEMENT_3D('',#504318,#388126,#388127); #354572=AXIS2_PLACEMENT_3D('',#504319,#388128,#388129); #354573=AXIS2_PLACEMENT_3D('',#504321,#388130,#388131); #354574=AXIS2_PLACEMENT_3D('',#504324,#388133,#388134); #354575=AXIS2_PLACEMENT_3D('',#504325,#388135,#388136); #354576=AXIS2_PLACEMENT_3D('',#504326,#388137,#388138); #354577=AXIS2_PLACEMENT_3D('',#504327,#388139,#388140); #354578=AXIS2_PLACEMENT_3D('',#504329,#388141,#388142); #354579=AXIS2_PLACEMENT_3D('',#504332,#388144,#388145); #354580=AXIS2_PLACEMENT_3D('',#504333,#388146,#388147); #354581=AXIS2_PLACEMENT_3D('',#504335,#388148,#388149); #354582=AXIS2_PLACEMENT_3D('',#504338,#388151,#388152); #354583=AXIS2_PLACEMENT_3D('',#504339,#388153,#388154); #354584=AXIS2_PLACEMENT_3D('',#504340,#388155,#388156); #354585=AXIS2_PLACEMENT_3D('',#504341,#388157,#388158); #354586=AXIS2_PLACEMENT_3D('',#504343,#388159,#388160); #354587=AXIS2_PLACEMENT_3D('',#504346,#388162,#388163); #354588=AXIS2_PLACEMENT_3D('',#504347,#388164,#388165); #354589=AXIS2_PLACEMENT_3D('',#504349,#388166,#388167); #354590=AXIS2_PLACEMENT_3D('',#504352,#388169,#388170); #354591=AXIS2_PLACEMENT_3D('',#504353,#388171,#388172); #354592=AXIS2_PLACEMENT_3D('',#504354,#388173,#388174); #354593=AXIS2_PLACEMENT_3D('',#504355,#388175,#388176); #354594=AXIS2_PLACEMENT_3D('',#504357,#388177,#388178); #354595=AXIS2_PLACEMENT_3D('',#504360,#388180,#388181); #354596=AXIS2_PLACEMENT_3D('',#504361,#388182,#388183); #354597=AXIS2_PLACEMENT_3D('',#504363,#388184,#388185); #354598=AXIS2_PLACEMENT_3D('',#504366,#388187,#388188); #354599=AXIS2_PLACEMENT_3D('',#504367,#388189,#388190); #354600=AXIS2_PLACEMENT_3D('',#504368,#388191,#388192); #354601=AXIS2_PLACEMENT_3D('',#504369,#388193,#388194); #354602=AXIS2_PLACEMENT_3D('',#504371,#388195,#388196); #354603=AXIS2_PLACEMENT_3D('',#504374,#388198,#388199); #354604=AXIS2_PLACEMENT_3D('',#504375,#388200,#388201); #354605=AXIS2_PLACEMENT_3D('',#504377,#388202,#388203); #354606=AXIS2_PLACEMENT_3D('',#504380,#388205,#388206); #354607=AXIS2_PLACEMENT_3D('',#504381,#388207,#388208); #354608=AXIS2_PLACEMENT_3D('',#504382,#388209,#388210); #354609=AXIS2_PLACEMENT_3D('',#504383,#388211,#388212); #354610=AXIS2_PLACEMENT_3D('',#504385,#388213,#388214); #354611=AXIS2_PLACEMENT_3D('',#504388,#388216,#388217); #354612=AXIS2_PLACEMENT_3D('',#504389,#388218,#388219); #354613=AXIS2_PLACEMENT_3D('',#504391,#388220,#388221); #354614=AXIS2_PLACEMENT_3D('',#504394,#388223,#388224); #354615=AXIS2_PLACEMENT_3D('',#504395,#388225,#388226); #354616=AXIS2_PLACEMENT_3D('',#504396,#388227,#388228); #354617=AXIS2_PLACEMENT_3D('',#504397,#388229,#388230); #354618=AXIS2_PLACEMENT_3D('',#504399,#388231,#388232); #354619=AXIS2_PLACEMENT_3D('',#504402,#388234,#388235); #354620=AXIS2_PLACEMENT_3D('',#504403,#388236,#388237); #354621=AXIS2_PLACEMENT_3D('',#504405,#388238,#388239); #354622=AXIS2_PLACEMENT_3D('',#504408,#388241,#388242); #354623=AXIS2_PLACEMENT_3D('',#504409,#388243,#388244); #354624=AXIS2_PLACEMENT_3D('',#504410,#388245,#388246); #354625=AXIS2_PLACEMENT_3D('',#504411,#388247,#388248); #354626=AXIS2_PLACEMENT_3D('',#504413,#388249,#388250); #354627=AXIS2_PLACEMENT_3D('',#504416,#388252,#388253); #354628=AXIS2_PLACEMENT_3D('',#504417,#388254,#388255); #354629=AXIS2_PLACEMENT_3D('',#504419,#388256,#388257); #354630=AXIS2_PLACEMENT_3D('',#504422,#388259,#388260); #354631=AXIS2_PLACEMENT_3D('',#504423,#388261,#388262); #354632=AXIS2_PLACEMENT_3D('',#504424,#388263,#388264); #354633=AXIS2_PLACEMENT_3D('',#504425,#388265,#388266); #354634=AXIS2_PLACEMENT_3D('',#504427,#388267,#388268); #354635=AXIS2_PLACEMENT_3D('',#504430,#388270,#388271); #354636=AXIS2_PLACEMENT_3D('',#504431,#388272,#388273); #354637=AXIS2_PLACEMENT_3D('',#504433,#388274,#388275); #354638=AXIS2_PLACEMENT_3D('',#504436,#388277,#388278); #354639=AXIS2_PLACEMENT_3D('',#504437,#388279,#388280); #354640=AXIS2_PLACEMENT_3D('',#504438,#388281,#388282); #354641=AXIS2_PLACEMENT_3D('',#504439,#388283,#388284); #354642=AXIS2_PLACEMENT_3D('',#504441,#388285,#388286); #354643=AXIS2_PLACEMENT_3D('',#504444,#388288,#388289); #354644=AXIS2_PLACEMENT_3D('',#504445,#388290,#388291); #354645=AXIS2_PLACEMENT_3D('',#504447,#388292,#388293); #354646=AXIS2_PLACEMENT_3D('',#504450,#388295,#388296); #354647=AXIS2_PLACEMENT_3D('',#504451,#388297,#388298); #354648=AXIS2_PLACEMENT_3D('',#504452,#388299,#388300); #354649=AXIS2_PLACEMENT_3D('',#504453,#388301,#388302); #354650=AXIS2_PLACEMENT_3D('',#504455,#388303,#388304); #354651=AXIS2_PLACEMENT_3D('',#504458,#388306,#388307); #354652=AXIS2_PLACEMENT_3D('',#504459,#388308,#388309); #354653=AXIS2_PLACEMENT_3D('',#504461,#388310,#388311); #354654=AXIS2_PLACEMENT_3D('',#504464,#388313,#388314); #354655=AXIS2_PLACEMENT_3D('',#504465,#388315,#388316); #354656=AXIS2_PLACEMENT_3D('',#504466,#388317,#388318); #354657=AXIS2_PLACEMENT_3D('',#504467,#388319,#388320); #354658=AXIS2_PLACEMENT_3D('',#504469,#388321,#388322); #354659=AXIS2_PLACEMENT_3D('',#504472,#388324,#388325); #354660=AXIS2_PLACEMENT_3D('',#504473,#388326,#388327); #354661=AXIS2_PLACEMENT_3D('',#504475,#388328,#388329); #354662=AXIS2_PLACEMENT_3D('',#504478,#388331,#388332); #354663=AXIS2_PLACEMENT_3D('',#504479,#388333,#388334); #354664=AXIS2_PLACEMENT_3D('',#504480,#388335,#388336); #354665=AXIS2_PLACEMENT_3D('',#504481,#388337,#388338); #354666=AXIS2_PLACEMENT_3D('',#504483,#388339,#388340); #354667=AXIS2_PLACEMENT_3D('',#504486,#388342,#388343); #354668=AXIS2_PLACEMENT_3D('',#504487,#388344,#388345); #354669=AXIS2_PLACEMENT_3D('',#504489,#388346,#388347); #354670=AXIS2_PLACEMENT_3D('',#504492,#388349,#388350); #354671=AXIS2_PLACEMENT_3D('',#504493,#388351,#388352); #354672=AXIS2_PLACEMENT_3D('',#504494,#388353,#388354); #354673=AXIS2_PLACEMENT_3D('',#504495,#388355,#388356); #354674=AXIS2_PLACEMENT_3D('',#504497,#388357,#388358); #354675=AXIS2_PLACEMENT_3D('',#504500,#388360,#388361); #354676=AXIS2_PLACEMENT_3D('',#504501,#388362,#388363); #354677=AXIS2_PLACEMENT_3D('',#504503,#388364,#388365); #354678=AXIS2_PLACEMENT_3D('',#504506,#388367,#388368); #354679=AXIS2_PLACEMENT_3D('',#504507,#388369,#388370); #354680=AXIS2_PLACEMENT_3D('',#504508,#388371,#388372); #354681=AXIS2_PLACEMENT_3D('',#504509,#388373,#388374); #354682=AXIS2_PLACEMENT_3D('',#504511,#388375,#388376); #354683=AXIS2_PLACEMENT_3D('',#504514,#388378,#388379); #354684=AXIS2_PLACEMENT_3D('',#504515,#388380,#388381); #354685=AXIS2_PLACEMENT_3D('',#504517,#388382,#388383); #354686=AXIS2_PLACEMENT_3D('',#504520,#388385,#388386); #354687=AXIS2_PLACEMENT_3D('',#504521,#388387,#388388); #354688=AXIS2_PLACEMENT_3D('',#504522,#388389,#388390); #354689=AXIS2_PLACEMENT_3D('',#504523,#388391,#388392); #354690=AXIS2_PLACEMENT_3D('',#504525,#388393,#388394); #354691=AXIS2_PLACEMENT_3D('',#504528,#388396,#388397); #354692=AXIS2_PLACEMENT_3D('',#504529,#388398,#388399); #354693=AXIS2_PLACEMENT_3D('',#504531,#388400,#388401); #354694=AXIS2_PLACEMENT_3D('',#504534,#388403,#388404); #354695=AXIS2_PLACEMENT_3D('',#504535,#388405,#388406); #354696=AXIS2_PLACEMENT_3D('',#504536,#388407,#388408); #354697=AXIS2_PLACEMENT_3D('',#504537,#388409,#388410); #354698=AXIS2_PLACEMENT_3D('',#504539,#388411,#388412); #354699=AXIS2_PLACEMENT_3D('',#504542,#388414,#388415); #354700=AXIS2_PLACEMENT_3D('',#504543,#388416,#388417); #354701=AXIS2_PLACEMENT_3D('',#504545,#388418,#388419); #354702=AXIS2_PLACEMENT_3D('',#504548,#388421,#388422); #354703=AXIS2_PLACEMENT_3D('',#504549,#388423,#388424); #354704=AXIS2_PLACEMENT_3D('',#504550,#388425,#388426); #354705=AXIS2_PLACEMENT_3D('',#504551,#388427,#388428); #354706=AXIS2_PLACEMENT_3D('',#504553,#388429,#388430); #354707=AXIS2_PLACEMENT_3D('',#504556,#388432,#388433); #354708=AXIS2_PLACEMENT_3D('',#504557,#388434,#388435); #354709=AXIS2_PLACEMENT_3D('',#504559,#388436,#388437); #354710=AXIS2_PLACEMENT_3D('',#504562,#388439,#388440); #354711=AXIS2_PLACEMENT_3D('',#504563,#388441,#388442); #354712=AXIS2_PLACEMENT_3D('',#504564,#388443,#388444); #354713=AXIS2_PLACEMENT_3D('',#504565,#388445,#388446); #354714=AXIS2_PLACEMENT_3D('',#504567,#388447,#388448); #354715=AXIS2_PLACEMENT_3D('',#504570,#388450,#388451); #354716=AXIS2_PLACEMENT_3D('',#504571,#388452,#388453); #354717=AXIS2_PLACEMENT_3D('',#504573,#388454,#388455); #354718=AXIS2_PLACEMENT_3D('',#504576,#388457,#388458); #354719=AXIS2_PLACEMENT_3D('',#504577,#388459,#388460); #354720=AXIS2_PLACEMENT_3D('',#504578,#388461,#388462); #354721=AXIS2_PLACEMENT_3D('',#504579,#388463,#388464); #354722=AXIS2_PLACEMENT_3D('',#504581,#388465,#388466); #354723=AXIS2_PLACEMENT_3D('',#504584,#388468,#388469); #354724=AXIS2_PLACEMENT_3D('',#504585,#388470,#388471); #354725=AXIS2_PLACEMENT_3D('',#504587,#388472,#388473); #354726=AXIS2_PLACEMENT_3D('',#504590,#388475,#388476); #354727=AXIS2_PLACEMENT_3D('',#504591,#388477,#388478); #354728=AXIS2_PLACEMENT_3D('',#504592,#388479,#388480); #354729=AXIS2_PLACEMENT_3D('',#504593,#388481,#388482); #354730=AXIS2_PLACEMENT_3D('',#504595,#388483,#388484); #354731=AXIS2_PLACEMENT_3D('',#504598,#388486,#388487); #354732=AXIS2_PLACEMENT_3D('',#504599,#388488,#388489); #354733=AXIS2_PLACEMENT_3D('',#504601,#388490,#388491); #354734=AXIS2_PLACEMENT_3D('',#504604,#388493,#388494); #354735=AXIS2_PLACEMENT_3D('',#504605,#388495,#388496); #354736=AXIS2_PLACEMENT_3D('',#504606,#388497,#388498); #354737=AXIS2_PLACEMENT_3D('',#504607,#388499,#388500); #354738=AXIS2_PLACEMENT_3D('',#504609,#388501,#388502); #354739=AXIS2_PLACEMENT_3D('',#504612,#388504,#388505); #354740=AXIS2_PLACEMENT_3D('',#504613,#388506,#388507); #354741=AXIS2_PLACEMENT_3D('',#504615,#388508,#388509); #354742=AXIS2_PLACEMENT_3D('',#504618,#388511,#388512); #354743=AXIS2_PLACEMENT_3D('',#504619,#388513,#388514); #354744=AXIS2_PLACEMENT_3D('',#504620,#388515,#388516); #354745=AXIS2_PLACEMENT_3D('',#504621,#388517,#388518); #354746=AXIS2_PLACEMENT_3D('',#504623,#388519,#388520); #354747=AXIS2_PLACEMENT_3D('',#504626,#388522,#388523); #354748=AXIS2_PLACEMENT_3D('',#504627,#388524,#388525); #354749=AXIS2_PLACEMENT_3D('',#504629,#388526,#388527); #354750=AXIS2_PLACEMENT_3D('',#504632,#388529,#388530); #354751=AXIS2_PLACEMENT_3D('',#504633,#388531,#388532); #354752=AXIS2_PLACEMENT_3D('',#504634,#388533,#388534); #354753=AXIS2_PLACEMENT_3D('',#504635,#388535,#388536); #354754=AXIS2_PLACEMENT_3D('',#504637,#388537,#388538); #354755=AXIS2_PLACEMENT_3D('',#504640,#388540,#388541); #354756=AXIS2_PLACEMENT_3D('',#504641,#388542,#388543); #354757=AXIS2_PLACEMENT_3D('',#504643,#388544,#388545); #354758=AXIS2_PLACEMENT_3D('',#504646,#388547,#388548); #354759=AXIS2_PLACEMENT_3D('',#504647,#388549,#388550); #354760=AXIS2_PLACEMENT_3D('',#504648,#388551,#388552); #354761=AXIS2_PLACEMENT_3D('',#504649,#388553,#388554); #354762=AXIS2_PLACEMENT_3D('',#504651,#388555,#388556); #354763=AXIS2_PLACEMENT_3D('',#504654,#388558,#388559); #354764=AXIS2_PLACEMENT_3D('',#504655,#388560,#388561); #354765=AXIS2_PLACEMENT_3D('',#504657,#388562,#388563); #354766=AXIS2_PLACEMENT_3D('',#504660,#388565,#388566); #354767=AXIS2_PLACEMENT_3D('',#504661,#388567,#388568); #354768=AXIS2_PLACEMENT_3D('',#504662,#388569,#388570); #354769=AXIS2_PLACEMENT_3D('',#504663,#388571,#388572); #354770=AXIS2_PLACEMENT_3D('',#504665,#388573,#388574); #354771=AXIS2_PLACEMENT_3D('',#504668,#388576,#388577); #354772=AXIS2_PLACEMENT_3D('',#504669,#388578,#388579); #354773=AXIS2_PLACEMENT_3D('',#504671,#388580,#388581); #354774=AXIS2_PLACEMENT_3D('',#504674,#388583,#388584); #354775=AXIS2_PLACEMENT_3D('',#504675,#388585,#388586); #354776=AXIS2_PLACEMENT_3D('',#504676,#388587,#388588); #354777=AXIS2_PLACEMENT_3D('',#504677,#388589,#388590); #354778=AXIS2_PLACEMENT_3D('',#504679,#388591,#388592); #354779=AXIS2_PLACEMENT_3D('',#504682,#388594,#388595); #354780=AXIS2_PLACEMENT_3D('',#504683,#388596,#388597); #354781=AXIS2_PLACEMENT_3D('',#504685,#388598,#388599); #354782=AXIS2_PLACEMENT_3D('',#504688,#388601,#388602); #354783=AXIS2_PLACEMENT_3D('',#504689,#388603,#388604); #354784=AXIS2_PLACEMENT_3D('',#504690,#388605,#388606); #354785=AXIS2_PLACEMENT_3D('',#504691,#388607,#388608); #354786=AXIS2_PLACEMENT_3D('',#504693,#388609,#388610); #354787=AXIS2_PLACEMENT_3D('',#504696,#388612,#388613); #354788=AXIS2_PLACEMENT_3D('',#504697,#388614,#388615); #354789=AXIS2_PLACEMENT_3D('',#504699,#388616,#388617); #354790=AXIS2_PLACEMENT_3D('',#504702,#388619,#388620); #354791=AXIS2_PLACEMENT_3D('',#504703,#388621,#388622); #354792=AXIS2_PLACEMENT_3D('',#504704,#388623,#388624); #354793=AXIS2_PLACEMENT_3D('',#504705,#388625,#388626); #354794=AXIS2_PLACEMENT_3D('',#504707,#388627,#388628); #354795=AXIS2_PLACEMENT_3D('',#504710,#388630,#388631); #354796=AXIS2_PLACEMENT_3D('',#504711,#388632,#388633); #354797=AXIS2_PLACEMENT_3D('',#504713,#388634,#388635); #354798=AXIS2_PLACEMENT_3D('',#504716,#388637,#388638); #354799=AXIS2_PLACEMENT_3D('',#504717,#388639,#388640); #354800=AXIS2_PLACEMENT_3D('',#504718,#388641,#388642); #354801=AXIS2_PLACEMENT_3D('',#504719,#388643,#388644); #354802=AXIS2_PLACEMENT_3D('',#504721,#388645,#388646); #354803=AXIS2_PLACEMENT_3D('',#504724,#388648,#388649); #354804=AXIS2_PLACEMENT_3D('',#504725,#388650,#388651); #354805=AXIS2_PLACEMENT_3D('',#504727,#388652,#388653); #354806=AXIS2_PLACEMENT_3D('',#504730,#388655,#388656); #354807=AXIS2_PLACEMENT_3D('',#504731,#388657,#388658); #354808=AXIS2_PLACEMENT_3D('',#504732,#388659,#388660); #354809=AXIS2_PLACEMENT_3D('',#504733,#388661,#388662); #354810=AXIS2_PLACEMENT_3D('',#504735,#388663,#388664); #354811=AXIS2_PLACEMENT_3D('',#504738,#388666,#388667); #354812=AXIS2_PLACEMENT_3D('',#504739,#388668,#388669); #354813=AXIS2_PLACEMENT_3D('',#504741,#388670,#388671); #354814=AXIS2_PLACEMENT_3D('',#504744,#388673,#388674); #354815=AXIS2_PLACEMENT_3D('',#504745,#388675,#388676); #354816=AXIS2_PLACEMENT_3D('',#504746,#388677,#388678); #354817=AXIS2_PLACEMENT_3D('',#504747,#388679,#388680); #354818=AXIS2_PLACEMENT_3D('',#504749,#388681,#388682); #354819=AXIS2_PLACEMENT_3D('',#504752,#388684,#388685); #354820=AXIS2_PLACEMENT_3D('',#504753,#388686,#388687); #354821=AXIS2_PLACEMENT_3D('',#504755,#388688,#388689); #354822=AXIS2_PLACEMENT_3D('',#504758,#388691,#388692); #354823=AXIS2_PLACEMENT_3D('',#504759,#388693,#388694); #354824=AXIS2_PLACEMENT_3D('',#504760,#388695,#388696); #354825=AXIS2_PLACEMENT_3D('',#504761,#388697,#388698); #354826=AXIS2_PLACEMENT_3D('',#504763,#388699,#388700); #354827=AXIS2_PLACEMENT_3D('',#504766,#388702,#388703); #354828=AXIS2_PLACEMENT_3D('',#504767,#388704,#388705); #354829=AXIS2_PLACEMENT_3D('',#504769,#388706,#388707); #354830=AXIS2_PLACEMENT_3D('',#504772,#388709,#388710); #354831=AXIS2_PLACEMENT_3D('',#504773,#388711,#388712); #354832=AXIS2_PLACEMENT_3D('',#504774,#388713,#388714); #354833=AXIS2_PLACEMENT_3D('',#504775,#388715,#388716); #354834=AXIS2_PLACEMENT_3D('',#504777,#388717,#388718); #354835=AXIS2_PLACEMENT_3D('',#504780,#388720,#388721); #354836=AXIS2_PLACEMENT_3D('',#504781,#388722,#388723); #354837=AXIS2_PLACEMENT_3D('',#504783,#388724,#388725); #354838=AXIS2_PLACEMENT_3D('',#504786,#388727,#388728); #354839=AXIS2_PLACEMENT_3D('',#504787,#388729,#388730); #354840=AXIS2_PLACEMENT_3D('',#504788,#388731,#388732); #354841=AXIS2_PLACEMENT_3D('',#504789,#388733,#388734); #354842=AXIS2_PLACEMENT_3D('',#504791,#388735,#388736); #354843=AXIS2_PLACEMENT_3D('',#504794,#388738,#388739); #354844=AXIS2_PLACEMENT_3D('',#504795,#388740,#388741); #354845=AXIS2_PLACEMENT_3D('',#504797,#388742,#388743); #354846=AXIS2_PLACEMENT_3D('',#504800,#388745,#388746); #354847=AXIS2_PLACEMENT_3D('',#504801,#388747,#388748); #354848=AXIS2_PLACEMENT_3D('',#504802,#388749,#388750); #354849=AXIS2_PLACEMENT_3D('',#504803,#388751,#388752); #354850=AXIS2_PLACEMENT_3D('',#504805,#388753,#388754); #354851=AXIS2_PLACEMENT_3D('',#504808,#388756,#388757); #354852=AXIS2_PLACEMENT_3D('',#504809,#388758,#388759); #354853=AXIS2_PLACEMENT_3D('',#504811,#388760,#388761); #354854=AXIS2_PLACEMENT_3D('',#504814,#388763,#388764); #354855=AXIS2_PLACEMENT_3D('',#504815,#388765,#388766); #354856=AXIS2_PLACEMENT_3D('',#504816,#388767,#388768); #354857=AXIS2_PLACEMENT_3D('',#504817,#388769,#388770); #354858=AXIS2_PLACEMENT_3D('',#504819,#388771,#388772); #354859=AXIS2_PLACEMENT_3D('',#504822,#388774,#388775); #354860=AXIS2_PLACEMENT_3D('',#504823,#388776,#388777); #354861=AXIS2_PLACEMENT_3D('',#504825,#388778,#388779); #354862=AXIS2_PLACEMENT_3D('',#504828,#388781,#388782); #354863=AXIS2_PLACEMENT_3D('',#504829,#388783,#388784); #354864=AXIS2_PLACEMENT_3D('',#504830,#388785,#388786); #354865=AXIS2_PLACEMENT_3D('',#504831,#388787,#388788); #354866=AXIS2_PLACEMENT_3D('',#504833,#388789,#388790); #354867=AXIS2_PLACEMENT_3D('',#504836,#388792,#388793); #354868=AXIS2_PLACEMENT_3D('',#504837,#388794,#388795); #354869=AXIS2_PLACEMENT_3D('',#504839,#388796,#388797); #354870=AXIS2_PLACEMENT_3D('',#504842,#388799,#388800); #354871=AXIS2_PLACEMENT_3D('',#504843,#388801,#388802); #354872=AXIS2_PLACEMENT_3D('',#504844,#388803,#388804); #354873=AXIS2_PLACEMENT_3D('',#504845,#388805,#388806); #354874=AXIS2_PLACEMENT_3D('',#504847,#388807,#388808); #354875=AXIS2_PLACEMENT_3D('',#504850,#388810,#388811); #354876=AXIS2_PLACEMENT_3D('',#504851,#388812,#388813); #354877=AXIS2_PLACEMENT_3D('',#504853,#388814,#388815); #354878=AXIS2_PLACEMENT_3D('',#504856,#388817,#388818); #354879=AXIS2_PLACEMENT_3D('',#504857,#388819,#388820); #354880=AXIS2_PLACEMENT_3D('',#504858,#388821,#388822); #354881=AXIS2_PLACEMENT_3D('',#504859,#388823,#388824); #354882=AXIS2_PLACEMENT_3D('',#504861,#388825,#388826); #354883=AXIS2_PLACEMENT_3D('',#504864,#388828,#388829); #354884=AXIS2_PLACEMENT_3D('',#504865,#388830,#388831); #354885=AXIS2_PLACEMENT_3D('',#504867,#388832,#388833); #354886=AXIS2_PLACEMENT_3D('',#504870,#388835,#388836); #354887=AXIS2_PLACEMENT_3D('',#504871,#388837,#388838); #354888=AXIS2_PLACEMENT_3D('',#504872,#388839,#388840); #354889=AXIS2_PLACEMENT_3D('',#504873,#388841,#388842); #354890=AXIS2_PLACEMENT_3D('',#504875,#388843,#388844); #354891=AXIS2_PLACEMENT_3D('',#504878,#388846,#388847); #354892=AXIS2_PLACEMENT_3D('',#504879,#388848,#388849); #354893=AXIS2_PLACEMENT_3D('',#504881,#388850,#388851); #354894=AXIS2_PLACEMENT_3D('',#504884,#388853,#388854); #354895=AXIS2_PLACEMENT_3D('',#504885,#388855,#388856); #354896=AXIS2_PLACEMENT_3D('',#504886,#388857,#388858); #354897=AXIS2_PLACEMENT_3D('',#504887,#388859,#388860); #354898=AXIS2_PLACEMENT_3D('',#504889,#388861,#388862); #354899=AXIS2_PLACEMENT_3D('',#504892,#388864,#388865); #354900=AXIS2_PLACEMENT_3D('',#504893,#388866,#388867); #354901=AXIS2_PLACEMENT_3D('',#504895,#388868,#388869); #354902=AXIS2_PLACEMENT_3D('',#504898,#388871,#388872); #354903=AXIS2_PLACEMENT_3D('',#504899,#388873,#388874); #354904=AXIS2_PLACEMENT_3D('',#504900,#388875,#388876); #354905=AXIS2_PLACEMENT_3D('',#504901,#388877,#388878); #354906=AXIS2_PLACEMENT_3D('',#504903,#388879,#388880); #354907=AXIS2_PLACEMENT_3D('',#504906,#388882,#388883); #354908=AXIS2_PLACEMENT_3D('',#504907,#388884,#388885); #354909=AXIS2_PLACEMENT_3D('',#504909,#388886,#388887); #354910=AXIS2_PLACEMENT_3D('',#504912,#388889,#388890); #354911=AXIS2_PLACEMENT_3D('',#504913,#388891,#388892); #354912=AXIS2_PLACEMENT_3D('',#504914,#388893,#388894); #354913=AXIS2_PLACEMENT_3D('',#504915,#388895,#388896); #354914=AXIS2_PLACEMENT_3D('',#504917,#388897,#388898); #354915=AXIS2_PLACEMENT_3D('',#504920,#388900,#388901); #354916=AXIS2_PLACEMENT_3D('',#504921,#388902,#388903); #354917=AXIS2_PLACEMENT_3D('',#504923,#388904,#388905); #354918=AXIS2_PLACEMENT_3D('',#504926,#388907,#388908); #354919=AXIS2_PLACEMENT_3D('',#504927,#388909,#388910); #354920=AXIS2_PLACEMENT_3D('',#504928,#388911,#388912); #354921=AXIS2_PLACEMENT_3D('',#504929,#388913,#388914); #354922=AXIS2_PLACEMENT_3D('',#504931,#388915,#388916); #354923=AXIS2_PLACEMENT_3D('',#504934,#388918,#388919); #354924=AXIS2_PLACEMENT_3D('',#504935,#388920,#388921); #354925=AXIS2_PLACEMENT_3D('',#504937,#388922,#388923); #354926=AXIS2_PLACEMENT_3D('',#504940,#388925,#388926); #354927=AXIS2_PLACEMENT_3D('',#504941,#388927,#388928); #354928=AXIS2_PLACEMENT_3D('',#504942,#388929,#388930); #354929=AXIS2_PLACEMENT_3D('',#504943,#388931,#388932); #354930=AXIS2_PLACEMENT_3D('',#504945,#388933,#388934); #354931=AXIS2_PLACEMENT_3D('',#504948,#388936,#388937); #354932=AXIS2_PLACEMENT_3D('',#504949,#388938,#388939); #354933=AXIS2_PLACEMENT_3D('',#504951,#388940,#388941); #354934=AXIS2_PLACEMENT_3D('',#504954,#388943,#388944); #354935=AXIS2_PLACEMENT_3D('',#504955,#388945,#388946); #354936=AXIS2_PLACEMENT_3D('',#504956,#388947,#388948); #354937=AXIS2_PLACEMENT_3D('',#504957,#388949,#388950); #354938=AXIS2_PLACEMENT_3D('',#504959,#388951,#388952); #354939=AXIS2_PLACEMENT_3D('',#504962,#388954,#388955); #354940=AXIS2_PLACEMENT_3D('',#504963,#388956,#388957); #354941=AXIS2_PLACEMENT_3D('',#504965,#388958,#388959); #354942=AXIS2_PLACEMENT_3D('',#504968,#388961,#388962); #354943=AXIS2_PLACEMENT_3D('',#504969,#388963,#388964); #354944=AXIS2_PLACEMENT_3D('',#504970,#388965,#388966); #354945=AXIS2_PLACEMENT_3D('',#504971,#388967,#388968); #354946=AXIS2_PLACEMENT_3D('',#504973,#388969,#388970); #354947=AXIS2_PLACEMENT_3D('',#504976,#388972,#388973); #354948=AXIS2_PLACEMENT_3D('',#504977,#388974,#388975); #354949=AXIS2_PLACEMENT_3D('',#504979,#388976,#388977); #354950=AXIS2_PLACEMENT_3D('',#504982,#388979,#388980); #354951=AXIS2_PLACEMENT_3D('',#504983,#388981,#388982); #354952=AXIS2_PLACEMENT_3D('',#504984,#388983,#388984); #354953=AXIS2_PLACEMENT_3D('',#504985,#388985,#388986); #354954=AXIS2_PLACEMENT_3D('',#504987,#388987,#388988); #354955=AXIS2_PLACEMENT_3D('',#504990,#388990,#388991); #354956=AXIS2_PLACEMENT_3D('',#504991,#388992,#388993); #354957=AXIS2_PLACEMENT_3D('',#504993,#388994,#388995); #354958=AXIS2_PLACEMENT_3D('',#504996,#388997,#388998); #354959=AXIS2_PLACEMENT_3D('',#504997,#388999,#389000); #354960=AXIS2_PLACEMENT_3D('',#504998,#389001,#389002); #354961=AXIS2_PLACEMENT_3D('',#504999,#389003,#389004); #354962=AXIS2_PLACEMENT_3D('',#505001,#389005,#389006); #354963=AXIS2_PLACEMENT_3D('',#505004,#389008,#389009); #354964=AXIS2_PLACEMENT_3D('',#505005,#389010,#389011); #354965=AXIS2_PLACEMENT_3D('',#505007,#389012,#389013); #354966=AXIS2_PLACEMENT_3D('',#505010,#389015,#389016); #354967=AXIS2_PLACEMENT_3D('',#505011,#389017,#389018); #354968=AXIS2_PLACEMENT_3D('',#505012,#389019,#389020); #354969=AXIS2_PLACEMENT_3D('',#505013,#389021,#389022); #354970=AXIS2_PLACEMENT_3D('',#505015,#389023,#389024); #354971=AXIS2_PLACEMENT_3D('',#505018,#389026,#389027); #354972=AXIS2_PLACEMENT_3D('',#505019,#389028,#389029); #354973=AXIS2_PLACEMENT_3D('',#505021,#389030,#389031); #354974=AXIS2_PLACEMENT_3D('',#505024,#389033,#389034); #354975=AXIS2_PLACEMENT_3D('',#505025,#389035,#389036); #354976=AXIS2_PLACEMENT_3D('',#505026,#389037,#389038); #354977=AXIS2_PLACEMENT_3D('',#505027,#389039,#389040); #354978=AXIS2_PLACEMENT_3D('',#505029,#389041,#389042); #354979=AXIS2_PLACEMENT_3D('',#505032,#389044,#389045); #354980=AXIS2_PLACEMENT_3D('',#505033,#389046,#389047); #354981=AXIS2_PLACEMENT_3D('',#505035,#389048,#389049); #354982=AXIS2_PLACEMENT_3D('',#505038,#389051,#389052); #354983=AXIS2_PLACEMENT_3D('',#505039,#389053,#389054); #354984=AXIS2_PLACEMENT_3D('',#505040,#389055,#389056); #354985=AXIS2_PLACEMENT_3D('',#505041,#389057,#389058); #354986=AXIS2_PLACEMENT_3D('',#505043,#389059,#389060); #354987=AXIS2_PLACEMENT_3D('',#505046,#389062,#389063); #354988=AXIS2_PLACEMENT_3D('',#505047,#389064,#389065); #354989=AXIS2_PLACEMENT_3D('',#505049,#389066,#389067); #354990=AXIS2_PLACEMENT_3D('',#505052,#389069,#389070); #354991=AXIS2_PLACEMENT_3D('',#505053,#389071,#389072); #354992=AXIS2_PLACEMENT_3D('',#505054,#389073,#389074); #354993=AXIS2_PLACEMENT_3D('',#505055,#389075,#389076); #354994=AXIS2_PLACEMENT_3D('',#505057,#389077,#389078); #354995=AXIS2_PLACEMENT_3D('',#505060,#389080,#389081); #354996=AXIS2_PLACEMENT_3D('',#505061,#389082,#389083); #354997=AXIS2_PLACEMENT_3D('',#505063,#389084,#389085); #354998=AXIS2_PLACEMENT_3D('',#505066,#389087,#389088); #354999=AXIS2_PLACEMENT_3D('',#505067,#389089,#389090); #355000=AXIS2_PLACEMENT_3D('',#505068,#389091,#389092); #355001=AXIS2_PLACEMENT_3D('',#505069,#389093,#389094); #355002=AXIS2_PLACEMENT_3D('',#505071,#389095,#389096); #355003=AXIS2_PLACEMENT_3D('',#505074,#389098,#389099); #355004=AXIS2_PLACEMENT_3D('',#505075,#389100,#389101); #355005=AXIS2_PLACEMENT_3D('',#505077,#389102,#389103); #355006=AXIS2_PLACEMENT_3D('',#505080,#389105,#389106); #355007=AXIS2_PLACEMENT_3D('',#505081,#389107,#389108); #355008=AXIS2_PLACEMENT_3D('',#505082,#389109,#389110); #355009=AXIS2_PLACEMENT_3D('',#505083,#389111,#389112); #355010=AXIS2_PLACEMENT_3D('',#505085,#389113,#389114); #355011=AXIS2_PLACEMENT_3D('',#505088,#389116,#389117); #355012=AXIS2_PLACEMENT_3D('',#505089,#389118,#389119); #355013=AXIS2_PLACEMENT_3D('',#505091,#389120,#389121); #355014=AXIS2_PLACEMENT_3D('',#505094,#389123,#389124); #355015=AXIS2_PLACEMENT_3D('',#505095,#389125,#389126); #355016=AXIS2_PLACEMENT_3D('',#505096,#389127,#389128); #355017=AXIS2_PLACEMENT_3D('',#505097,#389129,#389130); #355018=AXIS2_PLACEMENT_3D('',#505099,#389131,#389132); #355019=AXIS2_PLACEMENT_3D('',#505102,#389134,#389135); #355020=AXIS2_PLACEMENT_3D('',#505103,#389136,#389137); #355021=AXIS2_PLACEMENT_3D('',#505105,#389138,#389139); #355022=AXIS2_PLACEMENT_3D('',#505108,#389141,#389142); #355023=AXIS2_PLACEMENT_3D('',#505109,#389143,#389144); #355024=AXIS2_PLACEMENT_3D('',#505110,#389145,#389146); #355025=AXIS2_PLACEMENT_3D('',#505111,#389147,#389148); #355026=AXIS2_PLACEMENT_3D('',#505113,#389149,#389150); #355027=AXIS2_PLACEMENT_3D('',#505116,#389152,#389153); #355028=AXIS2_PLACEMENT_3D('',#505117,#389154,#389155); #355029=AXIS2_PLACEMENT_3D('',#505119,#389156,#389157); #355030=AXIS2_PLACEMENT_3D('',#505122,#389159,#389160); #355031=AXIS2_PLACEMENT_3D('',#505123,#389161,#389162); #355032=AXIS2_PLACEMENT_3D('',#505124,#389163,#389164); #355033=AXIS2_PLACEMENT_3D('',#505125,#389165,#389166); #355034=AXIS2_PLACEMENT_3D('',#505127,#389167,#389168); #355035=AXIS2_PLACEMENT_3D('',#505130,#389170,#389171); #355036=AXIS2_PLACEMENT_3D('',#505131,#389172,#389173); #355037=AXIS2_PLACEMENT_3D('',#505133,#389174,#389175); #355038=AXIS2_PLACEMENT_3D('',#505136,#389177,#389178); #355039=AXIS2_PLACEMENT_3D('',#505137,#389179,#389180); #355040=AXIS2_PLACEMENT_3D('',#505138,#389181,#389182); #355041=AXIS2_PLACEMENT_3D('',#505139,#389183,#389184); #355042=AXIS2_PLACEMENT_3D('',#505141,#389185,#389186); #355043=AXIS2_PLACEMENT_3D('',#505144,#389188,#389189); #355044=AXIS2_PLACEMENT_3D('',#505145,#389190,#389191); #355045=AXIS2_PLACEMENT_3D('',#505147,#389192,#389193); #355046=AXIS2_PLACEMENT_3D('',#505150,#389195,#389196); #355047=AXIS2_PLACEMENT_3D('',#505151,#389197,#389198); #355048=AXIS2_PLACEMENT_3D('',#505152,#389199,#389200); #355049=AXIS2_PLACEMENT_3D('',#505153,#389201,#389202); #355050=AXIS2_PLACEMENT_3D('',#505155,#389203,#389204); #355051=AXIS2_PLACEMENT_3D('',#505158,#389206,#389207); #355052=AXIS2_PLACEMENT_3D('',#505159,#389208,#389209); #355053=AXIS2_PLACEMENT_3D('',#505161,#389210,#389211); #355054=AXIS2_PLACEMENT_3D('',#505164,#389213,#389214); #355055=AXIS2_PLACEMENT_3D('',#505165,#389215,#389216); #355056=AXIS2_PLACEMENT_3D('',#505166,#389217,#389218); #355057=AXIS2_PLACEMENT_3D('',#505167,#389219,#389220); #355058=AXIS2_PLACEMENT_3D('',#505169,#389221,#389222); #355059=AXIS2_PLACEMENT_3D('',#505172,#389224,#389225); #355060=AXIS2_PLACEMENT_3D('',#505173,#389226,#389227); #355061=AXIS2_PLACEMENT_3D('',#505175,#389228,#389229); #355062=AXIS2_PLACEMENT_3D('',#505178,#389231,#389232); #355063=AXIS2_PLACEMENT_3D('',#505179,#389233,#389234); #355064=AXIS2_PLACEMENT_3D('',#505180,#389235,#389236); #355065=AXIS2_PLACEMENT_3D('',#505181,#389237,#389238); #355066=AXIS2_PLACEMENT_3D('',#505183,#389239,#389240); #355067=AXIS2_PLACEMENT_3D('',#505186,#389242,#389243); #355068=AXIS2_PLACEMENT_3D('',#505187,#389244,#389245); #355069=AXIS2_PLACEMENT_3D('',#505189,#389246,#389247); #355070=AXIS2_PLACEMENT_3D('',#505192,#389249,#389250); #355071=AXIS2_PLACEMENT_3D('',#505193,#389251,#389252); #355072=AXIS2_PLACEMENT_3D('',#505194,#389253,#389254); #355073=AXIS2_PLACEMENT_3D('',#505195,#389255,#389256); #355074=AXIS2_PLACEMENT_3D('',#505197,#389257,#389258); #355075=AXIS2_PLACEMENT_3D('',#505200,#389260,#389261); #355076=AXIS2_PLACEMENT_3D('',#505201,#389262,#389263); #355077=AXIS2_PLACEMENT_3D('',#505203,#389264,#389265); #355078=AXIS2_PLACEMENT_3D('',#505206,#389267,#389268); #355079=AXIS2_PLACEMENT_3D('',#505207,#389269,#389270); #355080=AXIS2_PLACEMENT_3D('',#505208,#389271,#389272); #355081=AXIS2_PLACEMENT_3D('',#505209,#389273,#389274); #355082=AXIS2_PLACEMENT_3D('',#505211,#389275,#389276); #355083=AXIS2_PLACEMENT_3D('',#505214,#389278,#389279); #355084=AXIS2_PLACEMENT_3D('',#505215,#389280,#389281); #355085=AXIS2_PLACEMENT_3D('',#505217,#389282,#389283); #355086=AXIS2_PLACEMENT_3D('',#505220,#389285,#389286); #355087=AXIS2_PLACEMENT_3D('',#505221,#389287,#389288); #355088=AXIS2_PLACEMENT_3D('',#505222,#389289,#389290); #355089=AXIS2_PLACEMENT_3D('',#505223,#389291,#389292); #355090=AXIS2_PLACEMENT_3D('',#505225,#389293,#389294); #355091=AXIS2_PLACEMENT_3D('',#505228,#389296,#389297); #355092=AXIS2_PLACEMENT_3D('',#505229,#389298,#389299); #355093=AXIS2_PLACEMENT_3D('',#505231,#389300,#389301); #355094=AXIS2_PLACEMENT_3D('',#505234,#389303,#389304); #355095=AXIS2_PLACEMENT_3D('',#505235,#389305,#389306); #355096=AXIS2_PLACEMENT_3D('',#505236,#389307,#389308); #355097=AXIS2_PLACEMENT_3D('',#505237,#389309,#389310); #355098=AXIS2_PLACEMENT_3D('',#505239,#389311,#389312); #355099=AXIS2_PLACEMENT_3D('',#505242,#389314,#389315); #355100=AXIS2_PLACEMENT_3D('',#505243,#389316,#389317); #355101=AXIS2_PLACEMENT_3D('',#505245,#389318,#389319); #355102=AXIS2_PLACEMENT_3D('',#505248,#389321,#389322); #355103=AXIS2_PLACEMENT_3D('',#505249,#389323,#389324); #355104=AXIS2_PLACEMENT_3D('',#505250,#389325,#389326); #355105=AXIS2_PLACEMENT_3D('',#505251,#389327,#389328); #355106=AXIS2_PLACEMENT_3D('',#505253,#389329,#389330); #355107=AXIS2_PLACEMENT_3D('',#505256,#389332,#389333); #355108=AXIS2_PLACEMENT_3D('',#505257,#389334,#389335); #355109=AXIS2_PLACEMENT_3D('',#505259,#389336,#389337); #355110=AXIS2_PLACEMENT_3D('',#505262,#389339,#389340); #355111=AXIS2_PLACEMENT_3D('',#505263,#389341,#389342); #355112=AXIS2_PLACEMENT_3D('',#505264,#389343,#389344); #355113=AXIS2_PLACEMENT_3D('',#505265,#389345,#389346); #355114=AXIS2_PLACEMENT_3D('',#505267,#389347,#389348); #355115=AXIS2_PLACEMENT_3D('',#505270,#389350,#389351); #355116=AXIS2_PLACEMENT_3D('',#505271,#389352,#389353); #355117=AXIS2_PLACEMENT_3D('',#505273,#389354,#389355); #355118=AXIS2_PLACEMENT_3D('',#505276,#389357,#389358); #355119=AXIS2_PLACEMENT_3D('',#505277,#389359,#389360); #355120=AXIS2_PLACEMENT_3D('',#505278,#389361,#389362); #355121=AXIS2_PLACEMENT_3D('',#505279,#389363,#389364); #355122=AXIS2_PLACEMENT_3D('',#505281,#389365,#389366); #355123=AXIS2_PLACEMENT_3D('',#505284,#389368,#389369); #355124=AXIS2_PLACEMENT_3D('',#505285,#389370,#389371); #355125=AXIS2_PLACEMENT_3D('',#505287,#389372,#389373); #355126=AXIS2_PLACEMENT_3D('',#505290,#389375,#389376); #355127=AXIS2_PLACEMENT_3D('',#505291,#389377,#389378); #355128=AXIS2_PLACEMENT_3D('',#505292,#389379,#389380); #355129=AXIS2_PLACEMENT_3D('',#505293,#389381,#389382); #355130=AXIS2_PLACEMENT_3D('',#505295,#389383,#389384); #355131=AXIS2_PLACEMENT_3D('',#505298,#389386,#389387); #355132=AXIS2_PLACEMENT_3D('',#505299,#389388,#389389); #355133=AXIS2_PLACEMENT_3D('',#505301,#389390,#389391); #355134=AXIS2_PLACEMENT_3D('',#505304,#389393,#389394); #355135=AXIS2_PLACEMENT_3D('',#505305,#389395,#389396); #355136=AXIS2_PLACEMENT_3D('',#505306,#389397,#389398); #355137=AXIS2_PLACEMENT_3D('',#505307,#389399,#389400); #355138=AXIS2_PLACEMENT_3D('',#505309,#389401,#389402); #355139=AXIS2_PLACEMENT_3D('',#505312,#389404,#389405); #355140=AXIS2_PLACEMENT_3D('',#505313,#389406,#389407); #355141=AXIS2_PLACEMENT_3D('',#505315,#389408,#389409); #355142=AXIS2_PLACEMENT_3D('',#505318,#389411,#389412); #355143=AXIS2_PLACEMENT_3D('',#505319,#389413,#389414); #355144=AXIS2_PLACEMENT_3D('',#505320,#389415,#389416); #355145=AXIS2_PLACEMENT_3D('',#505321,#389417,#389418); #355146=AXIS2_PLACEMENT_3D('',#505323,#389419,#389420); #355147=AXIS2_PLACEMENT_3D('',#505326,#389422,#389423); #355148=AXIS2_PLACEMENT_3D('',#505327,#389424,#389425); #355149=AXIS2_PLACEMENT_3D('',#505329,#389426,#389427); #355150=AXIS2_PLACEMENT_3D('',#505332,#389429,#389430); #355151=AXIS2_PLACEMENT_3D('',#505333,#389431,#389432); #355152=AXIS2_PLACEMENT_3D('',#505334,#389433,#389434); #355153=AXIS2_PLACEMENT_3D('',#505335,#389435,#389436); #355154=AXIS2_PLACEMENT_3D('',#505337,#389437,#389438); #355155=AXIS2_PLACEMENT_3D('',#505340,#389440,#389441); #355156=AXIS2_PLACEMENT_3D('',#505341,#389442,#389443); #355157=AXIS2_PLACEMENT_3D('',#505343,#389444,#389445); #355158=AXIS2_PLACEMENT_3D('',#505346,#389447,#389448); #355159=AXIS2_PLACEMENT_3D('',#505347,#389449,#389450); #355160=AXIS2_PLACEMENT_3D('',#505348,#389451,#389452); #355161=AXIS2_PLACEMENT_3D('',#505349,#389453,#389454); #355162=AXIS2_PLACEMENT_3D('',#505351,#389455,#389456); #355163=AXIS2_PLACEMENT_3D('',#505354,#389458,#389459); #355164=AXIS2_PLACEMENT_3D('',#505355,#389460,#389461); #355165=AXIS2_PLACEMENT_3D('',#505357,#389462,#389463); #355166=AXIS2_PLACEMENT_3D('',#505360,#389465,#389466); #355167=AXIS2_PLACEMENT_3D('',#505361,#389467,#389468); #355168=AXIS2_PLACEMENT_3D('',#505362,#389469,#389470); #355169=AXIS2_PLACEMENT_3D('',#505363,#389471,#389472); #355170=AXIS2_PLACEMENT_3D('',#505365,#389473,#389474); #355171=AXIS2_PLACEMENT_3D('',#505368,#389476,#389477); #355172=AXIS2_PLACEMENT_3D('',#505369,#389478,#389479); #355173=AXIS2_PLACEMENT_3D('',#505371,#389480,#389481); #355174=AXIS2_PLACEMENT_3D('',#505374,#389483,#389484); #355175=AXIS2_PLACEMENT_3D('',#505375,#389485,#389486); #355176=AXIS2_PLACEMENT_3D('',#505376,#389487,#389488); #355177=AXIS2_PLACEMENT_3D('',#505377,#389489,#389490); #355178=AXIS2_PLACEMENT_3D('',#505379,#389491,#389492); #355179=AXIS2_PLACEMENT_3D('',#505382,#389494,#389495); #355180=AXIS2_PLACEMENT_3D('',#505383,#389496,#389497); #355181=AXIS2_PLACEMENT_3D('',#505385,#389498,#389499); #355182=AXIS2_PLACEMENT_3D('',#505388,#389501,#389502); #355183=AXIS2_PLACEMENT_3D('',#505389,#389503,#389504); #355184=AXIS2_PLACEMENT_3D('',#505390,#389505,#389506); #355185=AXIS2_PLACEMENT_3D('',#505391,#389507,#389508); #355186=AXIS2_PLACEMENT_3D('',#505393,#389509,#389510); #355187=AXIS2_PLACEMENT_3D('',#505396,#389512,#389513); #355188=AXIS2_PLACEMENT_3D('',#505397,#389514,#389515); #355189=AXIS2_PLACEMENT_3D('',#505399,#389516,#389517); #355190=AXIS2_PLACEMENT_3D('',#505402,#389519,#389520); #355191=AXIS2_PLACEMENT_3D('',#505403,#389521,#389522); #355192=AXIS2_PLACEMENT_3D('',#505404,#389523,#389524); #355193=AXIS2_PLACEMENT_3D('',#505405,#389525,#389526); #355194=AXIS2_PLACEMENT_3D('',#505407,#389527,#389528); #355195=AXIS2_PLACEMENT_3D('',#505410,#389530,#389531); #355196=AXIS2_PLACEMENT_3D('',#505411,#389532,#389533); #355197=AXIS2_PLACEMENT_3D('',#505413,#389534,#389535); #355198=AXIS2_PLACEMENT_3D('',#505416,#389537,#389538); #355199=AXIS2_PLACEMENT_3D('',#505417,#389539,#389540); #355200=AXIS2_PLACEMENT_3D('',#505418,#389541,#389542); #355201=AXIS2_PLACEMENT_3D('',#505419,#389543,#389544); #355202=AXIS2_PLACEMENT_3D('',#505421,#389545,#389546); #355203=AXIS2_PLACEMENT_3D('',#505424,#389548,#389549); #355204=AXIS2_PLACEMENT_3D('',#505425,#389550,#389551); #355205=AXIS2_PLACEMENT_3D('',#505427,#389552,#389553); #355206=AXIS2_PLACEMENT_3D('',#505430,#389555,#389556); #355207=AXIS2_PLACEMENT_3D('',#505431,#389557,#389558); #355208=AXIS2_PLACEMENT_3D('',#505432,#389559,#389560); #355209=AXIS2_PLACEMENT_3D('',#505433,#389561,#389562); #355210=AXIS2_PLACEMENT_3D('',#505435,#389563,#389564); #355211=AXIS2_PLACEMENT_3D('',#505438,#389566,#389567); #355212=AXIS2_PLACEMENT_3D('',#505439,#389568,#389569); #355213=AXIS2_PLACEMENT_3D('',#505441,#389570,#389571); #355214=AXIS2_PLACEMENT_3D('',#505444,#389573,#389574); #355215=AXIS2_PLACEMENT_3D('',#505445,#389575,#389576); #355216=AXIS2_PLACEMENT_3D('',#505446,#389577,#389578); #355217=AXIS2_PLACEMENT_3D('',#505447,#389579,#389580); #355218=AXIS2_PLACEMENT_3D('',#505449,#389581,#389582); #355219=AXIS2_PLACEMENT_3D('',#505452,#389584,#389585); #355220=AXIS2_PLACEMENT_3D('',#505453,#389586,#389587); #355221=AXIS2_PLACEMENT_3D('',#505455,#389588,#389589); #355222=AXIS2_PLACEMENT_3D('',#505458,#389591,#389592); #355223=AXIS2_PLACEMENT_3D('',#505459,#389593,#389594); #355224=AXIS2_PLACEMENT_3D('',#505460,#389595,#389596); #355225=AXIS2_PLACEMENT_3D('',#505461,#389597,#389598); #355226=AXIS2_PLACEMENT_3D('',#505463,#389599,#389600); #355227=AXIS2_PLACEMENT_3D('',#505466,#389602,#389603); #355228=AXIS2_PLACEMENT_3D('',#505467,#389604,#389605); #355229=AXIS2_PLACEMENT_3D('',#505469,#389606,#389607); #355230=AXIS2_PLACEMENT_3D('',#505472,#389609,#389610); #355231=AXIS2_PLACEMENT_3D('',#505473,#389611,#389612); #355232=AXIS2_PLACEMENT_3D('',#505474,#389613,#389614); #355233=AXIS2_PLACEMENT_3D('',#505475,#389615,#389616); #355234=AXIS2_PLACEMENT_3D('',#505477,#389617,#389618); #355235=AXIS2_PLACEMENT_3D('',#505480,#389620,#389621); #355236=AXIS2_PLACEMENT_3D('',#505481,#389622,#389623); #355237=AXIS2_PLACEMENT_3D('',#505483,#389624,#389625); #355238=AXIS2_PLACEMENT_3D('',#505486,#389627,#389628); #355239=AXIS2_PLACEMENT_3D('',#505487,#389629,#389630); #355240=AXIS2_PLACEMENT_3D('',#505488,#389631,#389632); #355241=AXIS2_PLACEMENT_3D('',#505489,#389633,#389634); #355242=AXIS2_PLACEMENT_3D('',#505491,#389635,#389636); #355243=AXIS2_PLACEMENT_3D('',#505494,#389638,#389639); #355244=AXIS2_PLACEMENT_3D('',#505495,#389640,#389641); #355245=AXIS2_PLACEMENT_3D('',#505497,#389642,#389643); #355246=AXIS2_PLACEMENT_3D('',#505500,#389645,#389646); #355247=AXIS2_PLACEMENT_3D('',#505501,#389647,#389648); #355248=AXIS2_PLACEMENT_3D('',#505502,#389649,#389650); #355249=AXIS2_PLACEMENT_3D('',#505503,#389651,#389652); #355250=AXIS2_PLACEMENT_3D('',#505504,#389653,#389654); #355251=AXIS2_PLACEMENT_3D('',#505506,#389655,#389656); #355252=AXIS2_PLACEMENT_3D('',#505509,#389658,#389659); #355253=AXIS2_PLACEMENT_3D('',#505510,#389660,#389661); #355254=AXIS2_PLACEMENT_3D('',#505519,#389666,#389667); #355255=AXIS2_PLACEMENT_3D('',#505521,#389668,#389669); #355256=AXIS2_PLACEMENT_3D('',#505523,#389670,#389671); #355257=AXIS2_PLACEMENT_3D('',#505525,#389673,#389674); #355258=AXIS2_PLACEMENT_3D('',#505526,#389675,#389676); #355259=AXIS2_PLACEMENT_3D('',#505527,#389677,#389678); #355260=AXIS2_PLACEMENT_3D('',#505528,#389679,#389680); #355261=AXIS2_PLACEMENT_3D('',#505529,#389681,#389682); #355262=AXIS2_PLACEMENT_3D('',#505530,#389683,#389684); #355263=AXIS2_PLACEMENT_3D('',#505539,#389689,#389690); #355264=AXIS2_PLACEMENT_3D('',#505545,#389694,#389695); #355265=AXIS2_PLACEMENT_3D('',#505551,#389699,#389700); #355266=AXIS2_PLACEMENT_3D('',#505554,#389703,#389704); #355267=AXIS2_PLACEMENT_3D('',#505555,#389705,#389706); #355268=AXIS2_PLACEMENT_3D('',#505556,#389707,#389708); #355269=AXIS2_PLACEMENT_3D('',#505565,#389713,#389714); #355270=AXIS2_PLACEMENT_3D('',#505571,#389718,#389719); #355271=AXIS2_PLACEMENT_3D('',#505577,#389723,#389724); #355272=AXIS2_PLACEMENT_3D('',#505580,#389727,#389728); #355273=AXIS2_PLACEMENT_3D('',#505581,#389729,#389730); #355274=AXIS2_PLACEMENT_3D('',#505582,#389731,#389732); #355275=AXIS2_PLACEMENT_3D('',#505591,#389737,#389738); #355276=AXIS2_PLACEMENT_3D('',#505597,#389742,#389743); #355277=AXIS2_PLACEMENT_3D('',#505603,#389747,#389748); #355278=AXIS2_PLACEMENT_3D('',#505606,#389751,#389752); #355279=AXIS2_PLACEMENT_3D('',#505607,#389753,#389754); #355280=AXIS2_PLACEMENT_3D('',#505608,#389755,#389756); #355281=AXIS2_PLACEMENT_3D('',#505617,#389761,#389762); #355282=AXIS2_PLACEMENT_3D('',#505623,#389766,#389767); #355283=AXIS2_PLACEMENT_3D('',#505629,#389771,#389772); #355284=AXIS2_PLACEMENT_3D('',#505632,#389775,#389776); #355285=AXIS2_PLACEMENT_3D('',#505633,#389777,#389778); #355286=AXIS2_PLACEMENT_3D('',#505634,#389779,#389780); #355287=AXIS2_PLACEMENT_3D('',#505643,#389785,#389786); #355288=AXIS2_PLACEMENT_3D('',#505649,#389790,#389791); #355289=AXIS2_PLACEMENT_3D('',#505655,#389795,#389796); #355290=AXIS2_PLACEMENT_3D('',#505658,#389799,#389800); #355291=AXIS2_PLACEMENT_3D('',#505659,#389801,#389802); #355292=AXIS2_PLACEMENT_3D('',#505660,#389803,#389804); #355293=AXIS2_PLACEMENT_3D('',#505669,#389809,#389810); #355294=AXIS2_PLACEMENT_3D('',#505675,#389814,#389815); #355295=AXIS2_PLACEMENT_3D('',#505681,#389819,#389820); #355296=AXIS2_PLACEMENT_3D('',#505684,#389823,#389824); #355297=AXIS2_PLACEMENT_3D('',#505685,#389825,#389826); #355298=AXIS2_PLACEMENT_3D('',#505686,#389827,#389828); #355299=AXIS2_PLACEMENT_3D('',#505695,#389833,#389834); #355300=AXIS2_PLACEMENT_3D('',#505701,#389838,#389839); #355301=AXIS2_PLACEMENT_3D('',#505707,#389843,#389844); #355302=AXIS2_PLACEMENT_3D('',#505710,#389847,#389848); #355303=AXIS2_PLACEMENT_3D('',#505711,#389849,#389850); #355304=AXIS2_PLACEMENT_3D('',#505712,#389851,#389852); #355305=AXIS2_PLACEMENT_3D('',#505721,#389857,#389858); #355306=AXIS2_PLACEMENT_3D('',#505727,#389862,#389863); #355307=AXIS2_PLACEMENT_3D('',#505733,#389867,#389868); #355308=AXIS2_PLACEMENT_3D('',#505736,#389871,#389872); #355309=AXIS2_PLACEMENT_3D('',#505737,#389873,#389874); #355310=AXIS2_PLACEMENT_3D('',#505738,#389875,#389876); #355311=AXIS2_PLACEMENT_3D('',#505747,#389881,#389882); #355312=AXIS2_PLACEMENT_3D('',#505753,#389886,#389887); #355313=AXIS2_PLACEMENT_3D('',#505759,#389891,#389892); #355314=AXIS2_PLACEMENT_3D('',#505762,#389895,#389896); #355315=AXIS2_PLACEMENT_3D('',#505763,#389897,#389898); #355316=AXIS2_PLACEMENT_3D('',#505764,#389899,#389900); #355317=AXIS2_PLACEMENT_3D('',#505773,#389905,#389906); #355318=AXIS2_PLACEMENT_3D('',#505779,#389910,#389911); #355319=AXIS2_PLACEMENT_3D('',#505785,#389915,#389916); #355320=AXIS2_PLACEMENT_3D('',#505788,#389919,#389920); #355321=AXIS2_PLACEMENT_3D('',#505789,#389921,#389922); #355322=AXIS2_PLACEMENT_3D('',#505790,#389923,#389924); #355323=AXIS2_PLACEMENT_3D('',#505792,#389925,#389926); #355324=AXIS2_PLACEMENT_3D('',#505795,#389928,#389929); #355325=AXIS2_PLACEMENT_3D('',#505796,#389930,#389931); #355326=AXIS2_PLACEMENT_3D('',#505805,#389936,#389937); #355327=AXIS2_PLACEMENT_3D('',#505807,#389938,#389939); #355328=AXIS2_PLACEMENT_3D('',#505809,#389940,#389941); #355329=AXIS2_PLACEMENT_3D('',#505811,#389943,#389944); #355330=AXIS2_PLACEMENT_3D('',#505812,#389945,#389946); #355331=AXIS2_PLACEMENT_3D('',#505813,#389947,#389948); #355332=AXIS2_PLACEMENT_3D('',#505814,#389949,#389950); #355333=AXIS2_PLACEMENT_3D('',#505815,#389951,#389952); #355334=AXIS2_PLACEMENT_3D('',#505816,#389953,#389954); #355335=AXIS2_PLACEMENT_3D('',#505818,#389955,#389956); #355336=AXIS2_PLACEMENT_3D('',#505821,#389958,#389959); #355337=AXIS2_PLACEMENT_3D('',#505822,#389960,#389961); #355338=AXIS2_PLACEMENT_3D('',#505824,#389962,#389963); #355339=AXIS2_PLACEMENT_3D('',#505827,#389965,#389966); #355340=AXIS2_PLACEMENT_3D('',#505828,#389967,#389968); #355341=AXIS2_PLACEMENT_3D('',#505837,#389973,#389974); #355342=AXIS2_PLACEMENT_3D('',#505839,#389975,#389976); #355343=AXIS2_PLACEMENT_3D('',#505841,#389977,#389978); #355344=AXIS2_PLACEMENT_3D('',#505843,#389980,#389981); #355345=AXIS2_PLACEMENT_3D('',#505845,#389982,#389983); #355346=AXIS2_PLACEMENT_3D('',#505847,#389984,#389985); #355347=AXIS2_PLACEMENT_3D('',#505849,#389987,#389988); #355348=AXIS2_PLACEMENT_3D('',#505855,#389992,#389993); #355349=AXIS2_PLACEMENT_3D('',#505857,#389994,#389995); #355350=AXIS2_PLACEMENT_3D('',#505859,#389996,#389997); #355351=AXIS2_PLACEMENT_3D('',#505861,#389999,#390000); #355352=AXIS2_PLACEMENT_3D('',#505863,#390001,#390002); #355353=AXIS2_PLACEMENT_3D('',#505865,#390003,#390004); #355354=AXIS2_PLACEMENT_3D('',#505867,#390006,#390007); #355355=AXIS2_PLACEMENT_3D('',#505873,#390011,#390012); #355356=AXIS2_PLACEMENT_3D('',#505874,#390013,#390014); #355357=AXIS2_PLACEMENT_3D('',#505875,#390015,#390016); #355358=AXIS2_PLACEMENT_3D('',#505876,#390017,#390018); #355359=AXIS2_PLACEMENT_3D('',#505877,#390019,#390020); #355360=AXIS2_PLACEMENT_3D('',#505878,#390021,#390022); #355361=AXIS2_PLACEMENT_3D('',#505880,#390023,#390024); #355362=AXIS2_PLACEMENT_3D('',#505883,#390026,#390027); #355363=AXIS2_PLACEMENT_3D('',#505884,#390028,#390029); #355364=AXIS2_PLACEMENT_3D('',#505893,#390034,#390035); #355365=AXIS2_PLACEMENT_3D('',#505895,#390036,#390037); #355366=AXIS2_PLACEMENT_3D('',#505897,#390038,#390039); #355367=AXIS2_PLACEMENT_3D('',#505899,#390041,#390042); #355368=AXIS2_PLACEMENT_3D('',#505900,#390043,#390044); #355369=AXIS2_PLACEMENT_3D('',#505901,#390045,#390046); #355370=AXIS2_PLACEMENT_3D('',#505902,#390047,#390048); #355371=AXIS2_PLACEMENT_3D('',#505903,#390049,#390050); #355372=AXIS2_PLACEMENT_3D('',#505904,#390051,#390052); #355373=AXIS2_PLACEMENT_3D('',#505906,#390053,#390054); #355374=AXIS2_PLACEMENT_3D('',#505909,#390056,#390057); #355375=AXIS2_PLACEMENT_3D('',#505910,#390058,#390059); #355376=AXIS2_PLACEMENT_3D('',#505919,#390064,#390065); #355377=AXIS2_PLACEMENT_3D('',#505921,#390066,#390067); #355378=AXIS2_PLACEMENT_3D('',#505923,#390068,#390069); #355379=AXIS2_PLACEMENT_3D('',#505925,#390071,#390072); #355380=AXIS2_PLACEMENT_3D('',#505926,#390073,#390074); #355381=AXIS2_PLACEMENT_3D('',#505927,#390075,#390076); #355382=AXIS2_PLACEMENT_3D('',#505928,#390077,#390078); #355383=AXIS2_PLACEMENT_3D('',#505929,#390079,#390080); #355384=AXIS2_PLACEMENT_3D('',#505930,#390081,#390082); #355385=AXIS2_PLACEMENT_3D('',#505932,#390083,#390084); #355386=AXIS2_PLACEMENT_3D('',#505935,#390086,#390087); #355387=AXIS2_PLACEMENT_3D('',#505936,#390088,#390089); #355388=AXIS2_PLACEMENT_3D('',#505945,#390094,#390095); #355389=AXIS2_PLACEMENT_3D('',#505947,#390096,#390097); #355390=AXIS2_PLACEMENT_3D('',#505949,#390098,#390099); #355391=AXIS2_PLACEMENT_3D('',#505951,#390101,#390102); #355392=AXIS2_PLACEMENT_3D('',#505957,#390106,#390107); #355393=AXIS2_PLACEMENT_3D('',#505959,#390108,#390109); #355394=AXIS2_PLACEMENT_3D('',#505961,#390110,#390111); #355395=AXIS2_PLACEMENT_3D('',#505963,#390113,#390114); #355396=AXIS2_PLACEMENT_3D('',#505969,#390118,#390119); #355397=AXIS2_PLACEMENT_3D('',#505975,#390123,#390124); #355398=AXIS2_PLACEMENT_3D('',#505981,#390128,#390129); #355399=AXIS2_PLACEMENT_3D('',#505987,#390133,#390134); #355400=AXIS2_PLACEMENT_3D('',#505993,#390138,#390139); #355401=AXIS2_PLACEMENT_3D('',#505999,#390143,#390144); #355402=AXIS2_PLACEMENT_3D('',#506005,#390148,#390149); #355403=AXIS2_PLACEMENT_3D('',#506007,#390150,#390151); #355404=AXIS2_PLACEMENT_3D('',#506009,#390152,#390153); #355405=AXIS2_PLACEMENT_3D('',#506011,#390155,#390156); #355406=AXIS2_PLACEMENT_3D('',#506012,#390157,#390158); #355407=AXIS2_PLACEMENT_3D('',#506013,#390159,#390160); #355408=AXIS2_PLACEMENT_3D('',#506014,#390161,#390162); #355409=AXIS2_PLACEMENT_3D('',#506015,#390163,#390164); #355410=AXIS2_PLACEMENT_3D('',#506016,#390165,#390166); #355411=AXIS2_PLACEMENT_3D('',#506018,#390167,#390168); #355412=AXIS2_PLACEMENT_3D('',#506021,#390170,#390171); #355413=AXIS2_PLACEMENT_3D('',#506022,#390172,#390173); #355414=AXIS2_PLACEMENT_3D('',#506031,#390178,#390179); #355415=AXIS2_PLACEMENT_3D('',#506033,#390180,#390181); #355416=AXIS2_PLACEMENT_3D('',#506035,#390182,#390183); #355417=AXIS2_PLACEMENT_3D('',#506037,#390185,#390186); #355418=AXIS2_PLACEMENT_3D('',#506043,#390190,#390191); #355419=AXIS2_PLACEMENT_3D('',#506049,#390195,#390196); #355420=AXIS2_PLACEMENT_3D('',#506055,#390200,#390201); #355421=AXIS2_PLACEMENT_3D('',#506061,#390205,#390206); #355422=AXIS2_PLACEMENT_3D('',#506067,#390210,#390211); #355423=AXIS2_PLACEMENT_3D('',#506073,#390215,#390216); #355424=AXIS2_PLACEMENT_3D('',#506079,#390220,#390221); #355425=AXIS2_PLACEMENT_3D('',#506080,#390222,#390223); #355426=AXIS2_PLACEMENT_3D('',#506081,#390224,#390225); #355427=AXIS2_PLACEMENT_3D('',#506082,#390226,#390227); #355428=AXIS2_PLACEMENT_3D('',#506083,#390228,#390229); #355429=AXIS2_PLACEMENT_3D('',#506084,#390230,#390231); #355430=AXIS2_PLACEMENT_3D('',#506093,#390236,#390237); #355431=AXIS2_PLACEMENT_3D('',#506099,#390241,#390242); #355432=AXIS2_PLACEMENT_3D('',#506105,#390246,#390247); #355433=AXIS2_PLACEMENT_3D('',#506111,#390251,#390252); #355434=AXIS2_PLACEMENT_3D('',#506117,#390256,#390257); #355435=AXIS2_PLACEMENT_3D('',#506123,#390261,#390262); #355436=AXIS2_PLACEMENT_3D('',#506129,#390266,#390267); #355437=AXIS2_PLACEMENT_3D('',#506135,#390271,#390272); #355438=AXIS2_PLACEMENT_3D('',#506137,#390273,#390274); #355439=AXIS2_PLACEMENT_3D('',#506139,#390275,#390276); #355440=AXIS2_PLACEMENT_3D('',#506141,#390278,#390279); #355441=AXIS2_PLACEMENT_3D('',#506147,#390283,#390284); #355442=AXIS2_PLACEMENT_3D('',#506149,#390285,#390286); #355443=AXIS2_PLACEMENT_3D('',#506151,#390287,#390288); #355444=AXIS2_PLACEMENT_3D('',#506153,#390290,#390291); #355445=AXIS2_PLACEMENT_3D('',#506159,#390295,#390296); #355446=AXIS2_PLACEMENT_3D('',#506165,#390300,#390301); #355447=AXIS2_PLACEMENT_3D('',#506171,#390305,#390306); #355448=AXIS2_PLACEMENT_3D('',#506177,#390310,#390311); #355449=AXIS2_PLACEMENT_3D('',#506183,#390315,#390316); #355450=AXIS2_PLACEMENT_3D('',#506189,#390320,#390321); #355451=AXIS2_PLACEMENT_3D('',#506195,#390325,#390326); #355452=AXIS2_PLACEMENT_3D('',#506197,#390327,#390328); #355453=AXIS2_PLACEMENT_3D('',#506199,#390329,#390330); #355454=AXIS2_PLACEMENT_3D('',#506201,#390332,#390333); #355455=AXIS2_PLACEMENT_3D('',#506204,#390336,#390337); #355456=AXIS2_PLACEMENT_3D('',#506205,#390338,#390339); #355457=AXIS2_PLACEMENT_3D('',#506206,#390340,#390341); #355458=AXIS2_PLACEMENT_3D('',#506215,#390346,#390347); #355459=AXIS2_PLACEMENT_3D('',#506221,#390351,#390352); #355460=AXIS2_PLACEMENT_3D('',#506227,#390356,#390357); #355461=AXIS2_PLACEMENT_3D('',#506233,#390361,#390362); #355462=AXIS2_PLACEMENT_3D('',#506239,#390366,#390367); #355463=AXIS2_PLACEMENT_3D('',#506241,#390368,#390369); #355464=AXIS2_PLACEMENT_3D('',#506243,#390370,#390371); #355465=AXIS2_PLACEMENT_3D('',#506245,#390373,#390374); #355466=AXIS2_PLACEMENT_3D('',#506251,#390378,#390379); #355467=AXIS2_PLACEMENT_3D('',#506257,#390383,#390384); #355468=AXIS2_PLACEMENT_3D('',#506263,#390388,#390389); #355469=AXIS2_PLACEMENT_3D('',#506269,#390393,#390394); #355470=AXIS2_PLACEMENT_3D('',#506275,#390398,#390399); #355471=AXIS2_PLACEMENT_3D('',#506281,#390403,#390404); #355472=AXIS2_PLACEMENT_3D('',#506287,#390408,#390409); #355473=AXIS2_PLACEMENT_3D('',#506289,#390410,#390411); #355474=AXIS2_PLACEMENT_3D('',#506291,#390412,#390413); #355475=AXIS2_PLACEMENT_3D('',#506293,#390415,#390416); #355476=AXIS2_PLACEMENT_3D('',#506299,#390420,#390421); #355477=AXIS2_PLACEMENT_3D('',#506305,#390425,#390426); #355478=AXIS2_PLACEMENT_3D('',#506307,#390427,#390428); #355479=AXIS2_PLACEMENT_3D('',#506309,#390429,#390430); #355480=AXIS2_PLACEMENT_3D('',#506311,#390432,#390433); #355481=AXIS2_PLACEMENT_3D('',#506317,#390437,#390438); #355482=AXIS2_PLACEMENT_3D('',#506323,#390442,#390443); #355483=AXIS2_PLACEMENT_3D('',#506329,#390447,#390448); #355484=AXIS2_PLACEMENT_3D('',#506335,#390452,#390453); #355485=AXIS2_PLACEMENT_3D('',#506341,#390457,#390458); #355486=AXIS2_PLACEMENT_3D('',#506347,#390462,#390463); #355487=AXIS2_PLACEMENT_3D('',#506353,#390467,#390468); #355488=AXIS2_PLACEMENT_3D('',#506355,#390469,#390470); #355489=AXIS2_PLACEMENT_3D('',#506357,#390471,#390472); #355490=AXIS2_PLACEMENT_3D('',#506359,#390474,#390475); #355491=AXIS2_PLACEMENT_3D('',#506365,#390479,#390480); #355492=AXIS2_PLACEMENT_3D('',#506371,#390484,#390485); #355493=AXIS2_PLACEMENT_3D('',#506377,#390489,#390490); #355494=AXIS2_PLACEMENT_3D('',#506383,#390494,#390495); #355495=AXIS2_PLACEMENT_3D('',#506389,#390499,#390500); #355496=AXIS2_PLACEMENT_3D('',#506391,#390501,#390502); #355497=AXIS2_PLACEMENT_3D('',#506393,#390503,#390504); #355498=AXIS2_PLACEMENT_3D('',#506395,#390506,#390507); #355499=AXIS2_PLACEMENT_3D('',#506401,#390511,#390512); #355500=AXIS2_PLACEMENT_3D('',#506403,#390513,#390514); #355501=AXIS2_PLACEMENT_3D('',#506405,#390515,#390516); #355502=AXIS2_PLACEMENT_3D('',#506407,#390518,#390519); #355503=AXIS2_PLACEMENT_3D('',#506413,#390523,#390524); #355504=AXIS2_PLACEMENT_3D('',#506419,#390528,#390529); #355505=AXIS2_PLACEMENT_3D('',#506422,#390532,#390533); #355506=AXIS2_PLACEMENT_3D('',#506423,#390534,#390535); #355507=AXIS2_PLACEMENT_3D('',#506424,#390536,#390537); #355508=AXIS2_PLACEMENT_3D('',#506433,#390542,#390543); #355509=AXIS2_PLACEMENT_3D('',#506439,#390547,#390548); #355510=AXIS2_PLACEMENT_3D('',#506445,#390552,#390553); #355511=AXIS2_PLACEMENT_3D('',#506451,#390557,#390558); #355512=AXIS2_PLACEMENT_3D('',#506457,#390562,#390563); #355513=AXIS2_PLACEMENT_3D('',#506463,#390567,#390568); #355514=AXIS2_PLACEMENT_3D('',#506465,#390569,#390570); #355515=AXIS2_PLACEMENT_3D('',#506467,#390571,#390572); #355516=AXIS2_PLACEMENT_3D('',#506469,#390574,#390575); #355517=AXIS2_PLACEMENT_3D('',#506475,#390579,#390580); #355518=AXIS2_PLACEMENT_3D('',#506477,#390581,#390582); #355519=AXIS2_PLACEMENT_3D('',#506479,#390583,#390584); #355520=AXIS2_PLACEMENT_3D('',#506481,#390586,#390587); #355521=AXIS2_PLACEMENT_3D('',#506487,#390591,#390592); #355522=AXIS2_PLACEMENT_3D('',#506493,#390596,#390597); #355523=AXIS2_PLACEMENT_3D('',#506499,#390601,#390602); #355524=AXIS2_PLACEMENT_3D('',#506505,#390606,#390607); #355525=AXIS2_PLACEMENT_3D('',#506511,#390611,#390612); #355526=AXIS2_PLACEMENT_3D('',#506513,#390613,#390614); #355527=AXIS2_PLACEMENT_3D('',#506515,#390615,#390616); #355528=AXIS2_PLACEMENT_3D('',#506517,#390618,#390619); #355529=AXIS2_PLACEMENT_3D('',#506523,#390623,#390624); #355530=AXIS2_PLACEMENT_3D('',#506529,#390628,#390629); #355531=AXIS2_PLACEMENT_3D('',#506535,#390633,#390634); #355532=AXIS2_PLACEMENT_3D('',#506541,#390638,#390639); #355533=AXIS2_PLACEMENT_3D('',#506547,#390643,#390644); #355534=AXIS2_PLACEMENT_3D('',#506553,#390648,#390649); #355535=AXIS2_PLACEMENT_3D('',#506559,#390653,#390654); #355536=AXIS2_PLACEMENT_3D('',#506561,#390655,#390656); #355537=AXIS2_PLACEMENT_3D('',#506563,#390657,#390658); #355538=AXIS2_PLACEMENT_3D('',#506565,#390660,#390661); #355539=AXIS2_PLACEMENT_3D('',#506571,#390665,#390666); #355540=AXIS2_PLACEMENT_3D('',#506577,#390670,#390671); #355541=AXIS2_PLACEMENT_3D('',#506579,#390672,#390673); #355542=AXIS2_PLACEMENT_3D('',#506581,#390674,#390675); #355543=AXIS2_PLACEMENT_3D('',#506583,#390677,#390678); #355544=AXIS2_PLACEMENT_3D('',#506589,#390682,#390683); #355545=AXIS2_PLACEMENT_3D('',#506595,#390687,#390688); #355546=AXIS2_PLACEMENT_3D('',#506601,#390692,#390693); #355547=AXIS2_PLACEMENT_3D('',#506607,#390697,#390698); #355548=AXIS2_PLACEMENT_3D('',#506613,#390702,#390703); #355549=AXIS2_PLACEMENT_3D('',#506619,#390707,#390708); #355550=AXIS2_PLACEMENT_3D('',#506625,#390712,#390713); #355551=AXIS2_PLACEMENT_3D('',#506627,#390714,#390715); #355552=AXIS2_PLACEMENT_3D('',#506629,#390716,#390717); #355553=AXIS2_PLACEMENT_3D('',#506631,#390719,#390720); #355554=AXIS2_PLACEMENT_3D('',#506637,#390724,#390725); #355555=AXIS2_PLACEMENT_3D('',#506640,#390728,#390729); #355556=AXIS2_PLACEMENT_3D('',#506641,#390730,#390731); #355557=AXIS2_PLACEMENT_3D('',#506642,#390732,#390733); #355558=AXIS2_PLACEMENT_3D('',#506644,#390734,#390735); #355559=AXIS2_PLACEMENT_3D('',#506647,#390737,#390738); #355560=AXIS2_PLACEMENT_3D('',#506648,#390739,#390740); #355561=AXIS2_PLACEMENT_3D('',#506657,#390745,#390746); #355562=AXIS2_PLACEMENT_3D('',#506659,#390747,#390748); #355563=AXIS2_PLACEMENT_3D('',#506661,#390749,#390750); #355564=AXIS2_PLACEMENT_3D('',#506663,#390752,#390753); #355565=AXIS2_PLACEMENT_3D('',#506669,#390757,#390758); #355566=AXIS2_PLACEMENT_3D('',#506675,#390762,#390763); #355567=AXIS2_PLACEMENT_3D('',#506677,#390764,#390765); #355568=AXIS2_PLACEMENT_3D('',#506679,#390766,#390767); #355569=AXIS2_PLACEMENT_3D('',#506681,#390769,#390770); #355570=AXIS2_PLACEMENT_3D('',#506687,#390774,#390775); #355571=AXIS2_PLACEMENT_3D('',#506693,#390779,#390780); #355572=AXIS2_PLACEMENT_3D('',#506695,#390781,#390782); #355573=AXIS2_PLACEMENT_3D('',#506697,#390783,#390784); #355574=AXIS2_PLACEMENT_3D('',#506699,#390786,#390787); #355575=AXIS2_PLACEMENT_3D('',#506705,#390791,#390792); #355576=AXIS2_PLACEMENT_3D('',#506711,#390796,#390797); #355577=AXIS2_PLACEMENT_3D('',#506717,#390801,#390802); #355578=AXIS2_PLACEMENT_3D('',#506723,#390806,#390807); #355579=AXIS2_PLACEMENT_3D('',#506729,#390811,#390812); #355580=AXIS2_PLACEMENT_3D('',#506735,#390816,#390817); #355581=AXIS2_PLACEMENT_3D('',#506741,#390821,#390822); #355582=AXIS2_PLACEMENT_3D('',#506747,#390826,#390827); #355583=AXIS2_PLACEMENT_3D('',#506749,#390828,#390829); #355584=AXIS2_PLACEMENT_3D('',#506751,#390830,#390831); #355585=AXIS2_PLACEMENT_3D('',#506753,#390833,#390834); #355586=AXIS2_PLACEMENT_3D('',#506759,#390838,#390839); #355587=AXIS2_PLACEMENT_3D('',#506765,#390843,#390844); #355588=AXIS2_PLACEMENT_3D('',#506767,#390845,#390846); #355589=AXIS2_PLACEMENT_3D('',#506769,#390847,#390848); #355590=AXIS2_PLACEMENT_3D('',#506771,#390850,#390851); #355591=AXIS2_PLACEMENT_3D('',#506777,#390855,#390856); #355592=AXIS2_PLACEMENT_3D('',#506778,#390857,#390858); #355593=AXIS2_PLACEMENT_3D('',#506779,#390859,#390860); #355594=AXIS2_PLACEMENT_3D('',#506780,#390861,#390862); #355595=AXIS2_PLACEMENT_3D('',#506781,#390863,#390864); #355596=AXIS2_PLACEMENT_3D('',#506782,#390865,#390866); #355597=AXIS2_PLACEMENT_3D('',#506784,#390867,#390868); #355598=AXIS2_PLACEMENT_3D('',#506787,#390870,#390871); #355599=AXIS2_PLACEMENT_3D('',#506788,#390872,#390873); #355600=AXIS2_PLACEMENT_3D('',#506797,#390878,#390879); #355601=AXIS2_PLACEMENT_3D('',#506799,#390880,#390881); #355602=AXIS2_PLACEMENT_3D('',#506801,#390882,#390883); #355603=AXIS2_PLACEMENT_3D('',#506803,#390885,#390886); #355604=AXIS2_PLACEMENT_3D('',#506809,#390890,#390891); #355605=AXIS2_PLACEMENT_3D('',#506815,#390895,#390896); #355606=AXIS2_PLACEMENT_3D('',#506817,#390897,#390898); #355607=AXIS2_PLACEMENT_3D('',#506819,#390899,#390900); #355608=AXIS2_PLACEMENT_3D('',#506821,#390902,#390903); #355609=AXIS2_PLACEMENT_3D('',#506827,#390907,#390908); #355610=AXIS2_PLACEMENT_3D('',#506833,#390912,#390913); #355611=AXIS2_PLACEMENT_3D('',#506839,#390917,#390918); #355612=AXIS2_PLACEMENT_3D('',#506845,#390922,#390923); #355613=AXIS2_PLACEMENT_3D('',#506851,#390927,#390928); #355614=AXIS2_PLACEMENT_3D('',#506857,#390932,#390933); #355615=AXIS2_PLACEMENT_3D('',#506863,#390937,#390938); #355616=AXIS2_PLACEMENT_3D('',#506869,#390942,#390943); #355617=AXIS2_PLACEMENT_3D('',#506871,#390944,#390945); #355618=AXIS2_PLACEMENT_3D('',#506873,#390946,#390947); #355619=AXIS2_PLACEMENT_3D('',#506875,#390949,#390950); #355620=AXIS2_PLACEMENT_3D('',#506881,#390954,#390955); #355621=AXIS2_PLACEMENT_3D('',#506882,#390956,#390957); #355622=AXIS2_PLACEMENT_3D('',#506883,#390958,#390959); #355623=AXIS2_PLACEMENT_3D('',#506884,#390960,#390961); #355624=AXIS2_PLACEMENT_3D('',#506885,#390962,#390963); #355625=AXIS2_PLACEMENT_3D('',#506886,#390964,#390965); #355626=AXIS2_PLACEMENT_3D('',#506888,#390966,#390967); #355627=AXIS2_PLACEMENT_3D('',#506891,#390969,#390970); #355628=AXIS2_PLACEMENT_3D('',#506892,#390971,#390972); #355629=AXIS2_PLACEMENT_3D('',#506901,#390977,#390978); #355630=AXIS2_PLACEMENT_3D('',#506903,#390979,#390980); #355631=AXIS2_PLACEMENT_3D('',#506905,#390981,#390982); #355632=AXIS2_PLACEMENT_3D('',#506907,#390984,#390985); #355633=AXIS2_PLACEMENT_3D('',#506913,#390989,#390990); #355634=AXIS2_PLACEMENT_3D('',#506919,#390994,#390995); #355635=AXIS2_PLACEMENT_3D('',#506925,#390999,#391000); #355636=AXIS2_PLACEMENT_3D('',#506931,#391004,#391005); #355637=AXIS2_PLACEMENT_3D('',#506937,#391009,#391010); #355638=AXIS2_PLACEMENT_3D('',#506943,#391014,#391015); #355639=AXIS2_PLACEMENT_3D('',#506949,#391019,#391020); #355640=AXIS2_PLACEMENT_3D('',#506951,#391021,#391022); #355641=AXIS2_PLACEMENT_3D('',#506953,#391023,#391024); #355642=AXIS2_PLACEMENT_3D('',#506955,#391026,#391027); #355643=AXIS2_PLACEMENT_3D('',#506961,#391031,#391032); #355644=AXIS2_PLACEMENT_3D('',#506962,#391033,#391034); #355645=AXIS2_PLACEMENT_3D('',#506963,#391035,#391036); #355646=AXIS2_PLACEMENT_3D('',#506964,#391037,#391038); #355647=AXIS2_PLACEMENT_3D('',#506965,#391039,#391040); #355648=AXIS2_PLACEMENT_3D('',#506966,#391041,#391042); #355649=AXIS2_PLACEMENT_3D('',#506968,#391043,#391044); #355650=AXIS2_PLACEMENT_3D('',#506971,#391046,#391047); #355651=AXIS2_PLACEMENT_3D('',#506972,#391048,#391049); #355652=AXIS2_PLACEMENT_3D('',#506981,#391054,#391055); #355653=AXIS2_PLACEMENT_3D('',#506983,#391056,#391057); #355654=AXIS2_PLACEMENT_3D('',#506985,#391058,#391059); #355655=AXIS2_PLACEMENT_3D('',#506987,#391061,#391062); #355656=AXIS2_PLACEMENT_3D('',#506993,#391066,#391067); #355657=AXIS2_PLACEMENT_3D('',#506995,#391068,#391069); #355658=AXIS2_PLACEMENT_3D('',#506997,#391070,#391071); #355659=AXIS2_PLACEMENT_3D('',#506999,#391073,#391074); #355660=AXIS2_PLACEMENT_3D('',#507005,#391078,#391079); #355661=AXIS2_PLACEMENT_3D('',#507011,#391083,#391084); #355662=AXIS2_PLACEMENT_3D('',#507017,#391088,#391089); #355663=AXIS2_PLACEMENT_3D('',#507023,#391093,#391094); #355664=AXIS2_PLACEMENT_3D('',#507029,#391098,#391099); #355665=AXIS2_PLACEMENT_3D('',#507035,#391103,#391104); #355666=AXIS2_PLACEMENT_3D('',#507041,#391108,#391109); #355667=AXIS2_PLACEMENT_3D('',#507047,#391113,#391114); #355668=AXIS2_PLACEMENT_3D('',#507053,#391118,#391119); #355669=AXIS2_PLACEMENT_3D('',#507054,#391120,#391121); #355670=AXIS2_PLACEMENT_3D('',#507055,#391122,#391123); #355671=AXIS2_PLACEMENT_3D('',#507056,#391124,#391125); #355672=AXIS2_PLACEMENT_3D('',#507057,#391126,#391127); #355673=AXIS2_PLACEMENT_3D('',#507058,#391128,#391129); #355674=AXIS2_PLACEMENT_3D('',#507060,#391130,#391131); #355675=AXIS2_PLACEMENT_3D('',#507063,#391133,#391134); #355676=AXIS2_PLACEMENT_3D('',#507064,#391135,#391136); #355677=AXIS2_PLACEMENT_3D('',#507066,#391137,#391138); #355678=AXIS2_PLACEMENT_3D('',#507069,#391140,#391141); #355679=AXIS2_PLACEMENT_3D('',#507070,#391142,#391143); #355680=AXIS2_PLACEMENT_3D('',#507079,#391148,#391149); #355681=AXIS2_PLACEMENT_3D('',#507081,#391150,#391151); #355682=AXIS2_PLACEMENT_3D('',#507083,#391152,#391153); #355683=AXIS2_PLACEMENT_3D('',#507085,#391155,#391156); #355684=AXIS2_PLACEMENT_3D('',#507087,#391157,#391158); #355685=AXIS2_PLACEMENT_3D('',#507089,#391159,#391160); #355686=AXIS2_PLACEMENT_3D('',#507091,#391162,#391163); #355687=AXIS2_PLACEMENT_3D('',#507097,#391167,#391168); #355688=AXIS2_PLACEMENT_3D('',#507099,#391169,#391170); #355689=AXIS2_PLACEMENT_3D('',#507101,#391171,#391172); #355690=AXIS2_PLACEMENT_3D('',#507103,#391174,#391175); #355691=AXIS2_PLACEMENT_3D('',#507105,#391176,#391177); #355692=AXIS2_PLACEMENT_3D('',#507107,#391178,#391179); #355693=AXIS2_PLACEMENT_3D('',#507109,#391181,#391182); #355694=AXIS2_PLACEMENT_3D('',#507115,#391186,#391187); #355695=AXIS2_PLACEMENT_3D('',#507116,#391188,#391189); #355696=AXIS2_PLACEMENT_3D('',#507117,#391190,#391191); #355697=AXIS2_PLACEMENT_3D('',#507118,#391192,#391193); #355698=AXIS2_PLACEMENT_3D('',#507119,#391194,#391195); #355699=AXIS2_PLACEMENT_3D('',#507120,#391196,#391197); #355700=AXIS2_PLACEMENT_3D('',#507122,#391198,#391199); #355701=AXIS2_PLACEMENT_3D('',#507125,#391201,#391202); #355702=AXIS2_PLACEMENT_3D('',#507126,#391203,#391204); #355703=AXIS2_PLACEMENT_3D('',#507135,#391209,#391210); #355704=AXIS2_PLACEMENT_3D('',#507137,#391211,#391212); #355705=AXIS2_PLACEMENT_3D('',#507139,#391213,#391214); #355706=AXIS2_PLACEMENT_3D('',#507141,#391216,#391217); #355707=AXIS2_PLACEMENT_3D('',#507147,#391221,#391222); #355708=AXIS2_PLACEMENT_3D('',#507153,#391226,#391227); #355709=AXIS2_PLACEMENT_3D('',#507155,#391228,#391229); #355710=AXIS2_PLACEMENT_3D('',#507157,#391230,#391231); #355711=AXIS2_PLACEMENT_3D('',#507159,#391233,#391234); #355712=AXIS2_PLACEMENT_3D('',#507165,#391238,#391239); #355713=AXIS2_PLACEMENT_3D('',#507171,#391243,#391244); #355714=AXIS2_PLACEMENT_3D('',#507177,#391248,#391249); #355715=AXIS2_PLACEMENT_3D('',#507183,#391253,#391254); #355716=AXIS2_PLACEMENT_3D('',#507189,#391258,#391259); #355717=AXIS2_PLACEMENT_3D('',#507195,#391263,#391264); #355718=AXIS2_PLACEMENT_3D('',#507201,#391268,#391269); #355719=AXIS2_PLACEMENT_3D('',#507207,#391273,#391274); #355720=AXIS2_PLACEMENT_3D('',#507209,#391275,#391276); #355721=AXIS2_PLACEMENT_3D('',#507211,#391277,#391278); #355722=AXIS2_PLACEMENT_3D('',#507213,#391280,#391281); #355723=AXIS2_PLACEMENT_3D('',#507219,#391285,#391286); #355724=AXIS2_PLACEMENT_3D('',#507220,#391287,#391288); #355725=AXIS2_PLACEMENT_3D('',#507221,#391289,#391290); #355726=AXIS2_PLACEMENT_3D('',#507222,#391291,#391292); #355727=AXIS2_PLACEMENT_3D('',#507223,#391293,#391294); #355728=AXIS2_PLACEMENT_3D('',#507224,#391295,#391296); #355729=AXIS2_PLACEMENT_3D('',#507226,#391297,#391298); #355730=AXIS2_PLACEMENT_3D('',#507229,#391300,#391301); #355731=AXIS2_PLACEMENT_3D('',#507230,#391302,#391303); #355732=AXIS2_PLACEMENT_3D('',#507239,#391308,#391309); #355733=AXIS2_PLACEMENT_3D('',#507241,#391310,#391311); #355734=AXIS2_PLACEMENT_3D('',#507243,#391312,#391313); #355735=AXIS2_PLACEMENT_3D('',#507245,#391315,#391316); #355736=AXIS2_PLACEMENT_3D('',#507251,#391320,#391321); #355737=AXIS2_PLACEMENT_3D('',#507257,#391325,#391326); #355738=AXIS2_PLACEMENT_3D('',#507259,#391327,#391328); #355739=AXIS2_PLACEMENT_3D('',#507261,#391329,#391330); #355740=AXIS2_PLACEMENT_3D('',#507263,#391332,#391333); #355741=AXIS2_PLACEMENT_3D('',#507269,#391337,#391338); #355742=AXIS2_PLACEMENT_3D('',#507275,#391342,#391343); #355743=AXIS2_PLACEMENT_3D('',#507277,#391344,#391345); #355744=AXIS2_PLACEMENT_3D('',#507279,#391346,#391347); #355745=AXIS2_PLACEMENT_3D('',#507281,#391349,#391350); #355746=AXIS2_PLACEMENT_3D('',#507287,#391354,#391355); #355747=AXIS2_PLACEMENT_3D('',#507293,#391359,#391360); #355748=AXIS2_PLACEMENT_3D('',#507299,#391364,#391365); #355749=AXIS2_PLACEMENT_3D('',#507305,#391369,#391370); #355750=AXIS2_PLACEMENT_3D('',#507311,#391374,#391375); #355751=AXIS2_PLACEMENT_3D('',#507317,#391379,#391380); #355752=AXIS2_PLACEMENT_3D('',#507323,#391384,#391385); #355753=AXIS2_PLACEMENT_3D('',#507329,#391389,#391390); #355754=AXIS2_PLACEMENT_3D('',#507331,#391391,#391392); #355755=AXIS2_PLACEMENT_3D('',#507333,#391393,#391394); #355756=AXIS2_PLACEMENT_3D('',#507335,#391396,#391397); #355757=AXIS2_PLACEMENT_3D('',#507341,#391401,#391402); #355758=AXIS2_PLACEMENT_3D('',#507347,#391406,#391407); #355759=AXIS2_PLACEMENT_3D('',#507349,#391408,#391409); #355760=AXIS2_PLACEMENT_3D('',#507351,#391410,#391411); #355761=AXIS2_PLACEMENT_3D('',#507353,#391413,#391414); #355762=AXIS2_PLACEMENT_3D('',#507359,#391418,#391419); #355763=AXIS2_PLACEMENT_3D('',#507360,#391420,#391421); #355764=AXIS2_PLACEMENT_3D('',#507361,#391422,#391423); #355765=AXIS2_PLACEMENT_3D('',#507362,#391424,#391425); #355766=AXIS2_PLACEMENT_3D('',#507363,#391426,#391427); #355767=AXIS2_PLACEMENT_3D('',#507364,#391428,#391429); #355768=AXIS2_PLACEMENT_3D('',#507373,#391434,#391435); #355769=AXIS2_PLACEMENT_3D('',#507379,#391439,#391440); #355770=AXIS2_PLACEMENT_3D('',#507385,#391444,#391445); #355771=AXIS2_PLACEMENT_3D('',#507391,#391449,#391450); #355772=AXIS2_PLACEMENT_3D('',#507393,#391451,#391452); #355773=AXIS2_PLACEMENT_3D('',#507395,#391453,#391454); #355774=AXIS2_PLACEMENT_3D('',#507397,#391456,#391457); #355775=AXIS2_PLACEMENT_3D('',#507403,#391461,#391462); #355776=AXIS2_PLACEMENT_3D('',#507405,#391463,#391464); #355777=AXIS2_PLACEMENT_3D('',#507407,#391465,#391466); #355778=AXIS2_PLACEMENT_3D('',#507409,#391468,#391469); #355779=AXIS2_PLACEMENT_3D('',#507415,#391473,#391474); #355780=AXIS2_PLACEMENT_3D('',#507421,#391478,#391479); #355781=AXIS2_PLACEMENT_3D('',#507427,#391483,#391484); #355782=AXIS2_PLACEMENT_3D('',#507429,#391485,#391486); #355783=AXIS2_PLACEMENT_3D('',#507431,#391487,#391488); #355784=AXIS2_PLACEMENT_3D('',#507433,#391490,#391491); #355785=AXIS2_PLACEMENT_3D('',#507439,#391495,#391496); #355786=AXIS2_PLACEMENT_3D('',#507445,#391500,#391501); #355787=AXIS2_PLACEMENT_3D('',#507451,#391505,#391506); #355788=AXIS2_PLACEMENT_3D('',#507457,#391510,#391511); #355789=AXIS2_PLACEMENT_3D('',#507463,#391515,#391516); #355790=AXIS2_PLACEMENT_3D('',#507469,#391520,#391521); #355791=AXIS2_PLACEMENT_3D('',#507475,#391525,#391526); #355792=AXIS2_PLACEMENT_3D('',#507477,#391527,#391528); #355793=AXIS2_PLACEMENT_3D('',#507479,#391529,#391530); #355794=AXIS2_PLACEMENT_3D('',#507481,#391532,#391533); #355795=AXIS2_PLACEMENT_3D('',#507487,#391537,#391538); #355796=AXIS2_PLACEMENT_3D('',#507489,#391539,#391540); #355797=AXIS2_PLACEMENT_3D('',#507491,#391541,#391542); #355798=AXIS2_PLACEMENT_3D('',#507493,#391544,#391545); #355799=AXIS2_PLACEMENT_3D('',#507499,#391549,#391550); #355800=AXIS2_PLACEMENT_3D('',#507505,#391554,#391555); #355801=AXIS2_PLACEMENT_3D('',#507511,#391559,#391560); #355802=AXIS2_PLACEMENT_3D('',#507517,#391564,#391565); #355803=AXIS2_PLACEMENT_3D('',#507520,#391568,#391569); #355804=AXIS2_PLACEMENT_3D('',#507521,#391570,#391571); #355805=AXIS2_PLACEMENT_3D('',#507522,#391572,#391573); #355806=AXIS2_PLACEMENT_3D('',#507524,#391574,#391575); #355807=AXIS2_PLACEMENT_3D('',#507527,#391577,#391578); #355808=AXIS2_PLACEMENT_3D('',#507528,#391579,#391580); #355809=AXIS2_PLACEMENT_3D('',#507537,#391585,#391586); #355810=AXIS2_PLACEMENT_3D('',#507539,#391587,#391588); #355811=AXIS2_PLACEMENT_3D('',#507541,#391589,#391590); #355812=AXIS2_PLACEMENT_3D('',#507543,#391592,#391593); #355813=AXIS2_PLACEMENT_3D('',#507549,#391597,#391598); #355814=AXIS2_PLACEMENT_3D('',#507555,#391602,#391603); #355815=AXIS2_PLACEMENT_3D('',#507557,#391604,#391605); #355816=AXIS2_PLACEMENT_3D('',#507559,#391606,#391607); #355817=AXIS2_PLACEMENT_3D('',#507561,#391609,#391610); #355818=AXIS2_PLACEMENT_3D('',#507567,#391614,#391615); #355819=AXIS2_PLACEMENT_3D('',#507569,#391616,#391617); #355820=AXIS2_PLACEMENT_3D('',#507571,#391618,#391619); #355821=AXIS2_PLACEMENT_3D('',#507573,#391621,#391622); #355822=AXIS2_PLACEMENT_3D('',#507579,#391626,#391627); #355823=AXIS2_PLACEMENT_3D('',#507581,#391628,#391629); #355824=AXIS2_PLACEMENT_3D('',#507583,#391630,#391631); #355825=AXIS2_PLACEMENT_3D('',#507585,#391633,#391634); #355826=AXIS2_PLACEMENT_3D('',#507591,#391638,#391639); #355827=AXIS2_PLACEMENT_3D('',#507597,#391643,#391644); #355828=AXIS2_PLACEMENT_3D('',#507603,#391648,#391649); #355829=AXIS2_PLACEMENT_3D('',#507609,#391653,#391654); #355830=AXIS2_PLACEMENT_3D('',#507615,#391658,#391659); #355831=AXIS2_PLACEMENT_3D('',#507621,#391663,#391664); #355832=AXIS2_PLACEMENT_3D('',#507627,#391668,#391669); #355833=AXIS2_PLACEMENT_3D('',#507629,#391670,#391671); #355834=AXIS2_PLACEMENT_3D('',#507631,#391672,#391673); #355835=AXIS2_PLACEMENT_3D('',#507633,#391675,#391676); #355836=AXIS2_PLACEMENT_3D('',#507639,#391680,#391681); #355837=AXIS2_PLACEMENT_3D('',#507645,#391685,#391686); #355838=AXIS2_PLACEMENT_3D('',#507651,#391690,#391691); #355839=AXIS2_PLACEMENT_3D('',#507657,#391695,#391696); #355840=AXIS2_PLACEMENT_3D('',#507659,#391697,#391698); #355841=AXIS2_PLACEMENT_3D('',#507661,#391699,#391700); #355842=AXIS2_PLACEMENT_3D('',#507663,#391702,#391703); #355843=AXIS2_PLACEMENT_3D('',#507669,#391707,#391708); #355844=AXIS2_PLACEMENT_3D('',#507670,#391709,#391710); #355845=AXIS2_PLACEMENT_3D('',#507671,#391711,#391712); #355846=AXIS2_PLACEMENT_3D('',#507672,#391713,#391714); #355847=AXIS2_PLACEMENT_3D('',#507673,#391715,#391716); #355848=AXIS2_PLACEMENT_3D('',#507674,#391717,#391718); #355849=AXIS2_PLACEMENT_3D('',#507676,#391719,#391720); #355850=AXIS2_PLACEMENT_3D('',#507679,#391722,#391723); #355851=AXIS2_PLACEMENT_3D('',#507680,#391724,#391725); #355852=AXIS2_PLACEMENT_3D('',#507689,#391730,#391731); #355853=AXIS2_PLACEMENT_3D('',#507691,#391732,#391733); #355854=AXIS2_PLACEMENT_3D('',#507693,#391734,#391735); #355855=AXIS2_PLACEMENT_3D('',#507695,#391737,#391738); #355856=AXIS2_PLACEMENT_3D('',#507701,#391742,#391743); #355857=AXIS2_PLACEMENT_3D('',#507707,#391747,#391748); #355858=AXIS2_PLACEMENT_3D('',#507713,#391752,#391753); #355859=AXIS2_PLACEMENT_3D('',#507719,#391757,#391758); #355860=AXIS2_PLACEMENT_3D('',#507725,#391762,#391763); #355861=AXIS2_PLACEMENT_3D('',#507731,#391767,#391768); #355862=AXIS2_PLACEMENT_3D('',#507737,#391772,#391773); #355863=AXIS2_PLACEMENT_3D('',#507739,#391774,#391775); #355864=AXIS2_PLACEMENT_3D('',#507741,#391776,#391777); #355865=AXIS2_PLACEMENT_3D('',#507743,#391779,#391780); #355866=AXIS2_PLACEMENT_3D('',#507744,#391781,#391782); #355867=AXIS2_PLACEMENT_3D('',#507745,#391783,#391784); #355868=AXIS2_PLACEMENT_3D('',#507746,#391785,#391786); #355869=AXIS2_PLACEMENT_3D('',#507747,#391787,#391788); #355870=AXIS2_PLACEMENT_3D('',#507748,#391789,#391790); #355871=AXIS2_PLACEMENT_3D('',#507757,#391795,#391796); #355872=AXIS2_PLACEMENT_3D('',#507763,#391800,#391801); #355873=AXIS2_PLACEMENT_3D('',#507769,#391805,#391806); #355874=AXIS2_PLACEMENT_3D('',#507775,#391810,#391811); #355875=AXIS2_PLACEMENT_3D('',#507781,#391815,#391816); #355876=AXIS2_PLACEMENT_3D('',#507783,#391817,#391818); #355877=AXIS2_PLACEMENT_3D('',#507785,#391819,#391820); #355878=AXIS2_PLACEMENT_3D('',#507787,#391822,#391823); #355879=AXIS2_PLACEMENT_3D('',#507793,#391827,#391828); #355880=AXIS2_PLACEMENT_3D('',#507799,#391832,#391833); #355881=AXIS2_PLACEMENT_3D('',#507805,#391837,#391838); #355882=AXIS2_PLACEMENT_3D('',#507807,#391839,#391840); #355883=AXIS2_PLACEMENT_3D('',#507809,#391841,#391842); #355884=AXIS2_PLACEMENT_3D('',#507811,#391844,#391845); #355885=AXIS2_PLACEMENT_3D('',#507817,#391849,#391850); #355886=AXIS2_PLACEMENT_3D('',#507819,#391851,#391852); #355887=AXIS2_PLACEMENT_3D('',#507821,#391853,#391854); #355888=AXIS2_PLACEMENT_3D('',#507823,#391856,#391857); #355889=AXIS2_PLACEMENT_3D('',#507829,#391861,#391862); #355890=AXIS2_PLACEMENT_3D('',#507831,#391863,#391864); #355891=AXIS2_PLACEMENT_3D('',#507833,#391865,#391866); #355892=AXIS2_PLACEMENT_3D('',#507835,#391868,#391869); #355893=AXIS2_PLACEMENT_3D('',#507841,#391873,#391874); #355894=AXIS2_PLACEMENT_3D('',#507847,#391878,#391879); #355895=AXIS2_PLACEMENT_3D('',#507849,#391880,#391881); #355896=AXIS2_PLACEMENT_3D('',#507851,#391882,#391883); #355897=AXIS2_PLACEMENT_3D('',#507853,#391885,#391886); #355898=AXIS2_PLACEMENT_3D('',#507859,#391890,#391891); #355899=AXIS2_PLACEMENT_3D('',#507865,#391895,#391896); #355900=AXIS2_PLACEMENT_3D('',#507871,#391900,#391901); #355901=AXIS2_PLACEMENT_3D('',#507877,#391905,#391906); #355902=AXIS2_PLACEMENT_3D('',#507883,#391910,#391911); #355903=AXIS2_PLACEMENT_3D('',#507889,#391915,#391916); #355904=AXIS2_PLACEMENT_3D('',#507895,#391920,#391921); #355905=AXIS2_PLACEMENT_3D('',#507897,#391922,#391923); #355906=AXIS2_PLACEMENT_3D('',#507899,#391924,#391925); #355907=AXIS2_PLACEMENT_3D('',#507901,#391927,#391928); #355908=AXIS2_PLACEMENT_3D('',#507907,#391932,#391933); #355909=AXIS2_PLACEMENT_3D('',#507913,#391937,#391938); #355910=AXIS2_PLACEMENT_3D('',#507915,#391939,#391940); #355911=AXIS2_PLACEMENT_3D('',#507917,#391941,#391942); #355912=AXIS2_PLACEMENT_3D('',#507919,#391944,#391945); #355913=AXIS2_PLACEMENT_3D('',#507925,#391949,#391950); #355914=AXIS2_PLACEMENT_3D('',#507931,#391954,#391955); #355915=AXIS2_PLACEMENT_3D('',#507937,#391959,#391960); #355916=AXIS2_PLACEMENT_3D('',#507943,#391964,#391965); #355917=AXIS2_PLACEMENT_3D('',#507945,#391966,#391967); #355918=AXIS2_PLACEMENT_3D('',#507947,#391968,#391969); #355919=AXIS2_PLACEMENT_3D('',#507949,#391971,#391972); #355920=AXIS2_PLACEMENT_3D('',#507955,#391976,#391977); #355921=AXIS2_PLACEMENT_3D('',#507957,#391978,#391979); #355922=AXIS2_PLACEMENT_3D('',#507959,#391980,#391981); #355923=AXIS2_PLACEMENT_3D('',#507961,#391983,#391984); #355924=AXIS2_PLACEMENT_3D('',#507967,#391988,#391989); #355925=AXIS2_PLACEMENT_3D('',#507973,#391993,#391994); #355926=AXIS2_PLACEMENT_3D('',#507976,#391997,#391998); #355927=AXIS2_PLACEMENT_3D('',#507977,#391999,#392000); #355928=AXIS2_PLACEMENT_3D('',#507978,#392001,#392002); #355929=AXIS2_PLACEMENT_3D('',#507987,#392007,#392008); #355930=AXIS2_PLACEMENT_3D('',#507993,#392012,#392013); #355931=AXIS2_PLACEMENT_3D('',#507999,#392017,#392018); #355932=AXIS2_PLACEMENT_3D('',#508005,#392022,#392023); #355933=AXIS2_PLACEMENT_3D('',#508011,#392027,#392028); #355934=AXIS2_PLACEMENT_3D('',#508017,#392032,#392033); #355935=AXIS2_PLACEMENT_3D('',#508019,#392034,#392035); #355936=AXIS2_PLACEMENT_3D('',#508021,#392036,#392037); #355937=AXIS2_PLACEMENT_3D('',#508023,#392039,#392040); #355938=AXIS2_PLACEMENT_3D('',#508029,#392044,#392045); #355939=AXIS2_PLACEMENT_3D('',#508031,#392046,#392047); #355940=AXIS2_PLACEMENT_3D('',#508033,#392048,#392049); #355941=AXIS2_PLACEMENT_3D('',#508035,#392051,#392052); #355942=AXIS2_PLACEMENT_3D('',#508041,#392056,#392057); #355943=AXIS2_PLACEMENT_3D('',#508047,#392061,#392062); #355944=AXIS2_PLACEMENT_3D('',#508053,#392066,#392067); #355945=AXIS2_PLACEMENT_3D('',#508059,#392071,#392072); #355946=AXIS2_PLACEMENT_3D('',#508061,#392073,#392074); #355947=AXIS2_PLACEMENT_3D('',#508063,#392075,#392076); #355948=AXIS2_PLACEMENT_3D('',#508065,#392078,#392079); #355949=AXIS2_PLACEMENT_3D('',#508071,#392083,#392084); #355950=AXIS2_PLACEMENT_3D('',#508077,#392088,#392089); #355951=AXIS2_PLACEMENT_3D('',#508079,#392090,#392091); #355952=AXIS2_PLACEMENT_3D('',#508081,#392092,#392093); #355953=AXIS2_PLACEMENT_3D('',#508083,#392095,#392096); #355954=AXIS2_PLACEMENT_3D('',#508089,#392100,#392101); #355955=AXIS2_PLACEMENT_3D('',#508095,#392105,#392106); #355956=AXIS2_PLACEMENT_3D('',#508101,#392110,#392111); #355957=AXIS2_PLACEMENT_3D('',#508107,#392115,#392116); #355958=AXIS2_PLACEMENT_3D('',#508113,#392120,#392121); #355959=AXIS2_PLACEMENT_3D('',#508119,#392125,#392126); #355960=AXIS2_PLACEMENT_3D('',#508125,#392130,#392131); #355961=AXIS2_PLACEMENT_3D('',#508127,#392132,#392133); #355962=AXIS2_PLACEMENT_3D('',#508129,#392134,#392135); #355963=AXIS2_PLACEMENT_3D('',#508131,#392137,#392138); #355964=AXIS2_PLACEMENT_3D('',#508137,#392142,#392143); #355965=AXIS2_PLACEMENT_3D('',#508143,#392147,#392148); #355966=AXIS2_PLACEMENT_3D('',#508145,#392149,#392150); #355967=AXIS2_PLACEMENT_3D('',#508147,#392151,#392152); #355968=AXIS2_PLACEMENT_3D('',#508149,#392154,#392155); #355969=AXIS2_PLACEMENT_3D('',#508155,#392159,#392160); #355970=AXIS2_PLACEMENT_3D('',#508157,#392161,#392162); #355971=AXIS2_PLACEMENT_3D('',#508159,#392163,#392164); #355972=AXIS2_PLACEMENT_3D('',#508161,#392166,#392167); #355973=AXIS2_PLACEMENT_3D('',#508167,#392171,#392172); #355974=AXIS2_PLACEMENT_3D('',#508169,#392173,#392174); #355975=AXIS2_PLACEMENT_3D('',#508171,#392175,#392176); #355976=AXIS2_PLACEMENT_3D('',#508173,#392178,#392179); #355977=AXIS2_PLACEMENT_3D('',#508179,#392183,#392184); #355978=AXIS2_PLACEMENT_3D('',#508185,#392188,#392189); #355979=AXIS2_PLACEMENT_3D('',#508191,#392193,#392194); #355980=AXIS2_PLACEMENT_3D('',#508193,#392195,#392196); #355981=AXIS2_PLACEMENT_3D('',#508195,#392197,#392198); #355982=AXIS2_PLACEMENT_3D('',#508197,#392200,#392201); #355983=AXIS2_PLACEMENT_3D('',#508203,#392205,#392206); #355984=AXIS2_PLACEMENT_3D('',#508206,#392209,#392210); #355985=AXIS2_PLACEMENT_3D('',#508207,#392211,#392212); #355986=AXIS2_PLACEMENT_3D('',#508208,#392213,#392214); #355987=AXIS2_PLACEMENT_3D('',#508217,#392219,#392220); #355988=AXIS2_PLACEMENT_3D('',#508223,#392224,#392225); #355989=AXIS2_PLACEMENT_3D('',#508229,#392229,#392230); #355990=AXIS2_PLACEMENT_3D('',#508235,#392234,#392235); #355991=AXIS2_PLACEMENT_3D('',#508237,#392236,#392237); #355992=AXIS2_PLACEMENT_3D('',#508239,#392238,#392239); #355993=AXIS2_PLACEMENT_3D('',#508241,#392241,#392242); #355994=AXIS2_PLACEMENT_3D('',#508247,#392246,#392247); #355995=AXIS2_PLACEMENT_3D('',#508253,#392251,#392252); #355996=AXIS2_PLACEMENT_3D('',#508255,#392253,#392254); #355997=AXIS2_PLACEMENT_3D('',#508257,#392255,#392256); #355998=AXIS2_PLACEMENT_3D('',#508259,#392258,#392259); #355999=AXIS2_PLACEMENT_3D('',#508265,#392263,#392264); #356000=AXIS2_PLACEMENT_3D('',#508271,#392268,#392269); #356001=AXIS2_PLACEMENT_3D('',#508277,#392273,#392274); #356002=AXIS2_PLACEMENT_3D('',#508283,#392278,#392279); #356003=AXIS2_PLACEMENT_3D('',#508289,#392283,#392284); #356004=AXIS2_PLACEMENT_3D('',#508295,#392288,#392289); #356005=AXIS2_PLACEMENT_3D('',#508301,#392293,#392294); #356006=AXIS2_PLACEMENT_3D('',#508303,#392295,#392296); #356007=AXIS2_PLACEMENT_3D('',#508305,#392297,#392298); #356008=AXIS2_PLACEMENT_3D('',#508307,#392300,#392301); #356009=AXIS2_PLACEMENT_3D('',#508313,#392305,#392306); #356010=AXIS2_PLACEMENT_3D('',#508319,#392310,#392311); #356011=AXIS2_PLACEMENT_3D('',#508325,#392315,#392316); #356012=AXIS2_PLACEMENT_3D('',#508328,#392319,#392320); #356013=AXIS2_PLACEMENT_3D('',#508329,#392321,#392322); #356014=AXIS2_PLACEMENT_3D('',#508330,#392323,#392324); #356015=AXIS2_PLACEMENT_3D('',#508332,#392325,#392326); #356016=AXIS2_PLACEMENT_3D('',#508335,#392328,#392329); #356017=AXIS2_PLACEMENT_3D('',#508336,#392330,#392331); #356018=AXIS2_PLACEMENT_3D('',#508345,#392336,#392337); #356019=AXIS2_PLACEMENT_3D('',#508351,#392341,#392342); #356020=AXIS2_PLACEMENT_3D('',#508353,#392343,#392344); #356021=AXIS2_PLACEMENT_3D('',#508355,#392345,#392346); #356022=AXIS2_PLACEMENT_3D('',#508357,#392348,#392349); #356023=AXIS2_PLACEMENT_3D('',#508363,#392353,#392354); #356024=AXIS2_PLACEMENT_3D('',#508369,#392358,#392359); #356025=AXIS2_PLACEMENT_3D('',#508375,#392363,#392364); #356026=AXIS2_PLACEMENT_3D('',#508377,#392365,#392366); #356027=AXIS2_PLACEMENT_3D('',#508379,#392367,#392368); #356028=AXIS2_PLACEMENT_3D('',#508381,#392370,#392371); #356029=AXIS2_PLACEMENT_3D('',#508387,#392375,#392376); #356030=AXIS2_PLACEMENT_3D('',#508393,#392380,#392381); #356031=AXIS2_PLACEMENT_3D('',#508395,#392382,#392383); #356032=AXIS2_PLACEMENT_3D('',#508397,#392384,#392385); #356033=AXIS2_PLACEMENT_3D('',#508399,#392387,#392388); #356034=AXIS2_PLACEMENT_3D('',#508401,#392389,#392390); #356035=AXIS2_PLACEMENT_3D('',#508403,#392391,#392392); #356036=AXIS2_PLACEMENT_3D('',#508405,#392394,#392395); #356037=AXIS2_PLACEMENT_3D('',#508411,#392399,#392400); #356038=AXIS2_PLACEMENT_3D('',#508413,#392401,#392402); #356039=AXIS2_PLACEMENT_3D('',#508415,#392403,#392404); #356040=AXIS2_PLACEMENT_3D('',#508417,#392406,#392407); #356041=AXIS2_PLACEMENT_3D('',#508423,#392411,#392412); #356042=AXIS2_PLACEMENT_3D('',#508429,#392416,#392417); #356043=AXIS2_PLACEMENT_3D('',#508431,#392418,#392419); #356044=AXIS2_PLACEMENT_3D('',#508433,#392420,#392421); #356045=AXIS2_PLACEMENT_3D('',#508435,#392423,#392424); #356046=AXIS2_PLACEMENT_3D('',#508441,#392428,#392429); #356047=AXIS2_PLACEMENT_3D('',#508447,#392433,#392434); #356048=AXIS2_PLACEMENT_3D('',#508453,#392438,#392439); #356049=AXIS2_PLACEMENT_3D('',#508459,#392443,#392444); #356050=AXIS2_PLACEMENT_3D('',#508462,#392447,#392448); #356051=AXIS2_PLACEMENT_3D('',#508463,#392449,#392450); #356052=AXIS2_PLACEMENT_3D('',#508464,#392451,#392452); #356053=AXIS2_PLACEMENT_3D('',#508466,#392453,#392454); #356054=AXIS2_PLACEMENT_3D('',#508469,#392456,#392457); #356055=AXIS2_PLACEMENT_3D('',#508470,#392458,#392459); #356056=AXIS2_PLACEMENT_3D('',#508479,#392464,#392465); #356057=AXIS2_PLACEMENT_3D('',#508481,#392466,#392467); #356058=AXIS2_PLACEMENT_3D('',#508483,#392468,#392469); #356059=AXIS2_PLACEMENT_3D('',#508485,#392471,#392472); #356060=AXIS2_PLACEMENT_3D('',#508491,#392476,#392477); #356061=AXIS2_PLACEMENT_3D('',#508497,#392481,#392482); #356062=AXIS2_PLACEMENT_3D('',#508499,#392483,#392484); #356063=AXIS2_PLACEMENT_3D('',#508501,#392485,#392486); #356064=AXIS2_PLACEMENT_3D('',#508503,#392488,#392489); #356065=AXIS2_PLACEMENT_3D('',#508509,#392493,#392494); #356066=AXIS2_PLACEMENT_3D('',#508515,#392498,#392499); #356067=AXIS2_PLACEMENT_3D('',#508521,#392503,#392504); #356068=AXIS2_PLACEMENT_3D('',#508527,#392508,#392509); #356069=AXIS2_PLACEMENT_3D('',#508533,#392513,#392514); #356070=AXIS2_PLACEMENT_3D('',#508539,#392518,#392519); #356071=AXIS2_PLACEMENT_3D('',#508545,#392523,#392524); #356072=AXIS2_PLACEMENT_3D('',#508551,#392528,#392529); #356073=AXIS2_PLACEMENT_3D('',#508553,#392530,#392531); #356074=AXIS2_PLACEMENT_3D('',#508555,#392532,#392533); #356075=AXIS2_PLACEMENT_3D('',#508557,#392535,#392536); #356076=AXIS2_PLACEMENT_3D('',#508563,#392540,#392541); #356077=AXIS2_PLACEMENT_3D('',#508564,#392542,#392543); #356078=AXIS2_PLACEMENT_3D('',#508565,#392544,#392545); #356079=AXIS2_PLACEMENT_3D('',#508566,#392546,#392547); #356080=AXIS2_PLACEMENT_3D('',#508567,#392548,#392549); #356081=AXIS2_PLACEMENT_3D('',#508568,#392550,#392551); #356082=AXIS2_PLACEMENT_3D('',#508570,#392552,#392553); #356083=AXIS2_PLACEMENT_3D('',#508573,#392555,#392556); #356084=AXIS2_PLACEMENT_3D('',#508574,#392557,#392558); #356085=AXIS2_PLACEMENT_3D('',#508583,#392563,#392564); #356086=AXIS2_PLACEMENT_3D('',#508585,#392565,#392566); #356087=AXIS2_PLACEMENT_3D('',#508587,#392567,#392568); #356088=AXIS2_PLACEMENT_3D('',#508589,#392570,#392571); #356089=AXIS2_PLACEMENT_3D('',#508591,#392572,#392573); #356090=AXIS2_PLACEMENT_3D('',#508593,#392574,#392575); #356091=AXIS2_PLACEMENT_3D('',#508595,#392577,#392578); #356092=AXIS2_PLACEMENT_3D('',#508601,#392582,#392583); #356093=AXIS2_PLACEMENT_3D('',#508607,#392587,#392588); #356094=AXIS2_PLACEMENT_3D('',#508613,#392592,#392593); #356095=AXIS2_PLACEMENT_3D('',#508619,#392597,#392598); #356096=AXIS2_PLACEMENT_3D('',#508625,#392602,#392603); #356097=AXIS2_PLACEMENT_3D('',#508631,#392607,#392608); #356098=AXIS2_PLACEMENT_3D('',#508637,#392612,#392613); #356099=AXIS2_PLACEMENT_3D('',#508639,#392614,#392615); #356100=AXIS2_PLACEMENT_3D('',#508641,#392616,#392617); #356101=AXIS2_PLACEMENT_3D('',#508643,#392619,#392620); #356102=AXIS2_PLACEMENT_3D('',#508649,#392624,#392625); #356103=AXIS2_PLACEMENT_3D('',#508650,#392626,#392627); #356104=AXIS2_PLACEMENT_3D('',#508651,#392628,#392629); #356105=AXIS2_PLACEMENT_3D('',#508652,#392630,#392631); #356106=AXIS2_PLACEMENT_3D('',#508653,#392632,#392633); #356107=AXIS2_PLACEMENT_3D('',#508654,#392634,#392635); #356108=AXIS2_PLACEMENT_3D('',#508656,#392636,#392637); #356109=AXIS2_PLACEMENT_3D('',#508659,#392639,#392640); #356110=AXIS2_PLACEMENT_3D('',#508660,#392641,#392642); #356111=AXIS2_PLACEMENT_3D('',#508669,#392647,#392648); #356112=AXIS2_PLACEMENT_3D('',#508675,#392652,#392653); #356113=AXIS2_PLACEMENT_3D('',#508681,#392657,#392658); #356114=AXIS2_PLACEMENT_3D('',#508687,#392662,#392663); #356115=AXIS2_PLACEMENT_3D('',#508693,#392667,#392668); #356116=AXIS2_PLACEMENT_3D('',#508695,#392669,#392670); #356117=AXIS2_PLACEMENT_3D('',#508697,#392671,#392672); #356118=AXIS2_PLACEMENT_3D('',#508699,#392674,#392675); #356119=AXIS2_PLACEMENT_3D('',#508705,#392679,#392680); #356120=AXIS2_PLACEMENT_3D('',#508711,#392684,#392685); #356121=AXIS2_PLACEMENT_3D('',#508713,#392686,#392687); #356122=AXIS2_PLACEMENT_3D('',#508715,#392688,#392689); #356123=AXIS2_PLACEMENT_3D('',#508717,#392691,#392692); #356124=AXIS2_PLACEMENT_3D('',#508723,#392696,#392697); #356125=AXIS2_PLACEMENT_3D('',#508725,#392698,#392699); #356126=AXIS2_PLACEMENT_3D('',#508727,#392700,#392701); #356127=AXIS2_PLACEMENT_3D('',#508729,#392703,#392704); #356128=AXIS2_PLACEMENT_3D('',#508731,#392705,#392706); #356129=AXIS2_PLACEMENT_3D('',#508733,#392707,#392708); #356130=AXIS2_PLACEMENT_3D('',#508735,#392710,#392711); #356131=AXIS2_PLACEMENT_3D('',#508741,#392715,#392716); #356132=AXIS2_PLACEMENT_3D('',#508747,#392720,#392721); #356133=AXIS2_PLACEMENT_3D('',#508749,#392722,#392723); #356134=AXIS2_PLACEMENT_3D('',#508751,#392724,#392725); #356135=AXIS2_PLACEMENT_3D('',#508753,#392727,#392728); #356136=AXIS2_PLACEMENT_3D('',#508759,#392732,#392733); #356137=AXIS2_PLACEMENT_3D('',#508765,#392737,#392738); #356138=AXIS2_PLACEMENT_3D('',#508768,#392741,#392742); #356139=AXIS2_PLACEMENT_3D('',#508769,#392743,#392744); #356140=AXIS2_PLACEMENT_3D('',#508770,#392745,#392746); #356141=AXIS2_PLACEMENT_3D('',#508772,#392747,#392748); #356142=AXIS2_PLACEMENT_3D('',#508775,#392750,#392751); #356143=AXIS2_PLACEMENT_3D('',#508776,#392752,#392753); #356144=AXIS2_PLACEMENT_3D('',#508785,#392758,#392759); #356145=AXIS2_PLACEMENT_3D('',#508787,#392760,#392761); #356146=AXIS2_PLACEMENT_3D('',#508789,#392762,#392763); #356147=AXIS2_PLACEMENT_3D('',#508791,#392765,#392766); #356148=AXIS2_PLACEMENT_3D('',#508797,#392770,#392771); #356149=AXIS2_PLACEMENT_3D('',#508799,#392772,#392773); #356150=AXIS2_PLACEMENT_3D('',#508801,#392774,#392775); #356151=AXIS2_PLACEMENT_3D('',#508803,#392777,#392778); #356152=AXIS2_PLACEMENT_3D('',#508809,#392782,#392783); #356153=AXIS2_PLACEMENT_3D('',#508815,#392787,#392788); #356154=AXIS2_PLACEMENT_3D('',#508821,#392792,#392793); #356155=AXIS2_PLACEMENT_3D('',#508827,#392797,#392798); #356156=AXIS2_PLACEMENT_3D('',#508833,#392802,#392803); #356157=AXIS2_PLACEMENT_3D('',#508839,#392807,#392808); #356158=AXIS2_PLACEMENT_3D('',#508845,#392812,#392813); #356159=AXIS2_PLACEMENT_3D('',#508847,#392814,#392815); #356160=AXIS2_PLACEMENT_3D('',#508849,#392816,#392817); #356161=AXIS2_PLACEMENT_3D('',#508851,#392819,#392820); #356162=AXIS2_PLACEMENT_3D('',#508852,#392821,#392822); #356163=AXIS2_PLACEMENT_3D('',#508853,#392823,#392824); #356164=AXIS2_PLACEMENT_3D('',#508854,#392825,#392826); #356165=AXIS2_PLACEMENT_3D('',#508855,#392827,#392828); #356166=AXIS2_PLACEMENT_3D('',#508856,#392829,#392830); #356167=AXIS2_PLACEMENT_3D('',#508858,#392831,#392832); #356168=AXIS2_PLACEMENT_3D('',#508861,#392834,#392835); #356169=AXIS2_PLACEMENT_3D('',#508862,#392836,#392837); #356170=AXIS2_PLACEMENT_3D('',#508871,#392842,#392843); #356171=AXIS2_PLACEMENT_3D('',#508877,#392847,#392848); #356172=AXIS2_PLACEMENT_3D('',#508883,#392852,#392853); #356173=AXIS2_PLACEMENT_3D('',#508889,#392857,#392858); #356174=AXIS2_PLACEMENT_3D('',#508895,#392862,#392863); #356175=AXIS2_PLACEMENT_3D('',#508901,#392867,#392868); #356176=AXIS2_PLACEMENT_3D('',#508903,#392869,#392870); #356177=AXIS2_PLACEMENT_3D('',#508905,#392871,#392872); #356178=AXIS2_PLACEMENT_3D('',#508907,#392874,#392875); #356179=AXIS2_PLACEMENT_3D('',#508913,#392879,#392880); #356180=AXIS2_PLACEMENT_3D('',#508919,#392884,#392885); #356181=AXIS2_PLACEMENT_3D('',#508921,#392886,#392887); #356182=AXIS2_PLACEMENT_3D('',#508923,#392888,#392889); #356183=AXIS2_PLACEMENT_3D('',#508925,#392891,#392892); #356184=AXIS2_PLACEMENT_3D('',#508927,#392893,#392894); #356185=AXIS2_PLACEMENT_3D('',#508929,#392895,#392896); #356186=AXIS2_PLACEMENT_3D('',#508931,#392898,#392899); #356187=AXIS2_PLACEMENT_3D('',#508937,#392903,#392904); #356188=AXIS2_PLACEMENT_3D('',#508939,#392905,#392906); #356189=AXIS2_PLACEMENT_3D('',#508941,#392907,#392908); #356190=AXIS2_PLACEMENT_3D('',#508943,#392910,#392911); #356191=AXIS2_PLACEMENT_3D('',#508949,#392915,#392916); #356192=AXIS2_PLACEMENT_3D('',#508955,#392920,#392921); #356193=AXIS2_PLACEMENT_3D('',#508957,#392922,#392923); #356194=AXIS2_PLACEMENT_3D('',#508959,#392924,#392925); #356195=AXIS2_PLACEMENT_3D('',#508961,#392927,#392928); #356196=AXIS2_PLACEMENT_3D('',#508967,#392932,#392933); #356197=AXIS2_PLACEMENT_3D('',#508970,#392936,#392937); #356198=AXIS2_PLACEMENT_3D('',#508971,#392938,#392939); #356199=AXIS2_PLACEMENT_3D('',#508972,#392940,#392941); #356200=AXIS2_PLACEMENT_3D('',#508974,#392942,#392943); #356201=AXIS2_PLACEMENT_3D('',#508977,#392945,#392946); #356202=AXIS2_PLACEMENT_3D('',#508978,#392947,#392948); #356203=AXIS2_PLACEMENT_3D('',#508987,#392953,#392954); #356204=AXIS2_PLACEMENT_3D('',#508989,#392955,#392956); #356205=AXIS2_PLACEMENT_3D('',#508991,#392957,#392958); #356206=AXIS2_PLACEMENT_3D('',#508993,#392960,#392961); #356207=AXIS2_PLACEMENT_3D('',#508999,#392965,#392966); #356208=AXIS2_PLACEMENT_3D('',#509005,#392970,#392971); #356209=AXIS2_PLACEMENT_3D('',#509011,#392975,#392976); #356210=AXIS2_PLACEMENT_3D('',#509017,#392980,#392981); #356211=AXIS2_PLACEMENT_3D('',#509023,#392985,#392986); #356212=AXIS2_PLACEMENT_3D('',#509029,#392990,#392991); #356213=AXIS2_PLACEMENT_3D('',#509035,#392995,#392996); #356214=AXIS2_PLACEMENT_3D('',#509037,#392997,#392998); #356215=AXIS2_PLACEMENT_3D('',#509039,#392999,#393000); #356216=AXIS2_PLACEMENT_3D('',#509041,#393002,#393003); #356217=AXIS2_PLACEMENT_3D('',#509042,#393004,#393005); #356218=AXIS2_PLACEMENT_3D('',#509043,#393006,#393007); #356219=AXIS2_PLACEMENT_3D('',#509044,#393008,#393009); #356220=AXIS2_PLACEMENT_3D('',#509045,#393010,#393011); #356221=AXIS2_PLACEMENT_3D('',#509046,#393012,#393013); #356222=AXIS2_PLACEMENT_3D('',#509048,#393014,#393015); #356223=AXIS2_PLACEMENT_3D('',#509051,#393017,#393018); #356224=AXIS2_PLACEMENT_3D('',#509052,#393019,#393020); #356225=AXIS2_PLACEMENT_3D('',#509061,#393025,#393026); #356226=AXIS2_PLACEMENT_3D('',#509063,#393027,#393028); #356227=AXIS2_PLACEMENT_3D('',#509065,#393029,#393030); #356228=AXIS2_PLACEMENT_3D('',#509067,#393032,#393033); #356229=AXIS2_PLACEMENT_3D('',#509069,#393034,#393035); #356230=AXIS2_PLACEMENT_3D('',#509071,#393036,#393037); #356231=AXIS2_PLACEMENT_3D('',#509073,#393039,#393040); #356232=AXIS2_PLACEMENT_3D('',#509079,#393044,#393045); #356233=AXIS2_PLACEMENT_3D('',#509085,#393049,#393050); #356234=AXIS2_PLACEMENT_3D('',#509091,#393054,#393055); #356235=AXIS2_PLACEMENT_3D('',#509097,#393059,#393060); #356236=AXIS2_PLACEMENT_3D('',#509103,#393064,#393065); #356237=AXIS2_PLACEMENT_3D('',#509109,#393069,#393070); #356238=AXIS2_PLACEMENT_3D('',#509115,#393074,#393075); #356239=AXIS2_PLACEMENT_3D('',#509116,#393076,#393077); #356240=AXIS2_PLACEMENT_3D('',#509117,#393078,#393079); #356241=AXIS2_PLACEMENT_3D('',#509118,#393080,#393081); #356242=AXIS2_PLACEMENT_3D('',#509119,#393082,#393083); #356243=AXIS2_PLACEMENT_3D('',#509120,#393084,#393085); #356244=AXIS2_PLACEMENT_3D('',#509122,#393086,#393087); #356245=AXIS2_PLACEMENT_3D('',#509125,#393089,#393090); #356246=AXIS2_PLACEMENT_3D('',#509126,#393091,#393092); #356247=AXIS2_PLACEMENT_3D('',#509135,#393097,#393098); #356248=AXIS2_PLACEMENT_3D('',#509141,#393102,#393103); #356249=AXIS2_PLACEMENT_3D('',#509147,#393107,#393108); #356250=AXIS2_PLACEMENT_3D('',#509153,#393112,#393113); #356251=AXIS2_PLACEMENT_3D('',#509159,#393117,#393118); #356252=AXIS2_PLACEMENT_3D('',#509161,#393119,#393120); #356253=AXIS2_PLACEMENT_3D('',#509163,#393121,#393122); #356254=AXIS2_PLACEMENT_3D('',#509165,#393124,#393125); #356255=AXIS2_PLACEMENT_3D('',#509171,#393129,#393130); #356256=AXIS2_PLACEMENT_3D('',#509177,#393134,#393135); #356257=AXIS2_PLACEMENT_3D('',#509183,#393139,#393140); #356258=AXIS2_PLACEMENT_3D('',#509189,#393144,#393145); #356259=AXIS2_PLACEMENT_3D('',#509195,#393149,#393150); #356260=AXIS2_PLACEMENT_3D('',#509197,#393151,#393152); #356261=AXIS2_PLACEMENT_3D('',#509199,#393153,#393154); #356262=AXIS2_PLACEMENT_3D('',#509201,#393156,#393157); #356263=AXIS2_PLACEMENT_3D('',#509203,#393158,#393159); #356264=AXIS2_PLACEMENT_3D('',#509205,#393160,#393161); #356265=AXIS2_PLACEMENT_3D('',#509207,#393163,#393164); #356266=AXIS2_PLACEMENT_3D('',#509213,#393168,#393169); #356267=AXIS2_PLACEMENT_3D('',#509215,#393170,#393171); #356268=AXIS2_PLACEMENT_3D('',#509217,#393172,#393173); #356269=AXIS2_PLACEMENT_3D('',#509219,#393175,#393176); #356270=AXIS2_PLACEMENT_3D('',#509225,#393180,#393181); #356271=AXIS2_PLACEMENT_3D('',#509227,#393182,#393183); #356272=AXIS2_PLACEMENT_3D('',#509229,#393184,#393185); #356273=AXIS2_PLACEMENT_3D('',#509231,#393187,#393188); #356274=AXIS2_PLACEMENT_3D('',#509237,#393192,#393193); #356275=AXIS2_PLACEMENT_3D('',#509239,#393194,#393195); #356276=AXIS2_PLACEMENT_3D('',#509241,#393196,#393197); #356277=AXIS2_PLACEMENT_3D('',#509243,#393199,#393200); #356278=AXIS2_PLACEMENT_3D('',#509249,#393204,#393205); #356279=AXIS2_PLACEMENT_3D('',#509251,#393206,#393207); #356280=AXIS2_PLACEMENT_3D('',#509253,#393208,#393209); #356281=AXIS2_PLACEMENT_3D('',#509255,#393211,#393212); #356282=AXIS2_PLACEMENT_3D('',#509261,#393216,#393217); #356283=AXIS2_PLACEMENT_3D('',#509264,#393220,#393221); #356284=AXIS2_PLACEMENT_3D('',#509265,#393222,#393223); #356285=AXIS2_PLACEMENT_3D('',#509266,#393224,#393225); #356286=AXIS2_PLACEMENT_3D('',#509268,#393226,#393227); #356287=AXIS2_PLACEMENT_3D('',#509271,#393229,#393230); #356288=AXIS2_PLACEMENT_3D('',#509272,#393231,#393232); #356289=AXIS2_PLACEMENT_3D('',#509281,#393237,#393238); #356290=AXIS2_PLACEMENT_3D('',#509287,#393242,#393243); #356291=AXIS2_PLACEMENT_3D('',#509293,#393247,#393248); #356292=AXIS2_PLACEMENT_3D('',#509299,#393252,#393253); #356293=AXIS2_PLACEMENT_3D('',#509305,#393257,#393258); #356294=AXIS2_PLACEMENT_3D('',#509311,#393262,#393263); #356295=AXIS2_PLACEMENT_3D('',#509317,#393267,#393268); #356296=AXIS2_PLACEMENT_3D('',#509323,#393272,#393273); #356297=AXIS2_PLACEMENT_3D('',#509325,#393274,#393275); #356298=AXIS2_PLACEMENT_3D('',#509327,#393276,#393277); #356299=AXIS2_PLACEMENT_3D('',#509329,#393279,#393280); #356300=AXIS2_PLACEMENT_3D('',#509331,#393281,#393282); #356301=AXIS2_PLACEMENT_3D('',#509333,#393283,#393284); #356302=AXIS2_PLACEMENT_3D('',#509335,#393286,#393287); #356303=AXIS2_PLACEMENT_3D('',#509341,#393291,#393292); #356304=AXIS2_PLACEMENT_3D('',#509343,#393293,#393294); #356305=AXIS2_PLACEMENT_3D('',#509345,#393295,#393296); #356306=AXIS2_PLACEMENT_3D('',#509347,#393298,#393299); #356307=AXIS2_PLACEMENT_3D('',#509353,#393303,#393304); #356308=AXIS2_PLACEMENT_3D('',#509355,#393305,#393306); #356309=AXIS2_PLACEMENT_3D('',#509357,#393307,#393308); #356310=AXIS2_PLACEMENT_3D('',#509359,#393310,#393311); #356311=AXIS2_PLACEMENT_3D('',#509365,#393315,#393316); #356312=AXIS2_PLACEMENT_3D('',#509367,#393317,#393318); #356313=AXIS2_PLACEMENT_3D('',#509369,#393319,#393320); #356314=AXIS2_PLACEMENT_3D('',#509371,#393322,#393323); #356315=AXIS2_PLACEMENT_3D('',#509374,#393326,#393327); #356316=AXIS2_PLACEMENT_3D('',#509375,#393328,#393329); #356317=AXIS2_PLACEMENT_3D('',#509376,#393330,#393331); #356318=AXIS2_PLACEMENT_3D('',#509378,#393332,#393333); #356319=AXIS2_PLACEMENT_3D('',#509381,#393335,#393336); #356320=AXIS2_PLACEMENT_3D('',#509382,#393337,#393338); #356321=AXIS2_PLACEMENT_3D('',#509391,#393343,#393344); #356322=AXIS2_PLACEMENT_3D('',#509397,#393348,#393349); #356323=AXIS2_PLACEMENT_3D('',#509403,#393353,#393354); #356324=AXIS2_PLACEMENT_3D('',#509409,#393358,#393359); #356325=AXIS2_PLACEMENT_3D('',#509415,#393363,#393364); #356326=AXIS2_PLACEMENT_3D('',#509417,#393365,#393366); #356327=AXIS2_PLACEMENT_3D('',#509419,#393367,#393368); #356328=AXIS2_PLACEMENT_3D('',#509421,#393370,#393371); #356329=AXIS2_PLACEMENT_3D('',#509427,#393375,#393376); #356330=AXIS2_PLACEMENT_3D('',#509429,#393377,#393378); #356331=AXIS2_PLACEMENT_3D('',#509431,#393379,#393380); #356332=AXIS2_PLACEMENT_3D('',#509433,#393382,#393383); #356333=AXIS2_PLACEMENT_3D('',#509439,#393387,#393388); #356334=AXIS2_PLACEMENT_3D('',#509441,#393389,#393390); #356335=AXIS2_PLACEMENT_3D('',#509443,#393391,#393392); #356336=AXIS2_PLACEMENT_3D('',#509445,#393394,#393395); #356337=AXIS2_PLACEMENT_3D('',#509451,#393399,#393400); #356338=AXIS2_PLACEMENT_3D('',#509453,#393401,#393402); #356339=AXIS2_PLACEMENT_3D('',#509455,#393403,#393404); #356340=AXIS2_PLACEMENT_3D('',#509457,#393406,#393407); #356341=AXIS2_PLACEMENT_3D('',#509463,#393411,#393412); #356342=AXIS2_PLACEMENT_3D('',#509465,#393413,#393414); #356343=AXIS2_PLACEMENT_3D('',#509467,#393415,#393416); #356344=AXIS2_PLACEMENT_3D('',#509469,#393418,#393419); #356345=AXIS2_PLACEMENT_3D('',#509471,#393420,#393421); #356346=AXIS2_PLACEMENT_3D('',#509473,#393422,#393423); #356347=AXIS2_PLACEMENT_3D('',#509475,#393425,#393426); #356348=AXIS2_PLACEMENT_3D('',#509481,#393430,#393431); #356349=AXIS2_PLACEMENT_3D('',#509487,#393435,#393436); #356350=AXIS2_PLACEMENT_3D('',#509493,#393440,#393441); #356351=AXIS2_PLACEMENT_3D('',#509499,#393445,#393446); #356352=AXIS2_PLACEMENT_3D('',#509505,#393450,#393451); #356353=AXIS2_PLACEMENT_3D('',#509507,#393452,#393453); #356354=AXIS2_PLACEMENT_3D('',#509509,#393454,#393455); #356355=AXIS2_PLACEMENT_3D('',#509511,#393457,#393458); #356356=AXIS2_PLACEMENT_3D('',#509517,#393462,#393463); #356357=AXIS2_PLACEMENT_3D('',#509520,#393466,#393467); #356358=AXIS2_PLACEMENT_3D('',#509521,#393468,#393469); #356359=AXIS2_PLACEMENT_3D('',#509522,#393470,#393471); #356360=AXIS2_PLACEMENT_3D('',#509531,#393476,#393477); #356361=AXIS2_PLACEMENT_3D('',#509537,#393481,#393482); #356362=AXIS2_PLACEMENT_3D('',#509543,#393486,#393487); #356363=AXIS2_PLACEMENT_3D('',#509549,#393491,#393492); #356364=AXIS2_PLACEMENT_3D('',#509551,#393493,#393494); #356365=AXIS2_PLACEMENT_3D('',#509553,#393495,#393496); #356366=AXIS2_PLACEMENT_3D('',#509555,#393498,#393499); #356367=AXIS2_PLACEMENT_3D('',#509561,#393503,#393504); #356368=AXIS2_PLACEMENT_3D('',#509563,#393505,#393506); #356369=AXIS2_PLACEMENT_3D('',#509565,#393507,#393508); #356370=AXIS2_PLACEMENT_3D('',#509567,#393510,#393511); #356371=AXIS2_PLACEMENT_3D('',#509573,#393515,#393516); #356372=AXIS2_PLACEMENT_3D('',#509579,#393520,#393521); #356373=AXIS2_PLACEMENT_3D('',#509585,#393525,#393526); #356374=AXIS2_PLACEMENT_3D('',#509591,#393530,#393531); #356375=AXIS2_PLACEMENT_3D('',#509597,#393535,#393536); #356376=AXIS2_PLACEMENT_3D('',#509603,#393540,#393541); #356377=AXIS2_PLACEMENT_3D('',#509609,#393545,#393546); #356378=AXIS2_PLACEMENT_3D('',#509615,#393550,#393551); #356379=AXIS2_PLACEMENT_3D('',#509621,#393555,#393556); #356380=AXIS2_PLACEMENT_3D('',#509623,#393557,#393558); #356381=AXIS2_PLACEMENT_3D('',#509625,#393559,#393560); #356382=AXIS2_PLACEMENT_3D('',#509627,#393562,#393563); #356383=AXIS2_PLACEMENT_3D('',#509633,#393567,#393568); #356384=AXIS2_PLACEMENT_3D('',#509635,#393569,#393570); #356385=AXIS2_PLACEMENT_3D('',#509637,#393571,#393572); #356386=AXIS2_PLACEMENT_3D('',#509639,#393574,#393575); #356387=AXIS2_PLACEMENT_3D('',#509645,#393579,#393580); #356388=AXIS2_PLACEMENT_3D('',#509651,#393584,#393585); #356389=AXIS2_PLACEMENT_3D('',#509657,#393589,#393590); #356390=AXIS2_PLACEMENT_3D('',#509663,#393594,#393595); #356391=AXIS2_PLACEMENT_3D('',#509666,#393598,#393599); #356392=AXIS2_PLACEMENT_3D('',#509667,#393600,#393601); #356393=AXIS2_PLACEMENT_3D('',#509668,#393602,#393603); #356394=AXIS2_PLACEMENT_3D('',#509670,#393604,#393605); #356395=AXIS2_PLACEMENT_3D('',#509673,#393607,#393608); #356396=AXIS2_PLACEMENT_3D('',#509674,#393609,#393610); #356397=AXIS2_PLACEMENT_3D('',#509683,#393615,#393616); #356398=AXIS2_PLACEMENT_3D('',#509689,#393620,#393621); #356399=AXIS2_PLACEMENT_3D('',#509695,#393625,#393626); #356400=AXIS2_PLACEMENT_3D('',#509698,#393629,#393630); #356401=AXIS2_PLACEMENT_3D('',#509699,#393631,#393632); #356402=AXIS2_PLACEMENT_3D('',#509700,#393633,#393634); #356403=AXIS2_PLACEMENT_3D('',#509702,#393635,#393636); #356404=AXIS2_PLACEMENT_3D('',#509705,#393638,#393639); #356405=AXIS2_PLACEMENT_3D('',#509706,#393640,#393641); #356406=AXIS2_PLACEMENT_3D('',#509715,#393646,#393647); #356407=AXIS2_PLACEMENT_3D('',#509717,#393648,#393649); #356408=AXIS2_PLACEMENT_3D('',#509719,#393650,#393651); #356409=AXIS2_PLACEMENT_3D('',#509721,#393653,#393654); #356410=AXIS2_PLACEMENT_3D('',#509727,#393658,#393659); #356411=AXIS2_PLACEMENT_3D('',#509729,#393660,#393661); #356412=AXIS2_PLACEMENT_3D('',#509731,#393662,#393663); #356413=AXIS2_PLACEMENT_3D('',#509733,#393665,#393666); #356414=AXIS2_PLACEMENT_3D('',#509739,#393670,#393671); #356415=AXIS2_PLACEMENT_3D('',#509745,#393675,#393676); #356416=AXIS2_PLACEMENT_3D('',#509751,#393680,#393681); #356417=AXIS2_PLACEMENT_3D('',#509757,#393685,#393686); #356418=AXIS2_PLACEMENT_3D('',#509759,#393687,#393688); #356419=AXIS2_PLACEMENT_3D('',#509761,#393689,#393690); #356420=AXIS2_PLACEMENT_3D('',#509763,#393692,#393693); #356421=AXIS2_PLACEMENT_3D('',#509769,#393697,#393698); #356422=AXIS2_PLACEMENT_3D('',#509775,#393702,#393703); #356423=AXIS2_PLACEMENT_3D('',#509781,#393707,#393708); #356424=AXIS2_PLACEMENT_3D('',#509787,#393712,#393713); #356425=AXIS2_PLACEMENT_3D('',#509793,#393717,#393718); #356426=AXIS2_PLACEMENT_3D('',#509799,#393722,#393723); #356427=AXIS2_PLACEMENT_3D('',#509805,#393727,#393728); #356428=AXIS2_PLACEMENT_3D('',#509807,#393729,#393730); #356429=AXIS2_PLACEMENT_3D('',#509809,#393731,#393732); #356430=AXIS2_PLACEMENT_3D('',#509811,#393734,#393735); #356431=AXIS2_PLACEMENT_3D('',#509817,#393739,#393740); #356432=AXIS2_PLACEMENT_3D('',#509819,#393741,#393742); #356433=AXIS2_PLACEMENT_3D('',#509821,#393743,#393744); #356434=AXIS2_PLACEMENT_3D('',#509823,#393746,#393747); #356435=AXIS2_PLACEMENT_3D('',#509829,#393751,#393752); #356436=AXIS2_PLACEMENT_3D('',#509831,#393753,#393754); #356437=AXIS2_PLACEMENT_3D('',#509833,#393755,#393756); #356438=AXIS2_PLACEMENT_3D('',#509835,#393758,#393759); #356439=AXIS2_PLACEMENT_3D('',#509841,#393763,#393764); #356440=AXIS2_PLACEMENT_3D('',#509847,#393768,#393769); #356441=AXIS2_PLACEMENT_3D('',#509848,#393770,#393771); #356442=AXIS2_PLACEMENT_3D('',#509849,#393772,#393773); #356443=AXIS2_PLACEMENT_3D('',#509850,#393774,#393775); #356444=AXIS2_PLACEMENT_3D('',#509851,#393776,#393777); #356445=AXIS2_PLACEMENT_3D('',#509852,#393778,#393779); #356446=AXIS2_PLACEMENT_3D('',#509854,#393780,#393781); #356447=AXIS2_PLACEMENT_3D('',#509857,#393783,#393784); #356448=AXIS2_PLACEMENT_3D('',#509858,#393785,#393786); #356449=AXIS2_PLACEMENT_3D('',#509867,#393791,#393792); #356450=AXIS2_PLACEMENT_3D('',#509873,#393796,#393797); #356451=AXIS2_PLACEMENT_3D('',#509879,#393801,#393802); #356452=AXIS2_PLACEMENT_3D('',#509885,#393806,#393807); #356453=AXIS2_PLACEMENT_3D('',#509891,#393811,#393812); #356454=AXIS2_PLACEMENT_3D('',#509897,#393816,#393817); #356455=AXIS2_PLACEMENT_3D('',#509903,#393821,#393822); #356456=AXIS2_PLACEMENT_3D('',#509905,#393823,#393824); #356457=AXIS2_PLACEMENT_3D('',#509907,#393825,#393826); #356458=AXIS2_PLACEMENT_3D('',#509909,#393828,#393829); #356459=AXIS2_PLACEMENT_3D('',#509915,#393833,#393834); #356460=AXIS2_PLACEMENT_3D('',#509917,#393835,#393836); #356461=AXIS2_PLACEMENT_3D('',#509919,#393837,#393838); #356462=AXIS2_PLACEMENT_3D('',#509921,#393840,#393841); #356463=AXIS2_PLACEMENT_3D('',#509923,#393842,#393843); #356464=AXIS2_PLACEMENT_3D('',#509925,#393844,#393845); #356465=AXIS2_PLACEMENT_3D('',#509927,#393847,#393848); #356466=AXIS2_PLACEMENT_3D('',#509933,#393852,#393853); #356467=AXIS2_PLACEMENT_3D('',#509939,#393857,#393858); #356468=AXIS2_PLACEMENT_3D('',#509941,#393859,#393860); #356469=AXIS2_PLACEMENT_3D('',#509943,#393861,#393862); #356470=AXIS2_PLACEMENT_3D('',#509945,#393864,#393865); #356471=AXIS2_PLACEMENT_3D('',#509948,#393868,#393869); #356472=AXIS2_PLACEMENT_3D('',#509949,#393870,#393871); #356473=AXIS2_PLACEMENT_3D('',#509950,#393872,#393873); #356474=AXIS2_PLACEMENT_3D('',#509952,#393874,#393875); #356475=AXIS2_PLACEMENT_3D('',#509955,#393877,#393878); #356476=AXIS2_PLACEMENT_3D('',#509956,#393879,#393880); #356477=AXIS2_PLACEMENT_3D('',#509965,#393885,#393886); #356478=AXIS2_PLACEMENT_3D('',#509971,#393890,#393891); #356479=AXIS2_PLACEMENT_3D('',#509977,#393895,#393896); #356480=AXIS2_PLACEMENT_3D('',#509983,#393900,#393901); #356481=AXIS2_PLACEMENT_3D('',#509989,#393905,#393906); #356482=AXIS2_PLACEMENT_3D('',#509995,#393910,#393911); #356483=AXIS2_PLACEMENT_3D('',#510001,#393915,#393916); #356484=AXIS2_PLACEMENT_3D('',#510007,#393920,#393921); #356485=AXIS2_PLACEMENT_3D('',#510013,#393925,#393926); #356486=AXIS2_PLACEMENT_3D('',#510019,#393930,#393931); #356487=AXIS2_PLACEMENT_3D('',#510021,#393932,#393933); #356488=AXIS2_PLACEMENT_3D('',#510023,#393934,#393935); #356489=AXIS2_PLACEMENT_3D('',#510025,#393937,#393938); #356490=AXIS2_PLACEMENT_3D('',#510031,#393942,#393943); #356491=AXIS2_PLACEMENT_3D('',#510033,#393944,#393945); #356492=AXIS2_PLACEMENT_3D('',#510035,#393946,#393947); #356493=AXIS2_PLACEMENT_3D('',#510037,#393949,#393950); #356494=AXIS2_PLACEMENT_3D('',#510043,#393954,#393955); #356495=AXIS2_PLACEMENT_3D('',#510049,#393959,#393960); #356496=AXIS2_PLACEMENT_3D('',#510055,#393964,#393965); #356497=AXIS2_PLACEMENT_3D('',#510057,#393966,#393967); #356498=AXIS2_PLACEMENT_3D('',#510059,#393968,#393969); #356499=AXIS2_PLACEMENT_3D('',#510061,#393971,#393972); #356500=AXIS2_PLACEMENT_3D('',#510067,#393976,#393977); #356501=AXIS2_PLACEMENT_3D('',#510069,#393978,#393979); #356502=AXIS2_PLACEMENT_3D('',#510071,#393980,#393981); #356503=AXIS2_PLACEMENT_3D('',#510073,#393983,#393984); #356504=AXIS2_PLACEMENT_3D('',#510079,#393988,#393989); #356505=AXIS2_PLACEMENT_3D('',#510085,#393993,#393994); #356506=AXIS2_PLACEMENT_3D('',#510091,#393998,#393999); #356507=AXIS2_PLACEMENT_3D('',#510093,#394000,#394001); #356508=AXIS2_PLACEMENT_3D('',#510095,#394002,#394003); #356509=AXIS2_PLACEMENT_3D('',#510097,#394005,#394006); #356510=AXIS2_PLACEMENT_3D('',#510099,#394007,#394008); #356511=AXIS2_PLACEMENT_3D('',#510101,#394009,#394010); #356512=AXIS2_PLACEMENT_3D('',#510103,#394012,#394013); #356513=AXIS2_PLACEMENT_3D('',#510109,#394017,#394018); #356514=AXIS2_PLACEMENT_3D('',#510111,#394019,#394020); #356515=AXIS2_PLACEMENT_3D('',#510113,#394021,#394022); #356516=AXIS2_PLACEMENT_3D('',#510115,#394024,#394025); #356517=AXIS2_PLACEMENT_3D('',#510121,#394029,#394030); #356518=AXIS2_PLACEMENT_3D('',#510123,#394031,#394032); #356519=AXIS2_PLACEMENT_3D('',#510125,#394033,#394034); #356520=AXIS2_PLACEMENT_3D('',#510127,#394036,#394037); #356521=AXIS2_PLACEMENT_3D('',#510133,#394041,#394042); #356522=AXIS2_PLACEMENT_3D('',#510139,#394046,#394047); #356523=AXIS2_PLACEMENT_3D('',#510145,#394051,#394052); #356524=AXIS2_PLACEMENT_3D('',#510147,#394053,#394054); #356525=AXIS2_PLACEMENT_3D('',#510149,#394055,#394056); #356526=AXIS2_PLACEMENT_3D('',#510151,#394058,#394059); #356527=AXIS2_PLACEMENT_3D('',#510157,#394063,#394064); #356528=AXIS2_PLACEMENT_3D('',#510159,#394065,#394066); #356529=AXIS2_PLACEMENT_3D('',#510161,#394067,#394068); #356530=AXIS2_PLACEMENT_3D('',#510163,#394070,#394071); #356531=AXIS2_PLACEMENT_3D('',#510169,#394075,#394076); #356532=AXIS2_PLACEMENT_3D('',#510175,#394080,#394081); #356533=AXIS2_PLACEMENT_3D('',#510181,#394085,#394086); #356534=AXIS2_PLACEMENT_3D('',#510187,#394090,#394091); #356535=AXIS2_PLACEMENT_3D('',#510193,#394095,#394096); #356536=AXIS2_PLACEMENT_3D('',#510199,#394100,#394101); #356537=AXIS2_PLACEMENT_3D('',#510205,#394105,#394106); #356538=AXIS2_PLACEMENT_3D('',#510211,#394110,#394111); #356539=AXIS2_PLACEMENT_3D('',#510214,#394114,#394115); #356540=AXIS2_PLACEMENT_3D('',#510215,#394116,#394117); #356541=AXIS2_PLACEMENT_3D('',#510216,#394118,#394119); #356542=AXIS2_PLACEMENT_3D('',#510218,#394120,#394121); #356543=AXIS2_PLACEMENT_3D('',#510221,#394123,#394124); #356544=AXIS2_PLACEMENT_3D('',#510222,#394125,#394126); #356545=AXIS2_PLACEMENT_3D('',#510231,#394131,#394132); #356546=AXIS2_PLACEMENT_3D('',#510237,#394136,#394137); #356547=AXIS2_PLACEMENT_3D('',#510243,#394141,#394142); #356548=AXIS2_PLACEMENT_3D('',#510249,#394146,#394147); #356549=AXIS2_PLACEMENT_3D('',#510255,#394151,#394152); #356550=AXIS2_PLACEMENT_3D('',#510257,#394153,#394154); #356551=AXIS2_PLACEMENT_3D('',#510259,#394155,#394156); #356552=AXIS2_PLACEMENT_3D('',#510261,#394158,#394159); #356553=AXIS2_PLACEMENT_3D('',#510267,#394163,#394164); #356554=AXIS2_PLACEMENT_3D('',#510273,#394168,#394169); #356555=AXIS2_PLACEMENT_3D('',#510275,#394170,#394171); #356556=AXIS2_PLACEMENT_3D('',#510277,#394172,#394173); #356557=AXIS2_PLACEMENT_3D('',#510279,#394175,#394176); #356558=AXIS2_PLACEMENT_3D('',#510285,#394180,#394181); #356559=AXIS2_PLACEMENT_3D('',#510291,#394185,#394186); #356560=AXIS2_PLACEMENT_3D('',#510293,#394187,#394188); #356561=AXIS2_PLACEMENT_3D('',#510295,#394189,#394190); #356562=AXIS2_PLACEMENT_3D('',#510297,#394192,#394193); #356563=AXIS2_PLACEMENT_3D('',#510299,#394194,#394195); #356564=AXIS2_PLACEMENT_3D('',#510301,#394196,#394197); #356565=AXIS2_PLACEMENT_3D('',#510303,#394199,#394200); #356566=AXIS2_PLACEMENT_3D('',#510309,#394204,#394205); #356567=AXIS2_PLACEMENT_3D('',#510311,#394206,#394207); #356568=AXIS2_PLACEMENT_3D('',#510313,#394208,#394209); #356569=AXIS2_PLACEMENT_3D('',#510315,#394211,#394212); #356570=AXIS2_PLACEMENT_3D('',#510321,#394216,#394217); #356571=AXIS2_PLACEMENT_3D('',#510327,#394221,#394222); #356572=AXIS2_PLACEMENT_3D('',#510329,#394223,#394224); #356573=AXIS2_PLACEMENT_3D('',#510331,#394225,#394226); #356574=AXIS2_PLACEMENT_3D('',#510333,#394228,#394229); #356575=AXIS2_PLACEMENT_3D('',#510339,#394233,#394234); #356576=AXIS2_PLACEMENT_3D('',#510345,#394238,#394239); #356577=AXIS2_PLACEMENT_3D('',#510348,#394242,#394243); #356578=AXIS2_PLACEMENT_3D('',#510349,#394244,#394245); #356579=AXIS2_PLACEMENT_3D('',#510350,#394246,#394247); #356580=AXIS2_PLACEMENT_3D('',#510352,#394248,#394249); #356581=AXIS2_PLACEMENT_3D('',#510355,#394251,#394252); #356582=AXIS2_PLACEMENT_3D('',#510356,#394253,#394254); #356583=AXIS2_PLACEMENT_3D('',#510365,#394259,#394260); #356584=AXIS2_PLACEMENT_3D('',#510371,#394264,#394265); #356585=AXIS2_PLACEMENT_3D('',#510377,#394269,#394270); #356586=AXIS2_PLACEMENT_3D('',#510380,#394273,#394274); #356587=AXIS2_PLACEMENT_3D('',#510381,#394275,#394276); #356588=AXIS2_PLACEMENT_3D('',#510382,#394277,#394278); #356589=AXIS2_PLACEMENT_3D('',#510384,#394279,#394280); #356590=AXIS2_PLACEMENT_3D('',#510387,#394282,#394283); #356591=AXIS2_PLACEMENT_3D('',#510388,#394284,#394285); #356592=AXIS2_PLACEMENT_3D('',#510397,#394290,#394291); #356593=AXIS2_PLACEMENT_3D('',#510403,#394295,#394296); #356594=AXIS2_PLACEMENT_3D('',#510409,#394300,#394301); #356595=AXIS2_PLACEMENT_3D('',#510412,#394304,#394305); #356596=AXIS2_PLACEMENT_3D('',#510413,#394306,#394307); #356597=AXIS2_PLACEMENT_3D('',#510414,#394308,#394309); #356598=AXIS2_PLACEMENT_3D('',#510416,#394310,#394311); #356599=AXIS2_PLACEMENT_3D('',#510419,#394313,#394314); #356600=AXIS2_PLACEMENT_3D('',#510420,#394315,#394316); #356601=AXIS2_PLACEMENT_3D('',#510429,#394321,#394322); #356602=AXIS2_PLACEMENT_3D('',#510431,#394323,#394324); #356603=AXIS2_PLACEMENT_3D('',#510433,#394325,#394326); #356604=AXIS2_PLACEMENT_3D('',#510435,#394328,#394329); #356605=AXIS2_PLACEMENT_3D('',#510441,#394333,#394334); #356606=AXIS2_PLACEMENT_3D('',#510443,#394335,#394336); #356607=AXIS2_PLACEMENT_3D('',#510445,#394337,#394338); #356608=AXIS2_PLACEMENT_3D('',#510447,#394340,#394341); #356609=AXIS2_PLACEMENT_3D('',#510453,#394345,#394346); #356610=AXIS2_PLACEMENT_3D('',#510459,#394350,#394351); #356611=AXIS2_PLACEMENT_3D('',#510465,#394355,#394356); #356612=AXIS2_PLACEMENT_3D('',#510471,#394360,#394361); #356613=AXIS2_PLACEMENT_3D('',#510477,#394365,#394366); #356614=AXIS2_PLACEMENT_3D('',#510483,#394370,#394371); #356615=AXIS2_PLACEMENT_3D('',#510489,#394375,#394376); #356616=AXIS2_PLACEMENT_3D('',#510495,#394380,#394381); #356617=AXIS2_PLACEMENT_3D('',#510501,#394385,#394386); #356618=AXIS2_PLACEMENT_3D('',#510502,#394387,#394388); #356619=AXIS2_PLACEMENT_3D('',#510503,#394389,#394390); #356620=AXIS2_PLACEMENT_3D('',#510504,#394391,#394392); #356621=AXIS2_PLACEMENT_3D('',#510505,#394393,#394394); #356622=AXIS2_PLACEMENT_3D('',#510506,#394395,#394396); #356623=AXIS2_PLACEMENT_3D('',#510508,#394397,#394398); #356624=AXIS2_PLACEMENT_3D('',#510511,#394400,#394401); #356625=AXIS2_PLACEMENT_3D('',#510512,#394402,#394403); #356626=AXIS2_PLACEMENT_3D('',#510521,#394408,#394409); #356627=AXIS2_PLACEMENT_3D('',#510523,#394410,#394411); #356628=AXIS2_PLACEMENT_3D('',#510525,#394412,#394413); #356629=AXIS2_PLACEMENT_3D('',#510527,#394415,#394416); #356630=AXIS2_PLACEMENT_3D('',#510528,#394417,#394418); #356631=AXIS2_PLACEMENT_3D('',#510529,#394419,#394420); #356632=AXIS2_PLACEMENT_3D('',#510530,#394421,#394422); #356633=AXIS2_PLACEMENT_3D('',#510531,#394423,#394424); #356634=AXIS2_PLACEMENT_3D('',#510532,#394425,#394426); #356635=AXIS2_PLACEMENT_3D('',#510534,#394427,#394428); #356636=AXIS2_PLACEMENT_3D('',#510537,#394430,#394431); #356637=AXIS2_PLACEMENT_3D('',#510538,#394432,#394433); #356638=AXIS2_PLACEMENT_3D('',#510540,#394434,#394435); #356639=AXIS2_PLACEMENT_3D('',#510543,#394437,#394438); #356640=AXIS2_PLACEMENT_3D('',#510544,#394439,#394440); #356641=AXIS2_PLACEMENT_3D('',#510553,#394445,#394446); #356642=AXIS2_PLACEMENT_3D('',#510555,#394447,#394448); #356643=AXIS2_PLACEMENT_3D('',#510557,#394449,#394450); #356644=AXIS2_PLACEMENT_3D('',#510559,#394452,#394453); #356645=AXIS2_PLACEMENT_3D('',#510565,#394457,#394458); #356646=AXIS2_PLACEMENT_3D('',#510567,#394459,#394460); #356647=AXIS2_PLACEMENT_3D('',#510569,#394461,#394462); #356648=AXIS2_PLACEMENT_3D('',#510571,#394464,#394465); #356649=AXIS2_PLACEMENT_3D('',#510573,#394466,#394467); #356650=AXIS2_PLACEMENT_3D('',#510575,#394468,#394469); #356651=AXIS2_PLACEMENT_3D('',#510577,#394471,#394472); #356652=AXIS2_PLACEMENT_3D('',#510583,#394476,#394477); #356653=AXIS2_PLACEMENT_3D('',#510584,#394478,#394479); #356654=AXIS2_PLACEMENT_3D('',#510585,#394480,#394481); #356655=AXIS2_PLACEMENT_3D('',#510586,#394482,#394483); #356656=AXIS2_PLACEMENT_3D('',#510587,#394484,#394485); #356657=AXIS2_PLACEMENT_3D('',#510588,#394486,#394487); #356658=AXIS2_PLACEMENT_3D('',#510590,#394488,#394489); #356659=AXIS2_PLACEMENT_3D('',#510593,#394491,#394492); #356660=AXIS2_PLACEMENT_3D('',#510594,#394493,#394494); #356661=AXIS2_PLACEMENT_3D('',#510603,#394499,#394500); #356662=AXIS2_PLACEMENT_3D('',#510605,#394501,#394502); #356663=AXIS2_PLACEMENT_3D('',#510607,#394503,#394504); #356664=AXIS2_PLACEMENT_3D('',#510609,#394506,#394507); #356665=AXIS2_PLACEMENT_3D('',#510610,#394508,#394509); #356666=AXIS2_PLACEMENT_3D('',#510611,#394510,#394511); #356667=AXIS2_PLACEMENT_3D('',#510612,#394512,#394513); #356668=AXIS2_PLACEMENT_3D('',#510613,#394514,#394515); #356669=AXIS2_PLACEMENT_3D('',#510614,#394516,#394517); #356670=AXIS2_PLACEMENT_3D('',#510616,#394518,#394519); #356671=AXIS2_PLACEMENT_3D('',#510619,#394521,#394522); #356672=AXIS2_PLACEMENT_3D('',#510620,#394523,#394524); #356673=AXIS2_PLACEMENT_3D('',#510629,#394529,#394530); #356674=AXIS2_PLACEMENT_3D('',#510635,#394534,#394535); #356675=AXIS2_PLACEMENT_3D('',#510641,#394539,#394540); #356676=AXIS2_PLACEMENT_3D('',#510644,#394543,#394544); #356677=AXIS2_PLACEMENT_3D('',#510645,#394545,#394546); #356678=AXIS2_PLACEMENT_3D('',#510646,#394547,#394548); #356679=AXIS2_PLACEMENT_3D('',#510648,#394549,#394550); #356680=AXIS2_PLACEMENT_3D('',#510651,#394552,#394553); #356681=AXIS2_PLACEMENT_3D('',#510652,#394554,#394555); #356682=AXIS2_PLACEMENT_3D('',#510661,#394560,#394561); #356683=AXIS2_PLACEMENT_3D('',#510667,#394565,#394566); #356684=AXIS2_PLACEMENT_3D('',#510673,#394570,#394571); #356685=AXIS2_PLACEMENT_3D('',#510679,#394575,#394576); #356686=AXIS2_PLACEMENT_3D('',#510685,#394580,#394581); #356687=AXIS2_PLACEMENT_3D('',#510691,#394585,#394586); #356688=AXIS2_PLACEMENT_3D('',#510697,#394590,#394591); #356689=AXIS2_PLACEMENT_3D('',#510703,#394595,#394596); #356690=AXIS2_PLACEMENT_3D('',#510709,#394600,#394601); #356691=AXIS2_PLACEMENT_3D('',#510715,#394605,#394606); #356692=AXIS2_PLACEMENT_3D('',#510721,#394610,#394611); #356693=AXIS2_PLACEMENT_3D('',#510727,#394615,#394616); #356694=AXIS2_PLACEMENT_3D('',#510729,#394617,#394618); #356695=AXIS2_PLACEMENT_3D('',#510731,#394619,#394620); #356696=AXIS2_PLACEMENT_3D('',#510733,#394622,#394623); #356697=AXIS2_PLACEMENT_3D('',#510739,#394627,#394628); #356698=AXIS2_PLACEMENT_3D('',#510741,#394629,#394630); #356699=AXIS2_PLACEMENT_3D('',#510743,#394631,#394632); #356700=AXIS2_PLACEMENT_3D('',#510745,#394634,#394635); #356701=AXIS2_PLACEMENT_3D('',#510751,#394639,#394640); #356702=AXIS2_PLACEMENT_3D('',#510757,#394644,#394645); #356703=AXIS2_PLACEMENT_3D('',#510763,#394649,#394650); #356704=AXIS2_PLACEMENT_3D('',#510765,#394651,#394652); #356705=AXIS2_PLACEMENT_3D('',#510767,#394653,#394654); #356706=AXIS2_PLACEMENT_3D('',#510769,#394656,#394657); #356707=AXIS2_PLACEMENT_3D('',#510775,#394661,#394662); #356708=AXIS2_PLACEMENT_3D('',#510777,#394663,#394664); #356709=AXIS2_PLACEMENT_3D('',#510779,#394665,#394666); #356710=AXIS2_PLACEMENT_3D('',#510781,#394668,#394669); #356711=AXIS2_PLACEMENT_3D('',#510787,#394673,#394674); #356712=AXIS2_PLACEMENT_3D('',#510789,#394675,#394676); #356713=AXIS2_PLACEMENT_3D('',#510791,#394677,#394678); #356714=AXIS2_PLACEMENT_3D('',#510793,#394680,#394681); #356715=AXIS2_PLACEMENT_3D('',#510795,#394682,#394683); #356716=AXIS2_PLACEMENT_3D('',#510797,#394684,#394685); #356717=AXIS2_PLACEMENT_3D('',#510799,#394687,#394688); #356718=AXIS2_PLACEMENT_3D('',#510805,#394692,#394693); #356719=AXIS2_PLACEMENT_3D('',#510811,#394697,#394698); #356720=AXIS2_PLACEMENT_3D('',#510817,#394702,#394703); #356721=AXIS2_PLACEMENT_3D('',#510819,#394704,#394705); #356722=AXIS2_PLACEMENT_3D('',#510821,#394706,#394707); #356723=AXIS2_PLACEMENT_3D('',#510823,#394709,#394710); #356724=AXIS2_PLACEMENT_3D('',#510829,#394714,#394715); #356725=AXIS2_PLACEMENT_3D('',#510831,#394716,#394717); #356726=AXIS2_PLACEMENT_3D('',#510833,#394718,#394719); #356727=AXIS2_PLACEMENT_3D('',#510835,#394721,#394722); #356728=AXIS2_PLACEMENT_3D('',#510841,#394726,#394727); #356729=AXIS2_PLACEMENT_3D('',#510847,#394731,#394732); #356730=AXIS2_PLACEMENT_3D('',#510853,#394736,#394737); #356731=AXIS2_PLACEMENT_3D('',#510855,#394738,#394739); #356732=AXIS2_PLACEMENT_3D('',#510857,#394740,#394741); #356733=AXIS2_PLACEMENT_3D('',#510859,#394743,#394744); #356734=AXIS2_PLACEMENT_3D('',#510865,#394748,#394749); #356735=AXIS2_PLACEMENT_3D('',#510867,#394750,#394751); #356736=AXIS2_PLACEMENT_3D('',#510869,#394752,#394753); #356737=AXIS2_PLACEMENT_3D('',#510871,#394755,#394756); #356738=AXIS2_PLACEMENT_3D('',#510877,#394760,#394761); #356739=AXIS2_PLACEMENT_3D('',#510883,#394765,#394766); #356740=AXIS2_PLACEMENT_3D('',#510889,#394770,#394771); #356741=AXIS2_PLACEMENT_3D('',#510895,#394775,#394776); #356742=AXIS2_PLACEMENT_3D('',#510901,#394780,#394781); #356743=AXIS2_PLACEMENT_3D('',#510907,#394785,#394786); #356744=AXIS2_PLACEMENT_3D('',#510910,#394789,#394790); #356745=AXIS2_PLACEMENT_3D('',#510911,#394791,#394792); #356746=AXIS2_PLACEMENT_3D('',#510912,#394793,#394794); #356747=AXIS2_PLACEMENT_3D('',#510914,#394795,#394796); #356748=AXIS2_PLACEMENT_3D('',#510917,#394798,#394799); #356749=AXIS2_PLACEMENT_3D('',#510918,#394800,#394801); #356750=AXIS2_PLACEMENT_3D('',#510927,#394806,#394807); #356751=AXIS2_PLACEMENT_3D('',#510933,#394811,#394812); #356752=AXIS2_PLACEMENT_3D('',#510939,#394816,#394817); #356753=AXIS2_PLACEMENT_3D('',#510945,#394821,#394822); #356754=AXIS2_PLACEMENT_3D('',#510947,#394823,#394824); #356755=AXIS2_PLACEMENT_3D('',#510949,#394825,#394826); #356756=AXIS2_PLACEMENT_3D('',#510951,#394828,#394829); #356757=AXIS2_PLACEMENT_3D('',#510957,#394833,#394834); #356758=AXIS2_PLACEMENT_3D('',#510959,#394835,#394836); #356759=AXIS2_PLACEMENT_3D('',#510961,#394837,#394838); #356760=AXIS2_PLACEMENT_3D('',#510963,#394840,#394841); #356761=AXIS2_PLACEMENT_3D('',#510969,#394845,#394846); #356762=AXIS2_PLACEMENT_3D('',#510975,#394850,#394851); #356763=AXIS2_PLACEMENT_3D('',#510977,#394852,#394853); #356764=AXIS2_PLACEMENT_3D('',#510979,#394854,#394855); #356765=AXIS2_PLACEMENT_3D('',#510981,#394857,#394858); #356766=AXIS2_PLACEMENT_3D('',#510987,#394862,#394863); #356767=AXIS2_PLACEMENT_3D('',#510989,#394864,#394865); #356768=AXIS2_PLACEMENT_3D('',#510991,#394866,#394867); #356769=AXIS2_PLACEMENT_3D('',#510993,#394869,#394870); #356770=AXIS2_PLACEMENT_3D('',#510995,#394871,#394872); #356771=AXIS2_PLACEMENT_3D('',#510997,#394873,#394874); #356772=AXIS2_PLACEMENT_3D('',#510999,#394876,#394877); #356773=AXIS2_PLACEMENT_3D('',#511005,#394881,#394882); #356774=AXIS2_PLACEMENT_3D('',#511011,#394886,#394887); #356775=AXIS2_PLACEMENT_3D('',#511013,#394888,#394889); #356776=AXIS2_PLACEMENT_3D('',#511015,#394890,#394891); #356777=AXIS2_PLACEMENT_3D('',#511017,#394893,#394894); #356778=AXIS2_PLACEMENT_3D('',#511023,#394898,#394899); #356779=AXIS2_PLACEMENT_3D('',#511029,#394903,#394904); #356780=AXIS2_PLACEMENT_3D('',#511035,#394908,#394909); #356781=AXIS2_PLACEMENT_3D('',#511041,#394913,#394914); #356782=AXIS2_PLACEMENT_3D('',#511044,#394917,#394918); #356783=AXIS2_PLACEMENT_3D('',#511045,#394919,#394920); #356784=AXIS2_PLACEMENT_3D('',#511046,#394921,#394922); #356785=AXIS2_PLACEMENT_3D('',#511055,#394927,#394928); #356786=AXIS2_PLACEMENT_3D('',#511061,#394932,#394933); #356787=AXIS2_PLACEMENT_3D('',#511067,#394937,#394938); #356788=AXIS2_PLACEMENT_3D('',#511073,#394942,#394943); #356789=AXIS2_PLACEMENT_3D('',#511079,#394947,#394948); #356790=AXIS2_PLACEMENT_3D('',#511085,#394952,#394953); #356791=AXIS2_PLACEMENT_3D('',#511091,#394957,#394958); #356792=AXIS2_PLACEMENT_3D('',#511097,#394962,#394963); #356793=AXIS2_PLACEMENT_3D('',#511103,#394967,#394968); #356794=AXIS2_PLACEMENT_3D('',#511109,#394972,#394973); #356795=AXIS2_PLACEMENT_3D('',#511115,#394977,#394978); #356796=AXIS2_PLACEMENT_3D('',#511118,#394981,#394982); #356797=AXIS2_PLACEMENT_3D('',#511119,#394983,#394984); #356798=AXIS2_PLACEMENT_3D('',#511120,#394985,#394986); #356799=AXIS2_PLACEMENT_3D('',#511129,#394991,#394992); #356800=AXIS2_PLACEMENT_3D('',#511135,#394996,#394997); #356801=AXIS2_PLACEMENT_3D('',#511141,#395001,#395002); #356802=AXIS2_PLACEMENT_3D('',#511147,#395006,#395007); #356803=AXIS2_PLACEMENT_3D('',#511153,#395011,#395012); #356804=AXIS2_PLACEMENT_3D('',#511159,#395016,#395017); #356805=AXIS2_PLACEMENT_3D('',#511165,#395021,#395022); #356806=AXIS2_PLACEMENT_3D('',#511171,#395026,#395027); #356807=AXIS2_PLACEMENT_3D('',#511177,#395031,#395032); #356808=AXIS2_PLACEMENT_3D('',#511183,#395036,#395037); #356809=AXIS2_PLACEMENT_3D('',#511189,#395041,#395042); #356810=AXIS2_PLACEMENT_3D('',#511192,#395045,#395046); #356811=AXIS2_PLACEMENT_3D('',#511193,#395047,#395048); #356812=AXIS2_PLACEMENT_3D('',#511194,#395049,#395050); #356813=AXIS2_PLACEMENT_3D('',#511196,#395051,#395052); #356814=AXIS2_PLACEMENT_3D('',#511199,#395054,#395055); #356815=AXIS2_PLACEMENT_3D('',#511200,#395056,#395057); #356816=AXIS2_PLACEMENT_3D('',#511209,#395062,#395063); #356817=AXIS2_PLACEMENT_3D('',#511215,#395067,#395068); #356818=AXIS2_PLACEMENT_3D('',#511221,#395072,#395073); #356819=AXIS2_PLACEMENT_3D('',#511227,#395077,#395078); #356820=AXIS2_PLACEMENT_3D('',#511229,#395079,#395080); #356821=AXIS2_PLACEMENT_3D('',#511231,#395081,#395082); #356822=AXIS2_PLACEMENT_3D('',#511233,#395084,#395085); #356823=AXIS2_PLACEMENT_3D('',#511239,#395089,#395090); #356824=AXIS2_PLACEMENT_3D('',#511241,#395091,#395092); #356825=AXIS2_PLACEMENT_3D('',#511243,#395093,#395094); #356826=AXIS2_PLACEMENT_3D('',#511245,#395096,#395097); #356827=AXIS2_PLACEMENT_3D('',#511251,#395101,#395102); #356828=AXIS2_PLACEMENT_3D('',#511253,#395103,#395104); #356829=AXIS2_PLACEMENT_3D('',#511255,#395105,#395106); #356830=AXIS2_PLACEMENT_3D('',#511257,#395108,#395109); #356831=AXIS2_PLACEMENT_3D('',#511263,#395113,#395114); #356832=AXIS2_PLACEMENT_3D('',#511265,#395115,#395116); #356833=AXIS2_PLACEMENT_3D('',#511267,#395117,#395118); #356834=AXIS2_PLACEMENT_3D('',#511269,#395120,#395121); #356835=AXIS2_PLACEMENT_3D('',#511271,#395122,#395123); #356836=AXIS2_PLACEMENT_3D('',#511273,#395124,#395125); #356837=AXIS2_PLACEMENT_3D('',#511275,#395127,#395128); #356838=AXIS2_PLACEMENT_3D('',#511281,#395132,#395133); #356839=AXIS2_PLACEMENT_3D('',#511287,#395137,#395138); #356840=AXIS2_PLACEMENT_3D('',#511293,#395142,#395143); #356841=AXIS2_PLACEMENT_3D('',#511299,#395147,#395148); #356842=AXIS2_PLACEMENT_3D('',#511302,#395151,#395152); #356843=AXIS2_PLACEMENT_3D('',#511303,#395153,#395154); #356844=AXIS2_PLACEMENT_3D('',#511304,#395155,#395156); #356845=AXIS2_PLACEMENT_3D('',#511306,#395157,#395158); #356846=AXIS2_PLACEMENT_3D('',#511309,#395160,#395161); #356847=AXIS2_PLACEMENT_3D('',#511310,#395162,#395163); #356848=AXIS2_PLACEMENT_3D('',#511319,#395168,#395169); #356849=AXIS2_PLACEMENT_3D('',#511321,#395170,#395171); #356850=AXIS2_PLACEMENT_3D('',#511323,#395172,#395173); #356851=AXIS2_PLACEMENT_3D('',#511325,#395175,#395176); #356852=AXIS2_PLACEMENT_3D('',#511331,#395180,#395181); #356853=AXIS2_PLACEMENT_3D('',#511333,#395182,#395183); #356854=AXIS2_PLACEMENT_3D('',#511335,#395184,#395185); #356855=AXIS2_PLACEMENT_3D('',#511337,#395187,#395188); #356856=AXIS2_PLACEMENT_3D('',#511343,#395192,#395193); #356857=AXIS2_PLACEMENT_3D('',#511345,#395194,#395195); #356858=AXIS2_PLACEMENT_3D('',#511347,#395196,#395197); #356859=AXIS2_PLACEMENT_3D('',#511349,#395199,#395200); #356860=AXIS2_PLACEMENT_3D('',#511355,#395204,#395205); #356861=AXIS2_PLACEMENT_3D('',#511361,#395209,#395210); #356862=AXIS2_PLACEMENT_3D('',#511367,#395214,#395215); #356863=AXIS2_PLACEMENT_3D('',#511373,#395219,#395220); #356864=AXIS2_PLACEMENT_3D('',#511379,#395224,#395225); #356865=AXIS2_PLACEMENT_3D('',#511385,#395229,#395230); #356866=AXIS2_PLACEMENT_3D('',#511391,#395234,#395235); #356867=AXIS2_PLACEMENT_3D('',#511397,#395239,#395240); #356868=AXIS2_PLACEMENT_3D('',#511403,#395244,#395245); #356869=AXIS2_PLACEMENT_3D('',#511405,#395246,#395247); #356870=AXIS2_PLACEMENT_3D('',#511407,#395248,#395249); #356871=AXIS2_PLACEMENT_3D('',#511409,#395251,#395252); #356872=AXIS2_PLACEMENT_3D('',#511415,#395256,#395257); #356873=AXIS2_PLACEMENT_3D('',#511417,#395258,#395259); #356874=AXIS2_PLACEMENT_3D('',#511419,#395260,#395261); #356875=AXIS2_PLACEMENT_3D('',#511421,#395263,#395264); #356876=AXIS2_PLACEMENT_3D('',#511427,#395268,#395269); #356877=AXIS2_PLACEMENT_3D('',#511433,#395273,#395274); #356878=AXIS2_PLACEMENT_3D('',#511439,#395278,#395279); #356879=AXIS2_PLACEMENT_3D('',#511440,#395280,#395281); #356880=AXIS2_PLACEMENT_3D('',#511441,#395282,#395283); #356881=AXIS2_PLACEMENT_3D('',#511442,#395284,#395285); #356882=AXIS2_PLACEMENT_3D('',#511443,#395286,#395287); #356883=AXIS2_PLACEMENT_3D('',#511444,#395288,#395289); #356884=AXIS2_PLACEMENT_3D('',#511446,#395290,#395291); #356885=AXIS2_PLACEMENT_3D('',#511449,#395293,#395294); #356886=AXIS2_PLACEMENT_3D('',#511450,#395295,#395296); #356887=AXIS2_PLACEMENT_3D('',#511459,#395301,#395302); #356888=AXIS2_PLACEMENT_3D('',#511461,#395303,#395304); #356889=AXIS2_PLACEMENT_3D('',#511463,#395305,#395306); #356890=AXIS2_PLACEMENT_3D('',#511465,#395308,#395309); #356891=AXIS2_PLACEMENT_3D('',#511467,#395310,#395311); #356892=AXIS2_PLACEMENT_3D('',#511469,#395312,#395313); #356893=AXIS2_PLACEMENT_3D('',#511471,#395315,#395316); #356894=AXIS2_PLACEMENT_3D('',#511477,#395320,#395321); #356895=AXIS2_PLACEMENT_3D('',#511483,#395325,#395326); #356896=AXIS2_PLACEMENT_3D('',#511489,#395330,#395331); #356897=AXIS2_PLACEMENT_3D('',#511495,#395335,#395336); #356898=AXIS2_PLACEMENT_3D('',#511501,#395340,#395341); #356899=AXIS2_PLACEMENT_3D('',#511507,#395345,#395346); #356900=AXIS2_PLACEMENT_3D('',#511509,#395347,#395348); #356901=AXIS2_PLACEMENT_3D('',#511511,#395349,#395350); #356902=AXIS2_PLACEMENT_3D('',#511513,#395352,#395353); #356903=AXIS2_PLACEMENT_3D('',#511519,#395357,#395358); #356904=AXIS2_PLACEMENT_3D('',#511520,#395359,#395360); #356905=AXIS2_PLACEMENT_3D('',#511521,#395361,#395362); #356906=AXIS2_PLACEMENT_3D('',#511522,#395363,#395364); #356907=AXIS2_PLACEMENT_3D('',#511523,#395365,#395366); #356908=AXIS2_PLACEMENT_3D('',#511524,#395367,#395368); #356909=AXIS2_PLACEMENT_3D('',#511533,#395373,#395374); #356910=AXIS2_PLACEMENT_3D('',#511539,#395378,#395379); #356911=AXIS2_PLACEMENT_3D('',#511545,#395383,#395384); #356912=AXIS2_PLACEMENT_3D('',#511551,#395388,#395389); #356913=AXIS2_PLACEMENT_3D('',#511553,#395390,#395391); #356914=AXIS2_PLACEMENT_3D('',#511555,#395392,#395393); #356915=AXIS2_PLACEMENT_3D('',#511557,#395395,#395396); #356916=AXIS2_PLACEMENT_3D('',#511563,#395400,#395401); #356917=AXIS2_PLACEMENT_3D('',#511565,#395402,#395403); #356918=AXIS2_PLACEMENT_3D('',#511567,#395404,#395405); #356919=AXIS2_PLACEMENT_3D('',#511569,#395407,#395408); #356920=AXIS2_PLACEMENT_3D('',#511575,#395412,#395413); #356921=AXIS2_PLACEMENT_3D('',#511581,#395417,#395418); #356922=AXIS2_PLACEMENT_3D('',#511587,#395422,#395423); #356923=AXIS2_PLACEMENT_3D('',#511589,#395424,#395425); #356924=AXIS2_PLACEMENT_3D('',#511591,#395426,#395427); #356925=AXIS2_PLACEMENT_3D('',#511593,#395429,#395430); #356926=AXIS2_PLACEMENT_3D('',#511599,#395434,#395435); #356927=AXIS2_PLACEMENT_3D('',#511601,#395436,#395437); #356928=AXIS2_PLACEMENT_3D('',#511603,#395438,#395439); #356929=AXIS2_PLACEMENT_3D('',#511605,#395441,#395442); #356930=AXIS2_PLACEMENT_3D('',#511611,#395446,#395447); #356931=AXIS2_PLACEMENT_3D('',#511617,#395451,#395452); #356932=AXIS2_PLACEMENT_3D('',#511623,#395456,#395457); #356933=AXIS2_PLACEMENT_3D('',#511629,#395461,#395462); #356934=AXIS2_PLACEMENT_3D('',#511635,#395466,#395467); #356935=AXIS2_PLACEMENT_3D('',#511641,#395471,#395472); #356936=AXIS2_PLACEMENT_3D('',#511647,#395476,#395477); #356937=AXIS2_PLACEMENT_3D('',#511653,#395481,#395482); #356938=AXIS2_PLACEMENT_3D('',#511659,#395486,#395487); #356939=AXIS2_PLACEMENT_3D('',#511661,#395488,#395489); #356940=AXIS2_PLACEMENT_3D('',#511663,#395490,#395491); #356941=AXIS2_PLACEMENT_3D('',#511665,#395493,#395494); #356942=AXIS2_PLACEMENT_3D('',#511671,#395498,#395499); #356943=AXIS2_PLACEMENT_3D('',#511673,#395500,#395501); #356944=AXIS2_PLACEMENT_3D('',#511675,#395502,#395503); #356945=AXIS2_PLACEMENT_3D('',#511677,#395505,#395506); #356946=AXIS2_PLACEMENT_3D('',#511683,#395510,#395511); #356947=AXIS2_PLACEMENT_3D('',#511689,#395515,#395516); #356948=AXIS2_PLACEMENT_3D('',#511695,#395520,#395521); #356949=AXIS2_PLACEMENT_3D('',#511697,#395522,#395523); #356950=AXIS2_PLACEMENT_3D('',#511699,#395524,#395525); #356951=AXIS2_PLACEMENT_3D('',#511701,#395527,#395528); #356952=AXIS2_PLACEMENT_3D('',#511707,#395532,#395533); #356953=AXIS2_PLACEMENT_3D('',#511709,#395534,#395535); #356954=AXIS2_PLACEMENT_3D('',#511711,#395536,#395537); #356955=AXIS2_PLACEMENT_3D('',#511713,#395539,#395540); #356956=AXIS2_PLACEMENT_3D('',#511719,#395544,#395545); #356957=AXIS2_PLACEMENT_3D('',#511725,#395549,#395550); #356958=AXIS2_PLACEMENT_3D('',#511731,#395554,#395555); #356959=AXIS2_PLACEMENT_3D('',#511737,#395559,#395560); #356960=AXIS2_PLACEMENT_3D('',#511740,#395563,#395564); #356961=AXIS2_PLACEMENT_3D('',#511741,#395565,#395566); #356962=AXIS2_PLACEMENT_3D('',#511742,#395567,#395568); #356963=AXIS2_PLACEMENT_3D('',#511744,#395569,#395570); #356964=AXIS2_PLACEMENT_3D('',#511747,#395572,#395573); #356965=AXIS2_PLACEMENT_3D('',#511748,#395574,#395575); #356966=AXIS2_PLACEMENT_3D('',#511757,#395580,#395581); #356967=AXIS2_PLACEMENT_3D('',#511759,#395582,#395583); #356968=AXIS2_PLACEMENT_3D('',#511761,#395584,#395585); #356969=AXIS2_PLACEMENT_3D('',#511763,#395587,#395588); #356970=AXIS2_PLACEMENT_3D('',#511769,#395592,#395593); #356971=AXIS2_PLACEMENT_3D('',#511775,#395597,#395598); #356972=AXIS2_PLACEMENT_3D('',#511781,#395602,#395603); #356973=AXIS2_PLACEMENT_3D('',#511787,#395607,#395608); #356974=AXIS2_PLACEMENT_3D('',#511793,#395612,#395613); #356975=AXIS2_PLACEMENT_3D('',#511799,#395617,#395618); #356976=AXIS2_PLACEMENT_3D('',#511805,#395622,#395623); #356977=AXIS2_PLACEMENT_3D('',#511806,#395624,#395625); #356978=AXIS2_PLACEMENT_3D('',#511807,#395626,#395627); #356979=AXIS2_PLACEMENT_3D('',#511808,#395628,#395629); #356980=AXIS2_PLACEMENT_3D('',#511809,#395630,#395631); #356981=AXIS2_PLACEMENT_3D('',#511810,#395632,#395633); #356982=AXIS2_PLACEMENT_3D('',#511812,#395634,#395635); #356983=AXIS2_PLACEMENT_3D('',#511815,#395637,#395638); #356984=AXIS2_PLACEMENT_3D('',#511816,#395639,#395640); #356985=AXIS2_PLACEMENT_3D('',#511818,#395641,#395642); #356986=AXIS2_PLACEMENT_3D('',#511821,#395644,#395645); #356987=AXIS2_PLACEMENT_3D('',#511822,#395646,#395647); #356988=AXIS2_PLACEMENT_3D('',#511831,#395652,#395653); #356989=AXIS2_PLACEMENT_3D('',#511837,#395657,#395658); #356990=AXIS2_PLACEMENT_3D('',#511839,#395659,#395660); #356991=AXIS2_PLACEMENT_3D('',#511841,#395661,#395662); #356992=AXIS2_PLACEMENT_3D('',#511843,#395664,#395665); #356993=AXIS2_PLACEMENT_3D('',#511845,#395666,#395667); #356994=AXIS2_PLACEMENT_3D('',#511847,#395668,#395669); #356995=AXIS2_PLACEMENT_3D('',#511849,#395671,#395672); #356996=AXIS2_PLACEMENT_3D('',#511855,#395676,#395677); #356997=AXIS2_PLACEMENT_3D('',#511861,#395681,#395682); #356998=AXIS2_PLACEMENT_3D('',#511863,#395683,#395684); #356999=AXIS2_PLACEMENT_3D('',#511865,#395685,#395686); #357000=AXIS2_PLACEMENT_3D('',#511867,#395688,#395689); #357001=AXIS2_PLACEMENT_3D('',#511869,#395690,#395691); #357002=AXIS2_PLACEMENT_3D('',#511871,#395692,#395693); #357003=AXIS2_PLACEMENT_3D('',#511873,#395695,#395696); #357004=AXIS2_PLACEMENT_3D('',#511879,#395700,#395701); #357005=AXIS2_PLACEMENT_3D('',#511885,#395705,#395706); #357006=AXIS2_PLACEMENT_3D('',#511891,#395710,#395711); #357007=AXIS2_PLACEMENT_3D('',#511897,#395715,#395716); #357008=AXIS2_PLACEMENT_3D('',#511903,#395720,#395721); #357009=AXIS2_PLACEMENT_3D('',#511909,#395725,#395726); #357010=AXIS2_PLACEMENT_3D('',#511915,#395730,#395731); #357011=AXIS2_PLACEMENT_3D('',#511921,#395735,#395736); #357012=AXIS2_PLACEMENT_3D('',#511927,#395740,#395741); #357013=AXIS2_PLACEMENT_3D('',#511933,#395745,#395746); #357014=AXIS2_PLACEMENT_3D('',#511935,#395747,#395748); #357015=AXIS2_PLACEMENT_3D('',#511937,#395749,#395750); #357016=AXIS2_PLACEMENT_3D('',#511939,#395752,#395753); #357017=AXIS2_PLACEMENT_3D('',#511945,#395757,#395758); #357018=AXIS2_PLACEMENT_3D('',#511946,#395759,#395760); #357019=AXIS2_PLACEMENT_3D('',#511947,#395761,#395762); #357020=AXIS2_PLACEMENT_3D('',#511948,#395763,#395764); #357021=AXIS2_PLACEMENT_3D('',#511949,#395765,#395766); #357022=AXIS2_PLACEMENT_3D('',#511950,#395767,#395768); #357023=AXIS2_PLACEMENT_3D('',#511959,#395773,#395774); #357024=AXIS2_PLACEMENT_3D('',#511965,#395778,#395779); #357025=AXIS2_PLACEMENT_3D('',#511971,#395783,#395784); #357026=AXIS2_PLACEMENT_3D('',#511977,#395788,#395789); #357027=AXIS2_PLACEMENT_3D('',#511979,#395790,#395791); #357028=AXIS2_PLACEMENT_3D('',#511981,#395792,#395793); #357029=AXIS2_PLACEMENT_3D('',#511983,#395795,#395796); #357030=AXIS2_PLACEMENT_3D('',#511989,#395800,#395801); #357031=AXIS2_PLACEMENT_3D('',#511995,#395805,#395806); #357032=AXIS2_PLACEMENT_3D('',#512001,#395810,#395811); #357033=AXIS2_PLACEMENT_3D('',#512007,#395815,#395816); #357034=AXIS2_PLACEMENT_3D('',#512013,#395820,#395821); #357035=AXIS2_PLACEMENT_3D('',#512019,#395825,#395826); #357036=AXIS2_PLACEMENT_3D('',#512025,#395830,#395831); #357037=AXIS2_PLACEMENT_3D('',#512031,#395835,#395836); #357038=AXIS2_PLACEMENT_3D('',#512034,#395839,#395840); #357039=AXIS2_PLACEMENT_3D('',#512035,#395841,#395842); #357040=AXIS2_PLACEMENT_3D('',#512036,#395843,#395844); #357041=AXIS2_PLACEMENT_3D('',#512038,#395845,#395846); #357042=AXIS2_PLACEMENT_3D('',#512041,#395848,#395849); #357043=AXIS2_PLACEMENT_3D('',#512042,#395850,#395851); #357044=AXIS2_PLACEMENT_3D('',#512044,#395852,#395853); #357045=AXIS2_PLACEMENT_3D('',#512047,#395855,#395856); #357046=AXIS2_PLACEMENT_3D('',#512048,#395857,#395858); #357047=AXIS2_PLACEMENT_3D('',#512050,#395859,#395860); #357048=AXIS2_PLACEMENT_3D('',#512053,#395862,#395863); #357049=AXIS2_PLACEMENT_3D('',#512054,#395864,#395865); #357050=AXIS2_PLACEMENT_3D('',#512056,#395866,#395867); #357051=AXIS2_PLACEMENT_3D('',#512059,#395869,#395870); #357052=AXIS2_PLACEMENT_3D('',#512060,#395871,#395872); #357053=AXIS2_PLACEMENT_3D('',#512062,#395873,#395874); #357054=AXIS2_PLACEMENT_3D('',#512065,#395876,#395877); #357055=AXIS2_PLACEMENT_3D('',#512066,#395878,#395879); #357056=AXIS2_PLACEMENT_3D('',#512068,#395880,#395881); #357057=AXIS2_PLACEMENT_3D('',#512071,#395883,#395884); #357058=AXIS2_PLACEMENT_3D('',#512072,#395885,#395886); #357059=AXIS2_PLACEMENT_3D('',#512074,#395887,#395888); #357060=AXIS2_PLACEMENT_3D('',#512077,#395890,#395891); #357061=AXIS2_PLACEMENT_3D('',#512078,#395892,#395893); #357062=AXIS2_PLACEMENT_3D('',#512080,#395894,#395895); #357063=AXIS2_PLACEMENT_3D('',#512083,#395897,#395898); #357064=AXIS2_PLACEMENT_3D('',#512084,#395899,#395900); #357065=AXIS2_PLACEMENT_3D('',#512086,#395901,#395902); #357066=AXIS2_PLACEMENT_3D('',#512089,#395904,#395905); #357067=AXIS2_PLACEMENT_3D('',#512090,#395906,#395907); #357068=AXIS2_PLACEMENT_3D('',#512092,#395908,#395909); #357069=AXIS2_PLACEMENT_3D('',#512095,#395911,#395912); #357070=AXIS2_PLACEMENT_3D('',#512096,#395913,#395914); #357071=AXIS2_PLACEMENT_3D('',#512098,#395915,#395916); #357072=AXIS2_PLACEMENT_3D('',#512101,#395918,#395919); #357073=AXIS2_PLACEMENT_3D('',#512102,#395920,#395921); #357074=AXIS2_PLACEMENT_3D('',#512111,#395926,#395927); #357075=AXIS2_PLACEMENT_3D('',#512117,#395931,#395932); #357076=AXIS2_PLACEMENT_3D('',#512123,#395936,#395937); #357077=AXIS2_PLACEMENT_3D('',#512129,#395941,#395942); #357078=AXIS2_PLACEMENT_3D('',#512131,#395943,#395944); #357079=AXIS2_PLACEMENT_3D('',#512133,#395945,#395946); #357080=AXIS2_PLACEMENT_3D('',#512135,#395948,#395949); #357081=AXIS2_PLACEMENT_3D('',#512141,#395953,#395954); #357082=AXIS2_PLACEMENT_3D('',#512143,#395955,#395956); #357083=AXIS2_PLACEMENT_3D('',#512145,#395957,#395958); #357084=AXIS2_PLACEMENT_3D('',#512147,#395960,#395961); #357085=AXIS2_PLACEMENT_3D('',#512153,#395965,#395966); #357086=AXIS2_PLACEMENT_3D('',#512159,#395970,#395971); #357087=AXIS2_PLACEMENT_3D('',#512165,#395975,#395976); #357088=AXIS2_PLACEMENT_3D('',#512171,#395980,#395981); #357089=AXIS2_PLACEMENT_3D('',#512177,#395985,#395986); #357090=AXIS2_PLACEMENT_3D('',#512183,#395990,#395991); #357091=AXIS2_PLACEMENT_3D('',#512189,#395995,#395996); #357092=AXIS2_PLACEMENT_3D('',#512195,#396000,#396001); #357093=AXIS2_PLACEMENT_3D('',#512201,#396005,#396006); #357094=AXIS2_PLACEMENT_3D('',#512207,#396010,#396011); #357095=AXIS2_PLACEMENT_3D('',#512213,#396015,#396016); #357096=AXIS2_PLACEMENT_3D('',#512219,#396020,#396021); #357097=AXIS2_PLACEMENT_3D('',#512225,#396025,#396026); #357098=AXIS2_PLACEMENT_3D('',#512231,#396030,#396031); #357099=AXIS2_PLACEMENT_3D('',#512237,#396035,#396036); #357100=AXIS2_PLACEMENT_3D('',#512243,#396040,#396041); #357101=AXIS2_PLACEMENT_3D('',#512249,#396045,#396046); #357102=AXIS2_PLACEMENT_3D('',#512255,#396050,#396051); #357103=AXIS2_PLACEMENT_3D('',#512261,#396055,#396056); #357104=AXIS2_PLACEMENT_3D('',#512267,#396060,#396061); #357105=AXIS2_PLACEMENT_3D('',#512273,#396065,#396066); #357106=AXIS2_PLACEMENT_3D('',#512279,#396070,#396071); #357107=AXIS2_PLACEMENT_3D('',#512285,#396075,#396076); #357108=AXIS2_PLACEMENT_3D('',#512291,#396080,#396081); #357109=AXIS2_PLACEMENT_3D('',#512297,#396085,#396086); #357110=AXIS2_PLACEMENT_3D('',#512303,#396090,#396091); #357111=AXIS2_PLACEMENT_3D('',#512309,#396095,#396096); #357112=AXIS2_PLACEMENT_3D('',#512315,#396100,#396101); #357113=AXIS2_PLACEMENT_3D('',#512321,#396105,#396106); #357114=AXIS2_PLACEMENT_3D('',#512327,#396110,#396111); #357115=AXIS2_PLACEMENT_3D('',#512333,#396115,#396116); #357116=AXIS2_PLACEMENT_3D('',#512339,#396120,#396121); #357117=AXIS2_PLACEMENT_3D('',#512345,#396125,#396126); #357118=AXIS2_PLACEMENT_3D('',#512351,#396130,#396131); #357119=AXIS2_PLACEMENT_3D('',#512357,#396135,#396136); #357120=AXIS2_PLACEMENT_3D('',#512363,#396140,#396141); #357121=AXIS2_PLACEMENT_3D('',#512369,#396145,#396146); #357122=AXIS2_PLACEMENT_3D('',#512375,#396150,#396151); #357123=AXIS2_PLACEMENT_3D('',#512381,#396155,#396156); #357124=AXIS2_PLACEMENT_3D('',#512387,#396160,#396161); #357125=AXIS2_PLACEMENT_3D('',#512393,#396165,#396166); #357126=AXIS2_PLACEMENT_3D('',#512399,#396170,#396171); #357127=AXIS2_PLACEMENT_3D('',#512405,#396175,#396176); #357128=AXIS2_PLACEMENT_3D('',#512411,#396180,#396181); #357129=AXIS2_PLACEMENT_3D('',#512417,#396185,#396186); #357130=AXIS2_PLACEMENT_3D('',#512423,#396190,#396191); #357131=AXIS2_PLACEMENT_3D('',#512429,#396195,#396196); #357132=AXIS2_PLACEMENT_3D('',#512435,#396200,#396201); #357133=AXIS2_PLACEMENT_3D('',#512441,#396205,#396206); #357134=AXIS2_PLACEMENT_3D('',#512447,#396210,#396211); #357135=AXIS2_PLACEMENT_3D('',#512453,#396215,#396216); #357136=AXIS2_PLACEMENT_3D('',#512459,#396220,#396221); #357137=AXIS2_PLACEMENT_3D('',#512465,#396225,#396226); #357138=AXIS2_PLACEMENT_3D('',#512471,#396230,#396231); #357139=AXIS2_PLACEMENT_3D('',#512477,#396235,#396236); #357140=AXIS2_PLACEMENT_3D('',#512483,#396240,#396241); #357141=AXIS2_PLACEMENT_3D('',#512489,#396245,#396246); #357142=AXIS2_PLACEMENT_3D('',#512495,#396250,#396251); #357143=AXIS2_PLACEMENT_3D('',#512501,#396255,#396256); #357144=AXIS2_PLACEMENT_3D('',#512507,#396260,#396261); #357145=AXIS2_PLACEMENT_3D('',#512513,#396265,#396266); #357146=AXIS2_PLACEMENT_3D('',#512519,#396270,#396271); #357147=AXIS2_PLACEMENT_3D('',#512525,#396275,#396276); #357148=AXIS2_PLACEMENT_3D('',#512531,#396280,#396281); #357149=AXIS2_PLACEMENT_3D('',#512537,#396285,#396286); #357150=AXIS2_PLACEMENT_3D('',#512543,#396290,#396291); #357151=AXIS2_PLACEMENT_3D('',#512549,#396295,#396296); #357152=AXIS2_PLACEMENT_3D('',#512555,#396300,#396301); #357153=AXIS2_PLACEMENT_3D('',#512561,#396305,#396306); #357154=AXIS2_PLACEMENT_3D('',#512567,#396310,#396311); #357155=AXIS2_PLACEMENT_3D('',#512573,#396315,#396316); #357156=AXIS2_PLACEMENT_3D('',#512579,#396320,#396321); #357157=AXIS2_PLACEMENT_3D('',#512585,#396325,#396326); #357158=AXIS2_PLACEMENT_3D('',#512591,#396330,#396331); #357159=AXIS2_PLACEMENT_3D('',#512597,#396335,#396336); #357160=AXIS2_PLACEMENT_3D('',#512603,#396340,#396341); #357161=AXIS2_PLACEMENT_3D('',#512609,#396345,#396346); #357162=AXIS2_PLACEMENT_3D('',#512615,#396350,#396351); #357163=AXIS2_PLACEMENT_3D('',#512621,#396355,#396356); #357164=AXIS2_PLACEMENT_3D('',#512627,#396360,#396361); #357165=AXIS2_PLACEMENT_3D('',#512633,#396365,#396366); #357166=AXIS2_PLACEMENT_3D('',#512639,#396370,#396371); #357167=AXIS2_PLACEMENT_3D('',#512645,#396375,#396376); #357168=AXIS2_PLACEMENT_3D('',#512651,#396380,#396381); #357169=AXIS2_PLACEMENT_3D('',#512657,#396385,#396386); #357170=AXIS2_PLACEMENT_3D('',#512663,#396390,#396391); #357171=AXIS2_PLACEMENT_3D('',#512669,#396395,#396396); #357172=AXIS2_PLACEMENT_3D('',#512675,#396400,#396401); #357173=AXIS2_PLACEMENT_3D('',#512681,#396405,#396406); #357174=AXIS2_PLACEMENT_3D('',#512687,#396410,#396411); #357175=AXIS2_PLACEMENT_3D('',#512693,#396415,#396416); #357176=AXIS2_PLACEMENT_3D('',#512699,#396420,#396421); #357177=AXIS2_PLACEMENT_3D('',#512705,#396425,#396426); #357178=AXIS2_PLACEMENT_3D('',#512711,#396430,#396431); #357179=AXIS2_PLACEMENT_3D('',#512717,#396435,#396436); #357180=AXIS2_PLACEMENT_3D('',#512723,#396440,#396441); #357181=AXIS2_PLACEMENT_3D('',#512729,#396445,#396446); #357182=AXIS2_PLACEMENT_3D('',#512735,#396450,#396451); #357183=AXIS2_PLACEMENT_3D('',#512741,#396455,#396456); #357184=AXIS2_PLACEMENT_3D('',#512747,#396460,#396461); #357185=AXIS2_PLACEMENT_3D('',#512753,#396465,#396466); #357186=AXIS2_PLACEMENT_3D('',#512759,#396470,#396471); #357187=AXIS2_PLACEMENT_3D('',#512765,#396475,#396476); #357188=AXIS2_PLACEMENT_3D('',#512771,#396480,#396481); #357189=AXIS2_PLACEMENT_3D('',#512777,#396485,#396486); #357190=AXIS2_PLACEMENT_3D('',#512783,#396490,#396491); #357191=AXIS2_PLACEMENT_3D('',#512789,#396495,#396496); #357192=AXIS2_PLACEMENT_3D('',#512795,#396500,#396501); #357193=AXIS2_PLACEMENT_3D('',#512801,#396505,#396506); #357194=AXIS2_PLACEMENT_3D('',#512807,#396510,#396511); #357195=AXIS2_PLACEMENT_3D('',#512813,#396515,#396516); #357196=AXIS2_PLACEMENT_3D('',#512819,#396520,#396521); #357197=AXIS2_PLACEMENT_3D('',#512825,#396525,#396526); #357198=AXIS2_PLACEMENT_3D('',#512831,#396530,#396531); #357199=AXIS2_PLACEMENT_3D('',#512837,#396535,#396536); #357200=AXIS2_PLACEMENT_3D('',#512843,#396540,#396541); #357201=AXIS2_PLACEMENT_3D('',#512849,#396545,#396546); #357202=AXIS2_PLACEMENT_3D('',#512855,#396550,#396551); #357203=AXIS2_PLACEMENT_3D('',#512857,#396552,#396553); #357204=AXIS2_PLACEMENT_3D('',#512859,#396554,#396555); #357205=AXIS2_PLACEMENT_3D('',#512861,#396557,#396558); #357206=AXIS2_PLACEMENT_3D('',#512867,#396562,#396563); #357207=AXIS2_PLACEMENT_3D('',#512869,#396564,#396565); #357208=AXIS2_PLACEMENT_3D('',#512871,#396566,#396567); #357209=AXIS2_PLACEMENT_3D('',#512873,#396569,#396570); #357210=AXIS2_PLACEMENT_3D('',#512879,#396574,#396575); #357211=AXIS2_PLACEMENT_3D('',#512885,#396579,#396580); #357212=AXIS2_PLACEMENT_3D('',#512891,#396584,#396585); #357213=AXIS2_PLACEMENT_3D('',#512897,#396589,#396590); #357214=AXIS2_PLACEMENT_3D('',#512900,#396593,#396594); #357215=AXIS2_PLACEMENT_3D('',#512901,#396595,#396596); #357216=AXIS2_PLACEMENT_3D('',#512902,#396597,#396598); #357217=AXIS2_PLACEMENT_3D('',#512904,#396599,#396600); #357218=AXIS2_PLACEMENT_3D('',#512907,#396602,#396603); #357219=AXIS2_PLACEMENT_3D('',#512908,#396604,#396605); #357220=AXIS2_PLACEMENT_3D('',#512917,#396610,#396611); #357221=AXIS2_PLACEMENT_3D('',#512919,#396612,#396613); #357222=AXIS2_PLACEMENT_3D('',#512921,#396614,#396615); #357223=AXIS2_PLACEMENT_3D('',#512923,#396617,#396618); #357224=AXIS2_PLACEMENT_3D('',#512924,#396619,#396620); #357225=AXIS2_PLACEMENT_3D('',#512925,#396621,#396622); #357226=AXIS2_PLACEMENT_3D('',#512926,#396623,#396624); #357227=AXIS2_PLACEMENT_3D('',#512927,#396625,#396626); #357228=AXIS2_PLACEMENT_3D('',#512928,#396627,#396628); #357229=AXIS2_PLACEMENT_3D('',#512937,#396633,#396634); #357230=AXIS2_PLACEMENT_3D('',#512943,#396638,#396639); #357231=AXIS2_PLACEMENT_3D('',#512949,#396643,#396644); #357232=AXIS2_PLACEMENT_3D('',#512955,#396648,#396649); #357233=AXIS2_PLACEMENT_3D('',#512961,#396653,#396654); #357234=AXIS2_PLACEMENT_3D('',#512967,#396658,#396659); #357235=AXIS2_PLACEMENT_3D('',#512973,#396663,#396664); #357236=AXIS2_PLACEMENT_3D('',#512979,#396668,#396669); #357237=AXIS2_PLACEMENT_3D('',#512985,#396673,#396674); #357238=AXIS2_PLACEMENT_3D('',#512991,#396678,#396679); #357239=AXIS2_PLACEMENT_3D('',#512997,#396683,#396684); #357240=AXIS2_PLACEMENT_3D('',#513003,#396688,#396689); #357241=AXIS2_PLACEMENT_3D('',#513009,#396693,#396694); #357242=AXIS2_PLACEMENT_3D('',#513015,#396698,#396699); #357243=AXIS2_PLACEMENT_3D('',#513021,#396703,#396704); #357244=AXIS2_PLACEMENT_3D('',#513027,#396708,#396709); #357245=AXIS2_PLACEMENT_3D('',#513033,#396713,#396714); #357246=AXIS2_PLACEMENT_3D('',#513039,#396718,#396719); #357247=AXIS2_PLACEMENT_3D('',#513045,#396723,#396724); #357248=AXIS2_PLACEMENT_3D('',#513048,#396727,#396728); #357249=AXIS2_PLACEMENT_3D('',#513049,#396729,#396730); #357250=AXIS2_PLACEMENT_3D('',#513050,#396731,#396732); #357251=AXIS2_PLACEMENT_3D('',#513059,#396737,#396738); #357252=AXIS2_PLACEMENT_3D('',#513065,#396742,#396743); #357253=AXIS2_PLACEMENT_3D('',#513071,#396747,#396748); #357254=AXIS2_PLACEMENT_3D('',#513077,#396752,#396753); #357255=AXIS2_PLACEMENT_3D('',#513083,#396757,#396758); #357256=AXIS2_PLACEMENT_3D('',#513089,#396762,#396763); #357257=AXIS2_PLACEMENT_3D('',#513095,#396767,#396768); #357258=AXIS2_PLACEMENT_3D('',#513101,#396772,#396773); #357259=AXIS2_PLACEMENT_3D('',#513107,#396777,#396778); #357260=AXIS2_PLACEMENT_3D('',#513113,#396782,#396783); #357261=AXIS2_PLACEMENT_3D('',#513119,#396787,#396788); #357262=AXIS2_PLACEMENT_3D('',#513125,#396792,#396793); #357263=AXIS2_PLACEMENT_3D('',#513131,#396797,#396798); #357264=AXIS2_PLACEMENT_3D('',#513137,#396802,#396803); #357265=AXIS2_PLACEMENT_3D('',#513143,#396807,#396808); #357266=AXIS2_PLACEMENT_3D('',#513149,#396812,#396813); #357267=AXIS2_PLACEMENT_3D('',#513155,#396817,#396818); #357268=AXIS2_PLACEMENT_3D('',#513161,#396822,#396823); #357269=AXIS2_PLACEMENT_3D('',#513167,#396827,#396828); #357270=AXIS2_PLACEMENT_3D('',#513173,#396832,#396833); #357271=AXIS2_PLACEMENT_3D('',#513176,#396836,#396837); #357272=AXIS2_PLACEMENT_3D('',#513177,#396838,#396839); #357273=AXIS2_PLACEMENT_3D('',#513178,#396840,#396841); #357274=AXIS2_PLACEMENT_3D('',#513187,#396846,#396847); #357275=AXIS2_PLACEMENT_3D('',#513193,#396851,#396852); #357276=AXIS2_PLACEMENT_3D('',#513199,#396856,#396857); #357277=AXIS2_PLACEMENT_3D('',#513205,#396861,#396862); #357278=AXIS2_PLACEMENT_3D('',#513211,#396866,#396867); #357279=AXIS2_PLACEMENT_3D('',#513217,#396871,#396872); #357280=AXIS2_PLACEMENT_3D('',#513223,#396876,#396877); #357281=AXIS2_PLACEMENT_3D('',#513229,#396881,#396882); #357282=AXIS2_PLACEMENT_3D('',#513235,#396886,#396887); #357283=AXIS2_PLACEMENT_3D('',#513241,#396891,#396892); #357284=AXIS2_PLACEMENT_3D('',#513247,#396896,#396897); #357285=AXIS2_PLACEMENT_3D('',#513253,#396901,#396902); #357286=AXIS2_PLACEMENT_3D('',#513259,#396906,#396907); #357287=AXIS2_PLACEMENT_3D('',#513265,#396911,#396912); #357288=AXIS2_PLACEMENT_3D('',#513271,#396916,#396917); #357289=AXIS2_PLACEMENT_3D('',#513277,#396921,#396922); #357290=AXIS2_PLACEMENT_3D('',#513283,#396926,#396927); #357291=AXIS2_PLACEMENT_3D('',#513289,#396931,#396932); #357292=AXIS2_PLACEMENT_3D('',#513295,#396936,#396937); #357293=AXIS2_PLACEMENT_3D('',#513298,#396940,#396941); #357294=AXIS2_PLACEMENT_3D('',#513299,#396942,#396943); #357295=AXIS2_PLACEMENT_3D('',#513300,#396944,#396945); #357296=AXIS2_PLACEMENT_3D('',#513309,#396950,#396951); #357297=AXIS2_PLACEMENT_3D('',#513315,#396955,#396956); #357298=AXIS2_PLACEMENT_3D('',#513321,#396960,#396961); #357299=AXIS2_PLACEMENT_3D('',#513327,#396965,#396966); #357300=AXIS2_PLACEMENT_3D('',#513333,#396970,#396971); #357301=AXIS2_PLACEMENT_3D('',#513339,#396975,#396976); #357302=AXIS2_PLACEMENT_3D('',#513345,#396980,#396981); #357303=AXIS2_PLACEMENT_3D('',#513351,#396985,#396986); #357304=AXIS2_PLACEMENT_3D('',#513357,#396990,#396991); #357305=AXIS2_PLACEMENT_3D('',#513363,#396995,#396996); #357306=AXIS2_PLACEMENT_3D('',#513369,#397000,#397001); #357307=AXIS2_PLACEMENT_3D('',#513375,#397005,#397006); #357308=AXIS2_PLACEMENT_3D('',#513381,#397010,#397011); #357309=AXIS2_PLACEMENT_3D('',#513387,#397015,#397016); #357310=AXIS2_PLACEMENT_3D('',#513393,#397020,#397021); #357311=AXIS2_PLACEMENT_3D('',#513399,#397025,#397026); #357312=AXIS2_PLACEMENT_3D('',#513405,#397030,#397031); #357313=AXIS2_PLACEMENT_3D('',#513411,#397035,#397036); #357314=AXIS2_PLACEMENT_3D('',#513417,#397040,#397041); #357315=AXIS2_PLACEMENT_3D('',#513423,#397045,#397046); #357316=AXIS2_PLACEMENT_3D('',#513426,#397049,#397050); #357317=AXIS2_PLACEMENT_3D('',#513427,#397051,#397052); #357318=AXIS2_PLACEMENT_3D('',#513428,#397053,#397054); #357319=AXIS2_PLACEMENT_3D('',#513430,#397055,#397056); #357320=AXIS2_PLACEMENT_3D('',#513433,#397058,#397059); #357321=AXIS2_PLACEMENT_3D('',#513434,#397060,#397061); #357322=AXIS2_PLACEMENT_3D('',#513436,#397062,#397063); #357323=AXIS2_PLACEMENT_3D('',#513439,#397065,#397066); #357324=AXIS2_PLACEMENT_3D('',#513440,#397067,#397068); #357325=AXIS2_PLACEMENT_3D('',#513442,#397069,#397070); #357326=AXIS2_PLACEMENT_3D('',#513445,#397072,#397073); #357327=AXIS2_PLACEMENT_3D('',#513446,#397074,#397075); #357328=AXIS2_PLACEMENT_3D('',#513455,#397080,#397081); #357329=AXIS2_PLACEMENT_3D('',#513461,#397085,#397086); #357330=AXIS2_PLACEMENT_3D('',#513467,#397090,#397091); #357331=AXIS2_PLACEMENT_3D('',#513473,#397095,#397096); #357332=AXIS2_PLACEMENT_3D('',#513479,#397100,#397101); #357333=AXIS2_PLACEMENT_3D('',#513485,#397105,#397106); #357334=AXIS2_PLACEMENT_3D('',#513491,#397110,#397111); #357335=AXIS2_PLACEMENT_3D('',#513497,#397115,#397116); #357336=AXIS2_PLACEMENT_3D('',#513503,#397120,#397121); #357337=AXIS2_PLACEMENT_3D('',#513509,#397125,#397126); #357338=AXIS2_PLACEMENT_3D('',#513515,#397130,#397131); #357339=AXIS2_PLACEMENT_3D('',#513521,#397135,#397136); #357340=AXIS2_PLACEMENT_3D('',#513527,#397140,#397141); #357341=AXIS2_PLACEMENT_3D('',#513533,#397145,#397146); #357342=AXIS2_PLACEMENT_3D('',#513539,#397150,#397151); #357343=AXIS2_PLACEMENT_3D('',#513545,#397155,#397156); #357344=AXIS2_PLACEMENT_3D('',#513551,#397160,#397161); #357345=AXIS2_PLACEMENT_3D('',#513557,#397165,#397166); #357346=AXIS2_PLACEMENT_3D('',#513563,#397170,#397171); #357347=AXIS2_PLACEMENT_3D('',#513569,#397175,#397176); #357348=AXIS2_PLACEMENT_3D('',#513575,#397180,#397181); #357349=AXIS2_PLACEMENT_3D('',#513581,#397185,#397186); #357350=AXIS2_PLACEMENT_3D('',#513587,#397190,#397191); #357351=AXIS2_PLACEMENT_3D('',#513593,#397195,#397196); #357352=AXIS2_PLACEMENT_3D('',#513599,#397200,#397201); #357353=AXIS2_PLACEMENT_3D('',#513605,#397205,#397206); #357354=AXIS2_PLACEMENT_3D('',#513611,#397210,#397211); #357355=AXIS2_PLACEMENT_3D('',#513617,#397215,#397216); #357356=AXIS2_PLACEMENT_3D('',#513623,#397220,#397221); #357357=AXIS2_PLACEMENT_3D('',#513629,#397225,#397226); #357358=AXIS2_PLACEMENT_3D('',#513635,#397230,#397231); #357359=AXIS2_PLACEMENT_3D('',#513641,#397235,#397236); #357360=AXIS2_PLACEMENT_3D('',#513647,#397240,#397241); #357361=AXIS2_PLACEMENT_3D('',#513653,#397245,#397246); #357362=AXIS2_PLACEMENT_3D('',#513659,#397250,#397251); #357363=AXIS2_PLACEMENT_3D('',#513665,#397255,#397256); #357364=AXIS2_PLACEMENT_3D('',#513671,#397260,#397261); #357365=AXIS2_PLACEMENT_3D('',#513677,#397265,#397266); #357366=AXIS2_PLACEMENT_3D('',#513683,#397270,#397271); #357367=AXIS2_PLACEMENT_3D('',#513689,#397275,#397276); #357368=AXIS2_PLACEMENT_3D('',#513695,#397280,#397281); #357369=AXIS2_PLACEMENT_3D('',#513701,#397285,#397286); #357370=AXIS2_PLACEMENT_3D('',#513707,#397290,#397291); #357371=AXIS2_PLACEMENT_3D('',#513713,#397295,#397296); #357372=AXIS2_PLACEMENT_3D('',#513719,#397300,#397301); #357373=AXIS2_PLACEMENT_3D('',#513725,#397305,#397306); #357374=AXIS2_PLACEMENT_3D('',#513731,#397310,#397311); #357375=AXIS2_PLACEMENT_3D('',#513737,#397315,#397316); #357376=AXIS2_PLACEMENT_3D('',#513743,#397320,#397321); #357377=AXIS2_PLACEMENT_3D('',#513749,#397325,#397326); #357378=AXIS2_PLACEMENT_3D('',#513755,#397330,#397331); #357379=AXIS2_PLACEMENT_3D('',#513761,#397335,#397336); #357380=AXIS2_PLACEMENT_3D('',#513767,#397340,#397341); #357381=AXIS2_PLACEMENT_3D('',#513773,#397345,#397346); #357382=AXIS2_PLACEMENT_3D('',#513779,#397350,#397351); #357383=AXIS2_PLACEMENT_3D('',#513785,#397355,#397356); #357384=AXIS2_PLACEMENT_3D('',#513791,#397360,#397361); #357385=AXIS2_PLACEMENT_3D('',#513797,#397365,#397366); #357386=AXIS2_PLACEMENT_3D('',#513803,#397370,#397371); #357387=AXIS2_PLACEMENT_3D('',#513809,#397375,#397376); #357388=AXIS2_PLACEMENT_3D('',#513815,#397380,#397381); #357389=AXIS2_PLACEMENT_3D('',#513821,#397385,#397386); #357390=AXIS2_PLACEMENT_3D('',#513827,#397390,#397391); #357391=AXIS2_PLACEMENT_3D('',#513833,#397395,#397396); #357392=AXIS2_PLACEMENT_3D('',#513839,#397400,#397401); #357393=AXIS2_PLACEMENT_3D('',#513845,#397405,#397406); #357394=AXIS2_PLACEMENT_3D('',#513851,#397410,#397411); #357395=AXIS2_PLACEMENT_3D('',#513857,#397415,#397416); #357396=AXIS2_PLACEMENT_3D('',#513863,#397420,#397421); #357397=AXIS2_PLACEMENT_3D('',#513869,#397425,#397426); #357398=AXIS2_PLACEMENT_3D('',#513875,#397430,#397431); #357399=AXIS2_PLACEMENT_3D('',#513881,#397435,#397436); #357400=AXIS2_PLACEMENT_3D('',#513887,#397440,#397441); #357401=AXIS2_PLACEMENT_3D('',#513893,#397445,#397446); #357402=AXIS2_PLACEMENT_3D('',#513899,#397450,#397451); #357403=AXIS2_PLACEMENT_3D('',#513905,#397455,#397456); #357404=AXIS2_PLACEMENT_3D('',#513911,#397460,#397461); #357405=AXIS2_PLACEMENT_3D('',#513917,#397465,#397466); #357406=AXIS2_PLACEMENT_3D('',#513923,#397470,#397471); #357407=AXIS2_PLACEMENT_3D('',#513929,#397475,#397476); #357408=AXIS2_PLACEMENT_3D('',#513935,#397480,#397481); #357409=AXIS2_PLACEMENT_3D('',#513941,#397485,#397486); #357410=AXIS2_PLACEMENT_3D('',#513947,#397490,#397491); #357411=AXIS2_PLACEMENT_3D('',#513953,#397495,#397496); #357412=AXIS2_PLACEMENT_3D('',#513959,#397500,#397501); #357413=AXIS2_PLACEMENT_3D('',#513965,#397505,#397506); #357414=AXIS2_PLACEMENT_3D('',#513971,#397510,#397511); #357415=AXIS2_PLACEMENT_3D('',#513977,#397515,#397516); #357416=AXIS2_PLACEMENT_3D('',#513983,#397520,#397521); #357417=AXIS2_PLACEMENT_3D('',#513986,#397524,#397525); #357418=AXIS2_PLACEMENT_3D('',#513987,#397526,#397527); #357419=AXIS2_PLACEMENT_3D('',#513988,#397528,#397529); #357420=AXIS2_PLACEMENT_3D('',#513997,#397534,#397535); #357421=AXIS2_PLACEMENT_3D('',#514003,#397539,#397540); #357422=AXIS2_PLACEMENT_3D('',#514009,#397544,#397545); #357423=AXIS2_PLACEMENT_3D('',#514015,#397549,#397550); #357424=AXIS2_PLACEMENT_3D('',#514021,#397554,#397555); #357425=AXIS2_PLACEMENT_3D('',#514027,#397559,#397560); #357426=AXIS2_PLACEMENT_3D('',#514033,#397564,#397565); #357427=AXIS2_PLACEMENT_3D('',#514039,#397569,#397570); #357428=AXIS2_PLACEMENT_3D('',#514045,#397574,#397575); #357429=AXIS2_PLACEMENT_3D('',#514051,#397579,#397580); #357430=AXIS2_PLACEMENT_3D('',#514057,#397584,#397585); #357431=AXIS2_PLACEMENT_3D('',#514063,#397589,#397590); #357432=AXIS2_PLACEMENT_3D('',#514069,#397594,#397595); #357433=AXIS2_PLACEMENT_3D('',#514075,#397599,#397600); #357434=AXIS2_PLACEMENT_3D('',#514081,#397604,#397605); #357435=AXIS2_PLACEMENT_3D('',#514087,#397609,#397610); #357436=AXIS2_PLACEMENT_3D('',#514093,#397614,#397615); #357437=AXIS2_PLACEMENT_3D('',#514099,#397619,#397620); #357438=AXIS2_PLACEMENT_3D('',#514105,#397624,#397625); #357439=AXIS2_PLACEMENT_3D('',#514111,#397629,#397630); #357440=AXIS2_PLACEMENT_3D('',#514117,#397634,#397635); #357441=AXIS2_PLACEMENT_3D('',#514123,#397639,#397640); #357442=AXIS2_PLACEMENT_3D('',#514129,#397644,#397645); #357443=AXIS2_PLACEMENT_3D('',#514135,#397649,#397650); #357444=AXIS2_PLACEMENT_3D('',#514141,#397654,#397655); #357445=AXIS2_PLACEMENT_3D('',#514147,#397659,#397660); #357446=AXIS2_PLACEMENT_3D('',#514153,#397664,#397665); #357447=AXIS2_PLACEMENT_3D('',#514159,#397669,#397670); #357448=AXIS2_PLACEMENT_3D('',#514165,#397674,#397675); #357449=AXIS2_PLACEMENT_3D('',#514171,#397679,#397680); #357450=AXIS2_PLACEMENT_3D('',#514177,#397684,#397685); #357451=AXIS2_PLACEMENT_3D('',#514183,#397689,#397690); #357452=AXIS2_PLACEMENT_3D('',#514189,#397694,#397695); #357453=AXIS2_PLACEMENT_3D('',#514192,#397698,#397699); #357454=AXIS2_PLACEMENT_3D('',#514193,#397700,#397701); #357455=AXIS2_PLACEMENT_3D('',#514194,#397702,#397703); #357456=AXIS2_PLACEMENT_3D('',#514203,#397708,#397709); #357457=AXIS2_PLACEMENT_3D('',#514209,#397713,#397714); #357458=AXIS2_PLACEMENT_3D('',#514215,#397718,#397719); #357459=AXIS2_PLACEMENT_3D('',#514221,#397723,#397724); #357460=AXIS2_PLACEMENT_3D('',#514227,#397728,#397729); #357461=AXIS2_PLACEMENT_3D('',#514233,#397733,#397734); #357462=AXIS2_PLACEMENT_3D('',#514239,#397738,#397739); #357463=AXIS2_PLACEMENT_3D('',#514245,#397743,#397744); #357464=AXIS2_PLACEMENT_3D('',#514251,#397748,#397749); #357465=AXIS2_PLACEMENT_3D('',#514257,#397753,#397754); #357466=AXIS2_PLACEMENT_3D('',#514263,#397758,#397759); #357467=AXIS2_PLACEMENT_3D('',#514269,#397763,#397764); #357468=AXIS2_PLACEMENT_3D('',#514275,#397768,#397769); #357469=AXIS2_PLACEMENT_3D('',#514281,#397773,#397774); #357470=AXIS2_PLACEMENT_3D('',#514287,#397778,#397779); #357471=AXIS2_PLACEMENT_3D('',#514293,#397783,#397784); #357472=AXIS2_PLACEMENT_3D('',#514299,#397788,#397789); #357473=AXIS2_PLACEMENT_3D('',#514305,#397793,#397794); #357474=AXIS2_PLACEMENT_3D('',#514311,#397798,#397799); #357475=AXIS2_PLACEMENT_3D('',#514314,#397802,#397803); #357476=AXIS2_PLACEMENT_3D('',#514315,#397804,#397805); #357477=AXIS2_PLACEMENT_3D('',#514316,#397806,#397807); #357478=AXIS2_PLACEMENT_3D('',#514325,#397812,#397813); #357479=AXIS2_PLACEMENT_3D('',#514331,#397817,#397818); #357480=AXIS2_PLACEMENT_3D('',#514337,#397822,#397823); #357481=AXIS2_PLACEMENT_3D('',#514343,#397827,#397828); #357482=AXIS2_PLACEMENT_3D('',#514349,#397832,#397833); #357483=AXIS2_PLACEMENT_3D('',#514355,#397837,#397838); #357484=AXIS2_PLACEMENT_3D('',#514361,#397842,#397843); #357485=AXIS2_PLACEMENT_3D('',#514367,#397847,#397848); #357486=AXIS2_PLACEMENT_3D('',#514373,#397852,#397853); #357487=AXIS2_PLACEMENT_3D('',#514379,#397857,#397858); #357488=AXIS2_PLACEMENT_3D('',#514385,#397862,#397863); #357489=AXIS2_PLACEMENT_3D('',#514391,#397867,#397868); #357490=AXIS2_PLACEMENT_3D('',#514397,#397872,#397873); #357491=AXIS2_PLACEMENT_3D('',#514403,#397877,#397878); #357492=AXIS2_PLACEMENT_3D('',#514409,#397882,#397883); #357493=AXIS2_PLACEMENT_3D('',#514415,#397887,#397888); #357494=AXIS2_PLACEMENT_3D('',#514421,#397892,#397893); #357495=AXIS2_PLACEMENT_3D('',#514427,#397897,#397898); #357496=AXIS2_PLACEMENT_3D('',#514433,#397902,#397903); #357497=AXIS2_PLACEMENT_3D('',#514439,#397907,#397908); #357498=AXIS2_PLACEMENT_3D('',#514442,#397911,#397912); #357499=AXIS2_PLACEMENT_3D('',#514443,#397913,#397914); #357500=AXIS2_PLACEMENT_3D('',#514444,#397915,#397916); #357501=AXIS2_PLACEMENT_3D('',#514446,#397917,#397918); #357502=AXIS2_PLACEMENT_3D('',#514449,#397920,#397921); #357503=AXIS2_PLACEMENT_3D('',#514450,#397922,#397923); #357504=AXIS2_PLACEMENT_3D('',#514459,#397928,#397929); #357505=AXIS2_PLACEMENT_3D('',#514461,#397930,#397931); #357506=AXIS2_PLACEMENT_3D('',#514463,#397932,#397933); #357507=AXIS2_PLACEMENT_3D('',#514465,#397935,#397936); #357508=AXIS2_PLACEMENT_3D('',#514471,#397940,#397941); #357509=AXIS2_PLACEMENT_3D('',#514472,#397942,#397943); #357510=AXIS2_PLACEMENT_3D('',#514473,#397944,#397945); #357511=AXIS2_PLACEMENT_3D('',#514474,#397946,#397947); #357512=AXIS2_PLACEMENT_3D('',#514476,#397948,#397949); #357513=AXIS2_PLACEMENT_3D('',#514479,#397951,#397952); #357514=AXIS2_PLACEMENT_3D('',#514480,#397953,#397954); #357515=AXIS2_PLACEMENT_3D('',#514482,#397955,#397956); #357516=AXIS2_PLACEMENT_3D('',#514485,#397958,#397959); #357517=AXIS2_PLACEMENT_3D('',#514486,#397960,#397961); #357518=AXIS2_PLACEMENT_3D('',#514488,#397962,#397963); #357519=AXIS2_PLACEMENT_3D('',#514491,#397965,#397966); #357520=AXIS2_PLACEMENT_3D('',#514492,#397967,#397968); #357521=AXIS2_PLACEMENT_3D('',#514494,#397969,#397970); #357522=AXIS2_PLACEMENT_3D('',#514497,#397972,#397973); #357523=AXIS2_PLACEMENT_3D('',#514498,#397974,#397975); #357524=AXIS2_PLACEMENT_3D('',#514500,#397976,#397977); #357525=AXIS2_PLACEMENT_3D('',#514503,#397979,#397980); #357526=AXIS2_PLACEMENT_3D('',#514504,#397981,#397982); #357527=AXIS2_PLACEMENT_3D('',#514506,#397983,#397984); #357528=AXIS2_PLACEMENT_3D('',#514509,#397986,#397987); #357529=AXIS2_PLACEMENT_3D('',#514510,#397988,#397989); #357530=AXIS2_PLACEMENT_3D('',#514512,#397990,#397991); #357531=AXIS2_PLACEMENT_3D('',#514515,#397993,#397994); #357532=AXIS2_PLACEMENT_3D('',#514516,#397995,#397996); #357533=AXIS2_PLACEMENT_3D('',#514518,#397997,#397998); #357534=AXIS2_PLACEMENT_3D('',#514521,#398000,#398001); #357535=AXIS2_PLACEMENT_3D('',#514522,#398002,#398003); #357536=AXIS2_PLACEMENT_3D('',#514524,#398004,#398005); #357537=AXIS2_PLACEMENT_3D('',#514527,#398007,#398008); #357538=AXIS2_PLACEMENT_3D('',#514528,#398009,#398010); #357539=AXIS2_PLACEMENT_3D('',#514537,#398015,#398016); #357540=AXIS2_PLACEMENT_3D('',#514543,#398020,#398021); #357541=AXIS2_PLACEMENT_3D('',#514549,#398025,#398026); #357542=AXIS2_PLACEMENT_3D('',#514555,#398030,#398031); #357543=AXIS2_PLACEMENT_3D('',#514561,#398035,#398036); #357544=AXIS2_PLACEMENT_3D('',#514567,#398040,#398041); #357545=AXIS2_PLACEMENT_3D('',#514573,#398045,#398046); #357546=AXIS2_PLACEMENT_3D('',#514579,#398050,#398051); #357547=AXIS2_PLACEMENT_3D('',#514585,#398055,#398056); #357548=AXIS2_PLACEMENT_3D('',#514591,#398060,#398061); #357549=AXIS2_PLACEMENT_3D('',#514597,#398065,#398066); #357550=AXIS2_PLACEMENT_3D('',#514603,#398070,#398071); #357551=AXIS2_PLACEMENT_3D('',#514609,#398075,#398076); #357552=AXIS2_PLACEMENT_3D('',#514615,#398080,#398081); #357553=AXIS2_PLACEMENT_3D('',#514621,#398085,#398086); #357554=AXIS2_PLACEMENT_3D('',#514624,#398089,#398090); #357555=AXIS2_PLACEMENT_3D('',#514633,#398095,#398096); #357556=AXIS2_PLACEMENT_3D('',#514639,#398100,#398101); #357557=AXIS2_PLACEMENT_3D('',#514645,#398105,#398106); #357558=AXIS2_PLACEMENT_3D('',#514651,#398110,#398111); #357559=AXIS2_PLACEMENT_3D('',#514657,#398115,#398116); #357560=AXIS2_PLACEMENT_3D('',#514663,#398120,#398121); #357561=AXIS2_PLACEMENT_3D('',#514669,#398125,#398126); #357562=AXIS2_PLACEMENT_3D('',#514675,#398130,#398131); #357563=AXIS2_PLACEMENT_3D('',#514681,#398135,#398136); #357564=AXIS2_PLACEMENT_3D('',#514687,#398140,#398141); #357565=AXIS2_PLACEMENT_3D('',#514693,#398145,#398146); #357566=AXIS2_PLACEMENT_3D('',#514699,#398150,#398151); #357567=AXIS2_PLACEMENT_3D('',#514705,#398155,#398156); #357568=AXIS2_PLACEMENT_3D('',#514711,#398160,#398161); #357569=AXIS2_PLACEMENT_3D('',#514717,#398165,#398166); #357570=AXIS2_PLACEMENT_3D('',#514723,#398170,#398171); #357571=AXIS2_PLACEMENT_3D('',#514729,#398175,#398176); #357572=AXIS2_PLACEMENT_3D('',#514735,#398180,#398181); #357573=AXIS2_PLACEMENT_3D('',#514741,#398185,#398186); #357574=AXIS2_PLACEMENT_3D('',#514747,#398190,#398191); #357575=AXIS2_PLACEMENT_3D('',#514753,#398195,#398196); #357576=AXIS2_PLACEMENT_3D('',#514759,#398200,#398201); #357577=AXIS2_PLACEMENT_3D('',#514765,#398205,#398206); #357578=AXIS2_PLACEMENT_3D('',#514771,#398210,#398211); #357579=AXIS2_PLACEMENT_3D('',#514777,#398215,#398216); #357580=AXIS2_PLACEMENT_3D('',#514783,#398220,#398221); #357581=AXIS2_PLACEMENT_3D('',#514789,#398225,#398226); #357582=AXIS2_PLACEMENT_3D('',#514795,#398230,#398231); #357583=AXIS2_PLACEMENT_3D('',#514801,#398235,#398236); #357584=AXIS2_PLACEMENT_3D('',#514807,#398240,#398241); #357585=AXIS2_PLACEMENT_3D('',#514813,#398245,#398246); #357586=AXIS2_PLACEMENT_3D('',#514819,#398250,#398251); #357587=AXIS2_PLACEMENT_3D('',#514825,#398255,#398256); #357588=AXIS2_PLACEMENT_3D('',#514831,#398260,#398261); #357589=AXIS2_PLACEMENT_3D('',#514837,#398265,#398266); #357590=AXIS2_PLACEMENT_3D('',#514843,#398270,#398271); #357591=AXIS2_PLACEMENT_3D('',#514849,#398275,#398276); #357592=AXIS2_PLACEMENT_3D('',#514855,#398280,#398281); #357593=AXIS2_PLACEMENT_3D('',#514861,#398285,#398286); #357594=AXIS2_PLACEMENT_3D('',#514867,#398290,#398291); #357595=AXIS2_PLACEMENT_3D('',#514873,#398295,#398296); #357596=AXIS2_PLACEMENT_3D('',#514879,#398300,#398301); #357597=AXIS2_PLACEMENT_3D('',#514885,#398305,#398306); #357598=AXIS2_PLACEMENT_3D('',#514891,#398310,#398311); #357599=AXIS2_PLACEMENT_3D('',#514897,#398315,#398316); #357600=AXIS2_PLACEMENT_3D('',#514903,#398320,#398321); #357601=AXIS2_PLACEMENT_3D('',#514909,#398325,#398326); #357602=AXIS2_PLACEMENT_3D('',#514915,#398330,#398331); #357603=AXIS2_PLACEMENT_3D('',#514921,#398335,#398336); #357604=AXIS2_PLACEMENT_3D('',#514927,#398340,#398341); #357605=AXIS2_PLACEMENT_3D('',#514933,#398345,#398346); #357606=AXIS2_PLACEMENT_3D('',#514939,#398350,#398351); #357607=AXIS2_PLACEMENT_3D('',#514945,#398355,#398356); #357608=AXIS2_PLACEMENT_3D('',#514951,#398360,#398361); #357609=AXIS2_PLACEMENT_3D('',#514957,#398365,#398366); #357610=AXIS2_PLACEMENT_3D('',#514963,#398370,#398371); #357611=AXIS2_PLACEMENT_3D('',#514969,#398375,#398376); #357612=AXIS2_PLACEMENT_3D('',#514975,#398380,#398381); #357613=AXIS2_PLACEMENT_3D('',#514981,#398385,#398386); #357614=AXIS2_PLACEMENT_3D('',#514987,#398390,#398391); #357615=AXIS2_PLACEMENT_3D('',#514993,#398395,#398396); #357616=AXIS2_PLACEMENT_3D('',#514999,#398400,#398401); #357617=AXIS2_PLACEMENT_3D('',#515005,#398405,#398406); #357618=AXIS2_PLACEMENT_3D('',#515011,#398410,#398411); #357619=AXIS2_PLACEMENT_3D('',#515017,#398415,#398416); #357620=AXIS2_PLACEMENT_3D('',#515023,#398420,#398421); #357621=AXIS2_PLACEMENT_3D('',#515029,#398425,#398426); #357622=AXIS2_PLACEMENT_3D('',#515035,#398430,#398431); #357623=AXIS2_PLACEMENT_3D('',#515041,#398435,#398436); #357624=AXIS2_PLACEMENT_3D('',#515047,#398440,#398441); #357625=AXIS2_PLACEMENT_3D('',#515053,#398445,#398446); #357626=AXIS2_PLACEMENT_3D('',#515059,#398450,#398451); #357627=AXIS2_PLACEMENT_3D('',#515065,#398455,#398456); #357628=AXIS2_PLACEMENT_3D('',#515071,#398460,#398461); #357629=AXIS2_PLACEMENT_3D('',#515077,#398465,#398466); #357630=AXIS2_PLACEMENT_3D('',#515083,#398470,#398471); #357631=AXIS2_PLACEMENT_3D('',#515089,#398475,#398476); #357632=AXIS2_PLACEMENT_3D('',#515095,#398480,#398481); #357633=AXIS2_PLACEMENT_3D('',#515101,#398485,#398486); #357634=AXIS2_PLACEMENT_3D('',#515107,#398490,#398491); #357635=AXIS2_PLACEMENT_3D('',#515113,#398495,#398496); #357636=AXIS2_PLACEMENT_3D('',#515119,#398500,#398501); #357637=AXIS2_PLACEMENT_3D('',#515125,#398505,#398506); #357638=AXIS2_PLACEMENT_3D('',#515131,#398510,#398511); #357639=AXIS2_PLACEMENT_3D('',#515137,#398515,#398516); #357640=AXIS2_PLACEMENT_3D('',#515143,#398520,#398521); #357641=AXIS2_PLACEMENT_3D('',#515149,#398525,#398526); #357642=AXIS2_PLACEMENT_3D('',#515155,#398530,#398531); #357643=AXIS2_PLACEMENT_3D('',#515161,#398535,#398536); #357644=AXIS2_PLACEMENT_3D('',#515167,#398540,#398541); #357645=AXIS2_PLACEMENT_3D('',#515173,#398545,#398546); #357646=AXIS2_PLACEMENT_3D('',#515179,#398550,#398551); #357647=AXIS2_PLACEMENT_3D('',#515185,#398555,#398556); #357648=AXIS2_PLACEMENT_3D('',#515191,#398560,#398561); #357649=AXIS2_PLACEMENT_3D('',#515197,#398565,#398566); #357650=AXIS2_PLACEMENT_3D('',#515203,#398570,#398571); #357651=AXIS2_PLACEMENT_3D('',#515209,#398575,#398576); #357652=AXIS2_PLACEMENT_3D('',#515215,#398580,#398581); #357653=AXIS2_PLACEMENT_3D('',#515221,#398585,#398586); #357654=AXIS2_PLACEMENT_3D('',#515227,#398590,#398591); #357655=AXIS2_PLACEMENT_3D('',#515233,#398595,#398596); #357656=AXIS2_PLACEMENT_3D('',#515239,#398600,#398601); #357657=AXIS2_PLACEMENT_3D('',#515245,#398605,#398606); #357658=AXIS2_PLACEMENT_3D('',#515251,#398610,#398611); #357659=AXIS2_PLACEMENT_3D('',#515257,#398615,#398616); #357660=AXIS2_PLACEMENT_3D('',#515263,#398620,#398621); #357661=AXIS2_PLACEMENT_3D('',#515269,#398625,#398626); #357662=AXIS2_PLACEMENT_3D('',#515275,#398630,#398631); #357663=AXIS2_PLACEMENT_3D('',#515281,#398635,#398636); #357664=AXIS2_PLACEMENT_3D('',#515287,#398640,#398641); #357665=AXIS2_PLACEMENT_3D('',#515293,#398645,#398646); #357666=AXIS2_PLACEMENT_3D('',#515299,#398650,#398651); #357667=AXIS2_PLACEMENT_3D('',#515305,#398655,#398656); #357668=AXIS2_PLACEMENT_3D('',#515311,#398660,#398661); #357669=AXIS2_PLACEMENT_3D('',#515317,#398665,#398666); #357670=AXIS2_PLACEMENT_3D('',#515323,#398670,#398671); #357671=AXIS2_PLACEMENT_3D('',#515329,#398675,#398676); #357672=AXIS2_PLACEMENT_3D('',#515335,#398680,#398681); #357673=AXIS2_PLACEMENT_3D('',#515341,#398685,#398686); #357674=AXIS2_PLACEMENT_3D('',#515347,#398690,#398691); #357675=AXIS2_PLACEMENT_3D('',#515353,#398695,#398696); #357676=AXIS2_PLACEMENT_3D('',#515359,#398700,#398701); #357677=AXIS2_PLACEMENT_3D('',#515365,#398705,#398706); #357678=AXIS2_PLACEMENT_3D('',#515371,#398710,#398711); #357679=AXIS2_PLACEMENT_3D('',#515377,#398715,#398716); #357680=AXIS2_PLACEMENT_3D('',#515383,#398720,#398721); #357681=AXIS2_PLACEMENT_3D('',#515389,#398725,#398726); #357682=AXIS2_PLACEMENT_3D('',#515395,#398730,#398731); #357683=AXIS2_PLACEMENT_3D('',#515401,#398735,#398736); #357684=AXIS2_PLACEMENT_3D('',#515407,#398740,#398741); #357685=AXIS2_PLACEMENT_3D('',#515413,#398745,#398746); #357686=AXIS2_PLACEMENT_3D('',#515419,#398750,#398751); #357687=AXIS2_PLACEMENT_3D('',#515425,#398755,#398756); #357688=AXIS2_PLACEMENT_3D('',#515431,#398760,#398761); #357689=AXIS2_PLACEMENT_3D('',#515437,#398765,#398766); #357690=AXIS2_PLACEMENT_3D('',#515443,#398770,#398771); #357691=AXIS2_PLACEMENT_3D('',#515449,#398775,#398776); #357692=AXIS2_PLACEMENT_3D('',#515455,#398780,#398781); #357693=AXIS2_PLACEMENT_3D('',#515461,#398785,#398786); #357694=AXIS2_PLACEMENT_3D('',#515467,#398790,#398791); #357695=AXIS2_PLACEMENT_3D('',#515473,#398795,#398796); #357696=AXIS2_PLACEMENT_3D('',#515479,#398800,#398801); #357697=AXIS2_PLACEMENT_3D('',#515485,#398805,#398806); #357698=AXIS2_PLACEMENT_3D('',#515491,#398810,#398811); #357699=AXIS2_PLACEMENT_3D('',#515497,#398815,#398816); #357700=AXIS2_PLACEMENT_3D('',#515503,#398820,#398821); #357701=AXIS2_PLACEMENT_3D('',#515509,#398825,#398826); #357702=AXIS2_PLACEMENT_3D('',#515515,#398830,#398831); #357703=AXIS2_PLACEMENT_3D('',#515521,#398835,#398836); #357704=AXIS2_PLACEMENT_3D('',#515527,#398840,#398841); #357705=AXIS2_PLACEMENT_3D('',#515533,#398845,#398846); #357706=AXIS2_PLACEMENT_3D('',#515539,#398850,#398851); #357707=AXIS2_PLACEMENT_3D('',#515545,#398855,#398856); #357708=AXIS2_PLACEMENT_3D('',#515551,#398860,#398861); #357709=AXIS2_PLACEMENT_3D('',#515557,#398865,#398866); #357710=AXIS2_PLACEMENT_3D('',#515563,#398870,#398871); #357711=AXIS2_PLACEMENT_3D('',#515569,#398875,#398876); #357712=AXIS2_PLACEMENT_3D('',#515575,#398880,#398881); #357713=AXIS2_PLACEMENT_3D('',#515581,#398885,#398886); #357714=AXIS2_PLACEMENT_3D('',#515587,#398890,#398891); #357715=AXIS2_PLACEMENT_3D('',#515593,#398895,#398896); #357716=AXIS2_PLACEMENT_3D('',#515599,#398900,#398901); #357717=AXIS2_PLACEMENT_3D('',#515605,#398905,#398906); #357718=AXIS2_PLACEMENT_3D('',#515611,#398910,#398911); #357719=AXIS2_PLACEMENT_3D('',#515617,#398915,#398916); #357720=AXIS2_PLACEMENT_3D('',#515623,#398920,#398921); #357721=AXIS2_PLACEMENT_3D('',#515629,#398925,#398926); #357722=AXIS2_PLACEMENT_3D('',#515635,#398930,#398931); #357723=AXIS2_PLACEMENT_3D('',#515641,#398935,#398936); #357724=AXIS2_PLACEMENT_3D('',#515647,#398940,#398941); #357725=AXIS2_PLACEMENT_3D('',#515653,#398945,#398946); #357726=AXIS2_PLACEMENT_3D('',#515659,#398950,#398951); #357727=AXIS2_PLACEMENT_3D('',#515665,#398955,#398956); #357728=AXIS2_PLACEMENT_3D('',#515671,#398960,#398961); #357729=AXIS2_PLACEMENT_3D('',#515677,#398965,#398966); #357730=AXIS2_PLACEMENT_3D('',#515683,#398970,#398971); #357731=AXIS2_PLACEMENT_3D('',#515689,#398975,#398976); #357732=AXIS2_PLACEMENT_3D('',#515695,#398980,#398981); #357733=AXIS2_PLACEMENT_3D('',#515701,#398985,#398986); #357734=AXIS2_PLACEMENT_3D('',#515707,#398990,#398991); #357735=AXIS2_PLACEMENT_3D('',#515713,#398995,#398996); #357736=AXIS2_PLACEMENT_3D('',#515719,#399000,#399001); #357737=AXIS2_PLACEMENT_3D('',#515725,#399005,#399006); #357738=AXIS2_PLACEMENT_3D('',#515731,#399010,#399011); #357739=AXIS2_PLACEMENT_3D('',#515737,#399015,#399016); #357740=AXIS2_PLACEMENT_3D('',#515743,#399020,#399021); #357741=AXIS2_PLACEMENT_3D('',#515749,#399025,#399026); #357742=AXIS2_PLACEMENT_3D('',#515755,#399030,#399031); #357743=AXIS2_PLACEMENT_3D('',#515761,#399035,#399036); #357744=AXIS2_PLACEMENT_3D('',#515767,#399040,#399041); #357745=AXIS2_PLACEMENT_3D('',#515773,#399045,#399046); #357746=AXIS2_PLACEMENT_3D('',#515779,#399050,#399051); #357747=AXIS2_PLACEMENT_3D('',#515785,#399055,#399056); #357748=AXIS2_PLACEMENT_3D('',#515791,#399060,#399061); #357749=AXIS2_PLACEMENT_3D('',#515797,#399065,#399066); #357750=AXIS2_PLACEMENT_3D('',#515803,#399070,#399071); #357751=AXIS2_PLACEMENT_3D('',#515809,#399075,#399076); #357752=AXIS2_PLACEMENT_3D('',#515815,#399080,#399081); #357753=AXIS2_PLACEMENT_3D('',#515821,#399085,#399086); #357754=AXIS2_PLACEMENT_3D('',#515827,#399090,#399091); #357755=AXIS2_PLACEMENT_3D('',#515833,#399095,#399096); #357756=AXIS2_PLACEMENT_3D('',#515839,#399100,#399101); #357757=AXIS2_PLACEMENT_3D('',#515845,#399105,#399106); #357758=AXIS2_PLACEMENT_3D('',#515851,#399110,#399111); #357759=AXIS2_PLACEMENT_3D('',#515857,#399115,#399116); #357760=AXIS2_PLACEMENT_3D('',#515863,#399120,#399121); #357761=AXIS2_PLACEMENT_3D('',#515869,#399125,#399126); #357762=AXIS2_PLACEMENT_3D('',#515875,#399130,#399131); #357763=AXIS2_PLACEMENT_3D('',#515881,#399135,#399136); #357764=AXIS2_PLACEMENT_3D('',#515887,#399140,#399141); #357765=AXIS2_PLACEMENT_3D('',#515890,#399144,#399145); #357766=AXIS2_PLACEMENT_3D('',#515891,#399146,#399147); #357767=AXIS2_PLACEMENT_3D('',#515892,#399148,#399149); #357768=AXIS2_PLACEMENT_3D('',#515901,#399154,#399155); #357769=AXIS2_PLACEMENT_3D('',#515907,#399159,#399160); #357770=AXIS2_PLACEMENT_3D('',#515913,#399164,#399165); #357771=AXIS2_PLACEMENT_3D('',#515919,#399169,#399170); #357772=AXIS2_PLACEMENT_3D('',#515925,#399174,#399175); #357773=AXIS2_PLACEMENT_3D('',#515931,#399179,#399180); #357774=AXIS2_PLACEMENT_3D('',#515937,#399184,#399185); #357775=AXIS2_PLACEMENT_3D('',#515943,#399189,#399190); #357776=AXIS2_PLACEMENT_3D('',#515949,#399194,#399195); #357777=AXIS2_PLACEMENT_3D('',#515955,#399199,#399200); #357778=AXIS2_PLACEMENT_3D('',#515961,#399204,#399205); #357779=AXIS2_PLACEMENT_3D('',#515967,#399209,#399210); #357780=AXIS2_PLACEMENT_3D('',#515973,#399214,#399215); #357781=AXIS2_PLACEMENT_3D('',#515979,#399219,#399220); #357782=AXIS2_PLACEMENT_3D('',#515985,#399224,#399225); #357783=AXIS2_PLACEMENT_3D('',#515991,#399229,#399230); #357784=AXIS2_PLACEMENT_3D('',#515997,#399234,#399235); #357785=AXIS2_PLACEMENT_3D('',#516003,#399239,#399240); #357786=AXIS2_PLACEMENT_3D('',#516009,#399244,#399245); #357787=AXIS2_PLACEMENT_3D('',#516015,#399249,#399250); #357788=AXIS2_PLACEMENT_3D('',#516018,#399253,#399254); #357789=AXIS2_PLACEMENT_3D('',#516019,#399255,#399256); #357790=AXIS2_PLACEMENT_3D('',#516020,#399257,#399258); #357791=AXIS2_PLACEMENT_3D('',#516029,#399263,#399264); #357792=AXIS2_PLACEMENT_3D('',#516035,#399268,#399269); #357793=AXIS2_PLACEMENT_3D('',#516041,#399273,#399274); #357794=AXIS2_PLACEMENT_3D('',#516047,#399278,#399279); #357795=AXIS2_PLACEMENT_3D('',#516053,#399283,#399284); #357796=AXIS2_PLACEMENT_3D('',#516059,#399288,#399289); #357797=AXIS2_PLACEMENT_3D('',#516065,#399293,#399294); #357798=AXIS2_PLACEMENT_3D('',#516071,#399298,#399299); #357799=AXIS2_PLACEMENT_3D('',#516077,#399303,#399304); #357800=AXIS2_PLACEMENT_3D('',#516083,#399308,#399309); #357801=AXIS2_PLACEMENT_3D('',#516089,#399313,#399314); #357802=AXIS2_PLACEMENT_3D('',#516095,#399318,#399319); #357803=AXIS2_PLACEMENT_3D('',#516101,#399323,#399324); #357804=AXIS2_PLACEMENT_3D('',#516107,#399328,#399329); #357805=AXIS2_PLACEMENT_3D('',#516113,#399333,#399334); #357806=AXIS2_PLACEMENT_3D('',#516119,#399338,#399339); #357807=AXIS2_PLACEMENT_3D('',#516125,#399343,#399344); #357808=AXIS2_PLACEMENT_3D('',#516131,#399348,#399349); #357809=AXIS2_PLACEMENT_3D('',#516137,#399353,#399354); #357810=AXIS2_PLACEMENT_3D('',#516140,#399357,#399358); #357811=AXIS2_PLACEMENT_3D('',#516141,#399359,#399360); #357812=AXIS2_PLACEMENT_3D('',#516142,#399361,#399362); #357813=AXIS2_PLACEMENT_3D('',#516144,#399363,#399364); #357814=AXIS2_PLACEMENT_3D('',#516147,#399366,#399367); #357815=AXIS2_PLACEMENT_3D('',#516148,#399368,#399369); #357816=AXIS2_PLACEMENT_3D('',#516150,#399370,#399371); #357817=AXIS2_PLACEMENT_3D('',#516153,#399373,#399374); #357818=AXIS2_PLACEMENT_3D('',#516154,#399375,#399376); #357819=AXIS2_PLACEMENT_3D('',#516156,#399377,#399378); #357820=AXIS2_PLACEMENT_3D('',#516159,#399380,#399381); #357821=AXIS2_PLACEMENT_3D('',#516160,#399382,#399383); #357822=AXIS2_PLACEMENT_3D('',#516162,#399384,#399385); #357823=AXIS2_PLACEMENT_3D('',#516165,#399387,#399388); #357824=AXIS2_PLACEMENT_3D('',#516166,#399389,#399390); #357825=AXIS2_PLACEMENT_3D('',#516168,#399391,#399392); #357826=AXIS2_PLACEMENT_3D('',#516171,#399394,#399395); #357827=AXIS2_PLACEMENT_3D('',#516172,#399396,#399397); #357828=AXIS2_PLACEMENT_3D('',#516174,#399398,#399399); #357829=AXIS2_PLACEMENT_3D('',#516177,#399401,#399402); #357830=AXIS2_PLACEMENT_3D('',#516178,#399403,#399404); #357831=AXIS2_PLACEMENT_3D('',#516180,#399405,#399406); #357832=AXIS2_PLACEMENT_3D('',#516183,#399408,#399409); #357833=AXIS2_PLACEMENT_3D('',#516184,#399410,#399411); #357834=AXIS2_PLACEMENT_3D('',#516186,#399412,#399413); #357835=AXIS2_PLACEMENT_3D('',#516189,#399415,#399416); #357836=AXIS2_PLACEMENT_3D('',#516190,#399417,#399418); #357837=AXIS2_PLACEMENT_3D('',#516192,#399419,#399420); #357838=AXIS2_PLACEMENT_3D('',#516195,#399422,#399423); #357839=AXIS2_PLACEMENT_3D('',#516196,#399424,#399425); #357840=AXIS2_PLACEMENT_3D('',#516198,#399426,#399427); #357841=AXIS2_PLACEMENT_3D('',#516201,#399429,#399430); #357842=AXIS2_PLACEMENT_3D('',#516202,#399431,#399432); #357843=AXIS2_PLACEMENT_3D('',#516204,#399433,#399434); #357844=AXIS2_PLACEMENT_3D('',#516207,#399436,#399437); #357845=AXIS2_PLACEMENT_3D('',#516208,#399438,#399439); #357846=AXIS2_PLACEMENT_3D('',#516210,#399440,#399441); #357847=AXIS2_PLACEMENT_3D('',#516213,#399443,#399444); #357848=AXIS2_PLACEMENT_3D('',#516214,#399445,#399446); #357849=AXIS2_PLACEMENT_3D('',#516216,#399447,#399448); #357850=AXIS2_PLACEMENT_3D('',#516219,#399450,#399451); #357851=AXIS2_PLACEMENT_3D('',#516220,#399452,#399453); #357852=AXIS2_PLACEMENT_3D('',#516222,#399454,#399455); #357853=AXIS2_PLACEMENT_3D('',#516225,#399457,#399458); #357854=AXIS2_PLACEMENT_3D('',#516226,#399459,#399460); #357855=AXIS2_PLACEMENT_3D('',#516228,#399461,#399462); #357856=AXIS2_PLACEMENT_3D('',#516231,#399464,#399465); #357857=AXIS2_PLACEMENT_3D('',#516232,#399466,#399467); #357858=AXIS2_PLACEMENT_3D('',#516234,#399468,#399469); #357859=AXIS2_PLACEMENT_3D('',#516237,#399471,#399472); #357860=AXIS2_PLACEMENT_3D('',#516238,#399473,#399474); #357861=AXIS2_PLACEMENT_3D('',#516240,#399475,#399476); #357862=AXIS2_PLACEMENT_3D('',#516243,#399478,#399479); #357863=AXIS2_PLACEMENT_3D('',#516244,#399480,#399481); #357864=AXIS2_PLACEMENT_3D('',#516246,#399482,#399483); #357865=AXIS2_PLACEMENT_3D('',#516249,#399485,#399486); #357866=AXIS2_PLACEMENT_3D('',#516250,#399487,#399488); #357867=AXIS2_PLACEMENT_3D('',#516252,#399489,#399490); #357868=AXIS2_PLACEMENT_3D('',#516255,#399492,#399493); #357869=AXIS2_PLACEMENT_3D('',#516256,#399494,#399495); #357870=AXIS2_PLACEMENT_3D('',#516258,#399496,#399497); #357871=AXIS2_PLACEMENT_3D('',#516261,#399499,#399500); #357872=AXIS2_PLACEMENT_3D('',#516262,#399501,#399502); #357873=AXIS2_PLACEMENT_3D('',#516264,#399503,#399504); #357874=AXIS2_PLACEMENT_3D('',#516267,#399506,#399507); #357875=AXIS2_PLACEMENT_3D('',#516268,#399508,#399509); #357876=AXIS2_PLACEMENT_3D('',#516270,#399510,#399511); #357877=AXIS2_PLACEMENT_3D('',#516273,#399513,#399514); #357878=AXIS2_PLACEMENT_3D('',#516274,#399515,#399516); #357879=AXIS2_PLACEMENT_3D('',#516276,#399517,#399518); #357880=AXIS2_PLACEMENT_3D('',#516279,#399520,#399521); #357881=AXIS2_PLACEMENT_3D('',#516280,#399522,#399523); #357882=AXIS2_PLACEMENT_3D('',#516282,#399524,#399525); #357883=AXIS2_PLACEMENT_3D('',#516285,#399527,#399528); #357884=AXIS2_PLACEMENT_3D('',#516286,#399529,#399530); #357885=AXIS2_PLACEMENT_3D('',#516288,#399531,#399532); #357886=AXIS2_PLACEMENT_3D('',#516291,#399534,#399535); #357887=AXIS2_PLACEMENT_3D('',#516292,#399536,#399537); #357888=AXIS2_PLACEMENT_3D('',#516294,#399538,#399539); #357889=AXIS2_PLACEMENT_3D('',#516297,#399541,#399542); #357890=AXIS2_PLACEMENT_3D('',#516298,#399543,#399544); #357891=AXIS2_PLACEMENT_3D('',#516300,#399545,#399546); #357892=AXIS2_PLACEMENT_3D('',#516303,#399548,#399549); #357893=AXIS2_PLACEMENT_3D('',#516304,#399550,#399551); #357894=AXIS2_PLACEMENT_3D('',#516306,#399552,#399553); #357895=AXIS2_PLACEMENT_3D('',#516309,#399555,#399556); #357896=AXIS2_PLACEMENT_3D('',#516310,#399557,#399558); #357897=AXIS2_PLACEMENT_3D('',#516312,#399559,#399560); #357898=AXIS2_PLACEMENT_3D('',#516315,#399562,#399563); #357899=AXIS2_PLACEMENT_3D('',#516316,#399564,#399565); #357900=AXIS2_PLACEMENT_3D('',#516318,#399566,#399567); #357901=AXIS2_PLACEMENT_3D('',#516321,#399569,#399570); #357902=AXIS2_PLACEMENT_3D('',#516322,#399571,#399572); #357903=AXIS2_PLACEMENT_3D('',#516324,#399573,#399574); #357904=AXIS2_PLACEMENT_3D('',#516327,#399576,#399577); #357905=AXIS2_PLACEMENT_3D('',#516328,#399578,#399579); #357906=AXIS2_PLACEMENT_3D('',#516330,#399580,#399581); #357907=AXIS2_PLACEMENT_3D('',#516333,#399583,#399584); #357908=AXIS2_PLACEMENT_3D('',#516334,#399585,#399586); #357909=AXIS2_PLACEMENT_3D('',#516336,#399587,#399588); #357910=AXIS2_PLACEMENT_3D('',#516339,#399590,#399591); #357911=AXIS2_PLACEMENT_3D('',#516340,#399592,#399593); #357912=AXIS2_PLACEMENT_3D('',#516342,#399594,#399595); #357913=AXIS2_PLACEMENT_3D('',#516345,#399597,#399598); #357914=AXIS2_PLACEMENT_3D('',#516346,#399599,#399600); #357915=AXIS2_PLACEMENT_3D('',#516348,#399601,#399602); #357916=AXIS2_PLACEMENT_3D('',#516351,#399604,#399605); #357917=AXIS2_PLACEMENT_3D('',#516352,#399606,#399607); #357918=AXIS2_PLACEMENT_3D('',#516361,#399612,#399613); #357919=AXIS2_PLACEMENT_3D('',#516363,#399614,#399615); #357920=AXIS2_PLACEMENT_3D('',#516365,#399616,#399617); #357921=AXIS2_PLACEMENT_3D('',#516367,#399619,#399620); #357922=AXIS2_PLACEMENT_3D('',#516373,#399624,#399625); #357923=AXIS2_PLACEMENT_3D('',#516374,#399626,#399627); #357924=AXIS2_PLACEMENT_3D('',#516375,#399628,#399629); #357925=AXIS2_PLACEMENT_3D('',#516376,#399630,#399631); #357926=AXIS2_PLACEMENT_3D('',#516378,#399632,#399633); #357927=AXIS2_PLACEMENT_3D('',#516381,#399635,#399636); #357928=AXIS2_PLACEMENT_3D('',#516382,#399637,#399638); #357929=AXIS2_PLACEMENT_3D('',#516384,#399639,#399640); #357930=AXIS2_PLACEMENT_3D('',#516387,#399642,#399643); #357931=AXIS2_PLACEMENT_3D('',#516388,#399644,#399645); #357932=AXIS2_PLACEMENT_3D('',#516390,#399646,#399647); #357933=AXIS2_PLACEMENT_3D('',#516393,#399649,#399650); #357934=AXIS2_PLACEMENT_3D('',#516394,#399651,#399652); #357935=AXIS2_PLACEMENT_3D('',#516396,#399653,#399654); #357936=AXIS2_PLACEMENT_3D('',#516399,#399656,#399657); #357937=AXIS2_PLACEMENT_3D('',#516400,#399658,#399659); #357938=AXIS2_PLACEMENT_3D('',#516409,#399664,#399665); #357939=AXIS2_PLACEMENT_3D('',#516411,#399666,#399667); #357940=AXIS2_PLACEMENT_3D('',#516413,#399668,#399669); #357941=AXIS2_PLACEMENT_3D('',#516415,#399671,#399672); #357942=AXIS2_PLACEMENT_3D('',#516421,#399676,#399677); #357943=AXIS2_PLACEMENT_3D('',#516422,#399678,#399679); #357944=AXIS2_PLACEMENT_3D('',#516423,#399680,#399681); #357945=AXIS2_PLACEMENT_3D('',#516424,#399682,#399683); #357946=AXIS2_PLACEMENT_3D('',#516433,#399688,#399689); #357947=AXIS2_PLACEMENT_3D('',#516435,#399690,#399691); #357948=AXIS2_PLACEMENT_3D('',#516437,#399692,#399693); #357949=AXIS2_PLACEMENT_3D('',#516439,#399695,#399696); #357950=AXIS2_PLACEMENT_3D('',#516445,#399700,#399701); #357951=AXIS2_PLACEMENT_3D('',#516446,#399702,#399703); #357952=AXIS2_PLACEMENT_3D('',#516447,#399704,#399705); #357953=AXIS2_PLACEMENT_3D('',#516448,#399706,#399707); #357954=AXIS2_PLACEMENT_3D('',#516457,#399712,#399713); #357955=AXIS2_PLACEMENT_3D('',#516459,#399714,#399715); #357956=AXIS2_PLACEMENT_3D('',#516461,#399716,#399717); #357957=AXIS2_PLACEMENT_3D('',#516463,#399719,#399720); #357958=AXIS2_PLACEMENT_3D('',#516469,#399724,#399725); #357959=AXIS2_PLACEMENT_3D('',#516470,#399726,#399727); #357960=AXIS2_PLACEMENT_3D('',#516471,#399728,#399729); #357961=AXIS2_PLACEMENT_3D('',#516472,#399730,#399731); #357962=AXIS2_PLACEMENT_3D('',#516474,#399732,#399733); #357963=AXIS2_PLACEMENT_3D('',#516477,#399735,#399736); #357964=AXIS2_PLACEMENT_3D('',#516478,#399737,#399738); #357965=AXIS2_PLACEMENT_3D('',#516480,#399739,#399740); #357966=AXIS2_PLACEMENT_3D('',#516483,#399742,#399743); #357967=AXIS2_PLACEMENT_3D('',#516484,#399744,#399745); #357968=AXIS2_PLACEMENT_3D('',#516486,#399746,#399747); #357969=AXIS2_PLACEMENT_3D('',#516489,#399749,#399750); #357970=AXIS2_PLACEMENT_3D('',#516490,#399751,#399752); #357971=AXIS2_PLACEMENT_3D('',#516492,#399753,#399754); #357972=AXIS2_PLACEMENT_3D('',#516495,#399756,#399757); #357973=AXIS2_PLACEMENT_3D('',#516496,#399758,#399759); #357974=AXIS2_PLACEMENT_3D('',#516498,#399760,#399761); #357975=AXIS2_PLACEMENT_3D('',#516501,#399763,#399764); #357976=AXIS2_PLACEMENT_3D('',#516502,#399765,#399766); #357977=AXIS2_PLACEMENT_3D('',#516504,#399767,#399768); #357978=AXIS2_PLACEMENT_3D('',#516507,#399770,#399771); #357979=AXIS2_PLACEMENT_3D('',#516508,#399772,#399773); #357980=AXIS2_PLACEMENT_3D('',#516510,#399774,#399775); #357981=AXIS2_PLACEMENT_3D('',#516513,#399777,#399778); #357982=AXIS2_PLACEMENT_3D('',#516514,#399779,#399780); #357983=AXIS2_PLACEMENT_3D('',#516516,#399781,#399782); #357984=AXIS2_PLACEMENT_3D('',#516519,#399784,#399785); #357985=AXIS2_PLACEMENT_3D('',#516520,#399786,#399787); #357986=AXIS2_PLACEMENT_3D('',#516522,#399788,#399789); #357987=AXIS2_PLACEMENT_3D('',#516525,#399791,#399792); #357988=AXIS2_PLACEMENT_3D('',#516526,#399793,#399794); #357989=AXIS2_PLACEMENT_3D('',#516528,#399795,#399796); #357990=AXIS2_PLACEMENT_3D('',#516531,#399798,#399799); #357991=AXIS2_PLACEMENT_3D('',#516532,#399800,#399801); #357992=AXIS2_PLACEMENT_3D('',#516534,#399802,#399803); #357993=AXIS2_PLACEMENT_3D('',#516537,#399805,#399806); #357994=AXIS2_PLACEMENT_3D('',#516538,#399807,#399808); #357995=AXIS2_PLACEMENT_3D('',#516540,#399809,#399810); #357996=AXIS2_PLACEMENT_3D('',#516543,#399812,#399813); #357997=AXIS2_PLACEMENT_3D('',#516544,#399814,#399815); #357998=AXIS2_PLACEMENT_3D('',#516546,#399816,#399817); #357999=AXIS2_PLACEMENT_3D('',#516549,#399819,#399820); #358000=AXIS2_PLACEMENT_3D('',#516550,#399821,#399822); #358001=AXIS2_PLACEMENT_3D('',#516552,#399823,#399824); #358002=AXIS2_PLACEMENT_3D('',#516555,#399826,#399827); #358003=AXIS2_PLACEMENT_3D('',#516556,#399828,#399829); #358004=AXIS2_PLACEMENT_3D('',#516558,#399830,#399831); #358005=AXIS2_PLACEMENT_3D('',#516561,#399833,#399834); #358006=AXIS2_PLACEMENT_3D('',#516562,#399835,#399836); #358007=AXIS2_PLACEMENT_3D('',#516564,#399837,#399838); #358008=AXIS2_PLACEMENT_3D('',#516567,#399840,#399841); #358009=AXIS2_PLACEMENT_3D('',#516568,#399842,#399843); #358010=AXIS2_PLACEMENT_3D('',#516570,#399844,#399845); #358011=AXIS2_PLACEMENT_3D('',#516573,#399847,#399848); #358012=AXIS2_PLACEMENT_3D('',#516574,#399849,#399850); #358013=AXIS2_PLACEMENT_3D('',#516576,#399851,#399852); #358014=AXIS2_PLACEMENT_3D('',#516579,#399854,#399855); #358015=AXIS2_PLACEMENT_3D('',#516580,#399856,#399857); #358016=AXIS2_PLACEMENT_3D('',#516582,#399858,#399859); #358017=AXIS2_PLACEMENT_3D('',#516585,#399861,#399862); #358018=AXIS2_PLACEMENT_3D('',#516586,#399863,#399864); #358019=AXIS2_PLACEMENT_3D('',#516595,#399869,#399870); #358020=AXIS2_PLACEMENT_3D('',#516601,#399874,#399875); #358021=AXIS2_PLACEMENT_3D('',#516607,#399879,#399880); #358022=AXIS2_PLACEMENT_3D('',#516613,#399884,#399885); #358023=AXIS2_PLACEMENT_3D('',#516619,#399889,#399890); #358024=AXIS2_PLACEMENT_3D('',#516625,#399894,#399895); #358025=AXIS2_PLACEMENT_3D('',#516631,#399899,#399900); #358026=AXIS2_PLACEMENT_3D('',#516634,#399903,#399904); #358027=AXIS2_PLACEMENT_3D('',#516643,#399909,#399910); #358028=AXIS2_PLACEMENT_3D('',#516649,#399914,#399915); #358029=AXIS2_PLACEMENT_3D('',#516655,#399919,#399920); #358030=AXIS2_PLACEMENT_3D('',#516661,#399924,#399925); #358031=AXIS2_PLACEMENT_3D('',#516667,#399929,#399930); #358032=AXIS2_PLACEMENT_3D('',#516673,#399934,#399935); #358033=AXIS2_PLACEMENT_3D('',#516679,#399939,#399940); #358034=AXIS2_PLACEMENT_3D('',#516685,#399944,#399945); #358035=AXIS2_PLACEMENT_3D('',#516691,#399949,#399950); #358036=AXIS2_PLACEMENT_3D('',#516697,#399954,#399955); #358037=AXIS2_PLACEMENT_3D('',#516703,#399959,#399960); #358038=AXIS2_PLACEMENT_3D('',#516709,#399964,#399965); #358039=AXIS2_PLACEMENT_3D('',#516715,#399969,#399970); #358040=AXIS2_PLACEMENT_3D('',#516721,#399974,#399975); #358041=AXIS2_PLACEMENT_3D('',#516727,#399979,#399980); #358042=AXIS2_PLACEMENT_3D('',#516730,#399983,#399984); #358043=AXIS2_PLACEMENT_3D('',#516739,#399989,#399990); #358044=AXIS2_PLACEMENT_3D('',#516745,#399994,#399995); #358045=AXIS2_PLACEMENT_3D('',#516751,#399999,#400000); #358046=AXIS2_PLACEMENT_3D('',#516757,#400004,#400005); #358047=AXIS2_PLACEMENT_3D('',#516763,#400009,#400010); #358048=AXIS2_PLACEMENT_3D('',#516769,#400014,#400015); #358049=AXIS2_PLACEMENT_3D('',#516775,#400019,#400020); #358050=AXIS2_PLACEMENT_3D('',#516781,#400024,#400025); #358051=AXIS2_PLACEMENT_3D('',#516787,#400029,#400030); #358052=AXIS2_PLACEMENT_3D('',#516793,#400034,#400035); #358053=AXIS2_PLACEMENT_3D('',#516799,#400039,#400040); #358054=AXIS2_PLACEMENT_3D('',#516805,#400044,#400045); #358055=AXIS2_PLACEMENT_3D('',#516811,#400049,#400050); #358056=AXIS2_PLACEMENT_3D('',#516817,#400054,#400055); #358057=AXIS2_PLACEMENT_3D('',#516823,#400059,#400060); #358058=AXIS2_PLACEMENT_3D('',#516826,#400063,#400064); #358059=AXIS2_PLACEMENT_3D('',#516835,#400069,#400070); #358060=AXIS2_PLACEMENT_3D('',#516841,#400074,#400075); #358061=AXIS2_PLACEMENT_3D('',#516847,#400079,#400080); #358062=AXIS2_PLACEMENT_3D('',#516853,#400084,#400085); #358063=AXIS2_PLACEMENT_3D('',#516859,#400089,#400090); #358064=AXIS2_PLACEMENT_3D('',#516865,#400094,#400095); #358065=AXIS2_PLACEMENT_3D('',#516871,#400099,#400100); #358066=AXIS2_PLACEMENT_3D('',#516877,#400104,#400105); #358067=AXIS2_PLACEMENT_3D('',#516883,#400109,#400110); #358068=AXIS2_PLACEMENT_3D('',#516889,#400114,#400115); #358069=AXIS2_PLACEMENT_3D('',#516895,#400119,#400120); #358070=AXIS2_PLACEMENT_3D('',#516901,#400124,#400125); #358071=AXIS2_PLACEMENT_3D('',#516907,#400129,#400130); #358072=AXIS2_PLACEMENT_3D('',#516913,#400134,#400135); #358073=AXIS2_PLACEMENT_3D('',#516919,#400139,#400140); #358074=AXIS2_PLACEMENT_3D('',#516925,#400144,#400145); #358075=AXIS2_PLACEMENT_3D('',#516931,#400149,#400150); #358076=AXIS2_PLACEMENT_3D('',#516937,#400154,#400155); #358077=AXIS2_PLACEMENT_3D('',#516943,#400159,#400160); #358078=AXIS2_PLACEMENT_3D('',#516949,#400164,#400165); #358079=AXIS2_PLACEMENT_3D('',#516955,#400169,#400170); #358080=AXIS2_PLACEMENT_3D('',#516961,#400174,#400175); #358081=AXIS2_PLACEMENT_3D('',#516967,#400179,#400180); #358082=AXIS2_PLACEMENT_3D('',#516973,#400184,#400185); #358083=AXIS2_PLACEMENT_3D('',#516979,#400189,#400190); #358084=AXIS2_PLACEMENT_3D('',#516985,#400194,#400195); #358085=AXIS2_PLACEMENT_3D('',#516991,#400199,#400200); #358086=AXIS2_PLACEMENT_3D('',#516997,#400204,#400205); #358087=AXIS2_PLACEMENT_3D('',#517003,#400209,#400210); #358088=AXIS2_PLACEMENT_3D('',#517009,#400214,#400215); #358089=AXIS2_PLACEMENT_3D('',#517015,#400219,#400220); #358090=AXIS2_PLACEMENT_3D('',#517021,#400224,#400225); #358091=AXIS2_PLACEMENT_3D('',#517027,#400229,#400230); #358092=AXIS2_PLACEMENT_3D('',#517033,#400234,#400235); #358093=AXIS2_PLACEMENT_3D('',#517039,#400239,#400240); #358094=AXIS2_PLACEMENT_3D('',#517042,#400243,#400244); #358095=AXIS2_PLACEMENT_3D('',#517051,#400249,#400250); #358096=AXIS2_PLACEMENT_3D('',#517057,#400254,#400255); #358097=AXIS2_PLACEMENT_3D('',#517063,#400259,#400260); #358098=AXIS2_PLACEMENT_3D('',#517069,#400264,#400265); #358099=AXIS2_PLACEMENT_3D('',#517075,#400269,#400270); #358100=AXIS2_PLACEMENT_3D('',#517081,#400274,#400275); #358101=AXIS2_PLACEMENT_3D('',#517087,#400279,#400280); #358102=AXIS2_PLACEMENT_3D('',#517093,#400284,#400285); #358103=AXIS2_PLACEMENT_3D('',#517099,#400289,#400290); #358104=AXIS2_PLACEMENT_3D('',#517105,#400294,#400295); #358105=AXIS2_PLACEMENT_3D('',#517111,#400299,#400300); #358106=AXIS2_PLACEMENT_3D('',#517117,#400304,#400305); #358107=AXIS2_PLACEMENT_3D('',#517123,#400309,#400310); #358108=AXIS2_PLACEMENT_3D('',#517129,#400314,#400315); #358109=AXIS2_PLACEMENT_3D('',#517135,#400319,#400320); #358110=AXIS2_PLACEMENT_3D('',#517141,#400324,#400325); #358111=AXIS2_PLACEMENT_3D('',#517147,#400329,#400330); #358112=AXIS2_PLACEMENT_3D('',#517153,#400334,#400335); #358113=AXIS2_PLACEMENT_3D('',#517159,#400339,#400340); #358114=AXIS2_PLACEMENT_3D('',#517165,#400344,#400345); #358115=AXIS2_PLACEMENT_3D('',#517171,#400349,#400350); #358116=AXIS2_PLACEMENT_3D('',#517177,#400354,#400355); #358117=AXIS2_PLACEMENT_3D('',#517183,#400359,#400360); #358118=AXIS2_PLACEMENT_3D('',#517189,#400364,#400365); #358119=AXIS2_PLACEMENT_3D('',#517195,#400369,#400370); #358120=AXIS2_PLACEMENT_3D('',#517201,#400374,#400375); #358121=AXIS2_PLACEMENT_3D('',#517207,#400379,#400380); #358122=AXIS2_PLACEMENT_3D('',#517213,#400384,#400385); #358123=AXIS2_PLACEMENT_3D('',#517219,#400389,#400390); #358124=AXIS2_PLACEMENT_3D('',#517225,#400394,#400395); #358125=AXIS2_PLACEMENT_3D('',#517231,#400399,#400400); #358126=AXIS2_PLACEMENT_3D('',#517237,#400404,#400405); #358127=AXIS2_PLACEMENT_3D('',#517243,#400409,#400410); #358128=AXIS2_PLACEMENT_3D('',#517249,#400414,#400415); #358129=AXIS2_PLACEMENT_3D('',#517255,#400419,#400420); #358130=AXIS2_PLACEMENT_3D('',#517258,#400423,#400424); #358131=AXIS2_PLACEMENT_3D('',#517267,#400429,#400430); #358132=AXIS2_PLACEMENT_3D('',#517273,#400434,#400435); #358133=AXIS2_PLACEMENT_3D('',#517279,#400439,#400440); #358134=AXIS2_PLACEMENT_3D('',#517285,#400444,#400445); #358135=AXIS2_PLACEMENT_3D('',#517291,#400449,#400450); #358136=AXIS2_PLACEMENT_3D('',#517297,#400454,#400455); #358137=AXIS2_PLACEMENT_3D('',#517303,#400459,#400460); #358138=AXIS2_PLACEMENT_3D('',#517309,#400464,#400465); #358139=AXIS2_PLACEMENT_3D('',#517315,#400469,#400470); #358140=AXIS2_PLACEMENT_3D('',#517321,#400474,#400475); #358141=AXIS2_PLACEMENT_3D('',#517327,#400479,#400480); #358142=AXIS2_PLACEMENT_3D('',#517333,#400484,#400485); #358143=AXIS2_PLACEMENT_3D('',#517339,#400489,#400490); #358144=AXIS2_PLACEMENT_3D('',#517345,#400494,#400495); #358145=AXIS2_PLACEMENT_3D('',#517351,#400499,#400500); #358146=AXIS2_PLACEMENT_3D('',#517357,#400504,#400505); #358147=AXIS2_PLACEMENT_3D('',#517363,#400509,#400510); #358148=AXIS2_PLACEMENT_3D('',#517369,#400514,#400515); #358149=AXIS2_PLACEMENT_3D('',#517375,#400519,#400520); #358150=AXIS2_PLACEMENT_3D('',#517381,#400524,#400525); #358151=AXIS2_PLACEMENT_3D('',#517387,#400529,#400530); #358152=AXIS2_PLACEMENT_3D('',#517393,#400534,#400535); #358153=AXIS2_PLACEMENT_3D('',#517399,#400539,#400540); #358154=AXIS2_PLACEMENT_3D('',#517405,#400544,#400545); #358155=AXIS2_PLACEMENT_3D('',#517411,#400549,#400550); #358156=AXIS2_PLACEMENT_3D('',#517417,#400554,#400555); #358157=AXIS2_PLACEMENT_3D('',#517423,#400559,#400560); #358158=AXIS2_PLACEMENT_3D('',#517429,#400564,#400565); #358159=AXIS2_PLACEMENT_3D('',#517435,#400569,#400570); #358160=AXIS2_PLACEMENT_3D('',#517441,#400574,#400575); #358161=AXIS2_PLACEMENT_3D('',#517447,#400579,#400580); #358162=AXIS2_PLACEMENT_3D('',#517453,#400584,#400585); #358163=AXIS2_PLACEMENT_3D('',#517459,#400589,#400590); #358164=AXIS2_PLACEMENT_3D('',#517465,#400594,#400595); #358165=AXIS2_PLACEMENT_3D('',#517471,#400599,#400600); #358166=AXIS2_PLACEMENT_3D('',#517477,#400604,#400605); #358167=AXIS2_PLACEMENT_3D('',#517483,#400609,#400610); #358168=AXIS2_PLACEMENT_3D('',#517489,#400614,#400615); #358169=AXIS2_PLACEMENT_3D('',#517495,#400619,#400620); #358170=AXIS2_PLACEMENT_3D('',#517501,#400624,#400625); #358171=AXIS2_PLACEMENT_3D('',#517507,#400629,#400630); #358172=AXIS2_PLACEMENT_3D('',#517513,#400634,#400635); #358173=AXIS2_PLACEMENT_3D('',#517519,#400639,#400640); #358174=AXIS2_PLACEMENT_3D('',#517525,#400644,#400645); #358175=AXIS2_PLACEMENT_3D('',#517531,#400649,#400650); #358176=AXIS2_PLACEMENT_3D('',#517537,#400654,#400655); #358177=AXIS2_PLACEMENT_3D('',#517543,#400659,#400660); #358178=AXIS2_PLACEMENT_3D('',#517549,#400664,#400665); #358179=AXIS2_PLACEMENT_3D('',#517555,#400669,#400670); #358180=AXIS2_PLACEMENT_3D('',#517561,#400674,#400675); #358181=AXIS2_PLACEMENT_3D('',#517567,#400679,#400680); #358182=AXIS2_PLACEMENT_3D('',#517573,#400684,#400685); #358183=AXIS2_PLACEMENT_3D('',#517579,#400689,#400690); #358184=AXIS2_PLACEMENT_3D('',#517585,#400694,#400695); #358185=AXIS2_PLACEMENT_3D('',#517591,#400699,#400700); #358186=AXIS2_PLACEMENT_3D('',#517597,#400704,#400705); #358187=AXIS2_PLACEMENT_3D('',#517603,#400709,#400710); #358188=AXIS2_PLACEMENT_3D('',#517609,#400714,#400715); #358189=AXIS2_PLACEMENT_3D('',#517615,#400719,#400720); #358190=AXIS2_PLACEMENT_3D('',#517621,#400724,#400725); #358191=AXIS2_PLACEMENT_3D('',#517627,#400729,#400730); #358192=AXIS2_PLACEMENT_3D('',#517633,#400734,#400735); #358193=AXIS2_PLACEMENT_3D('',#517639,#400739,#400740); #358194=AXIS2_PLACEMENT_3D('',#517645,#400744,#400745); #358195=AXIS2_PLACEMENT_3D('',#517651,#400749,#400750); #358196=AXIS2_PLACEMENT_3D('',#517657,#400754,#400755); #358197=AXIS2_PLACEMENT_3D('',#517663,#400759,#400760); #358198=AXIS2_PLACEMENT_3D('',#517669,#400764,#400765); #358199=AXIS2_PLACEMENT_3D('',#517675,#400769,#400770); #358200=AXIS2_PLACEMENT_3D('',#517681,#400774,#400775); #358201=AXIS2_PLACEMENT_3D('',#517687,#400779,#400780); #358202=AXIS2_PLACEMENT_3D('',#517693,#400784,#400785); #358203=AXIS2_PLACEMENT_3D('',#517699,#400789,#400790); #358204=AXIS2_PLACEMENT_3D('',#517705,#400794,#400795); #358205=AXIS2_PLACEMENT_3D('',#517711,#400799,#400800); #358206=AXIS2_PLACEMENT_3D('',#517717,#400804,#400805); #358207=AXIS2_PLACEMENT_3D('',#517723,#400809,#400810); #358208=AXIS2_PLACEMENT_3D('',#517729,#400814,#400815); #358209=AXIS2_PLACEMENT_3D('',#517735,#400819,#400820); #358210=AXIS2_PLACEMENT_3D('',#517741,#400824,#400825); #358211=AXIS2_PLACEMENT_3D('',#517747,#400829,#400830); #358212=AXIS2_PLACEMENT_3D('',#517753,#400834,#400835); #358213=AXIS2_PLACEMENT_3D('',#517759,#400839,#400840); #358214=AXIS2_PLACEMENT_3D('',#517765,#400844,#400845); #358215=AXIS2_PLACEMENT_3D('',#517771,#400849,#400850); #358216=AXIS2_PLACEMENT_3D('',#517777,#400854,#400855); #358217=AXIS2_PLACEMENT_3D('',#517783,#400859,#400860); #358218=AXIS2_PLACEMENT_3D('',#517789,#400864,#400865); #358219=AXIS2_PLACEMENT_3D('',#517795,#400869,#400870); #358220=AXIS2_PLACEMENT_3D('',#517801,#400874,#400875); #358221=AXIS2_PLACEMENT_3D('',#517807,#400879,#400880); #358222=AXIS2_PLACEMENT_3D('',#517813,#400884,#400885); #358223=AXIS2_PLACEMENT_3D('',#517819,#400889,#400890); #358224=AXIS2_PLACEMENT_3D('',#517825,#400894,#400895); #358225=AXIS2_PLACEMENT_3D('',#517831,#400899,#400900); #358226=AXIS2_PLACEMENT_3D('',#517837,#400904,#400905); #358227=AXIS2_PLACEMENT_3D('',#517843,#400909,#400910); #358228=AXIS2_PLACEMENT_3D('',#517849,#400914,#400915); #358229=AXIS2_PLACEMENT_3D('',#517855,#400919,#400920); #358230=AXIS2_PLACEMENT_3D('',#517861,#400924,#400925); #358231=AXIS2_PLACEMENT_3D('',#517867,#400929,#400930); #358232=AXIS2_PLACEMENT_3D('',#517873,#400934,#400935); #358233=AXIS2_PLACEMENT_3D('',#517879,#400939,#400940); #358234=AXIS2_PLACEMENT_3D('',#517885,#400944,#400945); #358235=AXIS2_PLACEMENT_3D('',#517891,#400949,#400950); #358236=AXIS2_PLACEMENT_3D('',#517897,#400954,#400955); #358237=AXIS2_PLACEMENT_3D('',#517903,#400959,#400960); #358238=AXIS2_PLACEMENT_3D('',#517909,#400964,#400965); #358239=AXIS2_PLACEMENT_3D('',#517915,#400969,#400970); #358240=AXIS2_PLACEMENT_3D('',#517921,#400974,#400975); #358241=AXIS2_PLACEMENT_3D('',#517927,#400979,#400980); #358242=AXIS2_PLACEMENT_3D('',#517933,#400984,#400985); #358243=AXIS2_PLACEMENT_3D('',#517939,#400989,#400990); #358244=AXIS2_PLACEMENT_3D('',#517945,#400994,#400995); #358245=AXIS2_PLACEMENT_3D('',#517951,#400999,#401000); #358246=AXIS2_PLACEMENT_3D('',#517957,#401004,#401005); #358247=AXIS2_PLACEMENT_3D('',#517963,#401009,#401010); #358248=AXIS2_PLACEMENT_3D('',#517969,#401014,#401015); #358249=AXIS2_PLACEMENT_3D('',#517975,#401019,#401020); #358250=AXIS2_PLACEMENT_3D('',#517981,#401024,#401025); #358251=AXIS2_PLACEMENT_3D('',#517987,#401029,#401030); #358252=AXIS2_PLACEMENT_3D('',#517993,#401034,#401035); #358253=AXIS2_PLACEMENT_3D('',#517999,#401039,#401040); #358254=AXIS2_PLACEMENT_3D('',#518005,#401044,#401045); #358255=AXIS2_PLACEMENT_3D('',#518011,#401049,#401050); #358256=AXIS2_PLACEMENT_3D('',#518017,#401054,#401055); #358257=AXIS2_PLACEMENT_3D('',#518023,#401059,#401060); #358258=AXIS2_PLACEMENT_3D('',#518029,#401064,#401065); #358259=AXIS2_PLACEMENT_3D('',#518035,#401069,#401070); #358260=AXIS2_PLACEMENT_3D('',#518041,#401074,#401075); #358261=AXIS2_PLACEMENT_3D('',#518047,#401079,#401080); #358262=AXIS2_PLACEMENT_3D('',#518053,#401084,#401085); #358263=AXIS2_PLACEMENT_3D('',#518059,#401089,#401090); #358264=AXIS2_PLACEMENT_3D('',#518065,#401094,#401095); #358265=AXIS2_PLACEMENT_3D('',#518071,#401099,#401100); #358266=AXIS2_PLACEMENT_3D('',#518077,#401104,#401105); #358267=AXIS2_PLACEMENT_3D('',#518083,#401109,#401110); #358268=AXIS2_PLACEMENT_3D('',#518089,#401114,#401115); #358269=AXIS2_PLACEMENT_3D('',#518095,#401119,#401120); #358270=AXIS2_PLACEMENT_3D('',#518101,#401124,#401125); #358271=AXIS2_PLACEMENT_3D('',#518107,#401129,#401130); #358272=AXIS2_PLACEMENT_3D('',#518113,#401134,#401135); #358273=AXIS2_PLACEMENT_3D('',#518119,#401139,#401140); #358274=AXIS2_PLACEMENT_3D('',#518125,#401144,#401145); #358275=AXIS2_PLACEMENT_3D('',#518131,#401149,#401150); #358276=AXIS2_PLACEMENT_3D('',#518137,#401154,#401155); #358277=AXIS2_PLACEMENT_3D('',#518143,#401159,#401160); #358278=AXIS2_PLACEMENT_3D('',#518149,#401164,#401165); #358279=AXIS2_PLACEMENT_3D('',#518155,#401169,#401170); #358280=AXIS2_PLACEMENT_3D('',#518161,#401174,#401175); #358281=AXIS2_PLACEMENT_3D('',#518167,#401179,#401180); #358282=AXIS2_PLACEMENT_3D('',#518173,#401184,#401185); #358283=AXIS2_PLACEMENT_3D('',#518179,#401189,#401190); #358284=AXIS2_PLACEMENT_3D('',#518185,#401194,#401195); #358285=AXIS2_PLACEMENT_3D('',#518191,#401199,#401200); #358286=AXIS2_PLACEMENT_3D('',#518197,#401204,#401205); #358287=AXIS2_PLACEMENT_3D('',#518203,#401209,#401210); #358288=AXIS2_PLACEMENT_3D('',#518209,#401214,#401215); #358289=AXIS2_PLACEMENT_3D('',#518215,#401219,#401220); #358290=AXIS2_PLACEMENT_3D('',#518221,#401224,#401225); #358291=AXIS2_PLACEMENT_3D('',#518227,#401229,#401230); #358292=AXIS2_PLACEMENT_3D('',#518233,#401234,#401235); #358293=AXIS2_PLACEMENT_3D('',#518239,#401239,#401240); #358294=AXIS2_PLACEMENT_3D('',#518245,#401244,#401245); #358295=AXIS2_PLACEMENT_3D('',#518251,#401249,#401250); #358296=AXIS2_PLACEMENT_3D('',#518257,#401254,#401255); #358297=AXIS2_PLACEMENT_3D('',#518263,#401259,#401260); #358298=AXIS2_PLACEMENT_3D('',#518269,#401264,#401265); #358299=AXIS2_PLACEMENT_3D('',#518275,#401269,#401270); #358300=AXIS2_PLACEMENT_3D('',#518281,#401274,#401275); #358301=AXIS2_PLACEMENT_3D('',#518287,#401279,#401280); #358302=AXIS2_PLACEMENT_3D('',#518293,#401284,#401285); #358303=AXIS2_PLACEMENT_3D('',#518299,#401289,#401290); #358304=AXIS2_PLACEMENT_3D('',#518305,#401294,#401295); #358305=AXIS2_PLACEMENT_3D('',#518311,#401299,#401300); #358306=AXIS2_PLACEMENT_3D('',#518317,#401304,#401305); #358307=AXIS2_PLACEMENT_3D('',#518323,#401309,#401310); #358308=AXIS2_PLACEMENT_3D('',#518329,#401314,#401315); #358309=AXIS2_PLACEMENT_3D('',#518335,#401319,#401320); #358310=AXIS2_PLACEMENT_3D('',#518341,#401324,#401325); #358311=AXIS2_PLACEMENT_3D('',#518347,#401329,#401330); #358312=AXIS2_PLACEMENT_3D('',#518353,#401334,#401335); #358313=AXIS2_PLACEMENT_3D('',#518359,#401339,#401340); #358314=AXIS2_PLACEMENT_3D('',#518365,#401344,#401345); #358315=AXIS2_PLACEMENT_3D('',#518371,#401349,#401350); #358316=AXIS2_PLACEMENT_3D('',#518377,#401354,#401355); #358317=AXIS2_PLACEMENT_3D('',#518383,#401359,#401360); #358318=AXIS2_PLACEMENT_3D('',#518389,#401364,#401365); #358319=AXIS2_PLACEMENT_3D('',#518395,#401369,#401370); #358320=AXIS2_PLACEMENT_3D('',#518401,#401374,#401375); #358321=AXIS2_PLACEMENT_3D('',#518407,#401379,#401380); #358322=AXIS2_PLACEMENT_3D('',#518413,#401384,#401385); #358323=AXIS2_PLACEMENT_3D('',#518419,#401389,#401390); #358324=AXIS2_PLACEMENT_3D('',#518425,#401394,#401395); #358325=AXIS2_PLACEMENT_3D('',#518431,#401399,#401400); #358326=AXIS2_PLACEMENT_3D('',#518437,#401404,#401405); #358327=AXIS2_PLACEMENT_3D('',#518443,#401409,#401410); #358328=AXIS2_PLACEMENT_3D('',#518449,#401414,#401415); #358329=AXIS2_PLACEMENT_3D('',#518455,#401419,#401420); #358330=AXIS2_PLACEMENT_3D('',#518461,#401424,#401425); #358331=AXIS2_PLACEMENT_3D('',#518467,#401429,#401430); #358332=AXIS2_PLACEMENT_3D('',#518473,#401434,#401435); #358333=AXIS2_PLACEMENT_3D('',#518479,#401439,#401440); #358334=AXIS2_PLACEMENT_3D('',#518485,#401444,#401445); #358335=AXIS2_PLACEMENT_3D('',#518491,#401449,#401450); #358336=AXIS2_PLACEMENT_3D('',#518497,#401454,#401455); #358337=AXIS2_PLACEMENT_3D('',#518503,#401459,#401460); #358338=AXIS2_PLACEMENT_3D('',#518509,#401464,#401465); #358339=AXIS2_PLACEMENT_3D('',#518515,#401469,#401470); #358340=AXIS2_PLACEMENT_3D('',#518521,#401474,#401475); #358341=AXIS2_PLACEMENT_3D('',#518527,#401479,#401480); #358342=AXIS2_PLACEMENT_3D('',#518533,#401484,#401485); #358343=AXIS2_PLACEMENT_3D('',#518539,#401489,#401490); #358344=AXIS2_PLACEMENT_3D('',#518545,#401494,#401495); #358345=AXIS2_PLACEMENT_3D('',#518551,#401499,#401500); #358346=AXIS2_PLACEMENT_3D('',#518557,#401504,#401505); #358347=AXIS2_PLACEMENT_3D('',#518563,#401509,#401510); #358348=AXIS2_PLACEMENT_3D('',#518569,#401514,#401515); #358349=AXIS2_PLACEMENT_3D('',#518575,#401519,#401520); #358350=AXIS2_PLACEMENT_3D('',#518581,#401524,#401525); #358351=AXIS2_PLACEMENT_3D('',#518587,#401529,#401530); #358352=AXIS2_PLACEMENT_3D('',#518593,#401534,#401535); #358353=AXIS2_PLACEMENT_3D('',#518599,#401539,#401540); #358354=AXIS2_PLACEMENT_3D('',#518605,#401544,#401545); #358355=AXIS2_PLACEMENT_3D('',#518611,#401549,#401550); #358356=AXIS2_PLACEMENT_3D('',#518617,#401554,#401555); #358357=AXIS2_PLACEMENT_3D('',#518623,#401559,#401560); #358358=AXIS2_PLACEMENT_3D('',#518629,#401564,#401565); #358359=AXIS2_PLACEMENT_3D('',#518635,#401569,#401570); #358360=AXIS2_PLACEMENT_3D('',#518641,#401574,#401575); #358361=AXIS2_PLACEMENT_3D('',#518647,#401579,#401580); #358362=AXIS2_PLACEMENT_3D('',#518653,#401584,#401585); #358363=AXIS2_PLACEMENT_3D('',#518659,#401589,#401590); #358364=AXIS2_PLACEMENT_3D('',#518665,#401594,#401595); #358365=AXIS2_PLACEMENT_3D('',#518671,#401599,#401600); #358366=AXIS2_PLACEMENT_3D('',#518677,#401604,#401605); #358367=AXIS2_PLACEMENT_3D('',#518683,#401609,#401610); #358368=AXIS2_PLACEMENT_3D('',#518689,#401614,#401615); #358369=AXIS2_PLACEMENT_3D('',#518695,#401619,#401620); #358370=AXIS2_PLACEMENT_3D('',#518701,#401624,#401625); #358371=AXIS2_PLACEMENT_3D('',#518707,#401629,#401630); #358372=AXIS2_PLACEMENT_3D('',#518713,#401634,#401635); #358373=AXIS2_PLACEMENT_3D('',#518719,#401639,#401640); #358374=AXIS2_PLACEMENT_3D('',#518725,#401644,#401645); #358375=AXIS2_PLACEMENT_3D('',#518731,#401649,#401650); #358376=AXIS2_PLACEMENT_3D('',#518737,#401654,#401655); #358377=AXIS2_PLACEMENT_3D('',#518743,#401659,#401660); #358378=AXIS2_PLACEMENT_3D('',#518749,#401664,#401665); #358379=AXIS2_PLACEMENT_3D('',#518755,#401669,#401670); #358380=AXIS2_PLACEMENT_3D('',#518761,#401674,#401675); #358381=AXIS2_PLACEMENT_3D('',#518767,#401679,#401680); #358382=AXIS2_PLACEMENT_3D('',#518773,#401684,#401685); #358383=AXIS2_PLACEMENT_3D('',#518779,#401689,#401690); #358384=AXIS2_PLACEMENT_3D('',#518785,#401694,#401695); #358385=AXIS2_PLACEMENT_3D('',#518791,#401699,#401700); #358386=AXIS2_PLACEMENT_3D('',#518797,#401704,#401705); #358387=AXIS2_PLACEMENT_3D('',#518803,#401709,#401710); #358388=AXIS2_PLACEMENT_3D('',#518809,#401714,#401715); #358389=AXIS2_PLACEMENT_3D('',#518815,#401719,#401720); #358390=AXIS2_PLACEMENT_3D('',#518821,#401724,#401725); #358391=AXIS2_PLACEMENT_3D('',#518827,#401729,#401730); #358392=AXIS2_PLACEMENT_3D('',#518833,#401734,#401735); #358393=AXIS2_PLACEMENT_3D('',#518839,#401739,#401740); #358394=AXIS2_PLACEMENT_3D('',#518845,#401744,#401745); #358395=AXIS2_PLACEMENT_3D('',#518851,#401749,#401750); #358396=AXIS2_PLACEMENT_3D('',#518857,#401754,#401755); #358397=AXIS2_PLACEMENT_3D('',#518863,#401759,#401760); #358398=AXIS2_PLACEMENT_3D('',#518869,#401764,#401765); #358399=AXIS2_PLACEMENT_3D('',#518875,#401769,#401770); #358400=AXIS2_PLACEMENT_3D('',#518881,#401774,#401775); #358401=AXIS2_PLACEMENT_3D('',#518887,#401779,#401780); #358402=AXIS2_PLACEMENT_3D('',#518893,#401784,#401785); #358403=AXIS2_PLACEMENT_3D('',#518899,#401789,#401790); #358404=AXIS2_PLACEMENT_3D('',#518905,#401794,#401795); #358405=AXIS2_PLACEMENT_3D('',#518911,#401799,#401800); #358406=AXIS2_PLACEMENT_3D('',#518917,#401804,#401805); #358407=AXIS2_PLACEMENT_3D('',#518923,#401809,#401810); #358408=AXIS2_PLACEMENT_3D('',#518929,#401814,#401815); #358409=AXIS2_PLACEMENT_3D('',#518935,#401819,#401820); #358410=AXIS2_PLACEMENT_3D('',#518941,#401824,#401825); #358411=AXIS2_PLACEMENT_3D('',#518947,#401829,#401830); #358412=AXIS2_PLACEMENT_3D('',#518953,#401834,#401835); #358413=AXIS2_PLACEMENT_3D('',#518959,#401839,#401840); #358414=AXIS2_PLACEMENT_3D('',#518965,#401844,#401845); #358415=AXIS2_PLACEMENT_3D('',#518971,#401849,#401850); #358416=AXIS2_PLACEMENT_3D('',#518977,#401854,#401855); #358417=AXIS2_PLACEMENT_3D('',#518983,#401859,#401860); #358418=AXIS2_PLACEMENT_3D('',#518989,#401864,#401865); #358419=AXIS2_PLACEMENT_3D('',#518995,#401869,#401870); #358420=AXIS2_PLACEMENT_3D('',#519001,#401874,#401875); #358421=AXIS2_PLACEMENT_3D('',#519007,#401879,#401880); #358422=AXIS2_PLACEMENT_3D('',#519013,#401884,#401885); #358423=AXIS2_PLACEMENT_3D('',#519019,#401889,#401890); #358424=AXIS2_PLACEMENT_3D('',#519025,#401894,#401895); #358425=AXIS2_PLACEMENT_3D('',#519031,#401899,#401900); #358426=AXIS2_PLACEMENT_3D('',#519037,#401904,#401905); #358427=AXIS2_PLACEMENT_3D('',#519043,#401909,#401910); #358428=AXIS2_PLACEMENT_3D('',#519049,#401914,#401915); #358429=AXIS2_PLACEMENT_3D('',#519055,#401919,#401920); #358430=AXIS2_PLACEMENT_3D('',#519061,#401924,#401925); #358431=AXIS2_PLACEMENT_3D('',#519067,#401929,#401930); #358432=AXIS2_PLACEMENT_3D('',#519073,#401934,#401935); #358433=AXIS2_PLACEMENT_3D('',#519079,#401939,#401940); #358434=AXIS2_PLACEMENT_3D('',#519085,#401944,#401945); #358435=AXIS2_PLACEMENT_3D('',#519091,#401949,#401950); #358436=AXIS2_PLACEMENT_3D('',#519097,#401954,#401955); #358437=AXIS2_PLACEMENT_3D('',#519103,#401959,#401960); #358438=AXIS2_PLACEMENT_3D('',#519109,#401964,#401965); #358439=AXIS2_PLACEMENT_3D('',#519115,#401969,#401970); #358440=AXIS2_PLACEMENT_3D('',#519121,#401974,#401975); #358441=AXIS2_PLACEMENT_3D('',#519127,#401979,#401980); #358442=AXIS2_PLACEMENT_3D('',#519133,#401984,#401985); #358443=AXIS2_PLACEMENT_3D('',#519139,#401989,#401990); #358444=AXIS2_PLACEMENT_3D('',#519145,#401994,#401995); #358445=AXIS2_PLACEMENT_3D('',#519151,#401999,#402000); #358446=AXIS2_PLACEMENT_3D('',#519157,#402004,#402005); #358447=AXIS2_PLACEMENT_3D('',#519163,#402009,#402010); #358448=AXIS2_PLACEMENT_3D('',#519169,#402014,#402015); #358449=AXIS2_PLACEMENT_3D('',#519175,#402019,#402020); #358450=AXIS2_PLACEMENT_3D('',#519181,#402024,#402025); #358451=AXIS2_PLACEMENT_3D('',#519187,#402029,#402030); #358452=AXIS2_PLACEMENT_3D('',#519193,#402034,#402035); #358453=AXIS2_PLACEMENT_3D('',#519199,#402039,#402040); #358454=AXIS2_PLACEMENT_3D('',#519205,#402044,#402045); #358455=AXIS2_PLACEMENT_3D('',#519211,#402049,#402050); #358456=AXIS2_PLACEMENT_3D('',#519217,#402054,#402055); #358457=AXIS2_PLACEMENT_3D('',#519223,#402059,#402060); #358458=AXIS2_PLACEMENT_3D('',#519229,#402064,#402065); #358459=AXIS2_PLACEMENT_3D('',#519235,#402069,#402070); #358460=AXIS2_PLACEMENT_3D('',#519241,#402074,#402075); #358461=AXIS2_PLACEMENT_3D('',#519247,#402079,#402080); #358462=AXIS2_PLACEMENT_3D('',#519253,#402084,#402085); #358463=AXIS2_PLACEMENT_3D('',#519259,#402089,#402090); #358464=AXIS2_PLACEMENT_3D('',#519265,#402094,#402095); #358465=AXIS2_PLACEMENT_3D('',#519271,#402099,#402100); #358466=AXIS2_PLACEMENT_3D('',#519277,#402104,#402105); #358467=AXIS2_PLACEMENT_3D('',#519283,#402109,#402110); #358468=AXIS2_PLACEMENT_3D('',#519289,#402114,#402115); #358469=AXIS2_PLACEMENT_3D('',#519295,#402119,#402120); #358470=AXIS2_PLACEMENT_3D('',#519301,#402124,#402125); #358471=AXIS2_PLACEMENT_3D('',#519307,#402129,#402130); #358472=AXIS2_PLACEMENT_3D('',#519313,#402134,#402135); #358473=AXIS2_PLACEMENT_3D('',#519319,#402139,#402140); #358474=AXIS2_PLACEMENT_3D('',#519325,#402144,#402145); #358475=AXIS2_PLACEMENT_3D('',#519331,#402149,#402150); #358476=AXIS2_PLACEMENT_3D('',#519337,#402154,#402155); #358477=AXIS2_PLACEMENT_3D('',#519343,#402159,#402160); #358478=AXIS2_PLACEMENT_3D('',#519349,#402164,#402165); #358479=AXIS2_PLACEMENT_3D('',#519355,#402169,#402170); #358480=AXIS2_PLACEMENT_3D('',#519361,#402174,#402175); #358481=AXIS2_PLACEMENT_3D('',#519367,#402179,#402180); #358482=AXIS2_PLACEMENT_3D('',#519373,#402184,#402185); #358483=AXIS2_PLACEMENT_3D('',#519379,#402189,#402190); #358484=AXIS2_PLACEMENT_3D('',#519385,#402194,#402195); #358485=AXIS2_PLACEMENT_3D('',#519391,#402199,#402200); #358486=AXIS2_PLACEMENT_3D('',#519397,#402204,#402205); #358487=AXIS2_PLACEMENT_3D('',#519403,#402209,#402210); #358488=AXIS2_PLACEMENT_3D('',#519409,#402214,#402215); #358489=AXIS2_PLACEMENT_3D('',#519415,#402219,#402220); #358490=AXIS2_PLACEMENT_3D('',#519421,#402224,#402225); #358491=AXIS2_PLACEMENT_3D('',#519427,#402229,#402230); #358492=AXIS2_PLACEMENT_3D('',#519433,#402234,#402235); #358493=AXIS2_PLACEMENT_3D('',#519439,#402239,#402240); #358494=AXIS2_PLACEMENT_3D('',#519445,#402244,#402245); #358495=AXIS2_PLACEMENT_3D('',#519451,#402249,#402250); #358496=AXIS2_PLACEMENT_3D('',#519457,#402254,#402255); #358497=AXIS2_PLACEMENT_3D('',#519463,#402259,#402260); #358498=AXIS2_PLACEMENT_3D('',#519469,#402264,#402265); #358499=AXIS2_PLACEMENT_3D('',#519475,#402269,#402270); #358500=AXIS2_PLACEMENT_3D('',#519481,#402274,#402275); #358501=AXIS2_PLACEMENT_3D('',#519487,#402279,#402280); #358502=AXIS2_PLACEMENT_3D('',#519493,#402284,#402285); #358503=AXIS2_PLACEMENT_3D('',#519499,#402289,#402290); #358504=AXIS2_PLACEMENT_3D('',#519505,#402294,#402295); #358505=AXIS2_PLACEMENT_3D('',#519511,#402299,#402300); #358506=AXIS2_PLACEMENT_3D('',#519517,#402304,#402305); #358507=AXIS2_PLACEMENT_3D('',#519523,#402309,#402310); #358508=AXIS2_PLACEMENT_3D('',#519529,#402314,#402315); #358509=AXIS2_PLACEMENT_3D('',#519535,#402319,#402320); #358510=AXIS2_PLACEMENT_3D('',#519541,#402324,#402325); #358511=AXIS2_PLACEMENT_3D('',#519547,#402329,#402330); #358512=AXIS2_PLACEMENT_3D('',#519553,#402334,#402335); #358513=AXIS2_PLACEMENT_3D('',#519559,#402339,#402340); #358514=AXIS2_PLACEMENT_3D('',#519565,#402344,#402345); #358515=AXIS2_PLACEMENT_3D('',#519571,#402349,#402350); #358516=AXIS2_PLACEMENT_3D('',#519577,#402354,#402355); #358517=AXIS2_PLACEMENT_3D('',#519583,#402359,#402360); #358518=AXIS2_PLACEMENT_3D('',#519589,#402364,#402365); #358519=AXIS2_PLACEMENT_3D('',#519595,#402369,#402370); #358520=AXIS2_PLACEMENT_3D('',#519601,#402374,#402375); #358521=AXIS2_PLACEMENT_3D('',#519607,#402379,#402380); #358522=AXIS2_PLACEMENT_3D('',#519613,#402384,#402385); #358523=AXIS2_PLACEMENT_3D('',#519619,#402389,#402390); #358524=AXIS2_PLACEMENT_3D('',#519625,#402394,#402395); #358525=AXIS2_PLACEMENT_3D('',#519631,#402399,#402400); #358526=AXIS2_PLACEMENT_3D('',#519637,#402404,#402405); #358527=AXIS2_PLACEMENT_3D('',#519643,#402409,#402410); #358528=AXIS2_PLACEMENT_3D('',#519649,#402414,#402415); #358529=AXIS2_PLACEMENT_3D('',#519655,#402419,#402420); #358530=AXIS2_PLACEMENT_3D('',#519661,#402424,#402425); #358531=AXIS2_PLACEMENT_3D('',#519667,#402429,#402430); #358532=AXIS2_PLACEMENT_3D('',#519673,#402434,#402435); #358533=AXIS2_PLACEMENT_3D('',#519679,#402439,#402440); #358534=AXIS2_PLACEMENT_3D('',#519685,#402444,#402445); #358535=AXIS2_PLACEMENT_3D('',#519691,#402449,#402450); #358536=AXIS2_PLACEMENT_3D('',#519697,#402454,#402455); #358537=AXIS2_PLACEMENT_3D('',#519703,#402459,#402460); #358538=AXIS2_PLACEMENT_3D('',#519709,#402464,#402465); #358539=AXIS2_PLACEMENT_3D('',#519715,#402469,#402470); #358540=AXIS2_PLACEMENT_3D('',#519721,#402474,#402475); #358541=AXIS2_PLACEMENT_3D('',#519727,#402479,#402480); #358542=AXIS2_PLACEMENT_3D('',#519733,#402484,#402485); #358543=AXIS2_PLACEMENT_3D('',#519739,#402489,#402490); #358544=AXIS2_PLACEMENT_3D('',#519745,#402494,#402495); #358545=AXIS2_PLACEMENT_3D('',#519751,#402499,#402500); #358546=AXIS2_PLACEMENT_3D('',#519757,#402504,#402505); #358547=AXIS2_PLACEMENT_3D('',#519763,#402509,#402510); #358548=AXIS2_PLACEMENT_3D('',#519769,#402514,#402515); #358549=AXIS2_PLACEMENT_3D('',#519775,#402519,#402520); #358550=AXIS2_PLACEMENT_3D('',#519781,#402524,#402525); #358551=AXIS2_PLACEMENT_3D('',#519787,#402529,#402530); #358552=AXIS2_PLACEMENT_3D('',#519793,#402534,#402535); #358553=AXIS2_PLACEMENT_3D('',#519799,#402539,#402540); #358554=AXIS2_PLACEMENT_3D('',#519805,#402544,#402545); #358555=AXIS2_PLACEMENT_3D('',#519811,#402549,#402550); #358556=AXIS2_PLACEMENT_3D('',#519817,#402554,#402555); #358557=AXIS2_PLACEMENT_3D('',#519823,#402559,#402560); #358558=AXIS2_PLACEMENT_3D('',#519829,#402564,#402565); #358559=AXIS2_PLACEMENT_3D('',#519835,#402569,#402570); #358560=AXIS2_PLACEMENT_3D('',#519841,#402574,#402575); #358561=AXIS2_PLACEMENT_3D('',#519847,#402579,#402580); #358562=AXIS2_PLACEMENT_3D('',#519853,#402584,#402585); #358563=AXIS2_PLACEMENT_3D('',#519859,#402589,#402590); #358564=AXIS2_PLACEMENT_3D('',#519865,#402594,#402595); #358565=AXIS2_PLACEMENT_3D('',#519871,#402599,#402600); #358566=AXIS2_PLACEMENT_3D('',#519877,#402604,#402605); #358567=AXIS2_PLACEMENT_3D('',#519883,#402609,#402610); #358568=AXIS2_PLACEMENT_3D('',#519889,#402614,#402615); #358569=AXIS2_PLACEMENT_3D('',#519895,#402619,#402620); #358570=AXIS2_PLACEMENT_3D('',#519901,#402624,#402625); #358571=AXIS2_PLACEMENT_3D('',#519907,#402629,#402630); #358572=AXIS2_PLACEMENT_3D('',#519913,#402634,#402635); #358573=AXIS2_PLACEMENT_3D('',#519919,#402639,#402640); #358574=AXIS2_PLACEMENT_3D('',#519925,#402644,#402645); #358575=AXIS2_PLACEMENT_3D('',#519931,#402649,#402650); #358576=AXIS2_PLACEMENT_3D('',#519937,#402654,#402655); #358577=AXIS2_PLACEMENT_3D('',#519943,#402659,#402660); #358578=AXIS2_PLACEMENT_3D('',#519949,#402664,#402665); #358579=AXIS2_PLACEMENT_3D('',#519955,#402669,#402670); #358580=AXIS2_PLACEMENT_3D('',#519961,#402674,#402675); #358581=AXIS2_PLACEMENT_3D('',#519967,#402679,#402680); #358582=AXIS2_PLACEMENT_3D('',#519973,#402684,#402685); #358583=AXIS2_PLACEMENT_3D('',#519979,#402689,#402690); #358584=AXIS2_PLACEMENT_3D('',#519985,#402694,#402695); #358585=AXIS2_PLACEMENT_3D('',#519991,#402699,#402700); #358586=AXIS2_PLACEMENT_3D('',#519997,#402704,#402705); #358587=AXIS2_PLACEMENT_3D('',#520003,#402709,#402710); #358588=AXIS2_PLACEMENT_3D('',#520009,#402714,#402715); #358589=AXIS2_PLACEMENT_3D('',#520015,#402719,#402720); #358590=AXIS2_PLACEMENT_3D('',#520021,#402724,#402725); #358591=AXIS2_PLACEMENT_3D('',#520027,#402729,#402730); #358592=AXIS2_PLACEMENT_3D('',#520033,#402734,#402735); #358593=AXIS2_PLACEMENT_3D('',#520039,#402739,#402740); #358594=AXIS2_PLACEMENT_3D('',#520045,#402744,#402745); #358595=AXIS2_PLACEMENT_3D('',#520051,#402749,#402750); #358596=AXIS2_PLACEMENT_3D('',#520057,#402754,#402755); #358597=AXIS2_PLACEMENT_3D('',#520063,#402759,#402760); #358598=AXIS2_PLACEMENT_3D('',#520069,#402764,#402765); #358599=AXIS2_PLACEMENT_3D('',#520075,#402769,#402770); #358600=AXIS2_PLACEMENT_3D('',#520081,#402774,#402775); #358601=AXIS2_PLACEMENT_3D('',#520087,#402779,#402780); #358602=AXIS2_PLACEMENT_3D('',#520093,#402784,#402785); #358603=AXIS2_PLACEMENT_3D('',#520099,#402789,#402790); #358604=AXIS2_PLACEMENT_3D('',#520105,#402794,#402795); #358605=AXIS2_PLACEMENT_3D('',#520111,#402799,#402800); #358606=AXIS2_PLACEMENT_3D('',#520117,#402804,#402805); #358607=AXIS2_PLACEMENT_3D('',#520123,#402809,#402810); #358608=AXIS2_PLACEMENT_3D('',#520129,#402814,#402815); #358609=AXIS2_PLACEMENT_3D('',#520135,#402819,#402820); #358610=AXIS2_PLACEMENT_3D('',#520141,#402824,#402825); #358611=AXIS2_PLACEMENT_3D('',#520147,#402829,#402830); #358612=AXIS2_PLACEMENT_3D('',#520153,#402834,#402835); #358613=AXIS2_PLACEMENT_3D('',#520159,#402839,#402840); #358614=AXIS2_PLACEMENT_3D('',#520165,#402844,#402845); #358615=AXIS2_PLACEMENT_3D('',#520171,#402849,#402850); #358616=AXIS2_PLACEMENT_3D('',#520177,#402854,#402855); #358617=AXIS2_PLACEMENT_3D('',#520183,#402859,#402860); #358618=AXIS2_PLACEMENT_3D('',#520189,#402864,#402865); #358619=AXIS2_PLACEMENT_3D('',#520195,#402869,#402870); #358620=AXIS2_PLACEMENT_3D('',#520201,#402874,#402875); #358621=AXIS2_PLACEMENT_3D('',#520207,#402879,#402880); #358622=AXIS2_PLACEMENT_3D('',#520213,#402884,#402885); #358623=AXIS2_PLACEMENT_3D('',#520219,#402889,#402890); #358624=AXIS2_PLACEMENT_3D('',#520225,#402894,#402895); #358625=AXIS2_PLACEMENT_3D('',#520231,#402899,#402900); #358626=AXIS2_PLACEMENT_3D('',#520237,#402904,#402905); #358627=AXIS2_PLACEMENT_3D('',#520243,#402909,#402910); #358628=AXIS2_PLACEMENT_3D('',#520249,#402914,#402915); #358629=AXIS2_PLACEMENT_3D('',#520255,#402919,#402920); #358630=AXIS2_PLACEMENT_3D('',#520261,#402924,#402925); #358631=AXIS2_PLACEMENT_3D('',#520267,#402929,#402930); #358632=AXIS2_PLACEMENT_3D('',#520273,#402934,#402935); #358633=AXIS2_PLACEMENT_3D('',#520279,#402939,#402940); #358634=AXIS2_PLACEMENT_3D('',#520285,#402944,#402945); #358635=AXIS2_PLACEMENT_3D('',#520291,#402949,#402950); #358636=AXIS2_PLACEMENT_3D('',#520297,#402954,#402955); #358637=AXIS2_PLACEMENT_3D('',#520303,#402959,#402960); #358638=AXIS2_PLACEMENT_3D('',#520309,#402964,#402965); #358639=AXIS2_PLACEMENT_3D('',#520315,#402969,#402970); #358640=AXIS2_PLACEMENT_3D('',#520321,#402974,#402975); #358641=AXIS2_PLACEMENT_3D('',#520327,#402979,#402980); #358642=AXIS2_PLACEMENT_3D('',#520333,#402984,#402985); #358643=AXIS2_PLACEMENT_3D('',#520339,#402989,#402990); #358644=AXIS2_PLACEMENT_3D('',#520345,#402994,#402995); #358645=AXIS2_PLACEMENT_3D('',#520351,#402999,#403000); #358646=AXIS2_PLACEMENT_3D('',#520357,#403004,#403005); #358647=AXIS2_PLACEMENT_3D('',#520363,#403009,#403010); #358648=AXIS2_PLACEMENT_3D('',#520369,#403014,#403015); #358649=AXIS2_PLACEMENT_3D('',#520375,#403019,#403020); #358650=AXIS2_PLACEMENT_3D('',#520381,#403024,#403025); #358651=AXIS2_PLACEMENT_3D('',#520387,#403029,#403030); #358652=AXIS2_PLACEMENT_3D('',#520393,#403034,#403035); #358653=AXIS2_PLACEMENT_3D('',#520399,#403039,#403040); #358654=AXIS2_PLACEMENT_3D('',#520405,#403044,#403045); #358655=AXIS2_PLACEMENT_3D('',#520411,#403049,#403050); #358656=AXIS2_PLACEMENT_3D('',#520417,#403054,#403055); #358657=AXIS2_PLACEMENT_3D('',#520423,#403059,#403060); #358658=AXIS2_PLACEMENT_3D('',#520429,#403064,#403065); #358659=AXIS2_PLACEMENT_3D('',#520435,#403069,#403070); #358660=AXIS2_PLACEMENT_3D('',#520441,#403074,#403075); #358661=AXIS2_PLACEMENT_3D('',#520447,#403079,#403080); #358662=AXIS2_PLACEMENT_3D('',#520453,#403084,#403085); #358663=AXIS2_PLACEMENT_3D('',#520459,#403089,#403090); #358664=AXIS2_PLACEMENT_3D('',#520465,#403094,#403095); #358665=AXIS2_PLACEMENT_3D('',#520471,#403099,#403100); #358666=AXIS2_PLACEMENT_3D('',#520477,#403104,#403105); #358667=AXIS2_PLACEMENT_3D('',#520483,#403109,#403110); #358668=AXIS2_PLACEMENT_3D('',#520489,#403114,#403115); #358669=AXIS2_PLACEMENT_3D('',#520495,#403119,#403120); #358670=AXIS2_PLACEMENT_3D('',#520501,#403124,#403125); #358671=AXIS2_PLACEMENT_3D('',#520507,#403129,#403130); #358672=AXIS2_PLACEMENT_3D('',#520513,#403134,#403135); #358673=AXIS2_PLACEMENT_3D('',#520519,#403139,#403140); #358674=AXIS2_PLACEMENT_3D('',#520525,#403144,#403145); #358675=AXIS2_PLACEMENT_3D('',#520531,#403149,#403150); #358676=AXIS2_PLACEMENT_3D('',#520537,#403154,#403155); #358677=AXIS2_PLACEMENT_3D('',#520543,#403159,#403160); #358678=AXIS2_PLACEMENT_3D('',#520549,#403164,#403165); #358679=AXIS2_PLACEMENT_3D('',#520555,#403169,#403170); #358680=AXIS2_PLACEMENT_3D('',#520561,#403174,#403175); #358681=AXIS2_PLACEMENT_3D('',#520567,#403179,#403180); #358682=AXIS2_PLACEMENT_3D('',#520573,#403184,#403185); #358683=AXIS2_PLACEMENT_3D('',#520579,#403189,#403190); #358684=AXIS2_PLACEMENT_3D('',#520585,#403194,#403195); #358685=AXIS2_PLACEMENT_3D('',#520591,#403199,#403200); #358686=AXIS2_PLACEMENT_3D('',#520597,#403204,#403205); #358687=AXIS2_PLACEMENT_3D('',#520603,#403209,#403210); #358688=AXIS2_PLACEMENT_3D('',#520609,#403214,#403215); #358689=AXIS2_PLACEMENT_3D('',#520615,#403219,#403220); #358690=AXIS2_PLACEMENT_3D('',#520621,#403224,#403225); #358691=AXIS2_PLACEMENT_3D('',#520627,#403229,#403230); #358692=AXIS2_PLACEMENT_3D('',#520633,#403234,#403235); #358693=AXIS2_PLACEMENT_3D('',#520639,#403239,#403240); #358694=AXIS2_PLACEMENT_3D('',#520645,#403244,#403245); #358695=AXIS2_PLACEMENT_3D('',#520651,#403249,#403250); #358696=AXIS2_PLACEMENT_3D('',#520657,#403254,#403255); #358697=AXIS2_PLACEMENT_3D('',#520663,#403259,#403260); #358698=AXIS2_PLACEMENT_3D('',#520669,#403264,#403265); #358699=AXIS2_PLACEMENT_3D('',#520675,#403269,#403270); #358700=AXIS2_PLACEMENT_3D('',#520681,#403274,#403275); #358701=AXIS2_PLACEMENT_3D('',#520687,#403279,#403280); #358702=AXIS2_PLACEMENT_3D('',#520693,#403284,#403285); #358703=AXIS2_PLACEMENT_3D('',#520699,#403289,#403290); #358704=AXIS2_PLACEMENT_3D('',#520705,#403294,#403295); #358705=AXIS2_PLACEMENT_3D('',#520711,#403299,#403300); #358706=AXIS2_PLACEMENT_3D('',#520717,#403304,#403305); #358707=AXIS2_PLACEMENT_3D('',#520723,#403309,#403310); #358708=AXIS2_PLACEMENT_3D('',#520726,#403313,#403314); #358709=AXIS2_PLACEMENT_3D('',#520735,#403319,#403320); #358710=AXIS2_PLACEMENT_3D('',#520741,#403324,#403325); #358711=AXIS2_PLACEMENT_3D('',#520747,#403329,#403330); #358712=AXIS2_PLACEMENT_3D('',#520753,#403334,#403335); #358713=AXIS2_PLACEMENT_3D('',#520759,#403339,#403340); #358714=AXIS2_PLACEMENT_3D('',#520765,#403344,#403345); #358715=AXIS2_PLACEMENT_3D('',#520771,#403349,#403350); #358716=AXIS2_PLACEMENT_3D('',#520777,#403354,#403355); #358717=AXIS2_PLACEMENT_3D('',#520783,#403359,#403360); #358718=AXIS2_PLACEMENT_3D('',#520789,#403364,#403365); #358719=AXIS2_PLACEMENT_3D('',#520795,#403369,#403370); #358720=AXIS2_PLACEMENT_3D('',#520801,#403374,#403375); #358721=AXIS2_PLACEMENT_3D('',#520807,#403379,#403380); #358722=AXIS2_PLACEMENT_3D('',#520813,#403384,#403385); #358723=AXIS2_PLACEMENT_3D('',#520819,#403389,#403390); #358724=AXIS2_PLACEMENT_3D('',#520825,#403394,#403395); #358725=AXIS2_PLACEMENT_3D('',#520831,#403399,#403400); #358726=AXIS2_PLACEMENT_3D('',#520837,#403404,#403405); #358727=AXIS2_PLACEMENT_3D('',#520843,#403409,#403410); #358728=AXIS2_PLACEMENT_3D('',#520849,#403414,#403415); #358729=AXIS2_PLACEMENT_3D('',#520855,#403419,#403420); #358730=AXIS2_PLACEMENT_3D('',#520861,#403424,#403425); #358731=AXIS2_PLACEMENT_3D('',#520867,#403429,#403430); #358732=AXIS2_PLACEMENT_3D('',#520873,#403434,#403435); #358733=AXIS2_PLACEMENT_3D('',#520879,#403439,#403440); #358734=AXIS2_PLACEMENT_3D('',#520885,#403444,#403445); #358735=AXIS2_PLACEMENT_3D('',#520891,#403449,#403450); #358736=AXIS2_PLACEMENT_3D('',#520897,#403454,#403455); #358737=AXIS2_PLACEMENT_3D('',#520903,#403459,#403460); #358738=AXIS2_PLACEMENT_3D('',#520909,#403464,#403465); #358739=AXIS2_PLACEMENT_3D('',#520915,#403469,#403470); #358740=AXIS2_PLACEMENT_3D('',#520921,#403474,#403475); #358741=AXIS2_PLACEMENT_3D('',#520927,#403479,#403480); #358742=AXIS2_PLACEMENT_3D('',#520933,#403484,#403485); #358743=AXIS2_PLACEMENT_3D('',#520939,#403489,#403490); #358744=AXIS2_PLACEMENT_3D('',#520945,#403494,#403495); #358745=AXIS2_PLACEMENT_3D('',#520951,#403499,#403500); #358746=AXIS2_PLACEMENT_3D('',#520957,#403504,#403505); #358747=AXIS2_PLACEMENT_3D('',#520963,#403509,#403510); #358748=AXIS2_PLACEMENT_3D('',#520969,#403514,#403515); #358749=AXIS2_PLACEMENT_3D('',#520975,#403519,#403520); #358750=AXIS2_PLACEMENT_3D('',#520981,#403524,#403525); #358751=AXIS2_PLACEMENT_3D('',#520987,#403529,#403530); #358752=AXIS2_PLACEMENT_3D('',#520993,#403534,#403535); #358753=AXIS2_PLACEMENT_3D('',#520999,#403539,#403540); #358754=AXIS2_PLACEMENT_3D('',#521005,#403544,#403545); #358755=AXIS2_PLACEMENT_3D('',#521011,#403549,#403550); #358756=AXIS2_PLACEMENT_3D('',#521017,#403554,#403555); #358757=AXIS2_PLACEMENT_3D('',#521023,#403559,#403560); #358758=AXIS2_PLACEMENT_3D('',#521029,#403564,#403565); #358759=AXIS2_PLACEMENT_3D('',#521035,#403569,#403570); #358760=AXIS2_PLACEMENT_3D('',#521041,#403574,#403575); #358761=AXIS2_PLACEMENT_3D('',#521047,#403579,#403580); #358762=AXIS2_PLACEMENT_3D('',#521053,#403584,#403585); #358763=AXIS2_PLACEMENT_3D('',#521059,#403589,#403590); #358764=AXIS2_PLACEMENT_3D('',#521065,#403594,#403595); #358765=AXIS2_PLACEMENT_3D('',#521071,#403599,#403600); #358766=AXIS2_PLACEMENT_3D('',#521077,#403604,#403605); #358767=AXIS2_PLACEMENT_3D('',#521083,#403609,#403610); #358768=AXIS2_PLACEMENT_3D('',#521089,#403614,#403615); #358769=AXIS2_PLACEMENT_3D('',#521095,#403619,#403620); #358770=AXIS2_PLACEMENT_3D('',#521101,#403624,#403625); #358771=AXIS2_PLACEMENT_3D('',#521107,#403629,#403630); #358772=AXIS2_PLACEMENT_3D('',#521113,#403634,#403635); #358773=AXIS2_PLACEMENT_3D('',#521119,#403639,#403640); #358774=AXIS2_PLACEMENT_3D('',#521125,#403644,#403645); #358775=AXIS2_PLACEMENT_3D('',#521131,#403649,#403650); #358776=AXIS2_PLACEMENT_3D('',#521137,#403654,#403655); #358777=AXIS2_PLACEMENT_3D('',#521143,#403659,#403660); #358778=AXIS2_PLACEMENT_3D('',#521149,#403664,#403665); #358779=AXIS2_PLACEMENT_3D('',#521155,#403669,#403670); #358780=AXIS2_PLACEMENT_3D('',#521161,#403674,#403675); #358781=AXIS2_PLACEMENT_3D('',#521167,#403679,#403680); #358782=AXIS2_PLACEMENT_3D('',#521173,#403684,#403685); #358783=AXIS2_PLACEMENT_3D('',#521179,#403689,#403690); #358784=AXIS2_PLACEMENT_3D('',#521185,#403694,#403695); #358785=AXIS2_PLACEMENT_3D('',#521191,#403699,#403700); #358786=AXIS2_PLACEMENT_3D('',#521197,#403704,#403705); #358787=AXIS2_PLACEMENT_3D('',#521203,#403709,#403710); #358788=AXIS2_PLACEMENT_3D('',#521209,#403714,#403715); #358789=AXIS2_PLACEMENT_3D('',#521215,#403719,#403720); #358790=AXIS2_PLACEMENT_3D('',#521221,#403724,#403725); #358791=AXIS2_PLACEMENT_3D('',#521227,#403729,#403730); #358792=AXIS2_PLACEMENT_3D('',#521233,#403734,#403735); #358793=AXIS2_PLACEMENT_3D('',#521239,#403739,#403740); #358794=AXIS2_PLACEMENT_3D('',#521245,#403744,#403745); #358795=AXIS2_PLACEMENT_3D('',#521251,#403749,#403750); #358796=AXIS2_PLACEMENT_3D('',#521257,#403754,#403755); #358797=AXIS2_PLACEMENT_3D('',#521263,#403759,#403760); #358798=AXIS2_PLACEMENT_3D('',#521269,#403764,#403765); #358799=AXIS2_PLACEMENT_3D('',#521275,#403769,#403770); #358800=AXIS2_PLACEMENT_3D('',#521281,#403774,#403775); #358801=AXIS2_PLACEMENT_3D('',#521287,#403779,#403780); #358802=AXIS2_PLACEMENT_3D('',#521293,#403784,#403785); #358803=AXIS2_PLACEMENT_3D('',#521299,#403789,#403790); #358804=AXIS2_PLACEMENT_3D('',#521305,#403794,#403795); #358805=AXIS2_PLACEMENT_3D('',#521311,#403799,#403800); #358806=AXIS2_PLACEMENT_3D('',#521317,#403804,#403805); #358807=AXIS2_PLACEMENT_3D('',#521323,#403809,#403810); #358808=AXIS2_PLACEMENT_3D('',#521329,#403814,#403815); #358809=AXIS2_PLACEMENT_3D('',#521335,#403819,#403820); #358810=AXIS2_PLACEMENT_3D('',#521341,#403824,#403825); #358811=AXIS2_PLACEMENT_3D('',#521347,#403829,#403830); #358812=AXIS2_PLACEMENT_3D('',#521353,#403834,#403835); #358813=AXIS2_PLACEMENT_3D('',#521359,#403839,#403840); #358814=AXIS2_PLACEMENT_3D('',#521365,#403844,#403845); #358815=AXIS2_PLACEMENT_3D('',#521371,#403849,#403850); #358816=AXIS2_PLACEMENT_3D('',#521377,#403854,#403855); #358817=AXIS2_PLACEMENT_3D('',#521383,#403859,#403860); #358818=AXIS2_PLACEMENT_3D('',#521389,#403864,#403865); #358819=AXIS2_PLACEMENT_3D('',#521395,#403869,#403870); #358820=AXIS2_PLACEMENT_3D('',#521401,#403874,#403875); #358821=AXIS2_PLACEMENT_3D('',#521407,#403879,#403880); #358822=AXIS2_PLACEMENT_3D('',#521413,#403884,#403885); #358823=AXIS2_PLACEMENT_3D('',#521419,#403889,#403890); #358824=AXIS2_PLACEMENT_3D('',#521425,#403894,#403895); #358825=AXIS2_PLACEMENT_3D('',#521431,#403899,#403900); #358826=AXIS2_PLACEMENT_3D('',#521437,#403904,#403905); #358827=AXIS2_PLACEMENT_3D('',#521440,#403908,#403909); #358828=AXIS2_PLACEMENT_3D('',#521449,#403914,#403915); #358829=AXIS2_PLACEMENT_3D('',#521455,#403919,#403920); #358830=AXIS2_PLACEMENT_3D('',#521461,#403924,#403925); #358831=AXIS2_PLACEMENT_3D('',#521467,#403929,#403930); #358832=AXIS2_PLACEMENT_3D('',#521473,#403934,#403935); #358833=AXIS2_PLACEMENT_3D('',#521479,#403939,#403940); #358834=AXIS2_PLACEMENT_3D('',#521485,#403944,#403945); #358835=AXIS2_PLACEMENT_3D('',#521488,#403948,#403949); #358836=AXIS2_PLACEMENT_3D('',#521497,#403954,#403955); #358837=AXIS2_PLACEMENT_3D('',#521503,#403959,#403960); #358838=AXIS2_PLACEMENT_3D('',#521509,#403964,#403965); #358839=AXIS2_PLACEMENT_3D('',#521515,#403969,#403970); #358840=AXIS2_PLACEMENT_3D('',#521521,#403974,#403975); #358841=AXIS2_PLACEMENT_3D('',#521527,#403979,#403980); #358842=AXIS2_PLACEMENT_3D('',#521533,#403984,#403985); #358843=AXIS2_PLACEMENT_3D('',#521539,#403989,#403990); #358844=AXIS2_PLACEMENT_3D('',#521545,#403994,#403995); #358845=AXIS2_PLACEMENT_3D('',#521551,#403999,#404000); #358846=AXIS2_PLACEMENT_3D('',#521557,#404004,#404005); #358847=AXIS2_PLACEMENT_3D('',#521563,#404009,#404010); #358848=AXIS2_PLACEMENT_3D('',#521569,#404014,#404015); #358849=AXIS2_PLACEMENT_3D('',#521575,#404019,#404020); #358850=AXIS2_PLACEMENT_3D('',#521581,#404024,#404025); #358851=AXIS2_PLACEMENT_3D('',#521587,#404029,#404030); #358852=AXIS2_PLACEMENT_3D('',#521593,#404034,#404035); #358853=AXIS2_PLACEMENT_3D('',#521599,#404039,#404040); #358854=AXIS2_PLACEMENT_3D('',#521605,#404044,#404045); #358855=AXIS2_PLACEMENT_3D('',#521611,#404049,#404050); #358856=AXIS2_PLACEMENT_3D('',#521617,#404054,#404055); #358857=AXIS2_PLACEMENT_3D('',#521623,#404059,#404060); #358858=AXIS2_PLACEMENT_3D('',#521629,#404064,#404065); #358859=AXIS2_PLACEMENT_3D('',#521635,#404069,#404070); #358860=AXIS2_PLACEMENT_3D('',#521641,#404074,#404075); #358861=AXIS2_PLACEMENT_3D('',#521647,#404079,#404080); #358862=AXIS2_PLACEMENT_3D('',#521653,#404084,#404085); #358863=AXIS2_PLACEMENT_3D('',#521659,#404089,#404090); #358864=AXIS2_PLACEMENT_3D('',#521665,#404094,#404095); #358865=AXIS2_PLACEMENT_3D('',#521671,#404099,#404100); #358866=AXIS2_PLACEMENT_3D('',#521677,#404104,#404105); #358867=AXIS2_PLACEMENT_3D('',#521683,#404109,#404110); #358868=AXIS2_PLACEMENT_3D('',#521689,#404114,#404115); #358869=AXIS2_PLACEMENT_3D('',#521695,#404119,#404120); #358870=AXIS2_PLACEMENT_3D('',#521701,#404124,#404125); #358871=AXIS2_PLACEMENT_3D('',#521707,#404129,#404130); #358872=AXIS2_PLACEMENT_3D('',#521713,#404134,#404135); #358873=AXIS2_PLACEMENT_3D('',#521719,#404139,#404140); #358874=AXIS2_PLACEMENT_3D('',#521725,#404144,#404145); #358875=AXIS2_PLACEMENT_3D('',#521731,#404149,#404150); #358876=AXIS2_PLACEMENT_3D('',#521737,#404154,#404155); #358877=AXIS2_PLACEMENT_3D('',#521743,#404159,#404160); #358878=AXIS2_PLACEMENT_3D('',#521749,#404164,#404165); #358879=AXIS2_PLACEMENT_3D('',#521755,#404169,#404170); #358880=AXIS2_PLACEMENT_3D('',#521761,#404174,#404175); #358881=AXIS2_PLACEMENT_3D('',#521767,#404179,#404180); #358882=AXIS2_PLACEMENT_3D('',#521773,#404184,#404185); #358883=AXIS2_PLACEMENT_3D('',#521779,#404189,#404190); #358884=AXIS2_PLACEMENT_3D('',#521785,#404194,#404195); #358885=AXIS2_PLACEMENT_3D('',#521791,#404199,#404200); #358886=AXIS2_PLACEMENT_3D('',#521797,#404204,#404205); #358887=AXIS2_PLACEMENT_3D('',#521803,#404209,#404210); #358888=AXIS2_PLACEMENT_3D('',#521809,#404214,#404215); #358889=AXIS2_PLACEMENT_3D('',#521815,#404219,#404220); #358890=AXIS2_PLACEMENT_3D('',#521821,#404224,#404225); #358891=AXIS2_PLACEMENT_3D('',#521827,#404229,#404230); #358892=AXIS2_PLACEMENT_3D('',#521833,#404234,#404235); #358893=AXIS2_PLACEMENT_3D('',#521839,#404239,#404240); #358894=AXIS2_PLACEMENT_3D('',#521845,#404244,#404245); #358895=AXIS2_PLACEMENT_3D('',#521851,#404249,#404250); #358896=AXIS2_PLACEMENT_3D('',#521857,#404254,#404255); #358897=AXIS2_PLACEMENT_3D('',#521863,#404259,#404260); #358898=AXIS2_PLACEMENT_3D('',#521869,#404264,#404265); #358899=AXIS2_PLACEMENT_3D('',#521875,#404269,#404270); #358900=AXIS2_PLACEMENT_3D('',#521881,#404274,#404275); #358901=AXIS2_PLACEMENT_3D('',#521887,#404279,#404280); #358902=AXIS2_PLACEMENT_3D('',#521893,#404284,#404285); #358903=AXIS2_PLACEMENT_3D('',#521899,#404289,#404290); #358904=AXIS2_PLACEMENT_3D('',#521905,#404294,#404295); #358905=AXIS2_PLACEMENT_3D('',#521911,#404299,#404300); #358906=AXIS2_PLACEMENT_3D('',#521917,#404304,#404305); #358907=AXIS2_PLACEMENT_3D('',#521923,#404309,#404310); #358908=AXIS2_PLACEMENT_3D('',#521929,#404314,#404315); #358909=AXIS2_PLACEMENT_3D('',#521935,#404319,#404320); #358910=AXIS2_PLACEMENT_3D('',#521941,#404324,#404325); #358911=AXIS2_PLACEMENT_3D('',#521947,#404329,#404330); #358912=AXIS2_PLACEMENT_3D('',#521953,#404334,#404335); #358913=AXIS2_PLACEMENT_3D('',#521959,#404339,#404340); #358914=AXIS2_PLACEMENT_3D('',#521965,#404344,#404345); #358915=AXIS2_PLACEMENT_3D('',#521971,#404349,#404350); #358916=AXIS2_PLACEMENT_3D('',#521977,#404354,#404355); #358917=AXIS2_PLACEMENT_3D('',#521983,#404359,#404360); #358918=AXIS2_PLACEMENT_3D('',#521989,#404364,#404365); #358919=AXIS2_PLACEMENT_3D('',#521995,#404369,#404370); #358920=AXIS2_PLACEMENT_3D('',#522001,#404374,#404375); #358921=AXIS2_PLACEMENT_3D('',#522007,#404379,#404380); #358922=AXIS2_PLACEMENT_3D('',#522013,#404384,#404385); #358923=AXIS2_PLACEMENT_3D('',#522019,#404389,#404390); #358924=AXIS2_PLACEMENT_3D('',#522025,#404394,#404395); #358925=AXIS2_PLACEMENT_3D('',#522031,#404399,#404400); #358926=AXIS2_PLACEMENT_3D('',#522037,#404404,#404405); #358927=AXIS2_PLACEMENT_3D('',#522043,#404409,#404410); #358928=AXIS2_PLACEMENT_3D('',#522049,#404414,#404415); #358929=AXIS2_PLACEMENT_3D('',#522055,#404419,#404420); #358930=AXIS2_PLACEMENT_3D('',#522061,#404424,#404425); #358931=AXIS2_PLACEMENT_3D('',#522067,#404429,#404430); #358932=AXIS2_PLACEMENT_3D('',#522073,#404434,#404435); #358933=AXIS2_PLACEMENT_3D('',#522079,#404439,#404440); #358934=AXIS2_PLACEMENT_3D('',#522085,#404444,#404445); #358935=AXIS2_PLACEMENT_3D('',#522091,#404449,#404450); #358936=AXIS2_PLACEMENT_3D('',#522097,#404454,#404455); #358937=AXIS2_PLACEMENT_3D('',#522103,#404459,#404460); #358938=AXIS2_PLACEMENT_3D('',#522109,#404464,#404465); #358939=AXIS2_PLACEMENT_3D('',#522115,#404469,#404470); #358940=AXIS2_PLACEMENT_3D('',#522121,#404474,#404475); #358941=AXIS2_PLACEMENT_3D('',#522127,#404479,#404480); #358942=AXIS2_PLACEMENT_3D('',#522133,#404484,#404485); #358943=AXIS2_PLACEMENT_3D('',#522139,#404489,#404490); #358944=AXIS2_PLACEMENT_3D('',#522145,#404494,#404495); #358945=AXIS2_PLACEMENT_3D('',#522151,#404499,#404500); #358946=AXIS2_PLACEMENT_3D('',#522157,#404504,#404505); #358947=AXIS2_PLACEMENT_3D('',#522163,#404509,#404510); #358948=AXIS2_PLACEMENT_3D('',#522169,#404514,#404515); #358949=AXIS2_PLACEMENT_3D('',#522175,#404519,#404520); #358950=AXIS2_PLACEMENT_3D('',#522181,#404524,#404525); #358951=AXIS2_PLACEMENT_3D('',#522187,#404529,#404530); #358952=AXIS2_PLACEMENT_3D('',#522193,#404534,#404535); #358953=AXIS2_PLACEMENT_3D('',#522199,#404539,#404540); #358954=AXIS2_PLACEMENT_3D('',#522205,#404544,#404545); #358955=AXIS2_PLACEMENT_3D('',#522208,#404548,#404549); #358956=AXIS2_PLACEMENT_3D('',#522209,#404550,#404551); #358957=AXIS2_PLACEMENT_3D('',#522210,#404552,#404553); #358958=AXIS2_PLACEMENT_3D('',#522219,#404558,#404559); #358959=AXIS2_PLACEMENT_3D('',#522225,#404563,#404564); #358960=AXIS2_PLACEMENT_3D('',#522231,#404568,#404569); #358961=AXIS2_PLACEMENT_3D('',#522237,#404573,#404574); #358962=AXIS2_PLACEMENT_3D('',#522243,#404578,#404579); #358963=AXIS2_PLACEMENT_3D('',#522249,#404583,#404584); #358964=AXIS2_PLACEMENT_3D('',#522255,#404588,#404589); #358965=AXIS2_PLACEMENT_3D('',#522261,#404593,#404594); #358966=AXIS2_PLACEMENT_3D('',#522267,#404598,#404599); #358967=AXIS2_PLACEMENT_3D('',#522273,#404603,#404604); #358968=AXIS2_PLACEMENT_3D('',#522279,#404608,#404609); #358969=AXIS2_PLACEMENT_3D('',#522285,#404613,#404614); #358970=AXIS2_PLACEMENT_3D('',#522291,#404618,#404619); #358971=AXIS2_PLACEMENT_3D('',#522297,#404623,#404624); #358972=AXIS2_PLACEMENT_3D('',#522303,#404628,#404629); #358973=AXIS2_PLACEMENT_3D('',#522309,#404633,#404634); #358974=AXIS2_PLACEMENT_3D('',#522315,#404638,#404639); #358975=AXIS2_PLACEMENT_3D('',#522321,#404643,#404644); #358976=AXIS2_PLACEMENT_3D('',#522327,#404648,#404649); #358977=AXIS2_PLACEMENT_3D('',#522333,#404653,#404654); #358978=AXIS2_PLACEMENT_3D('',#522336,#404657,#404658); #358979=AXIS2_PLACEMENT_3D('',#522337,#404659,#404660); #358980=AXIS2_PLACEMENT_3D('',#522338,#404661,#404662); #358981=AXIS2_PLACEMENT_3D('',#522347,#404667,#404668); #358982=AXIS2_PLACEMENT_3D('',#522353,#404672,#404673); #358983=AXIS2_PLACEMENT_3D('',#522359,#404677,#404678); #358984=AXIS2_PLACEMENT_3D('',#522365,#404682,#404683); #358985=AXIS2_PLACEMENT_3D('',#522371,#404687,#404688); #358986=AXIS2_PLACEMENT_3D('',#522377,#404692,#404693); #358987=AXIS2_PLACEMENT_3D('',#522383,#404697,#404698); #358988=AXIS2_PLACEMENT_3D('',#522389,#404702,#404703); #358989=AXIS2_PLACEMENT_3D('',#522395,#404707,#404708); #358990=AXIS2_PLACEMENT_3D('',#522401,#404712,#404713); #358991=AXIS2_PLACEMENT_3D('',#522407,#404717,#404718); #358992=AXIS2_PLACEMENT_3D('',#522413,#404722,#404723); #358993=AXIS2_PLACEMENT_3D('',#522419,#404727,#404728); #358994=AXIS2_PLACEMENT_3D('',#522425,#404732,#404733); #358995=AXIS2_PLACEMENT_3D('',#522431,#404737,#404738); #358996=AXIS2_PLACEMENT_3D('',#522437,#404742,#404743); #358997=AXIS2_PLACEMENT_3D('',#522443,#404747,#404748); #358998=AXIS2_PLACEMENT_3D('',#522449,#404752,#404753); #358999=AXIS2_PLACEMENT_3D('',#522455,#404757,#404758); #359000=AXIS2_PLACEMENT_3D('',#522461,#404762,#404763); #359001=AXIS2_PLACEMENT_3D('',#522467,#404767,#404768); #359002=AXIS2_PLACEMENT_3D('',#522473,#404772,#404773); #359003=AXIS2_PLACEMENT_3D('',#522479,#404777,#404778); #359004=AXIS2_PLACEMENT_3D('',#522485,#404782,#404783); #359005=AXIS2_PLACEMENT_3D('',#522491,#404787,#404788); #359006=AXIS2_PLACEMENT_3D('',#522494,#404791,#404792); #359007=AXIS2_PLACEMENT_3D('',#522495,#404793,#404794); #359008=AXIS2_PLACEMENT_3D('',#522496,#404795,#404796); #359009=AXIS2_PLACEMENT_3D('',#522505,#404801,#404802); #359010=AXIS2_PLACEMENT_3D('',#522511,#404806,#404807); #359011=AXIS2_PLACEMENT_3D('',#522517,#404811,#404812); #359012=AXIS2_PLACEMENT_3D('',#522523,#404816,#404817); #359013=AXIS2_PLACEMENT_3D('',#522529,#404821,#404822); #359014=AXIS2_PLACEMENT_3D('',#522535,#404826,#404827); #359015=AXIS2_PLACEMENT_3D('',#522541,#404831,#404832); #359016=AXIS2_PLACEMENT_3D('',#522547,#404836,#404837); #359017=AXIS2_PLACEMENT_3D('',#522553,#404841,#404842); #359018=AXIS2_PLACEMENT_3D('',#522559,#404846,#404847); #359019=AXIS2_PLACEMENT_3D('',#522565,#404851,#404852); #359020=AXIS2_PLACEMENT_3D('',#522571,#404856,#404857); #359021=AXIS2_PLACEMENT_3D('',#522577,#404861,#404862); #359022=AXIS2_PLACEMENT_3D('',#522583,#404866,#404867); #359023=AXIS2_PLACEMENT_3D('',#522589,#404871,#404872); #359024=AXIS2_PLACEMENT_3D('',#522595,#404876,#404877); #359025=AXIS2_PLACEMENT_3D('',#522601,#404881,#404882); #359026=AXIS2_PLACEMENT_3D('',#522607,#404886,#404887); #359027=AXIS2_PLACEMENT_3D('',#522613,#404891,#404892); #359028=AXIS2_PLACEMENT_3D('',#522616,#404895,#404896); #359029=AXIS2_PLACEMENT_3D('',#522617,#404897,#404898); #359030=AXIS2_PLACEMENT_3D('',#522618,#404899,#404900); #359031=AXIS2_PLACEMENT_3D('',#522627,#404905,#404906); #359032=AXIS2_PLACEMENT_3D('',#522633,#404910,#404911); #359033=AXIS2_PLACEMENT_3D('',#522639,#404915,#404916); #359034=AXIS2_PLACEMENT_3D('',#522645,#404920,#404921); #359035=AXIS2_PLACEMENT_3D('',#522651,#404925,#404926); #359036=AXIS2_PLACEMENT_3D('',#522657,#404930,#404931); #359037=AXIS2_PLACEMENT_3D('',#522663,#404935,#404936); #359038=AXIS2_PLACEMENT_3D('',#522669,#404940,#404941); #359039=AXIS2_PLACEMENT_3D('',#522675,#404945,#404946); #359040=AXIS2_PLACEMENT_3D('',#522681,#404950,#404951); #359041=AXIS2_PLACEMENT_3D('',#522687,#404955,#404956); #359042=AXIS2_PLACEMENT_3D('',#522693,#404960,#404961); #359043=AXIS2_PLACEMENT_3D('',#522699,#404965,#404966); #359044=AXIS2_PLACEMENT_3D('',#522705,#404970,#404971); #359045=AXIS2_PLACEMENT_3D('',#522711,#404975,#404976); #359046=AXIS2_PLACEMENT_3D('',#522717,#404980,#404981); #359047=AXIS2_PLACEMENT_3D('',#522723,#404985,#404986); #359048=AXIS2_PLACEMENT_3D('',#522729,#404990,#404991); #359049=AXIS2_PLACEMENT_3D('',#522735,#404995,#404996); #359050=AXIS2_PLACEMENT_3D('',#522741,#405000,#405001); #359051=AXIS2_PLACEMENT_3D('',#522747,#405005,#405006); #359052=AXIS2_PLACEMENT_3D('',#522753,#405010,#405011); #359053=AXIS2_PLACEMENT_3D('',#522756,#405014,#405015); #359054=AXIS2_PLACEMENT_3D('',#522757,#405016,#405017); #359055=AXIS2_PLACEMENT_3D('',#522758,#405018,#405019); #359056=AXIS2_PLACEMENT_3D('',#522767,#405024,#405025); #359057=AXIS2_PLACEMENT_3D('',#522773,#405029,#405030); #359058=AXIS2_PLACEMENT_3D('',#522779,#405034,#405035); #359059=AXIS2_PLACEMENT_3D('',#522785,#405039,#405040); #359060=AXIS2_PLACEMENT_3D('',#522791,#405044,#405045); #359061=AXIS2_PLACEMENT_3D('',#522797,#405049,#405050); #359062=AXIS2_PLACEMENT_3D('',#522803,#405054,#405055); #359063=AXIS2_PLACEMENT_3D('',#522809,#405059,#405060); #359064=AXIS2_PLACEMENT_3D('',#522815,#405064,#405065); #359065=AXIS2_PLACEMENT_3D('',#522821,#405069,#405070); #359066=AXIS2_PLACEMENT_3D('',#522827,#405074,#405075); #359067=AXIS2_PLACEMENT_3D('',#522833,#405079,#405080); #359068=AXIS2_PLACEMENT_3D('',#522839,#405084,#405085); #359069=AXIS2_PLACEMENT_3D('',#522845,#405089,#405090); #359070=AXIS2_PLACEMENT_3D('',#522851,#405094,#405095); #359071=AXIS2_PLACEMENT_3D('',#522857,#405099,#405100); #359072=AXIS2_PLACEMENT_3D('',#522863,#405104,#405105); #359073=AXIS2_PLACEMENT_3D('',#522869,#405109,#405110); #359074=AXIS2_PLACEMENT_3D('',#522875,#405114,#405115); #359075=AXIS2_PLACEMENT_3D('',#522881,#405119,#405120); #359076=AXIS2_PLACEMENT_3D('',#522884,#405123,#405124); #359077=AXIS2_PLACEMENT_3D('',#522885,#405125,#405126); #359078=AXIS2_PLACEMENT_3D('',#522886,#405127,#405128); #359079=AXIS2_PLACEMENT_3D('',#522895,#405133,#405134); #359080=AXIS2_PLACEMENT_3D('',#522901,#405138,#405139); #359081=AXIS2_PLACEMENT_3D('',#522907,#405143,#405144); #359082=AXIS2_PLACEMENT_3D('',#522913,#405148,#405149); #359083=AXIS2_PLACEMENT_3D('',#522919,#405153,#405154); #359084=AXIS2_PLACEMENT_3D('',#522925,#405158,#405159); #359085=AXIS2_PLACEMENT_3D('',#522931,#405163,#405164); #359086=AXIS2_PLACEMENT_3D('',#522937,#405168,#405169); #359087=AXIS2_PLACEMENT_3D('',#522943,#405173,#405174); #359088=AXIS2_PLACEMENT_3D('',#522949,#405178,#405179); #359089=AXIS2_PLACEMENT_3D('',#522955,#405183,#405184); #359090=AXIS2_PLACEMENT_3D('',#522961,#405188,#405189); #359091=AXIS2_PLACEMENT_3D('',#522967,#405193,#405194); #359092=AXIS2_PLACEMENT_3D('',#522973,#405198,#405199); #359093=AXIS2_PLACEMENT_3D('',#522979,#405203,#405204); #359094=AXIS2_PLACEMENT_3D('',#522985,#405208,#405209); #359095=AXIS2_PLACEMENT_3D('',#522991,#405213,#405214); #359096=AXIS2_PLACEMENT_3D('',#522997,#405218,#405219); #359097=AXIS2_PLACEMENT_3D('',#523003,#405223,#405224); #359098=AXIS2_PLACEMENT_3D('',#523009,#405228,#405229); #359099=AXIS2_PLACEMENT_3D('',#523015,#405233,#405234); #359100=AXIS2_PLACEMENT_3D('',#523021,#405238,#405239); #359101=AXIS2_PLACEMENT_3D('',#523027,#405243,#405244); #359102=AXIS2_PLACEMENT_3D('',#523033,#405248,#405249); #359103=AXIS2_PLACEMENT_3D('',#523039,#405253,#405254); #359104=AXIS2_PLACEMENT_3D('',#523045,#405258,#405259); #359105=AXIS2_PLACEMENT_3D('',#523051,#405263,#405264); #359106=AXIS2_PLACEMENT_3D('',#523057,#405268,#405269); #359107=AXIS2_PLACEMENT_3D('',#523063,#405273,#405274); #359108=AXIS2_PLACEMENT_3D('',#523066,#405277,#405278); #359109=AXIS2_PLACEMENT_3D('',#523067,#405279,#405280); #359110=AXIS2_PLACEMENT_3D('',#523068,#405281,#405282); #359111=AXIS2_PLACEMENT_3D('',#523077,#405287,#405288); #359112=AXIS2_PLACEMENT_3D('',#523083,#405292,#405293); #359113=AXIS2_PLACEMENT_3D('',#523089,#405297,#405298); #359114=AXIS2_PLACEMENT_3D('',#523095,#405302,#405303); #359115=AXIS2_PLACEMENT_3D('',#523101,#405307,#405308); #359116=AXIS2_PLACEMENT_3D('',#523107,#405312,#405313); #359117=AXIS2_PLACEMENT_3D('',#523113,#405317,#405318); #359118=AXIS2_PLACEMENT_3D('',#523119,#405322,#405323); #359119=AXIS2_PLACEMENT_3D('',#523125,#405327,#405328); #359120=AXIS2_PLACEMENT_3D('',#523131,#405332,#405333); #359121=AXIS2_PLACEMENT_3D('',#523137,#405337,#405338); #359122=AXIS2_PLACEMENT_3D('',#523143,#405342,#405343); #359123=AXIS2_PLACEMENT_3D('',#523149,#405347,#405348); #359124=AXIS2_PLACEMENT_3D('',#523155,#405352,#405353); #359125=AXIS2_PLACEMENT_3D('',#523161,#405357,#405358); #359126=AXIS2_PLACEMENT_3D('',#523167,#405362,#405363); #359127=AXIS2_PLACEMENT_3D('',#523173,#405367,#405368); #359128=AXIS2_PLACEMENT_3D('',#523179,#405372,#405373); #359129=AXIS2_PLACEMENT_3D('',#523185,#405377,#405378); #359130=AXIS2_PLACEMENT_3D('',#523188,#405381,#405382); #359131=AXIS2_PLACEMENT_3D('',#523189,#405383,#405384); #359132=AXIS2_PLACEMENT_3D('',#523190,#405385,#405386); #359133=AXIS2_PLACEMENT_3D('',#523199,#405391,#405392); #359134=AXIS2_PLACEMENT_3D('',#523205,#405396,#405397); #359135=AXIS2_PLACEMENT_3D('',#523211,#405401,#405402); #359136=AXIS2_PLACEMENT_3D('',#523217,#405406,#405407); #359137=AXIS2_PLACEMENT_3D('',#523223,#405411,#405412); #359138=AXIS2_PLACEMENT_3D('',#523229,#405416,#405417); #359139=AXIS2_PLACEMENT_3D('',#523235,#405421,#405422); #359140=AXIS2_PLACEMENT_3D('',#523241,#405426,#405427); #359141=AXIS2_PLACEMENT_3D('',#523247,#405431,#405432); #359142=AXIS2_PLACEMENT_3D('',#523253,#405436,#405437); #359143=AXIS2_PLACEMENT_3D('',#523259,#405441,#405442); #359144=AXIS2_PLACEMENT_3D('',#523265,#405446,#405447); #359145=AXIS2_PLACEMENT_3D('',#523271,#405451,#405452); #359146=AXIS2_PLACEMENT_3D('',#523277,#405456,#405457); #359147=AXIS2_PLACEMENT_3D('',#523283,#405461,#405462); #359148=AXIS2_PLACEMENT_3D('',#523289,#405466,#405467); #359149=AXIS2_PLACEMENT_3D('',#523295,#405471,#405472); #359150=AXIS2_PLACEMENT_3D('',#523301,#405476,#405477); #359151=AXIS2_PLACEMENT_3D('',#523307,#405481,#405482); #359152=AXIS2_PLACEMENT_3D('',#523313,#405486,#405487); #359153=AXIS2_PLACEMENT_3D('',#523319,#405491,#405492); #359154=AXIS2_PLACEMENT_3D('',#523325,#405496,#405497); #359155=AXIS2_PLACEMENT_3D('',#523331,#405501,#405502); #359156=AXIS2_PLACEMENT_3D('',#523337,#405506,#405507); #359157=AXIS2_PLACEMENT_3D('',#523343,#405511,#405512); #359158=AXIS2_PLACEMENT_3D('',#523349,#405516,#405517); #359159=AXIS2_PLACEMENT_3D('',#523355,#405521,#405522); #359160=AXIS2_PLACEMENT_3D('',#523361,#405526,#405527); #359161=AXIS2_PLACEMENT_3D('',#523367,#405531,#405532); #359162=AXIS2_PLACEMENT_3D('',#523373,#405536,#405537); #359163=AXIS2_PLACEMENT_3D('',#523379,#405541,#405542); #359164=AXIS2_PLACEMENT_3D('',#523385,#405546,#405547); #359165=AXIS2_PLACEMENT_3D('',#523388,#405550,#405551); #359166=AXIS2_PLACEMENT_3D('',#523389,#405552,#405553); #359167=AXIS2_PLACEMENT_3D('',#523390,#405554,#405555); #359168=AXIS2_PLACEMENT_3D('',#523392,#405556,#405557); #359169=AXIS2_PLACEMENT_3D('',#523395,#405559,#405560); #359170=AXIS2_PLACEMENT_3D('',#523396,#405561,#405562); #359171=AXIS2_PLACEMENT_3D('',#523398,#405563,#405564); #359172=AXIS2_PLACEMENT_3D('',#523401,#405566,#405567); #359173=AXIS2_PLACEMENT_3D('',#523402,#405568,#405569); #359174=AXIS2_PLACEMENT_3D('',#523404,#405570,#405571); #359175=AXIS2_PLACEMENT_3D('',#523407,#405573,#405574); #359176=AXIS2_PLACEMENT_3D('',#523408,#405575,#405576); #359177=AXIS2_PLACEMENT_3D('',#523417,#405581,#405582); #359178=AXIS2_PLACEMENT_3D('',#523423,#405586,#405587); #359179=AXIS2_PLACEMENT_3D('',#523429,#405591,#405592); #359180=AXIS2_PLACEMENT_3D('',#523435,#405596,#405597); #359181=AXIS2_PLACEMENT_3D('',#523441,#405601,#405602); #359182=AXIS2_PLACEMENT_3D('',#523447,#405606,#405607); #359183=AXIS2_PLACEMENT_3D('',#523453,#405611,#405612); #359184=AXIS2_PLACEMENT_3D('',#523459,#405616,#405617); #359185=AXIS2_PLACEMENT_3D('',#523465,#405621,#405622); #359186=AXIS2_PLACEMENT_3D('',#523471,#405626,#405627); #359187=AXIS2_PLACEMENT_3D('',#523477,#405631,#405632); #359188=AXIS2_PLACEMENT_3D('',#523483,#405636,#405637); #359189=AXIS2_PLACEMENT_3D('',#523489,#405641,#405642); #359190=AXIS2_PLACEMENT_3D('',#523495,#405646,#405647); #359191=AXIS2_PLACEMENT_3D('',#523501,#405651,#405652); #359192=AXIS2_PLACEMENT_3D('',#523507,#405656,#405657); #359193=AXIS2_PLACEMENT_3D('',#523513,#405661,#405662); #359194=AXIS2_PLACEMENT_3D('',#523519,#405666,#405667); #359195=AXIS2_PLACEMENT_3D('',#523525,#405671,#405672); #359196=AXIS2_PLACEMENT_3D('',#523531,#405676,#405677); #359197=AXIS2_PLACEMENT_3D('',#523537,#405681,#405682); #359198=AXIS2_PLACEMENT_3D('',#523543,#405686,#405687); #359199=AXIS2_PLACEMENT_3D('',#523549,#405691,#405692); #359200=AXIS2_PLACEMENT_3D('',#523555,#405696,#405697); #359201=AXIS2_PLACEMENT_3D('',#523561,#405701,#405702); #359202=AXIS2_PLACEMENT_3D('',#523567,#405706,#405707); #359203=AXIS2_PLACEMENT_3D('',#523573,#405711,#405712); #359204=AXIS2_PLACEMENT_3D('',#523579,#405716,#405717); #359205=AXIS2_PLACEMENT_3D('',#523585,#405721,#405722); #359206=AXIS2_PLACEMENT_3D('',#523591,#405726,#405727); #359207=AXIS2_PLACEMENT_3D('',#523597,#405731,#405732); #359208=AXIS2_PLACEMENT_3D('',#523603,#405736,#405737); #359209=AXIS2_PLACEMENT_3D('',#523609,#405741,#405742); #359210=AXIS2_PLACEMENT_3D('',#523615,#405746,#405747); #359211=AXIS2_PLACEMENT_3D('',#523621,#405751,#405752); #359212=AXIS2_PLACEMENT_3D('',#523627,#405756,#405757); #359213=AXIS2_PLACEMENT_3D('',#523633,#405761,#405762); #359214=AXIS2_PLACEMENT_3D('',#523639,#405766,#405767); #359215=AXIS2_PLACEMENT_3D('',#523645,#405771,#405772); #359216=AXIS2_PLACEMENT_3D('',#523651,#405776,#405777); #359217=AXIS2_PLACEMENT_3D('',#523657,#405781,#405782); #359218=AXIS2_PLACEMENT_3D('',#523663,#405786,#405787); #359219=AXIS2_PLACEMENT_3D('',#523669,#405791,#405792); #359220=AXIS2_PLACEMENT_3D('',#523675,#405796,#405797); #359221=AXIS2_PLACEMENT_3D('',#523681,#405801,#405802); #359222=AXIS2_PLACEMENT_3D('',#523687,#405806,#405807); #359223=AXIS2_PLACEMENT_3D('',#523693,#405811,#405812); #359224=AXIS2_PLACEMENT_3D('',#523699,#405816,#405817); #359225=AXIS2_PLACEMENT_3D('',#523705,#405821,#405822); #359226=AXIS2_PLACEMENT_3D('',#523711,#405826,#405827); #359227=AXIS2_PLACEMENT_3D('',#523717,#405831,#405832); #359228=AXIS2_PLACEMENT_3D('',#523723,#405836,#405837); #359229=AXIS2_PLACEMENT_3D('',#523729,#405841,#405842); #359230=AXIS2_PLACEMENT_3D('',#523735,#405846,#405847); #359231=AXIS2_PLACEMENT_3D('',#523741,#405851,#405852); #359232=AXIS2_PLACEMENT_3D('',#523747,#405856,#405857); #359233=AXIS2_PLACEMENT_3D('',#523753,#405861,#405862); #359234=AXIS2_PLACEMENT_3D('',#523759,#405866,#405867); #359235=AXIS2_PLACEMENT_3D('',#523765,#405871,#405872); #359236=AXIS2_PLACEMENT_3D('',#523771,#405876,#405877); #359237=AXIS2_PLACEMENT_3D('',#523777,#405881,#405882); #359238=AXIS2_PLACEMENT_3D('',#523783,#405886,#405887); #359239=AXIS2_PLACEMENT_3D('',#523789,#405891,#405892); #359240=AXIS2_PLACEMENT_3D('',#523795,#405896,#405897); #359241=AXIS2_PLACEMENT_3D('',#523801,#405901,#405902); #359242=AXIS2_PLACEMENT_3D('',#523807,#405906,#405907); #359243=AXIS2_PLACEMENT_3D('',#523813,#405911,#405912); #359244=AXIS2_PLACEMENT_3D('',#523819,#405916,#405917); #359245=AXIS2_PLACEMENT_3D('',#523825,#405921,#405922); #359246=AXIS2_PLACEMENT_3D('',#523831,#405926,#405927); #359247=AXIS2_PLACEMENT_3D('',#523837,#405931,#405932); #359248=AXIS2_PLACEMENT_3D('',#523843,#405936,#405937); #359249=AXIS2_PLACEMENT_3D('',#523849,#405941,#405942); #359250=AXIS2_PLACEMENT_3D('',#523855,#405946,#405947); #359251=AXIS2_PLACEMENT_3D('',#523861,#405951,#405952); #359252=AXIS2_PLACEMENT_3D('',#523867,#405956,#405957); #359253=AXIS2_PLACEMENT_3D('',#523873,#405961,#405962); #359254=AXIS2_PLACEMENT_3D('',#523879,#405966,#405967); #359255=AXIS2_PLACEMENT_3D('',#523885,#405971,#405972); #359256=AXIS2_PLACEMENT_3D('',#523891,#405976,#405977); #359257=AXIS2_PLACEMENT_3D('',#523897,#405981,#405982); #359258=AXIS2_PLACEMENT_3D('',#523903,#405986,#405987); #359259=AXIS2_PLACEMENT_3D('',#523909,#405991,#405992); #359260=AXIS2_PLACEMENT_3D('',#523915,#405996,#405997); #359261=AXIS2_PLACEMENT_3D('',#523921,#406001,#406002); #359262=AXIS2_PLACEMENT_3D('',#523927,#406006,#406007); #359263=AXIS2_PLACEMENT_3D('',#523930,#406010,#406011); #359264=AXIS2_PLACEMENT_3D('',#523931,#406012,#406013); #359265=AXIS2_PLACEMENT_3D('',#523932,#406014,#406015); #359266=AXIS2_PLACEMENT_3D('',#523941,#406020,#406021); #359267=AXIS2_PLACEMENT_3D('',#523947,#406025,#406026); #359268=AXIS2_PLACEMENT_3D('',#523953,#406030,#406031); #359269=AXIS2_PLACEMENT_3D('',#523959,#406035,#406036); #359270=AXIS2_PLACEMENT_3D('',#523965,#406040,#406041); #359271=AXIS2_PLACEMENT_3D('',#523971,#406045,#406046); #359272=AXIS2_PLACEMENT_3D('',#523977,#406050,#406051); #359273=AXIS2_PLACEMENT_3D('',#523983,#406055,#406056); #359274=AXIS2_PLACEMENT_3D('',#523989,#406060,#406061); #359275=AXIS2_PLACEMENT_3D('',#523995,#406065,#406066); #359276=AXIS2_PLACEMENT_3D('',#524001,#406070,#406071); #359277=AXIS2_PLACEMENT_3D('',#524007,#406075,#406076); #359278=AXIS2_PLACEMENT_3D('',#524013,#406080,#406081); #359279=AXIS2_PLACEMENT_3D('',#524019,#406085,#406086); #359280=AXIS2_PLACEMENT_3D('',#524025,#406090,#406091); #359281=AXIS2_PLACEMENT_3D('',#524031,#406095,#406096); #359282=AXIS2_PLACEMENT_3D('',#524037,#406100,#406101); #359283=AXIS2_PLACEMENT_3D('',#524043,#406105,#406106); #359284=AXIS2_PLACEMENT_3D('',#524049,#406110,#406111); #359285=AXIS2_PLACEMENT_3D('',#524055,#406115,#406116); #359286=AXIS2_PLACEMENT_3D('',#524061,#406120,#406121); #359287=AXIS2_PLACEMENT_3D('',#524067,#406125,#406126); #359288=AXIS2_PLACEMENT_3D('',#524073,#406130,#406131); #359289=AXIS2_PLACEMENT_3D('',#524079,#406135,#406136); #359290=AXIS2_PLACEMENT_3D('',#524085,#406140,#406141); #359291=AXIS2_PLACEMENT_3D('',#524091,#406145,#406146); #359292=AXIS2_PLACEMENT_3D('',#524097,#406150,#406151); #359293=AXIS2_PLACEMENT_3D('',#524103,#406155,#406156); #359294=AXIS2_PLACEMENT_3D('',#524106,#406159,#406160); #359295=AXIS2_PLACEMENT_3D('',#524107,#406161,#406162); #359296=AXIS2_PLACEMENT_3D('',#524108,#406163,#406164); #359297=AXIS2_PLACEMENT_3D('',#524117,#406169,#406170); #359298=AXIS2_PLACEMENT_3D('',#524123,#406174,#406175); #359299=AXIS2_PLACEMENT_3D('',#524129,#406179,#406180); #359300=AXIS2_PLACEMENT_3D('',#524135,#406184,#406185); #359301=AXIS2_PLACEMENT_3D('',#524141,#406189,#406190); #359302=AXIS2_PLACEMENT_3D('',#524147,#406194,#406195); #359303=AXIS2_PLACEMENT_3D('',#524153,#406199,#406200); #359304=AXIS2_PLACEMENT_3D('',#524159,#406204,#406205); #359305=AXIS2_PLACEMENT_3D('',#524165,#406209,#406210); #359306=AXIS2_PLACEMENT_3D('',#524171,#406214,#406215); #359307=AXIS2_PLACEMENT_3D('',#524177,#406219,#406220); #359308=AXIS2_PLACEMENT_3D('',#524183,#406224,#406225); #359309=AXIS2_PLACEMENT_3D('',#524189,#406229,#406230); #359310=AXIS2_PLACEMENT_3D('',#524195,#406234,#406235); #359311=AXIS2_PLACEMENT_3D('',#524201,#406239,#406240); #359312=AXIS2_PLACEMENT_3D('',#524207,#406244,#406245); #359313=AXIS2_PLACEMENT_3D('',#524213,#406249,#406250); #359314=AXIS2_PLACEMENT_3D('',#524219,#406254,#406255); #359315=AXIS2_PLACEMENT_3D('',#524222,#406258,#406259); #359316=AXIS2_PLACEMENT_3D('',#524223,#406260,#406261); #359317=AXIS2_PLACEMENT_3D('',#524224,#406262,#406263); #359318=AXIS2_PLACEMENT_3D('',#524226,#406264,#406265); #359319=AXIS2_PLACEMENT_3D('',#524229,#406267,#406268); #359320=AXIS2_PLACEMENT_3D('',#524230,#406269,#406270); #359321=AXIS2_PLACEMENT_3D('',#524232,#406271,#406272); #359322=AXIS2_PLACEMENT_3D('',#524235,#406274,#406275); #359323=AXIS2_PLACEMENT_3D('',#524236,#406276,#406277); #359324=AXIS2_PLACEMENT_3D('',#524238,#406278,#406279); #359325=AXIS2_PLACEMENT_3D('',#524241,#406281,#406282); #359326=AXIS2_PLACEMENT_3D('',#524242,#406283,#406284); #359327=AXIS2_PLACEMENT_3D('',#524244,#406285,#406286); #359328=AXIS2_PLACEMENT_3D('',#524247,#406288,#406289); #359329=AXIS2_PLACEMENT_3D('',#524248,#406290,#406291); #359330=AXIS2_PLACEMENT_3D('',#524250,#406292,#406293); #359331=AXIS2_PLACEMENT_3D('',#524253,#406295,#406296); #359332=AXIS2_PLACEMENT_3D('',#524254,#406297,#406298); #359333=AXIS2_PLACEMENT_3D('',#524256,#406299,#406300); #359334=AXIS2_PLACEMENT_3D('',#524259,#406302,#406303); #359335=AXIS2_PLACEMENT_3D('',#524260,#406304,#406305); #359336=AXIS2_PLACEMENT_3D('',#524262,#406306,#406307); #359337=AXIS2_PLACEMENT_3D('',#524265,#406309,#406310); #359338=AXIS2_PLACEMENT_3D('',#524266,#406311,#406312); #359339=AXIS2_PLACEMENT_3D('',#524268,#406313,#406314); #359340=AXIS2_PLACEMENT_3D('',#524271,#406316,#406317); #359341=AXIS2_PLACEMENT_3D('',#524272,#406318,#406319); #359342=AXIS2_PLACEMENT_3D('',#524274,#406320,#406321); #359343=AXIS2_PLACEMENT_3D('',#524277,#406323,#406324); #359344=AXIS2_PLACEMENT_3D('',#524278,#406325,#406326); #359345=AXIS2_PLACEMENT_3D('',#524280,#406327,#406328); #359346=AXIS2_PLACEMENT_3D('',#524283,#406330,#406331); #359347=AXIS2_PLACEMENT_3D('',#524284,#406332,#406333); #359348=AXIS2_PLACEMENT_3D('',#524286,#406334,#406335); #359349=AXIS2_PLACEMENT_3D('',#524289,#406337,#406338); #359350=AXIS2_PLACEMENT_3D('',#524290,#406339,#406340); #359351=AXIS2_PLACEMENT_3D('',#524292,#406341,#406342); #359352=AXIS2_PLACEMENT_3D('',#524295,#406344,#406345); #359353=AXIS2_PLACEMENT_3D('',#524296,#406346,#406347); #359354=AXIS2_PLACEMENT_3D('',#524298,#406348,#406349); #359355=AXIS2_PLACEMENT_3D('',#524301,#406351,#406352); #359356=AXIS2_PLACEMENT_3D('',#524302,#406353,#406354); #359357=AXIS2_PLACEMENT_3D('',#524304,#406355,#406356); #359358=AXIS2_PLACEMENT_3D('',#524307,#406358,#406359); #359359=AXIS2_PLACEMENT_3D('',#524308,#406360,#406361); #359360=AXIS2_PLACEMENT_3D('',#524310,#406362,#406363); #359361=AXIS2_PLACEMENT_3D('',#524313,#406365,#406366); #359362=AXIS2_PLACEMENT_3D('',#524314,#406367,#406368); #359363=AXIS2_PLACEMENT_3D('',#524316,#406369,#406370); #359364=AXIS2_PLACEMENT_3D('',#524319,#406372,#406373); #359365=AXIS2_PLACEMENT_3D('',#524320,#406374,#406375); #359366=AXIS2_PLACEMENT_3D('',#524322,#406376,#406377); #359367=AXIS2_PLACEMENT_3D('',#524325,#406379,#406380); #359368=AXIS2_PLACEMENT_3D('',#524326,#406381,#406382); #359369=AXIS2_PLACEMENT_3D('',#524328,#406383,#406384); #359370=AXIS2_PLACEMENT_3D('',#524331,#406386,#406387); #359371=AXIS2_PLACEMENT_3D('',#524332,#406388,#406389); #359372=AXIS2_PLACEMENT_3D('',#524334,#406390,#406391); #359373=AXIS2_PLACEMENT_3D('',#524337,#406393,#406394); #359374=AXIS2_PLACEMENT_3D('',#524338,#406395,#406396); #359375=AXIS2_PLACEMENT_3D('',#524340,#406397,#406398); #359376=AXIS2_PLACEMENT_3D('',#524343,#406400,#406401); #359377=AXIS2_PLACEMENT_3D('',#524344,#406402,#406403); #359378=AXIS2_PLACEMENT_3D('',#524346,#406404,#406405); #359379=AXIS2_PLACEMENT_3D('',#524349,#406407,#406408); #359380=AXIS2_PLACEMENT_3D('',#524350,#406409,#406410); #359381=AXIS2_PLACEMENT_3D('',#524352,#406411,#406412); #359382=AXIS2_PLACEMENT_3D('',#524355,#406414,#406415); #359383=AXIS2_PLACEMENT_3D('',#524356,#406416,#406417); #359384=AXIS2_PLACEMENT_3D('',#524358,#406418,#406419); #359385=AXIS2_PLACEMENT_3D('',#524361,#406421,#406422); #359386=AXIS2_PLACEMENT_3D('',#524362,#406423,#406424); #359387=AXIS2_PLACEMENT_3D('',#524364,#406425,#406426); #359388=AXIS2_PLACEMENT_3D('',#524367,#406428,#406429); #359389=AXIS2_PLACEMENT_3D('',#524368,#406430,#406431); #359390=AXIS2_PLACEMENT_3D('',#524370,#406432,#406433); #359391=AXIS2_PLACEMENT_3D('',#524373,#406435,#406436); #359392=AXIS2_PLACEMENT_3D('',#524374,#406437,#406438); #359393=AXIS2_PLACEMENT_3D('',#524376,#406439,#406440); #359394=AXIS2_PLACEMENT_3D('',#524379,#406442,#406443); #359395=AXIS2_PLACEMENT_3D('',#524380,#406444,#406445); #359396=AXIS2_PLACEMENT_3D('',#524382,#406446,#406447); #359397=AXIS2_PLACEMENT_3D('',#524385,#406449,#406450); #359398=AXIS2_PLACEMENT_3D('',#524386,#406451,#406452); #359399=AXIS2_PLACEMENT_3D('',#524388,#406453,#406454); #359400=AXIS2_PLACEMENT_3D('',#524391,#406456,#406457); #359401=AXIS2_PLACEMENT_3D('',#524392,#406458,#406459); #359402=AXIS2_PLACEMENT_3D('',#524394,#406460,#406461); #359403=AXIS2_PLACEMENT_3D('',#524397,#406463,#406464); #359404=AXIS2_PLACEMENT_3D('',#524398,#406465,#406466); #359405=AXIS2_PLACEMENT_3D('',#524400,#406467,#406468); #359406=AXIS2_PLACEMENT_3D('',#524403,#406470,#406471); #359407=AXIS2_PLACEMENT_3D('',#524404,#406472,#406473); #359408=AXIS2_PLACEMENT_3D('',#524406,#406474,#406475); #359409=AXIS2_PLACEMENT_3D('',#524409,#406477,#406478); #359410=AXIS2_PLACEMENT_3D('',#524410,#406479,#406480); #359411=AXIS2_PLACEMENT_3D('',#524412,#406481,#406482); #359412=AXIS2_PLACEMENT_3D('',#524415,#406484,#406485); #359413=AXIS2_PLACEMENT_3D('',#524416,#406486,#406487); #359414=AXIS2_PLACEMENT_3D('',#524418,#406488,#406489); #359415=AXIS2_PLACEMENT_3D('',#524421,#406491,#406492); #359416=AXIS2_PLACEMENT_3D('',#524422,#406493,#406494); #359417=AXIS2_PLACEMENT_3D('',#524424,#406495,#406496); #359418=AXIS2_PLACEMENT_3D('',#524427,#406498,#406499); #359419=AXIS2_PLACEMENT_3D('',#524428,#406500,#406501); #359420=AXIS2_PLACEMENT_3D('',#524430,#406502,#406503); #359421=AXIS2_PLACEMENT_3D('',#524433,#406505,#406506); #359422=AXIS2_PLACEMENT_3D('',#524434,#406507,#406508); #359423=AXIS2_PLACEMENT_3D('',#524436,#406509,#406510); #359424=AXIS2_PLACEMENT_3D('',#524439,#406512,#406513); #359425=AXIS2_PLACEMENT_3D('',#524440,#406514,#406515); #359426=AXIS2_PLACEMENT_3D('',#524442,#406516,#406517); #359427=AXIS2_PLACEMENT_3D('',#524445,#406519,#406520); #359428=AXIS2_PLACEMENT_3D('',#524446,#406521,#406522); #359429=AXIS2_PLACEMENT_3D('',#524448,#406523,#406524); #359430=AXIS2_PLACEMENT_3D('',#524451,#406526,#406527); #359431=AXIS2_PLACEMENT_3D('',#524452,#406528,#406529); #359432=AXIS2_PLACEMENT_3D('',#524454,#406530,#406531); #359433=AXIS2_PLACEMENT_3D('',#524457,#406533,#406534); #359434=AXIS2_PLACEMENT_3D('',#524458,#406535,#406536); #359435=AXIS2_PLACEMENT_3D('',#524460,#406537,#406538); #359436=AXIS2_PLACEMENT_3D('',#524463,#406540,#406541); #359437=AXIS2_PLACEMENT_3D('',#524464,#406542,#406543); #359438=AXIS2_PLACEMENT_3D('',#524466,#406544,#406545); #359439=AXIS2_PLACEMENT_3D('',#524469,#406547,#406548); #359440=AXIS2_PLACEMENT_3D('',#524470,#406549,#406550); #359441=AXIS2_PLACEMENT_3D('',#524472,#406551,#406552); #359442=AXIS2_PLACEMENT_3D('',#524475,#406554,#406555); #359443=AXIS2_PLACEMENT_3D('',#524476,#406556,#406557); #359444=AXIS2_PLACEMENT_3D('',#524478,#406558,#406559); #359445=AXIS2_PLACEMENT_3D('',#524481,#406561,#406562); #359446=AXIS2_PLACEMENT_3D('',#524482,#406563,#406564); #359447=AXIS2_PLACEMENT_3D('',#524484,#406565,#406566); #359448=AXIS2_PLACEMENT_3D('',#524487,#406568,#406569); #359449=AXIS2_PLACEMENT_3D('',#524488,#406570,#406571); #359450=AXIS2_PLACEMENT_3D('',#524490,#406572,#406573); #359451=AXIS2_PLACEMENT_3D('',#524493,#406575,#406576); #359452=AXIS2_PLACEMENT_3D('',#524494,#406577,#406578); #359453=AXIS2_PLACEMENT_3D('',#524496,#406579,#406580); #359454=AXIS2_PLACEMENT_3D('',#524499,#406582,#406583); #359455=AXIS2_PLACEMENT_3D('',#524500,#406584,#406585); #359456=AXIS2_PLACEMENT_3D('',#524502,#406586,#406587); #359457=AXIS2_PLACEMENT_3D('',#524505,#406589,#406590); #359458=AXIS2_PLACEMENT_3D('',#524506,#406591,#406592); #359459=AXIS2_PLACEMENT_3D('',#524508,#406593,#406594); #359460=AXIS2_PLACEMENT_3D('',#524511,#406596,#406597); #359461=AXIS2_PLACEMENT_3D('',#524512,#406598,#406599); #359462=AXIS2_PLACEMENT_3D('',#524514,#406600,#406601); #359463=AXIS2_PLACEMENT_3D('',#524517,#406603,#406604); #359464=AXIS2_PLACEMENT_3D('',#524518,#406605,#406606); #359465=AXIS2_PLACEMENT_3D('',#524527,#406611,#406612); #359466=AXIS2_PLACEMENT_3D('',#524533,#406616,#406617); #359467=AXIS2_PLACEMENT_3D('',#524539,#406621,#406622); #359468=AXIS2_PLACEMENT_3D('',#524545,#406626,#406627); #359469=AXIS2_PLACEMENT_3D('',#524551,#406631,#406632); #359470=AXIS2_PLACEMENT_3D('',#524557,#406636,#406637); #359471=AXIS2_PLACEMENT_3D('',#524563,#406641,#406642); #359472=AXIS2_PLACEMENT_3D('',#524569,#406646,#406647); #359473=AXIS2_PLACEMENT_3D('',#524575,#406651,#406652); #359474=AXIS2_PLACEMENT_3D('',#524581,#406656,#406657); #359475=AXIS2_PLACEMENT_3D('',#524587,#406661,#406662); #359476=AXIS2_PLACEMENT_3D('',#524593,#406666,#406667); #359477=AXIS2_PLACEMENT_3D('',#524599,#406671,#406672); #359478=AXIS2_PLACEMENT_3D('',#524605,#406676,#406677); #359479=AXIS2_PLACEMENT_3D('',#524611,#406681,#406682); #359480=AXIS2_PLACEMENT_3D('',#524617,#406686,#406687); #359481=AXIS2_PLACEMENT_3D('',#524623,#406691,#406692); #359482=AXIS2_PLACEMENT_3D('',#524629,#406696,#406697); #359483=AXIS2_PLACEMENT_3D('',#524635,#406701,#406702); #359484=AXIS2_PLACEMENT_3D('',#524641,#406706,#406707); #359485=AXIS2_PLACEMENT_3D('',#524647,#406711,#406712); #359486=AXIS2_PLACEMENT_3D('',#524653,#406716,#406717); #359487=AXIS2_PLACEMENT_3D('',#524659,#406721,#406722); #359488=AXIS2_PLACEMENT_3D('',#524665,#406726,#406727); #359489=AXIS2_PLACEMENT_3D('',#524671,#406731,#406732); #359490=AXIS2_PLACEMENT_3D('',#524677,#406736,#406737); #359491=AXIS2_PLACEMENT_3D('',#524683,#406741,#406742); #359492=AXIS2_PLACEMENT_3D('',#524689,#406746,#406747); #359493=AXIS2_PLACEMENT_3D('',#524695,#406751,#406752); #359494=AXIS2_PLACEMENT_3D('',#524701,#406756,#406757); #359495=AXIS2_PLACEMENT_3D('',#524707,#406761,#406762); #359496=AXIS2_PLACEMENT_3D('',#524713,#406766,#406767); #359497=AXIS2_PLACEMENT_3D('',#524719,#406771,#406772); #359498=AXIS2_PLACEMENT_3D('',#524725,#406776,#406777); #359499=AXIS2_PLACEMENT_3D('',#524731,#406781,#406782); #359500=AXIS2_PLACEMENT_3D('',#524737,#406786,#406787); #359501=AXIS2_PLACEMENT_3D('',#524743,#406791,#406792); #359502=AXIS2_PLACEMENT_3D('',#524749,#406796,#406797); #359503=AXIS2_PLACEMENT_3D('',#524755,#406801,#406802); #359504=AXIS2_PLACEMENT_3D('',#524761,#406806,#406807); #359505=AXIS2_PLACEMENT_3D('',#524767,#406811,#406812); #359506=AXIS2_PLACEMENT_3D('',#524773,#406816,#406817); #359507=AXIS2_PLACEMENT_3D('',#524779,#406821,#406822); #359508=AXIS2_PLACEMENT_3D('',#524785,#406826,#406827); #359509=AXIS2_PLACEMENT_3D('',#524791,#406831,#406832); #359510=AXIS2_PLACEMENT_3D('',#524797,#406836,#406837); #359511=AXIS2_PLACEMENT_3D('',#524803,#406841,#406842); #359512=AXIS2_PLACEMENT_3D('',#524809,#406846,#406847); #359513=AXIS2_PLACEMENT_3D('',#524815,#406851,#406852); #359514=AXIS2_PLACEMENT_3D('',#524821,#406856,#406857); #359515=AXIS2_PLACEMENT_3D('',#524827,#406861,#406862); #359516=AXIS2_PLACEMENT_3D('',#524833,#406866,#406867); #359517=AXIS2_PLACEMENT_3D('',#524839,#406871,#406872); #359518=AXIS2_PLACEMENT_3D('',#524845,#406876,#406877); #359519=AXIS2_PLACEMENT_3D('',#524851,#406881,#406882); #359520=AXIS2_PLACEMENT_3D('',#524857,#406886,#406887); #359521=AXIS2_PLACEMENT_3D('',#524863,#406891,#406892); #359522=AXIS2_PLACEMENT_3D('',#524869,#406896,#406897); #359523=AXIS2_PLACEMENT_3D('',#524875,#406901,#406902); #359524=AXIS2_PLACEMENT_3D('',#524881,#406906,#406907); #359525=AXIS2_PLACEMENT_3D('',#524887,#406911,#406912); #359526=AXIS2_PLACEMENT_3D('',#524893,#406916,#406917); #359527=AXIS2_PLACEMENT_3D('',#524899,#406921,#406922); #359528=AXIS2_PLACEMENT_3D('',#524905,#406926,#406927); #359529=AXIS2_PLACEMENT_3D('',#524911,#406931,#406932); #359530=AXIS2_PLACEMENT_3D('',#524917,#406936,#406937); #359531=AXIS2_PLACEMENT_3D('',#524923,#406941,#406942); #359532=AXIS2_PLACEMENT_3D('',#524929,#406946,#406947); #359533=AXIS2_PLACEMENT_3D('',#524935,#406951,#406952); #359534=AXIS2_PLACEMENT_3D('',#524941,#406956,#406957); #359535=AXIS2_PLACEMENT_3D('',#524947,#406961,#406962); #359536=AXIS2_PLACEMENT_3D('',#524953,#406966,#406967); #359537=AXIS2_PLACEMENT_3D('',#524959,#406971,#406972); #359538=AXIS2_PLACEMENT_3D('',#524965,#406976,#406977); #359539=AXIS2_PLACEMENT_3D('',#524971,#406981,#406982); #359540=AXIS2_PLACEMENT_3D('',#524977,#406986,#406987); #359541=AXIS2_PLACEMENT_3D('',#524983,#406991,#406992); #359542=AXIS2_PLACEMENT_3D('',#524989,#406996,#406997); #359543=AXIS2_PLACEMENT_3D('',#524995,#407001,#407002); #359544=AXIS2_PLACEMENT_3D('',#525001,#407006,#407007); #359545=AXIS2_PLACEMENT_3D('',#525007,#407011,#407012); #359546=AXIS2_PLACEMENT_3D('',#525013,#407016,#407017); #359547=AXIS2_PLACEMENT_3D('',#525019,#407021,#407022); #359548=AXIS2_PLACEMENT_3D('',#525025,#407026,#407027); #359549=AXIS2_PLACEMENT_3D('',#525031,#407031,#407032); #359550=AXIS2_PLACEMENT_3D('',#525037,#407036,#407037); #359551=AXIS2_PLACEMENT_3D('',#525043,#407041,#407042); #359552=AXIS2_PLACEMENT_3D('',#525049,#407046,#407047); #359553=AXIS2_PLACEMENT_3D('',#525055,#407051,#407052); #359554=AXIS2_PLACEMENT_3D('',#525061,#407056,#407057); #359555=AXIS2_PLACEMENT_3D('',#525067,#407061,#407062); #359556=AXIS2_PLACEMENT_3D('',#525073,#407066,#407067); #359557=AXIS2_PLACEMENT_3D('',#525079,#407071,#407072); #359558=AXIS2_PLACEMENT_3D('',#525085,#407076,#407077); #359559=AXIS2_PLACEMENT_3D('',#525091,#407081,#407082); #359560=AXIS2_PLACEMENT_3D('',#525097,#407086,#407087); #359561=AXIS2_PLACEMENT_3D('',#525103,#407091,#407092); #359562=AXIS2_PLACEMENT_3D('',#525109,#407096,#407097); #359563=AXIS2_PLACEMENT_3D('',#525115,#407101,#407102); #359564=AXIS2_PLACEMENT_3D('',#525121,#407106,#407107); #359565=AXIS2_PLACEMENT_3D('',#525127,#407111,#407112); #359566=AXIS2_PLACEMENT_3D('',#525133,#407116,#407117); #359567=AXIS2_PLACEMENT_3D('',#525139,#407121,#407122); #359568=AXIS2_PLACEMENT_3D('',#525145,#407126,#407127); #359569=AXIS2_PLACEMENT_3D('',#525151,#407131,#407132); #359570=AXIS2_PLACEMENT_3D('',#525157,#407136,#407137); #359571=AXIS2_PLACEMENT_3D('',#525163,#407141,#407142); #359572=AXIS2_PLACEMENT_3D('',#525169,#407146,#407147); #359573=AXIS2_PLACEMENT_3D('',#525175,#407151,#407152); #359574=AXIS2_PLACEMENT_3D('',#525181,#407156,#407157); #359575=AXIS2_PLACEMENT_3D('',#525187,#407161,#407162); #359576=AXIS2_PLACEMENT_3D('',#525193,#407166,#407167); #359577=AXIS2_PLACEMENT_3D('',#525199,#407171,#407172); #359578=AXIS2_PLACEMENT_3D('',#525205,#407176,#407177); #359579=AXIS2_PLACEMENT_3D('',#525211,#407181,#407182); #359580=AXIS2_PLACEMENT_3D('',#525217,#407186,#407187); #359581=AXIS2_PLACEMENT_3D('',#525223,#407191,#407192); #359582=AXIS2_PLACEMENT_3D('',#525229,#407196,#407197); #359583=AXIS2_PLACEMENT_3D('',#525235,#407201,#407202); #359584=AXIS2_PLACEMENT_3D('',#525241,#407206,#407207); #359585=AXIS2_PLACEMENT_3D('',#525247,#407211,#407212); #359586=AXIS2_PLACEMENT_3D('',#525253,#407216,#407217); #359587=AXIS2_PLACEMENT_3D('',#525259,#407221,#407222); #359588=AXIS2_PLACEMENT_3D('',#525265,#407226,#407227); #359589=AXIS2_PLACEMENT_3D('',#525271,#407231,#407232); #359590=AXIS2_PLACEMENT_3D('',#525277,#407236,#407237); #359591=AXIS2_PLACEMENT_3D('',#525283,#407241,#407242); #359592=AXIS2_PLACEMENT_3D('',#525289,#407246,#407247); #359593=AXIS2_PLACEMENT_3D('',#525295,#407251,#407252); #359594=AXIS2_PLACEMENT_3D('',#525301,#407256,#407257); #359595=AXIS2_PLACEMENT_3D('',#525307,#407261,#407262); #359596=AXIS2_PLACEMENT_3D('',#525313,#407266,#407267); #359597=AXIS2_PLACEMENT_3D('',#525319,#407271,#407272); #359598=AXIS2_PLACEMENT_3D('',#525325,#407276,#407277); #359599=AXIS2_PLACEMENT_3D('',#525331,#407281,#407282); #359600=AXIS2_PLACEMENT_3D('',#525337,#407286,#407287); #359601=AXIS2_PLACEMENT_3D('',#525343,#407291,#407292); #359602=AXIS2_PLACEMENT_3D('',#525349,#407296,#407297); #359603=AXIS2_PLACEMENT_3D('',#525355,#407301,#407302); #359604=AXIS2_PLACEMENT_3D('',#525361,#407306,#407307); #359605=AXIS2_PLACEMENT_3D('',#525367,#407311,#407312); #359606=AXIS2_PLACEMENT_3D('',#525373,#407316,#407317); #359607=AXIS2_PLACEMENT_3D('',#525379,#407321,#407322); #359608=AXIS2_PLACEMENT_3D('',#525385,#407326,#407327); #359609=AXIS2_PLACEMENT_3D('',#525391,#407331,#407332); #359610=AXIS2_PLACEMENT_3D('',#525397,#407336,#407337); #359611=AXIS2_PLACEMENT_3D('',#525403,#407341,#407342); #359612=AXIS2_PLACEMENT_3D('',#525409,#407346,#407347); #359613=AXIS2_PLACEMENT_3D('',#525415,#407351,#407352); #359614=AXIS2_PLACEMENT_3D('',#525421,#407356,#407357); #359615=AXIS2_PLACEMENT_3D('',#525427,#407361,#407362); #359616=AXIS2_PLACEMENT_3D('',#525433,#407366,#407367); #359617=AXIS2_PLACEMENT_3D('',#525439,#407371,#407372); #359618=AXIS2_PLACEMENT_3D('',#525445,#407376,#407377); #359619=AXIS2_PLACEMENT_3D('',#525451,#407381,#407382); #359620=AXIS2_PLACEMENT_3D('',#525457,#407386,#407387); #359621=AXIS2_PLACEMENT_3D('',#525463,#407391,#407392); #359622=AXIS2_PLACEMENT_3D('',#525469,#407396,#407397); #359623=AXIS2_PLACEMENT_3D('',#525475,#407401,#407402); #359624=AXIS2_PLACEMENT_3D('',#525481,#407406,#407407); #359625=AXIS2_PLACEMENT_3D('',#525487,#407411,#407412); #359626=AXIS2_PLACEMENT_3D('',#525493,#407416,#407417); #359627=AXIS2_PLACEMENT_3D('',#525499,#407421,#407422); #359628=AXIS2_PLACEMENT_3D('',#525505,#407426,#407427); #359629=AXIS2_PLACEMENT_3D('',#525511,#407431,#407432); #359630=AXIS2_PLACEMENT_3D('',#525517,#407436,#407437); #359631=AXIS2_PLACEMENT_3D('',#525523,#407441,#407442); #359632=AXIS2_PLACEMENT_3D('',#525529,#407446,#407447); #359633=AXIS2_PLACEMENT_3D('',#525535,#407451,#407452); #359634=AXIS2_PLACEMENT_3D('',#525541,#407456,#407457); #359635=AXIS2_PLACEMENT_3D('',#525547,#407461,#407462); #359636=AXIS2_PLACEMENT_3D('',#525553,#407466,#407467); #359637=AXIS2_PLACEMENT_3D('',#525559,#407471,#407472); #359638=AXIS2_PLACEMENT_3D('',#525565,#407476,#407477); #359639=AXIS2_PLACEMENT_3D('',#525571,#407481,#407482); #359640=AXIS2_PLACEMENT_3D('',#525577,#407486,#407487); #359641=AXIS2_PLACEMENT_3D('',#525583,#407491,#407492); #359642=AXIS2_PLACEMENT_3D('',#525589,#407496,#407497); #359643=AXIS2_PLACEMENT_3D('',#525595,#407501,#407502); #359644=AXIS2_PLACEMENT_3D('',#525601,#407506,#407507); #359645=AXIS2_PLACEMENT_3D('',#525607,#407511,#407512); #359646=AXIS2_PLACEMENT_3D('',#525613,#407516,#407517); #359647=AXIS2_PLACEMENT_3D('',#525619,#407521,#407522); #359648=AXIS2_PLACEMENT_3D('',#525625,#407526,#407527); #359649=AXIS2_PLACEMENT_3D('',#525631,#407531,#407532); #359650=AXIS2_PLACEMENT_3D('',#525637,#407536,#407537); #359651=AXIS2_PLACEMENT_3D('',#525643,#407541,#407542); #359652=AXIS2_PLACEMENT_3D('',#525649,#407546,#407547); #359653=AXIS2_PLACEMENT_3D('',#525655,#407551,#407552); #359654=AXIS2_PLACEMENT_3D('',#525661,#407556,#407557); #359655=AXIS2_PLACEMENT_3D('',#525667,#407561,#407562); #359656=AXIS2_PLACEMENT_3D('',#525673,#407566,#407567); #359657=AXIS2_PLACEMENT_3D('',#525679,#407571,#407572); #359658=AXIS2_PLACEMENT_3D('',#525685,#407576,#407577); #359659=AXIS2_PLACEMENT_3D('',#525691,#407581,#407582); #359660=AXIS2_PLACEMENT_3D('',#525697,#407586,#407587); #359661=AXIS2_PLACEMENT_3D('',#525703,#407591,#407592); #359662=AXIS2_PLACEMENT_3D('',#525709,#407596,#407597); #359663=AXIS2_PLACEMENT_3D('',#525715,#407601,#407602); #359664=AXIS2_PLACEMENT_3D('',#525721,#407606,#407607); #359665=AXIS2_PLACEMENT_3D('',#525727,#407611,#407612); #359666=AXIS2_PLACEMENT_3D('',#525733,#407616,#407617); #359667=AXIS2_PLACEMENT_3D('',#525739,#407621,#407622); #359668=AXIS2_PLACEMENT_3D('',#525745,#407626,#407627); #359669=AXIS2_PLACEMENT_3D('',#525751,#407631,#407632); #359670=AXIS2_PLACEMENT_3D('',#525757,#407636,#407637); #359671=AXIS2_PLACEMENT_3D('',#525763,#407641,#407642); #359672=AXIS2_PLACEMENT_3D('',#525769,#407646,#407647); #359673=AXIS2_PLACEMENT_3D('',#525775,#407651,#407652); #359674=AXIS2_PLACEMENT_3D('',#525781,#407656,#407657); #359675=AXIS2_PLACEMENT_3D('',#525787,#407661,#407662); #359676=AXIS2_PLACEMENT_3D('',#525793,#407666,#407667); #359677=AXIS2_PLACEMENT_3D('',#525799,#407671,#407672); #359678=AXIS2_PLACEMENT_3D('',#525805,#407676,#407677); #359679=AXIS2_PLACEMENT_3D('',#525811,#407681,#407682); #359680=AXIS2_PLACEMENT_3D('',#525817,#407686,#407687); #359681=AXIS2_PLACEMENT_3D('',#525823,#407691,#407692); #359682=AXIS2_PLACEMENT_3D('',#525829,#407696,#407697); #359683=AXIS2_PLACEMENT_3D('',#525835,#407701,#407702); #359684=AXIS2_PLACEMENT_3D('',#525841,#407706,#407707); #359685=AXIS2_PLACEMENT_3D('',#525847,#407711,#407712); #359686=AXIS2_PLACEMENT_3D('',#525853,#407716,#407717); #359687=AXIS2_PLACEMENT_3D('',#525859,#407721,#407722); #359688=AXIS2_PLACEMENT_3D('',#525865,#407726,#407727); #359689=AXIS2_PLACEMENT_3D('',#525871,#407731,#407732); #359690=AXIS2_PLACEMENT_3D('',#525877,#407736,#407737); #359691=AXIS2_PLACEMENT_3D('',#525883,#407741,#407742); #359692=AXIS2_PLACEMENT_3D('',#525889,#407746,#407747); #359693=AXIS2_PLACEMENT_3D('',#525895,#407751,#407752); #359694=AXIS2_PLACEMENT_3D('',#525901,#407756,#407757); #359695=AXIS2_PLACEMENT_3D('',#525907,#407761,#407762); #359696=AXIS2_PLACEMENT_3D('',#525913,#407766,#407767); #359697=AXIS2_PLACEMENT_3D('',#525919,#407771,#407772); #359698=AXIS2_PLACEMENT_3D('',#525925,#407776,#407777); #359699=AXIS2_PLACEMENT_3D('',#525931,#407781,#407782); #359700=AXIS2_PLACEMENT_3D('',#525937,#407786,#407787); #359701=AXIS2_PLACEMENT_3D('',#525943,#407791,#407792); #359702=AXIS2_PLACEMENT_3D('',#525949,#407796,#407797); #359703=AXIS2_PLACEMENT_3D('',#525955,#407801,#407802); #359704=AXIS2_PLACEMENT_3D('',#525961,#407806,#407807); #359705=AXIS2_PLACEMENT_3D('',#525967,#407811,#407812); #359706=AXIS2_PLACEMENT_3D('',#525973,#407816,#407817); #359707=AXIS2_PLACEMENT_3D('',#525979,#407821,#407822); #359708=AXIS2_PLACEMENT_3D('',#525985,#407826,#407827); #359709=AXIS2_PLACEMENT_3D('',#525991,#407831,#407832); #359710=AXIS2_PLACEMENT_3D('',#525997,#407836,#407837); #359711=AXIS2_PLACEMENT_3D('',#526003,#407841,#407842); #359712=AXIS2_PLACEMENT_3D('',#526009,#407846,#407847); #359713=AXIS2_PLACEMENT_3D('',#526015,#407851,#407852); #359714=AXIS2_PLACEMENT_3D('',#526021,#407856,#407857); #359715=AXIS2_PLACEMENT_3D('',#526027,#407861,#407862); #359716=AXIS2_PLACEMENT_3D('',#526033,#407866,#407867); #359717=AXIS2_PLACEMENT_3D('',#526039,#407871,#407872); #359718=AXIS2_PLACEMENT_3D('',#526045,#407876,#407877); #359719=AXIS2_PLACEMENT_3D('',#526051,#407881,#407882); #359720=AXIS2_PLACEMENT_3D('',#526057,#407886,#407887); #359721=AXIS2_PLACEMENT_3D('',#526063,#407891,#407892); #359722=AXIS2_PLACEMENT_3D('',#526069,#407896,#407897); #359723=AXIS2_PLACEMENT_3D('',#526075,#407901,#407902); #359724=AXIS2_PLACEMENT_3D('',#526081,#407906,#407907); #359725=AXIS2_PLACEMENT_3D('',#526087,#407911,#407912); #359726=AXIS2_PLACEMENT_3D('',#526093,#407916,#407917); #359727=AXIS2_PLACEMENT_3D('',#526099,#407921,#407922); #359728=AXIS2_PLACEMENT_3D('',#526105,#407926,#407927); #359729=AXIS2_PLACEMENT_3D('',#526111,#407931,#407932); #359730=AXIS2_PLACEMENT_3D('',#526117,#407936,#407937); #359731=AXIS2_PLACEMENT_3D('',#526123,#407941,#407942); #359732=AXIS2_PLACEMENT_3D('',#526129,#407946,#407947); #359733=AXIS2_PLACEMENT_3D('',#526135,#407951,#407952); #359734=AXIS2_PLACEMENT_3D('',#526141,#407956,#407957); #359735=AXIS2_PLACEMENT_3D('',#526147,#407961,#407962); #359736=AXIS2_PLACEMENT_3D('',#526153,#407966,#407967); #359737=AXIS2_PLACEMENT_3D('',#526159,#407971,#407972); #359738=AXIS2_PLACEMENT_3D('',#526165,#407976,#407977); #359739=AXIS2_PLACEMENT_3D('',#526171,#407981,#407982); #359740=AXIS2_PLACEMENT_3D('',#526177,#407986,#407987); #359741=AXIS2_PLACEMENT_3D('',#526183,#407991,#407992); #359742=AXIS2_PLACEMENT_3D('',#526189,#407996,#407997); #359743=AXIS2_PLACEMENT_3D('',#526195,#408001,#408002); #359744=AXIS2_PLACEMENT_3D('',#526201,#408006,#408007); #359745=AXIS2_PLACEMENT_3D('',#526207,#408011,#408012); #359746=AXIS2_PLACEMENT_3D('',#526213,#408016,#408017); #359747=AXIS2_PLACEMENT_3D('',#526219,#408021,#408022); #359748=AXIS2_PLACEMENT_3D('',#526225,#408026,#408027); #359749=AXIS2_PLACEMENT_3D('',#526231,#408031,#408032); #359750=AXIS2_PLACEMENT_3D('',#526237,#408036,#408037); #359751=AXIS2_PLACEMENT_3D('',#526243,#408041,#408042); #359752=AXIS2_PLACEMENT_3D('',#526249,#408046,#408047); #359753=AXIS2_PLACEMENT_3D('',#526255,#408051,#408052); #359754=AXIS2_PLACEMENT_3D('',#526261,#408056,#408057); #359755=AXIS2_PLACEMENT_3D('',#526267,#408061,#408062); #359756=AXIS2_PLACEMENT_3D('',#526273,#408066,#408067); #359757=AXIS2_PLACEMENT_3D('',#526279,#408071,#408072); #359758=AXIS2_PLACEMENT_3D('',#526285,#408076,#408077); #359759=AXIS2_PLACEMENT_3D('',#526291,#408081,#408082); #359760=AXIS2_PLACEMENT_3D('',#526294,#408085,#408086); #359761=AXIS2_PLACEMENT_3D('',#526295,#408087,#408088); #359762=AXIS2_PLACEMENT_3D('',#526296,#408089,#408090); #359763=AXIS2_PLACEMENT_3D('',#526305,#408095,#408096); #359764=AXIS2_PLACEMENT_3D('',#526311,#408100,#408101); #359765=AXIS2_PLACEMENT_3D('',#526317,#408105,#408106); #359766=AXIS2_PLACEMENT_3D('',#526323,#408110,#408111); #359767=AXIS2_PLACEMENT_3D('',#526329,#408115,#408116); #359768=AXIS2_PLACEMENT_3D('',#526335,#408120,#408121); #359769=AXIS2_PLACEMENT_3D('',#526341,#408125,#408126); #359770=AXIS2_PLACEMENT_3D('',#526347,#408130,#408131); #359771=AXIS2_PLACEMENT_3D('',#526353,#408135,#408136); #359772=AXIS2_PLACEMENT_3D('',#526359,#408140,#408141); #359773=AXIS2_PLACEMENT_3D('',#526365,#408145,#408146); #359774=AXIS2_PLACEMENT_3D('',#526371,#408150,#408151); #359775=AXIS2_PLACEMENT_3D('',#526377,#408155,#408156); #359776=AXIS2_PLACEMENT_3D('',#526383,#408160,#408161); #359777=AXIS2_PLACEMENT_3D('',#526389,#408165,#408166); #359778=AXIS2_PLACEMENT_3D('',#526395,#408170,#408171); #359779=AXIS2_PLACEMENT_3D('',#526401,#408175,#408176); #359780=AXIS2_PLACEMENT_3D('',#526407,#408180,#408181); #359781=AXIS2_PLACEMENT_3D('',#526413,#408185,#408186); #359782=AXIS2_PLACEMENT_3D('',#526419,#408190,#408191); #359783=AXIS2_PLACEMENT_3D('',#526422,#408194,#408195); #359784=AXIS2_PLACEMENT_3D('',#526423,#408196,#408197); #359785=AXIS2_PLACEMENT_3D('',#526424,#408198,#408199); #359786=AXIS2_PLACEMENT_3D('',#526433,#408204,#408205); #359787=AXIS2_PLACEMENT_3D('',#526439,#408209,#408210); #359788=AXIS2_PLACEMENT_3D('',#526445,#408214,#408215); #359789=AXIS2_PLACEMENT_3D('',#526451,#408219,#408220); #359790=AXIS2_PLACEMENT_3D('',#526457,#408224,#408225); #359791=AXIS2_PLACEMENT_3D('',#526463,#408229,#408230); #359792=AXIS2_PLACEMENT_3D('',#526469,#408234,#408235); #359793=AXIS2_PLACEMENT_3D('',#526475,#408239,#408240); #359794=AXIS2_PLACEMENT_3D('',#526481,#408244,#408245); #359795=AXIS2_PLACEMENT_3D('',#526487,#408249,#408250); #359796=AXIS2_PLACEMENT_3D('',#526493,#408254,#408255); #359797=AXIS2_PLACEMENT_3D('',#526499,#408259,#408260); #359798=AXIS2_PLACEMENT_3D('',#526505,#408264,#408265); #359799=AXIS2_PLACEMENT_3D('',#526511,#408269,#408270); #359800=AXIS2_PLACEMENT_3D('',#526517,#408274,#408275); #359801=AXIS2_PLACEMENT_3D('',#526523,#408279,#408280); #359802=AXIS2_PLACEMENT_3D('',#526529,#408284,#408285); #359803=AXIS2_PLACEMENT_3D('',#526535,#408289,#408290); #359804=AXIS2_PLACEMENT_3D('',#526541,#408294,#408295); #359805=AXIS2_PLACEMENT_3D('',#526547,#408299,#408300); #359806=AXIS2_PLACEMENT_3D('',#526550,#408303,#408304); #359807=AXIS2_PLACEMENT_3D('',#526551,#408305,#408306); #359808=AXIS2_PLACEMENT_3D('',#526552,#408307,#408308); #359809=AXIS2_PLACEMENT_3D('',#526561,#408313,#408314); #359810=AXIS2_PLACEMENT_3D('',#526567,#408318,#408319); #359811=AXIS2_PLACEMENT_3D('',#526573,#408323,#408324); #359812=AXIS2_PLACEMENT_3D('',#526579,#408328,#408329); #359813=AXIS2_PLACEMENT_3D('',#526585,#408333,#408334); #359814=AXIS2_PLACEMENT_3D('',#526591,#408338,#408339); #359815=AXIS2_PLACEMENT_3D('',#526597,#408343,#408344); #359816=AXIS2_PLACEMENT_3D('',#526603,#408348,#408349); #359817=AXIS2_PLACEMENT_3D('',#526609,#408353,#408354); #359818=AXIS2_PLACEMENT_3D('',#526615,#408358,#408359); #359819=AXIS2_PLACEMENT_3D('',#526621,#408363,#408364); #359820=AXIS2_PLACEMENT_3D('',#526627,#408368,#408369); #359821=AXIS2_PLACEMENT_3D('',#526633,#408373,#408374); #359822=AXIS2_PLACEMENT_3D('',#526639,#408378,#408379); #359823=AXIS2_PLACEMENT_3D('',#526645,#408383,#408384); #359824=AXIS2_PLACEMENT_3D('',#526651,#408388,#408389); #359825=AXIS2_PLACEMENT_3D('',#526657,#408393,#408394); #359826=AXIS2_PLACEMENT_3D('',#526663,#408398,#408399); #359827=AXIS2_PLACEMENT_3D('',#526666,#408402,#408403); #359828=AXIS2_PLACEMENT_3D('',#526667,#408404,#408405); #359829=AXIS2_PLACEMENT_3D('',#526668,#408406,#408407); #359830=AXIS2_PLACEMENT_3D('',#526677,#408412,#408413); #359831=AXIS2_PLACEMENT_3D('',#526683,#408417,#408418); #359832=AXIS2_PLACEMENT_3D('',#526689,#408422,#408423); #359833=AXIS2_PLACEMENT_3D('',#526695,#408427,#408428); #359834=AXIS2_PLACEMENT_3D('',#526701,#408432,#408433); #359835=AXIS2_PLACEMENT_3D('',#526707,#408437,#408438); #359836=AXIS2_PLACEMENT_3D('',#526713,#408442,#408443); #359837=AXIS2_PLACEMENT_3D('',#526719,#408447,#408448); #359838=AXIS2_PLACEMENT_3D('',#526725,#408452,#408453); #359839=AXIS2_PLACEMENT_3D('',#526731,#408457,#408458); #359840=AXIS2_PLACEMENT_3D('',#526737,#408462,#408463); #359841=AXIS2_PLACEMENT_3D('',#526743,#408467,#408468); #359842=AXIS2_PLACEMENT_3D('',#526749,#408472,#408473); #359843=AXIS2_PLACEMENT_3D('',#526755,#408477,#408478); #359844=AXIS2_PLACEMENT_3D('',#526761,#408482,#408483); #359845=AXIS2_PLACEMENT_3D('',#526767,#408487,#408488); #359846=AXIS2_PLACEMENT_3D('',#526773,#408492,#408493); #359847=AXIS2_PLACEMENT_3D('',#526779,#408497,#408498); #359848=AXIS2_PLACEMENT_3D('',#526782,#408501,#408502); #359849=AXIS2_PLACEMENT_3D('',#526783,#408503,#408504); #359850=AXIS2_PLACEMENT_3D('',#526784,#408505,#408506); #359851=AXIS2_PLACEMENT_3D('',#526793,#408511,#408512); #359852=AXIS2_PLACEMENT_3D('',#526799,#408516,#408517); #359853=AXIS2_PLACEMENT_3D('',#526805,#408521,#408522); #359854=AXIS2_PLACEMENT_3D('',#526811,#408526,#408527); #359855=AXIS2_PLACEMENT_3D('',#526817,#408531,#408532); #359856=AXIS2_PLACEMENT_3D('',#526823,#408536,#408537); #359857=AXIS2_PLACEMENT_3D('',#526829,#408541,#408542); #359858=AXIS2_PLACEMENT_3D('',#526835,#408546,#408547); #359859=AXIS2_PLACEMENT_3D('',#526841,#408551,#408552); #359860=AXIS2_PLACEMENT_3D('',#526847,#408556,#408557); #359861=AXIS2_PLACEMENT_3D('',#526853,#408561,#408562); #359862=AXIS2_PLACEMENT_3D('',#526859,#408566,#408567); #359863=AXIS2_PLACEMENT_3D('',#526865,#408571,#408572); #359864=AXIS2_PLACEMENT_3D('',#526871,#408576,#408577); #359865=AXIS2_PLACEMENT_3D('',#526877,#408581,#408582); #359866=AXIS2_PLACEMENT_3D('',#526883,#408586,#408587); #359867=AXIS2_PLACEMENT_3D('',#526889,#408591,#408592); #359868=AXIS2_PLACEMENT_3D('',#526895,#408596,#408597); #359869=AXIS2_PLACEMENT_3D('',#526898,#408600,#408601); #359870=AXIS2_PLACEMENT_3D('',#526899,#408602,#408603); #359871=AXIS2_PLACEMENT_3D('',#526900,#408604,#408605); #359872=AXIS2_PLACEMENT_3D('',#526909,#408610,#408611); #359873=AXIS2_PLACEMENT_3D('',#526915,#408615,#408616); #359874=AXIS2_PLACEMENT_3D('',#526921,#408620,#408621); #359875=AXIS2_PLACEMENT_3D('',#526927,#408625,#408626); #359876=AXIS2_PLACEMENT_3D('',#526933,#408630,#408631); #359877=AXIS2_PLACEMENT_3D('',#526939,#408635,#408636); #359878=AXIS2_PLACEMENT_3D('',#526945,#408640,#408641); #359879=AXIS2_PLACEMENT_3D('',#526951,#408645,#408646); #359880=AXIS2_PLACEMENT_3D('',#526957,#408650,#408651); #359881=AXIS2_PLACEMENT_3D('',#526963,#408655,#408656); #359882=AXIS2_PLACEMENT_3D('',#526969,#408660,#408661); #359883=AXIS2_PLACEMENT_3D('',#526975,#408665,#408666); #359884=AXIS2_PLACEMENT_3D('',#526981,#408670,#408671); #359885=AXIS2_PLACEMENT_3D('',#526987,#408675,#408676); #359886=AXIS2_PLACEMENT_3D('',#526993,#408680,#408681); #359887=AXIS2_PLACEMENT_3D('',#526999,#408685,#408686); #359888=AXIS2_PLACEMENT_3D('',#527005,#408690,#408691); #359889=AXIS2_PLACEMENT_3D('',#527011,#408695,#408696); #359890=AXIS2_PLACEMENT_3D('',#527017,#408700,#408701); #359891=AXIS2_PLACEMENT_3D('',#527023,#408705,#408706); #359892=AXIS2_PLACEMENT_3D('',#527029,#408710,#408711); #359893=AXIS2_PLACEMENT_3D('',#527035,#408715,#408716); #359894=AXIS2_PLACEMENT_3D('',#527041,#408720,#408721); #359895=AXIS2_PLACEMENT_3D('',#527047,#408725,#408726); #359896=AXIS2_PLACEMENT_3D('',#527053,#408730,#408731); #359897=AXIS2_PLACEMENT_3D('',#527059,#408735,#408736); #359898=AXIS2_PLACEMENT_3D('',#527065,#408740,#408741); #359899=AXIS2_PLACEMENT_3D('',#527071,#408745,#408746); #359900=AXIS2_PLACEMENT_3D('',#527077,#408750,#408751); #359901=AXIS2_PLACEMENT_3D('',#527083,#408755,#408756); #359902=AXIS2_PLACEMENT_3D('',#527089,#408760,#408761); #359903=AXIS2_PLACEMENT_3D('',#527095,#408765,#408766); #359904=AXIS2_PLACEMENT_3D('',#527101,#408770,#408771); #359905=AXIS2_PLACEMENT_3D('',#527107,#408775,#408776); #359906=AXIS2_PLACEMENT_3D('',#527113,#408780,#408781); #359907=AXIS2_PLACEMENT_3D('',#527119,#408785,#408786); #359908=AXIS2_PLACEMENT_3D('',#527122,#408789,#408790); #359909=AXIS2_PLACEMENT_3D('',#527123,#408791,#408792); #359910=AXIS2_PLACEMENT_3D('',#527124,#408793,#408794); #359911=AXIS2_PLACEMENT_3D('',#527133,#408799,#408800); #359912=AXIS2_PLACEMENT_3D('',#527139,#408804,#408805); #359913=AXIS2_PLACEMENT_3D('',#527145,#408809,#408810); #359914=AXIS2_PLACEMENT_3D('',#527151,#408814,#408815); #359915=AXIS2_PLACEMENT_3D('',#527157,#408819,#408820); #359916=AXIS2_PLACEMENT_3D('',#527163,#408824,#408825); #359917=AXIS2_PLACEMENT_3D('',#527169,#408829,#408830); #359918=AXIS2_PLACEMENT_3D('',#527175,#408834,#408835); #359919=AXIS2_PLACEMENT_3D('',#527181,#408839,#408840); #359920=AXIS2_PLACEMENT_3D('',#527187,#408844,#408845); #359921=AXIS2_PLACEMENT_3D('',#527193,#408849,#408850); #359922=AXIS2_PLACEMENT_3D('',#527199,#408854,#408855); #359923=AXIS2_PLACEMENT_3D('',#527205,#408859,#408860); #359924=AXIS2_PLACEMENT_3D('',#527211,#408864,#408865); #359925=AXIS2_PLACEMENT_3D('',#527217,#408869,#408870); #359926=AXIS2_PLACEMENT_3D('',#527223,#408874,#408875); #359927=AXIS2_PLACEMENT_3D('',#527229,#408879,#408880); #359928=AXIS2_PLACEMENT_3D('',#527235,#408884,#408885); #359929=AXIS2_PLACEMENT_3D('',#527241,#408889,#408890); #359930=AXIS2_PLACEMENT_3D('',#527244,#408893,#408894); #359931=AXIS2_PLACEMENT_3D('',#527245,#408895,#408896); #359932=AXIS2_PLACEMENT_3D('',#527246,#408897,#408898); #359933=AXIS2_PLACEMENT_3D('',#527255,#408903,#408904); #359934=AXIS2_PLACEMENT_3D('',#527261,#408908,#408909); #359935=AXIS2_PLACEMENT_3D('',#527267,#408913,#408914); #359936=AXIS2_PLACEMENT_3D('',#527273,#408918,#408919); #359937=AXIS2_PLACEMENT_3D('',#527279,#408923,#408924); #359938=AXIS2_PLACEMENT_3D('',#527285,#408928,#408929); #359939=AXIS2_PLACEMENT_3D('',#527291,#408933,#408934); #359940=AXIS2_PLACEMENT_3D('',#527297,#408938,#408939); #359941=AXIS2_PLACEMENT_3D('',#527303,#408943,#408944); #359942=AXIS2_PLACEMENT_3D('',#527309,#408948,#408949); #359943=AXIS2_PLACEMENT_3D('',#527315,#408953,#408954); #359944=AXIS2_PLACEMENT_3D('',#527321,#408958,#408959); #359945=AXIS2_PLACEMENT_3D('',#527327,#408963,#408964); #359946=AXIS2_PLACEMENT_3D('',#527333,#408968,#408969); #359947=AXIS2_PLACEMENT_3D('',#527339,#408973,#408974); #359948=AXIS2_PLACEMENT_3D('',#527345,#408978,#408979); #359949=AXIS2_PLACEMENT_3D('',#527351,#408983,#408984); #359950=AXIS2_PLACEMENT_3D('',#527357,#408988,#408989); #359951=AXIS2_PLACEMENT_3D('',#527363,#408993,#408994); #359952=AXIS2_PLACEMENT_3D('',#527369,#408998,#408999); #359953=AXIS2_PLACEMENT_3D('',#527375,#409003,#409004); #359954=AXIS2_PLACEMENT_3D('',#527381,#409008,#409009); #359955=AXIS2_PLACEMENT_3D('',#527387,#409013,#409014); #359956=AXIS2_PLACEMENT_3D('',#527393,#409018,#409019); #359957=AXIS2_PLACEMENT_3D('',#527399,#409023,#409024); #359958=AXIS2_PLACEMENT_3D('',#527405,#409028,#409029); #359959=AXIS2_PLACEMENT_3D('',#527411,#409033,#409034); #359960=AXIS2_PLACEMENT_3D('',#527417,#409038,#409039); #359961=AXIS2_PLACEMENT_3D('',#527423,#409043,#409044); #359962=AXIS2_PLACEMENT_3D('',#527429,#409048,#409049); #359963=AXIS2_PLACEMENT_3D('',#527435,#409053,#409054); #359964=AXIS2_PLACEMENT_3D('',#527441,#409058,#409059); #359965=AXIS2_PLACEMENT_3D('',#527447,#409063,#409064); #359966=AXIS2_PLACEMENT_3D('',#527453,#409068,#409069); #359967=AXIS2_PLACEMENT_3D('',#527459,#409073,#409074); #359968=AXIS2_PLACEMENT_3D('',#527465,#409078,#409079); #359969=AXIS2_PLACEMENT_3D('',#527471,#409083,#409084); #359970=AXIS2_PLACEMENT_3D('',#527477,#409088,#409089); #359971=AXIS2_PLACEMENT_3D('',#527483,#409093,#409094); #359972=AXIS2_PLACEMENT_3D('',#527489,#409098,#409099); #359973=AXIS2_PLACEMENT_3D('',#527495,#409103,#409104); #359974=AXIS2_PLACEMENT_3D('',#527501,#409108,#409109); #359975=AXIS2_PLACEMENT_3D('',#527507,#409113,#409114); #359976=AXIS2_PLACEMENT_3D('',#527513,#409118,#409119); #359977=AXIS2_PLACEMENT_3D('',#527519,#409123,#409124); #359978=AXIS2_PLACEMENT_3D('',#527525,#409128,#409129); #359979=AXIS2_PLACEMENT_3D('',#527531,#409133,#409134); #359980=AXIS2_PLACEMENT_3D('',#527534,#409137,#409138); #359981=AXIS2_PLACEMENT_3D('',#527535,#409139,#409140); #359982=AXIS2_PLACEMENT_3D('',#527536,#409141,#409142); #359983=AXIS2_PLACEMENT_3D('',#527545,#409147,#409148); #359984=AXIS2_PLACEMENT_3D('',#527551,#409152,#409153); #359985=AXIS2_PLACEMENT_3D('',#527557,#409157,#409158); #359986=AXIS2_PLACEMENT_3D('',#527563,#409162,#409163); #359987=AXIS2_PLACEMENT_3D('',#527569,#409167,#409168); #359988=AXIS2_PLACEMENT_3D('',#527575,#409172,#409173); #359989=AXIS2_PLACEMENT_3D('',#527581,#409177,#409178); #359990=AXIS2_PLACEMENT_3D('',#527587,#409182,#409183); #359991=AXIS2_PLACEMENT_3D('',#527593,#409187,#409188); #359992=AXIS2_PLACEMENT_3D('',#527599,#409192,#409193); #359993=AXIS2_PLACEMENT_3D('',#527605,#409197,#409198); #359994=AXIS2_PLACEMENT_3D('',#527611,#409202,#409203); #359995=AXIS2_PLACEMENT_3D('',#527617,#409207,#409208); #359996=AXIS2_PLACEMENT_3D('',#527623,#409212,#409213); #359997=AXIS2_PLACEMENT_3D('',#527629,#409217,#409218); #359998=AXIS2_PLACEMENT_3D('',#527635,#409222,#409223); #359999=AXIS2_PLACEMENT_3D('',#527641,#409227,#409228); #360000=AXIS2_PLACEMENT_3D('',#527647,#409232,#409233); #360001=AXIS2_PLACEMENT_3D('',#527653,#409237,#409238); #360002=AXIS2_PLACEMENT_3D('',#527656,#409241,#409242); #360003=AXIS2_PLACEMENT_3D('',#527657,#409243,#409244); #360004=AXIS2_PLACEMENT_3D('',#527658,#409245,#409246); #360005=AXIS2_PLACEMENT_3D('',#527667,#409251,#409252); #360006=AXIS2_PLACEMENT_3D('',#527673,#409256,#409257); #360007=AXIS2_PLACEMENT_3D('',#527679,#409261,#409262); #360008=AXIS2_PLACEMENT_3D('',#527685,#409266,#409267); #360009=AXIS2_PLACEMENT_3D('',#527691,#409271,#409272); #360010=AXIS2_PLACEMENT_3D('',#527697,#409276,#409277); #360011=AXIS2_PLACEMENT_3D('',#527703,#409281,#409282); #360012=AXIS2_PLACEMENT_3D('',#527709,#409286,#409287); #360013=AXIS2_PLACEMENT_3D('',#527715,#409291,#409292); #360014=AXIS2_PLACEMENT_3D('',#527721,#409296,#409297); #360015=AXIS2_PLACEMENT_3D('',#527727,#409301,#409302); #360016=AXIS2_PLACEMENT_3D('',#527733,#409306,#409307); #360017=AXIS2_PLACEMENT_3D('',#527739,#409311,#409312); #360018=AXIS2_PLACEMENT_3D('',#527745,#409316,#409317); #360019=AXIS2_PLACEMENT_3D('',#527751,#409321,#409322); #360020=AXIS2_PLACEMENT_3D('',#527757,#409326,#409327); #360021=AXIS2_PLACEMENT_3D('',#527763,#409331,#409332); #360022=AXIS2_PLACEMENT_3D('',#527769,#409336,#409337); #360023=AXIS2_PLACEMENT_3D('',#527775,#409341,#409342); #360024=AXIS2_PLACEMENT_3D('',#527781,#409346,#409347); #360025=AXIS2_PLACEMENT_3D('',#527787,#409351,#409352); #360026=AXIS2_PLACEMENT_3D('',#527793,#409356,#409357); #360027=AXIS2_PLACEMENT_3D('',#527799,#409361,#409362); #360028=AXIS2_PLACEMENT_3D('',#527805,#409366,#409367); #360029=AXIS2_PLACEMENT_3D('',#527811,#409371,#409372); #360030=AXIS2_PLACEMENT_3D('',#527817,#409376,#409377); #360031=AXIS2_PLACEMENT_3D('',#527823,#409381,#409382); #360032=AXIS2_PLACEMENT_3D('',#527829,#409386,#409387); #360033=AXIS2_PLACEMENT_3D('',#527835,#409391,#409392); #360034=AXIS2_PLACEMENT_3D('',#527841,#409396,#409397); #360035=AXIS2_PLACEMENT_3D('',#527844,#409400,#409401); #360036=AXIS2_PLACEMENT_3D('',#527845,#409402,#409403); #360037=AXIS2_PLACEMENT_3D('',#527846,#409404,#409405); #360038=AXIS2_PLACEMENT_3D('',#527855,#409410,#409411); #360039=AXIS2_PLACEMENT_3D('',#527861,#409415,#409416); #360040=AXIS2_PLACEMENT_3D('',#527867,#409420,#409421); #360041=AXIS2_PLACEMENT_3D('',#527873,#409425,#409426); #360042=AXIS2_PLACEMENT_3D('',#527879,#409430,#409431); #360043=AXIS2_PLACEMENT_3D('',#527885,#409435,#409436); #360044=AXIS2_PLACEMENT_3D('',#527891,#409440,#409441); #360045=AXIS2_PLACEMENT_3D('',#527897,#409445,#409446); #360046=AXIS2_PLACEMENT_3D('',#527903,#409450,#409451); #360047=AXIS2_PLACEMENT_3D('',#527909,#409455,#409456); #360048=AXIS2_PLACEMENT_3D('',#527915,#409460,#409461); #360049=AXIS2_PLACEMENT_3D('',#527921,#409465,#409466); #360050=AXIS2_PLACEMENT_3D('',#527927,#409470,#409471); #360051=AXIS2_PLACEMENT_3D('',#527933,#409475,#409476); #360052=AXIS2_PLACEMENT_3D('',#527939,#409480,#409481); #360053=AXIS2_PLACEMENT_3D('',#527945,#409485,#409486); #360054=AXIS2_PLACEMENT_3D('',#527951,#409490,#409491); #360055=AXIS2_PLACEMENT_3D('',#527957,#409495,#409496); #360056=AXIS2_PLACEMENT_3D('',#527963,#409500,#409501); #360057=AXIS2_PLACEMENT_3D('',#527966,#409504,#409505); #360058=AXIS2_PLACEMENT_3D('',#527967,#409506,#409507); #360059=AXIS2_PLACEMENT_3D('',#527968,#409508,#409509); #360060=AXIS2_PLACEMENT_3D('',#527977,#409514,#409515); #360061=AXIS2_PLACEMENT_3D('',#527983,#409519,#409520); #360062=AXIS2_PLACEMENT_3D('',#527989,#409524,#409525); #360063=AXIS2_PLACEMENT_3D('',#527995,#409529,#409530); #360064=AXIS2_PLACEMENT_3D('',#528001,#409534,#409535); #360065=AXIS2_PLACEMENT_3D('',#528007,#409539,#409540); #360066=AXIS2_PLACEMENT_3D('',#528013,#409544,#409545); #360067=AXIS2_PLACEMENT_3D('',#528019,#409549,#409550); #360068=AXIS2_PLACEMENT_3D('',#528025,#409554,#409555); #360069=AXIS2_PLACEMENT_3D('',#528031,#409559,#409560); #360070=AXIS2_PLACEMENT_3D('',#528037,#409564,#409565); #360071=AXIS2_PLACEMENT_3D('',#528043,#409569,#409570); #360072=AXIS2_PLACEMENT_3D('',#528049,#409574,#409575); #360073=AXIS2_PLACEMENT_3D('',#528055,#409579,#409580); #360074=AXIS2_PLACEMENT_3D('',#528061,#409584,#409585); #360075=AXIS2_PLACEMENT_3D('',#528067,#409589,#409590); #360076=AXIS2_PLACEMENT_3D('',#528073,#409594,#409595); #360077=AXIS2_PLACEMENT_3D('',#528079,#409599,#409600); #360078=AXIS2_PLACEMENT_3D('',#528085,#409604,#409605); #360079=AXIS2_PLACEMENT_3D('',#528091,#409609,#409610); #360080=AXIS2_PLACEMENT_3D('',#528097,#409614,#409615); #360081=AXIS2_PLACEMENT_3D('',#528103,#409619,#409620); #360082=AXIS2_PLACEMENT_3D('',#528109,#409624,#409625); #360083=AXIS2_PLACEMENT_3D('',#528115,#409629,#409630); #360084=AXIS2_PLACEMENT_3D('',#528121,#409634,#409635); #360085=AXIS2_PLACEMENT_3D('',#528127,#409639,#409640); #360086=AXIS2_PLACEMENT_3D('',#528133,#409644,#409645); #360087=AXIS2_PLACEMENT_3D('',#528139,#409649,#409650); #360088=AXIS2_PLACEMENT_3D('',#528145,#409654,#409655); #360089=AXIS2_PLACEMENT_3D('',#528151,#409659,#409660); #360090=AXIS2_PLACEMENT_3D('',#528154,#409663,#409664); #360091=AXIS2_PLACEMENT_3D('',#528155,#409665,#409666); #360092=AXIS2_PLACEMENT_3D('',#528156,#409667,#409668); #360093=AXIS2_PLACEMENT_3D('',#528165,#409673,#409674); #360094=AXIS2_PLACEMENT_3D('',#528171,#409678,#409679); #360095=AXIS2_PLACEMENT_3D('',#528177,#409683,#409684); #360096=AXIS2_PLACEMENT_3D('',#528183,#409688,#409689); #360097=AXIS2_PLACEMENT_3D('',#528189,#409693,#409694); #360098=AXIS2_PLACEMENT_3D('',#528195,#409698,#409699); #360099=AXIS2_PLACEMENT_3D('',#528201,#409703,#409704); #360100=AXIS2_PLACEMENT_3D('',#528207,#409708,#409709); #360101=AXIS2_PLACEMENT_3D('',#528213,#409713,#409714); #360102=AXIS2_PLACEMENT_3D('',#528219,#409718,#409719); #360103=AXIS2_PLACEMENT_3D('',#528225,#409723,#409724); #360104=AXIS2_PLACEMENT_3D('',#528231,#409728,#409729); #360105=AXIS2_PLACEMENT_3D('',#528237,#409733,#409734); #360106=AXIS2_PLACEMENT_3D('',#528243,#409738,#409739); #360107=AXIS2_PLACEMENT_3D('',#528249,#409743,#409744); #360108=AXIS2_PLACEMENT_3D('',#528255,#409748,#409749); #360109=AXIS2_PLACEMENT_3D('',#528261,#409753,#409754); #360110=AXIS2_PLACEMENT_3D('',#528267,#409758,#409759); #360111=AXIS2_PLACEMENT_3D('',#528273,#409763,#409764); #360112=AXIS2_PLACEMENT_3D('',#528279,#409768,#409769); #360113=AXIS2_PLACEMENT_3D('',#528282,#409772,#409773); #360114=AXIS2_PLACEMENT_3D('',#528283,#409774,#409775); #360115=AXIS2_PLACEMENT_3D('',#528284,#409776,#409777); #360116=AXIS2_PLACEMENT_3D('',#528293,#409782,#409783); #360117=AXIS2_PLACEMENT_3D('',#528299,#409787,#409788); #360118=AXIS2_PLACEMENT_3D('',#528305,#409792,#409793); #360119=AXIS2_PLACEMENT_3D('',#528311,#409797,#409798); #360120=AXIS2_PLACEMENT_3D('',#528317,#409802,#409803); #360121=AXIS2_PLACEMENT_3D('',#528323,#409807,#409808); #360122=AXIS2_PLACEMENT_3D('',#528329,#409812,#409813); #360123=AXIS2_PLACEMENT_3D('',#528335,#409817,#409818); #360124=AXIS2_PLACEMENT_3D('',#528341,#409822,#409823); #360125=AXIS2_PLACEMENT_3D('',#528347,#409827,#409828); #360126=AXIS2_PLACEMENT_3D('',#528353,#409832,#409833); #360127=AXIS2_PLACEMENT_3D('',#528359,#409837,#409838); #360128=AXIS2_PLACEMENT_3D('',#528365,#409842,#409843); #360129=AXIS2_PLACEMENT_3D('',#528371,#409847,#409848); #360130=AXIS2_PLACEMENT_3D('',#528377,#409852,#409853); #360131=AXIS2_PLACEMENT_3D('',#528383,#409857,#409858); #360132=AXIS2_PLACEMENT_3D('',#528389,#409862,#409863); #360133=AXIS2_PLACEMENT_3D('',#528395,#409867,#409868); #360134=AXIS2_PLACEMENT_3D('',#528401,#409872,#409873); #360135=AXIS2_PLACEMENT_3D('',#528407,#409877,#409878); #360136=AXIS2_PLACEMENT_3D('',#528413,#409882,#409883); #360137=AXIS2_PLACEMENT_3D('',#528419,#409887,#409888); #360138=AXIS2_PLACEMENT_3D('',#528425,#409892,#409893); #360139=AXIS2_PLACEMENT_3D('',#528431,#409897,#409898); #360140=AXIS2_PLACEMENT_3D('',#528437,#409902,#409903); #360141=AXIS2_PLACEMENT_3D('',#528443,#409907,#409908); #360142=AXIS2_PLACEMENT_3D('',#528449,#409912,#409913); #360143=AXIS2_PLACEMENT_3D('',#528455,#409917,#409918); #360144=AXIS2_PLACEMENT_3D('',#528461,#409922,#409923); #360145=AXIS2_PLACEMENT_3D('',#528467,#409927,#409928); #360146=AXIS2_PLACEMENT_3D('',#528473,#409932,#409933); #360147=AXIS2_PLACEMENT_3D('',#528479,#409937,#409938); #360148=AXIS2_PLACEMENT_3D('',#528485,#409942,#409943); #360149=AXIS2_PLACEMENT_3D('',#528491,#409947,#409948); #360150=AXIS2_PLACEMENT_3D('',#528497,#409952,#409953); #360151=AXIS2_PLACEMENT_3D('',#528503,#409957,#409958); #360152=AXIS2_PLACEMENT_3D('',#528509,#409962,#409963); #360153=AXIS2_PLACEMENT_3D('',#528515,#409967,#409968); #360154=AXIS2_PLACEMENT_3D('',#528521,#409972,#409973); #360155=AXIS2_PLACEMENT_3D('',#528524,#409976,#409977); #360156=AXIS2_PLACEMENT_3D('',#528525,#409978,#409979); #360157=AXIS2_PLACEMENT_3D('',#528526,#409980,#409981); #360158=AXIS2_PLACEMENT_3D('',#528535,#409986,#409987); #360159=AXIS2_PLACEMENT_3D('',#528541,#409991,#409992); #360160=AXIS2_PLACEMENT_3D('',#528547,#409996,#409997); #360161=AXIS2_PLACEMENT_3D('',#528553,#410001,#410002); #360162=AXIS2_PLACEMENT_3D('',#528559,#410006,#410007); #360163=AXIS2_PLACEMENT_3D('',#528565,#410011,#410012); #360164=AXIS2_PLACEMENT_3D('',#528571,#410016,#410017); #360165=AXIS2_PLACEMENT_3D('',#528577,#410021,#410022); #360166=AXIS2_PLACEMENT_3D('',#528583,#410026,#410027); #360167=AXIS2_PLACEMENT_3D('',#528589,#410031,#410032); #360168=AXIS2_PLACEMENT_3D('',#528595,#410036,#410037); #360169=AXIS2_PLACEMENT_3D('',#528601,#410041,#410042); #360170=AXIS2_PLACEMENT_3D('',#528607,#410046,#410047); #360171=AXIS2_PLACEMENT_3D('',#528613,#410051,#410052); #360172=AXIS2_PLACEMENT_3D('',#528619,#410056,#410057); #360173=AXIS2_PLACEMENT_3D('',#528625,#410061,#410062); #360174=AXIS2_PLACEMENT_3D('',#528631,#410066,#410067); #360175=AXIS2_PLACEMENT_3D('',#528637,#410071,#410072); #360176=AXIS2_PLACEMENT_3D('',#528643,#410076,#410077); #360177=AXIS2_PLACEMENT_3D('',#528646,#410080,#410081); #360178=AXIS2_PLACEMENT_3D('',#528647,#410082,#410083); #360179=AXIS2_PLACEMENT_3D('',#528648,#410084,#410085); #360180=AXIS2_PLACEMENT_3D('',#528657,#410090,#410091); #360181=AXIS2_PLACEMENT_3D('',#528663,#410095,#410096); #360182=AXIS2_PLACEMENT_3D('',#528669,#410100,#410101); #360183=AXIS2_PLACEMENT_3D('',#528675,#410105,#410106); #360184=AXIS2_PLACEMENT_3D('',#528681,#410110,#410111); #360185=AXIS2_PLACEMENT_3D('',#528687,#410115,#410116); #360186=AXIS2_PLACEMENT_3D('',#528693,#410120,#410121); #360187=AXIS2_PLACEMENT_3D('',#528699,#410125,#410126); #360188=AXIS2_PLACEMENT_3D('',#528705,#410130,#410131); #360189=AXIS2_PLACEMENT_3D('',#528711,#410135,#410136); #360190=AXIS2_PLACEMENT_3D('',#528717,#410140,#410141); #360191=AXIS2_PLACEMENT_3D('',#528723,#410145,#410146); #360192=AXIS2_PLACEMENT_3D('',#528729,#410150,#410151); #360193=AXIS2_PLACEMENT_3D('',#528735,#410155,#410156); #360194=AXIS2_PLACEMENT_3D('',#528741,#410160,#410161); #360195=AXIS2_PLACEMENT_3D('',#528747,#410165,#410166); #360196=AXIS2_PLACEMENT_3D('',#528753,#410170,#410171); #360197=AXIS2_PLACEMENT_3D('',#528759,#410175,#410176); #360198=AXIS2_PLACEMENT_3D('',#528765,#410180,#410181); #360199=AXIS2_PLACEMENT_3D('',#528768,#410184,#410185); #360200=AXIS2_PLACEMENT_3D('',#528769,#410186,#410187); #360201=AXIS2_PLACEMENT_3D('',#528770,#410188,#410189); #360202=AXIS2_PLACEMENT_3D('',#528779,#410194,#410195); #360203=AXIS2_PLACEMENT_3D('',#528785,#410199,#410200); #360204=AXIS2_PLACEMENT_3D('',#528791,#410204,#410205); #360205=AXIS2_PLACEMENT_3D('',#528797,#410209,#410210); #360206=AXIS2_PLACEMENT_3D('',#528803,#410214,#410215); #360207=AXIS2_PLACEMENT_3D('',#528809,#410219,#410220); #360208=AXIS2_PLACEMENT_3D('',#528815,#410224,#410225); #360209=AXIS2_PLACEMENT_3D('',#528821,#410229,#410230); #360210=AXIS2_PLACEMENT_3D('',#528827,#410234,#410235); #360211=AXIS2_PLACEMENT_3D('',#528833,#410239,#410240); #360212=AXIS2_PLACEMENT_3D('',#528839,#410244,#410245); #360213=AXIS2_PLACEMENT_3D('',#528845,#410249,#410250); #360214=AXIS2_PLACEMENT_3D('',#528851,#410254,#410255); #360215=AXIS2_PLACEMENT_3D('',#528857,#410259,#410260); #360216=AXIS2_PLACEMENT_3D('',#528863,#410264,#410265); #360217=AXIS2_PLACEMENT_3D('',#528869,#410269,#410270); #360218=AXIS2_PLACEMENT_3D('',#528875,#410274,#410275); #360219=AXIS2_PLACEMENT_3D('',#528881,#410279,#410280); #360220=AXIS2_PLACEMENT_3D('',#528887,#410284,#410285); #360221=AXIS2_PLACEMENT_3D('',#528890,#410288,#410289); #360222=AXIS2_PLACEMENT_3D('',#528891,#410290,#410291); #360223=AXIS2_PLACEMENT_3D('',#528892,#410292,#410293); #360224=AXIS2_PLACEMENT_3D('',#528901,#410298,#410299); #360225=AXIS2_PLACEMENT_3D('',#528907,#410303,#410304); #360226=AXIS2_PLACEMENT_3D('',#528913,#410308,#410309); #360227=AXIS2_PLACEMENT_3D('',#528919,#410313,#410314); #360228=AXIS2_PLACEMENT_3D('',#528925,#410318,#410319); #360229=AXIS2_PLACEMENT_3D('',#528931,#410323,#410324); #360230=AXIS2_PLACEMENT_3D('',#528937,#410328,#410329); #360231=AXIS2_PLACEMENT_3D('',#528943,#410333,#410334); #360232=AXIS2_PLACEMENT_3D('',#528949,#410338,#410339); #360233=AXIS2_PLACEMENT_3D('',#528955,#410343,#410344); #360234=AXIS2_PLACEMENT_3D('',#528961,#410348,#410349); #360235=AXIS2_PLACEMENT_3D('',#528967,#410353,#410354); #360236=AXIS2_PLACEMENT_3D('',#528973,#410358,#410359); #360237=AXIS2_PLACEMENT_3D('',#528979,#410363,#410364); #360238=AXIS2_PLACEMENT_3D('',#528985,#410368,#410369); #360239=AXIS2_PLACEMENT_3D('',#528991,#410373,#410374); #360240=AXIS2_PLACEMENT_3D('',#528997,#410378,#410379); #360241=AXIS2_PLACEMENT_3D('',#529003,#410383,#410384); #360242=AXIS2_PLACEMENT_3D('',#529009,#410388,#410389); #360243=AXIS2_PLACEMENT_3D('',#529015,#410393,#410394); #360244=AXIS2_PLACEMENT_3D('',#529021,#410398,#410399); #360245=AXIS2_PLACEMENT_3D('',#529027,#410403,#410404); #360246=AXIS2_PLACEMENT_3D('',#529033,#410408,#410409); #360247=AXIS2_PLACEMENT_3D('',#529039,#410413,#410414); #360248=AXIS2_PLACEMENT_3D('',#529045,#410418,#410419); #360249=AXIS2_PLACEMENT_3D('',#529051,#410423,#410424); #360250=AXIS2_PLACEMENT_3D('',#529057,#410428,#410429); #360251=AXIS2_PLACEMENT_3D('',#529063,#410433,#410434); #360252=AXIS2_PLACEMENT_3D('',#529069,#410438,#410439); #360253=AXIS2_PLACEMENT_3D('',#529075,#410443,#410444); #360254=AXIS2_PLACEMENT_3D('',#529081,#410448,#410449); #360255=AXIS2_PLACEMENT_3D('',#529087,#410453,#410454); #360256=AXIS2_PLACEMENT_3D('',#529093,#410458,#410459); #360257=AXIS2_PLACEMENT_3D('',#529099,#410463,#410464); #360258=AXIS2_PLACEMENT_3D('',#529105,#410468,#410469); #360259=AXIS2_PLACEMENT_3D('',#529111,#410473,#410474); #360260=AXIS2_PLACEMENT_3D('',#529117,#410478,#410479); #360261=AXIS2_PLACEMENT_3D('',#529123,#410483,#410484); #360262=AXIS2_PLACEMENT_3D('',#529129,#410488,#410489); #360263=AXIS2_PLACEMENT_3D('',#529132,#410492,#410493); #360264=AXIS2_PLACEMENT_3D('',#529133,#410494,#410495); #360265=AXIS2_PLACEMENT_3D('',#529134,#410496,#410497); #360266=AXIS2_PLACEMENT_3D('',#529136,#410498,#410499); #360267=AXIS2_PLACEMENT_3D('',#529139,#410501,#410502); #360268=AXIS2_PLACEMENT_3D('',#529140,#410503,#410504); #360269=AXIS2_PLACEMENT_3D('',#529149,#410509,#410510); #360270=AXIS2_PLACEMENT_3D('',#529151,#410511,#410512); #360271=AXIS2_PLACEMENT_3D('',#529153,#410513,#410514); #360272=AXIS2_PLACEMENT_3D('',#529155,#410516,#410517); #360273=AXIS2_PLACEMENT_3D('',#529161,#410521,#410522); #360274=AXIS2_PLACEMENT_3D('',#529162,#410523,#410524); #360275=AXIS2_PLACEMENT_3D('',#529163,#410525,#410526); #360276=AXIS2_PLACEMENT_3D('',#529164,#410527,#410528); #360277=AXIS2_PLACEMENT_3D('',#529173,#410533,#410534); #360278=AXIS2_PLACEMENT_3D('',#529179,#410538,#410539); #360279=AXIS2_PLACEMENT_3D('',#529185,#410543,#410544); #360280=AXIS2_PLACEMENT_3D('',#529191,#410548,#410549); #360281=AXIS2_PLACEMENT_3D('',#529197,#410553,#410554); #360282=AXIS2_PLACEMENT_3D('',#529203,#410558,#410559); #360283=AXIS2_PLACEMENT_3D('',#529209,#410563,#410564); #360284=AXIS2_PLACEMENT_3D('',#529215,#410568,#410569); #360285=AXIS2_PLACEMENT_3D('',#529221,#410573,#410574); #360286=AXIS2_PLACEMENT_3D('',#529227,#410578,#410579); #360287=AXIS2_PLACEMENT_3D('',#529233,#410583,#410584); #360288=AXIS2_PLACEMENT_3D('',#529239,#410588,#410589); #360289=AXIS2_PLACEMENT_3D('',#529245,#410593,#410594); #360290=AXIS2_PLACEMENT_3D('',#529251,#410598,#410599); #360291=AXIS2_PLACEMENT_3D('',#529257,#410603,#410604); #360292=AXIS2_PLACEMENT_3D('',#529263,#410608,#410609); #360293=AXIS2_PLACEMENT_3D('',#529269,#410613,#410614); #360294=AXIS2_PLACEMENT_3D('',#529275,#410618,#410619); #360295=AXIS2_PLACEMENT_3D('',#529281,#410623,#410624); #360296=AXIS2_PLACEMENT_3D('',#529287,#410628,#410629); #360297=AXIS2_PLACEMENT_3D('',#529293,#410633,#410634); #360298=AXIS2_PLACEMENT_3D('',#529299,#410638,#410639); #360299=AXIS2_PLACEMENT_3D('',#529305,#410643,#410644); #360300=AXIS2_PLACEMENT_3D('',#529311,#410648,#410649); #360301=AXIS2_PLACEMENT_3D('',#529317,#410653,#410654); #360302=AXIS2_PLACEMENT_3D('',#529323,#410658,#410659); #360303=AXIS2_PLACEMENT_3D('',#529329,#410663,#410664); #360304=AXIS2_PLACEMENT_3D('',#529335,#410668,#410669); #360305=AXIS2_PLACEMENT_3D('',#529341,#410673,#410674); #360306=AXIS2_PLACEMENT_3D('',#529347,#410678,#410679); #360307=AXIS2_PLACEMENT_3D('',#529353,#410683,#410684); #360308=AXIS2_PLACEMENT_3D('',#529359,#410688,#410689); #360309=AXIS2_PLACEMENT_3D('',#529365,#410693,#410694); #360310=AXIS2_PLACEMENT_3D('',#529371,#410698,#410699); #360311=AXIS2_PLACEMENT_3D('',#529377,#410703,#410704); #360312=AXIS2_PLACEMENT_3D('',#529383,#410708,#410709); #360313=AXIS2_PLACEMENT_3D('',#529389,#410713,#410714); #360314=AXIS2_PLACEMENT_3D('',#529395,#410718,#410719); #360315=AXIS2_PLACEMENT_3D('',#529401,#410723,#410724); #360316=AXIS2_PLACEMENT_3D('',#529407,#410728,#410729); #360317=AXIS2_PLACEMENT_3D('',#529413,#410733,#410734); #360318=AXIS2_PLACEMENT_3D('',#529419,#410738,#410739); #360319=AXIS2_PLACEMENT_3D('',#529425,#410743,#410744); #360320=AXIS2_PLACEMENT_3D('',#529431,#410748,#410749); #360321=AXIS2_PLACEMENT_3D('',#529437,#410753,#410754); #360322=AXIS2_PLACEMENT_3D('',#529443,#410758,#410759); #360323=AXIS2_PLACEMENT_3D('',#529449,#410763,#410764); #360324=AXIS2_PLACEMENT_3D('',#529455,#410768,#410769); #360325=AXIS2_PLACEMENT_3D('',#529461,#410773,#410774); #360326=AXIS2_PLACEMENT_3D('',#529467,#410778,#410779); #360327=AXIS2_PLACEMENT_3D('',#529473,#410783,#410784); #360328=AXIS2_PLACEMENT_3D('',#529479,#410788,#410789); #360329=AXIS2_PLACEMENT_3D('',#529485,#410793,#410794); #360330=AXIS2_PLACEMENT_3D('',#529491,#410798,#410799); #360331=AXIS2_PLACEMENT_3D('',#529497,#410803,#410804); #360332=AXIS2_PLACEMENT_3D('',#529503,#410808,#410809); #360333=AXIS2_PLACEMENT_3D('',#529509,#410813,#410814); #360334=AXIS2_PLACEMENT_3D('',#529515,#410818,#410819); #360335=AXIS2_PLACEMENT_3D('',#529521,#410823,#410824); #360336=AXIS2_PLACEMENT_3D('',#529527,#410828,#410829); #360337=AXIS2_PLACEMENT_3D('',#529533,#410833,#410834); #360338=AXIS2_PLACEMENT_3D('',#529539,#410838,#410839); #360339=AXIS2_PLACEMENT_3D('',#529545,#410843,#410844); #360340=AXIS2_PLACEMENT_3D('',#529551,#410848,#410849); #360341=AXIS2_PLACEMENT_3D('',#529557,#410853,#410854); #360342=AXIS2_PLACEMENT_3D('',#529563,#410858,#410859); #360343=AXIS2_PLACEMENT_3D('',#529569,#410863,#410864); #360344=AXIS2_PLACEMENT_3D('',#529575,#410868,#410869); #360345=AXIS2_PLACEMENT_3D('',#529581,#410873,#410874); #360346=AXIS2_PLACEMENT_3D('',#529587,#410878,#410879); #360347=AXIS2_PLACEMENT_3D('',#529593,#410883,#410884); #360348=AXIS2_PLACEMENT_3D('',#529599,#410888,#410889); #360349=AXIS2_PLACEMENT_3D('',#529605,#410893,#410894); #360350=AXIS2_PLACEMENT_3D('',#529611,#410898,#410899); #360351=AXIS2_PLACEMENT_3D('',#529617,#410903,#410904); #360352=AXIS2_PLACEMENT_3D('',#529623,#410908,#410909); #360353=AXIS2_PLACEMENT_3D('',#529629,#410913,#410914); #360354=AXIS2_PLACEMENT_3D('',#529635,#410918,#410919); #360355=AXIS2_PLACEMENT_3D('',#529641,#410923,#410924); #360356=AXIS2_PLACEMENT_3D('',#529647,#410928,#410929); #360357=AXIS2_PLACEMENT_3D('',#529653,#410933,#410934); #360358=AXIS2_PLACEMENT_3D('',#529659,#410938,#410939); #360359=AXIS2_PLACEMENT_3D('',#529665,#410943,#410944); #360360=AXIS2_PLACEMENT_3D('',#529671,#410948,#410949); #360361=AXIS2_PLACEMENT_3D('',#529677,#410953,#410954); #360362=AXIS2_PLACEMENT_3D('',#529683,#410958,#410959); #360363=AXIS2_PLACEMENT_3D('',#529689,#410963,#410964); #360364=AXIS2_PLACEMENT_3D('',#529695,#410968,#410969); #360365=AXIS2_PLACEMENT_3D('',#529701,#410973,#410974); #360366=AXIS2_PLACEMENT_3D('',#529707,#410978,#410979); #360367=AXIS2_PLACEMENT_3D('',#529713,#410983,#410984); #360368=AXIS2_PLACEMENT_3D('',#529719,#410988,#410989); #360369=AXIS2_PLACEMENT_3D('',#529722,#410992,#410993); #360370=AXIS2_PLACEMENT_3D('',#529723,#410994,#410995); #360371=AXIS2_PLACEMENT_3D('',#529724,#410996,#410997); #360372=AXIS2_PLACEMENT_3D('',#529733,#411002,#411003); #360373=AXIS2_PLACEMENT_3D('',#529739,#411007,#411008); #360374=AXIS2_PLACEMENT_3D('',#529745,#411012,#411013); #360375=AXIS2_PLACEMENT_3D('',#529751,#411017,#411018); #360376=AXIS2_PLACEMENT_3D('',#529757,#411022,#411023); #360377=AXIS2_PLACEMENT_3D('',#529763,#411027,#411028); #360378=AXIS2_PLACEMENT_3D('',#529769,#411032,#411033); #360379=AXIS2_PLACEMENT_3D('',#529775,#411037,#411038); #360380=AXIS2_PLACEMENT_3D('',#529781,#411042,#411043); #360381=AXIS2_PLACEMENT_3D('',#529787,#411047,#411048); #360382=AXIS2_PLACEMENT_3D('',#529793,#411052,#411053); #360383=AXIS2_PLACEMENT_3D('',#529799,#411057,#411058); #360384=AXIS2_PLACEMENT_3D('',#529805,#411062,#411063); #360385=AXIS2_PLACEMENT_3D('',#529811,#411067,#411068); #360386=AXIS2_PLACEMENT_3D('',#529817,#411072,#411073); #360387=AXIS2_PLACEMENT_3D('',#529823,#411077,#411078); #360388=AXIS2_PLACEMENT_3D('',#529829,#411082,#411083); #360389=AXIS2_PLACEMENT_3D('',#529835,#411087,#411088); #360390=AXIS2_PLACEMENT_3D('',#529841,#411092,#411093); #360391=AXIS2_PLACEMENT_3D('',#529844,#411096,#411097); #360392=AXIS2_PLACEMENT_3D('',#529845,#411098,#411099); #360393=AXIS2_PLACEMENT_3D('',#529846,#411100,#411101); #360394=AXIS2_PLACEMENT_3D('',#529848,#411102,#411103); #360395=AXIS2_PLACEMENT_3D('',#529851,#411105,#411106); #360396=AXIS2_PLACEMENT_3D('',#529852,#411107,#411108); #360397=AXIS2_PLACEMENT_3D('',#529854,#411109,#411110); #360398=AXIS2_PLACEMENT_3D('',#529857,#411112,#411113); #360399=AXIS2_PLACEMENT_3D('',#529858,#411114,#411115); #360400=AXIS2_PLACEMENT_3D('',#529860,#411116,#411117); #360401=AXIS2_PLACEMENT_3D('',#529863,#411119,#411120); #360402=AXIS2_PLACEMENT_3D('',#529864,#411121,#411122); #360403=AXIS2_PLACEMENT_3D('',#529866,#411123,#411124); #360404=AXIS2_PLACEMENT_3D('',#529869,#411126,#411127); #360405=AXIS2_PLACEMENT_3D('',#529870,#411128,#411129); #360406=AXIS2_PLACEMENT_3D('',#529872,#411130,#411131); #360407=AXIS2_PLACEMENT_3D('',#529875,#411133,#411134); #360408=AXIS2_PLACEMENT_3D('',#529876,#411135,#411136); #360409=AXIS2_PLACEMENT_3D('',#529878,#411137,#411138); #360410=AXIS2_PLACEMENT_3D('',#529881,#411140,#411141); #360411=AXIS2_PLACEMENT_3D('',#529882,#411142,#411143); #360412=AXIS2_PLACEMENT_3D('',#529884,#411144,#411145); #360413=AXIS2_PLACEMENT_3D('',#529887,#411147,#411148); #360414=AXIS2_PLACEMENT_3D('',#529888,#411149,#411150); #360415=AXIS2_PLACEMENT_3D('',#529890,#411151,#411152); #360416=AXIS2_PLACEMENT_3D('',#529893,#411154,#411155); #360417=AXIS2_PLACEMENT_3D('',#529894,#411156,#411157); #360418=AXIS2_PLACEMENT_3D('',#529896,#411158,#411159); #360419=AXIS2_PLACEMENT_3D('',#529899,#411161,#411162); #360420=AXIS2_PLACEMENT_3D('',#529900,#411163,#411164); #360421=AXIS2_PLACEMENT_3D('',#529902,#411165,#411166); #360422=AXIS2_PLACEMENT_3D('',#529905,#411168,#411169); #360423=AXIS2_PLACEMENT_3D('',#529906,#411170,#411171); #360424=AXIS2_PLACEMENT_3D('',#529908,#411172,#411173); #360425=AXIS2_PLACEMENT_3D('',#529911,#411175,#411176); #360426=AXIS2_PLACEMENT_3D('',#529912,#411177,#411178); #360427=AXIS2_PLACEMENT_3D('',#529914,#411179,#411180); #360428=AXIS2_PLACEMENT_3D('',#529917,#411182,#411183); #360429=AXIS2_PLACEMENT_3D('',#529918,#411184,#411185); #360430=AXIS2_PLACEMENT_3D('',#529920,#411186,#411187); #360431=AXIS2_PLACEMENT_3D('',#529923,#411189,#411190); #360432=AXIS2_PLACEMENT_3D('',#529924,#411191,#411192); #360433=AXIS2_PLACEMENT_3D('',#529926,#411193,#411194); #360434=AXIS2_PLACEMENT_3D('',#529929,#411196,#411197); #360435=AXIS2_PLACEMENT_3D('',#529930,#411198,#411199); #360436=AXIS2_PLACEMENT_3D('',#529932,#411200,#411201); #360437=AXIS2_PLACEMENT_3D('',#529935,#411203,#411204); #360438=AXIS2_PLACEMENT_3D('',#529936,#411205,#411206); #360439=AXIS2_PLACEMENT_3D('',#529945,#411211,#411212); #360440=AXIS2_PLACEMENT_3D('',#529951,#411216,#411217); #360441=AXIS2_PLACEMENT_3D('',#529957,#411221,#411222); #360442=AXIS2_PLACEMENT_3D('',#529963,#411226,#411227); #360443=AXIS2_PLACEMENT_3D('',#529969,#411231,#411232); #360444=AXIS2_PLACEMENT_3D('',#529975,#411236,#411237); #360445=AXIS2_PLACEMENT_3D('',#529981,#411241,#411242); #360446=AXIS2_PLACEMENT_3D('',#529987,#411246,#411247); #360447=AXIS2_PLACEMENT_3D('',#529993,#411251,#411252); #360448=AXIS2_PLACEMENT_3D('',#529999,#411256,#411257); #360449=AXIS2_PLACEMENT_3D('',#530005,#411261,#411262); #360450=AXIS2_PLACEMENT_3D('',#530011,#411266,#411267); #360451=AXIS2_PLACEMENT_3D('',#530017,#411271,#411272); #360452=AXIS2_PLACEMENT_3D('',#530023,#411276,#411277); #360453=AXIS2_PLACEMENT_3D('',#530029,#411281,#411282); #360454=AXIS2_PLACEMENT_3D('',#530035,#411286,#411287); #360455=AXIS2_PLACEMENT_3D('',#530041,#411291,#411292); #360456=AXIS2_PLACEMENT_3D('',#530047,#411296,#411297); #360457=AXIS2_PLACEMENT_3D('',#530053,#411301,#411302); #360458=AXIS2_PLACEMENT_3D('',#530059,#411306,#411307); #360459=AXIS2_PLACEMENT_3D('',#530065,#411311,#411312); #360460=AXIS2_PLACEMENT_3D('',#530071,#411316,#411317); #360461=AXIS2_PLACEMENT_3D('',#530077,#411321,#411322); #360462=AXIS2_PLACEMENT_3D('',#530083,#411326,#411327); #360463=AXIS2_PLACEMENT_3D('',#530089,#411331,#411332); #360464=AXIS2_PLACEMENT_3D('',#530095,#411336,#411337); #360465=AXIS2_PLACEMENT_3D('',#530101,#411341,#411342); #360466=AXIS2_PLACEMENT_3D('',#530107,#411346,#411347); #360467=AXIS2_PLACEMENT_3D('',#530113,#411351,#411352); #360468=AXIS2_PLACEMENT_3D('',#530119,#411356,#411357); #360469=AXIS2_PLACEMENT_3D('',#530125,#411361,#411362); #360470=AXIS2_PLACEMENT_3D('',#530131,#411366,#411367); #360471=AXIS2_PLACEMENT_3D('',#530137,#411371,#411372); #360472=AXIS2_PLACEMENT_3D('',#530143,#411376,#411377); #360473=AXIS2_PLACEMENT_3D('',#530149,#411381,#411382); #360474=AXIS2_PLACEMENT_3D('',#530155,#411386,#411387); #360475=AXIS2_PLACEMENT_3D('',#530161,#411391,#411392); #360476=AXIS2_PLACEMENT_3D('',#530167,#411396,#411397); #360477=AXIS2_PLACEMENT_3D('',#530173,#411401,#411402); #360478=AXIS2_PLACEMENT_3D('',#530179,#411406,#411407); #360479=AXIS2_PLACEMENT_3D('',#530182,#411410,#411411); #360480=AXIS2_PLACEMENT_3D('',#530183,#411412,#411413); #360481=AXIS2_PLACEMENT_3D('',#530184,#411414,#411415); #360482=AXIS2_PLACEMENT_3D('',#530186,#411416,#411417); #360483=AXIS2_PLACEMENT_3D('',#530189,#411419,#411420); #360484=AXIS2_PLACEMENT_3D('',#530190,#411421,#411422); #360485=AXIS2_PLACEMENT_3D('',#530199,#411427,#411428); #360486=AXIS2_PLACEMENT_3D('',#530205,#411432,#411433); #360487=AXIS2_PLACEMENT_3D('',#530211,#411437,#411438); #360488=AXIS2_PLACEMENT_3D('',#530217,#411442,#411443); #360489=AXIS2_PLACEMENT_3D('',#530223,#411447,#411448); #360490=AXIS2_PLACEMENT_3D('',#530225,#411449,#411450); #360491=AXIS2_PLACEMENT_3D('',#530227,#411451,#411452); #360492=AXIS2_PLACEMENT_3D('',#530229,#411454,#411455); #360493=AXIS2_PLACEMENT_3D('',#530235,#411459,#411460); #360494=AXIS2_PLACEMENT_3D('',#530241,#411464,#411465); #360495=AXIS2_PLACEMENT_3D('',#530243,#411466,#411467); #360496=AXIS2_PLACEMENT_3D('',#530245,#411468,#411469); #360497=AXIS2_PLACEMENT_3D('',#530247,#411471,#411472); #360498=AXIS2_PLACEMENT_3D('',#530253,#411476,#411477); #360499=AXIS2_PLACEMENT_3D('',#530259,#411481,#411482); #360500=AXIS2_PLACEMENT_3D('',#530265,#411486,#411487); #360501=AXIS2_PLACEMENT_3D('',#530271,#411491,#411492); #360502=AXIS2_PLACEMENT_3D('',#530277,#411496,#411497); #360503=AXIS2_PLACEMENT_3D('',#530283,#411501,#411502); #360504=AXIS2_PLACEMENT_3D('',#530289,#411506,#411507); #360505=AXIS2_PLACEMENT_3D('',#530295,#411511,#411512); #360506=AXIS2_PLACEMENT_3D('',#530301,#411516,#411517); #360507=AXIS2_PLACEMENT_3D('',#530307,#411521,#411522); #360508=AXIS2_PLACEMENT_3D('',#530313,#411526,#411527); #360509=AXIS2_PLACEMENT_3D('',#530319,#411531,#411532); #360510=AXIS2_PLACEMENT_3D('',#530325,#411536,#411537); #360511=AXIS2_PLACEMENT_3D('',#530331,#411541,#411542); #360512=AXIS2_PLACEMENT_3D('',#530337,#411546,#411547); #360513=AXIS2_PLACEMENT_3D('',#530343,#411551,#411552); #360514=AXIS2_PLACEMENT_3D('',#530345,#411553,#411554); #360515=AXIS2_PLACEMENT_3D('',#530347,#411555,#411556); #360516=AXIS2_PLACEMENT_3D('',#530349,#411558,#411559); #360517=AXIS2_PLACEMENT_3D('',#530355,#411563,#411564); #360518=AXIS2_PLACEMENT_3D('',#530357,#411565,#411566); #360519=AXIS2_PLACEMENT_3D('',#530359,#411567,#411568); #360520=AXIS2_PLACEMENT_3D('',#530361,#411570,#411571); #360521=AXIS2_PLACEMENT_3D('',#530367,#411575,#411576); #360522=AXIS2_PLACEMENT_3D('',#530369,#411577,#411578); #360523=AXIS2_PLACEMENT_3D('',#530371,#411579,#411580); #360524=AXIS2_PLACEMENT_3D('',#530373,#411582,#411583); #360525=AXIS2_PLACEMENT_3D('',#530379,#411587,#411588); #360526=AXIS2_PLACEMENT_3D('',#530385,#411592,#411593); #360527=AXIS2_PLACEMENT_3D('',#530391,#411597,#411598); #360528=AXIS2_PLACEMENT_3D('',#530393,#411599,#411600); #360529=AXIS2_PLACEMENT_3D('',#530395,#411601,#411602); #360530=AXIS2_PLACEMENT_3D('',#530397,#411604,#411605); #360531=AXIS2_PLACEMENT_3D('',#530403,#411609,#411610); #360532=AXIS2_PLACEMENT_3D('',#530405,#411611,#411612); #360533=AXIS2_PLACEMENT_3D('',#530407,#411613,#411614); #360534=AXIS2_PLACEMENT_3D('',#530409,#411616,#411617); #360535=AXIS2_PLACEMENT_3D('',#530415,#411621,#411622); #360536=AXIS2_PLACEMENT_3D('',#530421,#411626,#411627); #360537=AXIS2_PLACEMENT_3D('',#530423,#411628,#411629); #360538=AXIS2_PLACEMENT_3D('',#530425,#411630,#411631); #360539=AXIS2_PLACEMENT_3D('',#530427,#411633,#411634); #360540=AXIS2_PLACEMENT_3D('',#530433,#411638,#411639); #360541=AXIS2_PLACEMENT_3D('',#530439,#411643,#411644); #360542=AXIS2_PLACEMENT_3D('',#530442,#411647,#411648); #360543=AXIS2_PLACEMENT_3D('',#530443,#411649,#411650); #360544=AXIS2_PLACEMENT_3D('',#530444,#411651,#411652); #360545=AXIS2_PLACEMENT_3D('',#530446,#411653,#411654); #360546=AXIS2_PLACEMENT_3D('',#530449,#411656,#411657); #360547=AXIS2_PLACEMENT_3D('',#530450,#411658,#411659); #360548=AXIS2_PLACEMENT_3D('',#530452,#411660,#411661); #360549=AXIS2_PLACEMENT_3D('',#530455,#411663,#411664); #360550=AXIS2_PLACEMENT_3D('',#530456,#411665,#411666); #360551=AXIS2_PLACEMENT_3D('',#530458,#411667,#411668); #360552=AXIS2_PLACEMENT_3D('',#530461,#411670,#411671); #360553=AXIS2_PLACEMENT_3D('',#530462,#411672,#411673); #360554=AXIS2_PLACEMENT_3D('',#530464,#411674,#411675); #360555=AXIS2_PLACEMENT_3D('',#530467,#411677,#411678); #360556=AXIS2_PLACEMENT_3D('',#530468,#411679,#411680); #360557=AXIS2_PLACEMENT_3D('',#530470,#411681,#411682); #360558=AXIS2_PLACEMENT_3D('',#530473,#411684,#411685); #360559=AXIS2_PLACEMENT_3D('',#530474,#411686,#411687); #360560=AXIS2_PLACEMENT_3D('',#530476,#411688,#411689); #360561=AXIS2_PLACEMENT_3D('',#530479,#411691,#411692); #360562=AXIS2_PLACEMENT_3D('',#530480,#411693,#411694); #360563=AXIS2_PLACEMENT_3D('',#530482,#411695,#411696); #360564=AXIS2_PLACEMENT_3D('',#530485,#411698,#411699); #360565=AXIS2_PLACEMENT_3D('',#530486,#411700,#411701); #360566=AXIS2_PLACEMENT_3D('',#530488,#411702,#411703); #360567=AXIS2_PLACEMENT_3D('',#530491,#411705,#411706); #360568=AXIS2_PLACEMENT_3D('',#530492,#411707,#411708); #360569=AXIS2_PLACEMENT_3D('',#530494,#411709,#411710); #360570=AXIS2_PLACEMENT_3D('',#530497,#411712,#411713); #360571=AXIS2_PLACEMENT_3D('',#530498,#411714,#411715); #360572=AXIS2_PLACEMENT_3D('',#530500,#411716,#411717); #360573=AXIS2_PLACEMENT_3D('',#530503,#411719,#411720); #360574=AXIS2_PLACEMENT_3D('',#530504,#411721,#411722); #360575=AXIS2_PLACEMENT_3D('',#530506,#411723,#411724); #360576=AXIS2_PLACEMENT_3D('',#530509,#411726,#411727); #360577=AXIS2_PLACEMENT_3D('',#530510,#411728,#411729); #360578=AXIS2_PLACEMENT_3D('',#530512,#411730,#411731); #360579=AXIS2_PLACEMENT_3D('',#530515,#411733,#411734); #360580=AXIS2_PLACEMENT_3D('',#530516,#411735,#411736); #360581=AXIS2_PLACEMENT_3D('',#530518,#411737,#411738); #360582=AXIS2_PLACEMENT_3D('',#530521,#411740,#411741); #360583=AXIS2_PLACEMENT_3D('',#530522,#411742,#411743); #360584=AXIS2_PLACEMENT_3D('',#530524,#411744,#411745); #360585=AXIS2_PLACEMENT_3D('',#530527,#411747,#411748); #360586=AXIS2_PLACEMENT_3D('',#530528,#411749,#411750); #360587=AXIS2_PLACEMENT_3D('',#530530,#411751,#411752); #360588=AXIS2_PLACEMENT_3D('',#530533,#411754,#411755); #360589=AXIS2_PLACEMENT_3D('',#530534,#411756,#411757); #360590=AXIS2_PLACEMENT_3D('',#530543,#411762,#411763); #360591=AXIS2_PLACEMENT_3D('',#530549,#411767,#411768); #360592=AXIS2_PLACEMENT_3D('',#530555,#411772,#411773); #360593=AXIS2_PLACEMENT_3D('',#530561,#411777,#411778); #360594=AXIS2_PLACEMENT_3D('',#530567,#411782,#411783); #360595=AXIS2_PLACEMENT_3D('',#530573,#411787,#411788); #360596=AXIS2_PLACEMENT_3D('',#530579,#411792,#411793); #360597=AXIS2_PLACEMENT_3D('',#530585,#411797,#411798); #360598=AXIS2_PLACEMENT_3D('',#530591,#411802,#411803); #360599=AXIS2_PLACEMENT_3D('',#530597,#411807,#411808); #360600=AXIS2_PLACEMENT_3D('',#530603,#411812,#411813); #360601=AXIS2_PLACEMENT_3D('',#530609,#411817,#411818); #360602=AXIS2_PLACEMENT_3D('',#530615,#411822,#411823); #360603=AXIS2_PLACEMENT_3D('',#530621,#411827,#411828); #360604=AXIS2_PLACEMENT_3D('',#530627,#411832,#411833); #360605=AXIS2_PLACEMENT_3D('',#530633,#411837,#411838); #360606=AXIS2_PLACEMENT_3D('',#530639,#411842,#411843); #360607=AXIS2_PLACEMENT_3D('',#530645,#411847,#411848); #360608=AXIS2_PLACEMENT_3D('',#530651,#411852,#411853); #360609=AXIS2_PLACEMENT_3D('',#530657,#411857,#411858); #360610=AXIS2_PLACEMENT_3D('',#530663,#411862,#411863); #360611=AXIS2_PLACEMENT_3D('',#530669,#411867,#411868); #360612=AXIS2_PLACEMENT_3D('',#530675,#411872,#411873); #360613=AXIS2_PLACEMENT_3D('',#530681,#411877,#411878); #360614=AXIS2_PLACEMENT_3D('',#530687,#411882,#411883); #360615=AXIS2_PLACEMENT_3D('',#530693,#411887,#411888); #360616=AXIS2_PLACEMENT_3D('',#530699,#411892,#411893); #360617=AXIS2_PLACEMENT_3D('',#530705,#411897,#411898); #360618=AXIS2_PLACEMENT_3D('',#530711,#411902,#411903); #360619=AXIS2_PLACEMENT_3D('',#530717,#411907,#411908); #360620=AXIS2_PLACEMENT_3D('',#530723,#411912,#411913); #360621=AXIS2_PLACEMENT_3D('',#530729,#411917,#411918); #360622=AXIS2_PLACEMENT_3D('',#530735,#411922,#411923); #360623=AXIS2_PLACEMENT_3D('',#530741,#411927,#411928); #360624=AXIS2_PLACEMENT_3D('',#530747,#411932,#411933); #360625=AXIS2_PLACEMENT_3D('',#530753,#411937,#411938); #360626=AXIS2_PLACEMENT_3D('',#530759,#411942,#411943); #360627=AXIS2_PLACEMENT_3D('',#530765,#411947,#411948); #360628=AXIS2_PLACEMENT_3D('',#530771,#411952,#411953); #360629=AXIS2_PLACEMENT_3D('',#530777,#411957,#411958); #360630=AXIS2_PLACEMENT_3D('',#530783,#411962,#411963); #360631=AXIS2_PLACEMENT_3D('',#530789,#411967,#411968); #360632=AXIS2_PLACEMENT_3D('',#530795,#411972,#411973); #360633=AXIS2_PLACEMENT_3D('',#530801,#411977,#411978); #360634=AXIS2_PLACEMENT_3D('',#530807,#411982,#411983); #360635=AXIS2_PLACEMENT_3D('',#530813,#411987,#411988); #360636=AXIS2_PLACEMENT_3D('',#530819,#411992,#411993); #360637=AXIS2_PLACEMENT_3D('',#530825,#411997,#411998); #360638=AXIS2_PLACEMENT_3D('',#530828,#412001,#412002); #360639=AXIS2_PLACEMENT_3D('',#530829,#412003,#412004); #360640=AXIS2_PLACEMENT_3D('',#530830,#412005,#412006); #360641=AXIS2_PLACEMENT_3D('',#530832,#412007,#412008); #360642=AXIS2_PLACEMENT_3D('',#530835,#412010,#412011); #360643=AXIS2_PLACEMENT_3D('',#530836,#412012,#412013); #360644=AXIS2_PLACEMENT_3D('',#530845,#412018,#412019); #360645=AXIS2_PLACEMENT_3D('',#530851,#412023,#412024); #360646=AXIS2_PLACEMENT_3D('',#530857,#412028,#412029); #360647=AXIS2_PLACEMENT_3D('',#530863,#412033,#412034); #360648=AXIS2_PLACEMENT_3D('',#530869,#412038,#412039); #360649=AXIS2_PLACEMENT_3D('',#530875,#412043,#412044); #360650=AXIS2_PLACEMENT_3D('',#530877,#412045,#412046); #360651=AXIS2_PLACEMENT_3D('',#530879,#412047,#412048); #360652=AXIS2_PLACEMENT_3D('',#530881,#412050,#412051); #360653=AXIS2_PLACEMENT_3D('',#530887,#412055,#412056); #360654=AXIS2_PLACEMENT_3D('',#530893,#412060,#412061); #360655=AXIS2_PLACEMENT_3D('',#530895,#412062,#412063); #360656=AXIS2_PLACEMENT_3D('',#530897,#412064,#412065); #360657=AXIS2_PLACEMENT_3D('',#530899,#412067,#412068); #360658=AXIS2_PLACEMENT_3D('',#530905,#412072,#412073); #360659=AXIS2_PLACEMENT_3D('',#530907,#412074,#412075); #360660=AXIS2_PLACEMENT_3D('',#530909,#412076,#412077); #360661=AXIS2_PLACEMENT_3D('',#530911,#412079,#412080); #360662=AXIS2_PLACEMENT_3D('',#530917,#412084,#412085); #360663=AXIS2_PLACEMENT_3D('',#530923,#412089,#412090); #360664=AXIS2_PLACEMENT_3D('',#530929,#412094,#412095); #360665=AXIS2_PLACEMENT_3D('',#530931,#412096,#412097); #360666=AXIS2_PLACEMENT_3D('',#530933,#412098,#412099); #360667=AXIS2_PLACEMENT_3D('',#530935,#412101,#412102); #360668=AXIS2_PLACEMENT_3D('',#530941,#412106,#412107); #360669=AXIS2_PLACEMENT_3D('',#530943,#412108,#412109); #360670=AXIS2_PLACEMENT_3D('',#530945,#412110,#412111); #360671=AXIS2_PLACEMENT_3D('',#530947,#412113,#412114); #360672=AXIS2_PLACEMENT_3D('',#530953,#412118,#412119); #360673=AXIS2_PLACEMENT_3D('',#530955,#412120,#412121); #360674=AXIS2_PLACEMENT_3D('',#530957,#412122,#412123); #360675=AXIS2_PLACEMENT_3D('',#530959,#412125,#412126); #360676=AXIS2_PLACEMENT_3D('',#530965,#412130,#412131); #360677=AXIS2_PLACEMENT_3D('',#530971,#412135,#412136); #360678=AXIS2_PLACEMENT_3D('',#530977,#412140,#412141); #360679=AXIS2_PLACEMENT_3D('',#530983,#412145,#412146); #360680=AXIS2_PLACEMENT_3D('',#530989,#412150,#412151); #360681=AXIS2_PLACEMENT_3D('',#530995,#412155,#412156); #360682=AXIS2_PLACEMENT_3D('',#531001,#412160,#412161); #360683=AXIS2_PLACEMENT_3D('',#531007,#412165,#412166); #360684=AXIS2_PLACEMENT_3D('',#531013,#412170,#412171); #360685=AXIS2_PLACEMENT_3D('',#531019,#412175,#412176); #360686=AXIS2_PLACEMENT_3D('',#531025,#412180,#412181); #360687=AXIS2_PLACEMENT_3D('',#531031,#412185,#412186); #360688=AXIS2_PLACEMENT_3D('',#531037,#412190,#412191); #360689=AXIS2_PLACEMENT_3D('',#531043,#412195,#412196); #360690=AXIS2_PLACEMENT_3D('',#531049,#412200,#412201); #360691=AXIS2_PLACEMENT_3D('',#531055,#412205,#412206); #360692=AXIS2_PLACEMENT_3D('',#531057,#412207,#412208); #360693=AXIS2_PLACEMENT_3D('',#531059,#412209,#412210); #360694=AXIS2_PLACEMENT_3D('',#531061,#412212,#412213); #360695=AXIS2_PLACEMENT_3D('',#531067,#412217,#412218); #360696=AXIS2_PLACEMENT_3D('',#531073,#412222,#412223); #360697=AXIS2_PLACEMENT_3D('',#531075,#412224,#412225); #360698=AXIS2_PLACEMENT_3D('',#531077,#412226,#412227); #360699=AXIS2_PLACEMENT_3D('',#531079,#412229,#412230); #360700=AXIS2_PLACEMENT_3D('',#531085,#412234,#412235); #360701=AXIS2_PLACEMENT_3D('',#531088,#412238,#412239); #360702=AXIS2_PLACEMENT_3D('',#531089,#412240,#412241); #360703=AXIS2_PLACEMENT_3D('',#531090,#412242,#412243); #360704=AXIS2_PLACEMENT_3D('',#531092,#412244,#412245); #360705=AXIS2_PLACEMENT_3D('',#531095,#412247,#412248); #360706=AXIS2_PLACEMENT_3D('',#531096,#412249,#412250); #360707=AXIS2_PLACEMENT_3D('',#531098,#412251,#412252); #360708=AXIS2_PLACEMENT_3D('',#531101,#412254,#412255); #360709=AXIS2_PLACEMENT_3D('',#531102,#412256,#412257); #360710=AXIS2_PLACEMENT_3D('',#531104,#412258,#412259); #360711=AXIS2_PLACEMENT_3D('',#531107,#412261,#412262); #360712=AXIS2_PLACEMENT_3D('',#531108,#412263,#412264); #360713=AXIS2_PLACEMENT_3D('',#531110,#412265,#412266); #360714=AXIS2_PLACEMENT_3D('',#531113,#412268,#412269); #360715=AXIS2_PLACEMENT_3D('',#531114,#412270,#412271); #360716=AXIS2_PLACEMENT_3D('',#531116,#412272,#412273); #360717=AXIS2_PLACEMENT_3D('',#531119,#412275,#412276); #360718=AXIS2_PLACEMENT_3D('',#531120,#412277,#412278); #360719=AXIS2_PLACEMENT_3D('',#531122,#412279,#412280); #360720=AXIS2_PLACEMENT_3D('',#531125,#412282,#412283); #360721=AXIS2_PLACEMENT_3D('',#531126,#412284,#412285); #360722=AXIS2_PLACEMENT_3D('',#531128,#412286,#412287); #360723=AXIS2_PLACEMENT_3D('',#531131,#412289,#412290); #360724=AXIS2_PLACEMENT_3D('',#531132,#412291,#412292); #360725=AXIS2_PLACEMENT_3D('',#531134,#412293,#412294); #360726=AXIS2_PLACEMENT_3D('',#531137,#412296,#412297); #360727=AXIS2_PLACEMENT_3D('',#531138,#412298,#412299); #360728=AXIS2_PLACEMENT_3D('',#531140,#412300,#412301); #360729=AXIS2_PLACEMENT_3D('',#531143,#412303,#412304); #360730=AXIS2_PLACEMENT_3D('',#531144,#412305,#412306); #360731=AXIS2_PLACEMENT_3D('',#531146,#412307,#412308); #360732=AXIS2_PLACEMENT_3D('',#531149,#412310,#412311); #360733=AXIS2_PLACEMENT_3D('',#531150,#412312,#412313); #360734=AXIS2_PLACEMENT_3D('',#531152,#412314,#412315); #360735=AXIS2_PLACEMENT_3D('',#531155,#412317,#412318); #360736=AXIS2_PLACEMENT_3D('',#531156,#412319,#412320); #360737=AXIS2_PLACEMENT_3D('',#531158,#412321,#412322); #360738=AXIS2_PLACEMENT_3D('',#531161,#412324,#412325); #360739=AXIS2_PLACEMENT_3D('',#531162,#412326,#412327); #360740=AXIS2_PLACEMENT_3D('',#531164,#412328,#412329); #360741=AXIS2_PLACEMENT_3D('',#531167,#412331,#412332); #360742=AXIS2_PLACEMENT_3D('',#531168,#412333,#412334); #360743=AXIS2_PLACEMENT_3D('',#531170,#412335,#412336); #360744=AXIS2_PLACEMENT_3D('',#531173,#412338,#412339); #360745=AXIS2_PLACEMENT_3D('',#531174,#412340,#412341); #360746=AXIS2_PLACEMENT_3D('',#531176,#412342,#412343); #360747=AXIS2_PLACEMENT_3D('',#531179,#412345,#412346); #360748=AXIS2_PLACEMENT_3D('',#531180,#412347,#412348); #360749=AXIS2_PLACEMENT_3D('',#531182,#412349,#412350); #360750=AXIS2_PLACEMENT_3D('',#531185,#412352,#412353); #360751=AXIS2_PLACEMENT_3D('',#531186,#412354,#412355); #360752=AXIS2_PLACEMENT_3D('',#531188,#412356,#412357); #360753=AXIS2_PLACEMENT_3D('',#531191,#412359,#412360); #360754=AXIS2_PLACEMENT_3D('',#531192,#412361,#412362); #360755=AXIS2_PLACEMENT_3D('',#531194,#412363,#412364); #360756=AXIS2_PLACEMENT_3D('',#531197,#412366,#412367); #360757=AXIS2_PLACEMENT_3D('',#531198,#412368,#412369); #360758=AXIS2_PLACEMENT_3D('',#531200,#412370,#412371); #360759=AXIS2_PLACEMENT_3D('',#531203,#412373,#412374); #360760=AXIS2_PLACEMENT_3D('',#531204,#412375,#412376); #360761=AXIS2_PLACEMENT_3D('',#531206,#412377,#412378); #360762=AXIS2_PLACEMENT_3D('',#531209,#412380,#412381); #360763=AXIS2_PLACEMENT_3D('',#531210,#412382,#412383); #360764=AXIS2_PLACEMENT_3D('',#531212,#412384,#412385); #360765=AXIS2_PLACEMENT_3D('',#531215,#412387,#412388); #360766=AXIS2_PLACEMENT_3D('',#531216,#412389,#412390); #360767=AXIS2_PLACEMENT_3D('',#531218,#412391,#412392); #360768=AXIS2_PLACEMENT_3D('',#531221,#412394,#412395); #360769=AXIS2_PLACEMENT_3D('',#531222,#412396,#412397); #360770=AXIS2_PLACEMENT_3D('',#531224,#412398,#412399); #360771=AXIS2_PLACEMENT_3D('',#531227,#412401,#412402); #360772=AXIS2_PLACEMENT_3D('',#531228,#412403,#412404); #360773=AXIS2_PLACEMENT_3D('',#531230,#412405,#412406); #360774=AXIS2_PLACEMENT_3D('',#531233,#412408,#412409); #360775=AXIS2_PLACEMENT_3D('',#531234,#412410,#412411); #360776=AXIS2_PLACEMENT_3D('',#531236,#412412,#412413); #360777=AXIS2_PLACEMENT_3D('',#531239,#412415,#412416); #360778=AXIS2_PLACEMENT_3D('',#531240,#412417,#412418); #360779=AXIS2_PLACEMENT_3D('',#531242,#412419,#412420); #360780=AXIS2_PLACEMENT_3D('',#531245,#412422,#412423); #360781=AXIS2_PLACEMENT_3D('',#531246,#412424,#412425); #360782=AXIS2_PLACEMENT_3D('',#531248,#412426,#412427); #360783=AXIS2_PLACEMENT_3D('',#531251,#412429,#412430); #360784=AXIS2_PLACEMENT_3D('',#531252,#412431,#412432); #360785=AXIS2_PLACEMENT_3D('',#531254,#412433,#412434); #360786=AXIS2_PLACEMENT_3D('',#531257,#412436,#412437); #360787=AXIS2_PLACEMENT_3D('',#531258,#412438,#412439); #360788=AXIS2_PLACEMENT_3D('',#531260,#412440,#412441); #360789=AXIS2_PLACEMENT_3D('',#531263,#412443,#412444); #360790=AXIS2_PLACEMENT_3D('',#531264,#412445,#412446); #360791=AXIS2_PLACEMENT_3D('',#531266,#412447,#412448); #360792=AXIS2_PLACEMENT_3D('',#531269,#412450,#412451); #360793=AXIS2_PLACEMENT_3D('',#531270,#412452,#412453); #360794=AXIS2_PLACEMENT_3D('',#531272,#412454,#412455); #360795=AXIS2_PLACEMENT_3D('',#531275,#412457,#412458); #360796=AXIS2_PLACEMENT_3D('',#531276,#412459,#412460); #360797=AXIS2_PLACEMENT_3D('',#531285,#412465,#412466); #360798=AXIS2_PLACEMENT_3D('',#531287,#412467,#412468); #360799=AXIS2_PLACEMENT_3D('',#531289,#412469,#412470); #360800=AXIS2_PLACEMENT_3D('',#531291,#412472,#412473); #360801=AXIS2_PLACEMENT_3D('',#531297,#412477,#412478); #360802=AXIS2_PLACEMENT_3D('',#531303,#412482,#412483); #360803=AXIS2_PLACEMENT_3D('',#531309,#412487,#412488); #360804=AXIS2_PLACEMENT_3D('',#531311,#412489,#412490); #360805=AXIS2_PLACEMENT_3D('',#531313,#412491,#412492); #360806=AXIS2_PLACEMENT_3D('',#531315,#412494,#412495); #360807=AXIS2_PLACEMENT_3D('',#531321,#412499,#412500); #360808=AXIS2_PLACEMENT_3D('',#531323,#412501,#412502); #360809=AXIS2_PLACEMENT_3D('',#531325,#412503,#412504); #360810=AXIS2_PLACEMENT_3D('',#531327,#412506,#412507); #360811=AXIS2_PLACEMENT_3D('',#531333,#412511,#412512); #360812=AXIS2_PLACEMENT_3D('',#531339,#412516,#412517); #360813=AXIS2_PLACEMENT_3D('',#531345,#412521,#412522); #360814=AXIS2_PLACEMENT_3D('',#531351,#412526,#412527); #360815=AXIS2_PLACEMENT_3D('',#531357,#412531,#412532); #360816=AXIS2_PLACEMENT_3D('',#531363,#412536,#412537); #360817=AXIS2_PLACEMENT_3D('',#531369,#412541,#412542); #360818=AXIS2_PLACEMENT_3D('',#531375,#412546,#412547); #360819=AXIS2_PLACEMENT_3D('',#531381,#412551,#412552); #360820=AXIS2_PLACEMENT_3D('',#531387,#412556,#412557); #360821=AXIS2_PLACEMENT_3D('',#531393,#412561,#412562); #360822=AXIS2_PLACEMENT_3D('',#531399,#412566,#412567); #360823=AXIS2_PLACEMENT_3D('',#531405,#412571,#412572); #360824=AXIS2_PLACEMENT_3D('',#531411,#412576,#412577); #360825=AXIS2_PLACEMENT_3D('',#531417,#412581,#412582); #360826=AXIS2_PLACEMENT_3D('',#531423,#412586,#412587); #360827=AXIS2_PLACEMENT_3D('',#531429,#412591,#412592); #360828=AXIS2_PLACEMENT_3D('',#531435,#412596,#412597); #360829=AXIS2_PLACEMENT_3D('',#531441,#412601,#412602); #360830=AXIS2_PLACEMENT_3D('',#531447,#412606,#412607); #360831=AXIS2_PLACEMENT_3D('',#531453,#412611,#412612); #360832=AXIS2_PLACEMENT_3D('',#531459,#412616,#412617); #360833=AXIS2_PLACEMENT_3D('',#531465,#412621,#412622); #360834=AXIS2_PLACEMENT_3D('',#531471,#412626,#412627); #360835=AXIS2_PLACEMENT_3D('',#531477,#412631,#412632); #360836=AXIS2_PLACEMENT_3D('',#531483,#412636,#412637); #360837=AXIS2_PLACEMENT_3D('',#531489,#412641,#412642); #360838=AXIS2_PLACEMENT_3D('',#531495,#412646,#412647); #360839=AXIS2_PLACEMENT_3D('',#531501,#412651,#412652); #360840=AXIS2_PLACEMENT_3D('',#531507,#412656,#412657); #360841=AXIS2_PLACEMENT_3D('',#531513,#412661,#412662); #360842=AXIS2_PLACEMENT_3D('',#531519,#412666,#412667); #360843=AXIS2_PLACEMENT_3D('',#531525,#412671,#412672); #360844=AXIS2_PLACEMENT_3D('',#531531,#412676,#412677); #360845=AXIS2_PLACEMENT_3D('',#531537,#412681,#412682); #360846=AXIS2_PLACEMENT_3D('',#531543,#412686,#412687); #360847=AXIS2_PLACEMENT_3D('',#531549,#412691,#412692); #360848=AXIS2_PLACEMENT_3D('',#531555,#412696,#412697); #360849=AXIS2_PLACEMENT_3D('',#531561,#412701,#412702); #360850=AXIS2_PLACEMENT_3D('',#531567,#412706,#412707); #360851=AXIS2_PLACEMENT_3D('',#531573,#412711,#412712); #360852=AXIS2_PLACEMENT_3D('',#531579,#412716,#412717); #360853=AXIS2_PLACEMENT_3D('',#531585,#412721,#412722); #360854=AXIS2_PLACEMENT_3D('',#531591,#412726,#412727); #360855=AXIS2_PLACEMENT_3D('',#531597,#412731,#412732); #360856=AXIS2_PLACEMENT_3D('',#531603,#412736,#412737); #360857=AXIS2_PLACEMENT_3D('',#531609,#412741,#412742); #360858=AXIS2_PLACEMENT_3D('',#531615,#412746,#412747); #360859=AXIS2_PLACEMENT_3D('',#531621,#412751,#412752); #360860=AXIS2_PLACEMENT_3D('',#531627,#412756,#412757); #360861=AXIS2_PLACEMENT_3D('',#531633,#412761,#412762); #360862=AXIS2_PLACEMENT_3D('',#531639,#412766,#412767); #360863=AXIS2_PLACEMENT_3D('',#531645,#412771,#412772); #360864=AXIS2_PLACEMENT_3D('',#531651,#412776,#412777); #360865=AXIS2_PLACEMENT_3D('',#531657,#412781,#412782); #360866=AXIS2_PLACEMENT_3D('',#531663,#412786,#412787); #360867=AXIS2_PLACEMENT_3D('',#531669,#412791,#412792); #360868=AXIS2_PLACEMENT_3D('',#531675,#412796,#412797); #360869=AXIS2_PLACEMENT_3D('',#531681,#412801,#412802); #360870=AXIS2_PLACEMENT_3D('',#531687,#412806,#412807); #360871=AXIS2_PLACEMENT_3D('',#531693,#412811,#412812); #360872=AXIS2_PLACEMENT_3D('',#531699,#412816,#412817); #360873=AXIS2_PLACEMENT_3D('',#531705,#412821,#412822); #360874=AXIS2_PLACEMENT_3D('',#531711,#412826,#412827); #360875=AXIS2_PLACEMENT_3D('',#531717,#412831,#412832); #360876=AXIS2_PLACEMENT_3D('',#531723,#412836,#412837); #360877=AXIS2_PLACEMENT_3D('',#531729,#412841,#412842); #360878=AXIS2_PLACEMENT_3D('',#531735,#412846,#412847); #360879=AXIS2_PLACEMENT_3D('',#531741,#412851,#412852); #360880=AXIS2_PLACEMENT_3D('',#531747,#412856,#412857); #360881=AXIS2_PLACEMENT_3D('',#531753,#412861,#412862); #360882=AXIS2_PLACEMENT_3D('',#531759,#412866,#412867); #360883=AXIS2_PLACEMENT_3D('',#531761,#412868,#412869); #360884=AXIS2_PLACEMENT_3D('',#531763,#412870,#412871); #360885=AXIS2_PLACEMENT_3D('',#531765,#412873,#412874); #360886=AXIS2_PLACEMENT_3D('',#531771,#412878,#412879); #360887=AXIS2_PLACEMENT_3D('',#531773,#412880,#412881); #360888=AXIS2_PLACEMENT_3D('',#531775,#412882,#412883); #360889=AXIS2_PLACEMENT_3D('',#531777,#412885,#412886); #360890=AXIS2_PLACEMENT_3D('',#531783,#412890,#412891); #360891=AXIS2_PLACEMENT_3D('',#531784,#412892,#412893); #360892=AXIS2_PLACEMENT_3D('',#531785,#412894,#412895); #360893=AXIS2_PLACEMENT_3D('',#531786,#412896,#412897); #360894=AXIS2_PLACEMENT_3D('',#531787,#412898,#412899); #360895=AXIS2_PLACEMENT_3D('',#531788,#412900,#412901); #360896=AXIS2_PLACEMENT_3D('',#531790,#412902,#412903); #360897=AXIS2_PLACEMENT_3D('',#531793,#412905,#412906); #360898=AXIS2_PLACEMENT_3D('',#531794,#412907,#412908); #360899=AXIS2_PLACEMENT_3D('',#531803,#412913,#412914); #360900=AXIS2_PLACEMENT_3D('',#531809,#412918,#412919); #360901=AXIS2_PLACEMENT_3D('',#531815,#412923,#412924); #360902=AXIS2_PLACEMENT_3D('',#531821,#412928,#412929); #360903=AXIS2_PLACEMENT_3D('',#531827,#412933,#412934); #360904=AXIS2_PLACEMENT_3D('',#531833,#412938,#412939); #360905=AXIS2_PLACEMENT_3D('',#531835,#412940,#412941); #360906=AXIS2_PLACEMENT_3D('',#531837,#412942,#412943); #360907=AXIS2_PLACEMENT_3D('',#531839,#412945,#412946); #360908=AXIS2_PLACEMENT_3D('',#531845,#412950,#412951); #360909=AXIS2_PLACEMENT_3D('',#531851,#412955,#412956); #360910=AXIS2_PLACEMENT_3D('',#531853,#412957,#412958); #360911=AXIS2_PLACEMENT_3D('',#531855,#412959,#412960); #360912=AXIS2_PLACEMENT_3D('',#531857,#412962,#412963); #360913=AXIS2_PLACEMENT_3D('',#531863,#412967,#412968); #360914=AXIS2_PLACEMENT_3D('',#531865,#412969,#412970); #360915=AXIS2_PLACEMENT_3D('',#531867,#412971,#412972); #360916=AXIS2_PLACEMENT_3D('',#531869,#412974,#412975); #360917=AXIS2_PLACEMENT_3D('',#531871,#412976,#412977); #360918=AXIS2_PLACEMENT_3D('',#531873,#412978,#412979); #360919=AXIS2_PLACEMENT_3D('',#531875,#412981,#412982); #360920=AXIS2_PLACEMENT_3D('',#531881,#412986,#412987); #360921=AXIS2_PLACEMENT_3D('',#531887,#412991,#412992); #360922=AXIS2_PLACEMENT_3D('',#531889,#412993,#412994); #360923=AXIS2_PLACEMENT_3D('',#531891,#412995,#412996); #360924=AXIS2_PLACEMENT_3D('',#531893,#412998,#412999); #360925=AXIS2_PLACEMENT_3D('',#531899,#413003,#413004); #360926=AXIS2_PLACEMENT_3D('',#531905,#413008,#413009); #360927=AXIS2_PLACEMENT_3D('',#531907,#413010,#413011); #360928=AXIS2_PLACEMENT_3D('',#531909,#413012,#413013); #360929=AXIS2_PLACEMENT_3D('',#531911,#413015,#413016); #360930=AXIS2_PLACEMENT_3D('',#531917,#413020,#413021); #360931=AXIS2_PLACEMENT_3D('',#531920,#413024,#413025); #360932=AXIS2_PLACEMENT_3D('',#531921,#413026,#413027); #360933=AXIS2_PLACEMENT_3D('',#531922,#413028,#413029); #360934=AXIS2_PLACEMENT_3D('',#531924,#413030,#413031); #360935=AXIS2_PLACEMENT_3D('',#531927,#413033,#413034); #360936=AXIS2_PLACEMENT_3D('',#531928,#413035,#413036); #360937=AXIS2_PLACEMENT_3D('',#531930,#413037,#413038); #360938=AXIS2_PLACEMENT_3D('',#531933,#413040,#413041); #360939=AXIS2_PLACEMENT_3D('',#531934,#413042,#413043); #360940=AXIS2_PLACEMENT_3D('',#531936,#413044,#413045); #360941=AXIS2_PLACEMENT_3D('',#531939,#413047,#413048); #360942=AXIS2_PLACEMENT_3D('',#531940,#413049,#413050); #360943=AXIS2_PLACEMENT_3D('',#531942,#413051,#413052); #360944=AXIS2_PLACEMENT_3D('',#531945,#413054,#413055); #360945=AXIS2_PLACEMENT_3D('',#531946,#413056,#413057); #360946=AXIS2_PLACEMENT_3D('',#531948,#413058,#413059); #360947=AXIS2_PLACEMENT_3D('',#531951,#413061,#413062); #360948=AXIS2_PLACEMENT_3D('',#531952,#413063,#413064); #360949=AXIS2_PLACEMENT_3D('',#531954,#413065,#413066); #360950=AXIS2_PLACEMENT_3D('',#531957,#413068,#413069); #360951=AXIS2_PLACEMENT_3D('',#531958,#413070,#413071); #360952=AXIS2_PLACEMENT_3D('',#531960,#413072,#413073); #360953=AXIS2_PLACEMENT_3D('',#531963,#413075,#413076); #360954=AXIS2_PLACEMENT_3D('',#531964,#413077,#413078); #360955=AXIS2_PLACEMENT_3D('',#531966,#413079,#413080); #360956=AXIS2_PLACEMENT_3D('',#531969,#413082,#413083); #360957=AXIS2_PLACEMENT_3D('',#531970,#413084,#413085); #360958=AXIS2_PLACEMENT_3D('',#531972,#413086,#413087); #360959=AXIS2_PLACEMENT_3D('',#531975,#413089,#413090); #360960=AXIS2_PLACEMENT_3D('',#531976,#413091,#413092); #360961=AXIS2_PLACEMENT_3D('',#531978,#413093,#413094); #360962=AXIS2_PLACEMENT_3D('',#531981,#413096,#413097); #360963=AXIS2_PLACEMENT_3D('',#531982,#413098,#413099); #360964=AXIS2_PLACEMENT_3D('',#531984,#413100,#413101); #360965=AXIS2_PLACEMENT_3D('',#531987,#413103,#413104); #360966=AXIS2_PLACEMENT_3D('',#531988,#413105,#413106); #360967=AXIS2_PLACEMENT_3D('',#531997,#413111,#413112); #360968=AXIS2_PLACEMENT_3D('',#532003,#413116,#413117); #360969=AXIS2_PLACEMENT_3D('',#532009,#413121,#413122); #360970=AXIS2_PLACEMENT_3D('',#532015,#413126,#413127); #360971=AXIS2_PLACEMENT_3D('',#532021,#413131,#413132); #360972=AXIS2_PLACEMENT_3D('',#532027,#413136,#413137); #360973=AXIS2_PLACEMENT_3D('',#532033,#413141,#413142); #360974=AXIS2_PLACEMENT_3D('',#532039,#413146,#413147); #360975=AXIS2_PLACEMENT_3D('',#532045,#413151,#413152); #360976=AXIS2_PLACEMENT_3D('',#532051,#413156,#413157); #360977=AXIS2_PLACEMENT_3D('',#532057,#413161,#413162); #360978=AXIS2_PLACEMENT_3D('',#532063,#413166,#413167); #360979=AXIS2_PLACEMENT_3D('',#532069,#413171,#413172); #360980=AXIS2_PLACEMENT_3D('',#532075,#413176,#413177); #360981=AXIS2_PLACEMENT_3D('',#532081,#413181,#413182); #360982=AXIS2_PLACEMENT_3D('',#532087,#413186,#413187); #360983=AXIS2_PLACEMENT_3D('',#532093,#413191,#413192); #360984=AXIS2_PLACEMENT_3D('',#532099,#413196,#413197); #360985=AXIS2_PLACEMENT_3D('',#532105,#413201,#413202); #360986=AXIS2_PLACEMENT_3D('',#532111,#413206,#413207); #360987=AXIS2_PLACEMENT_3D('',#532117,#413211,#413212); #360988=AXIS2_PLACEMENT_3D('',#532123,#413216,#413217); #360989=AXIS2_PLACEMENT_3D('',#532129,#413221,#413222); #360990=AXIS2_PLACEMENT_3D('',#532135,#413226,#413227); #360991=AXIS2_PLACEMENT_3D('',#532137,#413228,#413229); #360992=AXIS2_PLACEMENT_3D('',#532139,#413230,#413231); #360993=AXIS2_PLACEMENT_3D('',#532141,#413233,#413234); #360994=AXIS2_PLACEMENT_3D('',#532147,#413238,#413239); #360995=AXIS2_PLACEMENT_3D('',#532153,#413243,#413244); #360996=AXIS2_PLACEMENT_3D('',#532155,#413245,#413246); #360997=AXIS2_PLACEMENT_3D('',#532157,#413247,#413248); #360998=AXIS2_PLACEMENT_3D('',#532159,#413250,#413251); #360999=AXIS2_PLACEMENT_3D('',#532165,#413255,#413256); #361000=AXIS2_PLACEMENT_3D('',#532171,#413260,#413261); #361001=AXIS2_PLACEMENT_3D('',#532177,#413265,#413266); #361002=AXIS2_PLACEMENT_3D('',#532183,#413270,#413271); #361003=AXIS2_PLACEMENT_3D('',#532189,#413275,#413276); #361004=AXIS2_PLACEMENT_3D('',#532195,#413280,#413281); #361005=AXIS2_PLACEMENT_3D('',#532201,#413285,#413286); #361006=AXIS2_PLACEMENT_3D('',#532207,#413290,#413291); #361007=AXIS2_PLACEMENT_3D('',#532209,#413292,#413293); #361008=AXIS2_PLACEMENT_3D('',#532211,#413294,#413295); #361009=AXIS2_PLACEMENT_3D('',#532213,#413297,#413298); #361010=AXIS2_PLACEMENT_3D('',#532219,#413302,#413303); #361011=AXIS2_PLACEMENT_3D('',#532221,#413304,#413305); #361012=AXIS2_PLACEMENT_3D('',#532223,#413306,#413307); #361013=AXIS2_PLACEMENT_3D('',#532225,#413309,#413310); #361014=AXIS2_PLACEMENT_3D('',#532231,#413314,#413315); #361015=AXIS2_PLACEMENT_3D('',#532237,#413319,#413320); #361016=AXIS2_PLACEMENT_3D('',#532243,#413324,#413325); #361017=AXIS2_PLACEMENT_3D('',#532249,#413329,#413330); #361018=AXIS2_PLACEMENT_3D('',#532255,#413334,#413335); #361019=AXIS2_PLACEMENT_3D('',#532261,#413339,#413340); #361020=AXIS2_PLACEMENT_3D('',#532267,#413344,#413345); #361021=AXIS2_PLACEMENT_3D('',#532273,#413349,#413350); #361022=AXIS2_PLACEMENT_3D('',#532279,#413354,#413355); #361023=AXIS2_PLACEMENT_3D('',#532285,#413359,#413360); #361024=AXIS2_PLACEMENT_3D('',#532291,#413364,#413365); #361025=AXIS2_PLACEMENT_3D('',#532297,#413369,#413370); #361026=AXIS2_PLACEMENT_3D('',#532303,#413374,#413375); #361027=AXIS2_PLACEMENT_3D('',#532309,#413379,#413380); #361028=AXIS2_PLACEMENT_3D('',#532315,#413384,#413385); #361029=AXIS2_PLACEMENT_3D('',#532317,#413386,#413387); #361030=AXIS2_PLACEMENT_3D('',#532319,#413388,#413389); #361031=AXIS2_PLACEMENT_3D('',#532321,#413391,#413392); #361032=AXIS2_PLACEMENT_3D('',#532327,#413396,#413397); #361033=AXIS2_PLACEMENT_3D('',#532329,#413398,#413399); #361034=AXIS2_PLACEMENT_3D('',#532331,#413400,#413401); #361035=AXIS2_PLACEMENT_3D('',#532333,#413403,#413404); #361036=AXIS2_PLACEMENT_3D('',#532339,#413408,#413409); #361037=AXIS2_PLACEMENT_3D('',#532345,#413413,#413414); #361038=AXIS2_PLACEMENT_3D('',#532351,#413418,#413419); #361039=AXIS2_PLACEMENT_3D('',#532357,#413423,#413424); #361040=AXIS2_PLACEMENT_3D('',#532363,#413428,#413429); #361041=AXIS2_PLACEMENT_3D('',#532369,#413433,#413434); #361042=AXIS2_PLACEMENT_3D('',#532375,#413438,#413439); #361043=AXIS2_PLACEMENT_3D('',#532381,#413443,#413444); #361044=AXIS2_PLACEMENT_3D('',#532387,#413448,#413449); #361045=AXIS2_PLACEMENT_3D('',#532393,#413453,#413454); #361046=AXIS2_PLACEMENT_3D('',#532399,#413458,#413459); #361047=AXIS2_PLACEMENT_3D('',#532405,#413463,#413464); #361048=AXIS2_PLACEMENT_3D('',#532411,#413468,#413469); #361049=AXIS2_PLACEMENT_3D('',#532417,#413473,#413474); #361050=AXIS2_PLACEMENT_3D('',#532423,#413478,#413479); #361051=AXIS2_PLACEMENT_3D('',#532429,#413483,#413484); #361052=AXIS2_PLACEMENT_3D('',#532435,#413488,#413489); #361053=AXIS2_PLACEMENT_3D('',#532441,#413493,#413494); #361054=AXIS2_PLACEMENT_3D('',#532447,#413498,#413499); #361055=AXIS2_PLACEMENT_3D('',#532453,#413503,#413504); #361056=AXIS2_PLACEMENT_3D('',#532459,#413508,#413509); #361057=AXIS2_PLACEMENT_3D('',#532465,#413513,#413514); #361058=AXIS2_PLACEMENT_3D('',#532471,#413518,#413519); #361059=AXIS2_PLACEMENT_3D('',#532477,#413523,#413524); #361060=AXIS2_PLACEMENT_3D('',#532483,#413528,#413529); #361061=AXIS2_PLACEMENT_3D('',#532489,#413533,#413534); #361062=AXIS2_PLACEMENT_3D('',#532495,#413538,#413539); #361063=AXIS2_PLACEMENT_3D('',#532501,#413543,#413544); #361064=AXIS2_PLACEMENT_3D('',#532507,#413548,#413549); #361065=AXIS2_PLACEMENT_3D('',#532513,#413553,#413554); #361066=AXIS2_PLACEMENT_3D('',#532519,#413558,#413559); #361067=AXIS2_PLACEMENT_3D('',#532525,#413563,#413564); #361068=AXIS2_PLACEMENT_3D('',#532531,#413568,#413569); #361069=AXIS2_PLACEMENT_3D('',#532537,#413573,#413574); #361070=AXIS2_PLACEMENT_3D('',#532543,#413578,#413579); #361071=AXIS2_PLACEMENT_3D('',#532549,#413583,#413584); #361072=AXIS2_PLACEMENT_3D('',#532555,#413588,#413589); #361073=AXIS2_PLACEMENT_3D('',#532561,#413593,#413594); #361074=AXIS2_PLACEMENT_3D('',#532567,#413598,#413599); #361075=AXIS2_PLACEMENT_3D('',#532573,#413603,#413604); #361076=AXIS2_PLACEMENT_3D('',#532579,#413608,#413609); #361077=AXIS2_PLACEMENT_3D('',#532585,#413613,#413614); #361078=AXIS2_PLACEMENT_3D('',#532591,#413618,#413619); #361079=AXIS2_PLACEMENT_3D('',#532597,#413623,#413624); #361080=AXIS2_PLACEMENT_3D('',#532603,#413628,#413629); #361081=AXIS2_PLACEMENT_3D('',#532609,#413633,#413634); #361082=AXIS2_PLACEMENT_3D('',#532615,#413638,#413639); #361083=AXIS2_PLACEMENT_3D('',#532621,#413643,#413644); #361084=AXIS2_PLACEMENT_3D('',#532627,#413648,#413649); #361085=AXIS2_PLACEMENT_3D('',#532633,#413653,#413654); #361086=AXIS2_PLACEMENT_3D('',#532639,#413658,#413659); #361087=AXIS2_PLACEMENT_3D('',#532645,#413663,#413664); #361088=AXIS2_PLACEMENT_3D('',#532651,#413668,#413669); #361089=AXIS2_PLACEMENT_3D('',#532657,#413673,#413674); #361090=AXIS2_PLACEMENT_3D('',#532663,#413678,#413679); #361091=AXIS2_PLACEMENT_3D('',#532669,#413683,#413684); #361092=AXIS2_PLACEMENT_3D('',#532675,#413688,#413689); #361093=AXIS2_PLACEMENT_3D('',#532681,#413693,#413694); #361094=AXIS2_PLACEMENT_3D('',#532687,#413698,#413699); #361095=AXIS2_PLACEMENT_3D('',#532693,#413703,#413704); #361096=AXIS2_PLACEMENT_3D('',#532699,#413708,#413709); #361097=AXIS2_PLACEMENT_3D('',#532705,#413713,#413714); #361098=AXIS2_PLACEMENT_3D('',#532711,#413718,#413719); #361099=AXIS2_PLACEMENT_3D('',#532717,#413723,#413724); #361100=AXIS2_PLACEMENT_3D('',#532723,#413728,#413729); #361101=AXIS2_PLACEMENT_3D('',#532729,#413733,#413734); #361102=AXIS2_PLACEMENT_3D('',#532732,#413737,#413738); #361103=AXIS2_PLACEMENT_3D('',#532733,#413739,#413740); #361104=AXIS2_PLACEMENT_3D('',#532734,#413741,#413742); #361105=AXIS2_PLACEMENT_3D('',#532736,#413743,#413744); #361106=AXIS2_PLACEMENT_3D('',#532739,#413746,#413747); #361107=AXIS2_PLACEMENT_3D('',#532740,#413748,#413749); #361108=AXIS2_PLACEMENT_3D('',#532742,#413750,#413751); #361109=AXIS2_PLACEMENT_3D('',#532745,#413753,#413754); #361110=AXIS2_PLACEMENT_3D('',#532746,#413755,#413756); #361111=AXIS2_PLACEMENT_3D('',#532748,#413757,#413758); #361112=AXIS2_PLACEMENT_3D('',#532751,#413760,#413761); #361113=AXIS2_PLACEMENT_3D('',#532752,#413762,#413763); #361114=AXIS2_PLACEMENT_3D('',#532754,#413764,#413765); #361115=AXIS2_PLACEMENT_3D('',#532757,#413767,#413768); #361116=AXIS2_PLACEMENT_3D('',#532758,#413769,#413770); #361117=AXIS2_PLACEMENT_3D('',#532760,#413771,#413772); #361118=AXIS2_PLACEMENT_3D('',#532763,#413774,#413775); #361119=AXIS2_PLACEMENT_3D('',#532764,#413776,#413777); #361120=AXIS2_PLACEMENT_3D('',#532766,#413778,#413779); #361121=AXIS2_PLACEMENT_3D('',#532769,#413781,#413782); #361122=AXIS2_PLACEMENT_3D('',#532770,#413783,#413784); #361123=AXIS2_PLACEMENT_3D('',#532772,#413785,#413786); #361124=AXIS2_PLACEMENT_3D('',#532775,#413788,#413789); #361125=AXIS2_PLACEMENT_3D('',#532776,#413790,#413791); #361126=AXIS2_PLACEMENT_3D('',#532778,#413792,#413793); #361127=AXIS2_PLACEMENT_3D('',#532781,#413795,#413796); #361128=AXIS2_PLACEMENT_3D('',#532782,#413797,#413798); #361129=AXIS2_PLACEMENT_3D('',#532784,#413799,#413800); #361130=AXIS2_PLACEMENT_3D('',#532787,#413802,#413803); #361131=AXIS2_PLACEMENT_3D('',#532788,#413804,#413805); #361132=AXIS2_PLACEMENT_3D('',#532790,#413806,#413807); #361133=AXIS2_PLACEMENT_3D('',#532793,#413809,#413810); #361134=AXIS2_PLACEMENT_3D('',#532794,#413811,#413812); #361135=AXIS2_PLACEMENT_3D('',#532796,#413813,#413814); #361136=AXIS2_PLACEMENT_3D('',#532799,#413816,#413817); #361137=AXIS2_PLACEMENT_3D('',#532800,#413818,#413819); #361138=AXIS2_PLACEMENT_3D('',#532802,#413820,#413821); #361139=AXIS2_PLACEMENT_3D('',#532805,#413823,#413824); #361140=AXIS2_PLACEMENT_3D('',#532806,#413825,#413826); #361141=AXIS2_PLACEMENT_3D('',#532808,#413827,#413828); #361142=AXIS2_PLACEMENT_3D('',#532811,#413830,#413831); #361143=AXIS2_PLACEMENT_3D('',#532812,#413832,#413833); #361144=AXIS2_PLACEMENT_3D('',#532814,#413834,#413835); #361145=AXIS2_PLACEMENT_3D('',#532817,#413837,#413838); #361146=AXIS2_PLACEMENT_3D('',#532818,#413839,#413840); #361147=AXIS2_PLACEMENT_3D('',#532820,#413841,#413842); #361148=AXIS2_PLACEMENT_3D('',#532823,#413844,#413845); #361149=AXIS2_PLACEMENT_3D('',#532824,#413846,#413847); #361150=AXIS2_PLACEMENT_3D('',#532826,#413848,#413849); #361151=AXIS2_PLACEMENT_3D('',#532829,#413851,#413852); #361152=AXIS2_PLACEMENT_3D('',#532830,#413853,#413854); #361153=AXIS2_PLACEMENT_3D('',#532832,#413855,#413856); #361154=AXIS2_PLACEMENT_3D('',#532835,#413858,#413859); #361155=AXIS2_PLACEMENT_3D('',#532836,#413860,#413861); #361156=AXIS2_PLACEMENT_3D('',#532838,#413862,#413863); #361157=AXIS2_PLACEMENT_3D('',#532841,#413865,#413866); #361158=AXIS2_PLACEMENT_3D('',#532842,#413867,#413868); #361159=AXIS2_PLACEMENT_3D('',#532844,#413869,#413870); #361160=AXIS2_PLACEMENT_3D('',#532847,#413872,#413873); #361161=AXIS2_PLACEMENT_3D('',#532848,#413874,#413875); #361162=AXIS2_PLACEMENT_3D('',#532850,#413876,#413877); #361163=AXIS2_PLACEMENT_3D('',#532853,#413879,#413880); #361164=AXIS2_PLACEMENT_3D('',#532854,#413881,#413882); #361165=AXIS2_PLACEMENT_3D('',#532856,#413883,#413884); #361166=AXIS2_PLACEMENT_3D('',#532859,#413886,#413887); #361167=AXIS2_PLACEMENT_3D('',#532860,#413888,#413889); #361168=AXIS2_PLACEMENT_3D('',#532862,#413890,#413891); #361169=AXIS2_PLACEMENT_3D('',#532865,#413893,#413894); #361170=AXIS2_PLACEMENT_3D('',#532866,#413895,#413896); #361171=AXIS2_PLACEMENT_3D('',#532868,#413897,#413898); #361172=AXIS2_PLACEMENT_3D('',#532871,#413900,#413901); #361173=AXIS2_PLACEMENT_3D('',#532872,#413902,#413903); #361174=AXIS2_PLACEMENT_3D('',#532874,#413904,#413905); #361175=AXIS2_PLACEMENT_3D('',#532877,#413907,#413908); #361176=AXIS2_PLACEMENT_3D('',#532878,#413909,#413910); #361177=AXIS2_PLACEMENT_3D('',#532880,#413911,#413912); #361178=AXIS2_PLACEMENT_3D('',#532883,#413914,#413915); #361179=AXIS2_PLACEMENT_3D('',#532884,#413916,#413917); #361180=AXIS2_PLACEMENT_3D('',#532886,#413918,#413919); #361181=AXIS2_PLACEMENT_3D('',#532889,#413921,#413922); #361182=AXIS2_PLACEMENT_3D('',#532890,#413923,#413924); #361183=AXIS2_PLACEMENT_3D('',#532892,#413925,#413926); #361184=AXIS2_PLACEMENT_3D('',#532895,#413928,#413929); #361185=AXIS2_PLACEMENT_3D('',#532896,#413930,#413931); #361186=AXIS2_PLACEMENT_3D('',#532898,#413932,#413933); #361187=AXIS2_PLACEMENT_3D('',#532901,#413935,#413936); #361188=AXIS2_PLACEMENT_3D('',#532902,#413937,#413938); #361189=AXIS2_PLACEMENT_3D('',#532904,#413939,#413940); #361190=AXIS2_PLACEMENT_3D('',#532907,#413942,#413943); #361191=AXIS2_PLACEMENT_3D('',#532908,#413944,#413945); #361192=AXIS2_PLACEMENT_3D('',#532910,#413946,#413947); #361193=AXIS2_PLACEMENT_3D('',#532913,#413949,#413950); #361194=AXIS2_PLACEMENT_3D('',#532914,#413951,#413952); #361195=AXIS2_PLACEMENT_3D('',#532916,#413953,#413954); #361196=AXIS2_PLACEMENT_3D('',#532919,#413956,#413957); #361197=AXIS2_PLACEMENT_3D('',#532920,#413958,#413959); #361198=AXIS2_PLACEMENT_3D('',#532929,#413964,#413965); #361199=AXIS2_PLACEMENT_3D('',#532931,#413966,#413967); #361200=AXIS2_PLACEMENT_3D('',#532933,#413968,#413969); #361201=AXIS2_PLACEMENT_3D('',#532935,#413971,#413972); #361202=AXIS2_PLACEMENT_3D('',#532941,#413976,#413977); #361203=AXIS2_PLACEMENT_3D('',#532943,#413978,#413979); #361204=AXIS2_PLACEMENT_3D('',#532945,#413980,#413981); #361205=AXIS2_PLACEMENT_3D('',#532947,#413983,#413984); #361206=AXIS2_PLACEMENT_3D('',#532953,#413988,#413989); #361207=AXIS2_PLACEMENT_3D('',#532955,#413990,#413991); #361208=AXIS2_PLACEMENT_3D('',#532957,#413992,#413993); #361209=AXIS2_PLACEMENT_3D('',#532959,#413995,#413996); #361210=AXIS2_PLACEMENT_3D('',#532965,#414000,#414001); #361211=AXIS2_PLACEMENT_3D('',#532971,#414005,#414006); #361212=AXIS2_PLACEMENT_3D('',#532977,#414010,#414011); #361213=AXIS2_PLACEMENT_3D('',#532983,#414015,#414016); #361214=AXIS2_PLACEMENT_3D('',#532989,#414020,#414021); #361215=AXIS2_PLACEMENT_3D('',#532995,#414025,#414026); #361216=AXIS2_PLACEMENT_3D('',#533001,#414030,#414031); #361217=AXIS2_PLACEMENT_3D('',#533007,#414035,#414036); #361218=AXIS2_PLACEMENT_3D('',#533013,#414040,#414041); #361219=AXIS2_PLACEMENT_3D('',#533019,#414045,#414046); #361220=AXIS2_PLACEMENT_3D('',#533025,#414050,#414051); #361221=AXIS2_PLACEMENT_3D('',#533031,#414055,#414056); #361222=AXIS2_PLACEMENT_3D('',#533037,#414060,#414061); #361223=AXIS2_PLACEMENT_3D('',#533043,#414065,#414066); #361224=AXIS2_PLACEMENT_3D('',#533049,#414070,#414071); #361225=AXIS2_PLACEMENT_3D('',#533055,#414075,#414076); #361226=AXIS2_PLACEMENT_3D('',#533061,#414080,#414081); #361227=AXIS2_PLACEMENT_3D('',#533067,#414085,#414086); #361228=AXIS2_PLACEMENT_3D('',#533073,#414090,#414091); #361229=AXIS2_PLACEMENT_3D('',#533079,#414095,#414096); #361230=AXIS2_PLACEMENT_3D('',#533085,#414100,#414101); #361231=AXIS2_PLACEMENT_3D('',#533091,#414105,#414106); #361232=AXIS2_PLACEMENT_3D('',#533097,#414110,#414111); #361233=AXIS2_PLACEMENT_3D('',#533103,#414115,#414116); #361234=AXIS2_PLACEMENT_3D('',#533109,#414120,#414121); #361235=AXIS2_PLACEMENT_3D('',#533115,#414125,#414126); #361236=AXIS2_PLACEMENT_3D('',#533121,#414130,#414131); #361237=AXIS2_PLACEMENT_3D('',#533127,#414135,#414136); #361238=AXIS2_PLACEMENT_3D('',#533133,#414140,#414141); #361239=AXIS2_PLACEMENT_3D('',#533139,#414145,#414146); #361240=AXIS2_PLACEMENT_3D('',#533145,#414150,#414151); #361241=AXIS2_PLACEMENT_3D('',#533151,#414155,#414156); #361242=AXIS2_PLACEMENT_3D('',#533157,#414160,#414161); #361243=AXIS2_PLACEMENT_3D('',#533163,#414165,#414166); #361244=AXIS2_PLACEMENT_3D('',#533169,#414170,#414171); #361245=AXIS2_PLACEMENT_3D('',#533175,#414175,#414176); #361246=AXIS2_PLACEMENT_3D('',#533181,#414180,#414181); #361247=AXIS2_PLACEMENT_3D('',#533187,#414185,#414186); #361248=AXIS2_PLACEMENT_3D('',#533193,#414190,#414191); #361249=AXIS2_PLACEMENT_3D('',#533199,#414195,#414196); #361250=AXIS2_PLACEMENT_3D('',#533205,#414200,#414201); #361251=AXIS2_PLACEMENT_3D('',#533211,#414205,#414206); #361252=AXIS2_PLACEMENT_3D('',#533217,#414210,#414211); #361253=AXIS2_PLACEMENT_3D('',#533223,#414215,#414216); #361254=AXIS2_PLACEMENT_3D('',#533229,#414220,#414221); #361255=AXIS2_PLACEMENT_3D('',#533235,#414225,#414226); #361256=AXIS2_PLACEMENT_3D('',#533241,#414230,#414231); #361257=AXIS2_PLACEMENT_3D('',#533247,#414235,#414236); #361258=AXIS2_PLACEMENT_3D('',#533253,#414240,#414241); #361259=AXIS2_PLACEMENT_3D('',#533259,#414245,#414246); #361260=AXIS2_PLACEMENT_3D('',#533265,#414250,#414251); #361261=AXIS2_PLACEMENT_3D('',#533271,#414255,#414256); #361262=AXIS2_PLACEMENT_3D('',#533277,#414260,#414261); #361263=AXIS2_PLACEMENT_3D('',#533283,#414265,#414266); #361264=AXIS2_PLACEMENT_3D('',#533289,#414270,#414271); #361265=AXIS2_PLACEMENT_3D('',#533295,#414275,#414276); #361266=AXIS2_PLACEMENT_3D('',#533301,#414280,#414281); #361267=AXIS2_PLACEMENT_3D('',#533307,#414285,#414286); #361268=AXIS2_PLACEMENT_3D('',#533313,#414290,#414291); #361269=AXIS2_PLACEMENT_3D('',#533319,#414295,#414296); #361270=AXIS2_PLACEMENT_3D('',#533325,#414300,#414301); #361271=AXIS2_PLACEMENT_3D('',#533331,#414305,#414306); #361272=AXIS2_PLACEMENT_3D('',#533337,#414310,#414311); #361273=AXIS2_PLACEMENT_3D('',#533343,#414315,#414316); #361274=AXIS2_PLACEMENT_3D('',#533349,#414320,#414321); #361275=AXIS2_PLACEMENT_3D('',#533355,#414325,#414326); #361276=AXIS2_PLACEMENT_3D('',#533361,#414330,#414331); #361277=AXIS2_PLACEMENT_3D('',#533363,#414332,#414333); #361278=AXIS2_PLACEMENT_3D('',#533365,#414334,#414335); #361279=AXIS2_PLACEMENT_3D('',#533367,#414337,#414338); #361280=AXIS2_PLACEMENT_3D('',#533373,#414342,#414343); #361281=AXIS2_PLACEMENT_3D('',#533375,#414344,#414345); #361282=AXIS2_PLACEMENT_3D('',#533377,#414346,#414347); #361283=AXIS2_PLACEMENT_3D('',#533379,#414349,#414350); #361284=AXIS2_PLACEMENT_3D('',#533385,#414354,#414355); #361285=AXIS2_PLACEMENT_3D('',#533391,#414359,#414360); #361286=AXIS2_PLACEMENT_3D('',#533397,#414364,#414365); #361287=AXIS2_PLACEMENT_3D('',#533398,#414366,#414367); #361288=AXIS2_PLACEMENT_3D('',#533399,#414368,#414369); #361289=AXIS2_PLACEMENT_3D('',#533400,#414370,#414371); #361290=AXIS2_PLACEMENT_3D('',#533401,#414372,#414373); #361291=AXIS2_PLACEMENT_3D('',#533402,#414374,#414375); #361292=AXIS2_PLACEMENT_3D('',#533404,#414376,#414377); #361293=AXIS2_PLACEMENT_3D('',#533407,#414379,#414380); #361294=AXIS2_PLACEMENT_3D('',#533408,#414381,#414382); #361295=AXIS2_PLACEMENT_3D('',#533417,#414387,#414388); #361296=AXIS2_PLACEMENT_3D('',#533423,#414392,#414393); #361297=AXIS2_PLACEMENT_3D('',#533429,#414397,#414398); #361298=AXIS2_PLACEMENT_3D('',#533435,#414402,#414403); #361299=AXIS2_PLACEMENT_3D('',#533441,#414407,#414408); #361300=AXIS2_PLACEMENT_3D('',#533443,#414409,#414410); #361301=AXIS2_PLACEMENT_3D('',#533445,#414411,#414412); #361302=AXIS2_PLACEMENT_3D('',#533447,#414414,#414415); #361303=AXIS2_PLACEMENT_3D('',#533453,#414419,#414420); #361304=AXIS2_PLACEMENT_3D('',#533459,#414424,#414425); #361305=AXIS2_PLACEMENT_3D('',#533461,#414426,#414427); #361306=AXIS2_PLACEMENT_3D('',#533463,#414428,#414429); #361307=AXIS2_PLACEMENT_3D('',#533465,#414431,#414432); #361308=AXIS2_PLACEMENT_3D('',#533471,#414436,#414437); #361309=AXIS2_PLACEMENT_3D('',#533477,#414441,#414442); #361310=AXIS2_PLACEMENT_3D('',#533479,#414443,#414444); #361311=AXIS2_PLACEMENT_3D('',#533481,#414445,#414446); #361312=AXIS2_PLACEMENT_3D('',#533483,#414448,#414449); #361313=AXIS2_PLACEMENT_3D('',#533485,#414450,#414451); #361314=AXIS2_PLACEMENT_3D('',#533487,#414452,#414453); #361315=AXIS2_PLACEMENT_3D('',#533489,#414455,#414456); #361316=AXIS2_PLACEMENT_3D('',#533495,#414460,#414461); #361317=AXIS2_PLACEMENT_3D('',#533497,#414462,#414463); #361318=AXIS2_PLACEMENT_3D('',#533499,#414464,#414465); #361319=AXIS2_PLACEMENT_3D('',#533501,#414467,#414468); #361320=AXIS2_PLACEMENT_3D('',#533507,#414472,#414473); #361321=AXIS2_PLACEMENT_3D('',#533513,#414477,#414478); #361322=AXIS2_PLACEMENT_3D('',#533515,#414479,#414480); #361323=AXIS2_PLACEMENT_3D('',#533517,#414481,#414482); #361324=AXIS2_PLACEMENT_3D('',#533519,#414484,#414485); #361325=AXIS2_PLACEMENT_3D('',#533525,#414489,#414490); #361326=AXIS2_PLACEMENT_3D('',#533531,#414494,#414495); #361327=AXIS2_PLACEMENT_3D('',#533534,#414498,#414499); #361328=AXIS2_PLACEMENT_3D('',#533535,#414500,#414501); #361329=AXIS2_PLACEMENT_3D('',#533536,#414502,#414503); #361330=AXIS2_PLACEMENT_3D('',#533538,#414504,#414505); #361331=AXIS2_PLACEMENT_3D('',#533541,#414507,#414508); #361332=AXIS2_PLACEMENT_3D('',#533542,#414509,#414510); #361333=AXIS2_PLACEMENT_3D('',#533544,#414511,#414512); #361334=AXIS2_PLACEMENT_3D('',#533547,#414514,#414515); #361335=AXIS2_PLACEMENT_3D('',#533548,#414516,#414517); #361336=AXIS2_PLACEMENT_3D('',#533550,#414518,#414519); #361337=AXIS2_PLACEMENT_3D('',#533553,#414521,#414522); #361338=AXIS2_PLACEMENT_3D('',#533554,#414523,#414524); #361339=AXIS2_PLACEMENT_3D('',#533556,#414525,#414526); #361340=AXIS2_PLACEMENT_3D('',#533559,#414528,#414529); #361341=AXIS2_PLACEMENT_3D('',#533560,#414530,#414531); #361342=AXIS2_PLACEMENT_3D('',#533562,#414532,#414533); #361343=AXIS2_PLACEMENT_3D('',#533565,#414535,#414536); #361344=AXIS2_PLACEMENT_3D('',#533566,#414537,#414538); #361345=AXIS2_PLACEMENT_3D('',#533568,#414539,#414540); #361346=AXIS2_PLACEMENT_3D('',#533571,#414542,#414543); #361347=AXIS2_PLACEMENT_3D('',#533572,#414544,#414545); #361348=AXIS2_PLACEMENT_3D('',#533574,#414546,#414547); #361349=AXIS2_PLACEMENT_3D('',#533577,#414549,#414550); #361350=AXIS2_PLACEMENT_3D('',#533578,#414551,#414552); #361351=AXIS2_PLACEMENT_3D('',#533580,#414553,#414554); #361352=AXIS2_PLACEMENT_3D('',#533583,#414556,#414557); #361353=AXIS2_PLACEMENT_3D('',#533584,#414558,#414559); #361354=AXIS2_PLACEMENT_3D('',#533586,#414560,#414561); #361355=AXIS2_PLACEMENT_3D('',#533589,#414563,#414564); #361356=AXIS2_PLACEMENT_3D('',#533590,#414565,#414566); #361357=AXIS2_PLACEMENT_3D('',#533592,#414567,#414568); #361358=AXIS2_PLACEMENT_3D('',#533595,#414570,#414571); #361359=AXIS2_PLACEMENT_3D('',#533596,#414572,#414573); #361360=AXIS2_PLACEMENT_3D('',#533598,#414574,#414575); #361361=AXIS2_PLACEMENT_3D('',#533601,#414577,#414578); #361362=AXIS2_PLACEMENT_3D('',#533602,#414579,#414580); #361363=AXIS2_PLACEMENT_3D('',#533604,#414581,#414582); #361364=AXIS2_PLACEMENT_3D('',#533607,#414584,#414585); #361365=AXIS2_PLACEMENT_3D('',#533608,#414586,#414587); #361366=AXIS2_PLACEMENT_3D('',#533610,#414588,#414589); #361367=AXIS2_PLACEMENT_3D('',#533613,#414591,#414592); #361368=AXIS2_PLACEMENT_3D('',#533614,#414593,#414594); #361369=AXIS2_PLACEMENT_3D('',#533616,#414595,#414596); #361370=AXIS2_PLACEMENT_3D('',#533619,#414598,#414599); #361371=AXIS2_PLACEMENT_3D('',#533620,#414600,#414601); #361372=AXIS2_PLACEMENT_3D('',#533622,#414602,#414603); #361373=AXIS2_PLACEMENT_3D('',#533625,#414605,#414606); #361374=AXIS2_PLACEMENT_3D('',#533626,#414607,#414608); #361375=AXIS2_PLACEMENT_3D('',#533628,#414609,#414610); #361376=AXIS2_PLACEMENT_3D('',#533631,#414612,#414613); #361377=AXIS2_PLACEMENT_3D('',#533632,#414614,#414615); #361378=AXIS2_PLACEMENT_3D('',#533641,#414620,#414621); #361379=AXIS2_PLACEMENT_3D('',#533647,#414625,#414626); #361380=AXIS2_PLACEMENT_3D('',#533653,#414630,#414631); #361381=AXIS2_PLACEMENT_3D('',#533659,#414635,#414636); #361382=AXIS2_PLACEMENT_3D('',#533665,#414640,#414641); #361383=AXIS2_PLACEMENT_3D('',#533671,#414645,#414646); #361384=AXIS2_PLACEMENT_3D('',#533677,#414650,#414651); #361385=AXIS2_PLACEMENT_3D('',#533683,#414655,#414656); #361386=AXIS2_PLACEMENT_3D('',#533689,#414660,#414661); #361387=AXIS2_PLACEMENT_3D('',#533695,#414665,#414666); #361388=AXIS2_PLACEMENT_3D('',#533701,#414670,#414671); #361389=AXIS2_PLACEMENT_3D('',#533707,#414675,#414676); #361390=AXIS2_PLACEMENT_3D('',#533713,#414680,#414681); #361391=AXIS2_PLACEMENT_3D('',#533719,#414685,#414686); #361392=AXIS2_PLACEMENT_3D('',#533725,#414690,#414691); #361393=AXIS2_PLACEMENT_3D('',#533731,#414695,#414696); #361394=AXIS2_PLACEMENT_3D('',#533737,#414700,#414701); #361395=AXIS2_PLACEMENT_3D('',#533743,#414705,#414706); #361396=AXIS2_PLACEMENT_3D('',#533749,#414710,#414711); #361397=AXIS2_PLACEMENT_3D('',#533755,#414715,#414716); #361398=AXIS2_PLACEMENT_3D('',#533761,#414720,#414721); #361399=AXIS2_PLACEMENT_3D('',#533767,#414725,#414726); #361400=AXIS2_PLACEMENT_3D('',#533773,#414730,#414731); #361401=AXIS2_PLACEMENT_3D('',#533776,#414734,#414735); #361402=AXIS2_PLACEMENT_3D('',#533785,#414740,#414741); #361403=AXIS2_PLACEMENT_3D('',#533791,#414745,#414746); #361404=AXIS2_PLACEMENT_3D('',#533797,#414750,#414751); #361405=AXIS2_PLACEMENT_3D('',#533803,#414755,#414756); #361406=AXIS2_PLACEMENT_3D('',#533809,#414760,#414761); #361407=AXIS2_PLACEMENT_3D('',#533815,#414765,#414766); #361408=AXIS2_PLACEMENT_3D('',#533821,#414770,#414771); #361409=AXIS2_PLACEMENT_3D('',#533827,#414775,#414776); #361410=AXIS2_PLACEMENT_3D('',#533833,#414780,#414781); #361411=AXIS2_PLACEMENT_3D('',#533839,#414785,#414786); #361412=AXIS2_PLACEMENT_3D('',#533845,#414790,#414791); #361413=AXIS2_PLACEMENT_3D('',#533851,#414795,#414796); #361414=AXIS2_PLACEMENT_3D('',#533857,#414800,#414801); #361415=AXIS2_PLACEMENT_3D('',#533863,#414805,#414806); #361416=AXIS2_PLACEMENT_3D('',#533869,#414810,#414811); #361417=AXIS2_PLACEMENT_3D('',#533875,#414815,#414816); #361418=AXIS2_PLACEMENT_3D('',#533881,#414820,#414821); #361419=AXIS2_PLACEMENT_3D('',#533887,#414825,#414826); #361420=AXIS2_PLACEMENT_3D('',#533893,#414830,#414831); #361421=AXIS2_PLACEMENT_3D('',#533899,#414835,#414836); #361422=AXIS2_PLACEMENT_3D('',#533905,#414840,#414841); #361423=AXIS2_PLACEMENT_3D('',#533911,#414845,#414846); #361424=AXIS2_PLACEMENT_3D('',#533917,#414850,#414851); #361425=AXIS2_PLACEMENT_3D('',#533923,#414855,#414856); #361426=AXIS2_PLACEMENT_3D('',#533929,#414860,#414861); #361427=AXIS2_PLACEMENT_3D('',#533935,#414865,#414866); #361428=AXIS2_PLACEMENT_3D('',#533941,#414870,#414871); #361429=AXIS2_PLACEMENT_3D('',#533947,#414875,#414876); #361430=AXIS2_PLACEMENT_3D('',#533953,#414880,#414881); #361431=AXIS2_PLACEMENT_3D('',#533959,#414885,#414886); #361432=AXIS2_PLACEMENT_3D('',#533965,#414890,#414891); #361433=AXIS2_PLACEMENT_3D('',#533971,#414895,#414896); #361434=AXIS2_PLACEMENT_3D('',#533977,#414900,#414901); #361435=AXIS2_PLACEMENT_3D('',#533983,#414905,#414906); #361436=AXIS2_PLACEMENT_3D('',#533989,#414910,#414911); #361437=AXIS2_PLACEMENT_3D('',#533995,#414915,#414916); #361438=AXIS2_PLACEMENT_3D('',#534001,#414920,#414921); #361439=AXIS2_PLACEMENT_3D('',#534007,#414925,#414926); #361440=AXIS2_PLACEMENT_3D('',#534013,#414930,#414931); #361441=AXIS2_PLACEMENT_3D('',#534019,#414935,#414936); #361442=AXIS2_PLACEMENT_3D('',#534025,#414940,#414941); #361443=AXIS2_PLACEMENT_3D('',#534031,#414945,#414946); #361444=AXIS2_PLACEMENT_3D('',#534037,#414950,#414951); #361445=AXIS2_PLACEMENT_3D('',#534043,#414955,#414956); #361446=AXIS2_PLACEMENT_3D('',#534049,#414960,#414961); #361447=AXIS2_PLACEMENT_3D('',#534055,#414965,#414966); #361448=AXIS2_PLACEMENT_3D('',#534061,#414970,#414971); #361449=AXIS2_PLACEMENT_3D('',#534067,#414975,#414976); #361450=AXIS2_PLACEMENT_3D('',#534073,#414980,#414981); #361451=AXIS2_PLACEMENT_3D('',#534079,#414985,#414986); #361452=AXIS2_PLACEMENT_3D('',#534085,#414990,#414991); #361453=AXIS2_PLACEMENT_3D('',#534091,#414995,#414996); #361454=AXIS2_PLACEMENT_3D('',#534097,#415000,#415001); #361455=AXIS2_PLACEMENT_3D('',#534103,#415005,#415006); #361456=AXIS2_PLACEMENT_3D('',#534109,#415010,#415011); #361457=AXIS2_PLACEMENT_3D('',#534115,#415015,#415016); #361458=AXIS2_PLACEMENT_3D('',#534121,#415020,#415021); #361459=AXIS2_PLACEMENT_3D('',#534127,#415025,#415026); #361460=AXIS2_PLACEMENT_3D('',#534133,#415030,#415031); #361461=AXIS2_PLACEMENT_3D('',#534139,#415035,#415036); #361462=AXIS2_PLACEMENT_3D('',#534145,#415040,#415041); #361463=AXIS2_PLACEMENT_3D('',#534151,#415045,#415046); #361464=AXIS2_PLACEMENT_3D('',#534157,#415050,#415051); #361465=AXIS2_PLACEMENT_3D('',#534163,#415055,#415056); #361466=AXIS2_PLACEMENT_3D('',#534169,#415060,#415061); #361467=AXIS2_PLACEMENT_3D('',#534175,#415065,#415066); #361468=AXIS2_PLACEMENT_3D('',#534181,#415070,#415071); #361469=AXIS2_PLACEMENT_3D('',#534187,#415075,#415076); #361470=AXIS2_PLACEMENT_3D('',#534193,#415080,#415081); #361471=AXIS2_PLACEMENT_3D('',#534199,#415085,#415086); #361472=AXIS2_PLACEMENT_3D('',#534205,#415090,#415091); #361473=AXIS2_PLACEMENT_3D('',#534211,#415095,#415096); #361474=AXIS2_PLACEMENT_3D('',#534217,#415100,#415101); #361475=AXIS2_PLACEMENT_3D('',#534223,#415105,#415106); #361476=AXIS2_PLACEMENT_3D('',#534229,#415110,#415111); #361477=AXIS2_PLACEMENT_3D('',#534235,#415115,#415116); #361478=AXIS2_PLACEMENT_3D('',#534241,#415120,#415121); #361479=AXIS2_PLACEMENT_3D('',#534247,#415125,#415126); #361480=AXIS2_PLACEMENT_3D('',#534253,#415130,#415131); #361481=AXIS2_PLACEMENT_3D('',#534259,#415135,#415136); #361482=AXIS2_PLACEMENT_3D('',#534265,#415140,#415141); #361483=AXIS2_PLACEMENT_3D('',#534271,#415145,#415146); #361484=AXIS2_PLACEMENT_3D('',#534277,#415150,#415151); #361485=AXIS2_PLACEMENT_3D('',#534283,#415155,#415156); #361486=AXIS2_PLACEMENT_3D('',#534289,#415160,#415161); #361487=AXIS2_PLACEMENT_3D('',#534295,#415165,#415166); #361488=AXIS2_PLACEMENT_3D('',#534301,#415170,#415171); #361489=AXIS2_PLACEMENT_3D('',#534307,#415175,#415176); #361490=AXIS2_PLACEMENT_3D('',#534313,#415180,#415181); #361491=AXIS2_PLACEMENT_3D('',#534319,#415185,#415186); #361492=AXIS2_PLACEMENT_3D('',#534325,#415190,#415191); #361493=AXIS2_PLACEMENT_3D('',#534331,#415195,#415196); #361494=AXIS2_PLACEMENT_3D('',#534337,#415200,#415201); #361495=AXIS2_PLACEMENT_3D('',#534343,#415205,#415206); #361496=AXIS2_PLACEMENT_3D('',#534349,#415210,#415211); #361497=AXIS2_PLACEMENT_3D('',#534355,#415215,#415216); #361498=AXIS2_PLACEMENT_3D('',#534361,#415220,#415221); #361499=AXIS2_PLACEMENT_3D('',#534367,#415225,#415226); #361500=AXIS2_PLACEMENT_3D('',#534373,#415230,#415231); #361501=AXIS2_PLACEMENT_3D('',#534379,#415235,#415236); #361502=AXIS2_PLACEMENT_3D('',#534385,#415240,#415241); #361503=AXIS2_PLACEMENT_3D('',#534391,#415245,#415246); #361504=AXIS2_PLACEMENT_3D('',#534397,#415250,#415251); #361505=AXIS2_PLACEMENT_3D('',#534403,#415255,#415256); #361506=AXIS2_PLACEMENT_3D('',#534409,#415260,#415261); #361507=AXIS2_PLACEMENT_3D('',#534415,#415265,#415266); #361508=AXIS2_PLACEMENT_3D('',#534421,#415270,#415271); #361509=AXIS2_PLACEMENT_3D('',#534427,#415275,#415276); #361510=AXIS2_PLACEMENT_3D('',#534433,#415280,#415281); #361511=AXIS2_PLACEMENT_3D('',#534439,#415285,#415286); #361512=AXIS2_PLACEMENT_3D('',#534445,#415290,#415291); #361513=AXIS2_PLACEMENT_3D('',#534451,#415295,#415296); #361514=AXIS2_PLACEMENT_3D('',#534457,#415300,#415301); #361515=AXIS2_PLACEMENT_3D('',#534463,#415305,#415306); #361516=AXIS2_PLACEMENT_3D('',#534469,#415310,#415311); #361517=AXIS2_PLACEMENT_3D('',#534475,#415315,#415316); #361518=AXIS2_PLACEMENT_3D('',#534481,#415320,#415321); #361519=AXIS2_PLACEMENT_3D('',#534487,#415325,#415326); #361520=AXIS2_PLACEMENT_3D('',#534493,#415330,#415331); #361521=AXIS2_PLACEMENT_3D('',#534499,#415335,#415336); #361522=AXIS2_PLACEMENT_3D('',#534505,#415340,#415341); #361523=AXIS2_PLACEMENT_3D('',#534511,#415345,#415346); #361524=AXIS2_PLACEMENT_3D('',#534517,#415350,#415351); #361525=AXIS2_PLACEMENT_3D('',#534523,#415355,#415356); #361526=AXIS2_PLACEMENT_3D('',#534529,#415360,#415361); #361527=AXIS2_PLACEMENT_3D('',#534535,#415365,#415366); #361528=AXIS2_PLACEMENT_3D('',#534541,#415370,#415371); #361529=AXIS2_PLACEMENT_3D('',#534547,#415375,#415376); #361530=AXIS2_PLACEMENT_3D('',#534553,#415380,#415381); #361531=AXIS2_PLACEMENT_3D('',#534559,#415385,#415386); #361532=AXIS2_PLACEMENT_3D('',#534565,#415390,#415391); #361533=AXIS2_PLACEMENT_3D('',#534571,#415395,#415396); #361534=AXIS2_PLACEMENT_3D('',#534577,#415400,#415401); #361535=AXIS2_PLACEMENT_3D('',#534583,#415405,#415406); #361536=AXIS2_PLACEMENT_3D('',#534589,#415410,#415411); #361537=AXIS2_PLACEMENT_3D('',#534595,#415415,#415416); #361538=AXIS2_PLACEMENT_3D('',#534601,#415420,#415421); #361539=AXIS2_PLACEMENT_3D('',#534607,#415425,#415426); #361540=AXIS2_PLACEMENT_3D('',#534613,#415430,#415431); #361541=AXIS2_PLACEMENT_3D('',#534619,#415435,#415436); #361542=AXIS2_PLACEMENT_3D('',#534625,#415440,#415441); #361543=AXIS2_PLACEMENT_3D('',#534631,#415445,#415446); #361544=AXIS2_PLACEMENT_3D('',#534637,#415450,#415451); #361545=AXIS2_PLACEMENT_3D('',#534643,#415455,#415456); #361546=AXIS2_PLACEMENT_3D('',#534649,#415460,#415461); #361547=AXIS2_PLACEMENT_3D('',#534655,#415465,#415466); #361548=AXIS2_PLACEMENT_3D('',#534661,#415470,#415471); #361549=AXIS2_PLACEMENT_3D('',#534667,#415475,#415476); #361550=AXIS2_PLACEMENT_3D('',#534673,#415480,#415481); #361551=AXIS2_PLACEMENT_3D('',#534679,#415485,#415486); #361552=AXIS2_PLACEMENT_3D('',#534685,#415490,#415491); #361553=AXIS2_PLACEMENT_3D('',#534691,#415495,#415496); #361554=AXIS2_PLACEMENT_3D('',#534697,#415500,#415501); #361555=AXIS2_PLACEMENT_3D('',#534703,#415505,#415506); #361556=AXIS2_PLACEMENT_3D('',#534709,#415510,#415511); #361557=AXIS2_PLACEMENT_3D('',#534715,#415515,#415516); #361558=AXIS2_PLACEMENT_3D('',#534721,#415520,#415521); #361559=AXIS2_PLACEMENT_3D('',#534727,#415525,#415526); #361560=AXIS2_PLACEMENT_3D('',#534733,#415530,#415531); #361561=AXIS2_PLACEMENT_3D('',#534739,#415535,#415536); #361562=AXIS2_PLACEMENT_3D('',#534745,#415540,#415541); #361563=AXIS2_PLACEMENT_3D('',#534751,#415545,#415546); #361564=AXIS2_PLACEMENT_3D('',#534757,#415550,#415551); #361565=AXIS2_PLACEMENT_3D('',#534763,#415555,#415556); #361566=AXIS2_PLACEMENT_3D('',#534769,#415560,#415561); #361567=AXIS2_PLACEMENT_3D('',#534775,#415565,#415566); #361568=AXIS2_PLACEMENT_3D('',#534781,#415570,#415571); #361569=AXIS2_PLACEMENT_3D('',#534787,#415575,#415576); #361570=AXIS2_PLACEMENT_3D('',#534793,#415580,#415581); #361571=AXIS2_PLACEMENT_3D('',#534799,#415585,#415586); #361572=AXIS2_PLACEMENT_3D('',#534805,#415590,#415591); #361573=AXIS2_PLACEMENT_3D('',#534811,#415595,#415596); #361574=AXIS2_PLACEMENT_3D('',#534817,#415600,#415601); #361575=AXIS2_PLACEMENT_3D('',#534823,#415605,#415606); #361576=AXIS2_PLACEMENT_3D('',#534829,#415610,#415611); #361577=AXIS2_PLACEMENT_3D('',#534835,#415615,#415616); #361578=AXIS2_PLACEMENT_3D('',#534841,#415620,#415621); #361579=AXIS2_PLACEMENT_3D('',#534847,#415625,#415626); #361580=AXIS2_PLACEMENT_3D('',#534853,#415630,#415631); #361581=AXIS2_PLACEMENT_3D('',#534859,#415635,#415636); #361582=AXIS2_PLACEMENT_3D('',#534865,#415640,#415641); #361583=AXIS2_PLACEMENT_3D('',#534871,#415645,#415646); #361584=AXIS2_PLACEMENT_3D('',#534877,#415650,#415651); #361585=AXIS2_PLACEMENT_3D('',#534883,#415655,#415656); #361586=AXIS2_PLACEMENT_3D('',#534889,#415660,#415661); #361587=AXIS2_PLACEMENT_3D('',#534895,#415665,#415666); #361588=AXIS2_PLACEMENT_3D('',#534901,#415670,#415671); #361589=AXIS2_PLACEMENT_3D('',#534907,#415675,#415676); #361590=AXIS2_PLACEMENT_3D('',#534913,#415680,#415681); #361591=AXIS2_PLACEMENT_3D('',#534919,#415685,#415686); #361592=AXIS2_PLACEMENT_3D('',#534925,#415690,#415691); #361593=AXIS2_PLACEMENT_3D('',#534931,#415695,#415696); #361594=AXIS2_PLACEMENT_3D('',#534937,#415700,#415701); #361595=AXIS2_PLACEMENT_3D('',#534943,#415705,#415706); #361596=AXIS2_PLACEMENT_3D('',#534949,#415710,#415711); #361597=AXIS2_PLACEMENT_3D('',#534955,#415715,#415716); #361598=AXIS2_PLACEMENT_3D('',#534961,#415720,#415721); #361599=AXIS2_PLACEMENT_3D('',#534967,#415725,#415726); #361600=AXIS2_PLACEMENT_3D('',#534973,#415730,#415731); #361601=AXIS2_PLACEMENT_3D('',#534975,#415732,#415733); #361602=AXIS2_PLACEMENT_3D('',#534977,#415734,#415735); #361603=AXIS2_PLACEMENT_3D('',#534979,#415737,#415738); #361604=AXIS2_PLACEMENT_3D('',#534985,#415742,#415743); #361605=AXIS2_PLACEMENT_3D('',#534987,#415744,#415745); #361606=AXIS2_PLACEMENT_3D('',#534989,#415746,#415747); #361607=AXIS2_PLACEMENT_3D('',#534991,#415749,#415750); #361608=AXIS2_PLACEMENT_3D('',#534997,#415754,#415755); #361609=AXIS2_PLACEMENT_3D('',#535003,#415759,#415760); #361610=AXIS2_PLACEMENT_3D('',#535009,#415764,#415765); #361611=AXIS2_PLACEMENT_3D('',#535015,#415769,#415770); #361612=AXIS2_PLACEMENT_3D('',#535021,#415774,#415775); #361613=AXIS2_PLACEMENT_3D('',#535027,#415779,#415780); #361614=AXIS2_PLACEMENT_3D('',#535033,#415784,#415785); #361615=AXIS2_PLACEMENT_3D('',#535039,#415789,#415790); #361616=AXIS2_PLACEMENT_3D('',#535041,#415791,#415792); #361617=AXIS2_PLACEMENT_3D('',#535043,#415793,#415794); #361618=AXIS2_PLACEMENT_3D('',#535045,#415796,#415797); #361619=AXIS2_PLACEMENT_3D('',#535051,#415801,#415802); #361620=AXIS2_PLACEMENT_3D('',#535057,#415806,#415807); #361621=AXIS2_PLACEMENT_3D('',#535059,#415808,#415809); #361622=AXIS2_PLACEMENT_3D('',#535061,#415810,#415811); #361623=AXIS2_PLACEMENT_3D('',#535063,#415813,#415814); #361624=AXIS2_PLACEMENT_3D('',#535069,#415818,#415819); #361625=AXIS2_PLACEMENT_3D('',#535075,#415823,#415824); #361626=AXIS2_PLACEMENT_3D('',#535081,#415828,#415829); #361627=AXIS2_PLACEMENT_3D('',#535087,#415833,#415834); #361628=AXIS2_PLACEMENT_3D('',#535093,#415838,#415839); #361629=AXIS2_PLACEMENT_3D('',#535099,#415843,#415844); #361630=AXIS2_PLACEMENT_3D('',#535105,#415848,#415849); #361631=AXIS2_PLACEMENT_3D('',#535111,#415853,#415854); #361632=AXIS2_PLACEMENT_3D('',#535117,#415858,#415859); #361633=AXIS2_PLACEMENT_3D('',#535123,#415863,#415864); #361634=AXIS2_PLACEMENT_3D('',#535129,#415868,#415869); #361635=AXIS2_PLACEMENT_3D('',#535135,#415873,#415874); #361636=AXIS2_PLACEMENT_3D('',#535141,#415878,#415879); #361637=AXIS2_PLACEMENT_3D('',#535147,#415883,#415884); #361638=AXIS2_PLACEMENT_3D('',#535153,#415888,#415889); #361639=AXIS2_PLACEMENT_3D('',#535159,#415893,#415894); #361640=AXIS2_PLACEMENT_3D('',#535165,#415898,#415899); #361641=AXIS2_PLACEMENT_3D('',#535171,#415903,#415904); #361642=AXIS2_PLACEMENT_3D('',#535177,#415908,#415909); #361643=AXIS2_PLACEMENT_3D('',#535183,#415913,#415914); #361644=AXIS2_PLACEMENT_3D('',#535189,#415918,#415919); #361645=AXIS2_PLACEMENT_3D('',#535195,#415923,#415924); #361646=AXIS2_PLACEMENT_3D('',#535201,#415928,#415929); #361647=AXIS2_PLACEMENT_3D('',#535207,#415933,#415934); #361648=AXIS2_PLACEMENT_3D('',#535213,#415938,#415939); #361649=AXIS2_PLACEMENT_3D('',#535219,#415943,#415944); #361650=AXIS2_PLACEMENT_3D('',#535225,#415948,#415949); #361651=AXIS2_PLACEMENT_3D('',#535231,#415953,#415954); #361652=AXIS2_PLACEMENT_3D('',#535237,#415958,#415959); #361653=AXIS2_PLACEMENT_3D('',#535243,#415963,#415964); #361654=AXIS2_PLACEMENT_3D('',#535249,#415968,#415969); #361655=AXIS2_PLACEMENT_3D('',#535255,#415973,#415974); #361656=AXIS2_PLACEMENT_3D('',#535261,#415978,#415979); #361657=AXIS2_PLACEMENT_3D('',#535267,#415983,#415984); #361658=AXIS2_PLACEMENT_3D('',#535273,#415988,#415989); #361659=AXIS2_PLACEMENT_3D('',#535279,#415993,#415994); #361660=AXIS2_PLACEMENT_3D('',#535285,#415998,#415999); #361661=AXIS2_PLACEMENT_3D('',#535291,#416003,#416004); #361662=AXIS2_PLACEMENT_3D('',#535297,#416008,#416009); #361663=AXIS2_PLACEMENT_3D('',#535303,#416013,#416014); #361664=AXIS2_PLACEMENT_3D('',#535309,#416018,#416019); #361665=AXIS2_PLACEMENT_3D('',#535315,#416023,#416024); #361666=AXIS2_PLACEMENT_3D('',#535321,#416028,#416029); #361667=AXIS2_PLACEMENT_3D('',#535327,#416033,#416034); #361668=AXIS2_PLACEMENT_3D('',#535333,#416038,#416039); #361669=AXIS2_PLACEMENT_3D('',#535339,#416043,#416044); #361670=AXIS2_PLACEMENT_3D('',#535345,#416048,#416049); #361671=AXIS2_PLACEMENT_3D('',#535351,#416053,#416054); #361672=AXIS2_PLACEMENT_3D('',#535357,#416058,#416059); #361673=AXIS2_PLACEMENT_3D('',#535363,#416063,#416064); #361674=AXIS2_PLACEMENT_3D('',#535369,#416068,#416069); #361675=AXIS2_PLACEMENT_3D('',#535375,#416073,#416074); #361676=AXIS2_PLACEMENT_3D('',#535381,#416078,#416079); #361677=AXIS2_PLACEMENT_3D('',#535387,#416083,#416084); #361678=AXIS2_PLACEMENT_3D('',#535393,#416088,#416089); #361679=AXIS2_PLACEMENT_3D('',#535399,#416093,#416094); #361680=AXIS2_PLACEMENT_3D('',#535405,#416098,#416099); #361681=AXIS2_PLACEMENT_3D('',#535411,#416103,#416104); #361682=AXIS2_PLACEMENT_3D('',#535417,#416108,#416109); #361683=AXIS2_PLACEMENT_3D('',#535423,#416113,#416114); #361684=AXIS2_PLACEMENT_3D('',#535429,#416118,#416119); #361685=AXIS2_PLACEMENT_3D('',#535435,#416123,#416124); #361686=AXIS2_PLACEMENT_3D('',#535441,#416128,#416129); #361687=AXIS2_PLACEMENT_3D('',#535447,#416133,#416134); #361688=AXIS2_PLACEMENT_3D('',#535453,#416138,#416139); #361689=AXIS2_PLACEMENT_3D('',#535459,#416143,#416144); #361690=AXIS2_PLACEMENT_3D('',#535465,#416148,#416149); #361691=AXIS2_PLACEMENT_3D('',#535471,#416153,#416154); #361692=AXIS2_PLACEMENT_3D('',#535477,#416158,#416159); #361693=AXIS2_PLACEMENT_3D('',#535483,#416163,#416164); #361694=AXIS2_PLACEMENT_3D('',#535489,#416168,#416169); #361695=AXIS2_PLACEMENT_3D('',#535495,#416173,#416174); #361696=AXIS2_PLACEMENT_3D('',#535501,#416178,#416179); #361697=AXIS2_PLACEMENT_3D('',#535507,#416183,#416184); #361698=AXIS2_PLACEMENT_3D('',#535513,#416188,#416189); #361699=AXIS2_PLACEMENT_3D('',#535519,#416193,#416194); #361700=AXIS2_PLACEMENT_3D('',#535525,#416198,#416199); #361701=AXIS2_PLACEMENT_3D('',#535531,#416203,#416204); #361702=AXIS2_PLACEMENT_3D('',#535537,#416208,#416209); #361703=AXIS2_PLACEMENT_3D('',#535543,#416213,#416214); #361704=AXIS2_PLACEMENT_3D('',#535549,#416218,#416219); #361705=AXIS2_PLACEMENT_3D('',#535555,#416223,#416224); #361706=AXIS2_PLACEMENT_3D('',#535561,#416228,#416229); #361707=AXIS2_PLACEMENT_3D('',#535564,#416232,#416233); #361708=AXIS2_PLACEMENT_3D('',#535565,#416234,#416235); #361709=AXIS2_PLACEMENT_3D('',#535566,#416236,#416237); #361710=AXIS2_PLACEMENT_3D('',#535575,#416242,#416243); #361711=AXIS2_PLACEMENT_3D('',#535581,#416247,#416248); #361712=AXIS2_PLACEMENT_3D('',#535587,#416252,#416253); #361713=AXIS2_PLACEMENT_3D('',#535593,#416257,#416258); #361714=AXIS2_PLACEMENT_3D('',#535599,#416262,#416263); #361715=AXIS2_PLACEMENT_3D('',#535605,#416267,#416268); #361716=AXIS2_PLACEMENT_3D('',#535611,#416272,#416273); #361717=AXIS2_PLACEMENT_3D('',#535617,#416277,#416278); #361718=AXIS2_PLACEMENT_3D('',#535623,#416282,#416283); #361719=AXIS2_PLACEMENT_3D('',#535629,#416287,#416288); #361720=AXIS2_PLACEMENT_3D('',#535635,#416292,#416293); #361721=AXIS2_PLACEMENT_3D('',#535641,#416297,#416298); #361722=AXIS2_PLACEMENT_3D('',#535647,#416302,#416303); #361723=AXIS2_PLACEMENT_3D('',#535653,#416307,#416308); #361724=AXIS2_PLACEMENT_3D('',#535659,#416312,#416313); #361725=AXIS2_PLACEMENT_3D('',#535665,#416317,#416318); #361726=AXIS2_PLACEMENT_3D('',#535671,#416322,#416323); #361727=AXIS2_PLACEMENT_3D('',#535677,#416327,#416328); #361728=AXIS2_PLACEMENT_3D('',#535683,#416332,#416333); #361729=AXIS2_PLACEMENT_3D('',#535686,#416336,#416337); #361730=AXIS2_PLACEMENT_3D('',#535687,#416338,#416339); #361731=AXIS2_PLACEMENT_3D('',#535688,#416340,#416341); #361732=AXIS2_PLACEMENT_3D('',#535697,#416346,#416347); #361733=AXIS2_PLACEMENT_3D('',#535703,#416351,#416352); #361734=AXIS2_PLACEMENT_3D('',#535709,#416356,#416357); #361735=AXIS2_PLACEMENT_3D('',#535715,#416361,#416362); #361736=AXIS2_PLACEMENT_3D('',#535721,#416366,#416367); #361737=AXIS2_PLACEMENT_3D('',#535727,#416371,#416372); #361738=AXIS2_PLACEMENT_3D('',#535733,#416376,#416377); #361739=AXIS2_PLACEMENT_3D('',#535739,#416381,#416382); #361740=AXIS2_PLACEMENT_3D('',#535745,#416386,#416387); #361741=AXIS2_PLACEMENT_3D('',#535751,#416391,#416392); #361742=AXIS2_PLACEMENT_3D('',#535757,#416396,#416397); #361743=AXIS2_PLACEMENT_3D('',#535763,#416401,#416402); #361744=AXIS2_PLACEMENT_3D('',#535769,#416406,#416407); #361745=AXIS2_PLACEMENT_3D('',#535775,#416411,#416412); #361746=AXIS2_PLACEMENT_3D('',#535781,#416416,#416417); #361747=AXIS2_PLACEMENT_3D('',#535787,#416421,#416422); #361748=AXIS2_PLACEMENT_3D('',#535793,#416426,#416427); #361749=AXIS2_PLACEMENT_3D('',#535799,#416431,#416432); #361750=AXIS2_PLACEMENT_3D('',#535805,#416436,#416437); #361751=AXIS2_PLACEMENT_3D('',#535808,#416440,#416441); #361752=AXIS2_PLACEMENT_3D('',#535809,#416442,#416443); #361753=AXIS2_PLACEMENT_3D('',#535810,#416444,#416445); #361754=AXIS2_PLACEMENT_3D('',#535819,#416450,#416451); #361755=AXIS2_PLACEMENT_3D('',#535825,#416455,#416456); #361756=AXIS2_PLACEMENT_3D('',#535831,#416460,#416461); #361757=AXIS2_PLACEMENT_3D('',#535837,#416465,#416466); #361758=AXIS2_PLACEMENT_3D('',#535843,#416470,#416471); #361759=AXIS2_PLACEMENT_3D('',#535849,#416475,#416476); #361760=AXIS2_PLACEMENT_3D('',#535855,#416480,#416481); #361761=AXIS2_PLACEMENT_3D('',#535861,#416485,#416486); #361762=AXIS2_PLACEMENT_3D('',#535867,#416490,#416491); #361763=AXIS2_PLACEMENT_3D('',#535873,#416495,#416496); #361764=AXIS2_PLACEMENT_3D('',#535879,#416500,#416501); #361765=AXIS2_PLACEMENT_3D('',#535885,#416505,#416506); #361766=AXIS2_PLACEMENT_3D('',#535891,#416510,#416511); #361767=AXIS2_PLACEMENT_3D('',#535897,#416515,#416516); #361768=AXIS2_PLACEMENT_3D('',#535903,#416520,#416521); #361769=AXIS2_PLACEMENT_3D('',#535909,#416525,#416526); #361770=AXIS2_PLACEMENT_3D('',#535915,#416530,#416531); #361771=AXIS2_PLACEMENT_3D('',#535921,#416535,#416536); #361772=AXIS2_PLACEMENT_3D('',#535927,#416540,#416541); #361773=AXIS2_PLACEMENT_3D('',#535930,#416544,#416545); #361774=AXIS2_PLACEMENT_3D('',#535931,#416546,#416547); #361775=AXIS2_PLACEMENT_3D('',#535932,#416548,#416549); #361776=AXIS2_PLACEMENT_3D('',#535941,#416554,#416555); #361777=AXIS2_PLACEMENT_3D('',#535947,#416559,#416560); #361778=AXIS2_PLACEMENT_3D('',#535953,#416564,#416565); #361779=AXIS2_PLACEMENT_3D('',#535959,#416569,#416570); #361780=AXIS2_PLACEMENT_3D('',#535965,#416574,#416575); #361781=AXIS2_PLACEMENT_3D('',#535971,#416579,#416580); #361782=AXIS2_PLACEMENT_3D('',#535977,#416584,#416585); #361783=AXIS2_PLACEMENT_3D('',#535983,#416589,#416590); #361784=AXIS2_PLACEMENT_3D('',#535989,#416594,#416595); #361785=AXIS2_PLACEMENT_3D('',#535995,#416599,#416600); #361786=AXIS2_PLACEMENT_3D('',#536001,#416604,#416605); #361787=AXIS2_PLACEMENT_3D('',#536007,#416609,#416610); #361788=AXIS2_PLACEMENT_3D('',#536013,#416614,#416615); #361789=AXIS2_PLACEMENT_3D('',#536019,#416619,#416620); #361790=AXIS2_PLACEMENT_3D('',#536025,#416624,#416625); #361791=AXIS2_PLACEMENT_3D('',#536031,#416629,#416630); #361792=AXIS2_PLACEMENT_3D('',#536037,#416634,#416635); #361793=AXIS2_PLACEMENT_3D('',#536043,#416639,#416640); #361794=AXIS2_PLACEMENT_3D('',#536049,#416644,#416645); #361795=AXIS2_PLACEMENT_3D('',#536055,#416649,#416650); #361796=AXIS2_PLACEMENT_3D('',#536061,#416654,#416655); #361797=AXIS2_PLACEMENT_3D('',#536067,#416659,#416660); #361798=AXIS2_PLACEMENT_3D('',#536070,#416663,#416664); #361799=AXIS2_PLACEMENT_3D('',#536071,#416665,#416666); #361800=AXIS2_PLACEMENT_3D('',#536072,#416667,#416668); #361801=AXIS2_PLACEMENT_3D('',#536081,#416673,#416674); #361802=AXIS2_PLACEMENT_3D('',#536087,#416678,#416679); #361803=AXIS2_PLACEMENT_3D('',#536093,#416683,#416684); #361804=AXIS2_PLACEMENT_3D('',#536099,#416688,#416689); #361805=AXIS2_PLACEMENT_3D('',#536105,#416693,#416694); #361806=AXIS2_PLACEMENT_3D('',#536111,#416698,#416699); #361807=AXIS2_PLACEMENT_3D('',#536117,#416703,#416704); #361808=AXIS2_PLACEMENT_3D('',#536123,#416708,#416709); #361809=AXIS2_PLACEMENT_3D('',#536129,#416713,#416714); #361810=AXIS2_PLACEMENT_3D('',#536135,#416718,#416719); #361811=AXIS2_PLACEMENT_3D('',#536141,#416723,#416724); #361812=AXIS2_PLACEMENT_3D('',#536147,#416728,#416729); #361813=AXIS2_PLACEMENT_3D('',#536153,#416733,#416734); #361814=AXIS2_PLACEMENT_3D('',#536159,#416738,#416739); #361815=AXIS2_PLACEMENT_3D('',#536165,#416743,#416744); #361816=AXIS2_PLACEMENT_3D('',#536171,#416748,#416749); #361817=AXIS2_PLACEMENT_3D('',#536177,#416753,#416754); #361818=AXIS2_PLACEMENT_3D('',#536183,#416758,#416759); #361819=AXIS2_PLACEMENT_3D('',#536189,#416763,#416764); #361820=AXIS2_PLACEMENT_3D('',#536192,#416767,#416768); #361821=AXIS2_PLACEMENT_3D('',#536193,#416769,#416770); #361822=AXIS2_PLACEMENT_3D('',#536194,#416771,#416772); #361823=AXIS2_PLACEMENT_3D('',#536203,#416777,#416778); #361824=AXIS2_PLACEMENT_3D('',#536209,#416782,#416783); #361825=AXIS2_PLACEMENT_3D('',#536215,#416787,#416788); #361826=AXIS2_PLACEMENT_3D('',#536221,#416792,#416793); #361827=AXIS2_PLACEMENT_3D('',#536227,#416797,#416798); #361828=AXIS2_PLACEMENT_3D('',#536233,#416802,#416803); #361829=AXIS2_PLACEMENT_3D('',#536239,#416807,#416808); #361830=AXIS2_PLACEMENT_3D('',#536245,#416812,#416813); #361831=AXIS2_PLACEMENT_3D('',#536251,#416817,#416818); #361832=AXIS2_PLACEMENT_3D('',#536257,#416822,#416823); #361833=AXIS2_PLACEMENT_3D('',#536263,#416827,#416828); #361834=AXIS2_PLACEMENT_3D('',#536269,#416832,#416833); #361835=AXIS2_PLACEMENT_3D('',#536275,#416837,#416838); #361836=AXIS2_PLACEMENT_3D('',#536281,#416842,#416843); #361837=AXIS2_PLACEMENT_3D('',#536287,#416847,#416848); #361838=AXIS2_PLACEMENT_3D('',#536293,#416852,#416853); #361839=AXIS2_PLACEMENT_3D('',#536299,#416857,#416858); #361840=AXIS2_PLACEMENT_3D('',#536305,#416862,#416863); #361841=AXIS2_PLACEMENT_3D('',#536311,#416867,#416868); #361842=AXIS2_PLACEMENT_3D('',#536317,#416872,#416873); #361843=AXIS2_PLACEMENT_3D('',#536320,#416876,#416877); #361844=AXIS2_PLACEMENT_3D('',#536321,#416878,#416879); #361845=AXIS2_PLACEMENT_3D('',#536322,#416880,#416881); #361846=AXIS2_PLACEMENT_3D('',#536324,#416882,#416883); #361847=AXIS2_PLACEMENT_3D('',#536327,#416885,#416886); #361848=AXIS2_PLACEMENT_3D('',#536328,#416887,#416888); #361849=AXIS2_PLACEMENT_3D('',#536337,#416893,#416894); #361850=AXIS2_PLACEMENT_3D('',#536339,#416895,#416896); #361851=AXIS2_PLACEMENT_3D('',#536341,#416897,#416898); #361852=AXIS2_PLACEMENT_3D('',#536343,#416900,#416901); #361853=AXIS2_PLACEMENT_3D('',#536344,#416902,#416903); #361854=AXIS2_PLACEMENT_3D('',#536345,#416904,#416905); #361855=AXIS2_PLACEMENT_3D('',#536346,#416906,#416907); #361856=AXIS2_PLACEMENT_3D('',#536347,#416908,#416909); #361857=AXIS2_PLACEMENT_3D('',#536348,#416910,#416911); #361858=AXIS2_PLACEMENT_3D('',#536350,#416912,#416913); #361859=AXIS2_PLACEMENT_3D('',#536353,#416915,#416916); #361860=AXIS2_PLACEMENT_3D('',#536354,#416917,#416918); #361861=AXIS2_PLACEMENT_3D('',#536363,#416923,#416924); #361862=AXIS2_PLACEMENT_3D('',#536365,#416925,#416926); #361863=AXIS2_PLACEMENT_3D('',#536367,#416927,#416928); #361864=AXIS2_PLACEMENT_3D('',#536369,#416930,#416931); #361865=AXIS2_PLACEMENT_3D('',#536370,#416932,#416933); #361866=AXIS2_PLACEMENT_3D('',#536371,#416934,#416935); #361867=AXIS2_PLACEMENT_3D('',#536372,#416936,#416937); #361868=AXIS2_PLACEMENT_3D('',#536373,#416938,#416939); #361869=AXIS2_PLACEMENT_3D('',#536374,#416940,#416941); #361870=AXIS2_PLACEMENT_3D('',#536376,#416942,#416943); #361871=AXIS2_PLACEMENT_3D('',#536379,#416945,#416946); #361872=AXIS2_PLACEMENT_3D('',#536380,#416947,#416948); #361873=AXIS2_PLACEMENT_3D('',#536389,#416953,#416954); #361874=AXIS2_PLACEMENT_3D('',#536391,#416955,#416956); #361875=AXIS2_PLACEMENT_3D('',#536393,#416957,#416958); #361876=AXIS2_PLACEMENT_3D('',#536395,#416960,#416961); #361877=AXIS2_PLACEMENT_3D('',#536396,#416962,#416963); #361878=AXIS2_PLACEMENT_3D('',#536397,#416964,#416965); #361879=AXIS2_PLACEMENT_3D('',#536398,#416966,#416967); #361880=AXIS2_PLACEMENT_3D('',#536399,#416968,#416969); #361881=AXIS2_PLACEMENT_3D('',#536400,#416970,#416971); #361882=AXIS2_PLACEMENT_3D('',#536402,#416972,#416973); #361883=AXIS2_PLACEMENT_3D('',#536405,#416975,#416976); #361884=AXIS2_PLACEMENT_3D('',#536406,#416977,#416978); #361885=AXIS2_PLACEMENT_3D('',#536415,#416983,#416984); #361886=AXIS2_PLACEMENT_3D('',#536417,#416985,#416986); #361887=AXIS2_PLACEMENT_3D('',#536419,#416987,#416988); #361888=AXIS2_PLACEMENT_3D('',#536421,#416990,#416991); #361889=AXIS2_PLACEMENT_3D('',#536422,#416992,#416993); #361890=AXIS2_PLACEMENT_3D('',#536423,#416994,#416995); #361891=AXIS2_PLACEMENT_3D('',#536424,#416996,#416997); #361892=AXIS2_PLACEMENT_3D('',#536425,#416998,#416999); #361893=AXIS2_PLACEMENT_3D('',#536426,#417000,#417001); #361894=AXIS2_PLACEMENT_3D('',#536428,#417002,#417003); #361895=AXIS2_PLACEMENT_3D('',#536431,#417005,#417006); #361896=AXIS2_PLACEMENT_3D('',#536432,#417007,#417008); #361897=AXIS2_PLACEMENT_3D('',#536441,#417013,#417014); #361898=AXIS2_PLACEMENT_3D('',#536447,#417018,#417019); #361899=AXIS2_PLACEMENT_3D('',#536453,#417023,#417024); #361900=AXIS2_PLACEMENT_3D('',#536456,#417027,#417028); #361901=AXIS2_PLACEMENT_3D('',#536457,#417029,#417030); #361902=AXIS2_PLACEMENT_3D('',#536458,#417031,#417032); #361903=AXIS2_PLACEMENT_3D('',#536460,#417033,#417034); #361904=AXIS2_PLACEMENT_3D('',#536463,#417036,#417037); #361905=AXIS2_PLACEMENT_3D('',#536464,#417038,#417039); #361906=AXIS2_PLACEMENT_3D('',#536473,#417044,#417045); #361907=AXIS2_PLACEMENT_3D('',#536475,#417046,#417047); #361908=AXIS2_PLACEMENT_3D('',#536477,#417048,#417049); #361909=AXIS2_PLACEMENT_3D('',#536479,#417051,#417052); #361910=AXIS2_PLACEMENT_3D('',#536480,#417053,#417054); #361911=AXIS2_PLACEMENT_3D('',#536481,#417055,#417056); #361912=AXIS2_PLACEMENT_3D('',#536482,#417057,#417058); #361913=AXIS2_PLACEMENT_3D('',#536483,#417059,#417060); #361914=AXIS2_PLACEMENT_3D('',#536484,#417061,#417062); #361915=AXIS2_PLACEMENT_3D('',#536486,#417063,#417064); #361916=AXIS2_PLACEMENT_3D('',#536489,#417066,#417067); #361917=AXIS2_PLACEMENT_3D('',#536490,#417068,#417069); #361918=AXIS2_PLACEMENT_3D('',#536499,#417074,#417075); #361919=AXIS2_PLACEMENT_3D('',#536501,#417076,#417077); #361920=AXIS2_PLACEMENT_3D('',#536503,#417078,#417079); #361921=AXIS2_PLACEMENT_3D('',#536505,#417081,#417082); #361922=AXIS2_PLACEMENT_3D('',#536506,#417083,#417084); #361923=AXIS2_PLACEMENT_3D('',#536507,#417085,#417086); #361924=AXIS2_PLACEMENT_3D('',#536508,#417087,#417088); #361925=AXIS2_PLACEMENT_3D('',#536509,#417089,#417090); #361926=AXIS2_PLACEMENT_3D('',#536510,#417091,#417092); #361927=AXIS2_PLACEMENT_3D('',#536512,#417093,#417094); #361928=AXIS2_PLACEMENT_3D('',#536515,#417096,#417097); #361929=AXIS2_PLACEMENT_3D('',#536516,#417098,#417099); #361930=AXIS2_PLACEMENT_3D('',#536525,#417104,#417105); #361931=AXIS2_PLACEMENT_3D('',#536527,#417106,#417107); #361932=AXIS2_PLACEMENT_3D('',#536529,#417108,#417109); #361933=AXIS2_PLACEMENT_3D('',#536531,#417111,#417112); #361934=AXIS2_PLACEMENT_3D('',#536532,#417113,#417114); #361935=AXIS2_PLACEMENT_3D('',#536533,#417115,#417116); #361936=AXIS2_PLACEMENT_3D('',#536534,#417117,#417118); #361937=AXIS2_PLACEMENT_3D('',#536535,#417119,#417120); #361938=AXIS2_PLACEMENT_3D('',#536536,#417121,#417122); #361939=AXIS2_PLACEMENT_3D('',#536538,#417123,#417124); #361940=AXIS2_PLACEMENT_3D('',#536541,#417126,#417127); #361941=AXIS2_PLACEMENT_3D('',#536542,#417128,#417129); #361942=AXIS2_PLACEMENT_3D('',#536551,#417134,#417135); #361943=AXIS2_PLACEMENT_3D('',#536553,#417136,#417137); #361944=AXIS2_PLACEMENT_3D('',#536555,#417138,#417139); #361945=AXIS2_PLACEMENT_3D('',#536557,#417141,#417142); #361946=AXIS2_PLACEMENT_3D('',#536558,#417143,#417144); #361947=AXIS2_PLACEMENT_3D('',#536559,#417145,#417146); #361948=AXIS2_PLACEMENT_3D('',#536560,#417147,#417148); #361949=AXIS2_PLACEMENT_3D('',#536561,#417149,#417150); #361950=AXIS2_PLACEMENT_3D('',#536562,#417151,#417152); #361951=AXIS2_PLACEMENT_3D('',#536571,#417157,#417158); #361952=AXIS2_PLACEMENT_3D('',#536573,#417159,#417160); #361953=AXIS2_PLACEMENT_3D('',#536575,#417161,#417162); #361954=AXIS2_PLACEMENT_3D('',#536577,#417164,#417165); #361955=AXIS2_PLACEMENT_3D('',#536583,#417169,#417170); #361956=AXIS2_PLACEMENT_3D('',#536584,#417171,#417172); #361957=AXIS2_PLACEMENT_3D('',#536585,#417173,#417174); #361958=AXIS2_PLACEMENT_3D('',#536586,#417175,#417176); #361959=AXIS2_PLACEMENT_3D('',#536595,#417181,#417182); #361960=AXIS2_PLACEMENT_3D('',#536601,#417186,#417187); #361961=AXIS2_PLACEMENT_3D('',#536607,#417191,#417192); #361962=AXIS2_PLACEMENT_3D('',#536613,#417196,#417197); #361963=AXIS2_PLACEMENT_3D('',#536619,#417201,#417202); #361964=AXIS2_PLACEMENT_3D('',#536625,#417206,#417207); #361965=AXIS2_PLACEMENT_3D('',#536631,#417211,#417212); #361966=AXIS2_PLACEMENT_3D('',#536637,#417216,#417217); #361967=AXIS2_PLACEMENT_3D('',#536643,#417221,#417222); #361968=AXIS2_PLACEMENT_3D('',#536649,#417226,#417227); #361969=AXIS2_PLACEMENT_3D('',#536655,#417231,#417232); #361970=AXIS2_PLACEMENT_3D('',#536661,#417236,#417237); #361971=AXIS2_PLACEMENT_3D('',#536667,#417241,#417242); #361972=AXIS2_PLACEMENT_3D('',#536673,#417246,#417247); #361973=AXIS2_PLACEMENT_3D('',#536679,#417251,#417252); #361974=AXIS2_PLACEMENT_3D('',#536685,#417256,#417257); #361975=AXIS2_PLACEMENT_3D('',#536691,#417261,#417262); #361976=AXIS2_PLACEMENT_3D('',#536697,#417266,#417267); #361977=AXIS2_PLACEMENT_3D('',#536703,#417271,#417272); #361978=AXIS2_PLACEMENT_3D('',#536709,#417276,#417277); #361979=AXIS2_PLACEMENT_3D('',#536715,#417281,#417282); #361980=AXIS2_PLACEMENT_3D('',#536721,#417286,#417287); #361981=AXIS2_PLACEMENT_3D('',#536727,#417291,#417292); #361982=AXIS2_PLACEMENT_3D('',#536733,#417296,#417297); #361983=AXIS2_PLACEMENT_3D('',#536739,#417301,#417302); #361984=AXIS2_PLACEMENT_3D('',#536745,#417306,#417307); #361985=AXIS2_PLACEMENT_3D('',#536751,#417311,#417312); #361986=AXIS2_PLACEMENT_3D('',#536757,#417316,#417317); #361987=AXIS2_PLACEMENT_3D('',#536763,#417321,#417322); #361988=AXIS2_PLACEMENT_3D('',#536769,#417326,#417327); #361989=AXIS2_PLACEMENT_3D('',#536775,#417331,#417332); #361990=AXIS2_PLACEMENT_3D('',#536781,#417336,#417337); #361991=AXIS2_PLACEMENT_3D('',#536787,#417341,#417342); #361992=AXIS2_PLACEMENT_3D('',#536793,#417346,#417347); #361993=AXIS2_PLACEMENT_3D('',#536799,#417351,#417352); #361994=AXIS2_PLACEMENT_3D('',#536805,#417356,#417357); #361995=AXIS2_PLACEMENT_3D('',#536811,#417361,#417362); #361996=AXIS2_PLACEMENT_3D('',#536817,#417366,#417367); #361997=AXIS2_PLACEMENT_3D('',#536823,#417371,#417372); #361998=AXIS2_PLACEMENT_3D('',#536829,#417376,#417377); #361999=AXIS2_PLACEMENT_3D('',#536835,#417381,#417382); #362000=AXIS2_PLACEMENT_3D('',#536841,#417386,#417387); #362001=AXIS2_PLACEMENT_3D('',#536847,#417391,#417392); #362002=AXIS2_PLACEMENT_3D('',#536853,#417396,#417397); #362003=AXIS2_PLACEMENT_3D('',#536859,#417401,#417402); #362004=AXIS2_PLACEMENT_3D('',#536865,#417406,#417407); #362005=AXIS2_PLACEMENT_3D('',#536871,#417411,#417412); #362006=AXIS2_PLACEMENT_3D('',#536877,#417416,#417417); #362007=AXIS2_PLACEMENT_3D('',#536883,#417421,#417422); #362008=AXIS2_PLACEMENT_3D('',#536889,#417426,#417427); #362009=AXIS2_PLACEMENT_3D('',#536895,#417431,#417432); #362010=AXIS2_PLACEMENT_3D('',#536901,#417436,#417437); #362011=AXIS2_PLACEMENT_3D('',#536907,#417441,#417442); #362012=AXIS2_PLACEMENT_3D('',#536913,#417446,#417447); #362013=AXIS2_PLACEMENT_3D('',#536919,#417451,#417452); #362014=AXIS2_PLACEMENT_3D('',#536922,#417455,#417456); #362015=AXIS2_PLACEMENT_3D('',#536923,#417457,#417458); #362016=AXIS2_PLACEMENT_3D('',#536924,#417459,#417460); #362017=AXIS2_PLACEMENT_3D('',#536933,#417465,#417466); #362018=AXIS2_PLACEMENT_3D('',#536935,#417467,#417468); #362019=AXIS2_PLACEMENT_3D('',#536937,#417469,#417470); #362020=AXIS2_PLACEMENT_3D('',#536939,#417472,#417473); #362021=AXIS2_PLACEMENT_3D('',#536945,#417477,#417478); #362022=AXIS2_PLACEMENT_3D('',#536946,#417479,#417480); #362023=AXIS2_PLACEMENT_3D('',#536947,#417481,#417482); #362024=AXIS2_PLACEMENT_3D('',#536948,#417483,#417484); #362025=AXIS2_PLACEMENT_3D('',#536957,#417489,#417490); #362026=AXIS2_PLACEMENT_3D('',#536963,#417494,#417495); #362027=AXIS2_PLACEMENT_3D('',#536969,#417499,#417500); #362028=AXIS2_PLACEMENT_3D('',#536975,#417504,#417505); #362029=AXIS2_PLACEMENT_3D('',#536981,#417509,#417510); #362030=AXIS2_PLACEMENT_3D('',#536987,#417514,#417515); #362031=AXIS2_PLACEMENT_3D('',#536993,#417519,#417520); #362032=AXIS2_PLACEMENT_3D('',#536999,#417524,#417525); #362033=AXIS2_PLACEMENT_3D('',#537005,#417529,#417530); #362034=AXIS2_PLACEMENT_3D('',#537011,#417534,#417535); #362035=AXIS2_PLACEMENT_3D('',#537017,#417539,#417540); #362036=AXIS2_PLACEMENT_3D('',#537023,#417544,#417545); #362037=AXIS2_PLACEMENT_3D('',#537029,#417549,#417550); #362038=AXIS2_PLACEMENT_3D('',#537035,#417554,#417555); #362039=AXIS2_PLACEMENT_3D('',#537041,#417559,#417560); #362040=AXIS2_PLACEMENT_3D('',#537047,#417564,#417565); #362041=AXIS2_PLACEMENT_3D('',#537053,#417569,#417570); #362042=AXIS2_PLACEMENT_3D('',#537059,#417574,#417575); #362043=AXIS2_PLACEMENT_3D('',#537065,#417579,#417580); #362044=AXIS2_PLACEMENT_3D('',#537071,#417584,#417585); #362045=AXIS2_PLACEMENT_3D('',#537077,#417589,#417590); #362046=AXIS2_PLACEMENT_3D('',#537083,#417594,#417595); #362047=AXIS2_PLACEMENT_3D('',#537089,#417599,#417600); #362048=AXIS2_PLACEMENT_3D('',#537095,#417604,#417605); #362049=AXIS2_PLACEMENT_3D('',#537101,#417609,#417610); #362050=AXIS2_PLACEMENT_3D('',#537107,#417614,#417615); #362051=AXIS2_PLACEMENT_3D('',#537113,#417619,#417620); #362052=AXIS2_PLACEMENT_3D('',#537119,#417624,#417625); #362053=AXIS2_PLACEMENT_3D('',#537125,#417629,#417630); #362054=AXIS2_PLACEMENT_3D('',#537131,#417634,#417635); #362055=AXIS2_PLACEMENT_3D('',#537137,#417639,#417640); #362056=AXIS2_PLACEMENT_3D('',#537143,#417644,#417645); #362057=AXIS2_PLACEMENT_3D('',#537149,#417649,#417650); #362058=AXIS2_PLACEMENT_3D('',#537155,#417654,#417655); #362059=AXIS2_PLACEMENT_3D('',#537161,#417659,#417660); #362060=AXIS2_PLACEMENT_3D('',#537167,#417664,#417665); #362061=AXIS2_PLACEMENT_3D('',#537173,#417669,#417670); #362062=AXIS2_PLACEMENT_3D('',#537179,#417674,#417675); #362063=AXIS2_PLACEMENT_3D('',#537185,#417679,#417680); #362064=AXIS2_PLACEMENT_3D('',#537191,#417684,#417685); #362065=AXIS2_PLACEMENT_3D('',#537197,#417689,#417690); #362066=AXIS2_PLACEMENT_3D('',#537203,#417694,#417695); #362067=AXIS2_PLACEMENT_3D('',#537209,#417699,#417700); #362068=AXIS2_PLACEMENT_3D('',#537215,#417704,#417705); #362069=AXIS2_PLACEMENT_3D('',#537221,#417709,#417710); #362070=AXIS2_PLACEMENT_3D('',#537227,#417714,#417715); #362071=AXIS2_PLACEMENT_3D('',#537233,#417719,#417720); #362072=AXIS2_PLACEMENT_3D('',#537239,#417724,#417725); #362073=AXIS2_PLACEMENT_3D('',#537245,#417729,#417730); #362074=AXIS2_PLACEMENT_3D('',#537251,#417734,#417735); #362075=AXIS2_PLACEMENT_3D('',#537257,#417739,#417740); #362076=AXIS2_PLACEMENT_3D('',#537263,#417744,#417745); #362077=AXIS2_PLACEMENT_3D('',#537269,#417749,#417750); #362078=AXIS2_PLACEMENT_3D('',#537275,#417754,#417755); #362079=AXIS2_PLACEMENT_3D('',#537281,#417759,#417760); #362080=AXIS2_PLACEMENT_3D('',#537287,#417764,#417765); #362081=AXIS2_PLACEMENT_3D('',#537293,#417769,#417770); #362082=AXIS2_PLACEMENT_3D('',#537299,#417774,#417775); #362083=AXIS2_PLACEMENT_3D('',#537305,#417779,#417780); #362084=AXIS2_PLACEMENT_3D('',#537311,#417784,#417785); #362085=AXIS2_PLACEMENT_3D('',#537317,#417789,#417790); #362086=AXIS2_PLACEMENT_3D('',#537323,#417794,#417795); #362087=AXIS2_PLACEMENT_3D('',#537329,#417799,#417800); #362088=AXIS2_PLACEMENT_3D('',#537335,#417804,#417805); #362089=AXIS2_PLACEMENT_3D('',#537341,#417809,#417810); #362090=AXIS2_PLACEMENT_3D('',#537347,#417814,#417815); #362091=AXIS2_PLACEMENT_3D('',#537353,#417819,#417820); #362092=AXIS2_PLACEMENT_3D('',#537359,#417824,#417825); #362093=AXIS2_PLACEMENT_3D('',#537365,#417829,#417830); #362094=AXIS2_PLACEMENT_3D('',#537371,#417834,#417835); #362095=AXIS2_PLACEMENT_3D('',#537377,#417839,#417840); #362096=AXIS2_PLACEMENT_3D('',#537380,#417843,#417844); #362097=AXIS2_PLACEMENT_3D('',#537381,#417845,#417846); #362098=AXIS2_PLACEMENT_3D('',#537382,#417847,#417848); #362099=AXIS2_PLACEMENT_3D('',#537391,#417853,#417854); #362100=AXIS2_PLACEMENT_3D('',#537393,#417855,#417856); #362101=AXIS2_PLACEMENT_3D('',#537395,#417857,#417858); #362102=AXIS2_PLACEMENT_3D('',#537397,#417860,#417861); #362103=AXIS2_PLACEMENT_3D('',#537403,#417865,#417866); #362104=AXIS2_PLACEMENT_3D('',#537404,#417867,#417868); #362105=AXIS2_PLACEMENT_3D('',#537405,#417869,#417870); #362106=AXIS2_PLACEMENT_3D('',#537406,#417871,#417872); #362107=AXIS2_PLACEMENT_3D('',#537415,#417877,#417878); #362108=AXIS2_PLACEMENT_3D('',#537421,#417882,#417883); #362109=AXIS2_PLACEMENT_3D('',#537427,#417887,#417888); #362110=AXIS2_PLACEMENT_3D('',#537433,#417892,#417893); #362111=AXIS2_PLACEMENT_3D('',#537439,#417897,#417898); #362112=AXIS2_PLACEMENT_3D('',#537445,#417902,#417903); #362113=AXIS2_PLACEMENT_3D('',#537451,#417907,#417908); #362114=AXIS2_PLACEMENT_3D('',#537457,#417912,#417913); #362115=AXIS2_PLACEMENT_3D('',#537463,#417917,#417918); #362116=AXIS2_PLACEMENT_3D('',#537469,#417922,#417923); #362117=AXIS2_PLACEMENT_3D('',#537475,#417927,#417928); #362118=AXIS2_PLACEMENT_3D('',#537481,#417932,#417933); #362119=AXIS2_PLACEMENT_3D('',#537487,#417937,#417938); #362120=AXIS2_PLACEMENT_3D('',#537493,#417942,#417943); #362121=AXIS2_PLACEMENT_3D('',#537499,#417947,#417948); #362122=AXIS2_PLACEMENT_3D('',#537505,#417952,#417953); #362123=AXIS2_PLACEMENT_3D('',#537511,#417957,#417958); #362124=AXIS2_PLACEMENT_3D('',#537517,#417962,#417963); #362125=AXIS2_PLACEMENT_3D('',#537523,#417967,#417968); #362126=AXIS2_PLACEMENT_3D('',#537529,#417972,#417973); #362127=AXIS2_PLACEMENT_3D('',#537535,#417977,#417978); #362128=AXIS2_PLACEMENT_3D('',#537541,#417982,#417983); #362129=AXIS2_PLACEMENT_3D('',#537547,#417987,#417988); #362130=AXIS2_PLACEMENT_3D('',#537553,#417992,#417993); #362131=AXIS2_PLACEMENT_3D('',#537559,#417997,#417998); #362132=AXIS2_PLACEMENT_3D('',#537565,#418002,#418003); #362133=AXIS2_PLACEMENT_3D('',#537571,#418007,#418008); #362134=AXIS2_PLACEMENT_3D('',#537577,#418012,#418013); #362135=AXIS2_PLACEMENT_3D('',#537583,#418017,#418018); #362136=AXIS2_PLACEMENT_3D('',#537589,#418022,#418023); #362137=AXIS2_PLACEMENT_3D('',#537595,#418027,#418028); #362138=AXIS2_PLACEMENT_3D('',#537601,#418032,#418033); #362139=AXIS2_PLACEMENT_3D('',#537607,#418037,#418038); #362140=AXIS2_PLACEMENT_3D('',#537613,#418042,#418043); #362141=AXIS2_PLACEMENT_3D('',#537619,#418047,#418048); #362142=AXIS2_PLACEMENT_3D('',#537625,#418052,#418053); #362143=AXIS2_PLACEMENT_3D('',#537631,#418057,#418058); #362144=AXIS2_PLACEMENT_3D('',#537637,#418062,#418063); #362145=AXIS2_PLACEMENT_3D('',#537643,#418067,#418068); #362146=AXIS2_PLACEMENT_3D('',#537649,#418072,#418073); #362147=AXIS2_PLACEMENT_3D('',#537655,#418077,#418078); #362148=AXIS2_PLACEMENT_3D('',#537661,#418082,#418083); #362149=AXIS2_PLACEMENT_3D('',#537667,#418087,#418088); #362150=AXIS2_PLACEMENT_3D('',#537673,#418092,#418093); #362151=AXIS2_PLACEMENT_3D('',#537679,#418097,#418098); #362152=AXIS2_PLACEMENT_3D('',#537685,#418102,#418103); #362153=AXIS2_PLACEMENT_3D('',#537691,#418107,#418108); #362154=AXIS2_PLACEMENT_3D('',#537697,#418112,#418113); #362155=AXIS2_PLACEMENT_3D('',#537703,#418117,#418118); #362156=AXIS2_PLACEMENT_3D('',#537709,#418122,#418123); #362157=AXIS2_PLACEMENT_3D('',#537715,#418127,#418128); #362158=AXIS2_PLACEMENT_3D('',#537721,#418132,#418133); #362159=AXIS2_PLACEMENT_3D('',#537727,#418137,#418138); #362160=AXIS2_PLACEMENT_3D('',#537733,#418142,#418143); #362161=AXIS2_PLACEMENT_3D('',#537739,#418147,#418148); #362162=AXIS2_PLACEMENT_3D('',#537742,#418151,#418152); #362163=AXIS2_PLACEMENT_3D('',#537743,#418153,#418154); #362164=AXIS2_PLACEMENT_3D('',#537744,#418155,#418156); #362165=AXIS2_PLACEMENT_3D('',#537746,#418157,#418158); #362166=AXIS2_PLACEMENT_3D('',#537749,#418160,#418161); #362167=AXIS2_PLACEMENT_3D('',#537750,#418162,#418163); #362168=AXIS2_PLACEMENT_3D('',#537759,#418168,#418169); #362169=AXIS2_PLACEMENT_3D('',#537765,#418173,#418174); #362170=AXIS2_PLACEMENT_3D('',#537771,#418178,#418179); #362171=AXIS2_PLACEMENT_3D('',#537774,#418182,#418183); #362172=AXIS2_PLACEMENT_3D('',#537775,#418184,#418185); #362173=AXIS2_PLACEMENT_3D('',#537776,#418186,#418187); #362174=AXIS2_PLACEMENT_3D('',#537785,#418192,#418193); #362175=AXIS2_PLACEMENT_3D('',#537787,#418194,#418195); #362176=AXIS2_PLACEMENT_3D('',#537789,#418196,#418197); #362177=AXIS2_PLACEMENT_3D('',#537791,#418199,#418200); #362178=AXIS2_PLACEMENT_3D('',#537797,#418204,#418205); #362179=AXIS2_PLACEMENT_3D('',#537798,#418206,#418207); #362180=AXIS2_PLACEMENT_3D('',#537799,#418208,#418209); #362181=AXIS2_PLACEMENT_3D('',#537800,#418210,#418211); #362182=AXIS2_PLACEMENT_3D('',#537809,#418216,#418217); #362183=AXIS2_PLACEMENT_3D('',#537815,#418221,#418222); #362184=AXIS2_PLACEMENT_3D('',#537821,#418226,#418227); #362185=AXIS2_PLACEMENT_3D('',#537827,#418231,#418232); #362186=AXIS2_PLACEMENT_3D('',#537833,#418236,#418237); #362187=AXIS2_PLACEMENT_3D('',#537839,#418241,#418242); #362188=AXIS2_PLACEMENT_3D('',#537845,#418246,#418247); #362189=AXIS2_PLACEMENT_3D('',#537851,#418251,#418252); #362190=AXIS2_PLACEMENT_3D('',#537857,#418256,#418257); #362191=AXIS2_PLACEMENT_3D('',#537863,#418261,#418262); #362192=AXIS2_PLACEMENT_3D('',#537869,#418266,#418267); #362193=AXIS2_PLACEMENT_3D('',#537875,#418271,#418272); #362194=AXIS2_PLACEMENT_3D('',#537881,#418276,#418277); #362195=AXIS2_PLACEMENT_3D('',#537887,#418281,#418282); #362196=AXIS2_PLACEMENT_3D('',#537893,#418286,#418287); #362197=AXIS2_PLACEMENT_3D('',#537899,#418291,#418292); #362198=AXIS2_PLACEMENT_3D('',#537905,#418296,#418297); #362199=AXIS2_PLACEMENT_3D('',#537911,#418301,#418302); #362200=AXIS2_PLACEMENT_3D('',#537917,#418306,#418307); #362201=AXIS2_PLACEMENT_3D('',#537923,#418311,#418312); #362202=AXIS2_PLACEMENT_3D('',#537929,#418316,#418317); #362203=AXIS2_PLACEMENT_3D('',#537935,#418321,#418322); #362204=AXIS2_PLACEMENT_3D('',#537941,#418326,#418327); #362205=AXIS2_PLACEMENT_3D('',#537947,#418331,#418332); #362206=AXIS2_PLACEMENT_3D('',#537953,#418336,#418337); #362207=AXIS2_PLACEMENT_3D('',#537959,#418341,#418342); #362208=AXIS2_PLACEMENT_3D('',#537965,#418346,#418347); #362209=AXIS2_PLACEMENT_3D('',#537971,#418351,#418352); #362210=AXIS2_PLACEMENT_3D('',#537977,#418356,#418357); #362211=AXIS2_PLACEMENT_3D('',#537983,#418361,#418362); #362212=AXIS2_PLACEMENT_3D('',#537989,#418366,#418367); #362213=AXIS2_PLACEMENT_3D('',#537995,#418371,#418372); #362214=AXIS2_PLACEMENT_3D('',#538001,#418376,#418377); #362215=AXIS2_PLACEMENT_3D('',#538007,#418381,#418382); #362216=AXIS2_PLACEMENT_3D('',#538013,#418386,#418387); #362217=AXIS2_PLACEMENT_3D('',#538019,#418391,#418392); #362218=AXIS2_PLACEMENT_3D('',#538025,#418396,#418397); #362219=AXIS2_PLACEMENT_3D('',#538031,#418401,#418402); #362220=AXIS2_PLACEMENT_3D('',#538037,#418406,#418407); #362221=AXIS2_PLACEMENT_3D('',#538043,#418411,#418412); #362222=AXIS2_PLACEMENT_3D('',#538049,#418416,#418417); #362223=AXIS2_PLACEMENT_3D('',#538055,#418421,#418422); #362224=AXIS2_PLACEMENT_3D('',#538061,#418426,#418427); #362225=AXIS2_PLACEMENT_3D('',#538067,#418431,#418432); #362226=AXIS2_PLACEMENT_3D('',#538073,#418436,#418437); #362227=AXIS2_PLACEMENT_3D('',#538079,#418441,#418442); #362228=AXIS2_PLACEMENT_3D('',#538085,#418446,#418447); #362229=AXIS2_PLACEMENT_3D('',#538091,#418451,#418452); #362230=AXIS2_PLACEMENT_3D('',#538097,#418456,#418457); #362231=AXIS2_PLACEMENT_3D('',#538103,#418461,#418462); #362232=AXIS2_PLACEMENT_3D('',#538109,#418466,#418467); #362233=AXIS2_PLACEMENT_3D('',#538115,#418471,#418472); #362234=AXIS2_PLACEMENT_3D('',#538121,#418476,#418477); #362235=AXIS2_PLACEMENT_3D('',#538127,#418481,#418482); #362236=AXIS2_PLACEMENT_3D('',#538133,#418486,#418487); #362237=AXIS2_PLACEMENT_3D('',#538136,#418490,#418491); #362238=AXIS2_PLACEMENT_3D('',#538137,#418492,#418493); #362239=AXIS2_PLACEMENT_3D('',#538138,#418494,#418495); #362240=AXIS2_PLACEMENT_3D('',#538147,#418500,#418501); #362241=AXIS2_PLACEMENT_3D('',#538149,#418502,#418503); #362242=AXIS2_PLACEMENT_3D('',#538151,#418504,#418505); #362243=AXIS2_PLACEMENT_3D('',#538153,#418507,#418508); #362244=AXIS2_PLACEMENT_3D('',#538159,#418512,#418513); #362245=AXIS2_PLACEMENT_3D('',#538160,#418514,#418515); #362246=AXIS2_PLACEMENT_3D('',#538161,#418516,#418517); #362247=AXIS2_PLACEMENT_3D('',#538162,#418518,#418519); #362248=AXIS2_PLACEMENT_3D('',#538171,#418524,#418525); #362249=AXIS2_PLACEMENT_3D('',#538177,#418529,#418530); #362250=AXIS2_PLACEMENT_3D('',#538183,#418534,#418535); #362251=AXIS2_PLACEMENT_3D('',#538189,#418539,#418540); #362252=AXIS2_PLACEMENT_3D('',#538195,#418544,#418545); #362253=AXIS2_PLACEMENT_3D('',#538201,#418549,#418550); #362254=AXIS2_PLACEMENT_3D('',#538207,#418554,#418555); #362255=AXIS2_PLACEMENT_3D('',#538213,#418559,#418560); #362256=AXIS2_PLACEMENT_3D('',#538219,#418564,#418565); #362257=AXIS2_PLACEMENT_3D('',#538225,#418569,#418570); #362258=AXIS2_PLACEMENT_3D('',#538231,#418574,#418575); #362259=AXIS2_PLACEMENT_3D('',#538237,#418579,#418580); #362260=AXIS2_PLACEMENT_3D('',#538243,#418584,#418585); #362261=AXIS2_PLACEMENT_3D('',#538249,#418589,#418590); #362262=AXIS2_PLACEMENT_3D('',#538255,#418594,#418595); #362263=AXIS2_PLACEMENT_3D('',#538261,#418599,#418600); #362264=AXIS2_PLACEMENT_3D('',#538267,#418604,#418605); #362265=AXIS2_PLACEMENT_3D('',#538273,#418609,#418610); #362266=AXIS2_PLACEMENT_3D('',#538279,#418614,#418615); #362267=AXIS2_PLACEMENT_3D('',#538285,#418619,#418620); #362268=AXIS2_PLACEMENT_3D('',#538291,#418624,#418625); #362269=AXIS2_PLACEMENT_3D('',#538297,#418629,#418630); #362270=AXIS2_PLACEMENT_3D('',#538303,#418634,#418635); #362271=AXIS2_PLACEMENT_3D('',#538309,#418639,#418640); #362272=AXIS2_PLACEMENT_3D('',#538315,#418644,#418645); #362273=AXIS2_PLACEMENT_3D('',#538321,#418649,#418650); #362274=AXIS2_PLACEMENT_3D('',#538327,#418654,#418655); #362275=AXIS2_PLACEMENT_3D('',#538333,#418659,#418660); #362276=AXIS2_PLACEMENT_3D('',#538339,#418664,#418665); #362277=AXIS2_PLACEMENT_3D('',#538345,#418669,#418670); #362278=AXIS2_PLACEMENT_3D('',#538351,#418674,#418675); #362279=AXIS2_PLACEMENT_3D('',#538357,#418679,#418680); #362280=AXIS2_PLACEMENT_3D('',#538363,#418684,#418685); #362281=AXIS2_PLACEMENT_3D('',#538369,#418689,#418690); #362282=AXIS2_PLACEMENT_3D('',#538375,#418694,#418695); #362283=AXIS2_PLACEMENT_3D('',#538381,#418699,#418700); #362284=AXIS2_PLACEMENT_3D('',#538387,#418704,#418705); #362285=AXIS2_PLACEMENT_3D('',#538393,#418709,#418710); #362286=AXIS2_PLACEMENT_3D('',#538399,#418714,#418715); #362287=AXIS2_PLACEMENT_3D('',#538405,#418719,#418720); #362288=AXIS2_PLACEMENT_3D('',#538411,#418724,#418725); #362289=AXIS2_PLACEMENT_3D('',#538417,#418729,#418730); #362290=AXIS2_PLACEMENT_3D('',#538423,#418734,#418735); #362291=AXIS2_PLACEMENT_3D('',#538429,#418739,#418740); #362292=AXIS2_PLACEMENT_3D('',#538435,#418744,#418745); #362293=AXIS2_PLACEMENT_3D('',#538441,#418749,#418750); #362294=AXIS2_PLACEMENT_3D('',#538447,#418754,#418755); #362295=AXIS2_PLACEMENT_3D('',#538453,#418759,#418760); #362296=AXIS2_PLACEMENT_3D('',#538459,#418764,#418765); #362297=AXIS2_PLACEMENT_3D('',#538465,#418769,#418770); #362298=AXIS2_PLACEMENT_3D('',#538471,#418774,#418775); #362299=AXIS2_PLACEMENT_3D('',#538477,#418779,#418780); #362300=AXIS2_PLACEMENT_3D('',#538483,#418784,#418785); #362301=AXIS2_PLACEMENT_3D('',#538489,#418789,#418790); #362302=AXIS2_PLACEMENT_3D('',#538495,#418794,#418795); #362303=AXIS2_PLACEMENT_3D('',#538501,#418799,#418800); #362304=AXIS2_PLACEMENT_3D('',#538507,#418804,#418805); #362305=AXIS2_PLACEMENT_3D('',#538513,#418809,#418810); #362306=AXIS2_PLACEMENT_3D('',#538519,#418814,#418815); #362307=AXIS2_PLACEMENT_3D('',#538525,#418819,#418820); #362308=AXIS2_PLACEMENT_3D('',#538531,#418824,#418825); #362309=AXIS2_PLACEMENT_3D('',#538537,#418829,#418830); #362310=AXIS2_PLACEMENT_3D('',#538543,#418834,#418835); #362311=AXIS2_PLACEMENT_3D('',#538549,#418839,#418840); #362312=AXIS2_PLACEMENT_3D('',#538555,#418844,#418845); #362313=AXIS2_PLACEMENT_3D('',#538561,#418849,#418850); #362314=AXIS2_PLACEMENT_3D('',#538567,#418854,#418855); #362315=AXIS2_PLACEMENT_3D('',#538573,#418859,#418860); #362316=AXIS2_PLACEMENT_3D('',#538579,#418864,#418865); #362317=AXIS2_PLACEMENT_3D('',#538585,#418869,#418870); #362318=AXIS2_PLACEMENT_3D('',#538591,#418874,#418875); #362319=AXIS2_PLACEMENT_3D('',#538594,#418878,#418879); #362320=AXIS2_PLACEMENT_3D('',#538595,#418880,#418881); #362321=AXIS2_PLACEMENT_3D('',#538596,#418882,#418883); #362322=AXIS2_PLACEMENT_3D('',#538598,#418884,#418885); #362323=AXIS2_PLACEMENT_3D('',#538601,#418887,#418888); #362324=AXIS2_PLACEMENT_3D('',#538602,#418889,#418890); #362325=AXIS2_PLACEMENT_3D('',#538611,#418895,#418896); #362326=AXIS2_PLACEMENT_3D('',#538613,#418897,#418898); #362327=AXIS2_PLACEMENT_3D('',#538615,#418899,#418900); #362328=AXIS2_PLACEMENT_3D('',#538617,#418902,#418903); #362329=AXIS2_PLACEMENT_3D('',#538618,#418904,#418905); #362330=AXIS2_PLACEMENT_3D('',#538619,#418906,#418907); #362331=AXIS2_PLACEMENT_3D('',#538620,#418908,#418909); #362332=AXIS2_PLACEMENT_3D('',#538621,#418910,#418911); #362333=AXIS2_PLACEMENT_3D('',#538622,#418912,#418913); #362334=AXIS2_PLACEMENT_3D('',#538624,#418914,#418915); #362335=AXIS2_PLACEMENT_3D('',#538627,#418917,#418918); #362336=AXIS2_PLACEMENT_3D('',#538628,#418919,#418920); #362337=AXIS2_PLACEMENT_3D('',#538637,#418925,#418926); #362338=AXIS2_PLACEMENT_3D('',#538639,#418927,#418928); #362339=AXIS2_PLACEMENT_3D('',#538641,#418929,#418930); #362340=AXIS2_PLACEMENT_3D('',#538643,#418932,#418933); #362341=AXIS2_PLACEMENT_3D('',#538644,#418934,#418935); #362342=AXIS2_PLACEMENT_3D('',#538645,#418936,#418937); #362343=AXIS2_PLACEMENT_3D('',#538646,#418938,#418939); #362344=AXIS2_PLACEMENT_3D('',#538647,#418940,#418941); #362345=AXIS2_PLACEMENT_3D('',#538648,#418942,#418943); #362346=AXIS2_PLACEMENT_3D('',#538657,#418948,#418949); #362347=AXIS2_PLACEMENT_3D('',#538659,#418950,#418951); #362348=AXIS2_PLACEMENT_3D('',#538661,#418952,#418953); #362349=AXIS2_PLACEMENT_3D('',#538663,#418955,#418956); #362350=AXIS2_PLACEMENT_3D('',#538669,#418960,#418961); #362351=AXIS2_PLACEMENT_3D('',#538670,#418962,#418963); #362352=AXIS2_PLACEMENT_3D('',#538671,#418964,#418965); #362353=AXIS2_PLACEMENT_3D('',#538672,#418966,#418967); #362354=AXIS2_PLACEMENT_3D('',#538681,#418972,#418973); #362355=AXIS2_PLACEMENT_3D('',#538687,#418977,#418978); #362356=AXIS2_PLACEMENT_3D('',#538693,#418982,#418983); #362357=AXIS2_PLACEMENT_3D('',#538699,#418987,#418988); #362358=AXIS2_PLACEMENT_3D('',#538705,#418992,#418993); #362359=AXIS2_PLACEMENT_3D('',#538711,#418997,#418998); #362360=AXIS2_PLACEMENT_3D('',#538717,#419002,#419003); #362361=AXIS2_PLACEMENT_3D('',#538723,#419007,#419008); #362362=AXIS2_PLACEMENT_3D('',#538729,#419012,#419013); #362363=AXIS2_PLACEMENT_3D('',#538735,#419017,#419018); #362364=AXIS2_PLACEMENT_3D('',#538741,#419022,#419023); #362365=AXIS2_PLACEMENT_3D('',#538747,#419027,#419028); #362366=AXIS2_PLACEMENT_3D('',#538753,#419032,#419033); #362367=AXIS2_PLACEMENT_3D('',#538759,#419037,#419038); #362368=AXIS2_PLACEMENT_3D('',#538765,#419042,#419043); #362369=AXIS2_PLACEMENT_3D('',#538771,#419047,#419048); #362370=AXIS2_PLACEMENT_3D('',#538777,#419052,#419053); #362371=AXIS2_PLACEMENT_3D('',#538783,#419057,#419058); #362372=AXIS2_PLACEMENT_3D('',#538789,#419062,#419063); #362373=AXIS2_PLACEMENT_3D('',#538795,#419067,#419068); #362374=AXIS2_PLACEMENT_3D('',#538801,#419072,#419073); #362375=AXIS2_PLACEMENT_3D('',#538807,#419077,#419078); #362376=AXIS2_PLACEMENT_3D('',#538813,#419082,#419083); #362377=AXIS2_PLACEMENT_3D('',#538819,#419087,#419088); #362378=AXIS2_PLACEMENT_3D('',#538825,#419092,#419093); #362379=AXIS2_PLACEMENT_3D('',#538831,#419097,#419098); #362380=AXIS2_PLACEMENT_3D('',#538837,#419102,#419103); #362381=AXIS2_PLACEMENT_3D('',#538843,#419107,#419108); #362382=AXIS2_PLACEMENT_3D('',#538849,#419112,#419113); #362383=AXIS2_PLACEMENT_3D('',#538855,#419117,#419118); #362384=AXIS2_PLACEMENT_3D('',#538861,#419122,#419123); #362385=AXIS2_PLACEMENT_3D('',#538867,#419127,#419128); #362386=AXIS2_PLACEMENT_3D('',#538873,#419132,#419133); #362387=AXIS2_PLACEMENT_3D('',#538879,#419137,#419138); #362388=AXIS2_PLACEMENT_3D('',#538885,#419142,#419143); #362389=AXIS2_PLACEMENT_3D('',#538891,#419147,#419148); #362390=AXIS2_PLACEMENT_3D('',#538897,#419152,#419153); #362391=AXIS2_PLACEMENT_3D('',#538903,#419157,#419158); #362392=AXIS2_PLACEMENT_3D('',#538909,#419162,#419163); #362393=AXIS2_PLACEMENT_3D('',#538915,#419167,#419168); #362394=AXIS2_PLACEMENT_3D('',#538921,#419172,#419173); #362395=AXIS2_PLACEMENT_3D('',#538927,#419177,#419178); #362396=AXIS2_PLACEMENT_3D('',#538933,#419182,#419183); #362397=AXIS2_PLACEMENT_3D('',#538939,#419187,#419188); #362398=AXIS2_PLACEMENT_3D('',#538945,#419192,#419193); #362399=AXIS2_PLACEMENT_3D('',#538951,#419197,#419198); #362400=AXIS2_PLACEMENT_3D('',#538957,#419202,#419203); #362401=AXIS2_PLACEMENT_3D('',#538963,#419207,#419208); #362402=AXIS2_PLACEMENT_3D('',#538969,#419212,#419213); #362403=AXIS2_PLACEMENT_3D('',#538975,#419217,#419218); #362404=AXIS2_PLACEMENT_3D('',#538981,#419222,#419223); #362405=AXIS2_PLACEMENT_3D('',#538987,#419227,#419228); #362406=AXIS2_PLACEMENT_3D('',#538993,#419232,#419233); #362407=AXIS2_PLACEMENT_3D('',#538999,#419237,#419238); #362408=AXIS2_PLACEMENT_3D('',#539005,#419242,#419243); #362409=AXIS2_PLACEMENT_3D('',#539008,#419246,#419247); #362410=AXIS2_PLACEMENT_3D('',#539009,#419248,#419249); #362411=AXIS2_PLACEMENT_3D('',#539010,#419250,#419251); #362412=AXIS2_PLACEMENT_3D('',#539012,#419252,#419253); #362413=AXIS2_PLACEMENT_3D('',#539015,#419255,#419256); #362414=AXIS2_PLACEMENT_3D('',#539016,#419257,#419258); #362415=AXIS2_PLACEMENT_3D('',#539025,#419263,#419264); #362416=AXIS2_PLACEMENT_3D('',#539027,#419265,#419266); #362417=AXIS2_PLACEMENT_3D('',#539029,#419267,#419268); #362418=AXIS2_PLACEMENT_3D('',#539031,#419270,#419271); #362419=AXIS2_PLACEMENT_3D('',#539032,#419272,#419273); #362420=AXIS2_PLACEMENT_3D('',#539033,#419274,#419275); #362421=AXIS2_PLACEMENT_3D('',#539034,#419276,#419277); #362422=AXIS2_PLACEMENT_3D('',#539035,#419278,#419279); #362423=AXIS2_PLACEMENT_3D('',#539036,#419280,#419281); #362424=AXIS2_PLACEMENT_3D('',#539038,#419282,#419283); #362425=AXIS2_PLACEMENT_3D('',#539041,#419285,#419286); #362426=AXIS2_PLACEMENT_3D('',#539042,#419287,#419288); #362427=AXIS2_PLACEMENT_3D('',#539051,#419293,#419294); #362428=AXIS2_PLACEMENT_3D('',#539053,#419295,#419296); #362429=AXIS2_PLACEMENT_3D('',#539055,#419297,#419298); #362430=AXIS2_PLACEMENT_3D('',#539057,#419300,#419301); #362431=AXIS2_PLACEMENT_3D('',#539058,#419302,#419303); #362432=AXIS2_PLACEMENT_3D('',#539059,#419304,#419305); #362433=AXIS2_PLACEMENT_3D('',#539060,#419306,#419307); #362434=AXIS2_PLACEMENT_3D('',#539061,#419308,#419309); #362435=AXIS2_PLACEMENT_3D('',#539062,#419310,#419311); #362436=AXIS2_PLACEMENT_3D('',#539064,#419312,#419313); #362437=AXIS2_PLACEMENT_3D('',#539067,#419315,#419316); #362438=AXIS2_PLACEMENT_3D('',#539068,#419317,#419318); #362439=AXIS2_PLACEMENT_3D('',#539077,#419323,#419324); #362440=AXIS2_PLACEMENT_3D('',#539079,#419325,#419326); #362441=AXIS2_PLACEMENT_3D('',#539081,#419327,#419328); #362442=AXIS2_PLACEMENT_3D('',#539083,#419330,#419331); #362443=AXIS2_PLACEMENT_3D('',#539084,#419332,#419333); #362444=AXIS2_PLACEMENT_3D('',#539085,#419334,#419335); #362445=AXIS2_PLACEMENT_3D('',#539086,#419336,#419337); #362446=AXIS2_PLACEMENT_3D('',#539087,#419338,#419339); #362447=AXIS2_PLACEMENT_3D('',#539088,#419340,#419341); #362448=AXIS2_PLACEMENT_3D('',#539090,#419342,#419343); #362449=AXIS2_PLACEMENT_3D('',#539093,#419345,#419346); #362450=AXIS2_PLACEMENT_3D('',#539094,#419347,#419348); #362451=AXIS2_PLACEMENT_3D('',#539103,#419353,#419354); #362452=AXIS2_PLACEMENT_3D('',#539109,#419358,#419359); #362453=AXIS2_PLACEMENT_3D('',#539115,#419363,#419364); #362454=AXIS2_PLACEMENT_3D('',#539118,#419367,#419368); #362455=AXIS2_PLACEMENT_3D('',#539119,#419369,#419370); #362456=AXIS2_PLACEMENT_3D('',#539120,#419371,#419372); #362457=AXIS2_PLACEMENT_3D('',#539122,#419373,#419374); #362458=AXIS2_PLACEMENT_3D('',#539125,#419376,#419377); #362459=AXIS2_PLACEMENT_3D('',#539126,#419378,#419379); #362460=AXIS2_PLACEMENT_3D('',#539135,#419384,#419385); #362461=AXIS2_PLACEMENT_3D('',#539137,#419386,#419387); #362462=AXIS2_PLACEMENT_3D('',#539139,#419388,#419389); #362463=AXIS2_PLACEMENT_3D('',#539141,#419391,#419392); #362464=AXIS2_PLACEMENT_3D('',#539142,#419393,#419394); #362465=AXIS2_PLACEMENT_3D('',#539143,#419395,#419396); #362466=AXIS2_PLACEMENT_3D('',#539144,#419397,#419398); #362467=AXIS2_PLACEMENT_3D('',#539145,#419399,#419400); #362468=AXIS2_PLACEMENT_3D('',#539146,#419401,#419402); #362469=AXIS2_PLACEMENT_3D('',#539155,#419407,#419408); #362470=AXIS2_PLACEMENT_3D('',#539161,#419412,#419413); #362471=AXIS2_PLACEMENT_3D('',#539167,#419417,#419418); #362472=AXIS2_PLACEMENT_3D('',#539170,#419421,#419422); #362473=AXIS2_PLACEMENT_3D('',#539171,#419423,#419424); #362474=AXIS2_PLACEMENT_3D('',#539172,#419425,#419426); #362475=AXIS2_PLACEMENT_3D('',#539181,#419431,#419432); #362476=AXIS2_PLACEMENT_3D('',#539187,#419436,#419437); #362477=AXIS2_PLACEMENT_3D('',#539193,#419441,#419442); #362478=AXIS2_PLACEMENT_3D('',#539196,#419445,#419446); #362479=AXIS2_PLACEMENT_3D('',#539197,#419447,#419448); #362480=AXIS2_PLACEMENT_3D('',#539198,#419449,#419450); #362481=AXIS2_PLACEMENT_3D('',#539207,#419455,#419456); #362482=AXIS2_PLACEMENT_3D('',#539213,#419460,#419461); #362483=AXIS2_PLACEMENT_3D('',#539219,#419465,#419466); #362484=AXIS2_PLACEMENT_3D('',#539222,#419469,#419470); #362485=AXIS2_PLACEMENT_3D('',#539223,#419471,#419472); #362486=AXIS2_PLACEMENT_3D('',#539224,#419473,#419474); #362487=AXIS2_PLACEMENT_3D('',#539233,#419479,#419480); #362488=AXIS2_PLACEMENT_3D('',#539239,#419484,#419485); #362489=AXIS2_PLACEMENT_3D('',#539245,#419489,#419490); #362490=AXIS2_PLACEMENT_3D('',#539248,#419493,#419494); #362491=AXIS2_PLACEMENT_3D('',#539249,#419495,#419496); #362492=AXIS2_PLACEMENT_3D('',#539250,#419497,#419498); #362493=AXIS2_PLACEMENT_3D('',#539259,#419503,#419504); #362494=AXIS2_PLACEMENT_3D('',#539265,#419508,#419509); #362495=AXIS2_PLACEMENT_3D('',#539271,#419513,#419514); #362496=AXIS2_PLACEMENT_3D('',#539274,#419517,#419518); #362497=AXIS2_PLACEMENT_3D('',#539275,#419519,#419520); #362498=AXIS2_PLACEMENT_3D('',#539276,#419521,#419522); #362499=AXIS2_PLACEMENT_3D('',#539285,#419527,#419528); #362500=AXIS2_PLACEMENT_3D('',#539291,#419532,#419533); #362501=AXIS2_PLACEMENT_3D('',#539297,#419537,#419538); #362502=AXIS2_PLACEMENT_3D('',#539300,#419541,#419542); #362503=AXIS2_PLACEMENT_3D('',#539301,#419543,#419544); #362504=AXIS2_PLACEMENT_3D('',#539302,#419545,#419546); #362505=AXIS2_PLACEMENT_3D('',#539311,#419551,#419552); #362506=AXIS2_PLACEMENT_3D('',#539317,#419556,#419557); #362507=AXIS2_PLACEMENT_3D('',#539323,#419561,#419562); #362508=AXIS2_PLACEMENT_3D('',#539326,#419565,#419566); #362509=AXIS2_PLACEMENT_3D('',#539327,#419567,#419568); #362510=AXIS2_PLACEMENT_3D('',#539328,#419569,#419570); #362511=AXIS2_PLACEMENT_3D('',#539337,#419575,#419576); #362512=AXIS2_PLACEMENT_3D('',#539343,#419580,#419581); #362513=AXIS2_PLACEMENT_3D('',#539349,#419585,#419586); #362514=AXIS2_PLACEMENT_3D('',#539352,#419589,#419590); #362515=AXIS2_PLACEMENT_3D('',#539353,#419591,#419592); #362516=AXIS2_PLACEMENT_3D('',#539354,#419593,#419594); #362517=AXIS2_PLACEMENT_3D('',#539363,#419599,#419600); #362518=AXIS2_PLACEMENT_3D('',#539369,#419604,#419605); #362519=AXIS2_PLACEMENT_3D('',#539375,#419609,#419610); #362520=AXIS2_PLACEMENT_3D('',#539378,#419613,#419614); #362521=AXIS2_PLACEMENT_3D('',#539379,#419615,#419616); #362522=AXIS2_PLACEMENT_3D('',#539380,#419617,#419618); #362523=AXIS2_PLACEMENT_3D('',#539389,#419623,#419624); #362524=AXIS2_PLACEMENT_3D('',#539395,#419628,#419629); #362525=AXIS2_PLACEMENT_3D('',#539401,#419633,#419634); #362526=AXIS2_PLACEMENT_3D('',#539404,#419637,#419638); #362527=AXIS2_PLACEMENT_3D('',#539405,#419639,#419640); #362528=AXIS2_PLACEMENT_3D('',#539406,#419641,#419642); #362529=AXIS2_PLACEMENT_3D('',#539415,#419647,#419648); #362530=AXIS2_PLACEMENT_3D('',#539421,#419652,#419653); #362531=AXIS2_PLACEMENT_3D('',#539427,#419657,#419658); #362532=AXIS2_PLACEMENT_3D('',#539430,#419661,#419662); #362533=AXIS2_PLACEMENT_3D('',#539431,#419663,#419664); #362534=AXIS2_PLACEMENT_3D('',#539432,#419665,#419666); #362535=AXIS2_PLACEMENT_3D('',#539441,#419671,#419672); #362536=AXIS2_PLACEMENT_3D('',#539447,#419676,#419677); #362537=AXIS2_PLACEMENT_3D('',#539453,#419681,#419682); #362538=AXIS2_PLACEMENT_3D('',#539456,#419685,#419686); #362539=AXIS2_PLACEMENT_3D('',#539457,#419687,#419688); #362540=AXIS2_PLACEMENT_3D('',#539458,#419689,#419690); #362541=AXIS2_PLACEMENT_3D('',#539467,#419695,#419696); #362542=AXIS2_PLACEMENT_3D('',#539473,#419700,#419701); #362543=AXIS2_PLACEMENT_3D('',#539479,#419705,#419706); #362544=AXIS2_PLACEMENT_3D('',#539482,#419709,#419710); #362545=AXIS2_PLACEMENT_3D('',#539483,#419711,#419712); #362546=AXIS2_PLACEMENT_3D('',#539484,#419713,#419714); #362547=AXIS2_PLACEMENT_3D('',#539486,#419715,#419716); #362548=AXIS2_PLACEMENT_3D('',#539489,#419718,#419719); #362549=AXIS2_PLACEMENT_3D('',#539490,#419720,#419721); #362550=AXIS2_PLACEMENT_3D('',#539492,#419722,#419723); #362551=AXIS2_PLACEMENT_3D('',#539495,#419725,#419726); #362552=AXIS2_PLACEMENT_3D('',#539496,#419727,#419728); #362553=AXIS2_PLACEMENT_3D('',#539498,#419729,#419730); #362554=AXIS2_PLACEMENT_3D('',#539501,#419732,#419733); #362555=AXIS2_PLACEMENT_3D('',#539502,#419734,#419735); #362556=AXIS2_PLACEMENT_3D('',#539504,#419736,#419737); #362557=AXIS2_PLACEMENT_3D('',#539507,#419739,#419740); #362558=AXIS2_PLACEMENT_3D('',#539508,#419741,#419742); #362559=AXIS2_PLACEMENT_3D('',#539510,#419743,#419744); #362560=AXIS2_PLACEMENT_3D('',#539513,#419746,#419747); #362561=AXIS2_PLACEMENT_3D('',#539514,#419748,#419749); #362562=AXIS2_PLACEMENT_3D('',#539516,#419750,#419751); #362563=AXIS2_PLACEMENT_3D('',#539519,#419753,#419754); #362564=AXIS2_PLACEMENT_3D('',#539520,#419755,#419756); #362565=AXIS2_PLACEMENT_3D('',#539529,#419761,#419762); #362566=AXIS2_PLACEMENT_3D('',#539535,#419766,#419767); #362567=AXIS2_PLACEMENT_3D('',#539541,#419771,#419772); #362568=AXIS2_PLACEMENT_3D('',#539544,#419775,#419776); #362569=AXIS2_PLACEMENT_3D('',#539545,#419777,#419778); #362570=AXIS2_PLACEMENT_3D('',#539546,#419779,#419780); #362571=AXIS2_PLACEMENT_3D('',#539555,#419785,#419786); #362572=AXIS2_PLACEMENT_3D('',#539561,#419790,#419791); #362573=AXIS2_PLACEMENT_3D('',#539567,#419795,#419796); #362574=AXIS2_PLACEMENT_3D('',#539570,#419799,#419800); #362575=AXIS2_PLACEMENT_3D('',#539571,#419801,#419802); #362576=AXIS2_PLACEMENT_3D('',#539572,#419803,#419804); #362577=AXIS2_PLACEMENT_3D('',#539581,#419809,#419810); #362578=AXIS2_PLACEMENT_3D('',#539587,#419814,#419815); #362579=AXIS2_PLACEMENT_3D('',#539593,#419819,#419820); #362580=AXIS2_PLACEMENT_3D('',#539596,#419823,#419824); #362581=AXIS2_PLACEMENT_3D('',#539597,#419825,#419826); #362582=AXIS2_PLACEMENT_3D('',#539598,#419827,#419828); #362583=AXIS2_PLACEMENT_3D('',#539607,#419833,#419834); #362584=AXIS2_PLACEMENT_3D('',#539613,#419838,#419839); #362585=AXIS2_PLACEMENT_3D('',#539619,#419843,#419844); #362586=AXIS2_PLACEMENT_3D('',#539622,#419847,#419848); #362587=AXIS2_PLACEMENT_3D('',#539623,#419849,#419850); #362588=AXIS2_PLACEMENT_3D('',#539624,#419851,#419852); #362589=AXIS2_PLACEMENT_3D('',#539633,#419857,#419858); #362590=AXIS2_PLACEMENT_3D('',#539639,#419862,#419863); #362591=AXIS2_PLACEMENT_3D('',#539645,#419867,#419868); #362592=AXIS2_PLACEMENT_3D('',#539648,#419871,#419872); #362593=AXIS2_PLACEMENT_3D('',#539649,#419873,#419874); #362594=AXIS2_PLACEMENT_3D('',#539650,#419875,#419876); #362595=AXIS2_PLACEMENT_3D('',#539659,#419881,#419882); #362596=AXIS2_PLACEMENT_3D('',#539665,#419886,#419887); #362597=AXIS2_PLACEMENT_3D('',#539671,#419891,#419892); #362598=AXIS2_PLACEMENT_3D('',#539674,#419895,#419896); #362599=AXIS2_PLACEMENT_3D('',#539675,#419897,#419898); #362600=AXIS2_PLACEMENT_3D('',#539676,#419899,#419900); #362601=AXIS2_PLACEMENT_3D('',#539685,#419905,#419906); #362602=AXIS2_PLACEMENT_3D('',#539691,#419910,#419911); #362603=AXIS2_PLACEMENT_3D('',#539697,#419915,#419916); #362604=AXIS2_PLACEMENT_3D('',#539700,#419919,#419920); #362605=AXIS2_PLACEMENT_3D('',#539701,#419921,#419922); #362606=AXIS2_PLACEMENT_3D('',#539702,#419923,#419924); #362607=AXIS2_PLACEMENT_3D('',#539711,#419929,#419930); #362608=AXIS2_PLACEMENT_3D('',#539717,#419934,#419935); #362609=AXIS2_PLACEMENT_3D('',#539723,#419939,#419940); #362610=AXIS2_PLACEMENT_3D('',#539726,#419943,#419944); #362611=AXIS2_PLACEMENT_3D('',#539727,#419945,#419946); #362612=AXIS2_PLACEMENT_3D('',#539728,#419947,#419948); #362613=AXIS2_PLACEMENT_3D('',#539737,#419953,#419954); #362614=AXIS2_PLACEMENT_3D('',#539743,#419958,#419959); #362615=AXIS2_PLACEMENT_3D('',#539749,#419963,#419964); #362616=AXIS2_PLACEMENT_3D('',#539752,#419967,#419968); #362617=AXIS2_PLACEMENT_3D('',#539753,#419969,#419970); #362618=AXIS2_PLACEMENT_3D('',#539754,#419971,#419972); #362619=AXIS2_PLACEMENT_3D('',#539763,#419977,#419978); #362620=AXIS2_PLACEMENT_3D('',#539769,#419982,#419983); #362621=AXIS2_PLACEMENT_3D('',#539775,#419987,#419988); #362622=AXIS2_PLACEMENT_3D('',#539778,#419991,#419992); #362623=AXIS2_PLACEMENT_3D('',#539779,#419993,#419994); #362624=AXIS2_PLACEMENT_3D('',#539780,#419995,#419996); #362625=AXIS2_PLACEMENT_3D('',#539789,#420001,#420002); #362626=AXIS2_PLACEMENT_3D('',#539795,#420006,#420007); #362627=AXIS2_PLACEMENT_3D('',#539801,#420011,#420012); #362628=AXIS2_PLACEMENT_3D('',#539804,#420015,#420016); #362629=AXIS2_PLACEMENT_3D('',#539805,#420017,#420018); #362630=AXIS2_PLACEMENT_3D('',#539806,#420019,#420020); #362631=AXIS2_PLACEMENT_3D('',#539815,#420025,#420026); #362632=AXIS2_PLACEMENT_3D('',#539821,#420030,#420031); #362633=AXIS2_PLACEMENT_3D('',#539827,#420035,#420036); #362634=AXIS2_PLACEMENT_3D('',#539830,#420039,#420040); #362635=AXIS2_PLACEMENT_3D('',#539831,#420041,#420042); #362636=AXIS2_PLACEMENT_3D('',#539832,#420043,#420044); #362637=AXIS2_PLACEMENT_3D('',#539841,#420049,#420050); #362638=AXIS2_PLACEMENT_3D('',#539847,#420054,#420055); #362639=AXIS2_PLACEMENT_3D('',#539853,#420059,#420060); #362640=AXIS2_PLACEMENT_3D('',#539856,#420063,#420064); #362641=AXIS2_PLACEMENT_3D('',#539857,#420065,#420066); #362642=AXIS2_PLACEMENT_3D('',#539858,#420067,#420068); #362643=AXIS2_PLACEMENT_3D('',#539867,#420073,#420074); #362644=AXIS2_PLACEMENT_3D('',#539873,#420078,#420079); #362645=AXIS2_PLACEMENT_3D('',#539879,#420083,#420084); #362646=AXIS2_PLACEMENT_3D('',#539882,#420087,#420088); #362647=AXIS2_PLACEMENT_3D('',#539883,#420089,#420090); #362648=AXIS2_PLACEMENT_3D('',#539884,#420091,#420092); #362649=AXIS2_PLACEMENT_3D('',#539893,#420097,#420098); #362650=AXIS2_PLACEMENT_3D('',#539899,#420102,#420103); #362651=AXIS2_PLACEMENT_3D('',#539905,#420107,#420108); #362652=AXIS2_PLACEMENT_3D('',#539908,#420111,#420112); #362653=AXIS2_PLACEMENT_3D('',#539909,#420113,#420114); #362654=AXIS2_PLACEMENT_3D('',#539910,#420115,#420116); #362655=AXIS2_PLACEMENT_3D('',#539919,#420121,#420122); #362656=AXIS2_PLACEMENT_3D('',#539925,#420126,#420127); #362657=AXIS2_PLACEMENT_3D('',#539931,#420131,#420132); #362658=AXIS2_PLACEMENT_3D('',#539934,#420135,#420136); #362659=AXIS2_PLACEMENT_3D('',#539935,#420137,#420138); #362660=AXIS2_PLACEMENT_3D('',#539936,#420139,#420140); #362661=AXIS2_PLACEMENT_3D('',#539945,#420145,#420146); #362662=AXIS2_PLACEMENT_3D('',#539951,#420150,#420151); #362663=AXIS2_PLACEMENT_3D('',#539957,#420155,#420156); #362664=AXIS2_PLACEMENT_3D('',#539960,#420159,#420160); #362665=AXIS2_PLACEMENT_3D('',#539961,#420161,#420162); #362666=AXIS2_PLACEMENT_3D('',#539962,#420163,#420164); #362667=AXIS2_PLACEMENT_3D('',#539971,#420169,#420170); #362668=AXIS2_PLACEMENT_3D('',#539977,#420174,#420175); #362669=AXIS2_PLACEMENT_3D('',#539983,#420179,#420180); #362670=AXIS2_PLACEMENT_3D('',#539986,#420183,#420184); #362671=AXIS2_PLACEMENT_3D('',#539987,#420185,#420186); #362672=AXIS2_PLACEMENT_3D('',#539988,#420187,#420188); #362673=AXIS2_PLACEMENT_3D('',#539997,#420193,#420194); #362674=AXIS2_PLACEMENT_3D('',#540003,#420198,#420199); #362675=AXIS2_PLACEMENT_3D('',#540009,#420203,#420204); #362676=AXIS2_PLACEMENT_3D('',#540012,#420207,#420208); #362677=AXIS2_PLACEMENT_3D('',#540013,#420209,#420210); #362678=AXIS2_PLACEMENT_3D('',#540014,#420211,#420212); #362679=AXIS2_PLACEMENT_3D('',#540023,#420217,#420218); #362680=AXIS2_PLACEMENT_3D('',#540029,#420222,#420223); #362681=AXIS2_PLACEMENT_3D('',#540035,#420227,#420228); #362682=AXIS2_PLACEMENT_3D('',#540038,#420231,#420232); #362683=AXIS2_PLACEMENT_3D('',#540039,#420233,#420234); #362684=AXIS2_PLACEMENT_3D('',#540040,#420235,#420236); #362685=AXIS2_PLACEMENT_3D('',#540049,#420241,#420242); #362686=AXIS2_PLACEMENT_3D('',#540055,#420246,#420247); #362687=AXIS2_PLACEMENT_3D('',#540061,#420251,#420252); #362688=AXIS2_PLACEMENT_3D('',#540064,#420255,#420256); #362689=AXIS2_PLACEMENT_3D('',#540065,#420257,#420258); #362690=AXIS2_PLACEMENT_3D('',#540066,#420259,#420260); #362691=AXIS2_PLACEMENT_3D('',#540075,#420265,#420266); #362692=AXIS2_PLACEMENT_3D('',#540081,#420270,#420271); #362693=AXIS2_PLACEMENT_3D('',#540087,#420275,#420276); #362694=AXIS2_PLACEMENT_3D('',#540090,#420279,#420280); #362695=AXIS2_PLACEMENT_3D('',#540091,#420281,#420282); #362696=AXIS2_PLACEMENT_3D('',#540092,#420283,#420284); #362697=AXIS2_PLACEMENT_3D('',#540101,#420289,#420290); #362698=AXIS2_PLACEMENT_3D('',#540107,#420294,#420295); #362699=AXIS2_PLACEMENT_3D('',#540113,#420299,#420300); #362700=AXIS2_PLACEMENT_3D('',#540116,#420303,#420304); #362701=AXIS2_PLACEMENT_3D('',#540117,#420305,#420306); #362702=AXIS2_PLACEMENT_3D('',#540118,#420307,#420308); #362703=AXIS2_PLACEMENT_3D('',#540127,#420313,#420314); #362704=AXIS2_PLACEMENT_3D('',#540133,#420318,#420319); #362705=AXIS2_PLACEMENT_3D('',#540139,#420323,#420324); #362706=AXIS2_PLACEMENT_3D('',#540142,#420327,#420328); #362707=AXIS2_PLACEMENT_3D('',#540143,#420329,#420330); #362708=AXIS2_PLACEMENT_3D('',#540144,#420331,#420332); #362709=AXIS2_PLACEMENT_3D('',#540153,#420337,#420338); #362710=AXIS2_PLACEMENT_3D('',#540159,#420342,#420343); #362711=AXIS2_PLACEMENT_3D('',#540165,#420347,#420348); #362712=AXIS2_PLACEMENT_3D('',#540168,#420351,#420352); #362713=AXIS2_PLACEMENT_3D('',#540169,#420353,#420354); #362714=AXIS2_PLACEMENT_3D('',#540170,#420355,#420356); #362715=AXIS2_PLACEMENT_3D('',#540179,#420361,#420362); #362716=AXIS2_PLACEMENT_3D('',#540185,#420366,#420367); #362717=AXIS2_PLACEMENT_3D('',#540191,#420371,#420372); #362718=AXIS2_PLACEMENT_3D('',#540194,#420375,#420376); #362719=AXIS2_PLACEMENT_3D('',#540195,#420377,#420378); #362720=AXIS2_PLACEMENT_3D('',#540196,#420379,#420380); #362721=AXIS2_PLACEMENT_3D('',#540205,#420385,#420386); #362722=AXIS2_PLACEMENT_3D('',#540211,#420390,#420391); #362723=AXIS2_PLACEMENT_3D('',#540217,#420395,#420396); #362724=AXIS2_PLACEMENT_3D('',#540220,#420399,#420400); #362725=AXIS2_PLACEMENT_3D('',#540221,#420401,#420402); #362726=AXIS2_PLACEMENT_3D('',#540222,#420403,#420404); #362727=AXIS2_PLACEMENT_3D('',#540224,#420405,#420406); #362728=AXIS2_PLACEMENT_3D('',#540227,#420408,#420409); #362729=AXIS2_PLACEMENT_3D('',#540228,#420410,#420411); #362730=AXIS2_PLACEMENT_3D('',#540230,#420412,#420413); #362731=AXIS2_PLACEMENT_3D('',#540233,#420415,#420416); #362732=AXIS2_PLACEMENT_3D('',#540234,#420417,#420418); #362733=AXIS2_PLACEMENT_3D('',#540236,#420419,#420420); #362734=AXIS2_PLACEMENT_3D('',#540239,#420422,#420423); #362735=AXIS2_PLACEMENT_3D('',#540240,#420424,#420425); #362736=AXIS2_PLACEMENT_3D('',#540242,#420426,#420427); #362737=AXIS2_PLACEMENT_3D('',#540245,#420429,#420430); #362738=AXIS2_PLACEMENT_3D('',#540246,#420431,#420432); #362739=AXIS2_PLACEMENT_3D('',#540248,#420433,#420434); #362740=AXIS2_PLACEMENT_3D('',#540251,#420436,#420437); #362741=AXIS2_PLACEMENT_3D('',#540252,#420438,#420439); #362742=AXIS2_PLACEMENT_3D('',#540254,#420440,#420441); #362743=AXIS2_PLACEMENT_3D('',#540257,#420443,#420444); #362744=AXIS2_PLACEMENT_3D('',#540258,#420445,#420446); #362745=AXIS2_PLACEMENT_3D('',#540267,#420451,#420452); #362746=AXIS2_PLACEMENT_3D('',#540273,#420456,#420457); #362747=AXIS2_PLACEMENT_3D('',#540279,#420461,#420462); #362748=AXIS2_PLACEMENT_3D('',#540282,#420465,#420466); #362749=AXIS2_PLACEMENT_3D('',#540283,#420467,#420468); #362750=AXIS2_PLACEMENT_3D('',#540284,#420469,#420470); #362751=AXIS2_PLACEMENT_3D('',#540293,#420475,#420476); #362752=AXIS2_PLACEMENT_3D('',#540299,#420480,#420481); #362753=AXIS2_PLACEMENT_3D('',#540305,#420485,#420486); #362754=AXIS2_PLACEMENT_3D('',#540308,#420489,#420490); #362755=AXIS2_PLACEMENT_3D('',#540309,#420491,#420492); #362756=AXIS2_PLACEMENT_3D('',#540310,#420493,#420494); #362757=AXIS2_PLACEMENT_3D('',#540319,#420499,#420500); #362758=AXIS2_PLACEMENT_3D('',#540325,#420504,#420505); #362759=AXIS2_PLACEMENT_3D('',#540331,#420509,#420510); #362760=AXIS2_PLACEMENT_3D('',#540334,#420513,#420514); #362761=AXIS2_PLACEMENT_3D('',#540335,#420515,#420516); #362762=AXIS2_PLACEMENT_3D('',#540336,#420517,#420518); #362763=AXIS2_PLACEMENT_3D('',#540345,#420523,#420524); #362764=AXIS2_PLACEMENT_3D('',#540351,#420528,#420529); #362765=AXIS2_PLACEMENT_3D('',#540357,#420533,#420534); #362766=AXIS2_PLACEMENT_3D('',#540360,#420537,#420538); #362767=AXIS2_PLACEMENT_3D('',#540361,#420539,#420540); #362768=AXIS2_PLACEMENT_3D('',#540362,#420541,#420542); #362769=AXIS2_PLACEMENT_3D('',#540371,#420547,#420548); #362770=AXIS2_PLACEMENT_3D('',#540377,#420552,#420553); #362771=AXIS2_PLACEMENT_3D('',#540383,#420557,#420558); #362772=AXIS2_PLACEMENT_3D('',#540386,#420561,#420562); #362773=AXIS2_PLACEMENT_3D('',#540387,#420563,#420564); #362774=AXIS2_PLACEMENT_3D('',#540388,#420565,#420566); #362775=AXIS2_PLACEMENT_3D('',#540397,#420571,#420572); #362776=AXIS2_PLACEMENT_3D('',#540403,#420576,#420577); #362777=AXIS2_PLACEMENT_3D('',#540409,#420581,#420582); #362778=AXIS2_PLACEMENT_3D('',#540412,#420585,#420586); #362779=AXIS2_PLACEMENT_3D('',#540413,#420587,#420588); #362780=AXIS2_PLACEMENT_3D('',#540414,#420589,#420590); #362781=AXIS2_PLACEMENT_3D('',#540423,#420595,#420596); #362782=AXIS2_PLACEMENT_3D('',#540429,#420600,#420601); #362783=AXIS2_PLACEMENT_3D('',#540435,#420605,#420606); #362784=AXIS2_PLACEMENT_3D('',#540438,#420609,#420610); #362785=AXIS2_PLACEMENT_3D('',#540439,#420611,#420612); #362786=AXIS2_PLACEMENT_3D('',#540440,#420613,#420614); #362787=AXIS2_PLACEMENT_3D('',#540442,#420615,#420616); #362788=AXIS2_PLACEMENT_3D('',#540445,#420618,#420619); #362789=AXIS2_PLACEMENT_3D('',#540446,#420620,#420621); #362790=AXIS2_PLACEMENT_3D('',#540448,#420622,#420623); #362791=AXIS2_PLACEMENT_3D('',#540451,#420625,#420626); #362792=AXIS2_PLACEMENT_3D('',#540452,#420627,#420628); #362793=AXIS2_PLACEMENT_3D('',#540454,#420629,#420630); #362794=AXIS2_PLACEMENT_3D('',#540457,#420632,#420633); #362795=AXIS2_PLACEMENT_3D('',#540458,#420634,#420635); #362796=AXIS2_PLACEMENT_3D('',#540460,#420636,#420637); #362797=AXIS2_PLACEMENT_3D('',#540463,#420639,#420640); #362798=AXIS2_PLACEMENT_3D('',#540464,#420641,#420642); #362799=AXIS2_PLACEMENT_3D('',#540466,#420643,#420644); #362800=AXIS2_PLACEMENT_3D('',#540469,#420646,#420647); #362801=AXIS2_PLACEMENT_3D('',#540470,#420648,#420649); #362802=AXIS2_PLACEMENT_3D('',#540472,#420650,#420651); #362803=AXIS2_PLACEMENT_3D('',#540475,#420653,#420654); #362804=AXIS2_PLACEMENT_3D('',#540476,#420655,#420656); #362805=AXIS2_PLACEMENT_3D('',#540485,#420661,#420662); #362806=AXIS2_PLACEMENT_3D('',#540491,#420666,#420667); #362807=AXIS2_PLACEMENT_3D('',#540497,#420671,#420672); #362808=AXIS2_PLACEMENT_3D('',#540500,#420675,#420676); #362809=AXIS2_PLACEMENT_3D('',#540501,#420677,#420678); #362810=AXIS2_PLACEMENT_3D('',#540502,#420679,#420680); #362811=AXIS2_PLACEMENT_3D('',#540511,#420685,#420686); #362812=AXIS2_PLACEMENT_3D('',#540517,#420690,#420691); #362813=AXIS2_PLACEMENT_3D('',#540523,#420695,#420696); #362814=AXIS2_PLACEMENT_3D('',#540526,#420699,#420700); #362815=AXIS2_PLACEMENT_3D('',#540527,#420701,#420702); #362816=AXIS2_PLACEMENT_3D('',#540528,#420703,#420704); #362817=AXIS2_PLACEMENT_3D('',#540537,#420709,#420710); #362818=AXIS2_PLACEMENT_3D('',#540543,#420714,#420715); #362819=AXIS2_PLACEMENT_3D('',#540549,#420719,#420720); #362820=AXIS2_PLACEMENT_3D('',#540552,#420723,#420724); #362821=AXIS2_PLACEMENT_3D('',#540553,#420725,#420726); #362822=AXIS2_PLACEMENT_3D('',#540554,#420727,#420728); #362823=AXIS2_PLACEMENT_3D('',#540556,#420729,#420730); #362824=AXIS2_PLACEMENT_3D('',#540559,#420732,#420733); #362825=AXIS2_PLACEMENT_3D('',#540560,#420734,#420735); #362826=AXIS2_PLACEMENT_3D('',#540562,#420736,#420737); #362827=AXIS2_PLACEMENT_3D('',#540565,#420739,#420740); #362828=AXIS2_PLACEMENT_3D('',#540566,#420741,#420742); #362829=AXIS2_PLACEMENT_3D('',#540568,#420743,#420744); #362830=AXIS2_PLACEMENT_3D('',#540571,#420746,#420747); #362831=AXIS2_PLACEMENT_3D('',#540572,#420748,#420749); #362832=AXIS2_PLACEMENT_3D('',#540574,#420750,#420751); #362833=AXIS2_PLACEMENT_3D('',#540577,#420753,#420754); #362834=AXIS2_PLACEMENT_3D('',#540578,#420755,#420756); #362835=AXIS2_PLACEMENT_3D('',#540580,#420757,#420758); #362836=AXIS2_PLACEMENT_3D('',#540583,#420760,#420761); #362837=AXIS2_PLACEMENT_3D('',#540584,#420762,#420763); #362838=AXIS2_PLACEMENT_3D('',#540586,#420764,#420765); #362839=AXIS2_PLACEMENT_3D('',#540589,#420767,#420768); #362840=AXIS2_PLACEMENT_3D('',#540590,#420769,#420770); #362841=AXIS2_PLACEMENT_3D('',#540592,#420771,#420772); #362842=AXIS2_PLACEMENT_3D('',#540595,#420774,#420775); #362843=AXIS2_PLACEMENT_3D('',#540596,#420776,#420777); #362844=AXIS2_PLACEMENT_3D('',#540598,#420778,#420779); #362845=AXIS2_PLACEMENT_3D('',#540601,#420781,#420782); #362846=AXIS2_PLACEMENT_3D('',#540602,#420783,#420784); #362847=AXIS2_PLACEMENT_3D('',#540604,#420785,#420786); #362848=AXIS2_PLACEMENT_3D('',#540607,#420788,#420789); #362849=AXIS2_PLACEMENT_3D('',#540608,#420790,#420791); #362850=AXIS2_PLACEMENT_3D('',#540610,#420792,#420793); #362851=AXIS2_PLACEMENT_3D('',#540613,#420795,#420796); #362852=AXIS2_PLACEMENT_3D('',#540614,#420797,#420798); #362853=AXIS2_PLACEMENT_3D('',#540616,#420799,#420800); #362854=AXIS2_PLACEMENT_3D('',#540619,#420802,#420803); #362855=AXIS2_PLACEMENT_3D('',#540620,#420804,#420805); #362856=AXIS2_PLACEMENT_3D('',#540622,#420806,#420807); #362857=AXIS2_PLACEMENT_3D('',#540625,#420809,#420810); #362858=AXIS2_PLACEMENT_3D('',#540626,#420811,#420812); #362859=AXIS2_PLACEMENT_3D('',#540628,#420813,#420814); #362860=AXIS2_PLACEMENT_3D('',#540631,#420816,#420817); #362861=AXIS2_PLACEMENT_3D('',#540632,#420818,#420819); #362862=AXIS2_PLACEMENT_3D('',#540634,#420820,#420821); #362863=AXIS2_PLACEMENT_3D('',#540637,#420823,#420824); #362864=AXIS2_PLACEMENT_3D('',#540638,#420825,#420826); #362865=AXIS2_PLACEMENT_3D('',#540640,#420827,#420828); #362866=AXIS2_PLACEMENT_3D('',#540643,#420830,#420831); #362867=AXIS2_PLACEMENT_3D('',#540644,#420832,#420833); #362868=AXIS2_PLACEMENT_3D('',#540646,#420834,#420835); #362869=AXIS2_PLACEMENT_3D('',#540649,#420837,#420838); #362870=AXIS2_PLACEMENT_3D('',#540650,#420839,#420840); #362871=AXIS2_PLACEMENT_3D('',#540652,#420841,#420842); #362872=AXIS2_PLACEMENT_3D('',#540655,#420844,#420845); #362873=AXIS2_PLACEMENT_3D('',#540656,#420846,#420847); #362874=AXIS2_PLACEMENT_3D('',#540658,#420848,#420849); #362875=AXIS2_PLACEMENT_3D('',#540661,#420851,#420852); #362876=AXIS2_PLACEMENT_3D('',#540662,#420853,#420854); #362877=AXIS2_PLACEMENT_3D('',#540664,#420855,#420856); #362878=AXIS2_PLACEMENT_3D('',#540667,#420858,#420859); #362879=AXIS2_PLACEMENT_3D('',#540668,#420860,#420861); #362880=AXIS2_PLACEMENT_3D('',#540670,#420862,#420863); #362881=AXIS2_PLACEMENT_3D('',#540673,#420865,#420866); #362882=AXIS2_PLACEMENT_3D('',#540674,#420867,#420868); #362883=AXIS2_PLACEMENT_3D('',#540676,#420869,#420870); #362884=AXIS2_PLACEMENT_3D('',#540679,#420872,#420873); #362885=AXIS2_PLACEMENT_3D('',#540680,#420874,#420875); #362886=AXIS2_PLACEMENT_3D('',#540682,#420876,#420877); #362887=AXIS2_PLACEMENT_3D('',#540685,#420879,#420880); #362888=AXIS2_PLACEMENT_3D('',#540686,#420881,#420882); #362889=AXIS2_PLACEMENT_3D('',#540688,#420883,#420884); #362890=AXIS2_PLACEMENT_3D('',#540691,#420886,#420887); #362891=AXIS2_PLACEMENT_3D('',#540692,#420888,#420889); #362892=AXIS2_PLACEMENT_3D('',#540694,#420890,#420891); #362893=AXIS2_PLACEMENT_3D('',#540697,#420893,#420894); #362894=AXIS2_PLACEMENT_3D('',#540698,#420895,#420896); #362895=AXIS2_PLACEMENT_3D('',#540700,#420897,#420898); #362896=AXIS2_PLACEMENT_3D('',#540703,#420900,#420901); #362897=AXIS2_PLACEMENT_3D('',#540704,#420902,#420903); #362898=AXIS2_PLACEMENT_3D('',#540706,#420904,#420905); #362899=AXIS2_PLACEMENT_3D('',#540709,#420907,#420908); #362900=AXIS2_PLACEMENT_3D('',#540710,#420909,#420910); #362901=AXIS2_PLACEMENT_3D('',#540712,#420911,#420912); #362902=AXIS2_PLACEMENT_3D('',#540715,#420914,#420915); #362903=AXIS2_PLACEMENT_3D('',#540716,#420916,#420917); #362904=AXIS2_PLACEMENT_3D('',#540718,#420918,#420919); #362905=AXIS2_PLACEMENT_3D('',#540721,#420921,#420922); #362906=AXIS2_PLACEMENT_3D('',#540722,#420923,#420924); #362907=AXIS2_PLACEMENT_3D('',#540724,#420925,#420926); #362908=AXIS2_PLACEMENT_3D('',#540727,#420928,#420929); #362909=AXIS2_PLACEMENT_3D('',#540728,#420930,#420931); #362910=AXIS2_PLACEMENT_3D('',#540730,#420932,#420933); #362911=AXIS2_PLACEMENT_3D('',#540733,#420935,#420936); #362912=AXIS2_PLACEMENT_3D('',#540734,#420937,#420938); #362913=AXIS2_PLACEMENT_3D('',#540736,#420939,#420940); #362914=AXIS2_PLACEMENT_3D('',#540739,#420942,#420943); #362915=AXIS2_PLACEMENT_3D('',#540740,#420944,#420945); #362916=AXIS2_PLACEMENT_3D('',#540742,#420946,#420947); #362917=AXIS2_PLACEMENT_3D('',#540745,#420949,#420950); #362918=AXIS2_PLACEMENT_3D('',#540746,#420951,#420952); #362919=AXIS2_PLACEMENT_3D('',#540748,#420953,#420954); #362920=AXIS2_PLACEMENT_3D('',#540751,#420956,#420957); #362921=AXIS2_PLACEMENT_3D('',#540752,#420958,#420959); #362922=AXIS2_PLACEMENT_3D('',#540754,#420960,#420961); #362923=AXIS2_PLACEMENT_3D('',#540757,#420963,#420964); #362924=AXIS2_PLACEMENT_3D('',#540758,#420965,#420966); #362925=AXIS2_PLACEMENT_3D('',#540760,#420967,#420968); #362926=AXIS2_PLACEMENT_3D('',#540763,#420970,#420971); #362927=AXIS2_PLACEMENT_3D('',#540764,#420972,#420973); #362928=AXIS2_PLACEMENT_3D('',#540766,#420974,#420975); #362929=AXIS2_PLACEMENT_3D('',#540769,#420977,#420978); #362930=AXIS2_PLACEMENT_3D('',#540770,#420979,#420980); #362931=AXIS2_PLACEMENT_3D('',#540772,#420981,#420982); #362932=AXIS2_PLACEMENT_3D('',#540775,#420984,#420985); #362933=AXIS2_PLACEMENT_3D('',#540776,#420986,#420987); #362934=AXIS2_PLACEMENT_3D('',#540778,#420988,#420989); #362935=AXIS2_PLACEMENT_3D('',#540781,#420991,#420992); #362936=AXIS2_PLACEMENT_3D('',#540782,#420993,#420994); #362937=AXIS2_PLACEMENT_3D('',#540784,#420995,#420996); #362938=AXIS2_PLACEMENT_3D('',#540787,#420998,#420999); #362939=AXIS2_PLACEMENT_3D('',#540788,#421000,#421001); #362940=AXIS2_PLACEMENT_3D('',#540790,#421002,#421003); #362941=AXIS2_PLACEMENT_3D('',#540793,#421005,#421006); #362942=AXIS2_PLACEMENT_3D('',#540794,#421007,#421008); #362943=AXIS2_PLACEMENT_3D('',#540796,#421009,#421010); #362944=AXIS2_PLACEMENT_3D('',#540799,#421012,#421013); #362945=AXIS2_PLACEMENT_3D('',#540800,#421014,#421015); #362946=AXIS2_PLACEMENT_3D('',#540802,#421016,#421017); #362947=AXIS2_PLACEMENT_3D('',#540805,#421019,#421020); #362948=AXIS2_PLACEMENT_3D('',#540806,#421021,#421022); #362949=AXIS2_PLACEMENT_3D('',#540808,#421023,#421024); #362950=AXIS2_PLACEMENT_3D('',#540811,#421026,#421027); #362951=AXIS2_PLACEMENT_3D('',#540812,#421028,#421029); #362952=AXIS2_PLACEMENT_3D('',#540814,#421030,#421031); #362953=AXIS2_PLACEMENT_3D('',#540817,#421033,#421034); #362954=AXIS2_PLACEMENT_3D('',#540818,#421035,#421036); #362955=AXIS2_PLACEMENT_3D('',#540820,#421037,#421038); #362956=AXIS2_PLACEMENT_3D('',#540823,#421040,#421041); #362957=AXIS2_PLACEMENT_3D('',#540824,#421042,#421043); #362958=AXIS2_PLACEMENT_3D('',#540826,#421044,#421045); #362959=AXIS2_PLACEMENT_3D('',#540829,#421047,#421048); #362960=AXIS2_PLACEMENT_3D('',#540830,#421049,#421050); #362961=AXIS2_PLACEMENT_3D('',#540832,#421051,#421052); #362962=AXIS2_PLACEMENT_3D('',#540835,#421054,#421055); #362963=AXIS2_PLACEMENT_3D('',#540836,#421056,#421057); #362964=AXIS2_PLACEMENT_3D('',#540838,#421058,#421059); #362965=AXIS2_PLACEMENT_3D('',#540841,#421061,#421062); #362966=AXIS2_PLACEMENT_3D('',#540842,#421063,#421064); #362967=AXIS2_PLACEMENT_3D('',#540844,#421065,#421066); #362968=AXIS2_PLACEMENT_3D('',#540847,#421068,#421069); #362969=AXIS2_PLACEMENT_3D('',#540848,#421070,#421071); #362970=AXIS2_PLACEMENT_3D('',#540857,#421076,#421077); #362971=AXIS2_PLACEMENT_3D('',#540863,#421081,#421082); #362972=AXIS2_PLACEMENT_3D('',#540869,#421086,#421087); #362973=AXIS2_PLACEMENT_3D('',#540872,#421090,#421091); #362974=AXIS2_PLACEMENT_3D('',#540873,#421092,#421093); #362975=AXIS2_PLACEMENT_3D('',#540874,#421094,#421095); #362976=AXIS2_PLACEMENT_3D('',#540883,#421100,#421101); #362977=AXIS2_PLACEMENT_3D('',#540889,#421105,#421106); #362978=AXIS2_PLACEMENT_3D('',#540895,#421110,#421111); #362979=AXIS2_PLACEMENT_3D('',#540898,#421114,#421115); #362980=AXIS2_PLACEMENT_3D('',#540899,#421116,#421117); #362981=AXIS2_PLACEMENT_3D('',#540900,#421118,#421119); #362982=AXIS2_PLACEMENT_3D('',#540909,#421124,#421125); #362983=AXIS2_PLACEMENT_3D('',#540915,#421129,#421130); #362984=AXIS2_PLACEMENT_3D('',#540921,#421134,#421135); #362985=AXIS2_PLACEMENT_3D('',#540924,#421138,#421139); #362986=AXIS2_PLACEMENT_3D('',#540925,#421140,#421141); #362987=AXIS2_PLACEMENT_3D('',#540926,#421142,#421143); #362988=AXIS2_PLACEMENT_3D('',#540935,#421148,#421149); #362989=AXIS2_PLACEMENT_3D('',#540941,#421153,#421154); #362990=AXIS2_PLACEMENT_3D('',#540947,#421158,#421159); #362991=AXIS2_PLACEMENT_3D('',#540950,#421162,#421163); #362992=AXIS2_PLACEMENT_3D('',#540951,#421164,#421165); #362993=AXIS2_PLACEMENT_3D('',#540952,#421166,#421167); #362994=AXIS2_PLACEMENT_3D('',#540961,#421172,#421173); #362995=AXIS2_PLACEMENT_3D('',#540967,#421177,#421178); #362996=AXIS2_PLACEMENT_3D('',#540973,#421182,#421183); #362997=AXIS2_PLACEMENT_3D('',#540976,#421186,#421187); #362998=AXIS2_PLACEMENT_3D('',#540977,#421188,#421189); #362999=AXIS2_PLACEMENT_3D('',#540978,#421190,#421191); #363000=AXIS2_PLACEMENT_3D('',#540987,#421196,#421197); #363001=AXIS2_PLACEMENT_3D('',#540993,#421201,#421202); #363002=AXIS2_PLACEMENT_3D('',#540999,#421206,#421207); #363003=AXIS2_PLACEMENT_3D('',#541002,#421210,#421211); #363004=AXIS2_PLACEMENT_3D('',#541003,#421212,#421213); #363005=AXIS2_PLACEMENT_3D('',#541004,#421214,#421215); #363006=AXIS2_PLACEMENT_3D('',#541013,#421220,#421221); #363007=AXIS2_PLACEMENT_3D('',#541019,#421225,#421226); #363008=AXIS2_PLACEMENT_3D('',#541025,#421230,#421231); #363009=AXIS2_PLACEMENT_3D('',#541028,#421234,#421235); #363010=AXIS2_PLACEMENT_3D('',#541029,#421236,#421237); #363011=AXIS2_PLACEMENT_3D('',#541030,#421238,#421239); #363012=AXIS2_PLACEMENT_3D('',#541039,#421244,#421245); #363013=AXIS2_PLACEMENT_3D('',#541045,#421249,#421250); #363014=AXIS2_PLACEMENT_3D('',#541051,#421254,#421255); #363015=AXIS2_PLACEMENT_3D('',#541054,#421258,#421259); #363016=AXIS2_PLACEMENT_3D('',#541055,#421260,#421261); #363017=AXIS2_PLACEMENT_3D('',#541056,#421262,#421263); #363018=AXIS2_PLACEMENT_3D('',#541065,#421268,#421269); #363019=AXIS2_PLACEMENT_3D('',#541071,#421273,#421274); #363020=AXIS2_PLACEMENT_3D('',#541077,#421278,#421279); #363021=AXIS2_PLACEMENT_3D('',#541080,#421282,#421283); #363022=AXIS2_PLACEMENT_3D('',#541081,#421284,#421285); #363023=AXIS2_PLACEMENT_3D('',#541082,#421286,#421287); #363024=AXIS2_PLACEMENT_3D('',#541091,#421292,#421293); #363025=AXIS2_PLACEMENT_3D('',#541097,#421297,#421298); #363026=AXIS2_PLACEMENT_3D('',#541103,#421302,#421303); #363027=AXIS2_PLACEMENT_3D('',#541106,#421306,#421307); #363028=AXIS2_PLACEMENT_3D('',#541107,#421308,#421309); #363029=AXIS2_PLACEMENT_3D('',#541108,#421310,#421311); #363030=AXIS2_PLACEMENT_3D('',#541117,#421316,#421317); #363031=AXIS2_PLACEMENT_3D('',#541123,#421321,#421322); #363032=AXIS2_PLACEMENT_3D('',#541129,#421326,#421327); #363033=AXIS2_PLACEMENT_3D('',#541132,#421330,#421331); #363034=AXIS2_PLACEMENT_3D('',#541133,#421332,#421333); #363035=AXIS2_PLACEMENT_3D('',#541134,#421334,#421335); #363036=AXIS2_PLACEMENT_3D('',#541143,#421340,#421341); #363037=AXIS2_PLACEMENT_3D('',#541149,#421345,#421346); #363038=AXIS2_PLACEMENT_3D('',#541155,#421350,#421351); #363039=AXIS2_PLACEMENT_3D('',#541158,#421354,#421355); #363040=AXIS2_PLACEMENT_3D('',#541159,#421356,#421357); #363041=AXIS2_PLACEMENT_3D('',#541160,#421358,#421359); #363042=AXIS2_PLACEMENT_3D('',#541169,#421364,#421365); #363043=AXIS2_PLACEMENT_3D('',#541175,#421369,#421370); #363044=AXIS2_PLACEMENT_3D('',#541181,#421374,#421375); #363045=AXIS2_PLACEMENT_3D('',#541184,#421378,#421379); #363046=AXIS2_PLACEMENT_3D('',#541185,#421380,#421381); #363047=AXIS2_PLACEMENT_3D('',#541186,#421382,#421383); #363048=AXIS2_PLACEMENT_3D('',#541195,#421388,#421389); #363049=AXIS2_PLACEMENT_3D('',#541201,#421393,#421394); #363050=AXIS2_PLACEMENT_3D('',#541207,#421398,#421399); #363051=AXIS2_PLACEMENT_3D('',#541210,#421402,#421403); #363052=AXIS2_PLACEMENT_3D('',#541211,#421404,#421405); #363053=AXIS2_PLACEMENT_3D('',#541212,#421406,#421407); #363054=AXIS2_PLACEMENT_3D('',#541221,#421412,#421413); #363055=AXIS2_PLACEMENT_3D('',#541227,#421417,#421418); #363056=AXIS2_PLACEMENT_3D('',#541233,#421422,#421423); #363057=AXIS2_PLACEMENT_3D('',#541236,#421426,#421427); #363058=AXIS2_PLACEMENT_3D('',#541237,#421428,#421429); #363059=AXIS2_PLACEMENT_3D('',#541238,#421430,#421431); #363060=AXIS2_PLACEMENT_3D('',#541247,#421436,#421437); #363061=AXIS2_PLACEMENT_3D('',#541253,#421441,#421442); #363062=AXIS2_PLACEMENT_3D('',#541259,#421446,#421447); #363063=AXIS2_PLACEMENT_3D('',#541262,#421450,#421451); #363064=AXIS2_PLACEMENT_3D('',#541263,#421452,#421453); #363065=AXIS2_PLACEMENT_3D('',#541264,#421454,#421455); #363066=AXIS2_PLACEMENT_3D('',#541273,#421460,#421461); #363067=AXIS2_PLACEMENT_3D('',#541279,#421465,#421466); #363068=AXIS2_PLACEMENT_3D('',#541285,#421470,#421471); #363069=AXIS2_PLACEMENT_3D('',#541288,#421474,#421475); #363070=AXIS2_PLACEMENT_3D('',#541289,#421476,#421477); #363071=AXIS2_PLACEMENT_3D('',#541290,#421478,#421479); #363072=AXIS2_PLACEMENT_3D('',#541299,#421484,#421485); #363073=AXIS2_PLACEMENT_3D('',#541305,#421489,#421490); #363074=AXIS2_PLACEMENT_3D('',#541311,#421494,#421495); #363075=AXIS2_PLACEMENT_3D('',#541314,#421498,#421499); #363076=AXIS2_PLACEMENT_3D('',#541315,#421500,#421501); #363077=AXIS2_PLACEMENT_3D('',#541316,#421502,#421503); #363078=AXIS2_PLACEMENT_3D('',#541325,#421508,#421509); #363079=AXIS2_PLACEMENT_3D('',#541331,#421513,#421514); #363080=AXIS2_PLACEMENT_3D('',#541337,#421518,#421519); #363081=AXIS2_PLACEMENT_3D('',#541340,#421522,#421523); #363082=AXIS2_PLACEMENT_3D('',#541341,#421524,#421525); #363083=AXIS2_PLACEMENT_3D('',#541342,#421526,#421527); #363084=AXIS2_PLACEMENT_3D('',#541344,#421528,#421529); #363085=AXIS2_PLACEMENT_3D('',#541347,#421531,#421532); #363086=AXIS2_PLACEMENT_3D('',#541348,#421533,#421534); #363087=AXIS2_PLACEMENT_3D('',#541357,#421539,#421540); #363088=AXIS2_PLACEMENT_3D('',#541363,#421544,#421545); #363089=AXIS2_PLACEMENT_3D('',#541369,#421549,#421550); #363090=AXIS2_PLACEMENT_3D('',#541372,#421553,#421554); #363091=AXIS2_PLACEMENT_3D('',#541373,#421555,#421556); #363092=AXIS2_PLACEMENT_3D('',#541374,#421557,#421558); #363093=AXIS2_PLACEMENT_3D('',#541383,#421563,#421564); #363094=AXIS2_PLACEMENT_3D('',#541389,#421568,#421569); #363095=AXIS2_PLACEMENT_3D('',#541395,#421573,#421574); #363096=AXIS2_PLACEMENT_3D('',#541398,#421577,#421578); #363097=AXIS2_PLACEMENT_3D('',#541399,#421579,#421580); #363098=AXIS2_PLACEMENT_3D('',#541400,#421581,#421582); #363099=AXIS2_PLACEMENT_3D('',#541409,#421587,#421588); #363100=AXIS2_PLACEMENT_3D('',#541415,#421592,#421593); #363101=AXIS2_PLACEMENT_3D('',#541421,#421597,#421598); #363102=AXIS2_PLACEMENT_3D('',#541424,#421601,#421602); #363103=AXIS2_PLACEMENT_3D('',#541425,#421603,#421604); #363104=AXIS2_PLACEMENT_3D('',#541426,#421605,#421606); #363105=AXIS2_PLACEMENT_3D('',#541428,#421607,#421608); #363106=AXIS2_PLACEMENT_3D('',#541431,#421610,#421611); #363107=AXIS2_PLACEMENT_3D('',#541432,#421612,#421613); #363108=AXIS2_PLACEMENT_3D('',#541441,#421618,#421619); #363109=AXIS2_PLACEMENT_3D('',#541447,#421623,#421624); #363110=AXIS2_PLACEMENT_3D('',#541453,#421628,#421629); #363111=AXIS2_PLACEMENT_3D('',#541456,#421632,#421633); #363112=AXIS2_PLACEMENT_3D('',#541457,#421634,#421635); #363113=AXIS2_PLACEMENT_3D('',#541458,#421636,#421637); #363114=AXIS2_PLACEMENT_3D('',#541467,#421642,#421643); #363115=AXIS2_PLACEMENT_3D('',#541473,#421647,#421648); #363116=AXIS2_PLACEMENT_3D('',#541479,#421652,#421653); #363117=AXIS2_PLACEMENT_3D('',#541482,#421656,#421657); #363118=AXIS2_PLACEMENT_3D('',#541483,#421658,#421659); #363119=AXIS2_PLACEMENT_3D('',#541484,#421660,#421661); #363120=AXIS2_PLACEMENT_3D('',#541493,#421666,#421667); #363121=AXIS2_PLACEMENT_3D('',#541499,#421671,#421672); #363122=AXIS2_PLACEMENT_3D('',#541505,#421676,#421677); #363123=AXIS2_PLACEMENT_3D('',#541508,#421680,#421681); #363124=AXIS2_PLACEMENT_3D('',#541509,#421682,#421683); #363125=AXIS2_PLACEMENT_3D('',#541510,#421684,#421685); #363126=AXIS2_PLACEMENT_3D('',#541519,#421690,#421691); #363127=AXIS2_PLACEMENT_3D('',#541525,#421695,#421696); #363128=AXIS2_PLACEMENT_3D('',#541531,#421700,#421701); #363129=AXIS2_PLACEMENT_3D('',#541534,#421704,#421705); #363130=AXIS2_PLACEMENT_3D('',#541535,#421706,#421707); #363131=AXIS2_PLACEMENT_3D('',#541536,#421708,#421709); #363132=AXIS2_PLACEMENT_3D('',#541545,#421714,#421715); #363133=AXIS2_PLACEMENT_3D('',#541551,#421719,#421720); #363134=AXIS2_PLACEMENT_3D('',#541557,#421724,#421725); #363135=AXIS2_PLACEMENT_3D('',#541560,#421728,#421729); #363136=AXIS2_PLACEMENT_3D('',#541561,#421730,#421731); #363137=AXIS2_PLACEMENT_3D('',#541562,#421732,#421733); #363138=AXIS2_PLACEMENT_3D('',#541571,#421738,#421739); #363139=AXIS2_PLACEMENT_3D('',#541577,#421743,#421744); #363140=AXIS2_PLACEMENT_3D('',#541583,#421748,#421749); #363141=AXIS2_PLACEMENT_3D('',#541586,#421752,#421753); #363142=AXIS2_PLACEMENT_3D('',#541587,#421754,#421755); #363143=AXIS2_PLACEMENT_3D('',#541588,#421756,#421757); #363144=AXIS2_PLACEMENT_3D('',#541597,#421762,#421763); #363145=AXIS2_PLACEMENT_3D('',#541603,#421767,#421768); #363146=AXIS2_PLACEMENT_3D('',#541609,#421772,#421773); #363147=AXIS2_PLACEMENT_3D('',#541612,#421776,#421777); #363148=AXIS2_PLACEMENT_3D('',#541613,#421778,#421779); #363149=AXIS2_PLACEMENT_3D('',#541614,#421780,#421781); #363150=AXIS2_PLACEMENT_3D('',#541623,#421786,#421787); #363151=AXIS2_PLACEMENT_3D('',#541629,#421791,#421792); #363152=AXIS2_PLACEMENT_3D('',#541635,#421796,#421797); #363153=AXIS2_PLACEMENT_3D('',#541638,#421800,#421801); #363154=AXIS2_PLACEMENT_3D('',#541639,#421802,#421803); #363155=AXIS2_PLACEMENT_3D('',#541640,#421804,#421805); #363156=AXIS2_PLACEMENT_3D('',#541649,#421810,#421811); #363157=AXIS2_PLACEMENT_3D('',#541655,#421815,#421816); #363158=AXIS2_PLACEMENT_3D('',#541661,#421820,#421821); #363159=AXIS2_PLACEMENT_3D('',#541664,#421824,#421825); #363160=AXIS2_PLACEMENT_3D('',#541665,#421826,#421827); #363161=AXIS2_PLACEMENT_3D('',#541666,#421828,#421829); #363162=AXIS2_PLACEMENT_3D('',#541675,#421834,#421835); #363163=AXIS2_PLACEMENT_3D('',#541681,#421839,#421840); #363164=AXIS2_PLACEMENT_3D('',#541687,#421844,#421845); #363165=AXIS2_PLACEMENT_3D('',#541690,#421848,#421849); #363166=AXIS2_PLACEMENT_3D('',#541691,#421850,#421851); #363167=AXIS2_PLACEMENT_3D('',#541692,#421852,#421853); #363168=AXIS2_PLACEMENT_3D('',#541701,#421858,#421859); #363169=AXIS2_PLACEMENT_3D('',#541707,#421863,#421864); #363170=AXIS2_PLACEMENT_3D('',#541713,#421868,#421869); #363171=AXIS2_PLACEMENT_3D('',#541716,#421872,#421873); #363172=AXIS2_PLACEMENT_3D('',#541717,#421874,#421875); #363173=AXIS2_PLACEMENT_3D('',#541718,#421876,#421877); #363174=AXIS2_PLACEMENT_3D('',#541727,#421882,#421883); #363175=AXIS2_PLACEMENT_3D('',#541733,#421887,#421888); #363176=AXIS2_PLACEMENT_3D('',#541739,#421892,#421893); #363177=AXIS2_PLACEMENT_3D('',#541742,#421896,#421897); #363178=AXIS2_PLACEMENT_3D('',#541743,#421898,#421899); #363179=AXIS2_PLACEMENT_3D('',#541744,#421900,#421901); #363180=AXIS2_PLACEMENT_3D('',#541749,#421903,#421904); #363181=AXIS2_PLACEMENT_3D('',#541752,#421906,#421907); #363182=AXIS2_PLACEMENT_3D('',#541753,#421908,#421909); #363183=AXIS2_PLACEMENT_3D('',#541758,#421911,#421912); #363184=AXIS2_PLACEMENT_3D('',#541761,#421914,#421915); #363185=AXIS2_PLACEMENT_3D('',#541762,#421916,#421917); #363186=AXIS2_PLACEMENT_3D('',#541764,#421918,#421919); #363187=AXIS2_PLACEMENT_3D('',#541767,#421921,#421922); #363188=AXIS2_PLACEMENT_3D('',#541768,#421923,#421924); #363189=AXIS2_PLACEMENT_3D('',#541773,#421926,#421927); #363190=AXIS2_PLACEMENT_3D('',#541776,#421929,#421930); #363191=AXIS2_PLACEMENT_3D('',#541777,#421931,#421932); #363192=AXIS2_PLACEMENT_3D('',#541782,#421934,#421935); #363193=AXIS2_PLACEMENT_3D('',#541785,#421937,#421938); #363194=AXIS2_PLACEMENT_3D('',#541786,#421939,#421940); #363195=AXIS2_PLACEMENT_3D('',#541791,#421942,#421943); #363196=AXIS2_PLACEMENT_3D('',#541794,#421945,#421946); #363197=AXIS2_PLACEMENT_3D('',#541795,#421947,#421948); #363198=AXIS2_PLACEMENT_3D('',#541800,#421950,#421951); #363199=AXIS2_PLACEMENT_3D('',#541803,#421953,#421954); #363200=AXIS2_PLACEMENT_3D('',#541804,#421955,#421956); #363201=AXIS2_PLACEMENT_3D('',#541809,#421958,#421959); #363202=AXIS2_PLACEMENT_3D('',#541812,#421961,#421962); #363203=AXIS2_PLACEMENT_3D('',#541813,#421963,#421964); #363204=AXIS2_PLACEMENT_3D('',#541818,#421966,#421967); #363205=AXIS2_PLACEMENT_3D('',#541821,#421969,#421970); #363206=AXIS2_PLACEMENT_3D('',#541822,#421971,#421972); #363207=AXIS2_PLACEMENT_3D('',#541828,#421976,#421977); #363208=AXIS2_PLACEMENT_3D('',#541831,#421980,#421981); #363209=AXIS2_PLACEMENT_3D('',#541837,#421985,#421986); #363210=AXIS2_PLACEMENT_3D('',#541840,#421989,#421990); #363211=AXIS2_PLACEMENT_3D('',#541843,#421993,#421994); #363212=AXIS2_PLACEMENT_3D('',#541846,#421997,#421998); #363213=AXIS2_PLACEMENT_3D('',#541849,#422001,#422002); #363214=AXIS2_PLACEMENT_3D('',#541852,#422005,#422006); #363215=AXIS2_PLACEMENT_3D('',#541855,#422009,#422010); #363216=AXIS2_PLACEMENT_3D('',#541858,#422013,#422014); #363217=AXIS2_PLACEMENT_3D('',#541859,#422015,#422016); #363218=AXIS2_PLACEMENT_3D('',#541860,#422017,#422018); #363219=AXIS2_PLACEMENT_3D('',#541862,#422019,#422020); #363220=AXIS2_PLACEMENT_3D('',#541865,#422022,#422023); #363221=AXIS2_PLACEMENT_3D('',#541866,#422024,#422025); #363222=AXIS2_PLACEMENT_3D('',#541875,#422030,#422031); #363223=AXIS2_PLACEMENT_3D('',#541881,#422035,#422036); #363224=AXIS2_PLACEMENT_3D('',#541887,#422040,#422041); #363225=AXIS2_PLACEMENT_3D('',#541890,#422044,#422045); #363226=AXIS2_PLACEMENT_3D('',#541891,#422046,#422047); #363227=AXIS2_PLACEMENT_3D('',#541892,#422048,#422049); #363228=AXIS2_PLACEMENT_3D('',#541901,#422054,#422055); #363229=AXIS2_PLACEMENT_3D('',#541907,#422059,#422060); #363230=AXIS2_PLACEMENT_3D('',#541913,#422064,#422065); #363231=AXIS2_PLACEMENT_3D('',#541916,#422068,#422069); #363232=AXIS2_PLACEMENT_3D('',#541917,#422070,#422071); #363233=AXIS2_PLACEMENT_3D('',#541918,#422072,#422073); #363234=AXIS2_PLACEMENT_3D('',#541927,#422078,#422079); #363235=AXIS2_PLACEMENT_3D('',#541933,#422083,#422084); #363236=AXIS2_PLACEMENT_3D('',#541939,#422088,#422089); #363237=AXIS2_PLACEMENT_3D('',#541942,#422092,#422093); #363238=AXIS2_PLACEMENT_3D('',#541943,#422094,#422095); #363239=AXIS2_PLACEMENT_3D('',#541944,#422096,#422097); #363240=AXIS2_PLACEMENT_3D('',#541953,#422102,#422103); #363241=AXIS2_PLACEMENT_3D('',#541959,#422107,#422108); #363242=AXIS2_PLACEMENT_3D('',#541965,#422112,#422113); #363243=AXIS2_PLACEMENT_3D('',#541968,#422116,#422117); #363244=AXIS2_PLACEMENT_3D('',#541969,#422118,#422119); #363245=AXIS2_PLACEMENT_3D('',#541970,#422120,#422121); #363246=AXIS2_PLACEMENT_3D('',#541979,#422126,#422127); #363247=AXIS2_PLACEMENT_3D('',#541985,#422131,#422132); #363248=AXIS2_PLACEMENT_3D('',#541991,#422136,#422137); #363249=AXIS2_PLACEMENT_3D('',#541994,#422140,#422141); #363250=AXIS2_PLACEMENT_3D('',#541995,#422142,#422143); #363251=AXIS2_PLACEMENT_3D('',#541996,#422144,#422145); #363252=AXIS2_PLACEMENT_3D('',#542005,#422150,#422151); #363253=AXIS2_PLACEMENT_3D('',#542011,#422155,#422156); #363254=AXIS2_PLACEMENT_3D('',#542017,#422160,#422161); #363255=AXIS2_PLACEMENT_3D('',#542020,#422164,#422165); #363256=AXIS2_PLACEMENT_3D('',#542021,#422166,#422167); #363257=AXIS2_PLACEMENT_3D('',#542022,#422168,#422169); #363258=AXIS2_PLACEMENT_3D('',#542024,#422170,#422171); #363259=AXIS2_PLACEMENT_3D('',#542027,#422173,#422174); #363260=AXIS2_PLACEMENT_3D('',#542028,#422175,#422176); #363261=AXIS2_PLACEMENT_3D('',#542037,#422181,#422182); #363262=AXIS2_PLACEMENT_3D('',#542043,#422186,#422187); #363263=AXIS2_PLACEMENT_3D('',#542049,#422191,#422192); #363264=AXIS2_PLACEMENT_3D('',#542052,#422195,#422196); #363265=AXIS2_PLACEMENT_3D('',#542053,#422197,#422198); #363266=AXIS2_PLACEMENT_3D('',#542054,#422199,#422200); #363267=AXIS2_PLACEMENT_3D('',#542056,#422201,#422202); #363268=AXIS2_PLACEMENT_3D('',#542059,#422204,#422205); #363269=AXIS2_PLACEMENT_3D('',#542060,#422206,#422207); #363270=AXIS2_PLACEMENT_3D('',#542069,#422212,#422213); #363271=AXIS2_PLACEMENT_3D('',#542075,#422217,#422218); #363272=AXIS2_PLACEMENT_3D('',#542081,#422222,#422223); #363273=AXIS2_PLACEMENT_3D('',#542084,#422226,#422227); #363274=AXIS2_PLACEMENT_3D('',#542085,#422228,#422229); #363275=AXIS2_PLACEMENT_3D('',#542086,#422230,#422231); #363276=AXIS2_PLACEMENT_3D('',#542095,#422236,#422237); #363277=AXIS2_PLACEMENT_3D('',#542101,#422241,#422242); #363278=AXIS2_PLACEMENT_3D('',#542107,#422246,#422247); #363279=AXIS2_PLACEMENT_3D('',#542110,#422250,#422251); #363280=AXIS2_PLACEMENT_3D('',#542111,#422252,#422253); #363281=AXIS2_PLACEMENT_3D('',#542112,#422254,#422255); #363282=AXIS2_PLACEMENT_3D('',#542121,#422260,#422261); #363283=AXIS2_PLACEMENT_3D('',#542127,#422265,#422266); #363284=AXIS2_PLACEMENT_3D('',#542133,#422270,#422271); #363285=AXIS2_PLACEMENT_3D('',#542136,#422274,#422275); #363286=AXIS2_PLACEMENT_3D('',#542137,#422276,#422277); #363287=AXIS2_PLACEMENT_3D('',#542138,#422278,#422279); #363288=AXIS2_PLACEMENT_3D('',#542147,#422284,#422285); #363289=AXIS2_PLACEMENT_3D('',#542153,#422289,#422290); #363290=AXIS2_PLACEMENT_3D('',#542159,#422294,#422295); #363291=AXIS2_PLACEMENT_3D('',#542162,#422298,#422299); #363292=AXIS2_PLACEMENT_3D('',#542163,#422300,#422301); #363293=AXIS2_PLACEMENT_3D('',#542164,#422302,#422303); #363294=AXIS2_PLACEMENT_3D('',#542173,#422308,#422309); #363295=AXIS2_PLACEMENT_3D('',#542179,#422313,#422314); #363296=AXIS2_PLACEMENT_3D('',#542185,#422318,#422319); #363297=AXIS2_PLACEMENT_3D('',#542188,#422322,#422323); #363298=AXIS2_PLACEMENT_3D('',#542189,#422324,#422325); #363299=AXIS2_PLACEMENT_3D('',#542190,#422326,#422327); #363300=AXIS2_PLACEMENT_3D('',#542199,#422332,#422333); #363301=AXIS2_PLACEMENT_3D('',#542205,#422337,#422338); #363302=AXIS2_PLACEMENT_3D('',#542211,#422342,#422343); #363303=AXIS2_PLACEMENT_3D('',#542214,#422346,#422347); #363304=AXIS2_PLACEMENT_3D('',#542215,#422348,#422349); #363305=AXIS2_PLACEMENT_3D('',#542216,#422350,#422351); #363306=AXIS2_PLACEMENT_3D('',#542225,#422356,#422357); #363307=AXIS2_PLACEMENT_3D('',#542231,#422361,#422362); #363308=AXIS2_PLACEMENT_3D('',#542237,#422366,#422367); #363309=AXIS2_PLACEMENT_3D('',#542240,#422370,#422371); #363310=AXIS2_PLACEMENT_3D('',#542241,#422372,#422373); #363311=AXIS2_PLACEMENT_3D('',#542242,#422374,#422375); #363312=AXIS2_PLACEMENT_3D('',#542251,#422380,#422381); #363313=AXIS2_PLACEMENT_3D('',#542257,#422385,#422386); #363314=AXIS2_PLACEMENT_3D('',#542263,#422390,#422391); #363315=AXIS2_PLACEMENT_3D('',#542266,#422394,#422395); #363316=AXIS2_PLACEMENT_3D('',#542267,#422396,#422397); #363317=AXIS2_PLACEMENT_3D('',#542268,#422398,#422399); #363318=AXIS2_PLACEMENT_3D('',#542277,#422404,#422405); #363319=AXIS2_PLACEMENT_3D('',#542283,#422409,#422410); #363320=AXIS2_PLACEMENT_3D('',#542289,#422414,#422415); #363321=AXIS2_PLACEMENT_3D('',#542292,#422418,#422419); #363322=AXIS2_PLACEMENT_3D('',#542293,#422420,#422421); #363323=AXIS2_PLACEMENT_3D('',#542294,#422422,#422423); #363324=AXIS2_PLACEMENT_3D('',#542303,#422428,#422429); #363325=AXIS2_PLACEMENT_3D('',#542309,#422433,#422434); #363326=AXIS2_PLACEMENT_3D('',#542315,#422438,#422439); #363327=AXIS2_PLACEMENT_3D('',#542318,#422442,#422443); #363328=AXIS2_PLACEMENT_3D('',#542319,#422444,#422445); #363329=AXIS2_PLACEMENT_3D('',#542320,#422446,#422447); #363330=AXIS2_PLACEMENT_3D('',#542329,#422452,#422453); #363331=AXIS2_PLACEMENT_3D('',#542335,#422457,#422458); #363332=AXIS2_PLACEMENT_3D('',#542341,#422462,#422463); #363333=AXIS2_PLACEMENT_3D('',#542344,#422466,#422467); #363334=AXIS2_PLACEMENT_3D('',#542345,#422468,#422469); #363335=AXIS2_PLACEMENT_3D('',#542346,#422470,#422471); #363336=AXIS2_PLACEMENT_3D('',#542355,#422476,#422477); #363337=AXIS2_PLACEMENT_3D('',#542361,#422481,#422482); #363338=AXIS2_PLACEMENT_3D('',#542367,#422486,#422487); #363339=AXIS2_PLACEMENT_3D('',#542370,#422490,#422491); #363340=AXIS2_PLACEMENT_3D('',#542371,#422492,#422493); #363341=AXIS2_PLACEMENT_3D('',#542372,#422494,#422495); #363342=AXIS2_PLACEMENT_3D('',#542374,#422496,#422497); #363343=AXIS2_PLACEMENT_3D('',#542377,#422499,#422500); #363344=AXIS2_PLACEMENT_3D('',#542378,#422501,#422502); #363345=AXIS2_PLACEMENT_3D('',#542380,#422503,#422504); #363346=AXIS2_PLACEMENT_3D('',#542383,#422506,#422507); #363347=AXIS2_PLACEMENT_3D('',#542384,#422508,#422509); #363348=AXIS2_PLACEMENT_3D('',#542386,#422510,#422511); #363349=AXIS2_PLACEMENT_3D('',#542389,#422513,#422514); #363350=AXIS2_PLACEMENT_3D('',#542390,#422515,#422516); #363351=AXIS2_PLACEMENT_3D('',#542392,#422517,#422518); #363352=AXIS2_PLACEMENT_3D('',#542395,#422520,#422521); #363353=AXIS2_PLACEMENT_3D('',#542396,#422522,#422523); #363354=AXIS2_PLACEMENT_3D('',#542398,#422524,#422525); #363355=AXIS2_PLACEMENT_3D('',#542401,#422527,#422528); #363356=AXIS2_PLACEMENT_3D('',#542402,#422529,#422530); #363357=AXIS2_PLACEMENT_3D('',#542404,#422531,#422532); #363358=AXIS2_PLACEMENT_3D('',#542407,#422534,#422535); #363359=AXIS2_PLACEMENT_3D('',#542408,#422536,#422537); #363360=AXIS2_PLACEMENT_3D('',#542417,#422542,#422543); #363361=AXIS2_PLACEMENT_3D('',#542423,#422547,#422548); #363362=AXIS2_PLACEMENT_3D('',#542429,#422552,#422553); #363363=AXIS2_PLACEMENT_3D('',#542432,#422556,#422557); #363364=AXIS2_PLACEMENT_3D('',#542433,#422558,#422559); #363365=AXIS2_PLACEMENT_3D('',#542434,#422560,#422561); #363366=AXIS2_PLACEMENT_3D('',#542443,#422566,#422567); #363367=AXIS2_PLACEMENT_3D('',#542449,#422571,#422572); #363368=AXIS2_PLACEMENT_3D('',#542455,#422576,#422577); #363369=AXIS2_PLACEMENT_3D('',#542458,#422580,#422581); #363370=AXIS2_PLACEMENT_3D('',#542459,#422582,#422583); #363371=AXIS2_PLACEMENT_3D('',#542460,#422584,#422585); #363372=AXIS2_PLACEMENT_3D('',#542469,#422590,#422591); #363373=AXIS2_PLACEMENT_3D('',#542475,#422595,#422596); #363374=AXIS2_PLACEMENT_3D('',#542481,#422600,#422601); #363375=AXIS2_PLACEMENT_3D('',#542484,#422604,#422605); #363376=AXIS2_PLACEMENT_3D('',#542485,#422606,#422607); #363377=AXIS2_PLACEMENT_3D('',#542486,#422608,#422609); #363378=AXIS2_PLACEMENT_3D('',#542495,#422614,#422615); #363379=AXIS2_PLACEMENT_3D('',#542501,#422619,#422620); #363380=AXIS2_PLACEMENT_3D('',#542507,#422624,#422625); #363381=AXIS2_PLACEMENT_3D('',#542510,#422628,#422629); #363382=AXIS2_PLACEMENT_3D('',#542511,#422630,#422631); #363383=AXIS2_PLACEMENT_3D('',#542512,#422632,#422633); #363384=AXIS2_PLACEMENT_3D('',#542517,#422635,#422636); #363385=AXIS2_PLACEMENT_3D('',#542520,#422638,#422639); #363386=AXIS2_PLACEMENT_3D('',#542521,#422640,#422641); #363387=AXIS2_PLACEMENT_3D('',#542523,#422642,#422643); #363388=AXIS2_PLACEMENT_3D('',#542526,#422645,#422646); #363389=AXIS2_PLACEMENT_3D('',#542527,#422647,#422648); #363390=AXIS2_PLACEMENT_3D('',#542532,#422650,#422651); #363391=AXIS2_PLACEMENT_3D('',#542535,#422653,#422654); #363392=AXIS2_PLACEMENT_3D('',#542536,#422655,#422656); #363393=AXIS2_PLACEMENT_3D('',#542541,#422658,#422659); #363394=AXIS2_PLACEMENT_3D('',#542544,#422661,#422662); #363395=AXIS2_PLACEMENT_3D('',#542545,#422663,#422664); #363396=AXIS2_PLACEMENT_3D('',#542550,#422666,#422667); #363397=AXIS2_PLACEMENT_3D('',#542553,#422669,#422670); #363398=AXIS2_PLACEMENT_3D('',#542554,#422671,#422672); #363399=AXIS2_PLACEMENT_3D('',#542556,#422673,#422674); #363400=AXIS2_PLACEMENT_3D('',#542559,#422676,#422677); #363401=AXIS2_PLACEMENT_3D('',#542560,#422678,#422679); #363402=AXIS2_PLACEMENT_3D('',#542566,#422683,#422684); #363403=AXIS2_PLACEMENT_3D('',#542572,#422688,#422689); #363404=AXIS2_PLACEMENT_3D('',#542575,#422692,#422693); #363405=AXIS2_PLACEMENT_3D('',#542581,#422697,#422698); #363406=AXIS2_PLACEMENT_3D('',#542587,#422702,#422703); #363407=AXIS2_PLACEMENT_3D('',#542590,#422706,#422707); #363408=AXIS2_PLACEMENT_3D('',#542593,#422710,#422711); #363409=AXIS2_PLACEMENT_3D('',#542595,#422713,#422714); #363410=AXIS2_PLACEMENT_3D('',#542597,#422716,#422717); #363411=AXIS2_PLACEMENT_3D('',#542598,#422718,#422719); #363412=AXIS2_PLACEMENT_3D('',#542607,#422724,#422725); #363413=AXIS2_PLACEMENT_3D('',#542613,#422729,#422730); #363414=AXIS2_PLACEMENT_3D('',#542619,#422734,#422735); #363415=AXIS2_PLACEMENT_3D('',#542622,#422738,#422739); #363416=AXIS2_PLACEMENT_3D('',#542623,#422740,#422741); #363417=AXIS2_PLACEMENT_3D('',#542624,#422742,#422743); #363418=AXIS2_PLACEMENT_3D('',#542633,#422748,#422749); #363419=AXIS2_PLACEMENT_3D('',#542639,#422753,#422754); #363420=AXIS2_PLACEMENT_3D('',#542645,#422758,#422759); #363421=AXIS2_PLACEMENT_3D('',#542648,#422762,#422763); #363422=AXIS2_PLACEMENT_3D('',#542649,#422764,#422765); #363423=AXIS2_PLACEMENT_3D('',#542650,#422766,#422767); #363424=AXIS2_PLACEMENT_3D('',#542659,#422772,#422773); #363425=AXIS2_PLACEMENT_3D('',#542665,#422777,#422778); #363426=AXIS2_PLACEMENT_3D('',#542671,#422782,#422783); #363427=AXIS2_PLACEMENT_3D('',#542674,#422786,#422787); #363428=AXIS2_PLACEMENT_3D('',#542675,#422788,#422789); #363429=AXIS2_PLACEMENT_3D('',#542676,#422790,#422791); #363430=AXIS2_PLACEMENT_3D('',#542685,#422796,#422797); #363431=AXIS2_PLACEMENT_3D('',#542691,#422801,#422802); #363432=AXIS2_PLACEMENT_3D('',#542697,#422806,#422807); #363433=AXIS2_PLACEMENT_3D('',#542700,#422810,#422811); #363434=AXIS2_PLACEMENT_3D('',#542701,#422812,#422813); #363435=AXIS2_PLACEMENT_3D('',#542702,#422814,#422815); #363436=AXIS2_PLACEMENT_3D('',#542711,#422820,#422821); #363437=AXIS2_PLACEMENT_3D('',#542717,#422825,#422826); #363438=AXIS2_PLACEMENT_3D('',#542723,#422830,#422831); #363439=AXIS2_PLACEMENT_3D('',#542726,#422834,#422835); #363440=AXIS2_PLACEMENT_3D('',#542727,#422836,#422837); #363441=AXIS2_PLACEMENT_3D('',#542728,#422838,#422839); #363442=AXIS2_PLACEMENT_3D('',#542737,#422844,#422845); #363443=AXIS2_PLACEMENT_3D('',#542743,#422849,#422850); #363444=AXIS2_PLACEMENT_3D('',#542749,#422854,#422855); #363445=AXIS2_PLACEMENT_3D('',#542752,#422858,#422859); #363446=AXIS2_PLACEMENT_3D('',#542753,#422860,#422861); #363447=AXIS2_PLACEMENT_3D('',#542754,#422862,#422863); #363448=AXIS2_PLACEMENT_3D('',#542763,#422868,#422869); #363449=AXIS2_PLACEMENT_3D('',#542769,#422873,#422874); #363450=AXIS2_PLACEMENT_3D('',#542775,#422878,#422879); #363451=AXIS2_PLACEMENT_3D('',#542778,#422882,#422883); #363452=AXIS2_PLACEMENT_3D('',#542779,#422884,#422885); #363453=AXIS2_PLACEMENT_3D('',#542780,#422886,#422887); #363454=AXIS2_PLACEMENT_3D('',#542789,#422892,#422893); #363455=AXIS2_PLACEMENT_3D('',#542795,#422897,#422898); #363456=AXIS2_PLACEMENT_3D('',#542801,#422902,#422903); #363457=AXIS2_PLACEMENT_3D('',#542804,#422906,#422907); #363458=AXIS2_PLACEMENT_3D('',#542805,#422908,#422909); #363459=AXIS2_PLACEMENT_3D('',#542806,#422910,#422911); #363460=AXIS2_PLACEMENT_3D('',#542815,#422916,#422917); #363461=AXIS2_PLACEMENT_3D('',#542821,#422921,#422922); #363462=AXIS2_PLACEMENT_3D('',#542827,#422926,#422927); #363463=AXIS2_PLACEMENT_3D('',#542830,#422930,#422931); #363464=AXIS2_PLACEMENT_3D('',#542831,#422932,#422933); #363465=AXIS2_PLACEMENT_3D('',#542832,#422934,#422935); #363466=AXIS2_PLACEMENT_3D('',#542841,#422940,#422941); #363467=AXIS2_PLACEMENT_3D('',#542847,#422945,#422946); #363468=AXIS2_PLACEMENT_3D('',#542853,#422950,#422951); #363469=AXIS2_PLACEMENT_3D('',#542856,#422954,#422955); #363470=AXIS2_PLACEMENT_3D('',#542857,#422956,#422957); #363471=AXIS2_PLACEMENT_3D('',#542858,#422958,#422959); #363472=AXIS2_PLACEMENT_3D('',#542867,#422964,#422965); #363473=AXIS2_PLACEMENT_3D('',#542873,#422969,#422970); #363474=AXIS2_PLACEMENT_3D('',#542879,#422974,#422975); #363475=AXIS2_PLACEMENT_3D('',#542882,#422978,#422979); #363476=AXIS2_PLACEMENT_3D('',#542883,#422980,#422981); #363477=AXIS2_PLACEMENT_3D('',#542884,#422982,#422983); #363478=AXIS2_PLACEMENT_3D('',#542893,#422988,#422989); #363479=AXIS2_PLACEMENT_3D('',#542899,#422993,#422994); #363480=AXIS2_PLACEMENT_3D('',#542905,#422998,#422999); #363481=AXIS2_PLACEMENT_3D('',#542908,#423002,#423003); #363482=AXIS2_PLACEMENT_3D('',#542909,#423004,#423005); #363483=AXIS2_PLACEMENT_3D('',#542910,#423006,#423007); #363484=AXIS2_PLACEMENT_3D('',#542919,#423012,#423013); #363485=AXIS2_PLACEMENT_3D('',#542925,#423017,#423018); #363486=AXIS2_PLACEMENT_3D('',#542931,#423022,#423023); #363487=AXIS2_PLACEMENT_3D('',#542934,#423026,#423027); #363488=AXIS2_PLACEMENT_3D('',#542935,#423028,#423029); #363489=AXIS2_PLACEMENT_3D('',#542936,#423030,#423031); #363490=AXIS2_PLACEMENT_3D('',#542945,#423036,#423037); #363491=AXIS2_PLACEMENT_3D('',#542951,#423041,#423042); #363492=AXIS2_PLACEMENT_3D('',#542957,#423046,#423047); #363493=AXIS2_PLACEMENT_3D('',#542960,#423050,#423051); #363494=AXIS2_PLACEMENT_3D('',#542961,#423052,#423053); #363495=AXIS2_PLACEMENT_3D('',#542962,#423054,#423055); #363496=AXIS2_PLACEMENT_3D('',#542971,#423060,#423061); #363497=AXIS2_PLACEMENT_3D('',#542977,#423065,#423066); #363498=AXIS2_PLACEMENT_3D('',#542983,#423070,#423071); #363499=AXIS2_PLACEMENT_3D('',#542986,#423074,#423075); #363500=AXIS2_PLACEMENT_3D('',#542987,#423076,#423077); #363501=AXIS2_PLACEMENT_3D('',#542988,#423078,#423079); #363502=AXIS2_PLACEMENT_3D('',#542997,#423084,#423085); #363503=AXIS2_PLACEMENT_3D('',#543003,#423089,#423090); #363504=AXIS2_PLACEMENT_3D('',#543009,#423094,#423095); #363505=AXIS2_PLACEMENT_3D('',#543012,#423098,#423099); #363506=AXIS2_PLACEMENT_3D('',#543013,#423100,#423101); #363507=AXIS2_PLACEMENT_3D('',#543014,#423102,#423103); #363508=AXIS2_PLACEMENT_3D('',#543023,#423108,#423109); #363509=AXIS2_PLACEMENT_3D('',#543029,#423113,#423114); #363510=AXIS2_PLACEMENT_3D('',#543035,#423118,#423119); #363511=AXIS2_PLACEMENT_3D('',#543038,#423122,#423123); #363512=AXIS2_PLACEMENT_3D('',#543039,#423124,#423125); #363513=AXIS2_PLACEMENT_3D('',#543040,#423126,#423127); #363514=AXIS2_PLACEMENT_3D('',#543049,#423132,#423133); #363515=AXIS2_PLACEMENT_3D('',#543055,#423137,#423138); #363516=AXIS2_PLACEMENT_3D('',#543061,#423142,#423143); #363517=AXIS2_PLACEMENT_3D('',#543064,#423146,#423147); #363518=AXIS2_PLACEMENT_3D('',#543065,#423148,#423149); #363519=AXIS2_PLACEMENT_3D('',#543066,#423150,#423151); #363520=AXIS2_PLACEMENT_3D('',#543075,#423156,#423157); #363521=AXIS2_PLACEMENT_3D('',#543081,#423161,#423162); #363522=AXIS2_PLACEMENT_3D('',#543087,#423166,#423167); #363523=AXIS2_PLACEMENT_3D('',#543090,#423170,#423171); #363524=AXIS2_PLACEMENT_3D('',#543091,#423172,#423173); #363525=AXIS2_PLACEMENT_3D('',#543092,#423174,#423175); #363526=AXIS2_PLACEMENT_3D('',#543101,#423180,#423181); #363527=AXIS2_PLACEMENT_3D('',#543107,#423185,#423186); #363528=AXIS2_PLACEMENT_3D('',#543113,#423190,#423191); #363529=AXIS2_PLACEMENT_3D('',#543116,#423194,#423195); #363530=AXIS2_PLACEMENT_3D('',#543117,#423196,#423197); #363531=AXIS2_PLACEMENT_3D('',#543118,#423198,#423199); #363532=AXIS2_PLACEMENT_3D('',#543127,#423204,#423205); #363533=AXIS2_PLACEMENT_3D('',#543133,#423209,#423210); #363534=AXIS2_PLACEMENT_3D('',#543139,#423214,#423215); #363535=AXIS2_PLACEMENT_3D('',#543142,#423218,#423219); #363536=AXIS2_PLACEMENT_3D('',#543143,#423220,#423221); #363537=AXIS2_PLACEMENT_3D('',#543144,#423222,#423223); #363538=AXIS2_PLACEMENT_3D('',#543145,#423224,#423225); #363539=AXIS2_PLACEMENT_3D('',#543147,#423226,#423227); #363540=AXIS2_PLACEMENT_3D('',#543150,#423229,#423230); #363541=AXIS2_PLACEMENT_3D('',#543151,#423231,#423232); #363542=AXIS2_PLACEMENT_3D('',#543160,#423237,#423238); #363543=AXIS2_PLACEMENT_3D('',#543162,#423239,#423240); #363544=AXIS2_PLACEMENT_3D('',#543164,#423241,#423242); #363545=AXIS2_PLACEMENT_3D('',#543166,#423244,#423245); #363546=AXIS2_PLACEMENT_3D('',#543167,#423246,#423247); #363547=AXIS2_PLACEMENT_3D('',#543168,#423248,#423249); #363548=AXIS2_PLACEMENT_3D('',#543169,#423250,#423251); #363549=AXIS2_PLACEMENT_3D('',#543170,#423252,#423253); #363550=AXIS2_PLACEMENT_3D('',#543171,#423254,#423255); #363551=AXIS2_PLACEMENT_3D('',#543180,#423260,#423261); #363552=AXIS2_PLACEMENT_3D('',#543186,#423265,#423266); #363553=AXIS2_PLACEMENT_3D('',#543192,#423270,#423271); #363554=AXIS2_PLACEMENT_3D('',#543195,#423274,#423275); #363555=AXIS2_PLACEMENT_3D('',#543196,#423276,#423277); #363556=AXIS2_PLACEMENT_3D('',#543197,#423278,#423279); #363557=AXIS2_PLACEMENT_3D('',#543206,#423284,#423285); #363558=AXIS2_PLACEMENT_3D('',#543212,#423289,#423290); #363559=AXIS2_PLACEMENT_3D('',#543218,#423294,#423295); #363560=AXIS2_PLACEMENT_3D('',#543221,#423298,#423299); #363561=AXIS2_PLACEMENT_3D('',#543222,#423300,#423301); #363562=AXIS2_PLACEMENT_3D('',#543223,#423302,#423303); #363563=AXIS2_PLACEMENT_3D('',#543225,#423304,#423305); #363564=AXIS2_PLACEMENT_3D('',#543228,#423307,#423308); #363565=AXIS2_PLACEMENT_3D('',#543229,#423309,#423310); #363566=AXIS2_PLACEMENT_3D('',#543231,#423311,#423312); #363567=AXIS2_PLACEMENT_3D('',#543234,#423314,#423315); #363568=AXIS2_PLACEMENT_3D('',#543235,#423316,#423317); #363569=AXIS2_PLACEMENT_3D('',#543244,#423322,#423323); #363570=AXIS2_PLACEMENT_3D('',#543250,#423327,#423328); #363571=AXIS2_PLACEMENT_3D('',#543256,#423332,#423333); #363572=AXIS2_PLACEMENT_3D('',#543259,#423336,#423337); #363573=AXIS2_PLACEMENT_3D('',#543260,#423338,#423339); #363574=AXIS2_PLACEMENT_3D('',#543261,#423340,#423341); #363575=AXIS2_PLACEMENT_3D('',#543270,#423346,#423347); #363576=AXIS2_PLACEMENT_3D('',#543276,#423351,#423352); #363577=AXIS2_PLACEMENT_3D('',#543282,#423356,#423357); #363578=AXIS2_PLACEMENT_3D('',#543285,#423360,#423361); #363579=AXIS2_PLACEMENT_3D('',#543286,#423362,#423363); #363580=AXIS2_PLACEMENT_3D('',#543287,#423364,#423365); #363581=AXIS2_PLACEMENT_3D('',#543289,#423366,#423367); #363582=AXIS2_PLACEMENT_3D('',#543292,#423369,#423370); #363583=AXIS2_PLACEMENT_3D('',#543293,#423371,#423372); #363584=AXIS2_PLACEMENT_3D('',#543295,#423373,#423374); #363585=AXIS2_PLACEMENT_3D('',#543298,#423376,#423377); #363586=AXIS2_PLACEMENT_3D('',#543299,#423378,#423379); #363587=AXIS2_PLACEMENT_3D('',#543308,#423384,#423385); #363588=AXIS2_PLACEMENT_3D('',#543314,#423389,#423390); #363589=AXIS2_PLACEMENT_3D('',#543320,#423394,#423395); #363590=AXIS2_PLACEMENT_3D('',#543323,#423398,#423399); #363591=AXIS2_PLACEMENT_3D('',#543324,#423400,#423401); #363592=AXIS2_PLACEMENT_3D('',#543325,#423402,#423403); #363593=AXIS2_PLACEMENT_3D('',#543334,#423408,#423409); #363594=AXIS2_PLACEMENT_3D('',#543340,#423413,#423414); #363595=AXIS2_PLACEMENT_3D('',#543346,#423418,#423419); #363596=AXIS2_PLACEMENT_3D('',#543349,#423422,#423423); #363597=AXIS2_PLACEMENT_3D('',#543350,#423424,#423425); #363598=AXIS2_PLACEMENT_3D('',#543351,#423426,#423427); #363599=AXIS2_PLACEMENT_3D('',#543353,#423428,#423429); #363600=AXIS2_PLACEMENT_3D('',#543356,#423431,#423432); #363601=AXIS2_PLACEMENT_3D('',#543357,#423433,#423434); #363602=AXIS2_PLACEMENT_3D('',#543359,#423435,#423436); #363603=AXIS2_PLACEMENT_3D('',#543362,#423438,#423439); #363604=AXIS2_PLACEMENT_3D('',#543363,#423440,#423441); #363605=AXIS2_PLACEMENT_3D('',#543372,#423446,#423447); #363606=AXIS2_PLACEMENT_3D('',#543378,#423451,#423452); #363607=AXIS2_PLACEMENT_3D('',#543384,#423456,#423457); #363608=AXIS2_PLACEMENT_3D('',#543387,#423460,#423461); #363609=AXIS2_PLACEMENT_3D('',#543388,#423462,#423463); #363610=AXIS2_PLACEMENT_3D('',#543389,#423464,#423465); #363611=AXIS2_PLACEMENT_3D('',#543398,#423470,#423471); #363612=AXIS2_PLACEMENT_3D('',#543404,#423475,#423476); #363613=AXIS2_PLACEMENT_3D('',#543410,#423480,#423481); #363614=AXIS2_PLACEMENT_3D('',#543413,#423484,#423485); #363615=AXIS2_PLACEMENT_3D('',#543414,#423486,#423487); #363616=AXIS2_PLACEMENT_3D('',#543415,#423488,#423489); #363617=AXIS2_PLACEMENT_3D('',#543417,#423490,#423491); #363618=AXIS2_PLACEMENT_3D('',#543420,#423493,#423494); #363619=AXIS2_PLACEMENT_3D('',#543421,#423495,#423496); #363620=AXIS2_PLACEMENT_3D('',#543423,#423497,#423498); #363621=AXIS2_PLACEMENT_3D('',#543426,#423500,#423501); #363622=AXIS2_PLACEMENT_3D('',#543427,#423502,#423503); #363623=AXIS2_PLACEMENT_3D('',#543436,#423508,#423509); #363624=AXIS2_PLACEMENT_3D('',#543442,#423513,#423514); #363625=AXIS2_PLACEMENT_3D('',#543448,#423518,#423519); #363626=AXIS2_PLACEMENT_3D('',#543451,#423522,#423523); #363627=AXIS2_PLACEMENT_3D('',#543452,#423524,#423525); #363628=AXIS2_PLACEMENT_3D('',#543453,#423526,#423527); #363629=AXIS2_PLACEMENT_3D('',#543462,#423532,#423533); #363630=AXIS2_PLACEMENT_3D('',#543468,#423537,#423538); #363631=AXIS2_PLACEMENT_3D('',#543474,#423542,#423543); #363632=AXIS2_PLACEMENT_3D('',#543477,#423546,#423547); #363633=AXIS2_PLACEMENT_3D('',#543478,#423548,#423549); #363634=AXIS2_PLACEMENT_3D('',#543479,#423550,#423551); #363635=AXIS2_PLACEMENT_3D('',#543488,#423556,#423557); #363636=AXIS2_PLACEMENT_3D('',#543494,#423561,#423562); #363637=AXIS2_PLACEMENT_3D('',#543500,#423566,#423567); #363638=AXIS2_PLACEMENT_3D('',#543506,#423571,#423572); #363639=AXIS2_PLACEMENT_3D('',#543512,#423576,#423577); #363640=AXIS2_PLACEMENT_3D('',#543518,#423581,#423582); #363641=AXIS2_PLACEMENT_3D('',#543520,#423583,#423584); #363642=AXIS2_PLACEMENT_3D('',#543522,#423585,#423586); #363643=AXIS2_PLACEMENT_3D('',#543524,#423588,#423589); #363644=AXIS2_PLACEMENT_3D('',#543530,#423593,#423594); #363645=AXIS2_PLACEMENT_3D('',#543536,#423598,#423599); #363646=AXIS2_PLACEMENT_3D('',#543542,#423603,#423604); #363647=AXIS2_PLACEMENT_3D('',#543548,#423608,#423609); #363648=AXIS2_PLACEMENT_3D('',#543554,#423613,#423614); #363649=AXIS2_PLACEMENT_3D('',#543556,#423615,#423616); #363650=AXIS2_PLACEMENT_3D('',#543558,#423617,#423618); #363651=AXIS2_PLACEMENT_3D('',#543560,#423620,#423621); #363652=AXIS2_PLACEMENT_3D('',#543566,#423625,#423626); #363653=AXIS2_PLACEMENT_3D('',#543572,#423630,#423631); #363654=AXIS2_PLACEMENT_3D('',#543574,#423632,#423633); #363655=AXIS2_PLACEMENT_3D('',#543576,#423634,#423635); #363656=AXIS2_PLACEMENT_3D('',#543578,#423637,#423638); #363657=AXIS2_PLACEMENT_3D('',#543584,#423642,#423643); #363658=AXIS2_PLACEMENT_3D('',#543590,#423647,#423648); #363659=AXIS2_PLACEMENT_3D('',#543596,#423652,#423653); #363660=AXIS2_PLACEMENT_3D('',#543602,#423657,#423658); #363661=AXIS2_PLACEMENT_3D('',#543608,#423662,#423663); #363662=AXIS2_PLACEMENT_3D('',#543614,#423667,#423668); #363663=AXIS2_PLACEMENT_3D('',#543620,#423672,#423673); #363664=AXIS2_PLACEMENT_3D('',#543622,#423674,#423675); #363665=AXIS2_PLACEMENT_3D('',#543624,#423676,#423677); #363666=AXIS2_PLACEMENT_3D('',#543626,#423679,#423680); #363667=AXIS2_PLACEMENT_3D('',#543632,#423684,#423685); #363668=AXIS2_PLACEMENT_3D('',#543634,#423686,#423687); #363669=AXIS2_PLACEMENT_3D('',#543636,#423688,#423689); #363670=AXIS2_PLACEMENT_3D('',#543638,#423691,#423692); #363671=AXIS2_PLACEMENT_3D('',#543644,#423696,#423697); #363672=AXIS2_PLACEMENT_3D('',#543650,#423701,#423702); #363673=AXIS2_PLACEMENT_3D('',#543656,#423706,#423707); #363674=AXIS2_PLACEMENT_3D('',#543662,#423711,#423712); #363675=AXIS2_PLACEMENT_3D('',#543668,#423716,#423717); #363676=AXIS2_PLACEMENT_3D('',#543674,#423721,#423722); #363677=AXIS2_PLACEMENT_3D('',#543680,#423726,#423727); #363678=AXIS2_PLACEMENT_3D('',#543686,#423731,#423732); #363679=AXIS2_PLACEMENT_3D('',#543688,#423733,#423734); #363680=AXIS2_PLACEMENT_3D('',#543690,#423735,#423736); #363681=AXIS2_PLACEMENT_3D('',#543692,#423738,#423739); #363682=AXIS2_PLACEMENT_3D('',#543698,#423743,#423744); #363683=AXIS2_PLACEMENT_3D('',#543700,#423745,#423746); #363684=AXIS2_PLACEMENT_3D('',#543702,#423747,#423748); #363685=AXIS2_PLACEMENT_3D('',#543704,#423750,#423751); #363686=AXIS2_PLACEMENT_3D('',#543710,#423755,#423756); #363687=AXIS2_PLACEMENT_3D('',#543716,#423760,#423761); #363688=AXIS2_PLACEMENT_3D('',#543722,#423765,#423766); #363689=AXIS2_PLACEMENT_3D('',#543724,#423767,#423768); #363690=AXIS2_PLACEMENT_3D('',#543726,#423769,#423770); #363691=AXIS2_PLACEMENT_3D('',#543728,#423772,#423773); #363692=AXIS2_PLACEMENT_3D('',#543734,#423777,#423778); #363693=AXIS2_PLACEMENT_3D('',#543736,#423779,#423780); #363694=AXIS2_PLACEMENT_3D('',#543738,#423781,#423782); #363695=AXIS2_PLACEMENT_3D('',#543740,#423784,#423785); #363696=AXIS2_PLACEMENT_3D('',#543746,#423789,#423790); #363697=AXIS2_PLACEMENT_3D('',#543752,#423794,#423795); #363698=AXIS2_PLACEMENT_3D('',#543758,#423799,#423800); #363699=AXIS2_PLACEMENT_3D('',#543764,#423804,#423805); #363700=AXIS2_PLACEMENT_3D('',#543770,#423809,#423810); #363701=AXIS2_PLACEMENT_3D('',#543772,#423811,#423812); #363702=AXIS2_PLACEMENT_3D('',#543774,#423813,#423814); #363703=AXIS2_PLACEMENT_3D('',#543776,#423816,#423817); #363704=AXIS2_PLACEMENT_3D('',#543782,#423821,#423822); #363705=AXIS2_PLACEMENT_3D('',#543788,#423826,#423827); #363706=AXIS2_PLACEMENT_3D('',#543794,#423831,#423832); #363707=AXIS2_PLACEMENT_3D('',#543800,#423836,#423837); #363708=AXIS2_PLACEMENT_3D('',#543806,#423841,#423842); #363709=AXIS2_PLACEMENT_3D('',#543812,#423846,#423847); #363710=AXIS2_PLACEMENT_3D('',#543814,#423848,#423849); #363711=AXIS2_PLACEMENT_3D('',#543816,#423850,#423851); #363712=AXIS2_PLACEMENT_3D('',#543818,#423853,#423854); #363713=AXIS2_PLACEMENT_3D('',#543824,#423858,#423859); #363714=AXIS2_PLACEMENT_3D('',#543827,#423862,#423863); #363715=AXIS2_PLACEMENT_3D('',#543828,#423864,#423865); #363716=AXIS2_PLACEMENT_3D('',#543829,#423866,#423867); #363717=AXIS2_PLACEMENT_3D('',#543831,#423868,#423869); #363718=AXIS2_PLACEMENT_3D('',#543834,#423871,#423872); #363719=AXIS2_PLACEMENT_3D('',#543835,#423873,#423874); #363720=AXIS2_PLACEMENT_3D('',#543837,#423875,#423876); #363721=AXIS2_PLACEMENT_3D('',#543840,#423878,#423879); #363722=AXIS2_PLACEMENT_3D('',#543841,#423880,#423881); #363723=AXIS2_PLACEMENT_3D('',#543850,#423886,#423887); #363724=AXIS2_PLACEMENT_3D('',#543852,#423888,#423889); #363725=AXIS2_PLACEMENT_3D('',#543854,#423890,#423891); #363726=AXIS2_PLACEMENT_3D('',#543856,#423893,#423894); #363727=AXIS2_PLACEMENT_3D('',#543858,#423895,#423896); #363728=AXIS2_PLACEMENT_3D('',#543860,#423897,#423898); #363729=AXIS2_PLACEMENT_3D('',#543862,#423900,#423901); #363730=AXIS2_PLACEMENT_3D('',#543868,#423905,#423906); #363731=AXIS2_PLACEMENT_3D('',#543870,#423907,#423908); #363732=AXIS2_PLACEMENT_3D('',#543872,#423909,#423910); #363733=AXIS2_PLACEMENT_3D('',#543874,#423912,#423913); #363734=AXIS2_PLACEMENT_3D('',#543876,#423914,#423915); #363735=AXIS2_PLACEMENT_3D('',#543878,#423916,#423917); #363736=AXIS2_PLACEMENT_3D('',#543880,#423919,#423920); #363737=AXIS2_PLACEMENT_3D('',#543886,#423924,#423925); #363738=AXIS2_PLACEMENT_3D('',#543887,#423926,#423927); #363739=AXIS2_PLACEMENT_3D('',#543888,#423928,#423929); #363740=AXIS2_PLACEMENT_3D('',#543889,#423930,#423931); #363741=AXIS2_PLACEMENT_3D('',#543890,#423932,#423933); #363742=AXIS2_PLACEMENT_3D('',#543891,#423934,#423935); #363743=AXIS2_PLACEMENT_3D('',#543893,#423936,#423937); #363744=AXIS2_PLACEMENT_3D('',#543896,#423939,#423940); #363745=AXIS2_PLACEMENT_3D('',#543897,#423941,#423942); #363746=AXIS2_PLACEMENT_3D('',#543906,#423947,#423948); #363747=AXIS2_PLACEMENT_3D('',#543908,#423949,#423950); #363748=AXIS2_PLACEMENT_3D('',#543910,#423951,#423952); #363749=AXIS2_PLACEMENT_3D('',#543912,#423954,#423955); #363750=AXIS2_PLACEMENT_3D('',#543918,#423959,#423960); #363751=AXIS2_PLACEMENT_3D('',#543924,#423964,#423965); #363752=AXIS2_PLACEMENT_3D('',#543930,#423969,#423970); #363753=AXIS2_PLACEMENT_3D('',#543936,#423974,#423975); #363754=AXIS2_PLACEMENT_3D('',#543942,#423979,#423980); #363755=AXIS2_PLACEMENT_3D('',#543948,#423984,#423985); #363756=AXIS2_PLACEMENT_3D('',#543954,#423989,#423990); #363757=AXIS2_PLACEMENT_3D('',#543955,#423991,#423992); #363758=AXIS2_PLACEMENT_3D('',#543956,#423993,#423994); #363759=AXIS2_PLACEMENT_3D('',#543957,#423995,#423996); #363760=AXIS2_PLACEMENT_3D('',#543958,#423997,#423998); #363761=AXIS2_PLACEMENT_3D('',#543959,#423999,#424000); #363762=AXIS2_PLACEMENT_3D('',#543961,#424001,#424002); #363763=AXIS2_PLACEMENT_3D('',#543964,#424004,#424005); #363764=AXIS2_PLACEMENT_3D('',#543965,#424006,#424007); #363765=AXIS2_PLACEMENT_3D('',#543974,#424012,#424013); #363766=AXIS2_PLACEMENT_3D('',#543980,#424017,#424018); #363767=AXIS2_PLACEMENT_3D('',#543986,#424022,#424023); #363768=AXIS2_PLACEMENT_3D('',#543992,#424027,#424028); #363769=AXIS2_PLACEMENT_3D('',#543998,#424032,#424033); #363770=AXIS2_PLACEMENT_3D('',#544000,#424034,#424035); #363771=AXIS2_PLACEMENT_3D('',#544002,#424036,#424037); #363772=AXIS2_PLACEMENT_3D('',#544004,#424039,#424040); #363773=AXIS2_PLACEMENT_3D('',#544010,#424044,#424045); #363774=AXIS2_PLACEMENT_3D('',#544012,#424046,#424047); #363775=AXIS2_PLACEMENT_3D('',#544014,#424048,#424049); #363776=AXIS2_PLACEMENT_3D('',#544016,#424051,#424052); #363777=AXIS2_PLACEMENT_3D('',#544018,#424053,#424054); #363778=AXIS2_PLACEMENT_3D('',#544020,#424055,#424056); #363779=AXIS2_PLACEMENT_3D('',#544022,#424058,#424059); #363780=AXIS2_PLACEMENT_3D('',#544024,#424060,#424061); #363781=AXIS2_PLACEMENT_3D('',#544026,#424062,#424063); #363782=AXIS2_PLACEMENT_3D('',#544028,#424065,#424066); #363783=AXIS2_PLACEMENT_3D('',#544034,#424070,#424071); #363784=AXIS2_PLACEMENT_3D('',#544040,#424075,#424076); #363785=AXIS2_PLACEMENT_3D('',#544043,#424079,#424080); #363786=AXIS2_PLACEMENT_3D('',#544044,#424081,#424082); #363787=AXIS2_PLACEMENT_3D('',#544045,#424083,#424084); #363788=AXIS2_PLACEMENT_3D('',#544047,#424085,#424086); #363789=AXIS2_PLACEMENT_3D('',#544050,#424088,#424089); #363790=AXIS2_PLACEMENT_3D('',#544051,#424090,#424091); #363791=AXIS2_PLACEMENT_3D('',#544053,#424092,#424093); #363792=AXIS2_PLACEMENT_3D('',#544056,#424095,#424096); #363793=AXIS2_PLACEMENT_3D('',#544057,#424097,#424098); #363794=AXIS2_PLACEMENT_3D('',#544066,#424103,#424104); #363795=AXIS2_PLACEMENT_3D('',#544068,#424105,#424106); #363796=AXIS2_PLACEMENT_3D('',#544070,#424107,#424108); #363797=AXIS2_PLACEMENT_3D('',#544072,#424110,#424111); #363798=AXIS2_PLACEMENT_3D('',#544074,#424112,#424113); #363799=AXIS2_PLACEMENT_3D('',#544076,#424114,#424115); #363800=AXIS2_PLACEMENT_3D('',#544078,#424117,#424118); #363801=AXIS2_PLACEMENT_3D('',#544084,#424122,#424123); #363802=AXIS2_PLACEMENT_3D('',#544090,#424127,#424128); #363803=AXIS2_PLACEMENT_3D('',#544096,#424132,#424133); #363804=AXIS2_PLACEMENT_3D('',#544102,#424137,#424138); #363805=AXIS2_PLACEMENT_3D('',#544108,#424142,#424143); #363806=AXIS2_PLACEMENT_3D('',#544110,#424144,#424145); #363807=AXIS2_PLACEMENT_3D('',#544112,#424146,#424147); #363808=AXIS2_PLACEMENT_3D('',#544114,#424149,#424150); #363809=AXIS2_PLACEMENT_3D('',#544120,#424154,#424155); #363810=AXIS2_PLACEMENT_3D('',#544126,#424159,#424160); #363811=AXIS2_PLACEMENT_3D('',#544128,#424161,#424162); #363812=AXIS2_PLACEMENT_3D('',#544130,#424163,#424164); #363813=AXIS2_PLACEMENT_3D('',#544132,#424166,#424167); #363814=AXIS2_PLACEMENT_3D('',#544138,#424171,#424172); #363815=AXIS2_PLACEMENT_3D('',#544140,#424173,#424174); #363816=AXIS2_PLACEMENT_3D('',#544142,#424175,#424176); #363817=AXIS2_PLACEMENT_3D('',#544144,#424178,#424179); #363818=AXIS2_PLACEMENT_3D('',#544150,#424183,#424184); #363819=AXIS2_PLACEMENT_3D('',#544156,#424188,#424189); #363820=AXIS2_PLACEMENT_3D('',#544162,#424193,#424194); #363821=AXIS2_PLACEMENT_3D('',#544164,#424195,#424196); #363822=AXIS2_PLACEMENT_3D('',#544166,#424197,#424198); #363823=AXIS2_PLACEMENT_3D('',#544168,#424200,#424201); #363824=AXIS2_PLACEMENT_3D('',#544174,#424205,#424206); #363825=AXIS2_PLACEMENT_3D('',#544176,#424207,#424208); #363826=AXIS2_PLACEMENT_3D('',#544178,#424209,#424210); #363827=AXIS2_PLACEMENT_3D('',#544180,#424212,#424213); #363828=AXIS2_PLACEMENT_3D('',#544186,#424217,#424218); #363829=AXIS2_PLACEMENT_3D('',#544188,#424219,#424220); #363830=AXIS2_PLACEMENT_3D('',#544190,#424221,#424222); #363831=AXIS2_PLACEMENT_3D('',#544192,#424224,#424225); #363832=AXIS2_PLACEMENT_3D('',#544194,#424226,#424227); #363833=AXIS2_PLACEMENT_3D('',#544196,#424228,#424229); #363834=AXIS2_PLACEMENT_3D('',#544198,#424231,#424232); #363835=AXIS2_PLACEMENT_3D('',#544204,#424236,#424237); #363836=AXIS2_PLACEMENT_3D('',#544210,#424241,#424242); #363837=AXIS2_PLACEMENT_3D('',#544216,#424246,#424247); #363838=AXIS2_PLACEMENT_3D('',#544218,#424248,#424249); #363839=AXIS2_PLACEMENT_3D('',#544220,#424250,#424251); #363840=AXIS2_PLACEMENT_3D('',#544222,#424253,#424254); #363841=AXIS2_PLACEMENT_3D('',#544228,#424258,#424259); #363842=AXIS2_PLACEMENT_3D('',#544230,#424260,#424261); #363843=AXIS2_PLACEMENT_3D('',#544232,#424262,#424263); #363844=AXIS2_PLACEMENT_3D('',#544234,#424265,#424266); #363845=AXIS2_PLACEMENT_3D('',#544240,#424270,#424271); #363846=AXIS2_PLACEMENT_3D('',#544246,#424275,#424276); #363847=AXIS2_PLACEMENT_3D('',#544252,#424280,#424281); #363848=AXIS2_PLACEMENT_3D('',#544254,#424282,#424283); #363849=AXIS2_PLACEMENT_3D('',#544256,#424284,#424285); #363850=AXIS2_PLACEMENT_3D('',#544258,#424287,#424288); #363851=AXIS2_PLACEMENT_3D('',#544264,#424292,#424293); #363852=AXIS2_PLACEMENT_3D('',#544270,#424297,#424298); #363853=AXIS2_PLACEMENT_3D('',#544272,#424299,#424300); #363854=AXIS2_PLACEMENT_3D('',#544274,#424301,#424302); #363855=AXIS2_PLACEMENT_3D('',#544276,#424304,#424305); #363856=AXIS2_PLACEMENT_3D('',#544282,#424309,#424310); #363857=AXIS2_PLACEMENT_3D('',#544284,#424311,#424312); #363858=AXIS2_PLACEMENT_3D('',#544286,#424313,#424314); #363859=AXIS2_PLACEMENT_3D('',#544288,#424316,#424317); #363860=AXIS2_PLACEMENT_3D('',#544294,#424321,#424322); #363861=AXIS2_PLACEMENT_3D('',#544296,#424323,#424324); #363862=AXIS2_PLACEMENT_3D('',#544298,#424325,#424326); #363863=AXIS2_PLACEMENT_3D('',#544300,#424328,#424329); #363864=AXIS2_PLACEMENT_3D('',#544306,#424333,#424334); #363865=AXIS2_PLACEMENT_3D('',#544308,#424335,#424336); #363866=AXIS2_PLACEMENT_3D('',#544310,#424337,#424338); #363867=AXIS2_PLACEMENT_3D('',#544312,#424340,#424341); #363868=AXIS2_PLACEMENT_3D('',#544318,#424345,#424346); #363869=AXIS2_PLACEMENT_3D('',#544319,#424347,#424348); #363870=AXIS2_PLACEMENT_3D('',#544320,#424349,#424350); #363871=AXIS2_PLACEMENT_3D('',#544321,#424351,#424352); #363872=AXIS2_PLACEMENT_3D('',#544322,#424353,#424354); #363873=AXIS2_PLACEMENT_3D('',#544323,#424355,#424356); #363874=AXIS2_PLACEMENT_3D('',#544325,#424357,#424358); #363875=AXIS2_PLACEMENT_3D('',#544328,#424360,#424361); #363876=AXIS2_PLACEMENT_3D('',#544329,#424362,#424363); #363877=AXIS2_PLACEMENT_3D('',#544331,#424364,#424365); #363878=AXIS2_PLACEMENT_3D('',#544334,#424367,#424368); #363879=AXIS2_PLACEMENT_3D('',#544335,#424369,#424370); #363880=AXIS2_PLACEMENT_3D('',#544344,#424375,#424376); #363881=AXIS2_PLACEMENT_3D('',#544346,#424377,#424378); #363882=AXIS2_PLACEMENT_3D('',#544348,#424379,#424380); #363883=AXIS2_PLACEMENT_3D('',#544350,#424382,#424383); #363884=AXIS2_PLACEMENT_3D('',#544352,#424384,#424385); #363885=AXIS2_PLACEMENT_3D('',#544354,#424386,#424387); #363886=AXIS2_PLACEMENT_3D('',#544356,#424389,#424390); #363887=AXIS2_PLACEMENT_3D('',#544362,#424394,#424395); #363888=AXIS2_PLACEMENT_3D('',#544368,#424399,#424400); #363889=AXIS2_PLACEMENT_3D('',#544370,#424401,#424402); #363890=AXIS2_PLACEMENT_3D('',#544372,#424403,#424404); #363891=AXIS2_PLACEMENT_3D('',#544374,#424406,#424407); #363892=AXIS2_PLACEMENT_3D('',#544376,#424408,#424409); #363893=AXIS2_PLACEMENT_3D('',#544378,#424410,#424411); #363894=AXIS2_PLACEMENT_3D('',#544380,#424413,#424414); #363895=AXIS2_PLACEMENT_3D('',#544386,#424418,#424419); #363896=AXIS2_PLACEMENT_3D('',#544388,#424420,#424421); #363897=AXIS2_PLACEMENT_3D('',#544390,#424422,#424423); #363898=AXIS2_PLACEMENT_3D('',#544392,#424425,#424426); #363899=AXIS2_PLACEMENT_3D('',#544398,#424430,#424431); #363900=AXIS2_PLACEMENT_3D('',#544399,#424432,#424433); #363901=AXIS2_PLACEMENT_3D('',#544400,#424434,#424435); #363902=AXIS2_PLACEMENT_3D('',#544401,#424436,#424437); #363903=AXIS2_PLACEMENT_3D('',#544402,#424438,#424439); #363904=AXIS2_PLACEMENT_3D('',#544403,#424440,#424441); #363905=AXIS2_PLACEMENT_3D('',#544405,#424442,#424443); #363906=AXIS2_PLACEMENT_3D('',#544408,#424445,#424446); #363907=AXIS2_PLACEMENT_3D('',#544409,#424447,#424448); #363908=AXIS2_PLACEMENT_3D('',#544418,#424453,#424454); #363909=AXIS2_PLACEMENT_3D('',#544424,#424458,#424459); #363910=AXIS2_PLACEMENT_3D('',#544430,#424463,#424464); #363911=AXIS2_PLACEMENT_3D('',#544436,#424468,#424469); #363912=AXIS2_PLACEMENT_3D('',#544442,#424473,#424474); #363913=AXIS2_PLACEMENT_3D('',#544444,#424475,#424476); #363914=AXIS2_PLACEMENT_3D('',#544446,#424477,#424478); #363915=AXIS2_PLACEMENT_3D('',#544448,#424480,#424481); #363916=AXIS2_PLACEMENT_3D('',#544454,#424485,#424486); #363917=AXIS2_PLACEMENT_3D('',#544456,#424487,#424488); #363918=AXIS2_PLACEMENT_3D('',#544458,#424489,#424490); #363919=AXIS2_PLACEMENT_3D('',#544460,#424492,#424493); #363920=AXIS2_PLACEMENT_3D('',#544462,#424494,#424495); #363921=AXIS2_PLACEMENT_3D('',#544464,#424496,#424497); #363922=AXIS2_PLACEMENT_3D('',#544466,#424499,#424500); #363923=AXIS2_PLACEMENT_3D('',#544468,#424501,#424502); #363924=AXIS2_PLACEMENT_3D('',#544470,#424503,#424504); #363925=AXIS2_PLACEMENT_3D('',#544472,#424506,#424507); #363926=AXIS2_PLACEMENT_3D('',#544478,#424511,#424512); #363927=AXIS2_PLACEMENT_3D('',#544480,#424513,#424514); #363928=AXIS2_PLACEMENT_3D('',#544482,#424515,#424516); #363929=AXIS2_PLACEMENT_3D('',#544484,#424518,#424519); #363930=AXIS2_PLACEMENT_3D('',#544490,#424523,#424524); #363931=AXIS2_PLACEMENT_3D('',#544496,#424528,#424529); #363932=AXIS2_PLACEMENT_3D('',#544502,#424533,#424534); #363933=AXIS2_PLACEMENT_3D('',#544508,#424538,#424539); #363934=AXIS2_PLACEMENT_3D('',#544514,#424543,#424544); #363935=AXIS2_PLACEMENT_3D('',#544520,#424548,#424549); #363936=AXIS2_PLACEMENT_3D('',#544526,#424553,#424554); #363937=AXIS2_PLACEMENT_3D('',#544532,#424558,#424559); #363938=AXIS2_PLACEMENT_3D('',#544534,#424560,#424561); #363939=AXIS2_PLACEMENT_3D('',#544536,#424562,#424563); #363940=AXIS2_PLACEMENT_3D('',#544538,#424565,#424566); #363941=AXIS2_PLACEMENT_3D('',#544544,#424570,#424571); #363942=AXIS2_PLACEMENT_3D('',#544550,#424575,#424576); #363943=AXIS2_PLACEMENT_3D('',#544553,#424579,#424580); #363944=AXIS2_PLACEMENT_3D('',#544554,#424581,#424582); #363945=AXIS2_PLACEMENT_3D('',#544555,#424583,#424584); #363946=AXIS2_PLACEMENT_3D('',#544557,#424585,#424586); #363947=AXIS2_PLACEMENT_3D('',#544560,#424588,#424589); #363948=AXIS2_PLACEMENT_3D('',#544561,#424590,#424591); #363949=AXIS2_PLACEMENT_3D('',#544570,#424596,#424597); #363950=AXIS2_PLACEMENT_3D('',#544572,#424598,#424599); #363951=AXIS2_PLACEMENT_3D('',#544574,#424600,#424601); #363952=AXIS2_PLACEMENT_3D('',#544576,#424603,#424604); #363953=AXIS2_PLACEMENT_3D('',#544578,#424605,#424606); #363954=AXIS2_PLACEMENT_3D('',#544580,#424607,#424608); #363955=AXIS2_PLACEMENT_3D('',#544582,#424610,#424611); #363956=AXIS2_PLACEMENT_3D('',#544588,#424615,#424616); #363957=AXIS2_PLACEMENT_3D('',#544590,#424617,#424618); #363958=AXIS2_PLACEMENT_3D('',#544592,#424619,#424620); #363959=AXIS2_PLACEMENT_3D('',#544594,#424622,#424623); #363960=AXIS2_PLACEMENT_3D('',#544600,#424627,#424628); #363961=AXIS2_PLACEMENT_3D('',#544606,#424632,#424633); #363962=AXIS2_PLACEMENT_3D('',#544612,#424637,#424638); #363963=AXIS2_PLACEMENT_3D('',#544618,#424642,#424643); #363964=AXIS2_PLACEMENT_3D('',#544624,#424647,#424648); #363965=AXIS2_PLACEMENT_3D('',#544630,#424652,#424653); #363966=AXIS2_PLACEMENT_3D('',#544636,#424657,#424658); #363967=AXIS2_PLACEMENT_3D('',#544642,#424662,#424663); #363968=AXIS2_PLACEMENT_3D('',#544644,#424664,#424665); #363969=AXIS2_PLACEMENT_3D('',#544646,#424666,#424667); #363970=AXIS2_PLACEMENT_3D('',#544648,#424669,#424670); #363971=AXIS2_PLACEMENT_3D('',#544654,#424674,#424675); #363972=AXIS2_PLACEMENT_3D('',#544660,#424679,#424680); #363973=AXIS2_PLACEMENT_3D('',#544666,#424684,#424685); #363974=AXIS2_PLACEMENT_3D('',#544672,#424689,#424690); #363975=AXIS2_PLACEMENT_3D('',#544678,#424694,#424695); #363976=AXIS2_PLACEMENT_3D('',#544684,#424699,#424700); #363977=AXIS2_PLACEMENT_3D('',#544690,#424704,#424705); #363978=AXIS2_PLACEMENT_3D('',#544696,#424709,#424710); #363979=AXIS2_PLACEMENT_3D('',#544698,#424711,#424712); #363980=AXIS2_PLACEMENT_3D('',#544700,#424713,#424714); #363981=AXIS2_PLACEMENT_3D('',#544702,#424716,#424717); #363982=AXIS2_PLACEMENT_3D('',#544708,#424721,#424722); #363983=AXIS2_PLACEMENT_3D('',#544710,#424723,#424724); #363984=AXIS2_PLACEMENT_3D('',#544712,#424725,#424726); #363985=AXIS2_PLACEMENT_3D('',#544714,#424728,#424729); #363986=AXIS2_PLACEMENT_3D('',#544715,#424730,#424731); #363987=AXIS2_PLACEMENT_3D('',#544716,#424732,#424733); #363988=AXIS2_PLACEMENT_3D('',#544717,#424734,#424735); #363989=AXIS2_PLACEMENT_3D('',#544718,#424736,#424737); #363990=AXIS2_PLACEMENT_3D('',#544719,#424738,#424739); #363991=AXIS2_PLACEMENT_3D('',#544721,#424740,#424741); #363992=AXIS2_PLACEMENT_3D('',#544724,#424743,#424744); #363993=AXIS2_PLACEMENT_3D('',#544725,#424745,#424746); #363994=AXIS2_PLACEMENT_3D('',#544727,#424747,#424748); #363995=AXIS2_PLACEMENT_3D('',#544730,#424750,#424751); #363996=AXIS2_PLACEMENT_3D('',#544731,#424752,#424753); #363997=AXIS2_PLACEMENT_3D('',#544740,#424758,#424759); #363998=AXIS2_PLACEMENT_3D('',#544746,#424763,#424764); #363999=AXIS2_PLACEMENT_3D('',#544748,#424765,#424766); #364000=AXIS2_PLACEMENT_3D('',#544750,#424767,#424768); #364001=AXIS2_PLACEMENT_3D('',#544752,#424770,#424771); #364002=AXIS2_PLACEMENT_3D('',#544758,#424775,#424776); #364003=AXIS2_PLACEMENT_3D('',#544764,#424780,#424781); #364004=AXIS2_PLACEMENT_3D('',#544766,#424782,#424783); #364005=AXIS2_PLACEMENT_3D('',#544768,#424784,#424785); #364006=AXIS2_PLACEMENT_3D('',#544770,#424787,#424788); #364007=AXIS2_PLACEMENT_3D('',#544772,#424789,#424790); #364008=AXIS2_PLACEMENT_3D('',#544774,#424791,#424792); #364009=AXIS2_PLACEMENT_3D('',#544776,#424794,#424795); #364010=AXIS2_PLACEMENT_3D('',#544782,#424799,#424800); #364011=AXIS2_PLACEMENT_3D('',#544784,#424801,#424802); #364012=AXIS2_PLACEMENT_3D('',#544786,#424803,#424804); #364013=AXIS2_PLACEMENT_3D('',#544788,#424806,#424807); #364014=AXIS2_PLACEMENT_3D('',#544794,#424811,#424812); #364015=AXIS2_PLACEMENT_3D('',#544800,#424816,#424817); #364016=AXIS2_PLACEMENT_3D('',#544806,#424821,#424822); #364017=AXIS2_PLACEMENT_3D('',#544808,#424823,#424824); #364018=AXIS2_PLACEMENT_3D('',#544810,#424825,#424826); #364019=AXIS2_PLACEMENT_3D('',#544812,#424828,#424829); #364020=AXIS2_PLACEMENT_3D('',#544818,#424833,#424834); #364021=AXIS2_PLACEMENT_3D('',#544824,#424838,#424839); #364022=AXIS2_PLACEMENT_3D('',#544830,#424843,#424844); #364023=AXIS2_PLACEMENT_3D('',#544836,#424848,#424849); #364024=AXIS2_PLACEMENT_3D('',#544842,#424853,#424854); #364025=AXIS2_PLACEMENT_3D('',#544844,#424855,#424856); #364026=AXIS2_PLACEMENT_3D('',#544846,#424857,#424858); #364027=AXIS2_PLACEMENT_3D('',#544848,#424860,#424861); #364028=AXIS2_PLACEMENT_3D('',#544854,#424865,#424866); #364029=AXIS2_PLACEMENT_3D('',#544860,#424870,#424871); #364030=AXIS2_PLACEMENT_3D('',#544862,#424872,#424873); #364031=AXIS2_PLACEMENT_3D('',#544864,#424874,#424875); #364032=AXIS2_PLACEMENT_3D('',#544866,#424877,#424878); #364033=AXIS2_PLACEMENT_3D('',#544872,#424882,#424883); #364034=AXIS2_PLACEMENT_3D('',#544874,#424884,#424885); #364035=AXIS2_PLACEMENT_3D('',#544876,#424886,#424887); #364036=AXIS2_PLACEMENT_3D('',#544878,#424889,#424890); #364037=AXIS2_PLACEMENT_3D('',#544884,#424894,#424895); #364038=AXIS2_PLACEMENT_3D('',#544890,#424899,#424900); #364039=AXIS2_PLACEMENT_3D('',#544896,#424904,#424905); #364040=AXIS2_PLACEMENT_3D('',#544898,#424906,#424907); #364041=AXIS2_PLACEMENT_3D('',#544900,#424908,#424909); #364042=AXIS2_PLACEMENT_3D('',#544902,#424911,#424912); #364043=AXIS2_PLACEMENT_3D('',#544908,#424916,#424917); #364044=AXIS2_PLACEMENT_3D('',#544910,#424918,#424919); #364045=AXIS2_PLACEMENT_3D('',#544912,#424920,#424921); #364046=AXIS2_PLACEMENT_3D('',#544914,#424923,#424924); #364047=AXIS2_PLACEMENT_3D('',#544920,#424928,#424929); #364048=AXIS2_PLACEMENT_3D('',#544922,#424930,#424931); #364049=AXIS2_PLACEMENT_3D('',#544924,#424932,#424933); #364050=AXIS2_PLACEMENT_3D('',#544926,#424935,#424936); #364051=AXIS2_PLACEMENT_3D('',#544932,#424940,#424941); #364052=AXIS2_PLACEMENT_3D('',#544938,#424945,#424946); #364053=AXIS2_PLACEMENT_3D('',#544940,#424947,#424948); #364054=AXIS2_PLACEMENT_3D('',#544942,#424949,#424950); #364055=AXIS2_PLACEMENT_3D('',#544944,#424952,#424953); #364056=AXIS2_PLACEMENT_3D('',#544946,#424954,#424955); #364057=AXIS2_PLACEMENT_3D('',#544948,#424956,#424957); #364058=AXIS2_PLACEMENT_3D('',#544950,#424959,#424960); #364059=AXIS2_PLACEMENT_3D('',#544956,#424964,#424965); #364060=AXIS2_PLACEMENT_3D('',#544958,#424966,#424967); #364061=AXIS2_PLACEMENT_3D('',#544960,#424968,#424969); #364062=AXIS2_PLACEMENT_3D('',#544962,#424971,#424972); #364063=AXIS2_PLACEMENT_3D('',#544968,#424976,#424977); #364064=AXIS2_PLACEMENT_3D('',#544974,#424981,#424982); #364065=AXIS2_PLACEMENT_3D('',#544980,#424986,#424987); #364066=AXIS2_PLACEMENT_3D('',#544986,#424991,#424992); #364067=AXIS2_PLACEMENT_3D('',#544988,#424993,#424994); #364068=AXIS2_PLACEMENT_3D('',#544990,#424995,#424996); #364069=AXIS2_PLACEMENT_3D('',#544992,#424998,#424999); #364070=AXIS2_PLACEMENT_3D('',#544998,#425003,#425004); #364071=AXIS2_PLACEMENT_3D('',#545000,#425005,#425006); #364072=AXIS2_PLACEMENT_3D('',#545002,#425007,#425008); #364073=AXIS2_PLACEMENT_3D('',#545004,#425010,#425011); #364074=AXIS2_PLACEMENT_3D('',#545010,#425015,#425016); #364075=AXIS2_PLACEMENT_3D('',#545016,#425020,#425021); #364076=AXIS2_PLACEMENT_3D('',#545022,#425025,#425026); #364077=AXIS2_PLACEMENT_3D('',#545024,#425027,#425028); #364078=AXIS2_PLACEMENT_3D('',#545026,#425029,#425030); #364079=AXIS2_PLACEMENT_3D('',#545028,#425032,#425033); #364080=AXIS2_PLACEMENT_3D('',#545034,#425037,#425038); #364081=AXIS2_PLACEMENT_3D('',#545040,#425042,#425043); #364082=AXIS2_PLACEMENT_3D('',#545042,#425044,#425045); #364083=AXIS2_PLACEMENT_3D('',#545044,#425046,#425047); #364084=AXIS2_PLACEMENT_3D('',#545046,#425049,#425050); #364085=AXIS2_PLACEMENT_3D('',#545052,#425054,#425055); #364086=AXIS2_PLACEMENT_3D('',#545054,#425056,#425057); #364087=AXIS2_PLACEMENT_3D('',#545056,#425058,#425059); #364088=AXIS2_PLACEMENT_3D('',#545058,#425061,#425062); #364089=AXIS2_PLACEMENT_3D('',#545064,#425066,#425067); #364090=AXIS2_PLACEMENT_3D('',#545066,#425068,#425069); #364091=AXIS2_PLACEMENT_3D('',#545068,#425070,#425071); #364092=AXIS2_PLACEMENT_3D('',#545070,#425073,#425074); #364093=AXIS2_PLACEMENT_3D('',#545076,#425078,#425079); #364094=AXIS2_PLACEMENT_3D('',#545078,#425080,#425081); #364095=AXIS2_PLACEMENT_3D('',#545080,#425082,#425083); #364096=AXIS2_PLACEMENT_3D('',#545082,#425085,#425086); #364097=AXIS2_PLACEMENT_3D('',#545088,#425090,#425091); #364098=AXIS2_PLACEMENT_3D('',#545094,#425095,#425096); #364099=AXIS2_PLACEMENT_3D('',#545095,#425097,#425098); #364100=AXIS2_PLACEMENT_3D('',#545096,#425099,#425100); #364101=AXIS2_PLACEMENT_3D('',#545097,#425101,#425102); #364102=AXIS2_PLACEMENT_3D('',#545098,#425103,#425104); #364103=AXIS2_PLACEMENT_3D('',#545099,#425105,#425106); #364104=AXIS2_PLACEMENT_3D('',#545101,#425107,#425108); #364105=AXIS2_PLACEMENT_3D('',#545104,#425110,#425111); #364106=AXIS2_PLACEMENT_3D('',#545105,#425112,#425113); #364107=AXIS2_PLACEMENT_3D('',#545107,#425114,#425115); #364108=AXIS2_PLACEMENT_3D('',#545110,#425117,#425118); #364109=AXIS2_PLACEMENT_3D('',#545111,#425119,#425120); #364110=AXIS2_PLACEMENT_3D('',#545120,#425125,#425126); #364111=AXIS2_PLACEMENT_3D('',#545122,#425127,#425128); #364112=AXIS2_PLACEMENT_3D('',#545124,#425129,#425130); #364113=AXIS2_PLACEMENT_3D('',#545126,#425132,#425133); #364114=AXIS2_PLACEMENT_3D('',#545128,#425134,#425135); #364115=AXIS2_PLACEMENT_3D('',#545130,#425136,#425137); #364116=AXIS2_PLACEMENT_3D('',#545132,#425139,#425140); #364117=AXIS2_PLACEMENT_3D('',#545138,#425144,#425145); #364118=AXIS2_PLACEMENT_3D('',#545140,#425146,#425147); #364119=AXIS2_PLACEMENT_3D('',#545142,#425148,#425149); #364120=AXIS2_PLACEMENT_3D('',#545144,#425151,#425152); #364121=AXIS2_PLACEMENT_3D('',#545146,#425153,#425154); #364122=AXIS2_PLACEMENT_3D('',#545148,#425155,#425156); #364123=AXIS2_PLACEMENT_3D('',#545150,#425158,#425159); #364124=AXIS2_PLACEMENT_3D('',#545156,#425163,#425164); #364125=AXIS2_PLACEMENT_3D('',#545157,#425165,#425166); #364126=AXIS2_PLACEMENT_3D('',#545158,#425167,#425168); #364127=AXIS2_PLACEMENT_3D('',#545159,#425169,#425170); #364128=AXIS2_PLACEMENT_3D('',#545160,#425171,#425172); #364129=AXIS2_PLACEMENT_3D('',#545161,#425173,#425174); #364130=AXIS2_PLACEMENT_3D('',#545163,#425175,#425176); #364131=AXIS2_PLACEMENT_3D('',#545166,#425178,#425179); #364132=AXIS2_PLACEMENT_3D('',#545167,#425180,#425181); #364133=AXIS2_PLACEMENT_3D('',#545169,#425182,#425183); #364134=AXIS2_PLACEMENT_3D('',#545172,#425185,#425186); #364135=AXIS2_PLACEMENT_3D('',#545173,#425187,#425188); #364136=AXIS2_PLACEMENT_3D('',#545182,#425193,#425194); #364137=AXIS2_PLACEMENT_3D('',#545184,#425195,#425196); #364138=AXIS2_PLACEMENT_3D('',#545186,#425197,#425198); #364139=AXIS2_PLACEMENT_3D('',#545188,#425200,#425201); #364140=AXIS2_PLACEMENT_3D('',#545190,#425202,#425203); #364141=AXIS2_PLACEMENT_3D('',#545192,#425204,#425205); #364142=AXIS2_PLACEMENT_3D('',#545194,#425207,#425208); #364143=AXIS2_PLACEMENT_3D('',#545200,#425212,#425213); #364144=AXIS2_PLACEMENT_3D('',#545202,#425214,#425215); #364145=AXIS2_PLACEMENT_3D('',#545204,#425216,#425217); #364146=AXIS2_PLACEMENT_3D('',#545206,#425219,#425220); #364147=AXIS2_PLACEMENT_3D('',#545208,#425221,#425222); #364148=AXIS2_PLACEMENT_3D('',#545210,#425223,#425224); #364149=AXIS2_PLACEMENT_3D('',#545212,#425226,#425227); #364150=AXIS2_PLACEMENT_3D('',#545218,#425231,#425232); #364151=AXIS2_PLACEMENT_3D('',#545219,#425233,#425234); #364152=AXIS2_PLACEMENT_3D('',#545220,#425235,#425236); #364153=AXIS2_PLACEMENT_3D('',#545221,#425237,#425238); #364154=AXIS2_PLACEMENT_3D('',#545222,#425239,#425240); #364155=AXIS2_PLACEMENT_3D('',#545223,#425241,#425242); #364156=AXIS2_PLACEMENT_3D('',#545225,#425243,#425244); #364157=AXIS2_PLACEMENT_3D('',#545228,#425246,#425247); #364158=AXIS2_PLACEMENT_3D('',#545229,#425248,#425249); #364159=AXIS2_PLACEMENT_3D('',#545231,#425250,#425251); #364160=AXIS2_PLACEMENT_3D('',#545234,#425253,#425254); #364161=AXIS2_PLACEMENT_3D('',#545235,#425255,#425256); #364162=AXIS2_PLACEMENT_3D('',#545244,#425261,#425262); #364163=AXIS2_PLACEMENT_3D('',#545246,#425263,#425264); #364164=AXIS2_PLACEMENT_3D('',#545248,#425265,#425266); #364165=AXIS2_PLACEMENT_3D('',#545250,#425268,#425269); #364166=AXIS2_PLACEMENT_3D('',#545252,#425270,#425271); #364167=AXIS2_PLACEMENT_3D('',#545254,#425272,#425273); #364168=AXIS2_PLACEMENT_3D('',#545256,#425275,#425276); #364169=AXIS2_PLACEMENT_3D('',#545262,#425280,#425281); #364170=AXIS2_PLACEMENT_3D('',#545264,#425282,#425283); #364171=AXIS2_PLACEMENT_3D('',#545266,#425284,#425285); #364172=AXIS2_PLACEMENT_3D('',#545268,#425287,#425288); #364173=AXIS2_PLACEMENT_3D('',#545270,#425289,#425290); #364174=AXIS2_PLACEMENT_3D('',#545272,#425291,#425292); #364175=AXIS2_PLACEMENT_3D('',#545274,#425294,#425295); #364176=AXIS2_PLACEMENT_3D('',#545280,#425299,#425300); #364177=AXIS2_PLACEMENT_3D('',#545281,#425301,#425302); #364178=AXIS2_PLACEMENT_3D('',#545282,#425303,#425304); #364179=AXIS2_PLACEMENT_3D('',#545283,#425305,#425306); #364180=AXIS2_PLACEMENT_3D('',#545284,#425307,#425308); #364181=AXIS2_PLACEMENT_3D('',#545285,#425309,#425310); #364182=AXIS2_PLACEMENT_3D('',#545287,#425311,#425312); #364183=AXIS2_PLACEMENT_3D('',#545290,#425314,#425315); #364184=AXIS2_PLACEMENT_3D('',#545291,#425316,#425317); #364185=AXIS2_PLACEMENT_3D('',#545293,#425318,#425319); #364186=AXIS2_PLACEMENT_3D('',#545296,#425321,#425322); #364187=AXIS2_PLACEMENT_3D('',#545297,#425323,#425324); #364188=AXIS2_PLACEMENT_3D('',#545306,#425329,#425330); #364189=AXIS2_PLACEMENT_3D('',#545308,#425331,#425332); #364190=AXIS2_PLACEMENT_3D('',#545310,#425333,#425334); #364191=AXIS2_PLACEMENT_3D('',#545312,#425336,#425337); #364192=AXIS2_PLACEMENT_3D('',#545318,#425341,#425342); #364193=AXIS2_PLACEMENT_3D('',#545324,#425346,#425347); #364194=AXIS2_PLACEMENT_3D('',#545330,#425351,#425352); #364195=AXIS2_PLACEMENT_3D('',#545332,#425353,#425354); #364196=AXIS2_PLACEMENT_3D('',#545334,#425355,#425356); #364197=AXIS2_PLACEMENT_3D('',#545336,#425358,#425359); #364198=AXIS2_PLACEMENT_3D('',#545338,#425360,#425361); #364199=AXIS2_PLACEMENT_3D('',#545340,#425362,#425363); #364200=AXIS2_PLACEMENT_3D('',#545342,#425365,#425366); #364201=AXIS2_PLACEMENT_3D('',#545348,#425370,#425371); #364202=AXIS2_PLACEMENT_3D('',#545350,#425372,#425373); #364203=AXIS2_PLACEMENT_3D('',#545352,#425374,#425375); #364204=AXIS2_PLACEMENT_3D('',#545354,#425377,#425378); #364205=AXIS2_PLACEMENT_3D('',#545360,#425382,#425383); #364206=AXIS2_PLACEMENT_3D('',#545362,#425384,#425385); #364207=AXIS2_PLACEMENT_3D('',#545364,#425386,#425387); #364208=AXIS2_PLACEMENT_3D('',#545366,#425389,#425390); #364209=AXIS2_PLACEMENT_3D('',#545372,#425394,#425395); #364210=AXIS2_PLACEMENT_3D('',#545373,#425396,#425397); #364211=AXIS2_PLACEMENT_3D('',#545374,#425398,#425399); #364212=AXIS2_PLACEMENT_3D('',#545375,#425400,#425401); #364213=AXIS2_PLACEMENT_3D('',#545376,#425402,#425403); #364214=AXIS2_PLACEMENT_3D('',#545377,#425404,#425405); #364215=AXIS2_PLACEMENT_3D('',#545379,#425406,#425407); #364216=AXIS2_PLACEMENT_3D('',#545382,#425409,#425410); #364217=AXIS2_PLACEMENT_3D('',#545383,#425411,#425412); #364218=AXIS2_PLACEMENT_3D('',#545392,#425417,#425418); #364219=AXIS2_PLACEMENT_3D('',#545398,#425422,#425423); #364220=AXIS2_PLACEMENT_3D('',#545404,#425427,#425428); #364221=AXIS2_PLACEMENT_3D('',#545407,#425431,#425432); #364222=AXIS2_PLACEMENT_3D('',#545408,#425433,#425434); #364223=AXIS2_PLACEMENT_3D('',#545409,#425435,#425436); #364224=AXIS2_PLACEMENT_3D('',#545411,#425437,#425438); #364225=AXIS2_PLACEMENT_3D('',#545414,#425440,#425441); #364226=AXIS2_PLACEMENT_3D('',#545415,#425442,#425443); #364227=AXIS2_PLACEMENT_3D('',#545424,#425448,#425449); #364228=AXIS2_PLACEMENT_3D('',#545430,#425453,#425454); #364229=AXIS2_PLACEMENT_3D('',#545436,#425458,#425459); #364230=AXIS2_PLACEMENT_3D('',#545439,#425462,#425463); #364231=AXIS2_PLACEMENT_3D('',#545440,#425464,#425465); #364232=AXIS2_PLACEMENT_3D('',#545441,#425466,#425467); #364233=AXIS2_PLACEMENT_3D('',#545443,#425468,#425469); #364234=AXIS2_PLACEMENT_3D('',#545446,#425471,#425472); #364235=AXIS2_PLACEMENT_3D('',#545447,#425473,#425474); #364236=AXIS2_PLACEMENT_3D('',#545456,#425479,#425480); #364237=AXIS2_PLACEMENT_3D('',#545462,#425484,#425485); #364238=AXIS2_PLACEMENT_3D('',#545468,#425489,#425490); #364239=AXIS2_PLACEMENT_3D('',#545471,#425493,#425494); #364240=AXIS2_PLACEMENT_3D('',#545472,#425495,#425496); #364241=AXIS2_PLACEMENT_3D('',#545473,#425497,#425498); #364242=AXIS2_PLACEMENT_3D('',#545475,#425499,#425500); #364243=AXIS2_PLACEMENT_3D('',#545478,#425502,#425503); #364244=AXIS2_PLACEMENT_3D('',#545479,#425504,#425505); #364245=AXIS2_PLACEMENT_3D('',#545488,#425510,#425511); #364246=AXIS2_PLACEMENT_3D('',#545490,#425512,#425513); #364247=AXIS2_PLACEMENT_3D('',#545492,#425514,#425515); #364248=AXIS2_PLACEMENT_3D('',#545494,#425517,#425518); #364249=AXIS2_PLACEMENT_3D('',#545500,#425522,#425523); #364250=AXIS2_PLACEMENT_3D('',#545506,#425527,#425528); #364251=AXIS2_PLACEMENT_3D('',#545512,#425532,#425533); #364252=AXIS2_PLACEMENT_3D('',#545518,#425537,#425538); #364253=AXIS2_PLACEMENT_3D('',#545524,#425542,#425543); #364254=AXIS2_PLACEMENT_3D('',#545530,#425547,#425548); #364255=AXIS2_PLACEMENT_3D('',#545536,#425552,#425553); #364256=AXIS2_PLACEMENT_3D('',#545537,#425554,#425555); #364257=AXIS2_PLACEMENT_3D('',#545538,#425556,#425557); #364258=AXIS2_PLACEMENT_3D('',#545539,#425558,#425559); #364259=AXIS2_PLACEMENT_3D('',#545540,#425560,#425561); #364260=AXIS2_PLACEMENT_3D('',#545541,#425562,#425563); #364261=AXIS2_PLACEMENT_3D('',#545543,#425564,#425565); #364262=AXIS2_PLACEMENT_3D('',#545546,#425567,#425568); #364263=AXIS2_PLACEMENT_3D('',#545547,#425569,#425570); #364264=AXIS2_PLACEMENT_3D('',#545549,#425571,#425572); #364265=AXIS2_PLACEMENT_3D('',#545552,#425574,#425575); #364266=AXIS2_PLACEMENT_3D('',#545553,#425576,#425577); #364267=AXIS2_PLACEMENT_3D('',#545562,#425582,#425583); #364268=AXIS2_PLACEMENT_3D('',#545568,#425587,#425588); #364269=AXIS2_PLACEMENT_3D('',#545570,#425589,#425590); #364270=AXIS2_PLACEMENT_3D('',#545572,#425591,#425592); #364271=AXIS2_PLACEMENT_3D('',#545574,#425594,#425595); #364272=AXIS2_PLACEMENT_3D('',#545580,#425599,#425600); #364273=AXIS2_PLACEMENT_3D('',#545586,#425604,#425605); #364274=AXIS2_PLACEMENT_3D('',#545588,#425606,#425607); #364275=AXIS2_PLACEMENT_3D('',#545590,#425608,#425609); #364276=AXIS2_PLACEMENT_3D('',#545592,#425611,#425612); #364277=AXIS2_PLACEMENT_3D('',#545598,#425616,#425617); #364278=AXIS2_PLACEMENT_3D('',#545604,#425621,#425622); #364279=AXIS2_PLACEMENT_3D('',#545606,#425623,#425624); #364280=AXIS2_PLACEMENT_3D('',#545608,#425625,#425626); #364281=AXIS2_PLACEMENT_3D('',#545610,#425628,#425629); #364282=AXIS2_PLACEMENT_3D('',#545612,#425630,#425631); #364283=AXIS2_PLACEMENT_3D('',#545614,#425632,#425633); #364284=AXIS2_PLACEMENT_3D('',#545616,#425635,#425636); #364285=AXIS2_PLACEMENT_3D('',#545622,#425640,#425641); #364286=AXIS2_PLACEMENT_3D('',#545624,#425642,#425643); #364287=AXIS2_PLACEMENT_3D('',#545626,#425644,#425645); #364288=AXIS2_PLACEMENT_3D('',#545628,#425647,#425648); #364289=AXIS2_PLACEMENT_3D('',#545634,#425652,#425653); #364290=AXIS2_PLACEMENT_3D('',#545640,#425657,#425658); #364291=AXIS2_PLACEMENT_3D('',#545642,#425659,#425660); #364292=AXIS2_PLACEMENT_3D('',#545644,#425661,#425662); #364293=AXIS2_PLACEMENT_3D('',#545646,#425664,#425665); #364294=AXIS2_PLACEMENT_3D('',#545652,#425669,#425670); #364295=AXIS2_PLACEMENT_3D('',#545658,#425674,#425675); #364296=AXIS2_PLACEMENT_3D('',#545664,#425679,#425680); #364297=AXIS2_PLACEMENT_3D('',#545670,#425684,#425685); #364298=AXIS2_PLACEMENT_3D('',#545676,#425689,#425690); #364299=AXIS2_PLACEMENT_3D('',#545682,#425694,#425695); #364300=AXIS2_PLACEMENT_3D('',#545684,#425696,#425697); #364301=AXIS2_PLACEMENT_3D('',#545686,#425698,#425699); #364302=AXIS2_PLACEMENT_3D('',#545688,#425701,#425702); #364303=AXIS2_PLACEMENT_3D('',#545694,#425706,#425707); #364304=AXIS2_PLACEMENT_3D('',#545700,#425711,#425712); #364305=AXIS2_PLACEMENT_3D('',#545702,#425713,#425714); #364306=AXIS2_PLACEMENT_3D('',#545704,#425715,#425716); #364307=AXIS2_PLACEMENT_3D('',#545706,#425718,#425719); #364308=AXIS2_PLACEMENT_3D('',#545712,#425723,#425724); #364309=AXIS2_PLACEMENT_3D('',#545714,#425725,#425726); #364310=AXIS2_PLACEMENT_3D('',#545716,#425727,#425728); #364311=AXIS2_PLACEMENT_3D('',#545718,#425730,#425731); #364312=AXIS2_PLACEMENT_3D('',#545724,#425735,#425736); #364313=AXIS2_PLACEMENT_3D('',#545730,#425740,#425741); #364314=AXIS2_PLACEMENT_3D('',#545736,#425745,#425746); #364315=AXIS2_PLACEMENT_3D('',#545738,#425747,#425748); #364316=AXIS2_PLACEMENT_3D('',#545740,#425749,#425750); #364317=AXIS2_PLACEMENT_3D('',#545742,#425752,#425753); #364318=AXIS2_PLACEMENT_3D('',#545748,#425757,#425758); #364319=AXIS2_PLACEMENT_3D('',#545750,#425759,#425760); #364320=AXIS2_PLACEMENT_3D('',#545752,#425761,#425762); #364321=AXIS2_PLACEMENT_3D('',#545754,#425764,#425765); #364322=AXIS2_PLACEMENT_3D('',#545760,#425769,#425770); #364323=AXIS2_PLACEMENT_3D('',#545766,#425774,#425775); #364324=AXIS2_PLACEMENT_3D('',#545768,#425776,#425777); #364325=AXIS2_PLACEMENT_3D('',#545770,#425778,#425779); #364326=AXIS2_PLACEMENT_3D('',#545772,#425781,#425782); #364327=AXIS2_PLACEMENT_3D('',#545778,#425786,#425787); #364328=AXIS2_PLACEMENT_3D('',#545780,#425788,#425789); #364329=AXIS2_PLACEMENT_3D('',#545782,#425790,#425791); #364330=AXIS2_PLACEMENT_3D('',#545784,#425793,#425794); #364331=AXIS2_PLACEMENT_3D('',#545786,#425795,#425796); #364332=AXIS2_PLACEMENT_3D('',#545788,#425797,#425798); #364333=AXIS2_PLACEMENT_3D('',#545790,#425800,#425801); #364334=AXIS2_PLACEMENT_3D('',#545796,#425805,#425806); #364335=AXIS2_PLACEMENT_3D('',#545802,#425810,#425811); #364336=AXIS2_PLACEMENT_3D('',#545804,#425812,#425813); #364337=AXIS2_PLACEMENT_3D('',#545806,#425814,#425815); #364338=AXIS2_PLACEMENT_3D('',#545808,#425817,#425818); #364339=AXIS2_PLACEMENT_3D('',#545814,#425822,#425823); #364340=AXIS2_PLACEMENT_3D('',#545820,#425827,#425828); #364341=AXIS2_PLACEMENT_3D('',#545826,#425832,#425833); #364342=AXIS2_PLACEMENT_3D('',#545828,#425834,#425835); #364343=AXIS2_PLACEMENT_3D('',#545830,#425836,#425837); #364344=AXIS2_PLACEMENT_3D('',#545832,#425839,#425840); #364345=AXIS2_PLACEMENT_3D('',#545838,#425844,#425845); #364346=AXIS2_PLACEMENT_3D('',#545840,#425846,#425847); #364347=AXIS2_PLACEMENT_3D('',#545842,#425848,#425849); #364348=AXIS2_PLACEMENT_3D('',#545844,#425851,#425852); #364349=AXIS2_PLACEMENT_3D('',#545850,#425856,#425857); #364350=AXIS2_PLACEMENT_3D('',#545856,#425861,#425862); #364351=AXIS2_PLACEMENT_3D('',#545862,#425866,#425867); #364352=AXIS2_PLACEMENT_3D('',#545864,#425868,#425869); #364353=AXIS2_PLACEMENT_3D('',#545866,#425870,#425871); #364354=AXIS2_PLACEMENT_3D('',#545868,#425873,#425874); #364355=AXIS2_PLACEMENT_3D('',#545874,#425878,#425879); #364356=AXIS2_PLACEMENT_3D('',#545880,#425883,#425884); #364357=AXIS2_PLACEMENT_3D('',#545882,#425885,#425886); #364358=AXIS2_PLACEMENT_3D('',#545884,#425887,#425888); #364359=AXIS2_PLACEMENT_3D('',#545886,#425890,#425891); #364360=AXIS2_PLACEMENT_3D('',#545892,#425895,#425896); #364361=AXIS2_PLACEMENT_3D('',#545894,#425897,#425898); #364362=AXIS2_PLACEMENT_3D('',#545896,#425899,#425900); #364363=AXIS2_PLACEMENT_3D('',#545898,#425902,#425903); #364364=AXIS2_PLACEMENT_3D('',#545904,#425907,#425908); #364365=AXIS2_PLACEMENT_3D('',#545906,#425909,#425910); #364366=AXIS2_PLACEMENT_3D('',#545908,#425911,#425912); #364367=AXIS2_PLACEMENT_3D('',#545910,#425914,#425915); #364368=AXIS2_PLACEMENT_3D('',#545916,#425919,#425920); #364369=AXIS2_PLACEMENT_3D('',#545917,#425921,#425922); #364370=AXIS2_PLACEMENT_3D('',#545918,#425923,#425924); #364371=AXIS2_PLACEMENT_3D('',#545919,#425925,#425926); #364372=AXIS2_PLACEMENT_3D('',#545920,#425927,#425928); #364373=AXIS2_PLACEMENT_3D('',#545921,#425929,#425930); #364374=AXIS2_PLACEMENT_3D('',#545923,#425931,#425932); #364375=AXIS2_PLACEMENT_3D('',#545926,#425934,#425935); #364376=AXIS2_PLACEMENT_3D('',#545927,#425936,#425937); #364377=AXIS2_PLACEMENT_3D('',#545929,#425938,#425939); #364378=AXIS2_PLACEMENT_3D('',#545932,#425941,#425942); #364379=AXIS2_PLACEMENT_3D('',#545933,#425943,#425944); #364380=AXIS2_PLACEMENT_3D('',#545942,#425949,#425950); #364381=AXIS2_PLACEMENT_3D('',#545944,#425951,#425952); #364382=AXIS2_PLACEMENT_3D('',#545946,#425953,#425954); #364383=AXIS2_PLACEMENT_3D('',#545948,#425956,#425957); #364384=AXIS2_PLACEMENT_3D('',#545954,#425961,#425962); #364385=AXIS2_PLACEMENT_3D('',#545956,#425963,#425964); #364386=AXIS2_PLACEMENT_3D('',#545958,#425965,#425966); #364387=AXIS2_PLACEMENT_3D('',#545960,#425968,#425969); #364388=AXIS2_PLACEMENT_3D('',#545962,#425970,#425971); #364389=AXIS2_PLACEMENT_3D('',#545964,#425972,#425973); #364390=AXIS2_PLACEMENT_3D('',#545966,#425975,#425976); #364391=AXIS2_PLACEMENT_3D('',#545972,#425980,#425981); #364392=AXIS2_PLACEMENT_3D('',#545973,#425982,#425983); #364393=AXIS2_PLACEMENT_3D('',#545974,#425984,#425985); #364394=AXIS2_PLACEMENT_3D('',#545975,#425986,#425987); #364395=AXIS2_PLACEMENT_3D('',#545976,#425988,#425989); #364396=AXIS2_PLACEMENT_3D('',#545977,#425990,#425991); #364397=AXIS2_PLACEMENT_3D('',#545979,#425992,#425993); #364398=AXIS2_PLACEMENT_3D('',#545982,#425995,#425996); #364399=AXIS2_PLACEMENT_3D('',#545983,#425997,#425998); #364400=AXIS2_PLACEMENT_3D('',#545992,#426003,#426004); #364401=AXIS2_PLACEMENT_3D('',#545994,#426005,#426006); #364402=AXIS2_PLACEMENT_3D('',#545996,#426007,#426008); #364403=AXIS2_PLACEMENT_3D('',#545998,#426010,#426011); #364404=AXIS2_PLACEMENT_3D('',#546004,#426015,#426016); #364405=AXIS2_PLACEMENT_3D('',#546010,#426020,#426021); #364406=AXIS2_PLACEMENT_3D('',#546016,#426025,#426026); #364407=AXIS2_PLACEMENT_3D('',#546022,#426030,#426031); #364408=AXIS2_PLACEMENT_3D('',#546028,#426035,#426036); #364409=AXIS2_PLACEMENT_3D('',#546034,#426040,#426041); #364410=AXIS2_PLACEMENT_3D('',#546040,#426045,#426046); #364411=AXIS2_PLACEMENT_3D('',#546041,#426047,#426048); #364412=AXIS2_PLACEMENT_3D('',#546042,#426049,#426050); #364413=AXIS2_PLACEMENT_3D('',#546043,#426051,#426052); #364414=AXIS2_PLACEMENT_3D('',#546044,#426053,#426054); #364415=AXIS2_PLACEMENT_3D('',#546045,#426055,#426056); #364416=AXIS2_PLACEMENT_3D('',#546047,#426057,#426058); #364417=AXIS2_PLACEMENT_3D('',#546050,#426060,#426061); #364418=AXIS2_PLACEMENT_3D('',#546051,#426062,#426063); #364419=AXIS2_PLACEMENT_3D('',#546060,#426068,#426069); #364420=AXIS2_PLACEMENT_3D('',#546062,#426070,#426071); #364421=AXIS2_PLACEMENT_3D('',#546064,#426072,#426073); #364422=AXIS2_PLACEMENT_3D('',#546066,#426075,#426076); #364423=AXIS2_PLACEMENT_3D('',#546072,#426080,#426081); #364424=AXIS2_PLACEMENT_3D('',#546078,#426085,#426086); #364425=AXIS2_PLACEMENT_3D('',#546084,#426090,#426091); #364426=AXIS2_PLACEMENT_3D('',#546090,#426095,#426096); #364427=AXIS2_PLACEMENT_3D('',#546096,#426100,#426101); #364428=AXIS2_PLACEMENT_3D('',#546102,#426105,#426106); #364429=AXIS2_PLACEMENT_3D('',#546108,#426110,#426111); #364430=AXIS2_PLACEMENT_3D('',#546109,#426112,#426113); #364431=AXIS2_PLACEMENT_3D('',#546110,#426114,#426115); #364432=AXIS2_PLACEMENT_3D('',#546111,#426116,#426117); #364433=AXIS2_PLACEMENT_3D('',#546112,#426118,#426119); #364434=AXIS2_PLACEMENT_3D('',#546113,#426120,#426121); #364435=AXIS2_PLACEMENT_3D('',#546115,#426122,#426123); #364436=AXIS2_PLACEMENT_3D('',#546118,#426125,#426126); #364437=AXIS2_PLACEMENT_3D('',#546119,#426127,#426128); #364438=AXIS2_PLACEMENT_3D('',#546128,#426133,#426134); #364439=AXIS2_PLACEMENT_3D('',#546130,#426135,#426136); #364440=AXIS2_PLACEMENT_3D('',#546132,#426137,#426138); #364441=AXIS2_PLACEMENT_3D('',#546134,#426140,#426141); #364442=AXIS2_PLACEMENT_3D('',#546140,#426145,#426146); #364443=AXIS2_PLACEMENT_3D('',#546142,#426147,#426148); #364444=AXIS2_PLACEMENT_3D('',#546144,#426149,#426150); #364445=AXIS2_PLACEMENT_3D('',#546146,#426152,#426153); #364446=AXIS2_PLACEMENT_3D('',#546152,#426157,#426158); #364447=AXIS2_PLACEMENT_3D('',#546154,#426159,#426160); #364448=AXIS2_PLACEMENT_3D('',#546156,#426161,#426162); #364449=AXIS2_PLACEMENT_3D('',#546158,#426164,#426165); #364450=AXIS2_PLACEMENT_3D('',#546164,#426169,#426170); #364451=AXIS2_PLACEMENT_3D('',#546170,#426174,#426175); #364452=AXIS2_PLACEMENT_3D('',#546176,#426179,#426180); #364453=AXIS2_PLACEMENT_3D('',#546182,#426184,#426185); #364454=AXIS2_PLACEMENT_3D('',#546188,#426189,#426190); #364455=AXIS2_PLACEMENT_3D('',#546194,#426194,#426195); #364456=AXIS2_PLACEMENT_3D('',#546200,#426199,#426200); #364457=AXIS2_PLACEMENT_3D('',#546206,#426204,#426205); #364458=AXIS2_PLACEMENT_3D('',#546212,#426209,#426210); #364459=AXIS2_PLACEMENT_3D('',#546214,#426211,#426212); #364460=AXIS2_PLACEMENT_3D('',#546216,#426213,#426214); #364461=AXIS2_PLACEMENT_3D('',#546218,#426216,#426217); #364462=AXIS2_PLACEMENT_3D('',#546219,#426218,#426219); #364463=AXIS2_PLACEMENT_3D('',#546220,#426220,#426221); #364464=AXIS2_PLACEMENT_3D('',#546221,#426222,#426223); #364465=AXIS2_PLACEMENT_3D('',#546222,#426224,#426225); #364466=AXIS2_PLACEMENT_3D('',#546223,#426226,#426227); #364467=AXIS2_PLACEMENT_3D('',#546225,#426228,#426229); #364468=AXIS2_PLACEMENT_3D('',#546228,#426231,#426232); #364469=AXIS2_PLACEMENT_3D('',#546229,#426233,#426234); #364470=AXIS2_PLACEMENT_3D('',#546238,#426239,#426240); #364471=AXIS2_PLACEMENT_3D('',#546244,#426244,#426245); #364472=AXIS2_PLACEMENT_3D('',#546250,#426249,#426250); #364473=AXIS2_PLACEMENT_3D('',#546256,#426254,#426255); #364474=AXIS2_PLACEMENT_3D('',#546262,#426259,#426260); #364475=AXIS2_PLACEMENT_3D('',#546268,#426264,#426265); #364476=AXIS2_PLACEMENT_3D('',#546274,#426269,#426270); #364477=AXIS2_PLACEMENT_3D('',#546280,#426274,#426275); #364478=AXIS2_PLACEMENT_3D('',#546282,#426276,#426277); #364479=AXIS2_PLACEMENT_3D('',#546284,#426278,#426279); #364480=AXIS2_PLACEMENT_3D('',#546286,#426281,#426282); #364481=AXIS2_PLACEMENT_3D('',#546288,#426283,#426284); #364482=AXIS2_PLACEMENT_3D('',#546290,#426285,#426286); #364483=AXIS2_PLACEMENT_3D('',#546292,#426288,#426289); #364484=AXIS2_PLACEMENT_3D('',#546298,#426293,#426294); #364485=AXIS2_PLACEMENT_3D('',#546300,#426295,#426296); #364486=AXIS2_PLACEMENT_3D('',#546302,#426297,#426298); #364487=AXIS2_PLACEMENT_3D('',#546304,#426300,#426301); #364488=AXIS2_PLACEMENT_3D('',#546310,#426305,#426306); #364489=AXIS2_PLACEMENT_3D('',#546312,#426307,#426308); #364490=AXIS2_PLACEMENT_3D('',#546314,#426309,#426310); #364491=AXIS2_PLACEMENT_3D('',#546316,#426312,#426313); #364492=AXIS2_PLACEMENT_3D('',#546319,#426316,#426317); #364493=AXIS2_PLACEMENT_3D('',#546320,#426318,#426319); #364494=AXIS2_PLACEMENT_3D('',#546321,#426320,#426321); #364495=AXIS2_PLACEMENT_3D('',#546323,#426322,#426323); #364496=AXIS2_PLACEMENT_3D('',#546326,#426325,#426326); #364497=AXIS2_PLACEMENT_3D('',#546327,#426327,#426328); #364498=AXIS2_PLACEMENT_3D('',#546336,#426333,#426334); #364499=AXIS2_PLACEMENT_3D('',#546338,#426335,#426336); #364500=AXIS2_PLACEMENT_3D('',#546340,#426337,#426338); #364501=AXIS2_PLACEMENT_3D('',#546342,#426340,#426341); #364502=AXIS2_PLACEMENT_3D('',#546343,#426342,#426343); #364503=AXIS2_PLACEMENT_3D('',#546344,#426344,#426345); #364504=AXIS2_PLACEMENT_3D('',#546345,#426346,#426347); #364505=AXIS2_PLACEMENT_3D('',#546346,#426348,#426349); #364506=AXIS2_PLACEMENT_3D('',#546347,#426350,#426351); #364507=AXIS2_PLACEMENT_3D('',#546349,#426352,#426353); #364508=AXIS2_PLACEMENT_3D('',#546352,#426355,#426356); #364509=AXIS2_PLACEMENT_3D('',#546353,#426357,#426358); #364510=AXIS2_PLACEMENT_3D('',#546362,#426363,#426364); #364511=AXIS2_PLACEMENT_3D('',#546368,#426368,#426369); #364512=AXIS2_PLACEMENT_3D('',#546374,#426373,#426374); #364513=AXIS2_PLACEMENT_3D('',#546380,#426378,#426379); #364514=AXIS2_PLACEMENT_3D('',#546386,#426383,#426384); #364515=AXIS2_PLACEMENT_3D('',#546392,#426388,#426389); #364516=AXIS2_PLACEMENT_3D('',#546398,#426393,#426394); #364517=AXIS2_PLACEMENT_3D('',#546400,#426395,#426396); #364518=AXIS2_PLACEMENT_3D('',#546402,#426397,#426398); #364519=AXIS2_PLACEMENT_3D('',#546404,#426400,#426401); #364520=AXIS2_PLACEMENT_3D('',#546410,#426405,#426406); #364521=AXIS2_PLACEMENT_3D('',#546416,#426410,#426411); #364522=AXIS2_PLACEMENT_3D('',#546418,#426412,#426413); #364523=AXIS2_PLACEMENT_3D('',#546420,#426414,#426415); #364524=AXIS2_PLACEMENT_3D('',#546422,#426417,#426418); #364525=AXIS2_PLACEMENT_3D('',#546424,#426419,#426420); #364526=AXIS2_PLACEMENT_3D('',#546426,#426421,#426422); #364527=AXIS2_PLACEMENT_3D('',#546428,#426424,#426425); #364528=AXIS2_PLACEMENT_3D('',#546434,#426429,#426430); #364529=AXIS2_PLACEMENT_3D('',#546436,#426431,#426432); #364530=AXIS2_PLACEMENT_3D('',#546438,#426433,#426434); #364531=AXIS2_PLACEMENT_3D('',#546440,#426436,#426437); #364532=AXIS2_PLACEMENT_3D('',#546446,#426441,#426442); #364533=AXIS2_PLACEMENT_3D('',#546452,#426446,#426447); #364534=AXIS2_PLACEMENT_3D('',#546454,#426448,#426449); #364535=AXIS2_PLACEMENT_3D('',#546456,#426450,#426451); #364536=AXIS2_PLACEMENT_3D('',#546458,#426453,#426454); #364537=AXIS2_PLACEMENT_3D('',#546464,#426458,#426459); #364538=AXIS2_PLACEMENT_3D('',#546466,#426460,#426461); #364539=AXIS2_PLACEMENT_3D('',#546468,#426462,#426463); #364540=AXIS2_PLACEMENT_3D('',#546470,#426465,#426466); #364541=AXIS2_PLACEMENT_3D('',#546476,#426470,#426471); #364542=AXIS2_PLACEMENT_3D('',#546479,#426474,#426475); #364543=AXIS2_PLACEMENT_3D('',#546480,#426476,#426477); #364544=AXIS2_PLACEMENT_3D('',#546481,#426478,#426479); #364545=AXIS2_PLACEMENT_3D('',#546483,#426480,#426481); #364546=AXIS2_PLACEMENT_3D('',#546486,#426483,#426484); #364547=AXIS2_PLACEMENT_3D('',#546487,#426485,#426486); #364548=AXIS2_PLACEMENT_3D('',#546496,#426491,#426492); #364549=AXIS2_PLACEMENT_3D('',#546502,#426496,#426497); #364550=AXIS2_PLACEMENT_3D('',#546508,#426501,#426502); #364551=AXIS2_PLACEMENT_3D('',#546514,#426506,#426507); #364552=AXIS2_PLACEMENT_3D('',#546516,#426508,#426509); #364553=AXIS2_PLACEMENT_3D('',#546518,#426510,#426511); #364554=AXIS2_PLACEMENT_3D('',#546520,#426513,#426514); #364555=AXIS2_PLACEMENT_3D('',#546526,#426518,#426519); #364556=AXIS2_PLACEMENT_3D('',#546528,#426520,#426521); #364557=AXIS2_PLACEMENT_3D('',#546530,#426522,#426523); #364558=AXIS2_PLACEMENT_3D('',#546532,#426525,#426526); #364559=AXIS2_PLACEMENT_3D('',#546538,#426530,#426531); #364560=AXIS2_PLACEMENT_3D('',#546540,#426532,#426533); #364561=AXIS2_PLACEMENT_3D('',#546542,#426534,#426535); #364562=AXIS2_PLACEMENT_3D('',#546544,#426537,#426538); #364563=AXIS2_PLACEMENT_3D('',#546546,#426539,#426540); #364564=AXIS2_PLACEMENT_3D('',#546548,#426541,#426542); #364565=AXIS2_PLACEMENT_3D('',#546550,#426544,#426545); #364566=AXIS2_PLACEMENT_3D('',#546556,#426549,#426550); #364567=AXIS2_PLACEMENT_3D('',#546562,#426554,#426555); #364568=AXIS2_PLACEMENT_3D('',#546568,#426559,#426560); #364569=AXIS2_PLACEMENT_3D('',#546574,#426564,#426565); #364570=AXIS2_PLACEMENT_3D('',#546577,#426568,#426569); #364571=AXIS2_PLACEMENT_3D('',#546578,#426570,#426571); #364572=AXIS2_PLACEMENT_3D('',#546579,#426572,#426573); #364573=AXIS2_PLACEMENT_3D('',#546588,#426578,#426579); #364574=AXIS2_PLACEMENT_3D('',#546594,#426583,#426584); #364575=AXIS2_PLACEMENT_3D('',#546600,#426588,#426589); #364576=AXIS2_PLACEMENT_3D('',#546606,#426593,#426594); #364577=AXIS2_PLACEMENT_3D('',#546612,#426598,#426599); #364578=AXIS2_PLACEMENT_3D('',#546614,#426600,#426601); #364579=AXIS2_PLACEMENT_3D('',#546616,#426602,#426603); #364580=AXIS2_PLACEMENT_3D('',#546618,#426605,#426606); #364581=AXIS2_PLACEMENT_3D('',#546624,#426610,#426611); #364582=AXIS2_PLACEMENT_3D('',#546630,#426615,#426616); #364583=AXIS2_PLACEMENT_3D('',#546636,#426620,#426621); #364584=AXIS2_PLACEMENT_3D('',#546642,#426625,#426626); #364585=AXIS2_PLACEMENT_3D('',#546648,#426630,#426631); #364586=AXIS2_PLACEMENT_3D('',#546654,#426635,#426636); #364587=AXIS2_PLACEMENT_3D('',#546660,#426640,#426641); #364588=AXIS2_PLACEMENT_3D('',#546663,#426644,#426645); #364589=AXIS2_PLACEMENT_3D('',#546664,#426646,#426647); #364590=AXIS2_PLACEMENT_3D('',#546665,#426648,#426649); #364591=AXIS2_PLACEMENT_3D('',#546674,#426654,#426655); #364592=AXIS2_PLACEMENT_3D('',#546680,#426659,#426660); #364593=AXIS2_PLACEMENT_3D('',#546686,#426664,#426665); #364594=AXIS2_PLACEMENT_3D('',#546692,#426669,#426670); #364595=AXIS2_PLACEMENT_3D('',#546698,#426674,#426675); #364596=AXIS2_PLACEMENT_3D('',#546704,#426679,#426680); #364597=AXIS2_PLACEMENT_3D('',#546710,#426684,#426685); #364598=AXIS2_PLACEMENT_3D('',#546716,#426689,#426690); #364599=AXIS2_PLACEMENT_3D('',#546722,#426694,#426695); #364600=AXIS2_PLACEMENT_3D('',#546728,#426699,#426700); #364601=AXIS2_PLACEMENT_3D('',#546734,#426704,#426705); #364602=AXIS2_PLACEMENT_3D('',#546736,#426706,#426707); #364603=AXIS2_PLACEMENT_3D('',#546738,#426708,#426709); #364604=AXIS2_PLACEMENT_3D('',#546740,#426711,#426712); #364605=AXIS2_PLACEMENT_3D('',#546746,#426716,#426717); #364606=AXIS2_PLACEMENT_3D('',#546749,#426720,#426721); #364607=AXIS2_PLACEMENT_3D('',#546750,#426722,#426723); #364608=AXIS2_PLACEMENT_3D('',#546751,#426724,#426725); #364609=AXIS2_PLACEMENT_3D('',#546753,#426726,#426727); #364610=AXIS2_PLACEMENT_3D('',#546756,#426729,#426730); #364611=AXIS2_PLACEMENT_3D('',#546757,#426731,#426732); #364612=AXIS2_PLACEMENT_3D('',#546766,#426737,#426738); #364613=AXIS2_PLACEMENT_3D('',#546772,#426742,#426743); #364614=AXIS2_PLACEMENT_3D('',#546774,#426744,#426745); #364615=AXIS2_PLACEMENT_3D('',#546776,#426746,#426747); #364616=AXIS2_PLACEMENT_3D('',#546778,#426749,#426750); #364617=AXIS2_PLACEMENT_3D('',#546784,#426754,#426755); #364618=AXIS2_PLACEMENT_3D('',#546786,#426756,#426757); #364619=AXIS2_PLACEMENT_3D('',#546788,#426758,#426759); #364620=AXIS2_PLACEMENT_3D('',#546790,#426761,#426762); #364621=AXIS2_PLACEMENT_3D('',#546796,#426766,#426767); #364622=AXIS2_PLACEMENT_3D('',#546802,#426771,#426772); #364623=AXIS2_PLACEMENT_3D('',#546804,#426773,#426774); #364624=AXIS2_PLACEMENT_3D('',#546806,#426775,#426776); #364625=AXIS2_PLACEMENT_3D('',#546808,#426778,#426779); #364626=AXIS2_PLACEMENT_3D('',#546814,#426783,#426784); #364627=AXIS2_PLACEMENT_3D('',#546820,#426788,#426789); #364628=AXIS2_PLACEMENT_3D('',#546826,#426793,#426794); #364629=AXIS2_PLACEMENT_3D('',#546832,#426798,#426799); #364630=AXIS2_PLACEMENT_3D('',#546838,#426803,#426804); #364631=AXIS2_PLACEMENT_3D('',#546844,#426808,#426809); #364632=AXIS2_PLACEMENT_3D('',#546850,#426813,#426814); #364633=AXIS2_PLACEMENT_3D('',#546856,#426818,#426819); #364634=AXIS2_PLACEMENT_3D('',#546858,#426820,#426821); #364635=AXIS2_PLACEMENT_3D('',#546860,#426822,#426823); #364636=AXIS2_PLACEMENT_3D('',#546862,#426825,#426826); #364637=AXIS2_PLACEMENT_3D('',#546868,#426830,#426831); #364638=AXIS2_PLACEMENT_3D('',#546874,#426835,#426836); #364639=AXIS2_PLACEMENT_3D('',#546880,#426840,#426841); #364640=AXIS2_PLACEMENT_3D('',#546886,#426845,#426846); #364641=AXIS2_PLACEMENT_3D('',#546888,#426847,#426848); #364642=AXIS2_PLACEMENT_3D('',#546890,#426849,#426850); #364643=AXIS2_PLACEMENT_3D('',#546892,#426852,#426853); #364644=AXIS2_PLACEMENT_3D('',#546898,#426857,#426858); #364645=AXIS2_PLACEMENT_3D('',#546904,#426862,#426863); #364646=AXIS2_PLACEMENT_3D('',#546910,#426867,#426868); #364647=AXIS2_PLACEMENT_3D('',#546916,#426872,#426873); #364648=AXIS2_PLACEMENT_3D('',#546922,#426877,#426878); #364649=AXIS2_PLACEMENT_3D('',#546928,#426882,#426883); #364650=AXIS2_PLACEMENT_3D('',#546934,#426887,#426888); #364651=AXIS2_PLACEMENT_3D('',#546940,#426892,#426893); #364652=AXIS2_PLACEMENT_3D('',#546946,#426897,#426898); #364653=AXIS2_PLACEMENT_3D('',#546952,#426902,#426903); #364654=AXIS2_PLACEMENT_3D('',#546958,#426907,#426908); #364655=AXIS2_PLACEMENT_3D('',#546964,#426912,#426913); #364656=AXIS2_PLACEMENT_3D('',#546970,#426917,#426918); #364657=AXIS2_PLACEMENT_3D('',#546976,#426922,#426923); #364658=AXIS2_PLACEMENT_3D('',#546982,#426927,#426928); #364659=AXIS2_PLACEMENT_3D('',#546988,#426932,#426933); #364660=AXIS2_PLACEMENT_3D('',#546989,#426934,#426935); #364661=AXIS2_PLACEMENT_3D('',#546990,#426936,#426937); #364662=AXIS2_PLACEMENT_3D('',#546991,#426938,#426939); #364663=AXIS2_PLACEMENT_3D('',#546992,#426940,#426941); #364664=AXIS2_PLACEMENT_3D('',#546993,#426942,#426943); #364665=AXIS2_PLACEMENT_3D('',#546995,#426944,#426945); #364666=AXIS2_PLACEMENT_3D('',#546998,#426947,#426948); #364667=AXIS2_PLACEMENT_3D('',#546999,#426949,#426950); #364668=AXIS2_PLACEMENT_3D('',#547001,#426951,#426952); #364669=AXIS2_PLACEMENT_3D('',#547004,#426954,#426955); #364670=AXIS2_PLACEMENT_3D('',#547005,#426956,#426957); #364671=AXIS2_PLACEMENT_3D('',#547014,#426962,#426963); #364672=AXIS2_PLACEMENT_3D('',#547016,#426964,#426965); #364673=AXIS2_PLACEMENT_3D('',#547018,#426966,#426967); #364674=AXIS2_PLACEMENT_3D('',#547020,#426969,#426970); #364675=AXIS2_PLACEMENT_3D('',#547026,#426974,#426975); #364676=AXIS2_PLACEMENT_3D('',#547028,#426976,#426977); #364677=AXIS2_PLACEMENT_3D('',#547030,#426978,#426979); #364678=AXIS2_PLACEMENT_3D('',#547032,#426981,#426982); #364679=AXIS2_PLACEMENT_3D('',#547034,#426983,#426984); #364680=AXIS2_PLACEMENT_3D('',#547036,#426985,#426986); #364681=AXIS2_PLACEMENT_3D('',#547038,#426988,#426989); #364682=AXIS2_PLACEMENT_3D('',#547044,#426993,#426994); #364683=AXIS2_PLACEMENT_3D('',#547045,#426995,#426996); #364684=AXIS2_PLACEMENT_3D('',#547046,#426997,#426998); #364685=AXIS2_PLACEMENT_3D('',#547047,#426999,#427000); #364686=AXIS2_PLACEMENT_3D('',#547048,#427001,#427002); #364687=AXIS2_PLACEMENT_3D('',#547049,#427003,#427004); #364688=AXIS2_PLACEMENT_3D('',#547051,#427005,#427006); #364689=AXIS2_PLACEMENT_3D('',#547054,#427008,#427009); #364690=AXIS2_PLACEMENT_3D('',#547055,#427010,#427011); #364691=AXIS2_PLACEMENT_3D('',#547064,#427016,#427017); #364692=AXIS2_PLACEMENT_3D('',#547070,#427021,#427022); #364693=AXIS2_PLACEMENT_3D('',#547076,#427026,#427027); #364694=AXIS2_PLACEMENT_3D('',#547079,#427030,#427031); #364695=AXIS2_PLACEMENT_3D('',#547080,#427032,#427033); #364696=AXIS2_PLACEMENT_3D('',#547081,#427034,#427035); #364697=AXIS2_PLACEMENT_3D('',#547083,#427036,#427037); #364698=AXIS2_PLACEMENT_3D('',#547086,#427039,#427040); #364699=AXIS2_PLACEMENT_3D('',#547087,#427041,#427042); #364700=AXIS2_PLACEMENT_3D('',#547089,#427043,#427044); #364701=AXIS2_PLACEMENT_3D('',#547092,#427046,#427047); #364702=AXIS2_PLACEMENT_3D('',#547093,#427048,#427049); #364703=AXIS2_PLACEMENT_3D('',#547102,#427054,#427055); #364704=AXIS2_PLACEMENT_3D('',#547104,#427056,#427057); #364705=AXIS2_PLACEMENT_3D('',#547106,#427058,#427059); #364706=AXIS2_PLACEMENT_3D('',#547108,#427061,#427062); #364707=AXIS2_PLACEMENT_3D('',#547114,#427066,#427067); #364708=AXIS2_PLACEMENT_3D('',#547116,#427068,#427069); #364709=AXIS2_PLACEMENT_3D('',#547118,#427070,#427071); #364710=AXIS2_PLACEMENT_3D('',#547120,#427073,#427074); #364711=AXIS2_PLACEMENT_3D('',#547126,#427078,#427079); #364712=AXIS2_PLACEMENT_3D('',#547128,#427080,#427081); #364713=AXIS2_PLACEMENT_3D('',#547130,#427082,#427083); #364714=AXIS2_PLACEMENT_3D('',#547132,#427085,#427086); #364715=AXIS2_PLACEMENT_3D('',#547138,#427090,#427091); #364716=AXIS2_PLACEMENT_3D('',#547140,#427092,#427093); #364717=AXIS2_PLACEMENT_3D('',#547142,#427094,#427095); #364718=AXIS2_PLACEMENT_3D('',#547144,#427097,#427098); #364719=AXIS2_PLACEMENT_3D('',#547150,#427102,#427103); #364720=AXIS2_PLACEMENT_3D('',#547152,#427104,#427105); #364721=AXIS2_PLACEMENT_3D('',#547154,#427106,#427107); #364722=AXIS2_PLACEMENT_3D('',#547156,#427109,#427110); #364723=AXIS2_PLACEMENT_3D('',#547162,#427114,#427115); #364724=AXIS2_PLACEMENT_3D('',#547164,#427116,#427117); #364725=AXIS2_PLACEMENT_3D('',#547166,#427118,#427119); #364726=AXIS2_PLACEMENT_3D('',#547168,#427121,#427122); #364727=AXIS2_PLACEMENT_3D('',#547174,#427126,#427127); #364728=AXIS2_PLACEMENT_3D('',#547176,#427128,#427129); #364729=AXIS2_PLACEMENT_3D('',#547178,#427130,#427131); #364730=AXIS2_PLACEMENT_3D('',#547180,#427133,#427134); #364731=AXIS2_PLACEMENT_3D('',#547182,#427135,#427136); #364732=AXIS2_PLACEMENT_3D('',#547184,#427137,#427138); #364733=AXIS2_PLACEMENT_3D('',#547186,#427140,#427141); #364734=AXIS2_PLACEMENT_3D('',#547192,#427145,#427146); #364735=AXIS2_PLACEMENT_3D('',#547198,#427150,#427151); #364736=AXIS2_PLACEMENT_3D('',#547204,#427155,#427156); #364737=AXIS2_PLACEMENT_3D('',#547210,#427160,#427161); #364738=AXIS2_PLACEMENT_3D('',#547216,#427165,#427166); #364739=AXIS2_PLACEMENT_3D('',#547222,#427170,#427171); #364740=AXIS2_PLACEMENT_3D('',#547224,#427172,#427173); #364741=AXIS2_PLACEMENT_3D('',#547226,#427174,#427175); #364742=AXIS2_PLACEMENT_3D('',#547228,#427177,#427178); #364743=AXIS2_PLACEMENT_3D('',#547229,#427179,#427180); #364744=AXIS2_PLACEMENT_3D('',#547230,#427181,#427182); #364745=AXIS2_PLACEMENT_3D('',#547231,#427183,#427184); #364746=AXIS2_PLACEMENT_3D('',#547232,#427185,#427186); #364747=AXIS2_PLACEMENT_3D('',#547233,#427187,#427188); #364748=AXIS2_PLACEMENT_3D('',#547235,#427189,#427190); #364749=AXIS2_PLACEMENT_3D('',#547238,#427192,#427193); #364750=AXIS2_PLACEMENT_3D('',#547239,#427194,#427195); #364751=AXIS2_PLACEMENT_3D('',#547241,#427196,#427197); #364752=AXIS2_PLACEMENT_3D('',#547244,#427199,#427200); #364753=AXIS2_PLACEMENT_3D('',#547245,#427201,#427202); #364754=AXIS2_PLACEMENT_3D('',#547254,#427207,#427208); #364755=AXIS2_PLACEMENT_3D('',#547256,#427209,#427210); #364756=AXIS2_PLACEMENT_3D('',#547258,#427211,#427212); #364757=AXIS2_PLACEMENT_3D('',#547260,#427214,#427215); #364758=AXIS2_PLACEMENT_3D('',#547266,#427219,#427220); #364759=AXIS2_PLACEMENT_3D('',#547268,#427221,#427222); #364760=AXIS2_PLACEMENT_3D('',#547270,#427223,#427224); #364761=AXIS2_PLACEMENT_3D('',#547272,#427226,#427227); #364762=AXIS2_PLACEMENT_3D('',#547274,#427228,#427229); #364763=AXIS2_PLACEMENT_3D('',#547276,#427230,#427231); #364764=AXIS2_PLACEMENT_3D('',#547278,#427233,#427234); #364765=AXIS2_PLACEMENT_3D('',#547284,#427238,#427239); #364766=AXIS2_PLACEMENT_3D('',#547290,#427243,#427244); #364767=AXIS2_PLACEMENT_3D('',#547291,#427245,#427246); #364768=AXIS2_PLACEMENT_3D('',#547292,#427247,#427248); #364769=AXIS2_PLACEMENT_3D('',#547293,#427249,#427250); #364770=AXIS2_PLACEMENT_3D('',#547294,#427251,#427252); #364771=AXIS2_PLACEMENT_3D('',#547295,#427253,#427254); #364772=AXIS2_PLACEMENT_3D('',#547297,#427255,#427256); #364773=AXIS2_PLACEMENT_3D('',#547300,#427258,#427259); #364774=AXIS2_PLACEMENT_3D('',#547301,#427260,#427261); #364775=AXIS2_PLACEMENT_3D('',#547310,#427266,#427267); #364776=AXIS2_PLACEMENT_3D('',#547316,#427271,#427272); #364777=AXIS2_PLACEMENT_3D('',#547322,#427276,#427277); #364778=AXIS2_PLACEMENT_3D('',#547325,#427280,#427281); #364779=AXIS2_PLACEMENT_3D('',#547326,#427282,#427283); #364780=AXIS2_PLACEMENT_3D('',#547327,#427284,#427285); #364781=AXIS2_PLACEMENT_3D('',#547329,#427286,#427287); #364782=AXIS2_PLACEMENT_3D('',#547332,#427289,#427290); #364783=AXIS2_PLACEMENT_3D('',#547333,#427291,#427292); #364784=AXIS2_PLACEMENT_3D('',#547335,#427293,#427294); #364785=AXIS2_PLACEMENT_3D('',#547338,#427296,#427297); #364786=AXIS2_PLACEMENT_3D('',#547339,#427298,#427299); #364787=AXIS2_PLACEMENT_3D('',#547341,#427300,#427301); #364788=AXIS2_PLACEMENT_3D('',#547344,#427303,#427304); #364789=AXIS2_PLACEMENT_3D('',#547345,#427305,#427306); #364790=AXIS2_PLACEMENT_3D('',#547347,#427307,#427308); #364791=AXIS2_PLACEMENT_3D('',#547350,#427310,#427311); #364792=AXIS2_PLACEMENT_3D('',#547351,#427312,#427313); #364793=AXIS2_PLACEMENT_3D('',#547360,#427318,#427319); #364794=AXIS2_PLACEMENT_3D('',#547366,#427323,#427324); #364795=AXIS2_PLACEMENT_3D('',#547372,#427328,#427329); #364796=AXIS2_PLACEMENT_3D('',#547378,#427333,#427334); #364797=AXIS2_PLACEMENT_3D('',#547384,#427338,#427339); #364798=AXIS2_PLACEMENT_3D('',#547390,#427343,#427344); #364799=AXIS2_PLACEMENT_3D('',#547396,#427348,#427349); #364800=AXIS2_PLACEMENT_3D('',#547402,#427353,#427354); #364801=AXIS2_PLACEMENT_3D('',#547408,#427358,#427359); #364802=AXIS2_PLACEMENT_3D('',#547414,#427363,#427364); #364803=AXIS2_PLACEMENT_3D('',#547420,#427368,#427369); #364804=AXIS2_PLACEMENT_3D('',#547426,#427373,#427374); #364805=AXIS2_PLACEMENT_3D('',#547432,#427378,#427379); #364806=AXIS2_PLACEMENT_3D('',#547438,#427383,#427384); #364807=AXIS2_PLACEMENT_3D('',#547444,#427388,#427389); #364808=AXIS2_PLACEMENT_3D('',#547447,#427392,#427393); #364809=AXIS2_PLACEMENT_3D('',#547456,#427398,#427399); #364810=AXIS2_PLACEMENT_3D('',#547462,#427403,#427404); #364811=AXIS2_PLACEMENT_3D('',#547468,#427408,#427409); #364812=AXIS2_PLACEMENT_3D('',#547474,#427413,#427414); #364813=AXIS2_PLACEMENT_3D('',#547480,#427418,#427419); #364814=AXIS2_PLACEMENT_3D('',#547486,#427423,#427424); #364815=AXIS2_PLACEMENT_3D('',#547492,#427428,#427429); #364816=AXIS2_PLACEMENT_3D('',#547498,#427433,#427434); #364817=AXIS2_PLACEMENT_3D('',#547504,#427438,#427439); #364818=AXIS2_PLACEMENT_3D('',#547510,#427443,#427444); #364819=AXIS2_PLACEMENT_3D('',#547516,#427448,#427449); #364820=AXIS2_PLACEMENT_3D('',#547522,#427453,#427454); #364821=AXIS2_PLACEMENT_3D('',#547528,#427458,#427459); #364822=AXIS2_PLACEMENT_3D('',#547534,#427463,#427464); #364823=AXIS2_PLACEMENT_3D('',#547540,#427468,#427469); #364824=AXIS2_PLACEMENT_3D('',#547546,#427473,#427474); #364825=AXIS2_PLACEMENT_3D('',#547552,#427478,#427479); #364826=AXIS2_PLACEMENT_3D('',#547558,#427483,#427484); #364827=AXIS2_PLACEMENT_3D('',#547564,#427488,#427489); #364828=AXIS2_PLACEMENT_3D('',#547570,#427493,#427494); #364829=AXIS2_PLACEMENT_3D('',#547576,#427498,#427499); #364830=AXIS2_PLACEMENT_3D('',#547582,#427503,#427504); #364831=AXIS2_PLACEMENT_3D('',#547588,#427508,#427509); #364832=AXIS2_PLACEMENT_3D('',#547594,#427513,#427514); #364833=AXIS2_PLACEMENT_3D('',#547600,#427518,#427519); #364834=AXIS2_PLACEMENT_3D('',#547606,#427523,#427524); #364835=AXIS2_PLACEMENT_3D('',#547612,#427528,#427529); #364836=AXIS2_PLACEMENT_3D('',#547618,#427533,#427534); #364837=AXIS2_PLACEMENT_3D('',#547624,#427538,#427539); #364838=AXIS2_PLACEMENT_3D('',#547630,#427543,#427544); #364839=AXIS2_PLACEMENT_3D('',#547636,#427548,#427549); #364840=AXIS2_PLACEMENT_3D('',#547642,#427553,#427554); #364841=AXIS2_PLACEMENT_3D('',#547648,#427558,#427559); #364842=AXIS2_PLACEMENT_3D('',#547654,#427563,#427564); #364843=AXIS2_PLACEMENT_3D('',#547660,#427568,#427569); #364844=AXIS2_PLACEMENT_3D('',#547666,#427573,#427574); #364845=AXIS2_PLACEMENT_3D('',#547672,#427578,#427579); #364846=AXIS2_PLACEMENT_3D('',#547678,#427583,#427584); #364847=AXIS2_PLACEMENT_3D('',#547684,#427588,#427589); #364848=AXIS2_PLACEMENT_3D('',#547690,#427593,#427594); #364849=AXIS2_PLACEMENT_3D('',#547696,#427598,#427599); #364850=AXIS2_PLACEMENT_3D('',#547702,#427603,#427604); #364851=AXIS2_PLACEMENT_3D('',#547708,#427608,#427609); #364852=AXIS2_PLACEMENT_3D('',#547714,#427613,#427614); #364853=AXIS2_PLACEMENT_3D('',#547720,#427618,#427619); #364854=AXIS2_PLACEMENT_3D('',#547726,#427623,#427624); #364855=AXIS2_PLACEMENT_3D('',#547732,#427628,#427629); #364856=AXIS2_PLACEMENT_3D('',#547738,#427633,#427634); #364857=AXIS2_PLACEMENT_3D('',#547744,#427638,#427639); #364858=AXIS2_PLACEMENT_3D('',#547750,#427643,#427644); #364859=AXIS2_PLACEMENT_3D('',#547756,#427648,#427649); #364860=AXIS2_PLACEMENT_3D('',#547762,#427653,#427654); #364861=AXIS2_PLACEMENT_3D('',#547768,#427658,#427659); #364862=AXIS2_PLACEMENT_3D('',#547774,#427663,#427664); #364863=AXIS2_PLACEMENT_3D('',#547776,#427665,#427666); #364864=AXIS2_PLACEMENT_3D('',#547778,#427667,#427668); #364865=AXIS2_PLACEMENT_3D('',#547780,#427670,#427671); #364866=AXIS2_PLACEMENT_3D('',#547786,#427675,#427676); #364867=AXIS2_PLACEMENT_3D('',#547792,#427680,#427681); #364868=AXIS2_PLACEMENT_3D('',#547798,#427685,#427686); #364869=AXIS2_PLACEMENT_3D('',#547800,#427687,#427688); #364870=AXIS2_PLACEMENT_3D('',#547802,#427689,#427690); #364871=AXIS2_PLACEMENT_3D('',#547804,#427692,#427693); #364872=AXIS2_PLACEMENT_3D('',#547806,#427694,#427695); #364873=AXIS2_PLACEMENT_3D('',#547808,#427696,#427697); #364874=AXIS2_PLACEMENT_3D('',#547810,#427699,#427700); #364875=AXIS2_PLACEMENT_3D('',#547816,#427704,#427705); #364876=AXIS2_PLACEMENT_3D('',#547818,#427706,#427707); #364877=AXIS2_PLACEMENT_3D('',#547820,#427708,#427709); #364878=AXIS2_PLACEMENT_3D('',#547822,#427711,#427712); #364879=AXIS2_PLACEMENT_3D('',#547828,#427716,#427717); #364880=AXIS2_PLACEMENT_3D('',#547830,#427718,#427719); #364881=AXIS2_PLACEMENT_3D('',#547832,#427720,#427721); #364882=AXIS2_PLACEMENT_3D('',#547834,#427723,#427724); #364883=AXIS2_PLACEMENT_3D('',#547840,#427728,#427729); #364884=AXIS2_PLACEMENT_3D('',#547842,#427730,#427731); #364885=AXIS2_PLACEMENT_3D('',#547844,#427732,#427733); #364886=AXIS2_PLACEMENT_3D('',#547846,#427735,#427736); #364887=AXIS2_PLACEMENT_3D('',#547852,#427740,#427741); #364888=AXIS2_PLACEMENT_3D('',#547858,#427745,#427746); #364889=AXIS2_PLACEMENT_3D('',#547864,#427750,#427751); #364890=AXIS2_PLACEMENT_3D('',#547870,#427755,#427756); #364891=AXIS2_PLACEMENT_3D('',#547876,#427760,#427761); #364892=AXIS2_PLACEMENT_3D('',#547882,#427765,#427766); #364893=AXIS2_PLACEMENT_3D('',#547888,#427770,#427771); #364894=AXIS2_PLACEMENT_3D('',#547891,#427774,#427775); #364895=AXIS2_PLACEMENT_3D('',#547892,#427776,#427777); #364896=AXIS2_PLACEMENT_3D('',#547893,#427778,#427779); #364897=AXIS2_PLACEMENT_3D('',#547895,#427780,#427781); #364898=AXIS2_PLACEMENT_3D('',#547898,#427783,#427784); #364899=AXIS2_PLACEMENT_3D('',#547899,#427785,#427786); #364900=AXIS2_PLACEMENT_3D('',#547908,#427791,#427792); #364901=AXIS2_PLACEMENT_3D('',#547914,#427796,#427797); #364902=AXIS2_PLACEMENT_3D('',#547916,#427798,#427799); #364903=AXIS2_PLACEMENT_3D('',#547918,#427800,#427801); #364904=AXIS2_PLACEMENT_3D('',#547920,#427803,#427804); #364905=AXIS2_PLACEMENT_3D('',#547926,#427808,#427809); #364906=AXIS2_PLACEMENT_3D('',#547932,#427813,#427814); #364907=AXIS2_PLACEMENT_3D('',#547938,#427818,#427819); #364908=AXIS2_PLACEMENT_3D('',#547944,#427823,#427824); #364909=AXIS2_PLACEMENT_3D('',#547950,#427828,#427829); #364910=AXIS2_PLACEMENT_3D('',#547956,#427833,#427834); #364911=AXIS2_PLACEMENT_3D('',#547962,#427838,#427839); #364912=AXIS2_PLACEMENT_3D('',#547968,#427843,#427844); #364913=AXIS2_PLACEMENT_3D('',#547974,#427848,#427849); #364914=AXIS2_PLACEMENT_3D('',#547980,#427853,#427854); #364915=AXIS2_PLACEMENT_3D('',#547986,#427858,#427859); #364916=AXIS2_PLACEMENT_3D('',#547992,#427863,#427864); #364917=AXIS2_PLACEMENT_3D('',#547998,#427868,#427869); #364918=AXIS2_PLACEMENT_3D('',#548004,#427873,#427874); #364919=AXIS2_PLACEMENT_3D('',#548010,#427878,#427879); #364920=AXIS2_PLACEMENT_3D('',#548016,#427883,#427884); #364921=AXIS2_PLACEMENT_3D('',#548022,#427888,#427889); #364922=AXIS2_PLACEMENT_3D('',#548028,#427893,#427894); #364923=AXIS2_PLACEMENT_3D('',#548034,#427898,#427899); #364924=AXIS2_PLACEMENT_3D('',#548040,#427903,#427904); #364925=AXIS2_PLACEMENT_3D('',#548042,#427905,#427906); #364926=AXIS2_PLACEMENT_3D('',#548044,#427907,#427908); #364927=AXIS2_PLACEMENT_3D('',#548046,#427910,#427911); #364928=AXIS2_PLACEMENT_3D('',#548052,#427915,#427916); #364929=AXIS2_PLACEMENT_3D('',#548058,#427920,#427921); #364930=AXIS2_PLACEMENT_3D('',#548064,#427925,#427926); #364931=AXIS2_PLACEMENT_3D('',#548066,#427927,#427928); #364932=AXIS2_PLACEMENT_3D('',#548068,#427929,#427930); #364933=AXIS2_PLACEMENT_3D('',#548070,#427932,#427933); #364934=AXIS2_PLACEMENT_3D('',#548076,#427937,#427938); #364935=AXIS2_PLACEMENT_3D('',#548082,#427942,#427943); #364936=AXIS2_PLACEMENT_3D('',#548084,#427944,#427945); #364937=AXIS2_PLACEMENT_3D('',#548086,#427946,#427947); #364938=AXIS2_PLACEMENT_3D('',#548088,#427949,#427950); #364939=AXIS2_PLACEMENT_3D('',#548094,#427954,#427955); #364940=AXIS2_PLACEMENT_3D('',#548096,#427956,#427957); #364941=AXIS2_PLACEMENT_3D('',#548098,#427958,#427959); #364942=AXIS2_PLACEMENT_3D('',#548100,#427961,#427962); #364943=AXIS2_PLACEMENT_3D('',#548102,#427963,#427964); #364944=AXIS2_PLACEMENT_3D('',#548104,#427965,#427966); #364945=AXIS2_PLACEMENT_3D('',#548106,#427968,#427969); #364946=AXIS2_PLACEMENT_3D('',#548112,#427973,#427974); #364947=AXIS2_PLACEMENT_3D('',#548118,#427978,#427979); #364948=AXIS2_PLACEMENT_3D('',#548120,#427980,#427981); #364949=AXIS2_PLACEMENT_3D('',#548122,#427982,#427983); #364950=AXIS2_PLACEMENT_3D('',#548124,#427985,#427986); #364951=AXIS2_PLACEMENT_3D('',#548130,#427990,#427991); #364952=AXIS2_PLACEMENT_3D('',#548136,#427995,#427996); #364953=AXIS2_PLACEMENT_3D('',#548138,#427997,#427998); #364954=AXIS2_PLACEMENT_3D('',#548140,#427999,#428000); #364955=AXIS2_PLACEMENT_3D('',#548142,#428002,#428003); #364956=AXIS2_PLACEMENT_3D('',#548148,#428007,#428008); #364957=AXIS2_PLACEMENT_3D('',#548150,#428009,#428010); #364958=AXIS2_PLACEMENT_3D('',#548152,#428011,#428012); #364959=AXIS2_PLACEMENT_3D('',#548154,#428014,#428015); #364960=AXIS2_PLACEMENT_3D('',#548160,#428019,#428020); #364961=AXIS2_PLACEMENT_3D('',#548166,#428024,#428025); #364962=AXIS2_PLACEMENT_3D('',#548172,#428029,#428030); #364963=AXIS2_PLACEMENT_3D('',#548178,#428034,#428035); #364964=AXIS2_PLACEMENT_3D('',#548184,#428039,#428040); #364965=AXIS2_PLACEMENT_3D('',#548185,#428041,#428042); #364966=AXIS2_PLACEMENT_3D('',#548186,#428043,#428044); #364967=AXIS2_PLACEMENT_3D('',#548187,#428045,#428046); #364968=AXIS2_PLACEMENT_3D('',#548188,#428047,#428048); #364969=AXIS2_PLACEMENT_3D('',#548189,#428049,#428050); #364970=AXIS2_PLACEMENT_3D('',#548191,#428051,#428052); #364971=AXIS2_PLACEMENT_3D('',#548194,#428054,#428055); #364972=AXIS2_PLACEMENT_3D('',#548195,#428056,#428057); #364973=AXIS2_PLACEMENT_3D('',#548197,#428058,#428059); #364974=AXIS2_PLACEMENT_3D('',#548200,#428061,#428062); #364975=AXIS2_PLACEMENT_3D('',#548201,#428063,#428064); #364976=AXIS2_PLACEMENT_3D('',#548203,#428065,#428066); #364977=AXIS2_PLACEMENT_3D('',#548206,#428068,#428069); #364978=AXIS2_PLACEMENT_3D('',#548207,#428070,#428071); #364979=AXIS2_PLACEMENT_3D('',#548209,#428072,#428073); #364980=AXIS2_PLACEMENT_3D('',#548212,#428075,#428076); #364981=AXIS2_PLACEMENT_3D('',#548213,#428077,#428078); #364982=AXIS2_PLACEMENT_3D('',#548215,#428079,#428080); #364983=AXIS2_PLACEMENT_3D('',#548218,#428082,#428083); #364984=AXIS2_PLACEMENT_3D('',#548219,#428084,#428085); #364985=AXIS2_PLACEMENT_3D('',#548221,#428086,#428087); #364986=AXIS2_PLACEMENT_3D('',#548224,#428089,#428090); #364987=AXIS2_PLACEMENT_3D('',#548225,#428091,#428092); #364988=AXIS2_PLACEMENT_3D('',#548227,#428093,#428094); #364989=AXIS2_PLACEMENT_3D('',#548230,#428096,#428097); #364990=AXIS2_PLACEMENT_3D('',#548231,#428098,#428099); #364991=AXIS2_PLACEMENT_3D('',#548233,#428100,#428101); #364992=AXIS2_PLACEMENT_3D('',#548236,#428103,#428104); #364993=AXIS2_PLACEMENT_3D('',#548237,#428105,#428106); #364994=AXIS2_PLACEMENT_3D('',#548239,#428107,#428108); #364995=AXIS2_PLACEMENT_3D('',#548242,#428110,#428111); #364996=AXIS2_PLACEMENT_3D('',#548243,#428112,#428113); #364997=AXIS2_PLACEMENT_3D('',#548245,#428114,#428115); #364998=AXIS2_PLACEMENT_3D('',#548248,#428117,#428118); #364999=AXIS2_PLACEMENT_3D('',#548249,#428119,#428120); #365000=AXIS2_PLACEMENT_3D('',#548251,#428121,#428122); #365001=AXIS2_PLACEMENT_3D('',#548254,#428124,#428125); #365002=AXIS2_PLACEMENT_3D('',#548255,#428126,#428127); #365003=AXIS2_PLACEMENT_3D('',#548257,#428128,#428129); #365004=AXIS2_PLACEMENT_3D('',#548260,#428131,#428132); #365005=AXIS2_PLACEMENT_3D('',#548261,#428133,#428134); #365006=AXIS2_PLACEMENT_3D('',#548270,#428139,#428140); #365007=AXIS2_PLACEMENT_3D('',#548276,#428144,#428145); #365008=AXIS2_PLACEMENT_3D('',#548282,#428149,#428150); #365009=AXIS2_PLACEMENT_3D('',#548288,#428154,#428155); #365010=AXIS2_PLACEMENT_3D('',#548294,#428159,#428160); #365011=AXIS2_PLACEMENT_3D('',#548300,#428164,#428165); #365012=AXIS2_PLACEMENT_3D('',#548306,#428169,#428170); #365013=AXIS2_PLACEMENT_3D('',#548312,#428174,#428175); #365014=AXIS2_PLACEMENT_3D('',#548318,#428179,#428180); #365015=AXIS2_PLACEMENT_3D('',#548324,#428184,#428185); #365016=AXIS2_PLACEMENT_3D('',#548330,#428189,#428190); #365017=AXIS2_PLACEMENT_3D('',#548336,#428194,#428195); #365018=AXIS2_PLACEMENT_3D('',#548342,#428199,#428200); #365019=AXIS2_PLACEMENT_3D('',#548348,#428204,#428205); #365020=AXIS2_PLACEMENT_3D('',#548354,#428209,#428210); #365021=AXIS2_PLACEMENT_3D('',#548360,#428214,#428215); #365022=AXIS2_PLACEMENT_3D('',#548366,#428219,#428220); #365023=AXIS2_PLACEMENT_3D('',#548372,#428224,#428225); #365024=AXIS2_PLACEMENT_3D('',#548378,#428229,#428230); #365025=AXIS2_PLACEMENT_3D('',#548384,#428234,#428235); #365026=AXIS2_PLACEMENT_3D('',#548390,#428239,#428240); #365027=AXIS2_PLACEMENT_3D('',#548396,#428244,#428245); #365028=AXIS2_PLACEMENT_3D('',#548402,#428249,#428250); #365029=AXIS2_PLACEMENT_3D('',#548408,#428254,#428255); #365030=AXIS2_PLACEMENT_3D('',#548414,#428259,#428260); #365031=AXIS2_PLACEMENT_3D('',#548420,#428264,#428265); #365032=AXIS2_PLACEMENT_3D('',#548426,#428269,#428270); #365033=AXIS2_PLACEMENT_3D('',#548432,#428274,#428275); #365034=AXIS2_PLACEMENT_3D('',#548438,#428279,#428280); #365035=AXIS2_PLACEMENT_3D('',#548444,#428284,#428285); #365036=AXIS2_PLACEMENT_3D('',#548450,#428289,#428290); #365037=AXIS2_PLACEMENT_3D('',#548456,#428294,#428295); #365038=AXIS2_PLACEMENT_3D('',#548462,#428299,#428300); #365039=AXIS2_PLACEMENT_3D('',#548468,#428304,#428305); #365040=AXIS2_PLACEMENT_3D('',#548474,#428309,#428310); #365041=AXIS2_PLACEMENT_3D('',#548480,#428314,#428315); #365042=AXIS2_PLACEMENT_3D('',#548486,#428319,#428320); #365043=AXIS2_PLACEMENT_3D('',#548492,#428324,#428325); #365044=AXIS2_PLACEMENT_3D('',#548498,#428329,#428330); #365045=AXIS2_PLACEMENT_3D('',#548504,#428334,#428335); #365046=AXIS2_PLACEMENT_3D('',#548510,#428339,#428340); #365047=AXIS2_PLACEMENT_3D('',#548516,#428344,#428345); #365048=AXIS2_PLACEMENT_3D('',#548522,#428349,#428350); #365049=AXIS2_PLACEMENT_3D('',#548528,#428354,#428355); #365050=AXIS2_PLACEMENT_3D('',#548534,#428359,#428360); #365051=AXIS2_PLACEMENT_3D('',#548540,#428364,#428365); #365052=AXIS2_PLACEMENT_3D('',#548546,#428369,#428370); #365053=AXIS2_PLACEMENT_3D('',#548552,#428374,#428375); #365054=AXIS2_PLACEMENT_3D('',#548558,#428379,#428380); #365055=AXIS2_PLACEMENT_3D('',#548564,#428384,#428385); #365056=AXIS2_PLACEMENT_3D('',#548570,#428389,#428390); #365057=AXIS2_PLACEMENT_3D('',#548576,#428394,#428395); #365058=AXIS2_PLACEMENT_3D('',#548582,#428399,#428400); #365059=AXIS2_PLACEMENT_3D('',#548588,#428404,#428405); #365060=AXIS2_PLACEMENT_3D('',#548594,#428409,#428410); #365061=AXIS2_PLACEMENT_3D('',#548600,#428414,#428415); #365062=AXIS2_PLACEMENT_3D('',#548606,#428419,#428420); #365063=AXIS2_PLACEMENT_3D('',#548612,#428424,#428425); #365064=AXIS2_PLACEMENT_3D('',#548618,#428429,#428430); #365065=AXIS2_PLACEMENT_3D('',#548624,#428434,#428435); #365066=AXIS2_PLACEMENT_3D('',#548630,#428439,#428440); #365067=AXIS2_PLACEMENT_3D('',#548636,#428444,#428445); #365068=AXIS2_PLACEMENT_3D('',#548642,#428449,#428450); #365069=AXIS2_PLACEMENT_3D('',#548648,#428454,#428455); #365070=AXIS2_PLACEMENT_3D('',#548654,#428459,#428460); #365071=AXIS2_PLACEMENT_3D('',#548660,#428464,#428465); #365072=AXIS2_PLACEMENT_3D('',#548666,#428469,#428470); #365073=AXIS2_PLACEMENT_3D('',#548672,#428474,#428475); #365074=AXIS2_PLACEMENT_3D('',#548678,#428479,#428480); #365075=AXIS2_PLACEMENT_3D('',#548684,#428484,#428485); #365076=AXIS2_PLACEMENT_3D('',#548690,#428489,#428490); #365077=AXIS2_PLACEMENT_3D('',#548696,#428494,#428495); #365078=AXIS2_PLACEMENT_3D('',#548702,#428499,#428500); #365079=AXIS2_PLACEMENT_3D('',#548708,#428504,#428505); #365080=AXIS2_PLACEMENT_3D('',#548714,#428509,#428510); #365081=AXIS2_PLACEMENT_3D('',#548720,#428514,#428515); #365082=AXIS2_PLACEMENT_3D('',#548726,#428519,#428520); #365083=AXIS2_PLACEMENT_3D('',#548732,#428524,#428525); #365084=AXIS2_PLACEMENT_3D('',#548738,#428529,#428530); #365085=AXIS2_PLACEMENT_3D('',#548744,#428534,#428535); #365086=AXIS2_PLACEMENT_3D('',#548750,#428539,#428540); #365087=AXIS2_PLACEMENT_3D('',#548756,#428544,#428545); #365088=AXIS2_PLACEMENT_3D('',#548762,#428549,#428550); #365089=AXIS2_PLACEMENT_3D('',#548768,#428554,#428555); #365090=AXIS2_PLACEMENT_3D('',#548774,#428559,#428560); #365091=AXIS2_PLACEMENT_3D('',#548780,#428564,#428565); #365092=AXIS2_PLACEMENT_3D('',#548786,#428569,#428570); #365093=AXIS2_PLACEMENT_3D('',#548792,#428574,#428575); #365094=AXIS2_PLACEMENT_3D('',#548798,#428579,#428580); #365095=AXIS2_PLACEMENT_3D('',#548804,#428584,#428585); #365096=AXIS2_PLACEMENT_3D('',#548810,#428589,#428590); #365097=AXIS2_PLACEMENT_3D('',#548816,#428594,#428595); #365098=AXIS2_PLACEMENT_3D('',#548822,#428599,#428600); #365099=AXIS2_PLACEMENT_3D('',#548828,#428604,#428605); #365100=AXIS2_PLACEMENT_3D('',#548834,#428609,#428610); #365101=AXIS2_PLACEMENT_3D('',#548840,#428614,#428615); #365102=AXIS2_PLACEMENT_3D('',#548843,#428618,#428619); #365103=AXIS2_PLACEMENT_3D('',#548844,#428620,#428621); #365104=AXIS2_PLACEMENT_3D('',#548845,#428622,#428623); #365105=AXIS2_PLACEMENT_3D('',#548854,#428628,#428629); #365106=AXIS2_PLACEMENT_3D('',#548860,#428633,#428634); #365107=AXIS2_PLACEMENT_3D('',#548866,#428638,#428639); #365108=AXIS2_PLACEMENT_3D('',#548872,#428643,#428644); #365109=AXIS2_PLACEMENT_3D('',#548878,#428648,#428649); #365110=AXIS2_PLACEMENT_3D('',#548884,#428653,#428654); #365111=AXIS2_PLACEMENT_3D('',#548890,#428658,#428659); #365112=AXIS2_PLACEMENT_3D('',#548896,#428663,#428664); #365113=AXIS2_PLACEMENT_3D('',#548902,#428668,#428669); #365114=AXIS2_PLACEMENT_3D('',#548908,#428673,#428674); #365115=AXIS2_PLACEMENT_3D('',#548914,#428678,#428679); #365116=AXIS2_PLACEMENT_3D('',#548920,#428683,#428684); #365117=AXIS2_PLACEMENT_3D('',#548926,#428688,#428689); #365118=AXIS2_PLACEMENT_3D('',#548932,#428693,#428694); #365119=AXIS2_PLACEMENT_3D('',#548938,#428698,#428699); #365120=AXIS2_PLACEMENT_3D('',#548944,#428703,#428704); #365121=AXIS2_PLACEMENT_3D('',#548950,#428708,#428709); #365122=AXIS2_PLACEMENT_3D('',#548956,#428713,#428714); #365123=AXIS2_PLACEMENT_3D('',#548962,#428718,#428719); #365124=AXIS2_PLACEMENT_3D('',#548968,#428723,#428724); #365125=AXIS2_PLACEMENT_3D('',#548971,#428727,#428728); #365126=AXIS2_PLACEMENT_3D('',#548972,#428729,#428730); #365127=AXIS2_PLACEMENT_3D('',#548973,#428731,#428732); #365128=AXIS2_PLACEMENT_3D('',#548982,#428737,#428738); #365129=AXIS2_PLACEMENT_3D('',#548988,#428742,#428743); #365130=AXIS2_PLACEMENT_3D('',#548994,#428747,#428748); #365131=AXIS2_PLACEMENT_3D('',#549000,#428752,#428753); #365132=AXIS2_PLACEMENT_3D('',#549006,#428757,#428758); #365133=AXIS2_PLACEMENT_3D('',#549012,#428762,#428763); #365134=AXIS2_PLACEMENT_3D('',#549018,#428767,#428768); #365135=AXIS2_PLACEMENT_3D('',#549024,#428772,#428773); #365136=AXIS2_PLACEMENT_3D('',#549030,#428777,#428778); #365137=AXIS2_PLACEMENT_3D('',#549036,#428782,#428783); #365138=AXIS2_PLACEMENT_3D('',#549042,#428787,#428788); #365139=AXIS2_PLACEMENT_3D('',#549048,#428792,#428793); #365140=AXIS2_PLACEMENT_3D('',#549054,#428797,#428798); #365141=AXIS2_PLACEMENT_3D('',#549060,#428802,#428803); #365142=AXIS2_PLACEMENT_3D('',#549066,#428807,#428808); #365143=AXIS2_PLACEMENT_3D('',#549072,#428812,#428813); #365144=AXIS2_PLACEMENT_3D('',#549078,#428817,#428818); #365145=AXIS2_PLACEMENT_3D('',#549084,#428822,#428823); #365146=AXIS2_PLACEMENT_3D('',#549090,#428827,#428828); #365147=AXIS2_PLACEMENT_3D('',#549093,#428831,#428832); #365148=AXIS2_PLACEMENT_3D('',#549094,#428833,#428834); #365149=AXIS2_PLACEMENT_3D('',#549095,#428835,#428836); #365150=AXIS2_PLACEMENT_3D('',#549104,#428841,#428842); #365151=AXIS2_PLACEMENT_3D('',#549110,#428846,#428847); #365152=AXIS2_PLACEMENT_3D('',#549116,#428851,#428852); #365153=AXIS2_PLACEMENT_3D('',#549122,#428856,#428857); #365154=AXIS2_PLACEMENT_3D('',#549128,#428861,#428862); #365155=AXIS2_PLACEMENT_3D('',#549134,#428866,#428867); #365156=AXIS2_PLACEMENT_3D('',#549140,#428871,#428872); #365157=AXIS2_PLACEMENT_3D('',#549146,#428876,#428877); #365158=AXIS2_PLACEMENT_3D('',#549152,#428881,#428882); #365159=AXIS2_PLACEMENT_3D('',#549158,#428886,#428887); #365160=AXIS2_PLACEMENT_3D('',#549164,#428891,#428892); #365161=AXIS2_PLACEMENT_3D('',#549170,#428896,#428897); #365162=AXIS2_PLACEMENT_3D('',#549176,#428901,#428902); #365163=AXIS2_PLACEMENT_3D('',#549182,#428906,#428907); #365164=AXIS2_PLACEMENT_3D('',#549188,#428911,#428912); #365165=AXIS2_PLACEMENT_3D('',#549194,#428916,#428917); #365166=AXIS2_PLACEMENT_3D('',#549200,#428921,#428922); #365167=AXIS2_PLACEMENT_3D('',#549206,#428926,#428927); #365168=AXIS2_PLACEMENT_3D('',#549212,#428931,#428932); #365169=AXIS2_PLACEMENT_3D('',#549218,#428936,#428937); #365170=AXIS2_PLACEMENT_3D('',#549224,#428941,#428942); #365171=AXIS2_PLACEMENT_3D('',#549230,#428946,#428947); #365172=AXIS2_PLACEMENT_3D('',#549236,#428951,#428952); #365173=AXIS2_PLACEMENT_3D('',#549239,#428955,#428956); #365174=AXIS2_PLACEMENT_3D('',#549240,#428957,#428958); #365175=AXIS2_PLACEMENT_3D('',#549241,#428959,#428960); #365176=AXIS2_PLACEMENT_3D('',#549250,#428965,#428966); #365177=AXIS2_PLACEMENT_3D('',#549256,#428970,#428971); #365178=AXIS2_PLACEMENT_3D('',#549262,#428975,#428976); #365179=AXIS2_PLACEMENT_3D('',#549268,#428980,#428981); #365180=AXIS2_PLACEMENT_3D('',#549274,#428985,#428986); #365181=AXIS2_PLACEMENT_3D('',#549280,#428990,#428991); #365182=AXIS2_PLACEMENT_3D('',#549286,#428995,#428996); #365183=AXIS2_PLACEMENT_3D('',#549292,#429000,#429001); #365184=AXIS2_PLACEMENT_3D('',#549298,#429005,#429006); #365185=AXIS2_PLACEMENT_3D('',#549304,#429010,#429011); #365186=AXIS2_PLACEMENT_3D('',#549310,#429015,#429016); #365187=AXIS2_PLACEMENT_3D('',#549316,#429020,#429021); #365188=AXIS2_PLACEMENT_3D('',#549322,#429025,#429026); #365189=AXIS2_PLACEMENT_3D('',#549328,#429030,#429031); #365190=AXIS2_PLACEMENT_3D('',#549334,#429035,#429036); #365191=AXIS2_PLACEMENT_3D('',#549340,#429040,#429041); #365192=AXIS2_PLACEMENT_3D('',#549346,#429045,#429046); #365193=AXIS2_PLACEMENT_3D('',#549352,#429050,#429051); #365194=AXIS2_PLACEMENT_3D('',#549358,#429055,#429056); #365195=AXIS2_PLACEMENT_3D('',#549364,#429060,#429061); #365196=AXIS2_PLACEMENT_3D('',#549367,#429064,#429065); #365197=AXIS2_PLACEMENT_3D('',#549368,#429066,#429067); #365198=AXIS2_PLACEMENT_3D('',#549369,#429068,#429069); #365199=AXIS2_PLACEMENT_3D('',#549378,#429074,#429075); #365200=AXIS2_PLACEMENT_3D('',#549384,#429079,#429080); #365201=AXIS2_PLACEMENT_3D('',#549390,#429084,#429085); #365202=AXIS2_PLACEMENT_3D('',#549396,#429089,#429090); #365203=AXIS2_PLACEMENT_3D('',#549402,#429094,#429095); #365204=AXIS2_PLACEMENT_3D('',#549408,#429099,#429100); #365205=AXIS2_PLACEMENT_3D('',#549414,#429104,#429105); #365206=AXIS2_PLACEMENT_3D('',#549420,#429109,#429110); #365207=AXIS2_PLACEMENT_3D('',#549426,#429114,#429115); #365208=AXIS2_PLACEMENT_3D('',#549432,#429119,#429120); #365209=AXIS2_PLACEMENT_3D('',#549438,#429124,#429125); #365210=AXIS2_PLACEMENT_3D('',#549444,#429129,#429130); #365211=AXIS2_PLACEMENT_3D('',#549450,#429134,#429135); #365212=AXIS2_PLACEMENT_3D('',#549456,#429139,#429140); #365213=AXIS2_PLACEMENT_3D('',#549462,#429144,#429145); #365214=AXIS2_PLACEMENT_3D('',#549468,#429149,#429150); #365215=AXIS2_PLACEMENT_3D('',#549474,#429154,#429155); #365216=AXIS2_PLACEMENT_3D('',#549480,#429159,#429160); #365217=AXIS2_PLACEMENT_3D('',#549486,#429164,#429165); #365218=AXIS2_PLACEMENT_3D('',#549492,#429169,#429170); #365219=AXIS2_PLACEMENT_3D('',#549498,#429174,#429175); #365220=AXIS2_PLACEMENT_3D('',#549504,#429179,#429180); #365221=AXIS2_PLACEMENT_3D('',#549510,#429184,#429185); #365222=AXIS2_PLACEMENT_3D('',#549516,#429189,#429190); #365223=AXIS2_PLACEMENT_3D('',#549522,#429194,#429195); #365224=AXIS2_PLACEMENT_3D('',#549528,#429199,#429200); #365225=AXIS2_PLACEMENT_3D('',#549534,#429204,#429205); #365226=AXIS2_PLACEMENT_3D('',#549540,#429209,#429210); #365227=AXIS2_PLACEMENT_3D('',#549546,#429214,#429215); #365228=AXIS2_PLACEMENT_3D('',#549552,#429219,#429220); #365229=AXIS2_PLACEMENT_3D('',#549558,#429224,#429225); #365230=AXIS2_PLACEMENT_3D('',#549564,#429229,#429230); #365231=AXIS2_PLACEMENT_3D('',#549570,#429234,#429235); #365232=AXIS2_PLACEMENT_3D('',#549576,#429239,#429240); #365233=AXIS2_PLACEMENT_3D('',#549579,#429243,#429244); #365234=AXIS2_PLACEMENT_3D('',#549580,#429245,#429246); #365235=AXIS2_PLACEMENT_3D('',#549581,#429247,#429248); #365236=AXIS2_PLACEMENT_3D('',#549590,#429253,#429254); #365237=AXIS2_PLACEMENT_3D('',#549596,#429258,#429259); #365238=AXIS2_PLACEMENT_3D('',#549602,#429263,#429264); #365239=AXIS2_PLACEMENT_3D('',#549608,#429268,#429269); #365240=AXIS2_PLACEMENT_3D('',#549614,#429273,#429274); #365241=AXIS2_PLACEMENT_3D('',#549620,#429278,#429279); #365242=AXIS2_PLACEMENT_3D('',#549626,#429283,#429284); #365243=AXIS2_PLACEMENT_3D('',#549632,#429288,#429289); #365244=AXIS2_PLACEMENT_3D('',#549638,#429293,#429294); #365245=AXIS2_PLACEMENT_3D('',#549644,#429298,#429299); #365246=AXIS2_PLACEMENT_3D('',#549650,#429303,#429304); #365247=AXIS2_PLACEMENT_3D('',#549656,#429308,#429309); #365248=AXIS2_PLACEMENT_3D('',#549662,#429313,#429314); #365249=AXIS2_PLACEMENT_3D('',#549668,#429318,#429319); #365250=AXIS2_PLACEMENT_3D('',#549674,#429323,#429324); #365251=AXIS2_PLACEMENT_3D('',#549680,#429328,#429329); #365252=AXIS2_PLACEMENT_3D('',#549686,#429333,#429334); #365253=AXIS2_PLACEMENT_3D('',#549692,#429338,#429339); #365254=AXIS2_PLACEMENT_3D('',#549698,#429343,#429344); #365255=AXIS2_PLACEMENT_3D('',#549704,#429348,#429349); #365256=AXIS2_PLACEMENT_3D('',#549707,#429352,#429353); #365257=AXIS2_PLACEMENT_3D('',#549708,#429354,#429355); #365258=AXIS2_PLACEMENT_3D('',#549709,#429356,#429357); #365259=AXIS2_PLACEMENT_3D('',#549718,#429362,#429363); #365260=AXIS2_PLACEMENT_3D('',#549724,#429367,#429368); #365261=AXIS2_PLACEMENT_3D('',#549730,#429372,#429373); #365262=AXIS2_PLACEMENT_3D('',#549736,#429377,#429378); #365263=AXIS2_PLACEMENT_3D('',#549742,#429382,#429383); #365264=AXIS2_PLACEMENT_3D('',#549748,#429387,#429388); #365265=AXIS2_PLACEMENT_3D('',#549754,#429392,#429393); #365266=AXIS2_PLACEMENT_3D('',#549760,#429397,#429398); #365267=AXIS2_PLACEMENT_3D('',#549766,#429402,#429403); #365268=AXIS2_PLACEMENT_3D('',#549772,#429407,#429408); #365269=AXIS2_PLACEMENT_3D('',#549778,#429412,#429413); #365270=AXIS2_PLACEMENT_3D('',#549784,#429417,#429418); #365271=AXIS2_PLACEMENT_3D('',#549790,#429422,#429423); #365272=AXIS2_PLACEMENT_3D('',#549796,#429427,#429428); #365273=AXIS2_PLACEMENT_3D('',#549802,#429432,#429433); #365274=AXIS2_PLACEMENT_3D('',#549808,#429437,#429438); #365275=AXIS2_PLACEMENT_3D('',#549814,#429442,#429443); #365276=AXIS2_PLACEMENT_3D('',#549820,#429447,#429448); #365277=AXIS2_PLACEMENT_3D('',#549826,#429452,#429453); #365278=AXIS2_PLACEMENT_3D('',#549832,#429457,#429458); #365279=AXIS2_PLACEMENT_3D('',#549838,#429462,#429463); #365280=AXIS2_PLACEMENT_3D('',#549844,#429467,#429468); #365281=AXIS2_PLACEMENT_3D('',#549850,#429472,#429473); #365282=AXIS2_PLACEMENT_3D('',#549856,#429477,#429478); #365283=AXIS2_PLACEMENT_3D('',#549862,#429482,#429483); #365284=AXIS2_PLACEMENT_3D('',#549868,#429487,#429488); #365285=AXIS2_PLACEMENT_3D('',#549874,#429492,#429493); #365286=AXIS2_PLACEMENT_3D('',#549880,#429497,#429498); #365287=AXIS2_PLACEMENT_3D('',#549886,#429502,#429503); #365288=AXIS2_PLACEMENT_3D('',#549892,#429507,#429508); #365289=AXIS2_PLACEMENT_3D('',#549895,#429511,#429512); #365290=AXIS2_PLACEMENT_3D('',#549896,#429513,#429514); #365291=AXIS2_PLACEMENT_3D('',#549897,#429515,#429516); #365292=AXIS2_PLACEMENT_3D('',#549906,#429521,#429522); #365293=AXIS2_PLACEMENT_3D('',#549912,#429526,#429527); #365294=AXIS2_PLACEMENT_3D('',#549918,#429531,#429532); #365295=AXIS2_PLACEMENT_3D('',#549924,#429536,#429537); #365296=AXIS2_PLACEMENT_3D('',#549930,#429541,#429542); #365297=AXIS2_PLACEMENT_3D('',#549936,#429546,#429547); #365298=AXIS2_PLACEMENT_3D('',#549942,#429551,#429552); #365299=AXIS2_PLACEMENT_3D('',#549948,#429556,#429557); #365300=AXIS2_PLACEMENT_3D('',#549954,#429561,#429562); #365301=AXIS2_PLACEMENT_3D('',#549960,#429566,#429567); #365302=AXIS2_PLACEMENT_3D('',#549966,#429571,#429572); #365303=AXIS2_PLACEMENT_3D('',#549972,#429576,#429577); #365304=AXIS2_PLACEMENT_3D('',#549978,#429581,#429582); #365305=AXIS2_PLACEMENT_3D('',#549984,#429586,#429587); #365306=AXIS2_PLACEMENT_3D('',#549990,#429591,#429592); #365307=AXIS2_PLACEMENT_3D('',#549996,#429596,#429597); #365308=AXIS2_PLACEMENT_3D('',#550002,#429601,#429602); #365309=AXIS2_PLACEMENT_3D('',#550008,#429606,#429607); #365310=AXIS2_PLACEMENT_3D('',#550014,#429611,#429612); #365311=AXIS2_PLACEMENT_3D('',#550020,#429616,#429617); #365312=AXIS2_PLACEMENT_3D('',#550026,#429621,#429622); #365313=AXIS2_PLACEMENT_3D('',#550029,#429625,#429626); #365314=AXIS2_PLACEMENT_3D('',#550030,#429627,#429628); #365315=AXIS2_PLACEMENT_3D('',#550031,#429629,#429630); #365316=AXIS2_PLACEMENT_3D('',#550040,#429635,#429636); #365317=AXIS2_PLACEMENT_3D('',#550046,#429640,#429641); #365318=AXIS2_PLACEMENT_3D('',#550052,#429645,#429646); #365319=AXIS2_PLACEMENT_3D('',#550058,#429650,#429651); #365320=AXIS2_PLACEMENT_3D('',#550064,#429655,#429656); #365321=AXIS2_PLACEMENT_3D('',#550070,#429660,#429661); #365322=AXIS2_PLACEMENT_3D('',#550076,#429665,#429666); #365323=AXIS2_PLACEMENT_3D('',#550082,#429670,#429671); #365324=AXIS2_PLACEMENT_3D('',#550088,#429675,#429676); #365325=AXIS2_PLACEMENT_3D('',#550094,#429680,#429681); #365326=AXIS2_PLACEMENT_3D('',#550100,#429685,#429686); #365327=AXIS2_PLACEMENT_3D('',#550106,#429690,#429691); #365328=AXIS2_PLACEMENT_3D('',#550112,#429695,#429696); #365329=AXIS2_PLACEMENT_3D('',#550118,#429700,#429701); #365330=AXIS2_PLACEMENT_3D('',#550124,#429705,#429706); #365331=AXIS2_PLACEMENT_3D('',#550130,#429710,#429711); #365332=AXIS2_PLACEMENT_3D('',#550136,#429715,#429716); #365333=AXIS2_PLACEMENT_3D('',#550142,#429720,#429721); #365334=AXIS2_PLACEMENT_3D('',#550148,#429725,#429726); #365335=AXIS2_PLACEMENT_3D('',#550151,#429729,#429730); #365336=AXIS2_PLACEMENT_3D('',#550152,#429731,#429732); #365337=AXIS2_PLACEMENT_3D('',#550153,#429733,#429734); #365338=AXIS2_PLACEMENT_3D('',#550155,#429735,#429736); #365339=AXIS2_PLACEMENT_3D('',#550158,#429738,#429739); #365340=AXIS2_PLACEMENT_3D('',#550159,#429740,#429741); #365341=AXIS2_PLACEMENT_3D('',#550161,#429742,#429743); #365342=AXIS2_PLACEMENT_3D('',#550164,#429745,#429746); #365343=AXIS2_PLACEMENT_3D('',#550165,#429747,#429748); #365344=AXIS2_PLACEMENT_3D('',#550167,#429749,#429750); #365345=AXIS2_PLACEMENT_3D('',#550170,#429752,#429753); #365346=AXIS2_PLACEMENT_3D('',#550171,#429754,#429755); #365347=AXIS2_PLACEMENT_3D('',#550173,#429756,#429757); #365348=AXIS2_PLACEMENT_3D('',#550176,#429759,#429760); #365349=AXIS2_PLACEMENT_3D('',#550177,#429761,#429762); #365350=AXIS2_PLACEMENT_3D('',#550179,#429763,#429764); #365351=AXIS2_PLACEMENT_3D('',#550182,#429766,#429767); #365352=AXIS2_PLACEMENT_3D('',#550183,#429768,#429769); #365353=AXIS2_PLACEMENT_3D('',#550185,#429770,#429771); #365354=AXIS2_PLACEMENT_3D('',#550188,#429773,#429774); #365355=AXIS2_PLACEMENT_3D('',#550189,#429775,#429776); #365356=AXIS2_PLACEMENT_3D('',#550191,#429777,#429778); #365357=AXIS2_PLACEMENT_3D('',#550194,#429780,#429781); #365358=AXIS2_PLACEMENT_3D('',#550195,#429782,#429783); #365359=AXIS2_PLACEMENT_3D('',#550197,#429784,#429785); #365360=AXIS2_PLACEMENT_3D('',#550200,#429787,#429788); #365361=AXIS2_PLACEMENT_3D('',#550201,#429789,#429790); #365362=AXIS2_PLACEMENT_3D('',#550203,#429791,#429792); #365363=AXIS2_PLACEMENT_3D('',#550206,#429794,#429795); #365364=AXIS2_PLACEMENT_3D('',#550207,#429796,#429797); #365365=AXIS2_PLACEMENT_3D('',#550209,#429798,#429799); #365366=AXIS2_PLACEMENT_3D('',#550212,#429801,#429802); #365367=AXIS2_PLACEMENT_3D('',#550213,#429803,#429804); #365368=AXIS2_PLACEMENT_3D('',#550215,#429805,#429806); #365369=AXIS2_PLACEMENT_3D('',#550218,#429808,#429809); #365370=AXIS2_PLACEMENT_3D('',#550219,#429810,#429811); #365371=AXIS2_PLACEMENT_3D('',#550221,#429812,#429813); #365372=AXIS2_PLACEMENT_3D('',#550224,#429815,#429816); #365373=AXIS2_PLACEMENT_3D('',#550225,#429817,#429818); #365374=AXIS2_PLACEMENT_3D('',#550227,#429819,#429820); #365375=AXIS2_PLACEMENT_3D('',#550230,#429822,#429823); #365376=AXIS2_PLACEMENT_3D('',#550231,#429824,#429825); #365377=AXIS2_PLACEMENT_3D('',#550233,#429826,#429827); #365378=AXIS2_PLACEMENT_3D('',#550236,#429829,#429830); #365379=AXIS2_PLACEMENT_3D('',#550237,#429831,#429832); #365380=AXIS2_PLACEMENT_3D('',#550239,#429833,#429834); #365381=AXIS2_PLACEMENT_3D('',#550242,#429836,#429837); #365382=AXIS2_PLACEMENT_3D('',#550243,#429838,#429839); #365383=AXIS2_PLACEMENT_3D('',#550245,#429840,#429841); #365384=AXIS2_PLACEMENT_3D('',#550248,#429843,#429844); #365385=AXIS2_PLACEMENT_3D('',#550249,#429845,#429846); #365386=AXIS2_PLACEMENT_3D('',#550251,#429847,#429848); #365387=AXIS2_PLACEMENT_3D('',#550254,#429850,#429851); #365388=AXIS2_PLACEMENT_3D('',#550255,#429852,#429853); #365389=AXIS2_PLACEMENT_3D('',#550257,#429854,#429855); #365390=AXIS2_PLACEMENT_3D('',#550260,#429857,#429858); #365391=AXIS2_PLACEMENT_3D('',#550261,#429859,#429860); #365392=AXIS2_PLACEMENT_3D('',#550263,#429861,#429862); #365393=AXIS2_PLACEMENT_3D('',#550266,#429864,#429865); #365394=AXIS2_PLACEMENT_3D('',#550267,#429866,#429867); #365395=AXIS2_PLACEMENT_3D('',#550269,#429868,#429869); #365396=AXIS2_PLACEMENT_3D('',#550272,#429871,#429872); #365397=AXIS2_PLACEMENT_3D('',#550273,#429873,#429874); #365398=AXIS2_PLACEMENT_3D('',#550275,#429875,#429876); #365399=AXIS2_PLACEMENT_3D('',#550278,#429878,#429879); #365400=AXIS2_PLACEMENT_3D('',#550279,#429880,#429881); #365401=AXIS2_PLACEMENT_3D('',#550281,#429882,#429883); #365402=AXIS2_PLACEMENT_3D('',#550284,#429885,#429886); #365403=AXIS2_PLACEMENT_3D('',#550285,#429887,#429888); #365404=AXIS2_PLACEMENT_3D('',#550287,#429889,#429890); #365405=AXIS2_PLACEMENT_3D('',#550290,#429892,#429893); #365406=AXIS2_PLACEMENT_3D('',#550291,#429894,#429895); #365407=AXIS2_PLACEMENT_3D('',#550293,#429896,#429897); #365408=AXIS2_PLACEMENT_3D('',#550296,#429899,#429900); #365409=AXIS2_PLACEMENT_3D('',#550297,#429901,#429902); #365410=AXIS2_PLACEMENT_3D('',#550299,#429903,#429904); #365411=AXIS2_PLACEMENT_3D('',#550302,#429906,#429907); #365412=AXIS2_PLACEMENT_3D('',#550303,#429908,#429909); #365413=AXIS2_PLACEMENT_3D('',#550305,#429910,#429911); #365414=AXIS2_PLACEMENT_3D('',#550308,#429913,#429914); #365415=AXIS2_PLACEMENT_3D('',#550309,#429915,#429916); #365416=AXIS2_PLACEMENT_3D('',#550311,#429917,#429918); #365417=AXIS2_PLACEMENT_3D('',#550314,#429920,#429921); #365418=AXIS2_PLACEMENT_3D('',#550315,#429922,#429923); #365419=AXIS2_PLACEMENT_3D('',#550317,#429924,#429925); #365420=AXIS2_PLACEMENT_3D('',#550320,#429927,#429928); #365421=AXIS2_PLACEMENT_3D('',#550321,#429929,#429930); #365422=AXIS2_PLACEMENT_3D('',#550323,#429931,#429932); #365423=AXIS2_PLACEMENT_3D('',#550326,#429934,#429935); #365424=AXIS2_PLACEMENT_3D('',#550327,#429936,#429937); #365425=AXIS2_PLACEMENT_3D('',#550329,#429938,#429939); #365426=AXIS2_PLACEMENT_3D('',#550332,#429941,#429942); #365427=AXIS2_PLACEMENT_3D('',#550333,#429943,#429944); #365428=AXIS2_PLACEMENT_3D('',#550335,#429945,#429946); #365429=AXIS2_PLACEMENT_3D('',#550338,#429948,#429949); #365430=AXIS2_PLACEMENT_3D('',#550339,#429950,#429951); #365431=AXIS2_PLACEMENT_3D('',#550341,#429952,#429953); #365432=AXIS2_PLACEMENT_3D('',#550344,#429955,#429956); #365433=AXIS2_PLACEMENT_3D('',#550345,#429957,#429958); #365434=AXIS2_PLACEMENT_3D('',#550347,#429959,#429960); #365435=AXIS2_PLACEMENT_3D('',#550350,#429962,#429963); #365436=AXIS2_PLACEMENT_3D('',#550351,#429964,#429965); #365437=AXIS2_PLACEMENT_3D('',#550353,#429966,#429967); #365438=AXIS2_PLACEMENT_3D('',#550356,#429969,#429970); #365439=AXIS2_PLACEMENT_3D('',#550357,#429971,#429972); #365440=AXIS2_PLACEMENT_3D('',#550359,#429973,#429974); #365441=AXIS2_PLACEMENT_3D('',#550362,#429976,#429977); #365442=AXIS2_PLACEMENT_3D('',#550363,#429978,#429979); #365443=AXIS2_PLACEMENT_3D('',#550365,#429980,#429981); #365444=AXIS2_PLACEMENT_3D('',#550368,#429983,#429984); #365445=AXIS2_PLACEMENT_3D('',#550369,#429985,#429986); #365446=AXIS2_PLACEMENT_3D('',#550371,#429987,#429988); #365447=AXIS2_PLACEMENT_3D('',#550374,#429990,#429991); #365448=AXIS2_PLACEMENT_3D('',#550375,#429992,#429993); #365449=AXIS2_PLACEMENT_3D('',#550377,#429994,#429995); #365450=AXIS2_PLACEMENT_3D('',#550380,#429997,#429998); #365451=AXIS2_PLACEMENT_3D('',#550381,#429999,#430000); #365452=AXIS2_PLACEMENT_3D('',#550383,#430001,#430002); #365453=AXIS2_PLACEMENT_3D('',#550386,#430004,#430005); #365454=AXIS2_PLACEMENT_3D('',#550387,#430006,#430007); #365455=AXIS2_PLACEMENT_3D('',#550389,#430008,#430009); #365456=AXIS2_PLACEMENT_3D('',#550392,#430011,#430012); #365457=AXIS2_PLACEMENT_3D('',#550393,#430013,#430014); #365458=AXIS2_PLACEMENT_3D('',#550395,#430015,#430016); #365459=AXIS2_PLACEMENT_3D('',#550398,#430018,#430019); #365460=AXIS2_PLACEMENT_3D('',#550399,#430020,#430021); #365461=AXIS2_PLACEMENT_3D('',#550401,#430022,#430023); #365462=AXIS2_PLACEMENT_3D('',#550404,#430025,#430026); #365463=AXIS2_PLACEMENT_3D('',#550405,#430027,#430028); #365464=AXIS2_PLACEMENT_3D('',#550407,#430029,#430030); #365465=AXIS2_PLACEMENT_3D('',#550410,#430032,#430033); #365466=AXIS2_PLACEMENT_3D('',#550411,#430034,#430035); #365467=AXIS2_PLACEMENT_3D('',#550413,#430036,#430037); #365468=AXIS2_PLACEMENT_3D('',#550416,#430039,#430040); #365469=AXIS2_PLACEMENT_3D('',#550417,#430041,#430042); #365470=AXIS2_PLACEMENT_3D('',#550419,#430043,#430044); #365471=AXIS2_PLACEMENT_3D('',#550422,#430046,#430047); #365472=AXIS2_PLACEMENT_3D('',#550423,#430048,#430049); #365473=AXIS2_PLACEMENT_3D('',#550425,#430050,#430051); #365474=AXIS2_PLACEMENT_3D('',#550428,#430053,#430054); #365475=AXIS2_PLACEMENT_3D('',#550429,#430055,#430056); #365476=AXIS2_PLACEMENT_3D('',#550431,#430057,#430058); #365477=AXIS2_PLACEMENT_3D('',#550434,#430060,#430061); #365478=AXIS2_PLACEMENT_3D('',#550435,#430062,#430063); #365479=AXIS2_PLACEMENT_3D('',#550437,#430064,#430065); #365480=AXIS2_PLACEMENT_3D('',#550440,#430067,#430068); #365481=AXIS2_PLACEMENT_3D('',#550441,#430069,#430070); #365482=AXIS2_PLACEMENT_3D('',#550443,#430071,#430072); #365483=AXIS2_PLACEMENT_3D('',#550446,#430074,#430075); #365484=AXIS2_PLACEMENT_3D('',#550447,#430076,#430077); #365485=AXIS2_PLACEMENT_3D('',#550449,#430078,#430079); #365486=AXIS2_PLACEMENT_3D('',#550452,#430081,#430082); #365487=AXIS2_PLACEMENT_3D('',#550453,#430083,#430084); #365488=AXIS2_PLACEMENT_3D('',#550455,#430085,#430086); #365489=AXIS2_PLACEMENT_3D('',#550458,#430088,#430089); #365490=AXIS2_PLACEMENT_3D('',#550459,#430090,#430091); #365491=AXIS2_PLACEMENT_3D('',#550461,#430092,#430093); #365492=AXIS2_PLACEMENT_3D('',#550464,#430095,#430096); #365493=AXIS2_PLACEMENT_3D('',#550465,#430097,#430098); #365494=AXIS2_PLACEMENT_3D('',#550467,#430099,#430100); #365495=AXIS2_PLACEMENT_3D('',#550470,#430102,#430103); #365496=AXIS2_PLACEMENT_3D('',#550471,#430104,#430105); #365497=AXIS2_PLACEMENT_3D('',#550473,#430106,#430107); #365498=AXIS2_PLACEMENT_3D('',#550476,#430109,#430110); #365499=AXIS2_PLACEMENT_3D('',#550477,#430111,#430112); #365500=AXIS2_PLACEMENT_3D('',#550479,#430113,#430114); #365501=AXIS2_PLACEMENT_3D('',#550482,#430116,#430117); #365502=AXIS2_PLACEMENT_3D('',#550483,#430118,#430119); #365503=AXIS2_PLACEMENT_3D('',#550485,#430120,#430121); #365504=AXIS2_PLACEMENT_3D('',#550488,#430123,#430124); #365505=AXIS2_PLACEMENT_3D('',#550489,#430125,#430126); #365506=AXIS2_PLACEMENT_3D('',#550491,#430127,#430128); #365507=AXIS2_PLACEMENT_3D('',#550494,#430130,#430131); #365508=AXIS2_PLACEMENT_3D('',#550495,#430132,#430133); #365509=AXIS2_PLACEMENT_3D('',#550497,#430134,#430135); #365510=AXIS2_PLACEMENT_3D('',#550500,#430137,#430138); #365511=AXIS2_PLACEMENT_3D('',#550501,#430139,#430140); #365512=AXIS2_PLACEMENT_3D('',#550503,#430141,#430142); #365513=AXIS2_PLACEMENT_3D('',#550506,#430144,#430145); #365514=AXIS2_PLACEMENT_3D('',#550507,#430146,#430147); #365515=AXIS2_PLACEMENT_3D('',#550509,#430148,#430149); #365516=AXIS2_PLACEMENT_3D('',#550512,#430151,#430152); #365517=AXIS2_PLACEMENT_3D('',#550513,#430153,#430154); #365518=AXIS2_PLACEMENT_3D('',#550515,#430155,#430156); #365519=AXIS2_PLACEMENT_3D('',#550518,#430158,#430159); #365520=AXIS2_PLACEMENT_3D('',#550519,#430160,#430161); #365521=AXIS2_PLACEMENT_3D('',#550521,#430162,#430163); #365522=AXIS2_PLACEMENT_3D('',#550524,#430165,#430166); #365523=AXIS2_PLACEMENT_3D('',#550525,#430167,#430168); #365524=AXIS2_PLACEMENT_3D('',#550527,#430169,#430170); #365525=AXIS2_PLACEMENT_3D('',#550530,#430172,#430173); #365526=AXIS2_PLACEMENT_3D('',#550531,#430174,#430175); #365527=AXIS2_PLACEMENT_3D('',#550533,#430176,#430177); #365528=AXIS2_PLACEMENT_3D('',#550536,#430179,#430180); #365529=AXIS2_PLACEMENT_3D('',#550537,#430181,#430182); #365530=AXIS2_PLACEMENT_3D('',#550546,#430187,#430188); #365531=AXIS2_PLACEMENT_3D('',#550548,#430189,#430190); #365532=AXIS2_PLACEMENT_3D('',#550550,#430191,#430192); #365533=AXIS2_PLACEMENT_3D('',#550552,#430194,#430195); #365534=AXIS2_PLACEMENT_3D('',#550558,#430199,#430200); #365535=AXIS2_PLACEMENT_3D('',#550559,#430201,#430202); #365536=AXIS2_PLACEMENT_3D('',#550560,#430203,#430204); #365537=AXIS2_PLACEMENT_3D('',#550561,#430205,#430206); #365538=AXIS2_PLACEMENT_3D('',#550570,#430211,#430212); #365539=AXIS2_PLACEMENT_3D('',#550572,#430213,#430214); #365540=AXIS2_PLACEMENT_3D('',#550574,#430215,#430216); #365541=AXIS2_PLACEMENT_3D('',#550576,#430218,#430219); #365542=AXIS2_PLACEMENT_3D('',#550582,#430223,#430224); #365543=AXIS2_PLACEMENT_3D('',#550583,#430225,#430226); #365544=AXIS2_PLACEMENT_3D('',#550584,#430227,#430228); #365545=AXIS2_PLACEMENT_3D('',#550585,#430229,#430230); #365546=AXIS2_PLACEMENT_3D('',#550587,#430231,#430232); #365547=AXIS2_PLACEMENT_3D('',#550590,#430234,#430235); #365548=AXIS2_PLACEMENT_3D('',#550591,#430236,#430237); #365549=AXIS2_PLACEMENT_3D('',#550593,#430238,#430239); #365550=AXIS2_PLACEMENT_3D('',#550596,#430241,#430242); #365551=AXIS2_PLACEMENT_3D('',#550597,#430243,#430244); #365552=AXIS2_PLACEMENT_3D('',#550606,#430249,#430250); #365553=AXIS2_PLACEMENT_3D('',#550608,#430251,#430252); #365554=AXIS2_PLACEMENT_3D('',#550610,#430253,#430254); #365555=AXIS2_PLACEMENT_3D('',#550612,#430256,#430257); #365556=AXIS2_PLACEMENT_3D('',#550618,#430261,#430262); #365557=AXIS2_PLACEMENT_3D('',#550619,#430263,#430264); #365558=AXIS2_PLACEMENT_3D('',#550620,#430265,#430266); #365559=AXIS2_PLACEMENT_3D('',#550621,#430267,#430268); #365560=AXIS2_PLACEMENT_3D('',#550623,#430269,#430270); #365561=AXIS2_PLACEMENT_3D('',#550626,#430272,#430273); #365562=AXIS2_PLACEMENT_3D('',#550627,#430274,#430275); #365563=AXIS2_PLACEMENT_3D('',#550629,#430276,#430277); #365564=AXIS2_PLACEMENT_3D('',#550632,#430279,#430280); #365565=AXIS2_PLACEMENT_3D('',#550633,#430281,#430282); #365566=AXIS2_PLACEMENT_3D('',#550635,#430283,#430284); #365567=AXIS2_PLACEMENT_3D('',#550638,#430286,#430287); #365568=AXIS2_PLACEMENT_3D('',#550639,#430288,#430289); #365569=AXIS2_PLACEMENT_3D('',#550641,#430290,#430291); #365570=AXIS2_PLACEMENT_3D('',#550644,#430293,#430294); #365571=AXIS2_PLACEMENT_3D('',#550645,#430295,#430296); #365572=AXIS2_PLACEMENT_3D('',#550647,#430297,#430298); #365573=AXIS2_PLACEMENT_3D('',#550650,#430300,#430301); #365574=AXIS2_PLACEMENT_3D('',#550651,#430302,#430303); #365575=AXIS2_PLACEMENT_3D('',#550660,#430308,#430309); #365576=AXIS2_PLACEMENT_3D('',#550662,#430310,#430311); #365577=AXIS2_PLACEMENT_3D('',#550664,#430312,#430313); #365578=AXIS2_PLACEMENT_3D('',#550666,#430315,#430316); #365579=AXIS2_PLACEMENT_3D('',#550672,#430320,#430321); #365580=AXIS2_PLACEMENT_3D('',#550673,#430322,#430323); #365581=AXIS2_PLACEMENT_3D('',#550674,#430324,#430325); #365582=AXIS2_PLACEMENT_3D('',#550675,#430326,#430327); #365583=AXIS2_PLACEMENT_3D('',#550677,#430328,#430329); #365584=AXIS2_PLACEMENT_3D('',#550680,#430331,#430332); #365585=AXIS2_PLACEMENT_3D('',#550681,#430333,#430334); #365586=AXIS2_PLACEMENT_3D('',#550690,#430339,#430340); #365587=AXIS2_PLACEMENT_3D('',#550692,#430341,#430342); #365588=AXIS2_PLACEMENT_3D('',#550694,#430343,#430344); #365589=AXIS2_PLACEMENT_3D('',#550696,#430346,#430347); #365590=AXIS2_PLACEMENT_3D('',#550702,#430351,#430352); #365591=AXIS2_PLACEMENT_3D('',#550703,#430353,#430354); #365592=AXIS2_PLACEMENT_3D('',#550704,#430355,#430356); #365593=AXIS2_PLACEMENT_3D('',#550705,#430357,#430358); #365594=AXIS2_PLACEMENT_3D('',#550714,#430363,#430364); #365595=AXIS2_PLACEMENT_3D('',#550716,#430365,#430366); #365596=AXIS2_PLACEMENT_3D('',#550718,#430367,#430368); #365597=AXIS2_PLACEMENT_3D('',#550720,#430370,#430371); #365598=AXIS2_PLACEMENT_3D('',#550726,#430375,#430376); #365599=AXIS2_PLACEMENT_3D('',#550727,#430377,#430378); #365600=AXIS2_PLACEMENT_3D('',#550728,#430379,#430380); #365601=AXIS2_PLACEMENT_3D('',#550729,#430381,#430382); #365602=AXIS2_PLACEMENT_3D('',#550731,#430383,#430384); #365603=AXIS2_PLACEMENT_3D('',#550734,#430386,#430387); #365604=AXIS2_PLACEMENT_3D('',#550735,#430388,#430389); #365605=AXIS2_PLACEMENT_3D('',#550737,#430390,#430391); #365606=AXIS2_PLACEMENT_3D('',#550740,#430393,#430394); #365607=AXIS2_PLACEMENT_3D('',#550741,#430395,#430396); #365608=AXIS2_PLACEMENT_3D('',#550743,#430397,#430398); #365609=AXIS2_PLACEMENT_3D('',#550746,#430400,#430401); #365610=AXIS2_PLACEMENT_3D('',#550747,#430402,#430403); #365611=AXIS2_PLACEMENT_3D('',#550749,#430404,#430405); #365612=AXIS2_PLACEMENT_3D('',#550752,#430407,#430408); #365613=AXIS2_PLACEMENT_3D('',#550753,#430409,#430410); #365614=AXIS2_PLACEMENT_3D('',#550755,#430411,#430412); #365615=AXIS2_PLACEMENT_3D('',#550758,#430414,#430415); #365616=AXIS2_PLACEMENT_3D('',#550759,#430416,#430417); #365617=AXIS2_PLACEMENT_3D('',#550761,#430418,#430419); #365618=AXIS2_PLACEMENT_3D('',#550764,#430421,#430422); #365619=AXIS2_PLACEMENT_3D('',#550765,#430423,#430424); #365620=AXIS2_PLACEMENT_3D('',#550767,#430425,#430426); #365621=AXIS2_PLACEMENT_3D('',#550770,#430428,#430429); #365622=AXIS2_PLACEMENT_3D('',#550771,#430430,#430431); #365623=AXIS2_PLACEMENT_3D('',#550773,#430432,#430433); #365624=AXIS2_PLACEMENT_3D('',#550776,#430435,#430436); #365625=AXIS2_PLACEMENT_3D('',#550777,#430437,#430438); #365626=AXIS2_PLACEMENT_3D('',#550779,#430439,#430440); #365627=AXIS2_PLACEMENT_3D('',#550782,#430442,#430443); #365628=AXIS2_PLACEMENT_3D('',#550783,#430444,#430445); #365629=AXIS2_PLACEMENT_3D('',#550785,#430446,#430447); #365630=AXIS2_PLACEMENT_3D('',#550788,#430449,#430450); #365631=AXIS2_PLACEMENT_3D('',#550789,#430451,#430452); #365632=AXIS2_PLACEMENT_3D('',#550791,#430453,#430454); #365633=AXIS2_PLACEMENT_3D('',#550794,#430456,#430457); #365634=AXIS2_PLACEMENT_3D('',#550795,#430458,#430459); #365635=AXIS2_PLACEMENT_3D('',#550797,#430460,#430461); #365636=AXIS2_PLACEMENT_3D('',#550800,#430463,#430464); #365637=AXIS2_PLACEMENT_3D('',#550801,#430465,#430466); #365638=AXIS2_PLACEMENT_3D('',#550803,#430467,#430468); #365639=AXIS2_PLACEMENT_3D('',#550806,#430470,#430471); #365640=AXIS2_PLACEMENT_3D('',#550807,#430472,#430473); #365641=AXIS2_PLACEMENT_3D('',#550809,#430474,#430475); #365642=AXIS2_PLACEMENT_3D('',#550812,#430477,#430478); #365643=AXIS2_PLACEMENT_3D('',#550813,#430479,#430480); #365644=AXIS2_PLACEMENT_3D('',#550815,#430481,#430482); #365645=AXIS2_PLACEMENT_3D('',#550818,#430484,#430485); #365646=AXIS2_PLACEMENT_3D('',#550819,#430486,#430487); #365647=AXIS2_PLACEMENT_3D('',#550821,#430488,#430489); #365648=AXIS2_PLACEMENT_3D('',#550824,#430491,#430492); #365649=AXIS2_PLACEMENT_3D('',#550825,#430493,#430494); #365650=AXIS2_PLACEMENT_3D('',#550827,#430495,#430496); #365651=AXIS2_PLACEMENT_3D('',#550830,#430498,#430499); #365652=AXIS2_PLACEMENT_3D('',#550831,#430500,#430501); #365653=AXIS2_PLACEMENT_3D('',#550833,#430502,#430503); #365654=AXIS2_PLACEMENT_3D('',#550836,#430505,#430506); #365655=AXIS2_PLACEMENT_3D('',#550837,#430507,#430508); #365656=AXIS2_PLACEMENT_3D('',#550839,#430509,#430510); #365657=AXIS2_PLACEMENT_3D('',#550842,#430512,#430513); #365658=AXIS2_PLACEMENT_3D('',#550843,#430514,#430515); #365659=AXIS2_PLACEMENT_3D('',#550845,#430516,#430517); #365660=AXIS2_PLACEMENT_3D('',#550848,#430519,#430520); #365661=AXIS2_PLACEMENT_3D('',#550849,#430521,#430522); #365662=AXIS2_PLACEMENT_3D('',#550851,#430523,#430524); #365663=AXIS2_PLACEMENT_3D('',#550854,#430526,#430527); #365664=AXIS2_PLACEMENT_3D('',#550855,#430528,#430529); #365665=AXIS2_PLACEMENT_3D('',#550857,#430530,#430531); #365666=AXIS2_PLACEMENT_3D('',#550860,#430533,#430534); #365667=AXIS2_PLACEMENT_3D('',#550861,#430535,#430536); #365668=AXIS2_PLACEMENT_3D('',#550863,#430537,#430538); #365669=AXIS2_PLACEMENT_3D('',#550866,#430540,#430541); #365670=AXIS2_PLACEMENT_3D('',#550867,#430542,#430543); #365671=AXIS2_PLACEMENT_3D('',#550869,#430544,#430545); #365672=AXIS2_PLACEMENT_3D('',#550872,#430547,#430548); #365673=AXIS2_PLACEMENT_3D('',#550873,#430549,#430550); #365674=AXIS2_PLACEMENT_3D('',#550875,#430551,#430552); #365675=AXIS2_PLACEMENT_3D('',#550878,#430554,#430555); #365676=AXIS2_PLACEMENT_3D('',#550879,#430556,#430557); #365677=AXIS2_PLACEMENT_3D('',#550881,#430558,#430559); #365678=AXIS2_PLACEMENT_3D('',#550884,#430561,#430562); #365679=AXIS2_PLACEMENT_3D('',#550885,#430563,#430564); #365680=AXIS2_PLACEMENT_3D('',#550887,#430565,#430566); #365681=AXIS2_PLACEMENT_3D('',#550890,#430568,#430569); #365682=AXIS2_PLACEMENT_3D('',#550891,#430570,#430571); #365683=AXIS2_PLACEMENT_3D('',#550893,#430572,#430573); #365684=AXIS2_PLACEMENT_3D('',#550896,#430575,#430576); #365685=AXIS2_PLACEMENT_3D('',#550897,#430577,#430578); #365686=AXIS2_PLACEMENT_3D('',#550899,#430579,#430580); #365687=AXIS2_PLACEMENT_3D('',#550902,#430582,#430583); #365688=AXIS2_PLACEMENT_3D('',#550903,#430584,#430585); #365689=AXIS2_PLACEMENT_3D('',#550905,#430586,#430587); #365690=AXIS2_PLACEMENT_3D('',#550908,#430589,#430590); #365691=AXIS2_PLACEMENT_3D('',#550909,#430591,#430592); #365692=AXIS2_PLACEMENT_3D('',#550911,#430593,#430594); #365693=AXIS2_PLACEMENT_3D('',#550914,#430596,#430597); #365694=AXIS2_PLACEMENT_3D('',#550915,#430598,#430599); #365695=AXIS2_PLACEMENT_3D('',#550917,#430600,#430601); #365696=AXIS2_PLACEMENT_3D('',#550920,#430603,#430604); #365697=AXIS2_PLACEMENT_3D('',#550921,#430605,#430606); #365698=AXIS2_PLACEMENT_3D('',#550923,#430607,#430608); #365699=AXIS2_PLACEMENT_3D('',#550926,#430610,#430611); #365700=AXIS2_PLACEMENT_3D('',#550927,#430612,#430613); #365701=AXIS2_PLACEMENT_3D('',#550929,#430614,#430615); #365702=AXIS2_PLACEMENT_3D('',#550932,#430617,#430618); #365703=AXIS2_PLACEMENT_3D('',#550933,#430619,#430620); #365704=AXIS2_PLACEMENT_3D('',#550935,#430621,#430622); #365705=AXIS2_PLACEMENT_3D('',#550938,#430624,#430625); #365706=AXIS2_PLACEMENT_3D('',#550939,#430626,#430627); #365707=AXIS2_PLACEMENT_3D('',#550941,#430628,#430629); #365708=AXIS2_PLACEMENT_3D('',#550944,#430631,#430632); #365709=AXIS2_PLACEMENT_3D('',#550945,#430633,#430634); #365710=AXIS2_PLACEMENT_3D('',#550947,#430635,#430636); #365711=AXIS2_PLACEMENT_3D('',#550950,#430638,#430639); #365712=AXIS2_PLACEMENT_3D('',#550951,#430640,#430641); #365713=AXIS2_PLACEMENT_3D('',#550953,#430642,#430643); #365714=AXIS2_PLACEMENT_3D('',#550956,#430645,#430646); #365715=AXIS2_PLACEMENT_3D('',#550957,#430647,#430648); #365716=AXIS2_PLACEMENT_3D('',#550959,#430649,#430650); #365717=AXIS2_PLACEMENT_3D('',#550962,#430652,#430653); #365718=AXIS2_PLACEMENT_3D('',#550963,#430654,#430655); #365719=AXIS2_PLACEMENT_3D('',#550965,#430656,#430657); #365720=AXIS2_PLACEMENT_3D('',#550968,#430659,#430660); #365721=AXIS2_PLACEMENT_3D('',#550969,#430661,#430662); #365722=AXIS2_PLACEMENT_3D('',#550971,#430663,#430664); #365723=AXIS2_PLACEMENT_3D('',#550974,#430666,#430667); #365724=AXIS2_PLACEMENT_3D('',#550975,#430668,#430669); #365725=AXIS2_PLACEMENT_3D('',#550977,#430670,#430671); #365726=AXIS2_PLACEMENT_3D('',#550980,#430673,#430674); #365727=AXIS2_PLACEMENT_3D('',#550981,#430675,#430676); #365728=AXIS2_PLACEMENT_3D('',#550983,#430677,#430678); #365729=AXIS2_PLACEMENT_3D('',#550986,#430680,#430681); #365730=AXIS2_PLACEMENT_3D('',#550987,#430682,#430683); #365731=AXIS2_PLACEMENT_3D('',#550989,#430684,#430685); #365732=AXIS2_PLACEMENT_3D('',#550992,#430687,#430688); #365733=AXIS2_PLACEMENT_3D('',#550993,#430689,#430690); #365734=AXIS2_PLACEMENT_3D('',#550995,#430691,#430692); #365735=AXIS2_PLACEMENT_3D('',#550998,#430694,#430695); #365736=AXIS2_PLACEMENT_3D('',#550999,#430696,#430697); #365737=AXIS2_PLACEMENT_3D('',#551001,#430698,#430699); #365738=AXIS2_PLACEMENT_3D('',#551004,#430701,#430702); #365739=AXIS2_PLACEMENT_3D('',#551005,#430703,#430704); #365740=AXIS2_PLACEMENT_3D('',#551007,#430705,#430706); #365741=AXIS2_PLACEMENT_3D('',#551010,#430708,#430709); #365742=AXIS2_PLACEMENT_3D('',#551011,#430710,#430711); #365743=AXIS2_PLACEMENT_3D('',#551013,#430712,#430713); #365744=AXIS2_PLACEMENT_3D('',#551016,#430715,#430716); #365745=AXIS2_PLACEMENT_3D('',#551017,#430717,#430718); #365746=AXIS2_PLACEMENT_3D('',#551019,#430719,#430720); #365747=AXIS2_PLACEMENT_3D('',#551022,#430722,#430723); #365748=AXIS2_PLACEMENT_3D('',#551023,#430724,#430725); #365749=AXIS2_PLACEMENT_3D('',#551025,#430726,#430727); #365750=AXIS2_PLACEMENT_3D('',#551028,#430729,#430730); #365751=AXIS2_PLACEMENT_3D('',#551029,#430731,#430732); #365752=AXIS2_PLACEMENT_3D('',#551031,#430733,#430734); #365753=AXIS2_PLACEMENT_3D('',#551034,#430736,#430737); #365754=AXIS2_PLACEMENT_3D('',#551035,#430738,#430739); #365755=AXIS2_PLACEMENT_3D('',#551037,#430740,#430741); #365756=AXIS2_PLACEMENT_3D('',#551040,#430743,#430744); #365757=AXIS2_PLACEMENT_3D('',#551041,#430745,#430746); #365758=AXIS2_PLACEMENT_3D('',#551050,#430751,#430752); #365759=AXIS2_PLACEMENT_3D('',#551056,#430756,#430757); #365760=AXIS2_PLACEMENT_3D('',#551062,#430761,#430762); #365761=AXIS2_PLACEMENT_3D('',#551068,#430766,#430767); #365762=AXIS2_PLACEMENT_3D('',#551074,#430771,#430772); #365763=AXIS2_PLACEMENT_3D('',#551080,#430776,#430777); #365764=AXIS2_PLACEMENT_3D('',#551086,#430781,#430782); #365765=AXIS2_PLACEMENT_3D('',#551092,#430786,#430787); #365766=AXIS2_PLACEMENT_3D('',#551098,#430791,#430792); #365767=AXIS2_PLACEMENT_3D('',#551104,#430796,#430797); #365768=AXIS2_PLACEMENT_3D('',#551110,#430801,#430802); #365769=AXIS2_PLACEMENT_3D('',#551116,#430806,#430807); #365770=AXIS2_PLACEMENT_3D('',#551122,#430811,#430812); #365771=AXIS2_PLACEMENT_3D('',#551128,#430816,#430817); #365772=AXIS2_PLACEMENT_3D('',#551134,#430821,#430822); #365773=AXIS2_PLACEMENT_3D('',#551140,#430826,#430827); #365774=AXIS2_PLACEMENT_3D('',#551146,#430831,#430832); #365775=AXIS2_PLACEMENT_3D('',#551152,#430836,#430837); #365776=AXIS2_PLACEMENT_3D('',#551158,#430841,#430842); #365777=AXIS2_PLACEMENT_3D('',#551164,#430846,#430847); #365778=AXIS2_PLACEMENT_3D('',#551170,#430851,#430852); #365779=AXIS2_PLACEMENT_3D('',#551176,#430856,#430857); #365780=AXIS2_PLACEMENT_3D('',#551182,#430861,#430862); #365781=AXIS2_PLACEMENT_3D('',#551188,#430866,#430867); #365782=AXIS2_PLACEMENT_3D('',#551194,#430871,#430872); #365783=AXIS2_PLACEMENT_3D('',#551200,#430876,#430877); #365784=AXIS2_PLACEMENT_3D('',#551206,#430881,#430882); #365785=AXIS2_PLACEMENT_3D('',#551212,#430886,#430887); #365786=AXIS2_PLACEMENT_3D('',#551218,#430891,#430892); #365787=AXIS2_PLACEMENT_3D('',#551224,#430896,#430897); #365788=AXIS2_PLACEMENT_3D('',#551230,#430901,#430902); #365789=AXIS2_PLACEMENT_3D('',#551236,#430906,#430907); #365790=AXIS2_PLACEMENT_3D('',#551242,#430911,#430912); #365791=AXIS2_PLACEMENT_3D('',#551248,#430916,#430917); #365792=AXIS2_PLACEMENT_3D('',#551254,#430921,#430922); #365793=AXIS2_PLACEMENT_3D('',#551260,#430926,#430927); #365794=AXIS2_PLACEMENT_3D('',#551266,#430931,#430932); #365795=AXIS2_PLACEMENT_3D('',#551272,#430936,#430937); #365796=AXIS2_PLACEMENT_3D('',#551278,#430941,#430942); #365797=AXIS2_PLACEMENT_3D('',#551284,#430946,#430947); #365798=AXIS2_PLACEMENT_3D('',#551290,#430951,#430952); #365799=AXIS2_PLACEMENT_3D('',#551296,#430956,#430957); #365800=AXIS2_PLACEMENT_3D('',#551302,#430961,#430962); #365801=AXIS2_PLACEMENT_3D('',#551308,#430966,#430967); #365802=AXIS2_PLACEMENT_3D('',#551314,#430971,#430972); #365803=AXIS2_PLACEMENT_3D('',#551320,#430976,#430977); #365804=AXIS2_PLACEMENT_3D('',#551326,#430981,#430982); #365805=AXIS2_PLACEMENT_3D('',#551332,#430986,#430987); #365806=AXIS2_PLACEMENT_3D('',#551338,#430991,#430992); #365807=AXIS2_PLACEMENT_3D('',#551344,#430996,#430997); #365808=AXIS2_PLACEMENT_3D('',#551350,#431001,#431002); #365809=AXIS2_PLACEMENT_3D('',#551356,#431006,#431007); #365810=AXIS2_PLACEMENT_3D('',#551362,#431011,#431012); #365811=AXIS2_PLACEMENT_3D('',#551368,#431016,#431017); #365812=AXIS2_PLACEMENT_3D('',#551374,#431021,#431022); #365813=AXIS2_PLACEMENT_3D('',#551380,#431026,#431027); #365814=AXIS2_PLACEMENT_3D('',#551386,#431031,#431032); #365815=AXIS2_PLACEMENT_3D('',#551392,#431036,#431037); #365816=AXIS2_PLACEMENT_3D('',#551398,#431041,#431042); #365817=AXIS2_PLACEMENT_3D('',#551404,#431046,#431047); #365818=AXIS2_PLACEMENT_3D('',#551410,#431051,#431052); #365819=AXIS2_PLACEMENT_3D('',#551416,#431056,#431057); #365820=AXIS2_PLACEMENT_3D('',#551422,#431061,#431062); #365821=AXIS2_PLACEMENT_3D('',#551428,#431066,#431067); #365822=AXIS2_PLACEMENT_3D('',#551434,#431071,#431072); #365823=AXIS2_PLACEMENT_3D('',#551440,#431076,#431077); #365824=AXIS2_PLACEMENT_3D('',#551446,#431081,#431082); #365825=AXIS2_PLACEMENT_3D('',#551452,#431086,#431087); #365826=AXIS2_PLACEMENT_3D('',#551458,#431091,#431092); #365827=AXIS2_PLACEMENT_3D('',#551464,#431096,#431097); #365828=AXIS2_PLACEMENT_3D('',#551470,#431101,#431102); #365829=AXIS2_PLACEMENT_3D('',#551476,#431106,#431107); #365830=AXIS2_PLACEMENT_3D('',#551482,#431111,#431112); #365831=AXIS2_PLACEMENT_3D('',#551488,#431116,#431117); #365832=AXIS2_PLACEMENT_3D('',#551494,#431121,#431122); #365833=AXIS2_PLACEMENT_3D('',#551500,#431126,#431127); #365834=AXIS2_PLACEMENT_3D('',#551506,#431131,#431132); #365835=AXIS2_PLACEMENT_3D('',#551512,#431136,#431137); #365836=AXIS2_PLACEMENT_3D('',#551518,#431141,#431142); #365837=AXIS2_PLACEMENT_3D('',#551524,#431146,#431147); #365838=AXIS2_PLACEMENT_3D('',#551530,#431151,#431152); #365839=AXIS2_PLACEMENT_3D('',#551536,#431156,#431157); #365840=AXIS2_PLACEMENT_3D('',#551542,#431161,#431162); #365841=AXIS2_PLACEMENT_3D('',#551548,#431166,#431167); #365842=AXIS2_PLACEMENT_3D('',#551554,#431171,#431172); #365843=AXIS2_PLACEMENT_3D('',#551560,#431176,#431177); #365844=AXIS2_PLACEMENT_3D('',#551566,#431181,#431182); #365845=AXIS2_PLACEMENT_3D('',#551572,#431186,#431187); #365846=AXIS2_PLACEMENT_3D('',#551578,#431191,#431192); #365847=AXIS2_PLACEMENT_3D('',#551584,#431196,#431197); #365848=AXIS2_PLACEMENT_3D('',#551590,#431201,#431202); #365849=AXIS2_PLACEMENT_3D('',#551596,#431206,#431207); #365850=AXIS2_PLACEMENT_3D('',#551602,#431211,#431212); #365851=AXIS2_PLACEMENT_3D('',#551608,#431216,#431217); #365852=AXIS2_PLACEMENT_3D('',#551614,#431221,#431222); #365853=AXIS2_PLACEMENT_3D('',#551620,#431226,#431227); #365854=AXIS2_PLACEMENT_3D('',#551626,#431231,#431232); #365855=AXIS2_PLACEMENT_3D('',#551632,#431236,#431237); #365856=AXIS2_PLACEMENT_3D('',#551638,#431241,#431242); #365857=AXIS2_PLACEMENT_3D('',#551644,#431246,#431247); #365858=AXIS2_PLACEMENT_3D('',#551650,#431251,#431252); #365859=AXIS2_PLACEMENT_3D('',#551656,#431256,#431257); #365860=AXIS2_PLACEMENT_3D('',#551662,#431261,#431262); #365861=AXIS2_PLACEMENT_3D('',#551668,#431266,#431267); #365862=AXIS2_PLACEMENT_3D('',#551674,#431271,#431272); #365863=AXIS2_PLACEMENT_3D('',#551680,#431276,#431277); #365864=AXIS2_PLACEMENT_3D('',#551686,#431281,#431282); #365865=AXIS2_PLACEMENT_3D('',#551692,#431286,#431287); #365866=AXIS2_PLACEMENT_3D('',#551698,#431291,#431292); #365867=AXIS2_PLACEMENT_3D('',#551704,#431296,#431297); #365868=AXIS2_PLACEMENT_3D('',#551710,#431301,#431302); #365869=AXIS2_PLACEMENT_3D('',#551716,#431306,#431307); #365870=AXIS2_PLACEMENT_3D('',#551722,#431311,#431312); #365871=AXIS2_PLACEMENT_3D('',#551728,#431316,#431317); #365872=AXIS2_PLACEMENT_3D('',#551734,#431321,#431322); #365873=AXIS2_PLACEMENT_3D('',#551740,#431326,#431327); #365874=AXIS2_PLACEMENT_3D('',#551746,#431331,#431332); #365875=AXIS2_PLACEMENT_3D('',#551752,#431336,#431337); #365876=AXIS2_PLACEMENT_3D('',#551758,#431341,#431342); #365877=AXIS2_PLACEMENT_3D('',#551764,#431346,#431347); #365878=AXIS2_PLACEMENT_3D('',#551770,#431351,#431352); #365879=AXIS2_PLACEMENT_3D('',#551776,#431356,#431357); #365880=AXIS2_PLACEMENT_3D('',#551782,#431361,#431362); #365881=AXIS2_PLACEMENT_3D('',#551788,#431366,#431367); #365882=AXIS2_PLACEMENT_3D('',#551794,#431371,#431372); #365883=AXIS2_PLACEMENT_3D('',#551800,#431376,#431377); #365884=AXIS2_PLACEMENT_3D('',#551806,#431381,#431382); #365885=AXIS2_PLACEMENT_3D('',#551812,#431386,#431387); #365886=AXIS2_PLACEMENT_3D('',#551818,#431391,#431392); #365887=AXIS2_PLACEMENT_3D('',#551824,#431396,#431397); #365888=AXIS2_PLACEMENT_3D('',#551830,#431401,#431402); #365889=AXIS2_PLACEMENT_3D('',#551833,#431405,#431406); #365890=AXIS2_PLACEMENT_3D('',#551842,#431411,#431412); #365891=AXIS2_PLACEMENT_3D('',#551848,#431416,#431417); #365892=AXIS2_PLACEMENT_3D('',#551854,#431421,#431422); #365893=AXIS2_PLACEMENT_3D('',#551860,#431426,#431427); #365894=AXIS2_PLACEMENT_3D('',#551866,#431431,#431432); #365895=AXIS2_PLACEMENT_3D('',#551872,#431436,#431437); #365896=AXIS2_PLACEMENT_3D('',#551878,#431441,#431442); #365897=AXIS2_PLACEMENT_3D('',#551881,#431445,#431446); #365898=AXIS2_PLACEMENT_3D('',#551890,#431451,#431452); #365899=AXIS2_PLACEMENT_3D('',#551896,#431456,#431457); #365900=AXIS2_PLACEMENT_3D('',#551902,#431461,#431462); #365901=AXIS2_PLACEMENT_3D('',#551908,#431466,#431467); #365902=AXIS2_PLACEMENT_3D('',#551914,#431471,#431472); #365903=AXIS2_PLACEMENT_3D('',#551920,#431476,#431477); #365904=AXIS2_PLACEMENT_3D('',#551926,#431481,#431482); #365905=AXIS2_PLACEMENT_3D('',#551929,#431485,#431486); #365906=AXIS2_PLACEMENT_3D('',#551938,#431491,#431492); #365907=AXIS2_PLACEMENT_3D('',#551944,#431496,#431497); #365908=AXIS2_PLACEMENT_3D('',#551950,#431501,#431502); #365909=AXIS2_PLACEMENT_3D('',#551956,#431506,#431507); #365910=AXIS2_PLACEMENT_3D('',#551962,#431511,#431512); #365911=AXIS2_PLACEMENT_3D('',#551968,#431516,#431517); #365912=AXIS2_PLACEMENT_3D('',#551974,#431521,#431522); #365913=AXIS2_PLACEMENT_3D('',#551977,#431525,#431526); #365914=AXIS2_PLACEMENT_3D('',#551986,#431531,#431532); #365915=AXIS2_PLACEMENT_3D('',#551992,#431536,#431537); #365916=AXIS2_PLACEMENT_3D('',#551998,#431541,#431542); #365917=AXIS2_PLACEMENT_3D('',#552004,#431546,#431547); #365918=AXIS2_PLACEMENT_3D('',#552010,#431551,#431552); #365919=AXIS2_PLACEMENT_3D('',#552016,#431556,#431557); #365920=AXIS2_PLACEMENT_3D('',#552022,#431561,#431562); #365921=AXIS2_PLACEMENT_3D('',#552028,#431566,#431567); #365922=AXIS2_PLACEMENT_3D('',#552034,#431571,#431572); #365923=AXIS2_PLACEMENT_3D('',#552040,#431576,#431577); #365924=AXIS2_PLACEMENT_3D('',#552046,#431581,#431582); #365925=AXIS2_PLACEMENT_3D('',#552052,#431586,#431587); #365926=AXIS2_PLACEMENT_3D('',#552058,#431591,#431592); #365927=AXIS2_PLACEMENT_3D('',#552064,#431596,#431597); #365928=AXIS2_PLACEMENT_3D('',#552070,#431601,#431602); #365929=AXIS2_PLACEMENT_3D('',#552076,#431606,#431607); #365930=AXIS2_PLACEMENT_3D('',#552082,#431611,#431612); #365931=AXIS2_PLACEMENT_3D('',#552088,#431616,#431617); #365932=AXIS2_PLACEMENT_3D('',#552094,#431621,#431622); #365933=AXIS2_PLACEMENT_3D('',#552100,#431626,#431627); #365934=AXIS2_PLACEMENT_3D('',#552106,#431631,#431632); #365935=AXIS2_PLACEMENT_3D('',#552112,#431636,#431637); #365936=AXIS2_PLACEMENT_3D('',#552118,#431641,#431642); #365937=AXIS2_PLACEMENT_3D('',#552124,#431646,#431647); #365938=AXIS2_PLACEMENT_3D('',#552130,#431651,#431652); #365939=AXIS2_PLACEMENT_3D('',#552136,#431656,#431657); #365940=AXIS2_PLACEMENT_3D('',#552142,#431661,#431662); #365941=AXIS2_PLACEMENT_3D('',#552148,#431666,#431667); #365942=AXIS2_PLACEMENT_3D('',#552154,#431671,#431672); #365943=AXIS2_PLACEMENT_3D('',#552160,#431676,#431677); #365944=AXIS2_PLACEMENT_3D('',#552166,#431681,#431682); #365945=AXIS2_PLACEMENT_3D('',#552172,#431686,#431687); #365946=AXIS2_PLACEMENT_3D('',#552178,#431691,#431692); #365947=AXIS2_PLACEMENT_3D('',#552181,#431695,#431696); #365948=AXIS2_PLACEMENT_3D('',#552190,#431701,#431702); #365949=AXIS2_PLACEMENT_3D('',#552196,#431706,#431707); #365950=AXIS2_PLACEMENT_3D('',#552202,#431711,#431712); #365951=AXIS2_PLACEMENT_3D('',#552208,#431716,#431717); #365952=AXIS2_PLACEMENT_3D('',#552214,#431721,#431722); #365953=AXIS2_PLACEMENT_3D('',#552220,#431726,#431727); #365954=AXIS2_PLACEMENT_3D('',#552226,#431731,#431732); #365955=AXIS2_PLACEMENT_3D('',#552232,#431736,#431737); #365956=AXIS2_PLACEMENT_3D('',#552238,#431741,#431742); #365957=AXIS2_PLACEMENT_3D('',#552244,#431746,#431747); #365958=AXIS2_PLACEMENT_3D('',#552250,#431751,#431752); #365959=AXIS2_PLACEMENT_3D('',#552256,#431756,#431757); #365960=AXIS2_PLACEMENT_3D('',#552262,#431761,#431762); #365961=AXIS2_PLACEMENT_3D('',#552268,#431766,#431767); #365962=AXIS2_PLACEMENT_3D('',#552274,#431771,#431772); #365963=AXIS2_PLACEMENT_3D('',#552280,#431776,#431777); #365964=AXIS2_PLACEMENT_3D('',#552286,#431781,#431782); #365965=AXIS2_PLACEMENT_3D('',#552292,#431786,#431787); #365966=AXIS2_PLACEMENT_3D('',#552298,#431791,#431792); #365967=AXIS2_PLACEMENT_3D('',#552304,#431796,#431797); #365968=AXIS2_PLACEMENT_3D('',#552310,#431801,#431802); #365969=AXIS2_PLACEMENT_3D('',#552316,#431806,#431807); #365970=AXIS2_PLACEMENT_3D('',#552322,#431811,#431812); #365971=AXIS2_PLACEMENT_3D('',#552328,#431816,#431817); #365972=AXIS2_PLACEMENT_3D('',#552334,#431821,#431822); #365973=AXIS2_PLACEMENT_3D('',#552340,#431826,#431827); #365974=AXIS2_PLACEMENT_3D('',#552346,#431831,#431832); #365975=AXIS2_PLACEMENT_3D('',#552352,#431836,#431837); #365976=AXIS2_PLACEMENT_3D('',#552358,#431841,#431842); #365977=AXIS2_PLACEMENT_3D('',#552364,#431846,#431847); #365978=AXIS2_PLACEMENT_3D('',#552370,#431851,#431852); #365979=AXIS2_PLACEMENT_3D('',#552376,#431856,#431857); #365980=AXIS2_PLACEMENT_3D('',#552382,#431861,#431862); #365981=AXIS2_PLACEMENT_3D('',#552385,#431865,#431866); #365982=AXIS2_PLACEMENT_3D('',#552394,#431871,#431872); #365983=AXIS2_PLACEMENT_3D('',#552400,#431876,#431877); #365984=AXIS2_PLACEMENT_3D('',#552406,#431881,#431882); #365985=AXIS2_PLACEMENT_3D('',#552412,#431886,#431887); #365986=AXIS2_PLACEMENT_3D('',#552418,#431891,#431892); #365987=AXIS2_PLACEMENT_3D('',#552424,#431896,#431897); #365988=AXIS2_PLACEMENT_3D('',#552430,#431901,#431902); #365989=AXIS2_PLACEMENT_3D('',#552436,#431906,#431907); #365990=AXIS2_PLACEMENT_3D('',#552442,#431911,#431912); #365991=AXIS2_PLACEMENT_3D('',#552448,#431916,#431917); #365992=AXIS2_PLACEMENT_3D('',#552454,#431921,#431922); #365993=AXIS2_PLACEMENT_3D('',#552460,#431926,#431927); #365994=AXIS2_PLACEMENT_3D('',#552466,#431931,#431932); #365995=AXIS2_PLACEMENT_3D('',#552472,#431936,#431937); #365996=AXIS2_PLACEMENT_3D('',#552478,#431941,#431942); #365997=AXIS2_PLACEMENT_3D('',#552484,#431946,#431947); #365998=AXIS2_PLACEMENT_3D('',#552490,#431951,#431952); #365999=AXIS2_PLACEMENT_3D('',#552496,#431956,#431957); #366000=AXIS2_PLACEMENT_3D('',#552502,#431961,#431962); #366001=AXIS2_PLACEMENT_3D('',#552508,#431966,#431967); #366002=AXIS2_PLACEMENT_3D('',#552514,#431971,#431972); #366003=AXIS2_PLACEMENT_3D('',#552520,#431976,#431977); #366004=AXIS2_PLACEMENT_3D('',#552526,#431981,#431982); #366005=AXIS2_PLACEMENT_3D('',#552532,#431986,#431987); #366006=AXIS2_PLACEMENT_3D('',#552538,#431991,#431992); #366007=AXIS2_PLACEMENT_3D('',#552544,#431996,#431997); #366008=AXIS2_PLACEMENT_3D('',#552550,#432001,#432002); #366009=AXIS2_PLACEMENT_3D('',#552556,#432006,#432007); #366010=AXIS2_PLACEMENT_3D('',#552562,#432011,#432012); #366011=AXIS2_PLACEMENT_3D('',#552568,#432016,#432017); #366012=AXIS2_PLACEMENT_3D('',#552574,#432021,#432022); #366013=AXIS2_PLACEMENT_3D('',#552580,#432026,#432027); #366014=AXIS2_PLACEMENT_3D('',#552586,#432031,#432032); #366015=AXIS2_PLACEMENT_3D('',#552592,#432036,#432037); #366016=AXIS2_PLACEMENT_3D('',#552598,#432041,#432042); #366017=AXIS2_PLACEMENT_3D('',#552604,#432046,#432047); #366018=AXIS2_PLACEMENT_3D('',#552610,#432051,#432052); #366019=AXIS2_PLACEMENT_3D('',#552616,#432056,#432057); #366020=AXIS2_PLACEMENT_3D('',#552622,#432061,#432062); #366021=AXIS2_PLACEMENT_3D('',#552625,#432065,#432066); #366022=AXIS2_PLACEMENT_3D('',#552634,#432071,#432072); #366023=AXIS2_PLACEMENT_3D('',#552640,#432076,#432077); #366024=AXIS2_PLACEMENT_3D('',#552646,#432081,#432082); #366025=AXIS2_PLACEMENT_3D('',#552652,#432086,#432087); #366026=AXIS2_PLACEMENT_3D('',#552658,#432091,#432092); #366027=AXIS2_PLACEMENT_3D('',#552664,#432096,#432097); #366028=AXIS2_PLACEMENT_3D('',#552670,#432101,#432102); #366029=AXIS2_PLACEMENT_3D('',#552676,#432106,#432107); #366030=AXIS2_PLACEMENT_3D('',#552682,#432111,#432112); #366031=AXIS2_PLACEMENT_3D('',#552688,#432116,#432117); #366032=AXIS2_PLACEMENT_3D('',#552694,#432121,#432122); #366033=AXIS2_PLACEMENT_3D('',#552700,#432126,#432127); #366034=AXIS2_PLACEMENT_3D('',#552706,#432131,#432132); #366035=AXIS2_PLACEMENT_3D('',#552712,#432136,#432137); #366036=AXIS2_PLACEMENT_3D('',#552718,#432141,#432142); #366037=AXIS2_PLACEMENT_3D('',#552724,#432146,#432147); #366038=AXIS2_PLACEMENT_3D('',#552730,#432151,#432152); #366039=AXIS2_PLACEMENT_3D('',#552736,#432156,#432157); #366040=AXIS2_PLACEMENT_3D('',#552742,#432161,#432162); #366041=AXIS2_PLACEMENT_3D('',#552748,#432166,#432167); #366042=AXIS2_PLACEMENT_3D('',#552754,#432171,#432172); #366043=AXIS2_PLACEMENT_3D('',#552760,#432176,#432177); #366044=AXIS2_PLACEMENT_3D('',#552766,#432181,#432182); #366045=AXIS2_PLACEMENT_3D('',#552772,#432186,#432187); #366046=AXIS2_PLACEMENT_3D('',#552778,#432191,#432192); #366047=AXIS2_PLACEMENT_3D('',#552784,#432196,#432197); #366048=AXIS2_PLACEMENT_3D('',#552790,#432201,#432202); #366049=AXIS2_PLACEMENT_3D('',#552796,#432206,#432207); #366050=AXIS2_PLACEMENT_3D('',#552802,#432211,#432212); #366051=AXIS2_PLACEMENT_3D('',#552808,#432216,#432217); #366052=AXIS2_PLACEMENT_3D('',#552814,#432221,#432222); #366053=AXIS2_PLACEMENT_3D('',#552820,#432226,#432227); #366054=AXIS2_PLACEMENT_3D('',#552826,#432231,#432232); #366055=AXIS2_PLACEMENT_3D('',#552832,#432236,#432237); #366056=AXIS2_PLACEMENT_3D('',#552838,#432241,#432242); #366057=AXIS2_PLACEMENT_3D('',#552844,#432246,#432247); #366058=AXIS2_PLACEMENT_3D('',#552850,#432251,#432252); #366059=AXIS2_PLACEMENT_3D('',#552853,#432255,#432256); #366060=AXIS2_PLACEMENT_3D('',#552862,#432261,#432262); #366061=AXIS2_PLACEMENT_3D('',#552868,#432266,#432267); #366062=AXIS2_PLACEMENT_3D('',#552874,#432271,#432272); #366063=AXIS2_PLACEMENT_3D('',#552880,#432276,#432277); #366064=AXIS2_PLACEMENT_3D('',#552886,#432281,#432282); #366065=AXIS2_PLACEMENT_3D('',#552892,#432286,#432287); #366066=AXIS2_PLACEMENT_3D('',#552898,#432291,#432292); #366067=AXIS2_PLACEMENT_3D('',#552904,#432296,#432297); #366068=AXIS2_PLACEMENT_3D('',#552910,#432301,#432302); #366069=AXIS2_PLACEMENT_3D('',#552916,#432306,#432307); #366070=AXIS2_PLACEMENT_3D('',#552922,#432311,#432312); #366071=AXIS2_PLACEMENT_3D('',#552928,#432316,#432317); #366072=AXIS2_PLACEMENT_3D('',#552934,#432321,#432322); #366073=AXIS2_PLACEMENT_3D('',#552940,#432326,#432327); #366074=AXIS2_PLACEMENT_3D('',#552946,#432331,#432332); #366075=AXIS2_PLACEMENT_3D('',#552952,#432336,#432337); #366076=AXIS2_PLACEMENT_3D('',#552958,#432341,#432342); #366077=AXIS2_PLACEMENT_3D('',#552964,#432346,#432347); #366078=AXIS2_PLACEMENT_3D('',#552970,#432351,#432352); #366079=AXIS2_PLACEMENT_3D('',#552976,#432356,#432357); #366080=AXIS2_PLACEMENT_3D('',#552982,#432361,#432362); #366081=AXIS2_PLACEMENT_3D('',#552988,#432366,#432367); #366082=AXIS2_PLACEMENT_3D('',#552994,#432371,#432372); #366083=AXIS2_PLACEMENT_3D('',#552997,#432375,#432376); #366084=AXIS2_PLACEMENT_3D('',#553006,#432381,#432382); #366085=AXIS2_PLACEMENT_3D('',#553012,#432386,#432387); #366086=AXIS2_PLACEMENT_3D('',#553018,#432391,#432392); #366087=AXIS2_PLACEMENT_3D('',#553024,#432396,#432397); #366088=AXIS2_PLACEMENT_3D('',#553030,#432401,#432402); #366089=AXIS2_PLACEMENT_3D('',#553036,#432406,#432407); #366090=AXIS2_PLACEMENT_3D('',#553042,#432411,#432412); #366091=AXIS2_PLACEMENT_3D('',#553048,#432416,#432417); #366092=AXIS2_PLACEMENT_3D('',#553054,#432421,#432422); #366093=AXIS2_PLACEMENT_3D('',#553060,#432426,#432427); #366094=AXIS2_PLACEMENT_3D('',#553066,#432431,#432432); #366095=AXIS2_PLACEMENT_3D('',#553072,#432436,#432437); #366096=AXIS2_PLACEMENT_3D('',#553078,#432441,#432442); #366097=AXIS2_PLACEMENT_3D('',#553084,#432446,#432447); #366098=AXIS2_PLACEMENT_3D('',#553090,#432451,#432452); #366099=AXIS2_PLACEMENT_3D('',#553096,#432456,#432457); #366100=AXIS2_PLACEMENT_3D('',#553102,#432461,#432462); #366101=AXIS2_PLACEMENT_3D('',#553108,#432466,#432467); #366102=AXIS2_PLACEMENT_3D('',#553114,#432471,#432472); #366103=AXIS2_PLACEMENT_3D('',#553120,#432476,#432477); #366104=AXIS2_PLACEMENT_3D('',#553126,#432481,#432482); #366105=AXIS2_PLACEMENT_3D('',#553132,#432486,#432487); #366106=AXIS2_PLACEMENT_3D('',#553138,#432491,#432492); #366107=AXIS2_PLACEMENT_3D('',#553144,#432496,#432497); #366108=AXIS2_PLACEMENT_3D('',#553150,#432501,#432502); #366109=AXIS2_PLACEMENT_3D('',#553156,#432506,#432507); #366110=AXIS2_PLACEMENT_3D('',#553162,#432511,#432512); #366111=AXIS2_PLACEMENT_3D('',#553168,#432516,#432517); #366112=AXIS2_PLACEMENT_3D('',#553174,#432521,#432522); #366113=AXIS2_PLACEMENT_3D('',#553180,#432526,#432527); #366114=AXIS2_PLACEMENT_3D('',#553186,#432531,#432532); #366115=AXIS2_PLACEMENT_3D('',#553192,#432536,#432537); #366116=AXIS2_PLACEMENT_3D('',#553198,#432541,#432542); #366117=AXIS2_PLACEMENT_3D('',#553204,#432546,#432547); #366118=AXIS2_PLACEMENT_3D('',#553210,#432551,#432552); #366119=AXIS2_PLACEMENT_3D('',#553216,#432556,#432557); #366120=AXIS2_PLACEMENT_3D('',#553222,#432561,#432562); #366121=AXIS2_PLACEMENT_3D('',#553228,#432566,#432567); #366122=AXIS2_PLACEMENT_3D('',#553234,#432571,#432572); #366123=AXIS2_PLACEMENT_3D('',#553240,#432576,#432577); #366124=AXIS2_PLACEMENT_3D('',#553246,#432581,#432582); #366125=AXIS2_PLACEMENT_3D('',#553252,#432586,#432587); #366126=AXIS2_PLACEMENT_3D('',#553258,#432591,#432592); #366127=AXIS2_PLACEMENT_3D('',#553264,#432596,#432597); #366128=AXIS2_PLACEMENT_3D('',#553270,#432601,#432602); #366129=AXIS2_PLACEMENT_3D('',#553276,#432606,#432607); #366130=AXIS2_PLACEMENT_3D('',#553282,#432611,#432612); #366131=AXIS2_PLACEMENT_3D('',#553288,#432616,#432617); #366132=AXIS2_PLACEMENT_3D('',#553294,#432621,#432622); #366133=AXIS2_PLACEMENT_3D('',#553300,#432626,#432627); #366134=AXIS2_PLACEMENT_3D('',#553306,#432631,#432632); #366135=AXIS2_PLACEMENT_3D('',#553312,#432636,#432637); #366136=AXIS2_PLACEMENT_3D('',#553318,#432641,#432642); #366137=AXIS2_PLACEMENT_3D('',#553324,#432646,#432647); #366138=AXIS2_PLACEMENT_3D('',#553330,#432651,#432652); #366139=AXIS2_PLACEMENT_3D('',#553336,#432656,#432657); #366140=AXIS2_PLACEMENT_3D('',#553342,#432661,#432662); #366141=AXIS2_PLACEMENT_3D('',#553348,#432666,#432667); #366142=AXIS2_PLACEMENT_3D('',#553354,#432671,#432672); #366143=AXIS2_PLACEMENT_3D('',#553360,#432676,#432677); #366144=AXIS2_PLACEMENT_3D('',#553366,#432681,#432682); #366145=AXIS2_PLACEMENT_3D('',#553372,#432686,#432687); #366146=AXIS2_PLACEMENT_3D('',#553378,#432691,#432692); #366147=AXIS2_PLACEMENT_3D('',#553384,#432696,#432697); #366148=AXIS2_PLACEMENT_3D('',#553390,#432701,#432702); #366149=AXIS2_PLACEMENT_3D('',#553396,#432706,#432707); #366150=AXIS2_PLACEMENT_3D('',#553402,#432711,#432712); #366151=AXIS2_PLACEMENT_3D('',#553408,#432716,#432717); #366152=AXIS2_PLACEMENT_3D('',#553414,#432721,#432722); #366153=AXIS2_PLACEMENT_3D('',#553420,#432726,#432727); #366154=AXIS2_PLACEMENT_3D('',#553426,#432731,#432732); #366155=AXIS2_PLACEMENT_3D('',#553432,#432736,#432737); #366156=AXIS2_PLACEMENT_3D('',#553438,#432741,#432742); #366157=AXIS2_PLACEMENT_3D('',#553444,#432746,#432747); #366158=AXIS2_PLACEMENT_3D('',#553450,#432751,#432752); #366159=AXIS2_PLACEMENT_3D('',#553456,#432756,#432757); #366160=AXIS2_PLACEMENT_3D('',#553462,#432761,#432762); #366161=AXIS2_PLACEMENT_3D('',#553468,#432766,#432767); #366162=AXIS2_PLACEMENT_3D('',#553474,#432771,#432772); #366163=AXIS2_PLACEMENT_3D('',#553480,#432776,#432777); #366164=AXIS2_PLACEMENT_3D('',#553486,#432781,#432782); #366165=AXIS2_PLACEMENT_3D('',#553492,#432786,#432787); #366166=AXIS2_PLACEMENT_3D('',#553498,#432791,#432792); #366167=AXIS2_PLACEMENT_3D('',#553504,#432796,#432797); #366168=AXIS2_PLACEMENT_3D('',#553510,#432801,#432802); #366169=AXIS2_PLACEMENT_3D('',#553516,#432806,#432807); #366170=AXIS2_PLACEMENT_3D('',#553522,#432811,#432812); #366171=AXIS2_PLACEMENT_3D('',#553528,#432816,#432817); #366172=AXIS2_PLACEMENT_3D('',#553534,#432821,#432822); #366173=AXIS2_PLACEMENT_3D('',#553540,#432826,#432827); #366174=AXIS2_PLACEMENT_3D('',#553546,#432831,#432832); #366175=AXIS2_PLACEMENT_3D('',#553552,#432836,#432837); #366176=AXIS2_PLACEMENT_3D('',#553558,#432841,#432842); #366177=AXIS2_PLACEMENT_3D('',#553564,#432846,#432847); #366178=AXIS2_PLACEMENT_3D('',#553570,#432851,#432852); #366179=AXIS2_PLACEMENT_3D('',#553576,#432856,#432857); #366180=AXIS2_PLACEMENT_3D('',#553582,#432861,#432862); #366181=AXIS2_PLACEMENT_3D('',#553588,#432866,#432867); #366182=AXIS2_PLACEMENT_3D('',#553594,#432871,#432872); #366183=AXIS2_PLACEMENT_3D('',#553600,#432876,#432877); #366184=AXIS2_PLACEMENT_3D('',#553606,#432881,#432882); #366185=AXIS2_PLACEMENT_3D('',#553612,#432886,#432887); #366186=AXIS2_PLACEMENT_3D('',#553618,#432891,#432892); #366187=AXIS2_PLACEMENT_3D('',#553624,#432896,#432897); #366188=AXIS2_PLACEMENT_3D('',#553630,#432901,#432902); #366189=AXIS2_PLACEMENT_3D('',#553636,#432906,#432907); #366190=AXIS2_PLACEMENT_3D('',#553642,#432911,#432912); #366191=AXIS2_PLACEMENT_3D('',#553648,#432916,#432917); #366192=AXIS2_PLACEMENT_3D('',#553654,#432921,#432922); #366193=AXIS2_PLACEMENT_3D('',#553660,#432926,#432927); #366194=AXIS2_PLACEMENT_3D('',#553666,#432931,#432932); #366195=AXIS2_PLACEMENT_3D('',#553672,#432936,#432937); #366196=AXIS2_PLACEMENT_3D('',#553678,#432941,#432942); #366197=AXIS2_PLACEMENT_3D('',#553684,#432946,#432947); #366198=AXIS2_PLACEMENT_3D('',#553690,#432951,#432952); #366199=AXIS2_PLACEMENT_3D('',#553696,#432956,#432957); #366200=AXIS2_PLACEMENT_3D('',#553702,#432961,#432962); #366201=AXIS2_PLACEMENT_3D('',#553708,#432966,#432967); #366202=AXIS2_PLACEMENT_3D('',#553714,#432971,#432972); #366203=AXIS2_PLACEMENT_3D('',#553720,#432976,#432977); #366204=AXIS2_PLACEMENT_3D('',#553726,#432981,#432982); #366205=AXIS2_PLACEMENT_3D('',#553732,#432986,#432987); #366206=AXIS2_PLACEMENT_3D('',#553738,#432991,#432992); #366207=AXIS2_PLACEMENT_3D('',#553744,#432996,#432997); #366208=AXIS2_PLACEMENT_3D('',#553750,#433001,#433002); #366209=AXIS2_PLACEMENT_3D('',#553756,#433006,#433007); #366210=AXIS2_PLACEMENT_3D('',#553762,#433011,#433012); #366211=AXIS2_PLACEMENT_3D('',#553768,#433016,#433017); #366212=AXIS2_PLACEMENT_3D('',#553774,#433021,#433022); #366213=AXIS2_PLACEMENT_3D('',#553780,#433026,#433027); #366214=AXIS2_PLACEMENT_3D('',#553786,#433031,#433032); #366215=AXIS2_PLACEMENT_3D('',#553792,#433036,#433037); #366216=AXIS2_PLACEMENT_3D('',#553798,#433041,#433042); #366217=AXIS2_PLACEMENT_3D('',#553804,#433046,#433047); #366218=AXIS2_PLACEMENT_3D('',#553810,#433051,#433052); #366219=AXIS2_PLACEMENT_3D('',#553816,#433056,#433057); #366220=AXIS2_PLACEMENT_3D('',#553822,#433061,#433062); #366221=AXIS2_PLACEMENT_3D('',#553828,#433066,#433067); #366222=AXIS2_PLACEMENT_3D('',#553834,#433071,#433072); #366223=AXIS2_PLACEMENT_3D('',#553840,#433076,#433077); #366224=AXIS2_PLACEMENT_3D('',#553846,#433081,#433082); #366225=AXIS2_PLACEMENT_3D('',#553852,#433086,#433087); #366226=AXIS2_PLACEMENT_3D('',#553858,#433091,#433092); #366227=AXIS2_PLACEMENT_3D('',#553864,#433096,#433097); #366228=AXIS2_PLACEMENT_3D('',#553870,#433101,#433102); #366229=AXIS2_PLACEMENT_3D('',#553876,#433106,#433107); #366230=AXIS2_PLACEMENT_3D('',#553882,#433111,#433112); #366231=AXIS2_PLACEMENT_3D('',#553888,#433116,#433117); #366232=AXIS2_PLACEMENT_3D('',#553894,#433121,#433122); #366233=AXIS2_PLACEMENT_3D('',#553900,#433126,#433127); #366234=AXIS2_PLACEMENT_3D('',#553906,#433131,#433132); #366235=AXIS2_PLACEMENT_3D('',#553912,#433136,#433137); #366236=AXIS2_PLACEMENT_3D('',#553918,#433141,#433142); #366237=AXIS2_PLACEMENT_3D('',#553924,#433146,#433147); #366238=AXIS2_PLACEMENT_3D('',#553930,#433151,#433152); #366239=AXIS2_PLACEMENT_3D('',#553936,#433156,#433157); #366240=AXIS2_PLACEMENT_3D('',#553942,#433161,#433162); #366241=AXIS2_PLACEMENT_3D('',#553948,#433166,#433167); #366242=AXIS2_PLACEMENT_3D('',#553954,#433171,#433172); #366243=AXIS2_PLACEMENT_3D('',#553960,#433176,#433177); #366244=AXIS2_PLACEMENT_3D('',#553966,#433181,#433182); #366245=AXIS2_PLACEMENT_3D('',#553972,#433186,#433187); #366246=AXIS2_PLACEMENT_3D('',#553978,#433191,#433192); #366247=AXIS2_PLACEMENT_3D('',#553984,#433196,#433197); #366248=AXIS2_PLACEMENT_3D('',#553990,#433201,#433202); #366249=AXIS2_PLACEMENT_3D('',#553996,#433206,#433207); #366250=AXIS2_PLACEMENT_3D('',#554002,#433211,#433212); #366251=AXIS2_PLACEMENT_3D('',#554008,#433216,#433217); #366252=AXIS2_PLACEMENT_3D('',#554014,#433221,#433222); #366253=AXIS2_PLACEMENT_3D('',#554020,#433226,#433227); #366254=AXIS2_PLACEMENT_3D('',#554026,#433231,#433232); #366255=AXIS2_PLACEMENT_3D('',#554032,#433236,#433237); #366256=AXIS2_PLACEMENT_3D('',#554038,#433241,#433242); #366257=AXIS2_PLACEMENT_3D('',#554044,#433246,#433247); #366258=AXIS2_PLACEMENT_3D('',#554050,#433251,#433252); #366259=AXIS2_PLACEMENT_3D('',#554056,#433256,#433257); #366260=AXIS2_PLACEMENT_3D('',#554062,#433261,#433262); #366261=AXIS2_PLACEMENT_3D('',#554068,#433266,#433267); #366262=AXIS2_PLACEMENT_3D('',#554074,#433271,#433272); #366263=AXIS2_PLACEMENT_3D('',#554080,#433276,#433277); #366264=AXIS2_PLACEMENT_3D('',#554086,#433281,#433282); #366265=AXIS2_PLACEMENT_3D('',#554092,#433286,#433287); #366266=AXIS2_PLACEMENT_3D('',#554098,#433291,#433292); #366267=AXIS2_PLACEMENT_3D('',#554104,#433296,#433297); #366268=AXIS2_PLACEMENT_3D('',#554110,#433301,#433302); #366269=AXIS2_PLACEMENT_3D('',#554116,#433306,#433307); #366270=AXIS2_PLACEMENT_3D('',#554122,#433311,#433312); #366271=AXIS2_PLACEMENT_3D('',#554128,#433316,#433317); #366272=AXIS2_PLACEMENT_3D('',#554134,#433321,#433322); #366273=AXIS2_PLACEMENT_3D('',#554140,#433326,#433327); #366274=AXIS2_PLACEMENT_3D('',#554146,#433331,#433332); #366275=AXIS2_PLACEMENT_3D('',#554152,#433336,#433337); #366276=AXIS2_PLACEMENT_3D('',#554158,#433341,#433342); #366277=AXIS2_PLACEMENT_3D('',#554164,#433346,#433347); #366278=AXIS2_PLACEMENT_3D('',#554170,#433351,#433352); #366279=AXIS2_PLACEMENT_3D('',#554176,#433356,#433357); #366280=AXIS2_PLACEMENT_3D('',#554182,#433361,#433362); #366281=AXIS2_PLACEMENT_3D('',#554188,#433366,#433367); #366282=AXIS2_PLACEMENT_3D('',#554194,#433371,#433372); #366283=AXIS2_PLACEMENT_3D('',#554200,#433376,#433377); #366284=AXIS2_PLACEMENT_3D('',#554206,#433381,#433382); #366285=AXIS2_PLACEMENT_3D('',#554212,#433386,#433387); #366286=AXIS2_PLACEMENT_3D('',#554218,#433391,#433392); #366287=AXIS2_PLACEMENT_3D('',#554224,#433396,#433397); #366288=AXIS2_PLACEMENT_3D('',#554230,#433401,#433402); #366289=AXIS2_PLACEMENT_3D('',#554236,#433406,#433407); #366290=AXIS2_PLACEMENT_3D('',#554242,#433411,#433412); #366291=AXIS2_PLACEMENT_3D('',#554248,#433416,#433417); #366292=AXIS2_PLACEMENT_3D('',#554254,#433421,#433422); #366293=AXIS2_PLACEMENT_3D('',#554260,#433426,#433427); #366294=AXIS2_PLACEMENT_3D('',#554266,#433431,#433432); #366295=AXIS2_PLACEMENT_3D('',#554272,#433436,#433437); #366296=AXIS2_PLACEMENT_3D('',#554278,#433441,#433442); #366297=AXIS2_PLACEMENT_3D('',#554284,#433446,#433447); #366298=AXIS2_PLACEMENT_3D('',#554290,#433451,#433452); #366299=AXIS2_PLACEMENT_3D('',#554296,#433456,#433457); #366300=AXIS2_PLACEMENT_3D('',#554302,#433461,#433462); #366301=AXIS2_PLACEMENT_3D('',#554308,#433466,#433467); #366302=AXIS2_PLACEMENT_3D('',#554314,#433471,#433472); #366303=AXIS2_PLACEMENT_3D('',#554320,#433476,#433477); #366304=AXIS2_PLACEMENT_3D('',#554326,#433481,#433482); #366305=AXIS2_PLACEMENT_3D('',#554332,#433486,#433487); #366306=AXIS2_PLACEMENT_3D('',#554338,#433491,#433492); #366307=AXIS2_PLACEMENT_3D('',#554344,#433496,#433497); #366308=AXIS2_PLACEMENT_3D('',#554350,#433501,#433502); #366309=AXIS2_PLACEMENT_3D('',#554356,#433506,#433507); #366310=AXIS2_PLACEMENT_3D('',#554362,#433511,#433512); #366311=AXIS2_PLACEMENT_3D('',#554368,#433516,#433517); #366312=AXIS2_PLACEMENT_3D('',#554374,#433521,#433522); #366313=AXIS2_PLACEMENT_3D('',#554380,#433526,#433527); #366314=AXIS2_PLACEMENT_3D('',#554386,#433531,#433532); #366315=AXIS2_PLACEMENT_3D('',#554392,#433536,#433537); #366316=AXIS2_PLACEMENT_3D('',#554398,#433541,#433542); #366317=AXIS2_PLACEMENT_3D('',#554404,#433546,#433547); #366318=AXIS2_PLACEMENT_3D('',#554410,#433551,#433552); #366319=AXIS2_PLACEMENT_3D('',#554416,#433556,#433557); #366320=AXIS2_PLACEMENT_3D('',#554422,#433561,#433562); #366321=AXIS2_PLACEMENT_3D('',#554428,#433566,#433567); #366322=AXIS2_PLACEMENT_3D('',#554434,#433571,#433572); #366323=AXIS2_PLACEMENT_3D('',#554440,#433576,#433577); #366324=AXIS2_PLACEMENT_3D('',#554446,#433581,#433582); #366325=AXIS2_PLACEMENT_3D('',#554452,#433586,#433587); #366326=AXIS2_PLACEMENT_3D('',#554458,#433591,#433592); #366327=AXIS2_PLACEMENT_3D('',#554464,#433596,#433597); #366328=AXIS2_PLACEMENT_3D('',#554470,#433601,#433602); #366329=AXIS2_PLACEMENT_3D('',#554476,#433606,#433607); #366330=AXIS2_PLACEMENT_3D('',#554482,#433611,#433612); #366331=AXIS2_PLACEMENT_3D('',#554488,#433616,#433617); #366332=AXIS2_PLACEMENT_3D('',#554494,#433621,#433622); #366333=AXIS2_PLACEMENT_3D('',#554500,#433626,#433627); #366334=AXIS2_PLACEMENT_3D('',#554506,#433631,#433632); #366335=AXIS2_PLACEMENT_3D('',#554512,#433636,#433637); #366336=AXIS2_PLACEMENT_3D('',#554518,#433641,#433642); #366337=AXIS2_PLACEMENT_3D('',#554524,#433646,#433647); #366338=AXIS2_PLACEMENT_3D('',#554530,#433651,#433652); #366339=AXIS2_PLACEMENT_3D('',#554536,#433656,#433657); #366340=AXIS2_PLACEMENT_3D('',#554542,#433661,#433662); #366341=AXIS2_PLACEMENT_3D('',#554548,#433666,#433667); #366342=AXIS2_PLACEMENT_3D('',#554554,#433671,#433672); #366343=AXIS2_PLACEMENT_3D('',#554560,#433676,#433677); #366344=AXIS2_PLACEMENT_3D('',#554566,#433681,#433682); #366345=AXIS2_PLACEMENT_3D('',#554572,#433686,#433687); #366346=AXIS2_PLACEMENT_3D('',#554578,#433691,#433692); #366347=AXIS2_PLACEMENT_3D('',#554584,#433696,#433697); #366348=AXIS2_PLACEMENT_3D('',#554590,#433701,#433702); #366349=AXIS2_PLACEMENT_3D('',#554596,#433706,#433707); #366350=AXIS2_PLACEMENT_3D('',#554602,#433711,#433712); #366351=AXIS2_PLACEMENT_3D('',#554608,#433716,#433717); #366352=AXIS2_PLACEMENT_3D('',#554614,#433721,#433722); #366353=AXIS2_PLACEMENT_3D('',#554620,#433726,#433727); #366354=AXIS2_PLACEMENT_3D('',#554626,#433731,#433732); #366355=AXIS2_PLACEMENT_3D('',#554632,#433736,#433737); #366356=AXIS2_PLACEMENT_3D('',#554638,#433741,#433742); #366357=AXIS2_PLACEMENT_3D('',#554644,#433746,#433747); #366358=AXIS2_PLACEMENT_3D('',#554650,#433751,#433752); #366359=AXIS2_PLACEMENT_3D('',#554656,#433756,#433757); #366360=AXIS2_PLACEMENT_3D('',#554662,#433761,#433762); #366361=AXIS2_PLACEMENT_3D('',#554668,#433766,#433767); #366362=AXIS2_PLACEMENT_3D('',#554674,#433771,#433772); #366363=AXIS2_PLACEMENT_3D('',#554680,#433776,#433777); #366364=AXIS2_PLACEMENT_3D('',#554686,#433781,#433782); #366365=AXIS2_PLACEMENT_3D('',#554692,#433786,#433787); #366366=AXIS2_PLACEMENT_3D('',#554698,#433791,#433792); #366367=AXIS2_PLACEMENT_3D('',#554704,#433796,#433797); #366368=AXIS2_PLACEMENT_3D('',#554710,#433801,#433802); #366369=AXIS2_PLACEMENT_3D('',#554716,#433806,#433807); #366370=AXIS2_PLACEMENT_3D('',#554722,#433811,#433812); #366371=AXIS2_PLACEMENT_3D('',#554728,#433816,#433817); #366372=AXIS2_PLACEMENT_3D('',#554734,#433821,#433822); #366373=AXIS2_PLACEMENT_3D('',#554740,#433826,#433827); #366374=AXIS2_PLACEMENT_3D('',#554746,#433831,#433832); #366375=AXIS2_PLACEMENT_3D('',#554752,#433836,#433837); #366376=AXIS2_PLACEMENT_3D('',#554758,#433841,#433842); #366377=AXIS2_PLACEMENT_3D('',#554764,#433846,#433847); #366378=AXIS2_PLACEMENT_3D('',#554770,#433851,#433852); #366379=AXIS2_PLACEMENT_3D('',#554776,#433856,#433857); #366380=AXIS2_PLACEMENT_3D('',#554782,#433861,#433862); #366381=AXIS2_PLACEMENT_3D('',#554788,#433866,#433867); #366382=AXIS2_PLACEMENT_3D('',#554794,#433871,#433872); #366383=AXIS2_PLACEMENT_3D('',#554800,#433876,#433877); #366384=AXIS2_PLACEMENT_3D('',#554806,#433881,#433882); #366385=AXIS2_PLACEMENT_3D('',#554812,#433886,#433887); #366386=AXIS2_PLACEMENT_3D('',#554818,#433891,#433892); #366387=AXIS2_PLACEMENT_3D('',#554824,#433896,#433897); #366388=AXIS2_PLACEMENT_3D('',#554830,#433901,#433902); #366389=AXIS2_PLACEMENT_3D('',#554836,#433906,#433907); #366390=AXIS2_PLACEMENT_3D('',#554842,#433911,#433912); #366391=AXIS2_PLACEMENT_3D('',#554848,#433916,#433917); #366392=AXIS2_PLACEMENT_3D('',#554854,#433921,#433922); #366393=AXIS2_PLACEMENT_3D('',#554860,#433926,#433927); #366394=AXIS2_PLACEMENT_3D('',#554866,#433931,#433932); #366395=AXIS2_PLACEMENT_3D('',#554872,#433936,#433937); #366396=AXIS2_PLACEMENT_3D('',#554878,#433941,#433942); #366397=AXIS2_PLACEMENT_3D('',#554884,#433946,#433947); #366398=AXIS2_PLACEMENT_3D('',#554890,#433951,#433952); #366399=AXIS2_PLACEMENT_3D('',#554896,#433956,#433957); #366400=AXIS2_PLACEMENT_3D('',#554902,#433961,#433962); #366401=AXIS2_PLACEMENT_3D('',#554908,#433966,#433967); #366402=AXIS2_PLACEMENT_3D('',#554914,#433971,#433972); #366403=AXIS2_PLACEMENT_3D('',#554920,#433976,#433977); #366404=AXIS2_PLACEMENT_3D('',#554926,#433981,#433982); #366405=AXIS2_PLACEMENT_3D('',#554932,#433986,#433987); #366406=AXIS2_PLACEMENT_3D('',#554938,#433991,#433992); #366407=AXIS2_PLACEMENT_3D('',#554944,#433996,#433997); #366408=AXIS2_PLACEMENT_3D('',#554950,#434001,#434002); #366409=AXIS2_PLACEMENT_3D('',#554956,#434006,#434007); #366410=AXIS2_PLACEMENT_3D('',#554962,#434011,#434012); #366411=AXIS2_PLACEMENT_3D('',#554968,#434016,#434017); #366412=AXIS2_PLACEMENT_3D('',#554974,#434021,#434022); #366413=AXIS2_PLACEMENT_3D('',#554980,#434026,#434027); #366414=AXIS2_PLACEMENT_3D('',#554986,#434031,#434032); #366415=AXIS2_PLACEMENT_3D('',#554992,#434036,#434037); #366416=AXIS2_PLACEMENT_3D('',#554998,#434041,#434042); #366417=AXIS2_PLACEMENT_3D('',#555004,#434046,#434047); #366418=AXIS2_PLACEMENT_3D('',#555010,#434051,#434052); #366419=AXIS2_PLACEMENT_3D('',#555016,#434056,#434057); #366420=AXIS2_PLACEMENT_3D('',#555022,#434061,#434062); #366421=AXIS2_PLACEMENT_3D('',#555028,#434066,#434067); #366422=AXIS2_PLACEMENT_3D('',#555034,#434071,#434072); #366423=AXIS2_PLACEMENT_3D('',#555040,#434076,#434077); #366424=AXIS2_PLACEMENT_3D('',#555046,#434081,#434082); #366425=AXIS2_PLACEMENT_3D('',#555052,#434086,#434087); #366426=AXIS2_PLACEMENT_3D('',#555058,#434091,#434092); #366427=AXIS2_PLACEMENT_3D('',#555064,#434096,#434097); #366428=AXIS2_PLACEMENT_3D('',#555070,#434101,#434102); #366429=AXIS2_PLACEMENT_3D('',#555076,#434106,#434107); #366430=AXIS2_PLACEMENT_3D('',#555082,#434111,#434112); #366431=AXIS2_PLACEMENT_3D('',#555088,#434116,#434117); #366432=AXIS2_PLACEMENT_3D('',#555094,#434121,#434122); #366433=AXIS2_PLACEMENT_3D('',#555100,#434126,#434127); #366434=AXIS2_PLACEMENT_3D('',#555106,#434131,#434132); #366435=AXIS2_PLACEMENT_3D('',#555112,#434136,#434137); #366436=AXIS2_PLACEMENT_3D('',#555118,#434141,#434142); #366437=AXIS2_PLACEMENT_3D('',#555124,#434146,#434147); #366438=AXIS2_PLACEMENT_3D('',#555130,#434151,#434152); #366439=AXIS2_PLACEMENT_3D('',#555136,#434156,#434157); #366440=AXIS2_PLACEMENT_3D('',#555142,#434161,#434162); #366441=AXIS2_PLACEMENT_3D('',#555148,#434166,#434167); #366442=AXIS2_PLACEMENT_3D('',#555154,#434171,#434172); #366443=AXIS2_PLACEMENT_3D('',#555160,#434176,#434177); #366444=AXIS2_PLACEMENT_3D('',#555166,#434181,#434182); #366445=AXIS2_PLACEMENT_3D('',#555172,#434186,#434187); #366446=AXIS2_PLACEMENT_3D('',#555178,#434191,#434192); #366447=AXIS2_PLACEMENT_3D('',#555184,#434196,#434197); #366448=AXIS2_PLACEMENT_3D('',#555190,#434201,#434202); #366449=AXIS2_PLACEMENT_3D('',#555196,#434206,#434207); #366450=AXIS2_PLACEMENT_3D('',#555202,#434211,#434212); #366451=AXIS2_PLACEMENT_3D('',#555208,#434216,#434217); #366452=AXIS2_PLACEMENT_3D('',#555214,#434221,#434222); #366453=AXIS2_PLACEMENT_3D('',#555220,#434226,#434227); #366454=AXIS2_PLACEMENT_3D('',#555226,#434231,#434232); #366455=AXIS2_PLACEMENT_3D('',#555232,#434236,#434237); #366456=AXIS2_PLACEMENT_3D('',#555238,#434241,#434242); #366457=AXIS2_PLACEMENT_3D('',#555244,#434246,#434247); #366458=AXIS2_PLACEMENT_3D('',#555250,#434251,#434252); #366459=AXIS2_PLACEMENT_3D('',#555256,#434256,#434257); #366460=AXIS2_PLACEMENT_3D('',#555262,#434261,#434262); #366461=AXIS2_PLACEMENT_3D('',#555268,#434266,#434267); #366462=AXIS2_PLACEMENT_3D('',#555274,#434271,#434272); #366463=AXIS2_PLACEMENT_3D('',#555280,#434276,#434277); #366464=AXIS2_PLACEMENT_3D('',#555286,#434281,#434282); #366465=AXIS2_PLACEMENT_3D('',#555292,#434286,#434287); #366466=AXIS2_PLACEMENT_3D('',#555298,#434291,#434292); #366467=AXIS2_PLACEMENT_3D('',#555304,#434296,#434297); #366468=AXIS2_PLACEMENT_3D('',#555310,#434301,#434302); #366469=AXIS2_PLACEMENT_3D('',#555316,#434306,#434307); #366470=AXIS2_PLACEMENT_3D('',#555322,#434311,#434312); #366471=AXIS2_PLACEMENT_3D('',#555328,#434316,#434317); #366472=AXIS2_PLACEMENT_3D('',#555334,#434321,#434322); #366473=AXIS2_PLACEMENT_3D('',#555340,#434326,#434327); #366474=AXIS2_PLACEMENT_3D('',#555346,#434331,#434332); #366475=AXIS2_PLACEMENT_3D('',#555352,#434336,#434337); #366476=AXIS2_PLACEMENT_3D('',#555358,#434341,#434342); #366477=AXIS2_PLACEMENT_3D('',#555364,#434346,#434347); #366478=AXIS2_PLACEMENT_3D('',#555370,#434351,#434352); #366479=AXIS2_PLACEMENT_3D('',#555376,#434356,#434357); #366480=AXIS2_PLACEMENT_3D('',#555382,#434361,#434362); #366481=AXIS2_PLACEMENT_3D('',#555388,#434366,#434367); #366482=AXIS2_PLACEMENT_3D('',#555394,#434371,#434372); #366483=AXIS2_PLACEMENT_3D('',#555400,#434376,#434377); #366484=AXIS2_PLACEMENT_3D('',#555406,#434381,#434382); #366485=AXIS2_PLACEMENT_3D('',#555412,#434386,#434387); #366486=AXIS2_PLACEMENT_3D('',#555418,#434391,#434392); #366487=AXIS2_PLACEMENT_3D('',#555424,#434396,#434397); #366488=AXIS2_PLACEMENT_3D('',#555430,#434401,#434402); #366489=AXIS2_PLACEMENT_3D('',#555436,#434406,#434407); #366490=AXIS2_PLACEMENT_3D('',#555442,#434411,#434412); #366491=AXIS2_PLACEMENT_3D('',#555448,#434416,#434417); #366492=AXIS2_PLACEMENT_3D('',#555454,#434421,#434422); #366493=AXIS2_PLACEMENT_3D('',#555460,#434426,#434427); #366494=AXIS2_PLACEMENT_3D('',#555466,#434431,#434432); #366495=AXIS2_PLACEMENT_3D('',#555472,#434436,#434437); #366496=AXIS2_PLACEMENT_3D('',#555478,#434441,#434442); #366497=AXIS2_PLACEMENT_3D('',#555484,#434446,#434447); #366498=AXIS2_PLACEMENT_3D('',#555490,#434451,#434452); #366499=AXIS2_PLACEMENT_3D('',#555496,#434456,#434457); #366500=AXIS2_PLACEMENT_3D('',#555502,#434461,#434462); #366501=AXIS2_PLACEMENT_3D('',#555508,#434466,#434467); #366502=AXIS2_PLACEMENT_3D('',#555514,#434471,#434472); #366503=AXIS2_PLACEMENT_3D('',#555520,#434476,#434477); #366504=AXIS2_PLACEMENT_3D('',#555526,#434481,#434482); #366505=AXIS2_PLACEMENT_3D('',#555532,#434486,#434487); #366506=AXIS2_PLACEMENT_3D('',#555538,#434491,#434492); #366507=AXIS2_PLACEMENT_3D('',#555544,#434496,#434497); #366508=AXIS2_PLACEMENT_3D('',#555550,#434501,#434502); #366509=AXIS2_PLACEMENT_3D('',#555556,#434506,#434507); #366510=AXIS2_PLACEMENT_3D('',#555562,#434511,#434512); #366511=AXIS2_PLACEMENT_3D('',#555568,#434516,#434517); #366512=AXIS2_PLACEMENT_3D('',#555574,#434521,#434522); #366513=AXIS2_PLACEMENT_3D('',#555580,#434526,#434527); #366514=AXIS2_PLACEMENT_3D('',#555586,#434531,#434532); #366515=AXIS2_PLACEMENT_3D('',#555592,#434536,#434537); #366516=AXIS2_PLACEMENT_3D('',#555598,#434541,#434542); #366517=AXIS2_PLACEMENT_3D('',#555604,#434546,#434547); #366518=AXIS2_PLACEMENT_3D('',#555610,#434551,#434552); #366519=AXIS2_PLACEMENT_3D('',#555616,#434556,#434557); #366520=AXIS2_PLACEMENT_3D('',#555622,#434561,#434562); #366521=AXIS2_PLACEMENT_3D('',#555628,#434566,#434567); #366522=AXIS2_PLACEMENT_3D('',#555634,#434571,#434572); #366523=AXIS2_PLACEMENT_3D('',#555640,#434576,#434577); #366524=AXIS2_PLACEMENT_3D('',#555646,#434581,#434582); #366525=AXIS2_PLACEMENT_3D('',#555652,#434586,#434587); #366526=AXIS2_PLACEMENT_3D('',#555658,#434591,#434592); #366527=AXIS2_PLACEMENT_3D('',#555664,#434596,#434597); #366528=AXIS2_PLACEMENT_3D('',#555670,#434601,#434602); #366529=AXIS2_PLACEMENT_3D('',#555676,#434606,#434607); #366530=AXIS2_PLACEMENT_3D('',#555682,#434611,#434612); #366531=AXIS2_PLACEMENT_3D('',#555688,#434616,#434617); #366532=AXIS2_PLACEMENT_3D('',#555694,#434621,#434622); #366533=AXIS2_PLACEMENT_3D('',#555700,#434626,#434627); #366534=AXIS2_PLACEMENT_3D('',#555706,#434631,#434632); #366535=AXIS2_PLACEMENT_3D('',#555712,#434636,#434637); #366536=AXIS2_PLACEMENT_3D('',#555718,#434641,#434642); #366537=AXIS2_PLACEMENT_3D('',#555724,#434646,#434647); #366538=AXIS2_PLACEMENT_3D('',#555730,#434651,#434652); #366539=AXIS2_PLACEMENT_3D('',#555736,#434656,#434657); #366540=AXIS2_PLACEMENT_3D('',#555742,#434661,#434662); #366541=AXIS2_PLACEMENT_3D('',#555748,#434666,#434667); #366542=AXIS2_PLACEMENT_3D('',#555754,#434671,#434672); #366543=AXIS2_PLACEMENT_3D('',#555760,#434676,#434677); #366544=AXIS2_PLACEMENT_3D('',#555766,#434681,#434682); #366545=AXIS2_PLACEMENT_3D('',#555772,#434686,#434687); #366546=AXIS2_PLACEMENT_3D('',#555778,#434691,#434692); #366547=AXIS2_PLACEMENT_3D('',#555784,#434696,#434697); #366548=AXIS2_PLACEMENT_3D('',#555790,#434701,#434702); #366549=AXIS2_PLACEMENT_3D('',#555796,#434706,#434707); #366550=AXIS2_PLACEMENT_3D('',#555802,#434711,#434712); #366551=AXIS2_PLACEMENT_3D('',#555808,#434716,#434717); #366552=AXIS2_PLACEMENT_3D('',#555814,#434721,#434722); #366553=AXIS2_PLACEMENT_3D('',#555820,#434726,#434727); #366554=AXIS2_PLACEMENT_3D('',#555826,#434731,#434732); #366555=AXIS2_PLACEMENT_3D('',#555832,#434736,#434737); #366556=AXIS2_PLACEMENT_3D('',#555838,#434741,#434742); #366557=AXIS2_PLACEMENT_3D('',#555844,#434746,#434747); #366558=AXIS2_PLACEMENT_3D('',#555850,#434751,#434752); #366559=AXIS2_PLACEMENT_3D('',#555856,#434756,#434757); #366560=AXIS2_PLACEMENT_3D('',#555862,#434761,#434762); #366561=AXIS2_PLACEMENT_3D('',#555868,#434766,#434767); #366562=AXIS2_PLACEMENT_3D('',#555874,#434771,#434772); #366563=AXIS2_PLACEMENT_3D('',#555880,#434776,#434777); #366564=AXIS2_PLACEMENT_3D('',#555886,#434781,#434782); #366565=AXIS2_PLACEMENT_3D('',#555892,#434786,#434787); #366566=AXIS2_PLACEMENT_3D('',#555898,#434791,#434792); #366567=AXIS2_PLACEMENT_3D('',#555904,#434796,#434797); #366568=AXIS2_PLACEMENT_3D('',#555910,#434801,#434802); #366569=AXIS2_PLACEMENT_3D('',#555916,#434806,#434807); #366570=AXIS2_PLACEMENT_3D('',#555922,#434811,#434812); #366571=AXIS2_PLACEMENT_3D('',#555928,#434816,#434817); #366572=AXIS2_PLACEMENT_3D('',#555934,#434821,#434822); #366573=AXIS2_PLACEMENT_3D('',#555940,#434826,#434827); #366574=AXIS2_PLACEMENT_3D('',#555946,#434831,#434832); #366575=AXIS2_PLACEMENT_3D('',#555952,#434836,#434837); #366576=AXIS2_PLACEMENT_3D('',#555958,#434841,#434842); #366577=AXIS2_PLACEMENT_3D('',#555964,#434846,#434847); #366578=AXIS2_PLACEMENT_3D('',#555970,#434851,#434852); #366579=AXIS2_PLACEMENT_3D('',#555976,#434856,#434857); #366580=AXIS2_PLACEMENT_3D('',#555982,#434861,#434862); #366581=AXIS2_PLACEMENT_3D('',#555988,#434866,#434867); #366582=AXIS2_PLACEMENT_3D('',#555994,#434871,#434872); #366583=AXIS2_PLACEMENT_3D('',#556000,#434876,#434877); #366584=AXIS2_PLACEMENT_3D('',#556006,#434881,#434882); #366585=AXIS2_PLACEMENT_3D('',#556012,#434886,#434887); #366586=AXIS2_PLACEMENT_3D('',#556018,#434891,#434892); #366587=AXIS2_PLACEMENT_3D('',#556024,#434896,#434897); #366588=AXIS2_PLACEMENT_3D('',#556030,#434901,#434902); #366589=AXIS2_PLACEMENT_3D('',#556036,#434906,#434907); #366590=AXIS2_PLACEMENT_3D('',#556042,#434911,#434912); #366591=AXIS2_PLACEMENT_3D('',#556048,#434916,#434917); #366592=AXIS2_PLACEMENT_3D('',#556054,#434921,#434922); #366593=AXIS2_PLACEMENT_3D('',#556060,#434926,#434927); #366594=AXIS2_PLACEMENT_3D('',#556066,#434931,#434932); #366595=AXIS2_PLACEMENT_3D('',#556072,#434936,#434937); #366596=AXIS2_PLACEMENT_3D('',#556078,#434941,#434942); #366597=AXIS2_PLACEMENT_3D('',#556084,#434946,#434947); #366598=AXIS2_PLACEMENT_3D('',#556090,#434951,#434952); #366599=AXIS2_PLACEMENT_3D('',#556096,#434956,#434957); #366600=AXIS2_PLACEMENT_3D('',#556102,#434961,#434962); #366601=AXIS2_PLACEMENT_3D('',#556108,#434966,#434967); #366602=AXIS2_PLACEMENT_3D('',#556114,#434971,#434972); #366603=AXIS2_PLACEMENT_3D('',#556120,#434976,#434977); #366604=AXIS2_PLACEMENT_3D('',#556126,#434981,#434982); #366605=AXIS2_PLACEMENT_3D('',#556132,#434986,#434987); #366606=AXIS2_PLACEMENT_3D('',#556138,#434991,#434992); #366607=AXIS2_PLACEMENT_3D('',#556144,#434996,#434997); #366608=AXIS2_PLACEMENT_3D('',#556150,#435001,#435002); #366609=AXIS2_PLACEMENT_3D('',#556156,#435006,#435007); #366610=AXIS2_PLACEMENT_3D('',#556162,#435011,#435012); #366611=AXIS2_PLACEMENT_3D('',#556168,#435016,#435017); #366612=AXIS2_PLACEMENT_3D('',#556174,#435021,#435022); #366613=AXIS2_PLACEMENT_3D('',#556180,#435026,#435027); #366614=AXIS2_PLACEMENT_3D('',#556186,#435031,#435032); #366615=AXIS2_PLACEMENT_3D('',#556192,#435036,#435037); #366616=AXIS2_PLACEMENT_3D('',#556198,#435041,#435042); #366617=AXIS2_PLACEMENT_3D('',#556204,#435046,#435047); #366618=AXIS2_PLACEMENT_3D('',#556210,#435051,#435052); #366619=AXIS2_PLACEMENT_3D('',#556216,#435056,#435057); #366620=AXIS2_PLACEMENT_3D('',#556222,#435061,#435062); #366621=AXIS2_PLACEMENT_3D('',#556228,#435066,#435067); #366622=AXIS2_PLACEMENT_3D('',#556234,#435071,#435072); #366623=AXIS2_PLACEMENT_3D('',#556240,#435076,#435077); #366624=AXIS2_PLACEMENT_3D('',#556246,#435081,#435082); #366625=AXIS2_PLACEMENT_3D('',#556252,#435086,#435087); #366626=AXIS2_PLACEMENT_3D('',#556258,#435091,#435092); #366627=AXIS2_PLACEMENT_3D('',#556264,#435096,#435097); #366628=AXIS2_PLACEMENT_3D('',#556270,#435101,#435102); #366629=AXIS2_PLACEMENT_3D('',#556276,#435106,#435107); #366630=AXIS2_PLACEMENT_3D('',#556282,#435111,#435112); #366631=AXIS2_PLACEMENT_3D('',#556288,#435116,#435117); #366632=AXIS2_PLACEMENT_3D('',#556294,#435121,#435122); #366633=AXIS2_PLACEMENT_3D('',#556300,#435126,#435127); #366634=AXIS2_PLACEMENT_3D('',#556306,#435131,#435132); #366635=AXIS2_PLACEMENT_3D('',#556312,#435136,#435137); #366636=AXIS2_PLACEMENT_3D('',#556318,#435141,#435142); #366637=AXIS2_PLACEMENT_3D('',#556324,#435146,#435147); #366638=AXIS2_PLACEMENT_3D('',#556330,#435151,#435152); #366639=AXIS2_PLACEMENT_3D('',#556336,#435156,#435157); #366640=AXIS2_PLACEMENT_3D('',#556342,#435161,#435162); #366641=AXIS2_PLACEMENT_3D('',#556348,#435166,#435167); #366642=AXIS2_PLACEMENT_3D('',#556354,#435171,#435172); #366643=AXIS2_PLACEMENT_3D('',#556360,#435176,#435177); #366644=AXIS2_PLACEMENT_3D('',#556366,#435181,#435182); #366645=AXIS2_PLACEMENT_3D('',#556372,#435186,#435187); #366646=AXIS2_PLACEMENT_3D('',#556378,#435191,#435192); #366647=AXIS2_PLACEMENT_3D('',#556384,#435196,#435197); #366648=AXIS2_PLACEMENT_3D('',#556390,#435201,#435202); #366649=AXIS2_PLACEMENT_3D('',#556396,#435206,#435207); #366650=AXIS2_PLACEMENT_3D('',#556402,#435211,#435212); #366651=AXIS2_PLACEMENT_3D('',#556408,#435216,#435217); #366652=AXIS2_PLACEMENT_3D('',#556414,#435221,#435222); #366653=AXIS2_PLACEMENT_3D('',#556420,#435226,#435227); #366654=AXIS2_PLACEMENT_3D('',#556426,#435231,#435232); #366655=AXIS2_PLACEMENT_3D('',#556432,#435236,#435237); #366656=AXIS2_PLACEMENT_3D('',#556438,#435241,#435242); #366657=AXIS2_PLACEMENT_3D('',#556444,#435246,#435247); #366658=AXIS2_PLACEMENT_3D('',#556450,#435251,#435252); #366659=AXIS2_PLACEMENT_3D('',#556456,#435256,#435257); #366660=AXIS2_PLACEMENT_3D('',#556462,#435261,#435262); #366661=AXIS2_PLACEMENT_3D('',#556468,#435266,#435267); #366662=AXIS2_PLACEMENT_3D('',#556474,#435271,#435272); #366663=AXIS2_PLACEMENT_3D('',#556480,#435276,#435277); #366664=AXIS2_PLACEMENT_3D('',#556486,#435281,#435282); #366665=AXIS2_PLACEMENT_3D('',#556492,#435286,#435287); #366666=AXIS2_PLACEMENT_3D('',#556498,#435291,#435292); #366667=AXIS2_PLACEMENT_3D('',#556504,#435296,#435297); #366668=AXIS2_PLACEMENT_3D('',#556510,#435301,#435302); #366669=AXIS2_PLACEMENT_3D('',#556516,#435306,#435307); #366670=AXIS2_PLACEMENT_3D('',#556522,#435311,#435312); #366671=AXIS2_PLACEMENT_3D('',#556528,#435316,#435317); #366672=AXIS2_PLACEMENT_3D('',#556534,#435321,#435322); #366673=AXIS2_PLACEMENT_3D('',#556540,#435326,#435327); #366674=AXIS2_PLACEMENT_3D('',#556546,#435331,#435332); #366675=AXIS2_PLACEMENT_3D('',#556552,#435336,#435337); #366676=AXIS2_PLACEMENT_3D('',#556558,#435341,#435342); #366677=AXIS2_PLACEMENT_3D('',#556564,#435346,#435347); #366678=AXIS2_PLACEMENT_3D('',#556570,#435351,#435352); #366679=AXIS2_PLACEMENT_3D('',#556576,#435356,#435357); #366680=AXIS2_PLACEMENT_3D('',#556582,#435361,#435362); #366681=AXIS2_PLACEMENT_3D('',#556588,#435366,#435367); #366682=AXIS2_PLACEMENT_3D('',#556594,#435371,#435372); #366683=AXIS2_PLACEMENT_3D('',#556600,#435376,#435377); #366684=AXIS2_PLACEMENT_3D('',#556606,#435381,#435382); #366685=AXIS2_PLACEMENT_3D('',#556612,#435386,#435387); #366686=AXIS2_PLACEMENT_3D('',#556618,#435391,#435392); #366687=AXIS2_PLACEMENT_3D('',#556624,#435396,#435397); #366688=AXIS2_PLACEMENT_3D('',#556630,#435401,#435402); #366689=AXIS2_PLACEMENT_3D('',#556636,#435406,#435407); #366690=AXIS2_PLACEMENT_3D('',#556642,#435411,#435412); #366691=AXIS2_PLACEMENT_3D('',#556648,#435416,#435417); #366692=AXIS2_PLACEMENT_3D('',#556654,#435421,#435422); #366693=AXIS2_PLACEMENT_3D('',#556660,#435426,#435427); #366694=AXIS2_PLACEMENT_3D('',#556666,#435431,#435432); #366695=AXIS2_PLACEMENT_3D('',#556672,#435436,#435437); #366696=AXIS2_PLACEMENT_3D('',#556678,#435441,#435442); #366697=AXIS2_PLACEMENT_3D('',#556684,#435446,#435447); #366698=AXIS2_PLACEMENT_3D('',#556690,#435451,#435452); #366699=AXIS2_PLACEMENT_3D('',#556696,#435456,#435457); #366700=AXIS2_PLACEMENT_3D('',#556702,#435461,#435462); #366701=AXIS2_PLACEMENT_3D('',#556708,#435466,#435467); #366702=AXIS2_PLACEMENT_3D('',#556714,#435471,#435472); #366703=AXIS2_PLACEMENT_3D('',#556720,#435476,#435477); #366704=AXIS2_PLACEMENT_3D('',#556726,#435481,#435482); #366705=AXIS2_PLACEMENT_3D('',#556732,#435486,#435487); #366706=AXIS2_PLACEMENT_3D('',#556738,#435491,#435492); #366707=AXIS2_PLACEMENT_3D('',#556744,#435496,#435497); #366708=AXIS2_PLACEMENT_3D('',#556750,#435501,#435502); #366709=AXIS2_PLACEMENT_3D('',#556756,#435506,#435507); #366710=AXIS2_PLACEMENT_3D('',#556762,#435511,#435512); #366711=AXIS2_PLACEMENT_3D('',#556768,#435516,#435517); #366712=AXIS2_PLACEMENT_3D('',#556774,#435521,#435522); #366713=AXIS2_PLACEMENT_3D('',#556780,#435526,#435527); #366714=AXIS2_PLACEMENT_3D('',#556786,#435531,#435532); #366715=AXIS2_PLACEMENT_3D('',#556792,#435536,#435537); #366716=AXIS2_PLACEMENT_3D('',#556798,#435541,#435542); #366717=AXIS2_PLACEMENT_3D('',#556804,#435546,#435547); #366718=AXIS2_PLACEMENT_3D('',#556810,#435551,#435552); #366719=AXIS2_PLACEMENT_3D('',#556816,#435556,#435557); #366720=AXIS2_PLACEMENT_3D('',#556822,#435561,#435562); #366721=AXIS2_PLACEMENT_3D('',#556828,#435566,#435567); #366722=AXIS2_PLACEMENT_3D('',#556834,#435571,#435572); #366723=AXIS2_PLACEMENT_3D('',#556840,#435576,#435577); #366724=AXIS2_PLACEMENT_3D('',#556846,#435581,#435582); #366725=AXIS2_PLACEMENT_3D('',#556852,#435586,#435587); #366726=AXIS2_PLACEMENT_3D('',#556858,#435591,#435592); #366727=AXIS2_PLACEMENT_3D('',#556864,#435596,#435597); #366728=AXIS2_PLACEMENT_3D('',#556870,#435601,#435602); #366729=AXIS2_PLACEMENT_3D('',#556873,#435605,#435606); #366730=AXIS2_PLACEMENT_3D('',#556882,#435611,#435612); #366731=AXIS2_PLACEMENT_3D('',#556888,#435616,#435617); #366732=AXIS2_PLACEMENT_3D('',#556894,#435621,#435622); #366733=AXIS2_PLACEMENT_3D('',#556900,#435626,#435627); #366734=AXIS2_PLACEMENT_3D('',#556906,#435631,#435632); #366735=AXIS2_PLACEMENT_3D('',#556912,#435636,#435637); #366736=AXIS2_PLACEMENT_3D('',#556918,#435641,#435642); #366737=AXIS2_PLACEMENT_3D('',#556924,#435646,#435647); #366738=AXIS2_PLACEMENT_3D('',#556930,#435651,#435652); #366739=AXIS2_PLACEMENT_3D('',#556936,#435656,#435657); #366740=AXIS2_PLACEMENT_3D('',#556942,#435661,#435662); #366741=AXIS2_PLACEMENT_3D('',#556948,#435666,#435667); #366742=AXIS2_PLACEMENT_3D('',#556954,#435671,#435672); #366743=AXIS2_PLACEMENT_3D('',#556960,#435676,#435677); #366744=AXIS2_PLACEMENT_3D('',#556966,#435681,#435682); #366745=AXIS2_PLACEMENT_3D('',#556972,#435686,#435687); #366746=AXIS2_PLACEMENT_3D('',#556978,#435691,#435692); #366747=AXIS2_PLACEMENT_3D('',#556984,#435696,#435697); #366748=AXIS2_PLACEMENT_3D('',#556990,#435701,#435702); #366749=AXIS2_PLACEMENT_3D('',#556996,#435706,#435707); #366750=AXIS2_PLACEMENT_3D('',#557002,#435711,#435712); #366751=AXIS2_PLACEMENT_3D('',#557008,#435716,#435717); #366752=AXIS2_PLACEMENT_3D('',#557014,#435721,#435722); #366753=AXIS2_PLACEMENT_3D('',#557020,#435726,#435727); #366754=AXIS2_PLACEMENT_3D('',#557026,#435731,#435732); #366755=AXIS2_PLACEMENT_3D('',#557032,#435736,#435737); #366756=AXIS2_PLACEMENT_3D('',#557038,#435741,#435742); #366757=AXIS2_PLACEMENT_3D('',#557044,#435746,#435747); #366758=AXIS2_PLACEMENT_3D('',#557050,#435751,#435752); #366759=AXIS2_PLACEMENT_3D('',#557056,#435756,#435757); #366760=AXIS2_PLACEMENT_3D('',#557062,#435761,#435762); #366761=AXIS2_PLACEMENT_3D('',#557068,#435766,#435767); #366762=AXIS2_PLACEMENT_3D('',#557074,#435771,#435772); #366763=AXIS2_PLACEMENT_3D('',#557080,#435776,#435777); #366764=AXIS2_PLACEMENT_3D('',#557086,#435781,#435782); #366765=AXIS2_PLACEMENT_3D('',#557092,#435786,#435787); #366766=AXIS2_PLACEMENT_3D('',#557098,#435791,#435792); #366767=AXIS2_PLACEMENT_3D('',#557104,#435796,#435797); #366768=AXIS2_PLACEMENT_3D('',#557110,#435801,#435802); #366769=AXIS2_PLACEMENT_3D('',#557116,#435806,#435807); #366770=AXIS2_PLACEMENT_3D('',#557122,#435811,#435812); #366771=AXIS2_PLACEMENT_3D('',#557128,#435816,#435817); #366772=AXIS2_PLACEMENT_3D('',#557134,#435821,#435822); #366773=AXIS2_PLACEMENT_3D('',#557140,#435826,#435827); #366774=AXIS2_PLACEMENT_3D('',#557146,#435831,#435832); #366775=AXIS2_PLACEMENT_3D('',#557152,#435836,#435837); #366776=AXIS2_PLACEMENT_3D('',#557158,#435841,#435842); #366777=AXIS2_PLACEMENT_3D('',#557164,#435846,#435847); #366778=AXIS2_PLACEMENT_3D('',#557170,#435851,#435852); #366779=AXIS2_PLACEMENT_3D('',#557176,#435856,#435857); #366780=AXIS2_PLACEMENT_3D('',#557182,#435861,#435862); #366781=AXIS2_PLACEMENT_3D('',#557188,#435866,#435867); #366782=AXIS2_PLACEMENT_3D('',#557194,#435871,#435872); #366783=AXIS2_PLACEMENT_3D('',#557200,#435876,#435877); #366784=AXIS2_PLACEMENT_3D('',#557206,#435881,#435882); #366785=AXIS2_PLACEMENT_3D('',#557212,#435886,#435887); #366786=AXIS2_PLACEMENT_3D('',#557218,#435891,#435892); #366787=AXIS2_PLACEMENT_3D('',#557224,#435896,#435897); #366788=AXIS2_PLACEMENT_3D('',#557230,#435901,#435902); #366789=AXIS2_PLACEMENT_3D('',#557236,#435906,#435907); #366790=AXIS2_PLACEMENT_3D('',#557242,#435911,#435912); #366791=AXIS2_PLACEMENT_3D('',#557248,#435916,#435917); #366792=AXIS2_PLACEMENT_3D('',#557254,#435921,#435922); #366793=AXIS2_PLACEMENT_3D('',#557260,#435926,#435927); #366794=AXIS2_PLACEMENT_3D('',#557266,#435931,#435932); #366795=AXIS2_PLACEMENT_3D('',#557272,#435936,#435937); #366796=AXIS2_PLACEMENT_3D('',#557278,#435941,#435942); #366797=AXIS2_PLACEMENT_3D('',#557284,#435946,#435947); #366798=AXIS2_PLACEMENT_3D('',#557290,#435951,#435952); #366799=AXIS2_PLACEMENT_3D('',#557296,#435956,#435957); #366800=AXIS2_PLACEMENT_3D('',#557302,#435961,#435962); #366801=AXIS2_PLACEMENT_3D('',#557308,#435966,#435967); #366802=AXIS2_PLACEMENT_3D('',#557314,#435971,#435972); #366803=AXIS2_PLACEMENT_3D('',#557320,#435976,#435977); #366804=AXIS2_PLACEMENT_3D('',#557326,#435981,#435982); #366805=AXIS2_PLACEMENT_3D('',#557332,#435986,#435987); #366806=AXIS2_PLACEMENT_3D('',#557338,#435991,#435992); #366807=AXIS2_PLACEMENT_3D('',#557344,#435996,#435997); #366808=AXIS2_PLACEMENT_3D('',#557350,#436001,#436002); #366809=AXIS2_PLACEMENT_3D('',#557356,#436006,#436007); #366810=AXIS2_PLACEMENT_3D('',#557362,#436011,#436012); #366811=AXIS2_PLACEMENT_3D('',#557368,#436016,#436017); #366812=AXIS2_PLACEMENT_3D('',#557374,#436021,#436022); #366813=AXIS2_PLACEMENT_3D('',#557380,#436026,#436027); #366814=AXIS2_PLACEMENT_3D('',#557386,#436031,#436032); #366815=AXIS2_PLACEMENT_3D('',#557392,#436036,#436037); #366816=AXIS2_PLACEMENT_3D('',#557398,#436041,#436042); #366817=AXIS2_PLACEMENT_3D('',#557404,#436046,#436047); #366818=AXIS2_PLACEMENT_3D('',#557410,#436051,#436052); #366819=AXIS2_PLACEMENT_3D('',#557416,#436056,#436057); #366820=AXIS2_PLACEMENT_3D('',#557422,#436061,#436062); #366821=AXIS2_PLACEMENT_3D('',#557428,#436066,#436067); #366822=AXIS2_PLACEMENT_3D('',#557434,#436071,#436072); #366823=AXIS2_PLACEMENT_3D('',#557440,#436076,#436077); #366824=AXIS2_PLACEMENT_3D('',#557446,#436081,#436082); #366825=AXIS2_PLACEMENT_3D('',#557452,#436086,#436087); #366826=AXIS2_PLACEMENT_3D('',#557458,#436091,#436092); #366827=AXIS2_PLACEMENT_3D('',#557464,#436096,#436097); #366828=AXIS2_PLACEMENT_3D('',#557470,#436101,#436102); #366829=AXIS2_PLACEMENT_3D('',#557473,#436105,#436106); #366830=AXIS2_PLACEMENT_3D('',#557482,#436111,#436112); #366831=AXIS2_PLACEMENT_3D('',#557488,#436116,#436117); #366832=AXIS2_PLACEMENT_3D('',#557494,#436121,#436122); #366833=AXIS2_PLACEMENT_3D('',#557500,#436126,#436127); #366834=AXIS2_PLACEMENT_3D('',#557506,#436131,#436132); #366835=AXIS2_PLACEMENT_3D('',#557512,#436136,#436137); #366836=AXIS2_PLACEMENT_3D('',#557518,#436141,#436142); #366837=AXIS2_PLACEMENT_3D('',#557524,#436146,#436147); #366838=AXIS2_PLACEMENT_3D('',#557530,#436151,#436152); #366839=AXIS2_PLACEMENT_3D('',#557536,#436156,#436157); #366840=AXIS2_PLACEMENT_3D('',#557542,#436161,#436162); #366841=AXIS2_PLACEMENT_3D('',#557548,#436166,#436167); #366842=AXIS2_PLACEMENT_3D('',#557554,#436171,#436172); #366843=AXIS2_PLACEMENT_3D('',#557560,#436176,#436177); #366844=AXIS2_PLACEMENT_3D('',#557566,#436181,#436182); #366845=AXIS2_PLACEMENT_3D('',#557572,#436186,#436187); #366846=AXIS2_PLACEMENT_3D('',#557578,#436191,#436192); #366847=AXIS2_PLACEMENT_3D('',#557584,#436196,#436197); #366848=AXIS2_PLACEMENT_3D('',#557590,#436201,#436202); #366849=AXIS2_PLACEMENT_3D('',#557596,#436206,#436207); #366850=AXIS2_PLACEMENT_3D('',#557602,#436211,#436212); #366851=AXIS2_PLACEMENT_3D('',#557608,#436216,#436217); #366852=AXIS2_PLACEMENT_3D('',#557614,#436221,#436222); #366853=AXIS2_PLACEMENT_3D('',#557620,#436226,#436227); #366854=AXIS2_PLACEMENT_3D('',#557626,#436231,#436232); #366855=AXIS2_PLACEMENT_3D('',#557632,#436236,#436237); #366856=AXIS2_PLACEMENT_3D('',#557638,#436241,#436242); #366857=AXIS2_PLACEMENT_3D('',#557644,#436246,#436247); #366858=AXIS2_PLACEMENT_3D('',#557650,#436251,#436252); #366859=AXIS2_PLACEMENT_3D('',#557656,#436256,#436257); #366860=AXIS2_PLACEMENT_3D('',#557662,#436261,#436262); #366861=AXIS2_PLACEMENT_3D('',#557668,#436266,#436267); #366862=AXIS2_PLACEMENT_3D('',#557674,#436271,#436272); #366863=AXIS2_PLACEMENT_3D('',#557680,#436276,#436277); #366864=AXIS2_PLACEMENT_3D('',#557686,#436281,#436282); #366865=AXIS2_PLACEMENT_3D('',#557692,#436286,#436287); #366866=AXIS2_PLACEMENT_3D('',#557698,#436291,#436292); #366867=AXIS2_PLACEMENT_3D('',#557704,#436296,#436297); #366868=AXIS2_PLACEMENT_3D('',#557710,#436301,#436302); #366869=AXIS2_PLACEMENT_3D('',#557716,#436306,#436307); #366870=AXIS2_PLACEMENT_3D('',#557722,#436311,#436312); #366871=AXIS2_PLACEMENT_3D('',#557728,#436316,#436317); #366872=AXIS2_PLACEMENT_3D('',#557734,#436321,#436322); #366873=AXIS2_PLACEMENT_3D('',#557740,#436326,#436327); #366874=AXIS2_PLACEMENT_3D('',#557746,#436331,#436332); #366875=AXIS2_PLACEMENT_3D('',#557752,#436336,#436337); #366876=AXIS2_PLACEMENT_3D('',#557758,#436341,#436342); #366877=AXIS2_PLACEMENT_3D('',#557764,#436346,#436347); #366878=AXIS2_PLACEMENT_3D('',#557770,#436351,#436352); #366879=AXIS2_PLACEMENT_3D('',#557776,#436356,#436357); #366880=AXIS2_PLACEMENT_3D('',#557782,#436361,#436362); #366881=AXIS2_PLACEMENT_3D('',#557788,#436366,#436367); #366882=AXIS2_PLACEMENT_3D('',#557794,#436371,#436372); #366883=AXIS2_PLACEMENT_3D('',#557800,#436376,#436377); #366884=AXIS2_PLACEMENT_3D('',#557806,#436381,#436382); #366885=AXIS2_PLACEMENT_3D('',#557812,#436386,#436387); #366886=AXIS2_PLACEMENT_3D('',#557818,#436391,#436392); #366887=AXIS2_PLACEMENT_3D('',#557824,#436396,#436397); #366888=AXIS2_PLACEMENT_3D('',#557830,#436401,#436402); #366889=AXIS2_PLACEMENT_3D('',#557836,#436406,#436407); #366890=AXIS2_PLACEMENT_3D('',#557842,#436411,#436412); #366891=AXIS2_PLACEMENT_3D('',#557848,#436416,#436417); #366892=AXIS2_PLACEMENT_3D('',#557854,#436421,#436422); #366893=AXIS2_PLACEMENT_3D('',#557860,#436426,#436427); #366894=AXIS2_PLACEMENT_3D('',#557866,#436431,#436432); #366895=AXIS2_PLACEMENT_3D('',#557872,#436436,#436437); #366896=AXIS2_PLACEMENT_3D('',#557878,#436441,#436442); #366897=AXIS2_PLACEMENT_3D('',#557884,#436446,#436447); #366898=AXIS2_PLACEMENT_3D('',#557890,#436451,#436452); #366899=AXIS2_PLACEMENT_3D('',#557896,#436456,#436457); #366900=AXIS2_PLACEMENT_3D('',#557902,#436461,#436462); #366901=AXIS2_PLACEMENT_3D('',#557908,#436466,#436467); #366902=AXIS2_PLACEMENT_3D('',#557914,#436471,#436472); #366903=AXIS2_PLACEMENT_3D('',#557920,#436476,#436477); #366904=AXIS2_PLACEMENT_3D('',#557926,#436481,#436482); #366905=AXIS2_PLACEMENT_3D('',#557932,#436486,#436487); #366906=AXIS2_PLACEMENT_3D('',#557938,#436491,#436492); #366907=AXIS2_PLACEMENT_3D('',#557944,#436496,#436497); #366908=AXIS2_PLACEMENT_3D('',#557950,#436501,#436502); #366909=AXIS2_PLACEMENT_3D('',#557956,#436506,#436507); #366910=AXIS2_PLACEMENT_3D('',#557962,#436511,#436512); #366911=AXIS2_PLACEMENT_3D('',#557968,#436516,#436517); #366912=AXIS2_PLACEMENT_3D('',#557974,#436521,#436522); #366913=AXIS2_PLACEMENT_3D('',#557980,#436526,#436527); #366914=AXIS2_PLACEMENT_3D('',#557986,#436531,#436532); #366915=AXIS2_PLACEMENT_3D('',#557992,#436536,#436537); #366916=AXIS2_PLACEMENT_3D('',#557998,#436541,#436542); #366917=AXIS2_PLACEMENT_3D('',#558004,#436546,#436547); #366918=AXIS2_PLACEMENT_3D('',#558010,#436551,#436552); #366919=AXIS2_PLACEMENT_3D('',#558016,#436556,#436557); #366920=AXIS2_PLACEMENT_3D('',#558022,#436561,#436562); #366921=AXIS2_PLACEMENT_3D('',#558028,#436566,#436567); #366922=AXIS2_PLACEMENT_3D('',#558034,#436571,#436572); #366923=AXIS2_PLACEMENT_3D('',#558040,#436576,#436577); #366924=AXIS2_PLACEMENT_3D('',#558046,#436581,#436582); #366925=AXIS2_PLACEMENT_3D('',#558052,#436586,#436587); #366926=AXIS2_PLACEMENT_3D('',#558058,#436591,#436592); #366927=AXIS2_PLACEMENT_3D('',#558064,#436596,#436597); #366928=AXIS2_PLACEMENT_3D('',#558070,#436601,#436602); #366929=AXIS2_PLACEMENT_3D('',#558076,#436606,#436607); #366930=AXIS2_PLACEMENT_3D('',#558082,#436611,#436612); #366931=AXIS2_PLACEMENT_3D('',#558088,#436616,#436617); #366932=AXIS2_PLACEMENT_3D('',#558094,#436621,#436622); #366933=AXIS2_PLACEMENT_3D('',#558100,#436626,#436627); #366934=AXIS2_PLACEMENT_3D('',#558106,#436631,#436632); #366935=AXIS2_PLACEMENT_3D('',#558112,#436636,#436637); #366936=AXIS2_PLACEMENT_3D('',#558118,#436641,#436642); #366937=AXIS2_PLACEMENT_3D('',#558124,#436646,#436647); #366938=AXIS2_PLACEMENT_3D('',#558130,#436651,#436652); #366939=AXIS2_PLACEMENT_3D('',#558136,#436656,#436657); #366940=AXIS2_PLACEMENT_3D('',#558142,#436661,#436662); #366941=AXIS2_PLACEMENT_3D('',#558148,#436666,#436667); #366942=AXIS2_PLACEMENT_3D('',#558154,#436671,#436672); #366943=AXIS2_PLACEMENT_3D('',#558160,#436676,#436677); #366944=AXIS2_PLACEMENT_3D('',#558166,#436681,#436682); #366945=AXIS2_PLACEMENT_3D('',#558172,#436686,#436687); #366946=AXIS2_PLACEMENT_3D('',#558178,#436691,#436692); #366947=AXIS2_PLACEMENT_3D('',#558184,#436696,#436697); #366948=AXIS2_PLACEMENT_3D('',#558190,#436701,#436702); #366949=AXIS2_PLACEMENT_3D('',#558196,#436706,#436707); #366950=AXIS2_PLACEMENT_3D('',#558202,#436711,#436712); #366951=AXIS2_PLACEMENT_3D('',#558208,#436716,#436717); #366952=AXIS2_PLACEMENT_3D('',#558214,#436721,#436722); #366953=AXIS2_PLACEMENT_3D('',#558220,#436726,#436727); #366954=AXIS2_PLACEMENT_3D('',#558226,#436731,#436732); #366955=AXIS2_PLACEMENT_3D('',#558232,#436736,#436737); #366956=AXIS2_PLACEMENT_3D('',#558238,#436741,#436742); #366957=AXIS2_PLACEMENT_3D('',#558244,#436746,#436747); #366958=AXIS2_PLACEMENT_3D('',#558250,#436751,#436752); #366959=AXIS2_PLACEMENT_3D('',#558256,#436756,#436757); #366960=AXIS2_PLACEMENT_3D('',#558262,#436761,#436762); #366961=AXIS2_PLACEMENT_3D('',#558268,#436766,#436767); #366962=AXIS2_PLACEMENT_3D('',#558274,#436771,#436772); #366963=AXIS2_PLACEMENT_3D('',#558280,#436776,#436777); #366964=AXIS2_PLACEMENT_3D('',#558286,#436781,#436782); #366965=AXIS2_PLACEMENT_3D('',#558292,#436786,#436787); #366966=AXIS2_PLACEMENT_3D('',#558298,#436791,#436792); #366967=AXIS2_PLACEMENT_3D('',#558304,#436796,#436797); #366968=AXIS2_PLACEMENT_3D('',#558310,#436801,#436802); #366969=AXIS2_PLACEMENT_3D('',#558316,#436806,#436807); #366970=AXIS2_PLACEMENT_3D('',#558322,#436811,#436812); #366971=AXIS2_PLACEMENT_3D('',#558328,#436816,#436817); #366972=AXIS2_PLACEMENT_3D('',#558334,#436821,#436822); #366973=AXIS2_PLACEMENT_3D('',#558340,#436826,#436827); #366974=AXIS2_PLACEMENT_3D('',#558346,#436831,#436832); #366975=AXIS2_PLACEMENT_3D('',#558352,#436836,#436837); #366976=AXIS2_PLACEMENT_3D('',#558358,#436841,#436842); #366977=AXIS2_PLACEMENT_3D('',#558364,#436846,#436847); #366978=AXIS2_PLACEMENT_3D('',#558370,#436851,#436852); #366979=AXIS2_PLACEMENT_3D('',#558376,#436856,#436857); #366980=AXIS2_PLACEMENT_3D('',#558382,#436861,#436862); #366981=AXIS2_PLACEMENT_3D('',#558388,#436866,#436867); #366982=AXIS2_PLACEMENT_3D('',#558394,#436871,#436872); #366983=AXIS2_PLACEMENT_3D('',#558400,#436876,#436877); #366984=AXIS2_PLACEMENT_3D('',#558406,#436881,#436882); #366985=AXIS2_PLACEMENT_3D('',#558412,#436886,#436887); #366986=AXIS2_PLACEMENT_3D('',#558418,#436891,#436892); #366987=AXIS2_PLACEMENT_3D('',#558424,#436896,#436897); #366988=AXIS2_PLACEMENT_3D('',#558430,#436901,#436902); #366989=AXIS2_PLACEMENT_3D('',#558436,#436906,#436907); #366990=AXIS2_PLACEMENT_3D('',#558442,#436911,#436912); #366991=AXIS2_PLACEMENT_3D('',#558448,#436916,#436917); #366992=AXIS2_PLACEMENT_3D('',#558454,#436921,#436922); #366993=AXIS2_PLACEMENT_3D('',#558460,#436926,#436927); #366994=AXIS2_PLACEMENT_3D('',#558466,#436931,#436932); #366995=AXIS2_PLACEMENT_3D('',#558472,#436936,#436937); #366996=AXIS2_PLACEMENT_3D('',#558478,#436941,#436942); #366997=AXIS2_PLACEMENT_3D('',#558484,#436946,#436947); #366998=AXIS2_PLACEMENT_3D('',#558490,#436951,#436952); #366999=AXIS2_PLACEMENT_3D('',#558496,#436956,#436957); #367000=AXIS2_PLACEMENT_3D('',#558502,#436961,#436962); #367001=AXIS2_PLACEMENT_3D('',#558508,#436966,#436967); #367002=AXIS2_PLACEMENT_3D('',#558514,#436971,#436972); #367003=AXIS2_PLACEMENT_3D('',#558520,#436976,#436977); #367004=AXIS2_PLACEMENT_3D('',#558526,#436981,#436982); #367005=AXIS2_PLACEMENT_3D('',#558532,#436986,#436987); #367006=AXIS2_PLACEMENT_3D('',#558538,#436991,#436992); #367007=AXIS2_PLACEMENT_3D('',#558544,#436996,#436997); #367008=AXIS2_PLACEMENT_3D('',#558550,#437001,#437002); #367009=AXIS2_PLACEMENT_3D('',#558556,#437006,#437007); #367010=AXIS2_PLACEMENT_3D('',#558562,#437011,#437012); #367011=AXIS2_PLACEMENT_3D('',#558568,#437016,#437017); #367012=AXIS2_PLACEMENT_3D('',#558574,#437021,#437022); #367013=AXIS2_PLACEMENT_3D('',#558580,#437026,#437027); #367014=AXIS2_PLACEMENT_3D('',#558586,#437031,#437032); #367015=AXIS2_PLACEMENT_3D('',#558592,#437036,#437037); #367016=AXIS2_PLACEMENT_3D('',#558598,#437041,#437042); #367017=AXIS2_PLACEMENT_3D('',#558604,#437046,#437047); #367018=AXIS2_PLACEMENT_3D('',#558610,#437051,#437052); #367019=AXIS2_PLACEMENT_3D('',#558616,#437056,#437057); #367020=AXIS2_PLACEMENT_3D('',#558622,#437061,#437062); #367021=AXIS2_PLACEMENT_3D('',#558628,#437066,#437067); #367022=AXIS2_PLACEMENT_3D('',#558634,#437071,#437072); #367023=AXIS2_PLACEMENT_3D('',#558640,#437076,#437077); #367024=AXIS2_PLACEMENT_3D('',#558646,#437081,#437082); #367025=AXIS2_PLACEMENT_3D('',#558652,#437086,#437087); #367026=AXIS2_PLACEMENT_3D('',#558658,#437091,#437092); #367027=AXIS2_PLACEMENT_3D('',#558664,#437096,#437097); #367028=AXIS2_PLACEMENT_3D('',#558670,#437101,#437102); #367029=AXIS2_PLACEMENT_3D('',#558676,#437106,#437107); #367030=AXIS2_PLACEMENT_3D('',#558682,#437111,#437112); #367031=AXIS2_PLACEMENT_3D('',#558688,#437116,#437117); #367032=AXIS2_PLACEMENT_3D('',#558694,#437121,#437122); #367033=AXIS2_PLACEMENT_3D('',#558700,#437126,#437127); #367034=AXIS2_PLACEMENT_3D('',#558706,#437131,#437132); #367035=AXIS2_PLACEMENT_3D('',#558712,#437136,#437137); #367036=AXIS2_PLACEMENT_3D('',#558718,#437141,#437142); #367037=AXIS2_PLACEMENT_3D('',#558724,#437146,#437147); #367038=AXIS2_PLACEMENT_3D('',#558730,#437151,#437152); #367039=AXIS2_PLACEMENT_3D('',#558736,#437156,#437157); #367040=AXIS2_PLACEMENT_3D('',#558742,#437161,#437162); #367041=AXIS2_PLACEMENT_3D('',#558748,#437166,#437167); #367042=AXIS2_PLACEMENT_3D('',#558754,#437171,#437172); #367043=AXIS2_PLACEMENT_3D('',#558760,#437176,#437177); #367044=AXIS2_PLACEMENT_3D('',#558766,#437181,#437182); #367045=AXIS2_PLACEMENT_3D('',#558772,#437186,#437187); #367046=AXIS2_PLACEMENT_3D('',#558778,#437191,#437192); #367047=AXIS2_PLACEMENT_3D('',#558784,#437196,#437197); #367048=AXIS2_PLACEMENT_3D('',#558790,#437201,#437202); #367049=AXIS2_PLACEMENT_3D('',#558796,#437206,#437207); #367050=AXIS2_PLACEMENT_3D('',#558802,#437211,#437212); #367051=AXIS2_PLACEMENT_3D('',#558808,#437216,#437217); #367052=AXIS2_PLACEMENT_3D('',#558814,#437221,#437222); #367053=AXIS2_PLACEMENT_3D('',#558820,#437226,#437227); #367054=AXIS2_PLACEMENT_3D('',#558826,#437231,#437232); #367055=AXIS2_PLACEMENT_3D('',#558832,#437236,#437237); #367056=AXIS2_PLACEMENT_3D('',#558838,#437241,#437242); #367057=AXIS2_PLACEMENT_3D('',#558844,#437246,#437247); #367058=AXIS2_PLACEMENT_3D('',#558850,#437251,#437252); #367059=AXIS2_PLACEMENT_3D('',#558856,#437256,#437257); #367060=AXIS2_PLACEMENT_3D('',#558862,#437261,#437262); #367061=AXIS2_PLACEMENT_3D('',#558868,#437266,#437267); #367062=AXIS2_PLACEMENT_3D('',#558874,#437271,#437272); #367063=AXIS2_PLACEMENT_3D('',#558880,#437276,#437277); #367064=AXIS2_PLACEMENT_3D('',#558886,#437281,#437282); #367065=AXIS2_PLACEMENT_3D('',#558892,#437286,#437287); #367066=AXIS2_PLACEMENT_3D('',#558898,#437291,#437292); #367067=AXIS2_PLACEMENT_3D('',#558904,#437296,#437297); #367068=AXIS2_PLACEMENT_3D('',#558910,#437301,#437302); #367069=AXIS2_PLACEMENT_3D('',#558916,#437306,#437307); #367070=AXIS2_PLACEMENT_3D('',#558922,#437311,#437312); #367071=AXIS2_PLACEMENT_3D('',#558928,#437316,#437317); #367072=AXIS2_PLACEMENT_3D('',#558934,#437321,#437322); #367073=AXIS2_PLACEMENT_3D('',#558940,#437326,#437327); #367074=AXIS2_PLACEMENT_3D('',#558946,#437331,#437332); #367075=AXIS2_PLACEMENT_3D('',#558952,#437336,#437337); #367076=AXIS2_PLACEMENT_3D('',#558958,#437341,#437342); #367077=AXIS2_PLACEMENT_3D('',#558964,#437346,#437347); #367078=AXIS2_PLACEMENT_3D('',#558970,#437351,#437352); #367079=AXIS2_PLACEMENT_3D('',#558976,#437356,#437357); #367080=AXIS2_PLACEMENT_3D('',#558979,#437360,#437361); #367081=AXIS2_PLACEMENT_3D('',#558988,#437366,#437367); #367082=AXIS2_PLACEMENT_3D('',#558994,#437371,#437372); #367083=AXIS2_PLACEMENT_3D('',#559000,#437376,#437377); #367084=AXIS2_PLACEMENT_3D('',#559006,#437381,#437382); #367085=AXIS2_PLACEMENT_3D('',#559012,#437386,#437387); #367086=AXIS2_PLACEMENT_3D('',#559018,#437391,#437392); #367087=AXIS2_PLACEMENT_3D('',#559024,#437396,#437397); #367088=AXIS2_PLACEMENT_3D('',#559030,#437401,#437402); #367089=AXIS2_PLACEMENT_3D('',#559036,#437406,#437407); #367090=AXIS2_PLACEMENT_3D('',#559042,#437411,#437412); #367091=AXIS2_PLACEMENT_3D('',#559048,#437416,#437417); #367092=AXIS2_PLACEMENT_3D('',#559054,#437421,#437422); #367093=AXIS2_PLACEMENT_3D('',#559060,#437426,#437427); #367094=AXIS2_PLACEMENT_3D('',#559066,#437431,#437432); #367095=AXIS2_PLACEMENT_3D('',#559072,#437436,#437437); #367096=AXIS2_PLACEMENT_3D('',#559078,#437441,#437442); #367097=AXIS2_PLACEMENT_3D('',#559084,#437446,#437447); #367098=AXIS2_PLACEMENT_3D('',#559090,#437451,#437452); #367099=AXIS2_PLACEMENT_3D('',#559096,#437456,#437457); #367100=AXIS2_PLACEMENT_3D('',#559102,#437461,#437462); #367101=AXIS2_PLACEMENT_3D('',#559108,#437466,#437467); #367102=AXIS2_PLACEMENT_3D('',#559114,#437471,#437472); #367103=AXIS2_PLACEMENT_3D('',#559120,#437476,#437477); #367104=AXIS2_PLACEMENT_3D('',#559126,#437481,#437482); #367105=AXIS2_PLACEMENT_3D('',#559132,#437486,#437487); #367106=AXIS2_PLACEMENT_3D('',#559138,#437491,#437492); #367107=AXIS2_PLACEMENT_3D('',#559144,#437496,#437497); #367108=AXIS2_PLACEMENT_3D('',#559150,#437501,#437502); #367109=AXIS2_PLACEMENT_3D('',#559156,#437506,#437507); #367110=AXIS2_PLACEMENT_3D('',#559159,#437510,#437511); #367111=AXIS2_PLACEMENT_3D('',#559168,#437516,#437517); #367112=AXIS2_PLACEMENT_3D('',#559174,#437521,#437522); #367113=AXIS2_PLACEMENT_3D('',#559180,#437526,#437527); #367114=AXIS2_PLACEMENT_3D('',#559186,#437531,#437532); #367115=AXIS2_PLACEMENT_3D('',#559192,#437536,#437537); #367116=AXIS2_PLACEMENT_3D('',#559198,#437541,#437542); #367117=AXIS2_PLACEMENT_3D('',#559204,#437546,#437547); #367118=AXIS2_PLACEMENT_3D('',#559210,#437551,#437552); #367119=AXIS2_PLACEMENT_3D('',#559216,#437556,#437557); #367120=AXIS2_PLACEMENT_3D('',#559222,#437561,#437562); #367121=AXIS2_PLACEMENT_3D('',#559228,#437566,#437567); #367122=AXIS2_PLACEMENT_3D('',#559234,#437571,#437572); #367123=AXIS2_PLACEMENT_3D('',#559240,#437576,#437577); #367124=AXIS2_PLACEMENT_3D('',#559246,#437581,#437582); #367125=AXIS2_PLACEMENT_3D('',#559252,#437586,#437587); #367126=AXIS2_PLACEMENT_3D('',#559258,#437591,#437592); #367127=AXIS2_PLACEMENT_3D('',#559264,#437596,#437597); #367128=AXIS2_PLACEMENT_3D('',#559270,#437601,#437602); #367129=AXIS2_PLACEMENT_3D('',#559276,#437606,#437607); #367130=AXIS2_PLACEMENT_3D('',#559282,#437611,#437612); #367131=AXIS2_PLACEMENT_3D('',#559288,#437616,#437617); #367132=AXIS2_PLACEMENT_3D('',#559294,#437621,#437622); #367133=AXIS2_PLACEMENT_3D('',#559300,#437626,#437627); #367134=AXIS2_PLACEMENT_3D('',#559306,#437631,#437632); #367135=AXIS2_PLACEMENT_3D('',#559312,#437636,#437637); #367136=AXIS2_PLACEMENT_3D('',#559318,#437641,#437642); #367137=AXIS2_PLACEMENT_3D('',#559324,#437646,#437647); #367138=AXIS2_PLACEMENT_3D('',#559330,#437651,#437652); #367139=AXIS2_PLACEMENT_3D('',#559336,#437656,#437657); #367140=AXIS2_PLACEMENT_3D('',#559339,#437660,#437661); #367141=AXIS2_PLACEMENT_3D('',#559348,#437666,#437667); #367142=AXIS2_PLACEMENT_3D('',#559354,#437671,#437672); #367143=AXIS2_PLACEMENT_3D('',#559360,#437676,#437677); #367144=AXIS2_PLACEMENT_3D('',#559366,#437681,#437682); #367145=AXIS2_PLACEMENT_3D('',#559372,#437686,#437687); #367146=AXIS2_PLACEMENT_3D('',#559378,#437691,#437692); #367147=AXIS2_PLACEMENT_3D('',#559384,#437696,#437697); #367148=AXIS2_PLACEMENT_3D('',#559390,#437701,#437702); #367149=AXIS2_PLACEMENT_3D('',#559396,#437706,#437707); #367150=AXIS2_PLACEMENT_3D('',#559402,#437711,#437712); #367151=AXIS2_PLACEMENT_3D('',#559408,#437716,#437717); #367152=AXIS2_PLACEMENT_3D('',#559414,#437721,#437722); #367153=AXIS2_PLACEMENT_3D('',#559420,#437726,#437727); #367154=AXIS2_PLACEMENT_3D('',#559426,#437731,#437732); #367155=AXIS2_PLACEMENT_3D('',#559432,#437736,#437737); #367156=AXIS2_PLACEMENT_3D('',#559438,#437741,#437742); #367157=AXIS2_PLACEMENT_3D('',#559444,#437746,#437747); #367158=AXIS2_PLACEMENT_3D('',#559450,#437751,#437752); #367159=AXIS2_PLACEMENT_3D('',#559456,#437756,#437757); #367160=AXIS2_PLACEMENT_3D('',#559462,#437761,#437762); #367161=AXIS2_PLACEMENT_3D('',#559468,#437766,#437767); #367162=AXIS2_PLACEMENT_3D('',#559474,#437771,#437772); #367163=AXIS2_PLACEMENT_3D('',#559480,#437776,#437777); #367164=AXIS2_PLACEMENT_3D('',#559486,#437781,#437782); #367165=AXIS2_PLACEMENT_3D('',#559492,#437786,#437787); #367166=AXIS2_PLACEMENT_3D('',#559498,#437791,#437792); #367167=AXIS2_PLACEMENT_3D('',#559504,#437796,#437797); #367168=AXIS2_PLACEMENT_3D('',#559510,#437801,#437802); #367169=AXIS2_PLACEMENT_3D('',#559516,#437806,#437807); #367170=AXIS2_PLACEMENT_3D('',#559519,#437810,#437811); #367171=AXIS2_PLACEMENT_3D('',#559528,#437816,#437817); #367172=AXIS2_PLACEMENT_3D('',#559534,#437821,#437822); #367173=AXIS2_PLACEMENT_3D('',#559540,#437826,#437827); #367174=AXIS2_PLACEMENT_3D('',#559546,#437831,#437832); #367175=AXIS2_PLACEMENT_3D('',#559552,#437836,#437837); #367176=AXIS2_PLACEMENT_3D('',#559558,#437841,#437842); #367177=AXIS2_PLACEMENT_3D('',#559564,#437846,#437847); #367178=AXIS2_PLACEMENT_3D('',#559570,#437851,#437852); #367179=AXIS2_PLACEMENT_3D('',#559576,#437856,#437857); #367180=AXIS2_PLACEMENT_3D('',#559582,#437861,#437862); #367181=AXIS2_PLACEMENT_3D('',#559588,#437866,#437867); #367182=AXIS2_PLACEMENT_3D('',#559594,#437871,#437872); #367183=AXIS2_PLACEMENT_3D('',#559600,#437876,#437877); #367184=AXIS2_PLACEMENT_3D('',#559606,#437881,#437882); #367185=AXIS2_PLACEMENT_3D('',#559612,#437886,#437887); #367186=AXIS2_PLACEMENT_3D('',#559618,#437891,#437892); #367187=AXIS2_PLACEMENT_3D('',#559624,#437896,#437897); #367188=AXIS2_PLACEMENT_3D('',#559630,#437901,#437902); #367189=AXIS2_PLACEMENT_3D('',#559636,#437906,#437907); #367190=AXIS2_PLACEMENT_3D('',#559642,#437911,#437912); #367191=AXIS2_PLACEMENT_3D('',#559648,#437916,#437917); #367192=AXIS2_PLACEMENT_3D('',#559654,#437921,#437922); #367193=AXIS2_PLACEMENT_3D('',#559660,#437926,#437927); #367194=AXIS2_PLACEMENT_3D('',#559666,#437931,#437932); #367195=AXIS2_PLACEMENT_3D('',#559672,#437936,#437937); #367196=AXIS2_PLACEMENT_3D('',#559678,#437941,#437942); #367197=AXIS2_PLACEMENT_3D('',#559684,#437946,#437947); #367198=AXIS2_PLACEMENT_3D('',#559690,#437951,#437952); #367199=AXIS2_PLACEMENT_3D('',#559696,#437956,#437957); #367200=AXIS2_PLACEMENT_3D('',#559702,#437961,#437962); #367201=AXIS2_PLACEMENT_3D('',#559708,#437966,#437967); #367202=AXIS2_PLACEMENT_3D('',#559714,#437971,#437972); #367203=AXIS2_PLACEMENT_3D('',#559720,#437976,#437977); #367204=AXIS2_PLACEMENT_3D('',#559726,#437981,#437982); #367205=AXIS2_PLACEMENT_3D('',#559732,#437986,#437987); #367206=AXIS2_PLACEMENT_3D('',#559738,#437991,#437992); #367207=AXIS2_PLACEMENT_3D('',#559744,#437996,#437997); #367208=AXIS2_PLACEMENT_3D('',#559750,#438001,#438002); #367209=AXIS2_PLACEMENT_3D('',#559756,#438006,#438007); #367210=AXIS2_PLACEMENT_3D('',#559762,#438011,#438012); #367211=AXIS2_PLACEMENT_3D('',#559768,#438016,#438017); #367212=AXIS2_PLACEMENT_3D('',#559774,#438021,#438022); #367213=AXIS2_PLACEMENT_3D('',#559780,#438026,#438027); #367214=AXIS2_PLACEMENT_3D('',#559786,#438031,#438032); #367215=AXIS2_PLACEMENT_3D('',#559792,#438036,#438037); #367216=AXIS2_PLACEMENT_3D('',#559798,#438041,#438042); #367217=AXIS2_PLACEMENT_3D('',#559804,#438046,#438047); #367218=AXIS2_PLACEMENT_3D('',#559810,#438051,#438052); #367219=AXIS2_PLACEMENT_3D('',#559816,#438056,#438057); #367220=AXIS2_PLACEMENT_3D('',#559822,#438061,#438062); #367221=AXIS2_PLACEMENT_3D('',#559828,#438066,#438067); #367222=AXIS2_PLACEMENT_3D('',#559834,#438071,#438072); #367223=AXIS2_PLACEMENT_3D('',#559840,#438076,#438077); #367224=AXIS2_PLACEMENT_3D('',#559846,#438081,#438082); #367225=AXIS2_PLACEMENT_3D('',#559852,#438086,#438087); #367226=AXIS2_PLACEMENT_3D('',#559858,#438091,#438092); #367227=AXIS2_PLACEMENT_3D('',#559864,#438096,#438097); #367228=AXIS2_PLACEMENT_3D('',#559870,#438101,#438102); #367229=AXIS2_PLACEMENT_3D('',#559876,#438106,#438107); #367230=AXIS2_PLACEMENT_3D('',#559882,#438111,#438112); #367231=AXIS2_PLACEMENT_3D('',#559888,#438116,#438117); #367232=AXIS2_PLACEMENT_3D('',#559894,#438121,#438122); #367233=AXIS2_PLACEMENT_3D('',#559900,#438126,#438127); #367234=AXIS2_PLACEMENT_3D('',#559906,#438131,#438132); #367235=AXIS2_PLACEMENT_3D('',#559912,#438136,#438137); #367236=AXIS2_PLACEMENT_3D('',#559918,#438141,#438142); #367237=AXIS2_PLACEMENT_3D('',#559924,#438146,#438147); #367238=AXIS2_PLACEMENT_3D('',#559930,#438151,#438152); #367239=AXIS2_PLACEMENT_3D('',#559936,#438156,#438157); #367240=AXIS2_PLACEMENT_3D('',#559942,#438161,#438162); #367241=AXIS2_PLACEMENT_3D('',#559948,#438166,#438167); #367242=AXIS2_PLACEMENT_3D('',#559954,#438171,#438172); #367243=AXIS2_PLACEMENT_3D('',#559960,#438176,#438177); #367244=AXIS2_PLACEMENT_3D('',#559966,#438181,#438182); #367245=AXIS2_PLACEMENT_3D('',#559972,#438186,#438187); #367246=AXIS2_PLACEMENT_3D('',#559978,#438191,#438192); #367247=AXIS2_PLACEMENT_3D('',#559984,#438196,#438197); #367248=AXIS2_PLACEMENT_3D('',#559990,#438201,#438202); #367249=AXIS2_PLACEMENT_3D('',#559996,#438206,#438207); #367250=AXIS2_PLACEMENT_3D('',#560002,#438211,#438212); #367251=AXIS2_PLACEMENT_3D('',#560008,#438216,#438217); #367252=AXIS2_PLACEMENT_3D('',#560014,#438221,#438222); #367253=AXIS2_PLACEMENT_3D('',#560020,#438226,#438227); #367254=AXIS2_PLACEMENT_3D('',#560026,#438231,#438232); #367255=AXIS2_PLACEMENT_3D('',#560032,#438236,#438237); #367256=AXIS2_PLACEMENT_3D('',#560038,#438241,#438242); #367257=AXIS2_PLACEMENT_3D('',#560044,#438246,#438247); #367258=AXIS2_PLACEMENT_3D('',#560050,#438251,#438252); #367259=AXIS2_PLACEMENT_3D('',#560056,#438256,#438257); #367260=AXIS2_PLACEMENT_3D('',#560062,#438261,#438262); #367261=AXIS2_PLACEMENT_3D('',#560068,#438266,#438267); #367262=AXIS2_PLACEMENT_3D('',#560074,#438271,#438272); #367263=AXIS2_PLACEMENT_3D('',#560080,#438276,#438277); #367264=AXIS2_PLACEMENT_3D('',#560086,#438281,#438282); #367265=AXIS2_PLACEMENT_3D('',#560092,#438286,#438287); #367266=AXIS2_PLACEMENT_3D('',#560098,#438291,#438292); #367267=AXIS2_PLACEMENT_3D('',#560104,#438296,#438297); #367268=AXIS2_PLACEMENT_3D('',#560110,#438301,#438302); #367269=AXIS2_PLACEMENT_3D('',#560116,#438306,#438307); #367270=AXIS2_PLACEMENT_3D('',#560122,#438311,#438312); #367271=AXIS2_PLACEMENT_3D('',#560128,#438316,#438317); #367272=AXIS2_PLACEMENT_3D('',#560134,#438321,#438322); #367273=AXIS2_PLACEMENT_3D('',#560140,#438326,#438327); #367274=AXIS2_PLACEMENT_3D('',#560146,#438331,#438332); #367275=AXIS2_PLACEMENT_3D('',#560152,#438336,#438337); #367276=AXIS2_PLACEMENT_3D('',#560158,#438341,#438342); #367277=AXIS2_PLACEMENT_3D('',#560164,#438346,#438347); #367278=AXIS2_PLACEMENT_3D('',#560170,#438351,#438352); #367279=AXIS2_PLACEMENT_3D('',#560176,#438356,#438357); #367280=AXIS2_PLACEMENT_3D('',#560182,#438361,#438362); #367281=AXIS2_PLACEMENT_3D('',#560188,#438366,#438367); #367282=AXIS2_PLACEMENT_3D('',#560194,#438371,#438372); #367283=AXIS2_PLACEMENT_3D('',#560200,#438376,#438377); #367284=AXIS2_PLACEMENT_3D('',#560206,#438381,#438382); #367285=AXIS2_PLACEMENT_3D('',#560212,#438386,#438387); #367286=AXIS2_PLACEMENT_3D('',#560218,#438391,#438392); #367287=AXIS2_PLACEMENT_3D('',#560224,#438396,#438397); #367288=AXIS2_PLACEMENT_3D('',#560230,#438401,#438402); #367289=AXIS2_PLACEMENT_3D('',#560236,#438406,#438407); #367290=AXIS2_PLACEMENT_3D('',#560239,#438410,#438411); #367291=AXIS2_PLACEMENT_3D('',#560240,#438412,#438413); #367292=AXIS2_PLACEMENT_3D('',#560241,#438414,#438415); #367293=AXIS2_PLACEMENT_3D('',#560250,#438420,#438421); #367294=AXIS2_PLACEMENT_3D('',#560256,#438425,#438426); #367295=AXIS2_PLACEMENT_3D('',#560262,#438430,#438431); #367296=AXIS2_PLACEMENT_3D('',#560268,#438435,#438436); #367297=AXIS2_PLACEMENT_3D('',#560274,#438440,#438441); #367298=AXIS2_PLACEMENT_3D('',#560280,#438445,#438446); #367299=AXIS2_PLACEMENT_3D('',#560286,#438450,#438451); #367300=AXIS2_PLACEMENT_3D('',#560292,#438455,#438456); #367301=AXIS2_PLACEMENT_3D('',#560298,#438460,#438461); #367302=AXIS2_PLACEMENT_3D('',#560304,#438465,#438466); #367303=AXIS2_PLACEMENT_3D('',#560310,#438470,#438471); #367304=AXIS2_PLACEMENT_3D('',#560316,#438475,#438476); #367305=AXIS2_PLACEMENT_3D('',#560322,#438480,#438481); #367306=AXIS2_PLACEMENT_3D('',#560328,#438485,#438486); #367307=AXIS2_PLACEMENT_3D('',#560334,#438490,#438491); #367308=AXIS2_PLACEMENT_3D('',#560340,#438495,#438496); #367309=AXIS2_PLACEMENT_3D('',#560346,#438500,#438501); #367310=AXIS2_PLACEMENT_3D('',#560352,#438505,#438506); #367311=AXIS2_PLACEMENT_3D('',#560358,#438510,#438511); #367312=AXIS2_PLACEMENT_3D('',#560364,#438515,#438516); #367313=AXIS2_PLACEMENT_3D('',#560370,#438520,#438521); #367314=AXIS2_PLACEMENT_3D('',#560376,#438525,#438526); #367315=AXIS2_PLACEMENT_3D('',#560382,#438530,#438531); #367316=AXIS2_PLACEMENT_3D('',#560388,#438535,#438536); #367317=AXIS2_PLACEMENT_3D('',#560394,#438540,#438541); #367318=AXIS2_PLACEMENT_3D('',#560400,#438545,#438546); #367319=AXIS2_PLACEMENT_3D('',#560403,#438549,#438550); #367320=AXIS2_PLACEMENT_3D('',#560404,#438551,#438552); #367321=AXIS2_PLACEMENT_3D('',#560405,#438553,#438554); #367322=AXIS2_PLACEMENT_3D('',#560414,#438559,#438560); #367323=AXIS2_PLACEMENT_3D('',#560420,#438564,#438565); #367324=AXIS2_PLACEMENT_3D('',#560426,#438569,#438570); #367325=AXIS2_PLACEMENT_3D('',#560432,#438574,#438575); #367326=AXIS2_PLACEMENT_3D('',#560438,#438579,#438580); #367327=AXIS2_PLACEMENT_3D('',#560444,#438584,#438585); #367328=AXIS2_PLACEMENT_3D('',#560450,#438589,#438590); #367329=AXIS2_PLACEMENT_3D('',#560456,#438594,#438595); #367330=AXIS2_PLACEMENT_3D('',#560462,#438599,#438600); #367331=AXIS2_PLACEMENT_3D('',#560468,#438604,#438605); #367332=AXIS2_PLACEMENT_3D('',#560474,#438609,#438610); #367333=AXIS2_PLACEMENT_3D('',#560480,#438614,#438615); #367334=AXIS2_PLACEMENT_3D('',#560486,#438619,#438620); #367335=AXIS2_PLACEMENT_3D('',#560492,#438624,#438625); #367336=AXIS2_PLACEMENT_3D('',#560498,#438629,#438630); #367337=AXIS2_PLACEMENT_3D('',#560504,#438634,#438635); #367338=AXIS2_PLACEMENT_3D('',#560510,#438639,#438640); #367339=AXIS2_PLACEMENT_3D('',#560516,#438644,#438645); #367340=AXIS2_PLACEMENT_3D('',#560522,#438649,#438650); #367341=AXIS2_PLACEMENT_3D('',#560528,#438654,#438655); #367342=AXIS2_PLACEMENT_3D('',#560531,#438658,#438659); #367343=AXIS2_PLACEMENT_3D('',#560532,#438660,#438661); #367344=AXIS2_PLACEMENT_3D('',#560533,#438662,#438663); #367345=AXIS2_PLACEMENT_3D('',#560542,#438668,#438669); #367346=AXIS2_PLACEMENT_3D('',#560548,#438673,#438674); #367347=AXIS2_PLACEMENT_3D('',#560554,#438678,#438679); #367348=AXIS2_PLACEMENT_3D('',#560560,#438683,#438684); #367349=AXIS2_PLACEMENT_3D('',#560566,#438688,#438689); #367350=AXIS2_PLACEMENT_3D('',#560572,#438693,#438694); #367351=AXIS2_PLACEMENT_3D('',#560578,#438698,#438699); #367352=AXIS2_PLACEMENT_3D('',#560584,#438703,#438704); #367353=AXIS2_PLACEMENT_3D('',#560590,#438708,#438709); #367354=AXIS2_PLACEMENT_3D('',#560596,#438713,#438714); #367355=AXIS2_PLACEMENT_3D('',#560602,#438718,#438719); #367356=AXIS2_PLACEMENT_3D('',#560608,#438723,#438724); #367357=AXIS2_PLACEMENT_3D('',#560614,#438728,#438729); #367358=AXIS2_PLACEMENT_3D('',#560620,#438733,#438734); #367359=AXIS2_PLACEMENT_3D('',#560626,#438738,#438739); #367360=AXIS2_PLACEMENT_3D('',#560632,#438743,#438744); #367361=AXIS2_PLACEMENT_3D('',#560638,#438748,#438749); #367362=AXIS2_PLACEMENT_3D('',#560644,#438753,#438754); #367363=AXIS2_PLACEMENT_3D('',#560650,#438758,#438759); #367364=AXIS2_PLACEMENT_3D('',#560653,#438762,#438763); #367365=AXIS2_PLACEMENT_3D('',#560654,#438764,#438765); #367366=AXIS2_PLACEMENT_3D('',#560655,#438766,#438767); #367367=AXIS2_PLACEMENT_3D('',#560664,#438772,#438773); #367368=AXIS2_PLACEMENT_3D('',#560670,#438777,#438778); #367369=AXIS2_PLACEMENT_3D('',#560676,#438782,#438783); #367370=AXIS2_PLACEMENT_3D('',#560682,#438787,#438788); #367371=AXIS2_PLACEMENT_3D('',#560688,#438792,#438793); #367372=AXIS2_PLACEMENT_3D('',#560694,#438797,#438798); #367373=AXIS2_PLACEMENT_3D('',#560700,#438802,#438803); #367374=AXIS2_PLACEMENT_3D('',#560706,#438807,#438808); #367375=AXIS2_PLACEMENT_3D('',#560712,#438812,#438813); #367376=AXIS2_PLACEMENT_3D('',#560718,#438817,#438818); #367377=AXIS2_PLACEMENT_3D('',#560724,#438822,#438823); #367378=AXIS2_PLACEMENT_3D('',#560730,#438827,#438828); #367379=AXIS2_PLACEMENT_3D('',#560736,#438832,#438833); #367380=AXIS2_PLACEMENT_3D('',#560742,#438837,#438838); #367381=AXIS2_PLACEMENT_3D('',#560748,#438842,#438843); #367382=AXIS2_PLACEMENT_3D('',#560754,#438847,#438848); #367383=AXIS2_PLACEMENT_3D('',#560760,#438852,#438853); #367384=AXIS2_PLACEMENT_3D('',#560766,#438857,#438858); #367385=AXIS2_PLACEMENT_3D('',#560772,#438862,#438863); #367386=AXIS2_PLACEMENT_3D('',#560778,#438867,#438868); #367387=AXIS2_PLACEMENT_3D('',#560784,#438872,#438873); #367388=AXIS2_PLACEMENT_3D('',#560790,#438877,#438878); #367389=AXIS2_PLACEMENT_3D('',#560796,#438882,#438883); #367390=AXIS2_PLACEMENT_3D('',#560802,#438887,#438888); #367391=AXIS2_PLACEMENT_3D('',#560808,#438892,#438893); #367392=AXIS2_PLACEMENT_3D('',#560814,#438897,#438898); #367393=AXIS2_PLACEMENT_3D('',#560820,#438902,#438903); #367394=AXIS2_PLACEMENT_3D('',#560826,#438907,#438908); #367395=AXIS2_PLACEMENT_3D('',#560832,#438912,#438913); #367396=AXIS2_PLACEMENT_3D('',#560838,#438917,#438918); #367397=AXIS2_PLACEMENT_3D('',#560844,#438922,#438923); #367398=AXIS2_PLACEMENT_3D('',#560850,#438927,#438928); #367399=AXIS2_PLACEMENT_3D('',#560856,#438932,#438933); #367400=AXIS2_PLACEMENT_3D('',#560862,#438937,#438938); #367401=AXIS2_PLACEMENT_3D('',#560868,#438942,#438943); #367402=AXIS2_PLACEMENT_3D('',#560874,#438947,#438948); #367403=AXIS2_PLACEMENT_3D('',#560880,#438952,#438953); #367404=AXIS2_PLACEMENT_3D('',#560886,#438957,#438958); #367405=AXIS2_PLACEMENT_3D('',#560892,#438962,#438963); #367406=AXIS2_PLACEMENT_3D('',#560898,#438967,#438968); #367407=AXIS2_PLACEMENT_3D('',#560904,#438972,#438973); #367408=AXIS2_PLACEMENT_3D('',#560910,#438977,#438978); #367409=AXIS2_PLACEMENT_3D('',#560916,#438982,#438983); #367410=AXIS2_PLACEMENT_3D('',#560922,#438987,#438988); #367411=AXIS2_PLACEMENT_3D('',#560928,#438992,#438993); #367412=AXIS2_PLACEMENT_3D('',#560934,#438997,#438998); #367413=AXIS2_PLACEMENT_3D('',#560937,#439001,#439002); #367414=AXIS2_PLACEMENT_3D('',#560938,#439003,#439004); #367415=AXIS2_PLACEMENT_3D('',#560939,#439005,#439006); #367416=AXIS2_PLACEMENT_3D('',#560948,#439011,#439012); #367417=AXIS2_PLACEMENT_3D('',#560954,#439016,#439017); #367418=AXIS2_PLACEMENT_3D('',#560960,#439021,#439022); #367419=AXIS2_PLACEMENT_3D('',#560966,#439026,#439027); #367420=AXIS2_PLACEMENT_3D('',#560972,#439031,#439032); #367421=AXIS2_PLACEMENT_3D('',#560978,#439036,#439037); #367422=AXIS2_PLACEMENT_3D('',#560984,#439041,#439042); #367423=AXIS2_PLACEMENT_3D('',#560990,#439046,#439047); #367424=AXIS2_PLACEMENT_3D('',#560996,#439051,#439052); #367425=AXIS2_PLACEMENT_3D('',#561002,#439056,#439057); #367426=AXIS2_PLACEMENT_3D('',#561008,#439061,#439062); #367427=AXIS2_PLACEMENT_3D('',#561014,#439066,#439067); #367428=AXIS2_PLACEMENT_3D('',#561020,#439071,#439072); #367429=AXIS2_PLACEMENT_3D('',#561026,#439076,#439077); #367430=AXIS2_PLACEMENT_3D('',#561032,#439081,#439082); #367431=AXIS2_PLACEMENT_3D('',#561038,#439086,#439087); #367432=AXIS2_PLACEMENT_3D('',#561044,#439091,#439092); #367433=AXIS2_PLACEMENT_3D('',#561050,#439096,#439097); #367434=AXIS2_PLACEMENT_3D('',#561056,#439101,#439102); #367435=AXIS2_PLACEMENT_3D('',#561062,#439106,#439107); #367436=AXIS2_PLACEMENT_3D('',#561068,#439111,#439112); #367437=AXIS2_PLACEMENT_3D('',#561074,#439116,#439117); #367438=AXIS2_PLACEMENT_3D('',#561080,#439121,#439122); #367439=AXIS2_PLACEMENT_3D('',#561086,#439126,#439127); #367440=AXIS2_PLACEMENT_3D('',#561092,#439131,#439132); #367441=AXIS2_PLACEMENT_3D('',#561098,#439136,#439137); #367442=AXIS2_PLACEMENT_3D('',#561104,#439141,#439142); #367443=AXIS2_PLACEMENT_3D('',#561110,#439146,#439147); #367444=AXIS2_PLACEMENT_3D('',#561116,#439151,#439152); #367445=AXIS2_PLACEMENT_3D('',#561122,#439156,#439157); #367446=AXIS2_PLACEMENT_3D('',#561128,#439161,#439162); #367447=AXIS2_PLACEMENT_3D('',#561134,#439166,#439167); #367448=AXIS2_PLACEMENT_3D('',#561140,#439171,#439172); #367449=AXIS2_PLACEMENT_3D('',#561143,#439175,#439176); #367450=AXIS2_PLACEMENT_3D('',#561144,#439177,#439178); #367451=AXIS2_PLACEMENT_3D('',#561145,#439179,#439180); #367452=AXIS2_PLACEMENT_3D('',#561154,#439185,#439186); #367453=AXIS2_PLACEMENT_3D('',#561160,#439190,#439191); #367454=AXIS2_PLACEMENT_3D('',#561166,#439195,#439196); #367455=AXIS2_PLACEMENT_3D('',#561172,#439200,#439201); #367456=AXIS2_PLACEMENT_3D('',#561178,#439205,#439206); #367457=AXIS2_PLACEMENT_3D('',#561184,#439210,#439211); #367458=AXIS2_PLACEMENT_3D('',#561190,#439215,#439216); #367459=AXIS2_PLACEMENT_3D('',#561196,#439220,#439221); #367460=AXIS2_PLACEMENT_3D('',#561202,#439225,#439226); #367461=AXIS2_PLACEMENT_3D('',#561208,#439230,#439231); #367462=AXIS2_PLACEMENT_3D('',#561214,#439235,#439236); #367463=AXIS2_PLACEMENT_3D('',#561220,#439240,#439241); #367464=AXIS2_PLACEMENT_3D('',#561226,#439245,#439246); #367465=AXIS2_PLACEMENT_3D('',#561232,#439250,#439251); #367466=AXIS2_PLACEMENT_3D('',#561238,#439255,#439256); #367467=AXIS2_PLACEMENT_3D('',#561244,#439260,#439261); #367468=AXIS2_PLACEMENT_3D('',#561250,#439265,#439266); #367469=AXIS2_PLACEMENT_3D('',#561256,#439270,#439271); #367470=AXIS2_PLACEMENT_3D('',#561262,#439275,#439276); #367471=AXIS2_PLACEMENT_3D('',#561268,#439280,#439281); #367472=AXIS2_PLACEMENT_3D('',#561274,#439285,#439286); #367473=AXIS2_PLACEMENT_3D('',#561277,#439289,#439290); #367474=AXIS2_PLACEMENT_3D('',#561278,#439291,#439292); #367475=AXIS2_PLACEMENT_3D('',#561279,#439293,#439294); #367476=AXIS2_PLACEMENT_3D('',#561288,#439299,#439300); #367477=AXIS2_PLACEMENT_3D('',#561294,#439304,#439305); #367478=AXIS2_PLACEMENT_3D('',#561300,#439309,#439310); #367479=AXIS2_PLACEMENT_3D('',#561306,#439314,#439315); #367480=AXIS2_PLACEMENT_3D('',#561312,#439319,#439320); #367481=AXIS2_PLACEMENT_3D('',#561318,#439324,#439325); #367482=AXIS2_PLACEMENT_3D('',#561324,#439329,#439330); #367483=AXIS2_PLACEMENT_3D('',#561330,#439334,#439335); #367484=AXIS2_PLACEMENT_3D('',#561336,#439339,#439340); #367485=AXIS2_PLACEMENT_3D('',#561342,#439344,#439345); #367486=AXIS2_PLACEMENT_3D('',#561348,#439349,#439350); #367487=AXIS2_PLACEMENT_3D('',#561354,#439354,#439355); #367488=AXIS2_PLACEMENT_3D('',#561360,#439359,#439360); #367489=AXIS2_PLACEMENT_3D('',#561366,#439364,#439365); #367490=AXIS2_PLACEMENT_3D('',#561372,#439369,#439370); #367491=AXIS2_PLACEMENT_3D('',#561378,#439374,#439375); #367492=AXIS2_PLACEMENT_3D('',#561384,#439379,#439380); #367493=AXIS2_PLACEMENT_3D('',#561390,#439384,#439385); #367494=AXIS2_PLACEMENT_3D('',#561396,#439389,#439390); #367495=AXIS2_PLACEMENT_3D('',#561399,#439393,#439394); #367496=AXIS2_PLACEMENT_3D('',#561400,#439395,#439396); #367497=AXIS2_PLACEMENT_3D('',#561401,#439397,#439398); #367498=AXIS2_PLACEMENT_3D('',#561410,#439403,#439404); #367499=AXIS2_PLACEMENT_3D('',#561416,#439408,#439409); #367500=AXIS2_PLACEMENT_3D('',#561422,#439413,#439414); #367501=AXIS2_PLACEMENT_3D('',#561428,#439418,#439419); #367502=AXIS2_PLACEMENT_3D('',#561434,#439423,#439424); #367503=AXIS2_PLACEMENT_3D('',#561440,#439428,#439429); #367504=AXIS2_PLACEMENT_3D('',#561446,#439433,#439434); #367505=AXIS2_PLACEMENT_3D('',#561452,#439438,#439439); #367506=AXIS2_PLACEMENT_3D('',#561458,#439443,#439444); #367507=AXIS2_PLACEMENT_3D('',#561464,#439448,#439449); #367508=AXIS2_PLACEMENT_3D('',#561470,#439453,#439454); #367509=AXIS2_PLACEMENT_3D('',#561476,#439458,#439459); #367510=AXIS2_PLACEMENT_3D('',#561482,#439463,#439464); #367511=AXIS2_PLACEMENT_3D('',#561488,#439468,#439469); #367512=AXIS2_PLACEMENT_3D('',#561494,#439473,#439474); #367513=AXIS2_PLACEMENT_3D('',#561500,#439478,#439479); #367514=AXIS2_PLACEMENT_3D('',#561506,#439483,#439484); #367515=AXIS2_PLACEMENT_3D('',#561512,#439488,#439489); #367516=AXIS2_PLACEMENT_3D('',#561518,#439493,#439494); #367517=AXIS2_PLACEMENT_3D('',#561524,#439498,#439499); #367518=AXIS2_PLACEMENT_3D('',#561530,#439503,#439504); #367519=AXIS2_PLACEMENT_3D('',#561536,#439508,#439509); #367520=AXIS2_PLACEMENT_3D('',#561542,#439513,#439514); #367521=AXIS2_PLACEMENT_3D('',#561548,#439518,#439519); #367522=AXIS2_PLACEMENT_3D('',#561554,#439523,#439524); #367523=AXIS2_PLACEMENT_3D('',#561560,#439528,#439529); #367524=AXIS2_PLACEMENT_3D('',#561566,#439533,#439534); #367525=AXIS2_PLACEMENT_3D('',#561572,#439538,#439539); #367526=AXIS2_PLACEMENT_3D('',#561578,#439543,#439544); #367527=AXIS2_PLACEMENT_3D('',#561584,#439548,#439549); #367528=AXIS2_PLACEMENT_3D('',#561590,#439553,#439554); #367529=AXIS2_PLACEMENT_3D('',#561596,#439558,#439559); #367530=AXIS2_PLACEMENT_3D('',#561602,#439563,#439564); #367531=AXIS2_PLACEMENT_3D('',#561608,#439568,#439569); #367532=AXIS2_PLACEMENT_3D('',#561614,#439573,#439574); #367533=AXIS2_PLACEMENT_3D('',#561620,#439578,#439579); #367534=AXIS2_PLACEMENT_3D('',#561626,#439583,#439584); #367535=AXIS2_PLACEMENT_3D('',#561632,#439588,#439589); #367536=AXIS2_PLACEMENT_3D('',#561638,#439593,#439594); #367537=AXIS2_PLACEMENT_3D('',#561644,#439598,#439599); #367538=AXIS2_PLACEMENT_3D('',#561650,#439603,#439604); #367539=AXIS2_PLACEMENT_3D('',#561656,#439608,#439609); #367540=AXIS2_PLACEMENT_3D('',#561662,#439613,#439614); #367541=AXIS2_PLACEMENT_3D('',#561668,#439618,#439619); #367542=AXIS2_PLACEMENT_3D('',#561674,#439623,#439624); #367543=AXIS2_PLACEMENT_3D('',#561680,#439628,#439629); #367544=AXIS2_PLACEMENT_3D('',#561686,#439633,#439634); #367545=AXIS2_PLACEMENT_3D('',#561692,#439638,#439639); #367546=AXIS2_PLACEMENT_3D('',#561698,#439643,#439644); #367547=AXIS2_PLACEMENT_3D('',#561704,#439648,#439649); #367548=AXIS2_PLACEMENT_3D('',#561710,#439653,#439654); #367549=AXIS2_PLACEMENT_3D('',#561716,#439658,#439659); #367550=AXIS2_PLACEMENT_3D('',#561722,#439663,#439664); #367551=AXIS2_PLACEMENT_3D('',#561728,#439668,#439669); #367552=AXIS2_PLACEMENT_3D('',#561734,#439673,#439674); #367553=AXIS2_PLACEMENT_3D('',#561740,#439678,#439679); #367554=AXIS2_PLACEMENT_3D('',#561746,#439683,#439684); #367555=AXIS2_PLACEMENT_3D('',#561752,#439688,#439689); #367556=AXIS2_PLACEMENT_3D('',#561758,#439693,#439694); #367557=AXIS2_PLACEMENT_3D('',#561764,#439698,#439699); #367558=AXIS2_PLACEMENT_3D('',#561770,#439703,#439704); #367559=AXIS2_PLACEMENT_3D('',#561776,#439708,#439709); #367560=AXIS2_PLACEMENT_3D('',#561782,#439713,#439714); #367561=AXIS2_PLACEMENT_3D('',#561788,#439718,#439719); #367562=AXIS2_PLACEMENT_3D('',#561794,#439723,#439724); #367563=AXIS2_PLACEMENT_3D('',#561800,#439728,#439729); #367564=AXIS2_PLACEMENT_3D('',#561806,#439733,#439734); #367565=AXIS2_PLACEMENT_3D('',#561812,#439738,#439739); #367566=AXIS2_PLACEMENT_3D('',#561818,#439743,#439744); #367567=AXIS2_PLACEMENT_3D('',#561824,#439748,#439749); #367568=AXIS2_PLACEMENT_3D('',#561830,#439753,#439754); #367569=AXIS2_PLACEMENT_3D('',#561833,#439757,#439758); #367570=AXIS2_PLACEMENT_3D('',#561834,#439759,#439760); #367571=AXIS2_PLACEMENT_3D('',#561835,#439761,#439762); #367572=AXIS2_PLACEMENT_3D('',#561844,#439767,#439768); #367573=AXIS2_PLACEMENT_3D('',#561850,#439772,#439773); #367574=AXIS2_PLACEMENT_3D('',#561856,#439777,#439778); #367575=AXIS2_PLACEMENT_3D('',#561862,#439782,#439783); #367576=AXIS2_PLACEMENT_3D('',#561868,#439787,#439788); #367577=AXIS2_PLACEMENT_3D('',#561874,#439792,#439793); #367578=AXIS2_PLACEMENT_3D('',#561880,#439797,#439798); #367579=AXIS2_PLACEMENT_3D('',#561886,#439802,#439803); #367580=AXIS2_PLACEMENT_3D('',#561892,#439807,#439808); #367581=AXIS2_PLACEMENT_3D('',#561898,#439812,#439813); #367582=AXIS2_PLACEMENT_3D('',#561904,#439817,#439818); #367583=AXIS2_PLACEMENT_3D('',#561910,#439822,#439823); #367584=AXIS2_PLACEMENT_3D('',#561916,#439827,#439828); #367585=AXIS2_PLACEMENT_3D('',#561922,#439832,#439833); #367586=AXIS2_PLACEMENT_3D('',#561928,#439837,#439838); #367587=AXIS2_PLACEMENT_3D('',#561934,#439842,#439843); #367588=AXIS2_PLACEMENT_3D('',#561940,#439847,#439848); #367589=AXIS2_PLACEMENT_3D('',#561946,#439852,#439853); #367590=AXIS2_PLACEMENT_3D('',#561952,#439857,#439858); #367591=AXIS2_PLACEMENT_3D('',#561958,#439862,#439863); #367592=AXIS2_PLACEMENT_3D('',#561964,#439867,#439868); #367593=AXIS2_PLACEMENT_3D('',#561970,#439872,#439873); #367594=AXIS2_PLACEMENT_3D('',#561976,#439877,#439878); #367595=AXIS2_PLACEMENT_3D('',#561982,#439882,#439883); #367596=AXIS2_PLACEMENT_3D('',#561988,#439887,#439888); #367597=AXIS2_PLACEMENT_3D('',#561994,#439892,#439893); #367598=AXIS2_PLACEMENT_3D('',#562000,#439897,#439898); #367599=AXIS2_PLACEMENT_3D('',#562003,#439901,#439902); #367600=AXIS2_PLACEMENT_3D('',#562004,#439903,#439904); #367601=AXIS2_PLACEMENT_3D('',#562005,#439905,#439906); #367602=AXIS2_PLACEMENT_3D('',#562007,#439907,#439908); #367603=AXIS2_PLACEMENT_3D('',#562010,#439910,#439911); #367604=AXIS2_PLACEMENT_3D('',#562011,#439912,#439913); #367605=AXIS2_PLACEMENT_3D('',#562013,#439914,#439915); #367606=AXIS2_PLACEMENT_3D('',#562016,#439917,#439918); #367607=AXIS2_PLACEMENT_3D('',#562017,#439919,#439920); #367608=AXIS2_PLACEMENT_3D('',#562019,#439921,#439922); #367609=AXIS2_PLACEMENT_3D('',#562022,#439924,#439925); #367610=AXIS2_PLACEMENT_3D('',#562023,#439926,#439927); #367611=AXIS2_PLACEMENT_3D('',#562025,#439928,#439929); #367612=AXIS2_PLACEMENT_3D('',#562028,#439931,#439932); #367613=AXIS2_PLACEMENT_3D('',#562029,#439933,#439934); #367614=AXIS2_PLACEMENT_3D('',#562031,#439935,#439936); #367615=AXIS2_PLACEMENT_3D('',#562034,#439938,#439939); #367616=AXIS2_PLACEMENT_3D('',#562035,#439940,#439941); #367617=AXIS2_PLACEMENT_3D('',#562037,#439942,#439943); #367618=AXIS2_PLACEMENT_3D('',#562040,#439945,#439946); #367619=AXIS2_PLACEMENT_3D('',#562041,#439947,#439948); #367620=AXIS2_PLACEMENT_3D('',#562043,#439949,#439950); #367621=AXIS2_PLACEMENT_3D('',#562046,#439952,#439953); #367622=AXIS2_PLACEMENT_3D('',#562047,#439954,#439955); #367623=AXIS2_PLACEMENT_3D('',#562049,#439956,#439957); #367624=AXIS2_PLACEMENT_3D('',#562052,#439959,#439960); #367625=AXIS2_PLACEMENT_3D('',#562053,#439961,#439962); #367626=AXIS2_PLACEMENT_3D('',#562055,#439963,#439964); #367627=AXIS2_PLACEMENT_3D('',#562058,#439966,#439967); #367628=AXIS2_PLACEMENT_3D('',#562059,#439968,#439969); #367629=AXIS2_PLACEMENT_3D('',#562061,#439970,#439971); #367630=AXIS2_PLACEMENT_3D('',#562064,#439973,#439974); #367631=AXIS2_PLACEMENT_3D('',#562065,#439975,#439976); #367632=AXIS2_PLACEMENT_3D('',#562067,#439977,#439978); #367633=AXIS2_PLACEMENT_3D('',#562070,#439980,#439981); #367634=AXIS2_PLACEMENT_3D('',#562071,#439982,#439983); #367635=AXIS2_PLACEMENT_3D('',#562073,#439984,#439985); #367636=AXIS2_PLACEMENT_3D('',#562076,#439987,#439988); #367637=AXIS2_PLACEMENT_3D('',#562077,#439989,#439990); #367638=AXIS2_PLACEMENT_3D('',#562079,#439991,#439992); #367639=AXIS2_PLACEMENT_3D('',#562082,#439994,#439995); #367640=AXIS2_PLACEMENT_3D('',#562083,#439996,#439997); #367641=AXIS2_PLACEMENT_3D('',#562085,#439998,#439999); #367642=AXIS2_PLACEMENT_3D('',#562088,#440001,#440002); #367643=AXIS2_PLACEMENT_3D('',#562089,#440003,#440004); #367644=AXIS2_PLACEMENT_3D('',#562091,#440005,#440006); #367645=AXIS2_PLACEMENT_3D('',#562094,#440008,#440009); #367646=AXIS2_PLACEMENT_3D('',#562095,#440010,#440011); #367647=AXIS2_PLACEMENT_3D('',#562097,#440012,#440013); #367648=AXIS2_PLACEMENT_3D('',#562100,#440015,#440016); #367649=AXIS2_PLACEMENT_3D('',#562101,#440017,#440018); #367650=AXIS2_PLACEMENT_3D('',#562110,#440023,#440024); #367651=AXIS2_PLACEMENT_3D('',#562116,#440028,#440029); #367652=AXIS2_PLACEMENT_3D('',#562122,#440033,#440034); #367653=AXIS2_PLACEMENT_3D('',#562128,#440038,#440039); #367654=AXIS2_PLACEMENT_3D('',#562134,#440043,#440044); #367655=AXIS2_PLACEMENT_3D('',#562140,#440048,#440049); #367656=AXIS2_PLACEMENT_3D('',#562146,#440053,#440054); #367657=AXIS2_PLACEMENT_3D('',#562152,#440058,#440059); #367658=AXIS2_PLACEMENT_3D('',#562158,#440063,#440064); #367659=AXIS2_PLACEMENT_3D('',#562164,#440068,#440069); #367660=AXIS2_PLACEMENT_3D('',#562170,#440073,#440074); #367661=AXIS2_PLACEMENT_3D('',#562176,#440078,#440079); #367662=AXIS2_PLACEMENT_3D('',#562182,#440083,#440084); #367663=AXIS2_PLACEMENT_3D('',#562188,#440088,#440089); #367664=AXIS2_PLACEMENT_3D('',#562194,#440093,#440094); #367665=AXIS2_PLACEMENT_3D('',#562200,#440098,#440099); #367666=AXIS2_PLACEMENT_3D('',#562206,#440103,#440104); #367667=AXIS2_PLACEMENT_3D('',#562212,#440108,#440109); #367668=AXIS2_PLACEMENT_3D('',#562218,#440113,#440114); #367669=AXIS2_PLACEMENT_3D('',#562224,#440118,#440119); #367670=AXIS2_PLACEMENT_3D('',#562230,#440123,#440124); #367671=AXIS2_PLACEMENT_3D('',#562236,#440128,#440129); #367672=AXIS2_PLACEMENT_3D('',#562242,#440133,#440134); #367673=AXIS2_PLACEMENT_3D('',#562248,#440138,#440139); #367674=AXIS2_PLACEMENT_3D('',#562254,#440143,#440144); #367675=AXIS2_PLACEMENT_3D('',#562260,#440148,#440149); #367676=AXIS2_PLACEMENT_3D('',#562266,#440153,#440154); #367677=AXIS2_PLACEMENT_3D('',#562272,#440158,#440159); #367678=AXIS2_PLACEMENT_3D('',#562278,#440163,#440164); #367679=AXIS2_PLACEMENT_3D('',#562284,#440168,#440169); #367680=AXIS2_PLACEMENT_3D('',#562290,#440173,#440174); #367681=AXIS2_PLACEMENT_3D('',#562296,#440178,#440179); #367682=AXIS2_PLACEMENT_3D('',#562302,#440183,#440184); #367683=AXIS2_PLACEMENT_3D('',#562308,#440188,#440189); #367684=AXIS2_PLACEMENT_3D('',#562314,#440193,#440194); #367685=AXIS2_PLACEMENT_3D('',#562320,#440198,#440199); #367686=AXIS2_PLACEMENT_3D('',#562326,#440203,#440204); #367687=AXIS2_PLACEMENT_3D('',#562332,#440208,#440209); #367688=AXIS2_PLACEMENT_3D('',#562338,#440213,#440214); #367689=AXIS2_PLACEMENT_3D('',#562344,#440218,#440219); #367690=AXIS2_PLACEMENT_3D('',#562350,#440223,#440224); #367691=AXIS2_PLACEMENT_3D('',#562356,#440228,#440229); #367692=AXIS2_PLACEMENT_3D('',#562362,#440233,#440234); #367693=AXIS2_PLACEMENT_3D('',#562368,#440238,#440239); #367694=AXIS2_PLACEMENT_3D('',#562374,#440243,#440244); #367695=AXIS2_PLACEMENT_3D('',#562380,#440248,#440249); #367696=AXIS2_PLACEMENT_3D('',#562386,#440253,#440254); #367697=AXIS2_PLACEMENT_3D('',#562392,#440258,#440259); #367698=AXIS2_PLACEMENT_3D('',#562398,#440263,#440264); #367699=AXIS2_PLACEMENT_3D('',#562404,#440268,#440269); #367700=AXIS2_PLACEMENT_3D('',#562410,#440273,#440274); #367701=AXIS2_PLACEMENT_3D('',#562416,#440278,#440279); #367702=AXIS2_PLACEMENT_3D('',#562422,#440283,#440284); #367703=AXIS2_PLACEMENT_3D('',#562428,#440288,#440289); #367704=AXIS2_PLACEMENT_3D('',#562434,#440293,#440294); #367705=AXIS2_PLACEMENT_3D('',#562440,#440298,#440299); #367706=AXIS2_PLACEMENT_3D('',#562446,#440303,#440304); #367707=AXIS2_PLACEMENT_3D('',#562452,#440308,#440309); #367708=AXIS2_PLACEMENT_3D('',#562458,#440313,#440314); #367709=AXIS2_PLACEMENT_3D('',#562464,#440318,#440319); #367710=AXIS2_PLACEMENT_3D('',#562470,#440323,#440324); #367711=AXIS2_PLACEMENT_3D('',#562476,#440328,#440329); #367712=AXIS2_PLACEMENT_3D('',#562482,#440333,#440334); #367713=AXIS2_PLACEMENT_3D('',#562488,#440338,#440339); #367714=AXIS2_PLACEMENT_3D('',#562494,#440343,#440344); #367715=AXIS2_PLACEMENT_3D('',#562500,#440348,#440349); #367716=AXIS2_PLACEMENT_3D('',#562506,#440353,#440354); #367717=AXIS2_PLACEMENT_3D('',#562512,#440358,#440359); #367718=AXIS2_PLACEMENT_3D('',#562518,#440363,#440364); #367719=AXIS2_PLACEMENT_3D('',#562524,#440368,#440369); #367720=AXIS2_PLACEMENT_3D('',#562530,#440373,#440374); #367721=AXIS2_PLACEMENT_3D('',#562536,#440378,#440379); #367722=AXIS2_PLACEMENT_3D('',#562542,#440383,#440384); #367723=AXIS2_PLACEMENT_3D('',#562545,#440387,#440388); #367724=AXIS2_PLACEMENT_3D('',#562546,#440389,#440390); #367725=AXIS2_PLACEMENT_3D('',#562547,#440391,#440392); #367726=AXIS2_PLACEMENT_3D('',#562549,#440393,#440394); #367727=AXIS2_PLACEMENT_3D('',#562552,#440396,#440397); #367728=AXIS2_PLACEMENT_3D('',#562553,#440398,#440399); #367729=AXIS2_PLACEMENT_3D('',#562555,#440400,#440401); #367730=AXIS2_PLACEMENT_3D('',#562558,#440403,#440404); #367731=AXIS2_PLACEMENT_3D('',#562559,#440405,#440406); #367732=AXIS2_PLACEMENT_3D('',#562561,#440407,#440408); #367733=AXIS2_PLACEMENT_3D('',#562564,#440410,#440411); #367734=AXIS2_PLACEMENT_3D('',#562565,#440412,#440413); #367735=AXIS2_PLACEMENT_3D('',#562567,#440414,#440415); #367736=AXIS2_PLACEMENT_3D('',#562570,#440417,#440418); #367737=AXIS2_PLACEMENT_3D('',#562571,#440419,#440420); #367738=AXIS2_PLACEMENT_3D('',#562573,#440421,#440422); #367739=AXIS2_PLACEMENT_3D('',#562576,#440424,#440425); #367740=AXIS2_PLACEMENT_3D('',#562577,#440426,#440427); #367741=AXIS2_PLACEMENT_3D('',#562579,#440428,#440429); #367742=AXIS2_PLACEMENT_3D('',#562582,#440431,#440432); #367743=AXIS2_PLACEMENT_3D('',#562583,#440433,#440434); #367744=AXIS2_PLACEMENT_3D('',#562585,#440435,#440436); #367745=AXIS2_PLACEMENT_3D('',#562588,#440438,#440439); #367746=AXIS2_PLACEMENT_3D('',#562589,#440440,#440441); #367747=AXIS2_PLACEMENT_3D('',#562591,#440442,#440443); #367748=AXIS2_PLACEMENT_3D('',#562594,#440445,#440446); #367749=AXIS2_PLACEMENT_3D('',#562595,#440447,#440448); #367750=AXIS2_PLACEMENT_3D('',#562597,#440449,#440450); #367751=AXIS2_PLACEMENT_3D('',#562600,#440452,#440453); #367752=AXIS2_PLACEMENT_3D('',#562601,#440454,#440455); #367753=AXIS2_PLACEMENT_3D('',#562603,#440456,#440457); #367754=AXIS2_PLACEMENT_3D('',#562606,#440459,#440460); #367755=AXIS2_PLACEMENT_3D('',#562607,#440461,#440462); #367756=AXIS2_PLACEMENT_3D('',#562609,#440463,#440464); #367757=AXIS2_PLACEMENT_3D('',#562612,#440466,#440467); #367758=AXIS2_PLACEMENT_3D('',#562613,#440468,#440469); #367759=AXIS2_PLACEMENT_3D('',#562615,#440470,#440471); #367760=AXIS2_PLACEMENT_3D('',#562618,#440473,#440474); #367761=AXIS2_PLACEMENT_3D('',#562619,#440475,#440476); #367762=AXIS2_PLACEMENT_3D('',#562621,#440477,#440478); #367763=AXIS2_PLACEMENT_3D('',#562624,#440480,#440481); #367764=AXIS2_PLACEMENT_3D('',#562625,#440482,#440483); #367765=AXIS2_PLACEMENT_3D('',#562627,#440484,#440485); #367766=AXIS2_PLACEMENT_3D('',#562630,#440487,#440488); #367767=AXIS2_PLACEMENT_3D('',#562631,#440489,#440490); #367768=AXIS2_PLACEMENT_3D('',#562633,#440491,#440492); #367769=AXIS2_PLACEMENT_3D('',#562636,#440494,#440495); #367770=AXIS2_PLACEMENT_3D('',#562637,#440496,#440497); #367771=AXIS2_PLACEMENT_3D('',#562639,#440498,#440499); #367772=AXIS2_PLACEMENT_3D('',#562642,#440501,#440502); #367773=AXIS2_PLACEMENT_3D('',#562643,#440503,#440504); #367774=AXIS2_PLACEMENT_3D('',#562652,#440509,#440510); #367775=AXIS2_PLACEMENT_3D('',#562658,#440514,#440515); #367776=AXIS2_PLACEMENT_3D('',#562664,#440519,#440520); #367777=AXIS2_PLACEMENT_3D('',#562670,#440524,#440525); #367778=AXIS2_PLACEMENT_3D('',#562676,#440529,#440530); #367779=AXIS2_PLACEMENT_3D('',#562682,#440534,#440535); #367780=AXIS2_PLACEMENT_3D('',#562688,#440539,#440540); #367781=AXIS2_PLACEMENT_3D('',#562694,#440544,#440545); #367782=AXIS2_PLACEMENT_3D('',#562700,#440549,#440550); #367783=AXIS2_PLACEMENT_3D('',#562706,#440554,#440555); #367784=AXIS2_PLACEMENT_3D('',#562712,#440559,#440560); #367785=AXIS2_PLACEMENT_3D('',#562718,#440564,#440565); #367786=AXIS2_PLACEMENT_3D('',#562724,#440569,#440570); #367787=AXIS2_PLACEMENT_3D('',#562730,#440574,#440575); #367788=AXIS2_PLACEMENT_3D('',#562736,#440579,#440580); #367789=AXIS2_PLACEMENT_3D('',#562742,#440584,#440585); #367790=AXIS2_PLACEMENT_3D('',#562748,#440589,#440590); #367791=AXIS2_PLACEMENT_3D('',#562754,#440594,#440595); #367792=AXIS2_PLACEMENT_3D('',#562760,#440599,#440600); #367793=AXIS2_PLACEMENT_3D('',#562766,#440604,#440605); #367794=AXIS2_PLACEMENT_3D('',#562772,#440609,#440610); #367795=AXIS2_PLACEMENT_3D('',#562778,#440614,#440615); #367796=AXIS2_PLACEMENT_3D('',#562784,#440619,#440620); #367797=AXIS2_PLACEMENT_3D('',#562790,#440624,#440625); #367798=AXIS2_PLACEMENT_3D('',#562796,#440629,#440630); #367799=AXIS2_PLACEMENT_3D('',#562802,#440634,#440635); #367800=AXIS2_PLACEMENT_3D('',#562808,#440639,#440640); #367801=AXIS2_PLACEMENT_3D('',#562814,#440644,#440645); #367802=AXIS2_PLACEMENT_3D('',#562820,#440649,#440650); #367803=AXIS2_PLACEMENT_3D('',#562826,#440654,#440655); #367804=AXIS2_PLACEMENT_3D('',#562832,#440659,#440660); #367805=AXIS2_PLACEMENT_3D('',#562838,#440664,#440665); #367806=AXIS2_PLACEMENT_3D('',#562844,#440669,#440670); #367807=AXIS2_PLACEMENT_3D('',#562850,#440674,#440675); #367808=AXIS2_PLACEMENT_3D('',#562856,#440679,#440680); #367809=AXIS2_PLACEMENT_3D('',#562862,#440684,#440685); #367810=AXIS2_PLACEMENT_3D('',#562868,#440689,#440690); #367811=AXIS2_PLACEMENT_3D('',#562874,#440694,#440695); #367812=AXIS2_PLACEMENT_3D('',#562880,#440699,#440700); #367813=AXIS2_PLACEMENT_3D('',#562886,#440704,#440705); #367814=AXIS2_PLACEMENT_3D('',#562892,#440709,#440710); #367815=AXIS2_PLACEMENT_3D('',#562898,#440714,#440715); #367816=AXIS2_PLACEMENT_3D('',#562904,#440719,#440720); #367817=AXIS2_PLACEMENT_3D('',#562910,#440724,#440725); #367818=AXIS2_PLACEMENT_3D('',#562916,#440729,#440730); #367819=AXIS2_PLACEMENT_3D('',#562922,#440734,#440735); #367820=AXIS2_PLACEMENT_3D('',#562928,#440739,#440740); #367821=AXIS2_PLACEMENT_3D('',#562934,#440744,#440745); #367822=AXIS2_PLACEMENT_3D('',#562940,#440749,#440750); #367823=AXIS2_PLACEMENT_3D('',#562946,#440754,#440755); #367824=AXIS2_PLACEMENT_3D('',#562952,#440759,#440760); #367825=AXIS2_PLACEMENT_3D('',#562958,#440764,#440765); #367826=AXIS2_PLACEMENT_3D('',#562964,#440769,#440770); #367827=AXIS2_PLACEMENT_3D('',#562970,#440774,#440775); #367828=AXIS2_PLACEMENT_3D('',#562976,#440779,#440780); #367829=AXIS2_PLACEMENT_3D('',#562982,#440784,#440785); #367830=AXIS2_PLACEMENT_3D('',#562988,#440789,#440790); #367831=AXIS2_PLACEMENT_3D('',#562994,#440794,#440795); #367832=AXIS2_PLACEMENT_3D('',#563000,#440799,#440800); #367833=AXIS2_PLACEMENT_3D('',#563006,#440804,#440805); #367834=AXIS2_PLACEMENT_3D('',#563012,#440809,#440810); #367835=AXIS2_PLACEMENT_3D('',#563018,#440814,#440815); #367836=AXIS2_PLACEMENT_3D('',#563024,#440819,#440820); #367837=AXIS2_PLACEMENT_3D('',#563030,#440824,#440825); #367838=AXIS2_PLACEMENT_3D('',#563036,#440829,#440830); #367839=AXIS2_PLACEMENT_3D('',#563042,#440834,#440835); #367840=AXIS2_PLACEMENT_3D('',#563048,#440839,#440840); #367841=AXIS2_PLACEMENT_3D('',#563054,#440844,#440845); #367842=AXIS2_PLACEMENT_3D('',#563060,#440849,#440850); #367843=AXIS2_PLACEMENT_3D('',#563063,#440853,#440854); #367844=AXIS2_PLACEMENT_3D('',#563064,#440855,#440856); #367845=AXIS2_PLACEMENT_3D('',#563065,#440857,#440858); #367846=AXIS2_PLACEMENT_3D('',#563067,#440859,#440860); #367847=AXIS2_PLACEMENT_3D('',#563070,#440862,#440863); #367848=AXIS2_PLACEMENT_3D('',#563071,#440864,#440865); #367849=AXIS2_PLACEMENT_3D('',#563073,#440866,#440867); #367850=AXIS2_PLACEMENT_3D('',#563076,#440869,#440870); #367851=AXIS2_PLACEMENT_3D('',#563077,#440871,#440872); #367852=AXIS2_PLACEMENT_3D('',#563079,#440873,#440874); #367853=AXIS2_PLACEMENT_3D('',#563082,#440876,#440877); #367854=AXIS2_PLACEMENT_3D('',#563083,#440878,#440879); #367855=AXIS2_PLACEMENT_3D('',#563085,#440880,#440881); #367856=AXIS2_PLACEMENT_3D('',#563088,#440883,#440884); #367857=AXIS2_PLACEMENT_3D('',#563089,#440885,#440886); #367858=AXIS2_PLACEMENT_3D('',#563091,#440887,#440888); #367859=AXIS2_PLACEMENT_3D('',#563094,#440890,#440891); #367860=AXIS2_PLACEMENT_3D('',#563095,#440892,#440893); #367861=AXIS2_PLACEMENT_3D('',#563097,#440894,#440895); #367862=AXIS2_PLACEMENT_3D('',#563100,#440897,#440898); #367863=AXIS2_PLACEMENT_3D('',#563101,#440899,#440900); #367864=AXIS2_PLACEMENT_3D('',#563103,#440901,#440902); #367865=AXIS2_PLACEMENT_3D('',#563106,#440904,#440905); #367866=AXIS2_PLACEMENT_3D('',#563107,#440906,#440907); #367867=AXIS2_PLACEMENT_3D('',#563109,#440908,#440909); #367868=AXIS2_PLACEMENT_3D('',#563112,#440911,#440912); #367869=AXIS2_PLACEMENT_3D('',#563113,#440913,#440914); #367870=AXIS2_PLACEMENT_3D('',#563115,#440915,#440916); #367871=AXIS2_PLACEMENT_3D('',#563118,#440918,#440919); #367872=AXIS2_PLACEMENT_3D('',#563119,#440920,#440921); #367873=AXIS2_PLACEMENT_3D('',#563121,#440922,#440923); #367874=AXIS2_PLACEMENT_3D('',#563124,#440925,#440926); #367875=AXIS2_PLACEMENT_3D('',#563125,#440927,#440928); #367876=AXIS2_PLACEMENT_3D('',#563127,#440929,#440930); #367877=AXIS2_PLACEMENT_3D('',#563130,#440932,#440933); #367878=AXIS2_PLACEMENT_3D('',#563131,#440934,#440935); #367879=AXIS2_PLACEMENT_3D('',#563133,#440936,#440937); #367880=AXIS2_PLACEMENT_3D('',#563136,#440939,#440940); #367881=AXIS2_PLACEMENT_3D('',#563137,#440941,#440942); #367882=AXIS2_PLACEMENT_3D('',#563139,#440943,#440944); #367883=AXIS2_PLACEMENT_3D('',#563142,#440946,#440947); #367884=AXIS2_PLACEMENT_3D('',#563143,#440948,#440949); #367885=AXIS2_PLACEMENT_3D('',#563145,#440950,#440951); #367886=AXIS2_PLACEMENT_3D('',#563148,#440953,#440954); #367887=AXIS2_PLACEMENT_3D('',#563149,#440955,#440956); #367888=AXIS2_PLACEMENT_3D('',#563151,#440957,#440958); #367889=AXIS2_PLACEMENT_3D('',#563154,#440960,#440961); #367890=AXIS2_PLACEMENT_3D('',#563155,#440962,#440963); #367891=AXIS2_PLACEMENT_3D('',#563157,#440964,#440965); #367892=AXIS2_PLACEMENT_3D('',#563160,#440967,#440968); #367893=AXIS2_PLACEMENT_3D('',#563161,#440969,#440970); #367894=AXIS2_PLACEMENT_3D('',#563163,#440971,#440972); #367895=AXIS2_PLACEMENT_3D('',#563166,#440974,#440975); #367896=AXIS2_PLACEMENT_3D('',#563167,#440976,#440977); #367897=AXIS2_PLACEMENT_3D('',#563169,#440978,#440979); #367898=AXIS2_PLACEMENT_3D('',#563172,#440981,#440982); #367899=AXIS2_PLACEMENT_3D('',#563173,#440983,#440984); #367900=AXIS2_PLACEMENT_3D('',#563175,#440985,#440986); #367901=AXIS2_PLACEMENT_3D('',#563178,#440988,#440989); #367902=AXIS2_PLACEMENT_3D('',#563179,#440990,#440991); #367903=AXIS2_PLACEMENT_3D('',#563181,#440992,#440993); #367904=AXIS2_PLACEMENT_3D('',#563184,#440995,#440996); #367905=AXIS2_PLACEMENT_3D('',#563185,#440997,#440998); #367906=AXIS2_PLACEMENT_3D('',#563187,#440999,#441000); #367907=AXIS2_PLACEMENT_3D('',#563190,#441002,#441003); #367908=AXIS2_PLACEMENT_3D('',#563191,#441004,#441005); #367909=AXIS2_PLACEMENT_3D('',#563193,#441006,#441007); #367910=AXIS2_PLACEMENT_3D('',#563196,#441009,#441010); #367911=AXIS2_PLACEMENT_3D('',#563197,#441011,#441012); #367912=AXIS2_PLACEMENT_3D('',#563199,#441013,#441014); #367913=AXIS2_PLACEMENT_3D('',#563202,#441016,#441017); #367914=AXIS2_PLACEMENT_3D('',#563203,#441018,#441019); #367915=AXIS2_PLACEMENT_3D('',#563205,#441020,#441021); #367916=AXIS2_PLACEMENT_3D('',#563208,#441023,#441024); #367917=AXIS2_PLACEMENT_3D('',#563209,#441025,#441026); #367918=AXIS2_PLACEMENT_3D('',#563211,#441027,#441028); #367919=AXIS2_PLACEMENT_3D('',#563214,#441030,#441031); #367920=AXIS2_PLACEMENT_3D('',#563215,#441032,#441033); #367921=AXIS2_PLACEMENT_3D('',#563217,#441034,#441035); #367922=AXIS2_PLACEMENT_3D('',#563220,#441037,#441038); #367923=AXIS2_PLACEMENT_3D('',#563221,#441039,#441040); #367924=AXIS2_PLACEMENT_3D('',#563223,#441041,#441042); #367925=AXIS2_PLACEMENT_3D('',#563226,#441044,#441045); #367926=AXIS2_PLACEMENT_3D('',#563227,#441046,#441047); #367927=AXIS2_PLACEMENT_3D('',#563229,#441048,#441049); #367928=AXIS2_PLACEMENT_3D('',#563232,#441051,#441052); #367929=AXIS2_PLACEMENT_3D('',#563233,#441053,#441054); #367930=AXIS2_PLACEMENT_3D('',#563235,#441055,#441056); #367931=AXIS2_PLACEMENT_3D('',#563238,#441058,#441059); #367932=AXIS2_PLACEMENT_3D('',#563239,#441060,#441061); #367933=AXIS2_PLACEMENT_3D('',#563241,#441062,#441063); #367934=AXIS2_PLACEMENT_3D('',#563244,#441065,#441066); #367935=AXIS2_PLACEMENT_3D('',#563245,#441067,#441068); #367936=AXIS2_PLACEMENT_3D('',#563254,#441073,#441074); #367937=AXIS2_PLACEMENT_3D('',#563260,#441078,#441079); #367938=AXIS2_PLACEMENT_3D('',#563266,#441083,#441084); #367939=AXIS2_PLACEMENT_3D('',#563272,#441088,#441089); #367940=AXIS2_PLACEMENT_3D('',#563278,#441093,#441094); #367941=AXIS2_PLACEMENT_3D('',#563284,#441098,#441099); #367942=AXIS2_PLACEMENT_3D('',#563290,#441103,#441104); #367943=AXIS2_PLACEMENT_3D('',#563296,#441108,#441109); #367944=AXIS2_PLACEMENT_3D('',#563302,#441113,#441114); #367945=AXIS2_PLACEMENT_3D('',#563308,#441118,#441119); #367946=AXIS2_PLACEMENT_3D('',#563314,#441123,#441124); #367947=AXIS2_PLACEMENT_3D('',#563320,#441128,#441129); #367948=AXIS2_PLACEMENT_3D('',#563326,#441133,#441134); #367949=AXIS2_PLACEMENT_3D('',#563332,#441138,#441139); #367950=AXIS2_PLACEMENT_3D('',#563338,#441143,#441144); #367951=AXIS2_PLACEMENT_3D('',#563344,#441148,#441149); #367952=AXIS2_PLACEMENT_3D('',#563350,#441153,#441154); #367953=AXIS2_PLACEMENT_3D('',#563356,#441158,#441159); #367954=AXIS2_PLACEMENT_3D('',#563362,#441163,#441164); #367955=AXIS2_PLACEMENT_3D('',#563368,#441168,#441169); #367956=AXIS2_PLACEMENT_3D('',#563374,#441173,#441174); #367957=AXIS2_PLACEMENT_3D('',#563380,#441178,#441179); #367958=AXIS2_PLACEMENT_3D('',#563386,#441183,#441184); #367959=AXIS2_PLACEMENT_3D('',#563392,#441188,#441189); #367960=AXIS2_PLACEMENT_3D('',#563398,#441193,#441194); #367961=AXIS2_PLACEMENT_3D('',#563404,#441198,#441199); #367962=AXIS2_PLACEMENT_3D('',#563410,#441203,#441204); #367963=AXIS2_PLACEMENT_3D('',#563416,#441208,#441209); #367964=AXIS2_PLACEMENT_3D('',#563422,#441213,#441214); #367965=AXIS2_PLACEMENT_3D('',#563428,#441218,#441219); #367966=AXIS2_PLACEMENT_3D('',#563434,#441223,#441224); #367967=AXIS2_PLACEMENT_3D('',#563440,#441228,#441229); #367968=AXIS2_PLACEMENT_3D('',#563446,#441233,#441234); #367969=AXIS2_PLACEMENT_3D('',#563452,#441238,#441239); #367970=AXIS2_PLACEMENT_3D('',#563458,#441243,#441244); #367971=AXIS2_PLACEMENT_3D('',#563464,#441248,#441249); #367972=AXIS2_PLACEMENT_3D('',#563470,#441253,#441254); #367973=AXIS2_PLACEMENT_3D('',#563476,#441258,#441259); #367974=AXIS2_PLACEMENT_3D('',#563482,#441263,#441264); #367975=AXIS2_PLACEMENT_3D('',#563488,#441268,#441269); #367976=AXIS2_PLACEMENT_3D('',#563494,#441273,#441274); #367977=AXIS2_PLACEMENT_3D('',#563500,#441278,#441279); #367978=AXIS2_PLACEMENT_3D('',#563506,#441283,#441284); #367979=AXIS2_PLACEMENT_3D('',#563512,#441288,#441289); #367980=AXIS2_PLACEMENT_3D('',#563515,#441292,#441293); #367981=AXIS2_PLACEMENT_3D('',#563516,#441294,#441295); #367982=AXIS2_PLACEMENT_3D('',#563517,#441296,#441297); #367983=AXIS2_PLACEMENT_3D('',#563519,#441298,#441299); #367984=AXIS2_PLACEMENT_3D('',#563522,#441301,#441302); #367985=AXIS2_PLACEMENT_3D('',#563523,#441303,#441304); #367986=AXIS2_PLACEMENT_3D('',#563525,#441305,#441306); #367987=AXIS2_PLACEMENT_3D('',#563528,#441308,#441309); #367988=AXIS2_PLACEMENT_3D('',#563529,#441310,#441311); #367989=AXIS2_PLACEMENT_3D('',#563538,#441316,#441317); #367990=AXIS2_PLACEMENT_3D('',#563540,#441318,#441319); #367991=AXIS2_PLACEMENT_3D('',#563542,#441320,#441321); #367992=AXIS2_PLACEMENT_3D('',#563544,#441323,#441324); #367993=AXIS2_PLACEMENT_3D('',#563550,#441328,#441329); #367994=AXIS2_PLACEMENT_3D('',#563552,#441330,#441331); #367995=AXIS2_PLACEMENT_3D('',#563554,#441332,#441333); #367996=AXIS2_PLACEMENT_3D('',#563556,#441335,#441336); #367997=AXIS2_PLACEMENT_3D('',#563558,#441337,#441338); #367998=AXIS2_PLACEMENT_3D('',#563560,#441339,#441340); #367999=AXIS2_PLACEMENT_3D('',#563562,#441342,#441343); #368000=AXIS2_PLACEMENT_3D('',#563568,#441347,#441348); #368001=AXIS2_PLACEMENT_3D('',#563569,#441349,#441350); #368002=AXIS2_PLACEMENT_3D('',#563570,#441351,#441352); #368003=AXIS2_PLACEMENT_3D('',#563571,#441353,#441354); #368004=AXIS2_PLACEMENT_3D('',#563572,#441355,#441356); #368005=AXIS2_PLACEMENT_3D('',#563573,#441357,#441358); #368006=AXIS2_PLACEMENT_3D('',#563575,#441359,#441360); #368007=AXIS2_PLACEMENT_3D('',#563578,#441362,#441363); #368008=AXIS2_PLACEMENT_3D('',#563579,#441364,#441365); #368009=AXIS2_PLACEMENT_3D('',#563581,#441366,#441367); #368010=AXIS2_PLACEMENT_3D('',#563584,#441369,#441370); #368011=AXIS2_PLACEMENT_3D('',#563585,#441371,#441372); #368012=AXIS2_PLACEMENT_3D('',#563587,#441373,#441374); #368013=AXIS2_PLACEMENT_3D('',#563590,#441376,#441377); #368014=AXIS2_PLACEMENT_3D('',#563591,#441378,#441379); #368015=AXIS2_PLACEMENT_3D('',#563593,#441380,#441381); #368016=AXIS2_PLACEMENT_3D('',#563596,#441383,#441384); #368017=AXIS2_PLACEMENT_3D('',#563597,#441385,#441386); #368018=AXIS2_PLACEMENT_3D('',#563599,#441387,#441388); #368019=AXIS2_PLACEMENT_3D('',#563602,#441390,#441391); #368020=AXIS2_PLACEMENT_3D('',#563603,#441392,#441393); #368021=AXIS2_PLACEMENT_3D('',#563605,#441394,#441395); #368022=AXIS2_PLACEMENT_3D('',#563608,#441397,#441398); #368023=AXIS2_PLACEMENT_3D('',#563609,#441399,#441400); #368024=AXIS2_PLACEMENT_3D('',#563611,#441401,#441402); #368025=AXIS2_PLACEMENT_3D('',#563614,#441404,#441405); #368026=AXIS2_PLACEMENT_3D('',#563615,#441406,#441407); #368027=AXIS2_PLACEMENT_3D('',#563617,#441408,#441409); #368028=AXIS2_PLACEMENT_3D('',#563620,#441411,#441412); #368029=AXIS2_PLACEMENT_3D('',#563621,#441413,#441414); #368030=AXIS2_PLACEMENT_3D('',#563623,#441415,#441416); #368031=AXIS2_PLACEMENT_3D('',#563626,#441418,#441419); #368032=AXIS2_PLACEMENT_3D('',#563627,#441420,#441421); #368033=AXIS2_PLACEMENT_3D('',#563629,#441422,#441423); #368034=AXIS2_PLACEMENT_3D('',#563632,#441425,#441426); #368035=AXIS2_PLACEMENT_3D('',#563633,#441427,#441428); #368036=AXIS2_PLACEMENT_3D('',#563642,#441433,#441434); #368037=AXIS2_PLACEMENT_3D('',#563648,#441438,#441439); #368038=AXIS2_PLACEMENT_3D('',#563654,#441443,#441444); #368039=AXIS2_PLACEMENT_3D('',#563660,#441448,#441449); #368040=AXIS2_PLACEMENT_3D('',#563666,#441453,#441454); #368041=AXIS2_PLACEMENT_3D('',#563672,#441458,#441459); #368042=AXIS2_PLACEMENT_3D('',#563678,#441463,#441464); #368043=AXIS2_PLACEMENT_3D('',#563684,#441468,#441469); #368044=AXIS2_PLACEMENT_3D('',#563690,#441473,#441474); #368045=AXIS2_PLACEMENT_3D('',#563696,#441478,#441479); #368046=AXIS2_PLACEMENT_3D('',#563702,#441483,#441484); #368047=AXIS2_PLACEMENT_3D('',#563708,#441488,#441489); #368048=AXIS2_PLACEMENT_3D('',#563714,#441493,#441494); #368049=AXIS2_PLACEMENT_3D('',#563720,#441498,#441499); #368050=AXIS2_PLACEMENT_3D('',#563726,#441503,#441504); #368051=AXIS2_PLACEMENT_3D('',#563732,#441508,#441509); #368052=AXIS2_PLACEMENT_3D('',#563738,#441513,#441514); #368053=AXIS2_PLACEMENT_3D('',#563744,#441518,#441519); #368054=AXIS2_PLACEMENT_3D('',#563750,#441523,#441524); #368055=AXIS2_PLACEMENT_3D('',#563756,#441528,#441529); #368056=AXIS2_PLACEMENT_3D('',#563762,#441533,#441534); #368057=AXIS2_PLACEMENT_3D('',#563768,#441538,#441539); #368058=AXIS2_PLACEMENT_3D('',#563774,#441543,#441544); #368059=AXIS2_PLACEMENT_3D('',#563777,#441547,#441548); #368060=AXIS2_PLACEMENT_3D('',#563778,#441549,#441550); #368061=AXIS2_PLACEMENT_3D('',#563779,#441551,#441552); #368062=AXIS2_PLACEMENT_3D('',#563781,#441553,#441554); #368063=AXIS2_PLACEMENT_3D('',#563784,#441556,#441557); #368064=AXIS2_PLACEMENT_3D('',#563785,#441558,#441559); #368065=AXIS2_PLACEMENT_3D('',#563794,#441564,#441565); #368066=AXIS2_PLACEMENT_3D('',#563796,#441566,#441567); #368067=AXIS2_PLACEMENT_3D('',#563798,#441568,#441569); #368068=AXIS2_PLACEMENT_3D('',#563800,#441571,#441572); #368069=AXIS2_PLACEMENT_3D('',#563801,#441573,#441574); #368070=AXIS2_PLACEMENT_3D('',#563802,#441575,#441576); #368071=AXIS2_PLACEMENT_3D('',#563803,#441577,#441578); #368072=AXIS2_PLACEMENT_3D('',#563804,#441579,#441580); #368073=AXIS2_PLACEMENT_3D('',#563805,#441581,#441582); #368074=AXIS2_PLACEMENT_3D('',#563807,#441583,#441584); #368075=AXIS2_PLACEMENT_3D('',#563810,#441586,#441587); #368076=AXIS2_PLACEMENT_3D('',#563811,#441588,#441589); #368077=AXIS2_PLACEMENT_3D('',#563813,#441590,#441591); #368078=AXIS2_PLACEMENT_3D('',#563816,#441593,#441594); #368079=AXIS2_PLACEMENT_3D('',#563817,#441595,#441596); #368080=AXIS2_PLACEMENT_3D('',#563819,#441597,#441598); #368081=AXIS2_PLACEMENT_3D('',#563822,#441600,#441601); #368082=AXIS2_PLACEMENT_3D('',#563823,#441602,#441603); #368083=AXIS2_PLACEMENT_3D('',#563825,#441604,#441605); #368084=AXIS2_PLACEMENT_3D('',#563828,#441607,#441608); #368085=AXIS2_PLACEMENT_3D('',#563829,#441609,#441610); #368086=AXIS2_PLACEMENT_3D('',#563831,#441611,#441612); #368087=AXIS2_PLACEMENT_3D('',#563834,#441614,#441615); #368088=AXIS2_PLACEMENT_3D('',#563835,#441616,#441617); #368089=AXIS2_PLACEMENT_3D('',#563837,#441618,#441619); #368090=AXIS2_PLACEMENT_3D('',#563840,#441621,#441622); #368091=AXIS2_PLACEMENT_3D('',#563841,#441623,#441624); #368092=AXIS2_PLACEMENT_3D('',#563843,#441625,#441626); #368093=AXIS2_PLACEMENT_3D('',#563846,#441628,#441629); #368094=AXIS2_PLACEMENT_3D('',#563847,#441630,#441631); #368095=AXIS2_PLACEMENT_3D('',#563849,#441632,#441633); #368096=AXIS2_PLACEMENT_3D('',#563852,#441635,#441636); #368097=AXIS2_PLACEMENT_3D('',#563853,#441637,#441638); #368098=AXIS2_PLACEMENT_3D('',#563855,#441639,#441640); #368099=AXIS2_PLACEMENT_3D('',#563858,#441642,#441643); #368100=AXIS2_PLACEMENT_3D('',#563859,#441644,#441645); #368101=AXIS2_PLACEMENT_3D('',#563861,#441646,#441647); #368102=AXIS2_PLACEMENT_3D('',#563864,#441649,#441650); #368103=AXIS2_PLACEMENT_3D('',#563865,#441651,#441652); #368104=AXIS2_PLACEMENT_3D('',#563867,#441653,#441654); #368105=AXIS2_PLACEMENT_3D('',#563870,#441656,#441657); #368106=AXIS2_PLACEMENT_3D('',#563871,#441658,#441659); #368107=AXIS2_PLACEMENT_3D('',#563873,#441660,#441661); #368108=AXIS2_PLACEMENT_3D('',#563876,#441663,#441664); #368109=AXIS2_PLACEMENT_3D('',#563877,#441665,#441666); #368110=AXIS2_PLACEMENT_3D('',#563879,#441667,#441668); #368111=AXIS2_PLACEMENT_3D('',#563882,#441670,#441671); #368112=AXIS2_PLACEMENT_3D('',#563883,#441672,#441673); #368113=AXIS2_PLACEMENT_3D('',#563885,#441674,#441675); #368114=AXIS2_PLACEMENT_3D('',#563888,#441677,#441678); #368115=AXIS2_PLACEMENT_3D('',#563889,#441679,#441680); #368116=AXIS2_PLACEMENT_3D('',#563891,#441681,#441682); #368117=AXIS2_PLACEMENT_3D('',#563894,#441684,#441685); #368118=AXIS2_PLACEMENT_3D('',#563895,#441686,#441687); #368119=AXIS2_PLACEMENT_3D('',#563897,#441688,#441689); #368120=AXIS2_PLACEMENT_3D('',#563900,#441691,#441692); #368121=AXIS2_PLACEMENT_3D('',#563901,#441693,#441694); #368122=AXIS2_PLACEMENT_3D('',#563903,#441695,#441696); #368123=AXIS2_PLACEMENT_3D('',#563906,#441698,#441699); #368124=AXIS2_PLACEMENT_3D('',#563907,#441700,#441701); #368125=AXIS2_PLACEMENT_3D('',#563909,#441702,#441703); #368126=AXIS2_PLACEMENT_3D('',#563912,#441705,#441706); #368127=AXIS2_PLACEMENT_3D('',#563913,#441707,#441708); #368128=AXIS2_PLACEMENT_3D('',#563915,#441709,#441710); #368129=AXIS2_PLACEMENT_3D('',#563918,#441712,#441713); #368130=AXIS2_PLACEMENT_3D('',#563919,#441714,#441715); #368131=AXIS2_PLACEMENT_3D('',#563921,#441716,#441717); #368132=AXIS2_PLACEMENT_3D('',#563924,#441719,#441720); #368133=AXIS2_PLACEMENT_3D('',#563925,#441721,#441722); #368134=AXIS2_PLACEMENT_3D('',#563927,#441723,#441724); #368135=AXIS2_PLACEMENT_3D('',#563930,#441726,#441727); #368136=AXIS2_PLACEMENT_3D('',#563931,#441728,#441729); #368137=AXIS2_PLACEMENT_3D('',#563933,#441730,#441731); #368138=AXIS2_PLACEMENT_3D('',#563936,#441733,#441734); #368139=AXIS2_PLACEMENT_3D('',#563937,#441735,#441736); #368140=AXIS2_PLACEMENT_3D('',#563939,#441737,#441738); #368141=AXIS2_PLACEMENT_3D('',#563942,#441740,#441741); #368142=AXIS2_PLACEMENT_3D('',#563943,#441742,#441743); #368143=AXIS2_PLACEMENT_3D('',#563945,#441744,#441745); #368144=AXIS2_PLACEMENT_3D('',#563948,#441747,#441748); #368145=AXIS2_PLACEMENT_3D('',#563949,#441749,#441750); #368146=AXIS2_PLACEMENT_3D('',#563951,#441751,#441752); #368147=AXIS2_PLACEMENT_3D('',#563954,#441754,#441755); #368148=AXIS2_PLACEMENT_3D('',#563955,#441756,#441757); #368149=AXIS2_PLACEMENT_3D('',#563957,#441758,#441759); #368150=AXIS2_PLACEMENT_3D('',#563960,#441761,#441762); #368151=AXIS2_PLACEMENT_3D('',#563961,#441763,#441764); #368152=AXIS2_PLACEMENT_3D('',#563963,#441765,#441766); #368153=AXIS2_PLACEMENT_3D('',#563966,#441768,#441769); #368154=AXIS2_PLACEMENT_3D('',#563967,#441770,#441771); #368155=AXIS2_PLACEMENT_3D('',#563969,#441772,#441773); #368156=AXIS2_PLACEMENT_3D('',#563972,#441775,#441776); #368157=AXIS2_PLACEMENT_3D('',#563973,#441777,#441778); #368158=AXIS2_PLACEMENT_3D('',#563975,#441779,#441780); #368159=AXIS2_PLACEMENT_3D('',#563978,#441782,#441783); #368160=AXIS2_PLACEMENT_3D('',#563979,#441784,#441785); #368161=AXIS2_PLACEMENT_3D('',#563981,#441786,#441787); #368162=AXIS2_PLACEMENT_3D('',#563984,#441789,#441790); #368163=AXIS2_PLACEMENT_3D('',#563985,#441791,#441792); #368164=AXIS2_PLACEMENT_3D('',#563994,#441797,#441798); #368165=AXIS2_PLACEMENT_3D('',#564000,#441802,#441803); #368166=AXIS2_PLACEMENT_3D('',#564006,#441807,#441808); #368167=AXIS2_PLACEMENT_3D('',#564012,#441812,#441813); #368168=AXIS2_PLACEMENT_3D('',#564018,#441817,#441818); #368169=AXIS2_PLACEMENT_3D('',#564024,#441822,#441823); #368170=AXIS2_PLACEMENT_3D('',#564030,#441827,#441828); #368171=AXIS2_PLACEMENT_3D('',#564036,#441832,#441833); #368172=AXIS2_PLACEMENT_3D('',#564042,#441837,#441838); #368173=AXIS2_PLACEMENT_3D('',#564048,#441842,#441843); #368174=AXIS2_PLACEMENT_3D('',#564054,#441847,#441848); #368175=AXIS2_PLACEMENT_3D('',#564060,#441852,#441853); #368176=AXIS2_PLACEMENT_3D('',#564066,#441857,#441858); #368177=AXIS2_PLACEMENT_3D('',#564072,#441862,#441863); #368178=AXIS2_PLACEMENT_3D('',#564078,#441867,#441868); #368179=AXIS2_PLACEMENT_3D('',#564084,#441872,#441873); #368180=AXIS2_PLACEMENT_3D('',#564090,#441877,#441878); #368181=AXIS2_PLACEMENT_3D('',#564096,#441882,#441883); #368182=AXIS2_PLACEMENT_3D('',#564102,#441887,#441888); #368183=AXIS2_PLACEMENT_3D('',#564108,#441892,#441893); #368184=AXIS2_PLACEMENT_3D('',#564114,#441897,#441898); #368185=AXIS2_PLACEMENT_3D('',#564120,#441902,#441903); #368186=AXIS2_PLACEMENT_3D('',#564126,#441907,#441908); #368187=AXIS2_PLACEMENT_3D('',#564132,#441912,#441913); #368188=AXIS2_PLACEMENT_3D('',#564138,#441917,#441918); #368189=AXIS2_PLACEMENT_3D('',#564144,#441922,#441923); #368190=AXIS2_PLACEMENT_3D('',#564150,#441927,#441928); #368191=AXIS2_PLACEMENT_3D('',#564156,#441932,#441933); #368192=AXIS2_PLACEMENT_3D('',#564162,#441937,#441938); #368193=AXIS2_PLACEMENT_3D('',#564168,#441942,#441943); #368194=AXIS2_PLACEMENT_3D('',#564174,#441947,#441948); #368195=AXIS2_PLACEMENT_3D('',#564180,#441952,#441953); #368196=AXIS2_PLACEMENT_3D('',#564186,#441957,#441958); #368197=AXIS2_PLACEMENT_3D('',#564192,#441962,#441963); #368198=AXIS2_PLACEMENT_3D('',#564198,#441967,#441968); #368199=AXIS2_PLACEMENT_3D('',#564204,#441972,#441973); #368200=AXIS2_PLACEMENT_3D('',#564210,#441977,#441978); #368201=AXIS2_PLACEMENT_3D('',#564216,#441982,#441983); #368202=AXIS2_PLACEMENT_3D('',#564222,#441987,#441988); #368203=AXIS2_PLACEMENT_3D('',#564228,#441992,#441993); #368204=AXIS2_PLACEMENT_3D('',#564234,#441997,#441998); #368205=AXIS2_PLACEMENT_3D('',#564240,#442002,#442003); #368206=AXIS2_PLACEMENT_3D('',#564246,#442007,#442008); #368207=AXIS2_PLACEMENT_3D('',#564252,#442012,#442013); #368208=AXIS2_PLACEMENT_3D('',#564255,#442016,#442017); #368209=AXIS2_PLACEMENT_3D('',#564256,#442018,#442019); #368210=AXIS2_PLACEMENT_3D('',#564257,#442020,#442021); #368211=AXIS2_PLACEMENT_3D('',#564259,#442022,#442023); #368212=AXIS2_PLACEMENT_3D('',#564262,#442025,#442026); #368213=AXIS2_PLACEMENT_3D('',#564263,#442027,#442028); #368214=AXIS2_PLACEMENT_3D('',#564265,#442029,#442030); #368215=AXIS2_PLACEMENT_3D('',#564268,#442032,#442033); #368216=AXIS2_PLACEMENT_3D('',#564269,#442034,#442035); #368217=AXIS2_PLACEMENT_3D('',#564278,#442040,#442041); #368218=AXIS2_PLACEMENT_3D('',#564280,#442042,#442043); #368219=AXIS2_PLACEMENT_3D('',#564282,#442044,#442045); #368220=AXIS2_PLACEMENT_3D('',#564284,#442047,#442048); #368221=AXIS2_PLACEMENT_3D('',#564290,#442052,#442053); #368222=AXIS2_PLACEMENT_3D('',#564292,#442054,#442055); #368223=AXIS2_PLACEMENT_3D('',#564294,#442056,#442057); #368224=AXIS2_PLACEMENT_3D('',#564296,#442059,#442060); #368225=AXIS2_PLACEMENT_3D('',#564298,#442061,#442062); #368226=AXIS2_PLACEMENT_3D('',#564300,#442063,#442064); #368227=AXIS2_PLACEMENT_3D('',#564302,#442066,#442067); #368228=AXIS2_PLACEMENT_3D('',#564308,#442071,#442072); #368229=AXIS2_PLACEMENT_3D('',#564309,#442073,#442074); #368230=AXIS2_PLACEMENT_3D('',#564310,#442075,#442076); #368231=AXIS2_PLACEMENT_3D('',#564311,#442077,#442078); #368232=AXIS2_PLACEMENT_3D('',#564312,#442079,#442080); #368233=AXIS2_PLACEMENT_3D('',#564313,#442081,#442082); #368234=AXIS2_PLACEMENT_3D('',#564315,#442083,#442084); #368235=AXIS2_PLACEMENT_3D('',#564318,#442086,#442087); #368236=AXIS2_PLACEMENT_3D('',#564319,#442088,#442089); #368237=AXIS2_PLACEMENT_3D('',#564328,#442094,#442095); #368238=AXIS2_PLACEMENT_3D('',#564330,#442096,#442097); #368239=AXIS2_PLACEMENT_3D('',#564332,#442098,#442099); #368240=AXIS2_PLACEMENT_3D('',#564334,#442101,#442102); #368241=AXIS2_PLACEMENT_3D('',#564335,#442103,#442104); #368242=AXIS2_PLACEMENT_3D('',#564336,#442105,#442106); #368243=AXIS2_PLACEMENT_3D('',#564337,#442107,#442108); #368244=AXIS2_PLACEMENT_3D('',#564338,#442109,#442110); #368245=AXIS2_PLACEMENT_3D('',#564339,#442111,#442112); #368246=AXIS2_PLACEMENT_3D('',#564341,#442113,#442114); #368247=AXIS2_PLACEMENT_3D('',#564344,#442116,#442117); #368248=AXIS2_PLACEMENT_3D('',#564345,#442118,#442119); #368249=AXIS2_PLACEMENT_3D('',#564347,#442120,#442121); #368250=AXIS2_PLACEMENT_3D('',#564350,#442123,#442124); #368251=AXIS2_PLACEMENT_3D('',#564351,#442125,#442126); #368252=AXIS2_PLACEMENT_3D('',#564353,#442127,#442128); #368253=AXIS2_PLACEMENT_3D('',#564356,#442130,#442131); #368254=AXIS2_PLACEMENT_3D('',#564357,#442132,#442133); #368255=AXIS2_PLACEMENT_3D('',#564359,#442134,#442135); #368256=AXIS2_PLACEMENT_3D('',#564362,#442137,#442138); #368257=AXIS2_PLACEMENT_3D('',#564363,#442139,#442140); #368258=AXIS2_PLACEMENT_3D('',#564365,#442141,#442142); #368259=AXIS2_PLACEMENT_3D('',#564368,#442144,#442145); #368260=AXIS2_PLACEMENT_3D('',#564369,#442146,#442147); #368261=AXIS2_PLACEMENT_3D('',#564371,#442148,#442149); #368262=AXIS2_PLACEMENT_3D('',#564374,#442151,#442152); #368263=AXIS2_PLACEMENT_3D('',#564375,#442153,#442154); #368264=AXIS2_PLACEMENT_3D('',#564377,#442155,#442156); #368265=AXIS2_PLACEMENT_3D('',#564380,#442158,#442159); #368266=AXIS2_PLACEMENT_3D('',#564381,#442160,#442161); #368267=AXIS2_PLACEMENT_3D('',#564383,#442162,#442163); #368268=AXIS2_PLACEMENT_3D('',#564386,#442165,#442166); #368269=AXIS2_PLACEMENT_3D('',#564387,#442167,#442168); #368270=AXIS2_PLACEMENT_3D('',#564389,#442169,#442170); #368271=AXIS2_PLACEMENT_3D('',#564392,#442172,#442173); #368272=AXIS2_PLACEMENT_3D('',#564393,#442174,#442175); #368273=AXIS2_PLACEMENT_3D('',#564395,#442176,#442177); #368274=AXIS2_PLACEMENT_3D('',#564398,#442179,#442180); #368275=AXIS2_PLACEMENT_3D('',#564399,#442181,#442182); #368276=AXIS2_PLACEMENT_3D('',#564401,#442183,#442184); #368277=AXIS2_PLACEMENT_3D('',#564404,#442186,#442187); #368278=AXIS2_PLACEMENT_3D('',#564405,#442188,#442189); #368279=AXIS2_PLACEMENT_3D('',#564407,#442190,#442191); #368280=AXIS2_PLACEMENT_3D('',#564410,#442193,#442194); #368281=AXIS2_PLACEMENT_3D('',#564411,#442195,#442196); #368282=AXIS2_PLACEMENT_3D('',#564413,#442197,#442198); #368283=AXIS2_PLACEMENT_3D('',#564416,#442200,#442201); #368284=AXIS2_PLACEMENT_3D('',#564417,#442202,#442203); #368285=AXIS2_PLACEMENT_3D('',#564419,#442204,#442205); #368286=AXIS2_PLACEMENT_3D('',#564422,#442207,#442208); #368287=AXIS2_PLACEMENT_3D('',#564423,#442209,#442210); #368288=AXIS2_PLACEMENT_3D('',#564425,#442211,#442212); #368289=AXIS2_PLACEMENT_3D('',#564428,#442214,#442215); #368290=AXIS2_PLACEMENT_3D('',#564429,#442216,#442217); #368291=AXIS2_PLACEMENT_3D('',#564438,#442222,#442223); #368292=AXIS2_PLACEMENT_3D('',#564444,#442227,#442228); #368293=AXIS2_PLACEMENT_3D('',#564450,#442232,#442233); #368294=AXIS2_PLACEMENT_3D('',#564456,#442237,#442238); #368295=AXIS2_PLACEMENT_3D('',#564462,#442242,#442243); #368296=AXIS2_PLACEMENT_3D('',#564468,#442247,#442248); #368297=AXIS2_PLACEMENT_3D('',#564474,#442252,#442253); #368298=AXIS2_PLACEMENT_3D('',#564480,#442257,#442258); #368299=AXIS2_PLACEMENT_3D('',#564486,#442262,#442263); #368300=AXIS2_PLACEMENT_3D('',#564492,#442267,#442268); #368301=AXIS2_PLACEMENT_3D('',#564498,#442272,#442273); #368302=AXIS2_PLACEMENT_3D('',#564504,#442277,#442278); #368303=AXIS2_PLACEMENT_3D('',#564510,#442282,#442283); #368304=AXIS2_PLACEMENT_3D('',#564516,#442287,#442288); #368305=AXIS2_PLACEMENT_3D('',#564522,#442292,#442293); #368306=AXIS2_PLACEMENT_3D('',#564528,#442297,#442298); #368307=AXIS2_PLACEMENT_3D('',#564534,#442302,#442303); #368308=AXIS2_PLACEMENT_3D('',#564540,#442307,#442308); #368309=AXIS2_PLACEMENT_3D('',#564546,#442312,#442313); #368310=AXIS2_PLACEMENT_3D('',#564552,#442317,#442318); #368311=AXIS2_PLACEMENT_3D('',#564558,#442322,#442323); #368312=AXIS2_PLACEMENT_3D('',#564564,#442327,#442328); #368313=AXIS2_PLACEMENT_3D('',#564570,#442332,#442333); #368314=AXIS2_PLACEMENT_3D('',#564576,#442337,#442338); #368315=AXIS2_PLACEMENT_3D('',#564582,#442342,#442343); #368316=AXIS2_PLACEMENT_3D('',#564588,#442347,#442348); #368317=AXIS2_PLACEMENT_3D('',#564594,#442352,#442353); #368318=AXIS2_PLACEMENT_3D('',#564600,#442357,#442358); #368319=AXIS2_PLACEMENT_3D('',#564606,#442362,#442363); #368320=AXIS2_PLACEMENT_3D('',#564612,#442367,#442368); #368321=AXIS2_PLACEMENT_3D('',#564618,#442372,#442373); #368322=AXIS2_PLACEMENT_3D('',#564624,#442377,#442378); #368323=AXIS2_PLACEMENT_3D('',#564630,#442382,#442383); #368324=AXIS2_PLACEMENT_3D('',#564636,#442387,#442388); #368325=AXIS2_PLACEMENT_3D('',#564642,#442392,#442393); #368326=AXIS2_PLACEMENT_3D('',#564648,#442397,#442398); #368327=AXIS2_PLACEMENT_3D('',#564654,#442402,#442403); #368328=AXIS2_PLACEMENT_3D('',#564660,#442407,#442408); #368329=AXIS2_PLACEMENT_3D('',#564666,#442412,#442413); #368330=AXIS2_PLACEMENT_3D('',#564672,#442417,#442418); #368331=AXIS2_PLACEMENT_3D('',#564678,#442422,#442423); #368332=AXIS2_PLACEMENT_3D('',#564684,#442427,#442428); #368333=AXIS2_PLACEMENT_3D('',#564690,#442432,#442433); #368334=AXIS2_PLACEMENT_3D('',#564696,#442437,#442438); #368335=AXIS2_PLACEMENT_3D('',#564702,#442442,#442443); #368336=AXIS2_PLACEMENT_3D('',#564708,#442447,#442448); #368337=AXIS2_PLACEMENT_3D('',#564714,#442452,#442453); #368338=AXIS2_PLACEMENT_3D('',#564720,#442457,#442458); #368339=AXIS2_PLACEMENT_3D('',#564726,#442462,#442463); #368340=AXIS2_PLACEMENT_3D('',#564732,#442467,#442468); #368341=AXIS2_PLACEMENT_3D('',#564738,#442472,#442473); #368342=AXIS2_PLACEMENT_3D('',#564744,#442477,#442478); #368343=AXIS2_PLACEMENT_3D('',#564750,#442482,#442483); #368344=AXIS2_PLACEMENT_3D('',#564756,#442487,#442488); #368345=AXIS2_PLACEMENT_3D('',#564762,#442492,#442493); #368346=AXIS2_PLACEMENT_3D('',#564768,#442497,#442498); #368347=AXIS2_PLACEMENT_3D('',#564774,#442502,#442503); #368348=AXIS2_PLACEMENT_3D('',#564780,#442507,#442508); #368349=AXIS2_PLACEMENT_3D('',#564786,#442512,#442513); #368350=AXIS2_PLACEMENT_3D('',#564792,#442517,#442518); #368351=AXIS2_PLACEMENT_3D('',#564798,#442522,#442523); #368352=AXIS2_PLACEMENT_3D('',#564804,#442527,#442528); #368353=AXIS2_PLACEMENT_3D('',#564810,#442532,#442533); #368354=AXIS2_PLACEMENT_3D('',#564816,#442537,#442538); #368355=AXIS2_PLACEMENT_3D('',#564822,#442542,#442543); #368356=AXIS2_PLACEMENT_3D('',#564828,#442547,#442548); #368357=AXIS2_PLACEMENT_3D('',#564834,#442552,#442553); #368358=AXIS2_PLACEMENT_3D('',#564840,#442557,#442558); #368359=AXIS2_PLACEMENT_3D('',#564846,#442562,#442563); #368360=AXIS2_PLACEMENT_3D('',#564852,#442567,#442568); #368361=AXIS2_PLACEMENT_3D('',#564858,#442572,#442573); #368362=AXIS2_PLACEMENT_3D('',#564861,#442576,#442577); #368363=AXIS2_PLACEMENT_3D('',#564870,#442582,#442583); #368364=AXIS2_PLACEMENT_3D('',#564876,#442587,#442588); #368365=AXIS2_PLACEMENT_3D('',#564882,#442592,#442593); #368366=AXIS2_PLACEMENT_3D('',#564888,#442597,#442598); #368367=AXIS2_PLACEMENT_3D('',#564894,#442602,#442603); #368368=AXIS2_PLACEMENT_3D('',#564900,#442607,#442608); #368369=AXIS2_PLACEMENT_3D('',#564906,#442612,#442613); #368370=AXIS2_PLACEMENT_3D('',#564912,#442617,#442618); #368371=AXIS2_PLACEMENT_3D('',#564918,#442622,#442623); #368372=AXIS2_PLACEMENT_3D('',#564924,#442627,#442628); #368373=AXIS2_PLACEMENT_3D('',#564930,#442632,#442633); #368374=AXIS2_PLACEMENT_3D('',#564936,#442637,#442638); #368375=AXIS2_PLACEMENT_3D('',#564942,#442642,#442643); #368376=AXIS2_PLACEMENT_3D('',#564948,#442647,#442648); #368377=AXIS2_PLACEMENT_3D('',#564954,#442652,#442653); #368378=AXIS2_PLACEMENT_3D('',#564960,#442657,#442658); #368379=AXIS2_PLACEMENT_3D('',#564966,#442662,#442663); #368380=AXIS2_PLACEMENT_3D('',#564972,#442667,#442668); #368381=AXIS2_PLACEMENT_3D('',#564978,#442672,#442673); #368382=AXIS2_PLACEMENT_3D('',#564984,#442677,#442678); #368383=AXIS2_PLACEMENT_3D('',#564990,#442682,#442683); #368384=AXIS2_PLACEMENT_3D('',#564996,#442687,#442688); #368385=AXIS2_PLACEMENT_3D('',#565002,#442692,#442693); #368386=AXIS2_PLACEMENT_3D('',#565008,#442697,#442698); #368387=AXIS2_PLACEMENT_3D('',#565014,#442702,#442703); #368388=AXIS2_PLACEMENT_3D('',#565020,#442707,#442708); #368389=AXIS2_PLACEMENT_3D('',#565026,#442712,#442713); #368390=AXIS2_PLACEMENT_3D('',#565032,#442717,#442718); #368391=AXIS2_PLACEMENT_3D('',#565038,#442722,#442723); #368392=AXIS2_PLACEMENT_3D('',#565044,#442727,#442728); #368393=AXIS2_PLACEMENT_3D('',#565050,#442732,#442733); #368394=AXIS2_PLACEMENT_3D('',#565056,#442737,#442738); #368395=AXIS2_PLACEMENT_3D('',#565062,#442742,#442743); #368396=AXIS2_PLACEMENT_3D('',#565068,#442747,#442748); #368397=AXIS2_PLACEMENT_3D('',#565074,#442752,#442753); #368398=AXIS2_PLACEMENT_3D('',#565080,#442757,#442758); #368399=AXIS2_PLACEMENT_3D('',#565086,#442762,#442763); #368400=AXIS2_PLACEMENT_3D('',#565092,#442767,#442768); #368401=AXIS2_PLACEMENT_3D('',#565098,#442772,#442773); #368402=AXIS2_PLACEMENT_3D('',#565104,#442777,#442778); #368403=AXIS2_PLACEMENT_3D('',#565110,#442782,#442783); #368404=AXIS2_PLACEMENT_3D('',#565116,#442787,#442788); #368405=AXIS2_PLACEMENT_3D('',#565122,#442792,#442793); #368406=AXIS2_PLACEMENT_3D('',#565128,#442797,#442798); #368407=AXIS2_PLACEMENT_3D('',#565134,#442802,#442803); #368408=AXIS2_PLACEMENT_3D('',#565140,#442807,#442808); #368409=AXIS2_PLACEMENT_3D('',#565146,#442812,#442813); #368410=AXIS2_PLACEMENT_3D('',#565152,#442817,#442818); #368411=AXIS2_PLACEMENT_3D('',#565158,#442822,#442823); #368412=AXIS2_PLACEMENT_3D('',#565164,#442827,#442828); #368413=AXIS2_PLACEMENT_3D('',#565170,#442832,#442833); #368414=AXIS2_PLACEMENT_3D('',#565176,#442837,#442838); #368415=AXIS2_PLACEMENT_3D('',#565182,#442842,#442843); #368416=AXIS2_PLACEMENT_3D('',#565188,#442847,#442848); #368417=AXIS2_PLACEMENT_3D('',#565194,#442852,#442853); #368418=AXIS2_PLACEMENT_3D('',#565200,#442857,#442858); #368419=AXIS2_PLACEMENT_3D('',#565206,#442862,#442863); #368420=AXIS2_PLACEMENT_3D('',#565212,#442867,#442868); #368421=AXIS2_PLACEMENT_3D('',#565218,#442872,#442873); #368422=AXIS2_PLACEMENT_3D('',#565224,#442877,#442878); #368423=AXIS2_PLACEMENT_3D('',#565230,#442882,#442883); #368424=AXIS2_PLACEMENT_3D('',#565236,#442887,#442888); #368425=AXIS2_PLACEMENT_3D('',#565242,#442892,#442893); #368426=AXIS2_PLACEMENT_3D('',#565248,#442897,#442898); #368427=AXIS2_PLACEMENT_3D('',#565254,#442902,#442903); #368428=AXIS2_PLACEMENT_3D('',#565260,#442907,#442908); #368429=AXIS2_PLACEMENT_3D('',#565266,#442912,#442913); #368430=AXIS2_PLACEMENT_3D('',#565272,#442917,#442918); #368431=AXIS2_PLACEMENT_3D('',#565278,#442922,#442923); #368432=AXIS2_PLACEMENT_3D('',#565284,#442927,#442928); #368433=AXIS2_PLACEMENT_3D('',#565290,#442932,#442933); #368434=AXIS2_PLACEMENT_3D('',#565296,#442937,#442938); #368435=AXIS2_PLACEMENT_3D('',#565302,#442942,#442943); #368436=AXIS2_PLACEMENT_3D('',#565308,#442947,#442948); #368437=AXIS2_PLACEMENT_3D('',#565314,#442952,#442953); #368438=AXIS2_PLACEMENT_3D('',#565320,#442957,#442958); #368439=AXIS2_PLACEMENT_3D('',#565326,#442962,#442963); #368440=AXIS2_PLACEMENT_3D('',#565332,#442967,#442968); #368441=AXIS2_PLACEMENT_3D('',#565338,#442972,#442973); #368442=AXIS2_PLACEMENT_3D('',#565344,#442977,#442978); #368443=AXIS2_PLACEMENT_3D('',#565350,#442982,#442983); #368444=AXIS2_PLACEMENT_3D('',#565356,#442987,#442988); #368445=AXIS2_PLACEMENT_3D('',#565362,#442992,#442993); #368446=AXIS2_PLACEMENT_3D('',#565368,#442997,#442998); #368447=AXIS2_PLACEMENT_3D('',#565374,#443002,#443003); #368448=AXIS2_PLACEMENT_3D('',#565380,#443007,#443008); #368449=AXIS2_PLACEMENT_3D('',#565386,#443012,#443013); #368450=AXIS2_PLACEMENT_3D('',#565392,#443017,#443018); #368451=AXIS2_PLACEMENT_3D('',#565398,#443022,#443023); #368452=AXIS2_PLACEMENT_3D('',#565404,#443027,#443028); #368453=AXIS2_PLACEMENT_3D('',#565410,#443032,#443033); #368454=AXIS2_PLACEMENT_3D('',#565416,#443037,#443038); #368455=AXIS2_PLACEMENT_3D('',#565422,#443042,#443043); #368456=AXIS2_PLACEMENT_3D('',#565428,#443047,#443048); #368457=AXIS2_PLACEMENT_3D('',#565431,#443051,#443052); #368458=AXIS2_PLACEMENT_3D('',#565432,#443053,#443054); #368459=AXIS2_PLACEMENT_3D('',#565433,#443055,#443056); #368460=AXIS2_PLACEMENT_3D('',#565435,#443057,#443058); #368461=AXIS2_PLACEMENT_3D('',#565438,#443060,#443061); #368462=AXIS2_PLACEMENT_3D('',#565439,#443062,#443063); #368463=AXIS2_PLACEMENT_3D('',#565448,#443068,#443069); #368464=AXIS2_PLACEMENT_3D('',#565450,#443070,#443071); #368465=AXIS2_PLACEMENT_3D('',#565452,#443072,#443073); #368466=AXIS2_PLACEMENT_3D('',#565454,#443075,#443076); #368467=AXIS2_PLACEMENT_3D('',#565455,#443077,#443078); #368468=AXIS2_PLACEMENT_3D('',#565456,#443079,#443080); #368469=AXIS2_PLACEMENT_3D('',#565457,#443081,#443082); #368470=AXIS2_PLACEMENT_3D('',#565458,#443083,#443084); #368471=AXIS2_PLACEMENT_3D('',#565459,#443085,#443086); #368472=AXIS2_PLACEMENT_3D('',#565461,#443087,#443088); #368473=AXIS2_PLACEMENT_3D('',#565464,#443090,#443091); #368474=AXIS2_PLACEMENT_3D('',#565465,#443092,#443093); #368475=AXIS2_PLACEMENT_3D('',#565474,#443098,#443099); #368476=AXIS2_PLACEMENT_3D('',#565476,#443100,#443101); #368477=AXIS2_PLACEMENT_3D('',#565478,#443102,#443103); #368478=AXIS2_PLACEMENT_3D('',#565480,#443105,#443106); #368479=AXIS2_PLACEMENT_3D('',#565481,#443107,#443108); #368480=AXIS2_PLACEMENT_3D('',#565482,#443109,#443110); #368481=AXIS2_PLACEMENT_3D('',#565483,#443111,#443112); #368482=AXIS2_PLACEMENT_3D('',#565484,#443113,#443114); #368483=AXIS2_PLACEMENT_3D('',#565485,#443115,#443116); #368484=AXIS2_PLACEMENT_3D('',#565487,#443117,#443118); #368485=AXIS2_PLACEMENT_3D('',#565490,#443120,#443121); #368486=AXIS2_PLACEMENT_3D('',#565491,#443122,#443123); #368487=AXIS2_PLACEMENT_3D('',#565500,#443128,#443129); #368488=AXIS2_PLACEMENT_3D('',#565502,#443130,#443131); #368489=AXIS2_PLACEMENT_3D('',#565504,#443132,#443133); #368490=AXIS2_PLACEMENT_3D('',#565506,#443135,#443136); #368491=AXIS2_PLACEMENT_3D('',#565507,#443137,#443138); #368492=AXIS2_PLACEMENT_3D('',#565508,#443139,#443140); #368493=AXIS2_PLACEMENT_3D('',#565509,#443141,#443142); #368494=AXIS2_PLACEMENT_3D('',#565510,#443143,#443144); #368495=AXIS2_PLACEMENT_3D('',#565511,#443145,#443146); #368496=AXIS2_PLACEMENT_3D('',#565513,#443147,#443148); #368497=AXIS2_PLACEMENT_3D('',#565516,#443150,#443151); #368498=AXIS2_PLACEMENT_3D('',#565517,#443152,#443153); #368499=AXIS2_PLACEMENT_3D('',#565526,#443158,#443159); #368500=AXIS2_PLACEMENT_3D('',#565528,#443160,#443161); #368501=AXIS2_PLACEMENT_3D('',#565530,#443162,#443163); #368502=AXIS2_PLACEMENT_3D('',#565532,#443165,#443166); #368503=AXIS2_PLACEMENT_3D('',#565533,#443167,#443168); #368504=AXIS2_PLACEMENT_3D('',#565534,#443169,#443170); #368505=AXIS2_PLACEMENT_3D('',#565535,#443171,#443172); #368506=AXIS2_PLACEMENT_3D('',#565536,#443173,#443174); #368507=AXIS2_PLACEMENT_3D('',#565537,#443175,#443176); #368508=AXIS2_PLACEMENT_3D('',#565539,#443177,#443178); #368509=AXIS2_PLACEMENT_3D('',#565542,#443180,#443181); #368510=AXIS2_PLACEMENT_3D('',#565543,#443182,#443183); #368511=AXIS2_PLACEMENT_3D('',#565552,#443188,#443189); #368512=AXIS2_PLACEMENT_3D('',#565558,#443193,#443194); #368513=AXIS2_PLACEMENT_3D('',#565564,#443198,#443199); #368514=AXIS2_PLACEMENT_3D('',#565567,#443202,#443203); #368515=AXIS2_PLACEMENT_3D('',#565568,#443204,#443205); #368516=AXIS2_PLACEMENT_3D('',#565569,#443206,#443207); #368517=AXIS2_PLACEMENT_3D('',#565571,#443208,#443209); #368518=AXIS2_PLACEMENT_3D('',#565574,#443211,#443212); #368519=AXIS2_PLACEMENT_3D('',#565575,#443213,#443214); #368520=AXIS2_PLACEMENT_3D('',#565584,#443219,#443220); #368521=AXIS2_PLACEMENT_3D('',#565586,#443221,#443222); #368522=AXIS2_PLACEMENT_3D('',#565588,#443223,#443224); #368523=AXIS2_PLACEMENT_3D('',#565590,#443226,#443227); #368524=AXIS2_PLACEMENT_3D('',#565591,#443228,#443229); #368525=AXIS2_PLACEMENT_3D('',#565592,#443230,#443231); #368526=AXIS2_PLACEMENT_3D('',#565593,#443232,#443233); #368527=AXIS2_PLACEMENT_3D('',#565594,#443234,#443235); #368528=AXIS2_PLACEMENT_3D('',#565595,#443236,#443237); #368529=AXIS2_PLACEMENT_3D('',#565597,#443238,#443239); #368530=AXIS2_PLACEMENT_3D('',#565600,#443241,#443242); #368531=AXIS2_PLACEMENT_3D('',#565601,#443243,#443244); #368532=AXIS2_PLACEMENT_3D('',#565610,#443249,#443250); #368533=AXIS2_PLACEMENT_3D('',#565612,#443251,#443252); #368534=AXIS2_PLACEMENT_3D('',#565614,#443253,#443254); #368535=AXIS2_PLACEMENT_3D('',#565616,#443256,#443257); #368536=AXIS2_PLACEMENT_3D('',#565617,#443258,#443259); #368537=AXIS2_PLACEMENT_3D('',#565618,#443260,#443261); #368538=AXIS2_PLACEMENT_3D('',#565619,#443262,#443263); #368539=AXIS2_PLACEMENT_3D('',#565620,#443264,#443265); #368540=AXIS2_PLACEMENT_3D('',#565621,#443266,#443267); #368541=AXIS2_PLACEMENT_3D('',#565623,#443268,#443269); #368542=AXIS2_PLACEMENT_3D('',#565626,#443271,#443272); #368543=AXIS2_PLACEMENT_3D('',#565627,#443273,#443274); #368544=AXIS2_PLACEMENT_3D('',#565636,#443279,#443280); #368545=AXIS2_PLACEMENT_3D('',#565638,#443281,#443282); #368546=AXIS2_PLACEMENT_3D('',#565640,#443283,#443284); #368547=AXIS2_PLACEMENT_3D('',#565642,#443286,#443287); #368548=AXIS2_PLACEMENT_3D('',#565643,#443288,#443289); #368549=AXIS2_PLACEMENT_3D('',#565644,#443290,#443291); #368550=AXIS2_PLACEMENT_3D('',#565645,#443292,#443293); #368551=AXIS2_PLACEMENT_3D('',#565646,#443294,#443295); #368552=AXIS2_PLACEMENT_3D('',#565647,#443296,#443297); #368553=AXIS2_PLACEMENT_3D('',#565649,#443298,#443299); #368554=AXIS2_PLACEMENT_3D('',#565652,#443301,#443302); #368555=AXIS2_PLACEMENT_3D('',#565653,#443303,#443304); #368556=AXIS2_PLACEMENT_3D('',#565662,#443309,#443310); #368557=AXIS2_PLACEMENT_3D('',#565664,#443311,#443312); #368558=AXIS2_PLACEMENT_3D('',#565666,#443313,#443314); #368559=AXIS2_PLACEMENT_3D('',#565668,#443316,#443317); #368560=AXIS2_PLACEMENT_3D('',#565669,#443318,#443319); #368561=AXIS2_PLACEMENT_3D('',#565670,#443320,#443321); #368562=AXIS2_PLACEMENT_3D('',#565671,#443322,#443323); #368563=AXIS2_PLACEMENT_3D('',#565672,#443324,#443325); #368564=AXIS2_PLACEMENT_3D('',#565673,#443326,#443327); #368565=AXIS2_PLACEMENT_3D('',#565682,#443332,#443333); #368566=AXIS2_PLACEMENT_3D('',#565684,#443334,#443335); #368567=AXIS2_PLACEMENT_3D('',#565686,#443336,#443337); #368568=AXIS2_PLACEMENT_3D('',#565688,#443339,#443340); #368569=AXIS2_PLACEMENT_3D('',#565694,#443344,#443345); #368570=AXIS2_PLACEMENT_3D('',#565695,#443346,#443347); #368571=AXIS2_PLACEMENT_3D('',#565696,#443348,#443349); #368572=AXIS2_PLACEMENT_3D('',#565697,#443350,#443351); #368573=AXIS2_PLACEMENT_3D('',#565706,#443356,#443357); #368574=AXIS2_PLACEMENT_3D('',#565712,#443361,#443362); #368575=AXIS2_PLACEMENT_3D('',#565718,#443366,#443367); #368576=AXIS2_PLACEMENT_3D('',#565724,#443371,#443372); #368577=AXIS2_PLACEMENT_3D('',#565730,#443376,#443377); #368578=AXIS2_PLACEMENT_3D('',#565736,#443381,#443382); #368579=AXIS2_PLACEMENT_3D('',#565742,#443386,#443387); #368580=AXIS2_PLACEMENT_3D('',#565748,#443391,#443392); #368581=AXIS2_PLACEMENT_3D('',#565754,#443396,#443397); #368582=AXIS2_PLACEMENT_3D('',#565760,#443401,#443402); #368583=AXIS2_PLACEMENT_3D('',#565766,#443406,#443407); #368584=AXIS2_PLACEMENT_3D('',#565772,#443411,#443412); #368585=AXIS2_PLACEMENT_3D('',#565778,#443416,#443417); #368586=AXIS2_PLACEMENT_3D('',#565784,#443421,#443422); #368587=AXIS2_PLACEMENT_3D('',#565790,#443426,#443427); #368588=AXIS2_PLACEMENT_3D('',#565796,#443431,#443432); #368589=AXIS2_PLACEMENT_3D('',#565802,#443436,#443437); #368590=AXIS2_PLACEMENT_3D('',#565808,#443441,#443442); #368591=AXIS2_PLACEMENT_3D('',#565814,#443446,#443447); #368592=AXIS2_PLACEMENT_3D('',#565820,#443451,#443452); #368593=AXIS2_PLACEMENT_3D('',#565826,#443456,#443457); #368594=AXIS2_PLACEMENT_3D('',#565832,#443461,#443462); #368595=AXIS2_PLACEMENT_3D('',#565838,#443466,#443467); #368596=AXIS2_PLACEMENT_3D('',#565844,#443471,#443472); #368597=AXIS2_PLACEMENT_3D('',#565850,#443476,#443477); #368598=AXIS2_PLACEMENT_3D('',#565856,#443481,#443482); #368599=AXIS2_PLACEMENT_3D('',#565862,#443486,#443487); #368600=AXIS2_PLACEMENT_3D('',#565868,#443491,#443492); #368601=AXIS2_PLACEMENT_3D('',#565874,#443496,#443497); #368602=AXIS2_PLACEMENT_3D('',#565880,#443501,#443502); #368603=AXIS2_PLACEMENT_3D('',#565886,#443506,#443507); #368604=AXIS2_PLACEMENT_3D('',#565892,#443511,#443512); #368605=AXIS2_PLACEMENT_3D('',#565898,#443516,#443517); #368606=AXIS2_PLACEMENT_3D('',#565904,#443521,#443522); #368607=AXIS2_PLACEMENT_3D('',#565910,#443526,#443527); #368608=AXIS2_PLACEMENT_3D('',#565916,#443531,#443532); #368609=AXIS2_PLACEMENT_3D('',#565922,#443536,#443537); #368610=AXIS2_PLACEMENT_3D('',#565928,#443541,#443542); #368611=AXIS2_PLACEMENT_3D('',#565934,#443546,#443547); #368612=AXIS2_PLACEMENT_3D('',#565940,#443551,#443552); #368613=AXIS2_PLACEMENT_3D('',#565946,#443556,#443557); #368614=AXIS2_PLACEMENT_3D('',#565952,#443561,#443562); #368615=AXIS2_PLACEMENT_3D('',#565958,#443566,#443567); #368616=AXIS2_PLACEMENT_3D('',#565964,#443571,#443572); #368617=AXIS2_PLACEMENT_3D('',#565970,#443576,#443577); #368618=AXIS2_PLACEMENT_3D('',#565976,#443581,#443582); #368619=AXIS2_PLACEMENT_3D('',#565982,#443586,#443587); #368620=AXIS2_PLACEMENT_3D('',#565988,#443591,#443592); #368621=AXIS2_PLACEMENT_3D('',#565994,#443596,#443597); #368622=AXIS2_PLACEMENT_3D('',#566000,#443601,#443602); #368623=AXIS2_PLACEMENT_3D('',#566006,#443606,#443607); #368624=AXIS2_PLACEMENT_3D('',#566012,#443611,#443612); #368625=AXIS2_PLACEMENT_3D('',#566018,#443616,#443617); #368626=AXIS2_PLACEMENT_3D('',#566024,#443621,#443622); #368627=AXIS2_PLACEMENT_3D('',#566030,#443626,#443627); #368628=AXIS2_PLACEMENT_3D('',#566033,#443630,#443631); #368629=AXIS2_PLACEMENT_3D('',#566034,#443632,#443633); #368630=AXIS2_PLACEMENT_3D('',#566035,#443634,#443635); #368631=AXIS2_PLACEMENT_3D('',#566044,#443640,#443641); #368632=AXIS2_PLACEMENT_3D('',#566046,#443642,#443643); #368633=AXIS2_PLACEMENT_3D('',#566048,#443644,#443645); #368634=AXIS2_PLACEMENT_3D('',#566050,#443647,#443648); #368635=AXIS2_PLACEMENT_3D('',#566056,#443652,#443653); #368636=AXIS2_PLACEMENT_3D('',#566057,#443654,#443655); #368637=AXIS2_PLACEMENT_3D('',#566058,#443656,#443657); #368638=AXIS2_PLACEMENT_3D('',#566059,#443658,#443659); #368639=AXIS2_PLACEMENT_3D('',#566068,#443664,#443665); #368640=AXIS2_PLACEMENT_3D('',#566074,#443669,#443670); #368641=AXIS2_PLACEMENT_3D('',#566080,#443674,#443675); #368642=AXIS2_PLACEMENT_3D('',#566086,#443679,#443680); #368643=AXIS2_PLACEMENT_3D('',#566092,#443684,#443685); #368644=AXIS2_PLACEMENT_3D('',#566098,#443689,#443690); #368645=AXIS2_PLACEMENT_3D('',#566104,#443694,#443695); #368646=AXIS2_PLACEMENT_3D('',#566110,#443699,#443700); #368647=AXIS2_PLACEMENT_3D('',#566116,#443704,#443705); #368648=AXIS2_PLACEMENT_3D('',#566122,#443709,#443710); #368649=AXIS2_PLACEMENT_3D('',#566128,#443714,#443715); #368650=AXIS2_PLACEMENT_3D('',#566134,#443719,#443720); #368651=AXIS2_PLACEMENT_3D('',#566140,#443724,#443725); #368652=AXIS2_PLACEMENT_3D('',#566146,#443729,#443730); #368653=AXIS2_PLACEMENT_3D('',#566152,#443734,#443735); #368654=AXIS2_PLACEMENT_3D('',#566158,#443739,#443740); #368655=AXIS2_PLACEMENT_3D('',#566164,#443744,#443745); #368656=AXIS2_PLACEMENT_3D('',#566170,#443749,#443750); #368657=AXIS2_PLACEMENT_3D('',#566176,#443754,#443755); #368658=AXIS2_PLACEMENT_3D('',#566182,#443759,#443760); #368659=AXIS2_PLACEMENT_3D('',#566188,#443764,#443765); #368660=AXIS2_PLACEMENT_3D('',#566194,#443769,#443770); #368661=AXIS2_PLACEMENT_3D('',#566200,#443774,#443775); #368662=AXIS2_PLACEMENT_3D('',#566206,#443779,#443780); #368663=AXIS2_PLACEMENT_3D('',#566212,#443784,#443785); #368664=AXIS2_PLACEMENT_3D('',#566218,#443789,#443790); #368665=AXIS2_PLACEMENT_3D('',#566224,#443794,#443795); #368666=AXIS2_PLACEMENT_3D('',#566230,#443799,#443800); #368667=AXIS2_PLACEMENT_3D('',#566236,#443804,#443805); #368668=AXIS2_PLACEMENT_3D('',#566242,#443809,#443810); #368669=AXIS2_PLACEMENT_3D('',#566248,#443814,#443815); #368670=AXIS2_PLACEMENT_3D('',#566254,#443819,#443820); #368671=AXIS2_PLACEMENT_3D('',#566260,#443824,#443825); #368672=AXIS2_PLACEMENT_3D('',#566266,#443829,#443830); #368673=AXIS2_PLACEMENT_3D('',#566272,#443834,#443835); #368674=AXIS2_PLACEMENT_3D('',#566278,#443839,#443840); #368675=AXIS2_PLACEMENT_3D('',#566284,#443844,#443845); #368676=AXIS2_PLACEMENT_3D('',#566290,#443849,#443850); #368677=AXIS2_PLACEMENT_3D('',#566296,#443854,#443855); #368678=AXIS2_PLACEMENT_3D('',#566302,#443859,#443860); #368679=AXIS2_PLACEMENT_3D('',#566308,#443864,#443865); #368680=AXIS2_PLACEMENT_3D('',#566314,#443869,#443870); #368681=AXIS2_PLACEMENT_3D('',#566320,#443874,#443875); #368682=AXIS2_PLACEMENT_3D('',#566326,#443879,#443880); #368683=AXIS2_PLACEMENT_3D('',#566332,#443884,#443885); #368684=AXIS2_PLACEMENT_3D('',#566338,#443889,#443890); #368685=AXIS2_PLACEMENT_3D('',#566344,#443894,#443895); #368686=AXIS2_PLACEMENT_3D('',#566350,#443899,#443900); #368687=AXIS2_PLACEMENT_3D('',#566356,#443904,#443905); #368688=AXIS2_PLACEMENT_3D('',#566362,#443909,#443910); #368689=AXIS2_PLACEMENT_3D('',#566368,#443914,#443915); #368690=AXIS2_PLACEMENT_3D('',#566374,#443919,#443920); #368691=AXIS2_PLACEMENT_3D('',#566380,#443924,#443925); #368692=AXIS2_PLACEMENT_3D('',#566386,#443929,#443930); #368693=AXIS2_PLACEMENT_3D('',#566392,#443934,#443935); #368694=AXIS2_PLACEMENT_3D('',#566398,#443939,#443940); #368695=AXIS2_PLACEMENT_3D('',#566404,#443944,#443945); #368696=AXIS2_PLACEMENT_3D('',#566410,#443949,#443950); #368697=AXIS2_PLACEMENT_3D('',#566416,#443954,#443955); #368698=AXIS2_PLACEMENT_3D('',#566422,#443959,#443960); #368699=AXIS2_PLACEMENT_3D('',#566428,#443964,#443965); #368700=AXIS2_PLACEMENT_3D('',#566434,#443969,#443970); #368701=AXIS2_PLACEMENT_3D('',#566440,#443974,#443975); #368702=AXIS2_PLACEMENT_3D('',#566446,#443979,#443980); #368703=AXIS2_PLACEMENT_3D('',#566452,#443984,#443985); #368704=AXIS2_PLACEMENT_3D('',#566458,#443989,#443990); #368705=AXIS2_PLACEMENT_3D('',#566464,#443994,#443995); #368706=AXIS2_PLACEMENT_3D('',#566470,#443999,#444000); #368707=AXIS2_PLACEMENT_3D('',#566476,#444004,#444005); #368708=AXIS2_PLACEMENT_3D('',#566482,#444009,#444010); #368709=AXIS2_PLACEMENT_3D('',#566488,#444014,#444015); #368710=AXIS2_PLACEMENT_3D('',#566491,#444018,#444019); #368711=AXIS2_PLACEMENT_3D('',#566492,#444020,#444021); #368712=AXIS2_PLACEMENT_3D('',#566493,#444022,#444023); #368713=AXIS2_PLACEMENT_3D('',#566502,#444028,#444029); #368714=AXIS2_PLACEMENT_3D('',#566504,#444030,#444031); #368715=AXIS2_PLACEMENT_3D('',#566506,#444032,#444033); #368716=AXIS2_PLACEMENT_3D('',#566508,#444035,#444036); #368717=AXIS2_PLACEMENT_3D('',#566514,#444040,#444041); #368718=AXIS2_PLACEMENT_3D('',#566515,#444042,#444043); #368719=AXIS2_PLACEMENT_3D('',#566516,#444044,#444045); #368720=AXIS2_PLACEMENT_3D('',#566517,#444046,#444047); #368721=AXIS2_PLACEMENT_3D('',#566526,#444052,#444053); #368722=AXIS2_PLACEMENT_3D('',#566532,#444057,#444058); #368723=AXIS2_PLACEMENT_3D('',#566538,#444062,#444063); #368724=AXIS2_PLACEMENT_3D('',#566544,#444067,#444068); #368725=AXIS2_PLACEMENT_3D('',#566550,#444072,#444073); #368726=AXIS2_PLACEMENT_3D('',#566556,#444077,#444078); #368727=AXIS2_PLACEMENT_3D('',#566562,#444082,#444083); #368728=AXIS2_PLACEMENT_3D('',#566568,#444087,#444088); #368729=AXIS2_PLACEMENT_3D('',#566574,#444092,#444093); #368730=AXIS2_PLACEMENT_3D('',#566580,#444097,#444098); #368731=AXIS2_PLACEMENT_3D('',#566586,#444102,#444103); #368732=AXIS2_PLACEMENT_3D('',#566592,#444107,#444108); #368733=AXIS2_PLACEMENT_3D('',#566598,#444112,#444113); #368734=AXIS2_PLACEMENT_3D('',#566604,#444117,#444118); #368735=AXIS2_PLACEMENT_3D('',#566610,#444122,#444123); #368736=AXIS2_PLACEMENT_3D('',#566616,#444127,#444128); #368737=AXIS2_PLACEMENT_3D('',#566622,#444132,#444133); #368738=AXIS2_PLACEMENT_3D('',#566628,#444137,#444138); #368739=AXIS2_PLACEMENT_3D('',#566634,#444142,#444143); #368740=AXIS2_PLACEMENT_3D('',#566640,#444147,#444148); #368741=AXIS2_PLACEMENT_3D('',#566646,#444152,#444153); #368742=AXIS2_PLACEMENT_3D('',#566652,#444157,#444158); #368743=AXIS2_PLACEMENT_3D('',#566658,#444162,#444163); #368744=AXIS2_PLACEMENT_3D('',#566664,#444167,#444168); #368745=AXIS2_PLACEMENT_3D('',#566670,#444172,#444173); #368746=AXIS2_PLACEMENT_3D('',#566676,#444177,#444178); #368747=AXIS2_PLACEMENT_3D('',#566682,#444182,#444183); #368748=AXIS2_PLACEMENT_3D('',#566688,#444187,#444188); #368749=AXIS2_PLACEMENT_3D('',#566694,#444192,#444193); #368750=AXIS2_PLACEMENT_3D('',#566700,#444197,#444198); #368751=AXIS2_PLACEMENT_3D('',#566706,#444202,#444203); #368752=AXIS2_PLACEMENT_3D('',#566712,#444207,#444208); #368753=AXIS2_PLACEMENT_3D('',#566718,#444212,#444213); #368754=AXIS2_PLACEMENT_3D('',#566724,#444217,#444218); #368755=AXIS2_PLACEMENT_3D('',#566730,#444222,#444223); #368756=AXIS2_PLACEMENT_3D('',#566736,#444227,#444228); #368757=AXIS2_PLACEMENT_3D('',#566742,#444232,#444233); #368758=AXIS2_PLACEMENT_3D('',#566748,#444237,#444238); #368759=AXIS2_PLACEMENT_3D('',#566754,#444242,#444243); #368760=AXIS2_PLACEMENT_3D('',#566760,#444247,#444248); #368761=AXIS2_PLACEMENT_3D('',#566766,#444252,#444253); #368762=AXIS2_PLACEMENT_3D('',#566772,#444257,#444258); #368763=AXIS2_PLACEMENT_3D('',#566778,#444262,#444263); #368764=AXIS2_PLACEMENT_3D('',#566784,#444267,#444268); #368765=AXIS2_PLACEMENT_3D('',#566790,#444272,#444273); #368766=AXIS2_PLACEMENT_3D('',#566796,#444277,#444278); #368767=AXIS2_PLACEMENT_3D('',#566802,#444282,#444283); #368768=AXIS2_PLACEMENT_3D('',#566808,#444287,#444288); #368769=AXIS2_PLACEMENT_3D('',#566814,#444292,#444293); #368770=AXIS2_PLACEMENT_3D('',#566820,#444297,#444298); #368771=AXIS2_PLACEMENT_3D('',#566826,#444302,#444303); #368772=AXIS2_PLACEMENT_3D('',#566832,#444307,#444308); #368773=AXIS2_PLACEMENT_3D('',#566838,#444312,#444313); #368774=AXIS2_PLACEMENT_3D('',#566844,#444317,#444318); #368775=AXIS2_PLACEMENT_3D('',#566850,#444322,#444323); #368776=AXIS2_PLACEMENT_3D('',#566853,#444326,#444327); #368777=AXIS2_PLACEMENT_3D('',#566854,#444328,#444329); #368778=AXIS2_PLACEMENT_3D('',#566855,#444330,#444331); #368779=AXIS2_PLACEMENT_3D('',#566857,#444332,#444333); #368780=AXIS2_PLACEMENT_3D('',#566860,#444335,#444336); #368781=AXIS2_PLACEMENT_3D('',#566861,#444337,#444338); #368782=AXIS2_PLACEMENT_3D('',#566870,#444343,#444344); #368783=AXIS2_PLACEMENT_3D('',#566876,#444348,#444349); #368784=AXIS2_PLACEMENT_3D('',#566882,#444353,#444354); #368785=AXIS2_PLACEMENT_3D('',#566885,#444357,#444358); #368786=AXIS2_PLACEMENT_3D('',#566886,#444359,#444360); #368787=AXIS2_PLACEMENT_3D('',#566887,#444361,#444362); #368788=AXIS2_PLACEMENT_3D('',#566896,#444367,#444368); #368789=AXIS2_PLACEMENT_3D('',#566898,#444369,#444370); #368790=AXIS2_PLACEMENT_3D('',#566900,#444371,#444372); #368791=AXIS2_PLACEMENT_3D('',#566902,#444374,#444375); #368792=AXIS2_PLACEMENT_3D('',#566908,#444379,#444380); #368793=AXIS2_PLACEMENT_3D('',#566909,#444381,#444382); #368794=AXIS2_PLACEMENT_3D('',#566910,#444383,#444384); #368795=AXIS2_PLACEMENT_3D('',#566911,#444385,#444386); #368796=AXIS2_PLACEMENT_3D('',#566920,#444391,#444392); #368797=AXIS2_PLACEMENT_3D('',#566926,#444396,#444397); #368798=AXIS2_PLACEMENT_3D('',#566932,#444401,#444402); #368799=AXIS2_PLACEMENT_3D('',#566938,#444406,#444407); #368800=AXIS2_PLACEMENT_3D('',#566944,#444411,#444412); #368801=AXIS2_PLACEMENT_3D('',#566950,#444416,#444417); #368802=AXIS2_PLACEMENT_3D('',#566956,#444421,#444422); #368803=AXIS2_PLACEMENT_3D('',#566962,#444426,#444427); #368804=AXIS2_PLACEMENT_3D('',#566968,#444431,#444432); #368805=AXIS2_PLACEMENT_3D('',#566974,#444436,#444437); #368806=AXIS2_PLACEMENT_3D('',#566980,#444441,#444442); #368807=AXIS2_PLACEMENT_3D('',#566986,#444446,#444447); #368808=AXIS2_PLACEMENT_3D('',#566992,#444451,#444452); #368809=AXIS2_PLACEMENT_3D('',#566998,#444456,#444457); #368810=AXIS2_PLACEMENT_3D('',#567004,#444461,#444462); #368811=AXIS2_PLACEMENT_3D('',#567010,#444466,#444467); #368812=AXIS2_PLACEMENT_3D('',#567016,#444471,#444472); #368813=AXIS2_PLACEMENT_3D('',#567022,#444476,#444477); #368814=AXIS2_PLACEMENT_3D('',#567028,#444481,#444482); #368815=AXIS2_PLACEMENT_3D('',#567034,#444486,#444487); #368816=AXIS2_PLACEMENT_3D('',#567040,#444491,#444492); #368817=AXIS2_PLACEMENT_3D('',#567046,#444496,#444497); #368818=AXIS2_PLACEMENT_3D('',#567052,#444501,#444502); #368819=AXIS2_PLACEMENT_3D('',#567058,#444506,#444507); #368820=AXIS2_PLACEMENT_3D('',#567064,#444511,#444512); #368821=AXIS2_PLACEMENT_3D('',#567070,#444516,#444517); #368822=AXIS2_PLACEMENT_3D('',#567076,#444521,#444522); #368823=AXIS2_PLACEMENT_3D('',#567082,#444526,#444527); #368824=AXIS2_PLACEMENT_3D('',#567088,#444531,#444532); #368825=AXIS2_PLACEMENT_3D('',#567094,#444536,#444537); #368826=AXIS2_PLACEMENT_3D('',#567100,#444541,#444542); #368827=AXIS2_PLACEMENT_3D('',#567106,#444546,#444547); #368828=AXIS2_PLACEMENT_3D('',#567112,#444551,#444552); #368829=AXIS2_PLACEMENT_3D('',#567118,#444556,#444557); #368830=AXIS2_PLACEMENT_3D('',#567124,#444561,#444562); #368831=AXIS2_PLACEMENT_3D('',#567130,#444566,#444567); #368832=AXIS2_PLACEMENT_3D('',#567136,#444571,#444572); #368833=AXIS2_PLACEMENT_3D('',#567142,#444576,#444577); #368834=AXIS2_PLACEMENT_3D('',#567148,#444581,#444582); #368835=AXIS2_PLACEMENT_3D('',#567154,#444586,#444587); #368836=AXIS2_PLACEMENT_3D('',#567160,#444591,#444592); #368837=AXIS2_PLACEMENT_3D('',#567166,#444596,#444597); #368838=AXIS2_PLACEMENT_3D('',#567172,#444601,#444602); #368839=AXIS2_PLACEMENT_3D('',#567178,#444606,#444607); #368840=AXIS2_PLACEMENT_3D('',#567184,#444611,#444612); #368841=AXIS2_PLACEMENT_3D('',#567190,#444616,#444617); #368842=AXIS2_PLACEMENT_3D('',#567196,#444621,#444622); #368843=AXIS2_PLACEMENT_3D('',#567202,#444626,#444627); #368844=AXIS2_PLACEMENT_3D('',#567208,#444631,#444632); #368845=AXIS2_PLACEMENT_3D('',#567214,#444636,#444637); #368846=AXIS2_PLACEMENT_3D('',#567220,#444641,#444642); #368847=AXIS2_PLACEMENT_3D('',#567226,#444646,#444647); #368848=AXIS2_PLACEMENT_3D('',#567232,#444651,#444652); #368849=AXIS2_PLACEMENT_3D('',#567238,#444656,#444657); #368850=AXIS2_PLACEMENT_3D('',#567244,#444661,#444662); #368851=AXIS2_PLACEMENT_3D('',#567247,#444665,#444666); #368852=AXIS2_PLACEMENT_3D('',#567248,#444667,#444668); #368853=AXIS2_PLACEMENT_3D('',#567249,#444669,#444670); #368854=AXIS2_PLACEMENT_3D('',#567258,#444675,#444676); #368855=AXIS2_PLACEMENT_3D('',#567260,#444677,#444678); #368856=AXIS2_PLACEMENT_3D('',#567262,#444679,#444680); #368857=AXIS2_PLACEMENT_3D('',#567264,#444682,#444683); #368858=AXIS2_PLACEMENT_3D('',#567270,#444687,#444688); #368859=AXIS2_PLACEMENT_3D('',#567271,#444689,#444690); #368860=AXIS2_PLACEMENT_3D('',#567272,#444691,#444692); #368861=AXIS2_PLACEMENT_3D('',#567273,#444693,#444694); #368862=AXIS2_PLACEMENT_3D('',#567282,#444699,#444700); #368863=AXIS2_PLACEMENT_3D('',#567288,#444704,#444705); #368864=AXIS2_PLACEMENT_3D('',#567294,#444709,#444710); #368865=AXIS2_PLACEMENT_3D('',#567300,#444714,#444715); #368866=AXIS2_PLACEMENT_3D('',#567306,#444719,#444720); #368867=AXIS2_PLACEMENT_3D('',#567312,#444724,#444725); #368868=AXIS2_PLACEMENT_3D('',#567318,#444729,#444730); #368869=AXIS2_PLACEMENT_3D('',#567324,#444734,#444735); #368870=AXIS2_PLACEMENT_3D('',#567330,#444739,#444740); #368871=AXIS2_PLACEMENT_3D('',#567336,#444744,#444745); #368872=AXIS2_PLACEMENT_3D('',#567342,#444749,#444750); #368873=AXIS2_PLACEMENT_3D('',#567348,#444754,#444755); #368874=AXIS2_PLACEMENT_3D('',#567354,#444759,#444760); #368875=AXIS2_PLACEMENT_3D('',#567360,#444764,#444765); #368876=AXIS2_PLACEMENT_3D('',#567366,#444769,#444770); #368877=AXIS2_PLACEMENT_3D('',#567372,#444774,#444775); #368878=AXIS2_PLACEMENT_3D('',#567378,#444779,#444780); #368879=AXIS2_PLACEMENT_3D('',#567384,#444784,#444785); #368880=AXIS2_PLACEMENT_3D('',#567390,#444789,#444790); #368881=AXIS2_PLACEMENT_3D('',#567396,#444794,#444795); #368882=AXIS2_PLACEMENT_3D('',#567402,#444799,#444800); #368883=AXIS2_PLACEMENT_3D('',#567408,#444804,#444805); #368884=AXIS2_PLACEMENT_3D('',#567414,#444809,#444810); #368885=AXIS2_PLACEMENT_3D('',#567420,#444814,#444815); #368886=AXIS2_PLACEMENT_3D('',#567426,#444819,#444820); #368887=AXIS2_PLACEMENT_3D('',#567432,#444824,#444825); #368888=AXIS2_PLACEMENT_3D('',#567438,#444829,#444830); #368889=AXIS2_PLACEMENT_3D('',#567444,#444834,#444835); #368890=AXIS2_PLACEMENT_3D('',#567450,#444839,#444840); #368891=AXIS2_PLACEMENT_3D('',#567456,#444844,#444845); #368892=AXIS2_PLACEMENT_3D('',#567462,#444849,#444850); #368893=AXIS2_PLACEMENT_3D('',#567468,#444854,#444855); #368894=AXIS2_PLACEMENT_3D('',#567474,#444859,#444860); #368895=AXIS2_PLACEMENT_3D('',#567480,#444864,#444865); #368896=AXIS2_PLACEMENT_3D('',#567486,#444869,#444870); #368897=AXIS2_PLACEMENT_3D('',#567492,#444874,#444875); #368898=AXIS2_PLACEMENT_3D('',#567498,#444879,#444880); #368899=AXIS2_PLACEMENT_3D('',#567504,#444884,#444885); #368900=AXIS2_PLACEMENT_3D('',#567510,#444889,#444890); #368901=AXIS2_PLACEMENT_3D('',#567516,#444894,#444895); #368902=AXIS2_PLACEMENT_3D('',#567522,#444899,#444900); #368903=AXIS2_PLACEMENT_3D('',#567528,#444904,#444905); #368904=AXIS2_PLACEMENT_3D('',#567534,#444909,#444910); #368905=AXIS2_PLACEMENT_3D('',#567540,#444914,#444915); #368906=AXIS2_PLACEMENT_3D('',#567546,#444919,#444920); #368907=AXIS2_PLACEMENT_3D('',#567552,#444924,#444925); #368908=AXIS2_PLACEMENT_3D('',#567558,#444929,#444930); #368909=AXIS2_PLACEMENT_3D('',#567564,#444934,#444935); #368910=AXIS2_PLACEMENT_3D('',#567570,#444939,#444940); #368911=AXIS2_PLACEMENT_3D('',#567576,#444944,#444945); #368912=AXIS2_PLACEMENT_3D('',#567582,#444949,#444950); #368913=AXIS2_PLACEMENT_3D('',#567588,#444954,#444955); #368914=AXIS2_PLACEMENT_3D('',#567594,#444959,#444960); #368915=AXIS2_PLACEMENT_3D('',#567600,#444964,#444965); #368916=AXIS2_PLACEMENT_3D('',#567606,#444969,#444970); #368917=AXIS2_PLACEMENT_3D('',#567612,#444974,#444975); #368918=AXIS2_PLACEMENT_3D('',#567618,#444979,#444980); #368919=AXIS2_PLACEMENT_3D('',#567624,#444984,#444985); #368920=AXIS2_PLACEMENT_3D('',#567630,#444989,#444990); #368921=AXIS2_PLACEMENT_3D('',#567636,#444994,#444995); #368922=AXIS2_PLACEMENT_3D('',#567642,#444999,#445000); #368923=AXIS2_PLACEMENT_3D('',#567648,#445004,#445005); #368924=AXIS2_PLACEMENT_3D('',#567654,#445009,#445010); #368925=AXIS2_PLACEMENT_3D('',#567660,#445014,#445015); #368926=AXIS2_PLACEMENT_3D('',#567666,#445019,#445020); #368927=AXIS2_PLACEMENT_3D('',#567672,#445024,#445025); #368928=AXIS2_PLACEMENT_3D('',#567678,#445029,#445030); #368929=AXIS2_PLACEMENT_3D('',#567684,#445034,#445035); #368930=AXIS2_PLACEMENT_3D('',#567690,#445039,#445040); #368931=AXIS2_PLACEMENT_3D('',#567696,#445044,#445045); #368932=AXIS2_PLACEMENT_3D('',#567702,#445049,#445050); #368933=AXIS2_PLACEMENT_3D('',#567705,#445053,#445054); #368934=AXIS2_PLACEMENT_3D('',#567706,#445055,#445056); #368935=AXIS2_PLACEMENT_3D('',#567707,#445057,#445058); #368936=AXIS2_PLACEMENT_3D('',#567709,#445059,#445060); #368937=AXIS2_PLACEMENT_3D('',#567712,#445062,#445063); #368938=AXIS2_PLACEMENT_3D('',#567713,#445064,#445065); #368939=AXIS2_PLACEMENT_3D('',#567722,#445070,#445071); #368940=AXIS2_PLACEMENT_3D('',#567724,#445072,#445073); #368941=AXIS2_PLACEMENT_3D('',#567726,#445074,#445075); #368942=AXIS2_PLACEMENT_3D('',#567728,#445077,#445078); #368943=AXIS2_PLACEMENT_3D('',#567729,#445079,#445080); #368944=AXIS2_PLACEMENT_3D('',#567730,#445081,#445082); #368945=AXIS2_PLACEMENT_3D('',#567731,#445083,#445084); #368946=AXIS2_PLACEMENT_3D('',#567732,#445085,#445086); #368947=AXIS2_PLACEMENT_3D('',#567733,#445087,#445088); #368948=AXIS2_PLACEMENT_3D('',#567735,#445089,#445090); #368949=AXIS2_PLACEMENT_3D('',#567738,#445092,#445093); #368950=AXIS2_PLACEMENT_3D('',#567739,#445094,#445095); #368951=AXIS2_PLACEMENT_3D('',#567748,#445100,#445101); #368952=AXIS2_PLACEMENT_3D('',#567750,#445102,#445103); #368953=AXIS2_PLACEMENT_3D('',#567752,#445104,#445105); #368954=AXIS2_PLACEMENT_3D('',#567754,#445107,#445108); #368955=AXIS2_PLACEMENT_3D('',#567755,#445109,#445110); #368956=AXIS2_PLACEMENT_3D('',#567756,#445111,#445112); #368957=AXIS2_PLACEMENT_3D('',#567757,#445113,#445114); #368958=AXIS2_PLACEMENT_3D('',#567758,#445115,#445116); #368959=AXIS2_PLACEMENT_3D('',#567759,#445117,#445118); #368960=AXIS2_PLACEMENT_3D('',#567768,#445123,#445124); #368961=AXIS2_PLACEMENT_3D('',#567770,#445125,#445126); #368962=AXIS2_PLACEMENT_3D('',#567772,#445127,#445128); #368963=AXIS2_PLACEMENT_3D('',#567774,#445130,#445131); #368964=AXIS2_PLACEMENT_3D('',#567780,#445135,#445136); #368965=AXIS2_PLACEMENT_3D('',#567781,#445137,#445138); #368966=AXIS2_PLACEMENT_3D('',#567782,#445139,#445140); #368967=AXIS2_PLACEMENT_3D('',#567783,#445141,#445142); #368968=AXIS2_PLACEMENT_3D('',#567792,#445147,#445148); #368969=AXIS2_PLACEMENT_3D('',#567798,#445152,#445153); #368970=AXIS2_PLACEMENT_3D('',#567804,#445157,#445158); #368971=AXIS2_PLACEMENT_3D('',#567810,#445162,#445163); #368972=AXIS2_PLACEMENT_3D('',#567816,#445167,#445168); #368973=AXIS2_PLACEMENT_3D('',#567822,#445172,#445173); #368974=AXIS2_PLACEMENT_3D('',#567828,#445177,#445178); #368975=AXIS2_PLACEMENT_3D('',#567834,#445182,#445183); #368976=AXIS2_PLACEMENT_3D('',#567840,#445187,#445188); #368977=AXIS2_PLACEMENT_3D('',#567846,#445192,#445193); #368978=AXIS2_PLACEMENT_3D('',#567852,#445197,#445198); #368979=AXIS2_PLACEMENT_3D('',#567858,#445202,#445203); #368980=AXIS2_PLACEMENT_3D('',#567864,#445207,#445208); #368981=AXIS2_PLACEMENT_3D('',#567870,#445212,#445213); #368982=AXIS2_PLACEMENT_3D('',#567876,#445217,#445218); #368983=AXIS2_PLACEMENT_3D('',#567882,#445222,#445223); #368984=AXIS2_PLACEMENT_3D('',#567888,#445227,#445228); #368985=AXIS2_PLACEMENT_3D('',#567894,#445232,#445233); #368986=AXIS2_PLACEMENT_3D('',#567900,#445237,#445238); #368987=AXIS2_PLACEMENT_3D('',#567906,#445242,#445243); #368988=AXIS2_PLACEMENT_3D('',#567912,#445247,#445248); #368989=AXIS2_PLACEMENT_3D('',#567918,#445252,#445253); #368990=AXIS2_PLACEMENT_3D('',#567924,#445257,#445258); #368991=AXIS2_PLACEMENT_3D('',#567930,#445262,#445263); #368992=AXIS2_PLACEMENT_3D('',#567936,#445267,#445268); #368993=AXIS2_PLACEMENT_3D('',#567942,#445272,#445273); #368994=AXIS2_PLACEMENT_3D('',#567948,#445277,#445278); #368995=AXIS2_PLACEMENT_3D('',#567954,#445282,#445283); #368996=AXIS2_PLACEMENT_3D('',#567960,#445287,#445288); #368997=AXIS2_PLACEMENT_3D('',#567966,#445292,#445293); #368998=AXIS2_PLACEMENT_3D('',#567972,#445297,#445298); #368999=AXIS2_PLACEMENT_3D('',#567978,#445302,#445303); #369000=AXIS2_PLACEMENT_3D('',#567984,#445307,#445308); #369001=AXIS2_PLACEMENT_3D('',#567990,#445312,#445313); #369002=AXIS2_PLACEMENT_3D('',#567996,#445317,#445318); #369003=AXIS2_PLACEMENT_3D('',#568002,#445322,#445323); #369004=AXIS2_PLACEMENT_3D('',#568008,#445327,#445328); #369005=AXIS2_PLACEMENT_3D('',#568014,#445332,#445333); #369006=AXIS2_PLACEMENT_3D('',#568020,#445337,#445338); #369007=AXIS2_PLACEMENT_3D('',#568026,#445342,#445343); #369008=AXIS2_PLACEMENT_3D('',#568032,#445347,#445348); #369009=AXIS2_PLACEMENT_3D('',#568038,#445352,#445353); #369010=AXIS2_PLACEMENT_3D('',#568044,#445357,#445358); #369011=AXIS2_PLACEMENT_3D('',#568050,#445362,#445363); #369012=AXIS2_PLACEMENT_3D('',#568056,#445367,#445368); #369013=AXIS2_PLACEMENT_3D('',#568062,#445372,#445373); #369014=AXIS2_PLACEMENT_3D('',#568068,#445377,#445378); #369015=AXIS2_PLACEMENT_3D('',#568074,#445382,#445383); #369016=AXIS2_PLACEMENT_3D('',#568080,#445387,#445388); #369017=AXIS2_PLACEMENT_3D('',#568086,#445392,#445393); #369018=AXIS2_PLACEMENT_3D('',#568092,#445397,#445398); #369019=AXIS2_PLACEMENT_3D('',#568098,#445402,#445403); #369020=AXIS2_PLACEMENT_3D('',#568104,#445407,#445408); #369021=AXIS2_PLACEMENT_3D('',#568110,#445412,#445413); #369022=AXIS2_PLACEMENT_3D('',#568116,#445417,#445418); #369023=AXIS2_PLACEMENT_3D('',#568119,#445421,#445422); #369024=AXIS2_PLACEMENT_3D('',#568120,#445423,#445424); #369025=AXIS2_PLACEMENT_3D('',#568121,#445425,#445426); #369026=AXIS2_PLACEMENT_3D('',#568123,#445427,#445428); #369027=AXIS2_PLACEMENT_3D('',#568126,#445430,#445431); #369028=AXIS2_PLACEMENT_3D('',#568127,#445432,#445433); #369029=AXIS2_PLACEMENT_3D('',#568136,#445438,#445439); #369030=AXIS2_PLACEMENT_3D('',#568138,#445440,#445441); #369031=AXIS2_PLACEMENT_3D('',#568140,#445442,#445443); #369032=AXIS2_PLACEMENT_3D('',#568142,#445445,#445446); #369033=AXIS2_PLACEMENT_3D('',#568143,#445447,#445448); #369034=AXIS2_PLACEMENT_3D('',#568144,#445449,#445450); #369035=AXIS2_PLACEMENT_3D('',#568145,#445451,#445452); #369036=AXIS2_PLACEMENT_3D('',#568146,#445453,#445454); #369037=AXIS2_PLACEMENT_3D('',#568147,#445455,#445456); #369038=AXIS2_PLACEMENT_3D('',#568149,#445457,#445458); #369039=AXIS2_PLACEMENT_3D('',#568152,#445460,#445461); #369040=AXIS2_PLACEMENT_3D('',#568153,#445462,#445463); #369041=AXIS2_PLACEMENT_3D('',#568162,#445468,#445469); #369042=AXIS2_PLACEMENT_3D('',#568164,#445470,#445471); #369043=AXIS2_PLACEMENT_3D('',#568166,#445472,#445473); #369044=AXIS2_PLACEMENT_3D('',#568168,#445475,#445476); #369045=AXIS2_PLACEMENT_3D('',#568169,#445477,#445478); #369046=AXIS2_PLACEMENT_3D('',#568170,#445479,#445480); #369047=AXIS2_PLACEMENT_3D('',#568171,#445481,#445482); #369048=AXIS2_PLACEMENT_3D('',#568172,#445483,#445484); #369049=AXIS2_PLACEMENT_3D('',#568173,#445485,#445486); #369050=AXIS2_PLACEMENT_3D('',#568175,#445487,#445488); #369051=AXIS2_PLACEMENT_3D('',#568178,#445490,#445491); #369052=AXIS2_PLACEMENT_3D('',#568179,#445492,#445493); #369053=AXIS2_PLACEMENT_3D('',#568188,#445498,#445499); #369054=AXIS2_PLACEMENT_3D('',#568190,#445500,#445501); #369055=AXIS2_PLACEMENT_3D('',#568192,#445502,#445503); #369056=AXIS2_PLACEMENT_3D('',#568194,#445505,#445506); #369057=AXIS2_PLACEMENT_3D('',#568195,#445507,#445508); #369058=AXIS2_PLACEMENT_3D('',#568196,#445509,#445510); #369059=AXIS2_PLACEMENT_3D('',#568197,#445511,#445512); #369060=AXIS2_PLACEMENT_3D('',#568198,#445513,#445514); #369061=AXIS2_PLACEMENT_3D('',#568199,#445515,#445516); #369062=AXIS2_PLACEMENT_3D('',#568201,#445517,#445518); #369063=AXIS2_PLACEMENT_3D('',#568204,#445520,#445521); #369064=AXIS2_PLACEMENT_3D('',#568205,#445522,#445523); #369065=AXIS2_PLACEMENT_3D('',#568214,#445528,#445529); #369066=AXIS2_PLACEMENT_3D('',#568220,#445533,#445534); #369067=AXIS2_PLACEMENT_3D('',#568226,#445538,#445539); #369068=AXIS2_PLACEMENT_3D('',#568229,#445542,#445543); #369069=AXIS2_PLACEMENT_3D('',#568230,#445544,#445545); #369070=AXIS2_PLACEMENT_3D('',#568231,#445546,#445547); #369071=AXIS2_PLACEMENT_3D('',#568233,#445548,#445549); #369072=AXIS2_PLACEMENT_3D('',#568236,#445551,#445552); #369073=AXIS2_PLACEMENT_3D('',#568237,#445553,#445554); #369074=AXIS2_PLACEMENT_3D('',#568246,#445559,#445560); #369075=AXIS2_PLACEMENT_3D('',#568248,#445561,#445562); #369076=AXIS2_PLACEMENT_3D('',#568250,#445563,#445564); #369077=AXIS2_PLACEMENT_3D('',#568252,#445566,#445567); #369078=AXIS2_PLACEMENT_3D('',#568253,#445568,#445569); #369079=AXIS2_PLACEMENT_3D('',#568254,#445570,#445571); #369080=AXIS2_PLACEMENT_3D('',#568255,#445572,#445573); #369081=AXIS2_PLACEMENT_3D('',#568256,#445574,#445575); #369082=AXIS2_PLACEMENT_3D('',#568257,#445576,#445577); #369083=AXIS2_PLACEMENT_3D('',#568266,#445582,#445583); #369084=AXIS2_PLACEMENT_3D('',#568272,#445587,#445588); #369085=AXIS2_PLACEMENT_3D('',#568278,#445592,#445593); #369086=AXIS2_PLACEMENT_3D('',#568281,#445596,#445597); #369087=AXIS2_PLACEMENT_3D('',#568282,#445598,#445599); #369088=AXIS2_PLACEMENT_3D('',#568283,#445600,#445601); #369089=AXIS2_PLACEMENT_3D('',#568292,#445606,#445607); #369090=AXIS2_PLACEMENT_3D('',#568298,#445611,#445612); #369091=AXIS2_PLACEMENT_3D('',#568304,#445616,#445617); #369092=AXIS2_PLACEMENT_3D('',#568307,#445620,#445621); #369093=AXIS2_PLACEMENT_3D('',#568308,#445622,#445623); #369094=AXIS2_PLACEMENT_3D('',#568309,#445624,#445625); #369095=AXIS2_PLACEMENT_3D('',#568318,#445630,#445631); #369096=AXIS2_PLACEMENT_3D('',#568324,#445635,#445636); #369097=AXIS2_PLACEMENT_3D('',#568330,#445640,#445641); #369098=AXIS2_PLACEMENT_3D('',#568333,#445644,#445645); #369099=AXIS2_PLACEMENT_3D('',#568334,#445646,#445647); #369100=AXIS2_PLACEMENT_3D('',#568335,#445648,#445649); #369101=AXIS2_PLACEMENT_3D('',#568344,#445654,#445655); #369102=AXIS2_PLACEMENT_3D('',#568350,#445659,#445660); #369103=AXIS2_PLACEMENT_3D('',#568356,#445664,#445665); #369104=AXIS2_PLACEMENT_3D('',#568359,#445668,#445669); #369105=AXIS2_PLACEMENT_3D('',#568360,#445670,#445671); #369106=AXIS2_PLACEMENT_3D('',#568361,#445672,#445673); #369107=AXIS2_PLACEMENT_3D('',#568370,#445678,#445679); #369108=AXIS2_PLACEMENT_3D('',#568376,#445683,#445684); #369109=AXIS2_PLACEMENT_3D('',#568382,#445688,#445689); #369110=AXIS2_PLACEMENT_3D('',#568385,#445692,#445693); #369111=AXIS2_PLACEMENT_3D('',#568386,#445694,#445695); #369112=AXIS2_PLACEMENT_3D('',#568387,#445696,#445697); #369113=AXIS2_PLACEMENT_3D('',#568396,#445702,#445703); #369114=AXIS2_PLACEMENT_3D('',#568402,#445707,#445708); #369115=AXIS2_PLACEMENT_3D('',#568408,#445712,#445713); #369116=AXIS2_PLACEMENT_3D('',#568411,#445716,#445717); #369117=AXIS2_PLACEMENT_3D('',#568412,#445718,#445719); #369118=AXIS2_PLACEMENT_3D('',#568413,#445720,#445721); #369119=AXIS2_PLACEMENT_3D('',#568422,#445726,#445727); #369120=AXIS2_PLACEMENT_3D('',#568428,#445731,#445732); #369121=AXIS2_PLACEMENT_3D('',#568434,#445736,#445737); #369122=AXIS2_PLACEMENT_3D('',#568437,#445740,#445741); #369123=AXIS2_PLACEMENT_3D('',#568438,#445742,#445743); #369124=AXIS2_PLACEMENT_3D('',#568439,#445744,#445745); #369125=AXIS2_PLACEMENT_3D('',#568448,#445750,#445751); #369126=AXIS2_PLACEMENT_3D('',#568454,#445755,#445756); #369127=AXIS2_PLACEMENT_3D('',#568460,#445760,#445761); #369128=AXIS2_PLACEMENT_3D('',#568463,#445764,#445765); #369129=AXIS2_PLACEMENT_3D('',#568464,#445766,#445767); #369130=AXIS2_PLACEMENT_3D('',#568465,#445768,#445769); #369131=AXIS2_PLACEMENT_3D('',#568474,#445774,#445775); #369132=AXIS2_PLACEMENT_3D('',#568480,#445779,#445780); #369133=AXIS2_PLACEMENT_3D('',#568486,#445784,#445785); #369134=AXIS2_PLACEMENT_3D('',#568489,#445788,#445789); #369135=AXIS2_PLACEMENT_3D('',#568490,#445790,#445791); #369136=AXIS2_PLACEMENT_3D('',#568491,#445792,#445793); #369137=AXIS2_PLACEMENT_3D('',#568500,#445798,#445799); #369138=AXIS2_PLACEMENT_3D('',#568506,#445803,#445804); #369139=AXIS2_PLACEMENT_3D('',#568512,#445808,#445809); #369140=AXIS2_PLACEMENT_3D('',#568515,#445812,#445813); #369141=AXIS2_PLACEMENT_3D('',#568516,#445814,#445815); #369142=AXIS2_PLACEMENT_3D('',#568517,#445816,#445817); #369143=AXIS2_PLACEMENT_3D('',#568526,#445822,#445823); #369144=AXIS2_PLACEMENT_3D('',#568532,#445827,#445828); #369145=AXIS2_PLACEMENT_3D('',#568538,#445832,#445833); #369146=AXIS2_PLACEMENT_3D('',#568541,#445836,#445837); #369147=AXIS2_PLACEMENT_3D('',#568542,#445838,#445839); #369148=AXIS2_PLACEMENT_3D('',#568543,#445840,#445841); #369149=AXIS2_PLACEMENT_3D('',#568552,#445846,#445847); #369150=AXIS2_PLACEMENT_3D('',#568558,#445851,#445852); #369151=AXIS2_PLACEMENT_3D('',#568564,#445856,#445857); #369152=AXIS2_PLACEMENT_3D('',#568567,#445860,#445861); #369153=AXIS2_PLACEMENT_3D('',#568568,#445862,#445863); #369154=AXIS2_PLACEMENT_3D('',#568569,#445864,#445865); #369155=AXIS2_PLACEMENT_3D('',#568578,#445870,#445871); #369156=AXIS2_PLACEMENT_3D('',#568584,#445875,#445876); #369157=AXIS2_PLACEMENT_3D('',#568590,#445880,#445881); #369158=AXIS2_PLACEMENT_3D('',#568593,#445884,#445885); #369159=AXIS2_PLACEMENT_3D('',#568594,#445886,#445887); #369160=AXIS2_PLACEMENT_3D('',#568595,#445888,#445889); #369161=AXIS2_PLACEMENT_3D('',#568604,#445894,#445895); #369162=AXIS2_PLACEMENT_3D('',#568610,#445899,#445900); #369163=AXIS2_PLACEMENT_3D('',#568616,#445904,#445905); #369164=AXIS2_PLACEMENT_3D('',#568619,#445908,#445909); #369165=AXIS2_PLACEMENT_3D('',#568620,#445910,#445911); #369166=AXIS2_PLACEMENT_3D('',#568621,#445912,#445913); #369167=AXIS2_PLACEMENT_3D('',#568630,#445918,#445919); #369168=AXIS2_PLACEMENT_3D('',#568636,#445923,#445924); #369169=AXIS2_PLACEMENT_3D('',#568642,#445928,#445929); #369170=AXIS2_PLACEMENT_3D('',#568645,#445932,#445933); #369171=AXIS2_PLACEMENT_3D('',#568646,#445934,#445935); #369172=AXIS2_PLACEMENT_3D('',#568647,#445936,#445937); #369173=AXIS2_PLACEMENT_3D('',#568656,#445942,#445943); #369174=AXIS2_PLACEMENT_3D('',#568662,#445947,#445948); #369175=AXIS2_PLACEMENT_3D('',#568668,#445952,#445953); #369176=AXIS2_PLACEMENT_3D('',#568671,#445956,#445957); #369177=AXIS2_PLACEMENT_3D('',#568672,#445958,#445959); #369178=AXIS2_PLACEMENT_3D('',#568673,#445960,#445961); #369179=AXIS2_PLACEMENT_3D('',#568682,#445966,#445967); #369180=AXIS2_PLACEMENT_3D('',#568688,#445971,#445972); #369181=AXIS2_PLACEMENT_3D('',#568694,#445976,#445977); #369182=AXIS2_PLACEMENT_3D('',#568697,#445980,#445981); #369183=AXIS2_PLACEMENT_3D('',#568698,#445982,#445983); #369184=AXIS2_PLACEMENT_3D('',#568699,#445984,#445985); #369185=AXIS2_PLACEMENT_3D('',#568708,#445990,#445991); #369186=AXIS2_PLACEMENT_3D('',#568714,#445995,#445996); #369187=AXIS2_PLACEMENT_3D('',#568720,#446000,#446001); #369188=AXIS2_PLACEMENT_3D('',#568723,#446004,#446005); #369189=AXIS2_PLACEMENT_3D('',#568724,#446006,#446007); #369190=AXIS2_PLACEMENT_3D('',#568725,#446008,#446009); #369191=AXIS2_PLACEMENT_3D('',#568734,#446014,#446015); #369192=AXIS2_PLACEMENT_3D('',#568740,#446019,#446020); #369193=AXIS2_PLACEMENT_3D('',#568746,#446024,#446025); #369194=AXIS2_PLACEMENT_3D('',#568749,#446028,#446029); #369195=AXIS2_PLACEMENT_3D('',#568750,#446030,#446031); #369196=AXIS2_PLACEMENT_3D('',#568751,#446032,#446033); #369197=AXIS2_PLACEMENT_3D('',#568760,#446038,#446039); #369198=AXIS2_PLACEMENT_3D('',#568766,#446043,#446044); #369199=AXIS2_PLACEMENT_3D('',#568772,#446048,#446049); #369200=AXIS2_PLACEMENT_3D('',#568775,#446052,#446053); #369201=AXIS2_PLACEMENT_3D('',#568776,#446054,#446055); #369202=AXIS2_PLACEMENT_3D('',#568777,#446056,#446057); #369203=AXIS2_PLACEMENT_3D('',#568786,#446062,#446063); #369204=AXIS2_PLACEMENT_3D('',#568792,#446067,#446068); #369205=AXIS2_PLACEMENT_3D('',#568798,#446072,#446073); #369206=AXIS2_PLACEMENT_3D('',#568801,#446076,#446077); #369207=AXIS2_PLACEMENT_3D('',#568802,#446078,#446079); #369208=AXIS2_PLACEMENT_3D('',#568803,#446080,#446081); #369209=AXIS2_PLACEMENT_3D('',#568812,#446086,#446087); #369210=AXIS2_PLACEMENT_3D('',#568818,#446091,#446092); #369211=AXIS2_PLACEMENT_3D('',#568824,#446096,#446097); #369212=AXIS2_PLACEMENT_3D('',#568827,#446100,#446101); #369213=AXIS2_PLACEMENT_3D('',#568828,#446102,#446103); #369214=AXIS2_PLACEMENT_3D('',#568829,#446104,#446105); #369215=AXIS2_PLACEMENT_3D('',#568838,#446110,#446111); #369216=AXIS2_PLACEMENT_3D('',#568844,#446115,#446116); #369217=AXIS2_PLACEMENT_3D('',#568850,#446120,#446121); #369218=AXIS2_PLACEMENT_3D('',#568853,#446124,#446125); #369219=AXIS2_PLACEMENT_3D('',#568854,#446126,#446127); #369220=AXIS2_PLACEMENT_3D('',#568855,#446128,#446129); #369221=AXIS2_PLACEMENT_3D('',#568857,#446130,#446131); #369222=AXIS2_PLACEMENT_3D('',#568860,#446133,#446134); #369223=AXIS2_PLACEMENT_3D('',#568861,#446135,#446136); #369224=AXIS2_PLACEMENT_3D('',#568863,#446137,#446138); #369225=AXIS2_PLACEMENT_3D('',#568866,#446140,#446141); #369226=AXIS2_PLACEMENT_3D('',#568867,#446142,#446143); #369227=AXIS2_PLACEMENT_3D('',#568876,#446148,#446149); #369228=AXIS2_PLACEMENT_3D('',#568882,#446153,#446154); #369229=AXIS2_PLACEMENT_3D('',#568888,#446158,#446159); #369230=AXIS2_PLACEMENT_3D('',#568891,#446162,#446163); #369231=AXIS2_PLACEMENT_3D('',#568892,#446164,#446165); #369232=AXIS2_PLACEMENT_3D('',#568893,#446166,#446167); #369233=AXIS2_PLACEMENT_3D('',#568902,#446172,#446173); #369234=AXIS2_PLACEMENT_3D('',#568908,#446177,#446178); #369235=AXIS2_PLACEMENT_3D('',#568914,#446182,#446183); #369236=AXIS2_PLACEMENT_3D('',#568917,#446186,#446187); #369237=AXIS2_PLACEMENT_3D('',#568918,#446188,#446189); #369238=AXIS2_PLACEMENT_3D('',#568919,#446190,#446191); #369239=AXIS2_PLACEMENT_3D('',#568921,#446192,#446193); #369240=AXIS2_PLACEMENT_3D('',#568924,#446195,#446196); #369241=AXIS2_PLACEMENT_3D('',#568925,#446197,#446198); #369242=AXIS2_PLACEMENT_3D('',#568927,#446199,#446200); #369243=AXIS2_PLACEMENT_3D('',#568930,#446202,#446203); #369244=AXIS2_PLACEMENT_3D('',#568931,#446204,#446205); #369245=AXIS2_PLACEMENT_3D('',#568940,#446210,#446211); #369246=AXIS2_PLACEMENT_3D('',#568946,#446215,#446216); #369247=AXIS2_PLACEMENT_3D('',#568952,#446220,#446221); #369248=AXIS2_PLACEMENT_3D('',#568955,#446224,#446225); #369249=AXIS2_PLACEMENT_3D('',#568956,#446226,#446227); #369250=AXIS2_PLACEMENT_3D('',#568957,#446228,#446229); #369251=AXIS2_PLACEMENT_3D('',#568966,#446234,#446235); #369252=AXIS2_PLACEMENT_3D('',#568972,#446239,#446240); #369253=AXIS2_PLACEMENT_3D('',#568978,#446244,#446245); #369254=AXIS2_PLACEMENT_3D('',#568981,#446248,#446249); #369255=AXIS2_PLACEMENT_3D('',#568982,#446250,#446251); #369256=AXIS2_PLACEMENT_3D('',#568983,#446252,#446253); #369257=AXIS2_PLACEMENT_3D('',#568992,#446258,#446259); #369258=AXIS2_PLACEMENT_3D('',#568998,#446263,#446264); #369259=AXIS2_PLACEMENT_3D('',#569004,#446268,#446269); #369260=AXIS2_PLACEMENT_3D('',#569007,#446272,#446273); #369261=AXIS2_PLACEMENT_3D('',#569008,#446274,#446275); #369262=AXIS2_PLACEMENT_3D('',#569009,#446276,#446277); #369263=AXIS2_PLACEMENT_3D('',#569018,#446282,#446283); #369264=AXIS2_PLACEMENT_3D('',#569024,#446287,#446288); #369265=AXIS2_PLACEMENT_3D('',#569030,#446292,#446293); #369266=AXIS2_PLACEMENT_3D('',#569033,#446296,#446297); #369267=AXIS2_PLACEMENT_3D('',#569034,#446298,#446299); #369268=AXIS2_PLACEMENT_3D('',#569035,#446300,#446301); #369269=AXIS2_PLACEMENT_3D('',#569044,#446306,#446307); #369270=AXIS2_PLACEMENT_3D('',#569050,#446311,#446312); #369271=AXIS2_PLACEMENT_3D('',#569056,#446316,#446317); #369272=AXIS2_PLACEMENT_3D('',#569059,#446320,#446321); #369273=AXIS2_PLACEMENT_3D('',#569060,#446322,#446323); #369274=AXIS2_PLACEMENT_3D('',#569061,#446324,#446325); #369275=AXIS2_PLACEMENT_3D('',#569070,#446330,#446331); #369276=AXIS2_PLACEMENT_3D('',#569076,#446335,#446336); #369277=AXIS2_PLACEMENT_3D('',#569082,#446340,#446341); #369278=AXIS2_PLACEMENT_3D('',#569085,#446344,#446345); #369279=AXIS2_PLACEMENT_3D('',#569086,#446346,#446347); #369280=AXIS2_PLACEMENT_3D('',#569087,#446348,#446349); #369281=AXIS2_PLACEMENT_3D('',#569096,#446354,#446355); #369282=AXIS2_PLACEMENT_3D('',#569102,#446359,#446360); #369283=AXIS2_PLACEMENT_3D('',#569108,#446364,#446365); #369284=AXIS2_PLACEMENT_3D('',#569111,#446368,#446369); #369285=AXIS2_PLACEMENT_3D('',#569112,#446370,#446371); #369286=AXIS2_PLACEMENT_3D('',#569113,#446372,#446373); #369287=AXIS2_PLACEMENT_3D('',#569122,#446378,#446379); #369288=AXIS2_PLACEMENT_3D('',#569128,#446383,#446384); #369289=AXIS2_PLACEMENT_3D('',#569134,#446388,#446389); #369290=AXIS2_PLACEMENT_3D('',#569137,#446392,#446393); #369291=AXIS2_PLACEMENT_3D('',#569138,#446394,#446395); #369292=AXIS2_PLACEMENT_3D('',#569139,#446396,#446397); #369293=AXIS2_PLACEMENT_3D('',#569148,#446402,#446403); #369294=AXIS2_PLACEMENT_3D('',#569154,#446407,#446408); #369295=AXIS2_PLACEMENT_3D('',#569160,#446412,#446413); #369296=AXIS2_PLACEMENT_3D('',#569163,#446416,#446417); #369297=AXIS2_PLACEMENT_3D('',#569164,#446418,#446419); #369298=AXIS2_PLACEMENT_3D('',#569165,#446420,#446421); #369299=AXIS2_PLACEMENT_3D('',#569174,#446426,#446427); #369300=AXIS2_PLACEMENT_3D('',#569180,#446431,#446432); #369301=AXIS2_PLACEMENT_3D('',#569186,#446436,#446437); #369302=AXIS2_PLACEMENT_3D('',#569189,#446440,#446441); #369303=AXIS2_PLACEMENT_3D('',#569190,#446442,#446443); #369304=AXIS2_PLACEMENT_3D('',#569191,#446444,#446445); #369305=AXIS2_PLACEMENT_3D('',#569200,#446450,#446451); #369306=AXIS2_PLACEMENT_3D('',#569206,#446455,#446456); #369307=AXIS2_PLACEMENT_3D('',#569212,#446460,#446461); #369308=AXIS2_PLACEMENT_3D('',#569215,#446464,#446465); #369309=AXIS2_PLACEMENT_3D('',#569216,#446466,#446467); #369310=AXIS2_PLACEMENT_3D('',#569217,#446468,#446469); #369311=AXIS2_PLACEMENT_3D('',#569226,#446474,#446475); #369312=AXIS2_PLACEMENT_3D('',#569232,#446479,#446480); #369313=AXIS2_PLACEMENT_3D('',#569238,#446484,#446485); #369314=AXIS2_PLACEMENT_3D('',#569241,#446488,#446489); #369315=AXIS2_PLACEMENT_3D('',#569242,#446490,#446491); #369316=AXIS2_PLACEMENT_3D('',#569243,#446492,#446493); #369317=AXIS2_PLACEMENT_3D('',#569252,#446498,#446499); #369318=AXIS2_PLACEMENT_3D('',#569258,#446503,#446504); #369319=AXIS2_PLACEMENT_3D('',#569264,#446508,#446509); #369320=AXIS2_PLACEMENT_3D('',#569267,#446512,#446513); #369321=AXIS2_PLACEMENT_3D('',#569268,#446514,#446515); #369322=AXIS2_PLACEMENT_3D('',#569269,#446516,#446517); #369323=AXIS2_PLACEMENT_3D('',#569278,#446522,#446523); #369324=AXIS2_PLACEMENT_3D('',#569284,#446527,#446528); #369325=AXIS2_PLACEMENT_3D('',#569290,#446532,#446533); #369326=AXIS2_PLACEMENT_3D('',#569293,#446536,#446537); #369327=AXIS2_PLACEMENT_3D('',#569294,#446538,#446539); #369328=AXIS2_PLACEMENT_3D('',#569295,#446540,#446541); #369329=AXIS2_PLACEMENT_3D('',#569304,#446546,#446547); #369330=AXIS2_PLACEMENT_3D('',#569310,#446551,#446552); #369331=AXIS2_PLACEMENT_3D('',#569316,#446556,#446557); #369332=AXIS2_PLACEMENT_3D('',#569319,#446560,#446561); #369333=AXIS2_PLACEMENT_3D('',#569320,#446562,#446563); #369334=AXIS2_PLACEMENT_3D('',#569321,#446564,#446565); #369335=AXIS2_PLACEMENT_3D('',#569330,#446570,#446571); #369336=AXIS2_PLACEMENT_3D('',#569336,#446575,#446576); #369337=AXIS2_PLACEMENT_3D('',#569342,#446580,#446581); #369338=AXIS2_PLACEMENT_3D('',#569345,#446584,#446585); #369339=AXIS2_PLACEMENT_3D('',#569346,#446586,#446587); #369340=AXIS2_PLACEMENT_3D('',#569347,#446588,#446589); #369341=AXIS2_PLACEMENT_3D('',#569356,#446594,#446595); #369342=AXIS2_PLACEMENT_3D('',#569362,#446599,#446600); #369343=AXIS2_PLACEMENT_3D('',#569368,#446604,#446605); #369344=AXIS2_PLACEMENT_3D('',#569371,#446608,#446609); #369345=AXIS2_PLACEMENT_3D('',#569372,#446610,#446611); #369346=AXIS2_PLACEMENT_3D('',#569373,#446612,#446613); #369347=AXIS2_PLACEMENT_3D('',#569375,#446614,#446615); #369348=AXIS2_PLACEMENT_3D('',#569378,#446617,#446618); #369349=AXIS2_PLACEMENT_3D('',#569379,#446619,#446620); #369350=AXIS2_PLACEMENT_3D('',#569388,#446625,#446626); #369351=AXIS2_PLACEMENT_3D('',#569394,#446630,#446631); #369352=AXIS2_PLACEMENT_3D('',#569400,#446635,#446636); #369353=AXIS2_PLACEMENT_3D('',#569403,#446639,#446640); #369354=AXIS2_PLACEMENT_3D('',#569404,#446641,#446642); #369355=AXIS2_PLACEMENT_3D('',#569405,#446643,#446644); #369356=AXIS2_PLACEMENT_3D('',#569414,#446649,#446650); #369357=AXIS2_PLACEMENT_3D('',#569420,#446654,#446655); #369358=AXIS2_PLACEMENT_3D('',#569426,#446659,#446660); #369359=AXIS2_PLACEMENT_3D('',#569429,#446663,#446664); #369360=AXIS2_PLACEMENT_3D('',#569430,#446665,#446666); #369361=AXIS2_PLACEMENT_3D('',#569431,#446667,#446668); #369362=AXIS2_PLACEMENT_3D('',#569440,#446673,#446674); #369363=AXIS2_PLACEMENT_3D('',#569446,#446678,#446679); #369364=AXIS2_PLACEMENT_3D('',#569452,#446683,#446684); #369365=AXIS2_PLACEMENT_3D('',#569455,#446687,#446688); #369366=AXIS2_PLACEMENT_3D('',#569456,#446689,#446690); #369367=AXIS2_PLACEMENT_3D('',#569457,#446691,#446692); #369368=AXIS2_PLACEMENT_3D('',#569466,#446697,#446698); #369369=AXIS2_PLACEMENT_3D('',#569472,#446702,#446703); #369370=AXIS2_PLACEMENT_3D('',#569478,#446707,#446708); #369371=AXIS2_PLACEMENT_3D('',#569481,#446711,#446712); #369372=AXIS2_PLACEMENT_3D('',#569482,#446713,#446714); #369373=AXIS2_PLACEMENT_3D('',#569483,#446715,#446716); #369374=AXIS2_PLACEMENT_3D('',#569492,#446721,#446722); #369375=AXIS2_PLACEMENT_3D('',#569498,#446726,#446727); #369376=AXIS2_PLACEMENT_3D('',#569504,#446731,#446732); #369377=AXIS2_PLACEMENT_3D('',#569507,#446735,#446736); #369378=AXIS2_PLACEMENT_3D('',#569508,#446737,#446738); #369379=AXIS2_PLACEMENT_3D('',#569509,#446739,#446740); #369380=AXIS2_PLACEMENT_3D('',#569511,#446741,#446742); #369381=AXIS2_PLACEMENT_3D('',#569514,#446744,#446745); #369382=AXIS2_PLACEMENT_3D('',#569515,#446746,#446747); #369383=AXIS2_PLACEMENT_3D('',#569517,#446748,#446749); #369384=AXIS2_PLACEMENT_3D('',#569520,#446751,#446752); #369385=AXIS2_PLACEMENT_3D('',#569521,#446753,#446754); #369386=AXIS2_PLACEMENT_3D('',#569523,#446755,#446756); #369387=AXIS2_PLACEMENT_3D('',#569526,#446758,#446759); #369388=AXIS2_PLACEMENT_3D('',#569527,#446760,#446761); #369389=AXIS2_PLACEMENT_3D('',#569529,#446762,#446763); #369390=AXIS2_PLACEMENT_3D('',#569532,#446765,#446766); #369391=AXIS2_PLACEMENT_3D('',#569533,#446767,#446768); #369392=AXIS2_PLACEMENT_3D('',#569535,#446769,#446770); #369393=AXIS2_PLACEMENT_3D('',#569538,#446772,#446773); #369394=AXIS2_PLACEMENT_3D('',#569539,#446774,#446775); #369395=AXIS2_PLACEMENT_3D('',#569541,#446776,#446777); #369396=AXIS2_PLACEMENT_3D('',#569544,#446779,#446780); #369397=AXIS2_PLACEMENT_3D('',#569545,#446781,#446782); #369398=AXIS2_PLACEMENT_3D('',#569547,#446783,#446784); #369399=AXIS2_PLACEMENT_3D('',#569550,#446786,#446787); #369400=AXIS2_PLACEMENT_3D('',#569551,#446788,#446789); #369401=AXIS2_PLACEMENT_3D('',#569553,#446790,#446791); #369402=AXIS2_PLACEMENT_3D('',#569556,#446793,#446794); #369403=AXIS2_PLACEMENT_3D('',#569557,#446795,#446796); #369404=AXIS2_PLACEMENT_3D('',#569559,#446797,#446798); #369405=AXIS2_PLACEMENT_3D('',#569562,#446800,#446801); #369406=AXIS2_PLACEMENT_3D('',#569563,#446802,#446803); #369407=AXIS2_PLACEMENT_3D('',#569565,#446804,#446805); #369408=AXIS2_PLACEMENT_3D('',#569568,#446807,#446808); #369409=AXIS2_PLACEMENT_3D('',#569569,#446809,#446810); #369410=AXIS2_PLACEMENT_3D('',#569571,#446811,#446812); #369411=AXIS2_PLACEMENT_3D('',#569574,#446814,#446815); #369412=AXIS2_PLACEMENT_3D('',#569575,#446816,#446817); #369413=AXIS2_PLACEMENT_3D('',#569577,#446818,#446819); #369414=AXIS2_PLACEMENT_3D('',#569580,#446821,#446822); #369415=AXIS2_PLACEMENT_3D('',#569581,#446823,#446824); #369416=AXIS2_PLACEMENT_3D('',#569583,#446825,#446826); #369417=AXIS2_PLACEMENT_3D('',#569586,#446828,#446829); #369418=AXIS2_PLACEMENT_3D('',#569587,#446830,#446831); #369419=AXIS2_PLACEMENT_3D('',#569589,#446832,#446833); #369420=AXIS2_PLACEMENT_3D('',#569592,#446835,#446836); #369421=AXIS2_PLACEMENT_3D('',#569593,#446837,#446838); #369422=AXIS2_PLACEMENT_3D('',#569595,#446839,#446840); #369423=AXIS2_PLACEMENT_3D('',#569598,#446842,#446843); #369424=AXIS2_PLACEMENT_3D('',#569599,#446844,#446845); #369425=AXIS2_PLACEMENT_3D('',#569608,#446850,#446851); #369426=AXIS2_PLACEMENT_3D('',#569614,#446855,#446856); #369427=AXIS2_PLACEMENT_3D('',#569620,#446860,#446861); #369428=AXIS2_PLACEMENT_3D('',#569623,#446864,#446865); #369429=AXIS2_PLACEMENT_3D('',#569624,#446866,#446867); #369430=AXIS2_PLACEMENT_3D('',#569625,#446868,#446869); #369431=AXIS2_PLACEMENT_3D('',#569634,#446874,#446875); #369432=AXIS2_PLACEMENT_3D('',#569640,#446879,#446880); #369433=AXIS2_PLACEMENT_3D('',#569646,#446884,#446885); #369434=AXIS2_PLACEMENT_3D('',#569649,#446888,#446889); #369435=AXIS2_PLACEMENT_3D('',#569650,#446890,#446891); #369436=AXIS2_PLACEMENT_3D('',#569651,#446892,#446893); #369437=AXIS2_PLACEMENT_3D('',#569660,#446898,#446899); #369438=AXIS2_PLACEMENT_3D('',#569666,#446903,#446904); #369439=AXIS2_PLACEMENT_3D('',#569672,#446908,#446909); #369440=AXIS2_PLACEMENT_3D('',#569675,#446912,#446913); #369441=AXIS2_PLACEMENT_3D('',#569676,#446914,#446915); #369442=AXIS2_PLACEMENT_3D('',#569677,#446916,#446917); #369443=AXIS2_PLACEMENT_3D('',#569686,#446922,#446923); #369444=AXIS2_PLACEMENT_3D('',#569692,#446927,#446928); #369445=AXIS2_PLACEMENT_3D('',#569698,#446932,#446933); #369446=AXIS2_PLACEMENT_3D('',#569701,#446936,#446937); #369447=AXIS2_PLACEMENT_3D('',#569702,#446938,#446939); #369448=AXIS2_PLACEMENT_3D('',#569703,#446940,#446941); #369449=AXIS2_PLACEMENT_3D('',#569705,#446942,#446943); #369450=AXIS2_PLACEMENT_3D('',#569708,#446945,#446946); #369451=AXIS2_PLACEMENT_3D('',#569709,#446947,#446948); #369452=AXIS2_PLACEMENT_3D('',#569718,#446953,#446954); #369453=AXIS2_PLACEMENT_3D('',#569724,#446958,#446959); #369454=AXIS2_PLACEMENT_3D('',#569730,#446963,#446964); #369455=AXIS2_PLACEMENT_3D('',#569733,#446967,#446968); #369456=AXIS2_PLACEMENT_3D('',#569734,#446969,#446970); #369457=AXIS2_PLACEMENT_3D('',#569735,#446971,#446972); #369458=AXIS2_PLACEMENT_3D('',#569744,#446977,#446978); #369459=AXIS2_PLACEMENT_3D('',#569750,#446982,#446983); #369460=AXIS2_PLACEMENT_3D('',#569756,#446987,#446988); #369461=AXIS2_PLACEMENT_3D('',#569759,#446991,#446992); #369462=AXIS2_PLACEMENT_3D('',#569760,#446993,#446994); #369463=AXIS2_PLACEMENT_3D('',#569761,#446995,#446996); #369464=AXIS2_PLACEMENT_3D('',#569770,#447001,#447002); #369465=AXIS2_PLACEMENT_3D('',#569776,#447006,#447007); #369466=AXIS2_PLACEMENT_3D('',#569782,#447011,#447012); #369467=AXIS2_PLACEMENT_3D('',#569785,#447015,#447016); #369468=AXIS2_PLACEMENT_3D('',#569786,#447017,#447018); #369469=AXIS2_PLACEMENT_3D('',#569787,#447019,#447020); #369470=AXIS2_PLACEMENT_3D('',#569796,#447025,#447026); #369471=AXIS2_PLACEMENT_3D('',#569802,#447030,#447031); #369472=AXIS2_PLACEMENT_3D('',#569808,#447035,#447036); #369473=AXIS2_PLACEMENT_3D('',#569811,#447039,#447040); #369474=AXIS2_PLACEMENT_3D('',#569812,#447041,#447042); #369475=AXIS2_PLACEMENT_3D('',#569813,#447043,#447044); #369476=AXIS2_PLACEMENT_3D('',#569822,#447049,#447050); #369477=AXIS2_PLACEMENT_3D('',#569828,#447054,#447055); #369478=AXIS2_PLACEMENT_3D('',#569834,#447059,#447060); #369479=AXIS2_PLACEMENT_3D('',#569837,#447063,#447064); #369480=AXIS2_PLACEMENT_3D('',#569838,#447065,#447066); #369481=AXIS2_PLACEMENT_3D('',#569839,#447067,#447068); #369482=AXIS2_PLACEMENT_3D('',#569841,#447069,#447070); #369483=AXIS2_PLACEMENT_3D('',#569844,#447072,#447073); #369484=AXIS2_PLACEMENT_3D('',#569845,#447074,#447075); #369485=AXIS2_PLACEMENT_3D('',#569847,#447076,#447077); #369486=AXIS2_PLACEMENT_3D('',#569850,#447079,#447080); #369487=AXIS2_PLACEMENT_3D('',#569851,#447081,#447082); #369488=AXIS2_PLACEMENT_3D('',#569853,#447083,#447084); #369489=AXIS2_PLACEMENT_3D('',#569856,#447086,#447087); #369490=AXIS2_PLACEMENT_3D('',#569857,#447088,#447089); #369491=AXIS2_PLACEMENT_3D('',#569859,#447090,#447091); #369492=AXIS2_PLACEMENT_3D('',#569862,#447093,#447094); #369493=AXIS2_PLACEMENT_3D('',#569863,#447095,#447096); #369494=AXIS2_PLACEMENT_3D('',#569865,#447097,#447098); #369495=AXIS2_PLACEMENT_3D('',#569868,#447100,#447101); #369496=AXIS2_PLACEMENT_3D('',#569869,#447102,#447103); #369497=AXIS2_PLACEMENT_3D('',#569871,#447104,#447105); #369498=AXIS2_PLACEMENT_3D('',#569874,#447107,#447108); #369499=AXIS2_PLACEMENT_3D('',#569875,#447109,#447110); #369500=AXIS2_PLACEMENT_3D('',#569877,#447111,#447112); #369501=AXIS2_PLACEMENT_3D('',#569880,#447114,#447115); #369502=AXIS2_PLACEMENT_3D('',#569881,#447116,#447117); #369503=AXIS2_PLACEMENT_3D('',#569883,#447118,#447119); #369504=AXIS2_PLACEMENT_3D('',#569886,#447121,#447122); #369505=AXIS2_PLACEMENT_3D('',#569887,#447123,#447124); #369506=AXIS2_PLACEMENT_3D('',#569889,#447125,#447126); #369507=AXIS2_PLACEMENT_3D('',#569892,#447128,#447129); #369508=AXIS2_PLACEMENT_3D('',#569893,#447130,#447131); #369509=AXIS2_PLACEMENT_3D('',#569895,#447132,#447133); #369510=AXIS2_PLACEMENT_3D('',#569898,#447135,#447136); #369511=AXIS2_PLACEMENT_3D('',#569899,#447137,#447138); #369512=AXIS2_PLACEMENT_3D('',#569901,#447139,#447140); #369513=AXIS2_PLACEMENT_3D('',#569904,#447142,#447143); #369514=AXIS2_PLACEMENT_3D('',#569905,#447144,#447145); #369515=AXIS2_PLACEMENT_3D('',#569907,#447146,#447147); #369516=AXIS2_PLACEMENT_3D('',#569910,#447149,#447150); #369517=AXIS2_PLACEMENT_3D('',#569911,#447151,#447152); #369518=AXIS2_PLACEMENT_3D('',#569913,#447153,#447154); #369519=AXIS2_PLACEMENT_3D('',#569916,#447156,#447157); #369520=AXIS2_PLACEMENT_3D('',#569917,#447158,#447159); #369521=AXIS2_PLACEMENT_3D('',#569919,#447160,#447161); #369522=AXIS2_PLACEMENT_3D('',#569922,#447163,#447164); #369523=AXIS2_PLACEMENT_3D('',#569923,#447165,#447166); #369524=AXIS2_PLACEMENT_3D('',#569925,#447167,#447168); #369525=AXIS2_PLACEMENT_3D('',#569928,#447170,#447171); #369526=AXIS2_PLACEMENT_3D('',#569929,#447172,#447173); #369527=AXIS2_PLACEMENT_3D('',#569938,#447178,#447179); #369528=AXIS2_PLACEMENT_3D('',#569944,#447183,#447184); #369529=AXIS2_PLACEMENT_3D('',#569950,#447188,#447189); #369530=AXIS2_PLACEMENT_3D('',#569953,#447192,#447193); #369531=AXIS2_PLACEMENT_3D('',#569954,#447194,#447195); #369532=AXIS2_PLACEMENT_3D('',#569955,#447196,#447197); #369533=AXIS2_PLACEMENT_3D('',#569964,#447202,#447203); #369534=AXIS2_PLACEMENT_3D('',#569970,#447207,#447208); #369535=AXIS2_PLACEMENT_3D('',#569976,#447212,#447213); #369536=AXIS2_PLACEMENT_3D('',#569979,#447216,#447217); #369537=AXIS2_PLACEMENT_3D('',#569980,#447218,#447219); #369538=AXIS2_PLACEMENT_3D('',#569981,#447220,#447221); #369539=AXIS2_PLACEMENT_3D('',#569990,#447226,#447227); #369540=AXIS2_PLACEMENT_3D('',#569996,#447231,#447232); #369541=AXIS2_PLACEMENT_3D('',#570002,#447236,#447237); #369542=AXIS2_PLACEMENT_3D('',#570005,#447240,#447241); #369543=AXIS2_PLACEMENT_3D('',#570006,#447242,#447243); #369544=AXIS2_PLACEMENT_3D('',#570007,#447244,#447245); #369545=AXIS2_PLACEMENT_3D('',#570016,#447250,#447251); #369546=AXIS2_PLACEMENT_3D('',#570022,#447255,#447256); #369547=AXIS2_PLACEMENT_3D('',#570028,#447260,#447261); #369548=AXIS2_PLACEMENT_3D('',#570031,#447264,#447265); #369549=AXIS2_PLACEMENT_3D('',#570032,#447266,#447267); #369550=AXIS2_PLACEMENT_3D('',#570033,#447268,#447269); #369551=AXIS2_PLACEMENT_3D('',#570035,#447270,#447271); #369552=AXIS2_PLACEMENT_3D('',#570038,#447273,#447274); #369553=AXIS2_PLACEMENT_3D('',#570039,#447275,#447276); #369554=AXIS2_PLACEMENT_3D('',#570048,#447281,#447282); #369555=AXIS2_PLACEMENT_3D('',#570054,#447286,#447287); #369556=AXIS2_PLACEMENT_3D('',#570060,#447291,#447292); #369557=AXIS2_PLACEMENT_3D('',#570063,#447295,#447296); #369558=AXIS2_PLACEMENT_3D('',#570064,#447297,#447298); #369559=AXIS2_PLACEMENT_3D('',#570065,#447299,#447300); #369560=AXIS2_PLACEMENT_3D('',#570067,#447301,#447302); #369561=AXIS2_PLACEMENT_3D('',#570070,#447304,#447305); #369562=AXIS2_PLACEMENT_3D('',#570071,#447306,#447307); #369563=AXIS2_PLACEMENT_3D('',#570080,#447312,#447313); #369564=AXIS2_PLACEMENT_3D('',#570086,#447317,#447318); #369565=AXIS2_PLACEMENT_3D('',#570092,#447322,#447323); #369566=AXIS2_PLACEMENT_3D('',#570095,#447326,#447327); #369567=AXIS2_PLACEMENT_3D('',#570096,#447328,#447329); #369568=AXIS2_PLACEMENT_3D('',#570097,#447330,#447331); #369569=AXIS2_PLACEMENT_3D('',#570099,#447332,#447333); #369570=AXIS2_PLACEMENT_3D('',#570102,#447335,#447336); #369571=AXIS2_PLACEMENT_3D('',#570103,#447337,#447338); #369572=AXIS2_PLACEMENT_3D('',#570112,#447343,#447344); #369573=AXIS2_PLACEMENT_3D('',#570118,#447348,#447349); #369574=AXIS2_PLACEMENT_3D('',#570124,#447353,#447354); #369575=AXIS2_PLACEMENT_3D('',#570127,#447357,#447358); #369576=AXIS2_PLACEMENT_3D('',#570128,#447359,#447360); #369577=AXIS2_PLACEMENT_3D('',#570129,#447361,#447362); #369578=AXIS2_PLACEMENT_3D('',#570131,#447363,#447364); #369579=AXIS2_PLACEMENT_3D('',#570134,#447366,#447367); #369580=AXIS2_PLACEMENT_3D('',#570135,#447368,#447369); #369581=AXIS2_PLACEMENT_3D('',#570137,#447370,#447371); #369582=AXIS2_PLACEMENT_3D('',#570140,#447373,#447374); #369583=AXIS2_PLACEMENT_3D('',#570141,#447375,#447376); #369584=AXIS2_PLACEMENT_3D('',#570143,#447377,#447378); #369585=AXIS2_PLACEMENT_3D('',#570146,#447380,#447381); #369586=AXIS2_PLACEMENT_3D('',#570147,#447382,#447383); #369587=AXIS2_PLACEMENT_3D('',#570149,#447384,#447385); #369588=AXIS2_PLACEMENT_3D('',#570152,#447387,#447388); #369589=AXIS2_PLACEMENT_3D('',#570153,#447389,#447390); #369590=AXIS2_PLACEMENT_3D('',#570155,#447391,#447392); #369591=AXIS2_PLACEMENT_3D('',#570158,#447394,#447395); #369592=AXIS2_PLACEMENT_3D('',#570159,#447396,#447397); #369593=AXIS2_PLACEMENT_3D('',#570161,#447398,#447399); #369594=AXIS2_PLACEMENT_3D('',#570164,#447401,#447402); #369595=AXIS2_PLACEMENT_3D('',#570165,#447403,#447404); #369596=AXIS2_PLACEMENT_3D('',#570167,#447405,#447406); #369597=AXIS2_PLACEMENT_3D('',#570170,#447408,#447409); #369598=AXIS2_PLACEMENT_3D('',#570171,#447410,#447411); #369599=AXIS2_PLACEMENT_3D('',#570173,#447412,#447413); #369600=AXIS2_PLACEMENT_3D('',#570176,#447415,#447416); #369601=AXIS2_PLACEMENT_3D('',#570177,#447417,#447418); #369602=AXIS2_PLACEMENT_3D('',#570179,#447419,#447420); #369603=AXIS2_PLACEMENT_3D('',#570182,#447422,#447423); #369604=AXIS2_PLACEMENT_3D('',#570183,#447424,#447425); #369605=AXIS2_PLACEMENT_3D('',#570185,#447426,#447427); #369606=AXIS2_PLACEMENT_3D('',#570188,#447429,#447430); #369607=AXIS2_PLACEMENT_3D('',#570189,#447431,#447432); #369608=AXIS2_PLACEMENT_3D('',#570191,#447433,#447434); #369609=AXIS2_PLACEMENT_3D('',#570194,#447436,#447437); #369610=AXIS2_PLACEMENT_3D('',#570195,#447438,#447439); #369611=AXIS2_PLACEMENT_3D('',#570197,#447440,#447441); #369612=AXIS2_PLACEMENT_3D('',#570200,#447443,#447444); #369613=AXIS2_PLACEMENT_3D('',#570201,#447445,#447446); #369614=AXIS2_PLACEMENT_3D('',#570203,#447447,#447448); #369615=AXIS2_PLACEMENT_3D('',#570206,#447450,#447451); #369616=AXIS2_PLACEMENT_3D('',#570207,#447452,#447453); #369617=AXIS2_PLACEMENT_3D('',#570209,#447454,#447455); #369618=AXIS2_PLACEMENT_3D('',#570212,#447457,#447458); #369619=AXIS2_PLACEMENT_3D('',#570213,#447459,#447460); #369620=AXIS2_PLACEMENT_3D('',#570215,#447461,#447462); #369621=AXIS2_PLACEMENT_3D('',#570218,#447464,#447465); #369622=AXIS2_PLACEMENT_3D('',#570219,#447466,#447467); #369623=AXIS2_PLACEMENT_3D('',#570228,#447472,#447473); #369624=AXIS2_PLACEMENT_3D('',#570234,#447477,#447478); #369625=AXIS2_PLACEMENT_3D('',#570240,#447482,#447483); #369626=AXIS2_PLACEMENT_3D('',#570243,#447486,#447487); #369627=AXIS2_PLACEMENT_3D('',#570244,#447488,#447489); #369628=AXIS2_PLACEMENT_3D('',#570245,#447490,#447491); #369629=AXIS2_PLACEMENT_3D('',#570254,#447496,#447497); #369630=AXIS2_PLACEMENT_3D('',#570260,#447501,#447502); #369631=AXIS2_PLACEMENT_3D('',#570266,#447506,#447507); #369632=AXIS2_PLACEMENT_3D('',#570269,#447510,#447511); #369633=AXIS2_PLACEMENT_3D('',#570270,#447512,#447513); #369634=AXIS2_PLACEMENT_3D('',#570271,#447514,#447515); #369635=AXIS2_PLACEMENT_3D('',#570280,#447520,#447521); #369636=AXIS2_PLACEMENT_3D('',#570286,#447525,#447526); #369637=AXIS2_PLACEMENT_3D('',#570292,#447530,#447531); #369638=AXIS2_PLACEMENT_3D('',#570295,#447534,#447535); #369639=AXIS2_PLACEMENT_3D('',#570296,#447536,#447537); #369640=AXIS2_PLACEMENT_3D('',#570297,#447538,#447539); #369641=AXIS2_PLACEMENT_3D('',#570306,#447544,#447545); #369642=AXIS2_PLACEMENT_3D('',#570312,#447549,#447550); #369643=AXIS2_PLACEMENT_3D('',#570318,#447554,#447555); #369644=AXIS2_PLACEMENT_3D('',#570321,#447558,#447559); #369645=AXIS2_PLACEMENT_3D('',#570322,#447560,#447561); #369646=AXIS2_PLACEMENT_3D('',#570323,#447562,#447563); #369647=AXIS2_PLACEMENT_3D('',#570332,#447568,#447569); #369648=AXIS2_PLACEMENT_3D('',#570338,#447573,#447574); #369649=AXIS2_PLACEMENT_3D('',#570344,#447578,#447579); #369650=AXIS2_PLACEMENT_3D('',#570347,#447582,#447583); #369651=AXIS2_PLACEMENT_3D('',#570348,#447584,#447585); #369652=AXIS2_PLACEMENT_3D('',#570349,#447586,#447587); #369653=AXIS2_PLACEMENT_3D('',#570358,#447592,#447593); #369654=AXIS2_PLACEMENT_3D('',#570364,#447597,#447598); #369655=AXIS2_PLACEMENT_3D('',#570370,#447602,#447603); #369656=AXIS2_PLACEMENT_3D('',#570373,#447606,#447607); #369657=AXIS2_PLACEMENT_3D('',#570374,#447608,#447609); #369658=AXIS2_PLACEMENT_3D('',#570375,#447610,#447611); #369659=AXIS2_PLACEMENT_3D('',#570384,#447616,#447617); #369660=AXIS2_PLACEMENT_3D('',#570390,#447621,#447622); #369661=AXIS2_PLACEMENT_3D('',#570396,#447626,#447627); #369662=AXIS2_PLACEMENT_3D('',#570399,#447630,#447631); #369663=AXIS2_PLACEMENT_3D('',#570400,#447632,#447633); #369664=AXIS2_PLACEMENT_3D('',#570401,#447634,#447635); #369665=AXIS2_PLACEMENT_3D('',#570410,#447640,#447641); #369666=AXIS2_PLACEMENT_3D('',#570416,#447645,#447646); #369667=AXIS2_PLACEMENT_3D('',#570422,#447650,#447651); #369668=AXIS2_PLACEMENT_3D('',#570425,#447654,#447655); #369669=AXIS2_PLACEMENT_3D('',#570426,#447656,#447657); #369670=AXIS2_PLACEMENT_3D('',#570427,#447658,#447659); #369671=AXIS2_PLACEMENT_3D('',#570436,#447664,#447665); #369672=AXIS2_PLACEMENT_3D('',#570442,#447669,#447670); #369673=AXIS2_PLACEMENT_3D('',#570448,#447674,#447675); #369674=AXIS2_PLACEMENT_3D('',#570451,#447678,#447679); #369675=AXIS2_PLACEMENT_3D('',#570452,#447680,#447681); #369676=AXIS2_PLACEMENT_3D('',#570453,#447682,#447683); #369677=AXIS2_PLACEMENT_3D('',#570462,#447688,#447689); #369678=AXIS2_PLACEMENT_3D('',#570468,#447693,#447694); #369679=AXIS2_PLACEMENT_3D('',#570474,#447698,#447699); #369680=AXIS2_PLACEMENT_3D('',#570477,#447702,#447703); #369681=AXIS2_PLACEMENT_3D('',#570478,#447704,#447705); #369682=AXIS2_PLACEMENT_3D('',#570479,#447706,#447707); #369683=AXIS2_PLACEMENT_3D('',#570488,#447712,#447713); #369684=AXIS2_PLACEMENT_3D('',#570494,#447717,#447718); #369685=AXIS2_PLACEMENT_3D('',#570500,#447722,#447723); #369686=AXIS2_PLACEMENT_3D('',#570503,#447726,#447727); #369687=AXIS2_PLACEMENT_3D('',#570504,#447728,#447729); #369688=AXIS2_PLACEMENT_3D('',#570505,#447730,#447731); #369689=AXIS2_PLACEMENT_3D('',#570514,#447736,#447737); #369690=AXIS2_PLACEMENT_3D('',#570520,#447741,#447742); #369691=AXIS2_PLACEMENT_3D('',#570526,#447746,#447747); #369692=AXIS2_PLACEMENT_3D('',#570529,#447750,#447751); #369693=AXIS2_PLACEMENT_3D('',#570530,#447752,#447753); #369694=AXIS2_PLACEMENT_3D('',#570531,#447754,#447755); #369695=AXIS2_PLACEMENT_3D('',#570540,#447760,#447761); #369696=AXIS2_PLACEMENT_3D('',#570546,#447765,#447766); #369697=AXIS2_PLACEMENT_3D('',#570552,#447770,#447771); #369698=AXIS2_PLACEMENT_3D('',#570555,#447774,#447775); #369699=AXIS2_PLACEMENT_3D('',#570556,#447776,#447777); #369700=AXIS2_PLACEMENT_3D('',#570557,#447778,#447779); #369701=AXIS2_PLACEMENT_3D('',#570566,#447784,#447785); #369702=AXIS2_PLACEMENT_3D('',#570572,#447789,#447790); #369703=AXIS2_PLACEMENT_3D('',#570578,#447794,#447795); #369704=AXIS2_PLACEMENT_3D('',#570581,#447798,#447799); #369705=AXIS2_PLACEMENT_3D('',#570582,#447800,#447801); #369706=AXIS2_PLACEMENT_3D('',#570583,#447802,#447803); #369707=AXIS2_PLACEMENT_3D('',#570592,#447808,#447809); #369708=AXIS2_PLACEMENT_3D('',#570598,#447813,#447814); #369709=AXIS2_PLACEMENT_3D('',#570604,#447818,#447819); #369710=AXIS2_PLACEMENT_3D('',#570607,#447822,#447823); #369711=AXIS2_PLACEMENT_3D('',#570608,#447824,#447825); #369712=AXIS2_PLACEMENT_3D('',#570609,#447826,#447827); #369713=AXIS2_PLACEMENT_3D('',#570618,#447832,#447833); #369714=AXIS2_PLACEMENT_3D('',#570624,#447837,#447838); #369715=AXIS2_PLACEMENT_3D('',#570630,#447842,#447843); #369716=AXIS2_PLACEMENT_3D('',#570633,#447846,#447847); #369717=AXIS2_PLACEMENT_3D('',#570634,#447848,#447849); #369718=AXIS2_PLACEMENT_3D('',#570635,#447850,#447851); #369719=AXIS2_PLACEMENT_3D('',#570644,#447856,#447857); #369720=AXIS2_PLACEMENT_3D('',#570650,#447861,#447862); #369721=AXIS2_PLACEMENT_3D('',#570656,#447866,#447867); #369722=AXIS2_PLACEMENT_3D('',#570659,#447870,#447871); #369723=AXIS2_PLACEMENT_3D('',#570660,#447872,#447873); #369724=AXIS2_PLACEMENT_3D('',#570661,#447874,#447875); #369725=AXIS2_PLACEMENT_3D('',#570670,#447880,#447881); #369726=AXIS2_PLACEMENT_3D('',#570676,#447885,#447886); #369727=AXIS2_PLACEMENT_3D('',#570682,#447890,#447891); #369728=AXIS2_PLACEMENT_3D('',#570685,#447894,#447895); #369729=AXIS2_PLACEMENT_3D('',#570686,#447896,#447897); #369730=AXIS2_PLACEMENT_3D('',#570687,#447898,#447899); #369731=AXIS2_PLACEMENT_3D('',#570696,#447904,#447905); #369732=AXIS2_PLACEMENT_3D('',#570702,#447909,#447910); #369733=AXIS2_PLACEMENT_3D('',#570708,#447914,#447915); #369734=AXIS2_PLACEMENT_3D('',#570711,#447918,#447919); #369735=AXIS2_PLACEMENT_3D('',#570712,#447920,#447921); #369736=AXIS2_PLACEMENT_3D('',#570713,#447922,#447923); #369737=AXIS2_PLACEMENT_3D('',#570722,#447928,#447929); #369738=AXIS2_PLACEMENT_3D('',#570728,#447933,#447934); #369739=AXIS2_PLACEMENT_3D('',#570734,#447938,#447939); #369740=AXIS2_PLACEMENT_3D('',#570737,#447942,#447943); #369741=AXIS2_PLACEMENT_3D('',#570738,#447944,#447945); #369742=AXIS2_PLACEMENT_3D('',#570739,#447946,#447947); #369743=AXIS2_PLACEMENT_3D('',#570748,#447952,#447953); #369744=AXIS2_PLACEMENT_3D('',#570754,#447957,#447958); #369745=AXIS2_PLACEMENT_3D('',#570760,#447962,#447963); #369746=AXIS2_PLACEMENT_3D('',#570763,#447966,#447967); #369747=AXIS2_PLACEMENT_3D('',#570764,#447968,#447969); #369748=AXIS2_PLACEMENT_3D('',#570765,#447970,#447971); #369749=AXIS2_PLACEMENT_3D('',#570767,#447972,#447973); #369750=AXIS2_PLACEMENT_3D('',#570770,#447975,#447976); #369751=AXIS2_PLACEMENT_3D('',#570771,#447977,#447978); #369752=AXIS2_PLACEMENT_3D('',#570773,#447979,#447980); #369753=AXIS2_PLACEMENT_3D('',#570776,#447982,#447983); #369754=AXIS2_PLACEMENT_3D('',#570777,#447984,#447985); #369755=AXIS2_PLACEMENT_3D('',#570779,#447986,#447987); #369756=AXIS2_PLACEMENT_3D('',#570782,#447989,#447990); #369757=AXIS2_PLACEMENT_3D('',#570783,#447991,#447992); #369758=AXIS2_PLACEMENT_3D('',#570785,#447993,#447994); #369759=AXIS2_PLACEMENT_3D('',#570788,#447996,#447997); #369760=AXIS2_PLACEMENT_3D('',#570789,#447998,#447999); #369761=AXIS2_PLACEMENT_3D('',#570791,#448000,#448001); #369762=AXIS2_PLACEMENT_3D('',#570794,#448003,#448004); #369763=AXIS2_PLACEMENT_3D('',#570795,#448005,#448006); #369764=AXIS2_PLACEMENT_3D('',#570797,#448007,#448008); #369765=AXIS2_PLACEMENT_3D('',#570800,#448010,#448011); #369766=AXIS2_PLACEMENT_3D('',#570801,#448012,#448013); #369767=AXIS2_PLACEMENT_3D('',#570803,#448014,#448015); #369768=AXIS2_PLACEMENT_3D('',#570806,#448017,#448018); #369769=AXIS2_PLACEMENT_3D('',#570807,#448019,#448020); #369770=AXIS2_PLACEMENT_3D('',#570809,#448021,#448022); #369771=AXIS2_PLACEMENT_3D('',#570812,#448024,#448025); #369772=AXIS2_PLACEMENT_3D('',#570813,#448026,#448027); #369773=AXIS2_PLACEMENT_3D('',#570815,#448028,#448029); #369774=AXIS2_PLACEMENT_3D('',#570818,#448031,#448032); #369775=AXIS2_PLACEMENT_3D('',#570819,#448033,#448034); #369776=AXIS2_PLACEMENT_3D('',#570821,#448035,#448036); #369777=AXIS2_PLACEMENT_3D('',#570824,#448038,#448039); #369778=AXIS2_PLACEMENT_3D('',#570825,#448040,#448041); #369779=AXIS2_PLACEMENT_3D('',#570827,#448042,#448043); #369780=AXIS2_PLACEMENT_3D('',#570830,#448045,#448046); #369781=AXIS2_PLACEMENT_3D('',#570831,#448047,#448048); #369782=AXIS2_PLACEMENT_3D('',#570833,#448049,#448050); #369783=AXIS2_PLACEMENT_3D('',#570836,#448052,#448053); #369784=AXIS2_PLACEMENT_3D('',#570837,#448054,#448055); #369785=AXIS2_PLACEMENT_3D('',#570839,#448056,#448057); #369786=AXIS2_PLACEMENT_3D('',#570842,#448059,#448060); #369787=AXIS2_PLACEMENT_3D('',#570843,#448061,#448062); #369788=AXIS2_PLACEMENT_3D('',#570845,#448063,#448064); #369789=AXIS2_PLACEMENT_3D('',#570848,#448066,#448067); #369790=AXIS2_PLACEMENT_3D('',#570849,#448068,#448069); #369791=AXIS2_PLACEMENT_3D('',#570851,#448070,#448071); #369792=AXIS2_PLACEMENT_3D('',#570854,#448073,#448074); #369793=AXIS2_PLACEMENT_3D('',#570855,#448075,#448076); #369794=AXIS2_PLACEMENT_3D('',#570864,#448081,#448082); #369795=AXIS2_PLACEMENT_3D('',#570870,#448086,#448087); #369796=AXIS2_PLACEMENT_3D('',#570876,#448091,#448092); #369797=AXIS2_PLACEMENT_3D('',#570879,#448095,#448096); #369798=AXIS2_PLACEMENT_3D('',#570880,#448097,#448098); #369799=AXIS2_PLACEMENT_3D('',#570881,#448099,#448100); #369800=AXIS2_PLACEMENT_3D('',#570890,#448105,#448106); #369801=AXIS2_PLACEMENT_3D('',#570896,#448110,#448111); #369802=AXIS2_PLACEMENT_3D('',#570902,#448115,#448116); #369803=AXIS2_PLACEMENT_3D('',#570905,#448119,#448120); #369804=AXIS2_PLACEMENT_3D('',#570906,#448121,#448122); #369805=AXIS2_PLACEMENT_3D('',#570907,#448123,#448124); #369806=AXIS2_PLACEMENT_3D('',#570916,#448129,#448130); #369807=AXIS2_PLACEMENT_3D('',#570922,#448134,#448135); #369808=AXIS2_PLACEMENT_3D('',#570928,#448139,#448140); #369809=AXIS2_PLACEMENT_3D('',#570931,#448143,#448144); #369810=AXIS2_PLACEMENT_3D('',#570932,#448145,#448146); #369811=AXIS2_PLACEMENT_3D('',#570933,#448147,#448148); #369812=AXIS2_PLACEMENT_3D('',#570942,#448153,#448154); #369813=AXIS2_PLACEMENT_3D('',#570948,#448158,#448159); #369814=AXIS2_PLACEMENT_3D('',#570954,#448163,#448164); #369815=AXIS2_PLACEMENT_3D('',#570957,#448167,#448168); #369816=AXIS2_PLACEMENT_3D('',#570958,#448169,#448170); #369817=AXIS2_PLACEMENT_3D('',#570959,#448171,#448172); #369818=AXIS2_PLACEMENT_3D('',#570968,#448177,#448178); #369819=AXIS2_PLACEMENT_3D('',#570974,#448182,#448183); #369820=AXIS2_PLACEMENT_3D('',#570980,#448187,#448188); #369821=AXIS2_PLACEMENT_3D('',#570983,#448191,#448192); #369822=AXIS2_PLACEMENT_3D('',#570984,#448193,#448194); #369823=AXIS2_PLACEMENT_3D('',#570985,#448195,#448196); #369824=AXIS2_PLACEMENT_3D('',#570994,#448201,#448202); #369825=AXIS2_PLACEMENT_3D('',#571000,#448206,#448207); #369826=AXIS2_PLACEMENT_3D('',#571006,#448211,#448212); #369827=AXIS2_PLACEMENT_3D('',#571009,#448215,#448216); #369828=AXIS2_PLACEMENT_3D('',#571010,#448217,#448218); #369829=AXIS2_PLACEMENT_3D('',#571011,#448219,#448220); #369830=AXIS2_PLACEMENT_3D('',#571020,#448225,#448226); #369831=AXIS2_PLACEMENT_3D('',#571026,#448230,#448231); #369832=AXIS2_PLACEMENT_3D('',#571032,#448235,#448236); #369833=AXIS2_PLACEMENT_3D('',#571035,#448239,#448240); #369834=AXIS2_PLACEMENT_3D('',#571036,#448241,#448242); #369835=AXIS2_PLACEMENT_3D('',#571037,#448243,#448244); #369836=AXIS2_PLACEMENT_3D('',#571039,#448245,#448246); #369837=AXIS2_PLACEMENT_3D('',#571042,#448248,#448249); #369838=AXIS2_PLACEMENT_3D('',#571043,#448250,#448251); #369839=AXIS2_PLACEMENT_3D('',#571052,#448256,#448257); #369840=AXIS2_PLACEMENT_3D('',#571058,#448261,#448262); #369841=AXIS2_PLACEMENT_3D('',#571064,#448266,#448267); #369842=AXIS2_PLACEMENT_3D('',#571067,#448270,#448271); #369843=AXIS2_PLACEMENT_3D('',#571068,#448272,#448273); #369844=AXIS2_PLACEMENT_3D('',#571069,#448274,#448275); #369845=AXIS2_PLACEMENT_3D('',#571071,#448276,#448277); #369846=AXIS2_PLACEMENT_3D('',#571074,#448279,#448280); #369847=AXIS2_PLACEMENT_3D('',#571075,#448281,#448282); #369848=AXIS2_PLACEMENT_3D('',#571077,#448283,#448284); #369849=AXIS2_PLACEMENT_3D('',#571080,#448286,#448287); #369850=AXIS2_PLACEMENT_3D('',#571081,#448288,#448289); #369851=AXIS2_PLACEMENT_3D('',#571090,#448294,#448295); #369852=AXIS2_PLACEMENT_3D('',#571096,#448299,#448300); #369853=AXIS2_PLACEMENT_3D('',#571102,#448304,#448305); #369854=AXIS2_PLACEMENT_3D('',#571105,#448308,#448309); #369855=AXIS2_PLACEMENT_3D('',#571106,#448310,#448311); #369856=AXIS2_PLACEMENT_3D('',#571107,#448312,#448313); #369857=AXIS2_PLACEMENT_3D('',#571109,#448314,#448315); #369858=AXIS2_PLACEMENT_3D('',#571112,#448317,#448318); #369859=AXIS2_PLACEMENT_3D('',#571113,#448319,#448320); #369860=AXIS2_PLACEMENT_3D('',#571115,#448321,#448322); #369861=AXIS2_PLACEMENT_3D('',#571118,#448324,#448325); #369862=AXIS2_PLACEMENT_3D('',#571119,#448326,#448327); #369863=AXIS2_PLACEMENT_3D('',#571128,#448332,#448333); #369864=AXIS2_PLACEMENT_3D('',#571134,#448337,#448338); #369865=AXIS2_PLACEMENT_3D('',#571140,#448342,#448343); #369866=AXIS2_PLACEMENT_3D('',#571143,#448346,#448347); #369867=AXIS2_PLACEMENT_3D('',#571144,#448348,#448349); #369868=AXIS2_PLACEMENT_3D('',#571145,#448350,#448351); #369869=AXIS2_PLACEMENT_3D('',#571147,#448352,#448353); #369870=AXIS2_PLACEMENT_3D('',#571150,#448355,#448356); #369871=AXIS2_PLACEMENT_3D('',#571151,#448357,#448358); #369872=AXIS2_PLACEMENT_3D('',#571160,#448363,#448364); #369873=AXIS2_PLACEMENT_3D('',#571166,#448368,#448369); #369874=AXIS2_PLACEMENT_3D('',#571172,#448373,#448374); #369875=AXIS2_PLACEMENT_3D('',#571175,#448377,#448378); #369876=AXIS2_PLACEMENT_3D('',#571176,#448379,#448380); #369877=AXIS2_PLACEMENT_3D('',#571177,#448381,#448382); #369878=AXIS2_PLACEMENT_3D('',#571178,#448383,#448384); #369879=AXIS2_PLACEMENT_3D('',#571180,#448385,#448386); #369880=AXIS2_PLACEMENT_3D('',#571183,#448388,#448389); #369881=AXIS2_PLACEMENT_3D('',#571184,#448390,#448391); #369882=AXIS2_PLACEMENT_3D('',#571186,#448392,#448393); #369883=AXIS2_PLACEMENT_3D('',#571189,#448395,#448396); #369884=AXIS2_PLACEMENT_3D('',#571190,#448397,#448398); #369885=AXIS2_PLACEMENT_3D('',#571192,#448399,#448400); #369886=AXIS2_PLACEMENT_3D('',#571195,#448402,#448403); #369887=AXIS2_PLACEMENT_3D('',#571196,#448404,#448405); #369888=AXIS2_PLACEMENT_3D('',#571198,#448406,#448407); #369889=AXIS2_PLACEMENT_3D('',#571201,#448409,#448410); #369890=AXIS2_PLACEMENT_3D('',#571202,#448411,#448412); #369891=AXIS2_PLACEMENT_3D('',#571204,#448413,#448414); #369892=AXIS2_PLACEMENT_3D('',#571207,#448416,#448417); #369893=AXIS2_PLACEMENT_3D('',#571208,#448418,#448419); #369894=AXIS2_PLACEMENT_3D('',#571210,#448420,#448421); #369895=AXIS2_PLACEMENT_3D('',#571213,#448423,#448424); #369896=AXIS2_PLACEMENT_3D('',#571214,#448425,#448426); #369897=AXIS2_PLACEMENT_3D('',#571216,#448427,#448428); #369898=AXIS2_PLACEMENT_3D('',#571219,#448430,#448431); #369899=AXIS2_PLACEMENT_3D('',#571220,#448432,#448433); #369900=AXIS2_PLACEMENT_3D('',#571222,#448434,#448435); #369901=AXIS2_PLACEMENT_3D('',#571225,#448437,#448438); #369902=AXIS2_PLACEMENT_3D('',#571226,#448439,#448440); #369903=AXIS2_PLACEMENT_3D('',#571228,#448441,#448442); #369904=AXIS2_PLACEMENT_3D('',#571231,#448444,#448445); #369905=AXIS2_PLACEMENT_3D('',#571232,#448446,#448447); #369906=AXIS2_PLACEMENT_3D('',#571234,#448448,#448449); #369907=AXIS2_PLACEMENT_3D('',#571237,#448451,#448452); #369908=AXIS2_PLACEMENT_3D('',#571238,#448453,#448454); #369909=AXIS2_PLACEMENT_3D('',#571240,#448455,#448456); #369910=AXIS2_PLACEMENT_3D('',#571243,#448458,#448459); #369911=AXIS2_PLACEMENT_3D('',#571244,#448460,#448461); #369912=AXIS2_PLACEMENT_3D('',#571246,#448462,#448463); #369913=AXIS2_PLACEMENT_3D('',#571249,#448465,#448466); #369914=AXIS2_PLACEMENT_3D('',#571250,#448467,#448468); #369915=AXIS2_PLACEMENT_3D('',#571252,#448469,#448470); #369916=AXIS2_PLACEMENT_3D('',#571255,#448472,#448473); #369917=AXIS2_PLACEMENT_3D('',#571256,#448474,#448475); #369918=AXIS2_PLACEMENT_3D('',#571258,#448476,#448477); #369919=AXIS2_PLACEMENT_3D('',#571261,#448479,#448480); #369920=AXIS2_PLACEMENT_3D('',#571262,#448481,#448482); #369921=AXIS2_PLACEMENT_3D('',#571264,#448483,#448484); #369922=AXIS2_PLACEMENT_3D('',#571267,#448486,#448487); #369923=AXIS2_PLACEMENT_3D('',#571268,#448488,#448489); #369924=AXIS2_PLACEMENT_3D('',#571270,#448490,#448491); #369925=AXIS2_PLACEMENT_3D('',#571273,#448493,#448494); #369926=AXIS2_PLACEMENT_3D('',#571274,#448495,#448496); #369927=AXIS2_PLACEMENT_3D('',#571276,#448497,#448498); #369928=AXIS2_PLACEMENT_3D('',#571279,#448500,#448501); #369929=AXIS2_PLACEMENT_3D('',#571280,#448502,#448503); #369930=AXIS2_PLACEMENT_3D('',#571282,#448504,#448505); #369931=AXIS2_PLACEMENT_3D('',#571285,#448507,#448508); #369932=AXIS2_PLACEMENT_3D('',#571286,#448509,#448510); #369933=AXIS2_PLACEMENT_3D('',#571288,#448511,#448512); #369934=AXIS2_PLACEMENT_3D('',#571291,#448514,#448515); #369935=AXIS2_PLACEMENT_3D('',#571292,#448516,#448517); #369936=AXIS2_PLACEMENT_3D('',#571294,#448518,#448519); #369937=AXIS2_PLACEMENT_3D('',#571297,#448521,#448522); #369938=AXIS2_PLACEMENT_3D('',#571298,#448523,#448524); #369939=AXIS2_PLACEMENT_3D('',#571300,#448525,#448526); #369940=AXIS2_PLACEMENT_3D('',#571303,#448528,#448529); #369941=AXIS2_PLACEMENT_3D('',#571304,#448530,#448531); #369942=AXIS2_PLACEMENT_3D('',#571306,#448532,#448533); #369943=AXIS2_PLACEMENT_3D('',#571309,#448535,#448536); #369944=AXIS2_PLACEMENT_3D('',#571310,#448537,#448538); #369945=AXIS2_PLACEMENT_3D('',#571312,#448539,#448540); #369946=AXIS2_PLACEMENT_3D('',#571315,#448542,#448543); #369947=AXIS2_PLACEMENT_3D('',#571316,#448544,#448545); #369948=AXIS2_PLACEMENT_3D('',#571318,#448546,#448547); #369949=AXIS2_PLACEMENT_3D('',#571321,#448549,#448550); #369950=AXIS2_PLACEMENT_3D('',#571322,#448551,#448552); #369951=AXIS2_PLACEMENT_3D('',#571324,#448553,#448554); #369952=AXIS2_PLACEMENT_3D('',#571327,#448556,#448557); #369953=AXIS2_PLACEMENT_3D('',#571328,#448558,#448559); #369954=AXIS2_PLACEMENT_3D('',#571330,#448560,#448561); #369955=AXIS2_PLACEMENT_3D('',#571333,#448563,#448564); #369956=AXIS2_PLACEMENT_3D('',#571334,#448565,#448566); #369957=AXIS2_PLACEMENT_3D('',#571336,#448567,#448568); #369958=AXIS2_PLACEMENT_3D('',#571339,#448570,#448571); #369959=AXIS2_PLACEMENT_3D('',#571340,#448572,#448573); #369960=AXIS2_PLACEMENT_3D('',#571342,#448574,#448575); #369961=AXIS2_PLACEMENT_3D('',#571345,#448577,#448578); #369962=AXIS2_PLACEMENT_3D('',#571346,#448579,#448580); #369963=AXIS2_PLACEMENT_3D('',#571348,#448581,#448582); #369964=AXIS2_PLACEMENT_3D('',#571351,#448584,#448585); #369965=AXIS2_PLACEMENT_3D('',#571352,#448586,#448587); #369966=AXIS2_PLACEMENT_3D('',#571354,#448588,#448589); #369967=AXIS2_PLACEMENT_3D('',#571357,#448591,#448592); #369968=AXIS2_PLACEMENT_3D('',#571358,#448593,#448594); #369969=AXIS2_PLACEMENT_3D('',#571360,#448595,#448596); #369970=AXIS2_PLACEMENT_3D('',#571363,#448598,#448599); #369971=AXIS2_PLACEMENT_3D('',#571364,#448600,#448601); #369972=AXIS2_PLACEMENT_3D('',#571366,#448602,#448603); #369973=AXIS2_PLACEMENT_3D('',#571369,#448605,#448606); #369974=AXIS2_PLACEMENT_3D('',#571370,#448607,#448608); #369975=AXIS2_PLACEMENT_3D('',#571372,#448609,#448610); #369976=AXIS2_PLACEMENT_3D('',#571375,#448612,#448613); #369977=AXIS2_PLACEMENT_3D('',#571376,#448614,#448615); #369978=AXIS2_PLACEMENT_3D('',#571378,#448616,#448617); #369979=AXIS2_PLACEMENT_3D('',#571381,#448619,#448620); #369980=AXIS2_PLACEMENT_3D('',#571382,#448621,#448622); #369981=AXIS2_PLACEMENT_3D('',#571384,#448623,#448624); #369982=AXIS2_PLACEMENT_3D('',#571387,#448626,#448627); #369983=AXIS2_PLACEMENT_3D('',#571388,#448628,#448629); #369984=AXIS2_PLACEMENT_3D('',#571390,#448630,#448631); #369985=AXIS2_PLACEMENT_3D('',#571393,#448633,#448634); #369986=AXIS2_PLACEMENT_3D('',#571394,#448635,#448636); #369987=AXIS2_PLACEMENT_3D('',#571396,#448637,#448638); #369988=AXIS2_PLACEMENT_3D('',#571399,#448640,#448641); #369989=AXIS2_PLACEMENT_3D('',#571400,#448642,#448643); #369990=AXIS2_PLACEMENT_3D('',#571402,#448644,#448645); #369991=AXIS2_PLACEMENT_3D('',#571405,#448647,#448648); #369992=AXIS2_PLACEMENT_3D('',#571406,#448649,#448650); #369993=AXIS2_PLACEMENT_3D('',#571408,#448651,#448652); #369994=AXIS2_PLACEMENT_3D('',#571411,#448654,#448655); #369995=AXIS2_PLACEMENT_3D('',#571412,#448656,#448657); #369996=AXIS2_PLACEMENT_3D('',#571414,#448658,#448659); #369997=AXIS2_PLACEMENT_3D('',#571417,#448661,#448662); #369998=AXIS2_PLACEMENT_3D('',#571418,#448663,#448664); #369999=AXIS2_PLACEMENT_3D('',#571420,#448665,#448666); #370000=AXIS2_PLACEMENT_3D('',#571423,#448668,#448669); #370001=AXIS2_PLACEMENT_3D('',#571424,#448670,#448671); #370002=AXIS2_PLACEMENT_3D('',#571426,#448672,#448673); #370003=AXIS2_PLACEMENT_3D('',#571429,#448675,#448676); #370004=AXIS2_PLACEMENT_3D('',#571430,#448677,#448678); #370005=AXIS2_PLACEMENT_3D('',#571432,#448679,#448680); #370006=AXIS2_PLACEMENT_3D('',#571435,#448682,#448683); #370007=AXIS2_PLACEMENT_3D('',#571436,#448684,#448685); #370008=AXIS2_PLACEMENT_3D('',#571438,#448686,#448687); #370009=AXIS2_PLACEMENT_3D('',#571441,#448689,#448690); #370010=AXIS2_PLACEMENT_3D('',#571442,#448691,#448692); #370011=AXIS2_PLACEMENT_3D('',#571444,#448693,#448694); #370012=AXIS2_PLACEMENT_3D('',#571447,#448696,#448697); #370013=AXIS2_PLACEMENT_3D('',#571448,#448698,#448699); #370014=AXIS2_PLACEMENT_3D('',#571450,#448700,#448701); #370015=AXIS2_PLACEMENT_3D('',#571453,#448703,#448704); #370016=AXIS2_PLACEMENT_3D('',#571454,#448705,#448706); #370017=AXIS2_PLACEMENT_3D('',#571456,#448707,#448708); #370018=AXIS2_PLACEMENT_3D('',#571459,#448710,#448711); #370019=AXIS2_PLACEMENT_3D('',#571460,#448712,#448713); #370020=AXIS2_PLACEMENT_3D('',#571462,#448714,#448715); #370021=AXIS2_PLACEMENT_3D('',#571465,#448717,#448718); #370022=AXIS2_PLACEMENT_3D('',#571466,#448719,#448720); #370023=AXIS2_PLACEMENT_3D('',#571468,#448721,#448722); #370024=AXIS2_PLACEMENT_3D('',#571471,#448724,#448725); #370025=AXIS2_PLACEMENT_3D('',#571472,#448726,#448727); #370026=AXIS2_PLACEMENT_3D('',#571474,#448728,#448729); #370027=AXIS2_PLACEMENT_3D('',#571477,#448731,#448732); #370028=AXIS2_PLACEMENT_3D('',#571478,#448733,#448734); #370029=AXIS2_PLACEMENT_3D('',#571480,#448735,#448736); #370030=AXIS2_PLACEMENT_3D('',#571483,#448738,#448739); #370031=AXIS2_PLACEMENT_3D('',#571484,#448740,#448741); #370032=AXIS2_PLACEMENT_3D('',#571486,#448742,#448743); #370033=AXIS2_PLACEMENT_3D('',#571489,#448745,#448746); #370034=AXIS2_PLACEMENT_3D('',#571490,#448747,#448748); #370035=AXIS2_PLACEMENT_3D('',#571492,#448749,#448750); #370036=AXIS2_PLACEMENT_3D('',#571495,#448752,#448753); #370037=AXIS2_PLACEMENT_3D('',#571496,#448754,#448755); #370038=AXIS2_PLACEMENT_3D('',#571498,#448756,#448757); #370039=AXIS2_PLACEMENT_3D('',#571501,#448759,#448760); #370040=AXIS2_PLACEMENT_3D('',#571502,#448761,#448762); #370041=AXIS2_PLACEMENT_3D('',#571504,#448763,#448764); #370042=AXIS2_PLACEMENT_3D('',#571507,#448766,#448767); #370043=AXIS2_PLACEMENT_3D('',#571508,#448768,#448769); #370044=AXIS2_PLACEMENT_3D('',#571510,#448770,#448771); #370045=AXIS2_PLACEMENT_3D('',#571513,#448773,#448774); #370046=AXIS2_PLACEMENT_3D('',#571514,#448775,#448776); #370047=AXIS2_PLACEMENT_3D('',#571516,#448777,#448778); #370048=AXIS2_PLACEMENT_3D('',#571519,#448780,#448781); #370049=AXIS2_PLACEMENT_3D('',#571520,#448782,#448783); #370050=AXIS2_PLACEMENT_3D('',#571522,#448784,#448785); #370051=AXIS2_PLACEMENT_3D('',#571525,#448787,#448788); #370052=AXIS2_PLACEMENT_3D('',#571526,#448789,#448790); #370053=AXIS2_PLACEMENT_3D('',#571528,#448791,#448792); #370054=AXIS2_PLACEMENT_3D('',#571531,#448794,#448795); #370055=AXIS2_PLACEMENT_3D('',#571532,#448796,#448797); #370056=AXIS2_PLACEMENT_3D('',#571534,#448798,#448799); #370057=AXIS2_PLACEMENT_3D('',#571537,#448801,#448802); #370058=AXIS2_PLACEMENT_3D('',#571538,#448803,#448804); #370059=AXIS2_PLACEMENT_3D('',#571540,#448805,#448806); #370060=AXIS2_PLACEMENT_3D('',#571543,#448808,#448809); #370061=AXIS2_PLACEMENT_3D('',#571544,#448810,#448811); #370062=AXIS2_PLACEMENT_3D('',#571546,#448812,#448813); #370063=AXIS2_PLACEMENT_3D('',#571549,#448815,#448816); #370064=AXIS2_PLACEMENT_3D('',#571550,#448817,#448818); #370065=AXIS2_PLACEMENT_3D('',#571552,#448819,#448820); #370066=AXIS2_PLACEMENT_3D('',#571555,#448822,#448823); #370067=AXIS2_PLACEMENT_3D('',#571556,#448824,#448825); #370068=AXIS2_PLACEMENT_3D('',#571558,#448826,#448827); #370069=AXIS2_PLACEMENT_3D('',#571561,#448829,#448830); #370070=AXIS2_PLACEMENT_3D('',#571562,#448831,#448832); #370071=AXIS2_PLACEMENT_3D('',#571564,#448833,#448834); #370072=AXIS2_PLACEMENT_3D('',#571567,#448836,#448837); #370073=AXIS2_PLACEMENT_3D('',#571568,#448838,#448839); #370074=AXIS2_PLACEMENT_3D('',#571570,#448840,#448841); #370075=AXIS2_PLACEMENT_3D('',#571573,#448843,#448844); #370076=AXIS2_PLACEMENT_3D('',#571574,#448845,#448846); #370077=AXIS2_PLACEMENT_3D('',#571576,#448847,#448848); #370078=AXIS2_PLACEMENT_3D('',#571579,#448850,#448851); #370079=AXIS2_PLACEMENT_3D('',#571580,#448852,#448853); #370080=AXIS2_PLACEMENT_3D('',#571582,#448854,#448855); #370081=AXIS2_PLACEMENT_3D('',#571585,#448857,#448858); #370082=AXIS2_PLACEMENT_3D('',#571586,#448859,#448860); #370083=AXIS2_PLACEMENT_3D('',#571588,#448861,#448862); #370084=AXIS2_PLACEMENT_3D('',#571591,#448864,#448865); #370085=AXIS2_PLACEMENT_3D('',#571592,#448866,#448867); #370086=AXIS2_PLACEMENT_3D('',#571594,#448868,#448869); #370087=AXIS2_PLACEMENT_3D('',#571597,#448871,#448872); #370088=AXIS2_PLACEMENT_3D('',#571598,#448873,#448874); #370089=AXIS2_PLACEMENT_3D('',#571600,#448875,#448876); #370090=AXIS2_PLACEMENT_3D('',#571603,#448878,#448879); #370091=AXIS2_PLACEMENT_3D('',#571604,#448880,#448881); #370092=AXIS2_PLACEMENT_3D('',#571606,#448882,#448883); #370093=AXIS2_PLACEMENT_3D('',#571609,#448885,#448886); #370094=AXIS2_PLACEMENT_3D('',#571610,#448887,#448888); #370095=AXIS2_PLACEMENT_3D('',#571612,#448889,#448890); #370096=AXIS2_PLACEMENT_3D('',#571615,#448892,#448893); #370097=AXIS2_PLACEMENT_3D('',#571616,#448894,#448895); #370098=AXIS2_PLACEMENT_3D('',#571618,#448896,#448897); #370099=AXIS2_PLACEMENT_3D('',#571621,#448899,#448900); #370100=AXIS2_PLACEMENT_3D('',#571622,#448901,#448902); #370101=AXIS2_PLACEMENT_3D('',#571624,#448903,#448904); #370102=AXIS2_PLACEMENT_3D('',#571627,#448906,#448907); #370103=AXIS2_PLACEMENT_3D('',#571628,#448908,#448909); #370104=AXIS2_PLACEMENT_3D('',#571630,#448910,#448911); #370105=AXIS2_PLACEMENT_3D('',#571633,#448913,#448914); #370106=AXIS2_PLACEMENT_3D('',#571634,#448915,#448916); #370107=AXIS2_PLACEMENT_3D('',#571636,#448917,#448918); #370108=AXIS2_PLACEMENT_3D('',#571639,#448920,#448921); #370109=AXIS2_PLACEMENT_3D('',#571640,#448922,#448923); #370110=AXIS2_PLACEMENT_3D('',#571642,#448924,#448925); #370111=AXIS2_PLACEMENT_3D('',#571645,#448927,#448928); #370112=AXIS2_PLACEMENT_3D('',#571646,#448929,#448930); #370113=AXIS2_PLACEMENT_3D('',#571648,#448931,#448932); #370114=AXIS2_PLACEMENT_3D('',#571651,#448934,#448935); #370115=AXIS2_PLACEMENT_3D('',#571652,#448936,#448937); #370116=AXIS2_PLACEMENT_3D('',#571654,#448938,#448939); #370117=AXIS2_PLACEMENT_3D('',#571657,#448941,#448942); #370118=AXIS2_PLACEMENT_3D('',#571658,#448943,#448944); #370119=AXIS2_PLACEMENT_3D('',#571660,#448945,#448946); #370120=AXIS2_PLACEMENT_3D('',#571663,#448948,#448949); #370121=AXIS2_PLACEMENT_3D('',#571664,#448950,#448951); #370122=AXIS2_PLACEMENT_3D('',#571666,#448952,#448953); #370123=AXIS2_PLACEMENT_3D('',#571669,#448955,#448956); #370124=AXIS2_PLACEMENT_3D('',#571670,#448957,#448958); #370125=AXIS2_PLACEMENT_3D('',#571672,#448959,#448960); #370126=AXIS2_PLACEMENT_3D('',#571675,#448962,#448963); #370127=AXIS2_PLACEMENT_3D('',#571676,#448964,#448965); #370128=AXIS2_PLACEMENT_3D('',#571678,#448966,#448967); #370129=AXIS2_PLACEMENT_3D('',#571681,#448969,#448970); #370130=AXIS2_PLACEMENT_3D('',#571682,#448971,#448972); #370131=AXIS2_PLACEMENT_3D('',#571684,#448973,#448974); #370132=AXIS2_PLACEMENT_3D('',#571687,#448976,#448977); #370133=AXIS2_PLACEMENT_3D('',#571688,#448978,#448979); #370134=AXIS2_PLACEMENT_3D('',#571690,#448980,#448981); #370135=AXIS2_PLACEMENT_3D('',#571693,#448983,#448984); #370136=AXIS2_PLACEMENT_3D('',#571694,#448985,#448986); #370137=AXIS2_PLACEMENT_3D('',#571696,#448987,#448988); #370138=AXIS2_PLACEMENT_3D('',#571699,#448990,#448991); #370139=AXIS2_PLACEMENT_3D('',#571700,#448992,#448993); #370140=AXIS2_PLACEMENT_3D('',#571702,#448994,#448995); #370141=AXIS2_PLACEMENT_3D('',#571705,#448997,#448998); #370142=AXIS2_PLACEMENT_3D('',#571706,#448999,#449000); #370143=AXIS2_PLACEMENT_3D('',#571708,#449001,#449002); #370144=AXIS2_PLACEMENT_3D('',#571711,#449004,#449005); #370145=AXIS2_PLACEMENT_3D('',#571712,#449006,#449007); #370146=AXIS2_PLACEMENT_3D('',#571714,#449008,#449009); #370147=AXIS2_PLACEMENT_3D('',#571717,#449011,#449012); #370148=AXIS2_PLACEMENT_3D('',#571718,#449013,#449014); #370149=AXIS2_PLACEMENT_3D('',#571720,#449015,#449016); #370150=AXIS2_PLACEMENT_3D('',#571723,#449018,#449019); #370151=AXIS2_PLACEMENT_3D('',#571724,#449020,#449021); #370152=AXIS2_PLACEMENT_3D('',#571726,#449022,#449023); #370153=AXIS2_PLACEMENT_3D('',#571729,#449025,#449026); #370154=AXIS2_PLACEMENT_3D('',#571730,#449027,#449028); #370155=AXIS2_PLACEMENT_3D('',#571732,#449029,#449030); #370156=AXIS2_PLACEMENT_3D('',#571735,#449032,#449033); #370157=AXIS2_PLACEMENT_3D('',#571736,#449034,#449035); #370158=AXIS2_PLACEMENT_3D('',#571738,#449036,#449037); #370159=AXIS2_PLACEMENT_3D('',#571741,#449039,#449040); #370160=AXIS2_PLACEMENT_3D('',#571742,#449041,#449042); #370161=AXIS2_PLACEMENT_3D('',#571744,#449043,#449044); #370162=AXIS2_PLACEMENT_3D('',#571747,#449046,#449047); #370163=AXIS2_PLACEMENT_3D('',#571748,#449048,#449049); #370164=AXIS2_PLACEMENT_3D('',#571750,#449050,#449051); #370165=AXIS2_PLACEMENT_3D('',#571753,#449053,#449054); #370166=AXIS2_PLACEMENT_3D('',#571754,#449055,#449056); #370167=AXIS2_PLACEMENT_3D('',#571756,#449057,#449058); #370168=AXIS2_PLACEMENT_3D('',#571759,#449060,#449061); #370169=AXIS2_PLACEMENT_3D('',#571760,#449062,#449063); #370170=AXIS2_PLACEMENT_3D('',#571762,#449064,#449065); #370171=AXIS2_PLACEMENT_3D('',#571765,#449067,#449068); #370172=AXIS2_PLACEMENT_3D('',#571766,#449069,#449070); #370173=AXIS2_PLACEMENT_3D('',#571768,#449071,#449072); #370174=AXIS2_PLACEMENT_3D('',#571771,#449074,#449075); #370175=AXIS2_PLACEMENT_3D('',#571772,#449076,#449077); #370176=AXIS2_PLACEMENT_3D('',#571774,#449078,#449079); #370177=AXIS2_PLACEMENT_3D('',#571777,#449081,#449082); #370178=AXIS2_PLACEMENT_3D('',#571778,#449083,#449084); #370179=AXIS2_PLACEMENT_3D('',#571780,#449085,#449086); #370180=AXIS2_PLACEMENT_3D('',#571783,#449088,#449089); #370181=AXIS2_PLACEMENT_3D('',#571784,#449090,#449091); #370182=AXIS2_PLACEMENT_3D('',#571786,#449092,#449093); #370183=AXIS2_PLACEMENT_3D('',#571789,#449095,#449096); #370184=AXIS2_PLACEMENT_3D('',#571790,#449097,#449098); #370185=AXIS2_PLACEMENT_3D('',#571792,#449099,#449100); #370186=AXIS2_PLACEMENT_3D('',#571795,#449102,#449103); #370187=AXIS2_PLACEMENT_3D('',#571796,#449104,#449105); #370188=AXIS2_PLACEMENT_3D('',#571798,#449106,#449107); #370189=AXIS2_PLACEMENT_3D('',#571801,#449109,#449110); #370190=AXIS2_PLACEMENT_3D('',#571802,#449111,#449112); #370191=AXIS2_PLACEMENT_3D('',#571804,#449113,#449114); #370192=AXIS2_PLACEMENT_3D('',#571807,#449116,#449117); #370193=AXIS2_PLACEMENT_3D('',#571808,#449118,#449119); #370194=AXIS2_PLACEMENT_3D('',#571810,#449120,#449121); #370195=AXIS2_PLACEMENT_3D('',#571813,#449123,#449124); #370196=AXIS2_PLACEMENT_3D('',#571814,#449125,#449126); #370197=AXIS2_PLACEMENT_3D('',#571816,#449127,#449128); #370198=AXIS2_PLACEMENT_3D('',#571819,#449130,#449131); #370199=AXIS2_PLACEMENT_3D('',#571820,#449132,#449133); #370200=AXIS2_PLACEMENT_3D('',#571822,#449134,#449135); #370201=AXIS2_PLACEMENT_3D('',#571825,#449137,#449138); #370202=AXIS2_PLACEMENT_3D('',#571826,#449139,#449140); #370203=AXIS2_PLACEMENT_3D('',#571828,#449141,#449142); #370204=AXIS2_PLACEMENT_3D('',#571831,#449144,#449145); #370205=AXIS2_PLACEMENT_3D('',#571832,#449146,#449147); #370206=AXIS2_PLACEMENT_3D('',#571834,#449148,#449149); #370207=AXIS2_PLACEMENT_3D('',#571837,#449151,#449152); #370208=AXIS2_PLACEMENT_3D('',#571838,#449153,#449154); #370209=AXIS2_PLACEMENT_3D('',#571840,#449155,#449156); #370210=AXIS2_PLACEMENT_3D('',#571843,#449158,#449159); #370211=AXIS2_PLACEMENT_3D('',#571844,#449160,#449161); #370212=AXIS2_PLACEMENT_3D('',#571846,#449162,#449163); #370213=AXIS2_PLACEMENT_3D('',#571849,#449165,#449166); #370214=AXIS2_PLACEMENT_3D('',#571850,#449167,#449168); #370215=AXIS2_PLACEMENT_3D('',#571852,#449169,#449170); #370216=AXIS2_PLACEMENT_3D('',#571855,#449172,#449173); #370217=AXIS2_PLACEMENT_3D('',#571856,#449174,#449175); #370218=AXIS2_PLACEMENT_3D('',#571858,#449176,#449177); #370219=AXIS2_PLACEMENT_3D('',#571861,#449179,#449180); #370220=AXIS2_PLACEMENT_3D('',#571862,#449181,#449182); #370221=AXIS2_PLACEMENT_3D('',#571864,#449183,#449184); #370222=AXIS2_PLACEMENT_3D('',#571867,#449186,#449187); #370223=AXIS2_PLACEMENT_3D('',#571868,#449188,#449189); #370224=AXIS2_PLACEMENT_3D('',#571870,#449190,#449191); #370225=AXIS2_PLACEMENT_3D('',#571873,#449193,#449194); #370226=AXIS2_PLACEMENT_3D('',#571874,#449195,#449196); #370227=AXIS2_PLACEMENT_3D('',#571876,#449197,#449198); #370228=AXIS2_PLACEMENT_3D('',#571879,#449200,#449201); #370229=AXIS2_PLACEMENT_3D('',#571880,#449202,#449203); #370230=AXIS2_PLACEMENT_3D('',#571882,#449204,#449205); #370231=AXIS2_PLACEMENT_3D('',#571885,#449207,#449208); #370232=AXIS2_PLACEMENT_3D('',#571886,#449209,#449210); #370233=AXIS2_PLACEMENT_3D('',#571888,#449211,#449212); #370234=AXIS2_PLACEMENT_3D('',#571891,#449214,#449215); #370235=AXIS2_PLACEMENT_3D('',#571892,#449216,#449217); #370236=AXIS2_PLACEMENT_3D('',#571894,#449218,#449219); #370237=AXIS2_PLACEMENT_3D('',#571897,#449221,#449222); #370238=AXIS2_PLACEMENT_3D('',#571898,#449223,#449224); #370239=AXIS2_PLACEMENT_3D('',#571900,#449225,#449226); #370240=AXIS2_PLACEMENT_3D('',#571903,#449228,#449229); #370241=AXIS2_PLACEMENT_3D('',#571904,#449230,#449231); #370242=AXIS2_PLACEMENT_3D('',#571906,#449232,#449233); #370243=AXIS2_PLACEMENT_3D('',#571909,#449235,#449236); #370244=AXIS2_PLACEMENT_3D('',#571910,#449237,#449238); #370245=AXIS2_PLACEMENT_3D('',#571912,#449239,#449240); #370246=AXIS2_PLACEMENT_3D('',#571915,#449242,#449243); #370247=AXIS2_PLACEMENT_3D('',#571916,#449244,#449245); #370248=AXIS2_PLACEMENT_3D('',#571918,#449246,#449247); #370249=AXIS2_PLACEMENT_3D('',#571921,#449249,#449250); #370250=AXIS2_PLACEMENT_3D('',#571922,#449251,#449252); #370251=AXIS2_PLACEMENT_3D('',#571924,#449253,#449254); #370252=AXIS2_PLACEMENT_3D('',#571927,#449256,#449257); #370253=AXIS2_PLACEMENT_3D('',#571928,#449258,#449259); #370254=AXIS2_PLACEMENT_3D('',#571930,#449260,#449261); #370255=AXIS2_PLACEMENT_3D('',#571933,#449263,#449264); #370256=AXIS2_PLACEMENT_3D('',#571934,#449265,#449266); #370257=AXIS2_PLACEMENT_3D('',#571936,#449267,#449268); #370258=AXIS2_PLACEMENT_3D('',#571939,#449270,#449271); #370259=AXIS2_PLACEMENT_3D('',#571940,#449272,#449273); #370260=AXIS2_PLACEMENT_3D('',#571942,#449274,#449275); #370261=AXIS2_PLACEMENT_3D('',#571945,#449277,#449278); #370262=AXIS2_PLACEMENT_3D('',#571946,#449279,#449280); #370263=AXIS2_PLACEMENT_3D('',#571948,#449281,#449282); #370264=AXIS2_PLACEMENT_3D('',#571951,#449284,#449285); #370265=AXIS2_PLACEMENT_3D('',#571952,#449286,#449287); #370266=AXIS2_PLACEMENT_3D('',#571954,#449288,#449289); #370267=AXIS2_PLACEMENT_3D('',#571957,#449291,#449292); #370268=AXIS2_PLACEMENT_3D('',#571958,#449293,#449294); #370269=AXIS2_PLACEMENT_3D('',#571960,#449295,#449296); #370270=AXIS2_PLACEMENT_3D('',#571963,#449298,#449299); #370271=AXIS2_PLACEMENT_3D('',#571964,#449300,#449301); #370272=AXIS2_PLACEMENT_3D('',#571966,#449302,#449303); #370273=AXIS2_PLACEMENT_3D('',#571969,#449305,#449306); #370274=AXIS2_PLACEMENT_3D('',#571970,#449307,#449308); #370275=AXIS2_PLACEMENT_3D('',#571972,#449309,#449310); #370276=AXIS2_PLACEMENT_3D('',#571975,#449312,#449313); #370277=AXIS2_PLACEMENT_3D('',#571976,#449314,#449315); #370278=AXIS2_PLACEMENT_3D('',#571978,#449316,#449317); #370279=AXIS2_PLACEMENT_3D('',#571981,#449319,#449320); #370280=AXIS2_PLACEMENT_3D('',#571982,#449321,#449322); #370281=AXIS2_PLACEMENT_3D('',#571984,#449323,#449324); #370282=AXIS2_PLACEMENT_3D('',#571987,#449326,#449327); #370283=AXIS2_PLACEMENT_3D('',#571988,#449328,#449329); #370284=AXIS2_PLACEMENT_3D('',#571990,#449330,#449331); #370285=AXIS2_PLACEMENT_3D('',#571993,#449333,#449334); #370286=AXIS2_PLACEMENT_3D('',#571994,#449335,#449336); #370287=AXIS2_PLACEMENT_3D('',#571996,#449337,#449338); #370288=AXIS2_PLACEMENT_3D('',#571999,#449340,#449341); #370289=AXIS2_PLACEMENT_3D('',#572000,#449342,#449343); #370290=AXIS2_PLACEMENT_3D('',#572002,#449344,#449345); #370291=AXIS2_PLACEMENT_3D('',#572005,#449347,#449348); #370292=AXIS2_PLACEMENT_3D('',#572006,#449349,#449350); #370293=AXIS2_PLACEMENT_3D('',#572008,#449351,#449352); #370294=AXIS2_PLACEMENT_3D('',#572011,#449354,#449355); #370295=AXIS2_PLACEMENT_3D('',#572012,#449356,#449357); #370296=AXIS2_PLACEMENT_3D('',#572014,#449358,#449359); #370297=AXIS2_PLACEMENT_3D('',#572017,#449361,#449362); #370298=AXIS2_PLACEMENT_3D('',#572018,#449363,#449364); #370299=AXIS2_PLACEMENT_3D('',#572020,#449365,#449366); #370300=AXIS2_PLACEMENT_3D('',#572023,#449368,#449369); #370301=AXIS2_PLACEMENT_3D('',#572024,#449370,#449371); #370302=AXIS2_PLACEMENT_3D('',#572026,#449372,#449373); #370303=AXIS2_PLACEMENT_3D('',#572029,#449375,#449376); #370304=AXIS2_PLACEMENT_3D('',#572030,#449377,#449378); #370305=AXIS2_PLACEMENT_3D('',#572032,#449379,#449380); #370306=AXIS2_PLACEMENT_3D('',#572035,#449382,#449383); #370307=AXIS2_PLACEMENT_3D('',#572036,#449384,#449385); #370308=AXIS2_PLACEMENT_3D('',#572038,#449386,#449387); #370309=AXIS2_PLACEMENT_3D('',#572041,#449389,#449390); #370310=AXIS2_PLACEMENT_3D('',#572042,#449391,#449392); #370311=AXIS2_PLACEMENT_3D('',#572044,#449393,#449394); #370312=AXIS2_PLACEMENT_3D('',#572047,#449396,#449397); #370313=AXIS2_PLACEMENT_3D('',#572048,#449398,#449399); #370314=AXIS2_PLACEMENT_3D('',#572050,#449400,#449401); #370315=AXIS2_PLACEMENT_3D('',#572053,#449403,#449404); #370316=AXIS2_PLACEMENT_3D('',#572054,#449405,#449406); #370317=AXIS2_PLACEMENT_3D('',#572056,#449407,#449408); #370318=AXIS2_PLACEMENT_3D('',#572059,#449410,#449411); #370319=AXIS2_PLACEMENT_3D('',#572060,#449412,#449413); #370320=AXIS2_PLACEMENT_3D('',#572062,#449414,#449415); #370321=AXIS2_PLACEMENT_3D('',#572065,#449417,#449418); #370322=AXIS2_PLACEMENT_3D('',#572066,#449419,#449420); #370323=AXIS2_PLACEMENT_3D('',#572068,#449421,#449422); #370324=AXIS2_PLACEMENT_3D('',#572071,#449424,#449425); #370325=AXIS2_PLACEMENT_3D('',#572072,#449426,#449427); #370326=AXIS2_PLACEMENT_3D('',#572074,#449428,#449429); #370327=AXIS2_PLACEMENT_3D('',#572077,#449431,#449432); #370328=AXIS2_PLACEMENT_3D('',#572078,#449433,#449434); #370329=AXIS2_PLACEMENT_3D('',#572080,#449435,#449436); #370330=AXIS2_PLACEMENT_3D('',#572083,#449438,#449439); #370331=AXIS2_PLACEMENT_3D('',#572084,#449440,#449441); #370332=AXIS2_PLACEMENT_3D('',#572086,#449442,#449443); #370333=AXIS2_PLACEMENT_3D('',#572089,#449445,#449446); #370334=AXIS2_PLACEMENT_3D('',#572090,#449447,#449448); #370335=AXIS2_PLACEMENT_3D('',#572092,#449449,#449450); #370336=AXIS2_PLACEMENT_3D('',#572095,#449452,#449453); #370337=AXIS2_PLACEMENT_3D('',#572096,#449454,#449455); #370338=AXIS2_PLACEMENT_3D('',#572098,#449456,#449457); #370339=AXIS2_PLACEMENT_3D('',#572101,#449459,#449460); #370340=AXIS2_PLACEMENT_3D('',#572102,#449461,#449462); #370341=AXIS2_PLACEMENT_3D('',#572104,#449463,#449464); #370342=AXIS2_PLACEMENT_3D('',#572107,#449466,#449467); #370343=AXIS2_PLACEMENT_3D('',#572108,#449468,#449469); #370344=AXIS2_PLACEMENT_3D('',#572110,#449470,#449471); #370345=AXIS2_PLACEMENT_3D('',#572113,#449473,#449474); #370346=AXIS2_PLACEMENT_3D('',#572114,#449475,#449476); #370347=AXIS2_PLACEMENT_3D('',#572116,#449477,#449478); #370348=AXIS2_PLACEMENT_3D('',#572119,#449480,#449481); #370349=AXIS2_PLACEMENT_3D('',#572120,#449482,#449483); #370350=AXIS2_PLACEMENT_3D('',#572122,#449484,#449485); #370351=AXIS2_PLACEMENT_3D('',#572125,#449487,#449488); #370352=AXIS2_PLACEMENT_3D('',#572126,#449489,#449490); #370353=AXIS2_PLACEMENT_3D('',#572128,#449491,#449492); #370354=AXIS2_PLACEMENT_3D('',#572131,#449494,#449495); #370355=AXIS2_PLACEMENT_3D('',#572132,#449496,#449497); #370356=AXIS2_PLACEMENT_3D('',#572134,#449498,#449499); #370357=AXIS2_PLACEMENT_3D('',#572137,#449501,#449502); #370358=AXIS2_PLACEMENT_3D('',#572138,#449503,#449504); #370359=AXIS2_PLACEMENT_3D('',#572140,#449505,#449506); #370360=AXIS2_PLACEMENT_3D('',#572143,#449508,#449509); #370361=AXIS2_PLACEMENT_3D('',#572144,#449510,#449511); #370362=AXIS2_PLACEMENT_3D('',#572146,#449512,#449513); #370363=AXIS2_PLACEMENT_3D('',#572149,#449515,#449516); #370364=AXIS2_PLACEMENT_3D('',#572150,#449517,#449518); #370365=AXIS2_PLACEMENT_3D('',#572152,#449519,#449520); #370366=AXIS2_PLACEMENT_3D('',#572155,#449522,#449523); #370367=AXIS2_PLACEMENT_3D('',#572156,#449524,#449525); #370368=AXIS2_PLACEMENT_3D('',#572165,#449530,#449531); #370369=AXIS2_PLACEMENT_3D('',#572167,#449532,#449533); #370370=AXIS2_PLACEMENT_3D('',#572169,#449534,#449535); #370371=AXIS2_PLACEMENT_3D('',#572171,#449537,#449538); #370372=AXIS2_PLACEMENT_3D('',#572177,#449542,#449543); #370373=AXIS2_PLACEMENT_3D('',#572178,#449544,#449545); #370374=AXIS2_PLACEMENT_3D('',#572179,#449546,#449547); #370375=AXIS2_PLACEMENT_3D('',#572180,#449548,#449549); #370376=AXIS2_PLACEMENT_3D('',#572182,#449550,#449551); #370377=AXIS2_PLACEMENT_3D('',#572185,#449553,#449554); #370378=AXIS2_PLACEMENT_3D('',#572186,#449555,#449556); #370379=AXIS2_PLACEMENT_3D('',#572195,#449561,#449562); #370380=AXIS2_PLACEMENT_3D('',#572197,#449563,#449564); #370381=AXIS2_PLACEMENT_3D('',#572199,#449565,#449566); #370382=AXIS2_PLACEMENT_3D('',#572201,#449568,#449569); #370383=AXIS2_PLACEMENT_3D('',#572207,#449573,#449574); #370384=AXIS2_PLACEMENT_3D('',#572208,#449575,#449576); #370385=AXIS2_PLACEMENT_3D('',#572209,#449577,#449578); #370386=AXIS2_PLACEMENT_3D('',#572210,#449579,#449580); #370387=AXIS2_PLACEMENT_3D('',#572212,#449581,#449582); #370388=AXIS2_PLACEMENT_3D('',#572215,#449584,#449585); #370389=AXIS2_PLACEMENT_3D('',#572216,#449586,#449587); #370390=AXIS2_PLACEMENT_3D('',#572218,#449588,#449589); #370391=AXIS2_PLACEMENT_3D('',#572221,#449591,#449592); #370392=AXIS2_PLACEMENT_3D('',#572222,#449593,#449594); #370393=AXIS2_PLACEMENT_3D('',#572224,#449595,#449596); #370394=AXIS2_PLACEMENT_3D('',#572227,#449598,#449599); #370395=AXIS2_PLACEMENT_3D('',#572228,#449600,#449601); #370396=AXIS2_PLACEMENT_3D('',#572237,#449606,#449607); #370397=AXIS2_PLACEMENT_3D('',#572239,#449608,#449609); #370398=AXIS2_PLACEMENT_3D('',#572241,#449610,#449611); #370399=AXIS2_PLACEMENT_3D('',#572243,#449613,#449614); #370400=AXIS2_PLACEMENT_3D('',#572249,#449618,#449619); #370401=AXIS2_PLACEMENT_3D('',#572250,#449620,#449621); #370402=AXIS2_PLACEMENT_3D('',#572251,#449622,#449623); #370403=AXIS2_PLACEMENT_3D('',#572252,#449624,#449625); #370404=AXIS2_PLACEMENT_3D('',#572254,#449626,#449627); #370405=AXIS2_PLACEMENT_3D('',#572257,#449629,#449630); #370406=AXIS2_PLACEMENT_3D('',#572258,#449631,#449632); #370407=AXIS2_PLACEMENT_3D('',#572260,#449633,#449634); #370408=AXIS2_PLACEMENT_3D('',#572263,#449636,#449637); #370409=AXIS2_PLACEMENT_3D('',#572264,#449638,#449639); #370410=AXIS2_PLACEMENT_3D('',#572266,#449640,#449641); #370411=AXIS2_PLACEMENT_3D('',#572269,#449643,#449644); #370412=AXIS2_PLACEMENT_3D('',#572270,#449645,#449646); #370413=AXIS2_PLACEMENT_3D('',#572272,#449647,#449648); #370414=AXIS2_PLACEMENT_3D('',#572275,#449650,#449651); #370415=AXIS2_PLACEMENT_3D('',#572276,#449652,#449653); #370416=AXIS2_PLACEMENT_3D('',#572278,#449654,#449655); #370417=AXIS2_PLACEMENT_3D('',#572281,#449657,#449658); #370418=AXIS2_PLACEMENT_3D('',#572282,#449659,#449660); #370419=AXIS2_PLACEMENT_3D('',#572284,#449661,#449662); #370420=AXIS2_PLACEMENT_3D('',#572287,#449664,#449665); #370421=AXIS2_PLACEMENT_3D('',#572288,#449666,#449667); #370422=AXIS2_PLACEMENT_3D('',#572290,#449668,#449669); #370423=AXIS2_PLACEMENT_3D('',#572293,#449671,#449672); #370424=AXIS2_PLACEMENT_3D('',#572294,#449673,#449674); #370425=AXIS2_PLACEMENT_3D('',#572296,#449675,#449676); #370426=AXIS2_PLACEMENT_3D('',#572299,#449678,#449679); #370427=AXIS2_PLACEMENT_3D('',#572300,#449680,#449681); #370428=AXIS2_PLACEMENT_3D('',#572302,#449682,#449683); #370429=AXIS2_PLACEMENT_3D('',#572305,#449685,#449686); #370430=AXIS2_PLACEMENT_3D('',#572306,#449687,#449688); #370431=AXIS2_PLACEMENT_3D('',#572308,#449689,#449690); #370432=AXIS2_PLACEMENT_3D('',#572311,#449692,#449693); #370433=AXIS2_PLACEMENT_3D('',#572312,#449694,#449695); #370434=AXIS2_PLACEMENT_3D('',#572321,#449700,#449701); #370435=AXIS2_PLACEMENT_3D('',#572323,#449702,#449703); #370436=AXIS2_PLACEMENT_3D('',#572325,#449704,#449705); #370437=AXIS2_PLACEMENT_3D('',#572327,#449707,#449708); #370438=AXIS2_PLACEMENT_3D('',#572333,#449712,#449713); #370439=AXIS2_PLACEMENT_3D('',#572334,#449714,#449715); #370440=AXIS2_PLACEMENT_3D('',#572335,#449716,#449717); #370441=AXIS2_PLACEMENT_3D('',#572336,#449718,#449719); #370442=AXIS2_PLACEMENT_3D('',#572338,#449720,#449721); #370443=AXIS2_PLACEMENT_3D('',#572341,#449723,#449724); #370444=AXIS2_PLACEMENT_3D('',#572342,#449725,#449726); #370445=AXIS2_PLACEMENT_3D('',#572351,#449731,#449732); #370446=AXIS2_PLACEMENT_3D('',#572353,#449733,#449734); #370447=AXIS2_PLACEMENT_3D('',#572355,#449735,#449736); #370448=AXIS2_PLACEMENT_3D('',#572357,#449738,#449739); #370449=AXIS2_PLACEMENT_3D('',#572363,#449743,#449744); #370450=AXIS2_PLACEMENT_3D('',#572364,#449745,#449746); #370451=AXIS2_PLACEMENT_3D('',#572365,#449747,#449748); #370452=AXIS2_PLACEMENT_3D('',#572366,#449749,#449750); #370453=AXIS2_PLACEMENT_3D('',#572368,#449751,#449752); #370454=AXIS2_PLACEMENT_3D('',#572371,#449754,#449755); #370455=AXIS2_PLACEMENT_3D('',#572372,#449756,#449757); #370456=AXIS2_PLACEMENT_3D('',#572381,#449762,#449763); #370457=AXIS2_PLACEMENT_3D('',#572383,#449764,#449765); #370458=AXIS2_PLACEMENT_3D('',#572385,#449766,#449767); #370459=AXIS2_PLACEMENT_3D('',#572387,#449769,#449770); #370460=AXIS2_PLACEMENT_3D('',#572393,#449774,#449775); #370461=AXIS2_PLACEMENT_3D('',#572394,#449776,#449777); #370462=AXIS2_PLACEMENT_3D('',#572395,#449778,#449779); #370463=AXIS2_PLACEMENT_3D('',#572396,#449780,#449781); #370464=AXIS2_PLACEMENT_3D('',#572398,#449782,#449783); #370465=AXIS2_PLACEMENT_3D('',#572401,#449785,#449786); #370466=AXIS2_PLACEMENT_3D('',#572402,#449787,#449788); #370467=AXIS2_PLACEMENT_3D('',#572404,#449789,#449790); #370468=AXIS2_PLACEMENT_3D('',#572407,#449792,#449793); #370469=AXIS2_PLACEMENT_3D('',#572408,#449794,#449795); #370470=AXIS2_PLACEMENT_3D('',#572410,#449796,#449797); #370471=AXIS2_PLACEMENT_3D('',#572413,#449799,#449800); #370472=AXIS2_PLACEMENT_3D('',#572414,#449801,#449802); #370473=AXIS2_PLACEMENT_3D('',#572416,#449803,#449804); #370474=AXIS2_PLACEMENT_3D('',#572419,#449806,#449807); #370475=AXIS2_PLACEMENT_3D('',#572420,#449808,#449809); #370476=AXIS2_PLACEMENT_3D('',#572422,#449810,#449811); #370477=AXIS2_PLACEMENT_3D('',#572425,#449813,#449814); #370478=AXIS2_PLACEMENT_3D('',#572426,#449815,#449816); #370479=AXIS2_PLACEMENT_3D('',#572428,#449817,#449818); #370480=AXIS2_PLACEMENT_3D('',#572431,#449820,#449821); #370481=AXIS2_PLACEMENT_3D('',#572432,#449822,#449823); #370482=AXIS2_PLACEMENT_3D('',#572434,#449824,#449825); #370483=AXIS2_PLACEMENT_3D('',#572437,#449827,#449828); #370484=AXIS2_PLACEMENT_3D('',#572438,#449829,#449830); #370485=AXIS2_PLACEMENT_3D('',#572440,#449831,#449832); #370486=AXIS2_PLACEMENT_3D('',#572443,#449834,#449835); #370487=AXIS2_PLACEMENT_3D('',#572444,#449836,#449837); #370488=AXIS2_PLACEMENT_3D('',#572446,#449838,#449839); #370489=AXIS2_PLACEMENT_3D('',#572449,#449841,#449842); #370490=AXIS2_PLACEMENT_3D('',#572450,#449843,#449844); #370491=AXIS2_PLACEMENT_3D('',#572452,#449845,#449846); #370492=AXIS2_PLACEMENT_3D('',#572455,#449848,#449849); #370493=AXIS2_PLACEMENT_3D('',#572456,#449850,#449851); #370494=AXIS2_PLACEMENT_3D('',#572458,#449852,#449853); #370495=AXIS2_PLACEMENT_3D('',#572461,#449855,#449856); #370496=AXIS2_PLACEMENT_3D('',#572462,#449857,#449858); #370497=AXIS2_PLACEMENT_3D('',#572464,#449859,#449860); #370498=AXIS2_PLACEMENT_3D('',#572467,#449862,#449863); #370499=AXIS2_PLACEMENT_3D('',#572468,#449864,#449865); #370500=AXIS2_PLACEMENT_3D('',#572470,#449866,#449867); #370501=AXIS2_PLACEMENT_3D('',#572473,#449869,#449870); #370502=AXIS2_PLACEMENT_3D('',#572474,#449871,#449872); #370503=AXIS2_PLACEMENT_3D('',#572476,#449873,#449874); #370504=AXIS2_PLACEMENT_3D('',#572479,#449876,#449877); #370505=AXIS2_PLACEMENT_3D('',#572480,#449878,#449879); #370506=AXIS2_PLACEMENT_3D('',#572482,#449880,#449881); #370507=AXIS2_PLACEMENT_3D('',#572485,#449883,#449884); #370508=AXIS2_PLACEMENT_3D('',#572486,#449885,#449886); #370509=AXIS2_PLACEMENT_3D('',#572488,#449887,#449888); #370510=AXIS2_PLACEMENT_3D('',#572491,#449890,#449891); #370511=AXIS2_PLACEMENT_3D('',#572492,#449892,#449893); #370512=AXIS2_PLACEMENT_3D('',#572494,#449894,#449895); #370513=AXIS2_PLACEMENT_3D('',#572497,#449897,#449898); #370514=AXIS2_PLACEMENT_3D('',#572498,#449899,#449900); #370515=AXIS2_PLACEMENT_3D('',#572500,#449901,#449902); #370516=AXIS2_PLACEMENT_3D('',#572503,#449904,#449905); #370517=AXIS2_PLACEMENT_3D('',#572504,#449906,#449907); #370518=AXIS2_PLACEMENT_3D('',#572506,#449908,#449909); #370519=AXIS2_PLACEMENT_3D('',#572509,#449911,#449912); #370520=AXIS2_PLACEMENT_3D('',#572510,#449913,#449914); #370521=AXIS2_PLACEMENT_3D('',#572512,#449915,#449916); #370522=AXIS2_PLACEMENT_3D('',#572515,#449918,#449919); #370523=AXIS2_PLACEMENT_3D('',#572516,#449920,#449921); #370524=AXIS2_PLACEMENT_3D('',#572518,#449922,#449923); #370525=AXIS2_PLACEMENT_3D('',#572521,#449925,#449926); #370526=AXIS2_PLACEMENT_3D('',#572522,#449927,#449928); #370527=AXIS2_PLACEMENT_3D('',#572524,#449929,#449930); #370528=AXIS2_PLACEMENT_3D('',#572527,#449932,#449933); #370529=AXIS2_PLACEMENT_3D('',#572528,#449934,#449935); #370530=AXIS2_PLACEMENT_3D('',#572530,#449936,#449937); #370531=AXIS2_PLACEMENT_3D('',#572533,#449939,#449940); #370532=AXIS2_PLACEMENT_3D('',#572534,#449941,#449942); #370533=AXIS2_PLACEMENT_3D('',#572536,#449943,#449944); #370534=AXIS2_PLACEMENT_3D('',#572539,#449946,#449947); #370535=AXIS2_PLACEMENT_3D('',#572540,#449948,#449949); #370536=AXIS2_PLACEMENT_3D('',#572542,#449950,#449951); #370537=AXIS2_PLACEMENT_3D('',#572545,#449953,#449954); #370538=AXIS2_PLACEMENT_3D('',#572546,#449955,#449956); #370539=AXIS2_PLACEMENT_3D('',#572548,#449957,#449958); #370540=AXIS2_PLACEMENT_3D('',#572551,#449960,#449961); #370541=AXIS2_PLACEMENT_3D('',#572552,#449962,#449963); #370542=AXIS2_PLACEMENT_3D('',#572554,#449964,#449965); #370543=AXIS2_PLACEMENT_3D('',#572557,#449967,#449968); #370544=AXIS2_PLACEMENT_3D('',#572558,#449969,#449970); #370545=AXIS2_PLACEMENT_3D('',#572560,#449971,#449972); #370546=AXIS2_PLACEMENT_3D('',#572563,#449974,#449975); #370547=AXIS2_PLACEMENT_3D('',#572564,#449976,#449977); #370548=AXIS2_PLACEMENT_3D('',#572566,#449978,#449979); #370549=AXIS2_PLACEMENT_3D('',#572569,#449981,#449982); #370550=AXIS2_PLACEMENT_3D('',#572570,#449983,#449984); #370551=AXIS2_PLACEMENT_3D('',#572572,#449985,#449986); #370552=AXIS2_PLACEMENT_3D('',#572575,#449988,#449989); #370553=AXIS2_PLACEMENT_3D('',#572576,#449990,#449991); #370554=AXIS2_PLACEMENT_3D('',#572578,#449992,#449993); #370555=AXIS2_PLACEMENT_3D('',#572581,#449995,#449996); #370556=AXIS2_PLACEMENT_3D('',#572582,#449997,#449998); #370557=AXIS2_PLACEMENT_3D('',#572584,#449999,#450000); #370558=AXIS2_PLACEMENT_3D('',#572587,#450002,#450003); #370559=AXIS2_PLACEMENT_3D('',#572588,#450004,#450005); #370560=AXIS2_PLACEMENT_3D('',#572590,#450006,#450007); #370561=AXIS2_PLACEMENT_3D('',#572593,#450009,#450010); #370562=AXIS2_PLACEMENT_3D('',#572594,#450011,#450012); #370563=AXIS2_PLACEMENT_3D('',#572596,#450013,#450014); #370564=AXIS2_PLACEMENT_3D('',#572599,#450016,#450017); #370565=AXIS2_PLACEMENT_3D('',#572600,#450018,#450019); #370566=AXIS2_PLACEMENT_3D('',#572602,#450020,#450021); #370567=AXIS2_PLACEMENT_3D('',#572605,#450023,#450024); #370568=AXIS2_PLACEMENT_3D('',#572606,#450025,#450026); #370569=AXIS2_PLACEMENT_3D('',#572608,#450027,#450028); #370570=AXIS2_PLACEMENT_3D('',#572611,#450030,#450031); #370571=AXIS2_PLACEMENT_3D('',#572612,#450032,#450033); #370572=AXIS2_PLACEMENT_3D('',#572614,#450034,#450035); #370573=AXIS2_PLACEMENT_3D('',#572617,#450037,#450038); #370574=AXIS2_PLACEMENT_3D('',#572618,#450039,#450040); #370575=AXIS2_PLACEMENT_3D('',#572620,#450041,#450042); #370576=AXIS2_PLACEMENT_3D('',#572623,#450044,#450045); #370577=AXIS2_PLACEMENT_3D('',#572624,#450046,#450047); #370578=AXIS2_PLACEMENT_3D('',#572626,#450048,#450049); #370579=AXIS2_PLACEMENT_3D('',#572629,#450051,#450052); #370580=AXIS2_PLACEMENT_3D('',#572630,#450053,#450054); #370581=AXIS2_PLACEMENT_3D('',#572632,#450055,#450056); #370582=AXIS2_PLACEMENT_3D('',#572635,#450058,#450059); #370583=AXIS2_PLACEMENT_3D('',#572636,#450060,#450061); #370584=AXIS2_PLACEMENT_3D('',#572638,#450062,#450063); #370585=AXIS2_PLACEMENT_3D('',#572641,#450065,#450066); #370586=AXIS2_PLACEMENT_3D('',#572642,#450067,#450068); #370587=AXIS2_PLACEMENT_3D('',#572644,#450069,#450070); #370588=AXIS2_PLACEMENT_3D('',#572647,#450072,#450073); #370589=AXIS2_PLACEMENT_3D('',#572648,#450074,#450075); #370590=AXIS2_PLACEMENT_3D('',#572650,#450076,#450077); #370591=AXIS2_PLACEMENT_3D('',#572653,#450079,#450080); #370592=AXIS2_PLACEMENT_3D('',#572654,#450081,#450082); #370593=AXIS2_PLACEMENT_3D('',#572656,#450083,#450084); #370594=AXIS2_PLACEMENT_3D('',#572659,#450086,#450087); #370595=AXIS2_PLACEMENT_3D('',#572660,#450088,#450089); #370596=AXIS2_PLACEMENT_3D('',#572662,#450090,#450091); #370597=AXIS2_PLACEMENT_3D('',#572665,#450093,#450094); #370598=AXIS2_PLACEMENT_3D('',#572666,#450095,#450096); #370599=AXIS2_PLACEMENT_3D('',#572668,#450097,#450098); #370600=AXIS2_PLACEMENT_3D('',#572671,#450100,#450101); #370601=AXIS2_PLACEMENT_3D('',#572672,#450102,#450103); #370602=AXIS2_PLACEMENT_3D('',#572674,#450104,#450105); #370603=AXIS2_PLACEMENT_3D('',#572677,#450107,#450108); #370604=AXIS2_PLACEMENT_3D('',#572678,#450109,#450110); #370605=AXIS2_PLACEMENT_3D('',#572680,#450111,#450112); #370606=AXIS2_PLACEMENT_3D('',#572683,#450114,#450115); #370607=AXIS2_PLACEMENT_3D('',#572684,#450116,#450117); #370608=AXIS2_PLACEMENT_3D('',#572686,#450118,#450119); #370609=AXIS2_PLACEMENT_3D('',#572689,#450121,#450122); #370610=AXIS2_PLACEMENT_3D('',#572690,#450123,#450124); #370611=AXIS2_PLACEMENT_3D('',#572692,#450125,#450126); #370612=AXIS2_PLACEMENT_3D('',#572695,#450128,#450129); #370613=AXIS2_PLACEMENT_3D('',#572696,#450130,#450131); #370614=AXIS2_PLACEMENT_3D('',#572698,#450132,#450133); #370615=AXIS2_PLACEMENT_3D('',#572701,#450135,#450136); #370616=AXIS2_PLACEMENT_3D('',#572702,#450137,#450138); #370617=AXIS2_PLACEMENT_3D('',#572704,#450139,#450140); #370618=AXIS2_PLACEMENT_3D('',#572707,#450142,#450143); #370619=AXIS2_PLACEMENT_3D('',#572708,#450144,#450145); #370620=AXIS2_PLACEMENT_3D('',#572710,#450146,#450147); #370621=AXIS2_PLACEMENT_3D('',#572713,#450149,#450150); #370622=AXIS2_PLACEMENT_3D('',#572714,#450151,#450152); #370623=AXIS2_PLACEMENT_3D('',#572716,#450153,#450154); #370624=AXIS2_PLACEMENT_3D('',#572719,#450156,#450157); #370625=AXIS2_PLACEMENT_3D('',#572720,#450158,#450159); #370626=AXIS2_PLACEMENT_3D('',#572722,#450160,#450161); #370627=AXIS2_PLACEMENT_3D('',#572725,#450163,#450164); #370628=AXIS2_PLACEMENT_3D('',#572726,#450165,#450166); #370629=AXIS2_PLACEMENT_3D('',#572728,#450167,#450168); #370630=AXIS2_PLACEMENT_3D('',#572731,#450170,#450171); #370631=AXIS2_PLACEMENT_3D('',#572732,#450172,#450173); #370632=AXIS2_PLACEMENT_3D('',#572734,#450174,#450175); #370633=AXIS2_PLACEMENT_3D('',#572737,#450177,#450178); #370634=AXIS2_PLACEMENT_3D('',#572738,#450179,#450180); #370635=AXIS2_PLACEMENT_3D('',#572740,#450181,#450182); #370636=AXIS2_PLACEMENT_3D('',#572743,#450184,#450185); #370637=AXIS2_PLACEMENT_3D('',#572744,#450186,#450187); #370638=AXIS2_PLACEMENT_3D('',#572746,#450188,#450189); #370639=AXIS2_PLACEMENT_3D('',#572749,#450191,#450192); #370640=AXIS2_PLACEMENT_3D('',#572750,#450193,#450194); #370641=AXIS2_PLACEMENT_3D('',#572752,#450195,#450196); #370642=AXIS2_PLACEMENT_3D('',#572755,#450198,#450199); #370643=AXIS2_PLACEMENT_3D('',#572756,#450200,#450201); #370644=AXIS2_PLACEMENT_3D('',#572758,#450202,#450203); #370645=AXIS2_PLACEMENT_3D('',#572761,#450205,#450206); #370646=AXIS2_PLACEMENT_3D('',#572762,#450207,#450208); #370647=AXIS2_PLACEMENT_3D('',#572764,#450209,#450210); #370648=AXIS2_PLACEMENT_3D('',#572767,#450212,#450213); #370649=AXIS2_PLACEMENT_3D('',#572768,#450214,#450215); #370650=AXIS2_PLACEMENT_3D('',#572770,#450216,#450217); #370651=AXIS2_PLACEMENT_3D('',#572773,#450219,#450220); #370652=AXIS2_PLACEMENT_3D('',#572774,#450221,#450222); #370653=AXIS2_PLACEMENT_3D('',#572776,#450223,#450224); #370654=AXIS2_PLACEMENT_3D('',#572779,#450226,#450227); #370655=AXIS2_PLACEMENT_3D('',#572780,#450228,#450229); #370656=AXIS2_PLACEMENT_3D('',#572782,#450230,#450231); #370657=AXIS2_PLACEMENT_3D('',#572785,#450233,#450234); #370658=AXIS2_PLACEMENT_3D('',#572786,#450235,#450236); #370659=AXIS2_PLACEMENT_3D('',#572788,#450237,#450238); #370660=AXIS2_PLACEMENT_3D('',#572791,#450240,#450241); #370661=AXIS2_PLACEMENT_3D('',#572792,#450242,#450243); #370662=AXIS2_PLACEMENT_3D('',#572794,#450244,#450245); #370663=AXIS2_PLACEMENT_3D('',#572797,#450247,#450248); #370664=AXIS2_PLACEMENT_3D('',#572798,#450249,#450250); #370665=AXIS2_PLACEMENT_3D('',#572800,#450251,#450252); #370666=AXIS2_PLACEMENT_3D('',#572803,#450254,#450255); #370667=AXIS2_PLACEMENT_3D('',#572804,#450256,#450257); #370668=AXIS2_PLACEMENT_3D('',#572806,#450258,#450259); #370669=AXIS2_PLACEMENT_3D('',#572809,#450261,#450262); #370670=AXIS2_PLACEMENT_3D('',#572810,#450263,#450264); #370671=AXIS2_PLACEMENT_3D('',#572812,#450265,#450266); #370672=AXIS2_PLACEMENT_3D('',#572815,#450268,#450269); #370673=AXIS2_PLACEMENT_3D('',#572816,#450270,#450271); #370674=AXIS2_PLACEMENT_3D('',#572818,#450272,#450273); #370675=AXIS2_PLACEMENT_3D('',#572821,#450275,#450276); #370676=AXIS2_PLACEMENT_3D('',#572822,#450277,#450278); #370677=AXIS2_PLACEMENT_3D('',#572824,#450279,#450280); #370678=AXIS2_PLACEMENT_3D('',#572827,#450282,#450283); #370679=AXIS2_PLACEMENT_3D('',#572828,#450284,#450285); #370680=AXIS2_PLACEMENT_3D('',#572830,#450286,#450287); #370681=AXIS2_PLACEMENT_3D('',#572833,#450289,#450290); #370682=AXIS2_PLACEMENT_3D('',#572834,#450291,#450292); #370683=AXIS2_PLACEMENT_3D('',#572836,#450293,#450294); #370684=AXIS2_PLACEMENT_3D('',#572839,#450296,#450297); #370685=AXIS2_PLACEMENT_3D('',#572840,#450298,#450299); #370686=AXIS2_PLACEMENT_3D('',#572842,#450300,#450301); #370687=AXIS2_PLACEMENT_3D('',#572845,#450303,#450304); #370688=AXIS2_PLACEMENT_3D('',#572846,#450305,#450306); #370689=AXIS2_PLACEMENT_3D('',#572848,#450307,#450308); #370690=AXIS2_PLACEMENT_3D('',#572851,#450310,#450311); #370691=AXIS2_PLACEMENT_3D('',#572852,#450312,#450313); #370692=AXIS2_PLACEMENT_3D('',#572854,#450314,#450315); #370693=AXIS2_PLACEMENT_3D('',#572857,#450317,#450318); #370694=AXIS2_PLACEMENT_3D('',#572858,#450319,#450320); #370695=AXIS2_PLACEMENT_3D('',#572860,#450321,#450322); #370696=AXIS2_PLACEMENT_3D('',#572863,#450324,#450325); #370697=AXIS2_PLACEMENT_3D('',#572864,#450326,#450327); #370698=AXIS2_PLACEMENT_3D('',#572866,#450328,#450329); #370699=AXIS2_PLACEMENT_3D('',#572869,#450331,#450332); #370700=AXIS2_PLACEMENT_3D('',#572870,#450333,#450334); #370701=AXIS2_PLACEMENT_3D('',#572872,#450335,#450336); #370702=AXIS2_PLACEMENT_3D('',#572875,#450338,#450339); #370703=AXIS2_PLACEMENT_3D('',#572876,#450340,#450341); #370704=AXIS2_PLACEMENT_3D('',#572878,#450342,#450343); #370705=AXIS2_PLACEMENT_3D('',#572881,#450345,#450346); #370706=AXIS2_PLACEMENT_3D('',#572882,#450347,#450348); #370707=AXIS2_PLACEMENT_3D('',#572884,#450349,#450350); #370708=AXIS2_PLACEMENT_3D('',#572887,#450352,#450353); #370709=AXIS2_PLACEMENT_3D('',#572888,#450354,#450355); #370710=AXIS2_PLACEMENT_3D('',#572890,#450356,#450357); #370711=AXIS2_PLACEMENT_3D('',#572893,#450359,#450360); #370712=AXIS2_PLACEMENT_3D('',#572894,#450361,#450362); #370713=AXIS2_PLACEMENT_3D('',#572896,#450363,#450364); #370714=AXIS2_PLACEMENT_3D('',#572899,#450366,#450367); #370715=AXIS2_PLACEMENT_3D('',#572900,#450368,#450369); #370716=AXIS2_PLACEMENT_3D('',#572902,#450370,#450371); #370717=AXIS2_PLACEMENT_3D('',#572905,#450373,#450374); #370718=AXIS2_PLACEMENT_3D('',#572906,#450375,#450376); #370719=AXIS2_PLACEMENT_3D('',#572908,#450377,#450378); #370720=AXIS2_PLACEMENT_3D('',#572911,#450380,#450381); #370721=AXIS2_PLACEMENT_3D('',#572912,#450382,#450383); #370722=AXIS2_PLACEMENT_3D('',#572914,#450384,#450385); #370723=AXIS2_PLACEMENT_3D('',#572917,#450387,#450388); #370724=AXIS2_PLACEMENT_3D('',#572918,#450389,#450390); #370725=AXIS2_PLACEMENT_3D('',#572920,#450391,#450392); #370726=AXIS2_PLACEMENT_3D('',#572923,#450394,#450395); #370727=AXIS2_PLACEMENT_3D('',#572924,#450396,#450397); #370728=AXIS2_PLACEMENT_3D('',#572926,#450398,#450399); #370729=AXIS2_PLACEMENT_3D('',#572929,#450401,#450402); #370730=AXIS2_PLACEMENT_3D('',#572930,#450403,#450404); #370731=AXIS2_PLACEMENT_3D('',#572932,#450405,#450406); #370732=AXIS2_PLACEMENT_3D('',#572935,#450408,#450409); #370733=AXIS2_PLACEMENT_3D('',#572936,#450410,#450411); #370734=AXIS2_PLACEMENT_3D('',#572938,#450412,#450413); #370735=AXIS2_PLACEMENT_3D('',#572941,#450415,#450416); #370736=AXIS2_PLACEMENT_3D('',#572942,#450417,#450418); #370737=AXIS2_PLACEMENT_3D('',#572944,#450419,#450420); #370738=AXIS2_PLACEMENT_3D('',#572947,#450422,#450423); #370739=AXIS2_PLACEMENT_3D('',#572948,#450424,#450425); #370740=AXIS2_PLACEMENT_3D('',#572950,#450426,#450427); #370741=AXIS2_PLACEMENT_3D('',#572953,#450429,#450430); #370742=AXIS2_PLACEMENT_3D('',#572954,#450431,#450432); #370743=AXIS2_PLACEMENT_3D('',#572956,#450433,#450434); #370744=AXIS2_PLACEMENT_3D('',#572959,#450436,#450437); #370745=AXIS2_PLACEMENT_3D('',#572960,#450438,#450439); #370746=AXIS2_PLACEMENT_3D('',#572962,#450440,#450441); #370747=AXIS2_PLACEMENT_3D('',#572965,#450443,#450444); #370748=AXIS2_PLACEMENT_3D('',#572966,#450445,#450446); #370749=AXIS2_PLACEMENT_3D('',#572968,#450447,#450448); #370750=AXIS2_PLACEMENT_3D('',#572971,#450450,#450451); #370751=AXIS2_PLACEMENT_3D('',#572972,#450452,#450453); #370752=AXIS2_PLACEMENT_3D('',#572974,#450454,#450455); #370753=AXIS2_PLACEMENT_3D('',#572977,#450457,#450458); #370754=AXIS2_PLACEMENT_3D('',#572978,#450459,#450460); #370755=AXIS2_PLACEMENT_3D('',#572980,#450461,#450462); #370756=AXIS2_PLACEMENT_3D('',#572983,#450464,#450465); #370757=AXIS2_PLACEMENT_3D('',#572984,#450466,#450467); #370758=AXIS2_PLACEMENT_3D('',#572986,#450468,#450469); #370759=AXIS2_PLACEMENT_3D('',#572989,#450471,#450472); #370760=AXIS2_PLACEMENT_3D('',#572990,#450473,#450474); #370761=AXIS2_PLACEMENT_3D('',#572992,#450475,#450476); #370762=AXIS2_PLACEMENT_3D('',#572995,#450478,#450479); #370763=AXIS2_PLACEMENT_3D('',#572996,#450480,#450481); #370764=AXIS2_PLACEMENT_3D('',#572998,#450482,#450483); #370765=AXIS2_PLACEMENT_3D('',#573001,#450485,#450486); #370766=AXIS2_PLACEMENT_3D('',#573002,#450487,#450488); #370767=AXIS2_PLACEMENT_3D('',#573004,#450489,#450490); #370768=AXIS2_PLACEMENT_3D('',#573007,#450492,#450493); #370769=AXIS2_PLACEMENT_3D('',#573008,#450494,#450495); #370770=AXIS2_PLACEMENT_3D('',#573010,#450496,#450497); #370771=AXIS2_PLACEMENT_3D('',#573013,#450499,#450500); #370772=AXIS2_PLACEMENT_3D('',#573014,#450501,#450502); #370773=AXIS2_PLACEMENT_3D('',#573016,#450503,#450504); #370774=AXIS2_PLACEMENT_3D('',#573019,#450506,#450507); #370775=AXIS2_PLACEMENT_3D('',#573020,#450508,#450509); #370776=AXIS2_PLACEMENT_3D('',#573022,#450510,#450511); #370777=AXIS2_PLACEMENT_3D('',#573025,#450513,#450514); #370778=AXIS2_PLACEMENT_3D('',#573026,#450515,#450516); #370779=AXIS2_PLACEMENT_3D('',#573028,#450517,#450518); #370780=AXIS2_PLACEMENT_3D('',#573031,#450520,#450521); #370781=AXIS2_PLACEMENT_3D('',#573032,#450522,#450523); #370782=AXIS2_PLACEMENT_3D('',#573034,#450524,#450525); #370783=AXIS2_PLACEMENT_3D('',#573037,#450527,#450528); #370784=AXIS2_PLACEMENT_3D('',#573038,#450529,#450530); #370785=AXIS2_PLACEMENT_3D('',#573040,#450531,#450532); #370786=AXIS2_PLACEMENT_3D('',#573043,#450534,#450535); #370787=AXIS2_PLACEMENT_3D('',#573044,#450536,#450537); #370788=AXIS2_PLACEMENT_3D('',#573046,#450538,#450539); #370789=AXIS2_PLACEMENT_3D('',#573049,#450541,#450542); #370790=AXIS2_PLACEMENT_3D('',#573050,#450543,#450544); #370791=AXIS2_PLACEMENT_3D('',#573052,#450545,#450546); #370792=AXIS2_PLACEMENT_3D('',#573055,#450548,#450549); #370793=AXIS2_PLACEMENT_3D('',#573056,#450550,#450551); #370794=AXIS2_PLACEMENT_3D('',#573058,#450552,#450553); #370795=AXIS2_PLACEMENT_3D('',#573061,#450555,#450556); #370796=AXIS2_PLACEMENT_3D('',#573062,#450557,#450558); #370797=AXIS2_PLACEMENT_3D('',#573064,#450559,#450560); #370798=AXIS2_PLACEMENT_3D('',#573067,#450562,#450563); #370799=AXIS2_PLACEMENT_3D('',#573068,#450564,#450565); #370800=AXIS2_PLACEMENT_3D('',#573070,#450566,#450567); #370801=AXIS2_PLACEMENT_3D('',#573073,#450569,#450570); #370802=AXIS2_PLACEMENT_3D('',#573074,#450571,#450572); #370803=AXIS2_PLACEMENT_3D('',#573076,#450573,#450574); #370804=AXIS2_PLACEMENT_3D('',#573079,#450576,#450577); #370805=AXIS2_PLACEMENT_3D('',#573080,#450578,#450579); #370806=AXIS2_PLACEMENT_3D('',#573082,#450580,#450581); #370807=AXIS2_PLACEMENT_3D('',#573085,#450583,#450584); #370808=AXIS2_PLACEMENT_3D('',#573086,#450585,#450586); #370809=AXIS2_PLACEMENT_3D('',#573088,#450587,#450588); #370810=AXIS2_PLACEMENT_3D('',#573091,#450590,#450591); #370811=AXIS2_PLACEMENT_3D('',#573092,#450592,#450593); #370812=AXIS2_PLACEMENT_3D('',#573094,#450594,#450595); #370813=AXIS2_PLACEMENT_3D('',#573097,#450597,#450598); #370814=AXIS2_PLACEMENT_3D('',#573098,#450599,#450600); #370815=AXIS2_PLACEMENT_3D('',#573100,#450601,#450602); #370816=AXIS2_PLACEMENT_3D('',#573103,#450604,#450605); #370817=AXIS2_PLACEMENT_3D('',#573104,#450606,#450607); #370818=AXIS2_PLACEMENT_3D('',#573106,#450608,#450609); #370819=AXIS2_PLACEMENT_3D('',#573109,#450611,#450612); #370820=AXIS2_PLACEMENT_3D('',#573110,#450613,#450614); #370821=AXIS2_PLACEMENT_3D('',#573112,#450615,#450616); #370822=AXIS2_PLACEMENT_3D('',#573115,#450618,#450619); #370823=AXIS2_PLACEMENT_3D('',#573116,#450620,#450621); #370824=AXIS2_PLACEMENT_3D('',#573118,#450622,#450623); #370825=AXIS2_PLACEMENT_3D('',#573121,#450625,#450626); #370826=AXIS2_PLACEMENT_3D('',#573122,#450627,#450628); #370827=AXIS2_PLACEMENT_3D('',#573124,#450629,#450630); #370828=AXIS2_PLACEMENT_3D('',#573127,#450632,#450633); #370829=AXIS2_PLACEMENT_3D('',#573128,#450634,#450635); #370830=AXIS2_PLACEMENT_3D('',#573130,#450636,#450637); #370831=AXIS2_PLACEMENT_3D('',#573133,#450639,#450640); #370832=AXIS2_PLACEMENT_3D('',#573134,#450641,#450642); #370833=AXIS2_PLACEMENT_3D('',#573136,#450643,#450644); #370834=AXIS2_PLACEMENT_3D('',#573139,#450646,#450647); #370835=AXIS2_PLACEMENT_3D('',#573140,#450648,#450649); #370836=AXIS2_PLACEMENT_3D('',#573142,#450650,#450651); #370837=AXIS2_PLACEMENT_3D('',#573145,#450653,#450654); #370838=AXIS2_PLACEMENT_3D('',#573146,#450655,#450656); #370839=AXIS2_PLACEMENT_3D('',#573148,#450657,#450658); #370840=AXIS2_PLACEMENT_3D('',#573151,#450660,#450661); #370841=AXIS2_PLACEMENT_3D('',#573152,#450662,#450663); #370842=AXIS2_PLACEMENT_3D('',#573154,#450664,#450665); #370843=AXIS2_PLACEMENT_3D('',#573157,#450667,#450668); #370844=AXIS2_PLACEMENT_3D('',#573158,#450669,#450670); #370845=AXIS2_PLACEMENT_3D('',#573160,#450671,#450672); #370846=AXIS2_PLACEMENT_3D('',#573163,#450674,#450675); #370847=AXIS2_PLACEMENT_3D('',#573164,#450676,#450677); #370848=AXIS2_PLACEMENT_3D('',#573166,#450678,#450679); #370849=AXIS2_PLACEMENT_3D('',#573169,#450681,#450682); #370850=AXIS2_PLACEMENT_3D('',#573170,#450683,#450684); #370851=AXIS2_PLACEMENT_3D('',#573172,#450685,#450686); #370852=AXIS2_PLACEMENT_3D('',#573175,#450688,#450689); #370853=AXIS2_PLACEMENT_3D('',#573176,#450690,#450691); #370854=AXIS2_PLACEMENT_3D('',#573178,#450692,#450693); #370855=AXIS2_PLACEMENT_3D('',#573181,#450695,#450696); #370856=AXIS2_PLACEMENT_3D('',#573182,#450697,#450698); #370857=AXIS2_PLACEMENT_3D('',#573184,#450699,#450700); #370858=AXIS2_PLACEMENT_3D('',#573187,#450702,#450703); #370859=AXIS2_PLACEMENT_3D('',#573188,#450704,#450705); #370860=AXIS2_PLACEMENT_3D('',#573190,#450706,#450707); #370861=AXIS2_PLACEMENT_3D('',#573193,#450709,#450710); #370862=AXIS2_PLACEMENT_3D('',#573194,#450711,#450712); #370863=AXIS2_PLACEMENT_3D('',#573195,#450713,#450714); #370864=AXIS2_PLACEMENT_3D('',#573196,#450715,#450716); #370865=AXIS2_PLACEMENT_3D('',#573197,#450717,#450718); #370866=AXIS2_PLACEMENT_3D('',#573199,#450719,#450720); #370867=AXIS2_PLACEMENT_3D('',#573202,#450722,#450723); #370868=AXIS2_PLACEMENT_3D('',#573203,#450724,#450725); #370869=AXIS2_PLACEMENT_3D('',#573205,#450726,#450727); #370870=AXIS2_PLACEMENT_3D('',#573208,#450729,#450730); #370871=AXIS2_PLACEMENT_3D('',#573209,#450731,#450732); #370872=AXIS2_PLACEMENT_3D('',#573211,#450733,#450734); #370873=AXIS2_PLACEMENT_3D('',#573214,#450736,#450737); #370874=AXIS2_PLACEMENT_3D('',#573215,#450738,#450739); #370875=AXIS2_PLACEMENT_3D('',#573217,#450740,#450741); #370876=AXIS2_PLACEMENT_3D('',#573220,#450743,#450744); #370877=AXIS2_PLACEMENT_3D('',#573221,#450745,#450746); #370878=AXIS2_PLACEMENT_3D('',#573223,#450747,#450748); #370879=AXIS2_PLACEMENT_3D('',#573226,#450750,#450751); #370880=AXIS2_PLACEMENT_3D('',#573227,#450752,#450753); #370881=AXIS2_PLACEMENT_3D('',#573229,#450754,#450755); #370882=AXIS2_PLACEMENT_3D('',#573232,#450757,#450758); #370883=AXIS2_PLACEMENT_3D('',#573233,#450759,#450760); #370884=AXIS2_PLACEMENT_3D('',#573235,#450761,#450762); #370885=AXIS2_PLACEMENT_3D('',#573238,#450764,#450765); #370886=AXIS2_PLACEMENT_3D('',#573239,#450766,#450767); #370887=AXIS2_PLACEMENT_3D('',#573241,#450768,#450769); #370888=AXIS2_PLACEMENT_3D('',#573244,#450771,#450772); #370889=AXIS2_PLACEMENT_3D('',#573245,#450773,#450774); #370890=AXIS2_PLACEMENT_3D('',#573247,#450775,#450776); #370891=AXIS2_PLACEMENT_3D('',#573250,#450778,#450779); #370892=AXIS2_PLACEMENT_3D('',#573251,#450780,#450781); #370893=AXIS2_PLACEMENT_3D('',#573253,#450782,#450783); #370894=AXIS2_PLACEMENT_3D('',#573256,#450785,#450786); #370895=AXIS2_PLACEMENT_3D('',#573257,#450787,#450788); #370896=AXIS2_PLACEMENT_3D('',#573259,#450789,#450790); #370897=AXIS2_PLACEMENT_3D('',#573262,#450792,#450793); #370898=AXIS2_PLACEMENT_3D('',#573263,#450794,#450795); #370899=AXIS2_PLACEMENT_3D('',#573265,#450796,#450797); #370900=AXIS2_PLACEMENT_3D('',#573268,#450799,#450800); #370901=AXIS2_PLACEMENT_3D('',#573269,#450801,#450802); #370902=AXIS2_PLACEMENT_3D('',#573271,#450803,#450804); #370903=AXIS2_PLACEMENT_3D('',#573274,#450806,#450807); #370904=AXIS2_PLACEMENT_3D('',#573275,#450808,#450809); #370905=AXIS2_PLACEMENT_3D('',#573277,#450810,#450811); #370906=AXIS2_PLACEMENT_3D('',#573280,#450813,#450814); #370907=AXIS2_PLACEMENT_3D('',#573281,#450815,#450816); #370908=AXIS2_PLACEMENT_3D('',#573283,#450817,#450818); #370909=AXIS2_PLACEMENT_3D('',#573286,#450820,#450821); #370910=AXIS2_PLACEMENT_3D('',#573287,#450822,#450823); #370911=AXIS2_PLACEMENT_3D('',#573289,#450824,#450825); #370912=AXIS2_PLACEMENT_3D('',#573292,#450827,#450828); #370913=AXIS2_PLACEMENT_3D('',#573293,#450829,#450830); #370914=AXIS2_PLACEMENT_3D('',#573295,#450831,#450832); #370915=AXIS2_PLACEMENT_3D('',#573298,#450834,#450835); #370916=AXIS2_PLACEMENT_3D('',#573299,#450836,#450837); #370917=AXIS2_PLACEMENT_3D('',#573301,#450838,#450839); #370918=AXIS2_PLACEMENT_3D('',#573304,#450841,#450842); #370919=AXIS2_PLACEMENT_3D('',#573305,#450843,#450844); #370920=AXIS2_PLACEMENT_3D('',#573307,#450845,#450846); #370921=AXIS2_PLACEMENT_3D('',#573310,#450848,#450849); #370922=AXIS2_PLACEMENT_3D('',#573311,#450850,#450851); #370923=AXIS2_PLACEMENT_3D('',#573313,#450852,#450853); #370924=AXIS2_PLACEMENT_3D('',#573316,#450855,#450856); #370925=AXIS2_PLACEMENT_3D('',#573317,#450857,#450858); #370926=AXIS2_PLACEMENT_3D('',#573319,#450859,#450860); #370927=AXIS2_PLACEMENT_3D('',#573322,#450862,#450863); #370928=AXIS2_PLACEMENT_3D('',#573323,#450864,#450865); #370929=AXIS2_PLACEMENT_3D('',#573325,#450866,#450867); #370930=AXIS2_PLACEMENT_3D('',#573328,#450869,#450870); #370931=AXIS2_PLACEMENT_3D('',#573329,#450871,#450872); #370932=AXIS2_PLACEMENT_3D('',#573331,#450873,#450874); #370933=AXIS2_PLACEMENT_3D('',#573334,#450876,#450877); #370934=AXIS2_PLACEMENT_3D('',#573335,#450878,#450879); #370935=AXIS2_PLACEMENT_3D('',#573337,#450880,#450881); #370936=AXIS2_PLACEMENT_3D('',#573340,#450883,#450884); #370937=AXIS2_PLACEMENT_3D('',#573341,#450885,#450886); #370938=AXIS2_PLACEMENT_3D('',#573343,#450887,#450888); #370939=AXIS2_PLACEMENT_3D('',#573346,#450890,#450891); #370940=AXIS2_PLACEMENT_3D('',#573347,#450892,#450893); #370941=AXIS2_PLACEMENT_3D('',#573349,#450894,#450895); #370942=AXIS2_PLACEMENT_3D('',#573352,#450897,#450898); #370943=AXIS2_PLACEMENT_3D('',#573353,#450899,#450900); #370944=AXIS2_PLACEMENT_3D('',#573355,#450901,#450902); #370945=AXIS2_PLACEMENT_3D('',#573358,#450904,#450905); #370946=AXIS2_PLACEMENT_3D('',#573359,#450906,#450907); #370947=AXIS2_PLACEMENT_3D('',#573361,#450908,#450909); #370948=AXIS2_PLACEMENT_3D('',#573364,#450911,#450912); #370949=AXIS2_PLACEMENT_3D('',#573365,#450913,#450914); #370950=AXIS2_PLACEMENT_3D('',#573367,#450915,#450916); #370951=AXIS2_PLACEMENT_3D('',#573370,#450918,#450919); #370952=AXIS2_PLACEMENT_3D('',#573371,#450920,#450921); #370953=AXIS2_PLACEMENT_3D('',#573373,#450922,#450923); #370954=AXIS2_PLACEMENT_3D('',#573376,#450925,#450926); #370955=AXIS2_PLACEMENT_3D('',#573377,#450927,#450928); #370956=AXIS2_PLACEMENT_3D('',#573379,#450929,#450930); #370957=AXIS2_PLACEMENT_3D('',#573382,#450932,#450933); #370958=AXIS2_PLACEMENT_3D('',#573383,#450934,#450935); #370959=AXIS2_PLACEMENT_3D('',#573385,#450936,#450937); #370960=AXIS2_PLACEMENT_3D('',#573388,#450939,#450940); #370961=AXIS2_PLACEMENT_3D('',#573389,#450941,#450942); #370962=AXIS2_PLACEMENT_3D('',#573391,#450943,#450944); #370963=AXIS2_PLACEMENT_3D('',#573394,#450946,#450947); #370964=AXIS2_PLACEMENT_3D('',#573395,#450948,#450949); #370965=AXIS2_PLACEMENT_3D('',#573397,#450950,#450951); #370966=AXIS2_PLACEMENT_3D('',#573400,#450953,#450954); #370967=AXIS2_PLACEMENT_3D('',#573401,#450955,#450956); #370968=AXIS2_PLACEMENT_3D('',#573403,#450957,#450958); #370969=AXIS2_PLACEMENT_3D('',#573406,#450960,#450961); #370970=AXIS2_PLACEMENT_3D('',#573407,#450962,#450963); #370971=AXIS2_PLACEMENT_3D('',#573409,#450964,#450965); #370972=AXIS2_PLACEMENT_3D('',#573412,#450967,#450968); #370973=AXIS2_PLACEMENT_3D('',#573413,#450969,#450970); #370974=AXIS2_PLACEMENT_3D('',#573415,#450971,#450972); #370975=AXIS2_PLACEMENT_3D('',#573418,#450974,#450975); #370976=AXIS2_PLACEMENT_3D('',#573419,#450976,#450977); #370977=AXIS2_PLACEMENT_3D('',#573421,#450978,#450979); #370978=AXIS2_PLACEMENT_3D('',#573424,#450981,#450982); #370979=AXIS2_PLACEMENT_3D('',#573425,#450983,#450984); #370980=AXIS2_PLACEMENT_3D('',#573427,#450985,#450986); #370981=AXIS2_PLACEMENT_3D('',#573430,#450988,#450989); #370982=AXIS2_PLACEMENT_3D('',#573431,#450990,#450991); #370983=AXIS2_PLACEMENT_3D('',#573433,#450992,#450993); #370984=AXIS2_PLACEMENT_3D('',#573436,#450995,#450996); #370985=AXIS2_PLACEMENT_3D('',#573437,#450997,#450998); #370986=AXIS2_PLACEMENT_3D('',#573439,#450999,#451000); #370987=AXIS2_PLACEMENT_3D('',#573442,#451002,#451003); #370988=AXIS2_PLACEMENT_3D('',#573443,#451004,#451005); #370989=AXIS2_PLACEMENT_3D('',#573445,#451006,#451007); #370990=AXIS2_PLACEMENT_3D('',#573448,#451009,#451010); #370991=AXIS2_PLACEMENT_3D('',#573449,#451011,#451012); #370992=AXIS2_PLACEMENT_3D('',#573451,#451013,#451014); #370993=AXIS2_PLACEMENT_3D('',#573454,#451016,#451017); #370994=AXIS2_PLACEMENT_3D('',#573455,#451018,#451019); #370995=AXIS2_PLACEMENT_3D('',#573457,#451020,#451021); #370996=AXIS2_PLACEMENT_3D('',#573460,#451023,#451024); #370997=AXIS2_PLACEMENT_3D('',#573461,#451025,#451026); #370998=AXIS2_PLACEMENT_3D('',#573463,#451027,#451028); #370999=AXIS2_PLACEMENT_3D('',#573466,#451030,#451031); #371000=AXIS2_PLACEMENT_3D('',#573467,#451032,#451033); #371001=AXIS2_PLACEMENT_3D('',#573469,#451034,#451035); #371002=AXIS2_PLACEMENT_3D('',#573472,#451037,#451038); #371003=AXIS2_PLACEMENT_3D('',#573473,#451039,#451040); #371004=AXIS2_PLACEMENT_3D('',#573475,#451041,#451042); #371005=AXIS2_PLACEMENT_3D('',#573478,#451044,#451045); #371006=AXIS2_PLACEMENT_3D('',#573479,#451046,#451047); #371007=AXIS2_PLACEMENT_3D('',#573481,#451048,#451049); #371008=AXIS2_PLACEMENT_3D('',#573484,#451051,#451052); #371009=AXIS2_PLACEMENT_3D('',#573485,#451053,#451054); #371010=AXIS2_PLACEMENT_3D('',#573487,#451055,#451056); #371011=AXIS2_PLACEMENT_3D('',#573490,#451058,#451059); #371012=AXIS2_PLACEMENT_3D('',#573491,#451060,#451061); #371013=AXIS2_PLACEMENT_3D('',#573493,#451062,#451063); #371014=AXIS2_PLACEMENT_3D('',#573496,#451065,#451066); #371015=AXIS2_PLACEMENT_3D('',#573497,#451067,#451068); #371016=AXIS2_PLACEMENT_3D('',#573499,#451069,#451070); #371017=AXIS2_PLACEMENT_3D('',#573502,#451072,#451073); #371018=AXIS2_PLACEMENT_3D('',#573503,#451074,#451075); #371019=AXIS2_PLACEMENT_3D('',#573505,#451076,#451077); #371020=AXIS2_PLACEMENT_3D('',#573508,#451079,#451080); #371021=AXIS2_PLACEMENT_3D('',#573509,#451081,#451082); #371022=AXIS2_PLACEMENT_3D('',#573511,#451083,#451084); #371023=AXIS2_PLACEMENT_3D('',#573514,#451086,#451087); #371024=AXIS2_PLACEMENT_3D('',#573515,#451088,#451089); #371025=AXIS2_PLACEMENT_3D('',#573517,#451090,#451091); #371026=AXIS2_PLACEMENT_3D('',#573520,#451093,#451094); #371027=AXIS2_PLACEMENT_3D('',#573521,#451095,#451096); #371028=AXIS2_PLACEMENT_3D('',#573523,#451097,#451098); #371029=AXIS2_PLACEMENT_3D('',#573526,#451100,#451101); #371030=AXIS2_PLACEMENT_3D('',#573527,#451102,#451103); #371031=AXIS2_PLACEMENT_3D('',#573529,#451104,#451105); #371032=AXIS2_PLACEMENT_3D('',#573532,#451107,#451108); #371033=AXIS2_PLACEMENT_3D('',#573533,#451109,#451110); #371034=AXIS2_PLACEMENT_3D('',#573535,#451111,#451112); #371035=AXIS2_PLACEMENT_3D('',#573538,#451114,#451115); #371036=AXIS2_PLACEMENT_3D('',#573539,#451116,#451117); #371037=AXIS2_PLACEMENT_3D('',#573541,#451118,#451119); #371038=AXIS2_PLACEMENT_3D('',#573544,#451121,#451122); #371039=AXIS2_PLACEMENT_3D('',#573545,#451123,#451124); #371040=AXIS2_PLACEMENT_3D('',#573547,#451125,#451126); #371041=AXIS2_PLACEMENT_3D('',#573550,#451128,#451129); #371042=AXIS2_PLACEMENT_3D('',#573551,#451130,#451131); #371043=AXIS2_PLACEMENT_3D('',#573553,#451132,#451133); #371044=AXIS2_PLACEMENT_3D('',#573556,#451135,#451136); #371045=AXIS2_PLACEMENT_3D('',#573557,#451137,#451138); #371046=AXIS2_PLACEMENT_3D('',#573559,#451139,#451140); #371047=AXIS2_PLACEMENT_3D('',#573562,#451142,#451143); #371048=AXIS2_PLACEMENT_3D('',#573563,#451144,#451145); #371049=AXIS2_PLACEMENT_3D('',#573565,#451146,#451147); #371050=AXIS2_PLACEMENT_3D('',#573568,#451149,#451150); #371051=AXIS2_PLACEMENT_3D('',#573569,#451151,#451152); #371052=AXIS2_PLACEMENT_3D('',#573571,#451153,#451154); #371053=AXIS2_PLACEMENT_3D('',#573574,#451156,#451157); #371054=AXIS2_PLACEMENT_3D('',#573575,#451158,#451159); #371055=AXIS2_PLACEMENT_3D('',#573577,#451160,#451161); #371056=AXIS2_PLACEMENT_3D('',#573580,#451163,#451164); #371057=AXIS2_PLACEMENT_3D('',#573581,#451165,#451166); #371058=AXIS2_PLACEMENT_3D('',#573583,#451167,#451168); #371059=AXIS2_PLACEMENT_3D('',#573586,#451170,#451171); #371060=AXIS2_PLACEMENT_3D('',#573587,#451172,#451173); #371061=AXIS2_PLACEMENT_3D('',#573589,#451174,#451175); #371062=AXIS2_PLACEMENT_3D('',#573592,#451177,#451178); #371063=AXIS2_PLACEMENT_3D('',#573593,#451179,#451180); #371064=AXIS2_PLACEMENT_3D('',#573595,#451181,#451182); #371065=AXIS2_PLACEMENT_3D('',#573598,#451184,#451185); #371066=AXIS2_PLACEMENT_3D('',#573599,#451186,#451187); #371067=AXIS2_PLACEMENT_3D('',#573601,#451188,#451189); #371068=AXIS2_PLACEMENT_3D('',#573604,#451191,#451192); #371069=AXIS2_PLACEMENT_3D('',#573605,#451193,#451194); #371070=AXIS2_PLACEMENT_3D('',#573607,#451195,#451196); #371071=AXIS2_PLACEMENT_3D('',#573610,#451198,#451199); #371072=AXIS2_PLACEMENT_3D('',#573611,#451200,#451201); #371073=AXIS2_PLACEMENT_3D('',#573613,#451202,#451203); #371074=AXIS2_PLACEMENT_3D('',#573616,#451205,#451206); #371075=AXIS2_PLACEMENT_3D('',#573617,#451207,#451208); #371076=AXIS2_PLACEMENT_3D('',#573619,#451209,#451210); #371077=AXIS2_PLACEMENT_3D('',#573622,#451212,#451213); #371078=AXIS2_PLACEMENT_3D('',#573623,#451214,#451215); #371079=AXIS2_PLACEMENT_3D('',#573625,#451216,#451217); #371080=AXIS2_PLACEMENT_3D('',#573628,#451219,#451220); #371081=AXIS2_PLACEMENT_3D('',#573629,#451221,#451222); #371082=AXIS2_PLACEMENT_3D('',#573631,#451223,#451224); #371083=AXIS2_PLACEMENT_3D('',#573634,#451226,#451227); #371084=AXIS2_PLACEMENT_3D('',#573635,#451228,#451229); #371085=AXIS2_PLACEMENT_3D('',#573637,#451230,#451231); #371086=AXIS2_PLACEMENT_3D('',#573640,#451233,#451234); #371087=AXIS2_PLACEMENT_3D('',#573641,#451235,#451236); #371088=AXIS2_PLACEMENT_3D('',#573643,#451237,#451238); #371089=AXIS2_PLACEMENT_3D('',#573646,#451240,#451241); #371090=AXIS2_PLACEMENT_3D('',#573647,#451242,#451243); #371091=AXIS2_PLACEMENT_3D('',#573649,#451244,#451245); #371092=AXIS2_PLACEMENT_3D('',#573652,#451247,#451248); #371093=AXIS2_PLACEMENT_3D('',#573653,#451249,#451250); #371094=AXIS2_PLACEMENT_3D('',#573655,#451251,#451252); #371095=AXIS2_PLACEMENT_3D('',#573658,#451254,#451255); #371096=AXIS2_PLACEMENT_3D('',#573659,#451256,#451257); #371097=AXIS2_PLACEMENT_3D('',#573661,#451258,#451259); #371098=AXIS2_PLACEMENT_3D('',#573664,#451261,#451262); #371099=AXIS2_PLACEMENT_3D('',#573665,#451263,#451264); #371100=AXIS2_PLACEMENT_3D('',#573667,#451265,#451266); #371101=AXIS2_PLACEMENT_3D('',#573670,#451268,#451269); #371102=AXIS2_PLACEMENT_3D('',#573671,#451270,#451271); #371103=AXIS2_PLACEMENT_3D('',#573673,#451272,#451273); #371104=AXIS2_PLACEMENT_3D('',#573676,#451275,#451276); #371105=AXIS2_PLACEMENT_3D('',#573677,#451277,#451278); #371106=AXIS2_PLACEMENT_3D('',#573679,#451279,#451280); #371107=AXIS2_PLACEMENT_3D('',#573682,#451282,#451283); #371108=AXIS2_PLACEMENT_3D('',#573683,#451284,#451285); #371109=AXIS2_PLACEMENT_3D('',#573685,#451286,#451287); #371110=AXIS2_PLACEMENT_3D('',#573688,#451289,#451290); #371111=AXIS2_PLACEMENT_3D('',#573689,#451291,#451292); #371112=AXIS2_PLACEMENT_3D('',#573691,#451293,#451294); #371113=AXIS2_PLACEMENT_3D('',#573694,#451296,#451297); #371114=AXIS2_PLACEMENT_3D('',#573695,#451298,#451299); #371115=AXIS2_PLACEMENT_3D('',#573697,#451300,#451301); #371116=AXIS2_PLACEMENT_3D('',#573700,#451303,#451304); #371117=AXIS2_PLACEMENT_3D('',#573701,#451305,#451306); #371118=AXIS2_PLACEMENT_3D('',#573703,#451307,#451308); #371119=AXIS2_PLACEMENT_3D('',#573706,#451310,#451311); #371120=AXIS2_PLACEMENT_3D('',#573707,#451312,#451313); #371121=AXIS2_PLACEMENT_3D('',#573709,#451314,#451315); #371122=AXIS2_PLACEMENT_3D('',#573712,#451317,#451318); #371123=AXIS2_PLACEMENT_3D('',#573713,#451319,#451320); #371124=AXIS2_PLACEMENT_3D('',#573715,#451321,#451322); #371125=AXIS2_PLACEMENT_3D('',#573718,#451324,#451325); #371126=AXIS2_PLACEMENT_3D('',#573719,#451326,#451327); #371127=AXIS2_PLACEMENT_3D('',#573721,#451328,#451329); #371128=AXIS2_PLACEMENT_3D('',#573724,#451331,#451332); #371129=AXIS2_PLACEMENT_3D('',#573725,#451333,#451334); #371130=AXIS2_PLACEMENT_3D('',#573727,#451335,#451336); #371131=AXIS2_PLACEMENT_3D('',#573730,#451338,#451339); #371132=AXIS2_PLACEMENT_3D('',#573731,#451340,#451341); #371133=AXIS2_PLACEMENT_3D('',#573733,#451342,#451343); #371134=AXIS2_PLACEMENT_3D('',#573736,#451345,#451346); #371135=AXIS2_PLACEMENT_3D('',#573737,#451347,#451348); #371136=AXIS2_PLACEMENT_3D('',#573739,#451349,#451350); #371137=AXIS2_PLACEMENT_3D('',#573742,#451352,#451353); #371138=AXIS2_PLACEMENT_3D('',#573743,#451354,#451355); #371139=AXIS2_PLACEMENT_3D('',#573745,#451356,#451357); #371140=AXIS2_PLACEMENT_3D('',#573748,#451359,#451360); #371141=AXIS2_PLACEMENT_3D('',#573749,#451361,#451362); #371142=AXIS2_PLACEMENT_3D('',#573751,#451363,#451364); #371143=AXIS2_PLACEMENT_3D('',#573754,#451366,#451367); #371144=AXIS2_PLACEMENT_3D('',#573755,#451368,#451369); #371145=AXIS2_PLACEMENT_3D('',#573757,#451370,#451371); #371146=AXIS2_PLACEMENT_3D('',#573760,#451373,#451374); #371147=AXIS2_PLACEMENT_3D('',#573761,#451375,#451376); #371148=AXIS2_PLACEMENT_3D('',#573763,#451377,#451378); #371149=AXIS2_PLACEMENT_3D('',#573766,#451380,#451381); #371150=AXIS2_PLACEMENT_3D('',#573767,#451382,#451383); #371151=AXIS2_PLACEMENT_3D('',#573769,#451384,#451385); #371152=AXIS2_PLACEMENT_3D('',#573772,#451387,#451388); #371153=AXIS2_PLACEMENT_3D('',#573773,#451389,#451390); #371154=AXIS2_PLACEMENT_3D('',#573775,#451391,#451392); #371155=AXIS2_PLACEMENT_3D('',#573778,#451394,#451395); #371156=AXIS2_PLACEMENT_3D('',#573779,#451396,#451397); #371157=AXIS2_PLACEMENT_3D('',#573781,#451398,#451399); #371158=AXIS2_PLACEMENT_3D('',#573784,#451401,#451402); #371159=AXIS2_PLACEMENT_3D('',#573785,#451403,#451404); #371160=AXIS2_PLACEMENT_3D('',#573787,#451405,#451406); #371161=AXIS2_PLACEMENT_3D('',#573790,#451408,#451409); #371162=AXIS2_PLACEMENT_3D('',#573791,#451410,#451411); #371163=AXIS2_PLACEMENT_3D('',#573793,#451412,#451413); #371164=AXIS2_PLACEMENT_3D('',#573796,#451415,#451416); #371165=AXIS2_PLACEMENT_3D('',#573797,#451417,#451418); #371166=AXIS2_PLACEMENT_3D('',#573799,#451419,#451420); #371167=AXIS2_PLACEMENT_3D('',#573802,#451422,#451423); #371168=AXIS2_PLACEMENT_3D('',#573803,#451424,#451425); #371169=AXIS2_PLACEMENT_3D('',#573805,#451426,#451427); #371170=AXIS2_PLACEMENT_3D('',#573808,#451429,#451430); #371171=AXIS2_PLACEMENT_3D('',#573809,#451431,#451432); #371172=AXIS2_PLACEMENT_3D('',#573811,#451433,#451434); #371173=AXIS2_PLACEMENT_3D('',#573814,#451436,#451437); #371174=AXIS2_PLACEMENT_3D('',#573815,#451438,#451439); #371175=AXIS2_PLACEMENT_3D('',#573817,#451440,#451441); #371176=AXIS2_PLACEMENT_3D('',#573820,#451443,#451444); #371177=AXIS2_PLACEMENT_3D('',#573821,#451445,#451446); #371178=AXIS2_PLACEMENT_3D('',#573823,#451447,#451448); #371179=AXIS2_PLACEMENT_3D('',#573826,#451450,#451451); #371180=AXIS2_PLACEMENT_3D('',#573827,#451452,#451453); #371181=AXIS2_PLACEMENT_3D('',#573829,#451454,#451455); #371182=AXIS2_PLACEMENT_3D('',#573832,#451457,#451458); #371183=AXIS2_PLACEMENT_3D('',#573833,#451459,#451460); #371184=AXIS2_PLACEMENT_3D('',#573835,#451461,#451462); #371185=AXIS2_PLACEMENT_3D('',#573838,#451464,#451465); #371186=AXIS2_PLACEMENT_3D('',#573839,#451466,#451467); #371187=AXIS2_PLACEMENT_3D('',#573841,#451468,#451469); #371188=AXIS2_PLACEMENT_3D('',#573844,#451471,#451472); #371189=AXIS2_PLACEMENT_3D('',#573845,#451473,#451474); #371190=AXIS2_PLACEMENT_3D('',#573847,#451475,#451476); #371191=AXIS2_PLACEMENT_3D('',#573850,#451478,#451479); #371192=AXIS2_PLACEMENT_3D('',#573851,#451480,#451481); #371193=AXIS2_PLACEMENT_3D('',#573853,#451482,#451483); #371194=AXIS2_PLACEMENT_3D('',#573856,#451485,#451486); #371195=AXIS2_PLACEMENT_3D('',#573857,#451487,#451488); #371196=AXIS2_PLACEMENT_3D('',#573859,#451489,#451490); #371197=AXIS2_PLACEMENT_3D('',#573862,#451492,#451493); #371198=AXIS2_PLACEMENT_3D('',#573863,#451494,#451495); #371199=AXIS2_PLACEMENT_3D('',#573865,#451496,#451497); #371200=AXIS2_PLACEMENT_3D('',#573868,#451499,#451500); #371201=AXIS2_PLACEMENT_3D('',#573869,#451501,#451502); #371202=AXIS2_PLACEMENT_3D('',#573871,#451503,#451504); #371203=AXIS2_PLACEMENT_3D('',#573874,#451506,#451507); #371204=AXIS2_PLACEMENT_3D('',#573875,#451508,#451509); #371205=AXIS2_PLACEMENT_3D('',#573877,#451510,#451511); #371206=AXIS2_PLACEMENT_3D('',#573880,#451513,#451514); #371207=AXIS2_PLACEMENT_3D('',#573881,#451515,#451516); #371208=AXIS2_PLACEMENT_3D('',#573883,#451517,#451518); #371209=AXIS2_PLACEMENT_3D('',#573886,#451520,#451521); #371210=AXIS2_PLACEMENT_3D('',#573887,#451522,#451523); #371211=AXIS2_PLACEMENT_3D('',#573889,#451524,#451525); #371212=AXIS2_PLACEMENT_3D('',#573892,#451527,#451528); #371213=AXIS2_PLACEMENT_3D('',#573893,#451529,#451530); #371214=AXIS2_PLACEMENT_3D('',#573895,#451531,#451532); #371215=AXIS2_PLACEMENT_3D('',#573898,#451534,#451535); #371216=AXIS2_PLACEMENT_3D('',#573899,#451536,#451537); #371217=AXIS2_PLACEMENT_3D('',#573901,#451538,#451539); #371218=AXIS2_PLACEMENT_3D('',#573904,#451541,#451542); #371219=AXIS2_PLACEMENT_3D('',#573905,#451543,#451544); #371220=AXIS2_PLACEMENT_3D('',#573907,#451545,#451546); #371221=AXIS2_PLACEMENT_3D('',#573910,#451548,#451549); #371222=AXIS2_PLACEMENT_3D('',#573911,#451550,#451551); #371223=AXIS2_PLACEMENT_3D('',#573913,#451552,#451553); #371224=AXIS2_PLACEMENT_3D('',#573916,#451555,#451556); #371225=AXIS2_PLACEMENT_3D('',#573917,#451557,#451558); #371226=AXIS2_PLACEMENT_3D('',#573919,#451559,#451560); #371227=AXIS2_PLACEMENT_3D('',#573922,#451562,#451563); #371228=AXIS2_PLACEMENT_3D('',#573923,#451564,#451565); #371229=AXIS2_PLACEMENT_3D('',#573925,#451566,#451567); #371230=AXIS2_PLACEMENT_3D('',#573928,#451569,#451570); #371231=AXIS2_PLACEMENT_3D('',#573929,#451571,#451572); #371232=AXIS2_PLACEMENT_3D('',#573931,#451573,#451574); #371233=AXIS2_PLACEMENT_3D('',#573934,#451576,#451577); #371234=AXIS2_PLACEMENT_3D('',#573935,#451578,#451579); #371235=AXIS2_PLACEMENT_3D('',#573937,#451580,#451581); #371236=AXIS2_PLACEMENT_3D('',#573940,#451583,#451584); #371237=AXIS2_PLACEMENT_3D('',#573941,#451585,#451586); #371238=AXIS2_PLACEMENT_3D('',#573943,#451587,#451588); #371239=AXIS2_PLACEMENT_3D('',#573946,#451590,#451591); #371240=AXIS2_PLACEMENT_3D('',#573947,#451592,#451593); #371241=AXIS2_PLACEMENT_3D('',#573949,#451594,#451595); #371242=AXIS2_PLACEMENT_3D('',#573952,#451597,#451598); #371243=AXIS2_PLACEMENT_3D('',#573953,#451599,#451600); #371244=AXIS2_PLACEMENT_3D('',#573955,#451601,#451602); #371245=AXIS2_PLACEMENT_3D('',#573958,#451604,#451605); #371246=AXIS2_PLACEMENT_3D('',#573959,#451606,#451607); #371247=AXIS2_PLACEMENT_3D('',#573961,#451608,#451609); #371248=AXIS2_PLACEMENT_3D('',#573964,#451611,#451612); #371249=AXIS2_PLACEMENT_3D('',#573965,#451613,#451614); #371250=AXIS2_PLACEMENT_3D('',#573967,#451615,#451616); #371251=AXIS2_PLACEMENT_3D('',#573970,#451618,#451619); #371252=AXIS2_PLACEMENT_3D('',#573971,#451620,#451621); #371253=AXIS2_PLACEMENT_3D('',#573973,#451622,#451623); #371254=AXIS2_PLACEMENT_3D('',#573976,#451625,#451626); #371255=AXIS2_PLACEMENT_3D('',#573977,#451627,#451628); #371256=AXIS2_PLACEMENT_3D('',#573979,#451629,#451630); #371257=AXIS2_PLACEMENT_3D('',#573982,#451632,#451633); #371258=AXIS2_PLACEMENT_3D('',#573983,#451634,#451635); #371259=AXIS2_PLACEMENT_3D('',#573985,#451636,#451637); #371260=AXIS2_PLACEMENT_3D('',#573988,#451639,#451640); #371261=AXIS2_PLACEMENT_3D('',#573989,#451641,#451642); #371262=AXIS2_PLACEMENT_3D('',#573991,#451643,#451644); #371263=AXIS2_PLACEMENT_3D('',#573994,#451646,#451647); #371264=AXIS2_PLACEMENT_3D('',#573995,#451648,#451649); #371265=AXIS2_PLACEMENT_3D('',#573997,#451650,#451651); #371266=AXIS2_PLACEMENT_3D('',#574000,#451653,#451654); #371267=AXIS2_PLACEMENT_3D('',#574001,#451655,#451656); #371268=AXIS2_PLACEMENT_3D('',#574003,#451657,#451658); #371269=AXIS2_PLACEMENT_3D('',#574006,#451660,#451661); #371270=AXIS2_PLACEMENT_3D('',#574007,#451662,#451663); #371271=AXIS2_PLACEMENT_3D('',#574009,#451664,#451665); #371272=AXIS2_PLACEMENT_3D('',#574012,#451667,#451668); #371273=AXIS2_PLACEMENT_3D('',#574013,#451669,#451670); #371274=AXIS2_PLACEMENT_3D('',#574015,#451671,#451672); #371275=AXIS2_PLACEMENT_3D('',#574018,#451674,#451675); #371276=AXIS2_PLACEMENT_3D('',#574019,#451676,#451677); #371277=AXIS2_PLACEMENT_3D('',#574021,#451678,#451679); #371278=AXIS2_PLACEMENT_3D('',#574024,#451681,#451682); #371279=AXIS2_PLACEMENT_3D('',#574025,#451683,#451684); #371280=AXIS2_PLACEMENT_3D('',#574027,#451685,#451686); #371281=AXIS2_PLACEMENT_3D('',#574030,#451688,#451689); #371282=AXIS2_PLACEMENT_3D('',#574031,#451690,#451691); #371283=AXIS2_PLACEMENT_3D('',#574033,#451692,#451693); #371284=AXIS2_PLACEMENT_3D('',#574036,#451695,#451696); #371285=AXIS2_PLACEMENT_3D('',#574037,#451697,#451698); #371286=AXIS2_PLACEMENT_3D('',#574039,#451699,#451700); #371287=AXIS2_PLACEMENT_3D('',#574042,#451702,#451703); #371288=AXIS2_PLACEMENT_3D('',#574043,#451704,#451705); #371289=AXIS2_PLACEMENT_3D('',#574045,#451706,#451707); #371290=AXIS2_PLACEMENT_3D('',#574048,#451709,#451710); #371291=AXIS2_PLACEMENT_3D('',#574049,#451711,#451712); #371292=AXIS2_PLACEMENT_3D('',#574051,#451713,#451714); #371293=AXIS2_PLACEMENT_3D('',#574054,#451716,#451717); #371294=AXIS2_PLACEMENT_3D('',#574055,#451718,#451719); #371295=AXIS2_PLACEMENT_3D('',#574057,#451720,#451721); #371296=AXIS2_PLACEMENT_3D('',#574060,#451723,#451724); #371297=AXIS2_PLACEMENT_3D('',#574061,#451725,#451726); #371298=AXIS2_PLACEMENT_3D('',#574063,#451727,#451728); #371299=AXIS2_PLACEMENT_3D('',#574066,#451730,#451731); #371300=AXIS2_PLACEMENT_3D('',#574067,#451732,#451733); #371301=AXIS2_PLACEMENT_3D('',#574069,#451734,#451735); #371302=AXIS2_PLACEMENT_3D('',#574072,#451737,#451738); #371303=AXIS2_PLACEMENT_3D('',#574073,#451739,#451740); #371304=AXIS2_PLACEMENT_3D('',#574075,#451741,#451742); #371305=AXIS2_PLACEMENT_3D('',#574078,#451744,#451745); #371306=AXIS2_PLACEMENT_3D('',#574079,#451746,#451747); #371307=AXIS2_PLACEMENT_3D('',#574081,#451748,#451749); #371308=AXIS2_PLACEMENT_3D('',#574084,#451751,#451752); #371309=AXIS2_PLACEMENT_3D('',#574085,#451753,#451754); #371310=AXIS2_PLACEMENT_3D('',#574087,#451755,#451756); #371311=AXIS2_PLACEMENT_3D('',#574090,#451758,#451759); #371312=AXIS2_PLACEMENT_3D('',#574091,#451760,#451761); #371313=AXIS2_PLACEMENT_3D('',#574093,#451762,#451763); #371314=AXIS2_PLACEMENT_3D('',#574096,#451765,#451766); #371315=AXIS2_PLACEMENT_3D('',#574097,#451767,#451768); #371316=AXIS2_PLACEMENT_3D('',#574099,#451769,#451770); #371317=AXIS2_PLACEMENT_3D('',#574102,#451772,#451773); #371318=AXIS2_PLACEMENT_3D('',#574103,#451774,#451775); #371319=AXIS2_PLACEMENT_3D('',#574105,#451776,#451777); #371320=AXIS2_PLACEMENT_3D('',#574108,#451779,#451780); #371321=AXIS2_PLACEMENT_3D('',#574109,#451781,#451782); #371322=AXIS2_PLACEMENT_3D('',#574111,#451783,#451784); #371323=AXIS2_PLACEMENT_3D('',#574114,#451786,#451787); #371324=AXIS2_PLACEMENT_3D('',#574115,#451788,#451789); #371325=AXIS2_PLACEMENT_3D('',#574117,#451790,#451791); #371326=AXIS2_PLACEMENT_3D('',#574120,#451793,#451794); #371327=AXIS2_PLACEMENT_3D('',#574121,#451795,#451796); #371328=AXIS2_PLACEMENT_3D('',#574123,#451797,#451798); #371329=AXIS2_PLACEMENT_3D('',#574126,#451800,#451801); #371330=AXIS2_PLACEMENT_3D('',#574127,#451802,#451803); #371331=AXIS2_PLACEMENT_3D('',#574129,#451804,#451805); #371332=AXIS2_PLACEMENT_3D('',#574132,#451807,#451808); #371333=AXIS2_PLACEMENT_3D('',#574133,#451809,#451810); #371334=AXIS2_PLACEMENT_3D('',#574135,#451811,#451812); #371335=AXIS2_PLACEMENT_3D('',#574138,#451814,#451815); #371336=AXIS2_PLACEMENT_3D('',#574139,#451816,#451817); #371337=AXIS2_PLACEMENT_3D('',#574141,#451818,#451819); #371338=AXIS2_PLACEMENT_3D('',#574144,#451821,#451822); #371339=AXIS2_PLACEMENT_3D('',#574145,#451823,#451824); #371340=AXIS2_PLACEMENT_3D('',#574147,#451825,#451826); #371341=AXIS2_PLACEMENT_3D('',#574150,#451828,#451829); #371342=AXIS2_PLACEMENT_3D('',#574151,#451830,#451831); #371343=AXIS2_PLACEMENT_3D('',#574153,#451832,#451833); #371344=AXIS2_PLACEMENT_3D('',#574156,#451835,#451836); #371345=AXIS2_PLACEMENT_3D('',#574157,#451837,#451838); #371346=AXIS2_PLACEMENT_3D('',#574159,#451839,#451840); #371347=AXIS2_PLACEMENT_3D('',#574162,#451842,#451843); #371348=AXIS2_PLACEMENT_3D('',#574163,#451844,#451845); #371349=AXIS2_PLACEMENT_3D('',#574165,#451846,#451847); #371350=AXIS2_PLACEMENT_3D('',#574168,#451849,#451850); #371351=AXIS2_PLACEMENT_3D('',#574169,#451851,#451852); #371352=AXIS2_PLACEMENT_3D('',#574171,#451853,#451854); #371353=AXIS2_PLACEMENT_3D('',#574174,#451856,#451857); #371354=AXIS2_PLACEMENT_3D('',#574175,#451858,#451859); #371355=AXIS2_PLACEMENT_3D('',#574184,#451864,#451865); #371356=AXIS2_PLACEMENT_3D('',#574186,#451866,#451867); #371357=AXIS2_PLACEMENT_3D('',#574188,#451868,#451869); #371358=AXIS2_PLACEMENT_3D('',#574190,#451871,#451872); #371359=AXIS2_PLACEMENT_3D('',#574196,#451876,#451877); #371360=AXIS2_PLACEMENT_3D('',#574197,#451878,#451879); #371361=AXIS2_PLACEMENT_3D('',#574198,#451880,#451881); #371362=AXIS2_PLACEMENT_3D('',#574199,#451882,#451883); #371363=AXIS2_PLACEMENT_3D('',#574201,#451884,#451885); #371364=AXIS2_PLACEMENT_3D('',#574204,#451887,#451888); #371365=AXIS2_PLACEMENT_3D('',#574205,#451889,#451890); #371366=AXIS2_PLACEMENT_3D('',#574214,#451895,#451896); #371367=AXIS2_PLACEMENT_3D('',#574216,#451897,#451898); #371368=AXIS2_PLACEMENT_3D('',#574218,#451899,#451900); #371369=AXIS2_PLACEMENT_3D('',#574220,#451902,#451903); #371370=AXIS2_PLACEMENT_3D('',#574226,#451907,#451908); #371371=AXIS2_PLACEMENT_3D('',#574227,#451909,#451910); #371372=AXIS2_PLACEMENT_3D('',#574228,#451911,#451912); #371373=AXIS2_PLACEMENT_3D('',#574229,#451913,#451914); #371374=AXIS2_PLACEMENT_3D('',#574231,#451915,#451916); #371375=AXIS2_PLACEMENT_3D('',#574234,#451918,#451919); #371376=AXIS2_PLACEMENT_3D('',#574235,#451920,#451921); #371377=AXIS2_PLACEMENT_3D('',#574237,#451922,#451923); #371378=AXIS2_PLACEMENT_3D('',#574240,#451925,#451926); #371379=AXIS2_PLACEMENT_3D('',#574241,#451927,#451928); #371380=AXIS2_PLACEMENT_3D('',#574243,#451929,#451930); #371381=AXIS2_PLACEMENT_3D('',#574246,#451932,#451933); #371382=AXIS2_PLACEMENT_3D('',#574247,#451934,#451935); #371383=AXIS2_PLACEMENT_3D('',#574256,#451940,#451941); #371384=AXIS2_PLACEMENT_3D('',#574258,#451942,#451943); #371385=AXIS2_PLACEMENT_3D('',#574260,#451944,#451945); #371386=AXIS2_PLACEMENT_3D('',#574262,#451947,#451948); #371387=AXIS2_PLACEMENT_3D('',#574268,#451952,#451953); #371388=AXIS2_PLACEMENT_3D('',#574269,#451954,#451955); #371389=AXIS2_PLACEMENT_3D('',#574270,#451956,#451957); #371390=AXIS2_PLACEMENT_3D('',#574271,#451958,#451959); #371391=AXIS2_PLACEMENT_3D('',#574273,#451960,#451961); #371392=AXIS2_PLACEMENT_3D('',#574276,#451963,#451964); #371393=AXIS2_PLACEMENT_3D('',#574277,#451965,#451966); #371394=AXIS2_PLACEMENT_3D('',#574279,#451967,#451968); #371395=AXIS2_PLACEMENT_3D('',#574282,#451970,#451971); #371396=AXIS2_PLACEMENT_3D('',#574283,#451972,#451973); #371397=AXIS2_PLACEMENT_3D('',#574285,#451974,#451975); #371398=AXIS2_PLACEMENT_3D('',#574288,#451977,#451978); #371399=AXIS2_PLACEMENT_3D('',#574289,#451979,#451980); #371400=AXIS2_PLACEMENT_3D('',#574291,#451981,#451982); #371401=AXIS2_PLACEMENT_3D('',#574294,#451984,#451985); #371402=AXIS2_PLACEMENT_3D('',#574295,#451986,#451987); #371403=AXIS2_PLACEMENT_3D('',#574297,#451988,#451989); #371404=AXIS2_PLACEMENT_3D('',#574300,#451991,#451992); #371405=AXIS2_PLACEMENT_3D('',#574301,#451993,#451994); #371406=AXIS2_PLACEMENT_3D('',#574303,#451995,#451996); #371407=AXIS2_PLACEMENT_3D('',#574306,#451998,#451999); #371408=AXIS2_PLACEMENT_3D('',#574307,#452000,#452001); #371409=AXIS2_PLACEMENT_3D('',#574309,#452002,#452003); #371410=AXIS2_PLACEMENT_3D('',#574312,#452005,#452006); #371411=AXIS2_PLACEMENT_3D('',#574313,#452007,#452008); #371412=AXIS2_PLACEMENT_3D('',#574315,#452009,#452010); #371413=AXIS2_PLACEMENT_3D('',#574318,#452012,#452013); #371414=AXIS2_PLACEMENT_3D('',#574319,#452014,#452015); #371415=AXIS2_PLACEMENT_3D('',#574321,#452016,#452017); #371416=AXIS2_PLACEMENT_3D('',#574324,#452019,#452020); #371417=AXIS2_PLACEMENT_3D('',#574325,#452021,#452022); #371418=AXIS2_PLACEMENT_3D('',#574327,#452023,#452024); #371419=AXIS2_PLACEMENT_3D('',#574330,#452026,#452027); #371420=AXIS2_PLACEMENT_3D('',#574331,#452028,#452029); #371421=AXIS2_PLACEMENT_3D('',#574340,#452034,#452035); #371422=AXIS2_PLACEMENT_3D('',#574342,#452036,#452037); #371423=AXIS2_PLACEMENT_3D('',#574344,#452038,#452039); #371424=AXIS2_PLACEMENT_3D('',#574346,#452041,#452042); #371425=AXIS2_PLACEMENT_3D('',#574352,#452046,#452047); #371426=AXIS2_PLACEMENT_3D('',#574353,#452048,#452049); #371427=AXIS2_PLACEMENT_3D('',#574354,#452050,#452051); #371428=AXIS2_PLACEMENT_3D('',#574355,#452052,#452053); #371429=AXIS2_PLACEMENT_3D('',#574357,#452054,#452055); #371430=AXIS2_PLACEMENT_3D('',#574360,#452057,#452058); #371431=AXIS2_PLACEMENT_3D('',#574361,#452059,#452060); #371432=AXIS2_PLACEMENT_3D('',#574370,#452065,#452066); #371433=AXIS2_PLACEMENT_3D('',#574372,#452067,#452068); #371434=AXIS2_PLACEMENT_3D('',#574374,#452069,#452070); #371435=AXIS2_PLACEMENT_3D('',#574376,#452072,#452073); #371436=AXIS2_PLACEMENT_3D('',#574382,#452077,#452078); #371437=AXIS2_PLACEMENT_3D('',#574383,#452079,#452080); #371438=AXIS2_PLACEMENT_3D('',#574384,#452081,#452082); #371439=AXIS2_PLACEMENT_3D('',#574385,#452083,#452084); #371440=AXIS2_PLACEMENT_3D('',#574387,#452085,#452086); #371441=AXIS2_PLACEMENT_3D('',#574390,#452088,#452089); #371442=AXIS2_PLACEMENT_3D('',#574391,#452090,#452091); #371443=AXIS2_PLACEMENT_3D('',#574400,#452096,#452097); #371444=AXIS2_PLACEMENT_3D('',#574402,#452098,#452099); #371445=AXIS2_PLACEMENT_3D('',#574404,#452100,#452101); #371446=AXIS2_PLACEMENT_3D('',#574406,#452103,#452104); #371447=AXIS2_PLACEMENT_3D('',#574412,#452108,#452109); #371448=AXIS2_PLACEMENT_3D('',#574413,#452110,#452111); #371449=AXIS2_PLACEMENT_3D('',#574414,#452112,#452113); #371450=AXIS2_PLACEMENT_3D('',#574415,#452114,#452115); #371451=AXIS2_PLACEMENT_3D('',#574417,#452116,#452117); #371452=AXIS2_PLACEMENT_3D('',#574420,#452119,#452120); #371453=AXIS2_PLACEMENT_3D('',#574421,#452121,#452122); #371454=AXIS2_PLACEMENT_3D('',#574423,#452123,#452124); #371455=AXIS2_PLACEMENT_3D('',#574426,#452126,#452127); #371456=AXIS2_PLACEMENT_3D('',#574427,#452128,#452129); #371457=AXIS2_PLACEMENT_3D('',#574429,#452130,#452131); #371458=AXIS2_PLACEMENT_3D('',#574432,#452133,#452134); #371459=AXIS2_PLACEMENT_3D('',#574433,#452135,#452136); #371460=AXIS2_PLACEMENT_3D('',#574435,#452137,#452138); #371461=AXIS2_PLACEMENT_3D('',#574438,#452140,#452141); #371462=AXIS2_PLACEMENT_3D('',#574439,#452142,#452143); #371463=AXIS2_PLACEMENT_3D('',#574441,#452144,#452145); #371464=AXIS2_PLACEMENT_3D('',#574444,#452147,#452148); #371465=AXIS2_PLACEMENT_3D('',#574445,#452149,#452150); #371466=AXIS2_PLACEMENT_3D('',#574447,#452151,#452152); #371467=AXIS2_PLACEMENT_3D('',#574450,#452154,#452155); #371468=AXIS2_PLACEMENT_3D('',#574451,#452156,#452157); #371469=AXIS2_PLACEMENT_3D('',#574453,#452158,#452159); #371470=AXIS2_PLACEMENT_3D('',#574456,#452161,#452162); #371471=AXIS2_PLACEMENT_3D('',#574457,#452163,#452164); #371472=AXIS2_PLACEMENT_3D('',#574459,#452165,#452166); #371473=AXIS2_PLACEMENT_3D('',#574462,#452168,#452169); #371474=AXIS2_PLACEMENT_3D('',#574463,#452170,#452171); #371475=AXIS2_PLACEMENT_3D('',#574465,#452172,#452173); #371476=AXIS2_PLACEMENT_3D('',#574468,#452175,#452176); #371477=AXIS2_PLACEMENT_3D('',#574469,#452177,#452178); #371478=AXIS2_PLACEMENT_3D('',#574471,#452179,#452180); #371479=AXIS2_PLACEMENT_3D('',#574474,#452182,#452183); #371480=AXIS2_PLACEMENT_3D('',#574475,#452184,#452185); #371481=AXIS2_PLACEMENT_3D('',#574477,#452186,#452187); #371482=AXIS2_PLACEMENT_3D('',#574480,#452189,#452190); #371483=AXIS2_PLACEMENT_3D('',#574481,#452191,#452192); #371484=AXIS2_PLACEMENT_3D('',#574483,#452193,#452194); #371485=AXIS2_PLACEMENT_3D('',#574486,#452196,#452197); #371486=AXIS2_PLACEMENT_3D('',#574487,#452198,#452199); #371487=AXIS2_PLACEMENT_3D('',#574489,#452200,#452201); #371488=AXIS2_PLACEMENT_3D('',#574492,#452203,#452204); #371489=AXIS2_PLACEMENT_3D('',#574493,#452205,#452206); #371490=AXIS2_PLACEMENT_3D('',#574495,#452207,#452208); #371491=AXIS2_PLACEMENT_3D('',#574498,#452210,#452211); #371492=AXIS2_PLACEMENT_3D('',#574499,#452212,#452213); #371493=AXIS2_PLACEMENT_3D('',#574501,#452214,#452215); #371494=AXIS2_PLACEMENT_3D('',#574504,#452217,#452218); #371495=AXIS2_PLACEMENT_3D('',#574505,#452219,#452220); #371496=AXIS2_PLACEMENT_3D('',#574507,#452221,#452222); #371497=AXIS2_PLACEMENT_3D('',#574510,#452224,#452225); #371498=AXIS2_PLACEMENT_3D('',#574511,#452226,#452227); #371499=AXIS2_PLACEMENT_3D('',#574513,#452228,#452229); #371500=AXIS2_PLACEMENT_3D('',#574516,#452231,#452232); #371501=AXIS2_PLACEMENT_3D('',#574517,#452233,#452234); #371502=AXIS2_PLACEMENT_3D('',#574519,#452235,#452236); #371503=AXIS2_PLACEMENT_3D('',#574522,#452238,#452239); #371504=AXIS2_PLACEMENT_3D('',#574523,#452240,#452241); #371505=AXIS2_PLACEMENT_3D('',#574525,#452242,#452243); #371506=AXIS2_PLACEMENT_3D('',#574528,#452245,#452246); #371507=AXIS2_PLACEMENT_3D('',#574529,#452247,#452248); #371508=AXIS2_PLACEMENT_3D('',#574531,#452249,#452250); #371509=AXIS2_PLACEMENT_3D('',#574534,#452252,#452253); #371510=AXIS2_PLACEMENT_3D('',#574535,#452254,#452255); #371511=AXIS2_PLACEMENT_3D('',#574537,#452256,#452257); #371512=AXIS2_PLACEMENT_3D('',#574540,#452259,#452260); #371513=AXIS2_PLACEMENT_3D('',#574541,#452261,#452262); #371514=AXIS2_PLACEMENT_3D('',#574543,#452263,#452264); #371515=AXIS2_PLACEMENT_3D('',#574546,#452266,#452267); #371516=AXIS2_PLACEMENT_3D('',#574547,#452268,#452269); #371517=AXIS2_PLACEMENT_3D('',#574549,#452270,#452271); #371518=AXIS2_PLACEMENT_3D('',#574552,#452273,#452274); #371519=AXIS2_PLACEMENT_3D('',#574553,#452275,#452276); #371520=AXIS2_PLACEMENT_3D('',#574555,#452277,#452278); #371521=AXIS2_PLACEMENT_3D('',#574558,#452280,#452281); #371522=AXIS2_PLACEMENT_3D('',#574559,#452282,#452283); #371523=AXIS2_PLACEMENT_3D('',#574561,#452284,#452285); #371524=AXIS2_PLACEMENT_3D('',#574564,#452287,#452288); #371525=AXIS2_PLACEMENT_3D('',#574565,#452289,#452290); #371526=AXIS2_PLACEMENT_3D('',#574567,#452291,#452292); #371527=AXIS2_PLACEMENT_3D('',#574570,#452294,#452295); #371528=AXIS2_PLACEMENT_3D('',#574571,#452296,#452297); #371529=AXIS2_PLACEMENT_3D('',#574573,#452298,#452299); #371530=AXIS2_PLACEMENT_3D('',#574576,#452301,#452302); #371531=AXIS2_PLACEMENT_3D('',#574577,#452303,#452304); #371532=AXIS2_PLACEMENT_3D('',#574579,#452305,#452306); #371533=AXIS2_PLACEMENT_3D('',#574582,#452308,#452309); #371534=AXIS2_PLACEMENT_3D('',#574583,#452310,#452311); #371535=AXIS2_PLACEMENT_3D('',#574585,#452312,#452313); #371536=AXIS2_PLACEMENT_3D('',#574588,#452315,#452316); #371537=AXIS2_PLACEMENT_3D('',#574589,#452317,#452318); #371538=AXIS2_PLACEMENT_3D('',#574591,#452319,#452320); #371539=AXIS2_PLACEMENT_3D('',#574594,#452322,#452323); #371540=AXIS2_PLACEMENT_3D('',#574595,#452324,#452325); #371541=AXIS2_PLACEMENT_3D('',#574597,#452326,#452327); #371542=AXIS2_PLACEMENT_3D('',#574600,#452329,#452330); #371543=AXIS2_PLACEMENT_3D('',#574601,#452331,#452332); #371544=AXIS2_PLACEMENT_3D('',#574603,#452333,#452334); #371545=AXIS2_PLACEMENT_3D('',#574606,#452336,#452337); #371546=AXIS2_PLACEMENT_3D('',#574607,#452338,#452339); #371547=AXIS2_PLACEMENT_3D('',#574609,#452340,#452341); #371548=AXIS2_PLACEMENT_3D('',#574612,#452343,#452344); #371549=AXIS2_PLACEMENT_3D('',#574613,#452345,#452346); #371550=AXIS2_PLACEMENT_3D('',#574615,#452347,#452348); #371551=AXIS2_PLACEMENT_3D('',#574618,#452350,#452351); #371552=AXIS2_PLACEMENT_3D('',#574619,#452352,#452353); #371553=AXIS2_PLACEMENT_3D('',#574621,#452354,#452355); #371554=AXIS2_PLACEMENT_3D('',#574624,#452357,#452358); #371555=AXIS2_PLACEMENT_3D('',#574625,#452359,#452360); #371556=AXIS2_PLACEMENT_3D('',#574627,#452361,#452362); #371557=AXIS2_PLACEMENT_3D('',#574630,#452364,#452365); #371558=AXIS2_PLACEMENT_3D('',#574631,#452366,#452367); #371559=AXIS2_PLACEMENT_3D('',#574633,#452368,#452369); #371560=AXIS2_PLACEMENT_3D('',#574636,#452371,#452372); #371561=AXIS2_PLACEMENT_3D('',#574637,#452373,#452374); #371562=AXIS2_PLACEMENT_3D('',#574639,#452375,#452376); #371563=AXIS2_PLACEMENT_3D('',#574642,#452378,#452379); #371564=AXIS2_PLACEMENT_3D('',#574643,#452380,#452381); #371565=AXIS2_PLACEMENT_3D('',#574645,#452382,#452383); #371566=AXIS2_PLACEMENT_3D('',#574648,#452385,#452386); #371567=AXIS2_PLACEMENT_3D('',#574649,#452387,#452388); #371568=AXIS2_PLACEMENT_3D('',#574651,#452389,#452390); #371569=AXIS2_PLACEMENT_3D('',#574654,#452392,#452393); #371570=AXIS2_PLACEMENT_3D('',#574655,#452394,#452395); #371571=AXIS2_PLACEMENT_3D('',#574657,#452396,#452397); #371572=AXIS2_PLACEMENT_3D('',#574660,#452399,#452400); #371573=AXIS2_PLACEMENT_3D('',#574661,#452401,#452402); #371574=AXIS2_PLACEMENT_3D('',#574663,#452403,#452404); #371575=AXIS2_PLACEMENT_3D('',#574666,#452406,#452407); #371576=AXIS2_PLACEMENT_3D('',#574667,#452408,#452409); #371577=AXIS2_PLACEMENT_3D('',#574669,#452410,#452411); #371578=AXIS2_PLACEMENT_3D('',#574672,#452413,#452414); #371579=AXIS2_PLACEMENT_3D('',#574673,#452415,#452416); #371580=AXIS2_PLACEMENT_3D('',#574675,#452417,#452418); #371581=AXIS2_PLACEMENT_3D('',#574678,#452420,#452421); #371582=AXIS2_PLACEMENT_3D('',#574679,#452422,#452423); #371583=AXIS2_PLACEMENT_3D('',#574681,#452424,#452425); #371584=AXIS2_PLACEMENT_3D('',#574684,#452427,#452428); #371585=AXIS2_PLACEMENT_3D('',#574685,#452429,#452430); #371586=AXIS2_PLACEMENT_3D('',#574687,#452431,#452432); #371587=AXIS2_PLACEMENT_3D('',#574690,#452434,#452435); #371588=AXIS2_PLACEMENT_3D('',#574691,#452436,#452437); #371589=AXIS2_PLACEMENT_3D('',#574693,#452438,#452439); #371590=AXIS2_PLACEMENT_3D('',#574696,#452441,#452442); #371591=AXIS2_PLACEMENT_3D('',#574697,#452443,#452444); #371592=AXIS2_PLACEMENT_3D('',#574699,#452445,#452446); #371593=AXIS2_PLACEMENT_3D('',#574702,#452448,#452449); #371594=AXIS2_PLACEMENT_3D('',#574703,#452450,#452451); #371595=AXIS2_PLACEMENT_3D('',#574705,#452452,#452453); #371596=AXIS2_PLACEMENT_3D('',#574708,#452455,#452456); #371597=AXIS2_PLACEMENT_3D('',#574709,#452457,#452458); #371598=AXIS2_PLACEMENT_3D('',#574711,#452459,#452460); #371599=AXIS2_PLACEMENT_3D('',#574714,#452462,#452463); #371600=AXIS2_PLACEMENT_3D('',#574715,#452464,#452465); #371601=AXIS2_PLACEMENT_3D('',#574717,#452466,#452467); #371602=AXIS2_PLACEMENT_3D('',#574720,#452469,#452470); #371603=AXIS2_PLACEMENT_3D('',#574721,#452471,#452472); #371604=AXIS2_PLACEMENT_3D('',#574723,#452473,#452474); #371605=AXIS2_PLACEMENT_3D('',#574726,#452476,#452477); #371606=AXIS2_PLACEMENT_3D('',#574727,#452478,#452479); #371607=AXIS2_PLACEMENT_3D('',#574729,#452480,#452481); #371608=AXIS2_PLACEMENT_3D('',#574732,#452483,#452484); #371609=AXIS2_PLACEMENT_3D('',#574733,#452485,#452486); #371610=AXIS2_PLACEMENT_3D('',#574735,#452487,#452488); #371611=AXIS2_PLACEMENT_3D('',#574738,#452490,#452491); #371612=AXIS2_PLACEMENT_3D('',#574739,#452492,#452493); #371613=AXIS2_PLACEMENT_3D('',#574741,#452494,#452495); #371614=AXIS2_PLACEMENT_3D('',#574744,#452497,#452498); #371615=AXIS2_PLACEMENT_3D('',#574745,#452499,#452500); #371616=AXIS2_PLACEMENT_3D('',#574747,#452501,#452502); #371617=AXIS2_PLACEMENT_3D('',#574750,#452504,#452505); #371618=AXIS2_PLACEMENT_3D('',#574751,#452506,#452507); #371619=AXIS2_PLACEMENT_3D('',#574753,#452508,#452509); #371620=AXIS2_PLACEMENT_3D('',#574756,#452511,#452512); #371621=AXIS2_PLACEMENT_3D('',#574757,#452513,#452514); #371622=AXIS2_PLACEMENT_3D('',#574759,#452515,#452516); #371623=AXIS2_PLACEMENT_3D('',#574762,#452518,#452519); #371624=AXIS2_PLACEMENT_3D('',#574763,#452520,#452521); #371625=AXIS2_PLACEMENT_3D('',#574765,#452522,#452523); #371626=AXIS2_PLACEMENT_3D('',#574768,#452525,#452526); #371627=AXIS2_PLACEMENT_3D('',#574769,#452527,#452528); #371628=AXIS2_PLACEMENT_3D('',#574771,#452529,#452530); #371629=AXIS2_PLACEMENT_3D('',#574774,#452532,#452533); #371630=AXIS2_PLACEMENT_3D('',#574775,#452534,#452535); #371631=AXIS2_PLACEMENT_3D('',#574777,#452536,#452537); #371632=AXIS2_PLACEMENT_3D('',#574780,#452539,#452540); #371633=AXIS2_PLACEMENT_3D('',#574781,#452541,#452542); #371634=AXIS2_PLACEMENT_3D('',#574783,#452543,#452544); #371635=AXIS2_PLACEMENT_3D('',#574786,#452546,#452547); #371636=AXIS2_PLACEMENT_3D('',#574787,#452548,#452549); #371637=AXIS2_PLACEMENT_3D('',#574789,#452550,#452551); #371638=AXIS2_PLACEMENT_3D('',#574792,#452553,#452554); #371639=AXIS2_PLACEMENT_3D('',#574793,#452555,#452556); #371640=AXIS2_PLACEMENT_3D('',#574795,#452557,#452558); #371641=AXIS2_PLACEMENT_3D('',#574798,#452560,#452561); #371642=AXIS2_PLACEMENT_3D('',#574799,#452562,#452563); #371643=AXIS2_PLACEMENT_3D('',#574801,#452564,#452565); #371644=AXIS2_PLACEMENT_3D('',#574804,#452567,#452568); #371645=AXIS2_PLACEMENT_3D('',#574805,#452569,#452570); #371646=AXIS2_PLACEMENT_3D('',#574807,#452571,#452572); #371647=AXIS2_PLACEMENT_3D('',#574810,#452574,#452575); #371648=AXIS2_PLACEMENT_3D('',#574811,#452576,#452577); #371649=AXIS2_PLACEMENT_3D('',#574813,#452578,#452579); #371650=AXIS2_PLACEMENT_3D('',#574816,#452581,#452582); #371651=AXIS2_PLACEMENT_3D('',#574817,#452583,#452584); #371652=AXIS2_PLACEMENT_3D('',#574819,#452585,#452586); #371653=AXIS2_PLACEMENT_3D('',#574822,#452588,#452589); #371654=AXIS2_PLACEMENT_3D('',#574823,#452590,#452591); #371655=AXIS2_PLACEMENT_3D('',#574825,#452592,#452593); #371656=AXIS2_PLACEMENT_3D('',#574828,#452595,#452596); #371657=AXIS2_PLACEMENT_3D('',#574829,#452597,#452598); #371658=AXIS2_PLACEMENT_3D('',#574831,#452599,#452600); #371659=AXIS2_PLACEMENT_3D('',#574834,#452602,#452603); #371660=AXIS2_PLACEMENT_3D('',#574835,#452604,#452605); #371661=AXIS2_PLACEMENT_3D('',#574837,#452606,#452607); #371662=AXIS2_PLACEMENT_3D('',#574840,#452609,#452610); #371663=AXIS2_PLACEMENT_3D('',#574841,#452611,#452612); #371664=AXIS2_PLACEMENT_3D('',#574843,#452613,#452614); #371665=AXIS2_PLACEMENT_3D('',#574846,#452616,#452617); #371666=AXIS2_PLACEMENT_3D('',#574847,#452618,#452619); #371667=AXIS2_PLACEMENT_3D('',#574849,#452620,#452621); #371668=AXIS2_PLACEMENT_3D('',#574852,#452623,#452624); #371669=AXIS2_PLACEMENT_3D('',#574853,#452625,#452626); #371670=AXIS2_PLACEMENT_3D('',#574855,#452627,#452628); #371671=AXIS2_PLACEMENT_3D('',#574858,#452630,#452631); #371672=AXIS2_PLACEMENT_3D('',#574859,#452632,#452633); #371673=AXIS2_PLACEMENT_3D('',#574861,#452634,#452635); #371674=AXIS2_PLACEMENT_3D('',#574864,#452637,#452638); #371675=AXIS2_PLACEMENT_3D('',#574865,#452639,#452640); #371676=AXIS2_PLACEMENT_3D('',#574867,#452641,#452642); #371677=AXIS2_PLACEMENT_3D('',#574870,#452644,#452645); #371678=AXIS2_PLACEMENT_3D('',#574871,#452646,#452647); #371679=AXIS2_PLACEMENT_3D('',#574873,#452648,#452649); #371680=AXIS2_PLACEMENT_3D('',#574876,#452651,#452652); #371681=AXIS2_PLACEMENT_3D('',#574877,#452653,#452654); #371682=AXIS2_PLACEMENT_3D('',#574879,#452655,#452656); #371683=AXIS2_PLACEMENT_3D('',#574882,#452658,#452659); #371684=AXIS2_PLACEMENT_3D('',#574883,#452660,#452661); #371685=AXIS2_PLACEMENT_3D('',#574885,#452662,#452663); #371686=AXIS2_PLACEMENT_3D('',#574888,#452665,#452666); #371687=AXIS2_PLACEMENT_3D('',#574889,#452667,#452668); #371688=AXIS2_PLACEMENT_3D('',#574891,#452669,#452670); #371689=AXIS2_PLACEMENT_3D('',#574894,#452672,#452673); #371690=AXIS2_PLACEMENT_3D('',#574895,#452674,#452675); #371691=AXIS2_PLACEMENT_3D('',#574897,#452676,#452677); #371692=AXIS2_PLACEMENT_3D('',#574900,#452679,#452680); #371693=AXIS2_PLACEMENT_3D('',#574901,#452681,#452682); #371694=AXIS2_PLACEMENT_3D('',#574903,#452683,#452684); #371695=AXIS2_PLACEMENT_3D('',#574906,#452686,#452687); #371696=AXIS2_PLACEMENT_3D('',#574907,#452688,#452689); #371697=AXIS2_PLACEMENT_3D('',#574909,#452690,#452691); #371698=AXIS2_PLACEMENT_3D('',#574912,#452693,#452694); #371699=AXIS2_PLACEMENT_3D('',#574913,#452695,#452696); #371700=AXIS2_PLACEMENT_3D('',#574915,#452697,#452698); #371701=AXIS2_PLACEMENT_3D('',#574918,#452700,#452701); #371702=AXIS2_PLACEMENT_3D('',#574919,#452702,#452703); #371703=AXIS2_PLACEMENT_3D('',#574921,#452704,#452705); #371704=AXIS2_PLACEMENT_3D('',#574924,#452707,#452708); #371705=AXIS2_PLACEMENT_3D('',#574925,#452709,#452710); #371706=AXIS2_PLACEMENT_3D('',#574927,#452711,#452712); #371707=AXIS2_PLACEMENT_3D('',#574930,#452714,#452715); #371708=AXIS2_PLACEMENT_3D('',#574931,#452716,#452717); #371709=AXIS2_PLACEMENT_3D('',#574933,#452718,#452719); #371710=AXIS2_PLACEMENT_3D('',#574936,#452721,#452722); #371711=AXIS2_PLACEMENT_3D('',#574937,#452723,#452724); #371712=AXIS2_PLACEMENT_3D('',#574939,#452725,#452726); #371713=AXIS2_PLACEMENT_3D('',#574942,#452728,#452729); #371714=AXIS2_PLACEMENT_3D('',#574943,#452730,#452731); #371715=AXIS2_PLACEMENT_3D('',#574945,#452732,#452733); #371716=AXIS2_PLACEMENT_3D('',#574948,#452735,#452736); #371717=AXIS2_PLACEMENT_3D('',#574949,#452737,#452738); #371718=AXIS2_PLACEMENT_3D('',#574951,#452739,#452740); #371719=AXIS2_PLACEMENT_3D('',#574954,#452742,#452743); #371720=AXIS2_PLACEMENT_3D('',#574955,#452744,#452745); #371721=AXIS2_PLACEMENT_3D('',#574957,#452746,#452747); #371722=AXIS2_PLACEMENT_3D('',#574960,#452749,#452750); #371723=AXIS2_PLACEMENT_3D('',#574961,#452751,#452752); #371724=AXIS2_PLACEMENT_3D('',#574963,#452753,#452754); #371725=AXIS2_PLACEMENT_3D('',#574966,#452756,#452757); #371726=AXIS2_PLACEMENT_3D('',#574967,#452758,#452759); #371727=AXIS2_PLACEMENT_3D('',#574969,#452760,#452761); #371728=AXIS2_PLACEMENT_3D('',#574972,#452763,#452764); #371729=AXIS2_PLACEMENT_3D('',#574973,#452765,#452766); #371730=AXIS2_PLACEMENT_3D('',#574975,#452767,#452768); #371731=AXIS2_PLACEMENT_3D('',#574978,#452770,#452771); #371732=AXIS2_PLACEMENT_3D('',#574979,#452772,#452773); #371733=AXIS2_PLACEMENT_3D('',#574981,#452774,#452775); #371734=AXIS2_PLACEMENT_3D('',#574984,#452777,#452778); #371735=AXIS2_PLACEMENT_3D('',#574985,#452779,#452780); #371736=AXIS2_PLACEMENT_3D('',#574987,#452781,#452782); #371737=AXIS2_PLACEMENT_3D('',#574990,#452784,#452785); #371738=AXIS2_PLACEMENT_3D('',#574991,#452786,#452787); #371739=AXIS2_PLACEMENT_3D('',#574993,#452788,#452789); #371740=AXIS2_PLACEMENT_3D('',#574996,#452791,#452792); #371741=AXIS2_PLACEMENT_3D('',#574997,#452793,#452794); #371742=AXIS2_PLACEMENT_3D('',#574999,#452795,#452796); #371743=AXIS2_PLACEMENT_3D('',#575002,#452798,#452799); #371744=AXIS2_PLACEMENT_3D('',#575003,#452800,#452801); #371745=AXIS2_PLACEMENT_3D('',#575005,#452802,#452803); #371746=AXIS2_PLACEMENT_3D('',#575008,#452805,#452806); #371747=AXIS2_PLACEMENT_3D('',#575009,#452807,#452808); #371748=AXIS2_PLACEMENT_3D('',#575011,#452809,#452810); #371749=AXIS2_PLACEMENT_3D('',#575014,#452812,#452813); #371750=AXIS2_PLACEMENT_3D('',#575015,#452814,#452815); #371751=AXIS2_PLACEMENT_3D('',#575017,#452816,#452817); #371752=AXIS2_PLACEMENT_3D('',#575020,#452819,#452820); #371753=AXIS2_PLACEMENT_3D('',#575021,#452821,#452822); #371754=AXIS2_PLACEMENT_3D('',#575023,#452823,#452824); #371755=AXIS2_PLACEMENT_3D('',#575026,#452826,#452827); #371756=AXIS2_PLACEMENT_3D('',#575027,#452828,#452829); #371757=AXIS2_PLACEMENT_3D('',#575029,#452830,#452831); #371758=AXIS2_PLACEMENT_3D('',#575032,#452833,#452834); #371759=AXIS2_PLACEMENT_3D('',#575033,#452835,#452836); #371760=AXIS2_PLACEMENT_3D('',#575035,#452837,#452838); #371761=AXIS2_PLACEMENT_3D('',#575038,#452840,#452841); #371762=AXIS2_PLACEMENT_3D('',#575039,#452842,#452843); #371763=AXIS2_PLACEMENT_3D('',#575041,#452844,#452845); #371764=AXIS2_PLACEMENT_3D('',#575044,#452847,#452848); #371765=AXIS2_PLACEMENT_3D('',#575045,#452849,#452850); #371766=AXIS2_PLACEMENT_3D('',#575047,#452851,#452852); #371767=AXIS2_PLACEMENT_3D('',#575050,#452854,#452855); #371768=AXIS2_PLACEMENT_3D('',#575051,#452856,#452857); #371769=AXIS2_PLACEMENT_3D('',#575053,#452858,#452859); #371770=AXIS2_PLACEMENT_3D('',#575056,#452861,#452862); #371771=AXIS2_PLACEMENT_3D('',#575057,#452863,#452864); #371772=AXIS2_PLACEMENT_3D('',#575059,#452865,#452866); #371773=AXIS2_PLACEMENT_3D('',#575062,#452868,#452869); #371774=AXIS2_PLACEMENT_3D('',#575063,#452870,#452871); #371775=AXIS2_PLACEMENT_3D('',#575065,#452872,#452873); #371776=AXIS2_PLACEMENT_3D('',#575068,#452875,#452876); #371777=AXIS2_PLACEMENT_3D('',#575069,#452877,#452878); #371778=AXIS2_PLACEMENT_3D('',#575071,#452879,#452880); #371779=AXIS2_PLACEMENT_3D('',#575074,#452882,#452883); #371780=AXIS2_PLACEMENT_3D('',#575075,#452884,#452885); #371781=AXIS2_PLACEMENT_3D('',#575077,#452886,#452887); #371782=AXIS2_PLACEMENT_3D('',#575080,#452889,#452890); #371783=AXIS2_PLACEMENT_3D('',#575081,#452891,#452892); #371784=AXIS2_PLACEMENT_3D('',#575083,#452893,#452894); #371785=AXIS2_PLACEMENT_3D('',#575086,#452896,#452897); #371786=AXIS2_PLACEMENT_3D('',#575087,#452898,#452899); #371787=AXIS2_PLACEMENT_3D('',#575089,#452900,#452901); #371788=AXIS2_PLACEMENT_3D('',#575092,#452903,#452904); #371789=AXIS2_PLACEMENT_3D('',#575093,#452905,#452906); #371790=AXIS2_PLACEMENT_3D('',#575095,#452907,#452908); #371791=AXIS2_PLACEMENT_3D('',#575098,#452910,#452911); #371792=AXIS2_PLACEMENT_3D('',#575099,#452912,#452913); #371793=AXIS2_PLACEMENT_3D('',#575101,#452914,#452915); #371794=AXIS2_PLACEMENT_3D('',#575104,#452917,#452918); #371795=AXIS2_PLACEMENT_3D('',#575105,#452919,#452920); #371796=AXIS2_PLACEMENT_3D('',#575107,#452921,#452922); #371797=AXIS2_PLACEMENT_3D('',#575110,#452924,#452925); #371798=AXIS2_PLACEMENT_3D('',#575111,#452926,#452927); #371799=AXIS2_PLACEMENT_3D('',#575113,#452928,#452929); #371800=AXIS2_PLACEMENT_3D('',#575116,#452931,#452932); #371801=AXIS2_PLACEMENT_3D('',#575117,#452933,#452934); #371802=AXIS2_PLACEMENT_3D('',#575119,#452935,#452936); #371803=AXIS2_PLACEMENT_3D('',#575122,#452938,#452939); #371804=AXIS2_PLACEMENT_3D('',#575123,#452940,#452941); #371805=AXIS2_PLACEMENT_3D('',#575125,#452942,#452943); #371806=AXIS2_PLACEMENT_3D('',#575128,#452945,#452946); #371807=AXIS2_PLACEMENT_3D('',#575129,#452947,#452948); #371808=AXIS2_PLACEMENT_3D('',#575131,#452949,#452950); #371809=AXIS2_PLACEMENT_3D('',#575134,#452952,#452953); #371810=AXIS2_PLACEMENT_3D('',#575135,#452954,#452955); #371811=AXIS2_PLACEMENT_3D('',#575137,#452956,#452957); #371812=AXIS2_PLACEMENT_3D('',#575140,#452959,#452960); #371813=AXIS2_PLACEMENT_3D('',#575141,#452961,#452962); #371814=AXIS2_PLACEMENT_3D('',#575143,#452963,#452964); #371815=AXIS2_PLACEMENT_3D('',#575146,#452966,#452967); #371816=AXIS2_PLACEMENT_3D('',#575147,#452968,#452969); #371817=AXIS2_PLACEMENT_3D('',#575149,#452970,#452971); #371818=AXIS2_PLACEMENT_3D('',#575152,#452973,#452974); #371819=AXIS2_PLACEMENT_3D('',#575153,#452975,#452976); #371820=AXIS2_PLACEMENT_3D('',#575155,#452977,#452978); #371821=AXIS2_PLACEMENT_3D('',#575158,#452980,#452981); #371822=AXIS2_PLACEMENT_3D('',#575159,#452982,#452983); #371823=AXIS2_PLACEMENT_3D('',#575161,#452984,#452985); #371824=AXIS2_PLACEMENT_3D('',#575164,#452987,#452988); #371825=AXIS2_PLACEMENT_3D('',#575165,#452989,#452990); #371826=AXIS2_PLACEMENT_3D('',#575167,#452991,#452992); #371827=AXIS2_PLACEMENT_3D('',#575170,#452994,#452995); #371828=AXIS2_PLACEMENT_3D('',#575171,#452996,#452997); #371829=AXIS2_PLACEMENT_3D('',#575173,#452998,#452999); #371830=AXIS2_PLACEMENT_3D('',#575176,#453001,#453002); #371831=AXIS2_PLACEMENT_3D('',#575177,#453003,#453004); #371832=AXIS2_PLACEMENT_3D('',#575179,#453005,#453006); #371833=AXIS2_PLACEMENT_3D('',#575182,#453008,#453009); #371834=AXIS2_PLACEMENT_3D('',#575183,#453010,#453011); #371835=AXIS2_PLACEMENT_3D('',#575185,#453012,#453013); #371836=AXIS2_PLACEMENT_3D('',#575188,#453015,#453016); #371837=AXIS2_PLACEMENT_3D('',#575189,#453017,#453018); #371838=AXIS2_PLACEMENT_3D('',#575191,#453019,#453020); #371839=AXIS2_PLACEMENT_3D('',#575194,#453022,#453023); #371840=AXIS2_PLACEMENT_3D('',#575195,#453024,#453025); #371841=AXIS2_PLACEMENT_3D('',#575197,#453026,#453027); #371842=AXIS2_PLACEMENT_3D('',#575200,#453029,#453030); #371843=AXIS2_PLACEMENT_3D('',#575201,#453031,#453032); #371844=AXIS2_PLACEMENT_3D('',#575203,#453033,#453034); #371845=AXIS2_PLACEMENT_3D('',#575206,#453036,#453037); #371846=AXIS2_PLACEMENT_3D('',#575207,#453038,#453039); #371847=AXIS2_PLACEMENT_3D('',#575209,#453040,#453041); #371848=AXIS2_PLACEMENT_3D('',#575212,#453043,#453044); #371849=AXIS2_PLACEMENT_3D('',#575213,#453045,#453046); #371850=AXIS2_PLACEMENT_3D('',#575214,#453047,#453048); #371851=AXIS2_PLACEMENT_3D('',#575215,#453049,#453050); #371852=AXIS2_PLACEMENT_3D('',#575216,#453051,#453052); #371853=AXIS2_PLACEMENT_3D('',#575217,#453053,#453054); #371854=AXIS2_PLACEMENT_3D('',#575218,#453055,#453056); #371855=AXIS2_PLACEMENT_3D('',#575227,#453061,#453062); #371856=AXIS2_PLACEMENT_3D('',#575233,#453066,#453067); #371857=AXIS2_PLACEMENT_3D('',#575281,#453092,#453093); #371858=AXIS2_PLACEMENT_3D('',#575285,#453096,#453097); #371859=AXIS2_PLACEMENT_3D('',#575291,#453101,#453102); #371860=AXIS2_PLACEMENT_3D('',#575301,#453108,#453109); #371861=AXIS2_PLACEMENT_3D('',#575342,#453131,#453132); #371862=AXIS2_PLACEMENT_3D('',#575356,#453140,#453141); #371863=AXIS2_PLACEMENT_3D('',#575370,#453149,#453150); #371864=AXIS2_PLACEMENT_3D('',#575384,#453158,#453159); #371865=AXIS2_PLACEMENT_3D('',#575390,#453163,#453164); #371866=AXIS2_PLACEMENT_3D('',#575396,#453168,#453169); #371867=AXIS2_PLACEMENT_3D('',#575410,#453177,#453178); #371868=AXIS2_PLACEMENT_3D('',#575416,#453182,#453183); #371869=AXIS2_PLACEMENT_3D('',#575433,#453196,#453197); #371870=AXIS2_PLACEMENT_3D('',#575436,#453200,#453201); #371871=AXIS2_PLACEMENT_3D('',#575437,#453202,#453203); #371872=AXIS2_PLACEMENT_3D('',#575438,#453204,#453205); #371873=AXIS2_PLACEMENT_3D('',#575446,#453210,#453211); #371874=AXIS2_PLACEMENT_3D('',#575448,#453213,#453214); #371875=AXIS2_PLACEMENT_3D('',#575450,#453216,#453217); #371876=AXIS2_PLACEMENT_3D('',#575452,#453219,#453220); #371877=AXIS2_PLACEMENT_3D('',#575453,#453221,#453222); #371878=AXIS2_PLACEMENT_3D('',#575454,#453223,#453224); #371879=AXIS2_PLACEMENT_3D('',#575455,#453225,#453226); #371880=AXIS2_PLACEMENT_3D('',#575464,#453231,#453232); #371881=AXIS2_PLACEMENT_3D('',#575470,#453236,#453237); #371882=AXIS2_PLACEMENT_3D('',#575476,#453241,#453242); #371883=AXIS2_PLACEMENT_3D('',#575478,#453244,#453245); #371884=AXIS2_PLACEMENT_3D('',#575480,#453247,#453248); #371885=AXIS2_PLACEMENT_3D('',#575486,#453252,#453253); #371886=AXIS2_PLACEMENT_3D('',#575492,#453257,#453258); #371887=AXIS2_PLACEMENT_3D('',#575494,#453260,#453261); #371888=AXIS2_PLACEMENT_3D('',#575496,#453263,#453264); #371889=AXIS2_PLACEMENT_3D('',#575505,#453269,#453270); #371890=AXIS2_PLACEMENT_3D('',#575508,#453273,#453274); #371891=AXIS2_PLACEMENT_3D('',#575510,#453276,#453277); #371892=AXIS2_PLACEMENT_3D('',#575512,#453279,#453280); #371893=AXIS2_PLACEMENT_3D('',#575513,#453281,#453282); #371894=AXIS2_PLACEMENT_3D('',#575516,#453285,#453286); #371895=AXIS2_PLACEMENT_3D('',#575518,#453288,#453289); #371896=AXIS2_PLACEMENT_3D('',#575520,#453291,#453292); #371897=AXIS2_PLACEMENT_3D('',#575521,#453293,#453294); #371898=AXIS2_PLACEMENT_3D('',#575522,#453295,#453296); #371899=AXIS2_PLACEMENT_3D('',#575523,#453297,#453298); #371900=AXIS2_PLACEMENT_3D('',#575524,#453299,#453300); #371901=AXIS2_PLACEMENT_3D('',#575526,#453302,#453303); #371902=AXIS2_PLACEMENT_3D('',#575528,#453305,#453306); #371903=AXIS2_PLACEMENT_3D('',#575529,#453307,#453308); #371904=AXIS2_PLACEMENT_3D('',#575538,#453313,#453314); #371905=AXIS2_PLACEMENT_3D('',#575544,#453318,#453319); #371906=AXIS2_PLACEMENT_3D('',#575550,#453323,#453324); #371907=AXIS2_PLACEMENT_3D('',#575552,#453326,#453327); #371908=AXIS2_PLACEMENT_3D('',#575554,#453329,#453330); #371909=AXIS2_PLACEMENT_3D('',#575560,#453334,#453335); #371910=AXIS2_PLACEMENT_3D('',#575566,#453339,#453340); #371911=AXIS2_PLACEMENT_3D('',#575568,#453342,#453343); #371912=AXIS2_PLACEMENT_3D('',#575570,#453345,#453346); #371913=AXIS2_PLACEMENT_3D('',#575579,#453351,#453352); #371914=AXIS2_PLACEMENT_3D('',#575588,#453357,#453358); #371915=AXIS2_PLACEMENT_3D('',#575594,#453362,#453363); #371916=AXIS2_PLACEMENT_3D('',#575600,#453367,#453368); #371917=AXIS2_PLACEMENT_3D('',#575602,#453370,#453371); #371918=AXIS2_PLACEMENT_3D('',#575604,#453373,#453374); #371919=AXIS2_PLACEMENT_3D('',#575610,#453378,#453379); #371920=AXIS2_PLACEMENT_3D('',#575616,#453383,#453384); #371921=AXIS2_PLACEMENT_3D('',#575618,#453386,#453387); #371922=AXIS2_PLACEMENT_3D('',#575620,#453389,#453390); #371923=AXIS2_PLACEMENT_3D('',#575629,#453395,#453396); #371924=AXIS2_PLACEMENT_3D('',#575638,#453401,#453402); #371925=AXIS2_PLACEMENT_3D('',#575644,#453406,#453407); #371926=AXIS2_PLACEMENT_3D('',#575650,#453411,#453412); #371927=AXIS2_PLACEMENT_3D('',#575652,#453414,#453415); #371928=AXIS2_PLACEMENT_3D('',#575654,#453417,#453418); #371929=AXIS2_PLACEMENT_3D('',#575660,#453422,#453423); #371930=AXIS2_PLACEMENT_3D('',#575666,#453427,#453428); #371931=AXIS2_PLACEMENT_3D('',#575668,#453430,#453431); #371932=AXIS2_PLACEMENT_3D('',#575670,#453433,#453434); #371933=AXIS2_PLACEMENT_3D('',#575679,#453439,#453440); #371934=AXIS2_PLACEMENT_3D('',#575682,#453443,#453444); #371935=AXIS2_PLACEMENT_3D('',#575684,#453446,#453447); #371936=AXIS2_PLACEMENT_3D('',#575686,#453449,#453450); #371937=AXIS2_PLACEMENT_3D('',#575687,#453451,#453452); #371938=AXIS2_PLACEMENT_3D('',#575690,#453455,#453456); #371939=AXIS2_PLACEMENT_3D('',#575692,#453458,#453459); #371940=AXIS2_PLACEMENT_3D('',#575694,#453461,#453462); #371941=AXIS2_PLACEMENT_3D('',#575695,#453463,#453464); #371942=AXIS2_PLACEMENT_3D('',#575698,#453467,#453468); #371943=AXIS2_PLACEMENT_3D('',#575700,#453470,#453471); #371944=AXIS2_PLACEMENT_3D('',#575702,#453473,#453474); #371945=AXIS2_PLACEMENT_3D('',#575703,#453475,#453476); #371946=AXIS2_PLACEMENT_3D('',#575706,#453479,#453480); #371947=AXIS2_PLACEMENT_3D('',#575708,#453482,#453483); #371948=AXIS2_PLACEMENT_3D('',#575710,#453485,#453486); #371949=AXIS2_PLACEMENT_3D('',#575711,#453487,#453488); #371950=AXIS2_PLACEMENT_3D('',#575714,#453491,#453492); #371951=AXIS2_PLACEMENT_3D('',#575716,#453494,#453495); #371952=AXIS2_PLACEMENT_3D('',#575718,#453497,#453498); #371953=AXIS2_PLACEMENT_3D('',#575719,#453499,#453500); #371954=AXIS2_PLACEMENT_3D('',#575722,#453503,#453504); #371955=AXIS2_PLACEMENT_3D('',#575724,#453506,#453507); #371956=AXIS2_PLACEMENT_3D('',#575726,#453509,#453510); #371957=AXIS2_PLACEMENT_3D('',#575727,#453511,#453512); #371958=AXIS2_PLACEMENT_3D('',#575728,#453513,#453514); #371959=AXIS2_PLACEMENT_3D('',#575729,#453515,#453516); #371960=AXIS2_PLACEMENT_3D('',#575730,#453517,#453518); #371961=AXIS2_PLACEMENT_3D('',#575731,#453519,#453520); #371962=AXIS2_PLACEMENT_3D('',#575732,#453521,#453522); #371963=AXIS2_PLACEMENT_3D('',#575733,#453523,#453524); #371964=AXIS2_PLACEMENT_3D('',#575736,#453525,#453526); #371965=AXIS2_PLACEMENT_3D('',#575738,#453527,#453528); #371966=AXIS2_PLACEMENT_3D('',#575739,#453529,#453530); #371967=AXIS2_PLACEMENT_3D('',#575740,#453531,#453532); #371968=AXIS2_PLACEMENT_3D('',#575743,#453533,#453534); #371969=AXIS2_PLACEMENT_3D('',#575745,#453535,#453536); #371970=AXIS2_PLACEMENT_3D('',#575746,#453537,#453538); #371971=AXIS2_PLACEMENT_3D('',#575747,#453539,#453540); #371972=AXIS2_PLACEMENT_3D('',#575750,#453541,#453542); #371973=AXIS2_PLACEMENT_3D('',#575752,#453543,#453544); #371974=AXIS2_PLACEMENT_3D('',#575753,#453545,#453546); #371975=AXIS2_PLACEMENT_3D('',#575754,#453547,#453548); #371976=AXIS2_PLACEMENT_3D('',#575757,#453549,#453550); #371977=AXIS2_PLACEMENT_3D('',#575759,#453551,#453552); #371978=AXIS2_PLACEMENT_3D('',#575760,#453553,#453554); #371979=AXIS2_PLACEMENT_3D('',#575761,#453555,#453556); #371980=AXIS2_PLACEMENT_3D('',#575764,#453557,#453558); #371981=AXIS2_PLACEMENT_3D('',#575766,#453559,#453560); #371982=AXIS2_PLACEMENT_3D('',#575767,#453561,#453562); #371983=AXIS2_PLACEMENT_3D('',#575768,#453563,#453564); #371984=AXIS2_PLACEMENT_3D('',#575771,#453567,#453568); #371985=AXIS2_PLACEMENT_3D('',#575774,#453569,#453570); #371986=AXIS2_PLACEMENT_3D('',#575776,#453571,#453572); #371987=AXIS2_PLACEMENT_3D('',#575777,#453573,#453574); #371988=AXIS2_PLACEMENT_3D('',#575778,#453575,#453576); #371989=AXIS2_PLACEMENT_3D('',#575781,#453577,#453578); #371990=AXIS2_PLACEMENT_3D('',#575783,#453579,#453580); #371991=AXIS2_PLACEMENT_3D('',#575784,#453581,#453582); #371992=AXIS2_PLACEMENT_3D('',#575785,#453583,#453584); #371993=AXIS2_PLACEMENT_3D('',#575788,#453587,#453588); #371994=AXIS2_PLACEMENT_3D('',#575791,#453591,#453592); #371995=AXIS2_PLACEMENT_3D('',#575794,#453593,#453594); #371996=AXIS2_PLACEMENT_3D('',#575796,#453595,#453596); #371997=AXIS2_PLACEMENT_3D('',#575797,#453597,#453598); #371998=AXIS2_PLACEMENT_3D('',#575798,#453599,#453600); #371999=AXIS2_PLACEMENT_3D('',#575801,#453603,#453604); #372000=AXIS2_PLACEMENT_3D('',#575804,#453607,#453608); #372001=AXIS2_PLACEMENT_3D('',#575807,#453611,#453612); #372002=AXIS2_PLACEMENT_3D('',#575810,#453615,#453616); #372003=AXIS2_PLACEMENT_3D('',#575813,#453619,#453620); #372004=AXIS2_PLACEMENT_3D('',#575816,#453623,#453624); #372005=AXIS2_PLACEMENT_3D('',#575819,#453627,#453628); #372006=AXIS2_PLACEMENT_3D('',#575822,#453631,#453632); #372007=AXIS2_PLACEMENT_3D('',#575825,#453635,#453636); #372008=AXIS2_PLACEMENT_3D('',#575826,#453637,#453638); #372009=AXIS2_PLACEMENT_3D('',#575827,#453639,#453640); #372010=AXIS2_PLACEMENT_3D('',#575828,#453641,#453642); #372011=AXIS2_PLACEMENT_3D('',#575829,#453643,#453644); #372012=AXIS2_PLACEMENT_3D('',#575830,#453645,#453646); #372013=AXIS2_PLACEMENT_3D('',#575831,#453647,#453648); #372014=AXIS2_PLACEMENT_3D('',#575834,#453649,#453650); #372015=AXIS2_PLACEMENT_3D('',#575836,#453651,#453652); #372016=AXIS2_PLACEMENT_3D('',#575837,#453653,#453654); #372017=AXIS2_PLACEMENT_3D('',#575838,#453655,#453656); #372018=AXIS2_PLACEMENT_3D('',#575841,#453657,#453658); #372019=AXIS2_PLACEMENT_3D('',#575843,#453659,#453660); #372020=AXIS2_PLACEMENT_3D('',#575844,#453661,#453662); #372021=AXIS2_PLACEMENT_3D('',#575845,#453663,#453664); #372022=AXIS2_PLACEMENT_3D('',#575848,#453665,#453666); #372023=AXIS2_PLACEMENT_3D('',#575850,#453667,#453668); #372024=AXIS2_PLACEMENT_3D('',#575851,#453669,#453670); #372025=AXIS2_PLACEMENT_3D('',#575852,#453671,#453672); #372026=AXIS2_PLACEMENT_3D('',#575855,#453673,#453674); #372027=AXIS2_PLACEMENT_3D('',#575857,#453675,#453676); #372028=AXIS2_PLACEMENT_3D('',#575858,#453677,#453678); #372029=AXIS2_PLACEMENT_3D('',#575859,#453679,#453680); #372030=AXIS2_PLACEMENT_3D('',#575862,#453681,#453682); #372031=AXIS2_PLACEMENT_3D('',#575864,#453683,#453684); #372032=AXIS2_PLACEMENT_3D('',#575865,#453685,#453686); #372033=AXIS2_PLACEMENT_3D('',#575866,#453687,#453688); #372034=AXIS2_PLACEMENT_3D('',#575869,#453691,#453692); #372035=AXIS2_PLACEMENT_3D('',#575872,#453693,#453694); #372036=AXIS2_PLACEMENT_3D('',#575874,#453695,#453696); #372037=AXIS2_PLACEMENT_3D('',#575875,#453697,#453698); #372038=AXIS2_PLACEMENT_3D('',#575876,#453699,#453700); #372039=AXIS2_PLACEMENT_3D('',#575879,#453701,#453702); #372040=AXIS2_PLACEMENT_3D('',#575881,#453703,#453704); #372041=AXIS2_PLACEMENT_3D('',#575882,#453705,#453706); #372042=AXIS2_PLACEMENT_3D('',#575883,#453707,#453708); #372043=AXIS2_PLACEMENT_3D('',#575886,#453711,#453712); #372044=AXIS2_PLACEMENT_3D('',#575889,#453715,#453716); #372045=AXIS2_PLACEMENT_3D('',#575892,#453717,#453718); #372046=AXIS2_PLACEMENT_3D('',#575894,#453719,#453720); #372047=AXIS2_PLACEMENT_3D('',#575895,#453721,#453722); #372048=AXIS2_PLACEMENT_3D('',#575896,#453723,#453724); #372049=AXIS2_PLACEMENT_3D('',#575899,#453727,#453728); #372050=AXIS2_PLACEMENT_3D('',#575902,#453731,#453732); #372051=AXIS2_PLACEMENT_3D('',#575905,#453735,#453736); #372052=AXIS2_PLACEMENT_3D('',#575908,#453739,#453740); #372053=AXIS2_PLACEMENT_3D('',#575911,#453743,#453744); #372054=AXIS2_PLACEMENT_3D('',#575914,#453747,#453748); #372055=AXIS2_PLACEMENT_3D('',#575917,#453751,#453752); #372056=AXIS2_PLACEMENT_3D('',#575920,#453755,#453756); #372057=AXIS2_PLACEMENT_3D('',#575923,#453759,#453760); #372058=AXIS2_PLACEMENT_3D('',#575924,#453761,#453762); #372059=AXIS2_PLACEMENT_3D('',#575925,#453763,#453764); #372060=AXIS2_PLACEMENT_3D('',#575926,#453765,#453766); #372061=AXIS2_PLACEMENT_3D('',#575927,#453767,#453768); #372062=AXIS2_PLACEMENT_3D('',#575928,#453769,#453770); #372063=AXIS2_PLACEMENT_3D('',#575929,#453771,#453772); #372064=AXIS2_PLACEMENT_3D('',#575938,#453777,#453778); #372065=AXIS2_PLACEMENT_3D('',#575944,#453782,#453783); #372066=AXIS2_PLACEMENT_3D('',#575950,#453787,#453788); #372067=AXIS2_PLACEMENT_3D('',#575953,#453791,#453792); #372068=AXIS2_PLACEMENT_3D('',#575954,#453793,#453794); #372069=AXIS2_PLACEMENT_3D('',#575955,#453795,#453796); #372070=AXIS2_PLACEMENT_3D('',#575964,#453801,#453802); #372071=AXIS2_PLACEMENT_3D('',#575973,#453807,#453808); #372072=AXIS2_PLACEMENT_3D('',#575976,#453811,#453812); #372073=AXIS2_PLACEMENT_3D('',#575978,#453814,#453815); #372074=AXIS2_PLACEMENT_3D('',#575980,#453817,#453818); #372075=AXIS2_PLACEMENT_3D('',#575981,#453819,#453820); #372076=AXIS2_PLACEMENT_3D('',#575990,#453825,#453826); #372077=AXIS2_PLACEMENT_3D('',#575996,#453830,#453831); #372078=AXIS2_PLACEMENT_3D('',#576002,#453835,#453836); #372079=AXIS2_PLACEMENT_3D('',#576005,#453839,#453840); #372080=AXIS2_PLACEMENT_3D('',#576006,#453841,#453842); #372081=AXIS2_PLACEMENT_3D('',#576007,#453843,#453844); #372082=AXIS2_PLACEMENT_3D('',#576008,#453845,#453846); #372083=AXIS2_PLACEMENT_3D('',#576009,#453847,#453848); #372084=AXIS2_PLACEMENT_3D('',#576010,#453849,#453850); #372085=AXIS2_PLACEMENT_3D('',#576011,#453851,#453852); #372086=AXIS2_PLACEMENT_3D('',#576012,#453853,#453854); #372087=AXIS2_PLACEMENT_3D('',#576013,#453855,#453856); #372088=AXIS2_PLACEMENT_3D('',#576014,#453857,#453858); #372089=AXIS2_PLACEMENT_3D('',#576015,#453859,#453860); #372090=AXIS2_PLACEMENT_3D('',#576016,#453861,#453862); #372091=AXIS2_PLACEMENT_3D('',#576017,#453863,#453864); #372092=AXIS2_PLACEMENT_3D('',#576018,#453865,#453866); #372093=AXIS2_PLACEMENT_3D('',#576019,#453867,#453868); #372094=AXIS2_PLACEMENT_3D('',#576020,#453869,#453870); #372095=AXIS2_PLACEMENT_3D('',#576021,#453871,#453872); #372096=AXIS2_PLACEMENT_3D('',#576022,#453873,#453874); #372097=AXIS2_PLACEMENT_3D('',#576023,#453875,#453876); #372098=AXIS2_PLACEMENT_3D('',#576024,#453877,#453878); #372099=AXIS2_PLACEMENT_3D('',#576025,#453879,#453880); #372100=AXIS2_PLACEMENT_3D('',#576026,#453881,#453882); #372101=AXIS2_PLACEMENT_3D('',#576027,#453883,#453884); #372102=AXIS2_PLACEMENT_3D('',#576028,#453885,#453886); #372103=AXIS2_PLACEMENT_3D('',#576029,#453887,#453888); #372104=AXIS2_PLACEMENT_3D('',#576030,#453889,#453890); #372105=AXIS2_PLACEMENT_3D('',#576031,#453891,#453892); #372106=AXIS2_PLACEMENT_3D('',#576032,#453893,#453894); #372107=AXIS2_PLACEMENT_3D('',#576033,#453895,#453896); #372108=AXIS2_PLACEMENT_3D('',#576034,#453897,#453898); #372109=AXIS2_PLACEMENT_3D('',#576035,#453899,#453900); #372110=AXIS2_PLACEMENT_3D('',#576036,#453901,#453902); #372111=AXIS2_PLACEMENT_3D('',#576069,#453919,#453920); #372112=AXIS2_PLACEMENT_3D('',#576078,#453925,#453926); #372113=AXIS2_PLACEMENT_3D('',#576081,#453927,#453928); #372114=AXIS2_PLACEMENT_3D('',#576085,#453930,#453931); #372115=AXIS2_PLACEMENT_3D('',#576089,#453933,#453934); #372116=AXIS2_PLACEMENT_3D('',#576093,#453936,#453937); #372117=AXIS2_PLACEMENT_3D('',#576095,#453939,#453940); #372118=AXIS2_PLACEMENT_3D('',#576098,#453941,#453942); #372119=AXIS2_PLACEMENT_3D('',#576102,#453944,#453945); #372120=AXIS2_PLACEMENT_3D('',#576106,#453947,#453948); #372121=AXIS2_PLACEMENT_3D('',#576110,#453950,#453951); #372122=AXIS2_PLACEMENT_3D('',#576112,#453953,#453954); #372123=AXIS2_PLACEMENT_3D('',#576115,#453957,#453958); #372124=AXIS2_PLACEMENT_3D('',#576118,#453961,#453962); #372125=AXIS2_PLACEMENT_3D('',#576151,#453979,#453980); #372126=AXIS2_PLACEMENT_3D('',#576157,#453984,#453985); #372127=AXIS2_PLACEMENT_3D('',#576196,#454005,#454006); #372128=AXIS2_PLACEMENT_3D('',#576200,#454008,#454009); #372129=AXIS2_PLACEMENT_3D('',#576206,#454013,#454014); #372130=AXIS2_PLACEMENT_3D('',#576209,#454015,#454016); #372131=AXIS2_PLACEMENT_3D('',#576216,#454021,#454022); #372132=AXIS2_PLACEMENT_3D('',#576219,#454023,#454024); #372133=AXIS2_PLACEMENT_3D('',#576226,#454026,#454027); #372134=AXIS2_PLACEMENT_3D('',#576230,#454029,#454030); #372135=AXIS2_PLACEMENT_3D('',#576235,#454031,#454032); #372136=AXIS2_PLACEMENT_3D('',#576244,#454037,#454038); #372137=AXIS2_PLACEMENT_3D('',#576253,#454043,#454044); #372138=AXIS2_PLACEMENT_3D('',#576262,#454049,#454050); #372139=AXIS2_PLACEMENT_3D('',#576271,#454055,#454056); #372140=AXIS2_PLACEMENT_3D('',#576280,#454061,#454062); #372141=AXIS2_PLACEMENT_3D('',#576289,#454067,#454068); #372142=AXIS2_PLACEMENT_3D('',#576298,#454073,#454074); #372143=AXIS2_PLACEMENT_3D('',#576307,#454079,#454080); #372144=AXIS2_PLACEMENT_3D('',#576316,#454085,#454086); #372145=AXIS2_PLACEMENT_3D('',#576325,#454091,#454092); #372146=AXIS2_PLACEMENT_3D('',#576334,#454097,#454098); #372147=AXIS2_PLACEMENT_3D('',#576343,#454103,#454104); #372148=AXIS2_PLACEMENT_3D('',#576349,#454108,#454109); #372149=AXIS2_PLACEMENT_3D('',#576355,#454113,#454114); #372150=AXIS2_PLACEMENT_3D('',#576364,#454119,#454120); #372151=AXIS2_PLACEMENT_3D('',#576367,#454123,#454124); #372152=AXIS2_PLACEMENT_3D('',#576373,#454128,#454129); #372153=AXIS2_PLACEMENT_3D('',#576379,#454133,#454134); #372154=AXIS2_PLACEMENT_3D('',#576388,#454139,#454140); #372155=AXIS2_PLACEMENT_3D('',#576391,#454143,#454144); #372156=AXIS2_PLACEMENT_3D('',#576397,#454148,#454149); #372157=AXIS2_PLACEMENT_3D('',#576403,#454153,#454154); #372158=AXIS2_PLACEMENT_3D('',#576412,#454159,#454160); #372159=AXIS2_PLACEMENT_3D('',#576415,#454163,#454164); #372160=AXIS2_PLACEMENT_3D('',#576421,#454168,#454169); #372161=AXIS2_PLACEMENT_3D('',#576427,#454173,#454174); #372162=AXIS2_PLACEMENT_3D('',#576436,#454179,#454180); #372163=AXIS2_PLACEMENT_3D('',#576439,#454183,#454184); #372164=AXIS2_PLACEMENT_3D('',#576445,#454188,#454189); #372165=AXIS2_PLACEMENT_3D('',#576451,#454193,#454194); #372166=AXIS2_PLACEMENT_3D('',#576460,#454199,#454200); #372167=AXIS2_PLACEMENT_3D('',#576463,#454203,#454204); #372168=AXIS2_PLACEMENT_3D('',#576469,#454208,#454209); #372169=AXIS2_PLACEMENT_3D('',#576475,#454213,#454214); #372170=AXIS2_PLACEMENT_3D('',#576484,#454219,#454220); #372171=AXIS2_PLACEMENT_3D('',#576487,#454223,#454224); #372172=AXIS2_PLACEMENT_3D('',#576493,#454228,#454229); #372173=AXIS2_PLACEMENT_3D('',#576499,#454233,#454234); #372174=AXIS2_PLACEMENT_3D('',#576505,#454238,#454239); #372175=AXIS2_PLACEMENT_3D('',#576511,#454243,#454244); #372176=AXIS2_PLACEMENT_3D('',#576520,#454249,#454250); #372177=AXIS2_PLACEMENT_3D('',#576523,#454253,#454254); #372178=AXIS2_PLACEMENT_3D('',#576529,#454258,#454259); #372179=AXIS2_PLACEMENT_3D('',#576535,#454263,#454264); #372180=AXIS2_PLACEMENT_3D('',#576544,#454269,#454270); #372181=AXIS2_PLACEMENT_3D('',#576547,#454273,#454274); #372182=AXIS2_PLACEMENT_3D('',#576553,#454278,#454279); #372183=AXIS2_PLACEMENT_3D('',#576559,#454283,#454284); #372184=AXIS2_PLACEMENT_3D('',#576568,#454289,#454290); #372185=AXIS2_PLACEMENT_3D('',#576571,#454293,#454294); #372186=AXIS2_PLACEMENT_3D('',#576577,#454298,#454299); #372187=AXIS2_PLACEMENT_3D('',#576583,#454303,#454304); #372188=AXIS2_PLACEMENT_3D('',#576592,#454309,#454310); #372189=AXIS2_PLACEMENT_3D('',#576595,#454313,#454314); #372190=AXIS2_PLACEMENT_3D('',#576601,#454318,#454319); #372191=AXIS2_PLACEMENT_3D('',#576607,#454323,#454324); #372192=AXIS2_PLACEMENT_3D('',#576616,#454329,#454330); #372193=AXIS2_PLACEMENT_3D('',#576619,#454333,#454334); #372194=AXIS2_PLACEMENT_3D('',#576625,#454338,#454339); #372195=AXIS2_PLACEMENT_3D('',#576631,#454343,#454344); #372196=AXIS2_PLACEMENT_3D('',#576639,#454349,#454350); #372197=AXIS2_PLACEMENT_3D('',#576649,#454356,#454357); #372198=AXIS2_PLACEMENT_3D('',#576654,#454361,#454362); #372199=AXIS2_PLACEMENT_3D('',#576668,#454376,#454377); #372200=AXIS2_PLACEMENT_3D('',#576678,#454383,#454384); #372201=AXIS2_PLACEMENT_3D('',#576688,#454390,#454391); #372202=AXIS2_PLACEMENT_3D('',#576693,#454393,#454394); #372203=AXIS2_PLACEMENT_3D('',#576696,#454396,#454397); #372204=AXIS2_PLACEMENT_3D('',#576697,#454398,#454399); #372205=AXIS2_PLACEMENT_3D('',#576703,#454403,#454404); #372206=AXIS2_PLACEMENT_3D('',#576712,#454409,#454410); #372207=AXIS2_PLACEMENT_3D('',#576741,#454425,#454426); #372208=AXIS2_PLACEMENT_3D('',#576750,#454431,#454432); #372209=AXIS2_PLACEMENT_3D('',#576756,#454436,#454437); #372210=AXIS2_PLACEMENT_3D('',#576765,#454441,#454442); #372211=AXIS2_PLACEMENT_3D('',#576769,#454444,#454445); #372212=AXIS2_PLACEMENT_3D('',#576776,#454449,#454450); #372213=AXIS2_PLACEMENT_3D('',#576777,#454451,#454452); #372214=AXIS2_PLACEMENT_3D('',#576778,#454453,#454454); #372215=AXIS2_PLACEMENT_3D('',#576781,#454457,#454458); #372216=AXIS2_PLACEMENT_3D('',#576784,#454461,#454462); #372217=AXIS2_PLACEMENT_3D('',#576795,#454468,#454469); #372218=AXIS2_PLACEMENT_3D('',#576798,#454471,#454472); #372219=AXIS2_PLACEMENT_3D('',#576799,#454473,#454474); #372220=AXIS2_PLACEMENT_3D('',#576808,#454479,#454480); #372221=AXIS2_PLACEMENT_3D('',#576811,#454483,#454484); #372222=AXIS2_PLACEMENT_3D('',#576814,#454487,#454488); #372223=AXIS2_PLACEMENT_3D('',#576817,#454491,#454492); #372224=AXIS2_PLACEMENT_3D('',#576819,#454493,#454494); #372225=AXIS2_PLACEMENT_3D('',#576822,#454496,#454497); #372226=AXIS2_PLACEMENT_3D('',#576823,#454498,#454499); #372227=AXIS2_PLACEMENT_3D('',#576830,#454504,#454505); #372228=AXIS2_PLACEMENT_3D('',#576831,#454506,#454507); #372229=AXIS2_PLACEMENT_3D('',#576832,#454508,#454509); #372230=AXIS2_PLACEMENT_3D('',#576833,#454510,#454511); #372231=AXIS2_PLACEMENT_3D('',#576836,#454513,#454514); #372232=AXIS2_PLACEMENT_3D('',#576837,#454515,#454516); #372233=AXIS2_PLACEMENT_3D('',#576841,#454520,#454521); #372234=AXIS2_PLACEMENT_3D('',#576859,#454532,#454533); #372235=AXIS2_PLACEMENT_3D('',#576861,#454534,#454535); #372236=AXIS2_PLACEMENT_3D('',#576865,#454537,#454538); #372237=AXIS2_PLACEMENT_3D('',#576868,#454540,#454541); #372238=AXIS2_PLACEMENT_3D('',#576869,#454542,#454543); #372239=AXIS2_PLACEMENT_3D('',#576875,#454547,#454548); #372240=AXIS2_PLACEMENT_3D('',#576879,#454550,#454551); #372241=AXIS2_PLACEMENT_3D('',#576882,#454553,#454554); #372242=AXIS2_PLACEMENT_3D('',#576883,#454555,#454556); #372243=AXIS2_PLACEMENT_3D('',#576884,#454557,#454558); #372244=AXIS2_PLACEMENT_3D('',#576896,#454566,#454567); #372245=AXIS2_PLACEMENT_3D('',#576901,#454569,#454570); #372246=AXIS2_PLACEMENT_3D('',#576905,#454572,#454573); #372247=AXIS2_PLACEMENT_3D('',#576909,#454575,#454576); #372248=AXIS2_PLACEMENT_3D('',#576912,#454578,#454579); #372249=AXIS2_PLACEMENT_3D('',#576921,#454584,#454585); #372250=AXIS2_PLACEMENT_3D('',#576927,#454589,#454590); #372251=AXIS2_PLACEMENT_3D('',#576933,#454594,#454595); #372252=AXIS2_PLACEMENT_3D('',#576935,#454596,#454597); #372253=AXIS2_PLACEMENT_3D('',#576937,#454598,#454599); #372254=AXIS2_PLACEMENT_3D('',#576939,#454601,#454602); #372255=AXIS2_PLACEMENT_3D('',#576945,#454606,#454607); #372256=AXIS2_PLACEMENT_3D('',#576951,#454611,#454612); #372257=AXIS2_PLACEMENT_3D('',#576960,#454617,#454618); #372258=AXIS2_PLACEMENT_3D('',#576969,#454623,#454624); #372259=AXIS2_PLACEMENT_3D('',#576975,#454628,#454629); #372260=AXIS2_PLACEMENT_3D('',#576976,#454630,#454631); #372261=AXIS2_PLACEMENT_3D('',#576977,#454632,#454633); #372262=AXIS2_PLACEMENT_3D('',#576978,#454634,#454635); #372263=AXIS2_PLACEMENT_3D('',#576984,#454639,#454640); #372264=AXIS2_PLACEMENT_3D('',#576990,#454644,#454645); #372265=AXIS2_PLACEMENT_3D('',#576993,#454648,#454649); #372266=AXIS2_PLACEMENT_3D('',#576995,#454651,#454652); #372267=AXIS2_PLACEMENT_3D('',#576997,#454654,#454655); #372268=AXIS2_PLACEMENT_3D('',#576999,#454657,#454658); #372269=AXIS2_PLACEMENT_3D('',#577001,#454660,#454661); #372270=AXIS2_PLACEMENT_3D('',#577003,#454663,#454664); #372271=AXIS2_PLACEMENT_3D('',#577005,#454666,#454667); #372272=AXIS2_PLACEMENT_3D('',#577006,#454668,#454669); #372273=AXIS2_PLACEMENT_3D('',#577008,#454671,#454672); #372274=AXIS2_PLACEMENT_3D('',#577010,#454674,#454675); #372275=AXIS2_PLACEMENT_3D('',#577012,#454677,#454678); #372276=AXIS2_PLACEMENT_3D('',#577013,#454679,#454680); #372277=AXIS2_PLACEMENT_3D('',#577015,#454682,#454683); #372278=AXIS2_PLACEMENT_3D('',#577016,#454684,#454685); #372279=AXIS2_PLACEMENT_3D('',#577017,#454686,#454687); #372280=AXIS2_PLACEMENT_3D('',#577018,#454688,#454689); #372281=AXIS2_PLACEMENT_3D('',#577019,#454690,#454691); #372282=AXIS2_PLACEMENT_3D('',#577020,#454692,#454693); #372283=AXIS2_PLACEMENT_3D('',#577021,#454694,#454695); #372284=AXIS2_PLACEMENT_3D('',#577022,#454696,#454697); #372285=AXIS2_PLACEMENT_3D('',#577023,#454698,#454699); #372286=AXIS2_PLACEMENT_3D('',#577024,#454700,#454701); #372287=AXIS2_PLACEMENT_3D('',#577026,#454703,#454704); #372288=AXIS2_PLACEMENT_3D('',#577028,#454706,#454707); #372289=AXIS2_PLACEMENT_3D('',#577029,#454708,#454709); #372290=AXIS2_PLACEMENT_3D('',#577031,#454711,#454712); #372291=AXIS2_PLACEMENT_3D('',#577033,#454714,#454715); #372292=AXIS2_PLACEMENT_3D('',#577034,#454716,#454717); #372293=AXIS2_PLACEMENT_3D('',#577036,#454719,#454720); #372294=AXIS2_PLACEMENT_3D('',#577043,#454725,#454726); #372295=AXIS2_PLACEMENT_3D('',#577045,#454728,#454729); #372296=AXIS2_PLACEMENT_3D('',#577046,#454730,#454731); #372297=AXIS2_PLACEMENT_3D('',#577057,#454738,#454739); #372298=AXIS2_PLACEMENT_3D('',#577066,#454744,#454745); #372299=AXIS2_PLACEMENT_3D('',#577069,#454748,#454749); #372300=AXIS2_PLACEMENT_3D('',#577071,#454751,#454752); #372301=AXIS2_PLACEMENT_3D('',#577078,#454757,#454758); #372302=AXIS2_PLACEMENT_3D('',#577080,#454760,#454761); #372303=AXIS2_PLACEMENT_3D('',#577081,#454762,#454763); #372304=AXIS2_PLACEMENT_3D('',#577083,#454765,#454766); #372305=AXIS2_PLACEMENT_3D('',#577085,#454768,#454769); #372306=AXIS2_PLACEMENT_3D('',#577086,#454770,#454771); #372307=AXIS2_PLACEMENT_3D('',#577088,#454773,#454774); #372308=AXIS2_PLACEMENT_3D('',#577092,#454777,#454778); #372309=AXIS2_PLACEMENT_3D('',#577101,#454784,#454785); #372310=AXIS2_PLACEMENT_3D('',#577103,#454787,#454788); #372311=AXIS2_PLACEMENT_3D('',#577105,#454790,#454791); #372312=AXIS2_PLACEMENT_3D('',#577107,#454792,#454793); #372313=AXIS2_PLACEMENT_3D('',#577109,#454794,#454795); #372314=AXIS2_PLACEMENT_3D('',#577110,#454796,#454797); #372315=AXIS2_PLACEMENT_3D('',#577113,#454799,#454800); #372316=AXIS2_PLACEMENT_3D('',#577114,#454801,#454802); #372317=AXIS2_PLACEMENT_3D('',#577116,#454803,#454804); #372318=AXIS2_PLACEMENT_3D('',#577117,#454805,#454806); #372319=AXIS2_PLACEMENT_3D('',#577120,#454808,#454809); #372320=AXIS2_PLACEMENT_3D('',#577121,#454810,#454811); #372321=AXIS2_PLACEMENT_3D('',#577123,#454812,#454813); #372322=AXIS2_PLACEMENT_3D('',#577124,#454814,#454815); #372323=AXIS2_PLACEMENT_3D('',#577126,#454817,#454818); #372324=AXIS2_PLACEMENT_3D('',#577128,#454820,#454821); #372325=AXIS2_PLACEMENT_3D('',#577129,#454822,#454823); #372326=AXIS2_PLACEMENT_3D('',#577133,#454826,#454827); #372327=AXIS2_PLACEMENT_3D('',#577140,#454828,#454829); #372328=AXIS2_PLACEMENT_3D('',#577146,#454830,#454831); #372329=AXIS2_PLACEMENT_3D('',#577148,#454833,#454834); #372330=AXIS2_PLACEMENT_3D('',#577149,#454835,#454836); #372331=AXIS2_PLACEMENT_3D('',#577151,#454838,#454839); #372332=AXIS2_PLACEMENT_3D('',#577153,#454841,#454842); #372333=AXIS2_PLACEMENT_3D('',#577154,#454843,#454844); #372334=AXIS2_PLACEMENT_3D('',#577167,#454857,#454858); #372335=AXIS2_PLACEMENT_3D('',#577169,#454860,#454861); #372336=AXIS2_PLACEMENT_3D('',#577170,#454862,#454863); #372337=AXIS2_PLACEMENT_3D('',#577172,#454865,#454866); #372338=AXIS2_PLACEMENT_3D('',#577173,#454867,#454868); #372339=AXIS2_PLACEMENT_3D('',#577175,#454870,#454871); #372340=AXIS2_PLACEMENT_3D('',#577176,#454872,#454873); #372341=AXIS2_PLACEMENT_3D('',#577178,#454875,#454876); #372342=AXIS2_PLACEMENT_3D('',#577179,#454877,#454878); #372343=AXIS2_PLACEMENT_3D('',#577181,#454880,#454881); #372344=AXIS2_PLACEMENT_3D('',#577182,#454882,#454883); #372345=AXIS2_PLACEMENT_3D('',#577184,#454885,#454886); #372346=AXIS2_PLACEMENT_3D('',#577185,#454887,#454888); #372347=AXIS2_PLACEMENT_3D('',#577187,#454890,#454891); #372348=AXIS2_PLACEMENT_3D('',#577188,#454892,#454893); #372349=AXIS2_PLACEMENT_3D('',#577190,#454895,#454896); #372350=AXIS2_PLACEMENT_3D('',#577191,#454897,#454898); #372351=AXIS2_PLACEMENT_3D('',#577193,#454900,#454901); #372352=AXIS2_PLACEMENT_3D('',#577194,#454902,#454903); #372353=AXIS2_PLACEMENT_3D('',#577196,#454905,#454906); #372354=AXIS2_PLACEMENT_3D('',#577197,#454907,#454908); #372355=AXIS2_PLACEMENT_3D('',#577199,#454910,#454911); #372356=AXIS2_PLACEMENT_3D('',#577200,#454912,#454913); #372357=AXIS2_PLACEMENT_3D('',#577202,#454915,#454916); #372358=AXIS2_PLACEMENT_3D('',#577203,#454917,#454918); #372359=AXIS2_PLACEMENT_3D('',#577213,#454924,#454925); #372360=AXIS2_PLACEMENT_3D('',#577217,#454928,#454929); #372361=AXIS2_PLACEMENT_3D('',#577224,#454934,#454935); #372362=AXIS2_PLACEMENT_3D('',#577230,#454940,#454941); #372363=AXIS2_PLACEMENT_3D('',#577232,#454943,#454944); #372364=AXIS2_PLACEMENT_3D('',#577238,#454948,#454949); #372365=AXIS2_PLACEMENT_3D('',#577247,#454955,#454956); #372366=AXIS2_PLACEMENT_3D('',#577277,#454972,#454973); #372367=AXIS2_PLACEMENT_3D('',#577281,#454976,#454977); #372368=AXIS2_PLACEMENT_3D('',#577285,#454980,#454981); #372369=AXIS2_PLACEMENT_3D('',#577288,#454984,#454985); #372370=AXIS2_PLACEMENT_3D('',#577289,#454986,#454987); #372371=AXIS2_PLACEMENT_3D('',#577293,#454990,#454991); #372372=AXIS2_PLACEMENT_3D('',#577297,#454994,#454995); #372373=AXIS2_PLACEMENT_3D('',#577300,#454998,#454999); #372374=AXIS2_PLACEMENT_3D('',#577301,#455000,#455001); #372375=AXIS2_PLACEMENT_3D('',#577305,#455004,#455005); #372376=AXIS2_PLACEMENT_3D('',#577309,#455008,#455009); #372377=AXIS2_PLACEMENT_3D('',#577313,#455012,#455013); #372378=AXIS2_PLACEMENT_3D('',#577317,#455016,#455017); #372379=AXIS2_PLACEMENT_3D('',#577321,#455020,#455021); #372380=AXIS2_PLACEMENT_3D('',#577325,#455024,#455025); #372381=AXIS2_PLACEMENT_3D('',#577329,#455028,#455029); #372382=AXIS2_PLACEMENT_3D('',#577333,#455032,#455033); #372383=AXIS2_PLACEMENT_3D('',#577337,#455036,#455037); #372384=AXIS2_PLACEMENT_3D('',#577341,#455040,#455041); #372385=AXIS2_PLACEMENT_3D('',#577345,#455044,#455045); #372386=AXIS2_PLACEMENT_3D('',#577349,#455048,#455049); #372387=AXIS2_PLACEMENT_3D('',#577353,#455052,#455053); #372388=AXIS2_PLACEMENT_3D('',#577357,#455056,#455057); #372389=AXIS2_PLACEMENT_3D('',#577359,#455059,#455060); #372390=AXIS2_PLACEMENT_3D('',#577363,#455063,#455064); #372391=AXIS2_PLACEMENT_3D('',#577367,#455067,#455068); #372392=AXIS2_PLACEMENT_3D('',#577371,#455071,#455072); #372393=AXIS2_PLACEMENT_3D('',#577375,#455075,#455076); #372394=AXIS2_PLACEMENT_3D('',#577379,#455079,#455080); #372395=AXIS2_PLACEMENT_3D('',#577383,#455083,#455084); #372396=AXIS2_PLACEMENT_3D('',#577387,#455087,#455088); #372397=AXIS2_PLACEMENT_3D('',#577391,#455091,#455092); #372398=AXIS2_PLACEMENT_3D('',#577395,#455095,#455096); #372399=AXIS2_PLACEMENT_3D('',#577399,#455099,#455100); #372400=AXIS2_PLACEMENT_3D('',#577403,#455103,#455104); #372401=AXIS2_PLACEMENT_3D('',#577407,#455107,#455108); #372402=AXIS2_PLACEMENT_3D('',#577411,#455111,#455112); #372403=AXIS2_PLACEMENT_3D('',#577415,#455115,#455116); #372404=AXIS2_PLACEMENT_3D('',#577417,#455118,#455119); #372405=AXIS2_PLACEMENT_3D('',#577418,#455120,#455121); #372406=AXIS2_PLACEMENT_3D('',#577420,#455123,#455124); #372407=AXIS2_PLACEMENT_3D('',#577422,#455126,#455127); #372408=AXIS2_PLACEMENT_3D('',#577423,#455128,#455129); #372409=AXIS2_PLACEMENT_3D('',#577425,#455131,#455132); #372410=AXIS2_PLACEMENT_3D('',#577427,#455134,#455135); #372411=AXIS2_PLACEMENT_3D('',#577428,#455136,#455137); #372412=AXIS2_PLACEMENT_3D('',#577429,#455138,#455139); #372413=AXIS2_PLACEMENT_3D('',#577431,#455141,#455142); #372414=AXIS2_PLACEMENT_3D('',#577432,#455143,#455144); #372415=AXIS2_PLACEMENT_3D('',#577433,#455145,#455146); #372416=AXIS2_PLACEMENT_3D('',#577434,#455147,#455148); #372417=AXIS2_PLACEMENT_3D('',#577443,#455153,#455154); #372418=AXIS2_PLACEMENT_3D('',#577447,#455156,#455157); #372419=AXIS2_PLACEMENT_3D('',#577451,#455159,#455160); #372420=AXIS2_PLACEMENT_3D('',#577459,#455164,#455165); #372421=AXIS2_PLACEMENT_3D('',#577463,#455167,#455168); #372422=AXIS2_PLACEMENT_3D('',#577465,#455170,#455171); #372423=AXIS2_PLACEMENT_3D('',#577474,#455175,#455176); #372424=AXIS2_PLACEMENT_3D('',#577478,#455178,#455179); #372425=AXIS2_PLACEMENT_3D('',#577486,#455183,#455184); #372426=AXIS2_PLACEMENT_3D('',#577489,#455186,#455187); #372427=AXIS2_PLACEMENT_3D('',#577490,#455188,#455189); #372428=AXIS2_PLACEMENT_3D('',#577496,#455193,#455194); #372429=AXIS2_PLACEMENT_3D('',#577506,#455200,#455201); #372430=AXIS2_PLACEMENT_3D('',#577512,#455205,#455206); #372431=AXIS2_PLACEMENT_3D('',#577519,#455211,#455212); #372432=AXIS2_PLACEMENT_3D('',#577522,#455215,#455216); #372433=AXIS2_PLACEMENT_3D('',#577525,#455219,#455220); #372434=AXIS2_PLACEMENT_3D('',#577528,#455223,#455224); #372435=AXIS2_PLACEMENT_3D('',#577530,#455226,#455227); #372436=AXIS2_PLACEMENT_3D('',#577532,#455229,#455230); #372437=AXIS2_PLACEMENT_3D('',#577534,#455232,#455233); #372438=AXIS2_PLACEMENT_3D('',#577535,#455234,#455235); #372439=AXIS2_PLACEMENT_3D('',#577537,#455237,#455238); #372440=AXIS2_PLACEMENT_3D('',#577539,#455240,#455241); #372441=AXIS2_PLACEMENT_3D('',#577541,#455243,#455244); #372442=AXIS2_PLACEMENT_3D('',#577542,#455245,#455246); #372443=AXIS2_PLACEMENT_3D('',#577543,#455247,#455248); #372444=AXIS2_PLACEMENT_3D('',#577544,#455249,#455250); #372445=AXIS2_PLACEMENT_3D('',#577545,#455251,#455252); #372446=AXIS2_PLACEMENT_3D('',#577554,#455257,#455258); #372447=AXIS2_PLACEMENT_3D('',#577558,#455260,#455261); #372448=AXIS2_PLACEMENT_3D('',#577562,#455263,#455264); #372449=AXIS2_PLACEMENT_3D('',#577570,#455268,#455269); #372450=AXIS2_PLACEMENT_3D('',#577574,#455271,#455272); #372451=AXIS2_PLACEMENT_3D('',#577576,#455274,#455275); #372452=AXIS2_PLACEMENT_3D('',#577585,#455279,#455280); #372453=AXIS2_PLACEMENT_3D('',#577589,#455282,#455283); #372454=AXIS2_PLACEMENT_3D('',#577597,#455287,#455288); #372455=AXIS2_PLACEMENT_3D('',#577600,#455290,#455291); #372456=AXIS2_PLACEMENT_3D('',#577601,#455292,#455293); #372457=AXIS2_PLACEMENT_3D('',#577607,#455297,#455298); #372458=AXIS2_PLACEMENT_3D('',#577617,#455304,#455305); #372459=AXIS2_PLACEMENT_3D('',#577623,#455309,#455310); #372460=AXIS2_PLACEMENT_3D('',#577630,#455315,#455316); #372461=AXIS2_PLACEMENT_3D('',#577633,#455319,#455320); #372462=AXIS2_PLACEMENT_3D('',#577636,#455323,#455324); #372463=AXIS2_PLACEMENT_3D('',#577639,#455327,#455328); #372464=AXIS2_PLACEMENT_3D('',#577641,#455330,#455331); #372465=AXIS2_PLACEMENT_3D('',#577643,#455333,#455334); #372466=AXIS2_PLACEMENT_3D('',#577645,#455336,#455337); #372467=AXIS2_PLACEMENT_3D('',#577646,#455338,#455339); #372468=AXIS2_PLACEMENT_3D('',#577648,#455341,#455342); #372469=AXIS2_PLACEMENT_3D('',#577650,#455344,#455345); #372470=AXIS2_PLACEMENT_3D('',#577652,#455347,#455348); #372471=AXIS2_PLACEMENT_3D('',#577653,#455349,#455350); #372472=AXIS2_PLACEMENT_3D('',#577654,#455351,#455352); #372473=AXIS2_PLACEMENT_3D('',#577655,#455353,#455354); #372474=AXIS2_PLACEMENT_3D('',#577656,#455355,#455356); #372475=AXIS2_PLACEMENT_3D('',#577665,#455361,#455362); #372476=AXIS2_PLACEMENT_3D('',#577669,#455364,#455365); #372477=AXIS2_PLACEMENT_3D('',#577673,#455367,#455368); #372478=AXIS2_PLACEMENT_3D('',#577681,#455372,#455373); #372479=AXIS2_PLACEMENT_3D('',#577685,#455375,#455376); #372480=AXIS2_PLACEMENT_3D('',#577687,#455378,#455379); #372481=AXIS2_PLACEMENT_3D('',#577696,#455383,#455384); #372482=AXIS2_PLACEMENT_3D('',#577700,#455386,#455387); #372483=AXIS2_PLACEMENT_3D('',#577708,#455391,#455392); #372484=AXIS2_PLACEMENT_3D('',#577711,#455394,#455395); #372485=AXIS2_PLACEMENT_3D('',#577712,#455396,#455397); #372486=AXIS2_PLACEMENT_3D('',#577718,#455401,#455402); #372487=AXIS2_PLACEMENT_3D('',#577728,#455408,#455409); #372488=AXIS2_PLACEMENT_3D('',#577734,#455413,#455414); #372489=AXIS2_PLACEMENT_3D('',#577741,#455419,#455420); #372490=AXIS2_PLACEMENT_3D('',#577744,#455423,#455424); #372491=AXIS2_PLACEMENT_3D('',#577747,#455427,#455428); #372492=AXIS2_PLACEMENT_3D('',#577750,#455431,#455432); #372493=AXIS2_PLACEMENT_3D('',#577752,#455434,#455435); #372494=AXIS2_PLACEMENT_3D('',#577754,#455437,#455438); #372495=AXIS2_PLACEMENT_3D('',#577756,#455440,#455441); #372496=AXIS2_PLACEMENT_3D('',#577757,#455442,#455443); #372497=AXIS2_PLACEMENT_3D('',#577759,#455445,#455446); #372498=AXIS2_PLACEMENT_3D('',#577761,#455448,#455449); #372499=AXIS2_PLACEMENT_3D('',#577763,#455451,#455452); #372500=AXIS2_PLACEMENT_3D('',#577764,#455453,#455454); #372501=AXIS2_PLACEMENT_3D('',#577765,#455455,#455456); #372502=AXIS2_PLACEMENT_3D('',#577766,#455457,#455458); #372503=AXIS2_PLACEMENT_3D('',#577767,#455459,#455460); #372504=AXIS2_PLACEMENT_3D('',#577776,#455465,#455466); #372505=AXIS2_PLACEMENT_3D('',#577780,#455468,#455469); #372506=AXIS2_PLACEMENT_3D('',#577784,#455471,#455472); #372507=AXIS2_PLACEMENT_3D('',#577792,#455476,#455477); #372508=AXIS2_PLACEMENT_3D('',#577796,#455479,#455480); #372509=AXIS2_PLACEMENT_3D('',#577798,#455482,#455483); #372510=AXIS2_PLACEMENT_3D('',#577807,#455487,#455488); #372511=AXIS2_PLACEMENT_3D('',#577811,#455490,#455491); #372512=AXIS2_PLACEMENT_3D('',#577819,#455495,#455496); #372513=AXIS2_PLACEMENT_3D('',#577822,#455498,#455499); #372514=AXIS2_PLACEMENT_3D('',#577823,#455500,#455501); #372515=AXIS2_PLACEMENT_3D('',#577829,#455505,#455506); #372516=AXIS2_PLACEMENT_3D('',#577839,#455512,#455513); #372517=AXIS2_PLACEMENT_3D('',#577845,#455517,#455518); #372518=AXIS2_PLACEMENT_3D('',#577852,#455523,#455524); #372519=AXIS2_PLACEMENT_3D('',#577855,#455527,#455528); #372520=AXIS2_PLACEMENT_3D('',#577858,#455531,#455532); #372521=AXIS2_PLACEMENT_3D('',#577861,#455535,#455536); #372522=AXIS2_PLACEMENT_3D('',#577863,#455538,#455539); #372523=AXIS2_PLACEMENT_3D('',#577865,#455541,#455542); #372524=AXIS2_PLACEMENT_3D('',#577867,#455544,#455545); #372525=AXIS2_PLACEMENT_3D('',#577868,#455546,#455547); #372526=AXIS2_PLACEMENT_3D('',#577870,#455549,#455550); #372527=AXIS2_PLACEMENT_3D('',#577872,#455552,#455553); #372528=AXIS2_PLACEMENT_3D('',#577874,#455555,#455556); #372529=AXIS2_PLACEMENT_3D('',#577875,#455557,#455558); #372530=AXIS2_PLACEMENT_3D('',#577876,#455559,#455560); #372531=AXIS2_PLACEMENT_3D('',#577877,#455561,#455562); #372532=AXIS2_PLACEMENT_3D('',#577878,#455563,#455564); #372533=AXIS2_PLACEMENT_3D('',#577887,#455569,#455570); #372534=AXIS2_PLACEMENT_3D('',#577891,#455572,#455573); #372535=AXIS2_PLACEMENT_3D('',#577895,#455575,#455576); #372536=AXIS2_PLACEMENT_3D('',#577903,#455580,#455581); #372537=AXIS2_PLACEMENT_3D('',#577907,#455583,#455584); #372538=AXIS2_PLACEMENT_3D('',#577909,#455586,#455587); #372539=AXIS2_PLACEMENT_3D('',#577918,#455591,#455592); #372540=AXIS2_PLACEMENT_3D('',#577922,#455594,#455595); #372541=AXIS2_PLACEMENT_3D('',#577930,#455599,#455600); #372542=AXIS2_PLACEMENT_3D('',#577933,#455602,#455603); #372543=AXIS2_PLACEMENT_3D('',#577934,#455604,#455605); #372544=AXIS2_PLACEMENT_3D('',#577940,#455609,#455610); #372545=AXIS2_PLACEMENT_3D('',#577950,#455616,#455617); #372546=AXIS2_PLACEMENT_3D('',#577956,#455621,#455622); #372547=AXIS2_PLACEMENT_3D('',#577963,#455627,#455628); #372548=AXIS2_PLACEMENT_3D('',#577966,#455631,#455632); #372549=AXIS2_PLACEMENT_3D('',#577969,#455635,#455636); #372550=AXIS2_PLACEMENT_3D('',#577972,#455639,#455640); #372551=AXIS2_PLACEMENT_3D('',#577974,#455642,#455643); #372552=AXIS2_PLACEMENT_3D('',#577976,#455645,#455646); #372553=AXIS2_PLACEMENT_3D('',#577978,#455648,#455649); #372554=AXIS2_PLACEMENT_3D('',#577979,#455650,#455651); #372555=AXIS2_PLACEMENT_3D('',#577981,#455653,#455654); #372556=AXIS2_PLACEMENT_3D('',#577983,#455656,#455657); #372557=AXIS2_PLACEMENT_3D('',#577985,#455659,#455660); #372558=AXIS2_PLACEMENT_3D('',#577986,#455661,#455662); #372559=AXIS2_PLACEMENT_3D('',#577987,#455663,#455664); #372560=AXIS2_PLACEMENT_3D('',#577988,#455665,#455666); #372561=AXIS2_PLACEMENT_3D('',#577989,#455667,#455668); #372562=AXIS2_PLACEMENT_3D('',#577998,#455673,#455674); #372563=AXIS2_PLACEMENT_3D('',#578002,#455676,#455677); #372564=AXIS2_PLACEMENT_3D('',#578006,#455679,#455680); #372565=AXIS2_PLACEMENT_3D('',#578014,#455684,#455685); #372566=AXIS2_PLACEMENT_3D('',#578018,#455687,#455688); #372567=AXIS2_PLACEMENT_3D('',#578020,#455690,#455691); #372568=AXIS2_PLACEMENT_3D('',#578029,#455695,#455696); #372569=AXIS2_PLACEMENT_3D('',#578033,#455698,#455699); #372570=AXIS2_PLACEMENT_3D('',#578041,#455703,#455704); #372571=AXIS2_PLACEMENT_3D('',#578044,#455706,#455707); #372572=AXIS2_PLACEMENT_3D('',#578045,#455708,#455709); #372573=AXIS2_PLACEMENT_3D('',#578051,#455713,#455714); #372574=AXIS2_PLACEMENT_3D('',#578061,#455720,#455721); #372575=AXIS2_PLACEMENT_3D('',#578067,#455725,#455726); #372576=AXIS2_PLACEMENT_3D('',#578074,#455731,#455732); #372577=AXIS2_PLACEMENT_3D('',#578077,#455735,#455736); #372578=AXIS2_PLACEMENT_3D('',#578080,#455739,#455740); #372579=AXIS2_PLACEMENT_3D('',#578083,#455743,#455744); #372580=AXIS2_PLACEMENT_3D('',#578085,#455746,#455747); #372581=AXIS2_PLACEMENT_3D('',#578087,#455749,#455750); #372582=AXIS2_PLACEMENT_3D('',#578089,#455752,#455753); #372583=AXIS2_PLACEMENT_3D('',#578090,#455754,#455755); #372584=AXIS2_PLACEMENT_3D('',#578092,#455757,#455758); #372585=AXIS2_PLACEMENT_3D('',#578094,#455760,#455761); #372586=AXIS2_PLACEMENT_3D('',#578096,#455763,#455764); #372587=AXIS2_PLACEMENT_3D('',#578097,#455765,#455766); #372588=AXIS2_PLACEMENT_3D('',#578098,#455767,#455768); #372589=AXIS2_PLACEMENT_3D('',#578099,#455769,#455770); #372590=AXIS2_PLACEMENT_3D('',#578100,#455771,#455772); #372591=AXIS2_PLACEMENT_3D('',#578109,#455777,#455778); #372592=AXIS2_PLACEMENT_3D('',#578113,#455780,#455781); #372593=AXIS2_PLACEMENT_3D('',#578117,#455783,#455784); #372594=AXIS2_PLACEMENT_3D('',#578125,#455788,#455789); #372595=AXIS2_PLACEMENT_3D('',#578129,#455791,#455792); #372596=AXIS2_PLACEMENT_3D('',#578131,#455794,#455795); #372597=AXIS2_PLACEMENT_3D('',#578140,#455799,#455800); #372598=AXIS2_PLACEMENT_3D('',#578144,#455802,#455803); #372599=AXIS2_PLACEMENT_3D('',#578152,#455807,#455808); #372600=AXIS2_PLACEMENT_3D('',#578155,#455810,#455811); #372601=AXIS2_PLACEMENT_3D('',#578156,#455812,#455813); #372602=AXIS2_PLACEMENT_3D('',#578162,#455817,#455818); #372603=AXIS2_PLACEMENT_3D('',#578172,#455824,#455825); #372604=AXIS2_PLACEMENT_3D('',#578178,#455829,#455830); #372605=AXIS2_PLACEMENT_3D('',#578185,#455835,#455836); #372606=AXIS2_PLACEMENT_3D('',#578188,#455839,#455840); #372607=AXIS2_PLACEMENT_3D('',#578191,#455843,#455844); #372608=AXIS2_PLACEMENT_3D('',#578194,#455847,#455848); #372609=AXIS2_PLACEMENT_3D('',#578196,#455850,#455851); #372610=AXIS2_PLACEMENT_3D('',#578198,#455853,#455854); #372611=AXIS2_PLACEMENT_3D('',#578200,#455856,#455857); #372612=AXIS2_PLACEMENT_3D('',#578201,#455858,#455859); #372613=AXIS2_PLACEMENT_3D('',#578203,#455861,#455862); #372614=AXIS2_PLACEMENT_3D('',#578205,#455864,#455865); #372615=AXIS2_PLACEMENT_3D('',#578207,#455867,#455868); #372616=AXIS2_PLACEMENT_3D('',#578208,#455869,#455870); #372617=AXIS2_PLACEMENT_3D('',#578209,#455871,#455872); #372618=AXIS2_PLACEMENT_3D('',#578210,#455873,#455874); #372619=AXIS2_PLACEMENT_3D('',#578211,#455875,#455876); #372620=AXIS2_PLACEMENT_3D('',#578220,#455881,#455882); #372621=AXIS2_PLACEMENT_3D('',#578226,#455885,#455886); #372622=AXIS2_PLACEMENT_3D('',#578230,#455888,#455889); #372623=AXIS2_PLACEMENT_3D('',#578238,#455893,#455894); #372624=AXIS2_PLACEMENT_3D('',#578241,#455896,#455897); #372625=AXIS2_PLACEMENT_3D('',#578242,#455898,#455899); #372626=AXIS2_PLACEMENT_3D('',#578247,#455901,#455902); #372627=AXIS2_PLACEMENT_3D('',#578251,#455904,#455905); #372628=AXIS2_PLACEMENT_3D('',#578259,#455909,#455910); #372629=AXIS2_PLACEMENT_3D('',#578263,#455912,#455913); #372630=AXIS2_PLACEMENT_3D('',#578267,#455916,#455917); #372631=AXIS2_PLACEMENT_3D('',#578273,#455921,#455922); #372632=AXIS2_PLACEMENT_3D('',#578283,#455928,#455929); #372633=AXIS2_PLACEMENT_3D('',#578289,#455933,#455934); #372634=AXIS2_PLACEMENT_3D('',#578296,#455939,#455940); #372635=AXIS2_PLACEMENT_3D('',#578299,#455943,#455944); #372636=AXIS2_PLACEMENT_3D('',#578302,#455947,#455948); #372637=AXIS2_PLACEMENT_3D('',#578305,#455951,#455952); #372638=AXIS2_PLACEMENT_3D('',#578307,#455954,#455955); #372639=AXIS2_PLACEMENT_3D('',#578309,#455957,#455958); #372640=AXIS2_PLACEMENT_3D('',#578311,#455960,#455961); #372641=AXIS2_PLACEMENT_3D('',#578312,#455962,#455963); #372642=AXIS2_PLACEMENT_3D('',#578314,#455965,#455966); #372643=AXIS2_PLACEMENT_3D('',#578316,#455968,#455969); #372644=AXIS2_PLACEMENT_3D('',#578318,#455971,#455972); #372645=AXIS2_PLACEMENT_3D('',#578319,#455973,#455974); #372646=AXIS2_PLACEMENT_3D('',#578320,#455975,#455976); #372647=AXIS2_PLACEMENT_3D('',#578321,#455977,#455978); #372648=AXIS2_PLACEMENT_3D('',#578322,#455979,#455980); #372649=AXIS2_PLACEMENT_3D('',#578331,#455985,#455986); #372650=AXIS2_PLACEMENT_3D('',#578337,#455989,#455990); #372651=AXIS2_PLACEMENT_3D('',#578341,#455992,#455993); #372652=AXIS2_PLACEMENT_3D('',#578349,#455997,#455998); #372653=AXIS2_PLACEMENT_3D('',#578352,#456000,#456001); #372654=AXIS2_PLACEMENT_3D('',#578353,#456002,#456003); #372655=AXIS2_PLACEMENT_3D('',#578358,#456005,#456006); #372656=AXIS2_PLACEMENT_3D('',#578362,#456008,#456009); #372657=AXIS2_PLACEMENT_3D('',#578370,#456013,#456014); #372658=AXIS2_PLACEMENT_3D('',#578374,#456016,#456017); #372659=AXIS2_PLACEMENT_3D('',#578378,#456020,#456021); #372660=AXIS2_PLACEMENT_3D('',#578384,#456025,#456026); #372661=AXIS2_PLACEMENT_3D('',#578394,#456032,#456033); #372662=AXIS2_PLACEMENT_3D('',#578400,#456037,#456038); #372663=AXIS2_PLACEMENT_3D('',#578407,#456043,#456044); #372664=AXIS2_PLACEMENT_3D('',#578410,#456047,#456048); #372665=AXIS2_PLACEMENT_3D('',#578413,#456051,#456052); #372666=AXIS2_PLACEMENT_3D('',#578416,#456055,#456056); #372667=AXIS2_PLACEMENT_3D('',#578418,#456058,#456059); #372668=AXIS2_PLACEMENT_3D('',#578420,#456061,#456062); #372669=AXIS2_PLACEMENT_3D('',#578422,#456064,#456065); #372670=AXIS2_PLACEMENT_3D('',#578423,#456066,#456067); #372671=AXIS2_PLACEMENT_3D('',#578425,#456069,#456070); #372672=AXIS2_PLACEMENT_3D('',#578427,#456072,#456073); #372673=AXIS2_PLACEMENT_3D('',#578429,#456075,#456076); #372674=AXIS2_PLACEMENT_3D('',#578430,#456077,#456078); #372675=AXIS2_PLACEMENT_3D('',#578431,#456079,#456080); #372676=AXIS2_PLACEMENT_3D('',#578432,#456081,#456082); #372677=AXIS2_PLACEMENT_3D('',#578433,#456083,#456084); #372678=AXIS2_PLACEMENT_3D('',#578442,#456089,#456090); #372679=AXIS2_PLACEMENT_3D('',#578448,#456093,#456094); #372680=AXIS2_PLACEMENT_3D('',#578452,#456096,#456097); #372681=AXIS2_PLACEMENT_3D('',#578460,#456101,#456102); #372682=AXIS2_PLACEMENT_3D('',#578463,#456104,#456105); #372683=AXIS2_PLACEMENT_3D('',#578464,#456106,#456107); #372684=AXIS2_PLACEMENT_3D('',#578469,#456109,#456110); #372685=AXIS2_PLACEMENT_3D('',#578473,#456112,#456113); #372686=AXIS2_PLACEMENT_3D('',#578481,#456117,#456118); #372687=AXIS2_PLACEMENT_3D('',#578485,#456120,#456121); #372688=AXIS2_PLACEMENT_3D('',#578489,#456124,#456125); #372689=AXIS2_PLACEMENT_3D('',#578495,#456129,#456130); #372690=AXIS2_PLACEMENT_3D('',#578505,#456136,#456137); #372691=AXIS2_PLACEMENT_3D('',#578511,#456141,#456142); #372692=AXIS2_PLACEMENT_3D('',#578518,#456147,#456148); #372693=AXIS2_PLACEMENT_3D('',#578521,#456151,#456152); #372694=AXIS2_PLACEMENT_3D('',#578524,#456155,#456156); #372695=AXIS2_PLACEMENT_3D('',#578527,#456159,#456160); #372696=AXIS2_PLACEMENT_3D('',#578529,#456162,#456163); #372697=AXIS2_PLACEMENT_3D('',#578531,#456165,#456166); #372698=AXIS2_PLACEMENT_3D('',#578533,#456168,#456169); #372699=AXIS2_PLACEMENT_3D('',#578534,#456170,#456171); #372700=AXIS2_PLACEMENT_3D('',#578536,#456173,#456174); #372701=AXIS2_PLACEMENT_3D('',#578538,#456176,#456177); #372702=AXIS2_PLACEMENT_3D('',#578540,#456179,#456180); #372703=AXIS2_PLACEMENT_3D('',#578541,#456181,#456182); #372704=AXIS2_PLACEMENT_3D('',#578542,#456183,#456184); #372705=AXIS2_PLACEMENT_3D('',#578543,#456185,#456186); #372706=AXIS2_PLACEMENT_3D('',#578544,#456187,#456188); #372707=AXIS2_PLACEMENT_3D('',#578553,#456193,#456194); #372708=AXIS2_PLACEMENT_3D('',#578559,#456197,#456198); #372709=AXIS2_PLACEMENT_3D('',#578563,#456200,#456201); #372710=AXIS2_PLACEMENT_3D('',#578571,#456205,#456206); #372711=AXIS2_PLACEMENT_3D('',#578574,#456208,#456209); #372712=AXIS2_PLACEMENT_3D('',#578575,#456210,#456211); #372713=AXIS2_PLACEMENT_3D('',#578580,#456213,#456214); #372714=AXIS2_PLACEMENT_3D('',#578584,#456216,#456217); #372715=AXIS2_PLACEMENT_3D('',#578592,#456221,#456222); #372716=AXIS2_PLACEMENT_3D('',#578596,#456224,#456225); #372717=AXIS2_PLACEMENT_3D('',#578600,#456228,#456229); #372718=AXIS2_PLACEMENT_3D('',#578606,#456233,#456234); #372719=AXIS2_PLACEMENT_3D('',#578616,#456240,#456241); #372720=AXIS2_PLACEMENT_3D('',#578622,#456245,#456246); #372721=AXIS2_PLACEMENT_3D('',#578629,#456251,#456252); #372722=AXIS2_PLACEMENT_3D('',#578632,#456255,#456256); #372723=AXIS2_PLACEMENT_3D('',#578635,#456259,#456260); #372724=AXIS2_PLACEMENT_3D('',#578638,#456263,#456264); #372725=AXIS2_PLACEMENT_3D('',#578640,#456266,#456267); #372726=AXIS2_PLACEMENT_3D('',#578642,#456269,#456270); #372727=AXIS2_PLACEMENT_3D('',#578644,#456272,#456273); #372728=AXIS2_PLACEMENT_3D('',#578645,#456274,#456275); #372729=AXIS2_PLACEMENT_3D('',#578647,#456277,#456278); #372730=AXIS2_PLACEMENT_3D('',#578649,#456280,#456281); #372731=AXIS2_PLACEMENT_3D('',#578651,#456283,#456284); #372732=AXIS2_PLACEMENT_3D('',#578652,#456285,#456286); #372733=AXIS2_PLACEMENT_3D('',#578653,#456287,#456288); #372734=AXIS2_PLACEMENT_3D('',#578654,#456289,#456290); #372735=AXIS2_PLACEMENT_3D('',#578655,#456291,#456292); #372736=AXIS2_PLACEMENT_3D('',#578664,#456297,#456298); #372737=AXIS2_PLACEMENT_3D('',#578670,#456301,#456302); #372738=AXIS2_PLACEMENT_3D('',#578674,#456304,#456305); #372739=AXIS2_PLACEMENT_3D('',#578682,#456309,#456310); #372740=AXIS2_PLACEMENT_3D('',#578685,#456312,#456313); #372741=AXIS2_PLACEMENT_3D('',#578686,#456314,#456315); #372742=AXIS2_PLACEMENT_3D('',#578691,#456317,#456318); #372743=AXIS2_PLACEMENT_3D('',#578695,#456320,#456321); #372744=AXIS2_PLACEMENT_3D('',#578703,#456325,#456326); #372745=AXIS2_PLACEMENT_3D('',#578707,#456328,#456329); #372746=AXIS2_PLACEMENT_3D('',#578711,#456332,#456333); #372747=AXIS2_PLACEMENT_3D('',#578717,#456337,#456338); #372748=AXIS2_PLACEMENT_3D('',#578727,#456344,#456345); #372749=AXIS2_PLACEMENT_3D('',#578733,#456349,#456350); #372750=AXIS2_PLACEMENT_3D('',#578740,#456355,#456356); #372751=AXIS2_PLACEMENT_3D('',#578743,#456359,#456360); #372752=AXIS2_PLACEMENT_3D('',#578746,#456363,#456364); #372753=AXIS2_PLACEMENT_3D('',#578749,#456367,#456368); #372754=AXIS2_PLACEMENT_3D('',#578751,#456370,#456371); #372755=AXIS2_PLACEMENT_3D('',#578753,#456373,#456374); #372756=AXIS2_PLACEMENT_3D('',#578755,#456376,#456377); #372757=AXIS2_PLACEMENT_3D('',#578756,#456378,#456379); #372758=AXIS2_PLACEMENT_3D('',#578758,#456381,#456382); #372759=AXIS2_PLACEMENT_3D('',#578760,#456384,#456385); #372760=AXIS2_PLACEMENT_3D('',#578762,#456387,#456388); #372761=AXIS2_PLACEMENT_3D('',#578763,#456389,#456390); #372762=AXIS2_PLACEMENT_3D('',#578764,#456391,#456392); #372763=AXIS2_PLACEMENT_3D('',#578765,#456393,#456394); #372764=AXIS2_PLACEMENT_3D('',#578766,#456395,#456396); #372765=AXIS2_PLACEMENT_3D('',#578775,#456401,#456402); #372766=AXIS2_PLACEMENT_3D('',#578781,#456405,#456406); #372767=AXIS2_PLACEMENT_3D('',#578785,#456408,#456409); #372768=AXIS2_PLACEMENT_3D('',#578793,#456413,#456414); #372769=AXIS2_PLACEMENT_3D('',#578796,#456416,#456417); #372770=AXIS2_PLACEMENT_3D('',#578797,#456418,#456419); #372771=AXIS2_PLACEMENT_3D('',#578802,#456421,#456422); #372772=AXIS2_PLACEMENT_3D('',#578806,#456424,#456425); #372773=AXIS2_PLACEMENT_3D('',#578814,#456429,#456430); #372774=AXIS2_PLACEMENT_3D('',#578818,#456432,#456433); #372775=AXIS2_PLACEMENT_3D('',#578822,#456436,#456437); #372776=AXIS2_PLACEMENT_3D('',#578828,#456441,#456442); #372777=AXIS2_PLACEMENT_3D('',#578838,#456448,#456449); #372778=AXIS2_PLACEMENT_3D('',#578844,#456453,#456454); #372779=AXIS2_PLACEMENT_3D('',#578851,#456459,#456460); #372780=AXIS2_PLACEMENT_3D('',#578854,#456463,#456464); #372781=AXIS2_PLACEMENT_3D('',#578857,#456467,#456468); #372782=AXIS2_PLACEMENT_3D('',#578860,#456471,#456472); #372783=AXIS2_PLACEMENT_3D('',#578862,#456474,#456475); #372784=AXIS2_PLACEMENT_3D('',#578864,#456477,#456478); #372785=AXIS2_PLACEMENT_3D('',#578866,#456480,#456481); #372786=AXIS2_PLACEMENT_3D('',#578867,#456482,#456483); #372787=AXIS2_PLACEMENT_3D('',#578869,#456485,#456486); #372788=AXIS2_PLACEMENT_3D('',#578871,#456488,#456489); #372789=AXIS2_PLACEMENT_3D('',#578873,#456491,#456492); #372790=AXIS2_PLACEMENT_3D('',#578874,#456493,#456494); #372791=AXIS2_PLACEMENT_3D('',#578875,#456495,#456496); #372792=AXIS2_PLACEMENT_3D('',#578876,#456497,#456498); #372793=AXIS2_PLACEMENT_3D('',#578877,#456499,#456500); #372794=AXIS2_PLACEMENT_3D('',#578886,#456505,#456506); #372795=AXIS2_PLACEMENT_3D('',#578892,#456509,#456510); #372796=AXIS2_PLACEMENT_3D('',#578896,#456512,#456513); #372797=AXIS2_PLACEMENT_3D('',#578904,#456517,#456518); #372798=AXIS2_PLACEMENT_3D('',#578907,#456520,#456521); #372799=AXIS2_PLACEMENT_3D('',#578908,#456522,#456523); #372800=AXIS2_PLACEMENT_3D('',#578913,#456525,#456526); #372801=AXIS2_PLACEMENT_3D('',#578917,#456528,#456529); #372802=AXIS2_PLACEMENT_3D('',#578925,#456533,#456534); #372803=AXIS2_PLACEMENT_3D('',#578929,#456536,#456537); #372804=AXIS2_PLACEMENT_3D('',#578933,#456540,#456541); #372805=AXIS2_PLACEMENT_3D('',#578939,#456545,#456546); #372806=AXIS2_PLACEMENT_3D('',#578949,#456552,#456553); #372807=AXIS2_PLACEMENT_3D('',#578955,#456557,#456558); #372808=AXIS2_PLACEMENT_3D('',#578962,#456563,#456564); #372809=AXIS2_PLACEMENT_3D('',#578965,#456567,#456568); #372810=AXIS2_PLACEMENT_3D('',#578968,#456571,#456572); #372811=AXIS2_PLACEMENT_3D('',#578971,#456575,#456576); #372812=AXIS2_PLACEMENT_3D('',#578973,#456578,#456579); #372813=AXIS2_PLACEMENT_3D('',#578975,#456581,#456582); #372814=AXIS2_PLACEMENT_3D('',#578977,#456584,#456585); #372815=AXIS2_PLACEMENT_3D('',#578978,#456586,#456587); #372816=AXIS2_PLACEMENT_3D('',#578980,#456589,#456590); #372817=AXIS2_PLACEMENT_3D('',#578982,#456592,#456593); #372818=AXIS2_PLACEMENT_3D('',#578984,#456595,#456596); #372819=AXIS2_PLACEMENT_3D('',#578985,#456597,#456598); #372820=AXIS2_PLACEMENT_3D('',#578986,#456599,#456600); #372821=AXIS2_PLACEMENT_3D('',#578987,#456601,#456602); #372822=AXIS2_PLACEMENT_3D('',#578988,#456603,#456604); #372823=AXIS2_PLACEMENT_3D('',#578997,#456609,#456610); #372824=AXIS2_PLACEMENT_3D('',#579003,#456614,#456615); #372825=AXIS2_PLACEMENT_3D('',#579009,#456619,#456620); #372826=AXIS2_PLACEMENT_3D('',#579015,#456624,#456625); #372827=AXIS2_PLACEMENT_3D('',#579017,#456626,#456627); #372828=AXIS2_PLACEMENT_3D('',#579020,#456629,#456630); #372829=AXIS2_PLACEMENT_3D('',#579021,#456631,#456632); #372830=AXIS2_PLACEMENT_3D('',#579027,#456636,#456637); #372831=AXIS2_PLACEMENT_3D('',#579033,#456641,#456642); #372832=AXIS2_PLACEMENT_3D('',#579039,#456646,#456647); #372833=AXIS2_PLACEMENT_3D('',#579041,#456648,#456649); #372834=AXIS2_PLACEMENT_3D('',#579044,#456651,#456652); #372835=AXIS2_PLACEMENT_3D('',#579045,#456653,#456654); #372836=AXIS2_PLACEMENT_3D('',#579051,#456658,#456659); #372837=AXIS2_PLACEMENT_3D('',#579053,#456660,#456661); #372838=AXIS2_PLACEMENT_3D('',#579056,#456663,#456664); #372839=AXIS2_PLACEMENT_3D('',#579057,#456665,#456666); #372840=AXIS2_PLACEMENT_3D('',#579059,#456667,#456668); #372841=AXIS2_PLACEMENT_3D('',#579062,#456670,#456671); #372842=AXIS2_PLACEMENT_3D('',#579063,#456672,#456673); #372843=AXIS2_PLACEMENT_3D('',#579069,#456677,#456678); #372844=AXIS2_PLACEMENT_3D('',#579071,#456679,#456680); #372845=AXIS2_PLACEMENT_3D('',#579074,#456682,#456683); #372846=AXIS2_PLACEMENT_3D('',#579075,#456684,#456685); #372847=AXIS2_PLACEMENT_3D('',#579081,#456689,#456690); #372848=AXIS2_PLACEMENT_3D('',#579083,#456691,#456692); #372849=AXIS2_PLACEMENT_3D('',#579086,#456694,#456695); #372850=AXIS2_PLACEMENT_3D('',#579087,#456696,#456697); #372851=AXIS2_PLACEMENT_3D('',#579093,#456701,#456702); #372852=AXIS2_PLACEMENT_3D('',#579099,#456706,#456707); #372853=AXIS2_PLACEMENT_3D('',#579105,#456711,#456712); #372854=AXIS2_PLACEMENT_3D('',#579111,#456716,#456717); #372855=AXIS2_PLACEMENT_3D('',#579117,#456721,#456722); #372856=AXIS2_PLACEMENT_3D('',#579123,#456726,#456727); #372857=AXIS2_PLACEMENT_3D('',#579129,#456731,#456732); #372858=AXIS2_PLACEMENT_3D('',#579131,#456733,#456734); #372859=AXIS2_PLACEMENT_3D('',#579134,#456736,#456737); #372860=AXIS2_PLACEMENT_3D('',#579135,#456738,#456739); #372861=AXIS2_PLACEMENT_3D('',#579141,#456743,#456744); #372862=AXIS2_PLACEMENT_3D('',#579143,#456745,#456746); #372863=AXIS2_PLACEMENT_3D('',#579146,#456748,#456749); #372864=AXIS2_PLACEMENT_3D('',#579147,#456750,#456751); #372865=AXIS2_PLACEMENT_3D('',#579153,#456755,#456756); #372866=AXIS2_PLACEMENT_3D('',#579155,#456757,#456758); #372867=AXIS2_PLACEMENT_3D('',#579158,#456760,#456761); #372868=AXIS2_PLACEMENT_3D('',#579159,#456762,#456763); #372869=AXIS2_PLACEMENT_3D('',#579161,#456764,#456765); #372870=AXIS2_PLACEMENT_3D('',#579164,#456767,#456768); #372871=AXIS2_PLACEMENT_3D('',#579165,#456769,#456770); #372872=AXIS2_PLACEMENT_3D('',#579171,#456774,#456775); #372873=AXIS2_PLACEMENT_3D('',#579173,#456776,#456777); #372874=AXIS2_PLACEMENT_3D('',#579176,#456779,#456780); #372875=AXIS2_PLACEMENT_3D('',#579177,#456781,#456782); #372876=AXIS2_PLACEMENT_3D('',#579183,#456786,#456787); #372877=AXIS2_PLACEMENT_3D('',#579189,#456791,#456792); #372878=AXIS2_PLACEMENT_3D('',#579195,#456796,#456797); #372879=AXIS2_PLACEMENT_3D('',#579197,#456798,#456799); #372880=AXIS2_PLACEMENT_3D('',#579200,#456801,#456802); #372881=AXIS2_PLACEMENT_3D('',#579201,#456803,#456804); #372882=AXIS2_PLACEMENT_3D('',#579204,#456807,#456808); #372883=AXIS2_PLACEMENT_3D('',#579205,#456809,#456810); #372884=AXIS2_PLACEMENT_3D('',#579206,#456811,#456812); #372885=AXIS2_PLACEMENT_3D('',#579207,#456813,#456814); #372886=AXIS2_PLACEMENT_3D('',#579216,#456819,#456820); #372887=AXIS2_PLACEMENT_3D('',#579225,#456825,#456826); #372888=AXIS2_PLACEMENT_3D('',#579228,#456827,#456828); #372889=AXIS2_PLACEMENT_3D('',#579232,#456830,#456831); #372890=AXIS2_PLACEMENT_3D('',#579236,#456833,#456834); #372891=AXIS2_PLACEMENT_3D('',#579240,#456836,#456837); #372892=AXIS2_PLACEMENT_3D('',#579244,#456839,#456840); #372893=AXIS2_PLACEMENT_3D('',#579248,#456842,#456843); #372894=AXIS2_PLACEMENT_3D('',#579252,#456845,#456846); #372895=AXIS2_PLACEMENT_3D('',#579256,#456848,#456849); #372896=AXIS2_PLACEMENT_3D('',#579266,#456854,#456855); #372897=AXIS2_PLACEMENT_3D('',#579272,#456858,#456859); #372898=AXIS2_PLACEMENT_3D('',#579276,#456861,#456862); #372899=AXIS2_PLACEMENT_3D('',#579280,#456864,#456865); #372900=AXIS2_PLACEMENT_3D('',#579282,#456866,#456867); #372901=AXIS2_PLACEMENT_3D('',#579286,#456869,#456870); #372902=AXIS2_PLACEMENT_3D('',#579290,#456872,#456873); #372903=AXIS2_PLACEMENT_3D('',#579294,#456875,#456876); #372904=AXIS2_PLACEMENT_3D('',#579300,#456879,#456880); #372905=AXIS2_PLACEMENT_3D('',#579320,#456891,#456892); #372906=AXIS2_PLACEMENT_3D('',#579325,#456894,#456895); #372907=AXIS2_PLACEMENT_3D('',#579331,#456898,#456899); #372908=AXIS2_PLACEMENT_3D('',#579337,#456902,#456903); #372909=AXIS2_PLACEMENT_3D('',#579341,#456905,#456906); #372910=AXIS2_PLACEMENT_3D('',#579345,#456908,#456909); #372911=AXIS2_PLACEMENT_3D('',#579349,#456911,#456912); #372912=AXIS2_PLACEMENT_3D('',#579351,#456913,#456914); #372913=AXIS2_PLACEMENT_3D('',#579355,#456916,#456917); #372914=AXIS2_PLACEMENT_3D('',#579359,#456919,#456920); #372915=AXIS2_PLACEMENT_3D('',#579365,#456923,#456924); #372916=AXIS2_PLACEMENT_3D('',#579375,#456929,#456930); #372917=AXIS2_PLACEMENT_3D('',#579379,#456932,#456933); #372918=AXIS2_PLACEMENT_3D('',#579383,#456935,#456936); #372919=AXIS2_PLACEMENT_3D('',#579387,#456938,#456939); #372920=AXIS2_PLACEMENT_3D('',#579391,#456941,#456942); #372921=AXIS2_PLACEMENT_3D('',#579395,#456944,#456945); #372922=AXIS2_PLACEMENT_3D('',#579398,#456947,#456948); #372923=AXIS2_PLACEMENT_3D('',#579415,#456957,#456958); #372924=AXIS2_PLACEMENT_3D('',#579418,#456961,#456962); #372925=AXIS2_PLACEMENT_3D('',#579423,#456966,#456967); #372926=AXIS2_PLACEMENT_3D('',#579426,#456968,#456969); #372927=AXIS2_PLACEMENT_3D('',#579432,#456972,#456973); #372928=AXIS2_PLACEMENT_3D('',#579434,#456975,#456976); #372929=AXIS2_PLACEMENT_3D('',#579437,#456977,#456978); #372930=AXIS2_PLACEMENT_3D('',#579443,#456981,#456982); #372931=AXIS2_PLACEMENT_3D('',#579445,#456984,#456985); #372932=AXIS2_PLACEMENT_3D('',#579448,#456986,#456987); #372933=AXIS2_PLACEMENT_3D('',#579453,#456990,#456991); #372934=AXIS2_PLACEMENT_3D('',#579496,#457014,#457015); #372935=AXIS2_PLACEMENT_3D('',#579501,#457017,#457018); #372936=AXIS2_PLACEMENT_3D('',#579505,#457020,#457021); #372937=AXIS2_PLACEMENT_3D('',#579507,#457022,#457023); #372938=AXIS2_PLACEMENT_3D('',#579511,#457025,#457026); #372939=AXIS2_PLACEMENT_3D('',#579515,#457028,#457029); #372940=AXIS2_PLACEMENT_3D('',#579519,#457031,#457032); #372941=AXIS2_PLACEMENT_3D('',#579523,#457034,#457035); #372942=AXIS2_PLACEMENT_3D('',#579531,#457039,#457040); #372943=AXIS2_PLACEMENT_3D('',#579533,#457041,#457042); #372944=AXIS2_PLACEMENT_3D('',#579545,#457048,#457049); #372945=AXIS2_PLACEMENT_3D('',#579549,#457051,#457052); #372946=AXIS2_PLACEMENT_3D('',#579553,#457054,#457055); #372947=AXIS2_PLACEMENT_3D('',#579557,#457057,#457058); #372948=AXIS2_PLACEMENT_3D('',#579561,#457060,#457061); #372949=AXIS2_PLACEMENT_3D('',#579565,#457063,#457064); #372950=AXIS2_PLACEMENT_3D('',#579567,#457065,#457066); #372951=AXIS2_PLACEMENT_3D('',#579569,#457067,#457068); #372952=AXIS2_PLACEMENT_3D('',#579573,#457070,#457071); #372953=AXIS2_PLACEMENT_3D('',#579577,#457073,#457074); #372954=AXIS2_PLACEMENT_3D('',#579589,#457081,#457082); #372955=AXIS2_PLACEMENT_3D('',#579594,#457084,#457085); #372956=AXIS2_PLACEMENT_3D('',#579602,#457089,#457090); #372957=AXIS2_PLACEMENT_3D('',#579606,#457093,#457094); #372958=AXIS2_PLACEMENT_3D('',#579609,#457095,#457096); #372959=AXIS2_PLACEMENT_3D('',#579612,#457098,#457099); #372960=AXIS2_PLACEMENT_3D('',#579616,#457101,#457102); #372961=AXIS2_PLACEMENT_3D('',#579620,#457104,#457105); #372962=AXIS2_PLACEMENT_3D('',#579624,#457107,#457108); #372963=AXIS2_PLACEMENT_3D('',#579626,#457109,#457110); #372964=AXIS2_PLACEMENT_3D('',#579630,#457112,#457113); #372965=AXIS2_PLACEMENT_3D('',#579634,#457115,#457116); #372966=AXIS2_PLACEMENT_3D('',#579640,#457119,#457120); #372967=AXIS2_PLACEMENT_3D('',#579650,#457125,#457126); #372968=AXIS2_PLACEMENT_3D('',#579654,#457128,#457129); #372969=AXIS2_PLACEMENT_3D('',#579658,#457131,#457132); #372970=AXIS2_PLACEMENT_3D('',#579661,#457134,#457135); #372971=AXIS2_PLACEMENT_3D('',#579663,#457136,#457137); #372972=AXIS2_PLACEMENT_3D('',#579667,#457139,#457140); #372973=AXIS2_PLACEMENT_3D('',#579671,#457142,#457143); #372974=AXIS2_PLACEMENT_3D('',#579674,#457145,#457146); #372975=AXIS2_PLACEMENT_3D('',#579692,#457156,#457157); #372976=AXIS2_PLACEMENT_3D('',#579698,#457161,#457162); #372977=AXIS2_PLACEMENT_3D('',#579704,#457166,#457167); #372978=AXIS2_PLACEMENT_3D('',#579710,#457171,#457172); #372979=AXIS2_PLACEMENT_3D('',#579716,#457176,#457177); #372980=AXIS2_PLACEMENT_3D('',#579719,#457178,#457179); #372981=AXIS2_PLACEMENT_3D('',#579721,#457180,#457181); #372982=AXIS2_PLACEMENT_3D('',#579723,#457182,#457183); #372983=AXIS2_PLACEMENT_3D('',#579729,#457187,#457188); #372984=AXIS2_PLACEMENT_3D('',#579733,#457190,#457191); #372985=AXIS2_PLACEMENT_3D('',#579737,#457194,#457195); #372986=AXIS2_PLACEMENT_3D('',#579738,#457196,#457197); #372987=AXIS2_PLACEMENT_3D('',#579749,#457202,#457203); #372988=AXIS2_PLACEMENT_3D('',#579751,#457204,#457205); #372989=AXIS2_PLACEMENT_3D('',#579759,#457209,#457210); #372990=AXIS2_PLACEMENT_3D('',#579763,#457212,#457213); #372991=AXIS2_PLACEMENT_3D('',#579767,#457215,#457216); #372992=AXIS2_PLACEMENT_3D('',#579771,#457218,#457219); #372993=AXIS2_PLACEMENT_3D('',#579775,#457221,#457222); #372994=AXIS2_PLACEMENT_3D('',#579777,#457223,#457224); #372995=AXIS2_PLACEMENT_3D('',#579781,#457226,#457227); #372996=AXIS2_PLACEMENT_3D('',#579789,#457231,#457232); #372997=AXIS2_PLACEMENT_3D('',#579793,#457234,#457235); #372998=AXIS2_PLACEMENT_3D('',#579797,#457237,#457238); #372999=AXIS2_PLACEMENT_3D('',#579799,#457239,#457240); #373000=AXIS2_PLACEMENT_3D('',#579801,#457241,#457242); #373001=AXIS2_PLACEMENT_3D('',#579805,#457244,#457245); #373002=AXIS2_PLACEMENT_3D('',#579809,#457247,#457248); #373003=AXIS2_PLACEMENT_3D('',#579813,#457250,#457251); #373004=AXIS2_PLACEMENT_3D('',#579817,#457253,#457254); #373005=AXIS2_PLACEMENT_3D('',#579821,#457256,#457257); #373006=AXIS2_PLACEMENT_3D('',#579831,#457263,#457264); #373007=AXIS2_PLACEMENT_3D('',#579836,#457268,#457269); #373008=AXIS2_PLACEMENT_3D('',#579839,#457272,#457273); #373009=AXIS2_PLACEMENT_3D('',#579842,#457276,#457277); #373010=AXIS2_PLACEMENT_3D('',#579848,#457281,#457282); #373011=AXIS2_PLACEMENT_3D('',#579854,#457286,#457287); #373012=AXIS2_PLACEMENT_3D('',#579867,#457293,#457294); #373013=AXIS2_PLACEMENT_3D('',#579871,#457296,#457297); #373014=AXIS2_PLACEMENT_3D('',#579875,#457299,#457300); #373015=AXIS2_PLACEMENT_3D('',#579879,#457302,#457303); #373016=AXIS2_PLACEMENT_3D('',#579883,#457305,#457306); #373017=AXIS2_PLACEMENT_3D('',#579887,#457308,#457309); #373018=AXIS2_PLACEMENT_3D('',#579889,#457310,#457311); #373019=AXIS2_PLACEMENT_3D('',#579891,#457312,#457313); #373020=AXIS2_PLACEMENT_3D('',#579895,#457315,#457316); #373021=AXIS2_PLACEMENT_3D('',#579899,#457318,#457319); #373022=AXIS2_PLACEMENT_3D('',#579907,#457323,#457324); #373023=AXIS2_PLACEMENT_3D('',#579911,#457326,#457327); #373024=AXIS2_PLACEMENT_3D('',#579913,#457328,#457329); #373025=AXIS2_PLACEMENT_3D('',#579917,#457331,#457332); #373026=AXIS2_PLACEMENT_3D('',#579921,#457334,#457335); #373027=AXIS2_PLACEMENT_3D('',#579925,#457337,#457338); #373028=AXIS2_PLACEMENT_3D('',#579929,#457340,#457341); #373029=AXIS2_PLACEMENT_3D('',#579941,#457347,#457348); #373030=AXIS2_PLACEMENT_3D('',#579944,#457350,#457351); #373031=AXIS2_PLACEMENT_3D('',#579945,#457352,#457353); #373032=AXIS2_PLACEMENT_3D('',#579947,#457354,#457355); #373033=AXIS2_PLACEMENT_3D('',#579953,#457358,#457359); #373034=AXIS2_PLACEMENT_3D('',#579954,#457360,#457361); #373035=AXIS2_PLACEMENT_3D('',#579955,#457362,#457363); #373036=AXIS2_PLACEMENT_3D('',#579959,#457365,#457366); #373037=AXIS2_PLACEMENT_3D('',#579962,#457368,#457369); #373038=AXIS2_PLACEMENT_3D('',#579966,#457371,#457372); #373039=AXIS2_PLACEMENT_3D('',#579970,#457374,#457375); #373040=AXIS2_PLACEMENT_3D('',#579973,#457377,#457378); #373041=AXIS2_PLACEMENT_3D('',#579975,#457379,#457380); #373042=AXIS2_PLACEMENT_3D('',#579979,#457382,#457383); #373043=AXIS2_PLACEMENT_3D('',#579983,#457385,#457386); #373044=AXIS2_PLACEMENT_3D('',#579987,#457388,#457389); #373045=AXIS2_PLACEMENT_3D('',#579997,#457394,#457395); #373046=AXIS2_PLACEMENT_3D('',#580003,#457398,#457399); #373047=AXIS2_PLACEMENT_3D('',#580007,#457401,#457402); #373048=AXIS2_PLACEMENT_3D('',#580011,#457404,#457405); #373049=AXIS2_PLACEMENT_3D('',#580013,#457406,#457407); #373050=AXIS2_PLACEMENT_3D('',#580017,#457409,#457410); #373051=AXIS2_PLACEMENT_3D('',#580021,#457412,#457413); #373052=AXIS2_PLACEMENT_3D('',#580024,#457415,#457416); #373053=AXIS2_PLACEMENT_3D('',#580035,#457423,#457424); #373054=AXIS2_PLACEMENT_3D('',#580036,#457425,#457426); #373055=AXIS2_PLACEMENT_3D('',#580037,#457427,#457428); #373056=AXIS2_PLACEMENT_3D('',#580038,#457429,#457430); #373057=AXIS2_PLACEMENT_3D('',#580040,#457431,#457432); #373058=AXIS2_PLACEMENT_3D('',#580056,#457433,#457434); #373059=AXIS2_PLACEMENT_3D('',#580057,#457435,#457436); #373060=AXIS2_PLACEMENT_3D('',#580059,#457437,#457438); #373061=AXIS2_PLACEMENT_3D('',#580061,#457439,#457440); #373062=AXIS2_PLACEMENT_3D('',#580063,#457442,#457443); #373063=AXIS2_PLACEMENT_3D('',#580070,#457444,#457445); #373064=AXIS2_PLACEMENT_3D('',#580074,#457447,#457448); #373065=AXIS2_PLACEMENT_3D('',#580085,#457449,#457450); #373066=AXIS2_PLACEMENT_3D('',#580086,#457451,#457452); #373067=AXIS2_PLACEMENT_3D('',#580087,#457453,#457454); #373068=AXIS2_PLACEMENT_3D('',#580088,#457455,#457456); #373069=AXIS2_PLACEMENT_3D('',#580089,#457457,#457458); #373070=AXIS2_PLACEMENT_3D('',#580090,#457459,#457460); #373071=AXIS2_PLACEMENT_3D('',#580091,#457461,#457462); #373072=AXIS2_PLACEMENT_3D('',#580094,#457465,#457466); #373073=AXIS2_PLACEMENT_3D('',#580099,#457470,#457471); #373074=AXIS2_PLACEMENT_3D('',#580102,#457473,#457474); #373075=AXIS2_PLACEMENT_3D('',#580105,#457476,#457477); #373076=AXIS2_PLACEMENT_3D('',#580106,#457478,#457479); #373077=AXIS2_PLACEMENT_3D('',#580110,#457482,#457483); #373078=AXIS2_PLACEMENT_3D('',#580114,#457485,#457486); #373079=AXIS2_PLACEMENT_3D('',#580118,#457488,#457489); #373080=AXIS2_PLACEMENT_3D('',#580122,#457491,#457492); #373081=AXIS2_PLACEMENT_3D('',#580126,#457494,#457495); #373082=AXIS2_PLACEMENT_3D('',#580129,#457497,#457498); #373083=AXIS2_PLACEMENT_3D('',#580133,#457500,#457501); #373084=AXIS2_PLACEMENT_3D('',#580135,#457503,#457504); #373085=AXIS2_PLACEMENT_3D('',#580136,#457505,#457506); #373086=AXIS2_PLACEMENT_3D('',#580137,#457507,#457508); #373087=AXIS2_PLACEMENT_3D('',#580138,#457509,#457510); #373088=AXIS2_PLACEMENT_3D('',#580139,#457511,#457512); #373089=AXIS2_PLACEMENT_3D('',#580145,#457513,#457514); #373090=AXIS2_PLACEMENT_3D('',#580147,#457515,#457516); #373091=AXIS2_PLACEMENT_3D('',#580158,#457517,#457518); #373092=AXIS2_PLACEMENT_3D('',#580165,#457522,#457523); #373093=AXIS2_PLACEMENT_3D('',#580169,#457525,#457526); #373094=AXIS2_PLACEMENT_3D('',#580173,#457528,#457529); #373095=AXIS2_PLACEMENT_3D('',#580177,#457531,#457532); #373096=AXIS2_PLACEMENT_3D('',#580181,#457534,#457535); #373097=AXIS2_PLACEMENT_3D('',#580183,#457536,#457537); #373098=AXIS2_PLACEMENT_3D('',#580187,#457539,#457540); #373099=AXIS2_PLACEMENT_3D('',#580192,#457543,#457544); #373100=AXIS2_PLACEMENT_3D('',#580196,#457546,#457547); #373101=AXIS2_PLACEMENT_3D('',#580200,#457549,#457550); #373102=AXIS2_PLACEMENT_3D('',#580202,#457551,#457552); #373103=AXIS2_PLACEMENT_3D('',#580204,#457553,#457554); #373104=AXIS2_PLACEMENT_3D('',#580208,#457556,#457557); #373105=AXIS2_PLACEMENT_3D('',#580212,#457559,#457560); #373106=AXIS2_PLACEMENT_3D('',#580216,#457562,#457563); #373107=AXIS2_PLACEMENT_3D('',#580220,#457565,#457566); #373108=AXIS2_PLACEMENT_3D('',#580224,#457568,#457569); #373109=AXIS2_PLACEMENT_3D('',#580234,#457574,#457575); #373110=AXIS2_PLACEMENT_3D('',#580237,#457577,#457578); #373111=AXIS2_PLACEMENT_3D('',#580238,#457579,#457580); #373112=AXIS2_PLACEMENT_3D('',#580246,#457582,#457583); #373113=AXIS2_PLACEMENT_3D('',#580249,#457585,#457586); #373114=AXIS2_PLACEMENT_3D('',#580253,#457589,#457590); #373115=AXIS2_PLACEMENT_3D('',#580274,#457603,#457604); #373116=AXIS2_PLACEMENT_3D('',#580281,#457605,#457606); #373117=AXIS2_PLACEMENT_3D('',#580283,#457607,#457608); #373118=AXIS2_PLACEMENT_3D('',#580299,#457609,#457610); #373119=AXIS2_PLACEMENT_3D('',#580300,#457611,#457612); #373120=AXIS2_PLACEMENT_3D('',#580304,#457615,#457616); #373121=AXIS2_PLACEMENT_3D('',#580308,#457619,#457620); #373122=AXIS2_PLACEMENT_3D('',#580311,#457623,#457624); #373123=AXIS2_PLACEMENT_3D('',#580313,#457626,#457627); #373124=AXIS2_PLACEMENT_3D('',#580315,#457628,#457629); #373125=AXIS2_PLACEMENT_3D('',#580322,#457633,#457634); #373126=AXIS2_PLACEMENT_3D('',#580324,#457636,#457637); #373127=AXIS2_PLACEMENT_3D('',#580326,#457639,#457640); #373128=AXIS2_PLACEMENT_3D('',#580328,#457642,#457643); #373129=AXIS2_PLACEMENT_3D('',#580330,#457645,#457646); #373130=AXIS2_PLACEMENT_3D('',#580332,#457648,#457649); #373131=AXIS2_PLACEMENT_3D('',#580333,#457650,#457651); #373132=AXIS2_PLACEMENT_3D('',#580334,#457652,#457653); #373133=AXIS2_PLACEMENT_3D('',#580337,#457656,#457657); #373134=AXIS2_PLACEMENT_3D('',#580339,#457659,#457660); #373135=AXIS2_PLACEMENT_3D('',#580341,#457662,#457663); #373136=AXIS2_PLACEMENT_3D('',#580342,#457664,#457665); #373137=AXIS2_PLACEMENT_3D('',#580345,#457668,#457669); #373138=AXIS2_PLACEMENT_3D('',#580347,#457671,#457672); #373139=AXIS2_PLACEMENT_3D('',#580348,#457673,#457674); #373140=AXIS2_PLACEMENT_3D('',#580350,#457676,#457677); #373141=AXIS2_PLACEMENT_3D('',#580354,#457680,#457681); #373142=AXIS2_PLACEMENT_3D('',#580357,#457684,#457685); #373143=AXIS2_PLACEMENT_3D('',#580360,#457688,#457689); #373144=AXIS2_PLACEMENT_3D('',#580362,#457691,#457692); #373145=AXIS2_PLACEMENT_3D('',#580364,#457694,#457695); #373146=AXIS2_PLACEMENT_3D('',#580366,#457697,#457698); #373147=AXIS2_PLACEMENT_3D('',#580368,#457700,#457701); #373148=AXIS2_PLACEMENT_3D('',#580370,#457703,#457704); #373149=AXIS2_PLACEMENT_3D('',#580372,#457706,#457707); #373150=AXIS2_PLACEMENT_3D('',#580374,#457709,#457710); #373151=AXIS2_PLACEMENT_3D('',#580376,#457712,#457713); #373152=AXIS2_PLACEMENT_3D('',#580377,#457714,#457715); #373153=AXIS2_PLACEMENT_3D('',#580380,#457718,#457719); #373154=AXIS2_PLACEMENT_3D('',#580383,#457722,#457723); #373155=AXIS2_PLACEMENT_3D('',#580385,#457725,#457726); #373156=AXIS2_PLACEMENT_3D('',#580387,#457728,#457729); #373157=AXIS2_PLACEMENT_3D('',#580389,#457731,#457732); #373158=AXIS2_PLACEMENT_3D('',#580391,#457734,#457735); #373159=AXIS2_PLACEMENT_3D('',#580393,#457737,#457738); #373160=AXIS2_PLACEMENT_3D('',#580395,#457740,#457741); #373161=AXIS2_PLACEMENT_3D('',#580397,#457743,#457744); #373162=AXIS2_PLACEMENT_3D('',#580399,#457746,#457747); #373163=AXIS2_PLACEMENT_3D('',#580400,#457748,#457749); #373164=AXIS2_PLACEMENT_3D('',#580403,#457752,#457753); #373165=AXIS2_PLACEMENT_3D('',#580405,#457755,#457756); #373166=AXIS2_PLACEMENT_3D('',#580407,#457758,#457759); #373167=AXIS2_PLACEMENT_3D('',#580409,#457761,#457762); #373168=AXIS2_PLACEMENT_3D('',#580411,#457764,#457765); #373169=AXIS2_PLACEMENT_3D('',#580413,#457767,#457768); #373170=AXIS2_PLACEMENT_3D('',#580415,#457770,#457771); #373171=AXIS2_PLACEMENT_3D('',#580417,#457773,#457774); #373172=AXIS2_PLACEMENT_3D('',#580419,#457776,#457777); #373173=AXIS2_PLACEMENT_3D('',#580421,#457779,#457780); #373174=AXIS2_PLACEMENT_3D('',#580423,#457782,#457783); #373175=AXIS2_PLACEMENT_3D('',#580425,#457785,#457786); #373176=AXIS2_PLACEMENT_3D('',#580427,#457788,#457789); #373177=AXIS2_PLACEMENT_3D('',#580429,#457791,#457792); #373178=AXIS2_PLACEMENT_3D('',#580431,#457794,#457795); #373179=AXIS2_PLACEMENT_3D('',#580433,#457797,#457798); #373180=AXIS2_PLACEMENT_3D('',#580435,#457800,#457801); #373181=AXIS2_PLACEMENT_3D('',#580437,#457803,#457804); #373182=AXIS2_PLACEMENT_3D('',#580441,#457807,#457808); #373183=AXIS2_PLACEMENT_3D('',#580444,#457811,#457812); #373184=AXIS2_PLACEMENT_3D('',#580446,#457814,#457815); #373185=AXIS2_PLACEMENT_3D('',#580448,#457817,#457818); #373186=AXIS2_PLACEMENT_3D('',#580450,#457820,#457821); #373187=AXIS2_PLACEMENT_3D('',#580452,#457823,#457824); #373188=AXIS2_PLACEMENT_3D('',#580454,#457826,#457827); #373189=AXIS2_PLACEMENT_3D('',#580456,#457829,#457830); #373190=AXIS2_PLACEMENT_3D('',#580458,#457832,#457833); #373191=AXIS2_PLACEMENT_3D('',#580460,#457835,#457836); #373192=AXIS2_PLACEMENT_3D('',#580462,#457838,#457839); #373193=AXIS2_PLACEMENT_3D('',#580464,#457841,#457842); #373194=AXIS2_PLACEMENT_3D('',#580466,#457844,#457845); #373195=AXIS2_PLACEMENT_3D('',#580467,#457846,#457847); #373196=AXIS2_PLACEMENT_3D('',#580468,#457848,#457849); #373197=AXIS2_PLACEMENT_3D('',#580469,#457850,#457851); #373198=AXIS2_PLACEMENT_3D('',#580470,#457852,#457853); #373199=AXIS2_PLACEMENT_3D('',#580472,#457855,#457856); #373200=AXIS2_PLACEMENT_3D('',#580474,#457858,#457859); #373201=AXIS2_PLACEMENT_3D('',#580476,#457861,#457862); #373202=AXIS2_PLACEMENT_3D('',#580478,#457864,#457865); #373203=AXIS2_PLACEMENT_3D('',#580480,#457867,#457868); #373204=AXIS2_PLACEMENT_3D('',#580482,#457870,#457871); #373205=AXIS2_PLACEMENT_3D('',#580484,#457873,#457874); #373206=AXIS2_PLACEMENT_3D('',#580486,#457876,#457877); #373207=AXIS2_PLACEMENT_3D('',#580487,#457878,#457879); #373208=AXIS2_PLACEMENT_3D('',#580490,#457882,#457883); #373209=AXIS2_PLACEMENT_3D('',#580491,#457884,#457885); #373210=AXIS2_PLACEMENT_3D('',#580494,#457888,#457889); #373211=AXIS2_PLACEMENT_3D('',#580495,#457890,#457891); #373212=AXIS2_PLACEMENT_3D('',#580497,#457892,#457893); #373213=AXIS2_PLACEMENT_3D('',#580501,#457895,#457896); #373214=AXIS2_PLACEMENT_3D('',#580505,#457898,#457899); #373215=AXIS2_PLACEMENT_3D('',#580508,#457901,#457902); #373216=AXIS2_PLACEMENT_3D('',#580510,#457903,#457904); #373217=AXIS2_PLACEMENT_3D('',#580514,#457906,#457907); #373218=AXIS2_PLACEMENT_3D('',#580518,#457909,#457910); #373219=AXIS2_PLACEMENT_3D('',#580521,#457912,#457913); #373220=AXIS2_PLACEMENT_3D('',#580522,#457914,#457915); #373221=AXIS2_PLACEMENT_3D('',#580527,#457917,#457918); #373222=AXIS2_PLACEMENT_3D('',#580531,#457920,#457921); #373223=AXIS2_PLACEMENT_3D('',#580534,#457923,#457924); #373224=AXIS2_PLACEMENT_3D('',#580536,#457925,#457926); #373225=AXIS2_PLACEMENT_3D('',#580540,#457928,#457929); #373226=AXIS2_PLACEMENT_3D('',#580544,#457931,#457932); #373227=AXIS2_PLACEMENT_3D('',#580547,#457934,#457935); #373228=AXIS2_PLACEMENT_3D('',#580548,#457936,#457937); #373229=AXIS2_PLACEMENT_3D('',#580549,#457938,#457939); #373230=AXIS2_PLACEMENT_3D('',#580551,#457941,#457942); #373231=AXIS2_PLACEMENT_3D('',#580553,#457944,#457945); #373232=AXIS2_PLACEMENT_3D('',#580555,#457947,#457948); #373233=AXIS2_PLACEMENT_3D('',#580557,#457950,#457951); #373234=AXIS2_PLACEMENT_3D('',#580559,#457953,#457954); #373235=AXIS2_PLACEMENT_3D('',#580561,#457956,#457957); #373236=AXIS2_PLACEMENT_3D('',#580562,#457958,#457959); #373237=AXIS2_PLACEMENT_3D('',#580564,#457961,#457962); #373238=AXIS2_PLACEMENT_3D('',#580566,#457964,#457965); #373239=AXIS2_PLACEMENT_3D('',#580568,#457967,#457968); #373240=AXIS2_PLACEMENT_3D('',#580570,#457970,#457971); #373241=AXIS2_PLACEMENT_3D('',#580572,#457973,#457974); #373242=AXIS2_PLACEMENT_3D('',#580574,#457976,#457977); #373243=AXIS2_PLACEMENT_3D('',#580575,#457978,#457979); #373244=AXIS2_PLACEMENT_3D('',#580577,#457981,#457982); #373245=AXIS2_PLACEMENT_3D('',#580580,#457985,#457986); #373246=AXIS2_PLACEMENT_3D('',#580581,#457987,#457988); #373247=AXIS2_PLACEMENT_3D('',#580583,#457990,#457991); #373248=AXIS2_PLACEMENT_3D('',#580585,#457992,#457993); #373249=AXIS2_PLACEMENT_3D('',#580589,#457995,#457996); #373250=AXIS2_PLACEMENT_3D('',#580593,#457998,#457999); #373251=AXIS2_PLACEMENT_3D('',#580596,#458001,#458002); #373252=AXIS2_PLACEMENT_3D('',#580598,#458003,#458004); #373253=AXIS2_PLACEMENT_3D('',#580602,#458006,#458007); #373254=AXIS2_PLACEMENT_3D('',#580606,#458009,#458010); #373255=AXIS2_PLACEMENT_3D('',#580609,#458012,#458013); #373256=AXIS2_PLACEMENT_3D('',#580610,#458014,#458015); #373257=AXIS2_PLACEMENT_3D('',#580615,#458017,#458018); #373258=AXIS2_PLACEMENT_3D('',#580619,#458020,#458021); #373259=AXIS2_PLACEMENT_3D('',#580622,#458023,#458024); #373260=AXIS2_PLACEMENT_3D('',#580624,#458025,#458026); #373261=AXIS2_PLACEMENT_3D('',#580628,#458028,#458029); #373262=AXIS2_PLACEMENT_3D('',#580632,#458031,#458032); #373263=AXIS2_PLACEMENT_3D('',#580635,#458034,#458035); #373264=AXIS2_PLACEMENT_3D('',#580636,#458036,#458037); #373265=AXIS2_PLACEMENT_3D('',#580637,#458038,#458039); #373266=AXIS2_PLACEMENT_3D('',#580639,#458041,#458042); #373267=AXIS2_PLACEMENT_3D('',#580641,#458044,#458045); #373268=AXIS2_PLACEMENT_3D('',#580643,#458047,#458048); #373269=AXIS2_PLACEMENT_3D('',#580645,#458050,#458051); #373270=AXIS2_PLACEMENT_3D('',#580647,#458053,#458054); #373271=AXIS2_PLACEMENT_3D('',#580649,#458056,#458057); #373272=AXIS2_PLACEMENT_3D('',#580650,#458058,#458059); #373273=AXIS2_PLACEMENT_3D('',#580652,#458061,#458062); #373274=AXIS2_PLACEMENT_3D('',#580654,#458064,#458065); #373275=AXIS2_PLACEMENT_3D('',#580656,#458067,#458068); #373276=AXIS2_PLACEMENT_3D('',#580658,#458070,#458071); #373277=AXIS2_PLACEMENT_3D('',#580660,#458073,#458074); #373278=AXIS2_PLACEMENT_3D('',#580662,#458076,#458077); #373279=AXIS2_PLACEMENT_3D('',#580663,#458078,#458079); #373280=AXIS2_PLACEMENT_3D('',#580672,#458084,#458085); #373281=AXIS2_PLACEMENT_3D('',#580674,#458086,#458087); #373282=AXIS2_PLACEMENT_3D('',#580676,#458088,#458089); #373283=AXIS2_PLACEMENT_3D('',#580678,#458091,#458092); #373284=AXIS2_PLACEMENT_3D('',#580684,#458096,#458097); #373285=AXIS2_PLACEMENT_3D('',#580685,#458098,#458099); #373286=AXIS2_PLACEMENT_3D('',#580686,#458100,#458101); #373287=AXIS2_PLACEMENT_3D('',#580687,#458102,#458103); #373288=AXIS2_PLACEMENT_3D('',#580690,#458105,#458106); #373289=AXIS2_PLACEMENT_3D('',#580691,#458107,#458108); #373290=AXIS2_PLACEMENT_3D('',#580695,#458110,#458111); #373291=AXIS2_PLACEMENT_3D('',#580697,#458113,#458114); #373292=AXIS2_PLACEMENT_3D('',#580749,#458116,#458117); #373293=AXIS2_PLACEMENT_3D('',#580750,#458118,#458119); #373294=AXIS2_PLACEMENT_3D('',#580751,#458120,#458121); #373295=AXIS2_PLACEMENT_3D('',#580755,#458123,#458124); #373296=AXIS2_PLACEMENT_3D('',#580756,#458125,#458126); #373297=AXIS2_PLACEMENT_3D('',#580757,#458127,#458128); #373298=AXIS2_PLACEMENT_3D('',#580763,#458132,#458133); #373299=AXIS2_PLACEMENT_3D('',#580764,#458134,#458135); #373300=AXIS2_PLACEMENT_3D('',#580765,#458136,#458137); #373301=AXIS2_PLACEMENT_3D('',#580766,#458138,#458139); #373302=AXIS2_PLACEMENT_3D('',#580767,#458140,#458141); #373303=AXIS2_PLACEMENT_3D('',#580768,#458142,#458143); #373304=AXIS2_PLACEMENT_3D('',#580769,#458144,#458145); #373305=AXIS2_PLACEMENT_3D('',#580770,#458146,#458147); #373306=AXIS2_PLACEMENT_3D('',#580771,#458148,#458149); #373307=AXIS2_PLACEMENT_3D('',#580772,#458150,#458151); #373308=AXIS2_PLACEMENT_3D('',#580778,#458155,#458156); #373309=AXIS2_PLACEMENT_3D('',#580784,#458160,#458161); #373310=AXIS2_PLACEMENT_3D('',#580787,#458164,#458165); #373311=AXIS2_PLACEMENT_3D('',#580788,#458166,#458167); #373312=AXIS2_PLACEMENT_3D('',#580789,#458168,#458169); #373313=AXIS2_PLACEMENT_3D('',#580792,#458172,#458173); #373314=AXIS2_PLACEMENT_3D('',#580795,#458176,#458177); #373315=AXIS2_PLACEMENT_3D('',#580797,#458179,#458180); #373316=AXIS2_PLACEMENT_3D('',#580799,#458182,#458183); #373317=AXIS2_PLACEMENT_3D('',#580801,#458185,#458186); #373318=AXIS2_PLACEMENT_3D('',#580803,#458188,#458189); #373319=AXIS2_PLACEMENT_3D('',#580805,#458191,#458192); #373320=AXIS2_PLACEMENT_3D('',#580807,#458194,#458195); #373321=AXIS2_PLACEMENT_3D('',#580809,#458197,#458198); #373322=AXIS2_PLACEMENT_3D('',#580811,#458200,#458201); #373323=AXIS2_PLACEMENT_3D('',#580813,#458203,#458204); #373324=AXIS2_PLACEMENT_3D('',#580815,#458206,#458207); #373325=AXIS2_PLACEMENT_3D('',#580817,#458209,#458210); #373326=AXIS2_PLACEMENT_3D('',#580819,#458212,#458213); #373327=AXIS2_PLACEMENT_3D('',#580820,#458214,#458215); #373328=AXIS2_PLACEMENT_3D('',#580823,#458218,#458219); #373329=AXIS2_PLACEMENT_3D('',#580825,#458221,#458222); #373330=AXIS2_PLACEMENT_3D('',#580827,#458224,#458225); #373331=AXIS2_PLACEMENT_3D('',#580829,#458227,#458228); #373332=AXIS2_PLACEMENT_3D('',#580831,#458230,#458231); #373333=AXIS2_PLACEMENT_3D('',#580833,#458233,#458234); #373334=AXIS2_PLACEMENT_3D('',#580835,#458236,#458237); #373335=AXIS2_PLACEMENT_3D('',#580837,#458239,#458240); #373336=AXIS2_PLACEMENT_3D('',#580839,#458242,#458243); #373337=AXIS2_PLACEMENT_3D('',#580841,#458245,#458246); #373338=AXIS2_PLACEMENT_3D('',#580843,#458248,#458249); #373339=AXIS2_PLACEMENT_3D('',#580847,#458252,#458253); #373340=AXIS2_PLACEMENT_3D('',#580850,#458256,#458257); #373341=AXIS2_PLACEMENT_3D('',#580852,#458259,#458260); #373342=AXIS2_PLACEMENT_3D('',#580854,#458262,#458263); #373343=AXIS2_PLACEMENT_3D('',#580855,#458264,#458265); #373344=AXIS2_PLACEMENT_3D('',#580856,#458266,#458267); #373345=AXIS2_PLACEMENT_3D('',#580857,#458268,#458269); #373346=AXIS2_PLACEMENT_3D('',#580858,#458270,#458271); #373347=AXIS2_PLACEMENT_3D('',#580859,#458272,#458273); #373348=AXIS2_PLACEMENT_3D('',#580861,#458275,#458276); #373349=AXIS2_PLACEMENT_3D('',#580864,#458279,#458280); #373350=AXIS2_PLACEMENT_3D('',#580865,#458281,#458282); #373351=AXIS2_PLACEMENT_3D('',#580867,#458284,#458285); #373352=AXIS2_PLACEMENT_3D('',#580869,#458286,#458287); #373353=AXIS2_PLACEMENT_3D('',#580873,#458289,#458290); #373354=AXIS2_PLACEMENT_3D('',#580877,#458292,#458293); #373355=AXIS2_PLACEMENT_3D('',#580880,#458295,#458296); #373356=AXIS2_PLACEMENT_3D('',#580882,#458297,#458298); #373357=AXIS2_PLACEMENT_3D('',#580886,#458300,#458301); #373358=AXIS2_PLACEMENT_3D('',#580890,#458303,#458304); #373359=AXIS2_PLACEMENT_3D('',#580893,#458306,#458307); #373360=AXIS2_PLACEMENT_3D('',#580894,#458308,#458309); #373361=AXIS2_PLACEMENT_3D('',#580899,#458311,#458312); #373362=AXIS2_PLACEMENT_3D('',#580903,#458314,#458315); #373363=AXIS2_PLACEMENT_3D('',#580906,#458317,#458318); #373364=AXIS2_PLACEMENT_3D('',#580908,#458319,#458320); #373365=AXIS2_PLACEMENT_3D('',#580912,#458322,#458323); #373366=AXIS2_PLACEMENT_3D('',#580916,#458325,#458326); #373367=AXIS2_PLACEMENT_3D('',#580919,#458328,#458329); #373368=AXIS2_PLACEMENT_3D('',#580920,#458330,#458331); #373369=AXIS2_PLACEMENT_3D('',#580921,#458332,#458333); #373370=AXIS2_PLACEMENT_3D('',#580923,#458335,#458336); #373371=AXIS2_PLACEMENT_3D('',#580925,#458338,#458339); #373372=AXIS2_PLACEMENT_3D('',#580927,#458341,#458342); #373373=AXIS2_PLACEMENT_3D('',#580929,#458344,#458345); #373374=AXIS2_PLACEMENT_3D('',#580931,#458347,#458348); #373375=AXIS2_PLACEMENT_3D('',#580933,#458350,#458351); #373376=AXIS2_PLACEMENT_3D('',#580934,#458352,#458353); #373377=AXIS2_PLACEMENT_3D('',#580936,#458355,#458356); #373378=AXIS2_PLACEMENT_3D('',#580938,#458358,#458359); #373379=AXIS2_PLACEMENT_3D('',#580940,#458361,#458362); #373380=AXIS2_PLACEMENT_3D('',#580942,#458364,#458365); #373381=AXIS2_PLACEMENT_3D('',#580944,#458367,#458368); #373382=AXIS2_PLACEMENT_3D('',#580946,#458370,#458371); #373383=AXIS2_PLACEMENT_3D('',#580947,#458372,#458373); #373384=AXIS2_PLACEMENT_3D('',#580950,#458376,#458377); #373385=AXIS2_PLACEMENT_3D('',#580951,#458378,#458379); #373386=AXIS2_PLACEMENT_3D('',#580954,#458382,#458383); #373387=AXIS2_PLACEMENT_3D('',#580955,#458384,#458385); #373388=AXIS2_PLACEMENT_3D('',#580957,#458386,#458387); #373389=AXIS2_PLACEMENT_3D('',#580961,#458389,#458390); #373390=AXIS2_PLACEMENT_3D('',#580965,#458392,#458393); #373391=AXIS2_PLACEMENT_3D('',#580968,#458395,#458396); #373392=AXIS2_PLACEMENT_3D('',#580970,#458397,#458398); #373393=AXIS2_PLACEMENT_3D('',#580974,#458400,#458401); #373394=AXIS2_PLACEMENT_3D('',#580978,#458403,#458404); #373395=AXIS2_PLACEMENT_3D('',#580981,#458406,#458407); #373396=AXIS2_PLACEMENT_3D('',#580982,#458408,#458409); #373397=AXIS2_PLACEMENT_3D('',#580987,#458411,#458412); #373398=AXIS2_PLACEMENT_3D('',#580991,#458414,#458415); #373399=AXIS2_PLACEMENT_3D('',#580994,#458417,#458418); #373400=AXIS2_PLACEMENT_3D('',#580996,#458419,#458420); #373401=AXIS2_PLACEMENT_3D('',#581000,#458422,#458423); #373402=AXIS2_PLACEMENT_3D('',#581004,#458425,#458426); #373403=AXIS2_PLACEMENT_3D('',#581007,#458428,#458429); #373404=AXIS2_PLACEMENT_3D('',#581008,#458430,#458431); #373405=AXIS2_PLACEMENT_3D('',#581009,#458432,#458433); #373406=AXIS2_PLACEMENT_3D('',#581011,#458435,#458436); #373407=AXIS2_PLACEMENT_3D('',#581013,#458438,#458439); #373408=AXIS2_PLACEMENT_3D('',#581015,#458441,#458442); #373409=AXIS2_PLACEMENT_3D('',#581017,#458444,#458445); #373410=AXIS2_PLACEMENT_3D('',#581019,#458447,#458448); #373411=AXIS2_PLACEMENT_3D('',#581021,#458450,#458451); #373412=AXIS2_PLACEMENT_3D('',#581022,#458452,#458453); #373413=AXIS2_PLACEMENT_3D('',#581024,#458455,#458456); #373414=AXIS2_PLACEMENT_3D('',#581026,#458458,#458459); #373415=AXIS2_PLACEMENT_3D('',#581028,#458461,#458462); #373416=AXIS2_PLACEMENT_3D('',#581030,#458464,#458465); #373417=AXIS2_PLACEMENT_3D('',#581032,#458467,#458468); #373418=AXIS2_PLACEMENT_3D('',#581034,#458470,#458471); #373419=AXIS2_PLACEMENT_3D('',#581035,#458472,#458473); #373420=AXIS2_PLACEMENT_3D('',#581038,#458474,#458475); #373421=AXIS2_PLACEMENT_3D('',#581042,#458477,#458478); #373422=AXIS2_PLACEMENT_3D('',#581044,#458480,#458481); #373423=AXIS2_PLACEMENT_3D('',#581050,#458485,#458486); #373424=AXIS2_PLACEMENT_3D('',#581051,#458487,#458488); #373425=AXIS2_PLACEMENT_3D('',#581052,#458489,#458490); #373426=AXIS2_PLACEMENT_3D('',#581053,#458491,#458492); #373427=AXIS2_PLACEMENT_3D('',#581059,#458496,#458497); #373428=AXIS2_PLACEMENT_3D('',#581061,#458498,#458499); #373429=AXIS2_PLACEMENT_3D('',#581066,#458502,#458503); #373430=AXIS2_PLACEMENT_3D('',#581067,#458504,#458505); #373431=AXIS2_PLACEMENT_3D('',#581069,#458507,#458508); #373432=AXIS2_PLACEMENT_3D('',#581121,#458510,#458511); #373433=AXIS2_PLACEMENT_3D('',#581122,#458512,#458513); #373434=AXIS2_PLACEMENT_3D('',#581123,#458514,#458515); #373435=AXIS2_PLACEMENT_3D('',#581125,#458516,#458517); #373436=AXIS2_PLACEMENT_3D('',#581128,#458519,#458520); #373437=AXIS2_PLACEMENT_3D('',#581129,#458521,#458522); #373438=AXIS2_PLACEMENT_3D('',#581133,#458524,#458525); #373439=AXIS2_PLACEMENT_3D('',#581134,#458526,#458527); #373440=AXIS2_PLACEMENT_3D('',#581135,#458528,#458529); #373441=AXIS2_PLACEMENT_3D('',#581138,#458532,#458533); #373442=AXIS2_PLACEMENT_3D('',#581139,#458534,#458535); #373443=AXIS2_PLACEMENT_3D('',#581140,#458536,#458537); #373444=AXIS2_PLACEMENT_3D('',#581141,#458538,#458539); #373445=AXIS2_PLACEMENT_3D('',#581142,#458540,#458541); #373446=AXIS2_PLACEMENT_3D('',#581143,#458542,#458543); #373447=AXIS2_PLACEMENT_3D('',#581144,#458544,#458545); #373448=AXIS2_PLACEMENT_3D('',#581150,#458549,#458550); #373449=AXIS2_PLACEMENT_3D('',#581156,#458554,#458555); #373450=AXIS2_PLACEMENT_3D('',#581159,#458558,#458559); #373451=AXIS2_PLACEMENT_3D('',#581160,#458560,#458561); #373452=AXIS2_PLACEMENT_3D('',#581161,#458562,#458563); #373453=AXIS2_PLACEMENT_3D('',#581170,#458568,#458569); #373454=AXIS2_PLACEMENT_3D('',#581172,#458570,#458571); #373455=AXIS2_PLACEMENT_3D('',#581175,#458573,#458574); #373456=AXIS2_PLACEMENT_3D('',#581176,#458575,#458576); #373457=AXIS2_PLACEMENT_3D('',#581185,#458581,#458582); #373458=AXIS2_PLACEMENT_3D('',#581187,#458583,#458584); #373459=AXIS2_PLACEMENT_3D('',#581189,#458585,#458586); #373460=AXIS2_PLACEMENT_3D('',#581191,#458588,#458589); #373461=AXIS2_PLACEMENT_3D('',#581193,#458590,#458591); #373462=AXIS2_PLACEMENT_3D('',#581195,#458592,#458593); #373463=AXIS2_PLACEMENT_3D('',#581197,#458595,#458596); #373464=AXIS2_PLACEMENT_3D('',#581199,#458597,#458598); #373465=AXIS2_PLACEMENT_3D('',#581201,#458599,#458600); #373466=AXIS2_PLACEMENT_3D('',#581203,#458602,#458603); #373467=AXIS2_PLACEMENT_3D('',#581209,#458607,#458608); #373468=AXIS2_PLACEMENT_3D('',#581211,#458609,#458610); #373469=AXIS2_PLACEMENT_3D('',#581213,#458611,#458612); #373470=AXIS2_PLACEMENT_3D('',#581215,#458614,#458615); #373471=AXIS2_PLACEMENT_3D('',#581217,#458616,#458617); #373472=AXIS2_PLACEMENT_3D('',#581219,#458618,#458619); #373473=AXIS2_PLACEMENT_3D('',#581221,#458621,#458622); #373474=AXIS2_PLACEMENT_3D('',#581223,#458623,#458624); #373475=AXIS2_PLACEMENT_3D('',#581225,#458625,#458626); #373476=AXIS2_PLACEMENT_3D('',#581227,#458628,#458629); #373477=AXIS2_PLACEMENT_3D('',#581233,#458633,#458634); #373478=AXIS2_PLACEMENT_3D('',#581235,#458635,#458636); #373479=AXIS2_PLACEMENT_3D('',#581237,#458637,#458638); #373480=AXIS2_PLACEMENT_3D('',#581239,#458640,#458641); #373481=AXIS2_PLACEMENT_3D('',#581245,#458645,#458646); #373482=AXIS2_PLACEMENT_3D('',#581246,#458647,#458648); #373483=AXIS2_PLACEMENT_3D('',#581247,#458649,#458650); #373484=AXIS2_PLACEMENT_3D('',#581248,#458651,#458652); #373485=AXIS2_PLACEMENT_3D('',#581257,#458657,#458658); #373486=AXIS2_PLACEMENT_3D('',#581259,#458659,#458660); #373487=AXIS2_PLACEMENT_3D('',#581262,#458662,#458663); #373488=AXIS2_PLACEMENT_3D('',#581263,#458664,#458665); #373489=AXIS2_PLACEMENT_3D('',#581265,#458666,#458667); #373490=AXIS2_PLACEMENT_3D('',#581268,#458669,#458670); #373491=AXIS2_PLACEMENT_3D('',#581269,#458671,#458672); #373492=AXIS2_PLACEMENT_3D('',#581271,#458673,#458674); #373493=AXIS2_PLACEMENT_3D('',#581274,#458676,#458677); #373494=AXIS2_PLACEMENT_3D('',#581275,#458678,#458679); #373495=AXIS2_PLACEMENT_3D('',#581281,#458683,#458684); #373496=AXIS2_PLACEMENT_3D('',#581283,#458685,#458686); #373497=AXIS2_PLACEMENT_3D('',#581286,#458688,#458689); #373498=AXIS2_PLACEMENT_3D('',#581287,#458690,#458691); #373499=AXIS2_PLACEMENT_3D('',#581289,#458692,#458693); #373500=AXIS2_PLACEMENT_3D('',#581292,#458695,#458696); #373501=AXIS2_PLACEMENT_3D('',#581293,#458697,#458698); #373502=AXIS2_PLACEMENT_3D('',#581295,#458699,#458700); #373503=AXIS2_PLACEMENT_3D('',#581298,#458702,#458703); #373504=AXIS2_PLACEMENT_3D('',#581299,#458704,#458705); #373505=AXIS2_PLACEMENT_3D('',#581305,#458709,#458710); #373506=AXIS2_PLACEMENT_3D('',#581307,#458711,#458712); #373507=AXIS2_PLACEMENT_3D('',#581310,#458714,#458715); #373508=AXIS2_PLACEMENT_3D('',#581311,#458716,#458717); #373509=AXIS2_PLACEMENT_3D('',#581317,#458721,#458722); #373510=AXIS2_PLACEMENT_3D('',#581318,#458723,#458724); #373511=AXIS2_PLACEMENT_3D('',#581319,#458725,#458726); #373512=AXIS2_PLACEMENT_3D('',#581320,#458727,#458728); #373513=AXIS2_PLACEMENT_3D('',#581329,#458733,#458734); #373514=AXIS2_PLACEMENT_3D('',#581333,#458736,#458737); #373515=AXIS2_PLACEMENT_3D('',#581334,#458738,#458739); #373516=AXIS2_PLACEMENT_3D('',#581430,#458744,#458745); #373517=AXIS2_PLACEMENT_3D('',#581435,#458748,#458749); #373518=AXIS2_PLACEMENT_3D('',#581437,#458750,#458751); #373519=AXIS2_PLACEMENT_3D('',#581439,#458753,#458754); #373520=AXIS2_PLACEMENT_3D('',#581444,#458757,#458758); #373521=AXIS2_PLACEMENT_3D('',#581445,#458759,#458760); #373522=AXIS2_PLACEMENT_3D('',#581448,#458762,#458763); #373523=AXIS2_PLACEMENT_3D('',#581449,#458764,#458765); #373524=AXIS2_PLACEMENT_3D('',#581453,#458768,#458769); #373525=AXIS2_PLACEMENT_3D('',#581457,#458772,#458773); #373526=AXIS2_PLACEMENT_3D('',#581466,#458778,#458779); #373527=AXIS2_PLACEMENT_3D('',#581470,#458782,#458783); #373528=AXIS2_PLACEMENT_3D('',#581471,#458784,#458785); #373529=AXIS2_PLACEMENT_3D('',#581474,#458787,#458788); #373530=AXIS2_PLACEMENT_3D('',#581540,#458791,#458792); #373531=AXIS2_PLACEMENT_3D('',#581546,#458796,#458797); #373532=AXIS2_PLACEMENT_3D('',#581547,#458798,#458799); #373533=AXIS2_PLACEMENT_3D('',#581548,#458800,#458801); #373534=AXIS2_PLACEMENT_3D('',#581579,#458804,#458805); #373535=AXIS2_PLACEMENT_3D('',#581582,#458807,#458808); #373536=AXIS2_PLACEMENT_3D('',#581583,#458809,#458810); #373537=AXIS2_PLACEMENT_3D('',#581592,#458815,#458816); #373538=AXIS2_PLACEMENT_3D('',#581601,#458821,#458822); #373539=AXIS2_PLACEMENT_3D('',#581603,#458823,#458824); #373540=AXIS2_PLACEMENT_3D('',#581605,#458826,#458827); #373541=AXIS2_PLACEMENT_3D('',#581614,#458832,#458833); #373542=AXIS2_PLACEMENT_3D('',#581616,#458834,#458835); #373543=AXIS2_PLACEMENT_3D('',#581619,#458837,#458838); #373544=AXIS2_PLACEMENT_3D('',#581620,#458839,#458840); #373545=AXIS2_PLACEMENT_3D('',#581622,#458841,#458842); #373546=AXIS2_PLACEMENT_3D('',#581625,#458844,#458845); #373547=AXIS2_PLACEMENT_3D('',#581626,#458846,#458847); #373548=AXIS2_PLACEMENT_3D('',#581627,#458848,#458849); #373549=AXIS2_PLACEMENT_3D('',#581628,#458850,#458851); #373550=AXIS2_PLACEMENT_3D('',#581629,#458852,#458853); #373551=AXIS2_PLACEMENT_3D('',#581631,#458854,#458855); #373552=AXIS2_PLACEMENT_3D('',#581633,#458857,#458858); #373553=AXIS2_PLACEMENT_3D('',#581639,#458862,#458863); #373554=AXIS2_PLACEMENT_3D('',#581641,#458864,#458865); #373555=AXIS2_PLACEMENT_3D('',#581644,#458867,#458868); #373556=AXIS2_PLACEMENT_3D('',#581645,#458869,#458870); #373557=AXIS2_PLACEMENT_3D('',#581651,#458874,#458875); #373558=AXIS2_PLACEMENT_3D('',#581653,#458876,#458877); #373559=AXIS2_PLACEMENT_3D('',#581656,#458879,#458880); #373560=AXIS2_PLACEMENT_3D('',#581657,#458881,#458882); #373561=AXIS2_PLACEMENT_3D('',#581663,#458886,#458887); #373562=AXIS2_PLACEMENT_3D('',#581665,#458888,#458889); #373563=AXIS2_PLACEMENT_3D('',#581668,#458891,#458892); #373564=AXIS2_PLACEMENT_3D('',#581669,#458893,#458894); #373565=AXIS2_PLACEMENT_3D('',#581671,#458895,#458896); #373566=AXIS2_PLACEMENT_3D('',#581672,#458897,#458898); #373567=AXIS2_PLACEMENT_3D('',#581673,#458899,#458900); #373568=AXIS2_PLACEMENT_3D('',#581674,#458901,#458902); #373569=AXIS2_PLACEMENT_3D('',#581676,#458903,#458904); #373570=AXIS2_PLACEMENT_3D('',#581678,#458906,#458907); #373571=AXIS2_PLACEMENT_3D('',#581680,#458908,#458909); #373572=AXIS2_PLACEMENT_3D('',#581683,#458911,#458912); #373573=AXIS2_PLACEMENT_3D('',#581684,#458913,#458914); #373574=AXIS2_PLACEMENT_3D('',#581686,#458915,#458916); #373575=AXIS2_PLACEMENT_3D('',#581689,#458918,#458919); #373576=AXIS2_PLACEMENT_3D('',#581690,#458920,#458921); #373577=AXIS2_PLACEMENT_3D('',#581696,#458925,#458926); #373578=AXIS2_PLACEMENT_3D('',#581698,#458927,#458928); #373579=AXIS2_PLACEMENT_3D('',#581701,#458930,#458931); #373580=AXIS2_PLACEMENT_3D('',#581702,#458932,#458933); #373581=AXIS2_PLACEMENT_3D('',#581704,#458934,#458935); #373582=AXIS2_PLACEMENT_3D('',#581705,#458936,#458937); #373583=AXIS2_PLACEMENT_3D('',#581706,#458938,#458939); #373584=AXIS2_PLACEMENT_3D('',#581707,#458940,#458941); #373585=AXIS2_PLACEMENT_3D('',#581709,#458942,#458943); #373586=AXIS2_PLACEMENT_3D('',#581711,#458945,#458946); #373587=AXIS2_PLACEMENT_3D('',#581713,#458947,#458948); #373588=AXIS2_PLACEMENT_3D('',#581716,#458950,#458951); #373589=AXIS2_PLACEMENT_3D('',#581717,#458952,#458953); #373590=AXIS2_PLACEMENT_3D('',#581719,#458954,#458955); #373591=AXIS2_PLACEMENT_3D('',#581722,#458957,#458958); #373592=AXIS2_PLACEMENT_3D('',#581723,#458959,#458960); #373593=AXIS2_PLACEMENT_3D('',#581729,#458964,#458965); #373594=AXIS2_PLACEMENT_3D('',#581731,#458966,#458967); #373595=AXIS2_PLACEMENT_3D('',#581734,#458969,#458970); #373596=AXIS2_PLACEMENT_3D('',#581735,#458971,#458972); #373597=AXIS2_PLACEMENT_3D('',#581741,#458976,#458977); #373598=AXIS2_PLACEMENT_3D('',#581743,#458978,#458979); #373599=AXIS2_PLACEMENT_3D('',#581746,#458981,#458982); #373600=AXIS2_PLACEMENT_3D('',#581747,#458983,#458984); #373601=AXIS2_PLACEMENT_3D('',#581751,#458987,#458988); #373602=AXIS2_PLACEMENT_3D('',#581752,#458989,#458990); #373603=AXIS2_PLACEMENT_3D('',#581754,#458992,#458993); #373604=AXIS2_PLACEMENT_3D('',#581756,#458994,#458995); #373605=AXIS2_PLACEMENT_3D('',#581758,#458996,#458997); #373606=AXIS2_PLACEMENT_3D('',#581760,#458999,#459000); #373607=AXIS2_PLACEMENT_3D('',#581762,#459001,#459002); #373608=AXIS2_PLACEMENT_3D('',#581765,#459004,#459005); #373609=AXIS2_PLACEMENT_3D('',#581766,#459006,#459007); #373610=AXIS2_PLACEMENT_3D('',#581768,#459008,#459009); #373611=AXIS2_PLACEMENT_3D('',#581771,#459011,#459012); #373612=AXIS2_PLACEMENT_3D('',#581772,#459013,#459014); #373613=AXIS2_PLACEMENT_3D('',#581778,#459018,#459019); #373614=AXIS2_PLACEMENT_3D('',#581787,#459024,#459025); #373615=AXIS2_PLACEMENT_3D('',#581789,#459026,#459027); #373616=AXIS2_PLACEMENT_3D('',#581792,#459029,#459030); #373617=AXIS2_PLACEMENT_3D('',#581793,#459031,#459032); #373618=AXIS2_PLACEMENT_3D('',#581795,#459033,#459034); #373619=AXIS2_PLACEMENT_3D('',#581797,#459035,#459036); #373620=AXIS2_PLACEMENT_3D('',#581799,#459038,#459039); #373621=AXIS2_PLACEMENT_3D('',#581803,#459041,#459042); #373622=AXIS2_PLACEMENT_3D('',#581807,#459044,#459045); #373623=AXIS2_PLACEMENT_3D('',#581809,#459047,#459048); #373624=AXIS2_PLACEMENT_3D('',#581811,#459049,#459050); #373625=AXIS2_PLACEMENT_3D('',#581817,#459054,#459055); #373626=AXIS2_PLACEMENT_3D('',#581818,#459056,#459057); #373627=AXIS2_PLACEMENT_3D('',#581822,#459059,#459060); #373628=AXIS2_PLACEMENT_3D('',#581824,#459062,#459063); #373629=AXIS2_PLACEMENT_3D('',#581828,#459065,#459066); #373630=AXIS2_PLACEMENT_3D('',#581831,#459069,#459070); #373631=AXIS2_PLACEMENT_3D('',#581835,#459073,#459074); #373632=AXIS2_PLACEMENT_3D('',#581837,#459075,#459076); #373633=AXIS2_PLACEMENT_3D('',#581840,#459078,#459079); #373634=AXIS2_PLACEMENT_3D('',#581854,#459080,#459081); #373635=AXIS2_PLACEMENT_3D('',#581857,#459083,#459084); #373636=AXIS2_PLACEMENT_3D('',#581858,#459085,#459086); #373637=AXIS2_PLACEMENT_3D('',#581860,#459087,#459088); #373638=AXIS2_PLACEMENT_3D('',#581863,#459090,#459091); #373639=AXIS2_PLACEMENT_3D('',#581908,#459092,#459093); #373640=AXIS2_PLACEMENT_3D('',#581909,#459094,#459095); #373641=AXIS2_PLACEMENT_3D('',#581957,#459097,#459098); #373642=AXIS2_PLACEMENT_3D('',#581959,#459099,#459100); #373643=AXIS2_PLACEMENT_3D('',#581962,#459102,#459103); #373644=AXIS2_PLACEMENT_3D('',#581975,#459104,#459105); #373645=AXIS2_PLACEMENT_3D('',#581976,#459106,#459107); #373646=AXIS2_PLACEMENT_3D('',#581977,#459108,#459109); #373647=AXIS2_PLACEMENT_3D('',#581978,#459110,#459111); #373648=AXIS2_PLACEMENT_3D('',#581979,#459112,#459113); #373649=AXIS2_PLACEMENT_3D('',#581981,#459115,#459116); #373650=AXIS2_PLACEMENT_3D('',#581983,#459118,#459119); #373651=AXIS2_PLACEMENT_3D('',#581985,#459121,#459122); #373652=AXIS2_PLACEMENT_3D('',#581987,#459124,#459125); #373653=AXIS2_PLACEMENT_3D('',#581989,#459127,#459128); #373654=AXIS2_PLACEMENT_3D('',#581991,#459130,#459131); #373655=AXIS2_PLACEMENT_3D('',#581992,#459132,#459133); #373656=AXIS2_PLACEMENT_3D('',#581994,#459135,#459136); #373657=AXIS2_PLACEMENT_3D('',#581996,#459138,#459139); #373658=AXIS2_PLACEMENT_3D('',#581998,#459141,#459142); #373659=AXIS2_PLACEMENT_3D('',#582000,#459144,#459145); #373660=AXIS2_PLACEMENT_3D('',#582002,#459147,#459148); #373661=AXIS2_PLACEMENT_3D('',#582004,#459150,#459151); #373662=AXIS2_PLACEMENT_3D('',#582005,#459152,#459153); #373663=AXIS2_PLACEMENT_3D('',#582007,#459155,#459156); #373664=AXIS2_PLACEMENT_3D('',#582009,#459158,#459159); #373665=AXIS2_PLACEMENT_3D('',#582011,#459161,#459162); #373666=AXIS2_PLACEMENT_3D('',#582013,#459164,#459165); #373667=AXIS2_PLACEMENT_3D('',#582015,#459167,#459168); #373668=AXIS2_PLACEMENT_3D('',#582017,#459170,#459171); #373669=AXIS2_PLACEMENT_3D('',#582018,#459172,#459173); #373670=AXIS2_PLACEMENT_3D('',#582020,#459175,#459176); #373671=AXIS2_PLACEMENT_3D('',#582022,#459178,#459179); #373672=AXIS2_PLACEMENT_3D('',#582024,#459181,#459182); #373673=AXIS2_PLACEMENT_3D('',#582026,#459184,#459185); #373674=AXIS2_PLACEMENT_3D('',#582028,#459187,#459188); #373675=AXIS2_PLACEMENT_3D('',#582030,#459190,#459191); #373676=AXIS2_PLACEMENT_3D('',#582031,#459192,#459193); #373677=AXIS2_PLACEMENT_3D('',#582032,#459194,#459195); #373678=AXIS2_PLACEMENT_3D('',#582041,#459200,#459201); #373679=AXIS2_PLACEMENT_3D('',#582045,#459203,#459204); #373680=AXIS2_PLACEMENT_3D('',#582049,#459206,#459207); #373681=AXIS2_PLACEMENT_3D('',#582057,#459211,#459212); #373682=AXIS2_PLACEMENT_3D('',#582061,#459214,#459215); #373683=AXIS2_PLACEMENT_3D('',#582063,#459217,#459218); #373684=AXIS2_PLACEMENT_3D('',#582072,#459222,#459223); #373685=AXIS2_PLACEMENT_3D('',#582076,#459225,#459226); #373686=AXIS2_PLACEMENT_3D('',#582084,#459230,#459231); #373687=AXIS2_PLACEMENT_3D('',#582087,#459233,#459234); #373688=AXIS2_PLACEMENT_3D('',#582088,#459235,#459236); #373689=AXIS2_PLACEMENT_3D('',#582094,#459240,#459241); #373690=AXIS2_PLACEMENT_3D('',#582104,#459247,#459248); #373691=AXIS2_PLACEMENT_3D('',#582110,#459252,#459253); #373692=AXIS2_PLACEMENT_3D('',#582117,#459258,#459259); #373693=AXIS2_PLACEMENT_3D('',#582120,#459262,#459263); #373694=AXIS2_PLACEMENT_3D('',#582123,#459266,#459267); #373695=AXIS2_PLACEMENT_3D('',#582126,#459270,#459271); #373696=AXIS2_PLACEMENT_3D('',#582128,#459273,#459274); #373697=AXIS2_PLACEMENT_3D('',#582130,#459276,#459277); #373698=AXIS2_PLACEMENT_3D('',#582132,#459279,#459280); #373699=AXIS2_PLACEMENT_3D('',#582133,#459281,#459282); #373700=AXIS2_PLACEMENT_3D('',#582135,#459284,#459285); #373701=AXIS2_PLACEMENT_3D('',#582137,#459287,#459288); #373702=AXIS2_PLACEMENT_3D('',#582139,#459290,#459291); #373703=AXIS2_PLACEMENT_3D('',#582140,#459292,#459293); #373704=AXIS2_PLACEMENT_3D('',#582141,#459294,#459295); #373705=AXIS2_PLACEMENT_3D('',#582142,#459296,#459297); #373706=AXIS2_PLACEMENT_3D('',#582143,#459298,#459299); #373707=AXIS2_PLACEMENT_3D('',#582144,#459300,#459301); #373708=AXIS2_PLACEMENT_3D('',#582145,#459302,#459303); #373709=AXIS2_PLACEMENT_3D('',#582146,#459304,#459305); #373710=AXIS2_PLACEMENT_3D('',#582147,#459306,#459307); #373711=AXIS2_PLACEMENT_3D('',#582148,#459308,#459309); #373712=AXIS2_PLACEMENT_3D('',#582149,#459310,#459311); #373713=AXIS2_PLACEMENT_3D('',#582150,#459312,#459313); #373714=AXIS2_PLACEMENT_3D('',#582151,#459314,#459315); #373715=AXIS2_PLACEMENT_3D('',#582152,#459316,#459317); #373716=AXIS2_PLACEMENT_3D('',#582153,#459318,#459319); #373717=AXIS2_PLACEMENT_3D('',#582154,#459320,#459321); #373718=AXIS2_PLACEMENT_3D('',#582155,#459322,#459323); #373719=AXIS2_PLACEMENT_3D('',#582156,#459324,#459325); #373720=AXIS2_PLACEMENT_3D('',#582157,#459326,#459327); #373721=AXIS2_PLACEMENT_3D('',#582158,#459328,#459329); #373722=AXIS2_PLACEMENT_3D('',#582159,#459330,#459331); #373723=AXIS2_PLACEMENT_3D('',#582160,#459332,#459333); #373724=AXIS2_PLACEMENT_3D('',#582161,#459334,#459335); #373725=AXIS2_PLACEMENT_3D('',#582162,#459336,#459337); #373726=AXIS2_PLACEMENT_3D('',#582163,#459338,#459339); #373727=AXIS2_PLACEMENT_3D('',#582164,#459340,#459341); #373728=AXIS2_PLACEMENT_3D('',#582165,#459342,#459343); #373729=AXIS2_PLACEMENT_3D('',#582166,#459344,#459345); #373730=AXIS2_PLACEMENT_3D('',#582191,#459358,#459359); #373731=AXIS2_PLACEMENT_3D('',#582200,#459364,#459365); #373732=AXIS2_PLACEMENT_3D('',#582209,#459370,#459371); #373733=AXIS2_PLACEMENT_3D('',#582226,#459380,#459381); #373734=AXIS2_PLACEMENT_3D('',#582243,#459390,#459391); #373735=AXIS2_PLACEMENT_3D('',#582268,#459404,#459405); #373736=AXIS2_PLACEMENT_3D('',#582275,#459410,#459411); #373737=AXIS2_PLACEMENT_3D('',#582282,#459416,#459417); #373738=AXIS2_PLACEMENT_3D('',#582286,#459420,#459421); #373739=AXIS2_PLACEMENT_3D('',#582293,#459426,#459427); #373740=AXIS2_PLACEMENT_3D('',#582298,#459431,#459432); #373741=AXIS2_PLACEMENT_3D('',#582302,#459435,#459436); #373742=AXIS2_PLACEMENT_3D('',#582316,#459444,#459445); #373743=AXIS2_PLACEMENT_3D('',#582321,#459447,#459448); #373744=AXIS2_PLACEMENT_3D('',#582325,#459452,#459453); #373745=AXIS2_PLACEMENT_3D('',#582329,#459455,#459456); #373746=AXIS2_PLACEMENT_3D('',#582336,#459461,#459462); #373747=AXIS2_PLACEMENT_3D('',#582339,#459463,#459464); #373748=AXIS2_PLACEMENT_3D('',#582343,#459466,#459467); #373749=AXIS2_PLACEMENT_3D('',#582361,#459479,#459480); #373750=AXIS2_PLACEMENT_3D('',#582362,#459481,#459482); #373751=AXIS2_PLACEMENT_3D('',#582365,#459483,#459484); #373752=AXIS2_PLACEMENT_3D('',#582369,#459486,#459487); #373753=AXIS2_PLACEMENT_3D('',#582390,#459500,#459501); #373754=AXIS2_PLACEMENT_3D('',#582399,#459506,#459507); #373755=AXIS2_PLACEMENT_3D('',#582400,#459508,#459509); #373756=AXIS2_PLACEMENT_3D('',#582404,#459512,#459513); #373757=AXIS2_PLACEMENT_3D('',#582410,#459516,#459517); #373758=AXIS2_PLACEMENT_3D('',#582412,#459519,#459520); #373759=AXIS2_PLACEMENT_3D('',#582414,#459522,#459523); #373760=AXIS2_PLACEMENT_3D('',#582416,#459525,#459526); #373761=AXIS2_PLACEMENT_3D('',#582418,#459528,#459529); #373762=AXIS2_PLACEMENT_3D('',#582420,#459531,#459532); #373763=AXIS2_PLACEMENT_3D('',#582422,#459534,#459535); #373764=AXIS2_PLACEMENT_3D('',#582424,#459537,#459538); #373765=AXIS2_PLACEMENT_3D('',#582426,#459540,#459541); #373766=AXIS2_PLACEMENT_3D('',#582428,#459543,#459544); #373767=AXIS2_PLACEMENT_3D('',#582430,#459546,#459547); #373768=AXIS2_PLACEMENT_3D('',#582432,#459549,#459550); #373769=AXIS2_PLACEMENT_3D('',#582433,#459551,#459552); #373770=AXIS2_PLACEMENT_3D('',#582434,#459553,#459554); #373771=AXIS2_PLACEMENT_3D('',#582435,#459555,#459556); #373772=AXIS2_PLACEMENT_3D('',#582436,#459557,#459558); #373773=AXIS2_PLACEMENT_3D('',#582437,#459559,#459560); #373774=AXIS2_PLACEMENT_3D('',#582443,#459564,#459565); #373775=AXIS2_PLACEMENT_3D('',#582447,#459568,#459569); #373776=AXIS2_PLACEMENT_3D('',#582451,#459572,#459573); #373777=AXIS2_PLACEMENT_3D('',#582453,#459575,#459576); #373778=AXIS2_PLACEMENT_3D('',#582462,#459581,#459582); #373779=AXIS2_PLACEMENT_3D('',#582465,#459585,#459586); #373780=AXIS2_PLACEMENT_3D('',#582467,#459588,#459589); #373781=AXIS2_PLACEMENT_3D('',#582469,#459591,#459592); #373782=AXIS2_PLACEMENT_3D('',#582470,#459593,#459594); #373783=AXIS2_PLACEMENT_3D('',#582476,#459598,#459599); #373784=AXIS2_PLACEMENT_3D('',#582480,#459602,#459603); #373785=AXIS2_PLACEMENT_3D('',#582484,#459606,#459607); #373786=AXIS2_PLACEMENT_3D('',#582486,#459609,#459610); #373787=AXIS2_PLACEMENT_3D('',#582495,#459615,#459616); #373788=AXIS2_PLACEMENT_3D('',#582498,#459619,#459620); #373789=AXIS2_PLACEMENT_3D('',#582500,#459622,#459623); #373790=AXIS2_PLACEMENT_3D('',#582502,#459625,#459626); #373791=AXIS2_PLACEMENT_3D('',#582503,#459627,#459628); #373792=AXIS2_PLACEMENT_3D('',#582509,#459632,#459633); #373793=AXIS2_PLACEMENT_3D('',#582518,#459638,#459639); #373794=AXIS2_PLACEMENT_3D('',#582524,#459643,#459644); #373795=AXIS2_PLACEMENT_3D('',#582526,#459646,#459647); #373796=AXIS2_PLACEMENT_3D('',#582528,#459649,#459650); #373797=AXIS2_PLACEMENT_3D('',#582531,#459653,#459654); #373798=AXIS2_PLACEMENT_3D('',#582533,#459656,#459657); #373799=AXIS2_PLACEMENT_3D('',#582535,#459659,#459660); #373800=AXIS2_PLACEMENT_3D('',#582536,#459661,#459662); #373801=AXIS2_PLACEMENT_3D('',#582542,#459666,#459667); #373802=AXIS2_PLACEMENT_3D('',#582551,#459672,#459673); #373803=AXIS2_PLACEMENT_3D('',#582557,#459677,#459678); #373804=AXIS2_PLACEMENT_3D('',#582559,#459680,#459681); #373805=AXIS2_PLACEMENT_3D('',#582561,#459683,#459684); #373806=AXIS2_PLACEMENT_3D('',#582564,#459687,#459688); #373807=AXIS2_PLACEMENT_3D('',#582566,#459690,#459691); #373808=AXIS2_PLACEMENT_3D('',#582568,#459693,#459694); #373809=AXIS2_PLACEMENT_3D('',#582569,#459695,#459696); #373810=AXIS2_PLACEMENT_3D('',#582572,#459699,#459700); #373811=AXIS2_PLACEMENT_3D('',#582574,#459702,#459703); #373812=AXIS2_PLACEMENT_3D('',#582577,#459706,#459707); #373813=AXIS2_PLACEMENT_3D('',#582579,#459709,#459710); #373814=AXIS2_PLACEMENT_3D('',#582580,#459711,#459712); #373815=AXIS2_PLACEMENT_3D('',#582581,#459713,#459714); #373816=AXIS2_PLACEMENT_3D('',#582583,#459716,#459717); #373817=AXIS2_PLACEMENT_3D('',#582585,#459719,#459720); #373818=AXIS2_PLACEMENT_3D('',#582586,#459721,#459722); #373819=AXIS2_PLACEMENT_3D('',#582587,#459723,#459724); #373820=AXIS2_PLACEMENT_3D('',#582588,#459725,#459726); #373821=AXIS2_PLACEMENT_3D('',#582589,#459727,#459728); #373822=AXIS2_PLACEMENT_3D('',#582590,#459729,#459730); #373823=AXIS2_PLACEMENT_3D('',#582593,#459731,#459732); #373824=AXIS2_PLACEMENT_3D('',#582595,#459733,#459734); #373825=AXIS2_PLACEMENT_3D('',#582596,#459735,#459736); #373826=AXIS2_PLACEMENT_3D('',#582597,#459737,#459738); #373827=AXIS2_PLACEMENT_3D('',#582600,#459739,#459740); #373828=AXIS2_PLACEMENT_3D('',#582602,#459741,#459742); #373829=AXIS2_PLACEMENT_3D('',#582603,#459743,#459744); #373830=AXIS2_PLACEMENT_3D('',#582604,#459745,#459746); #373831=AXIS2_PLACEMENT_3D('',#582607,#459747,#459748); #373832=AXIS2_PLACEMENT_3D('',#582609,#459749,#459750); #373833=AXIS2_PLACEMENT_3D('',#582610,#459751,#459752); #373834=AXIS2_PLACEMENT_3D('',#582611,#459753,#459754); #373835=AXIS2_PLACEMENT_3D('',#582614,#459755,#459756); #373836=AXIS2_PLACEMENT_3D('',#582616,#459757,#459758); #373837=AXIS2_PLACEMENT_3D('',#582617,#459759,#459760); #373838=AXIS2_PLACEMENT_3D('',#582618,#459761,#459762); #373839=AXIS2_PLACEMENT_3D('',#582621,#459763,#459764); #373840=AXIS2_PLACEMENT_3D('',#582623,#459765,#459766); #373841=AXIS2_PLACEMENT_3D('',#582624,#459767,#459768); #373842=AXIS2_PLACEMENT_3D('',#582625,#459769,#459770); #373843=AXIS2_PLACEMENT_3D('',#582628,#459773,#459774); #373844=AXIS2_PLACEMENT_3D('',#582631,#459775,#459776); #373845=AXIS2_PLACEMENT_3D('',#582633,#459777,#459778); #373846=AXIS2_PLACEMENT_3D('',#582634,#459779,#459780); #373847=AXIS2_PLACEMENT_3D('',#582635,#459781,#459782); #373848=AXIS2_PLACEMENT_3D('',#582638,#459783,#459784); #373849=AXIS2_PLACEMENT_3D('',#582640,#459785,#459786); #373850=AXIS2_PLACEMENT_3D('',#582641,#459787,#459788); #373851=AXIS2_PLACEMENT_3D('',#582642,#459789,#459790); #373852=AXIS2_PLACEMENT_3D('',#582645,#459793,#459794); #373853=AXIS2_PLACEMENT_3D('',#582648,#459797,#459798); #373854=AXIS2_PLACEMENT_3D('',#582651,#459799,#459800); #373855=AXIS2_PLACEMENT_3D('',#582653,#459801,#459802); #373856=AXIS2_PLACEMENT_3D('',#582654,#459803,#459804); #373857=AXIS2_PLACEMENT_3D('',#582655,#459805,#459806); #373858=AXIS2_PLACEMENT_3D('',#582658,#459809,#459810); #373859=AXIS2_PLACEMENT_3D('',#582661,#459813,#459814); #373860=AXIS2_PLACEMENT_3D('',#582664,#459817,#459818); #373861=AXIS2_PLACEMENT_3D('',#582667,#459821,#459822); #373862=AXIS2_PLACEMENT_3D('',#582670,#459825,#459826); #373863=AXIS2_PLACEMENT_3D('',#582673,#459829,#459830); #373864=AXIS2_PLACEMENT_3D('',#582676,#459833,#459834); #373865=AXIS2_PLACEMENT_3D('',#582679,#459837,#459838); #373866=AXIS2_PLACEMENT_3D('',#582682,#459841,#459842); #373867=AXIS2_PLACEMENT_3D('',#582683,#459843,#459844); #373868=AXIS2_PLACEMENT_3D('',#582684,#459845,#459846); #373869=AXIS2_PLACEMENT_3D('',#582685,#459847,#459848); #373870=AXIS2_PLACEMENT_3D('',#582686,#459849,#459850); #373871=AXIS2_PLACEMENT_3D('',#582687,#459851,#459852); #373872=AXIS2_PLACEMENT_3D('',#582688,#459853,#459854); #373873=AXIS2_PLACEMENT_3D('',#582691,#459855,#459856); #373874=AXIS2_PLACEMENT_3D('',#582693,#459857,#459858); #373875=AXIS2_PLACEMENT_3D('',#582694,#459859,#459860); #373876=AXIS2_PLACEMENT_3D('',#582695,#459861,#459862); #373877=AXIS2_PLACEMENT_3D('',#582698,#459863,#459864); #373878=AXIS2_PLACEMENT_3D('',#582700,#459865,#459866); #373879=AXIS2_PLACEMENT_3D('',#582701,#459867,#459868); #373880=AXIS2_PLACEMENT_3D('',#582702,#459869,#459870); #373881=AXIS2_PLACEMENT_3D('',#582705,#459871,#459872); #373882=AXIS2_PLACEMENT_3D('',#582707,#459873,#459874); #373883=AXIS2_PLACEMENT_3D('',#582708,#459875,#459876); #373884=AXIS2_PLACEMENT_3D('',#582709,#459877,#459878); #373885=AXIS2_PLACEMENT_3D('',#582712,#459879,#459880); #373886=AXIS2_PLACEMENT_3D('',#582714,#459881,#459882); #373887=AXIS2_PLACEMENT_3D('',#582715,#459883,#459884); #373888=AXIS2_PLACEMENT_3D('',#582716,#459885,#459886); #373889=AXIS2_PLACEMENT_3D('',#582719,#459887,#459888); #373890=AXIS2_PLACEMENT_3D('',#582721,#459889,#459890); #373891=AXIS2_PLACEMENT_3D('',#582722,#459891,#459892); #373892=AXIS2_PLACEMENT_3D('',#582723,#459893,#459894); #373893=AXIS2_PLACEMENT_3D('',#582726,#459897,#459898); #373894=AXIS2_PLACEMENT_3D('',#582729,#459899,#459900); #373895=AXIS2_PLACEMENT_3D('',#582731,#459901,#459902); #373896=AXIS2_PLACEMENT_3D('',#582732,#459903,#459904); #373897=AXIS2_PLACEMENT_3D('',#582733,#459905,#459906); #373898=AXIS2_PLACEMENT_3D('',#582736,#459907,#459908); #373899=AXIS2_PLACEMENT_3D('',#582738,#459909,#459910); #373900=AXIS2_PLACEMENT_3D('',#582739,#459911,#459912); #373901=AXIS2_PLACEMENT_3D('',#582740,#459913,#459914); #373902=AXIS2_PLACEMENT_3D('',#582743,#459917,#459918); #373903=AXIS2_PLACEMENT_3D('',#582746,#459921,#459922); #373904=AXIS2_PLACEMENT_3D('',#582749,#459923,#459924); #373905=AXIS2_PLACEMENT_3D('',#582751,#459925,#459926); #373906=AXIS2_PLACEMENT_3D('',#582752,#459927,#459928); #373907=AXIS2_PLACEMENT_3D('',#582753,#459929,#459930); #373908=AXIS2_PLACEMENT_3D('',#582756,#459933,#459934); #373909=AXIS2_PLACEMENT_3D('',#582759,#459937,#459938); #373910=AXIS2_PLACEMENT_3D('',#582762,#459941,#459942); #373911=AXIS2_PLACEMENT_3D('',#582765,#459945,#459946); #373912=AXIS2_PLACEMENT_3D('',#582768,#459949,#459950); #373913=AXIS2_PLACEMENT_3D('',#582771,#459953,#459954); #373914=AXIS2_PLACEMENT_3D('',#582774,#459957,#459958); #373915=AXIS2_PLACEMENT_3D('',#582777,#459961,#459962); #373916=AXIS2_PLACEMENT_3D('',#582780,#459965,#459966); #373917=AXIS2_PLACEMENT_3D('',#582781,#459967,#459968); #373918=AXIS2_PLACEMENT_3D('',#582782,#459969,#459970); #373919=AXIS2_PLACEMENT_3D('',#582783,#459971,#459972); #373920=AXIS2_PLACEMENT_3D('',#582784,#459973,#459974); #373921=AXIS2_PLACEMENT_3D('',#582785,#459975,#459976); #373922=AXIS2_PLACEMENT_3D('',#582786,#459977,#459978); #373923=AXIS2_PLACEMENT_3D('',#582795,#459983,#459984); #373924=AXIS2_PLACEMENT_3D('',#582801,#459988,#459989); #373925=AXIS2_PLACEMENT_3D('',#582807,#459993,#459994); #373926=AXIS2_PLACEMENT_3D('',#582810,#459997,#459998); #373927=AXIS2_PLACEMENT_3D('',#582811,#459999,#460000); #373928=AXIS2_PLACEMENT_3D('',#582812,#460001,#460002); #373929=AXIS2_PLACEMENT_3D('',#582813,#460003,#460004); #373930=AXIS2_PLACEMENT_3D('',#582814,#460005,#460006); #373931=AXIS2_PLACEMENT_3D('',#582815,#460007,#460008); #373932=AXIS2_PLACEMENT_3D('',#582816,#460009,#460010); #373933=AXIS2_PLACEMENT_3D('',#582817,#460011,#460012); #373934=AXIS2_PLACEMENT_3D('',#582818,#460013,#460014); #373935=AXIS2_PLACEMENT_3D('',#582819,#460015,#460016); #373936=AXIS2_PLACEMENT_3D('',#582820,#460017,#460018); #373937=AXIS2_PLACEMENT_3D('',#582821,#460019,#460020); #373938=AXIS2_PLACEMENT_3D('',#582822,#460021,#460022); #373939=AXIS2_PLACEMENT_3D('',#582823,#460023,#460024); #373940=AXIS2_PLACEMENT_3D('',#582824,#460025,#460026); #373941=AXIS2_PLACEMENT_3D('',#582825,#460027,#460028); #373942=AXIS2_PLACEMENT_3D('',#582826,#460029,#460030); #373943=AXIS2_PLACEMENT_3D('',#582827,#460031,#460032); #373944=AXIS2_PLACEMENT_3D('',#582828,#460033,#460034); #373945=AXIS2_PLACEMENT_3D('',#582829,#460035,#460036); #373946=AXIS2_PLACEMENT_3D('',#582830,#460037,#460038); #373947=AXIS2_PLACEMENT_3D('',#582831,#460039,#460040); #373948=AXIS2_PLACEMENT_3D('',#582832,#460041,#460042); #373949=AXIS2_PLACEMENT_3D('',#582833,#460043,#460044); #373950=AXIS2_PLACEMENT_3D('',#582834,#460045,#460046); #373951=AXIS2_PLACEMENT_3D('',#582835,#460047,#460048); #373952=AXIS2_PLACEMENT_3D('',#582836,#460049,#460050); #373953=AXIS2_PLACEMENT_3D('',#582837,#460051,#460052); #373954=AXIS2_PLACEMENT_3D('',#582840,#460053,#460054); #373955=AXIS2_PLACEMENT_3D('',#582842,#460055,#460056); #373956=AXIS2_PLACEMENT_3D('',#582843,#460057,#460058); #373957=AXIS2_PLACEMENT_3D('',#582844,#460059,#460060); #373958=AXIS2_PLACEMENT_3D('',#582847,#460061,#460062); #373959=AXIS2_PLACEMENT_3D('',#582849,#460063,#460064); #373960=AXIS2_PLACEMENT_3D('',#582850,#460065,#460066); #373961=AXIS2_PLACEMENT_3D('',#582851,#460067,#460068); #373962=AXIS2_PLACEMENT_3D('',#582854,#460069,#460070); #373963=AXIS2_PLACEMENT_3D('',#582856,#460071,#460072); #373964=AXIS2_PLACEMENT_3D('',#582857,#460073,#460074); #373965=AXIS2_PLACEMENT_3D('',#582858,#460075,#460076); #373966=AXIS2_PLACEMENT_3D('',#582861,#460077,#460078); #373967=AXIS2_PLACEMENT_3D('',#582863,#460079,#460080); #373968=AXIS2_PLACEMENT_3D('',#582864,#460081,#460082); #373969=AXIS2_PLACEMENT_3D('',#582865,#460083,#460084); #373970=AXIS2_PLACEMENT_3D('',#582868,#460085,#460086); #373971=AXIS2_PLACEMENT_3D('',#582870,#460087,#460088); #373972=AXIS2_PLACEMENT_3D('',#582871,#460089,#460090); #373973=AXIS2_PLACEMENT_3D('',#582872,#460091,#460092); #373974=AXIS2_PLACEMENT_3D('',#582875,#460095,#460096); #373975=AXIS2_PLACEMENT_3D('',#582878,#460097,#460098); #373976=AXIS2_PLACEMENT_3D('',#582880,#460099,#460100); #373977=AXIS2_PLACEMENT_3D('',#582881,#460101,#460102); #373978=AXIS2_PLACEMENT_3D('',#582882,#460103,#460104); #373979=AXIS2_PLACEMENT_3D('',#582885,#460105,#460106); #373980=AXIS2_PLACEMENT_3D('',#582887,#460107,#460108); #373981=AXIS2_PLACEMENT_3D('',#582888,#460109,#460110); #373982=AXIS2_PLACEMENT_3D('',#582889,#460111,#460112); #373983=AXIS2_PLACEMENT_3D('',#582892,#460115,#460116); #373984=AXIS2_PLACEMENT_3D('',#582895,#460119,#460120); #373985=AXIS2_PLACEMENT_3D('',#582898,#460121,#460122); #373986=AXIS2_PLACEMENT_3D('',#582900,#460123,#460124); #373987=AXIS2_PLACEMENT_3D('',#582901,#460125,#460126); #373988=AXIS2_PLACEMENT_3D('',#582902,#460127,#460128); #373989=AXIS2_PLACEMENT_3D('',#582905,#460131,#460132); #373990=AXIS2_PLACEMENT_3D('',#582908,#460135,#460136); #373991=AXIS2_PLACEMENT_3D('',#582911,#460139,#460140); #373992=AXIS2_PLACEMENT_3D('',#582914,#460143,#460144); #373993=AXIS2_PLACEMENT_3D('',#582917,#460147,#460148); #373994=AXIS2_PLACEMENT_3D('',#582920,#460151,#460152); #373995=AXIS2_PLACEMENT_3D('',#582923,#460155,#460156); #373996=AXIS2_PLACEMENT_3D('',#582926,#460159,#460160); #373997=AXIS2_PLACEMENT_3D('',#582929,#460163,#460164); #373998=AXIS2_PLACEMENT_3D('',#582930,#460165,#460166); #373999=AXIS2_PLACEMENT_3D('',#582931,#460167,#460168); #374000=AXIS2_PLACEMENT_3D('',#582932,#460169,#460170); #374001=AXIS2_PLACEMENT_3D('',#582933,#460171,#460172); #374002=AXIS2_PLACEMENT_3D('',#582934,#460173,#460174); #374003=AXIS2_PLACEMENT_3D('',#582935,#460175,#460176); #374004=AXIS2_PLACEMENT_3D('',#582938,#460177,#460178); #374005=AXIS2_PLACEMENT_3D('',#582940,#460179,#460180); #374006=AXIS2_PLACEMENT_3D('',#582941,#460181,#460182); #374007=AXIS2_PLACEMENT_3D('',#582942,#460183,#460184); #374008=AXIS2_PLACEMENT_3D('',#582945,#460185,#460186); #374009=AXIS2_PLACEMENT_3D('',#582947,#460187,#460188); #374010=AXIS2_PLACEMENT_3D('',#582948,#460189,#460190); #374011=AXIS2_PLACEMENT_3D('',#582949,#460191,#460192); #374012=AXIS2_PLACEMENT_3D('',#582952,#460193,#460194); #374013=AXIS2_PLACEMENT_3D('',#582954,#460195,#460196); #374014=AXIS2_PLACEMENT_3D('',#582955,#460197,#460198); #374015=AXIS2_PLACEMENT_3D('',#582956,#460199,#460200); #374016=AXIS2_PLACEMENT_3D('',#582959,#460201,#460202); #374017=AXIS2_PLACEMENT_3D('',#582961,#460203,#460204); #374018=AXIS2_PLACEMENT_3D('',#582962,#460205,#460206); #374019=AXIS2_PLACEMENT_3D('',#582963,#460207,#460208); #374020=AXIS2_PLACEMENT_3D('',#582966,#460209,#460210); #374021=AXIS2_PLACEMENT_3D('',#582968,#460211,#460212); #374022=AXIS2_PLACEMENT_3D('',#582969,#460213,#460214); #374023=AXIS2_PLACEMENT_3D('',#582970,#460215,#460216); #374024=AXIS2_PLACEMENT_3D('',#582973,#460219,#460220); #374025=AXIS2_PLACEMENT_3D('',#582976,#460221,#460222); #374026=AXIS2_PLACEMENT_3D('',#582978,#460223,#460224); #374027=AXIS2_PLACEMENT_3D('',#582979,#460225,#460226); #374028=AXIS2_PLACEMENT_3D('',#582980,#460227,#460228); #374029=AXIS2_PLACEMENT_3D('',#582983,#460229,#460230); #374030=AXIS2_PLACEMENT_3D('',#582985,#460231,#460232); #374031=AXIS2_PLACEMENT_3D('',#582986,#460233,#460234); #374032=AXIS2_PLACEMENT_3D('',#582987,#460235,#460236); #374033=AXIS2_PLACEMENT_3D('',#582990,#460239,#460240); #374034=AXIS2_PLACEMENT_3D('',#582993,#460243,#460244); #374035=AXIS2_PLACEMENT_3D('',#582996,#460245,#460246); #374036=AXIS2_PLACEMENT_3D('',#582998,#460247,#460248); #374037=AXIS2_PLACEMENT_3D('',#582999,#460249,#460250); #374038=AXIS2_PLACEMENT_3D('',#583000,#460251,#460252); #374039=AXIS2_PLACEMENT_3D('',#583003,#460255,#460256); #374040=AXIS2_PLACEMENT_3D('',#583006,#460259,#460260); #374041=AXIS2_PLACEMENT_3D('',#583009,#460263,#460264); #374042=AXIS2_PLACEMENT_3D('',#583012,#460267,#460268); #374043=AXIS2_PLACEMENT_3D('',#583015,#460271,#460272); #374044=AXIS2_PLACEMENT_3D('',#583018,#460275,#460276); #374045=AXIS2_PLACEMENT_3D('',#583021,#460279,#460280); #374046=AXIS2_PLACEMENT_3D('',#583024,#460283,#460284); #374047=AXIS2_PLACEMENT_3D('',#583027,#460287,#460288); #374048=AXIS2_PLACEMENT_3D('',#583028,#460289,#460290); #374049=AXIS2_PLACEMENT_3D('',#583029,#460291,#460292); #374050=AXIS2_PLACEMENT_3D('',#583030,#460293,#460294); #374051=AXIS2_PLACEMENT_3D('',#583031,#460295,#460296); #374052=AXIS2_PLACEMENT_3D('',#583032,#460297,#460298); #374053=AXIS2_PLACEMENT_3D('',#583033,#460299,#460300); #374054=AXIS2_PLACEMENT_3D('',#583042,#460305,#460306); #374055=AXIS2_PLACEMENT_3D('',#583048,#460310,#460311); #374056=AXIS2_PLACEMENT_3D('',#583054,#460315,#460316); #374057=AXIS2_PLACEMENT_3D('',#583057,#460319,#460320); #374058=AXIS2_PLACEMENT_3D('',#583058,#460321,#460322); #374059=AXIS2_PLACEMENT_3D('',#583059,#460323,#460324); #374060=AXIS2_PLACEMENT_3D('',#583060,#460325,#460326); #374061=AXIS2_PLACEMENT_3D('',#583061,#460327,#460328); #374062=AXIS2_PLACEMENT_3D('',#583062,#460329,#460330); #374063=AXIS2_PLACEMENT_3D('',#583065,#460331,#460332); #374064=AXIS2_PLACEMENT_3D('',#583067,#460333,#460334); #374065=AXIS2_PLACEMENT_3D('',#583068,#460335,#460336); #374066=AXIS2_PLACEMENT_3D('',#583069,#460337,#460338); #374067=AXIS2_PLACEMENT_3D('',#583072,#460339,#460340); #374068=AXIS2_PLACEMENT_3D('',#583074,#460341,#460342); #374069=AXIS2_PLACEMENT_3D('',#583075,#460343,#460344); #374070=AXIS2_PLACEMENT_3D('',#583076,#460345,#460346); #374071=AXIS2_PLACEMENT_3D('',#583079,#460347,#460348); #374072=AXIS2_PLACEMENT_3D('',#583081,#460349,#460350); #374073=AXIS2_PLACEMENT_3D('',#583082,#460351,#460352); #374074=AXIS2_PLACEMENT_3D('',#583083,#460353,#460354); #374075=AXIS2_PLACEMENT_3D('',#583086,#460355,#460356); #374076=AXIS2_PLACEMENT_3D('',#583088,#460357,#460358); #374077=AXIS2_PLACEMENT_3D('',#583089,#460359,#460360); #374078=AXIS2_PLACEMENT_3D('',#583090,#460361,#460362); #374079=AXIS2_PLACEMENT_3D('',#583093,#460363,#460364); #374080=AXIS2_PLACEMENT_3D('',#583095,#460365,#460366); #374081=AXIS2_PLACEMENT_3D('',#583096,#460367,#460368); #374082=AXIS2_PLACEMENT_3D('',#583097,#460369,#460370); #374083=AXIS2_PLACEMENT_3D('',#583100,#460373,#460374); #374084=AXIS2_PLACEMENT_3D('',#583103,#460375,#460376); #374085=AXIS2_PLACEMENT_3D('',#583105,#460377,#460378); #374086=AXIS2_PLACEMENT_3D('',#583106,#460379,#460380); #374087=AXIS2_PLACEMENT_3D('',#583107,#460381,#460382); #374088=AXIS2_PLACEMENT_3D('',#583110,#460383,#460384); #374089=AXIS2_PLACEMENT_3D('',#583112,#460385,#460386); #374090=AXIS2_PLACEMENT_3D('',#583113,#460387,#460388); #374091=AXIS2_PLACEMENT_3D('',#583114,#460389,#460390); #374092=AXIS2_PLACEMENT_3D('',#583117,#460393,#460394); #374093=AXIS2_PLACEMENT_3D('',#583120,#460397,#460398); #374094=AXIS2_PLACEMENT_3D('',#583123,#460399,#460400); #374095=AXIS2_PLACEMENT_3D('',#583125,#460401,#460402); #374096=AXIS2_PLACEMENT_3D('',#583126,#460403,#460404); #374097=AXIS2_PLACEMENT_3D('',#583127,#460405,#460406); #374098=AXIS2_PLACEMENT_3D('',#583130,#460409,#460410); #374099=AXIS2_PLACEMENT_3D('',#583133,#460413,#460414); #374100=AXIS2_PLACEMENT_3D('',#583136,#460417,#460418); #374101=AXIS2_PLACEMENT_3D('',#583139,#460421,#460422); #374102=AXIS2_PLACEMENT_3D('',#583142,#460425,#460426); #374103=AXIS2_PLACEMENT_3D('',#583145,#460429,#460430); #374104=AXIS2_PLACEMENT_3D('',#583148,#460433,#460434); #374105=AXIS2_PLACEMENT_3D('',#583151,#460437,#460438); #374106=AXIS2_PLACEMENT_3D('',#583154,#460441,#460442); #374107=AXIS2_PLACEMENT_3D('',#583155,#460443,#460444); #374108=AXIS2_PLACEMENT_3D('',#583156,#460445,#460446); #374109=AXIS2_PLACEMENT_3D('',#583157,#460447,#460448); #374110=AXIS2_PLACEMENT_3D('',#583158,#460449,#460450); #374111=AXIS2_PLACEMENT_3D('',#583159,#460451,#460452); #374112=AXIS2_PLACEMENT_3D('',#583160,#460453,#460454); #374113=AXIS2_PLACEMENT_3D('',#583163,#460455,#460456); #374114=AXIS2_PLACEMENT_3D('',#583165,#460457,#460458); #374115=AXIS2_PLACEMENT_3D('',#583166,#460459,#460460); #374116=AXIS2_PLACEMENT_3D('',#583167,#460461,#460462); #374117=AXIS2_PLACEMENT_3D('',#583170,#460463,#460464); #374118=AXIS2_PLACEMENT_3D('',#583172,#460465,#460466); #374119=AXIS2_PLACEMENT_3D('',#583173,#460467,#460468); #374120=AXIS2_PLACEMENT_3D('',#583174,#460469,#460470); #374121=AXIS2_PLACEMENT_3D('',#583177,#460471,#460472); #374122=AXIS2_PLACEMENT_3D('',#583179,#460473,#460474); #374123=AXIS2_PLACEMENT_3D('',#583180,#460475,#460476); #374124=AXIS2_PLACEMENT_3D('',#583181,#460477,#460478); #374125=AXIS2_PLACEMENT_3D('',#583184,#460479,#460480); #374126=AXIS2_PLACEMENT_3D('',#583186,#460481,#460482); #374127=AXIS2_PLACEMENT_3D('',#583187,#460483,#460484); #374128=AXIS2_PLACEMENT_3D('',#583188,#460485,#460486); #374129=AXIS2_PLACEMENT_3D('',#583191,#460487,#460488); #374130=AXIS2_PLACEMENT_3D('',#583193,#460489,#460490); #374131=AXIS2_PLACEMENT_3D('',#583194,#460491,#460492); #374132=AXIS2_PLACEMENT_3D('',#583195,#460493,#460494); #374133=AXIS2_PLACEMENT_3D('',#583198,#460497,#460498); #374134=AXIS2_PLACEMENT_3D('',#583201,#460499,#460500); #374135=AXIS2_PLACEMENT_3D('',#583203,#460501,#460502); #374136=AXIS2_PLACEMENT_3D('',#583204,#460503,#460504); #374137=AXIS2_PLACEMENT_3D('',#583205,#460505,#460506); #374138=AXIS2_PLACEMENT_3D('',#583208,#460507,#460508); #374139=AXIS2_PLACEMENT_3D('',#583210,#460509,#460510); #374140=AXIS2_PLACEMENT_3D('',#583211,#460511,#460512); #374141=AXIS2_PLACEMENT_3D('',#583212,#460513,#460514); #374142=AXIS2_PLACEMENT_3D('',#583215,#460517,#460518); #374143=AXIS2_PLACEMENT_3D('',#583218,#460521,#460522); #374144=AXIS2_PLACEMENT_3D('',#583221,#460523,#460524); #374145=AXIS2_PLACEMENT_3D('',#583223,#460525,#460526); #374146=AXIS2_PLACEMENT_3D('',#583224,#460527,#460528); #374147=AXIS2_PLACEMENT_3D('',#583225,#460529,#460530); #374148=AXIS2_PLACEMENT_3D('',#583228,#460533,#460534); #374149=AXIS2_PLACEMENT_3D('',#583231,#460537,#460538); #374150=AXIS2_PLACEMENT_3D('',#583234,#460541,#460542); #374151=AXIS2_PLACEMENT_3D('',#583237,#460545,#460546); #374152=AXIS2_PLACEMENT_3D('',#583240,#460549,#460550); #374153=AXIS2_PLACEMENT_3D('',#583243,#460553,#460554); #374154=AXIS2_PLACEMENT_3D('',#583246,#460557,#460558); #374155=AXIS2_PLACEMENT_3D('',#583249,#460561,#460562); #374156=AXIS2_PLACEMENT_3D('',#583252,#460565,#460566); #374157=AXIS2_PLACEMENT_3D('',#583253,#460567,#460568); #374158=AXIS2_PLACEMENT_3D('',#583254,#460569,#460570); #374159=AXIS2_PLACEMENT_3D('',#583255,#460571,#460572); #374160=AXIS2_PLACEMENT_3D('',#583256,#460573,#460574); #374161=AXIS2_PLACEMENT_3D('',#583257,#460575,#460576); #374162=AXIS2_PLACEMENT_3D('',#583258,#460577,#460578); #374163=AXIS2_PLACEMENT_3D('',#583267,#460583,#460584); #374164=AXIS2_PLACEMENT_3D('',#583273,#460588,#460589); #374165=AXIS2_PLACEMENT_3D('',#583279,#460593,#460594); #374166=AXIS2_PLACEMENT_3D('',#583282,#460597,#460598); #374167=AXIS2_PLACEMENT_3D('',#583283,#460599,#460600); #374168=AXIS2_PLACEMENT_3D('',#583284,#460601,#460602); #374169=AXIS2_PLACEMENT_3D('',#583285,#460603,#460604); #374170=AXIS2_PLACEMENT_3D('',#583286,#460605,#460606); #374171=AXIS2_PLACEMENT_3D('',#583287,#460607,#460608); #374172=AXIS2_PLACEMENT_3D('',#583289,#460609,#460610); #374173=AXIS2_PLACEMENT_3D('',#583292,#460612,#460613); #374174=AXIS2_PLACEMENT_3D('',#583293,#460614,#460615); #374175=AXIS2_PLACEMENT_3D('',#583294,#460616,#460617); #374176=AXIS2_PLACEMENT_3D('',#583296,#460618,#460619); #374177=AXIS2_PLACEMENT_3D('',#583297,#460620,#460621); #374178=AXIS2_PLACEMENT_3D('',#583299,#460622,#460623); #374179=AXIS2_PLACEMENT_3D('',#583300,#460624,#460625); #374180=AXIS2_PLACEMENT_3D('',#583301,#460626,#460627); #374181=AXIS2_PLACEMENT_3D('',#583303,#460628,#460629); #374182=AXIS2_PLACEMENT_3D('',#583305,#460631,#460632); #374183=AXIS2_PLACEMENT_3D('',#583307,#460633,#460634); #374184=AXIS2_PLACEMENT_3D('',#583308,#460635,#460636); #374185=AXIS2_PLACEMENT_3D('',#583309,#460637,#460638); #374186=AXIS2_PLACEMENT_3D('',#583311,#460639,#460640); #374187=AXIS2_PLACEMENT_3D('',#583312,#460641,#460642); #374188=AXIS2_PLACEMENT_3D('',#583313,#460643,#460644); #374189=AXIS2_PLACEMENT_3D('',#583315,#460645,#460646); #374190=AXIS2_PLACEMENT_3D('',#583316,#460647,#460648); #374191=AXIS2_PLACEMENT_3D('',#583317,#460649,#460650); #374192=AXIS2_PLACEMENT_3D('',#583319,#460651,#460652); #374193=AXIS2_PLACEMENT_3D('',#583321,#460654,#460655); #374194=AXIS2_PLACEMENT_3D('',#583323,#460656,#460657); #374195=AXIS2_PLACEMENT_3D('',#583324,#460658,#460659); #374196=AXIS2_PLACEMENT_3D('',#583325,#460660,#460661); #374197=AXIS2_PLACEMENT_3D('',#583326,#460662,#460663); #374198=AXIS2_PLACEMENT_3D('',#583329,#460664,#460665); #374199=AXIS2_PLACEMENT_3D('',#583331,#460666,#460667); #374200=AXIS2_PLACEMENT_3D('',#583333,#460668,#460669); #374201=AXIS2_PLACEMENT_3D('',#583337,#460671,#460672); #374202=AXIS2_PLACEMENT_3D('',#583341,#460674,#460675); #374203=AXIS2_PLACEMENT_3D('',#583345,#460677,#460678); #374204=AXIS2_PLACEMENT_3D('',#583347,#460679,#460680); #374205=AXIS2_PLACEMENT_3D('',#583349,#460681,#460682); #374206=AXIS2_PLACEMENT_3D('',#583353,#460684,#460685); #374207=AXIS2_PLACEMENT_3D('',#583357,#460687,#460688); #374208=AXIS2_PLACEMENT_3D('',#583359,#460690,#460691); #374209=AXIS2_PLACEMENT_3D('',#583361,#460692,#460693); #374210=AXIS2_PLACEMENT_3D('',#583363,#460694,#460695); #374211=AXIS2_PLACEMENT_3D('',#583364,#460696,#460697); #374212=AXIS2_PLACEMENT_3D('',#583365,#460698,#460699); #374213=AXIS2_PLACEMENT_3D('',#583367,#460700,#460701); #374214=AXIS2_PLACEMENT_3D('',#583368,#460702,#460703); #374215=AXIS2_PLACEMENT_3D('',#583369,#460704,#460705); #374216=AXIS2_PLACEMENT_3D('',#583372,#460707,#460708); #374217=AXIS2_PLACEMENT_3D('',#583373,#460709,#460710); #374218=AXIS2_PLACEMENT_3D('',#583375,#460711,#460712); #374219=AXIS2_PLACEMENT_3D('',#583376,#460713,#460714); #374220=AXIS2_PLACEMENT_3D('',#583377,#460715,#460716); #374221=AXIS2_PLACEMENT_3D('',#583380,#460718,#460719); #374222=AXIS2_PLACEMENT_3D('',#583381,#460720,#460721); #374223=AXIS2_PLACEMENT_3D('',#583383,#460722,#460723); #374224=AXIS2_PLACEMENT_3D('',#583384,#460724,#460725); #374225=AXIS2_PLACEMENT_3D('',#583385,#460726,#460727); #374226=AXIS2_PLACEMENT_3D('',#583388,#460729,#460730); #374227=AXIS2_PLACEMENT_3D('',#583389,#460731,#460732); #374228=AXIS2_PLACEMENT_3D('',#583391,#460733,#460734); #374229=AXIS2_PLACEMENT_3D('',#583392,#460735,#460736); #374230=AXIS2_PLACEMENT_3D('',#583393,#460737,#460738); #374231=AXIS2_PLACEMENT_3D('',#583395,#460739,#460740); #374232=AXIS2_PLACEMENT_3D('',#583396,#460741,#460742); #374233=AXIS2_PLACEMENT_3D('',#583397,#460743,#460744); #374234=AXIS2_PLACEMENT_3D('',#583399,#460745,#460746); #374235=AXIS2_PLACEMENT_3D('',#583400,#460747,#460748); #374236=AXIS2_PLACEMENT_3D('',#583401,#460749,#460750); #374237=AXIS2_PLACEMENT_3D('',#583404,#460752,#460753); #374238=AXIS2_PLACEMENT_3D('',#583405,#460754,#460755); #374239=AXIS2_PLACEMENT_3D('',#583407,#460756,#460757); #374240=AXIS2_PLACEMENT_3D('',#583408,#460758,#460759); #374241=AXIS2_PLACEMENT_3D('',#583409,#460760,#460761); #374242=AXIS2_PLACEMENT_3D('',#583412,#460763,#460764); #374243=AXIS2_PLACEMENT_3D('',#583413,#460765,#460766); #374244=AXIS2_PLACEMENT_3D('',#583415,#460767,#460768); #374245=AXIS2_PLACEMENT_3D('',#583416,#460769,#460770); #374246=AXIS2_PLACEMENT_3D('',#583417,#460771,#460772); #374247=AXIS2_PLACEMENT_3D('',#583420,#460774,#460775); #374248=AXIS2_PLACEMENT_3D('',#583421,#460776,#460777); #374249=AXIS2_PLACEMENT_3D('',#583422,#460778,#460779); #374250=AXIS2_PLACEMENT_3D('',#583423,#460780,#460781); #374251=AXIS2_PLACEMENT_3D('',#583424,#460782,#460783); #374252=AXIS2_PLACEMENT_3D('',#583426,#460784,#460785); #374253=AXIS2_PLACEMENT_3D('',#583429,#460787,#460788); #374254=AXIS2_PLACEMENT_3D('',#583430,#460789,#460790); #374255=AXIS2_PLACEMENT_3D('',#583431,#460791,#460792); #374256=AXIS2_PLACEMENT_3D('',#583432,#460793,#460794); #374257=AXIS2_PLACEMENT_3D('',#583434,#460795,#460796); #374258=AXIS2_PLACEMENT_3D('',#583437,#460798,#460799); #374259=AXIS2_PLACEMENT_3D('',#583438,#460800,#460801); #374260=AXIS2_PLACEMENT_3D('',#583439,#460802,#460803); #374261=AXIS2_PLACEMENT_3D('',#583440,#460804,#460805); #374262=AXIS2_PLACEMENT_3D('',#583449,#460810,#460811); #374263=AXIS2_PLACEMENT_3D('',#583451,#460812,#460813); #374264=AXIS2_PLACEMENT_3D('',#583454,#460815,#460816); #374265=AXIS2_PLACEMENT_3D('',#583455,#460817,#460818); #374266=AXIS2_PLACEMENT_3D('',#583458,#460820,#460821); #374267=AXIS2_PLACEMENT_3D('',#583459,#460822,#460823); #374268=AXIS2_PLACEMENT_3D('',#583462,#460825,#460826); #374269=AXIS2_PLACEMENT_3D('',#583463,#460827,#460828); #374270=AXIS2_PLACEMENT_3D('',#583465,#460830,#460831); #374271=AXIS2_PLACEMENT_3D('',#583466,#460832,#460833); #374272=AXIS2_PLACEMENT_3D('',#583475,#460838,#460839); #374273=AXIS2_PLACEMENT_3D('',#583477,#460840,#460841); #374274=AXIS2_PLACEMENT_3D('',#583480,#460843,#460844); #374275=AXIS2_PLACEMENT_3D('',#583481,#460845,#460846); #374276=AXIS2_PLACEMENT_3D('',#583484,#460848,#460849); #374277=AXIS2_PLACEMENT_3D('',#583485,#460850,#460851); #374278=AXIS2_PLACEMENT_3D('',#583488,#460853,#460854); #374279=AXIS2_PLACEMENT_3D('',#583489,#460855,#460856); #374280=AXIS2_PLACEMENT_3D('',#583491,#460858,#460859); #374281=AXIS2_PLACEMENT_3D('',#583492,#460860,#460861); #374282=AXIS2_PLACEMENT_3D('',#583501,#460866,#460867); #374283=AXIS2_PLACEMENT_3D('',#583503,#460868,#460869); #374284=AXIS2_PLACEMENT_3D('',#583506,#460871,#460872); #374285=AXIS2_PLACEMENT_3D('',#583507,#460873,#460874); #374286=AXIS2_PLACEMENT_3D('',#583510,#460876,#460877); #374287=AXIS2_PLACEMENT_3D('',#583511,#460878,#460879); #374288=AXIS2_PLACEMENT_3D('',#583514,#460881,#460882); #374289=AXIS2_PLACEMENT_3D('',#583515,#460883,#460884); #374290=AXIS2_PLACEMENT_3D('',#583517,#460886,#460887); #374291=AXIS2_PLACEMENT_3D('',#583518,#460888,#460889); #374292=AXIS2_PLACEMENT_3D('',#583527,#460894,#460895); #374293=AXIS2_PLACEMENT_3D('',#583529,#460896,#460897); #374294=AXIS2_PLACEMENT_3D('',#583532,#460899,#460900); #374295=AXIS2_PLACEMENT_3D('',#583533,#460901,#460902); #374296=AXIS2_PLACEMENT_3D('',#583536,#460904,#460905); #374297=AXIS2_PLACEMENT_3D('',#583537,#460906,#460907); #374298=AXIS2_PLACEMENT_3D('',#583540,#460909,#460910); #374299=AXIS2_PLACEMENT_3D('',#583541,#460911,#460912); #374300=AXIS2_PLACEMENT_3D('',#583543,#460914,#460915); #374301=AXIS2_PLACEMENT_3D('',#583544,#460916,#460917); #374302=AXIS2_PLACEMENT_3D('',#583553,#460922,#460923); #374303=AXIS2_PLACEMENT_3D('',#583555,#460924,#460925); #374304=AXIS2_PLACEMENT_3D('',#583558,#460927,#460928); #374305=AXIS2_PLACEMENT_3D('',#583559,#460929,#460930); #374306=AXIS2_PLACEMENT_3D('',#583562,#460932,#460933); #374307=AXIS2_PLACEMENT_3D('',#583563,#460934,#460935); #374308=AXIS2_PLACEMENT_3D('',#583566,#460937,#460938); #374309=AXIS2_PLACEMENT_3D('',#583567,#460939,#460940); #374310=AXIS2_PLACEMENT_3D('',#583569,#460942,#460943); #374311=AXIS2_PLACEMENT_3D('',#583570,#460944,#460945); #374312=AXIS2_PLACEMENT_3D('',#583579,#460950,#460951); #374313=AXIS2_PLACEMENT_3D('',#583581,#460952,#460953); #374314=AXIS2_PLACEMENT_3D('',#583584,#460955,#460956); #374315=AXIS2_PLACEMENT_3D('',#583585,#460957,#460958); #374316=AXIS2_PLACEMENT_3D('',#583588,#460960,#460961); #374317=AXIS2_PLACEMENT_3D('',#583589,#460962,#460963); #374318=AXIS2_PLACEMENT_3D('',#583592,#460965,#460966); #374319=AXIS2_PLACEMENT_3D('',#583593,#460967,#460968); #374320=AXIS2_PLACEMENT_3D('',#583595,#460970,#460971); #374321=AXIS2_PLACEMENT_3D('',#583596,#460972,#460973); #374322=AXIS2_PLACEMENT_3D('',#583605,#460978,#460979); #374323=AXIS2_PLACEMENT_3D('',#583607,#460980,#460981); #374324=AXIS2_PLACEMENT_3D('',#583610,#460983,#460984); #374325=AXIS2_PLACEMENT_3D('',#583611,#460985,#460986); #374326=AXIS2_PLACEMENT_3D('',#583614,#460988,#460989); #374327=AXIS2_PLACEMENT_3D('',#583615,#460990,#460991); #374328=AXIS2_PLACEMENT_3D('',#583618,#460993,#460994); #374329=AXIS2_PLACEMENT_3D('',#583619,#460995,#460996); #374330=AXIS2_PLACEMENT_3D('',#583621,#460998,#460999); #374331=AXIS2_PLACEMENT_3D('',#583622,#461000,#461001); #374332=AXIS2_PLACEMENT_3D('',#583631,#461006,#461007); #374333=AXIS2_PLACEMENT_3D('',#583633,#461008,#461009); #374334=AXIS2_PLACEMENT_3D('',#583636,#461011,#461012); #374335=AXIS2_PLACEMENT_3D('',#583637,#461013,#461014); #374336=AXIS2_PLACEMENT_3D('',#583640,#461016,#461017); #374337=AXIS2_PLACEMENT_3D('',#583641,#461018,#461019); #374338=AXIS2_PLACEMENT_3D('',#583644,#461021,#461022); #374339=AXIS2_PLACEMENT_3D('',#583645,#461023,#461024); #374340=AXIS2_PLACEMENT_3D('',#583647,#461026,#461027); #374341=AXIS2_PLACEMENT_3D('',#583648,#461028,#461029); #374342=AXIS2_PLACEMENT_3D('',#583657,#461034,#461035); #374343=AXIS2_PLACEMENT_3D('',#583659,#461036,#461037); #374344=AXIS2_PLACEMENT_3D('',#583662,#461039,#461040); #374345=AXIS2_PLACEMENT_3D('',#583663,#461041,#461042); #374346=AXIS2_PLACEMENT_3D('',#583666,#461044,#461045); #374347=AXIS2_PLACEMENT_3D('',#583667,#461046,#461047); #374348=AXIS2_PLACEMENT_3D('',#583670,#461049,#461050); #374349=AXIS2_PLACEMENT_3D('',#583671,#461051,#461052); #374350=AXIS2_PLACEMENT_3D('',#583673,#461054,#461055); #374351=AXIS2_PLACEMENT_3D('',#583674,#461056,#461057); #374352=AXIS2_PLACEMENT_3D('',#583675,#461058,#461059); #374353=AXIS2_PLACEMENT_3D('',#583676,#461060,#461061); #374354=AXIS2_PLACEMENT_3D('',#583677,#461062,#461063); #374355=AXIS2_PLACEMENT_3D('',#583679,#461064,#461065); #374356=AXIS2_PLACEMENT_3D('',#583682,#461067,#461068); #374357=AXIS2_PLACEMENT_3D('',#583683,#461069,#461070); #374358=AXIS2_PLACEMENT_3D('',#583684,#461071,#461072); #374359=AXIS2_PLACEMENT_3D('',#583693,#461077,#461078); #374360=AXIS2_PLACEMENT_3D('',#583699,#461082,#461083); #374361=AXIS2_PLACEMENT_3D('',#583705,#461087,#461088); #374362=AXIS2_PLACEMENT_3D('',#583708,#461091,#461092); #374363=AXIS2_PLACEMENT_3D('',#583714,#461096,#461097); #374364=AXIS2_PLACEMENT_3D('',#583718,#461100,#461101); #374365=AXIS2_PLACEMENT_3D('',#583719,#461102,#461103); #374366=AXIS2_PLACEMENT_3D('',#583723,#461106,#461107); #374367=AXIS2_PLACEMENT_3D('',#583725,#461109,#461110); #374368=AXIS2_PLACEMENT_3D('',#583726,#461111,#461112); #374369=AXIS2_PLACEMENT_3D('',#583735,#461117,#461118); #374370=AXIS2_PLACEMENT_3D('',#583741,#461122,#461123); #374371=AXIS2_PLACEMENT_3D('',#583747,#461127,#461128); #374372=AXIS2_PLACEMENT_3D('',#583750,#461131,#461132); #374373=AXIS2_PLACEMENT_3D('',#583751,#461133,#461134); #374374=AXIS2_PLACEMENT_3D('',#583752,#461135,#461136); #374375=AXIS2_PLACEMENT_3D('',#583761,#461141,#461142); #374376=AXIS2_PLACEMENT_3D('',#583767,#461146,#461147); #374377=AXIS2_PLACEMENT_3D('',#583769,#461148,#461149); #374378=AXIS2_PLACEMENT_3D('',#583771,#461150,#461151); #374379=AXIS2_PLACEMENT_3D('',#583773,#461153,#461154); #374380=AXIS2_PLACEMENT_3D('',#583779,#461158,#461159); #374381=AXIS2_PLACEMENT_3D('',#583781,#461160,#461161); #374382=AXIS2_PLACEMENT_3D('',#583783,#461162,#461163); #374383=AXIS2_PLACEMENT_3D('',#583785,#461165,#461166); #374384=AXIS2_PLACEMENT_3D('',#583788,#461169,#461170); #374385=AXIS2_PLACEMENT_3D('',#583789,#461171,#461172); #374386=AXIS2_PLACEMENT_3D('',#583790,#461173,#461174); #374387=AXIS2_PLACEMENT_3D('',#583799,#461179,#461180); #374388=AXIS2_PLACEMENT_3D('',#583805,#461184,#461185); #374389=AXIS2_PLACEMENT_3D('',#583807,#461186,#461187); #374390=AXIS2_PLACEMENT_3D('',#583810,#461189,#461190); #374391=AXIS2_PLACEMENT_3D('',#583811,#461191,#461192); #374392=AXIS2_PLACEMENT_3D('',#583817,#461196,#461197); #374393=AXIS2_PLACEMENT_3D('',#583819,#461198,#461199); #374394=AXIS2_PLACEMENT_3D('',#583822,#461201,#461202); #374395=AXIS2_PLACEMENT_3D('',#583823,#461203,#461204); #374396=AXIS2_PLACEMENT_3D('',#583826,#461207,#461208); #374397=AXIS2_PLACEMENT_3D('',#583827,#461209,#461210); #374398=AXIS2_PLACEMENT_3D('',#583828,#461211,#461212); #374399=AXIS2_PLACEMENT_3D('',#583837,#461217,#461218); #374400=AXIS2_PLACEMENT_3D('',#583843,#461222,#461223); #374401=AXIS2_PLACEMENT_3D('',#583845,#461224,#461225); #374402=AXIS2_PLACEMENT_3D('',#583847,#461226,#461227); #374403=AXIS2_PLACEMENT_3D('',#583849,#461229,#461230); #374404=AXIS2_PLACEMENT_3D('',#583855,#461234,#461235); #374405=AXIS2_PLACEMENT_3D('',#583857,#461236,#461237); #374406=AXIS2_PLACEMENT_3D('',#583859,#461238,#461239); #374407=AXIS2_PLACEMENT_3D('',#583861,#461241,#461242); #374408=AXIS2_PLACEMENT_3D('',#583864,#461245,#461246); #374409=AXIS2_PLACEMENT_3D('',#583865,#461247,#461248); #374410=AXIS2_PLACEMENT_3D('',#583866,#461249,#461250); #374411=AXIS2_PLACEMENT_3D('',#583875,#461255,#461256); #374412=AXIS2_PLACEMENT_3D('',#583881,#461260,#461261); #374413=AXIS2_PLACEMENT_3D('',#583883,#461262,#461263); #374414=AXIS2_PLACEMENT_3D('',#583885,#461264,#461265); #374415=AXIS2_PLACEMENT_3D('',#583887,#461267,#461268); #374416=AXIS2_PLACEMENT_3D('',#583893,#461272,#461273); #374417=AXIS2_PLACEMENT_3D('',#583895,#461274,#461275); #374418=AXIS2_PLACEMENT_3D('',#583897,#461276,#461277); #374419=AXIS2_PLACEMENT_3D('',#583899,#461279,#461280); #374420=AXIS2_PLACEMENT_3D('',#583902,#461283,#461284); #374421=AXIS2_PLACEMENT_3D('',#583903,#461285,#461286); #374422=AXIS2_PLACEMENT_3D('',#583904,#461287,#461288); #374423=AXIS2_PLACEMENT_3D('',#583913,#461293,#461294); #374424=AXIS2_PLACEMENT_3D('',#583919,#461298,#461299); #374425=AXIS2_PLACEMENT_3D('',#583921,#461300,#461301); #374426=AXIS2_PLACEMENT_3D('',#583923,#461302,#461303); #374427=AXIS2_PLACEMENT_3D('',#583925,#461305,#461306); #374428=AXIS2_PLACEMENT_3D('',#583931,#461310,#461311); #374429=AXIS2_PLACEMENT_3D('',#583933,#461312,#461313); #374430=AXIS2_PLACEMENT_3D('',#583935,#461314,#461315); #374431=AXIS2_PLACEMENT_3D('',#583937,#461317,#461318); #374432=AXIS2_PLACEMENT_3D('',#583940,#461321,#461322); #374433=AXIS2_PLACEMENT_3D('',#583941,#461323,#461324); #374434=AXIS2_PLACEMENT_3D('',#583942,#461325,#461326); #374435=AXIS2_PLACEMENT_3D('',#583951,#461331,#461332); #374436=AXIS2_PLACEMENT_3D('',#583957,#461336,#461337); #374437=AXIS2_PLACEMENT_3D('',#583959,#461338,#461339); #374438=AXIS2_PLACEMENT_3D('',#583962,#461341,#461342); #374439=AXIS2_PLACEMENT_3D('',#583963,#461343,#461344); #374440=AXIS2_PLACEMENT_3D('',#583969,#461348,#461349); #374441=AXIS2_PLACEMENT_3D('',#583971,#461350,#461351); #374442=AXIS2_PLACEMENT_3D('',#583974,#461353,#461354); #374443=AXIS2_PLACEMENT_3D('',#583975,#461355,#461356); #374444=AXIS2_PLACEMENT_3D('',#583978,#461359,#461360); #374445=AXIS2_PLACEMENT_3D('',#583979,#461361,#461362); #374446=AXIS2_PLACEMENT_3D('',#583980,#461363,#461364); #374447=AXIS2_PLACEMENT_3D('',#583989,#461369,#461370); #374448=AXIS2_PLACEMENT_3D('',#583995,#461374,#461375); #374449=AXIS2_PLACEMENT_3D('',#583997,#461376,#461377); #374450=AXIS2_PLACEMENT_3D('',#584000,#461379,#461380); #374451=AXIS2_PLACEMENT_3D('',#584001,#461381,#461382); #374452=AXIS2_PLACEMENT_3D('',#584007,#461386,#461387); #374453=AXIS2_PLACEMENT_3D('',#584009,#461388,#461389); #374454=AXIS2_PLACEMENT_3D('',#584012,#461391,#461392); #374455=AXIS2_PLACEMENT_3D('',#584013,#461393,#461394); #374456=AXIS2_PLACEMENT_3D('',#584016,#461397,#461398); #374457=AXIS2_PLACEMENT_3D('',#584017,#461399,#461400); #374458=AXIS2_PLACEMENT_3D('',#584018,#461401,#461402); #374459=AXIS2_PLACEMENT_3D('',#584027,#461407,#461408); #374460=AXIS2_PLACEMENT_3D('',#584033,#461412,#461413); #374461=AXIS2_PLACEMENT_3D('',#584035,#461414,#461415); #374462=AXIS2_PLACEMENT_3D('',#584038,#461417,#461418); #374463=AXIS2_PLACEMENT_3D('',#584039,#461419,#461420); #374464=AXIS2_PLACEMENT_3D('',#584045,#461424,#461425); #374465=AXIS2_PLACEMENT_3D('',#584047,#461426,#461427); #374466=AXIS2_PLACEMENT_3D('',#584050,#461429,#461430); #374467=AXIS2_PLACEMENT_3D('',#584051,#461431,#461432); #374468=AXIS2_PLACEMENT_3D('',#584054,#461435,#461436); #374469=AXIS2_PLACEMENT_3D('',#584055,#461437,#461438); #374470=AXIS2_PLACEMENT_3D('',#584056,#461439,#461440); #374471=AXIS2_PLACEMENT_3D('',#584065,#461445,#461446); #374472=AXIS2_PLACEMENT_3D('',#584071,#461450,#461451); #374473=AXIS2_PLACEMENT_3D('',#584077,#461455,#461456); #374474=AXIS2_PLACEMENT_3D('',#584080,#461459,#461460); #374475=AXIS2_PLACEMENT_3D('',#584081,#461461,#461462); #374476=AXIS2_PLACEMENT_3D('',#584082,#461463,#461464); #374477=AXIS2_PLACEMENT_3D('',#584091,#461469,#461470); #374478=AXIS2_PLACEMENT_3D('',#584097,#461474,#461475); #374479=AXIS2_PLACEMENT_3D('',#584103,#461479,#461480); #374480=AXIS2_PLACEMENT_3D('',#584106,#461483,#461484); #374481=AXIS2_PLACEMENT_3D('',#584107,#461485,#461486); #374482=AXIS2_PLACEMENT_3D('',#584108,#461487,#461488); #374483=AXIS2_PLACEMENT_3D('',#584117,#461493,#461494); #374484=AXIS2_PLACEMENT_3D('',#584123,#461498,#461499); #374485=AXIS2_PLACEMENT_3D('',#584129,#461503,#461504); #374486=AXIS2_PLACEMENT_3D('',#584132,#461507,#461508); #374487=AXIS2_PLACEMENT_3D('',#584133,#461509,#461510); #374488=AXIS2_PLACEMENT_3D('',#584134,#461511,#461512); #374489=AXIS2_PLACEMENT_3D('',#584143,#461517,#461518); #374490=AXIS2_PLACEMENT_3D('',#584149,#461522,#461523); #374491=AXIS2_PLACEMENT_3D('',#584155,#461527,#461528); #374492=AXIS2_PLACEMENT_3D('',#584158,#461531,#461532); #374493=AXIS2_PLACEMENT_3D('',#584159,#461533,#461534); #374494=AXIS2_PLACEMENT_3D('',#584160,#461535,#461536); #374495=AXIS2_PLACEMENT_3D('',#584169,#461541,#461542); #374496=AXIS2_PLACEMENT_3D('',#584175,#461546,#461547); #374497=AXIS2_PLACEMENT_3D('',#584181,#461551,#461552); #374498=AXIS2_PLACEMENT_3D('',#584184,#461555,#461556); #374499=AXIS2_PLACEMENT_3D('',#584185,#461557,#461558); #374500=AXIS2_PLACEMENT_3D('',#584186,#461559,#461560); #374501=AXIS2_PLACEMENT_3D('',#584195,#461565,#461566); #374502=AXIS2_PLACEMENT_3D('',#584201,#461570,#461571); #374503=AXIS2_PLACEMENT_3D('',#584207,#461575,#461576); #374504=AXIS2_PLACEMENT_3D('',#584210,#461579,#461580); #374505=AXIS2_PLACEMENT_3D('',#584211,#461581,#461582); #374506=AXIS2_PLACEMENT_3D('',#584212,#461583,#461584); #374507=AXIS2_PLACEMENT_3D('',#584221,#461589,#461590); #374508=AXIS2_PLACEMENT_3D('',#584227,#461594,#461595); #374509=AXIS2_PLACEMENT_3D('',#584233,#461599,#461600); #374510=AXIS2_PLACEMENT_3D('',#584236,#461603,#461604); #374511=AXIS2_PLACEMENT_3D('',#584237,#461605,#461606); #374512=AXIS2_PLACEMENT_3D('',#584238,#461607,#461608); #374513=AXIS2_PLACEMENT_3D('',#584247,#461613,#461614); #374514=AXIS2_PLACEMENT_3D('',#584253,#461618,#461619); #374515=AXIS2_PLACEMENT_3D('',#584259,#461623,#461624); #374516=AXIS2_PLACEMENT_3D('',#584262,#461627,#461628); #374517=AXIS2_PLACEMENT_3D('',#584263,#461629,#461630); #374518=AXIS2_PLACEMENT_3D('',#584264,#461631,#461632); #374519=AXIS2_PLACEMENT_3D('',#584265,#461633,#461634); #374520=AXIS2_PLACEMENT_3D('',#584266,#461635,#461636); #374521=AXIS2_PLACEMENT_3D('',#584267,#461637,#461638); #374522=AXIS2_PLACEMENT_3D('',#584269,#461639,#461640); #374523=AXIS2_PLACEMENT_3D('',#584272,#461642,#461643); #374524=AXIS2_PLACEMENT_3D('',#584273,#461644,#461645); #374525=AXIS2_PLACEMENT_3D('',#584274,#461646,#461647); #374526=AXIS2_PLACEMENT_3D('',#584283,#461652,#461653); #374527=AXIS2_PLACEMENT_3D('',#584289,#461657,#461658); #374528=AXIS2_PLACEMENT_3D('',#584295,#461662,#461663); #374529=AXIS2_PLACEMENT_3D('',#584298,#461666,#461667); #374530=AXIS2_PLACEMENT_3D('',#584304,#461671,#461672); #374531=AXIS2_PLACEMENT_3D('',#584308,#461675,#461676); #374532=AXIS2_PLACEMENT_3D('',#584309,#461677,#461678); #374533=AXIS2_PLACEMENT_3D('',#584313,#461681,#461682); #374534=AXIS2_PLACEMENT_3D('',#584315,#461684,#461685); #374535=AXIS2_PLACEMENT_3D('',#584316,#461686,#461687); #374536=AXIS2_PLACEMENT_3D('',#584325,#461692,#461693); #374537=AXIS2_PLACEMENT_3D('',#584331,#461697,#461698); #374538=AXIS2_PLACEMENT_3D('',#584337,#461702,#461703); #374539=AXIS2_PLACEMENT_3D('',#584340,#461706,#461707); #374540=AXIS2_PLACEMENT_3D('',#584341,#461708,#461709); #374541=AXIS2_PLACEMENT_3D('',#584342,#461710,#461711); #374542=AXIS2_PLACEMENT_3D('',#584351,#461716,#461717); #374543=AXIS2_PLACEMENT_3D('',#584357,#461721,#461722); #374544=AXIS2_PLACEMENT_3D('',#584359,#461723,#461724); #374545=AXIS2_PLACEMENT_3D('',#584361,#461725,#461726); #374546=AXIS2_PLACEMENT_3D('',#584363,#461728,#461729); #374547=AXIS2_PLACEMENT_3D('',#584369,#461733,#461734); #374548=AXIS2_PLACEMENT_3D('',#584371,#461735,#461736); #374549=AXIS2_PLACEMENT_3D('',#584373,#461737,#461738); #374550=AXIS2_PLACEMENT_3D('',#584375,#461740,#461741); #374551=AXIS2_PLACEMENT_3D('',#584378,#461744,#461745); #374552=AXIS2_PLACEMENT_3D('',#584379,#461746,#461747); #374553=AXIS2_PLACEMENT_3D('',#584380,#461748,#461749); #374554=AXIS2_PLACEMENT_3D('',#584389,#461754,#461755); #374555=AXIS2_PLACEMENT_3D('',#584395,#461759,#461760); #374556=AXIS2_PLACEMENT_3D('',#584397,#461761,#461762); #374557=AXIS2_PLACEMENT_3D('',#584400,#461764,#461765); #374558=AXIS2_PLACEMENT_3D('',#584401,#461766,#461767); #374559=AXIS2_PLACEMENT_3D('',#584407,#461771,#461772); #374560=AXIS2_PLACEMENT_3D('',#584409,#461773,#461774); #374561=AXIS2_PLACEMENT_3D('',#584412,#461776,#461777); #374562=AXIS2_PLACEMENT_3D('',#584413,#461778,#461779); #374563=AXIS2_PLACEMENT_3D('',#584416,#461782,#461783); #374564=AXIS2_PLACEMENT_3D('',#584417,#461784,#461785); #374565=AXIS2_PLACEMENT_3D('',#584418,#461786,#461787); #374566=AXIS2_PLACEMENT_3D('',#584427,#461792,#461793); #374567=AXIS2_PLACEMENT_3D('',#584433,#461797,#461798); #374568=AXIS2_PLACEMENT_3D('',#584435,#461799,#461800); #374569=AXIS2_PLACEMENT_3D('',#584437,#461801,#461802); #374570=AXIS2_PLACEMENT_3D('',#584439,#461804,#461805); #374571=AXIS2_PLACEMENT_3D('',#584445,#461809,#461810); #374572=AXIS2_PLACEMENT_3D('',#584447,#461811,#461812); #374573=AXIS2_PLACEMENT_3D('',#584449,#461813,#461814); #374574=AXIS2_PLACEMENT_3D('',#584451,#461816,#461817); #374575=AXIS2_PLACEMENT_3D('',#584454,#461820,#461821); #374576=AXIS2_PLACEMENT_3D('',#584455,#461822,#461823); #374577=AXIS2_PLACEMENT_3D('',#584456,#461824,#461825); #374578=AXIS2_PLACEMENT_3D('',#584465,#461830,#461831); #374579=AXIS2_PLACEMENT_3D('',#584471,#461835,#461836); #374580=AXIS2_PLACEMENT_3D('',#584473,#461837,#461838); #374581=AXIS2_PLACEMENT_3D('',#584475,#461839,#461840); #374582=AXIS2_PLACEMENT_3D('',#584477,#461842,#461843); #374583=AXIS2_PLACEMENT_3D('',#584483,#461847,#461848); #374584=AXIS2_PLACEMENT_3D('',#584485,#461849,#461850); #374585=AXIS2_PLACEMENT_3D('',#584487,#461851,#461852); #374586=AXIS2_PLACEMENT_3D('',#584489,#461854,#461855); #374587=AXIS2_PLACEMENT_3D('',#584492,#461858,#461859); #374588=AXIS2_PLACEMENT_3D('',#584493,#461860,#461861); #374589=AXIS2_PLACEMENT_3D('',#584494,#461862,#461863); #374590=AXIS2_PLACEMENT_3D('',#584503,#461868,#461869); #374591=AXIS2_PLACEMENT_3D('',#584509,#461873,#461874); #374592=AXIS2_PLACEMENT_3D('',#584511,#461875,#461876); #374593=AXIS2_PLACEMENT_3D('',#584513,#461877,#461878); #374594=AXIS2_PLACEMENT_3D('',#584515,#461880,#461881); #374595=AXIS2_PLACEMENT_3D('',#584521,#461885,#461886); #374596=AXIS2_PLACEMENT_3D('',#584523,#461887,#461888); #374597=AXIS2_PLACEMENT_3D('',#584525,#461889,#461890); #374598=AXIS2_PLACEMENT_3D('',#584527,#461892,#461893); #374599=AXIS2_PLACEMENT_3D('',#584530,#461896,#461897); #374600=AXIS2_PLACEMENT_3D('',#584531,#461898,#461899); #374601=AXIS2_PLACEMENT_3D('',#584532,#461900,#461901); #374602=AXIS2_PLACEMENT_3D('',#584541,#461906,#461907); #374603=AXIS2_PLACEMENT_3D('',#584547,#461911,#461912); #374604=AXIS2_PLACEMENT_3D('',#584549,#461913,#461914); #374605=AXIS2_PLACEMENT_3D('',#584552,#461916,#461917); #374606=AXIS2_PLACEMENT_3D('',#584553,#461918,#461919); #374607=AXIS2_PLACEMENT_3D('',#584559,#461923,#461924); #374608=AXIS2_PLACEMENT_3D('',#584561,#461925,#461926); #374609=AXIS2_PLACEMENT_3D('',#584564,#461928,#461929); #374610=AXIS2_PLACEMENT_3D('',#584565,#461930,#461931); #374611=AXIS2_PLACEMENT_3D('',#584568,#461934,#461935); #374612=AXIS2_PLACEMENT_3D('',#584569,#461936,#461937); #374613=AXIS2_PLACEMENT_3D('',#584570,#461938,#461939); #374614=AXIS2_PLACEMENT_3D('',#584579,#461944,#461945); #374615=AXIS2_PLACEMENT_3D('',#584585,#461949,#461950); #374616=AXIS2_PLACEMENT_3D('',#584587,#461951,#461952); #374617=AXIS2_PLACEMENT_3D('',#584590,#461954,#461955); #374618=AXIS2_PLACEMENT_3D('',#584591,#461956,#461957); #374619=AXIS2_PLACEMENT_3D('',#584597,#461961,#461962); #374620=AXIS2_PLACEMENT_3D('',#584599,#461963,#461964); #374621=AXIS2_PLACEMENT_3D('',#584602,#461966,#461967); #374622=AXIS2_PLACEMENT_3D('',#584603,#461968,#461969); #374623=AXIS2_PLACEMENT_3D('',#584606,#461972,#461973); #374624=AXIS2_PLACEMENT_3D('',#584607,#461974,#461975); #374625=AXIS2_PLACEMENT_3D('',#584608,#461976,#461977); #374626=AXIS2_PLACEMENT_3D('',#584617,#461982,#461983); #374627=AXIS2_PLACEMENT_3D('',#584623,#461987,#461988); #374628=AXIS2_PLACEMENT_3D('',#584625,#461989,#461990); #374629=AXIS2_PLACEMENT_3D('',#584628,#461992,#461993); #374630=AXIS2_PLACEMENT_3D('',#584629,#461994,#461995); #374631=AXIS2_PLACEMENT_3D('',#584635,#461999,#462000); #374632=AXIS2_PLACEMENT_3D('',#584637,#462001,#462002); #374633=AXIS2_PLACEMENT_3D('',#584640,#462004,#462005); #374634=AXIS2_PLACEMENT_3D('',#584641,#462006,#462007); #374635=AXIS2_PLACEMENT_3D('',#584644,#462010,#462011); #374636=AXIS2_PLACEMENT_3D('',#584645,#462012,#462013); #374637=AXIS2_PLACEMENT_3D('',#584646,#462014,#462015); #374638=AXIS2_PLACEMENT_3D('',#584655,#462020,#462021); #374639=AXIS2_PLACEMENT_3D('',#584661,#462025,#462026); #374640=AXIS2_PLACEMENT_3D('',#584667,#462030,#462031); #374641=AXIS2_PLACEMENT_3D('',#584670,#462034,#462035); #374642=AXIS2_PLACEMENT_3D('',#584671,#462036,#462037); #374643=AXIS2_PLACEMENT_3D('',#584672,#462038,#462039); #374644=AXIS2_PLACEMENT_3D('',#584681,#462044,#462045); #374645=AXIS2_PLACEMENT_3D('',#584687,#462049,#462050); #374646=AXIS2_PLACEMENT_3D('',#584693,#462054,#462055); #374647=AXIS2_PLACEMENT_3D('',#584696,#462058,#462059); #374648=AXIS2_PLACEMENT_3D('',#584697,#462060,#462061); #374649=AXIS2_PLACEMENT_3D('',#584698,#462062,#462063); #374650=AXIS2_PLACEMENT_3D('',#584707,#462068,#462069); #374651=AXIS2_PLACEMENT_3D('',#584713,#462073,#462074); #374652=AXIS2_PLACEMENT_3D('',#584719,#462078,#462079); #374653=AXIS2_PLACEMENT_3D('',#584722,#462082,#462083); #374654=AXIS2_PLACEMENT_3D('',#584723,#462084,#462085); #374655=AXIS2_PLACEMENT_3D('',#584724,#462086,#462087); #374656=AXIS2_PLACEMENT_3D('',#584733,#462092,#462093); #374657=AXIS2_PLACEMENT_3D('',#584739,#462097,#462098); #374658=AXIS2_PLACEMENT_3D('',#584745,#462102,#462103); #374659=AXIS2_PLACEMENT_3D('',#584748,#462106,#462107); #374660=AXIS2_PLACEMENT_3D('',#584749,#462108,#462109); #374661=AXIS2_PLACEMENT_3D('',#584750,#462110,#462111); #374662=AXIS2_PLACEMENT_3D('',#584759,#462116,#462117); #374663=AXIS2_PLACEMENT_3D('',#584765,#462121,#462122); #374664=AXIS2_PLACEMENT_3D('',#584771,#462126,#462127); #374665=AXIS2_PLACEMENT_3D('',#584774,#462130,#462131); #374666=AXIS2_PLACEMENT_3D('',#584775,#462132,#462133); #374667=AXIS2_PLACEMENT_3D('',#584776,#462134,#462135); #374668=AXIS2_PLACEMENT_3D('',#584785,#462140,#462141); #374669=AXIS2_PLACEMENT_3D('',#584791,#462145,#462146); #374670=AXIS2_PLACEMENT_3D('',#584797,#462150,#462151); #374671=AXIS2_PLACEMENT_3D('',#584800,#462154,#462155); #374672=AXIS2_PLACEMENT_3D('',#584801,#462156,#462157); #374673=AXIS2_PLACEMENT_3D('',#584802,#462158,#462159); #374674=AXIS2_PLACEMENT_3D('',#584811,#462164,#462165); #374675=AXIS2_PLACEMENT_3D('',#584817,#462169,#462170); #374676=AXIS2_PLACEMENT_3D('',#584823,#462174,#462175); #374677=AXIS2_PLACEMENT_3D('',#584826,#462178,#462179); #374678=AXIS2_PLACEMENT_3D('',#584827,#462180,#462181); #374679=AXIS2_PLACEMENT_3D('',#584828,#462182,#462183); #374680=AXIS2_PLACEMENT_3D('',#584837,#462188,#462189); #374681=AXIS2_PLACEMENT_3D('',#584843,#462193,#462194); #374682=AXIS2_PLACEMENT_3D('',#584849,#462198,#462199); #374683=AXIS2_PLACEMENT_3D('',#584852,#462202,#462203); #374684=AXIS2_PLACEMENT_3D('',#584853,#462204,#462205); #374685=AXIS2_PLACEMENT_3D('',#584854,#462206,#462207); #374686=AXIS2_PLACEMENT_3D('',#584855,#462208,#462209); #374687=AXIS2_PLACEMENT_3D('',#584856,#462210,#462211); #374688=AXIS2_PLACEMENT_3D('',#584857,#462212,#462213); #374689=AXIS2_PLACEMENT_3D('',#584866,#462218,#462219); #374690=AXIS2_PLACEMENT_3D('',#584872,#462223,#462224); #374691=AXIS2_PLACEMENT_3D('',#584878,#462228,#462229); #374692=AXIS2_PLACEMENT_3D('',#584881,#462232,#462233); #374693=AXIS2_PLACEMENT_3D('',#584887,#462237,#462238); #374694=AXIS2_PLACEMENT_3D('',#584891,#462241,#462242); #374695=AXIS2_PLACEMENT_3D('',#584892,#462243,#462244); #374696=AXIS2_PLACEMENT_3D('',#584896,#462247,#462248); #374697=AXIS2_PLACEMENT_3D('',#584898,#462250,#462251); #374698=AXIS2_PLACEMENT_3D('',#584899,#462252,#462253); #374699=AXIS2_PLACEMENT_3D('',#584908,#462258,#462259); #374700=AXIS2_PLACEMENT_3D('',#584914,#462263,#462264); #374701=AXIS2_PLACEMENT_3D('',#584920,#462268,#462269); #374702=AXIS2_PLACEMENT_3D('',#584923,#462272,#462273); #374703=AXIS2_PLACEMENT_3D('',#584924,#462274,#462275); #374704=AXIS2_PLACEMENT_3D('',#584925,#462276,#462277); #374705=AXIS2_PLACEMENT_3D('',#584934,#462282,#462283); #374706=AXIS2_PLACEMENT_3D('',#584940,#462287,#462288); #374707=AXIS2_PLACEMENT_3D('',#584946,#462292,#462293); #374708=AXIS2_PLACEMENT_3D('',#584949,#462296,#462297); #374709=AXIS2_PLACEMENT_3D('',#584950,#462298,#462299); #374710=AXIS2_PLACEMENT_3D('',#584951,#462300,#462301); #374711=AXIS2_PLACEMENT_3D('',#584960,#462306,#462307); #374712=AXIS2_PLACEMENT_3D('',#584966,#462311,#462312); #374713=AXIS2_PLACEMENT_3D('',#584972,#462316,#462317); #374714=AXIS2_PLACEMENT_3D('',#584975,#462320,#462321); #374715=AXIS2_PLACEMENT_3D('',#584976,#462322,#462323); #374716=AXIS2_PLACEMENT_3D('',#584977,#462324,#462325); #374717=AXIS2_PLACEMENT_3D('',#584986,#462330,#462331); #374718=AXIS2_PLACEMENT_3D('',#584992,#462335,#462336); #374719=AXIS2_PLACEMENT_3D('',#584998,#462340,#462341); #374720=AXIS2_PLACEMENT_3D('',#585001,#462344,#462345); #374721=AXIS2_PLACEMENT_3D('',#585002,#462346,#462347); #374722=AXIS2_PLACEMENT_3D('',#585003,#462348,#462349); #374723=AXIS2_PLACEMENT_3D('',#585004,#462350,#462351); #374724=AXIS2_PLACEMENT_3D('',#585005,#462352,#462353); #374725=AXIS2_PLACEMENT_3D('',#585006,#462354,#462355); #374726=AXIS2_PLACEMENT_3D('',#585008,#462356,#462357); #374727=AXIS2_PLACEMENT_3D('',#585011,#462359,#462360); #374728=AXIS2_PLACEMENT_3D('',#585012,#462361,#462362); #374729=AXIS2_PLACEMENT_3D('',#585014,#462363,#462364); #374730=AXIS2_PLACEMENT_3D('',#585017,#462366,#462367); #374731=AXIS2_PLACEMENT_3D('',#585018,#462368,#462369); #374732=AXIS2_PLACEMENT_3D('',#585019,#462370,#462371); #374733=AXIS2_PLACEMENT_3D('',#585020,#462372,#462373); #374734=AXIS2_PLACEMENT_3D('',#585073,#462375,#462376); #374735=AXIS2_PLACEMENT_3D('',#585127,#462378,#462379); #374736=AXIS2_PLACEMENT_3D('',#585140,#462381,#462382); #374737=AXIS2_PLACEMENT_3D('',#585154,#462383,#462384); #374738=AXIS2_PLACEMENT_3D('',#585176,#462386,#462387); #374739=AXIS2_PLACEMENT_3D('',#585199,#462388,#462389); #374740=AXIS2_PLACEMENT_3D('',#585250,#462391,#462392); #374741=AXIS2_PLACEMENT_3D('',#586049,#462394,#462395); #374742=AXIS2_PLACEMENT_3D('',#586050,#462396,#462397); #374743=AXIS2_PLACEMENT_3D('',#586051,#462398,#462399); #374744=AXIS2_PLACEMENT_3D('',#586064,#462406,#462407); #374745=AXIS2_PLACEMENT_3D('',#586067,#462410,#462411); #374746=AXIS2_PLACEMENT_3D('',#586069,#462413,#462414); #374747=AXIS2_PLACEMENT_3D('',#586071,#462416,#462417); #374748=AXIS2_PLACEMENT_3D('',#586073,#462419,#462420); #374749=AXIS2_PLACEMENT_3D('',#586075,#462422,#462423); #374750=AXIS2_PLACEMENT_3D('',#586076,#462424,#462425); #374751=AXIS2_PLACEMENT_3D('',#586092,#462427,#462428); #374752=AXIS2_PLACEMENT_3D('',#586100,#462429,#462430); #374753=AXIS2_PLACEMENT_3D('',#586148,#462432,#462433); #374754=AXIS2_PLACEMENT_3D('',#586196,#462435,#462436); #374755=AXIS2_PLACEMENT_3D('',#586244,#462438,#462439); #374756=AXIS2_PLACEMENT_3D('',#586298,#462441,#462442); #374757=AXIS2_PLACEMENT_3D('',#586346,#462444,#462445); #374758=AXIS2_PLACEMENT_3D('',#586394,#462447,#462448); #374759=AXIS2_PLACEMENT_3D('',#586403,#462450,#462451); #374760=AXIS2_PLACEMENT_3D('',#586404,#462452,#462453); #374761=AXIS2_PLACEMENT_3D('',#586419,#462455,#462456); #374762=AXIS2_PLACEMENT_3D('',#586429,#462457,#462458); #374763=AXIS2_PLACEMENT_3D('',#586453,#462460,#462461); #374764=AXIS2_PLACEMENT_3D('',#586478,#462463,#462464); #374765=AXIS2_PLACEMENT_3D('',#586503,#462466,#462467); #374766=AXIS2_PLACEMENT_3D('',#586527,#462469,#462470); #374767=AXIS2_PLACEMENT_3D('',#586551,#462472,#462473); #374768=AXIS2_PLACEMENT_3D('',#586560,#462478,#462479); #374769=AXIS2_PLACEMENT_3D('',#586569,#462484,#462485); #374770=AXIS2_PLACEMENT_3D('',#586578,#462490,#462491); #374771=AXIS2_PLACEMENT_3D('',#586587,#462496,#462497); #374772=AXIS2_PLACEMENT_3D('',#586596,#462502,#462503); #374773=AXIS2_PLACEMENT_3D('',#586605,#462508,#462509); #374774=AXIS2_PLACEMENT_3D('',#586655,#462517,#462518); #374775=AXIS2_PLACEMENT_3D('',#586661,#462522,#462523); #374776=AXIS2_PLACEMENT_3D('',#586664,#462526,#462527); #374777=AXIS2_PLACEMENT_3D('',#586668,#462529,#462530); #374778=AXIS2_PLACEMENT_3D('',#586670,#462531,#462532); #374779=AXIS2_PLACEMENT_3D('',#586682,#462538,#462539); #374780=AXIS2_PLACEMENT_3D('',#586684,#462541,#462542); #374781=AXIS2_PLACEMENT_3D('',#586731,#462549,#462550); #374782=AXIS2_PLACEMENT_3D('',#586734,#462553,#462554); #374783=AXIS2_PLACEMENT_3D('',#586736,#462556,#462557); #374784=AXIS2_PLACEMENT_3D('',#586747,#462561,#462562); #374785=AXIS2_PLACEMENT_3D('',#586755,#462565,#462566); #374786=AXIS2_PLACEMENT_3D('',#586766,#462570,#462571); #374787=AXIS2_PLACEMENT_3D('',#586774,#462574,#462575); #374788=AXIS2_PLACEMENT_3D('',#586785,#462579,#462580); #374789=AXIS2_PLACEMENT_3D('',#586793,#462583,#462584); #374790=AXIS2_PLACEMENT_3D('',#586799,#462586,#462587); #374791=AXIS2_PLACEMENT_3D('',#586805,#462589,#462590); #374792=AXIS2_PLACEMENT_3D('',#586816,#462594,#462595); #374793=AXIS2_PLACEMENT_3D('',#586824,#462598,#462599); #374794=AXIS2_PLACEMENT_3D('',#586835,#462603,#462604); #374795=AXIS2_PLACEMENT_3D('',#586843,#462607,#462608); #374796=AXIS2_PLACEMENT_3D('',#586854,#462612,#462613); #374797=AXIS2_PLACEMENT_3D('',#586862,#462616,#462617); #374798=AXIS2_PLACEMENT_3D('',#586873,#462621,#462622); #374799=AXIS2_PLACEMENT_3D('',#586881,#462625,#462626); #374800=AXIS2_PLACEMENT_3D('',#586882,#462627,#462628); #374801=AXIS2_PLACEMENT_3D('',#586883,#462629,#462630); #374802=AXIS2_PLACEMENT_3D('',#586884,#462631,#462632); #374803=AXIS2_PLACEMENT_3D('',#586885,#462633,#462634); #374804=AXIS2_PLACEMENT_3D('',#586886,#462635,#462636); #374805=AXIS2_PLACEMENT_3D('',#586887,#462637,#462638); #374806=AXIS2_PLACEMENT_3D('',#586888,#462639,#462640); #374807=AXIS2_PLACEMENT_3D('',#586889,#462641,#462642); #374808=AXIS2_PLACEMENT_3D('',#586890,#462643,#462644); #374809=AXIS2_PLACEMENT_3D('',#586891,#462645,#462646); #374810=AXIS2_PLACEMENT_3D('',#586892,#462647,#462648); #374811=AXIS2_PLACEMENT_3D('',#586893,#462649,#462650); #374812=AXIS2_PLACEMENT_3D('',#586894,#462651,#462652); #374813=AXIS2_PLACEMENT_3D('',#586895,#462653,#462654); #374814=AXIS2_PLACEMENT_3D('',#586896,#462655,#462656); #374815=AXIS2_PLACEMENT_3D('',#586897,#462657,#462658); #374816=AXIS2_PLACEMENT_3D('',#586898,#462659,#462660); #374817=AXIS2_PLACEMENT_3D('',#586899,#462661,#462662); #374818=AXIS2_PLACEMENT_3D('',#586900,#462663,#462664); #374819=AXIS2_PLACEMENT_3D('',#586901,#462665,#462666); #374820=AXIS2_PLACEMENT_3D('',#586902,#462667,#462668); #374821=AXIS2_PLACEMENT_3D('',#586903,#462669,#462670); #374822=AXIS2_PLACEMENT_3D('',#586904,#462671,#462672); #374823=AXIS2_PLACEMENT_3D('',#586905,#462673,#462674); #374824=AXIS2_PLACEMENT_3D('',#586906,#462675,#462676); #374825=AXIS2_PLACEMENT_3D('',#586907,#462677,#462678); #374826=AXIS2_PLACEMENT_3D('',#586908,#462679,#462680); #374827=AXIS2_PLACEMENT_3D('',#586909,#462681,#462682); #374828=AXIS2_PLACEMENT_3D('',#586910,#462683,#462684); #374829=AXIS2_PLACEMENT_3D('',#586911,#462685,#462686); #374830=AXIS2_PLACEMENT_3D('',#586912,#462687,#462688); #374831=AXIS2_PLACEMENT_3D('',#586913,#462689,#462690); #374832=AXIS2_PLACEMENT_3D('',#586914,#462691,#462692); #374833=AXIS2_PLACEMENT_3D('',#586915,#462693,#462694); #374834=AXIS2_PLACEMENT_3D('',#586916,#462695,#462696); #374835=AXIS2_PLACEMENT_3D('',#586917,#462697,#462698); #374836=AXIS2_PLACEMENT_3D('',#586918,#462699,#462700); #374837=AXIS2_PLACEMENT_3D('',#586929,#462704,#462705); #374838=AXIS2_PLACEMENT_3D('',#586937,#462708,#462709); #374839=AXIS2_PLACEMENT_3D('',#586948,#462713,#462714); #374840=AXIS2_PLACEMENT_3D('',#586956,#462717,#462718); #374841=AXIS2_PLACEMENT_3D('',#586967,#462722,#462723); #374842=AXIS2_PLACEMENT_3D('',#586975,#462726,#462727); #374843=AXIS2_PLACEMENT_3D('',#586986,#462731,#462732); #374844=AXIS2_PLACEMENT_3D('',#586994,#462735,#462736); #374845=AXIS2_PLACEMENT_3D('',#586999,#462737,#462738); #374846=AXIS2_PLACEMENT_3D('',#587000,#462739,#462740); #374847=AXIS2_PLACEMENT_3D('',#587001,#462741,#462742); #374848=AXIS2_PLACEMENT_3D('',#587002,#462743,#462744); #374849=AXIS2_PLACEMENT_3D('',#587003,#462745,#462746); #374850=AXIS2_PLACEMENT_3D('',#587004,#462747,#462748); #374851=AXIS2_PLACEMENT_3D('',#587005,#462749,#462750); #374852=AXIS2_PLACEMENT_3D('',#587006,#462751,#462752); #374853=AXIS2_PLACEMENT_3D('',#587007,#462753,#462754); #374854=AXIS2_PLACEMENT_3D('',#587013,#462755,#462756); #374855=AXIS2_PLACEMENT_3D('',#587014,#462757,#462758); #374856=AXIS2_PLACEMENT_3D('',#587015,#462759,#462760); #374857=AXIS2_PLACEMENT_3D('',#587021,#462761,#462762); #374858=AXIS2_PLACEMENT_3D('',#587026,#462763,#462764); #374859=AXIS2_PLACEMENT_3D('',#587027,#462765,#462766); #374860=AXIS2_PLACEMENT_3D('',#587033,#462767,#462768); #374861=AXIS2_PLACEMENT_3D('',#587038,#462769,#462770); #374862=AXIS2_PLACEMENT_3D('',#587039,#462771,#462772); #374863=AXIS2_PLACEMENT_3D('',#587040,#462773,#462774); #374864=AXIS2_PLACEMENT_3D('',#587041,#462775,#462776); #374865=AXIS2_PLACEMENT_3D('',#587042,#462777,#462778); #374866=AXIS2_PLACEMENT_3D('',#587043,#462779,#462780); #374867=AXIS2_PLACEMENT_3D('',#587044,#462781,#462782); #374868=AXIS2_PLACEMENT_3D('',#587053,#462783,#462784); #374869=AXIS2_PLACEMENT_3D('',#587054,#462785,#462786); #374870=AXIS2_PLACEMENT_3D('',#587056,#462788,#462789); #374871=AXIS2_PLACEMENT_3D('',#587058,#462791,#462792); #374872=AXIS2_PLACEMENT_3D('',#587064,#462796,#462797); #374873=AXIS2_PLACEMENT_3D('',#587068,#462800,#462801); #374874=AXIS2_PLACEMENT_3D('',#587074,#462805,#462806); #374875=AXIS2_PLACEMENT_3D('',#587078,#462809,#462810); #374876=AXIS2_PLACEMENT_3D('',#587084,#462814,#462815); #374877=AXIS2_PLACEMENT_3D('',#587088,#462818,#462819); #374878=AXIS2_PLACEMENT_3D('',#587089,#462820,#462821); #374879=AXIS2_PLACEMENT_3D('',#587090,#462822,#462823); #374880=AXIS2_PLACEMENT_3D('',#587091,#462824,#462825); #374881=AXIS2_PLACEMENT_3D('',#587092,#462826,#462827); #374882=AXIS2_PLACEMENT_3D('',#587093,#462828,#462829); #374883=AXIS2_PLACEMENT_3D('',#587094,#462830,#462831); #374884=AXIS2_PLACEMENT_3D('',#587095,#462832,#462833); #374885=AXIS2_PLACEMENT_3D('',#587096,#462834,#462835); #374886=AXIS2_PLACEMENT_3D('',#587097,#462836,#462837); #374887=AXIS2_PLACEMENT_3D('',#587098,#462838,#462839); #374888=AXIS2_PLACEMENT_3D('',#587099,#462840,#462841); #374889=AXIS2_PLACEMENT_3D('',#587100,#462842,#462843); #374890=AXIS2_PLACEMENT_3D('',#587101,#462844,#462845); #374891=AXIS2_PLACEMENT_3D('',#587102,#462846,#462847); #374892=AXIS2_PLACEMENT_3D('',#587103,#462848,#462849); #374893=AXIS2_PLACEMENT_3D('',#587104,#462850,#462851); #374894=AXIS2_PLACEMENT_3D('',#587106,#462852,#462853); #374895=AXIS2_PLACEMENT_3D('',#587108,#462855,#462856); #374896=AXIS2_PLACEMENT_3D('',#587117,#462861,#462862); #374897=AXIS2_PLACEMENT_3D('',#587126,#462867,#462868); #374898=AXIS2_PLACEMENT_3D('',#587128,#462869,#462870); #374899=AXIS2_PLACEMENT_3D('',#587131,#462872,#462873); #374900=AXIS2_PLACEMENT_3D('',#587132,#462874,#462875); #374901=AXIS2_PLACEMENT_3D('',#587134,#462876,#462877); #374902=AXIS2_PLACEMENT_3D('',#587136,#462878,#462879); #374903=AXIS2_PLACEMENT_3D('',#587138,#462881,#462882); #374904=AXIS2_PLACEMENT_3D('',#587142,#462884,#462885); #374905=AXIS2_PLACEMENT_3D('',#587148,#462888,#462889); #374906=AXIS2_PLACEMENT_3D('',#587152,#462891,#462892); #374907=AXIS2_PLACEMENT_3D('',#587158,#462895,#462896); #374908=AXIS2_PLACEMENT_3D('',#587160,#462898,#462899); #374909=AXIS2_PLACEMENT_3D('',#587165,#462903,#462904); #374910=AXIS2_PLACEMENT_3D('',#587171,#462908,#462909); #374911=AXIS2_PLACEMENT_3D('',#587177,#462913,#462914); #374912=AXIS2_PLACEMENT_3D('',#587181,#462917,#462918); #374913=AXIS2_PLACEMENT_3D('',#587183,#462919,#462920); #374914=AXIS2_PLACEMENT_3D('',#587185,#462922,#462923); #374915=AXIS2_PLACEMENT_3D('',#587189,#462926,#462927); #374916=AXIS2_PLACEMENT_3D('',#587190,#462928,#462929); #374917=AXIS2_PLACEMENT_3D('',#587191,#462930,#462931); #374918=AXIS2_PLACEMENT_3D('',#587193,#462932,#462933); #374919=AXIS2_PLACEMENT_3D('',#587195,#462935,#462936); #374920=AXIS2_PLACEMENT_3D('',#587199,#462939,#462940); #374921=AXIS2_PLACEMENT_3D('',#587201,#462942,#462943); #374922=AXIS2_PLACEMENT_3D('',#587202,#462944,#462945); #374923=AXIS2_PLACEMENT_3D('',#587203,#462946,#462947); #374924=AXIS2_PLACEMENT_3D('',#587205,#462949,#462950); #374925=AXIS2_PLACEMENT_3D('',#587212,#462953,#462954); #374926=AXIS2_PLACEMENT_3D('',#587218,#462957,#462958); #374927=AXIS2_PLACEMENT_3D('',#587222,#462960,#462961); #374928=AXIS2_PLACEMENT_3D('',#587228,#462964,#462965); #374929=AXIS2_PLACEMENT_3D('',#587230,#462967,#462968); #374930=AXIS2_PLACEMENT_3D('',#587236,#462972,#462973); #374931=AXIS2_PLACEMENT_3D('',#587242,#462976,#462977); #374932=AXIS2_PLACEMENT_3D('',#587248,#462980,#462981); #374933=AXIS2_PLACEMENT_3D('',#587252,#462983,#462984); #374934=AXIS2_PLACEMENT_3D('',#587257,#462987,#462988); #374935=AXIS2_PLACEMENT_3D('',#587258,#462989,#462990); #374936=AXIS2_PLACEMENT_3D('',#587261,#462993,#462994); #374937=AXIS2_PLACEMENT_3D('',#587263,#462996,#462997); #374938=AXIS2_PLACEMENT_3D('',#587265,#462999,#463000); #374939=AXIS2_PLACEMENT_3D('',#587268,#463003,#463004); #374940=AXIS2_PLACEMENT_3D('',#587270,#463006,#463007); #374941=AXIS2_PLACEMENT_3D('',#587272,#463009,#463010); #374942=AXIS2_PLACEMENT_3D('',#587274,#463012,#463013); #374943=AXIS2_PLACEMENT_3D('',#587275,#463014,#463015); #374944=AXIS2_PLACEMENT_3D('',#587276,#463016,#463017); #374945=AXIS2_PLACEMENT_3D('',#587278,#463019,#463020); #374946=AXIS2_PLACEMENT_3D('',#587287,#463025,#463026); #374947=AXIS2_PLACEMENT_3D('',#587289,#463027,#463028); #374948=AXIS2_PLACEMENT_3D('',#587291,#463029,#463030); #374949=AXIS2_PLACEMENT_3D('',#587293,#463032,#463033); #374950=AXIS2_PLACEMENT_3D('',#587298,#463035,#463036); #374951=AXIS2_PLACEMENT_3D('',#587301,#463038,#463039); #374952=AXIS2_PLACEMENT_3D('',#587302,#463040,#463041); #374953=AXIS2_PLACEMENT_3D('',#587308,#463045,#463046); #374954=AXIS2_PLACEMENT_3D('',#587312,#463048,#463049); #374955=AXIS2_PLACEMENT_3D('',#587318,#463052,#463053); #374956=AXIS2_PLACEMENT_3D('',#587322,#463055,#463056); #374957=AXIS2_PLACEMENT_3D('',#587328,#463059,#463060); #374958=AXIS2_PLACEMENT_3D('',#587330,#463062,#463063); #374959=AXIS2_PLACEMENT_3D('',#587335,#463067,#463068); #374960=AXIS2_PLACEMENT_3D('',#587338,#463069,#463070); #374961=AXIS2_PLACEMENT_3D('',#587341,#463073,#463074); #374962=AXIS2_PLACEMENT_3D('',#587345,#463076,#463077); #374963=AXIS2_PLACEMENT_3D('',#587352,#463081,#463082); #374964=AXIS2_PLACEMENT_3D('',#587357,#463085,#463086); #374965=AXIS2_PLACEMENT_3D('',#587358,#463087,#463088); #374966=AXIS2_PLACEMENT_3D('',#587360,#463090,#463091); #374967=AXIS2_PLACEMENT_3D('',#587362,#463093,#463094); #374968=AXIS2_PLACEMENT_3D('',#587364,#463096,#463097); #374969=AXIS2_PLACEMENT_3D('',#587366,#463099,#463100); #374970=AXIS2_PLACEMENT_3D('',#587369,#463103,#463104); #374971=AXIS2_PLACEMENT_3D('',#587371,#463106,#463107); #374972=AXIS2_PLACEMENT_3D('',#587373,#463109,#463110); #374973=AXIS2_PLACEMENT_3D('',#587374,#463111,#463112); #374974=AXIS2_PLACEMENT_3D('',#587375,#463113,#463114); #374975=AXIS2_PLACEMENT_3D('',#587384,#463119,#463120); #374976=AXIS2_PLACEMENT_3D('',#587393,#463125,#463126); #374977=AXIS2_PLACEMENT_3D('',#587395,#463127,#463128); #374978=AXIS2_PLACEMENT_3D('',#587398,#463130,#463131); #374979=AXIS2_PLACEMENT_3D('',#587399,#463132,#463133); #374980=AXIS2_PLACEMENT_3D('',#587401,#463134,#463135); #374981=AXIS2_PLACEMENT_3D('',#587403,#463136,#463137); #374982=AXIS2_PLACEMENT_3D('',#587405,#463139,#463140); #374983=AXIS2_PLACEMENT_3D('',#587409,#463142,#463143); #374984=AXIS2_PLACEMENT_3D('',#587415,#463146,#463147); #374985=AXIS2_PLACEMENT_3D('',#587419,#463149,#463150); #374986=AXIS2_PLACEMENT_3D('',#587425,#463153,#463154); #374987=AXIS2_PLACEMENT_3D('',#587427,#463156,#463157); #374988=AXIS2_PLACEMENT_3D('',#587432,#463161,#463162); #374989=AXIS2_PLACEMENT_3D('',#587438,#463166,#463167); #374990=AXIS2_PLACEMENT_3D('',#587444,#463171,#463172); #374991=AXIS2_PLACEMENT_3D('',#587448,#463175,#463176); #374992=AXIS2_PLACEMENT_3D('',#587450,#463177,#463178); #374993=AXIS2_PLACEMENT_3D('',#587452,#463180,#463181); #374994=AXIS2_PLACEMENT_3D('',#587456,#463184,#463185); #374995=AXIS2_PLACEMENT_3D('',#587457,#463186,#463187); #374996=AXIS2_PLACEMENT_3D('',#587458,#463188,#463189); #374997=AXIS2_PLACEMENT_3D('',#587460,#463190,#463191); #374998=AXIS2_PLACEMENT_3D('',#587462,#463193,#463194); #374999=AXIS2_PLACEMENT_3D('',#587466,#463197,#463198); #375000=AXIS2_PLACEMENT_3D('',#587468,#463200,#463201); #375001=AXIS2_PLACEMENT_3D('',#587469,#463202,#463203); #375002=AXIS2_PLACEMENT_3D('',#587470,#463204,#463205); #375003=AXIS2_PLACEMENT_3D('',#587472,#463207,#463208); #375004=AXIS2_PLACEMENT_3D('',#587479,#463211,#463212); #375005=AXIS2_PLACEMENT_3D('',#587485,#463215,#463216); #375006=AXIS2_PLACEMENT_3D('',#587489,#463218,#463219); #375007=AXIS2_PLACEMENT_3D('',#587495,#463222,#463223); #375008=AXIS2_PLACEMENT_3D('',#587497,#463225,#463226); #375009=AXIS2_PLACEMENT_3D('',#587503,#463230,#463231); #375010=AXIS2_PLACEMENT_3D('',#587509,#463234,#463235); #375011=AXIS2_PLACEMENT_3D('',#587515,#463238,#463239); #375012=AXIS2_PLACEMENT_3D('',#587519,#463241,#463242); #375013=AXIS2_PLACEMENT_3D('',#587524,#463245,#463246); #375014=AXIS2_PLACEMENT_3D('',#587525,#463247,#463248); #375015=AXIS2_PLACEMENT_3D('',#587528,#463251,#463252); #375016=AXIS2_PLACEMENT_3D('',#587531,#463255,#463256); #375017=AXIS2_PLACEMENT_3D('',#587534,#463259,#463260); #375018=AXIS2_PLACEMENT_3D('',#587536,#463262,#463263); #375019=AXIS2_PLACEMENT_3D('',#587537,#463264,#463265); #375020=AXIS2_PLACEMENT_3D('',#587539,#463267,#463268); #375021=AXIS2_PLACEMENT_3D('',#587540,#463269,#463270); #375022=AXIS2_PLACEMENT_3D('',#587542,#463272,#463273); #375023=AXIS2_PLACEMENT_3D('',#587544,#463275,#463276); #375024=AXIS2_PLACEMENT_3D('',#587545,#463277,#463278); #375025=AXIS2_PLACEMENT_3D('',#587560,#463280,#463281); #375026=AXIS2_PLACEMENT_3D('',#587564,#463283,#463284); #375027=AXIS2_PLACEMENT_3D('',#587568,#463287,#463288); #375028=AXIS2_PLACEMENT_3D('',#587583,#463297,#463298); #375029=AXIS2_PLACEMENT_3D('',#587591,#463302,#463303); #375030=AXIS2_PLACEMENT_3D('',#587605,#463305,#463306); #375031=AXIS2_PLACEMENT_3D('',#587606,#463307,#463308); #375032=AXIS2_PLACEMENT_3D('',#587611,#463310,#463311); #375033=AXIS2_PLACEMENT_3D('',#587615,#463313,#463314); #375034=AXIS2_PLACEMENT_3D('',#587618,#463317,#463318); #375035=AXIS2_PLACEMENT_3D('',#587620,#463319,#463320); #375036=AXIS2_PLACEMENT_3D('',#587624,#463322,#463323); #375037=AXIS2_PLACEMENT_3D('',#587628,#463325,#463326); #375038=AXIS2_PLACEMENT_3D('',#587631,#463328,#463329); #375039=AXIS2_PLACEMENT_3D('',#588046,#463339,#463340); #375040=AXIS2_PLACEMENT_3D('',#588048,#463341,#463342); #375041=AXIS2_PLACEMENT_3D('',#588441,#463343,#463344); #375042=AXIS2_PLACEMENT_3D('',#588442,#463345,#463346); #375043=AXIS2_PLACEMENT_3D('',#588443,#463347,#463348); #375044=AXIS2_PLACEMENT_3D('',#588456,#463355,#463356); #375045=AXIS2_PLACEMENT_3D('',#588462,#463360,#463361); #375046=AXIS2_PLACEMENT_3D('',#588468,#463365,#463366); #375047=AXIS2_PLACEMENT_3D('',#588472,#463369,#463370); #375048=AXIS2_PLACEMENT_3D('',#588477,#463374,#463375); #375049=AXIS2_PLACEMENT_3D('',#588478,#463376,#463377); #375050=AXIS2_PLACEMENT_3D('',#588496,#463387,#463388); #375051=AXIS2_PLACEMENT_3D('',#588497,#463389,#463390); #375052=AXIS2_PLACEMENT_3D('',#588506,#463395,#463396); #375053=AXIS2_PLACEMENT_3D('',#588512,#463400,#463401); #375054=AXIS2_PLACEMENT_3D('',#588521,#463406,#463407); #375055=AXIS2_PLACEMENT_3D('',#588530,#463412,#463413); #375056=AXIS2_PLACEMENT_3D('',#588536,#463417,#463418); #375057=AXIS2_PLACEMENT_3D('',#588545,#463423,#463424); #375058=AXIS2_PLACEMENT_3D('',#588547,#463425,#463426); #375059=AXIS2_PLACEMENT_3D('',#588550,#463428,#463429); #375060=AXIS2_PLACEMENT_3D('',#588551,#463430,#463431); #375061=AXIS2_PLACEMENT_3D('',#588557,#463435,#463436); #375062=AXIS2_PLACEMENT_3D('',#588559,#463437,#463438); #375063=AXIS2_PLACEMENT_3D('',#588565,#463441,#463442); #375064=AXIS2_PLACEMENT_3D('',#588569,#463445,#463446); #375065=AXIS2_PLACEMENT_3D('',#588575,#463450,#463451); #375066=AXIS2_PLACEMENT_3D('',#588579,#463454,#463455); #375067=AXIS2_PLACEMENT_3D('',#588583,#463458,#463459); #375068=AXIS2_PLACEMENT_3D('',#588584,#463460,#463461); #375069=AXIS2_PLACEMENT_3D('',#588585,#463462,#463463); #375070=AXIS2_PLACEMENT_3D('',#588594,#463468,#463469); #375071=AXIS2_PLACEMENT_3D('',#588596,#463470,#463471); #375072=AXIS2_PLACEMENT_3D('',#588599,#463473,#463474); #375073=AXIS2_PLACEMENT_3D('',#588600,#463475,#463476); #375074=AXIS2_PLACEMENT_3D('',#588606,#463480,#463481); #375075=AXIS2_PLACEMENT_3D('',#588615,#463486,#463487); #375076=AXIS2_PLACEMENT_3D('',#588618,#463490,#463491); #375077=AXIS2_PLACEMENT_3D('',#588627,#463496,#463497); #375078=AXIS2_PLACEMENT_3D('',#588628,#463498,#463499); #375079=AXIS2_PLACEMENT_3D('',#588629,#463500,#463501); #375080=AXIS2_PLACEMENT_3D('',#588630,#463502,#463503); #375081=AXIS2_PLACEMENT_3D('',#588634,#463507,#463508); #375082=AXIS2_PLACEMENT_3D('',#588637,#463509,#463510); #375083=AXIS2_PLACEMENT_3D('',#588641,#463512,#463513); #375084=AXIS2_PLACEMENT_3D('',#588643,#463515,#463516); #375085=AXIS2_PLACEMENT_3D('',#588649,#463519,#463520); #375086=AXIS2_PLACEMENT_3D('',#588653,#463522,#463523); #375087=AXIS2_PLACEMENT_3D('',#588666,#463531,#463532); #375088=AXIS2_PLACEMENT_3D('',#588672,#463536,#463537); #375089=AXIS2_PLACEMENT_3D('',#588677,#463541,#463542); #375090=AXIS2_PLACEMENT_3D('',#588680,#463543,#463544); #375091=AXIS2_PLACEMENT_3D('',#588684,#463546,#463547); #375092=AXIS2_PLACEMENT_3D('',#588691,#463552,#463553); #375093=AXIS2_PLACEMENT_3D('',#588694,#463556,#463557); #375094=AXIS2_PLACEMENT_3D('',#588697,#463558,#463559); #375095=AXIS2_PLACEMENT_3D('',#588707,#463565,#463566); #375096=AXIS2_PLACEMENT_3D('',#588709,#463568,#463569); #375097=AXIS2_PLACEMENT_3D('',#588711,#463571,#463572); #375098=AXIS2_PLACEMENT_3D('',#588720,#463577,#463578); #375099=AXIS2_PLACEMENT_3D('',#588722,#463579,#463580); #375100=AXIS2_PLACEMENT_3D('',#588724,#463581,#463582); #375101=AXIS2_PLACEMENT_3D('',#588726,#463584,#463585); #375102=AXIS2_PLACEMENT_3D('',#588732,#463589,#463590); #375103=AXIS2_PLACEMENT_3D('',#588734,#463591,#463592); #375104=AXIS2_PLACEMENT_3D('',#588736,#463593,#463594); #375105=AXIS2_PLACEMENT_3D('',#588738,#463596,#463597); #375106=AXIS2_PLACEMENT_3D('',#588744,#463601,#463602); #375107=AXIS2_PLACEMENT_3D('',#588749,#463606,#463607); #375108=AXIS2_PLACEMENT_3D('',#588753,#463610,#463611); #375109=AXIS2_PLACEMENT_3D('',#588759,#463615,#463616); #375110=AXIS2_PLACEMENT_3D('',#588763,#463619,#463620); #375111=AXIS2_PLACEMENT_3D('',#588767,#463623,#463624); #375112=AXIS2_PLACEMENT_3D('',#588769,#463625,#463626); #375113=AXIS2_PLACEMENT_3D('',#588771,#463628,#463629); #375114=AXIS2_PLACEMENT_3D('',#588775,#463632,#463633); #375115=AXIS2_PLACEMENT_3D('',#588776,#463634,#463635); #375116=AXIS2_PLACEMENT_3D('',#588777,#463636,#463637); #375117=AXIS2_PLACEMENT_3D('',#588781,#463640,#463641); #375118=AXIS2_PLACEMENT_3D('',#588783,#463643,#463644); #375119=AXIS2_PLACEMENT_3D('',#588786,#463645,#463646); #375120=AXIS2_PLACEMENT_3D('',#588789,#463649,#463650); #375121=AXIS2_PLACEMENT_3D('',#588792,#463653,#463654); #375122=AXIS2_PLACEMENT_3D('',#588803,#463661,#463662); #375123=AXIS2_PLACEMENT_3D('',#588804,#463663,#463664); #375124=AXIS2_PLACEMENT_3D('',#588805,#463665,#463666); #375125=AXIS2_PLACEMENT_3D('',#588806,#463667,#463668); #375126=AXIS2_PLACEMENT_3D('',#588807,#463669,#463670); #375127=AXIS2_PLACEMENT_3D('',#588809,#463671,#463672); #375128=AXIS2_PLACEMENT_3D('',#588811,#463674,#463675); #375129=AXIS2_PLACEMENT_3D('',#588813,#463676,#463677); #375130=AXIS2_PLACEMENT_3D('',#588815,#463679,#463680); #375131=AXIS2_PLACEMENT_3D('',#588817,#463682,#463683); #375132=AXIS2_PLACEMENT_3D('',#588819,#463685,#463686); #375133=AXIS2_PLACEMENT_3D('',#588821,#463688,#463689); #375134=AXIS2_PLACEMENT_3D('',#588823,#463691,#463692); #375135=AXIS2_PLACEMENT_3D('',#588830,#463697,#463698); #375136=AXIS2_PLACEMENT_3D('',#588831,#463699,#463700); #375137=AXIS2_PLACEMENT_3D('',#588832,#463701,#463702); #375138=AXIS2_PLACEMENT_3D('',#588833,#463703,#463704); #375139=AXIS2_PLACEMENT_3D('',#588837,#463707,#463708); #375140=AXIS2_PLACEMENT_3D('',#588838,#463709,#463710); #375141=AXIS2_PLACEMENT_3D('',#588839,#463711,#463712); #375142=AXIS2_PLACEMENT_3D('',#588840,#463713,#463714); #375143=AXIS2_PLACEMENT_3D('',#588848,#463718,#463719); #375144=AXIS2_PLACEMENT_3D('',#588851,#463721,#463722); #375145=AXIS2_PLACEMENT_3D('',#588852,#463723,#463724); #375146=AXIS2_PLACEMENT_3D('',#588853,#463725,#463726); #375147=AXIS2_PLACEMENT_3D('',#588856,#463729,#463730); #375148=AXIS2_PLACEMENT_3D('',#588863,#463735,#463736); #375149=AXIS2_PLACEMENT_3D('',#588864,#463737,#463738); #375150=AXIS2_PLACEMENT_3D('',#588865,#463739,#463740); #375151=AXIS2_PLACEMENT_3D('',#588867,#463741,#463742); #375152=AXIS2_PLACEMENT_3D('',#588886,#463752,#463753); #375153=AXIS2_PLACEMENT_3D('',#588890,#463755,#463756); #375154=AXIS2_PLACEMENT_3D('',#588905,#463758,#463759); #375155=AXIS2_PLACEMENT_3D('',#588913,#463763,#463764); #375156=AXIS2_PLACEMENT_3D('',#588917,#463766,#463767); #375157=AXIS2_PLACEMENT_3D('',#588943,#463776,#463777); #375158=AXIS2_PLACEMENT_3D('',#588947,#463779,#463780); #375159=AXIS2_PLACEMENT_3D('',#588951,#463782,#463783); #375160=AXIS2_PLACEMENT_3D('',#588959,#463787,#463788); #375161=AXIS2_PLACEMENT_3D('',#588963,#463790,#463791); #375162=AXIS2_PLACEMENT_3D('',#588965,#463793,#463794); #375163=AXIS2_PLACEMENT_3D('',#588967,#463796,#463797); #375164=AXIS2_PLACEMENT_3D('',#588969,#463799,#463800); #375165=AXIS2_PLACEMENT_3D('',#588970,#463801,#463802); #375166=AXIS2_PLACEMENT_3D('',#588971,#463803,#463804); #375167=AXIS2_PLACEMENT_3D('',#588973,#463806,#463807); #375168=AXIS2_PLACEMENT_3D('',#588975,#463809,#463810); #375169=AXIS2_PLACEMENT_3D('',#588977,#463812,#463813); #375170=AXIS2_PLACEMENT_3D('',#588979,#463815,#463816); #375171=AXIS2_PLACEMENT_3D('',#588981,#463818,#463819); #375172=AXIS2_PLACEMENT_3D('',#588983,#463821,#463822); #375173=AXIS2_PLACEMENT_3D('',#588985,#463824,#463825); #375174=AXIS2_PLACEMENT_3D('',#588987,#463827,#463828); #375175=AXIS2_PLACEMENT_3D('',#588989,#463830,#463831); #375176=AXIS2_PLACEMENT_3D('',#588998,#463836,#463837); #375177=AXIS2_PLACEMENT_3D('',#589007,#463842,#463843); #375178=AXIS2_PLACEMENT_3D('',#589008,#463844,#463845); #375179=AXIS2_PLACEMENT_3D('',#589009,#463846,#463847); #375180=AXIS2_PLACEMENT_3D('',#589011,#463849,#463850); #375181=AXIS2_PLACEMENT_3D('',#589012,#463851,#463852); #375182=AXIS2_PLACEMENT_3D('',#589014,#463854,#463855); #375183=AXIS2_PLACEMENT_3D('',#589015,#463856,#463857); #375184=AXIS2_PLACEMENT_3D('',#589017,#463859,#463860); #375185=AXIS2_PLACEMENT_3D('',#589018,#463861,#463862); #375186=AXIS2_PLACEMENT_3D('',#589020,#463864,#463865); #375187=AXIS2_PLACEMENT_3D('',#589022,#463866,#463867); #375188=AXIS2_PLACEMENT_3D('',#589025,#463869,#463870); #375189=AXIS2_PLACEMENT_3D('',#589026,#463871,#463872); #375190=AXIS2_PLACEMENT_3D('',#589028,#463873,#463874); #375191=AXIS2_PLACEMENT_3D('',#589030,#463876,#463877); #375192=AXIS2_PLACEMENT_3D('',#589032,#463878,#463879); #375193=AXIS2_PLACEMENT_3D('',#589034,#463881,#463882); #375194=AXIS2_PLACEMENT_3D('',#589036,#463884,#463885); #375195=AXIS2_PLACEMENT_3D('',#589037,#463886,#463887); #375196=AXIS2_PLACEMENT_3D('',#589039,#463889,#463890); #375197=AXIS2_PLACEMENT_3D('',#589042,#463893,#463894); #375198=AXIS2_PLACEMENT_3D('',#589051,#463899,#463900); #375199=AXIS2_PLACEMENT_3D('',#589060,#463905,#463906); #375200=AXIS2_PLACEMENT_3D('',#589061,#463907,#463908); #375201=AXIS2_PLACEMENT_3D('',#589062,#463909,#463910); #375202=AXIS2_PLACEMENT_3D('',#589064,#463912,#463913); #375203=AXIS2_PLACEMENT_3D('',#589065,#463914,#463915); #375204=AXIS2_PLACEMENT_3D('',#589067,#463917,#463918); #375205=AXIS2_PLACEMENT_3D('',#589068,#463919,#463920); #375206=AXIS2_PLACEMENT_3D('',#589069,#463921,#463922); #375207=AXIS2_PLACEMENT_3D('',#589071,#463924,#463925); #375208=AXIS2_PLACEMENT_3D('',#589072,#463926,#463927); #375209=AXIS2_PLACEMENT_3D('',#589074,#463928,#463929); #375210=AXIS2_PLACEMENT_3D('',#589077,#463931,#463932); #375211=AXIS2_PLACEMENT_3D('',#589078,#463933,#463934); #375212=AXIS2_PLACEMENT_3D('',#589080,#463935,#463936); #375213=AXIS2_PLACEMENT_3D('',#589082,#463938,#463939); #375214=AXIS2_PLACEMENT_3D('',#589084,#463940,#463941); #375215=AXIS2_PLACEMENT_3D('',#589086,#463943,#463944); #375216=AXIS2_PLACEMENT_3D('',#589088,#463946,#463947); #375217=AXIS2_PLACEMENT_3D('',#589089,#463948,#463949); #375218=AXIS2_PLACEMENT_3D('',#589090,#463950,#463951); #375219=AXIS2_PLACEMENT_3D('',#589091,#463952,#463953); #375220=AXIS2_PLACEMENT_3D('',#589093,#463955,#463956); #375221=AXIS2_PLACEMENT_3D('',#589094,#463957,#463958); #375222=AXIS2_PLACEMENT_3D('',#589096,#463960,#463961); #375223=AXIS2_PLACEMENT_3D('',#589099,#463964,#463965); #375224=AXIS2_PLACEMENT_3D('',#589101,#463966,#463967); #375225=AXIS2_PLACEMENT_3D('',#589108,#463971,#463972); #375226=AXIS2_PLACEMENT_3D('',#589109,#463973,#463974); #375227=AXIS2_PLACEMENT_3D('',#589111,#463975,#463976); #375228=AXIS2_PLACEMENT_3D('',#589114,#463978,#463979); #375229=AXIS2_PLACEMENT_3D('',#589115,#463980,#463981); #375230=AXIS2_PLACEMENT_3D('',#589121,#463985,#463986); #375231=AXIS2_PLACEMENT_3D('',#589127,#463989,#463990); #375232=AXIS2_PLACEMENT_3D('',#589131,#463993,#463994); #375233=AXIS2_PLACEMENT_3D('',#589134,#463997,#463998); #375234=AXIS2_PLACEMENT_3D('',#589136,#463999,#464000); #375235=AXIS2_PLACEMENT_3D('',#589140,#464003,#464004); #375236=AXIS2_PLACEMENT_3D('',#589141,#464005,#464006); #375237=AXIS2_PLACEMENT_3D('',#589143,#464007,#464008); #375238=AXIS2_PLACEMENT_3D('',#589145,#464010,#464011); #375239=AXIS2_PLACEMENT_3D('',#589150,#464015,#464016); #375240=AXIS2_PLACEMENT_3D('',#589153,#464017,#464018); #375241=AXIS2_PLACEMENT_3D('',#589157,#464020,#464021); #375242=AXIS2_PLACEMENT_3D('',#589159,#464023,#464024); #375243=AXIS2_PLACEMENT_3D('',#589167,#464028,#464029); #375244=AXIS2_PLACEMENT_3D('',#589173,#464033,#464034); #375245=AXIS2_PLACEMENT_3D('',#589177,#464036,#464037); #375246=AXIS2_PLACEMENT_3D('',#589180,#464040,#464041); #375247=AXIS2_PLACEMENT_3D('',#589181,#464042,#464043); #375248=AXIS2_PLACEMENT_3D('',#589183,#464045,#464046); #375249=AXIS2_PLACEMENT_3D('',#589186,#464047,#464048); #375250=AXIS2_PLACEMENT_3D('',#589189,#464051,#464052); #375251=AXIS2_PLACEMENT_3D('',#589198,#464058,#464059); #375252=AXIS2_PLACEMENT_3D('',#589199,#464060,#464061); #375253=AXIS2_PLACEMENT_3D('',#589203,#464064,#464065); #375254=AXIS2_PLACEMENT_3D('',#589204,#464066,#464067); #375255=AXIS2_PLACEMENT_3D('',#589206,#464069,#464070); #375256=AXIS2_PLACEMENT_3D('',#589207,#464071,#464072); #375257=AXIS2_PLACEMENT_3D('',#589209,#464074,#464075); #375258=AXIS2_PLACEMENT_3D('',#589210,#464076,#464077); #375259=AXIS2_PLACEMENT_3D('',#589212,#464079,#464080); #375260=AXIS2_PLACEMENT_3D('',#589214,#464082,#464083); #375261=AXIS2_PLACEMENT_3D('',#589216,#464085,#464086); #375262=AXIS2_PLACEMENT_3D('',#589217,#464087,#464088); #375263=AXIS2_PLACEMENT_3D('',#589219,#464090,#464091); #375264=AXIS2_PLACEMENT_3D('',#589220,#464092,#464093); #375265=AXIS2_PLACEMENT_3D('',#589222,#464095,#464096); #375266=AXIS2_PLACEMENT_3D('',#589224,#464098,#464099); #375267=AXIS2_PLACEMENT_3D('',#589226,#464101,#464102); #375268=AXIS2_PLACEMENT_3D('',#589228,#464104,#464105); #375269=AXIS2_PLACEMENT_3D('',#589243,#464108,#464109); #375270=AXIS2_PLACEMENT_3D('',#589257,#464111,#464112); #375271=AXIS2_PLACEMENT_3D('',#589258,#464113,#464114); #375272=AXIS2_PLACEMENT_3D('',#589259,#464115,#464116); #375273=AXIS2_PLACEMENT_3D('',#589260,#464117,#464118); #375274=AXIS2_PLACEMENT_3D('',#589263,#464119,#464120); #375275=AXIS2_PLACEMENT_3D('',#589267,#464122,#464123); #375276=AXIS2_PLACEMENT_3D('',#589269,#464125,#464126); #375277=AXIS2_PLACEMENT_3D('',#589272,#464127,#464128); #375278=AXIS2_PLACEMENT_3D('',#589276,#464130,#464131); #375279=AXIS2_PLACEMENT_3D('',#589278,#464133,#464134); #375280=AXIS2_PLACEMENT_3D('',#589283,#464136,#464137); #375281=AXIS2_PLACEMENT_3D('',#589286,#464139,#464140); #375282=AXIS2_PLACEMENT_3D('',#589287,#464141,#464142); #375283=AXIS2_PLACEMENT_3D('',#589292,#464144,#464145); #375284=AXIS2_PLACEMENT_3D('',#589295,#464147,#464148); #375285=AXIS2_PLACEMENT_3D('',#589296,#464149,#464150); #375286=AXIS2_PLACEMENT_3D('',#589300,#464152,#464153); #375287=AXIS2_PLACEMENT_3D('',#589301,#464154,#464155); #375288=AXIS2_PLACEMENT_3D('',#589302,#464156,#464157); #375289=AXIS2_PLACEMENT_3D('',#589304,#464158,#464159); #375290=AXIS2_PLACEMENT_3D('',#589307,#464161,#464162); #375291=AXIS2_PLACEMENT_3D('',#589308,#464163,#464164); #375292=AXIS2_PLACEMENT_3D('',#589313,#464169,#464170); #375293=AXIS2_PLACEMENT_3D('',#589315,#464172,#464173); #375294=AXIS2_PLACEMENT_3D('',#589321,#464177,#464178); #375295=AXIS2_PLACEMENT_3D('',#589323,#464179,#464180); #375296=AXIS2_PLACEMENT_3D('',#589326,#464182,#464183); #375297=AXIS2_PLACEMENT_3D('',#589327,#464184,#464185); #375298=AXIS2_PLACEMENT_3D('',#589330,#464188,#464189); #375299=AXIS2_PLACEMENT_3D('',#589332,#464191,#464192); #375300=AXIS2_PLACEMENT_3D('',#589334,#464194,#464195); #375301=AXIS2_PLACEMENT_3D('',#589336,#464197,#464198); #375302=AXIS2_PLACEMENT_3D('',#589338,#464200,#464201); #375303=AXIS2_PLACEMENT_3D('',#589340,#464203,#464204); #375304=AXIS2_PLACEMENT_3D('',#589346,#464208,#464209); #375305=AXIS2_PLACEMENT_3D('',#589350,#464211,#464212); #375306=AXIS2_PLACEMENT_3D('',#589351,#464213,#464214); #375307=AXIS2_PLACEMENT_3D('',#589352,#464215,#464216); #375308=AXIS2_PLACEMENT_3D('',#589355,#464219,#464220); #375309=AXIS2_PLACEMENT_3D('',#589357,#464222,#464223); #375310=AXIS2_PLACEMENT_3D('',#589359,#464225,#464226); #375311=AXIS2_PLACEMENT_3D('',#589361,#464228,#464229); #375312=AXIS2_PLACEMENT_3D('',#589362,#464230,#464231); #375313=AXIS2_PLACEMENT_3D('',#589364,#464233,#464234); #375314=AXIS2_PLACEMENT_3D('',#589366,#464236,#464237); #375315=AXIS2_PLACEMENT_3D('',#589368,#464239,#464240); #375316=AXIS2_PLACEMENT_3D('',#589369,#464241,#464242); #375317=AXIS2_PLACEMENT_3D('',#589372,#464243,#464244); #375318=AXIS2_PLACEMENT_3D('',#589376,#464246,#464247); #375319=AXIS2_PLACEMENT_3D('',#589378,#464249,#464250); #375320=AXIS2_PLACEMENT_3D('',#589381,#464251,#464252); #375321=AXIS2_PLACEMENT_3D('',#589385,#464254,#464255); #375322=AXIS2_PLACEMENT_3D('',#589387,#464257,#464258); #375323=AXIS2_PLACEMENT_3D('',#589392,#464260,#464261); #375324=AXIS2_PLACEMENT_3D('',#589395,#464263,#464264); #375325=AXIS2_PLACEMENT_3D('',#589396,#464265,#464266); #375326=AXIS2_PLACEMENT_3D('',#589401,#464268,#464269); #375327=AXIS2_PLACEMENT_3D('',#589404,#464271,#464272); #375328=AXIS2_PLACEMENT_3D('',#589405,#464273,#464274); #375329=AXIS2_PLACEMENT_3D('',#589407,#464275,#464276); #375330=AXIS2_PLACEMENT_3D('',#589410,#464278,#464279); #375331=AXIS2_PLACEMENT_3D('',#589411,#464280,#464281); #375332=AXIS2_PLACEMENT_3D('',#589413,#464282,#464283); #375333=AXIS2_PLACEMENT_3D('',#589416,#464285,#464286); #375334=AXIS2_PLACEMENT_3D('',#589417,#464287,#464288); #375335=AXIS2_PLACEMENT_3D('',#589422,#464293,#464294); #375336=AXIS2_PLACEMENT_3D('',#589424,#464296,#464297); #375337=AXIS2_PLACEMENT_3D('',#589430,#464301,#464302); #375338=AXIS2_PLACEMENT_3D('',#589436,#464306,#464307); #375339=AXIS2_PLACEMENT_3D('',#589438,#464309,#464310); #375340=AXIS2_PLACEMENT_3D('',#589440,#464312,#464313); #375341=AXIS2_PLACEMENT_3D('',#589442,#464315,#464316); #375342=AXIS2_PLACEMENT_3D('',#589444,#464318,#464319); #375343=AXIS2_PLACEMENT_3D('',#589446,#464321,#464322); #375344=AXIS2_PLACEMENT_3D('',#589452,#464326,#464327); #375345=AXIS2_PLACEMENT_3D('',#589458,#464331,#464332); #375346=AXIS2_PLACEMENT_3D('',#589460,#464334,#464335); #375347=AXIS2_PLACEMENT_3D('',#589462,#464337,#464338); #375348=AXIS2_PLACEMENT_3D('',#589463,#464339,#464340); #375349=AXIS2_PLACEMENT_3D('',#589464,#464341,#464342); #375350=AXIS2_PLACEMENT_3D('',#589466,#464344,#464345); #375351=AXIS2_PLACEMENT_3D('',#589467,#464346,#464347); #375352=AXIS2_PLACEMENT_3D('',#589469,#464349,#464350); #375353=AXIS2_PLACEMENT_3D('',#589471,#464352,#464353); #375354=AXIS2_PLACEMENT_3D('',#589473,#464355,#464356); #375355=AXIS2_PLACEMENT_3D('',#589474,#464357,#464358); #375356=AXIS2_PLACEMENT_3D('',#589475,#464359,#464360); #375357=AXIS2_PLACEMENT_3D('',#589476,#464361,#464362); #375358=AXIS2_PLACEMENT_3D('',#589477,#464363,#464364); #375359=AXIS2_PLACEMENT_3D('',#589478,#464365,#464366); #375360=AXIS2_PLACEMENT_3D('',#589479,#464367,#464368); #375361=AXIS2_PLACEMENT_3D('',#589480,#464369,#464370); #375362=AXIS2_PLACEMENT_3D('',#589481,#464371,#464372); #375363=AXIS2_PLACEMENT_3D('',#589483,#464373,#464374); #375364=AXIS2_PLACEMENT_3D('',#589486,#464376,#464377); #375365=AXIS2_PLACEMENT_3D('',#589487,#464378,#464379); #375366=AXIS2_PLACEMENT_3D('',#589488,#464380,#464381); #375367=AXIS2_PLACEMENT_3D('',#589497,#464386,#464387); #375368=AXIS2_PLACEMENT_3D('',#589503,#464391,#464392); #375369=AXIS2_PLACEMENT_3D('',#589509,#464396,#464397); #375370=AXIS2_PLACEMENT_3D('',#589512,#464400,#464401); #375371=AXIS2_PLACEMENT_3D('',#589518,#464405,#464406); #375372=AXIS2_PLACEMENT_3D('',#589522,#464409,#464410); #375373=AXIS2_PLACEMENT_3D('',#589523,#464411,#464412); #375374=AXIS2_PLACEMENT_3D('',#589527,#464415,#464416); #375375=AXIS2_PLACEMENT_3D('',#589529,#464418,#464419); #375376=AXIS2_PLACEMENT_3D('',#589530,#464420,#464421); #375377=AXIS2_PLACEMENT_3D('',#589533,#464422,#464423); #375378=AXIS2_PLACEMENT_3D('',#589539,#464427,#464428); #375379=AXIS2_PLACEMENT_3D('',#589543,#464430,#464431); #375380=AXIS2_PLACEMENT_3D('',#589545,#464433,#464434); #375381=AXIS2_PLACEMENT_3D('',#589554,#464439,#464440); #375382=AXIS2_PLACEMENT_3D('',#589557,#464443,#464444); #375383=AXIS2_PLACEMENT_3D('',#589563,#464448,#464449); #375384=AXIS2_PLACEMENT_3D('',#589566,#464452,#464453); #375385=AXIS2_PLACEMENT_3D('',#589567,#464454,#464455); #375386=AXIS2_PLACEMENT_3D('',#589568,#464456,#464457); #375387=AXIS2_PLACEMENT_3D('',#589571,#464458,#464459); #375388=AXIS2_PLACEMENT_3D('',#589577,#464463,#464464); #375389=AXIS2_PLACEMENT_3D('',#589581,#464466,#464467); #375390=AXIS2_PLACEMENT_3D('',#589583,#464469,#464470); #375391=AXIS2_PLACEMENT_3D('',#589592,#464475,#464476); #375392=AXIS2_PLACEMENT_3D('',#589595,#464479,#464480); #375393=AXIS2_PLACEMENT_3D('',#589601,#464484,#464485); #375394=AXIS2_PLACEMENT_3D('',#589604,#464488,#464489); #375395=AXIS2_PLACEMENT_3D('',#589605,#464490,#464491); #375396=AXIS2_PLACEMENT_3D('',#589606,#464492,#464493); #375397=AXIS2_PLACEMENT_3D('',#589609,#464494,#464495); #375398=AXIS2_PLACEMENT_3D('',#589615,#464499,#464500); #375399=AXIS2_PLACEMENT_3D('',#589619,#464502,#464503); #375400=AXIS2_PLACEMENT_3D('',#589621,#464505,#464506); #375401=AXIS2_PLACEMENT_3D('',#589630,#464511,#464512); #375402=AXIS2_PLACEMENT_3D('',#589633,#464515,#464516); #375403=AXIS2_PLACEMENT_3D('',#589639,#464520,#464521); #375404=AXIS2_PLACEMENT_3D('',#589642,#464524,#464525); #375405=AXIS2_PLACEMENT_3D('',#589643,#464526,#464527); #375406=AXIS2_PLACEMENT_3D('',#589644,#464528,#464529); #375407=AXIS2_PLACEMENT_3D('',#589647,#464530,#464531); #375408=AXIS2_PLACEMENT_3D('',#589653,#464535,#464536); #375409=AXIS2_PLACEMENT_3D('',#589657,#464538,#464539); #375410=AXIS2_PLACEMENT_3D('',#589659,#464541,#464542); #375411=AXIS2_PLACEMENT_3D('',#589668,#464547,#464548); #375412=AXIS2_PLACEMENT_3D('',#589671,#464551,#464552); #375413=AXIS2_PLACEMENT_3D('',#589677,#464556,#464557); #375414=AXIS2_PLACEMENT_3D('',#589680,#464560,#464561); #375415=AXIS2_PLACEMENT_3D('',#589681,#464562,#464563); #375416=AXIS2_PLACEMENT_3D('',#589682,#464564,#464565); #375417=AXIS2_PLACEMENT_3D('',#589685,#464566,#464567); #375418=AXIS2_PLACEMENT_3D('',#589691,#464571,#464572); #375419=AXIS2_PLACEMENT_3D('',#589695,#464574,#464575); #375420=AXIS2_PLACEMENT_3D('',#589697,#464577,#464578); #375421=AXIS2_PLACEMENT_3D('',#589706,#464583,#464584); #375422=AXIS2_PLACEMENT_3D('',#589709,#464587,#464588); #375423=AXIS2_PLACEMENT_3D('',#589715,#464592,#464593); #375424=AXIS2_PLACEMENT_3D('',#589718,#464596,#464597); #375425=AXIS2_PLACEMENT_3D('',#589719,#464598,#464599); #375426=AXIS2_PLACEMENT_3D('',#589720,#464600,#464601); #375427=AXIS2_PLACEMENT_3D('',#589723,#464602,#464603); #375428=AXIS2_PLACEMENT_3D('',#589729,#464607,#464608); #375429=AXIS2_PLACEMENT_3D('',#589733,#464610,#464611); #375430=AXIS2_PLACEMENT_3D('',#589735,#464613,#464614); #375431=AXIS2_PLACEMENT_3D('',#589744,#464619,#464620); #375432=AXIS2_PLACEMENT_3D('',#589747,#464623,#464624); #375433=AXIS2_PLACEMENT_3D('',#589753,#464628,#464629); #375434=AXIS2_PLACEMENT_3D('',#589756,#464632,#464633); #375435=AXIS2_PLACEMENT_3D('',#589757,#464634,#464635); #375436=AXIS2_PLACEMENT_3D('',#589758,#464636,#464637); #375437=AXIS2_PLACEMENT_3D('',#589761,#464638,#464639); #375438=AXIS2_PLACEMENT_3D('',#589767,#464643,#464644); #375439=AXIS2_PLACEMENT_3D('',#589771,#464646,#464647); #375440=AXIS2_PLACEMENT_3D('',#589773,#464649,#464650); #375441=AXIS2_PLACEMENT_3D('',#589782,#464655,#464656); #375442=AXIS2_PLACEMENT_3D('',#589785,#464659,#464660); #375443=AXIS2_PLACEMENT_3D('',#589791,#464664,#464665); #375444=AXIS2_PLACEMENT_3D('',#589794,#464668,#464669); #375445=AXIS2_PLACEMENT_3D('',#589795,#464670,#464671); #375446=AXIS2_PLACEMENT_3D('',#589796,#464672,#464673); #375447=AXIS2_PLACEMENT_3D('',#589799,#464674,#464675); #375448=AXIS2_PLACEMENT_3D('',#589805,#464679,#464680); #375449=AXIS2_PLACEMENT_3D('',#589809,#464682,#464683); #375450=AXIS2_PLACEMENT_3D('',#589811,#464685,#464686); #375451=AXIS2_PLACEMENT_3D('',#589820,#464691,#464692); #375452=AXIS2_PLACEMENT_3D('',#589823,#464695,#464696); #375453=AXIS2_PLACEMENT_3D('',#589829,#464700,#464701); #375454=AXIS2_PLACEMENT_3D('',#589832,#464704,#464705); #375455=AXIS2_PLACEMENT_3D('',#589833,#464706,#464707); #375456=AXIS2_PLACEMENT_3D('',#589834,#464708,#464709); #375457=AXIS2_PLACEMENT_3D('',#589837,#464710,#464711); #375458=AXIS2_PLACEMENT_3D('',#589843,#464715,#464716); #375459=AXIS2_PLACEMENT_3D('',#589847,#464718,#464719); #375460=AXIS2_PLACEMENT_3D('',#589849,#464721,#464722); #375461=AXIS2_PLACEMENT_3D('',#589858,#464727,#464728); #375462=AXIS2_PLACEMENT_3D('',#589861,#464731,#464732); #375463=AXIS2_PLACEMENT_3D('',#589867,#464736,#464737); #375464=AXIS2_PLACEMENT_3D('',#589870,#464740,#464741); #375465=AXIS2_PLACEMENT_3D('',#589871,#464742,#464743); #375466=AXIS2_PLACEMENT_3D('',#589872,#464744,#464745); #375467=AXIS2_PLACEMENT_3D('',#589875,#464746,#464747); #375468=AXIS2_PLACEMENT_3D('',#589881,#464751,#464752); #375469=AXIS2_PLACEMENT_3D('',#589885,#464754,#464755); #375470=AXIS2_PLACEMENT_3D('',#589887,#464757,#464758); #375471=AXIS2_PLACEMENT_3D('',#589896,#464763,#464764); #375472=AXIS2_PLACEMENT_3D('',#589899,#464767,#464768); #375473=AXIS2_PLACEMENT_3D('',#589905,#464772,#464773); #375474=AXIS2_PLACEMENT_3D('',#589908,#464776,#464777); #375475=AXIS2_PLACEMENT_3D('',#589909,#464778,#464779); #375476=AXIS2_PLACEMENT_3D('',#589910,#464780,#464781); #375477=AXIS2_PLACEMENT_3D('',#589913,#464782,#464783); #375478=AXIS2_PLACEMENT_3D('',#589919,#464787,#464788); #375479=AXIS2_PLACEMENT_3D('',#589923,#464790,#464791); #375480=AXIS2_PLACEMENT_3D('',#589925,#464793,#464794); #375481=AXIS2_PLACEMENT_3D('',#589934,#464799,#464800); #375482=AXIS2_PLACEMENT_3D('',#589937,#464803,#464804); #375483=AXIS2_PLACEMENT_3D('',#589943,#464808,#464809); #375484=AXIS2_PLACEMENT_3D('',#589946,#464812,#464813); #375485=AXIS2_PLACEMENT_3D('',#589947,#464814,#464815); #375486=AXIS2_PLACEMENT_3D('',#589948,#464816,#464817); #375487=AXIS2_PLACEMENT_3D('',#589951,#464818,#464819); #375488=AXIS2_PLACEMENT_3D('',#589957,#464823,#464824); #375489=AXIS2_PLACEMENT_3D('',#589961,#464826,#464827); #375490=AXIS2_PLACEMENT_3D('',#589963,#464829,#464830); #375491=AXIS2_PLACEMENT_3D('',#589972,#464835,#464836); #375492=AXIS2_PLACEMENT_3D('',#589975,#464839,#464840); #375493=AXIS2_PLACEMENT_3D('',#589981,#464844,#464845); #375494=AXIS2_PLACEMENT_3D('',#589984,#464848,#464849); #375495=AXIS2_PLACEMENT_3D('',#589985,#464850,#464851); #375496=AXIS2_PLACEMENT_3D('',#589986,#464852,#464853); #375497=AXIS2_PLACEMENT_3D('',#589989,#464854,#464855); #375498=AXIS2_PLACEMENT_3D('',#589995,#464859,#464860); #375499=AXIS2_PLACEMENT_3D('',#589999,#464862,#464863); #375500=AXIS2_PLACEMENT_3D('',#590001,#464865,#464866); #375501=AXIS2_PLACEMENT_3D('',#590010,#464871,#464872); #375502=AXIS2_PLACEMENT_3D('',#590013,#464875,#464876); #375503=AXIS2_PLACEMENT_3D('',#590019,#464880,#464881); #375504=AXIS2_PLACEMENT_3D('',#590022,#464884,#464885); #375505=AXIS2_PLACEMENT_3D('',#590023,#464886,#464887); #375506=AXIS2_PLACEMENT_3D('',#590024,#464888,#464889); #375507=AXIS2_PLACEMENT_3D('',#590027,#464890,#464891); #375508=AXIS2_PLACEMENT_3D('',#590033,#464895,#464896); #375509=AXIS2_PLACEMENT_3D('',#590037,#464898,#464899); #375510=AXIS2_PLACEMENT_3D('',#590039,#464901,#464902); #375511=AXIS2_PLACEMENT_3D('',#590048,#464907,#464908); #375512=AXIS2_PLACEMENT_3D('',#590051,#464911,#464912); #375513=AXIS2_PLACEMENT_3D('',#590057,#464916,#464917); #375514=AXIS2_PLACEMENT_3D('',#590060,#464920,#464921); #375515=AXIS2_PLACEMENT_3D('',#590061,#464922,#464923); #375516=AXIS2_PLACEMENT_3D('',#590062,#464924,#464925); #375517=AXIS2_PLACEMENT_3D('',#590065,#464926,#464927); #375518=AXIS2_PLACEMENT_3D('',#590071,#464931,#464932); #375519=AXIS2_PLACEMENT_3D('',#590075,#464934,#464935); #375520=AXIS2_PLACEMENT_3D('',#590077,#464937,#464938); #375521=AXIS2_PLACEMENT_3D('',#590086,#464943,#464944); #375522=AXIS2_PLACEMENT_3D('',#590089,#464947,#464948); #375523=AXIS2_PLACEMENT_3D('',#590095,#464952,#464953); #375524=AXIS2_PLACEMENT_3D('',#590098,#464956,#464957); #375525=AXIS2_PLACEMENT_3D('',#590099,#464958,#464959); #375526=AXIS2_PLACEMENT_3D('',#590100,#464960,#464961); #375527=AXIS2_PLACEMENT_3D('',#590103,#464962,#464963); #375528=AXIS2_PLACEMENT_3D('',#590109,#464967,#464968); #375529=AXIS2_PLACEMENT_3D('',#590113,#464970,#464971); #375530=AXIS2_PLACEMENT_3D('',#590115,#464973,#464974); #375531=AXIS2_PLACEMENT_3D('',#590124,#464979,#464980); #375532=AXIS2_PLACEMENT_3D('',#590127,#464983,#464984); #375533=AXIS2_PLACEMENT_3D('',#590133,#464988,#464989); #375534=AXIS2_PLACEMENT_3D('',#590136,#464992,#464993); #375535=AXIS2_PLACEMENT_3D('',#590137,#464994,#464995); #375536=AXIS2_PLACEMENT_3D('',#590138,#464996,#464997); #375537=AXIS2_PLACEMENT_3D('',#590141,#464998,#464999); #375538=AXIS2_PLACEMENT_3D('',#590147,#465003,#465004); #375539=AXIS2_PLACEMENT_3D('',#590151,#465006,#465007); #375540=AXIS2_PLACEMENT_3D('',#590153,#465009,#465010); #375541=AXIS2_PLACEMENT_3D('',#590162,#465015,#465016); #375542=AXIS2_PLACEMENT_3D('',#590165,#465019,#465020); #375543=AXIS2_PLACEMENT_3D('',#590171,#465024,#465025); #375544=AXIS2_PLACEMENT_3D('',#590174,#465028,#465029); #375545=AXIS2_PLACEMENT_3D('',#590175,#465030,#465031); #375546=AXIS2_PLACEMENT_3D('',#590176,#465032,#465033); #375547=AXIS2_PLACEMENT_3D('',#590179,#465034,#465035); #375548=AXIS2_PLACEMENT_3D('',#590185,#465039,#465040); #375549=AXIS2_PLACEMENT_3D('',#590189,#465042,#465043); #375550=AXIS2_PLACEMENT_3D('',#590191,#465045,#465046); #375551=AXIS2_PLACEMENT_3D('',#590200,#465051,#465052); #375552=AXIS2_PLACEMENT_3D('',#590203,#465055,#465056); #375553=AXIS2_PLACEMENT_3D('',#590209,#465060,#465061); #375554=AXIS2_PLACEMENT_3D('',#590212,#465064,#465065); #375555=AXIS2_PLACEMENT_3D('',#590213,#465066,#465067); #375556=AXIS2_PLACEMENT_3D('',#590214,#465068,#465069); #375557=AXIS2_PLACEMENT_3D('',#590217,#465070,#465071); #375558=AXIS2_PLACEMENT_3D('',#590223,#465075,#465076); #375559=AXIS2_PLACEMENT_3D('',#590227,#465078,#465079); #375560=AXIS2_PLACEMENT_3D('',#590229,#465081,#465082); #375561=AXIS2_PLACEMENT_3D('',#590238,#465087,#465088); #375562=AXIS2_PLACEMENT_3D('',#590241,#465091,#465092); #375563=AXIS2_PLACEMENT_3D('',#590247,#465096,#465097); #375564=AXIS2_PLACEMENT_3D('',#590250,#465100,#465101); #375565=AXIS2_PLACEMENT_3D('',#590251,#465102,#465103); #375566=AXIS2_PLACEMENT_3D('',#590252,#465104,#465105); #375567=AXIS2_PLACEMENT_3D('',#590255,#465106,#465107); #375568=AXIS2_PLACEMENT_3D('',#590261,#465111,#465112); #375569=AXIS2_PLACEMENT_3D('',#590265,#465114,#465115); #375570=AXIS2_PLACEMENT_3D('',#590267,#465117,#465118); #375571=AXIS2_PLACEMENT_3D('',#590276,#465123,#465124); #375572=AXIS2_PLACEMENT_3D('',#590279,#465127,#465128); #375573=AXIS2_PLACEMENT_3D('',#590285,#465132,#465133); #375574=AXIS2_PLACEMENT_3D('',#590288,#465136,#465137); #375575=AXIS2_PLACEMENT_3D('',#590289,#465138,#465139); #375576=AXIS2_PLACEMENT_3D('',#590290,#465140,#465141); #375577=AXIS2_PLACEMENT_3D('',#590293,#465142,#465143); #375578=AXIS2_PLACEMENT_3D('',#590299,#465147,#465148); #375579=AXIS2_PLACEMENT_3D('',#590303,#465150,#465151); #375580=AXIS2_PLACEMENT_3D('',#590305,#465153,#465154); #375581=AXIS2_PLACEMENT_3D('',#590314,#465159,#465160); #375582=AXIS2_PLACEMENT_3D('',#590317,#465163,#465164); #375583=AXIS2_PLACEMENT_3D('',#590323,#465168,#465169); #375584=AXIS2_PLACEMENT_3D('',#590326,#465172,#465173); #375585=AXIS2_PLACEMENT_3D('',#590327,#465174,#465175); #375586=AXIS2_PLACEMENT_3D('',#590328,#465176,#465177); #375587=AXIS2_PLACEMENT_3D('',#590331,#465178,#465179); #375588=AXIS2_PLACEMENT_3D('',#590337,#465183,#465184); #375589=AXIS2_PLACEMENT_3D('',#590341,#465186,#465187); #375590=AXIS2_PLACEMENT_3D('',#590343,#465189,#465190); #375591=AXIS2_PLACEMENT_3D('',#590352,#465195,#465196); #375592=AXIS2_PLACEMENT_3D('',#590355,#465199,#465200); #375593=AXIS2_PLACEMENT_3D('',#590361,#465204,#465205); #375594=AXIS2_PLACEMENT_3D('',#590364,#465208,#465209); #375595=AXIS2_PLACEMENT_3D('',#590365,#465210,#465211); #375596=AXIS2_PLACEMENT_3D('',#590366,#465212,#465213); #375597=AXIS2_PLACEMENT_3D('',#590369,#465214,#465215); #375598=AXIS2_PLACEMENT_3D('',#590375,#465219,#465220); #375599=AXIS2_PLACEMENT_3D('',#590379,#465222,#465223); #375600=AXIS2_PLACEMENT_3D('',#590381,#465225,#465226); #375601=AXIS2_PLACEMENT_3D('',#590390,#465231,#465232); #375602=AXIS2_PLACEMENT_3D('',#590393,#465235,#465236); #375603=AXIS2_PLACEMENT_3D('',#590399,#465240,#465241); #375604=AXIS2_PLACEMENT_3D('',#590402,#465244,#465245); #375605=AXIS2_PLACEMENT_3D('',#590403,#465246,#465247); #375606=AXIS2_PLACEMENT_3D('',#590404,#465248,#465249); #375607=AXIS2_PLACEMENT_3D('',#590407,#465250,#465251); #375608=AXIS2_PLACEMENT_3D('',#590413,#465255,#465256); #375609=AXIS2_PLACEMENT_3D('',#590417,#465258,#465259); #375610=AXIS2_PLACEMENT_3D('',#590419,#465261,#465262); #375611=AXIS2_PLACEMENT_3D('',#590428,#465267,#465268); #375612=AXIS2_PLACEMENT_3D('',#590431,#465271,#465272); #375613=AXIS2_PLACEMENT_3D('',#590437,#465276,#465277); #375614=AXIS2_PLACEMENT_3D('',#590440,#465280,#465281); #375615=AXIS2_PLACEMENT_3D('',#590441,#465282,#465283); #375616=AXIS2_PLACEMENT_3D('',#590442,#465284,#465285); #375617=AXIS2_PLACEMENT_3D('',#590445,#465286,#465287); #375618=AXIS2_PLACEMENT_3D('',#590451,#465291,#465292); #375619=AXIS2_PLACEMENT_3D('',#590454,#465293,#465294); #375620=AXIS2_PLACEMENT_3D('',#590457,#465297,#465298); #375621=AXIS2_PLACEMENT_3D('',#590463,#465302,#465303); #375622=AXIS2_PLACEMENT_3D('',#590469,#465307,#465308); #375623=AXIS2_PLACEMENT_3D('',#590475,#465312,#465313); #375624=AXIS2_PLACEMENT_3D('',#590478,#465316,#465317); #375625=AXIS2_PLACEMENT_3D('',#590479,#465318,#465319); #375626=AXIS2_PLACEMENT_3D('',#590480,#465320,#465321); #375627=AXIS2_PLACEMENT_3D('',#590483,#465322,#465323); #375628=AXIS2_PLACEMENT_3D('',#590489,#465327,#465328); #375629=AXIS2_PLACEMENT_3D('',#590492,#465329,#465330); #375630=AXIS2_PLACEMENT_3D('',#590495,#465333,#465334); #375631=AXIS2_PLACEMENT_3D('',#590501,#465338,#465339); #375632=AXIS2_PLACEMENT_3D('',#590507,#465343,#465344); #375633=AXIS2_PLACEMENT_3D('',#590513,#465348,#465349); #375634=AXIS2_PLACEMENT_3D('',#590516,#465352,#465353); #375635=AXIS2_PLACEMENT_3D('',#590517,#465354,#465355); #375636=AXIS2_PLACEMENT_3D('',#590518,#465356,#465357); #375637=AXIS2_PLACEMENT_3D('',#590521,#465358,#465359); #375638=AXIS2_PLACEMENT_3D('',#590527,#465363,#465364); #375639=AXIS2_PLACEMENT_3D('',#590530,#465365,#465366); #375640=AXIS2_PLACEMENT_3D('',#590533,#465369,#465370); #375641=AXIS2_PLACEMENT_3D('',#590539,#465374,#465375); #375642=AXIS2_PLACEMENT_3D('',#590545,#465379,#465380); #375643=AXIS2_PLACEMENT_3D('',#590551,#465384,#465385); #375644=AXIS2_PLACEMENT_3D('',#590554,#465388,#465389); #375645=AXIS2_PLACEMENT_3D('',#590555,#465390,#465391); #375646=AXIS2_PLACEMENT_3D('',#590556,#465392,#465393); #375647=AXIS2_PLACEMENT_3D('',#590559,#465394,#465395); #375648=AXIS2_PLACEMENT_3D('',#590565,#465399,#465400); #375649=AXIS2_PLACEMENT_3D('',#590568,#465401,#465402); #375650=AXIS2_PLACEMENT_3D('',#590571,#465405,#465406); #375651=AXIS2_PLACEMENT_3D('',#590577,#465410,#465411); #375652=AXIS2_PLACEMENT_3D('',#590583,#465415,#465416); #375653=AXIS2_PLACEMENT_3D('',#590589,#465420,#465421); #375654=AXIS2_PLACEMENT_3D('',#590592,#465424,#465425); #375655=AXIS2_PLACEMENT_3D('',#590593,#465426,#465427); #375656=AXIS2_PLACEMENT_3D('',#590594,#465428,#465429); #375657=AXIS2_PLACEMENT_3D('',#590597,#465430,#465431); #375658=AXIS2_PLACEMENT_3D('',#590603,#465435,#465436); #375659=AXIS2_PLACEMENT_3D('',#590606,#465437,#465438); #375660=AXIS2_PLACEMENT_3D('',#590609,#465441,#465442); #375661=AXIS2_PLACEMENT_3D('',#590615,#465446,#465447); #375662=AXIS2_PLACEMENT_3D('',#590621,#465451,#465452); #375663=AXIS2_PLACEMENT_3D('',#590627,#465456,#465457); #375664=AXIS2_PLACEMENT_3D('',#590630,#465460,#465461); #375665=AXIS2_PLACEMENT_3D('',#590631,#465462,#465463); #375666=AXIS2_PLACEMENT_3D('',#590632,#465464,#465465); #375667=AXIS2_PLACEMENT_3D('',#590635,#465466,#465467); #375668=AXIS2_PLACEMENT_3D('',#590641,#465471,#465472); #375669=AXIS2_PLACEMENT_3D('',#590644,#465473,#465474); #375670=AXIS2_PLACEMENT_3D('',#590647,#465477,#465478); #375671=AXIS2_PLACEMENT_3D('',#590653,#465482,#465483); #375672=AXIS2_PLACEMENT_3D('',#590659,#465487,#465488); #375673=AXIS2_PLACEMENT_3D('',#590665,#465492,#465493); #375674=AXIS2_PLACEMENT_3D('',#590668,#465496,#465497); #375675=AXIS2_PLACEMENT_3D('',#590669,#465498,#465499); #375676=AXIS2_PLACEMENT_3D('',#590670,#465500,#465501); #375677=AXIS2_PLACEMENT_3D('',#590673,#465502,#465503); #375678=AXIS2_PLACEMENT_3D('',#590679,#465507,#465508); #375679=AXIS2_PLACEMENT_3D('',#590682,#465509,#465510); #375680=AXIS2_PLACEMENT_3D('',#590685,#465513,#465514); #375681=AXIS2_PLACEMENT_3D('',#590691,#465518,#465519); #375682=AXIS2_PLACEMENT_3D('',#590697,#465523,#465524); #375683=AXIS2_PLACEMENT_3D('',#590703,#465528,#465529); #375684=AXIS2_PLACEMENT_3D('',#590706,#465532,#465533); #375685=AXIS2_PLACEMENT_3D('',#590707,#465534,#465535); #375686=AXIS2_PLACEMENT_3D('',#590708,#465536,#465537); #375687=AXIS2_PLACEMENT_3D('',#590711,#465538,#465539); #375688=AXIS2_PLACEMENT_3D('',#590717,#465543,#465544); #375689=AXIS2_PLACEMENT_3D('',#590720,#465545,#465546); #375690=AXIS2_PLACEMENT_3D('',#590723,#465549,#465550); #375691=AXIS2_PLACEMENT_3D('',#590729,#465554,#465555); #375692=AXIS2_PLACEMENT_3D('',#590735,#465559,#465560); #375693=AXIS2_PLACEMENT_3D('',#590741,#465564,#465565); #375694=AXIS2_PLACEMENT_3D('',#590744,#465568,#465569); #375695=AXIS2_PLACEMENT_3D('',#590745,#465570,#465571); #375696=AXIS2_PLACEMENT_3D('',#590746,#465572,#465573); #375697=AXIS2_PLACEMENT_3D('',#590749,#465574,#465575); #375698=AXIS2_PLACEMENT_3D('',#590755,#465579,#465580); #375699=AXIS2_PLACEMENT_3D('',#590758,#465581,#465582); #375700=AXIS2_PLACEMENT_3D('',#590761,#465585,#465586); #375701=AXIS2_PLACEMENT_3D('',#590767,#465590,#465591); #375702=AXIS2_PLACEMENT_3D('',#590773,#465595,#465596); #375703=AXIS2_PLACEMENT_3D('',#590779,#465600,#465601); #375704=AXIS2_PLACEMENT_3D('',#590782,#465604,#465605); #375705=AXIS2_PLACEMENT_3D('',#590783,#465606,#465607); #375706=AXIS2_PLACEMENT_3D('',#590784,#465608,#465609); #375707=AXIS2_PLACEMENT_3D('',#590787,#465610,#465611); #375708=AXIS2_PLACEMENT_3D('',#590793,#465615,#465616); #375709=AXIS2_PLACEMENT_3D('',#590796,#465617,#465618); #375710=AXIS2_PLACEMENT_3D('',#590799,#465621,#465622); #375711=AXIS2_PLACEMENT_3D('',#590805,#465626,#465627); #375712=AXIS2_PLACEMENT_3D('',#590811,#465631,#465632); #375713=AXIS2_PLACEMENT_3D('',#590817,#465636,#465637); #375714=AXIS2_PLACEMENT_3D('',#590820,#465640,#465641); #375715=AXIS2_PLACEMENT_3D('',#590821,#465642,#465643); #375716=AXIS2_PLACEMENT_3D('',#590822,#465644,#465645); #375717=AXIS2_PLACEMENT_3D('',#590825,#465646,#465647); #375718=AXIS2_PLACEMENT_3D('',#590831,#465651,#465652); #375719=AXIS2_PLACEMENT_3D('',#590834,#465653,#465654); #375720=AXIS2_PLACEMENT_3D('',#590837,#465657,#465658); #375721=AXIS2_PLACEMENT_3D('',#590843,#465662,#465663); #375722=AXIS2_PLACEMENT_3D('',#590849,#465667,#465668); #375723=AXIS2_PLACEMENT_3D('',#590855,#465672,#465673); #375724=AXIS2_PLACEMENT_3D('',#590858,#465676,#465677); #375725=AXIS2_PLACEMENT_3D('',#590859,#465678,#465679); #375726=AXIS2_PLACEMENT_3D('',#590860,#465680,#465681); #375727=AXIS2_PLACEMENT_3D('',#590863,#465682,#465683); #375728=AXIS2_PLACEMENT_3D('',#590869,#465687,#465688); #375729=AXIS2_PLACEMENT_3D('',#590872,#465689,#465690); #375730=AXIS2_PLACEMENT_3D('',#590875,#465693,#465694); #375731=AXIS2_PLACEMENT_3D('',#590881,#465698,#465699); #375732=AXIS2_PLACEMENT_3D('',#590887,#465703,#465704); #375733=AXIS2_PLACEMENT_3D('',#590893,#465708,#465709); #375734=AXIS2_PLACEMENT_3D('',#590896,#465712,#465713); #375735=AXIS2_PLACEMENT_3D('',#590897,#465714,#465715); #375736=AXIS2_PLACEMENT_3D('',#590898,#465716,#465717); #375737=AXIS2_PLACEMENT_3D('',#590901,#465718,#465719); #375738=AXIS2_PLACEMENT_3D('',#590907,#465723,#465724); #375739=AXIS2_PLACEMENT_3D('',#590910,#465725,#465726); #375740=AXIS2_PLACEMENT_3D('',#590913,#465729,#465730); #375741=AXIS2_PLACEMENT_3D('',#590919,#465734,#465735); #375742=AXIS2_PLACEMENT_3D('',#590925,#465739,#465740); #375743=AXIS2_PLACEMENT_3D('',#590931,#465744,#465745); #375744=AXIS2_PLACEMENT_3D('',#590934,#465748,#465749); #375745=AXIS2_PLACEMENT_3D('',#590935,#465750,#465751); #375746=AXIS2_PLACEMENT_3D('',#590936,#465752,#465753); #375747=AXIS2_PLACEMENT_3D('',#590939,#465754,#465755); #375748=AXIS2_PLACEMENT_3D('',#590945,#465759,#465760); #375749=AXIS2_PLACEMENT_3D('',#590948,#465761,#465762); #375750=AXIS2_PLACEMENT_3D('',#590951,#465765,#465766); #375751=AXIS2_PLACEMENT_3D('',#590957,#465770,#465771); #375752=AXIS2_PLACEMENT_3D('',#590963,#465775,#465776); #375753=AXIS2_PLACEMENT_3D('',#590969,#465780,#465781); #375754=AXIS2_PLACEMENT_3D('',#590972,#465784,#465785); #375755=AXIS2_PLACEMENT_3D('',#590973,#465786,#465787); #375756=AXIS2_PLACEMENT_3D('',#590974,#465788,#465789); #375757=AXIS2_PLACEMENT_3D('',#590977,#465790,#465791); #375758=AXIS2_PLACEMENT_3D('',#590983,#465795,#465796); #375759=AXIS2_PLACEMENT_3D('',#590986,#465797,#465798); #375760=AXIS2_PLACEMENT_3D('',#590989,#465801,#465802); #375761=AXIS2_PLACEMENT_3D('',#590995,#465806,#465807); #375762=AXIS2_PLACEMENT_3D('',#591001,#465811,#465812); #375763=AXIS2_PLACEMENT_3D('',#591007,#465816,#465817); #375764=AXIS2_PLACEMENT_3D('',#591010,#465820,#465821); #375765=AXIS2_PLACEMENT_3D('',#591011,#465822,#465823); #375766=AXIS2_PLACEMENT_3D('',#591012,#465824,#465825); #375767=AXIS2_PLACEMENT_3D('',#591015,#465826,#465827); #375768=AXIS2_PLACEMENT_3D('',#591021,#465831,#465832); #375769=AXIS2_PLACEMENT_3D('',#591024,#465833,#465834); #375770=AXIS2_PLACEMENT_3D('',#591027,#465837,#465838); #375771=AXIS2_PLACEMENT_3D('',#591033,#465842,#465843); #375772=AXIS2_PLACEMENT_3D('',#591039,#465847,#465848); #375773=AXIS2_PLACEMENT_3D('',#591045,#465852,#465853); #375774=AXIS2_PLACEMENT_3D('',#591048,#465856,#465857); #375775=AXIS2_PLACEMENT_3D('',#591049,#465858,#465859); #375776=AXIS2_PLACEMENT_3D('',#591050,#465860,#465861); #375777=AXIS2_PLACEMENT_3D('',#591053,#465862,#465863); #375778=AXIS2_PLACEMENT_3D('',#591059,#465867,#465868); #375779=AXIS2_PLACEMENT_3D('',#591062,#465869,#465870); #375780=AXIS2_PLACEMENT_3D('',#591065,#465873,#465874); #375781=AXIS2_PLACEMENT_3D('',#591071,#465878,#465879); #375782=AXIS2_PLACEMENT_3D('',#591077,#465883,#465884); #375783=AXIS2_PLACEMENT_3D('',#591083,#465888,#465889); #375784=AXIS2_PLACEMENT_3D('',#591086,#465892,#465893); #375785=AXIS2_PLACEMENT_3D('',#591087,#465894,#465895); #375786=AXIS2_PLACEMENT_3D('',#591088,#465896,#465897); #375787=AXIS2_PLACEMENT_3D('',#591091,#465898,#465899); #375788=AXIS2_PLACEMENT_3D('',#591097,#465903,#465904); #375789=AXIS2_PLACEMENT_3D('',#591100,#465905,#465906); #375790=AXIS2_PLACEMENT_3D('',#591103,#465909,#465910); #375791=AXIS2_PLACEMENT_3D('',#591109,#465914,#465915); #375792=AXIS2_PLACEMENT_3D('',#591115,#465919,#465920); #375793=AXIS2_PLACEMENT_3D('',#591121,#465924,#465925); #375794=AXIS2_PLACEMENT_3D('',#591124,#465928,#465929); #375795=AXIS2_PLACEMENT_3D('',#591125,#465930,#465931); #375796=AXIS2_PLACEMENT_3D('',#591126,#465932,#465933); #375797=AXIS2_PLACEMENT_3D('',#591129,#465934,#465935); #375798=AXIS2_PLACEMENT_3D('',#591135,#465939,#465940); #375799=AXIS2_PLACEMENT_3D('',#591138,#465941,#465942); #375800=AXIS2_PLACEMENT_3D('',#591141,#465945,#465946); #375801=AXIS2_PLACEMENT_3D('',#591147,#465950,#465951); #375802=AXIS2_PLACEMENT_3D('',#591153,#465955,#465956); #375803=AXIS2_PLACEMENT_3D('',#591159,#465960,#465961); #375804=AXIS2_PLACEMENT_3D('',#591162,#465964,#465965); #375805=AXIS2_PLACEMENT_3D('',#591163,#465966,#465967); #375806=AXIS2_PLACEMENT_3D('',#591164,#465968,#465969); #375807=AXIS2_PLACEMENT_3D('',#591167,#465970,#465971); #375808=AXIS2_PLACEMENT_3D('',#591173,#465975,#465976); #375809=AXIS2_PLACEMENT_3D('',#591176,#465977,#465978); #375810=AXIS2_PLACEMENT_3D('',#591179,#465981,#465982); #375811=AXIS2_PLACEMENT_3D('',#591185,#465986,#465987); #375812=AXIS2_PLACEMENT_3D('',#591191,#465991,#465992); #375813=AXIS2_PLACEMENT_3D('',#591197,#465996,#465997); #375814=AXIS2_PLACEMENT_3D('',#591200,#466000,#466001); #375815=AXIS2_PLACEMENT_3D('',#591201,#466002,#466003); #375816=AXIS2_PLACEMENT_3D('',#591202,#466004,#466005); #375817=AXIS2_PLACEMENT_3D('',#591205,#466006,#466007); #375818=AXIS2_PLACEMENT_3D('',#591211,#466011,#466012); #375819=AXIS2_PLACEMENT_3D('',#591214,#466013,#466014); #375820=AXIS2_PLACEMENT_3D('',#591217,#466017,#466018); #375821=AXIS2_PLACEMENT_3D('',#591223,#466022,#466023); #375822=AXIS2_PLACEMENT_3D('',#591229,#466027,#466028); #375823=AXIS2_PLACEMENT_3D('',#591235,#466032,#466033); #375824=AXIS2_PLACEMENT_3D('',#591238,#466036,#466037); #375825=AXIS2_PLACEMENT_3D('',#591239,#466038,#466039); #375826=AXIS2_PLACEMENT_3D('',#591240,#466040,#466041); #375827=AXIS2_PLACEMENT_3D('',#591243,#466042,#466043); #375828=AXIS2_PLACEMENT_3D('',#591249,#466047,#466048); #375829=AXIS2_PLACEMENT_3D('',#591252,#466049,#466050); #375830=AXIS2_PLACEMENT_3D('',#591255,#466053,#466054); #375831=AXIS2_PLACEMENT_3D('',#591261,#466058,#466059); #375832=AXIS2_PLACEMENT_3D('',#591267,#466063,#466064); #375833=AXIS2_PLACEMENT_3D('',#591273,#466068,#466069); #375834=AXIS2_PLACEMENT_3D('',#591276,#466072,#466073); #375835=AXIS2_PLACEMENT_3D('',#591277,#466074,#466075); #375836=AXIS2_PLACEMENT_3D('',#591278,#466076,#466077); #375837=AXIS2_PLACEMENT_3D('',#591281,#466078,#466079); #375838=AXIS2_PLACEMENT_3D('',#591287,#466083,#466084); #375839=AXIS2_PLACEMENT_3D('',#591290,#466085,#466086); #375840=AXIS2_PLACEMENT_3D('',#591293,#466089,#466090); #375841=AXIS2_PLACEMENT_3D('',#591299,#466094,#466095); #375842=AXIS2_PLACEMENT_3D('',#591305,#466099,#466100); #375843=AXIS2_PLACEMENT_3D('',#591311,#466104,#466105); #375844=AXIS2_PLACEMENT_3D('',#591314,#466108,#466109); #375845=AXIS2_PLACEMENT_3D('',#591315,#466110,#466111); #375846=AXIS2_PLACEMENT_3D('',#591316,#466112,#466113); #375847=AXIS2_PLACEMENT_3D('',#591319,#466114,#466115); #375848=AXIS2_PLACEMENT_3D('',#591325,#466119,#466120); #375849=AXIS2_PLACEMENT_3D('',#591328,#466121,#466122); #375850=AXIS2_PLACEMENT_3D('',#591331,#466125,#466126); #375851=AXIS2_PLACEMENT_3D('',#591337,#466130,#466131); #375852=AXIS2_PLACEMENT_3D('',#591343,#466135,#466136); #375853=AXIS2_PLACEMENT_3D('',#591349,#466140,#466141); #375854=AXIS2_PLACEMENT_3D('',#591352,#466144,#466145); #375855=AXIS2_PLACEMENT_3D('',#591353,#466146,#466147); #375856=AXIS2_PLACEMENT_3D('',#591354,#466148,#466149); #375857=AXIS2_PLACEMENT_3D('',#591363,#466154,#466155); #375858=AXIS2_PLACEMENT_3D('',#591369,#466159,#466160); #375859=AXIS2_PLACEMENT_3D('',#591375,#466164,#466165); #375860=AXIS2_PLACEMENT_3D('',#591378,#466168,#466169); #375861=AXIS2_PLACEMENT_3D('',#591379,#466170,#466171); #375862=AXIS2_PLACEMENT_3D('',#591380,#466172,#466173); #375863=AXIS2_PLACEMENT_3D('',#591381,#466174,#466175); #375864=AXIS2_PLACEMENT_3D('',#591382,#466176,#466177); #375865=AXIS2_PLACEMENT_3D('',#591383,#466178,#466179); #375866=AXIS2_PLACEMENT_3D('',#591386,#466180,#466181); #375867=AXIS2_PLACEMENT_3D('',#591388,#466182,#466183); #375868=AXIS2_PLACEMENT_3D('',#591389,#466184,#466185); #375869=AXIS2_PLACEMENT_3D('',#591390,#466186,#466187); #375870=AXIS2_PLACEMENT_3D('',#591393,#466188,#466189); #375871=AXIS2_PLACEMENT_3D('',#591395,#466190,#466191); #375872=AXIS2_PLACEMENT_3D('',#591396,#466192,#466193); #375873=AXIS2_PLACEMENT_3D('',#591397,#466194,#466195); #375874=AXIS2_PLACEMENT_3D('',#591400,#466196,#466197); #375875=AXIS2_PLACEMENT_3D('',#591402,#466198,#466199); #375876=AXIS2_PLACEMENT_3D('',#591403,#466200,#466201); #375877=AXIS2_PLACEMENT_3D('',#591404,#466202,#466203); #375878=AXIS2_PLACEMENT_3D('',#591407,#466204,#466205); #375879=AXIS2_PLACEMENT_3D('',#591409,#466206,#466207); #375880=AXIS2_PLACEMENT_3D('',#591410,#466208,#466209); #375881=AXIS2_PLACEMENT_3D('',#591411,#466210,#466211); #375882=AXIS2_PLACEMENT_3D('',#591414,#466212,#466213); #375883=AXIS2_PLACEMENT_3D('',#591416,#466214,#466215); #375884=AXIS2_PLACEMENT_3D('',#591417,#466216,#466217); #375885=AXIS2_PLACEMENT_3D('',#591418,#466218,#466219); #375886=AXIS2_PLACEMENT_3D('',#591421,#466222,#466223); #375887=AXIS2_PLACEMENT_3D('',#591424,#466224,#466225); #375888=AXIS2_PLACEMENT_3D('',#591426,#466226,#466227); #375889=AXIS2_PLACEMENT_3D('',#591427,#466228,#466229); #375890=AXIS2_PLACEMENT_3D('',#591428,#466230,#466231); #375891=AXIS2_PLACEMENT_3D('',#591431,#466232,#466233); #375892=AXIS2_PLACEMENT_3D('',#591433,#466234,#466235); #375893=AXIS2_PLACEMENT_3D('',#591434,#466236,#466237); #375894=AXIS2_PLACEMENT_3D('',#591435,#466238,#466239); #375895=AXIS2_PLACEMENT_3D('',#591438,#466242,#466243); #375896=AXIS2_PLACEMENT_3D('',#591441,#466246,#466247); #375897=AXIS2_PLACEMENT_3D('',#591444,#466248,#466249); #375898=AXIS2_PLACEMENT_3D('',#591446,#466250,#466251); #375899=AXIS2_PLACEMENT_3D('',#591447,#466252,#466253); #375900=AXIS2_PLACEMENT_3D('',#591448,#466254,#466255); #375901=AXIS2_PLACEMENT_3D('',#591451,#466258,#466259); #375902=AXIS2_PLACEMENT_3D('',#591454,#466262,#466263); #375903=AXIS2_PLACEMENT_3D('',#591457,#466266,#466267); #375904=AXIS2_PLACEMENT_3D('',#591460,#466270,#466271); #375905=AXIS2_PLACEMENT_3D('',#591463,#466274,#466275); #375906=AXIS2_PLACEMENT_3D('',#591466,#466278,#466279); #375907=AXIS2_PLACEMENT_3D('',#591469,#466282,#466283); #375908=AXIS2_PLACEMENT_3D('',#591472,#466286,#466287); #375909=AXIS2_PLACEMENT_3D('',#591475,#466290,#466291); #375910=AXIS2_PLACEMENT_3D('',#591476,#466292,#466293); #375911=AXIS2_PLACEMENT_3D('',#591477,#466294,#466295); #375912=AXIS2_PLACEMENT_3D('',#591478,#466296,#466297); #375913=AXIS2_PLACEMENT_3D('',#591479,#466298,#466299); #375914=AXIS2_PLACEMENT_3D('',#591480,#466300,#466301); #375915=AXIS2_PLACEMENT_3D('',#591481,#466302,#466303); #375916=AXIS2_PLACEMENT_3D('',#591484,#466304,#466305); #375917=AXIS2_PLACEMENT_3D('',#591486,#466306,#466307); #375918=AXIS2_PLACEMENT_3D('',#591487,#466308,#466309); #375919=AXIS2_PLACEMENT_3D('',#591488,#466310,#466311); #375920=AXIS2_PLACEMENT_3D('',#591491,#466312,#466313); #375921=AXIS2_PLACEMENT_3D('',#591493,#466314,#466315); #375922=AXIS2_PLACEMENT_3D('',#591494,#466316,#466317); #375923=AXIS2_PLACEMENT_3D('',#591495,#466318,#466319); #375924=AXIS2_PLACEMENT_3D('',#591498,#466320,#466321); #375925=AXIS2_PLACEMENT_3D('',#591500,#466322,#466323); #375926=AXIS2_PLACEMENT_3D('',#591501,#466324,#466325); #375927=AXIS2_PLACEMENT_3D('',#591502,#466326,#466327); #375928=AXIS2_PLACEMENT_3D('',#591505,#466328,#466329); #375929=AXIS2_PLACEMENT_3D('',#591507,#466330,#466331); #375930=AXIS2_PLACEMENT_3D('',#591508,#466332,#466333); #375931=AXIS2_PLACEMENT_3D('',#591509,#466334,#466335); #375932=AXIS2_PLACEMENT_3D('',#591512,#466336,#466337); #375933=AXIS2_PLACEMENT_3D('',#591514,#466338,#466339); #375934=AXIS2_PLACEMENT_3D('',#591515,#466340,#466341); #375935=AXIS2_PLACEMENT_3D('',#591516,#466342,#466343); #375936=AXIS2_PLACEMENT_3D('',#591519,#466346,#466347); #375937=AXIS2_PLACEMENT_3D('',#591522,#466348,#466349); #375938=AXIS2_PLACEMENT_3D('',#591524,#466350,#466351); #375939=AXIS2_PLACEMENT_3D('',#591525,#466352,#466353); #375940=AXIS2_PLACEMENT_3D('',#591526,#466354,#466355); #375941=AXIS2_PLACEMENT_3D('',#591529,#466356,#466357); #375942=AXIS2_PLACEMENT_3D('',#591531,#466358,#466359); #375943=AXIS2_PLACEMENT_3D('',#591532,#466360,#466361); #375944=AXIS2_PLACEMENT_3D('',#591533,#466362,#466363); #375945=AXIS2_PLACEMENT_3D('',#591536,#466366,#466367); #375946=AXIS2_PLACEMENT_3D('',#591539,#466370,#466371); #375947=AXIS2_PLACEMENT_3D('',#591542,#466372,#466373); #375948=AXIS2_PLACEMENT_3D('',#591544,#466374,#466375); #375949=AXIS2_PLACEMENT_3D('',#591545,#466376,#466377); #375950=AXIS2_PLACEMENT_3D('',#591546,#466378,#466379); #375951=AXIS2_PLACEMENT_3D('',#591549,#466382,#466383); #375952=AXIS2_PLACEMENT_3D('',#591552,#466386,#466387); #375953=AXIS2_PLACEMENT_3D('',#591555,#466390,#466391); #375954=AXIS2_PLACEMENT_3D('',#591558,#466394,#466395); #375955=AXIS2_PLACEMENT_3D('',#591561,#466398,#466399); #375956=AXIS2_PLACEMENT_3D('',#591564,#466402,#466403); #375957=AXIS2_PLACEMENT_3D('',#591567,#466406,#466407); #375958=AXIS2_PLACEMENT_3D('',#591570,#466410,#466411); #375959=AXIS2_PLACEMENT_3D('',#591573,#466414,#466415); #375960=AXIS2_PLACEMENT_3D('',#591574,#466416,#466417); #375961=AXIS2_PLACEMENT_3D('',#591575,#466418,#466419); #375962=AXIS2_PLACEMENT_3D('',#591576,#466420,#466421); #375963=AXIS2_PLACEMENT_3D('',#591577,#466422,#466423); #375964=AXIS2_PLACEMENT_3D('',#591578,#466424,#466425); #375965=AXIS2_PLACEMENT_3D('',#591579,#466426,#466427); #375966=AXIS2_PLACEMENT_3D('',#591588,#466432,#466433); #375967=AXIS2_PLACEMENT_3D('',#591594,#466437,#466438); #375968=AXIS2_PLACEMENT_3D('',#591600,#466442,#466443); #375969=AXIS2_PLACEMENT_3D('',#591603,#466446,#466447); #375970=AXIS2_PLACEMENT_3D('',#591604,#466448,#466449); #375971=AXIS2_PLACEMENT_3D('',#591605,#466450,#466451); #375972=AXIS2_PLACEMENT_3D('',#591606,#466452,#466453); #375973=AXIS2_PLACEMENT_3D('',#591607,#466454,#466455); #375974=AXIS2_PLACEMENT_3D('',#591608,#466456,#466457); #375975=AXIS2_PLACEMENT_3D('',#591617,#466462,#466463); #375976=AXIS2_PLACEMENT_3D('',#591623,#466467,#466468); #375977=AXIS2_PLACEMENT_3D('',#591629,#466472,#466473); #375978=AXIS2_PLACEMENT_3D('',#591632,#466476,#466477); #375979=AXIS2_PLACEMENT_3D('',#591638,#466481,#466482); #375980=AXIS2_PLACEMENT_3D('',#591642,#466485,#466486); #375981=AXIS2_PLACEMENT_3D('',#591643,#466487,#466488); #375982=AXIS2_PLACEMENT_3D('',#591647,#466491,#466492); #375983=AXIS2_PLACEMENT_3D('',#591649,#466494,#466495); #375984=AXIS2_PLACEMENT_3D('',#591650,#466496,#466497); #375985=AXIS2_PLACEMENT_3D('',#591659,#466502,#466503); #375986=AXIS2_PLACEMENT_3D('',#591665,#466507,#466508); #375987=AXIS2_PLACEMENT_3D('',#591671,#466512,#466513); #375988=AXIS2_PLACEMENT_3D('',#591674,#466516,#466517); #375989=AXIS2_PLACEMENT_3D('',#591675,#466518,#466519); #375990=AXIS2_PLACEMENT_3D('',#591676,#466520,#466521); #375991=AXIS2_PLACEMENT_3D('',#591685,#466526,#466527); #375992=AXIS2_PLACEMENT_3D('',#591691,#466531,#466532); #375993=AXIS2_PLACEMENT_3D('',#591697,#466536,#466537); #375994=AXIS2_PLACEMENT_3D('',#591700,#466540,#466541); #375995=AXIS2_PLACEMENT_3D('',#591701,#466542,#466543); #375996=AXIS2_PLACEMENT_3D('',#591702,#466544,#466545); #375997=AXIS2_PLACEMENT_3D('',#591711,#466550,#466551); #375998=AXIS2_PLACEMENT_3D('',#591717,#466555,#466556); #375999=AXIS2_PLACEMENT_3D('',#591723,#466560,#466561); #376000=AXIS2_PLACEMENT_3D('',#591726,#466564,#466565); #376001=AXIS2_PLACEMENT_3D('',#591727,#466566,#466567); #376002=AXIS2_PLACEMENT_3D('',#591728,#466568,#466569); #376003=AXIS2_PLACEMENT_3D('',#591737,#466574,#466575); #376004=AXIS2_PLACEMENT_3D('',#591743,#466579,#466580); #376005=AXIS2_PLACEMENT_3D('',#591749,#466584,#466585); #376006=AXIS2_PLACEMENT_3D('',#591752,#466588,#466589); #376007=AXIS2_PLACEMENT_3D('',#591753,#466590,#466591); #376008=AXIS2_PLACEMENT_3D('',#591754,#466592,#466593); #376009=AXIS2_PLACEMENT_3D('',#591763,#466598,#466599); #376010=AXIS2_PLACEMENT_3D('',#591769,#466603,#466604); #376011=AXIS2_PLACEMENT_3D('',#591775,#466608,#466609); #376012=AXIS2_PLACEMENT_3D('',#591778,#466612,#466613); #376013=AXIS2_PLACEMENT_3D('',#591779,#466614,#466615); #376014=AXIS2_PLACEMENT_3D('',#591780,#466616,#466617); #376015=AXIS2_PLACEMENT_3D('',#591781,#466618,#466619); #376016=AXIS2_PLACEMENT_3D('',#591782,#466620,#466621); #376017=AXIS2_PLACEMENT_3D('',#591783,#466622,#466623); #376018=AXIS2_PLACEMENT_3D('',#591785,#466624,#466625); #376019=AXIS2_PLACEMENT_3D('',#591788,#466627,#466628); #376020=AXIS2_PLACEMENT_3D('',#591789,#466629,#466630); #376021=AXIS2_PLACEMENT_3D('',#591790,#466631,#466632); #376022=AXIS2_PLACEMENT_3D('',#591799,#466637,#466638); #376023=AXIS2_PLACEMENT_3D('',#591805,#466642,#466643); #376024=AXIS2_PLACEMENT_3D('',#591811,#466647,#466648); #376025=AXIS2_PLACEMENT_3D('',#591814,#466651,#466652); #376026=AXIS2_PLACEMENT_3D('',#591820,#466656,#466657); #376027=AXIS2_PLACEMENT_3D('',#591824,#466660,#466661); #376028=AXIS2_PLACEMENT_3D('',#591825,#466662,#466663); #376029=AXIS2_PLACEMENT_3D('',#591833,#466668,#466669); #376030=AXIS2_PLACEMENT_3D('',#591837,#466672,#466673); #376031=AXIS2_PLACEMENT_3D('',#591842,#466677,#466678); #376032=AXIS2_PLACEMENT_3D('',#591844,#466680,#466681); #376033=AXIS2_PLACEMENT_3D('',#591846,#466683,#466684); #376034=AXIS2_PLACEMENT_3D('',#591847,#466685,#466686); #376035=AXIS2_PLACEMENT_3D('',#591848,#466687,#466688); #376036=AXIS2_PLACEMENT_3D('',#591851,#466689,#466690); #376037=AXIS2_PLACEMENT_3D('',#591855,#466692,#466693); #376038=AXIS2_PLACEMENT_3D('',#591863,#466697,#466698); #376039=AXIS2_PLACEMENT_3D('',#591867,#466700,#466701); #376040=AXIS2_PLACEMENT_3D('',#591873,#466705,#466706); #376041=AXIS2_PLACEMENT_3D('',#591879,#466710,#466711); #376042=AXIS2_PLACEMENT_3D('',#591881,#466712,#466713); #376043=AXIS2_PLACEMENT_3D('',#591883,#466715,#466716); #376044=AXIS2_PLACEMENT_3D('',#591887,#466719,#466720); #376045=AXIS2_PLACEMENT_3D('',#591891,#466723,#466724); #376046=AXIS2_PLACEMENT_3D('',#591895,#466727,#466728); #376047=AXIS2_PLACEMENT_3D('',#591897,#466729,#466730); #376048=AXIS2_PLACEMENT_3D('',#591899,#466732,#466733); #376049=AXIS2_PLACEMENT_3D('',#591903,#466736,#466737); #376050=AXIS2_PLACEMENT_3D('',#591905,#466738,#466739); #376051=AXIS2_PLACEMENT_3D('',#591907,#466741,#466742); #376052=AXIS2_PLACEMENT_3D('',#591911,#466745,#466746); #376053=AXIS2_PLACEMENT_3D('',#591915,#466749,#466750); #376054=AXIS2_PLACEMENT_3D('',#591919,#466753,#466754); #376055=AXIS2_PLACEMENT_3D('',#591920,#466755,#466756); #376056=AXIS2_PLACEMENT_3D('',#591921,#466757,#466758); #376057=AXIS2_PLACEMENT_3D('',#591922,#466759,#466760); #376058=AXIS2_PLACEMENT_3D('',#591925,#466761,#466762); #376059=AXIS2_PLACEMENT_3D('',#591933,#466766,#466767); #376060=AXIS2_PLACEMENT_3D('',#591937,#466769,#466770); #376061=AXIS2_PLACEMENT_3D('',#591945,#466774,#466775); #376062=AXIS2_PLACEMENT_3D('',#591947,#466777,#466778); #376063=AXIS2_PLACEMENT_3D('',#591953,#466782,#466783); #376064=AXIS2_PLACEMENT_3D('',#591956,#466785,#466786); #376065=AXIS2_PLACEMENT_3D('',#591957,#466787,#466788); #376066=AXIS2_PLACEMENT_3D('',#591961,#466791,#466792); #376067=AXIS2_PLACEMENT_3D('',#591965,#466795,#466796); #376068=AXIS2_PLACEMENT_3D('',#591969,#466799,#466800); #376069=AXIS2_PLACEMENT_3D('',#591972,#466802,#466803); #376070=AXIS2_PLACEMENT_3D('',#591973,#466804,#466805); #376071=AXIS2_PLACEMENT_3D('',#591977,#466808,#466809); #376072=AXIS2_PLACEMENT_3D('',#591980,#466811,#466812); #376073=AXIS2_PLACEMENT_3D('',#591981,#466813,#466814); #376074=AXIS2_PLACEMENT_3D('',#591985,#466817,#466818); #376075=AXIS2_PLACEMENT_3D('',#591989,#466821,#466822); #376076=AXIS2_PLACEMENT_3D('',#591993,#466825,#466826); #376077=AXIS2_PLACEMENT_3D('',#591994,#466827,#466828); #376078=AXIS2_PLACEMENT_3D('',#591995,#466829,#466830); #376079=AXIS2_PLACEMENT_3D('',#591996,#466831,#466832); #376080=AXIS2_PLACEMENT_3D('',#591999,#466833,#466834); #376081=AXIS2_PLACEMENT_3D('',#592003,#466836,#466837); #376082=AXIS2_PLACEMENT_3D('',#592011,#466841,#466842); #376083=AXIS2_PLACEMENT_3D('',#592015,#466844,#466845); #376084=AXIS2_PLACEMENT_3D('',#592021,#466849,#466850); #376085=AXIS2_PLACEMENT_3D('',#592027,#466854,#466855); #376086=AXIS2_PLACEMENT_3D('',#592029,#466856,#466857); #376087=AXIS2_PLACEMENT_3D('',#592031,#466859,#466860); #376088=AXIS2_PLACEMENT_3D('',#592035,#466863,#466864); #376089=AXIS2_PLACEMENT_3D('',#592039,#466867,#466868); #376090=AXIS2_PLACEMENT_3D('',#592043,#466871,#466872); #376091=AXIS2_PLACEMENT_3D('',#592045,#466873,#466874); #376092=AXIS2_PLACEMENT_3D('',#592047,#466876,#466877); #376093=AXIS2_PLACEMENT_3D('',#592051,#466880,#466881); #376094=AXIS2_PLACEMENT_3D('',#592053,#466882,#466883); #376095=AXIS2_PLACEMENT_3D('',#592055,#466885,#466886); #376096=AXIS2_PLACEMENT_3D('',#592059,#466889,#466890); #376097=AXIS2_PLACEMENT_3D('',#592063,#466893,#466894); #376098=AXIS2_PLACEMENT_3D('',#592067,#466897,#466898); #376099=AXIS2_PLACEMENT_3D('',#592068,#466899,#466900); #376100=AXIS2_PLACEMENT_3D('',#592069,#466901,#466902); #376101=AXIS2_PLACEMENT_3D('',#592070,#466903,#466904); #376102=AXIS2_PLACEMENT_3D('',#592073,#466905,#466906); #376103=AXIS2_PLACEMENT_3D('',#592077,#466908,#466909); #376104=AXIS2_PLACEMENT_3D('',#592085,#466913,#466914); #376105=AXIS2_PLACEMENT_3D('',#592089,#466916,#466917); #376106=AXIS2_PLACEMENT_3D('',#592095,#466921,#466922); #376107=AXIS2_PLACEMENT_3D('',#592101,#466926,#466927); #376108=AXIS2_PLACEMENT_3D('',#592103,#466928,#466929); #376109=AXIS2_PLACEMENT_3D('',#592105,#466931,#466932); #376110=AXIS2_PLACEMENT_3D('',#592109,#466935,#466936); #376111=AXIS2_PLACEMENT_3D('',#592113,#466939,#466940); #376112=AXIS2_PLACEMENT_3D('',#592117,#466943,#466944); #376113=AXIS2_PLACEMENT_3D('',#592119,#466945,#466946); #376114=AXIS2_PLACEMENT_3D('',#592121,#466948,#466949); #376115=AXIS2_PLACEMENT_3D('',#592125,#466952,#466953); #376116=AXIS2_PLACEMENT_3D('',#592127,#466954,#466955); #376117=AXIS2_PLACEMENT_3D('',#592129,#466957,#466958); #376118=AXIS2_PLACEMENT_3D('',#592133,#466961,#466962); #376119=AXIS2_PLACEMENT_3D('',#592137,#466965,#466966); #376120=AXIS2_PLACEMENT_3D('',#592141,#466969,#466970); #376121=AXIS2_PLACEMENT_3D('',#592142,#466971,#466972); #376122=AXIS2_PLACEMENT_3D('',#592143,#466973,#466974); #376123=AXIS2_PLACEMENT_3D('',#592144,#466975,#466976); #376124=AXIS2_PLACEMENT_3D('',#592147,#466977,#466978); #376125=AXIS2_PLACEMENT_3D('',#592151,#466980,#466981); #376126=AXIS2_PLACEMENT_3D('',#592159,#466985,#466986); #376127=AXIS2_PLACEMENT_3D('',#592163,#466988,#466989); #376128=AXIS2_PLACEMENT_3D('',#592169,#466993,#466994); #376129=AXIS2_PLACEMENT_3D('',#592175,#466998,#466999); #376130=AXIS2_PLACEMENT_3D('',#592177,#467000,#467001); #376131=AXIS2_PLACEMENT_3D('',#592179,#467003,#467004); #376132=AXIS2_PLACEMENT_3D('',#592183,#467007,#467008); #376133=AXIS2_PLACEMENT_3D('',#592187,#467011,#467012); #376134=AXIS2_PLACEMENT_3D('',#592191,#467015,#467016); #376135=AXIS2_PLACEMENT_3D('',#592193,#467017,#467018); #376136=AXIS2_PLACEMENT_3D('',#592195,#467020,#467021); #376137=AXIS2_PLACEMENT_3D('',#592199,#467024,#467025); #376138=AXIS2_PLACEMENT_3D('',#592201,#467026,#467027); #376139=AXIS2_PLACEMENT_3D('',#592203,#467029,#467030); #376140=AXIS2_PLACEMENT_3D('',#592207,#467033,#467034); #376141=AXIS2_PLACEMENT_3D('',#592211,#467037,#467038); #376142=AXIS2_PLACEMENT_3D('',#592215,#467041,#467042); #376143=AXIS2_PLACEMENT_3D('',#592216,#467043,#467044); #376144=AXIS2_PLACEMENT_3D('',#592217,#467045,#467046); #376145=AXIS2_PLACEMENT_3D('',#592218,#467047,#467048); #376146=AXIS2_PLACEMENT_3D('',#592221,#467049,#467050); #376147=AXIS2_PLACEMENT_3D('',#592229,#467054,#467055); #376148=AXIS2_PLACEMENT_3D('',#592233,#467057,#467058); #376149=AXIS2_PLACEMENT_3D('',#592241,#467062,#467063); #376150=AXIS2_PLACEMENT_3D('',#592243,#467065,#467066); #376151=AXIS2_PLACEMENT_3D('',#592249,#467070,#467071); #376152=AXIS2_PLACEMENT_3D('',#592252,#467073,#467074); #376153=AXIS2_PLACEMENT_3D('',#592253,#467075,#467076); #376154=AXIS2_PLACEMENT_3D('',#592257,#467079,#467080); #376155=AXIS2_PLACEMENT_3D('',#592261,#467083,#467084); #376156=AXIS2_PLACEMENT_3D('',#592265,#467087,#467088); #376157=AXIS2_PLACEMENT_3D('',#592268,#467090,#467091); #376158=AXIS2_PLACEMENT_3D('',#592269,#467092,#467093); #376159=AXIS2_PLACEMENT_3D('',#592273,#467096,#467097); #376160=AXIS2_PLACEMENT_3D('',#592276,#467099,#467100); #376161=AXIS2_PLACEMENT_3D('',#592277,#467101,#467102); #376162=AXIS2_PLACEMENT_3D('',#592281,#467105,#467106); #376163=AXIS2_PLACEMENT_3D('',#592285,#467109,#467110); #376164=AXIS2_PLACEMENT_3D('',#592289,#467113,#467114); #376165=AXIS2_PLACEMENT_3D('',#592290,#467115,#467116); #376166=AXIS2_PLACEMENT_3D('',#592291,#467117,#467118); #376167=AXIS2_PLACEMENT_3D('',#592292,#467119,#467120); #376168=AXIS2_PLACEMENT_3D('',#592295,#467121,#467122); #376169=AXIS2_PLACEMENT_3D('',#592303,#467126,#467127); #376170=AXIS2_PLACEMENT_3D('',#592307,#467129,#467130); #376171=AXIS2_PLACEMENT_3D('',#592315,#467134,#467135); #376172=AXIS2_PLACEMENT_3D('',#592317,#467137,#467138); #376173=AXIS2_PLACEMENT_3D('',#592323,#467142,#467143); #376174=AXIS2_PLACEMENT_3D('',#592326,#467145,#467146); #376175=AXIS2_PLACEMENT_3D('',#592327,#467147,#467148); #376176=AXIS2_PLACEMENT_3D('',#592331,#467151,#467152); #376177=AXIS2_PLACEMENT_3D('',#592335,#467155,#467156); #376178=AXIS2_PLACEMENT_3D('',#592339,#467159,#467160); #376179=AXIS2_PLACEMENT_3D('',#592342,#467162,#467163); #376180=AXIS2_PLACEMENT_3D('',#592343,#467164,#467165); #376181=AXIS2_PLACEMENT_3D('',#592347,#467168,#467169); #376182=AXIS2_PLACEMENT_3D('',#592350,#467171,#467172); #376183=AXIS2_PLACEMENT_3D('',#592351,#467173,#467174); #376184=AXIS2_PLACEMENT_3D('',#592355,#467177,#467178); #376185=AXIS2_PLACEMENT_3D('',#592359,#467181,#467182); #376186=AXIS2_PLACEMENT_3D('',#592363,#467185,#467186); #376187=AXIS2_PLACEMENT_3D('',#592364,#467187,#467188); #376188=AXIS2_PLACEMENT_3D('',#592365,#467189,#467190); #376189=AXIS2_PLACEMENT_3D('',#592366,#467191,#467192); #376190=AXIS2_PLACEMENT_3D('',#592369,#467193,#467194); #376191=AXIS2_PLACEMENT_3D('',#592377,#467198,#467199); #376192=AXIS2_PLACEMENT_3D('',#592381,#467201,#467202); #376193=AXIS2_PLACEMENT_3D('',#592389,#467206,#467207); #376194=AXIS2_PLACEMENT_3D('',#592391,#467209,#467210); #376195=AXIS2_PLACEMENT_3D('',#592397,#467214,#467215); #376196=AXIS2_PLACEMENT_3D('',#592400,#467217,#467218); #376197=AXIS2_PLACEMENT_3D('',#592401,#467219,#467220); #376198=AXIS2_PLACEMENT_3D('',#592405,#467223,#467224); #376199=AXIS2_PLACEMENT_3D('',#592409,#467227,#467228); #376200=AXIS2_PLACEMENT_3D('',#592413,#467231,#467232); #376201=AXIS2_PLACEMENT_3D('',#592416,#467234,#467235); #376202=AXIS2_PLACEMENT_3D('',#592417,#467236,#467237); #376203=AXIS2_PLACEMENT_3D('',#592421,#467240,#467241); #376204=AXIS2_PLACEMENT_3D('',#592424,#467243,#467244); #376205=AXIS2_PLACEMENT_3D('',#592425,#467245,#467246); #376206=AXIS2_PLACEMENT_3D('',#592429,#467249,#467250); #376207=AXIS2_PLACEMENT_3D('',#592433,#467253,#467254); #376208=AXIS2_PLACEMENT_3D('',#592437,#467257,#467258); #376209=AXIS2_PLACEMENT_3D('',#592438,#467259,#467260); #376210=AXIS2_PLACEMENT_3D('',#592439,#467261,#467262); #376211=AXIS2_PLACEMENT_3D('',#592440,#467263,#467264); #376212=AXIS2_PLACEMENT_3D('',#592441,#467265,#467266); #376213=AXIS2_PLACEMENT_3D('',#592442,#467267,#467268); #376214=AXIS2_PLACEMENT_3D('',#592443,#467269,#467270); #376215=AXIS2_PLACEMENT_3D('',#592445,#467271,#467272); #376216=AXIS2_PLACEMENT_3D('',#592448,#467274,#467275); #376217=AXIS2_PLACEMENT_3D('',#592449,#467276,#467277); #376218=AXIS2_PLACEMENT_3D('',#592450,#467278,#467279); #376219=AXIS2_PLACEMENT_3D('',#592459,#467284,#467285); #376220=AXIS2_PLACEMENT_3D('',#592465,#467289,#467290); #376221=AXIS2_PLACEMENT_3D('',#592471,#467294,#467295); #376222=AXIS2_PLACEMENT_3D('',#592474,#467298,#467299); #376223=AXIS2_PLACEMENT_3D('',#592480,#467303,#467304); #376224=AXIS2_PLACEMENT_3D('',#592484,#467307,#467308); #376225=AXIS2_PLACEMENT_3D('',#592485,#467309,#467310); #376226=AXIS2_PLACEMENT_3D('',#592493,#467315,#467316); #376227=AXIS2_PLACEMENT_3D('',#592497,#467319,#467320); #376228=AXIS2_PLACEMENT_3D('',#592502,#467324,#467325); #376229=AXIS2_PLACEMENT_3D('',#592504,#467327,#467328); #376230=AXIS2_PLACEMENT_3D('',#592506,#467330,#467331); #376231=AXIS2_PLACEMENT_3D('',#592507,#467332,#467333); #376232=AXIS2_PLACEMENT_3D('',#592508,#467334,#467335); #376233=AXIS2_PLACEMENT_3D('',#592511,#467336,#467337); #376234=AXIS2_PLACEMENT_3D('',#592519,#467341,#467342); #376235=AXIS2_PLACEMENT_3D('',#592523,#467344,#467345); #376236=AXIS2_PLACEMENT_3D('',#592531,#467349,#467350); #376237=AXIS2_PLACEMENT_3D('',#592533,#467352,#467353); #376238=AXIS2_PLACEMENT_3D('',#592539,#467357,#467358); #376239=AXIS2_PLACEMENT_3D('',#592543,#467361,#467362); #376240=AXIS2_PLACEMENT_3D('',#592547,#467365,#467366); #376241=AXIS2_PLACEMENT_3D('',#592549,#467367,#467368); #376242=AXIS2_PLACEMENT_3D('',#592551,#467370,#467371); #376243=AXIS2_PLACEMENT_3D('',#592555,#467374,#467375); #376244=AXIS2_PLACEMENT_3D('',#592557,#467376,#467377); #376245=AXIS2_PLACEMENT_3D('',#592559,#467379,#467380); #376246=AXIS2_PLACEMENT_3D('',#592563,#467383,#467384); #376247=AXIS2_PLACEMENT_3D('',#592567,#467387,#467388); #376248=AXIS2_PLACEMENT_3D('',#592571,#467391,#467392); #376249=AXIS2_PLACEMENT_3D('',#592573,#467393,#467394); #376250=AXIS2_PLACEMENT_3D('',#592575,#467396,#467397); #376251=AXIS2_PLACEMENT_3D('',#592579,#467400,#467401); #376252=AXIS2_PLACEMENT_3D('',#592580,#467402,#467403); #376253=AXIS2_PLACEMENT_3D('',#592581,#467404,#467405); #376254=AXIS2_PLACEMENT_3D('',#592582,#467406,#467407); #376255=AXIS2_PLACEMENT_3D('',#592585,#467408,#467409); #376256=AXIS2_PLACEMENT_3D('',#592593,#467413,#467414); #376257=AXIS2_PLACEMENT_3D('',#592597,#467416,#467417); #376258=AXIS2_PLACEMENT_3D('',#592605,#467421,#467422); #376259=AXIS2_PLACEMENT_3D('',#592607,#467424,#467425); #376260=AXIS2_PLACEMENT_3D('',#592613,#467429,#467430); #376261=AXIS2_PLACEMENT_3D('',#592617,#467433,#467434); #376262=AXIS2_PLACEMENT_3D('',#592621,#467437,#467438); #376263=AXIS2_PLACEMENT_3D('',#592623,#467439,#467440); #376264=AXIS2_PLACEMENT_3D('',#592625,#467442,#467443); #376265=AXIS2_PLACEMENT_3D('',#592629,#467446,#467447); #376266=AXIS2_PLACEMENT_3D('',#592631,#467448,#467449); #376267=AXIS2_PLACEMENT_3D('',#592633,#467451,#467452); #376268=AXIS2_PLACEMENT_3D('',#592637,#467455,#467456); #376269=AXIS2_PLACEMENT_3D('',#592641,#467459,#467460); #376270=AXIS2_PLACEMENT_3D('',#592645,#467463,#467464); #376271=AXIS2_PLACEMENT_3D('',#592647,#467465,#467466); #376272=AXIS2_PLACEMENT_3D('',#592649,#467468,#467469); #376273=AXIS2_PLACEMENT_3D('',#592653,#467472,#467473); #376274=AXIS2_PLACEMENT_3D('',#592654,#467474,#467475); #376275=AXIS2_PLACEMENT_3D('',#592655,#467476,#467477); #376276=AXIS2_PLACEMENT_3D('',#592656,#467478,#467479); #376277=AXIS2_PLACEMENT_3D('',#592659,#467480,#467481); #376278=AXIS2_PLACEMENT_3D('',#592667,#467485,#467486); #376279=AXIS2_PLACEMENT_3D('',#592671,#467488,#467489); #376280=AXIS2_PLACEMENT_3D('',#592679,#467493,#467494); #376281=AXIS2_PLACEMENT_3D('',#592681,#467496,#467497); #376282=AXIS2_PLACEMENT_3D('',#592687,#467501,#467502); #376283=AXIS2_PLACEMENT_3D('',#592691,#467505,#467506); #376284=AXIS2_PLACEMENT_3D('',#592695,#467509,#467510); #376285=AXIS2_PLACEMENT_3D('',#592697,#467511,#467512); #376286=AXIS2_PLACEMENT_3D('',#592699,#467514,#467515); #376287=AXIS2_PLACEMENT_3D('',#592703,#467518,#467519); #376288=AXIS2_PLACEMENT_3D('',#592705,#467520,#467521); #376289=AXIS2_PLACEMENT_3D('',#592707,#467523,#467524); #376290=AXIS2_PLACEMENT_3D('',#592711,#467527,#467528); #376291=AXIS2_PLACEMENT_3D('',#592715,#467531,#467532); #376292=AXIS2_PLACEMENT_3D('',#592719,#467535,#467536); #376293=AXIS2_PLACEMENT_3D('',#592721,#467537,#467538); #376294=AXIS2_PLACEMENT_3D('',#592723,#467540,#467541); #376295=AXIS2_PLACEMENT_3D('',#592727,#467544,#467545); #376296=AXIS2_PLACEMENT_3D('',#592728,#467546,#467547); #376297=AXIS2_PLACEMENT_3D('',#592729,#467548,#467549); #376298=AXIS2_PLACEMENT_3D('',#592730,#467550,#467551); #376299=AXIS2_PLACEMENT_3D('',#592733,#467552,#467553); #376300=AXIS2_PLACEMENT_3D('',#592741,#467557,#467558); #376301=AXIS2_PLACEMENT_3D('',#592745,#467560,#467561); #376302=AXIS2_PLACEMENT_3D('',#592753,#467565,#467566); #376303=AXIS2_PLACEMENT_3D('',#592755,#467568,#467569); #376304=AXIS2_PLACEMENT_3D('',#592761,#467573,#467574); #376305=AXIS2_PLACEMENT_3D('',#592765,#467577,#467578); #376306=AXIS2_PLACEMENT_3D('',#592769,#467581,#467582); #376307=AXIS2_PLACEMENT_3D('',#592771,#467583,#467584); #376308=AXIS2_PLACEMENT_3D('',#592773,#467586,#467587); #376309=AXIS2_PLACEMENT_3D('',#592777,#467590,#467591); #376310=AXIS2_PLACEMENT_3D('',#592779,#467592,#467593); #376311=AXIS2_PLACEMENT_3D('',#592781,#467595,#467596); #376312=AXIS2_PLACEMENT_3D('',#592785,#467599,#467600); #376313=AXIS2_PLACEMENT_3D('',#592789,#467603,#467604); #376314=AXIS2_PLACEMENT_3D('',#592793,#467607,#467608); #376315=AXIS2_PLACEMENT_3D('',#592795,#467609,#467610); #376316=AXIS2_PLACEMENT_3D('',#592797,#467612,#467613); #376317=AXIS2_PLACEMENT_3D('',#592801,#467616,#467617); #376318=AXIS2_PLACEMENT_3D('',#592802,#467618,#467619); #376319=AXIS2_PLACEMENT_3D('',#592803,#467620,#467621); #376320=AXIS2_PLACEMENT_3D('',#592804,#467622,#467623); #376321=AXIS2_PLACEMENT_3D('',#592807,#467624,#467625); #376322=AXIS2_PLACEMENT_3D('',#592815,#467629,#467630); #376323=AXIS2_PLACEMENT_3D('',#592819,#467632,#467633); #376324=AXIS2_PLACEMENT_3D('',#592827,#467637,#467638); #376325=AXIS2_PLACEMENT_3D('',#592829,#467640,#467641); #376326=AXIS2_PLACEMENT_3D('',#592835,#467645,#467646); #376327=AXIS2_PLACEMENT_3D('',#592839,#467649,#467650); #376328=AXIS2_PLACEMENT_3D('',#592843,#467653,#467654); #376329=AXIS2_PLACEMENT_3D('',#592845,#467655,#467656); #376330=AXIS2_PLACEMENT_3D('',#592847,#467658,#467659); #376331=AXIS2_PLACEMENT_3D('',#592851,#467662,#467663); #376332=AXIS2_PLACEMENT_3D('',#592853,#467664,#467665); #376333=AXIS2_PLACEMENT_3D('',#592855,#467667,#467668); #376334=AXIS2_PLACEMENT_3D('',#592859,#467671,#467672); #376335=AXIS2_PLACEMENT_3D('',#592863,#467675,#467676); #376336=AXIS2_PLACEMENT_3D('',#592867,#467679,#467680); #376337=AXIS2_PLACEMENT_3D('',#592869,#467681,#467682); #376338=AXIS2_PLACEMENT_3D('',#592871,#467684,#467685); #376339=AXIS2_PLACEMENT_3D('',#592875,#467688,#467689); #376340=AXIS2_PLACEMENT_3D('',#592876,#467690,#467691); #376341=AXIS2_PLACEMENT_3D('',#592877,#467692,#467693); #376342=AXIS2_PLACEMENT_3D('',#592878,#467694,#467695); #376343=AXIS2_PLACEMENT_3D('',#592879,#467696,#467697); #376344=AXIS2_PLACEMENT_3D('',#592880,#467698,#467699); #376345=AXIS2_PLACEMENT_3D('',#592881,#467700,#467701); #376346=AXIS2_PLACEMENT_3D('',#592884,#467702,#467703); #376347=AXIS2_PLACEMENT_3D('',#592886,#467704,#467705); #376348=AXIS2_PLACEMENT_3D('',#592887,#467706,#467707); #376349=AXIS2_PLACEMENT_3D('',#592888,#467708,#467709); #376350=AXIS2_PLACEMENT_3D('',#592891,#467710,#467711); #376351=AXIS2_PLACEMENT_3D('',#592893,#467712,#467713); #376352=AXIS2_PLACEMENT_3D('',#592894,#467714,#467715); #376353=AXIS2_PLACEMENT_3D('',#592895,#467716,#467717); #376354=AXIS2_PLACEMENT_3D('',#592898,#467718,#467719); #376355=AXIS2_PLACEMENT_3D('',#592900,#467720,#467721); #376356=AXIS2_PLACEMENT_3D('',#592901,#467722,#467723); #376357=AXIS2_PLACEMENT_3D('',#592902,#467724,#467725); #376358=AXIS2_PLACEMENT_3D('',#592905,#467726,#467727); #376359=AXIS2_PLACEMENT_3D('',#592907,#467728,#467729); #376360=AXIS2_PLACEMENT_3D('',#592908,#467730,#467731); #376361=AXIS2_PLACEMENT_3D('',#592909,#467732,#467733); #376362=AXIS2_PLACEMENT_3D('',#592912,#467734,#467735); #376363=AXIS2_PLACEMENT_3D('',#592914,#467736,#467737); #376364=AXIS2_PLACEMENT_3D('',#592915,#467738,#467739); #376365=AXIS2_PLACEMENT_3D('',#592916,#467740,#467741); #376366=AXIS2_PLACEMENT_3D('',#592919,#467744,#467745); #376367=AXIS2_PLACEMENT_3D('',#592922,#467746,#467747); #376368=AXIS2_PLACEMENT_3D('',#592924,#467748,#467749); #376369=AXIS2_PLACEMENT_3D('',#592925,#467750,#467751); #376370=AXIS2_PLACEMENT_3D('',#592926,#467752,#467753); #376371=AXIS2_PLACEMENT_3D('',#592929,#467754,#467755); #376372=AXIS2_PLACEMENT_3D('',#592931,#467756,#467757); #376373=AXIS2_PLACEMENT_3D('',#592932,#467758,#467759); #376374=AXIS2_PLACEMENT_3D('',#592933,#467760,#467761); #376375=AXIS2_PLACEMENT_3D('',#592936,#467764,#467765); #376376=AXIS2_PLACEMENT_3D('',#592939,#467768,#467769); #376377=AXIS2_PLACEMENT_3D('',#592942,#467770,#467771); #376378=AXIS2_PLACEMENT_3D('',#592944,#467772,#467773); #376379=AXIS2_PLACEMENT_3D('',#592945,#467774,#467775); #376380=AXIS2_PLACEMENT_3D('',#592946,#467776,#467777); #376381=AXIS2_PLACEMENT_3D('',#592949,#467780,#467781); #376382=AXIS2_PLACEMENT_3D('',#592952,#467784,#467785); #376383=AXIS2_PLACEMENT_3D('',#592955,#467788,#467789); #376384=AXIS2_PLACEMENT_3D('',#592958,#467792,#467793); #376385=AXIS2_PLACEMENT_3D('',#592961,#467796,#467797); #376386=AXIS2_PLACEMENT_3D('',#592964,#467800,#467801); #376387=AXIS2_PLACEMENT_3D('',#592967,#467804,#467805); #376388=AXIS2_PLACEMENT_3D('',#592970,#467808,#467809); #376389=AXIS2_PLACEMENT_3D('',#592973,#467812,#467813); #376390=AXIS2_PLACEMENT_3D('',#592974,#467814,#467815); #376391=AXIS2_PLACEMENT_3D('',#592975,#467816,#467817); #376392=AXIS2_PLACEMENT_3D('',#592976,#467818,#467819); #376393=AXIS2_PLACEMENT_3D('',#592977,#467820,#467821); #376394=AXIS2_PLACEMENT_3D('',#592978,#467822,#467823); #376395=AXIS2_PLACEMENT_3D('',#592979,#467824,#467825); #376396=AXIS2_PLACEMENT_3D('',#592982,#467826,#467827); #376397=AXIS2_PLACEMENT_3D('',#592984,#467828,#467829); #376398=AXIS2_PLACEMENT_3D('',#592985,#467830,#467831); #376399=AXIS2_PLACEMENT_3D('',#592986,#467832,#467833); #376400=AXIS2_PLACEMENT_3D('',#592989,#467834,#467835); #376401=AXIS2_PLACEMENT_3D('',#592991,#467836,#467837); #376402=AXIS2_PLACEMENT_3D('',#592992,#467838,#467839); #376403=AXIS2_PLACEMENT_3D('',#592993,#467840,#467841); #376404=AXIS2_PLACEMENT_3D('',#592996,#467842,#467843); #376405=AXIS2_PLACEMENT_3D('',#592998,#467844,#467845); #376406=AXIS2_PLACEMENT_3D('',#592999,#467846,#467847); #376407=AXIS2_PLACEMENT_3D('',#593000,#467848,#467849); #376408=AXIS2_PLACEMENT_3D('',#593003,#467850,#467851); #376409=AXIS2_PLACEMENT_3D('',#593005,#467852,#467853); #376410=AXIS2_PLACEMENT_3D('',#593006,#467854,#467855); #376411=AXIS2_PLACEMENT_3D('',#593007,#467856,#467857); #376412=AXIS2_PLACEMENT_3D('',#593010,#467858,#467859); #376413=AXIS2_PLACEMENT_3D('',#593012,#467860,#467861); #376414=AXIS2_PLACEMENT_3D('',#593013,#467862,#467863); #376415=AXIS2_PLACEMENT_3D('',#593014,#467864,#467865); #376416=AXIS2_PLACEMENT_3D('',#593017,#467868,#467869); #376417=AXIS2_PLACEMENT_3D('',#593020,#467870,#467871); #376418=AXIS2_PLACEMENT_3D('',#593022,#467872,#467873); #376419=AXIS2_PLACEMENT_3D('',#593023,#467874,#467875); #376420=AXIS2_PLACEMENT_3D('',#593024,#467876,#467877); #376421=AXIS2_PLACEMENT_3D('',#593027,#467878,#467879); #376422=AXIS2_PLACEMENT_3D('',#593029,#467880,#467881); #376423=AXIS2_PLACEMENT_3D('',#593030,#467882,#467883); #376424=AXIS2_PLACEMENT_3D('',#593031,#467884,#467885); #376425=AXIS2_PLACEMENT_3D('',#593034,#467888,#467889); #376426=AXIS2_PLACEMENT_3D('',#593037,#467892,#467893); #376427=AXIS2_PLACEMENT_3D('',#593040,#467894,#467895); #376428=AXIS2_PLACEMENT_3D('',#593042,#467896,#467897); #376429=AXIS2_PLACEMENT_3D('',#593043,#467898,#467899); #376430=AXIS2_PLACEMENT_3D('',#593044,#467900,#467901); #376431=AXIS2_PLACEMENT_3D('',#593047,#467904,#467905); #376432=AXIS2_PLACEMENT_3D('',#593050,#467908,#467909); #376433=AXIS2_PLACEMENT_3D('',#593053,#467912,#467913); #376434=AXIS2_PLACEMENT_3D('',#593056,#467916,#467917); #376435=AXIS2_PLACEMENT_3D('',#593059,#467920,#467921); #376436=AXIS2_PLACEMENT_3D('',#593062,#467924,#467925); #376437=AXIS2_PLACEMENT_3D('',#593065,#467928,#467929); #376438=AXIS2_PLACEMENT_3D('',#593068,#467932,#467933); #376439=AXIS2_PLACEMENT_3D('',#593071,#467936,#467937); #376440=AXIS2_PLACEMENT_3D('',#593072,#467938,#467939); #376441=AXIS2_PLACEMENT_3D('',#593073,#467940,#467941); #376442=AXIS2_PLACEMENT_3D('',#593074,#467942,#467943); #376443=AXIS2_PLACEMENT_3D('',#593075,#467944,#467945); #376444=AXIS2_PLACEMENT_3D('',#593076,#467946,#467947); #376445=AXIS2_PLACEMENT_3D('',#593077,#467948,#467949); #376446=AXIS2_PLACEMENT_3D('',#593086,#467954,#467955); #376447=AXIS2_PLACEMENT_3D('',#593092,#467959,#467960); #376448=AXIS2_PLACEMENT_3D('',#593098,#467964,#467965); #376449=AXIS2_PLACEMENT_3D('',#593101,#467968,#467969); #376450=AXIS2_PLACEMENT_3D('',#593102,#467970,#467971); #376451=AXIS2_PLACEMENT_3D('',#593103,#467972,#467973); #376452=AXIS2_PLACEMENT_3D('',#593104,#467974,#467975); #376453=AXIS2_PLACEMENT_3D('',#593105,#467976,#467977); #376454=AXIS2_PLACEMENT_3D('',#593106,#467978,#467979); #376455=AXIS2_PLACEMENT_3D('',#593107,#467980,#467981); #376456=AXIS2_PLACEMENT_3D('',#593108,#467982,#467983); #376457=AXIS2_PLACEMENT_3D('',#593109,#467984,#467985); #376458=AXIS2_PLACEMENT_3D('',#593110,#467986,#467987); #376459=AXIS2_PLACEMENT_3D('',#593111,#467988,#467989); #376460=AXIS2_PLACEMENT_3D('',#593112,#467990,#467991); #376461=AXIS2_PLACEMENT_3D('',#593113,#467992,#467993); #376462=AXIS2_PLACEMENT_3D('',#593114,#467994,#467995); #376463=AXIS2_PLACEMENT_3D('',#593115,#467996,#467997); #376464=AXIS2_PLACEMENT_3D('',#593116,#467998,#467999); #376465=AXIS2_PLACEMENT_3D('',#593117,#468000,#468001); #376466=AXIS2_PLACEMENT_3D('',#593118,#468002,#468003); #376467=AXIS2_PLACEMENT_3D('',#593119,#468004,#468005); #376468=AXIS2_PLACEMENT_3D('',#593120,#468006,#468007); #376469=AXIS2_PLACEMENT_3D('',#593121,#468008,#468009); #376470=AXIS2_PLACEMENT_3D('',#593122,#468010,#468011); #376471=AXIS2_PLACEMENT_3D('',#593123,#468012,#468013); #376472=AXIS2_PLACEMENT_3D('',#593124,#468014,#468015); #376473=AXIS2_PLACEMENT_3D('',#593125,#468016,#468017); #376474=AXIS2_PLACEMENT_3D('',#593126,#468018,#468019); #376475=AXIS2_PLACEMENT_3D('',#593127,#468020,#468021); #376476=AXIS2_PLACEMENT_3D('',#593128,#468022,#468023); #376477=AXIS2_PLACEMENT_3D('',#593129,#468024,#468025); #376478=AXIS2_PLACEMENT_3D('',#593130,#468026,#468027); #376479=AXIS2_PLACEMENT_3D('',#593131,#468028,#468029); #376480=AXIS2_PLACEMENT_3D('',#593132,#468030,#468031); #376481=AXIS2_PLACEMENT_3D('',#593133,#468032,#468033); #376482=AXIS2_PLACEMENT_3D('',#593134,#468034,#468035); #376483=AXIS2_PLACEMENT_3D('',#593135,#468036,#468037); #376484=AXIS2_PLACEMENT_3D('',#593136,#468038,#468039); #376485=AXIS2_PLACEMENT_3D('',#593137,#468040,#468041); #376486=AXIS2_PLACEMENT_3D('',#593138,#468042,#468043); #376487=AXIS2_PLACEMENT_3D('',#593139,#468044,#468045); #376488=AXIS2_PLACEMENT_3D('',#593140,#468046,#468047); #376489=AXIS2_PLACEMENT_3D('',#593141,#468048,#468049); #376490=AXIS2_PLACEMENT_3D('',#593142,#468050,#468051); #376491=AXIS2_PLACEMENT_3D('',#593143,#468052,#468053); #376492=AXIS2_PLACEMENT_3D('',#593144,#468054,#468055); #376493=AXIS2_PLACEMENT_3D('',#593145,#468056,#468057); #376494=AXIS2_PLACEMENT_3D('',#593146,#468058,#468059); #376495=AXIS2_PLACEMENT_3D('',#593147,#468060,#468061); #376496=AXIS2_PLACEMENT_3D('',#593148,#468062,#468063); #376497=AXIS2_PLACEMENT_3D('',#593149,#468064,#468065); #376498=AXIS2_PLACEMENT_3D('',#593150,#468066,#468067); #376499=AXIS2_PLACEMENT_3D('',#593151,#468068,#468069); #376500=AXIS2_PLACEMENT_3D('',#593152,#468070,#468071); #376501=AXIS2_PLACEMENT_3D('',#593153,#468072,#468073); #376502=AXIS2_PLACEMENT_3D('',#593154,#468074,#468075); #376503=AXIS2_PLACEMENT_3D('',#593155,#468076,#468077); #376504=AXIS2_PLACEMENT_3D('',#593156,#468078,#468079); #376505=AXIS2_PLACEMENT_3D('',#593157,#468080,#468081); #376506=AXIS2_PLACEMENT_3D('',#593158,#468082,#468083); #376507=AXIS2_PLACEMENT_3D('',#593159,#468084,#468085); #376508=AXIS2_PLACEMENT_3D('',#593160,#468086,#468087); #376509=AXIS2_PLACEMENT_3D('',#593161,#468088,#468089); #376510=AXIS2_PLACEMENT_3D('',#593162,#468090,#468091); #376511=AXIS2_PLACEMENT_3D('',#593163,#468092,#468093); #376512=AXIS2_PLACEMENT_3D('',#593164,#468094,#468095); #376513=AXIS2_PLACEMENT_3D('',#593165,#468096,#468097); #376514=AXIS2_PLACEMENT_3D('',#593166,#468098,#468099); #376515=AXIS2_PLACEMENT_3D('',#593167,#468100,#468101); #376516=AXIS2_PLACEMENT_3D('',#593168,#468102,#468103); #376517=AXIS2_PLACEMENT_3D('',#593169,#468104,#468105); #376518=AXIS2_PLACEMENT_3D('',#593170,#468106,#468107); #376519=AXIS2_PLACEMENT_3D('',#593171,#468108,#468109); #376520=AXIS2_PLACEMENT_3D('',#593172,#468110,#468111); #376521=AXIS2_PLACEMENT_3D('',#593173,#468112,#468113); #376522=AXIS2_PLACEMENT_3D('',#593174,#468114,#468115); #376523=AXIS2_PLACEMENT_3D('',#593175,#468116,#468117); #376524=AXIS2_PLACEMENT_3D('',#593176,#468118,#468119); #376525=AXIS2_PLACEMENT_3D('',#593177,#468120,#468121); #376526=AXIS2_PLACEMENT_3D('',#593178,#468122,#468123); #376527=AXIS2_PLACEMENT_3D('',#593179,#468124,#468125); #376528=AXIS2_PLACEMENT_3D('',#593180,#468126,#468127); #376529=AXIS2_PLACEMENT_3D('',#593182,#468128,#468129); #376530=AXIS2_PLACEMENT_3D('',#593185,#468131,#468132); #376531=AXIS2_PLACEMENT_3D('',#593186,#468133,#468134); #376532=AXIS2_PLACEMENT_3D('',#593188,#468135,#468136); #376533=AXIS2_PLACEMENT_3D('',#593191,#468138,#468139); #376534=AXIS2_PLACEMENT_3D('',#593192,#468140,#468141); #376535=AXIS2_PLACEMENT_3D('',#593201,#468146,#468147); #376536=AXIS2_PLACEMENT_3D('',#593207,#468151,#468152); #376537=AXIS2_PLACEMENT_3D('',#593213,#468156,#468157); #376538=AXIS2_PLACEMENT_3D('',#593219,#468161,#468162); #376539=AXIS2_PLACEMENT_3D('',#593225,#468166,#468167); #376540=AXIS2_PLACEMENT_3D('',#593231,#468171,#468172); #376541=AXIS2_PLACEMENT_3D('',#593237,#468176,#468177); #376542=AXIS2_PLACEMENT_3D('',#593243,#468181,#468182); #376543=AXIS2_PLACEMENT_3D('',#593249,#468186,#468187); #376544=AXIS2_PLACEMENT_3D('',#593255,#468191,#468192); #376545=AXIS2_PLACEMENT_3D('',#593261,#468196,#468197); #376546=AXIS2_PLACEMENT_3D('',#593264,#468200,#468201); #376547=AXIS2_PLACEMENT_3D('',#593265,#468202,#468203); #376548=AXIS2_PLACEMENT_3D('',#593266,#468204,#468205); #376549=AXIS2_PLACEMENT_3D('',#593267,#468206,#468207); #376550=AXIS2_PLACEMENT_3D('',#593269,#468208,#468209); #376551=AXIS2_PLACEMENT_3D('',#593272,#468211,#468212); #376552=AXIS2_PLACEMENT_3D('',#593273,#468213,#468214); #376553=AXIS2_PLACEMENT_3D('',#593275,#468215,#468216); #376554=AXIS2_PLACEMENT_3D('',#593278,#468218,#468219); #376555=AXIS2_PLACEMENT_3D('',#593279,#468220,#468221); #376556=AXIS2_PLACEMENT_3D('',#593288,#468226,#468227); #376557=AXIS2_PLACEMENT_3D('',#593294,#468231,#468232); #376558=AXIS2_PLACEMENT_3D('',#593300,#468236,#468237); #376559=AXIS2_PLACEMENT_3D('',#593306,#468241,#468242); #376560=AXIS2_PLACEMENT_3D('',#593312,#468246,#468247); #376561=AXIS2_PLACEMENT_3D('',#593318,#468251,#468252); #376562=AXIS2_PLACEMENT_3D('',#593324,#468256,#468257); #376563=AXIS2_PLACEMENT_3D('',#593330,#468261,#468262); #376564=AXIS2_PLACEMENT_3D('',#593336,#468266,#468267); #376565=AXIS2_PLACEMENT_3D('',#593342,#468271,#468272); #376566=AXIS2_PLACEMENT_3D('',#593348,#468276,#468277); #376567=AXIS2_PLACEMENT_3D('',#593351,#468280,#468281); #376568=AXIS2_PLACEMENT_3D('',#593352,#468282,#468283); #376569=AXIS2_PLACEMENT_3D('',#593353,#468284,#468285); #376570=AXIS2_PLACEMENT_3D('',#593354,#468286,#468287); #376571=AXIS2_PLACEMENT_3D('',#593356,#468288,#468289); #376572=AXIS2_PLACEMENT_3D('',#593359,#468291,#468292); #376573=AXIS2_PLACEMENT_3D('',#593360,#468293,#468294); #376574=AXIS2_PLACEMENT_3D('',#593362,#468295,#468296); #376575=AXIS2_PLACEMENT_3D('',#593365,#468298,#468299); #376576=AXIS2_PLACEMENT_3D('',#593366,#468300,#468301); #376577=AXIS2_PLACEMENT_3D('',#593375,#468306,#468307); #376578=AXIS2_PLACEMENT_3D('',#593381,#468311,#468312); #376579=AXIS2_PLACEMENT_3D('',#593387,#468316,#468317); #376580=AXIS2_PLACEMENT_3D('',#593393,#468321,#468322); #376581=AXIS2_PLACEMENT_3D('',#593399,#468326,#468327); #376582=AXIS2_PLACEMENT_3D('',#593405,#468331,#468332); #376583=AXIS2_PLACEMENT_3D('',#593411,#468336,#468337); #376584=AXIS2_PLACEMENT_3D('',#593417,#468341,#468342); #376585=AXIS2_PLACEMENT_3D('',#593423,#468346,#468347); #376586=AXIS2_PLACEMENT_3D('',#593429,#468351,#468352); #376587=AXIS2_PLACEMENT_3D('',#593435,#468356,#468357); #376588=AXIS2_PLACEMENT_3D('',#593438,#468360,#468361); #376589=AXIS2_PLACEMENT_3D('',#593439,#468362,#468363); #376590=AXIS2_PLACEMENT_3D('',#593440,#468364,#468365); #376591=AXIS2_PLACEMENT_3D('',#593449,#468370,#468371); #376592=AXIS2_PLACEMENT_3D('',#593455,#468375,#468376); #376593=AXIS2_PLACEMENT_3D('',#593459,#468379,#468380); #376594=AXIS2_PLACEMENT_3D('',#593463,#468383,#468384); #376595=AXIS2_PLACEMENT_3D('',#593465,#468386,#468387); #376596=AXIS2_PLACEMENT_3D('',#593466,#468388,#468389); #376597=AXIS2_PLACEMENT_3D('',#593467,#468390,#468391); #376598=AXIS2_PLACEMENT_3D('',#593468,#468392,#468393); #376599=AXIS2_PLACEMENT_3D('',#593469,#468394,#468395); #376600=AXIS2_PLACEMENT_3D('',#593471,#468396,#468397); #376601=AXIS2_PLACEMENT_3D('',#593474,#468399,#468400); #376602=AXIS2_PLACEMENT_3D('',#593475,#468401,#468402); #376603=AXIS2_PLACEMENT_3D('',#593478,#468403,#468404); #376604=AXIS2_PLACEMENT_3D('',#593484,#468408,#468409); #376605=AXIS2_PLACEMENT_3D('',#593487,#468410,#468411); #376606=AXIS2_PLACEMENT_3D('',#593489,#468413,#468414); #376607=AXIS2_PLACEMENT_3D('',#593490,#468415,#468416); #376608=AXIS2_PLACEMENT_3D('',#593496,#468420,#468421); #376609=AXIS2_PLACEMENT_3D('',#593500,#468424,#468425); #376610=AXIS2_PLACEMENT_3D('',#593504,#468428,#468429); #376611=AXIS2_PLACEMENT_3D('',#593505,#468430,#468431); #376612=AXIS2_PLACEMENT_3D('',#593506,#468432,#468433); #376613=AXIS2_PLACEMENT_3D('',#593508,#468434,#468435); #376614=AXIS2_PLACEMENT_3D('',#593511,#468437,#468438); #376615=AXIS2_PLACEMENT_3D('',#593512,#468439,#468440); #376616=AXIS2_PLACEMENT_3D('',#593514,#468441,#468442); #376617=AXIS2_PLACEMENT_3D('',#593515,#468443,#468444); #376618=AXIS2_PLACEMENT_3D('',#593518,#468446,#468447); #376619=AXIS2_PLACEMENT_3D('',#593521,#468448,#468449); #376620=AXIS2_PLACEMENT_3D('',#593525,#468451,#468452); #376621=AXIS2_PLACEMENT_3D('',#593531,#468455,#468456); #376622=AXIS2_PLACEMENT_3D('',#593534,#468458,#468459); #376623=AXIS2_PLACEMENT_3D('',#593539,#468461,#468462); #376624=AXIS2_PLACEMENT_3D('',#593542,#468464,#468465); #376625=AXIS2_PLACEMENT_3D('',#593543,#468466,#468467); #376626=AXIS2_PLACEMENT_3D('',#593546,#468469,#468470); #376627=AXIS2_PLACEMENT_3D('',#593547,#468471,#468472); #376628=AXIS2_PLACEMENT_3D('',#593550,#468474,#468475); #376629=AXIS2_PLACEMENT_3D('',#593551,#468476,#468477); #376630=AXIS2_PLACEMENT_3D('',#593553,#468479,#468480); #376631=AXIS2_PLACEMENT_3D('',#593563,#468482,#468483); #376632=AXIS2_PLACEMENT_3D('',#593573,#468485,#468486); #376633=AXIS2_PLACEMENT_3D('',#593583,#468488,#468489); #376634=AXIS2_PLACEMENT_3D('',#593593,#468491,#468492); #376635=AXIS2_PLACEMENT_3D('',#593603,#468494,#468495); #376636=AXIS2_PLACEMENT_3D('',#593613,#468497,#468498); #376637=AXIS2_PLACEMENT_3D('',#593614,#468499,#468500); #376638=AXIS2_PLACEMENT_3D('',#593615,#468501,#468502); #376639=AXIS2_PLACEMENT_3D('',#593616,#468503,#468504); #376640=AXIS2_PLACEMENT_3D('',#593617,#468505,#468506); #376641=AXIS2_PLACEMENT_3D('',#593618,#468507,#468508); #376642=AXIS2_PLACEMENT_3D('',#593619,#468509,#468510); #376643=AXIS2_PLACEMENT_3D('',#593620,#468511,#468512); #376644=AXIS2_PLACEMENT_3D('',#593621,#468513,#468514); #376645=AXIS2_PLACEMENT_3D('',#593622,#468515,#468516); #376646=AXIS2_PLACEMENT_3D('',#593623,#468517,#468518); #376647=AXIS2_PLACEMENT_3D('',#593624,#468519,#468520); #376648=AXIS2_PLACEMENT_3D('',#593625,#468521,#468522); #376649=AXIS2_PLACEMENT_3D('',#593626,#468523,#468524); #376650=AXIS2_PLACEMENT_3D('',#593627,#468525,#468526); #376651=AXIS2_PLACEMENT_3D('',#593628,#468527,#468528); #376652=AXIS2_PLACEMENT_3D('',#593630,#468529,#468530); #376653=AXIS2_PLACEMENT_3D('',#593632,#468532,#468533); #376654=AXIS2_PLACEMENT_3D('',#593633,#468534,#468535); #376655=AXIS2_PLACEMENT_3D('',#593648,#468538,#468539); #376656=AXIS2_PLACEMENT_3D('',#593651,#468540,#468541); #376657=AXIS2_PLACEMENT_3D('',#593656,#468542,#468543); #376658=AXIS2_PLACEMENT_3D('',#593665,#468544,#468545); #376659=AXIS2_PLACEMENT_3D('',#593674,#468548,#468549); #376660=AXIS2_PLACEMENT_3D('',#593677,#468550,#468551); #376661=AXIS2_PLACEMENT_3D('',#593681,#468552,#468553); #376662=AXIS2_PLACEMENT_3D('',#593685,#468554,#468555); #376663=AXIS2_PLACEMENT_3D('',#593691,#468559,#468560); #376664=AXIS2_PLACEMENT_3D('',#593694,#468562,#468563); #376665=AXIS2_PLACEMENT_3D('',#593695,#468564,#468565); #376666=AXIS2_PLACEMENT_3D('',#593696,#468566,#468567); #376667=AXIS2_PLACEMENT_3D('',#593698,#468568,#468569); #376668=AXIS2_PLACEMENT_3D('',#593700,#468571,#468572); #376669=AXIS2_PLACEMENT_3D('',#593702,#468574,#468575); #376670=AXIS2_PLACEMENT_3D('',#593705,#468576,#468577); #376671=AXIS2_PLACEMENT_3D('',#593709,#468580,#468581); #376672=AXIS2_PLACEMENT_3D('',#593712,#468582,#468583); #376673=AXIS2_PLACEMENT_3D('',#593716,#468585,#468586); #376674=AXIS2_PLACEMENT_3D('',#593722,#468590,#468591); #376675=AXIS2_PLACEMENT_3D('',#593737,#468593,#468594); #376676=AXIS2_PLACEMENT_3D('',#593738,#468595,#468596); #376677=AXIS2_PLACEMENT_3D('',#593752,#468597,#468598); #376678=AXIS2_PLACEMENT_3D('',#593754,#468600,#468601); #376679=AXIS2_PLACEMENT_3D('',#593769,#468603,#468604); #376680=AXIS2_PLACEMENT_3D('',#593770,#468605,#468606); #376681=AXIS2_PLACEMENT_3D('',#593784,#468607,#468608); #376682=AXIS2_PLACEMENT_3D('',#593786,#468610,#468611); #376683=AXIS2_PLACEMENT_3D('',#593789,#468612,#468613); #376684=AXIS2_PLACEMENT_3D('',#593793,#468616,#468617); #376685=AXIS2_PLACEMENT_3D('',#593808,#468620,#468621); #376686=AXIS2_PLACEMENT_3D('',#593812,#468623,#468624); #376687=AXIS2_PLACEMENT_3D('',#593816,#468627,#468628); #376688=AXIS2_PLACEMENT_3D('',#593819,#468631,#468632); #376689=AXIS2_PLACEMENT_3D('',#593832,#468634,#468635); #376690=AXIS2_PLACEMENT_3D('',#593845,#468637,#468638); #376691=AXIS2_PLACEMENT_3D('',#593847,#468639,#468640); #376692=AXIS2_PLACEMENT_3D('',#593852,#468643,#468644); #376693=AXIS2_PLACEMENT_3D('',#593853,#468645,#468646); #376694=AXIS2_PLACEMENT_3D('',#593868,#468649,#468650); #376695=AXIS2_PLACEMENT_3D('',#593883,#468653,#468654); #376696=AXIS2_PLACEMENT_3D('',#593893,#468661,#468662); #376697=AXIS2_PLACEMENT_3D('',#593906,#468664,#468665); #376698=AXIS2_PLACEMENT_3D('',#593919,#468667,#468668); #376699=AXIS2_PLACEMENT_3D('',#593925,#468673,#468674); #376700=AXIS2_PLACEMENT_3D('',#593938,#468676,#468677); #376701=AXIS2_PLACEMENT_3D('',#593939,#468678,#468679); #376702=AXIS2_PLACEMENT_3D('',#593940,#468680,#468681); #376703=AXIS2_PLACEMENT_3D('',#593945,#468683,#468684); #376704=AXIS2_PLACEMENT_3D('',#593948,#468686,#468687); #376705=AXIS2_PLACEMENT_3D('',#593950,#468688,#468689); #376706=AXIS2_PLACEMENT_3D('',#593954,#468691,#468692); #376707=AXIS2_PLACEMENT_3D('',#593957,#468694,#468695); #376708=AXIS2_PLACEMENT_3D('',#593959,#468696,#468697); #376709=AXIS2_PLACEMENT_3D('',#593963,#468699,#468700); #376710=AXIS2_PLACEMENT_3D('',#593965,#468701,#468702); #376711=AXIS2_PLACEMENT_3D('',#593967,#468703,#468704); #376712=AXIS2_PLACEMENT_3D('',#593971,#468706,#468707); #376713=AXIS2_PLACEMENT_3D('',#593975,#468709,#468710); #376714=AXIS2_PLACEMENT_3D('',#593977,#468711,#468712); #376715=AXIS2_PLACEMENT_3D('',#593978,#468713,#468714); #376716=AXIS2_PLACEMENT_3D('',#593979,#468715,#468716); #376717=AXIS2_PLACEMENT_3D('',#593981,#468717,#468718); #376718=AXIS2_PLACEMENT_3D('',#593983,#468720,#468721); #376719=AXIS2_PLACEMENT_3D('',#593987,#468723,#468724); #376720=AXIS2_PLACEMENT_3D('',#593989,#468726,#468727); #376721=AXIS2_PLACEMENT_3D('',#593995,#468731,#468732); #376722=AXIS2_PLACEMENT_3D('',#593997,#468733,#468734); #376723=AXIS2_PLACEMENT_3D('',#593999,#468736,#468737); #376724=AXIS2_PLACEMENT_3D('',#594000,#468738,#468739); #376725=AXIS2_PLACEMENT_3D('',#594002,#468741,#468742); #376726=AXIS2_PLACEMENT_3D('',#594003,#468743,#468744); #376727=AXIS2_PLACEMENT_3D('',#594005,#468745,#468746); #376728=AXIS2_PLACEMENT_3D('',#594007,#468747,#468748); #376729=AXIS2_PLACEMENT_3D('',#594008,#468749,#468750); #376730=AXIS2_PLACEMENT_3D('',#594010,#468751,#468752); #376731=AXIS2_PLACEMENT_3D('',#594012,#468754,#468755); #376732=AXIS2_PLACEMENT_3D('',#594014,#468756,#468757); #376733=AXIS2_PLACEMENT_3D('',#594015,#468758,#468759); #376734=AXIS2_PLACEMENT_3D('',#594019,#468761,#468762); #376735=AXIS2_PLACEMENT_3D('',#594021,#468764,#468765); #376736=AXIS2_PLACEMENT_3D('',#594027,#468768,#468769); #376737=AXIS2_PLACEMENT_3D('',#594028,#468770,#468771); #376738=AXIS2_PLACEMENT_3D('',#594029,#468772,#468773); #376739=AXIS2_PLACEMENT_3D('',#594031,#468775,#468776); #376740=AXIS2_PLACEMENT_3D('',#594032,#468777,#468778); #376741=AXIS2_PLACEMENT_3D('',#594033,#468779,#468780); #376742=AXIS2_PLACEMENT_3D('',#594035,#468782,#468783); #376743=AXIS2_PLACEMENT_3D('',#594037,#468785,#468786); #376744=AXIS2_PLACEMENT_3D('',#594040,#468789,#468790); #376745=AXIS2_PLACEMENT_3D('',#594041,#468791,#468792); #376746=AXIS2_PLACEMENT_3D('',#594044,#468795,#468796); #376747=AXIS2_PLACEMENT_3D('',#594045,#468797,#468798); #376748=AXIS2_PLACEMENT_3D('',#594046,#468799,#468800); #376749=AXIS2_PLACEMENT_3D('',#594047,#468801,#468802); #376750=AXIS2_PLACEMENT_3D('',#594048,#468803,#468804); #376751=AXIS2_PLACEMENT_3D('',#594049,#468805,#468806); #376752=AXIS2_PLACEMENT_3D('',#594050,#468807,#468808); #376753=AXIS2_PLACEMENT_3D('',#594052,#468810,#468811); #376754=AXIS2_PLACEMENT_3D('',#594061,#468816,#468817); #376755=AXIS2_PLACEMENT_3D('',#594063,#468818,#468819); #376756=AXIS2_PLACEMENT_3D('',#594066,#468821,#468822); #376757=AXIS2_PLACEMENT_3D('',#594067,#468823,#468824); #376758=AXIS2_PLACEMENT_3D('',#594076,#468829,#468830); #376759=AXIS2_PLACEMENT_3D('',#594082,#468834,#468835); #376760=AXIS2_PLACEMENT_3D('',#594088,#468839,#468840); #376761=AXIS2_PLACEMENT_3D('',#594091,#468843,#468844); #376762=AXIS2_PLACEMENT_3D('',#594097,#468848,#468849); #376763=AXIS2_PLACEMENT_3D('',#594098,#468850,#468851); #376764=AXIS2_PLACEMENT_3D('',#594099,#468852,#468853); #376765=AXIS2_PLACEMENT_3D('',#594100,#468854,#468855); #376766=AXIS2_PLACEMENT_3D('',#594102,#468856,#468857); #376767=AXIS2_PLACEMENT_3D('',#594105,#468859,#468860); #376768=AXIS2_PLACEMENT_3D('',#594106,#468861,#468862); #376769=AXIS2_PLACEMENT_3D('',#594111,#468864,#468865); #376770=AXIS2_PLACEMENT_3D('',#594114,#468867,#468868); #376771=AXIS2_PLACEMENT_3D('',#594116,#468869,#468870); #376772=AXIS2_PLACEMENT_3D('',#594119,#468871,#468872); #376773=AXIS2_PLACEMENT_3D('',#594123,#468874,#468875); #376774=AXIS2_PLACEMENT_3D('',#594125,#468877,#468878); #376775=AXIS2_PLACEMENT_3D('',#594128,#468880,#468881); #376776=AXIS2_PLACEMENT_3D('',#594129,#468882,#468883); #376777=AXIS2_PLACEMENT_3D('',#594132,#468885,#468886); #376778=AXIS2_PLACEMENT_3D('',#594133,#468887,#468888); #376779=AXIS2_PLACEMENT_3D('',#594136,#468890,#468891); #376780=AXIS2_PLACEMENT_3D('',#594137,#468892,#468893); #376781=AXIS2_PLACEMENT_3D('',#594139,#468894,#468895); #376782=AXIS2_PLACEMENT_3D('',#594142,#468897,#468898); #376783=AXIS2_PLACEMENT_3D('',#594143,#468899,#468900); #376784=AXIS2_PLACEMENT_3D('',#594146,#468901,#468902); #376785=AXIS2_PLACEMENT_3D('',#594147,#468903,#468904); #376786=AXIS2_PLACEMENT_3D('',#594149,#468905,#468906); #376787=AXIS2_PLACEMENT_3D('',#594153,#468908,#468909); #376788=AXIS2_PLACEMENT_3D('',#594157,#468911,#468912); #376789=AXIS2_PLACEMENT_3D('',#594158,#468913,#468914); #376790=AXIS2_PLACEMENT_3D('',#594161,#468916,#468917); #376791=AXIS2_PLACEMENT_3D('',#594162,#468918,#468919); #376792=AXIS2_PLACEMENT_3D('',#594165,#468921,#468922); #376793=AXIS2_PLACEMENT_3D('',#594166,#468923,#468924); #376794=AXIS2_PLACEMENT_3D('',#594169,#468926,#468927); #376795=AXIS2_PLACEMENT_3D('',#594170,#468928,#468929); #376796=AXIS2_PLACEMENT_3D('',#594182,#468931,#468932); #376797=AXIS2_PLACEMENT_3D('',#594183,#468933,#468934); #376798=AXIS2_PLACEMENT_3D('',#594184,#468935,#468936); #376799=AXIS2_PLACEMENT_3D('',#594190,#468940,#468941); #376800=AXIS2_PLACEMENT_3D('',#594195,#468942,#468943); #376801=AXIS2_PLACEMENT_3D('',#594200,#468944,#468945); #376802=AXIS2_PLACEMENT_3D('',#594201,#468946,#468947); #376803=AXIS2_PLACEMENT_3D('',#594202,#468948,#468949); #376804=AXIS2_PLACEMENT_3D('',#594209,#468950,#468951); #376805=AXIS2_PLACEMENT_3D('',#594214,#468952,#468953); #376806=AXIS2_PLACEMENT_3D('',#594216,#468955,#468956); #376807=AXIS2_PLACEMENT_3D('',#594220,#468958,#468959); #376808=AXIS2_PLACEMENT_3D('',#594222,#468961,#468962); #376809=AXIS2_PLACEMENT_3D('',#594232,#468964,#468965); #376810=AXIS2_PLACEMENT_3D('',#594233,#468966,#468967); #376811=AXIS2_PLACEMENT_3D('',#594234,#468968,#468969); #376812=AXIS2_PLACEMENT_3D('',#594235,#468970,#468971); #376813=AXIS2_PLACEMENT_3D('',#594236,#468972,#468973); #376814=AXIS2_PLACEMENT_3D('',#594241,#468975,#468976); #376815=AXIS2_PLACEMENT_3D('',#594244,#468978,#468979); #376816=AXIS2_PLACEMENT_3D('',#594245,#468980,#468981); #376817=AXIS2_PLACEMENT_3D('',#594248,#468982,#468983); #376818=AXIS2_PLACEMENT_3D('',#594250,#468985,#468986); #376819=AXIS2_PLACEMENT_3D('',#594252,#468988,#468989); #376820=AXIS2_PLACEMENT_3D('',#594255,#468992,#468993); #376821=AXIS2_PLACEMENT_3D('',#594259,#468996,#468997); #376822=AXIS2_PLACEMENT_3D('',#594261,#468998,#468999); #376823=AXIS2_PLACEMENT_3D('',#594263,#469001,#469002); #376824=AXIS2_PLACEMENT_3D('',#594265,#469004,#469005); #376825=AXIS2_PLACEMENT_3D('',#594270,#469009,#469010); #376826=AXIS2_PLACEMENT_3D('',#594272,#469012,#469013); #376827=AXIS2_PLACEMENT_3D('',#594276,#469016,#469017); #376828=AXIS2_PLACEMENT_3D('',#594277,#469018,#469019); #376829=AXIS2_PLACEMENT_3D('',#594278,#469020,#469021); #376830=AXIS2_PLACEMENT_3D('',#594283,#469023,#469024); #376831=AXIS2_PLACEMENT_3D('',#594286,#469026,#469027); #376832=AXIS2_PLACEMENT_3D('',#594287,#469028,#469029); #376833=AXIS2_PLACEMENT_3D('',#594290,#469032,#469033); #376834=AXIS2_PLACEMENT_3D('',#594292,#469035,#469036); #376835=AXIS2_PLACEMENT_3D('',#594294,#469038,#469039); #376836=AXIS2_PLACEMENT_3D('',#594295,#469040,#469041); #376837=AXIS2_PLACEMENT_3D('',#594298,#469042,#469043); #376838=AXIS2_PLACEMENT_3D('',#594302,#469046,#469047); #376839=AXIS2_PLACEMENT_3D('',#594310,#469052,#469053); #376840=AXIS2_PLACEMENT_3D('',#594312,#469054,#469055); #376841=AXIS2_PLACEMENT_3D('',#594314,#469057,#469058); #376842=AXIS2_PLACEMENT_3D('',#594316,#469059,#469060); #376843=AXIS2_PLACEMENT_3D('',#594320,#469063,#469064); #376844=AXIS2_PLACEMENT_3D('',#594328,#469069,#469070); #376845=AXIS2_PLACEMENT_3D('',#594329,#469071,#469072); #376846=AXIS2_PLACEMENT_3D('',#594331,#469074,#469075); #376847=AXIS2_PLACEMENT_3D('',#594336,#469077,#469078); #376848=AXIS2_PLACEMENT_3D('',#594338,#469080,#469081); #376849=AXIS2_PLACEMENT_3D('',#594342,#469083,#469084); #376850=AXIS2_PLACEMENT_3D('',#594344,#469086,#469087); #376851=AXIS2_PLACEMENT_3D('',#594352,#469092,#469093); #376852=AXIS2_PLACEMENT_3D('',#594354,#469094,#469095); #376853=AXIS2_PLACEMENT_3D('',#594356,#469097,#469098); #376854=AXIS2_PLACEMENT_3D('',#594364,#469103,#469104); #376855=AXIS2_PLACEMENT_3D('',#594366,#469106,#469107); #376856=AXIS2_PLACEMENT_3D('',#594367,#469108,#469109); #376857=AXIS2_PLACEMENT_3D('',#594373,#469113,#469114); #376858=AXIS2_PLACEMENT_3D('',#594379,#469118,#469119); #376859=AXIS2_PLACEMENT_3D('',#594387,#469124,#469125); #376860=AXIS2_PLACEMENT_3D('',#594391,#469128,#469129); #376861=AXIS2_PLACEMENT_3D('',#594397,#469133,#469134); #376862=AXIS2_PLACEMENT_3D('',#594400,#469137,#469138); #376863=AXIS2_PLACEMENT_3D('',#594401,#469139,#469140); #376864=AXIS2_PLACEMENT_3D('',#594410,#469145,#469146); #376865=AXIS2_PLACEMENT_3D('',#594413,#469149,#469150); #376866=AXIS2_PLACEMENT_3D('',#594417,#469153,#469154); #376867=AXIS2_PLACEMENT_3D('',#594421,#469157,#469158); #376868=AXIS2_PLACEMENT_3D('',#594423,#469160,#469161); #376869=AXIS2_PLACEMENT_3D('',#594429,#469165,#469166); #376870=AXIS2_PLACEMENT_3D('',#594435,#469170,#469171); #376871=AXIS2_PLACEMENT_3D('',#594441,#469175,#469176); #376872=AXIS2_PLACEMENT_3D('',#594449,#469181,#469182); #376873=AXIS2_PLACEMENT_3D('',#594453,#469185,#469186); #376874=AXIS2_PLACEMENT_3D('',#594459,#469190,#469191); #376875=AXIS2_PLACEMENT_3D('',#594465,#469195,#469196); #376876=AXIS2_PLACEMENT_3D('',#594471,#469200,#469201); #376877=AXIS2_PLACEMENT_3D('',#594480,#469206,#469207); #376878=AXIS2_PLACEMENT_3D('',#594483,#469210,#469211); #376879=AXIS2_PLACEMENT_3D('',#594492,#469216,#469217); #376880=AXIS2_PLACEMENT_3D('',#594497,#469220,#469221); #376881=AXIS2_PLACEMENT_3D('',#594499,#469223,#469224); #376882=AXIS2_PLACEMENT_3D('',#594508,#469229,#469230); #376883=AXIS2_PLACEMENT_3D('',#594511,#469233,#469234); #376884=AXIS2_PLACEMENT_3D('',#594520,#469239,#469240); #376885=AXIS2_PLACEMENT_3D('',#594525,#469243,#469244); #376886=AXIS2_PLACEMENT_3D('',#594527,#469245,#469246); #376887=AXIS2_PLACEMENT_3D('',#594529,#469248,#469249); #376888=AXIS2_PLACEMENT_3D('',#594531,#469250,#469251); #376889=AXIS2_PLACEMENT_3D('',#594533,#469253,#469254); #376890=AXIS2_PLACEMENT_3D('',#594535,#469255,#469256); #376891=AXIS2_PLACEMENT_3D('',#594537,#469258,#469259); #376892=AXIS2_PLACEMENT_3D('',#594540,#469262,#469263); #376893=AXIS2_PLACEMENT_3D('',#594546,#469267,#469268); #376894=AXIS2_PLACEMENT_3D('',#594563,#469277,#469278); #376895=AXIS2_PLACEMENT_3D('',#594566,#469281,#469282); #376896=AXIS2_PLACEMENT_3D('',#594583,#469291,#469292); #376897=AXIS2_PLACEMENT_3D('',#594584,#469293,#469294); #376898=AXIS2_PLACEMENT_3D('',#594585,#469295,#469296); #376899=AXIS2_PLACEMENT_3D('',#594586,#469297,#469298); #376900=AXIS2_PLACEMENT_3D('',#594588,#469299,#469300); #376901=AXIS2_PLACEMENT_3D('',#594591,#469303,#469304); #376902=AXIS2_PLACEMENT_3D('',#594597,#469308,#469309); #376903=AXIS2_PLACEMENT_3D('',#594598,#469310,#469311); #376904=AXIS2_PLACEMENT_3D('',#594599,#469312,#469313); #376905=AXIS2_PLACEMENT_3D('',#594600,#469314,#469315); #376906=AXIS2_PLACEMENT_3D('',#594601,#469316,#469317); #376907=AXIS2_PLACEMENT_3D('',#594602,#469318,#469319); #376908=AXIS2_PLACEMENT_3D('',#594606,#469321,#469322); #376909=AXIS2_PLACEMENT_3D('',#594608,#469323,#469324); #376910=AXIS2_PLACEMENT_3D('',#594609,#469325,#469326); #376911=AXIS2_PLACEMENT_3D('',#594610,#469327,#469328); #376912=AXIS2_PLACEMENT_3D('',#594612,#469330,#469331); #376913=AXIS2_PLACEMENT_3D('',#594614,#469333,#469334); #376914=AXIS2_PLACEMENT_3D('',#594617,#469336,#469337); #376915=AXIS2_PLACEMENT_3D('',#594618,#469338,#469339); #376916=AXIS2_PLACEMENT_3D('',#594619,#469340,#469341); #376917=AXIS2_PLACEMENT_3D('',#594620,#469342,#469343); #376918=AXIS2_PLACEMENT_3D('',#594630,#469349,#469350); #376919=AXIS2_PLACEMENT_3D('',#594632,#469351,#469352); #376920=AXIS2_PLACEMENT_3D('',#594635,#469354,#469355); #376921=AXIS2_PLACEMENT_3D('',#594636,#469356,#469357); #376922=AXIS2_PLACEMENT_3D('',#594638,#469358,#469359); #376923=AXIS2_PLACEMENT_3D('',#594641,#469361,#469362); #376924=AXIS2_PLACEMENT_3D('',#594642,#469363,#469364); #376925=AXIS2_PLACEMENT_3D('',#594646,#469368,#469369); #376926=AXIS2_PLACEMENT_3D('',#594647,#469370,#469371); #376927=AXIS2_PLACEMENT_3D('',#594648,#469372,#469373); #376928=AXIS2_PLACEMENT_3D('',#594649,#469374,#469375); #376929=AXIS2_PLACEMENT_3D('',#594658,#469380,#469381); #376930=AXIS2_PLACEMENT_3D('',#594660,#469382,#469383); #376931=AXIS2_PLACEMENT_3D('',#594663,#469385,#469386); #376932=AXIS2_PLACEMENT_3D('',#594664,#469387,#469388); #376933=AXIS2_PLACEMENT_3D('',#594673,#469393,#469394); #376934=AXIS2_PLACEMENT_3D('',#594679,#469398,#469399); #376935=AXIS2_PLACEMENT_3D('',#594685,#469403,#469404); #376936=AXIS2_PLACEMENT_3D('',#594686,#469405,#469406); #376937=AXIS2_PLACEMENT_3D('',#594687,#469407,#469408); #376938=AXIS2_PLACEMENT_3D('',#594688,#469409,#469410); #376939=AXIS2_PLACEMENT_3D('',#594694,#469414,#469415); #376940=AXIS2_PLACEMENT_3D('',#594697,#469418,#469419); #376941=AXIS2_PLACEMENT_3D('',#594706,#469424,#469425); #376942=AXIS2_PLACEMENT_3D('',#594712,#469429,#469430); #376943=AXIS2_PLACEMENT_3D('',#594718,#469434,#469435); #376944=AXIS2_PLACEMENT_3D('',#594721,#469438,#469439); #376945=AXIS2_PLACEMENT_3D('',#594723,#469440,#469441); #376946=AXIS2_PLACEMENT_3D('',#594726,#469443,#469444); #376947=AXIS2_PLACEMENT_3D('',#594727,#469445,#469446); #376948=AXIS2_PLACEMENT_3D('',#594736,#469451,#469452); #376949=AXIS2_PLACEMENT_3D('',#594738,#469453,#469454); #376950=AXIS2_PLACEMENT_3D('',#594740,#469455,#469456); #376951=AXIS2_PLACEMENT_3D('',#594742,#469458,#469459); #376952=AXIS2_PLACEMENT_3D('',#594748,#469463,#469464); #376953=AXIS2_PLACEMENT_3D('',#594749,#469465,#469466); #376954=AXIS2_PLACEMENT_3D('',#594750,#469467,#469468); #376955=AXIS2_PLACEMENT_3D('',#594751,#469469,#469470); #376956=AXIS2_PLACEMENT_3D('',#594752,#469471,#469472); #376957=AXIS2_PLACEMENT_3D('',#594753,#469473,#469474); #376958=AXIS2_PLACEMENT_3D('',#594754,#469475,#469476); #376959=AXIS2_PLACEMENT_3D('',#594755,#469477,#469478); #376960=AXIS2_PLACEMENT_3D('',#594756,#469479,#469480); #376961=AXIS2_PLACEMENT_3D('',#594783,#469481,#469482); #376962=AXIS2_PLACEMENT_3D('',#594826,#469483,#469484); #376963=AXIS2_PLACEMENT_3D('',#594827,#469485,#469486); #376964=AXIS2_PLACEMENT_3D('',#594864,#469487,#469488); #376965=AXIS2_PLACEMENT_3D('',#594917,#469489,#469490); #376966=AXIS2_PLACEMENT_3D('',#594958,#469491,#469492); #376967=AXIS2_PLACEMENT_3D('',#594991,#469493,#469494); #376968=AXIS2_PLACEMENT_3D('',#595027,#469495,#469496); #376969=AXIS2_PLACEMENT_3D('',#595079,#469497,#469498); #376970=AXIS2_PLACEMENT_3D('',#595090,#469499,#469500); #376971=AXIS2_PLACEMENT_3D('',#595095,#469502,#469503); #376972=AXIS2_PLACEMENT_3D('',#595099,#469505,#469506); #376973=AXIS2_PLACEMENT_3D('',#595103,#469508,#469509); #376974=AXIS2_PLACEMENT_3D('',#595106,#469511,#469512); #376975=AXIS2_PLACEMENT_3D('',#595126,#469514,#469515); #376976=AXIS2_PLACEMENT_3D('',#595157,#469517,#469518); #376977=AXIS2_PLACEMENT_3D('',#595200,#469520,#469521); #376978=AXIS2_PLACEMENT_3D('',#595231,#469523,#469524); #376979=AXIS2_PLACEMENT_3D('',#595250,#469526,#469527); #376980=AXIS2_PLACEMENT_3D('',#595252,#469528,#469529); #376981=AXIS2_PLACEMENT_3D('',#595283,#469531,#469532); #376982=AXIS2_PLACEMENT_3D('',#595326,#469534,#469535); #376983=AXIS2_PLACEMENT_3D('',#595356,#469537,#469538); #376984=AXIS2_PLACEMENT_3D('',#595357,#469539,#469540); #376985=AXIS2_PLACEMENT_3D('',#595359,#469541,#469542); #376986=AXIS2_PLACEMENT_3D('',#595362,#469544,#469545); #376987=AXIS2_PLACEMENT_3D('',#595363,#469546,#469547); #376988=AXIS2_PLACEMENT_3D('',#595365,#469548,#469549); #376989=AXIS2_PLACEMENT_3D('',#595367,#469551,#469552); #376990=AXIS2_PLACEMENT_3D('',#595369,#469553,#469554); #376991=AXIS2_PLACEMENT_3D('',#595370,#469555,#469556); #376992=AXIS2_PLACEMENT_3D('',#595373,#469558,#469559); #376993=AXIS2_PLACEMENT_3D('',#595374,#469560,#469561); #376994=AXIS2_PLACEMENT_3D('',#595375,#469562,#469563); #376995=AXIS2_PLACEMENT_3D('',#595377,#469565,#469566); #376996=AXIS2_PLACEMENT_3D('',#595379,#469567,#469568); #376997=AXIS2_PLACEMENT_3D('',#595382,#469570,#469571); #376998=AXIS2_PLACEMENT_3D('',#595383,#469572,#469573); #376999=AXIS2_PLACEMENT_3D('',#595384,#469574,#469575); #377000=AXIS2_PLACEMENT_3D('',#595385,#469576,#469577); #377001=AXIS2_PLACEMENT_3D('',#595387,#469578,#469579); #377002=AXIS2_PLACEMENT_3D('',#595390,#469581,#469582); #377003=AXIS2_PLACEMENT_3D('',#595391,#469583,#469584); #377004=AXIS2_PLACEMENT_3D('',#595392,#469585,#469586); #377005=AXIS2_PLACEMENT_3D('',#595393,#469587,#469588); #377006=AXIS2_PLACEMENT_3D('',#595395,#469589,#469590); #377007=AXIS2_PLACEMENT_3D('',#595398,#469592,#469593); #377008=AXIS2_PLACEMENT_3D('',#595399,#469594,#469595); #377009=AXIS2_PLACEMENT_3D('',#595400,#469596,#469597); #377010=AXIS2_PLACEMENT_3D('',#595401,#469598,#469599); #377011=AXIS2_PLACEMENT_3D('',#595403,#469600,#469601); #377012=AXIS2_PLACEMENT_3D('',#595406,#469603,#469604); #377013=AXIS2_PLACEMENT_3D('',#595407,#469605,#469606); #377014=AXIS2_PLACEMENT_3D('',#595408,#469607,#469608); #377015=AXIS2_PLACEMENT_3D('',#595409,#469609,#469610); #377016=AXIS2_PLACEMENT_3D('',#595410,#469611,#469612); #377017=AXIS2_PLACEMENT_3D('',#595411,#469613,#469614); #377018=AXIS2_PLACEMENT_3D('',#595412,#469615,#469616); #377019=AXIS2_PLACEMENT_3D('',#595413,#469617,#469618); #377020=DIRECTION('axis',(0.,0.,1.)); #377021=DIRECTION('refdir',(1.,0.,0.)); #377022=DIRECTION('axis',(0.,0.,1.)); #377023=DIRECTION('refdir',(1.,0.,0.)); #377024=DIRECTION('axis',(0.,0.,1.)); #377025=DIRECTION('refdir',(1.,0.,0.)); #377026=DIRECTION('center_axis',(0.,0.,1.)); #377027=DIRECTION('ref_axis',(-1.,-6.667259337216E-14,0.)); #377028=DIRECTION('',(0.,0.,-1.)); #377029=DIRECTION('center_axis',(0.,0.,-1.)); #377030=DIRECTION('ref_axis',(0.455201185198312,0.890388612345223,0.)); #377031=DIRECTION('center_axis',(0.,0.,-1.)); #377032=DIRECTION('ref_axis',(1.,0.,0.)); #377033=DIRECTION('',(9.592326932761E-14,-1.705302565824E-13,-1.)); #377034=DIRECTION('center_axis',(0.,0.,-1.)); #377035=DIRECTION('ref_axis',(-0.818819210675826,-0.574051478726618,0.)); #377036=DIRECTION('',(4.263256414561E-14,-1.95399252334E-14,1.)); #377037=DIRECTION('center_axis',(0.,0.,1.)); #377038=DIRECTION('ref_axis',(-0.923076923076914,-0.384615384615406,0.)); #377039=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #377040=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #377041=DIRECTION('',(0.707106781186698,0.707106781186398,0.)); #377042=DIRECTION('',(-1.22730108904E-14,0.,-1.)); #377043=DIRECTION('',(-0.707106781186698,-0.707106781186398,0.)); #377044=DIRECTION('',(9.592326932761E-14,-1.705302565824E-13,-1.)); #377045=DIRECTION('',(0.707106781186698,0.707106781186398,0.)); #377046=DIRECTION('',(-1.546475366066E-14,-1.149407366671E-14,-1.)); #377047=DIRECTION('center_axis',(0.703689715919213,-0.710505538234558,0.00163212976145254)); #377048=DIRECTION('ref_axis',(-0.673377192644463,-0.666181143237997,0.320571116634634)); #377049=DIRECTION('',(-0.707106781186498,-0.707106781186597,0.)); #377050=DIRECTION('',(3.730349362741E-14,4.840572387366E-14,1.)); #377051=DIRECTION('',(0.707106781186597,0.707106781186498,0.)); #377052=DIRECTION('',(-1.492139745096E-14,0.,-1.)); #377053=DIRECTION('',(-0.707106781186797,-0.707106781186297,0.)); #377054=DIRECTION('center_axis',(0.,0.,1.)); #377055=DIRECTION('ref_axis',(-1.,0.,0.)); #377056=DIRECTION('center_axis',(0.,0.,1.)); #377057=DIRECTION('ref_axis',(-0.916515138991173,-0.399999999999988,0.)); #377058=DIRECTION('',(-1.,-1.960800294933E-13,0.)); #377059=DIRECTION('center_axis',(0.,1.,0.)); #377060=DIRECTION('ref_axis',(1.,0.,0.)); #377061=DIRECTION('',(0.,-1.42108547152E-14,-1.)); #377062=DIRECTION('',(1.,1.381610875089E-14,0.)); #377063=DIRECTION('',(2.257018101826E-14,-1.128509050913E-14,1.)); #377064=DIRECTION('',(1.,1.960800294933E-13,0.)); #377065=DIRECTION('',(4.263256414561E-14,-1.95399252334E-14,1.)); #377066=DIRECTION('',(-1.,-1.960800294933E-13,0.)); #377067=DIRECTION('',(0.,0.,1.)); #377068=DIRECTION('',(1.,1.960800294933E-13,0.)); #377069=DIRECTION('',(-1.7763568394E-14,5.435651928565E-14,1.)); #377070=DIRECTION('',(-1.,-9.92267491801E-14,0.)); #377071=DIRECTION('center_axis',(1.,0.,0.)); #377072=DIRECTION('ref_axis',(0.,0.,-1.)); #377073=DIRECTION('',(0.,0.,-1.)); #377074=DIRECTION('',(0.,-1.,0.)); #377075=DIRECTION('',(0.,1.,0.)); #377076=DIRECTION('center_axis',(0.,1.,0.)); #377077=DIRECTION('ref_axis',(-1.,0.,0.)); #377078=DIRECTION('',(1.,2.681804031895E-14,0.)); #377079=DIRECTION('',(1.136868377216E-14,2.522426711948E-14,-1.)); #377080=DIRECTION('',(-1.,2.385640358835E-13,0.)); #377081=DIRECTION('',(0.,-2.30926389122E-14,-1.)); #377082=DIRECTION('',(1.,-2.418320363751E-13,0.)); #377083=DIRECTION('',(-2.19622300144E-14,-4.812312164921E-14,-1.)); #377084=DIRECTION('',(1.,-2.712440407991E-13,0.)); #377085=DIRECTION('',(1.669775429036E-13,3.517186542013E-13,-1.)); #377086=DIRECTION('',(-1.,1.960800294933E-13,0.)); #377087=DIRECTION('',(-2.549594522434E-14,-4.848409255775E-14,-1.)); #377088=DIRECTION('',(-1.,-3.118493118058E-13,5.230384027123E-14)); #377089=DIRECTION('center_axis',(0.,0.,1.)); #377090=DIRECTION('ref_axis',(-1.,0.,0.)); #377091=DIRECTION('',(-1.,-8.732984597133E-13,0.)); #377092=DIRECTION('center_axis',(0.,0.,-1.)); #377093=DIRECTION('ref_axis',(1.,0.,0.)); #377094=DIRECTION('',(0.,1.,0.)); #377095=DIRECTION('center_axis',(0.,0.,-1.)); #377096=DIRECTION('ref_axis',(0.,1.,0.)); #377097=DIRECTION('',(1.,0.,0.)); #377098=DIRECTION('center_axis',(0.,0.,-1.)); #377099=DIRECTION('ref_axis',(-1.,0.,0.)); #377100=DIRECTION('',(0.,1.,0.)); #377101=DIRECTION('center_axis',(0.,0.,-1.)); #377102=DIRECTION('ref_axis',(0.,-1.,0.)); #377103=DIRECTION('',(-1.,0.,0.)); #377104=DIRECTION('center_axis',(0.,0.,-1.)); #377105=DIRECTION('ref_axis',(1.,0.,0.)); #377106=DIRECTION('',(0.,-1.,0.)); #377107=DIRECTION('center_axis',(0.,0.,-1.)); #377108=DIRECTION('ref_axis',(0.0226659546723803,0.999743094249112,0.)); #377109=DIRECTION('',(0.276752447865907,0.960941248256223,0.)); #377110=DIRECTION('',(-1.719998036936E-13,1.,0.)); #377111=DIRECTION('center_axis',(0.,0.,1.)); #377112=DIRECTION('ref_axis',(9.237055564883E-14,-1.,0.)); #377113=DIRECTION('',(1.,-7.261391212211E-13,1.569577638947E-12)); #377114=DIRECTION('center_axis',(0.,0.,1.)); #377115=DIRECTION('ref_axis',(-0.707106781190098,-0.707106781182997,0.)); #377116=DIRECTION('',(0.707106781186498,-0.707106781186598,1.91516814941699E-14)); #377117=DIRECTION('center_axis',(0.,0.,1.)); #377118=DIRECTION('ref_axis',(0.755963595993206,-0.654613658223705,0.)); #377119=DIRECTION('center_axis',(0.,0.,-1.)); #377120=DIRECTION('ref_axis',(-0.993369701213973,0.114963632119297,0.)); #377121=DIRECTION('center_axis',(0.,0.,1.)); #377122=DIRECTION('ref_axis',(0.620794693238094,-0.783973181204192,0.)); #377123=DIRECTION('',(-0.707106781186398,0.707106781186697,-1.391207051935E-13)); #377124=DIRECTION('center_axis',(0.,0.,1.)); #377125=DIRECTION('ref_axis',(-0.707106781200797,-0.707106781172298,0.)); #377126=DIRECTION('',(0.707106781186098,-0.707106781186998,1.79184957374599E-14)); #377127=DIRECTION('center_axis',(0.,0.,1.)); #377128=DIRECTION('ref_axis',(0.384615384615406,-0.923076923076914,0.)); #377129=DIRECTION('',(-5.526443500356E-14,1.,-5.230384027122E-14)); #377130=DIRECTION('',(1.,0.,0.)); #377131=DIRECTION('',(-1.381610875089E-14,-1.,5.230384027122E-14)); #377132=DIRECTION('center_axis',(0.,0.,1.)); #377133=DIRECTION('ref_axis',(-0.574051478726685,-0.818819210675779,0.)); #377134=DIRECTION('',(0.707106781185997,0.707106781187098,-1.75866717422899E-14)); #377135=DIRECTION('center_axis',(0.,0.,1.)); #377136=DIRECTION('ref_axis',(-1.,0.,0.)); #377137=DIRECTION('',(-0.707106781186398,-0.707106781186698,0.)); #377138=DIRECTION('center_axis',(0.,0.,1.)); #377139=DIRECTION('ref_axis',(-0.654613658223705,-0.755963595993206,0.)); #377140=DIRECTION('center_axis',(0.,0.,-1.)); #377141=DIRECTION('ref_axis',(0.114963632119396,0.993369701213962,0.)); #377142=DIRECTION('center_axis',(0.,0.,1.)); #377143=DIRECTION('ref_axis',(-0.783973181204241,-0.620794693238032,0.)); #377144=DIRECTION('',(0.707106781186498,0.707106781186598,-1.250279584336E-13)); #377145=DIRECTION('center_axis',(0.,0.,1.)); #377146=DIRECTION('ref_axis',(-1.,0.,0.)); #377147=DIRECTION('center_axis',(0.,0.,1.)); #377148=DIRECTION('ref_axis',(-0.923076923076914,-0.384615384615406,0.)); #377149=DIRECTION('center_axis',(0.,0.,1.)); #377150=DIRECTION('ref_axis',(-0.818819210675779,0.574051478726685,0.)); #377151=DIRECTION('',(0.707106781186698,-0.707106781186397,-1.75866717422899E-14)); #377152=DIRECTION('center_axis',(0.,0.,1.)); #377153=DIRECTION('ref_axis',(-1.,0.,0.)); #377154=DIRECTION('',(-0.707106781186998,0.707106781186097,1.91516814941399E-14)); #377155=DIRECTION('center_axis',(0.,0.,1.)); #377156=DIRECTION('ref_axis',(-0.755963595993206,0.654613658223705,0.)); #377157=DIRECTION('center_axis',(0.,0.,-1.)); #377158=DIRECTION('ref_axis',(0.993369701213938,-0.114963632119604,0.)); #377159=DIRECTION('center_axis',(0.,0.,1.)); #377160=DIRECTION('ref_axis',(-0.620794693238032,0.783973181204241,0.)); #377161=DIRECTION('',(0.707106781186598,-0.707106781186498,-1.387593527124E-13)); #377162=DIRECTION('center_axis',(0.,0.,1.)); #377163=DIRECTION('ref_axis',(-1.,0.,0.)); #377164=DIRECTION('',(-0.707106781186698,0.707106781186398,0.)); #377165=DIRECTION('center_axis',(0.,0.,1.)); #377166=DIRECTION('ref_axis',(-0.384615384615406,0.923076923076914,0.)); #377167=DIRECTION('',(0.,-1.,0.)); #377168=DIRECTION('',(-1.,0.,0.)); #377169=DIRECTION('',(5.526443500355E-14,1.,5.3290705182E-14)); #377170=DIRECTION('center_axis',(0.,0.,1.)); #377171=DIRECTION('ref_axis',(0.574051478726618,0.818819210675826,0.)); #377172=DIRECTION('',(-0.707106781186698,-0.707106781186397,-1.79184957374299E-14)); #377173=DIRECTION('center_axis',(0.,0.,1.)); #377174=DIRECTION('ref_axis',(0.707106781181898,-0.707106781191198,0.)); #377175=DIRECTION('',(0.707106781186598,0.707106781186498,1.95130339751999E-14)); #377176=DIRECTION('center_axis',(0.,0.,1.)); #377177=DIRECTION('ref_axis',(0.654613658223705,0.755963595993206,0.)); #377178=DIRECTION('center_axis',(0.,0.,-1.)); #377179=DIRECTION('ref_axis',(-0.114963632119396,-0.993369701213962,0.)); #377180=DIRECTION('center_axis',(0.,0.,1.)); #377181=DIRECTION('ref_axis',(0.783973181204192,0.620794693238094,0.)); #377182=DIRECTION('',(-0.707106781186898,-0.707106781186198,-1.391207051935E-13)); #377183=DIRECTION('center_axis',(0.,0.,1.)); #377184=DIRECTION('ref_axis',(0.707106781170797,-0.707106781202298,0.)); #377185=DIRECTION('',(0.707106781186898,0.707106781186198,1.75866717423199E-14)); #377186=DIRECTION('center_axis',(0.,0.,1.)); #377187=DIRECTION('ref_axis',(0.923076923076914,0.384615384615406,0.)); #377188=DIRECTION('',(-1.,-4.934324553889E-14,-5.230384027122E-14)); #377189=DIRECTION('',(0.,1.,0.)); #377190=DIRECTION('',(1.,3.214049257408E-13,0.)); #377191=DIRECTION('',(0.707106781185897,-0.707106781187197,0.)); #377192=DIRECTION('center_axis',(0.,0.,1.)); #377193=DIRECTION('ref_axis',(0.838291398761886,-0.545222459883891,0.)); #377194=DIRECTION('',(-0.449679150297615,0.89319015992543,-4.38715238938715E-9)); #377195=DIRECTION('',(-0.707106781187698,0.707106781185397,4.79080073707898E-9)); #377196=DIRECTION('center_axis',(0.,0.,1.)); #377197=DIRECTION('ref_axis',(-0.825822109041821,0.563930708702514,0.)); #377198=DIRECTION('center_axis',(0.,0.,-1.)); #377199=DIRECTION('ref_axis',(0.909778373678562,-0.415094339622683,0.)); #377200=DIRECTION('center_axis',(0.,0.,1.)); #377201=DIRECTION('ref_axis',(1.,0.,0.)); #377202=DIRECTION('center_axis',(0.,0.,1.)); #377203=DIRECTION('ref_axis',(1.,0.,0.)); #377204=DIRECTION('center_axis',(0.,0.,1.)); #377205=DIRECTION('ref_axis',(1.,0.,0.)); #377206=DIRECTION('center_axis',(0.,0.,1.)); #377207=DIRECTION('ref_axis',(1.,0.,0.)); #377208=DIRECTION('center_axis',(0.,1.,0.)); #377209=DIRECTION('ref_axis',(-1.,0.,0.)); #377210=DIRECTION('',(1.,8.671914774705E-13,0.)); #377211=DIRECTION('',(-6.368239269249E-13,-1.021405182655E-13,1.)); #377212=DIRECTION('',(4.61852778244E-14,1.016964290557E-13,-1.)); #377213=DIRECTION('center_axis',(0.,0.,1.)); #377214=DIRECTION('ref_axis',(-1.,0.,0.)); #377215=DIRECTION('',(0.,1.,0.)); #377216=DIRECTION('center_axis',(0.,0.,1.)); #377217=DIRECTION('ref_axis',(7.863339609078E-12,-1.,0.)); #377218=DIRECTION('center_axis',(0.,0.,1.)); #377219=DIRECTION('ref_axis',(0.825822109083208,-0.563930708641906,0.)); #377220=DIRECTION('center_axis',(0.,0.,-1.)); #377221=DIRECTION('ref_axis',(-0.707106781168397,-0.707106781204698,0.)); #377222=DIRECTION('',(-0.707106781186397,0.707106781186698,0.)); #377223=DIRECTION('',(-1.,1.117137109574E-12,0.)); #377224=DIRECTION('center_axis',(0.,0.,-1.)); #377225=DIRECTION('ref_axis',(1.,1.698197138467E-12,0.)); #377226=DIRECTION('',(1.719998036933E-13,-1.,0.)); #377227=DIRECTION('',(-0.276752447865907,-0.960941248256223,0.)); #377228=DIRECTION('center_axis',(0.,0.,1.)); #377229=DIRECTION('ref_axis',(1.,1.7763568394E-14,0.)); #377230=DIRECTION('',(0.,1.,0.)); #377231=DIRECTION('center_axis',(0.,0.,1.)); #377232=DIRECTION('ref_axis',(0.,-1.,0.)); #377233=DIRECTION('',(1.,0.,0.)); #377234=DIRECTION('center_axis',(0.,0.,1.)); #377235=DIRECTION('ref_axis',(-1.,0.,0.)); #377236=DIRECTION('',(0.,-1.,0.)); #377237=DIRECTION('center_axis',(0.,0.,1.)); #377238=DIRECTION('ref_axis',(0.,1.,0.)); #377239=DIRECTION('',(-1.,0.,0.)); #377240=DIRECTION('center_axis',(0.,0.,1.)); #377241=DIRECTION('ref_axis',(1.,0.,0.)); #377242=DIRECTION('center_axis',(0.,0.,-1.)); #377243=DIRECTION('ref_axis',(-0.759788468441777,-0.65017034938768,0.)); #377244=DIRECTION('center_axis',(0.,0.,1.)); #377245=DIRECTION('ref_axis',(0.992493841783994,0.122294619754299,0.)); #377246=DIRECTION('center_axis',(0.,0.,-1.)); #377247=DIRECTION('ref_axis',(-0.386043398387306,-0.922480620155014,0.)); #377248=DIRECTION('',(-1.,3.281649541399E-14,-2.282886637495E-14)); #377249=DIRECTION('center_axis',(0.,0.,-1.)); #377250=DIRECTION('ref_axis',(0.65017034938768,-0.759788468441777,0.)); #377251=DIRECTION('center_axis',(0.,0.,1.)); #377252=DIRECTION('ref_axis',(-0.122294619754398,0.992493841783982,0.)); #377253=DIRECTION('center_axis',(0.,0.,-1.)); #377254=DIRECTION('ref_axis',(0.922480620155014,-0.386043398387306,0.)); #377255=DIRECTION('',(2.140206222651E-14,-1.,1.058211625335E-14)); #377256=DIRECTION('center_axis',(0.,0.,-1.)); #377257=DIRECTION('ref_axis',(0.759788468441777,0.65017034938768,0.)); #377258=DIRECTION('center_axis',(0.,0.,1.)); #377259=DIRECTION('ref_axis',(-0.992493841783957,-0.122294619754595,0.)); #377260=DIRECTION('center_axis',(0.,0.,-1.)); #377261=DIRECTION('ref_axis',(0.386043398387221,0.92248062015505,0.)); #377262=DIRECTION('',(1.,4.637113482412E-14,1.724052109851E-14)); #377263=DIRECTION('center_axis',(0.,0.,-1.)); #377264=DIRECTION('ref_axis',(-0.650170349387623,0.759788468441827,0.)); #377265=DIRECTION('center_axis',(0.,0.,1.)); #377266=DIRECTION('ref_axis',(0.122294619754398,-0.992493841783982,0.)); #377267=DIRECTION('center_axis',(0.,0.,-1.)); #377268=DIRECTION('ref_axis',(-0.922480620155014,0.386043398387306,0.)); #377269=DIRECTION('',(3.370824800676E-14,1.,-2.996288711712E-14)); #377270=DIRECTION('center_axis',(0.,0.,-1.)); #377271=DIRECTION('ref_axis',(1.,0.,0.)); #377272=DIRECTION('center_axis',(0.,0.,-1.)); #377273=DIRECTION('ref_axis',(1.,0.,0.)); #377274=DIRECTION('center_axis',(0.,0.,-1.)); #377275=DIRECTION('ref_axis',(0.,-1.,0.)); #377276=DIRECTION('center_axis',(0.,0.,-1.)); #377277=DIRECTION('ref_axis',(1.,0.,0.)); #377278=DIRECTION('center_axis',(1.,0.,0.)); #377279=DIRECTION('ref_axis',(0.,-1.,0.)); #377280=DIRECTION('',(0.,0.,-1.)); #377281=DIRECTION('',(0.,0.,1.)); #377282=DIRECTION('center_axis',(0.,0.,-1.)); #377283=DIRECTION('ref_axis',(1.,0.,0.)); #377284=DIRECTION('',(0.,0.,-1.)); #377285=DIRECTION('center_axis',(0.,1.,0.)); #377286=DIRECTION('ref_axis',(0.,0.,1.)); #377287=DIRECTION('',(0.,0.,1.)); #377288=DIRECTION('center_axis',(0.,0.,-1.)); #377289=DIRECTION('ref_axis',(1.,0.,0.)); #377290=DIRECTION('',(0.,0.,-1.)); #377291=DIRECTION('center_axis',(1.,0.,0.)); #377292=DIRECTION('ref_axis',(0.,0.,1.)); #377293=DIRECTION('',(0.,0.,1.)); #377294=DIRECTION('center_axis',(0.,0.,-1.)); #377295=DIRECTION('ref_axis',(1.,0.,0.)); #377296=DIRECTION('',(0.,0.,-1.)); #377297=DIRECTION('center_axis',(0.,1.,0.)); #377298=DIRECTION('ref_axis',(0.,0.,-1.)); #377299=DIRECTION('',(0.,0.,1.)); #377300=DIRECTION('center_axis',(0.,0.,-1.)); #377301=DIRECTION('ref_axis',(1.,0.,0.)); #377302=DIRECTION('',(0.,0.,-1.)); #377303=DIRECTION('center_axis',(1.,0.,0.)); #377304=DIRECTION('ref_axis',(0.,-1.,0.)); #377305=DIRECTION('',(0.,0.,1.)); #377306=DIRECTION('center_axis',(0.,0.,1.)); #377307=DIRECTION('ref_axis',(-1.,0.,0.)); #377308=DIRECTION('',(-2.957634137601E-13,0.,-1.)); #377309=DIRECTION('center_axis',(0.960941248256083,-0.276752447866395,0.)); #377310=DIRECTION('ref_axis',(0.276752447866395,0.960941248256083,0.)); #377311=DIRECTION('',(0.,-1.049826892085E-12,1.)); #377312=DIRECTION('center_axis',(1.,0.,0.)); #377313=DIRECTION('ref_axis',(0.,0.,1.)); #377314=DIRECTION('',(0.,-3.01980662698E-14,1.)); #377315=DIRECTION('center_axis',(0.,0.,1.)); #377316=DIRECTION('ref_axis',(1.,0.,0.)); #377317=DIRECTION('',(-1.296740492762E-13,-2.13162820728E-14,-1.)); #377318=DIRECTION('center_axis',(0.,1.,0.)); #377319=DIRECTION('ref_axis',(0.,0.,1.)); #377320=DIRECTION('',(-6.128431095931E-14,0.,1.)); #377321=DIRECTION('center_axis',(0.707106781185898,0.707106781187198,0.)); #377322=DIRECTION('ref_axis',(0.707106781187198,-0.707106781185898,0.)); #377323=DIRECTION('',(1.354210861096E-13,0.,1.)); #377324=DIRECTION('',(0.707106781187597,-0.707106781185498,0.)); #377325=DIRECTION('',(-3.268496584496E-13,-5.222489107837E-13,1.)); #377326=DIRECTION('',(-0.707106781187198,0.707106781185897,0.)); #377327=DIRECTION('',(1.80865423648E-14,3.681903267121E-14,1.)); #377328=DIRECTION('',(0.707106781187498,-0.707106781185598,0.)); #377329=DIRECTION('',(-3.268496584496E-13,-5.222489107837E-13,1.)); #377330=DIRECTION('',(-0.707106781187198,0.707106781185897,0.)); #377331=DIRECTION('',(2.060573933704E-14,4.050093593833E-14,1.)); #377332=DIRECTION('',(0.707106781187347,-0.707106781185748,0.)); #377333=DIRECTION('',(4.014566457045E-13,-6.123990203832E-13,1.)); #377334=DIRECTION('',(0.707106781186498,-0.707106781186598,0.)); #377335=DIRECTION('',(6.217248937901E-14,5.240252676231E-14,1.)); #377336=DIRECTION('',(0.,0.,-1.)); #377337=DIRECTION('',(3.061551009859E-12,-3.938183109287E-12,1.)); #377338=DIRECTION('',(1.14989499903E-12,-2.482162634364E-12,-1.)); #377339=DIRECTION('center_axis',(0.,0.,-1.)); #377340=DIRECTION('ref_axis',(1.,0.,0.)); #377341=DIRECTION('',(0.,0.,-1.)); #377342=DIRECTION('center_axis',(0.,0.,-1.)); #377343=DIRECTION('ref_axis',(0.916515138991173,-0.399999999999988,0.)); #377344=DIRECTION('center_axis',(0.,0.,1.)); #377345=DIRECTION('ref_axis',(0.822836551104759,-0.568278109877572,0.)); #377346=DIRECTION('center_axis',(0.,1.,0.)); #377347=DIRECTION('ref_axis',(1.,0.,0.)); #377348=DIRECTION('',(0.,0.,-1.)); #377349=DIRECTION('',(0.,0.,-1.)); #377350=DIRECTION('',(-1.,9.74388798255E-14,0.)); #377351=DIRECTION('',(0.,0.,-1.)); #377352=DIRECTION('',(-1.,1.960800294933E-13,0.)); #377353=DIRECTION('',(-5.684341886081E-14,-3.907985046681E-14,-1.)); #377354=DIRECTION('',(1.,-1.944460292476E-13,0.)); #377355=DIRECTION('',(0.,0.,-1.)); #377356=DIRECTION('',(-1.,1.960800294933E-13,0.)); #377357=DIRECTION('',(-5.684341886081E-14,-3.907985046681E-14,-1.)); #377358=DIRECTION('',(1.,0.,0.)); #377359=DIRECTION('center_axis',(0.,0.,1.)); #377360=DIRECTION('ref_axis',(-1.,0.,0.)); #377361=DIRECTION('center_axis',(0.,0.,1.)); #377362=DIRECTION('ref_axis',(0.818819210675906,-0.574051478726504,0.)); #377363=DIRECTION('center_axis',(0.,0.,-1.)); #377364=DIRECTION('ref_axis',(1.,0.,0.)); #377365=DIRECTION('center_axis',(0.,0.,-1.)); #377366=DIRECTION('ref_axis',(0.923076923076914,-0.384615384615406,0.)); #377367=DIRECTION('center_axis',(0.,0.,1.)); #377368=DIRECTION('ref_axis',(-1.,0.,0.)); #377369=DIRECTION('center_axis',(0.,0.,1.)); #377370=DIRECTION('ref_axis',(0.822836551104727,-0.568278109877618,0.)); #377371=DIRECTION('center_axis',(0.,0.,-1.)); #377372=DIRECTION('ref_axis',(1.,0.,0.)); #377373=DIRECTION('center_axis',(0.,0.,-1.)); #377374=DIRECTION('ref_axis',(0.916515138991173,-0.399999999999988,0.)); #377375=DIRECTION('center_axis',(0.,0.,1.)); #377376=DIRECTION('ref_axis',(-1.,0.,0.)); #377377=DIRECTION('center_axis',(0.,0.,1.)); #377378=DIRECTION('ref_axis',(0.818819210675906,-0.574051478726504,0.)); #377379=DIRECTION('center_axis',(0.,0.,-1.)); #377380=DIRECTION('ref_axis',(1.,0.,0.)); #377381=DIRECTION('center_axis',(0.,0.,-1.)); #377382=DIRECTION('ref_axis',(0.923076923076914,-0.384615384615406,0.)); #377383=DIRECTION('center_axis',(0.,0.,1.)); #377384=DIRECTION('ref_axis',(-1.,0.,0.)); #377385=DIRECTION('center_axis',(0.,0.,1.)); #377386=DIRECTION('ref_axis',(0.822836551104727,-0.568278109877618,0.)); #377387=DIRECTION('center_axis',(0.,0.,-1.)); #377388=DIRECTION('ref_axis',(1.,0.,0.)); #377389=DIRECTION('center_axis',(0.,0.,-1.)); #377390=DIRECTION('ref_axis',(0.916515138991173,-0.399999999999988,0.)); #377391=DIRECTION('center_axis',(0.,0.,1.)); #377392=DIRECTION('ref_axis',(-1.,0.,0.)); #377393=DIRECTION('',(0.,1.,0.)); #377394=DIRECTION('center_axis',(0.,0.,1.)); #377395=DIRECTION('ref_axis',(7.898866745866E-12,-1.,0.)); #377396=DIRECTION('',(1.,8.671914774705E-13,0.)); #377397=DIRECTION('center_axis',(0.,0.,1.)); #377398=DIRECTION('ref_axis',(0.825822109083208,-0.563930708641906,0.)); #377399=DIRECTION('center_axis',(0.,0.,-1.)); #377400=DIRECTION('ref_axis',(-0.707106781182498,-0.707106781190597,0.)); #377401=DIRECTION('',(0.,-1.,0.)); #377402=DIRECTION('',(1.,9.92267491801E-14,0.)); #377403=DIRECTION('center_axis',(0.,0.,-1.)); #377404=DIRECTION('ref_axis',(0.822836551104727,0.568278109877618,0.)); #377405=DIRECTION('',(-0.707106781186897,-0.707106781186198,0.)); #377406=DIRECTION('center_axis',(0.,0.,-1.)); #377407=DIRECTION('ref_axis',(-0.707106781136298,0.707106781236798,0.)); #377408=DIRECTION('',(0.707106781186797,0.707106781186298,0.)); #377409=DIRECTION('center_axis',(0.,0.,-1.)); #377410=DIRECTION('ref_axis',(0.61714433724891,0.786849964733813,0.)); #377411=DIRECTION('',(-0.707106781186198,-0.707106781186897,0.)); #377412=DIRECTION('center_axis',(0.,0.,-1.)); #377413=DIRECTION('ref_axis',(-0.707106781180098,0.707106781192997,0.)); #377414=DIRECTION('',(0.707106781186698,0.707106781186397,0.)); #377415=DIRECTION('center_axis',(0.,0.,-1.)); #377416=DIRECTION('ref_axis',(0.399999999999988,0.916515138991173,0.)); #377417=DIRECTION('',(0.,-1.,0.)); #377418=DIRECTION('',(1.,0.,0.)); #377419=DIRECTION('',(-9.565101047091E-14,1.,0.)); #377420=DIRECTION('center_axis',(0.,0.,-1.)); #377421=DIRECTION('ref_axis',(-0.568278109877572,0.822836551104759,0.)); #377422=DIRECTION('',(0.707106781186598,-0.707106781186498,0.)); #377423=DIRECTION('center_axis',(0.,0.,-1.)); #377424=DIRECTION('ref_axis',(-1.,0.,0.)); #377425=DIRECTION('',(-0.707106781186797,0.707106781186297,0.)); #377426=DIRECTION('center_axis',(0.,0.,-1.)); #377427=DIRECTION('ref_axis',(-0.786849964733678,0.617144337249083,0.)); #377428=DIRECTION('',(0.707106781186998,-0.707106781186097,0.)); #377429=DIRECTION('center_axis',(0.,0.,-1.)); #377430=DIRECTION('ref_axis',(-1.,0.,0.)); #377431=DIRECTION('',(-0.707106781186697,0.707106781186398,0.)); #377432=DIRECTION('center_axis',(0.,0.,-1.)); #377433=DIRECTION('ref_axis',(-0.916515138991173,0.399999999999988,0.)); #377434=DIRECTION('center_axis',(0.,0.,-1.)); #377435=DIRECTION('ref_axis',(-0.822836551104759,-0.568278109877572,0.)); #377436=DIRECTION('center_axis',(0.,0.,-1.)); #377437=DIRECTION('ref_axis',(-1.,0.,0.)); #377438=DIRECTION('',(-0.707106781186498,-0.707106781186598,0.)); #377439=DIRECTION('center_axis',(0.,0.,-1.)); #377440=DIRECTION('ref_axis',(-0.617144337249021,-0.786849964733727,0.)); #377441=DIRECTION('',(0.707106781186498,0.707106781186598,0.)); #377442=DIRECTION('center_axis',(0.,0.,-1.)); #377443=DIRECTION('ref_axis',(-1.,0.,0.)); #377444=DIRECTION('',(-0.707106781185897,-0.707106781187198,0.)); #377445=DIRECTION('center_axis',(0.,0.,-1.)); #377446=DIRECTION('ref_axis',(-0.399999999999988,-0.916515138991173,0.)); #377447=DIRECTION('',(2.949984435084E-14,1.,0.)); #377448=DIRECTION('',(-1.,0.,0.)); #377449=DIRECTION('',(9.83328145028E-14,-1.,0.)); #377450=DIRECTION('center_axis',(0.,0.,-1.)); #377451=DIRECTION('ref_axis',(0.568278109877618,-0.822836551104727,0.)); #377452=DIRECTION('',(-0.707106781186198,0.707106781186897,0.)); #377453=DIRECTION('center_axis',(0.,0.,-1.)); #377454=DIRECTION('ref_axis',(0.707106781194398,0.707106781178698,0.)); #377455=DIRECTION('',(0.707106781185998,-0.707106781187098,0.)); #377456=DIRECTION('center_axis',(0.,0.,-1.)); #377457=DIRECTION('ref_axis',(0.786849964733813,-0.61714433724891,0.)); #377458=DIRECTION('',(-0.707106781186348,0.707106781186748,0.)); #377459=DIRECTION('center_axis',(0.,0.,-1.)); #377460=DIRECTION('ref_axis',(0.707106781186597,0.707106781186498,0.)); #377461=DIRECTION('',(-1.,8.15510089988E-13,1.117137109573E-14)); #377462=DIRECTION('center_axis',(0.,0.,-1.)); #377463=DIRECTION('ref_axis',(1.,1.698197138467E-12,0.)); #377464=DIRECTION('',(1.719998036933E-13,-1.,0.)); #377465=DIRECTION('',(-0.276752447865907,-0.960941248256223,0.)); #377466=DIRECTION('center_axis',(0.,0.,1.)); #377467=DIRECTION('ref_axis',(1.,-1.184237892933E-14,0.)); #377468=DIRECTION('',(0.,1.,0.)); #377469=DIRECTION('center_axis',(0.,0.,1.)); #377470=DIRECTION('ref_axis',(0.,-1.,0.)); #377471=DIRECTION('',(1.,0.,0.)); #377472=DIRECTION('center_axis',(0.,0.,1.)); #377473=DIRECTION('ref_axis',(-1.,0.,0.)); #377474=DIRECTION('',(0.,-1.,0.)); #377475=DIRECTION('center_axis',(0.,0.,1.)); #377476=DIRECTION('ref_axis',(0.,1.,0.)); #377477=DIRECTION('',(-1.,0.,0.)); #377478=DIRECTION('center_axis',(0.,0.,1.)); #377479=DIRECTION('ref_axis',(1.,0.,0.)); #377480=DIRECTION('center_axis',(0.,0.,-1.)); #377481=DIRECTION('ref_axis',(-1.,0.,0.)); #377482=DIRECTION('center_axis',(0.,0.,-1.)); #377483=DIRECTION('ref_axis',(-1.,0.,0.)); #377484=DIRECTION('center_axis',(0.,0.,-1.)); #377485=DIRECTION('ref_axis',(-1.,0.,0.)); #377486=DIRECTION('center_axis',(0.,0.,-1.)); #377487=DIRECTION('ref_axis',(-1.,0.,0.)); #377488=DIRECTION('center_axis',(1.,0.,0.)); #377489=DIRECTION('ref_axis',(0.,-1.,0.)); #377490=DIRECTION('',(0.,1.,0.)); #377491=DIRECTION('',(0.,0.,-1.)); #377492=DIRECTION('',(0.,0.,1.)); #377493=DIRECTION('center_axis',(0.,0.,1.)); #377494=DIRECTION('ref_axis',(-1.,0.,0.)); #377495=DIRECTION('',(-1.,-8.732984597133E-13,0.)); #377496=DIRECTION('center_axis',(0.,0.,-1.)); #377497=DIRECTION('ref_axis',(1.,0.,0.)); #377498=DIRECTION('center_axis',(0.,0.,-1.)); #377499=DIRECTION('ref_axis',(0.,1.,0.)); #377500=DIRECTION('',(1.,0.,0.)); #377501=DIRECTION('center_axis',(0.,0.,-1.)); #377502=DIRECTION('ref_axis',(-1.,0.,0.)); #377503=DIRECTION('',(0.,1.,0.)); #377504=DIRECTION('center_axis',(0.,0.,-1.)); #377505=DIRECTION('ref_axis',(0.,-1.,0.)); #377506=DIRECTION('',(-1.,0.,0.)); #377507=DIRECTION('center_axis',(0.,0.,-1.)); #377508=DIRECTION('ref_axis',(1.,0.,0.)); #377509=DIRECTION('',(0.,-1.,0.)); #377510=DIRECTION('center_axis',(0.,0.,-1.)); #377511=DIRECTION('ref_axis',(0.0226659546723803,0.999743094249112,0.)); #377512=DIRECTION('',(0.276752447865907,0.960941248256223,0.)); #377513=DIRECTION('',(-1.719998036936E-13,1.,0.)); #377514=DIRECTION('center_axis',(0.,0.,1.)); #377515=DIRECTION('ref_axis',(4.902744876746E-13,-1.,0.)); #377516=DIRECTION('',(1.,-1.206508078337E-12,2.234274219143E-14)); #377517=DIRECTION('center_axis',(0.,0.,1.)); #377518=DIRECTION('ref_axis',(-0.825822109041821,0.563930708702514,0.)); #377519=DIRECTION('center_axis',(0.,0.,-1.)); #377520=DIRECTION('ref_axis',(0.909778373678562,-0.415094339622683,0.)); #377521=DIRECTION('center_axis',(0.,0.,1.)); #377522=DIRECTION('ref_axis',(0.759788468441777,-0.65017034938768,0.)); #377523=DIRECTION('center_axis',(0.,0.,-1.)); #377524=DIRECTION('ref_axis',(-0.992493841783994,0.122294619754299,0.)); #377525=DIRECTION('center_axis',(0.,0.,1.)); #377526=DIRECTION('ref_axis',(0.386043398387306,-0.922480620155014,0.)); #377527=DIRECTION('',(1.,0.,0.)); #377528=DIRECTION('center_axis',(0.,0.,1.)); #377529=DIRECTION('ref_axis',(-0.65017034938768,-0.759788468441777,0.)); #377530=DIRECTION('center_axis',(0.,0.,-1.)); #377531=DIRECTION('ref_axis',(0.122294619754496,0.99249384178397,0.)); #377532=DIRECTION('center_axis',(0.,0.,1.)); #377533=DIRECTION('ref_axis',(-0.922480620155014,-0.386043398387306,0.)); #377534=DIRECTION('',(1.480309304001E-14,-1.,0.)); #377535=DIRECTION('center_axis',(0.,0.,1.)); #377536=DIRECTION('ref_axis',(-0.759788468441777,0.65017034938768,0.)); #377537=DIRECTION('center_axis',(0.,0.,-1.)); #377538=DIRECTION('ref_axis',(0.992493841783957,-0.122294619754595,0.)); #377539=DIRECTION('center_axis',(0.,0.,1.)); #377540=DIRECTION('ref_axis',(-0.386043398387221,0.92248062015505,0.)); #377541=DIRECTION('',(-1.,0.,0.)); #377542=DIRECTION('center_axis',(0.,0.,1.)); #377543=DIRECTION('ref_axis',(0.650170349387623,0.759788468441827,0.)); #377544=DIRECTION('center_axis',(0.,0.,-1.)); #377545=DIRECTION('ref_axis',(-0.122294619754398,-0.992493841783982,0.)); #377546=DIRECTION('center_axis',(0.,0.,1.)); #377547=DIRECTION('ref_axis',(0.922480620155014,0.386043398387306,0.)); #377548=DIRECTION('',(0.,1.,0.)); #377549=DIRECTION('center_axis',(0.,0.,1.)); #377550=DIRECTION('ref_axis',(-1.,0.,0.)); #377551=DIRECTION('center_axis',(0.,0.,1.)); #377552=DIRECTION('ref_axis',(-1.,0.,0.)); #377553=DIRECTION('center_axis',(0.,0.,1.)); #377554=DIRECTION('ref_axis',(-1.,0.,0.)); #377555=DIRECTION('center_axis',(0.,0.,1.)); #377556=DIRECTION('ref_axis',(-1.,0.,0.)); #377557=DIRECTION('center_axis',(0.,1.,0.)); #377558=DIRECTION('ref_axis',(-1.,0.,0.)); #377559=DIRECTION('',(-6.377121053447E-13,-1.021405182655E-13,1.)); #377560=DIRECTION('',(4.618527782441E-14,1.016964290557E-13,-1.)); #377561=DIRECTION('center_axis',(0.,0.,-1.)); #377562=DIRECTION('ref_axis',(1.,0.,0.)); #377563=DIRECTION('',(1.039168751049E-13,1.492139745096E-13,1.)); #377564=DIRECTION('center_axis',(0.,0.,-1.)); #377565=DIRECTION('ref_axis',(-1.,0.,0.)); #377566=DIRECTION('center_axis',(0.,0.,-1.)); #377567=DIRECTION('ref_axis',(1.,0.,0.)); #377568=DIRECTION('center_axis',(0.,1.,0.)); #377569=DIRECTION('ref_axis',(0.,0.,1.)); #377570=DIRECTION('',(-1.394440118929E-13,-2.22044604925E-14,-1.)); #377571=DIRECTION('center_axis',(0.,0.,1.)); #377572=DIRECTION('ref_axis',(1.,0.,0.)); #377573=DIRECTION('',(0.,-3.01980662698E-14,1.)); #377574=DIRECTION('center_axis',(1.,0.,0.)); #377575=DIRECTION('ref_axis',(0.,0.,1.)); #377576=DIRECTION('',(0.,-1.049826892086E-12,1.)); #377577=DIRECTION('center_axis',(0.960941248256083,-0.276752447866395,0.)); #377578=DIRECTION('ref_axis',(0.276752447866395,0.960941248256083,0.)); #377579=DIRECTION('',(-2.957634137601E-13,0.,-1.)); #377580=DIRECTION('center_axis',(0.,0.,1.)); #377581=DIRECTION('ref_axis',(-1.,0.,0.)); #377582=DIRECTION('',(0.,0.,1.)); #377583=DIRECTION('center_axis',(1.,0.,0.)); #377584=DIRECTION('ref_axis',(0.,-1.,0.)); #377585=DIRECTION('',(0.,0.,-1.)); #377586=DIRECTION('center_axis',(0.,0.,-1.)); #377587=DIRECTION('ref_axis',(1.,0.,0.)); #377588=DIRECTION('',(0.,0.,1.)); #377589=DIRECTION('center_axis',(0.,1.,0.)); #377590=DIRECTION('ref_axis',(0.,0.,-1.)); #377591=DIRECTION('',(0.,0.,-1.)); #377592=DIRECTION('center_axis',(0.,0.,-1.)); #377593=DIRECTION('ref_axis',(1.,0.,0.)); #377594=DIRECTION('',(0.,0.,1.)); #377595=DIRECTION('center_axis',(1.,0.,0.)); #377596=DIRECTION('ref_axis',(0.,0.,1.)); #377597=DIRECTION('',(0.,0.,-1.)); #377598=DIRECTION('center_axis',(0.,0.,-1.)); #377599=DIRECTION('ref_axis',(1.,0.,0.)); #377600=DIRECTION('',(0.,0.,1.)); #377601=DIRECTION('center_axis',(0.,1.,0.)); #377602=DIRECTION('ref_axis',(0.,0.,1.)); #377603=DIRECTION('',(0.,0.,-1.)); #377604=DIRECTION('center_axis',(0.,0.,-1.)); #377605=DIRECTION('ref_axis',(1.,0.,0.)); #377606=DIRECTION('center_axis',(0.,0.,1.)); #377607=DIRECTION('ref_axis',(-1.,-4.118115011246E-14,0.)); #377608=DIRECTION('center_axis',(0.,0.,1.)); #377609=DIRECTION('ref_axis',(-1.66604659989E-14,-1.,0.)); #377610=DIRECTION('center_axis',(0.,0.,1.)); #377611=DIRECTION('ref_axis',(-1.,0.,0.)); #377612=DIRECTION('center_axis',(0.,1.,0.)); #377613=DIRECTION('ref_axis',(0.,0.,-1.)); #377614=DIRECTION('center_axis',(0.,0.,1.)); #377615=DIRECTION('ref_axis',(-1.,-4.118115011246E-14,0.)); #377616=DIRECTION('center_axis',(0.,0.,1.)); #377617=DIRECTION('ref_axis',(-1.,-4.202054204127E-14,0.)); #377618=DIRECTION('center_axis',(0.,0.,1.)); #377619=DIRECTION('ref_axis',(-1.,0.,0.)); #377620=DIRECTION('center_axis',(1.,0.,0.)); #377621=DIRECTION('ref_axis',(0.,1.,0.)); #377622=DIRECTION('center_axis',(0.,0.,1.)); #377623=DIRECTION('ref_axis',(-1.,-4.118115011246E-14,0.)); #377624=DIRECTION('center_axis',(0.,0.,1.)); #377625=DIRECTION('ref_axis',(1.678293067881E-14,1.,0.)); #377626=DIRECTION('center_axis',(0.,0.,1.)); #377627=DIRECTION('ref_axis',(-1.,0.,0.)); #377628=DIRECTION('center_axis',(0.,1.,0.)); #377629=DIRECTION('ref_axis',(1.,0.,0.)); #377630=DIRECTION('center_axis',(0.,0.,1.)); #377631=DIRECTION('ref_axis',(-1.,-4.118115011246E-14,0.)); #377632=DIRECTION('center_axis',(0.,0.,1.)); #377633=DIRECTION('ref_axis',(1.,-1.316898466005E-14,0.)); #377634=DIRECTION('center_axis',(0.,0.,1.)); #377635=DIRECTION('ref_axis',(-1.,0.,0.)); #377636=DIRECTION('center_axis',(1.,0.,0.)); #377637=DIRECTION('ref_axis',(0.,-1.,0.)); #377638=DIRECTION('center_axis',(0.,0.,1.)); #377639=DIRECTION('ref_axis',(-1.,-4.202054204127E-14,0.)); #377640=DIRECTION('center_axis',(0.,0.,-1.)); #377641=DIRECTION('ref_axis',(-0.998532322188037,-0.054159039372632,0.)); #377642=DIRECTION('center_axis',(0.,0.,-1.)); #377643=DIRECTION('ref_axis',(-0.998911465135118,0.0466463805842708,0.)); #377644=DIRECTION('center_axis',(0.,0.,-1.)); #377645=DIRECTION('ref_axis',(-0.2643172747441,0.964435782347302,0.)); #377646=DIRECTION('center_axis',(0.,0.,-1.)); #377647=DIRECTION('ref_axis',(-2.40732766274E-14,1.,0.)); #377648=DIRECTION('center_axis',(0.,0.,-1.)); #377649=DIRECTION('ref_axis',(0.835554219730916,0.54940799583721,0.)); #377650=DIRECTION('',(0.,0.,1.)); #377651=DIRECTION('center_axis',(0.,0.,-1.)); #377652=DIRECTION('ref_axis',(1.,-1.316898466005E-14,0.)); #377653=DIRECTION('',(2.427687680516E-13,9.533115038125E-14,-1.)); #377654=DIRECTION('center_axis',(0.,0.,-1.)); #377655=DIRECTION('ref_axis',(0.924242630972538,-0.381805656182516,0.)); #377656=DIRECTION('',(-8.996182782625E-9,-7.868428297609E-9,1.)); #377657=DIRECTION('center_axis',(0.,0.,-1.)); #377658=DIRECTION('ref_axis',(0.838291400399586,-0.545222457365891,0.)); #377659=DIRECTION('center_axis',(0.,0.,-1.)); #377660=DIRECTION('ref_axis',(0.780718508091608,-0.624882877924506,0.)); #377661=DIRECTION('center_axis',(0.,0.,-1.)); #377662=DIRECTION('ref_axis',(-3.198154373872E-14,-1.,0.)); #377663=DIRECTION('center_axis',(0.,0.,-1.)); #377664=DIRECTION('ref_axis',(-0.353044395459086,-0.935606570538562,0.)); #377665=DIRECTION('center_axis',(0.,0.,1.)); #377666=DIRECTION('ref_axis',(0.,-1.,0.)); #377667=DIRECTION('center_axis',(0.,0.,-1.)); #377668=DIRECTION('ref_axis',(-0.646592966673226,-0.762835195470631,0.)); #377669=DIRECTION('center_axis',(0.,0.,-1.)); #377670=DIRECTION('ref_axis',(-0.999306181670251,-0.0372445334998682,0.)); #377671=DIRECTION('center_axis',(0.,0.,-1.)); #377672=DIRECTION('ref_axis',(-1.,2.647129795536E-13,0.)); #377673=DIRECTION('center_axis',(0.,0.,-1.)); #377674=DIRECTION('ref_axis',(1.,0.,0.)); #377675=DIRECTION('center_axis',(0.,0.,1.)); #377676=DIRECTION('ref_axis',(-1.,-6.667259337216E-14,0.)); #377677=DIRECTION('center_axis',(0.,0.,-1.)); #377678=DIRECTION('ref_axis',(0.971489116007671,0.237084156954093,0.)); #377679=DIRECTION('',(0.,0.,-1.)); #377680=DIRECTION('center_axis',(0.,0.,1.)); #377681=DIRECTION('ref_axis',(-1.,0.,0.)); #377682=DIRECTION('center_axis',(0.,0.,-1.)); #377683=DIRECTION('ref_axis',(0.987474839151005,-0.157776557332501,0.)); #377684=DIRECTION('center_axis',(0.,0.,-1.)); #377685=DIRECTION('ref_axis',(0.525686327410879,-0.850678485194766,0.)); #377686=DIRECTION('center_axis',(0.,0.,-1.)); #377687=DIRECTION('ref_axis',(0.155091591298397,-0.987900095307481,0.)); #377688=DIRECTION('center_axis',(0.,0.,-1.)); #377689=DIRECTION('ref_axis',(-0.891622455638474,-0.452779633598087,0.)); #377690=DIRECTION('center_axis',(0.,0.,-1.)); #377691=DIRECTION('ref_axis',(-0.92530577571012,0.379221863079508,0.)); #377692=DIRECTION('center_axis',(0.,0.,-1.)); #377693=DIRECTION('ref_axis',(-0.70614454230231,0.70806771242351,0.)); #377694=DIRECTION('center_axis',(0.,0.,-1.)); #377695=DIRECTION('ref_axis',(0.0747214206551302,0.997204447089602,0.)); #377696=DIRECTION('center_axis',(0.,0.,-1.)); #377697=DIRECTION('ref_axis',(0.455201185198312,0.890388612345223,0.)); #377698=DIRECTION('center_axis',(0.,0.,1.)); #377699=DIRECTION('ref_axis',(-1.,0.,0.)); #377700=DIRECTION('center_axis',(0.,0.,-1.)); #377701=DIRECTION('ref_axis',(0.155089940662792,-0.987900354441283,0.)); #377702=DIRECTION('center_axis',(0.,0.,-1.)); #377703=DIRECTION('ref_axis',(0.455202055046309,0.890388167644661,0.)); #377704=DIRECTION('center_axis',(0.,0.,1.)); #377705=DIRECTION('ref_axis',(-1.,0.,0.)); #377706=DIRECTION('center_axis',(-0.757777272946247,-0.625507984597715, 0.185777732278801)); #377707=DIRECTION('ref_axis',(0.438322492206247,-0.698874837826313,-0.56519673909122)); #377708=DIRECTION('center_axis',(0.503632222374855,0.652510177626207,0.566202307201216)); #377709=DIRECTION('ref_axis',(0.758674027995982,-0.0205548896785328,-0.651146078660277)); #377710=DIRECTION('center_axis',(-0.325913808688028,-0.51066838695829,-0.795611706718724)); #377711=DIRECTION('ref_axis',(0.842955698954802,-0.537982982630139,-5.66727405781837E-10)); #377712=DIRECTION('center_axis',(-0.272368213091274,-0.452786857889594, -0.84899918599486)); #377713=DIRECTION('ref_axis',(0.856911228039249,-0.515464011605334,-5.86442909125866E-8)); #377714=DIRECTION('center_axis',(-0.203428577540228,-0.397901307039576, -0.894590053430149)); #377715=DIRECTION('ref_axis',(0.890383105310265,-0.455211956980531,7.16344635406167E-8)); #377716=DIRECTION('center_axis',(-0.116806310638556,-0.273820478594279, -0.9546615270856)); #377717=DIRECTION('ref_axis',(0.919933249605022,-0.392075000722703,-0.000100396396668783)); #377718=DIRECTION('center_axis',(0.,0.,1.)); #377719=DIRECTION('ref_axis',(0.,-1.,0.)); #377720=DIRECTION('center_axis',(0.,0.,-1.)); #377721=DIRECTION('ref_axis',(-0.344184140895901,0.938902165912802,0.)); #377722=DIRECTION('center_axis',(-0.22759016562498,-0.288328160413611,-0.930091172102659)); #377723=DIRECTION('ref_axis',(-0.965282228514971,0.192545093271248,0.176512340561044)); #377724=DIRECTION('center_axis',(-0.270558903986335,-0.326468517285535, -0.905657875080374)); #377725=DIRECTION('ref_axis',(-0.956926065979287,0.194109125434553,0.215903107139379)); #377726=DIRECTION('center_axis',(-0.338457190723998,-0.366036009086722, -0.866870445971648)); #377727=DIRECTION('ref_axis',(-0.938165920012878,0.202479899622305,0.280796361755866)); #377728=DIRECTION('center_axis',(-0.347352474247406,-0.373073390936282, -0.860326974823822)); #377729=DIRECTION('ref_axis',(-0.9354612816143,0.201702916354435,0.290220819609219)); #377730=DIRECTION('center_axis',(-0.22279455589752,-0.218428530715661,-0.950079766562695)); #377731=DIRECTION('ref_axis',(-0.948598086263366,0.273283456731297,0.159617740287456)); #377732=DIRECTION('center_axis',(-0.22279455560906,-0.218428530814905,-0.950079766607522)); #377733=DIRECTION('ref_axis',(-0.97358700679985,-4.03993741973615E-5,0.228316312510515)); #377734=DIRECTION('center_axis',(-0.187561322037849,-0.181105198456862, -0.965412687697502)); #377735=DIRECTION('ref_axis',(-0.948436814108264,0.289064137917982,0.130036663345)); #377736=DIRECTION('center_axis',(-0.231738119613697,-0.227253430148788, 0.945861153871708)); #377737=DIRECTION('ref_axis',(0.7001424357403,-0.714003199967762,-1.05563164691904E-5)); #377738=DIRECTION('center_axis',(0.,0.,-1.)); #377739=DIRECTION('ref_axis',(1.,0.,0.)); #377740=DIRECTION('center_axis',(0.,1.,0.)); #377741=DIRECTION('ref_axis',(1.,0.,0.)); #377742=DIRECTION('center_axis',(0.,0.,-1.)); #377743=DIRECTION('ref_axis',(1.,0.,0.)); #377744=DIRECTION('center_axis',(0.,0.,-1.)); #377745=DIRECTION('ref_axis',(0.786581973529367,0.617485869408074,0.)); #377746=DIRECTION('center_axis',(0.,0.,1.)); #377747=DIRECTION('ref_axis',(0.,-1.,0.)); #377748=DIRECTION('center_axis',(-0.344545604716746,0.127352808534349,-0.930091172105609)); #377749=DIRECTION('ref_axis',(-0.115167347334943,0.977537659499701,0.176512340553515)); #377750=DIRECTION('center_axis',(0.287742070392661,-0.150170829668195,-0.945861101242096)); #377751=DIRECTION('ref_axis',(0.0648028271327899,0.988419418469468,-0.137213872433061)); #377752=DIRECTION('center_axis',(0.,0.,1.)); #377753=DIRECTION('ref_axis',(-1.,0.,0.)); #377754=DIRECTION('center_axis',(-0.829059500213293,0.527396415748504,0.185777732147093)); #377755=DIRECTION('ref_axis',(0.529220455493308,0.632833935375483,0.565196355017117)); #377756=DIRECTION('center_axis',(0.776204972010853,-0.277345973025616,0.566202307194262)); #377757=DIRECTION('ref_axis',(0.21489430607573,-0.727893688280252,-0.651146078678204)); #377758=DIRECTION('center_axis',(-0.586387402666251,0.152157241462649,-0.795611706716791)); #377759=DIRECTION('ref_axis',(-0.251164584821992,-0.96794439475168,-5.77082085882989E-10)); #377760=DIRECTION('center_axis',(-0.514792298262209,0.119118730019889,-0.848999185988044)); #377761=DIRECTION('ref_axis',(-0.225435275036685,-0.974258146883633,-5.86841567949566E-8)); #377762=DIRECTION('center_axis',(-0.441289518500904,0.0705138083130024, -0.894590053431424)); #377763=DIRECTION('ref_axis',(-0.1577887869669,-0.98747288504926,7.16471356373473E-8)); #377764=DIRECTION('center_axis',(-0.296513885497713,0.0264742215415308, -0.954661527087385)); #377765=DIRECTION('ref_axis',(-0.0886104764419464,-0.99606634989106,-0.000100396369556163)); #377766=DIRECTION('center_axis',(0.394097310034996,-0.156432488712286,0.905657875082231)); #377767=DIRECTION('ref_axis',(-0.368952666552205,-0.9294481856338,8.12736338064942E-6)); #377768=DIRECTION('center_axis',(0.452709955444174,-0.208780569373666,0.866870445968567)); #377769=DIRECTION('ref_axis',(-0.418804797106141,-0.908076286347468,9.70957011790471E-6)); #377770=DIRECTION('center_axis',(-0.46215169710092,0.215065816140687,-0.860326974815087)); #377771=DIRECTION('ref_axis',(-0.097242560631153,0.952006176589968,0.290220819612321)); #377772=DIRECTION('center_axis',(0.276585181555378,-0.14439208583219,0.950079766594894)); #377773=DIRECTION('ref_axis',(-0.462815389171172,-0.886454688868096,1.14063255753956E-5)); #377774=DIRECTION('center_axis',(-0.230200915128351,0.122416833439086,-0.965412687696208)); #377775=DIRECTION('ref_axis',(-0.0181667616742577,0.991342743431583,0.130036663352355)); #377776=DIRECTION('center_axis',(-0.0664493487485117,0.290182447356138, -0.954661527085543)); #377777=DIRECTION('ref_axis',(-0.974697535814089,-0.223527858663081,-0.000100396417772333)); #377778=DIRECTION('center_axis',(0.,0.,1.)); #377779=DIRECTION('ref_axis',(0.,-1.,0.)); #377780=DIRECTION('center_axis',(0.,0.,-1.)); #377781=DIRECTION('ref_axis',(0.830331104510724,-0.557270362465116,0.)); #377782=DIRECTION('center_axis',(0.01464927124029,0.367036524670034,-0.930091172095657)); #377783=DIRECTION('ref_axis',(0.893992232125596,0.411816296471716,0.176593394155655)); #377784=DIRECTION('center_axis',(0.0269933715094612,0.423149112237239,-0.90565787508716)); #377785=DIRECTION('ref_axis',(0.888263931008517,0.405429447870199,0.215903107131329)); #377786=DIRECTION('center_axis',(0.0586670512117323,0.495069497142474,-0.866870445972879)); #377787=DIRECTION('ref_axis',(0.878006871712904,0.387630412182956,0.280796361756685)); #377788=DIRECTION('center_axis',(0.0617270174881759,0.505991375135184,-0.860326974818772)); #377789=DIRECTION('ref_axis',(0.875362073977203,0.386669258285148,0.290220819617653)); #377790=DIRECTION('center_axis',(0.0518555126242052,0.307667747589257,-0.950079766600591)); #377791=DIRECTION('ref_axis',(0.928204742784195,0.336141060054291,0.159515338505438)); #377792=DIRECTION('center_axis',(0.0452893322523593,0.256762962318511,-0.965412687696593)); #377793=DIRECTION('ref_axis',(0.937209137938018,0.323619372025964,0.130036663349216)); #377794=DIRECTION('center_axis',(-0.0539036831001642,-0.320064324975256, -0.945861100176115)); #377795=DIRECTION('ref_axis',(0.960077905725805,0.243773922760593,-0.13720309588003)); #377796=DIRECTION('center_axis',(0.,0.,1.)); #377797=DIRECTION('ref_axis',(-1.,0.,0.)); #377798=DIRECTION('center_axis',(0.245390316310411,0.951456898912674,0.185777722487262)); #377799=DIRECTION('ref_axis',(0.765399297258013,-0.307762109006494,0.565195895258286)); #377800=DIRECTION('center_axis',(-0.02391038160353,-0.823919063031891,0.566202853422892)); #377801=DIRECTION('ref_axis',(-0.625861660785694,-0.42930916549811,-0.651145776288144)); #377802=DIRECTION('center_axis',(-0.0364935367215744,0.604706733810943, -0.795611706714558)); #377803=DIRECTION('ref_axis',(-0.998183949129675,-0.060239552620246,-6.39472178779725E-10)); #377804=DIRECTION('center_axis',(-0.0457909243307925,0.52640628172871,-0.84899918598635)); #377805=DIRECTION('ref_axis',(-0.996237890265917,-0.0866606369611503,-5.86883075529095E-8)); #377806=DIRECTION('center_axis',(-0.0693033438253052,0.441481237233371, -0.894590053435384)); #377807=DIRECTION('ref_axis',(-0.987901938678715,-0.155079848964431,7.16877579544257E-8)); #377808=DIRECTION('center_axis',(0.893190144845772,0.449679180250086,0.)); #377809=DIRECTION('ref_axis',(0.449679180250086,-0.893190144845772,0.)); #377810=DIRECTION('',(0.449679172805887,-0.893190148593575,-8.96027765471775E-14)); #377811=DIRECTION('center_axis',(0.,0.,1.)); #377812=DIRECTION('ref_axis',(-1.,0.,0.)); #377813=DIRECTION('',(-0.707106781185897,0.707106781187197,0.)); #377814=DIRECTION('center_axis',(0.707106781187198,0.707106781185898,0.)); #377815=DIRECTION('ref_axis',(-0.707106781185898,0.707106781187198,0.)); #377816=DIRECTION('center_axis',(0.,0.,-1.)); #377817=DIRECTION('ref_axis',(1.,0.,0.)); #377818=DIRECTION('center_axis',(0.,1.,0.)); #377819=DIRECTION('ref_axis',(0.,0.,-1.)); #377820=DIRECTION('center_axis',(0.,0.,-1.)); #377821=DIRECTION('ref_axis',(1.,0.,0.)); #377822=DIRECTION('center_axis',(0.,0.,-1.)); #377823=DIRECTION('ref_axis',(-0.273409394353587,-0.961897761240354,0.)); #377824=DIRECTION('center_axis',(0.,0.,1.)); #377825=DIRECTION('ref_axis',(0.,-1.,0.)); #377826=DIRECTION('center_axis',(0.3235384532192,0.0940466849636668,-0.941529654517516)); #377827=DIRECTION('ref_axis',(0.671528975397605,-0.723837384599267,0.158455911401045)); #377828=DIRECTION('center_axis',(0.410620481392824,0.10508756361265,-0.905730326440869)); #377829=DIRECTION('ref_axis',(0.660104100915982,-0.719514225642457,0.215781961831934)); #377830=DIRECTION('center_axis',(0.487119114212449,0.0971255704766482,-0.867917963939256)); #377831=DIRECTION('ref_axis',(0.640152210135487,-0.715721397536095,0.279191742298425)); #377832=DIRECTION('center_axis',(0.319931257121376,0.0476349381867107,-0.946242518269331)); #377833=DIRECTION('ref_axis',(0.60785424961684,-0.776410198196768,0.166434417590845)); #377834=DIRECTION('center_axis',(-0.320816897550563,-0.0474806538337671, -0.945950371720181)); #377835=DIRECTION('ref_axis',(0.528247155368346,-0.837949971421898,-0.137094085354876)); #377836=DIRECTION('center_axis',(0.,0.,1.)); #377837=DIRECTION('ref_axis',(-1.,0.,0.)); #377838=DIRECTION('center_axis',(0.980719061839148,0.0606362923907765,0.18577772145885)); #377839=DIRECTION('ref_axis',(-0.0561778127494078,-0.823041575634603,0.565196088213288)); #377840=DIRECTION('center_axis',(-0.790982803233582,-0.231864253321756, 0.566202413470916)); #377841=DIRECTION('ref_axis',(-0.601699169254706,0.462560877971085,-0.651149402125822)); #377842=DIRECTION('center_axis',(0.559255853050916,0.220572759921036,-0.799112350304454)); #377843=DIRECTION('ref_axis',(-0.366898652562832,0.930260919714237,-5.18335004773703E-10)); #377844=DIRECTION('center_axis',(0.431615412115841,0.204994533828066,-0.878456246562506)); #377845=DIRECTION('ref_axis',(-0.429296941617152,0.903163389248982,-0.000168043003921275)); #377846=DIRECTION('center_axis',(0.300957679777512,0.172481709709424,-0.937909662386869)); #377847=DIRECTION('ref_axis',(-0.496396715442192,0.868095716142169,0.000358488238741473)); #377848=DIRECTION('center_axis',(0.255224223835019,0.152710099069626,-0.954746155378464)); #377849=DIRECTION('ref_axis',(-0.51372227177724,0.857956535859631,-0.00010027847927138)); #377850=DIRECTION('center_axis',(0.735230162621099,0.669559729615,-0.1054816403458)); #377851=DIRECTION('ref_axis',(-0.00986990235052565,0.166178498230208,0.986046292905939)); #377852=DIRECTION('',(0.673315990826967,-0.739354838015347,0.)); #377853=DIRECTION('',(0.,0.155619521801071,0.987817070329525)); #377854=DIRECTION('center_axis',(0.,0.,-1.)); #377855=DIRECTION('ref_axis',(0.,1.,0.)); #377856=DIRECTION('',(-6.27191333475699E-7,-0.999999999999799,8.99813764214099E-8)); #377857=DIRECTION('center_axis',(1.,0.,0.)); #377858=DIRECTION('ref_axis',(0.,1.,0.)); #377859=DIRECTION('center_axis',(-1.,0.,0.)); #377860=DIRECTION('ref_axis',(0.,-0.962784520601,-0.270270173883659)); #377861=DIRECTION('',(-1.48794543373904E-6,-0.999999999998725,-5.79791013622315E-7)); #377862=DIRECTION('center_axis',(0.,0.,-1.)); #377863=DIRECTION('ref_axis',(0.,1.,0.)); #377864=DIRECTION('center_axis',(0.,0.,-1.)); #377865=DIRECTION('ref_axis',(-0.583220912144536,0.81231358946979,0.)); #377866=DIRECTION('center_axis',(0.568624619637516,-0.791983785595422,-0.222323469962206)); #377867=DIRECTION('ref_axis',(-0.810995213609905,-0.494509361208852,-0.312645574378782)); #377868=DIRECTION('center_axis',(0.,0.,-1.)); #377869=DIRECTION('ref_axis',(1.,0.,0.)); #377870=DIRECTION('center_axis',(0.,0.,-1.)); #377871=DIRECTION('ref_axis',(1.,0.,0.)); #377872=DIRECTION('center_axis',(1.,0.,0.)); #377873=DIRECTION('ref_axis',(0.,-1.,0.)); #377874=DIRECTION('center_axis',(0.,0.,-1.)); #377875=DIRECTION('ref_axis',(1.,0.,0.)); #377876=DIRECTION('center_axis',(0.,0.,-1.)); #377877=DIRECTION('ref_axis',(1.,0.,0.)); #377878=DIRECTION('center_axis',(0.,0.,1.)); #377879=DIRECTION('ref_axis',(-1.,0.,0.)); #377880=DIRECTION('center_axis',(0.360727389768863,-0.913981610596425,0.185777732148143)); #377881=DIRECTION('ref_axis',(0.800118614973124,0.200905295802829,-0.565196659660925)); #377882=DIRECTION('center_axis',(-0.464943140721483,0.680619440832814,0.566202307180455)); #377883=DIRECTION('ref_axis',(0.253992029614043,0.715190067804591,-0.651146078699859)); #377884=DIRECTION('center_axis',(0.384961591507189,-0.467767661550308,-0.795611706721318)); #377885=DIRECTION('ref_axis',(0.772139857725108,0.635452626174642,-5.97932074123302E-10)); #377886=DIRECTION('center_axis',(0.346459485137345,-0.398956397779777,-0.848999186000169)); #377887=DIRECTION('ref_axis',(0.75503553925728,0.655683867773537,-5.86311132956031E-8)); #377888=DIRECTION('center_axis',(0.315563743334778,-0.316430340171799,-0.894590053438614)); #377889=DIRECTION('ref_axis',(0.708075809054153,0.706136423527565,7.17260443741213E-8)); #377890=DIRECTION('center_axis',(0.224323615436139,-0.195704584147908,-0.954661527087561)); #377891=DIRECTION('ref_axis',(0.657160492095793,0.753750673332356,-0.000100396385812649)); #377892=DIRECTION('center_axis',(-0.144520317497155,0.290621316414039,-0.945861051252399)); #377893=DIRECTION('ref_axis',(0.833801822973735,-0.478949055561187,-0.27455841306127)); #377894=DIRECTION('center_axis',(-0.144520505753254,0.290618969463747,-0.945861743599271)); #377895=DIRECTION('ref_axis',(0.336866216256051,0.913247870058403,0.229127650402831)); #377896=DIRECTION('center_axis',(0.114281643130501,-0.234346001627065,-0.9654126876962)); #377897=DIRECTION('ref_axis',(-0.567999425638434,-0.812691281274124,0.130036663350886)); #377898=DIRECTION('center_axis',(0.138890573684955,-0.279388341985261,-0.950079766600779)); #377899=DIRECTION('ref_axis',(-0.553349028291565,-0.817532338043547,0.159517175068495)); #377900=DIRECTION('center_axis',(0.247476061928607,-0.445637852033303,-0.860326974822627)); #377901=DIRECTION('ref_axis',(-0.480904306555634,-0.827346918651784,0.29022081960749)); #377902=DIRECTION('center_axis',(0.243531907834538,-0.43500326409026,-0.866870445970613)); #377903=DIRECTION('ref_axis',(-0.48246383483233,-0.829685404446302,0.28080380646932)); #377904=DIRECTION('center_axis',(0.226882711401789,-0.358201128639326,-0.905657875087765)); #377905=DIRECTION('ref_axis',(-0.480295708056584,-0.850116616892047,0.215911487666694)); #377906=DIRECTION('center_axis',(0.203887146860516,-0.30554941158055,-0.93009117210511)); #377907=DIRECTION('ref_axis',(-0.481409878611983,-0.858538247491543,0.176512340557103)); #377908=DIRECTION('center_axis',(0.,0.,-1.)); #377909=DIRECTION('ref_axis',(0.,1.,0.)); #377910=DIRECTION('center_axis',(0.,0.,1.)); #377911=DIRECTION('ref_axis',(-1.,0.,0.)); #377912=DIRECTION('',(0.,0.,1.)); #377913=DIRECTION('center_axis',(0.,0.,1.)); #377914=DIRECTION('ref_axis',(-1.,0.,0.)); #377915=DIRECTION('',(0.,0.,1.)); #377916=DIRECTION('center_axis',(0.,0.,1.)); #377917=DIRECTION('ref_axis',(-1.,0.,0.)); #377918=DIRECTION('',(0.,0.,1.)); #377919=DIRECTION('center_axis',(0.,0.,1.)); #377920=DIRECTION('ref_axis',(-1.,0.,0.)); #377921=DIRECTION('',(0.,0.,1.)); #377922=DIRECTION('center_axis',(0.,0.,-1.)); #377923=DIRECTION('ref_axis',(1.,0.,0.)); #377924=DIRECTION('',(3.478698810493E-14,-2.427687680514E-14,1.)); #377925=DIRECTION('center_axis',(0.707106781186698,0.707106781186398,0.)); #377926=DIRECTION('ref_axis',(-0.707106781186397,0.707106781186698,0.)); #377927=DIRECTION('',(2.238209617644E-13,2.806643806252E-13,-1.)); #377928=DIRECTION('',(-0.707106781186098,0.707106781186998,0.)); #377929=DIRECTION('',(-5.167583532801E-14,-6.459479416001E-14,-1.)); #377930=DIRECTION('',(0.707106781185997,-0.707106781187098,0.)); #377931=DIRECTION('',(2.202682480856E-13,2.806643806252E-13,-1.)); #377932=DIRECTION('',(-0.707106781185898,0.707106781187198,0.)); #377933=DIRECTION('',(-1.462882103036E-14,-2.507797890918E-14,-1.)); #377934=DIRECTION('',(-7.247535904753E-14,-8.917311333789E-14,-1.)); #377935=DIRECTION('',(0.707106781186198,-0.707106781186898,0.)); #377936=DIRECTION('center_axis',(0.,0.,-1.)); #377937=DIRECTION('ref_axis',(1.,0.,0.)); #377938=DIRECTION('',(4.618527782441E-13,3.730349362741E-13,1.)); #377939=DIRECTION('center_axis',(0.,0.,1.)); #377940=DIRECTION('ref_axis',(0.620794693237984,-0.78397318120428,0.)); #377941=DIRECTION('center_axis',(0.,0.,-1.)); #377942=DIRECTION('ref_axis',(0.783973181204192,-0.620794693238094,0.)); #377943=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #377944=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #377945=DIRECTION('',(-3.35892929632E-14,-2.19622300144E-14,1.)); #377946=DIRECTION('',(0.707106781184497,-0.707106781188597,0.)); #377947=DIRECTION('',(-0.707106781184897,0.707106781188197,0.)); #377948=DIRECTION('',(-3.694822225953E-14,-2.273736754432E-14,1.)); #377949=DIRECTION('',(-7.934393882656E-14,1.033247561585E-13,-1.)); #377950=DIRECTION('',(-2.234029954493E-13,-1.696943239521E-13,1.)); #377951=DIRECTION('',(0.707106781184598,-0.707106781188498,0.)); #377952=DIRECTION('',(4.618527782441E-13,3.730349362741E-13,1.)); #377953=DIRECTION('',(-0.707106781184798,0.707106781188298,0.)); #377954=DIRECTION('center_axis',(0.,0.,-1.)); #377955=DIRECTION('ref_axis',(1.,0.,0.)); #377956=DIRECTION('center_axis',(0.,0.,1.)); #377957=DIRECTION('ref_axis',(0.617144337248972,-0.786849964733765,0.)); #377958=DIRECTION('center_axis',(0.,0.,-1.)); #377959=DIRECTION('ref_axis',(0.786849964733813,-0.61714433724891,0.)); #377960=DIRECTION('center_axis',(0.,0.,1.)); #377961=DIRECTION('ref_axis',(-1.,0.,0.)); #377962=DIRECTION('center_axis',(0.,0.,1.)); #377963=DIRECTION('ref_axis',(-1.,0.,0.)); #377964=DIRECTION('center_axis',(0.,0.,-1.)); #377965=DIRECTION('ref_axis',(0.783973181204192,-0.620794693238094,0.)); #377966=DIRECTION('center_axis',(0.,0.,-1.)); #377967=DIRECTION('ref_axis',(1.,0.,0.)); #377968=DIRECTION('center_axis',(0.,0.,1.)); #377969=DIRECTION('ref_axis',(0.620794693237984,-0.78397318120428,0.)); #377970=DIRECTION('center_axis',(0.,0.,1.)); #377971=DIRECTION('ref_axis',(-1.,0.,0.)); #377972=DIRECTION('center_axis',(0.,0.,-1.)); #377973=DIRECTION('ref_axis',(0.786849964733813,-0.61714433724891,0.)); #377974=DIRECTION('center_axis',(0.,0.,-1.)); #377975=DIRECTION('ref_axis',(1.,0.,0.)); #377976=DIRECTION('center_axis',(0.,0.,1.)); #377977=DIRECTION('ref_axis',(0.617144337249193,-0.786849964733591,0.)); #377978=DIRECTION('center_axis',(0.,0.,-1.)); #377979=DIRECTION('ref_axis',(1.,0.,0.)); #377980=DIRECTION('center_axis',(0.,0.,-1.)); #377981=DIRECTION('ref_axis',(1.,0.,0.)); #377982=DIRECTION('center_axis',(0.,0.,-1.)); #377983=DIRECTION('ref_axis',(1.,0.,0.)); #377984=DIRECTION('',(1.653492158009E-13,-1.167954621906E-13,1.)); #377985=DIRECTION('center_axis',(0.707106781187448,0.707106781185648,0.)); #377986=DIRECTION('ref_axis',(-0.707106781185648,0.707106781187448,0.)); #377987=DIRECTION('',(6.927791673661E-14,3.19744231092E-14,-1.)); #377988=DIRECTION('',(-0.707106781186198,0.707106781186898,0.)); #377989=DIRECTION('',(3.843390252521E-14,2.97136053136E-14,-1.)); #377990=DIRECTION('',(0.707106781185898,-0.707106781187198,0.)); #377991=DIRECTION('',(6.927791673661E-14,3.19744231092E-14,-1.)); #377992=DIRECTION('',(-0.707106781186297,0.707106781186798,0.)); #377993=DIRECTION('',(3.343730521225E-14,2.04803494425E-14,-1.)); #377994=DIRECTION('center_axis',(0.710505537205603,0.703689716959284,0.00163212926598558)); #377995=DIRECTION('ref_axis',(0.666181144335911,-0.673377191557117,0.320571116637082)); #377996=DIRECTION('',(-7.780442956573E-14,-4.476419235289E-14,-1.)); #377997=DIRECTION('',(0.707106781186198,-0.707106781186898,0.)); #377998=DIRECTION('center_axis',(0.,0.,-1.)); #377999=DIRECTION('ref_axis',(1.,0.,0.)); #378000=DIRECTION('center_axis',(0.,0.,-1.)); #378001=DIRECTION('ref_axis',(0.574051478726618,-0.818819210675826,0.)); #378002=DIRECTION('',(1.95399252334E-14,-2.13162820728E-14,1.)); #378003=DIRECTION('center_axis',(0.,0.,1.)); #378004=DIRECTION('ref_axis',(0.384615384615406,-0.923076923076914,0.)); #378005=DIRECTION('center_axis',(0.,0.,1.)); #378006=DIRECTION('ref_axis',(-1.,0.,0.)); #378007=DIRECTION('',(-1.928120290018E-13,1.,0.)); #378008=DIRECTION('center_axis',(0.,0.,-1.)); #378009=DIRECTION('ref_axis',(0.568278109877504,-0.822836551104806,0.)); #378010=DIRECTION('center_axis',(0.,0.,-1.)); #378011=DIRECTION('ref_axis',(1.,0.,0.)); #378012=DIRECTION('',(0.,0.,1.)); #378013=DIRECTION('center_axis',(0.,0.,1.)); #378014=DIRECTION('ref_axis',(0.399999999999988,-0.916515138991173,0.)); #378015=DIRECTION('center_axis',(0.,0.,1.)); #378016=DIRECTION('ref_axis',(-1.,0.,0.)); #378017=DIRECTION('center_axis',(0.,0.,-1.)); #378018=DIRECTION('ref_axis',(0.574051478726618,-0.818819210675826,0.)); #378019=DIRECTION('',(1.944460292475E-13,-1.,0.)); #378020=DIRECTION('center_axis',(0.,0.,-1.)); #378021=DIRECTION('ref_axis',(1.,0.,0.)); #378022=DIRECTION('',(1.95399252334E-14,-2.13162820728E-14,1.)); #378023=DIRECTION('center_axis',(0.,0.,1.)); #378024=DIRECTION('ref_axis',(0.384615384615406,-0.923076923076914,0.)); #378025=DIRECTION('center_axis',(0.,0.,1.)); #378026=DIRECTION('ref_axis',(-1.,0.,0.)); #378027=DIRECTION('',(-1.928120290018E-13,1.,0.)); #378028=DIRECTION('center_axis',(0.,0.,-1.)); #378029=DIRECTION('ref_axis',(0.568278109877504,-0.822836551104806,0.)); #378030=DIRECTION('center_axis',(0.,0.,-1.)); #378031=DIRECTION('ref_axis',(1.,0.,0.)); #378032=DIRECTION('',(1.567373681824E-14,1.128509050913E-14,1.)); #378033=DIRECTION('center_axis',(0.,0.,1.)); #378034=DIRECTION('ref_axis',(0.399999999999988,-0.916515138991173,0.)); #378035=DIRECTION('center_axis',(0.,0.,-1.)); #378036=DIRECTION('ref_axis',(1.,0.,0.)); #378037=DIRECTION('center_axis',(1.,0.,0.)); #378038=DIRECTION('ref_axis',(0.,0.,1.)); #378039=DIRECTION('',(0.,0.,-1.)); #378040=DIRECTION('',(1.944460292475E-13,-1.,0.)); #378041=DIRECTION('',(-3.481659405224E-14,-2.202682480856E-14,1.)); #378042=DIRECTION('center_axis',(0.,1.,0.)); #378043=DIRECTION('ref_axis',(0.,0.,-1.)); #378044=DIRECTION('',(0.,0.,-1.)); #378045=DIRECTION('center_axis',(1.,0.,0.)); #378046=DIRECTION('ref_axis',(0.,0.,-1.)); #378047=DIRECTION('',(1.06581410364E-14,-1.136868377216E-14,-1.)); #378048=DIRECTION('',(-3.758200565288E-14,-1.,0.)); #378049=DIRECTION('',(-3.552713678801E-14,-1.06581410364E-14,-1.)); #378050=DIRECTION('',(3.59480054071E-14,1.,0.)); #378051=DIRECTION('',(-2.84217094304E-14,0.,-1.)); #378052=DIRECTION('',(6.536000983112E-14,1.,0.)); #378053=DIRECTION('',(3.37507799486E-14,-4.263256414561E-14,-1.)); #378054=DIRECTION('',(-1.437920216284E-13,-1.,0.)); #378055=DIRECTION('',(1.609170313339E-14,-1.504678734551E-14,-1.)); #378056=DIRECTION('center_axis',(0.,0.,-1.)); #378057=DIRECTION('ref_axis',(1.,0.,0.)); #378058=DIRECTION('center_axis',(-0.71050553719874,0.703689716966221,0.00163212926262696)); #378059=DIRECTION('ref_axis',(-0.666094326024653,-0.673290373231223,0.320933672511821)); #378060=DIRECTION('center_axis',(0.,0.,1.)); #378061=DIRECTION('ref_axis',(-0.568278109877572,-0.822836551104759,0.)); #378062=DIRECTION('center_axis',(0.707106781187798,-0.707106781185298,0.)); #378063=DIRECTION('ref_axis',(-0.707106781185298,-0.707106781187797,0.)); #378064=DIRECTION('',(2.93906313428E-14,-1.42108547152E-14,1.)); #378065=DIRECTION('',(-0.707106781185897,-0.707106781187198,0.)); #378066=DIRECTION('',(-2.007283228522E-13,1.705302565824E-13,1.)); #378067=DIRECTION('',(0.707106781185898,0.707106781187198,0.)); #378068=DIRECTION('',(3.161915174132E-14,-1.492139745096E-14,1.)); #378069=DIRECTION('',(-1.924386576017E-13,-1.360393279508E-13,-1.)); #378070=DIRECTION('',(0.,0.,1.)); #378071=DIRECTION('',(-0.707106781185897,-0.707106781187198,0.)); #378072=DIRECTION('',(-2.007283228522E-13,1.705302565824E-13,1.)); #378073=DIRECTION('',(0.707106781185997,0.707106781187097,0.)); #378074=DIRECTION('center_axis',(0.,0.,-1.)); #378075=DIRECTION('ref_axis',(1.,0.,0.)); #378076=DIRECTION('center_axis',(0.,0.,1.)); #378077=DIRECTION('ref_axis',(-0.568278109877572,-0.822836551104759,0.)); #378078=DIRECTION('center_axis',(0.,0.,-1.)); #378079=DIRECTION('ref_axis',(-0.399999999999988,-0.916515138991173,0.)); #378080=DIRECTION('center_axis',(0.,0.,1.)); #378081=DIRECTION('ref_axis',(-1.,0.,0.)); #378082=DIRECTION('center_axis',(0.,0.,1.)); #378083=DIRECTION('ref_axis',(-0.574051478726571,-0.818819210675859,0.)); #378084=DIRECTION('center_axis',(0.,0.,-1.)); #378085=DIRECTION('ref_axis',(1.,0.,0.)); #378086=DIRECTION('center_axis',(0.,0.,-1.)); #378087=DIRECTION('ref_axis',(-0.384615384615406,-0.923076923076914,0.)); #378088=DIRECTION('center_axis',(0.,0.,1.)); #378089=DIRECTION('ref_axis',(-1.,0.,0.)); #378090=DIRECTION('center_axis',(0.,0.,1.)); #378091=DIRECTION('ref_axis',(-0.568278109877572,-0.822836551104759,0.)); #378092=DIRECTION('center_axis',(0.,0.,-1.)); #378093=DIRECTION('ref_axis',(1.,0.,0.)); #378094=DIRECTION('center_axis',(0.,0.,1.)); #378095=DIRECTION('ref_axis',(-1.,0.,0.)); #378096=DIRECTION('center_axis',(0.,0.,-1.)); #378097=DIRECTION('ref_axis',(-0.384615384615406,-0.923076923076914,0.)); #378098=DIRECTION('center_axis',(0.,0.,-1.)); #378099=DIRECTION('ref_axis',(1.,0.,0.)); #378100=DIRECTION('center_axis',(0.,0.,1.)); #378101=DIRECTION('ref_axis',(-0.574051478726571,-0.818819210675859,0.)); #378102=DIRECTION('center_axis',(0.,0.,1.)); #378103=DIRECTION('ref_axis',(-1.,0.,0.)); #378104=DIRECTION('center_axis',(0.,0.,-1.)); #378105=DIRECTION('ref_axis',(-0.399999999999988,-0.916515138991173,0.)); #378106=DIRECTION('center_axis',(0.,0.,-1.)); #378107=DIRECTION('ref_axis',(1.,0.,0.)); #378108=DIRECTION('center_axis',(0.,0.,-1.)); #378109=DIRECTION('ref_axis',(-0.707106781184656,-0.707106781188439,0.)); #378110=DIRECTION('',(7.815970093362E-14,1.019924885289E-13,1.)); #378111=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #378112=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #378113=DIRECTION('',(-4.263256414561E-14,0.,-1.)); #378114=DIRECTION('',(0.707106781186698,0.707106781186398,0.)); #378115=DIRECTION('',(-1.16270629488E-14,0.,-1.)); #378116=DIRECTION('',(-0.707106781186748,-0.707106781186348,0.)); #378117=DIRECTION('',(-3.907985046681E-14,-1.42108547152E-14,-1.)); #378118=DIRECTION('',(0.707106781186898,0.707106781186198,0.)); #378119=DIRECTION('',(0.,-2.424204627887E-14,-1.)); #378120=DIRECTION('',(6.039613253961E-14,-5.471179065353E-14,-1.)); #378121=DIRECTION('',(-0.707106781186597,-0.707106781186498,0.)); #378122=DIRECTION('center_axis',(0.,0.,-1.)); #378123=DIRECTION('ref_axis',(1.,0.,0.)); #378124=DIRECTION('',(-3.907985046681E-14,6.394884621841E-14,1.)); #378125=DIRECTION('center_axis',(0.,0.,1.)); #378126=DIRECTION('ref_axis',(-0.783973181204192,-0.620794693238094,0.)); #378127=DIRECTION('center_axis',(0.,0.,-1.)); #378128=DIRECTION('ref_axis',(-0.620794693238094,-0.783973181204192,0.)); #378129=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #378130=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #378131=DIRECTION('',(1.87324903064E-14,-1.22730108904E-14,1.)); #378132=DIRECTION('',(-0.707106781186398,-0.707106781186698,0.)); #378133=DIRECTION('',(0.707106781186297,0.707106781186798,0.)); #378134=DIRECTION('',(1.989519660128E-14,-1.385558334732E-14,1.)); #378135=DIRECTION('',(-5.018208071307E-14,-3.523107731478E-14,-1.)); #378136=DIRECTION('',(2.842170943041E-14,0.,1.)); #378137=DIRECTION('',(-0.707106781186398,-0.707106781186698,0.)); #378138=DIRECTION('',(-3.907985046681E-14,6.394884621841E-14,1.)); #378139=DIRECTION('',(0.707106781186398,0.707106781186698,0.)); #378140=DIRECTION('center_axis',(0.,0.,-1.)); #378141=DIRECTION('ref_axis',(1.,0.,0.)); #378142=DIRECTION('center_axis',(0.,0.,1.)); #378143=DIRECTION('ref_axis',(-0.786849964733765,-0.617144337248972,0.)); #378144=DIRECTION('center_axis',(0.,0.,-1.)); #378145=DIRECTION('ref_axis',(-0.617144337248972,-0.786849964733765,0.)); #378146=DIRECTION('center_axis',(0.,0.,1.)); #378147=DIRECTION('ref_axis',(-1.,0.,0.)); #378148=DIRECTION('center_axis',(0.,0.,1.)); #378149=DIRECTION('ref_axis',(-1.,0.,0.)); #378150=DIRECTION('center_axis',(0.,0.,-1.)); #378151=DIRECTION('ref_axis',(-0.620794693238094,-0.783973181204192,0.)); #378152=DIRECTION('center_axis',(0.,0.,-1.)); #378153=DIRECTION('ref_axis',(1.,0.,0.)); #378154=DIRECTION('center_axis',(0.,0.,1.)); #378155=DIRECTION('ref_axis',(-0.783973181204192,-0.620794693238094,0.)); #378156=DIRECTION('center_axis',(0.,0.,1.)); #378157=DIRECTION('ref_axis',(-1.,0.,0.)); #378158=DIRECTION('center_axis',(0.,0.,-1.)); #378159=DIRECTION('ref_axis',(-0.617144337248972,-0.786849964733765,0.)); #378160=DIRECTION('center_axis',(0.,0.,-1.)); #378161=DIRECTION('ref_axis',(1.,0.,0.)); #378162=DIRECTION('center_axis',(0.,0.,1.)); #378163=DIRECTION('ref_axis',(-0.786849964733813,-0.61714433724891,0.)); #378164=DIRECTION('center_axis',(0.,0.,-1.)); #378165=DIRECTION('ref_axis',(1.,0.,0.)); #378166=DIRECTION('center_axis',(0.,0.,-1.)); #378167=DIRECTION('ref_axis',(1.,0.,0.)); #378168=DIRECTION('center_axis',(0.,0.,-1.)); #378169=DIRECTION('ref_axis',(-0.707106781187233,-0.707106781185862,0.)); #378170=DIRECTION('center_axis',(0.,0.,-1.)); #378171=DIRECTION('ref_axis',(1.,0.,0.)); #378172=DIRECTION('center_axis',(0.,0.,1.)); #378173=DIRECTION('ref_axis',(-0.786849964733765,-0.617144337248972,0.)); #378174=DIRECTION('center_axis',(0.,0.,1.)); #378175=DIRECTION('ref_axis',(-1.,0.,0.)); #378176=DIRECTION('center_axis',(0.,0.,-1.)); #378177=DIRECTION('ref_axis',(1.,0.,0.)); #378178=DIRECTION('center_axis',(0.,0.,1.)); #378179=DIRECTION('ref_axis',(0.399999999999988,-0.916515138991173,0.)); #378180=DIRECTION('center_axis',(0.,0.,1.)); #378181=DIRECTION('ref_axis',(-1.,0.,0.)); #378182=DIRECTION('center_axis',(0.,0.,-1.)); #378183=DIRECTION('ref_axis',(1.,0.,0.)); #378184=DIRECTION('center_axis',(0.,0.,1.)); #378185=DIRECTION('ref_axis',(0.617144337248972,-0.786849964733765,0.)); #378186=DIRECTION('center_axis',(0.,0.,1.)); #378187=DIRECTION('ref_axis',(-1.,0.,0.)); #378188=DIRECTION('center_axis',(0.,0.,-1.)); #378189=DIRECTION('ref_axis',(1.,0.,0.)); #378190=DIRECTION('center_axis',(0.,0.,-1.)); #378191=DIRECTION('ref_axis',(1.,0.,0.)); #378192=DIRECTION('',(3.836930773105E-14,5.009326287109E-14,1.)); #378193=DIRECTION('center_axis',(0.,0.,1.)); #378194=DIRECTION('ref_axis',(-0.822836551104806,0.568278109877504,0.)); #378195=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #378196=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #378197=DIRECTION('',(3.42352409048E-14,4.553932988281E-14,1.)); #378198=DIRECTION('',(-0.707106781186448,0.707106781186647,0.)); #378199=DIRECTION('',(-2.344791028008E-13,-3.46389583683E-13,1.)); #378200=DIRECTION('',(0.707106781186597,-0.707106781186498,0.)); #378201=DIRECTION('',(-1.178316703469E-13,1.539509260814E-13,-1.)); #378202=DIRECTION('center_axis',(0.703689715926086,0.710505538227758,0.0016321297582143)); #378203=DIRECTION('ref_axis',(-0.67329037431882,0.666094324927214,0.320933672507859)); #378204=DIRECTION('',(0.,0.,1.)); #378205=DIRECTION('',(-0.707106781186398,0.707106781186698,0.)); #378206=DIRECTION('',(-2.451372438372E-13,-3.623767952377E-13,1.)); #378207=DIRECTION('',(0.707106781186498,-0.707106781186597,0.)); #378208=DIRECTION('center_axis',(0.,0.,-1.)); #378209=DIRECTION('ref_axis',(1.,0.,0.)); #378210=DIRECTION('center_axis',(0.,0.,1.)); #378211=DIRECTION('ref_axis',(-0.822836551104806,0.568278109877504,0.)); #378212=DIRECTION('center_axis',(0.,0.,-1.)); #378213=DIRECTION('ref_axis',(-0.916515138991173,0.399999999999988,0.)); #378214=DIRECTION('center_axis',(0.,0.,1.)); #378215=DIRECTION('ref_axis',(-1.,0.,0.)); #378216=DIRECTION('center_axis',(0.,0.,1.)); #378217=DIRECTION('ref_axis',(-0.818819210675826,0.574051478726618,0.)); #378218=DIRECTION('center_axis',(0.,0.,-1.)); #378219=DIRECTION('ref_axis',(1.,0.,0.)); #378220=DIRECTION('center_axis',(0.,0.,-1.)); #378221=DIRECTION('ref_axis',(-0.923076923076914,0.384615384615406,0.)); #378222=DIRECTION('center_axis',(0.,0.,1.)); #378223=DIRECTION('ref_axis',(-1.,0.,0.)); #378224=DIRECTION('center_axis',(0.,0.,1.)); #378225=DIRECTION('ref_axis',(-1.,0.,0.)); #378226=DIRECTION('center_axis',(0.,0.,-1.)); #378227=DIRECTION('ref_axis',(-0.92307692307695,0.384615384615321,0.)); #378228=DIRECTION('center_axis',(0.,0.,-1.)); #378229=DIRECTION('ref_axis',(1.,0.,0.)); #378230=DIRECTION('center_axis',(0.,0.,1.)); #378231=DIRECTION('ref_axis',(-0.818819210675826,0.574051478726618,0.)); #378232=DIRECTION('center_axis',(0.,0.,1.)); #378233=DIRECTION('ref_axis',(-1.,0.,0.)); #378234=DIRECTION('center_axis',(0.,0.,-1.)); #378235=DIRECTION('ref_axis',(-0.916515138991173,0.399999999999988,0.)); #378236=DIRECTION('center_axis',(0.,0.,-1.)); #378237=DIRECTION('ref_axis',(1.,0.,0.)); #378238=DIRECTION('center_axis',(0.,0.,1.)); #378239=DIRECTION('ref_axis',(-0.822836551104759,0.568278109877572,0.)); #378240=DIRECTION('center_axis',(0.,0.,-1.)); #378241=DIRECTION('ref_axis',(1.,0.,0.)); #378242=DIRECTION('center_axis',(0.,0.,-1.)); #378243=DIRECTION('ref_axis',(-0.707106781184794,0.707106781188302,0.)); #378244=DIRECTION('',(1.284898113833E-13,-9.074222854604E-14,1.)); #378245=DIRECTION('center_axis',(0.707106781185898,0.707106781187198,0.)); #378246=DIRECTION('ref_axis',(0.707106781187198,-0.707106781185898,0.)); #378247=DIRECTION('',(4.227729277773E-13,5.471179065353E-13,-1.)); #378248=DIRECTION('',(0.707106781187098,-0.707106781185997,0.)); #378249=DIRECTION('',(-7.105427357601E-14,-9.366245153201E-14,-1.)); #378250=DIRECTION('',(-0.707106781186998,0.707106781186098,0.)); #378251=DIRECTION('',(4.227729277773E-13,5.506706202141E-13,-1.)); #378252=DIRECTION('',(0.707106781186947,-0.707106781186148,0.)); #378253=DIRECTION('',(-6.520274516387E-14,-7.753275146088E-14,-1.)); #378254=DIRECTION('',(-1.541877736599E-13,-1.92557081391E-13,-1.)); #378255=DIRECTION('',(-0.707106781187198,0.707106781185898,0.)); #378256=DIRECTION('center_axis',(0.,0.,-1.)); #378257=DIRECTION('ref_axis',(1.,0.,0.)); #378258=DIRECTION('',(-6.110667527537E-13,-5.400124791777E-13,1.)); #378259=DIRECTION('center_axis',(0.,0.,1.)); #378260=DIRECTION('ref_axis',(-0.620794693237984,0.78397318120428,0.)); #378261=DIRECTION('center_axis',(0.,0.,-1.)); #378262=DIRECTION('ref_axis',(-0.78397318120428,0.620794693237984,0.)); #378263=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #378264=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #378265=DIRECTION('',(2.338331548592E-13,1.705302565824E-13,1.)); #378266=DIRECTION('',(-0.707106781187998,0.707106781185098,0.)); #378267=DIRECTION('',(0.707106781187298,-0.707106781185798,0.)); #378268=DIRECTION('',(-1.143973804574E-13,-1.058708676283E-13,1.)); #378269=DIRECTION('',(-2.069455717902E-13,2.697101801156E-13,-1.)); #378270=DIRECTION('',(0.,0.,1.)); #378271=DIRECTION('',(-0.707106781189197,0.707106781183897,0.)); #378272=DIRECTION('',(-6.110667527537E-13,-5.400124791777E-13,1.)); #378273=DIRECTION('',(0.707106781187498,-0.707106781185597,0.)); #378274=DIRECTION('center_axis',(0.,0.,-1.)); #378275=DIRECTION('ref_axis',(1.,0.,0.)); #378276=DIRECTION('center_axis',(0.,0.,1.)); #378277=DIRECTION('ref_axis',(-0.61714433724891,0.786849964733813,0.)); #378278=DIRECTION('center_axis',(0.,0.,-1.)); #378279=DIRECTION('ref_axis',(-0.786849964733727,0.617144337249021,0.)); #378280=DIRECTION('center_axis',(0.,0.,1.)); #378281=DIRECTION('ref_axis',(-1.,0.,0.)); #378282=DIRECTION('center_axis',(0.,0.,1.)); #378283=DIRECTION('ref_axis',(-1.,0.,0.)); #378284=DIRECTION('center_axis',(0.,0.,-1.)); #378285=DIRECTION('ref_axis',(-0.78397318120428,0.620794693237984,0.)); #378286=DIRECTION('center_axis',(0.,0.,-1.)); #378287=DIRECTION('ref_axis',(1.,0.,0.)); #378288=DIRECTION('center_axis',(0.,0.,1.)); #378289=DIRECTION('ref_axis',(-0.620794693237984,0.78397318120428,0.)); #378290=DIRECTION('center_axis',(0.,0.,1.)); #378291=DIRECTION('ref_axis',(-1.,0.,0.)); #378292=DIRECTION('center_axis',(0.,0.,-1.)); #378293=DIRECTION('ref_axis',(-0.786849964733727,0.617144337249021,0.)); #378294=DIRECTION('center_axis',(0.,0.,-1.)); #378295=DIRECTION('ref_axis',(1.,0.,0.)); #378296=DIRECTION('center_axis',(0.,0.,1.)); #378297=DIRECTION('ref_axis',(-0.6171443372488,0.7868499647339,0.)); #378298=DIRECTION('center_axis',(0.,0.,-1.)); #378299=DIRECTION('ref_axis',(1.,0.,0.)); #378300=DIRECTION('center_axis',(0.,0.,-1.)); #378301=DIRECTION('ref_axis',(1.,0.,0.)); #378302=DIRECTION('center_axis',(0.,0.,-1.)); #378303=DIRECTION('ref_axis',(-0.707106781192361,0.707106781180734,0.)); #378304=DIRECTION('',(6.276460832549E-14,-4.411286151178E-14,1.)); #378305=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #378306=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #378307=DIRECTION('',(-1.492139745096E-13,-8.881784197001E-14,-1.)); #378308=DIRECTION('',(0.707106781186398,-0.707106781186698,0.)); #378309=DIRECTION('',(0.,0.,-1.)); #378310=DIRECTION('',(-0.707106781186297,0.707106781186797,0.)); #378311=DIRECTION('',(-1.492139745096E-13,-8.881784197001E-14,-1.)); #378312=DIRECTION('',(0.707106781186398,-0.707106781186698,0.)); #378313=DIRECTION('',(-1.003119156367E-14,1.42108547152E-14,-1.)); #378314=DIRECTION('center_axis',(-0.710505537426613,-0.703689716735886, 0.00163212937238707)); #378315=DIRECTION('ref_axis',(-0.666181144100071,0.673377191790697,0.320571116636535)); #378316=DIRECTION('',(-1.740829702612E-14,0.,-1.)); #378317=DIRECTION('',(-0.707106781186297,0.707106781186797,0.)); #378318=DIRECTION('center_axis',(0.,0.,-1.)); #378319=DIRECTION('ref_axis',(1.,0.,0.)); #378320=DIRECTION('center_axis',(0.,0.,-1.)); #378321=DIRECTION('ref_axis',(-0.574051478726618,0.818819210675826,0.)); #378322=DIRECTION('',(-2.6645352591E-14,-1.42108547152E-14,1.)); #378323=DIRECTION('center_axis',(0.,0.,1.)); #378324=DIRECTION('ref_axis',(-0.384615384615406,0.923076923076914,0.)); #378325=DIRECTION('center_axis',(0.,0.,1.)); #378326=DIRECTION('ref_axis',(-1.,0.,0.)); #378327=DIRECTION('',(1.91178028756E-13,-1.,0.)); #378328=DIRECTION('center_axis',(0.,0.,-1.)); #378329=DIRECTION('ref_axis',(-0.568278109877572,0.822836551104759,0.)); #378330=DIRECTION('center_axis',(0.,0.,-1.)); #378331=DIRECTION('ref_axis',(1.,0.,0.)); #378332=DIRECTION('',(0.,0.,1.)); #378333=DIRECTION('center_axis',(0.,0.,1.)); #378334=DIRECTION('ref_axis',(-0.399999999999988,0.916515138991173,0.)); #378335=DIRECTION('center_axis',(0.,0.,1.)); #378336=DIRECTION('ref_axis',(-1.,0.,0.)); #378337=DIRECTION('center_axis',(0.,0.,-1.)); #378338=DIRECTION('ref_axis',(-0.574051478726618,0.818819210675826,0.)); #378339=DIRECTION('',(-1.928120290018E-13,1.,0.)); #378340=DIRECTION('center_axis',(0.,0.,-1.)); #378341=DIRECTION('ref_axis',(1.,0.,0.)); #378342=DIRECTION('',(-2.6645352591E-14,-2.13162820728E-14,1.)); #378343=DIRECTION('center_axis',(0.,0.,1.)); #378344=DIRECTION('ref_axis',(-0.384615384615406,0.923076923076914,0.)); #378345=DIRECTION('center_axis',(0.,0.,1.)); #378346=DIRECTION('ref_axis',(-1.,0.,0.)); #378347=DIRECTION('',(1.91178028756E-13,-1.,0.)); #378348=DIRECTION('center_axis',(0.,0.,-1.)); #378349=DIRECTION('ref_axis',(-0.568278109877572,0.822836551104759,0.)); #378350=DIRECTION('center_axis',(0.,0.,-1.)); #378351=DIRECTION('ref_axis',(1.,0.,0.)); #378352=DIRECTION('',(0.,-1.755458523643E-14,1.)); #378353=DIRECTION('center_axis',(0.,0.,1.)); #378354=DIRECTION('ref_axis',(-0.399999999999988,0.916515138991173,0.)); #378355=DIRECTION('center_axis',(0.,0.,-1.)); #378356=DIRECTION('ref_axis',(1.,0.,0.)); #378357=DIRECTION('center_axis',(1.,0.,0.)); #378358=DIRECTION('ref_axis',(0.,-1.,0.)); #378359=DIRECTION('',(0.,0.,-1.)); #378360=DIRECTION('',(-1.928120290018E-13,1.,0.)); #378361=DIRECTION('',(-1.385558334732E-14,0.,1.)); #378362=DIRECTION('center_axis',(0.,1.,0.)); #378363=DIRECTION('ref_axis',(0.,0.,-1.)); #378364=DIRECTION('',(0.,0.,-1.)); #378365=DIRECTION('center_axis',(1.,0.,0.)); #378366=DIRECTION('ref_axis',(0.,1.,0.)); #378367=DIRECTION('',(2.48689957516E-14,-1.136868377216E-14,-1.)); #378368=DIRECTION('',(-1.797400270355E-14,1.,0.)); #378369=DIRECTION('',(-2.30926389122E-14,0.,-1.)); #378370=DIRECTION('',(1.797400270355E-14,-1.,0.)); #378371=DIRECTION('',(0.,0.,-1.)); #378372=DIRECTION('',(-1.470600221199E-14,-1.,0.)); #378373=DIRECTION('',(2.30926389122E-14,-1.42108547152E-14,-1.)); #378374=DIRECTION('',(1.960800294933E-13,1.,0.)); #378375=DIRECTION('',(0.,0.,-1.)); #378376=DIRECTION('center_axis',(0.,0.,-1.)); #378377=DIRECTION('ref_axis',(1.,0.,0.)); #378378=DIRECTION('center_axis',(0.710505539305303,-0.703689714836901,0.00163213027701795)); #378379=DIRECTION('ref_axis',(0.666094323778478,0.673290375457862,0.320933672502441)); #378380=DIRECTION('center_axis',(0.,0.,1.)); #378381=DIRECTION('ref_axis',(0.568278109877572,0.822836551104759,0.)); #378382=DIRECTION('center_axis',(-0.707106781186198,0.707106781186898,0.)); #378383=DIRECTION('ref_axis',(0.707106781186898,0.707106781186198,0.)); #378384=DIRECTION('',(6.039613253961E-14,-4.392446002881E-14,1.)); #378385=DIRECTION('',(0.707106781186597,0.707106781186498,0.)); #378386=DIRECTION('',(-4.085620730621E-13,3.01980662698E-13,1.)); #378387=DIRECTION('',(-0.707106781186597,-0.707106781186498,0.)); #378388=DIRECTION('',(6.643574579357E-14,-4.831690603169E-14,1.)); #378389=DIRECTION('',(-8.763360407709E-14,-6.20244596424E-14,-1.)); #378390=DIRECTION('',(0.,0.,1.)); #378391=DIRECTION('',(0.707106781186698,0.707106781186398,0.)); #378392=DIRECTION('',(-4.085620730621E-13,3.01980662698E-13,1.)); #378393=DIRECTION('',(-0.707106781186597,-0.707106781186498,0.)); #378394=DIRECTION('center_axis',(0.,0.,-1.)); #378395=DIRECTION('ref_axis',(1.,0.,0.)); #378396=DIRECTION('center_axis',(0.,0.,1.)); #378397=DIRECTION('ref_axis',(0.568278109877504,0.822836551104806,0.)); #378398=DIRECTION('center_axis',(0.,0.,-1.)); #378399=DIRECTION('ref_axis',(0.399999999999988,0.916515138991173,0.)); #378400=DIRECTION('center_axis',(0.,0.,1.)); #378401=DIRECTION('ref_axis',(-1.,0.,0.)); #378402=DIRECTION('center_axis',(0.,0.,1.)); #378403=DIRECTION('ref_axis',(0.574051478726685,0.818819210675779,0.)); #378404=DIRECTION('center_axis',(0.,0.,-1.)); #378405=DIRECTION('ref_axis',(1.,0.,0.)); #378406=DIRECTION('center_axis',(0.,0.,-1.)); #378407=DIRECTION('ref_axis',(0.384615384615406,0.923076923076914,0.)); #378408=DIRECTION('center_axis',(0.,0.,1.)); #378409=DIRECTION('ref_axis',(-1.,0.,0.)); #378410=DIRECTION('center_axis',(0.,0.,1.)); #378411=DIRECTION('ref_axis',(0.568278109877504,0.822836551104806,0.)); #378412=DIRECTION('center_axis',(0.,0.,-1.)); #378413=DIRECTION('ref_axis',(1.,0.,0.)); #378414=DIRECTION('center_axis',(0.,0.,1.)); #378415=DIRECTION('ref_axis',(-1.,0.,0.)); #378416=DIRECTION('center_axis',(0.,0.,-1.)); #378417=DIRECTION('ref_axis',(0.384615384615406,0.923076923076914,0.)); #378418=DIRECTION('center_axis',(0.,0.,-1.)); #378419=DIRECTION('ref_axis',(1.,0.,0.)); #378420=DIRECTION('center_axis',(0.,0.,1.)); #378421=DIRECTION('ref_axis',(0.574051478726685,0.818819210675779,0.)); #378422=DIRECTION('center_axis',(0.,0.,1.)); #378423=DIRECTION('ref_axis',(-1.,0.,0.)); #378424=DIRECTION('center_axis',(0.,0.,-1.)); #378425=DIRECTION('ref_axis',(0.399999999999988,0.916515138991173,0.)); #378426=DIRECTION('center_axis',(0.,0.,-1.)); #378427=DIRECTION('ref_axis',(1.,0.,0.)); #378428=DIRECTION('center_axis',(0.,0.,-1.)); #378429=DIRECTION('ref_axis',(1.,0.,0.)); #378430=DIRECTION('',(3.345472047538E-14,4.352074256531E-14,1.)); #378431=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #378432=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #378433=DIRECTION('',(-2.074784788419E-12,1.762145984685E-12,-1.)); #378434=DIRECTION('',(-0.707106781185198,-0.707106781187898,0.)); #378435=DIRECTION('',(4.121147867409E-13,-3.210361269752E-13,-1.)); #378436=DIRECTION('',(0.707106781185198,0.707106781187898,0.)); #378437=DIRECTION('',(-2.074784788419E-12,1.762145984685E-12,-1.)); #378438=DIRECTION('',(-0.707106781185298,-0.707106781187797,0.)); #378439=DIRECTION('',(2.697972564313E-13,-2.060573933705E-13,-1.)); #378440=DIRECTION('',(4.73932004752E-13,-4.000355602329E-13,-1.)); #378441=DIRECTION('',(0.707106781185098,0.707106781187998,0.)); #378442=DIRECTION('center_axis',(0.,0.,-1.)); #378443=DIRECTION('ref_axis',(1.,0.,0.)); #378444=DIRECTION('',(-1.790567694115E-12,2.145839061996E-12,1.)); #378445=DIRECTION('center_axis',(0.,0.,1.)); #378446=DIRECTION('ref_axis',(0.783973181204415,0.620794693237812,0.)); #378447=DIRECTION('center_axis',(0.,0.,-1.)); #378448=DIRECTION('ref_axis',(0.620794693238314,0.783973181204018,0.)); #378449=DIRECTION('center_axis',(-0.707106781185998,0.707106781187098,0.)); #378450=DIRECTION('ref_axis',(0.707106781187098,0.707106781185998,0.)); #378451=DIRECTION('',(2.887387298952E-13,-3.720660143617E-13,1.)); #378452=DIRECTION('',(0.707106781186698,0.707106781186398,0.)); #378453=DIRECTION('',(-0.707106781186597,-0.707106781186498,0.)); #378454=DIRECTION('',(3.296918293927E-13,-3.971933892899E-13,1.)); #378455=DIRECTION('',(-6.217248937902E-13,-4.393522582784E-13,-1.)); #378456=DIRECTION('',(0.,0.,1.)); #378457=DIRECTION('',(0.707106781185298,0.707106781187797,0.)); #378458=DIRECTION('',(-1.790567694115E-12,2.145839061996E-12,1.)); #378459=DIRECTION('',(-0.707106781186498,-0.707106781186597,0.)); #378460=DIRECTION('center_axis',(0.,0.,-1.)); #378461=DIRECTION('ref_axis',(1.,0.,0.)); #378462=DIRECTION('center_axis',(0.,0.,1.)); #378463=DIRECTION('ref_axis',(0.786849964733765,0.617144337248972,0.)); #378464=DIRECTION('center_axis',(0.,0.,-1.)); #378465=DIRECTION('ref_axis',(0.61714433724891,0.786849964733813,0.)); #378466=DIRECTION('center_axis',(0.,0.,1.)); #378467=DIRECTION('ref_axis',(-1.,0.,0.)); #378468=DIRECTION('center_axis',(0.,0.,1.)); #378469=DIRECTION('ref_axis',(-1.,0.,0.)); #378470=DIRECTION('center_axis',(0.,0.,-1.)); #378471=DIRECTION('ref_axis',(0.620794693238314,0.783973181204018,0.)); #378472=DIRECTION('center_axis',(0.,0.,-1.)); #378473=DIRECTION('ref_axis',(1.,0.,0.)); #378474=DIRECTION('center_axis',(0.,0.,1.)); #378475=DIRECTION('ref_axis',(0.783973181204415,0.620794693237812,0.)); #378476=DIRECTION('center_axis',(0.,0.,1.)); #378477=DIRECTION('ref_axis',(-1.,0.,0.)); #378478=DIRECTION('center_axis',(0.,0.,-1.)); #378479=DIRECTION('ref_axis',(0.61714433724891,0.786849964733813,0.)); #378480=DIRECTION('center_axis',(0.,0.,-1.)); #378481=DIRECTION('ref_axis',(1.,0.,0.)); #378482=DIRECTION('center_axis',(0.,0.,1.)); #378483=DIRECTION('ref_axis',(0.7868499647339,0.6171443372488,0.)); #378484=DIRECTION('center_axis',(0.,0.,-1.)); #378485=DIRECTION('ref_axis',(1.,0.,0.)); #378486=DIRECTION('center_axis',(0.,0.,-1.)); #378487=DIRECTION('ref_axis',(1.,0.,0.)); #378488=DIRECTION('center_axis',(0.,0.,-1.)); #378489=DIRECTION('ref_axis',(1.,0.,0.)); #378490=DIRECTION('',(1.348550900578E-13,1.755632676274E-13,1.)); #378491=DIRECTION('center_axis',(-0.707106781185998,0.707106781187098,0.)); #378492=DIRECTION('ref_axis',(-0.707106781187098,-0.707106781185998,0.)); #378493=DIRECTION('',(-1.136868377216E-13,1.882938249764E-13,-1.)); #378494=DIRECTION('',(-0.707106781186998,-0.707106781186098,0.)); #378495=DIRECTION('',(0.,-1.1304088978E-14,-1.)); #378496=DIRECTION('',(0.707106781187097,0.707106781185997,0.)); #378497=DIRECTION('',(-1.136868377216E-13,1.882938249764E-13,-1.)); #378498=DIRECTION('',(-0.707106781186998,-0.707106781186098,0.)); #378499=DIRECTION('',(0.,0.,-1.)); #378500=DIRECTION('center_axis',(-0.703689746394552,0.710505508084914,0.00163211524395492)); #378501=DIRECTION('ref_axis',(0.673377160795629,0.666181175396873,0.320571116705261)); #378502=DIRECTION('',(8.668621376273E-14,-1.357136625302E-13,-1.)); #378503=DIRECTION('',(0.707106781186998,0.707106781186098,0.)); #378504=DIRECTION('center_axis',(0.,0.,-1.)); #378505=DIRECTION('ref_axis',(1.,0.,0.)); #378506=DIRECTION('center_axis',(0.,0.,-1.)); #378507=DIRECTION('ref_axis',(0.818819210675859,0.574051478726571,0.)); #378508=DIRECTION('',(-1.7763568394E-14,3.37507799486E-14,1.)); #378509=DIRECTION('center_axis',(0.,0.,1.)); #378510=DIRECTION('ref_axis',(0.923076923076914,0.384615384615406,0.)); #378511=DIRECTION('center_axis',(0.,0.,1.)); #378512=DIRECTION('ref_axis',(-1.,0.,0.)); #378513=DIRECTION('',(-1.,-1.977140297391E-13,0.)); #378514=DIRECTION('center_axis',(0.,0.,-1.)); #378515=DIRECTION('ref_axis',(0.822836551104759,0.568278109877572,0.)); #378516=DIRECTION('center_axis',(0.,0.,-1.)); #378517=DIRECTION('ref_axis',(1.,0.,0.)); #378518=DIRECTION('',(0.,0.,1.)); #378519=DIRECTION('center_axis',(0.,0.,1.)); #378520=DIRECTION('ref_axis',(0.916515138991173,0.399999999999988,0.)); #378521=DIRECTION('center_axis',(0.,0.,1.)); #378522=DIRECTION('ref_axis',(-1.,0.,0.)); #378523=DIRECTION('center_axis',(0.,0.,-1.)); #378524=DIRECTION('ref_axis',(0.818819210675859,0.574051478726571,0.)); #378525=DIRECTION('',(1.,1.977140297391E-13,0.)); #378526=DIRECTION('center_axis',(0.,0.,-1.)); #378527=DIRECTION('ref_axis',(1.,0.,0.)); #378528=DIRECTION('',(-1.7763568394E-14,3.37507799486E-14,1.)); #378529=DIRECTION('center_axis',(0.,0.,1.)); #378530=DIRECTION('ref_axis',(0.923076923076914,0.384615384615406,0.)); #378531=DIRECTION('center_axis',(0.,0.,1.)); #378532=DIRECTION('ref_axis',(-1.,0.,0.)); #378533=DIRECTION('',(-1.,-1.977140297391E-13,0.)); #378534=DIRECTION('center_axis',(0.,0.,-1.)); #378535=DIRECTION('ref_axis',(0.822836551104759,0.568278109877572,0.)); #378536=DIRECTION('center_axis',(0.,0.,-1.)); #378537=DIRECTION('ref_axis',(1.,0.,0.)); #378538=DIRECTION('',(0.,1.295695576974E-14,1.)); #378539=DIRECTION('center_axis',(0.,0.,1.)); #378540=DIRECTION('ref_axis',(0.916515138991173,0.399999999999988,0.)); #378541=DIRECTION('center_axis',(0.,0.,-1.)); #378542=DIRECTION('ref_axis',(1.,0.,0.)); #378543=DIRECTION('center_axis',(0.,1.,0.)); #378544=DIRECTION('ref_axis',(-1.,0.,0.)); #378545=DIRECTION('',(0.,0.,-1.)); #378546=DIRECTION('',(1.,1.977140297391E-13,0.)); #378547=DIRECTION('',(0.,-1.350031197944E-14,1.)); #378548=DIRECTION('center_axis',(1.,0.,0.)); #378549=DIRECTION('ref_axis',(0.,0.,-1.)); #378550=DIRECTION('center_axis',(0.,0.,-1.)); #378551=DIRECTION('ref_axis',(1.,0.,0.)); #378552=DIRECTION('center_axis',(0.,0.,1.)); #378553=DIRECTION('ref_axis',(0.916515138991173,0.399999999999988,0.)); #378554=DIRECTION('center_axis',(0.,0.,1.)); #378555=DIRECTION('ref_axis',(-1.,0.,0.)); #378556=DIRECTION('center_axis',(0.,0.,-1.)); #378557=DIRECTION('ref_axis',(1.,0.,0.)); #378558=DIRECTION('center_axis',(0.,0.,1.)); #378559=DIRECTION('ref_axis',(0.786849964733765,0.617144337248972,0.)); #378560=DIRECTION('center_axis',(0.,0.,1.)); #378561=DIRECTION('ref_axis',(-1.,0.,0.)); #378562=DIRECTION('center_axis',(0.,0.,-1.)); #378563=DIRECTION('ref_axis',(1.,0.,0.)); #378564=DIRECTION('center_axis',(0.,0.,1.)); #378565=DIRECTION('ref_axis',(-0.399999999999988,0.916515138991173,0.)); #378566=DIRECTION('center_axis',(0.,0.,1.)); #378567=DIRECTION('ref_axis',(-1.,0.,0.)); #378568=DIRECTION('center_axis',(0.,0.,-1.)); #378569=DIRECTION('ref_axis',(1.,0.,0.)); #378570=DIRECTION('center_axis',(0.,0.,1.)); #378571=DIRECTION('ref_axis',(-0.61714433724891,0.786849964733813,0.)); #378572=DIRECTION('center_axis',(0.,0.,1.)); #378573=DIRECTION('ref_axis',(-1.,0.,0.)); #378574=DIRECTION('center_axis',(0.,0.,-1.)); #378575=DIRECTION('ref_axis',(-1.,0.,0.)); #378576=DIRECTION('',(1.056932319443E-13,1.496580637195E-13,1.)); #378577=DIRECTION('center_axis',(0.,0.,-1.)); #378578=DIRECTION('ref_axis',(1.,0.,0.)); #378579=DIRECTION('center_axis',(0.,0.,-1.)); #378580=DIRECTION('ref_axis',(1.,0.,0.)); #378581=DIRECTION('center_axis',(0.,0.,1.)); #378582=DIRECTION('ref_axis',(-1.,0.,0.)); #378583=DIRECTION('',(0.,0.,1.)); #378584=DIRECTION('center_axis',(0.,0.,1.)); #378585=DIRECTION('ref_axis',(-1.,0.,0.)); #378586=DIRECTION('',(0.,0.,1.)); #378587=DIRECTION('center_axis',(0.,0.,1.)); #378588=DIRECTION('ref_axis',(-1.,0.,0.)); #378589=DIRECTION('',(0.,0.,1.)); #378590=DIRECTION('center_axis',(0.,0.,1.)); #378591=DIRECTION('ref_axis',(-1.,0.,0.)); #378592=DIRECTION('',(0.,0.,1.)); #378593=DIRECTION('center_axis',(0.,0.,-1.)); #378594=DIRECTION('ref_axis',(1.,0.,0.)); #378595=DIRECTION('center_axis',(0.,0.,1.)); #378596=DIRECTION('ref_axis',(-0.916515138991173,-0.399999999999988,0.)); #378597=DIRECTION('center_axis',(0.,0.,-1.)); #378598=DIRECTION('ref_axis',(1.,0.,0.)); #378599=DIRECTION('center_axis',(0.,0.,-1.)); #378600=DIRECTION('ref_axis',(-0.822836551104759,-0.568278109877572,0.)); #378601=DIRECTION('center_axis',(0.,0.,1.)); #378602=DIRECTION('ref_axis',(-1.,0.,0.)); #378603=DIRECTION('center_axis',(0.,0.,1.)); #378604=DIRECTION('ref_axis',(-0.923076923076914,-0.384615384615406,0.)); #378605=DIRECTION('center_axis',(0.,0.,-1.)); #378606=DIRECTION('ref_axis',(1.,0.,0.)); #378607=DIRECTION('center_axis',(0.,0.,-1.)); #378608=DIRECTION('ref_axis',(-0.818819210675826,-0.574051478726618,0.)); #378609=DIRECTION('center_axis',(0.,0.,1.)); #378610=DIRECTION('ref_axis',(-1.,0.,0.)); #378611=DIRECTION('center_axis',(0.,0.,1.)); #378612=DIRECTION('ref_axis',(-0.916515138991173,-0.399999999999988,0.)); #378613=DIRECTION('center_axis',(0.,0.,-1.)); #378614=DIRECTION('ref_axis',(1.,0.,0.)); #378615=DIRECTION('center_axis',(0.,0.,-1.)); #378616=DIRECTION('ref_axis',(-0.822836551104759,-0.568278109877572,0.)); #378617=DIRECTION('center_axis',(0.,0.,1.)); #378618=DIRECTION('ref_axis',(-1.,0.,0.)); #378619=DIRECTION('center_axis',(-1.18954605297317E-11,1.72085948340647E-12, -1.)); #378620=DIRECTION('ref_axis',(0.602298084079708,-0.798271268375552,-8.53846779156844E-12)); #378621=DIRECTION('center_axis',(0.,7.385075143044E-12,1.)); #378622=DIRECTION('ref_axis',(1.,-8.942015932947E-13,6.60374595951103E-24)); #378623=DIRECTION('center_axis',(0.,7.385075143044E-12,1.)); #378624=DIRECTION('ref_axis',(1.,-8.942015932947E-13,6.60374595951103E-24)); #378625=DIRECTION('center_axis',(0.,0.,-1.)); #378626=DIRECTION('ref_axis',(0.927692790836049,-0.373344460024282,0.)); #378627=DIRECTION('center_axis',(0.,7.385075143044E-12,1.)); #378628=DIRECTION('ref_axis',(1.,-2.492085997527E-13,1.84042423546647E-24)); #378629=DIRECTION('center_axis',(0.,-7.385075143044E-12,-1.)); #378630=DIRECTION('ref_axis',(0.999086283008368,-0.0427387307312987,3.15628737968964E-13)); #378631=DIRECTION('',(-0.869138229308908,-0.437656715603204,-0.230337442985702)); #378632=DIRECTION('center_axis',(0.,0.,-1.)); #378633=DIRECTION('ref_axis',(-1.,0.,0.)); #378634=DIRECTION('',(-0.418300135754987,5.12269922335248E-17,0.908308866205411)); #378635=DIRECTION('center_axis',(0.,0.,-1.)); #378636=DIRECTION('ref_axis',(-1.,0.,0.)); #378637=DIRECTION('center_axis',(0.,7.385075143044E-12,1.)); #378638=DIRECTION('ref_axis',(0.918594632387959,0.395201089760682,-2.91858974449551E-12)); #378639=DIRECTION('center_axis',(0.,7.385075143044E-12,1.)); #378640=DIRECTION('ref_axis',(-0.0919972193053898,0.995759263898698,-7.35375698827406E-12)); #378641=DIRECTION('center_axis',(0.,7.385075143044E-12,1.)); #378642=DIRECTION('ref_axis',(-0.975452040789399,0.2202119799643,-1.62628201943485E-12)); #378643=DIRECTION('center_axis',(0.,7.385075143044E-12,1.)); #378644=DIRECTION('ref_axis',(-0.510865296314317,-0.859660775551429,6.34865942497479E-12)); #378645=DIRECTION('',(0.960395760963464,-0.156794912767994,-0.230337443012391)); #378646=DIRECTION('center_axis',(0.,0.,-1.)); #378647=DIRECTION('ref_axis',(-1.,0.,0.)); #378648=DIRECTION('center_axis',(0.,-7.385075143044E-12,-1.)); #378649=DIRECTION('ref_axis',(-0.892298845034057,0.451445202821878,-3.33395674580631E-12)); #378650=DIRECTION('center_axis',(0.,7.385075143044E-12,1.)); #378651=DIRECTION('ref_axis',(-0.0173764825095108,0.999849017529945,-7.38396012615736E-12)); #378652=DIRECTION('',(-0.152173949004304,0.907111022551922,0.392419013312609)); #378653=DIRECTION('',(0.272684786392205,-0.151320303606104,-0.950129029651654)); #378654=DIRECTION('center_axis',(0.,-7.385075143044E-12,-1.)); #378655=DIRECTION('ref_axis',(0.,1.,-7.385075143044E-12)); #378656=DIRECTION('',(0.90965998092246,-0.144159647335894,-0.389533971802383)); #378657=DIRECTION('center_axis',(0.,0.,1.)); #378658=DIRECTION('ref_axis',(1.,0.,0.)); #378659=DIRECTION('center_axis',(0.,0.,1.)); #378660=DIRECTION('ref_axis',(0.485001113484397,0.874513533296595,0.)); #378661=DIRECTION('',(-0.909738185351449,0.135586695940592,0.392419013297478)); #378662=DIRECTION('center_axis',(2.040152895208E-11,2.139900887588E-11, 1.)); #378663=DIRECTION('ref_axis',(-0.809016994374918,-0.587785252292513,2.90832054643835E-11)); #378664=DIRECTION('',(0.228177017474592,0.212576814629273,-0.950129647247402)); #378665=DIRECTION('center_axis',(0.,0.,-1.)); #378666=DIRECTION('ref_axis',(-1.,0.,0.)); #378667=DIRECTION('center_axis',(-1.30197643478793E-11,-1.95867858525618E-14, 1.)); #378668=DIRECTION('ref_axis',(-0.705134466064219,-0.709073610260831,-9.19461323209006E-12)); #378669=DIRECTION('center_axis',(0.,7.385075143044E-12,1.)); #378670=DIRECTION('ref_axis',(-0.956282551828026,0.292444321314808,-2.15972328806636E-12)); #378671=DIRECTION('',(0.445899466859398,0.864938346365595,-0.230337409989899)); #378672=DIRECTION('',(-0.410075170395801,-0.823313836047301,0.392419013298201)); #378673=DIRECTION('center_axis',(0.,0.,-1.)); #378674=DIRECTION('ref_axis',(-1.,0.,0.)); #378675=DIRECTION('center_axis',(-1.88197333992464E-16,7.3849894289548E-12, 1.)); #378676=DIRECTION('ref_axis',(0.456470544187596,-0.889738524674008,6.57064411739023E-12)); #378677=DIRECTION('center_axis',(0.,7.385075143044E-12,1.)); #378678=DIRECTION('ref_axis',(-0.573638637377373,-0.819108487141862,6.04917772784774E-12)); #378679=DIRECTION('',(-0.684814754592412,0.691356213718012,-0.230337442996204)); #378680=DIRECTION('',(0.656297792098208,-0.644422630010108,0.392419013323605)); #378681=DIRECTION('',(-0.309545823931947,-0.0378588294394588,-0.950130565722294)); #378682=DIRECTION('center_axis',(0.,0.,1.)); #378683=DIRECTION('ref_axis',(1.,0.,0.)); #378684=DIRECTION('center_axis',(0.,0.,1.)); #378685=DIRECTION('ref_axis',(0.121652014379998,-0.992572812138882,0.)); #378686=DIRECTION('',(-0.820665298344186,-0.418057116742893,-0.389533971861593)); #378687=DIRECTION('center_axis',(1.647436714953E-11,-7.904870809734E-12, 1.)); #378688=DIRECTION('ref_axis',(0.309016994374891,0.951056516295172,2.42711947329281E-12)); #378689=DIRECTION('',(0.815689512666374,0.425038747575986,0.392419013281887)); #378690=DIRECTION('',(-0.0596478946724418,-0.306088741661762,-0.950132522803564)); #378691=DIRECTION('center_axis',(0.,0.,-1.)); #378692=DIRECTION('ref_axis',(-1.,0.,0.)); #378693=DIRECTION('center_axis',(0.,-7.385075143044E-12,-1.)); #378694=DIRECTION('ref_axis',(0.153614394700499,0.988130870755893,-7.29742073169377E-12)); #378695=DIRECTION('center_axis',(0.,7.385075143044E-12,1.)); #378696=DIRECTION('ref_axis',(0.945543295032552,0.325496355154684,-2.40381504160428E-12)); #378697=DIRECTION('',(0.147657787952699,-0.961842939367695,-0.230337442994899)); #378698=DIRECTION('center_axis',(2.908320546438E-11,5.320444001123E-12, 1.)); #378699=DIRECTION('ref_axis',(-0.809016994374918,0.587785252292513,2.04015289520726E-11)); #378700=DIRECTION('',(0.143996421217403,-0.909685833412419,-0.389533971773108)); #378701=DIRECTION('center_axis',(0.,0.,1.)); #378702=DIRECTION('ref_axis',(1.,0.,0.)); #378703=DIRECTION('center_axis',(0.,-7.385075143044E-12,-1.)); #378704=DIRECTION('ref_axis',(0.349381588691008,0.936980525669422,-6.91967158963755E-12)); #378705=DIRECTION('center_axis',(0.,0.,1.)); #378706=DIRECTION('ref_axis',(0.981585380823014,-0.191023925607603,0.)); #378707=DIRECTION('center_axis',(0.,0.,-1.)); #378708=DIRECTION('ref_axis',(-1.,0.,0.)); #378709=DIRECTION('center_axis',(0.,0.,1.)); #378710=DIRECTION('ref_axis',(-0.906400301114437,-0.422419807939517,0.)); #378711=DIRECTION('center_axis',(2.427119473291E-12,1.811079364795E-11, 1.)); #378712=DIRECTION('ref_axis',(-0.908862150027497,-0.417096622196099,9.75986787871937E-12)); #378713=DIRECTION('center_axis',(0.,0.,1.)); #378714=DIRECTION('ref_axis',(-1.,0.,0.)); #378715=DIRECTION('center_axis',(2.040152895208E-11,2.139900887588E-11, 1.)); #378716=DIRECTION('ref_axis',(-0.677536310208582,0.73548932578858,-1.91596596185899E-12)); #378717=DIRECTION('center_axis',(2.908320546438E-11,5.320444001123E-12, 1.)); #378718=DIRECTION('ref_axis',(0.490121682058579,0.871654023552963,-1.88918960025243E-11)); #378719=DIRECTION('center_axis',(1.647436714953E-11,-7.904870809734E-12, 1.)); #378720=DIRECTION('ref_axis',(0.980448168123753,-0.196777513000791,-1.77077639112868E-11)); #378721=DIRECTION('center_axis',(0.,0.,1.)); #378722=DIRECTION('ref_axis',(0.115769393332604,-0.993276118492436,0.)); #378723=DIRECTION('center_axis',(0.,0.,1.)); #378724=DIRECTION('ref_axis',(1.,0.,0.)); #378725=DIRECTION('center_axis',(0.,-7.385075143044E-12,-1.)); #378726=DIRECTION('ref_axis',(0.268088146945905,-0.963394387292718,7.11473994254355E-12)); #378727=DIRECTION('',(-0.651195407087124,0.651312326182324,-0.389534074955715)); #378728=DIRECTION('center_axis',(0.,0.,1.)); #378729=DIRECTION('ref_axis',(1.,0.,0.)); #378730=DIRECTION('center_axis',(0.,0.,1.)); #378731=DIRECTION('ref_axis',(0.,-1.,0.)); #378732=DIRECTION('center_axis',(1.647436714953E-11,-7.904870809734E-12, 1.)); #378733=DIRECTION('ref_axis',(0.951056516295172,-0.309016994374891,-1.81107936479454E-11)); #378734=DIRECTION('center_axis',(2.908320546438E-11,5.320444001123E-12, 1.)); #378735=DIRECTION('ref_axis',(0.587785252292513,0.809016994374918,-2.13990088758842E-11)); #378736=DIRECTION('center_axis',(2.040152895208E-11,2.139900887588E-11, 1.)); #378737=DIRECTION('ref_axis',(-0.587785252292513,0.809016994374918,-5.32044400111528E-12)); #378738=DIRECTION('center_axis',(0.,0.,1.)); #378739=DIRECTION('ref_axis',(-0.993107335684711,0.11720844598072,0.)); #378740=DIRECTION('center_axis',(0.,-7.385075143044E-12,-1.)); #378741=DIRECTION('ref_axis',(-0.833398985460472,-0.552671811325181,4.0815228560787E-12)); #378742=DIRECTION('',(0.418204365199103,0.820590271505307,-0.389533971868003)); #378743=DIRECTION('center_axis',(2.427119473291E-12,1.811079364795E-11, 1.)); #378744=DIRECTION('ref_axis',(0.309016994374891,-0.951056516295172,1.64743671495349E-11)); #378745=DIRECTION('center_axis',(2.427119473291E-12,1.811079364795E-11, 1.)); #378746=DIRECTION('ref_axis',(-0.951056516295172,-0.309016994374891,7.90487080973369E-12)); #378747=DIRECTION('center_axis',(0.,0.,-1.)); #378748=DIRECTION('ref_axis',(-1.,0.,0.)); #378749=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #378750=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,0.)); #378751=DIRECTION('center_axis',(0.,0.,-1.)); #378752=DIRECTION('ref_axis',(1.,0.,0.)); #378753=DIRECTION('center_axis',(0.,0.,1.)); #378754=DIRECTION('ref_axis',(1.,0.,0.)); #378755=DIRECTION('axis',(0.,0.,1.)); #378756=DIRECTION('refdir',(1.,0.,0.)); #378757=DIRECTION('center_axis',(0.,0.,-1.)); #378758=DIRECTION('ref_axis',(-1.,0.,0.)); #378759=DIRECTION('',(0.866025403784439,0.5,0.)); #378760=DIRECTION('',(0.50000000000004,-0.866025403784416,0.)); #378761=DIRECTION('',(-0.866025403784439,-0.5,0.)); #378762=DIRECTION('center_axis',(0.,0.,1.)); #378763=DIRECTION('ref_axis',(1.,0.,0.)); #378764=DIRECTION('center_axis',(0.,0.,-1.)); #378765=DIRECTION('ref_axis',(-1.,0.,0.)); #378766=DIRECTION('',(-1.84400699326152E-17,-1.,0.)); #378767=DIRECTION('',(-0.5,0.866025403784439,0.)); #378768=DIRECTION('center_axis',(0.,0.,1.)); #378769=DIRECTION('ref_axis',(1.,0.,0.)); #378770=DIRECTION('center_axis',(0.,0.,-1.)); #378771=DIRECTION('ref_axis',(-1.,0.,0.)); #378772=DIRECTION('',(0.866025403784438,-0.5,0.)); #378773=DIRECTION('',(-0.50000000000086,-0.866025403783942,0.)); #378774=DIRECTION('',(-0.866025403784438,0.500000000000001,0.)); #378775=DIRECTION('center_axis',(0.,0.,1.)); #378776=DIRECTION('ref_axis',(1.,0.,0.)); #378777=DIRECTION('center_axis',(0.,0.,-1.)); #378778=DIRECTION('ref_axis',(-1.,0.,0.)); #378779=DIRECTION('',(0.866025403784438,-0.5,0.)); #378780=DIRECTION('center_axis',(0.,0.,1.)); #378781=DIRECTION('ref_axis',(1.,0.,0.)); #378782=DIRECTION('',(-0.5,0.866025403784439,0.)); #378783=DIRECTION('center_axis',(0.,0.,1.)); #378784=DIRECTION('ref_axis',(1.,0.,0.)); #378785=DIRECTION('',(0.866025403784439,0.5,0.)); #378786=DIRECTION('center_axis',(0.,0.,-1.)); #378787=DIRECTION('ref_axis',(1.,0.,0.)); #378788=DIRECTION('center_axis',(0.,0.,1.)); #378789=DIRECTION('ref_axis',(1.,0.,0.)); #378790=DIRECTION('',(-0.866025403784439,-0.5,0.)); #378791=DIRECTION('center_axis',(0.,0.,-1.)); #378792=DIRECTION('ref_axis',(1.,0.,0.)); #378793=DIRECTION('center_axis',(0.,0.,-1.)); #378794=DIRECTION('ref_axis',(1.,0.,0.)); #378795=DIRECTION('center_axis',(0.866025403784416,0.50000000000004,0.)); #378796=DIRECTION('ref_axis',(0.50000000000004,-0.866025403784416,0.)); #378797=DIRECTION('',(0.,0.,-1.)); #378798=DIRECTION('',(0.50000000000004,-0.866025403784416,0.)); #378799=DIRECTION('',(0.,0.,-1.)); #378800=DIRECTION('center_axis',(0.,0.,1.)); #378801=DIRECTION('ref_axis',(1.,0.,0.)); #378802=DIRECTION('',(-0.866025403784438,0.500000000000001,0.)); #378803=DIRECTION('center_axis',(0.,0.,-1.)); #378804=DIRECTION('ref_axis',(1.,0.,0.)); #378805=DIRECTION('center_axis',(-0.866025403784439,-0.5,0.)); #378806=DIRECTION('ref_axis',(-0.5,0.866025403784439,0.)); #378807=DIRECTION('',(0.,0.,1.)); #378808=DIRECTION('',(0.,0.,-1.)); #378809=DIRECTION('',(-0.5,0.866025403784439,0.)); #378810=DIRECTION('',(0.,0.,-1.)); #378811=DIRECTION('',(0.,0.,-1.)); #378812=DIRECTION('',(0.5,-0.866025403784439,0.)); #378813=DIRECTION('center_axis',(-1.,2.77555756156289E-16,-1.58603289232165E-16)); #378814=DIRECTION('ref_axis',(-1.58603289232165E-16,6.26080083508734E-32, 1.)); #378815=DIRECTION('',(-1.58603289232165E-16,6.26080083508734E-32,1.)); #378816=DIRECTION('',(-2.77555756156289E-16,-1.,0.)); #378817=DIRECTION('',(-1.58603289232165E-16,6.26080083508734E-32,1.)); #378818=DIRECTION('',(2.77555756156289E-16,1.,1.54074395550979E-32)); #378819=DIRECTION('center_axis',(1.,-2.77555756156289E-16,7.93016446160826E-17)); #378820=DIRECTION('ref_axis',(7.93016446160826E-17,-3.13040041754367E-32, -1.)); #378821=DIRECTION('',(7.93016446160826E-17,-3.13040041754367E-32,-1.)); #378822=DIRECTION('',(-2.77555756156289E-16,-1.,-1.54074395550979E-32)); #378823=DIRECTION('',(7.93016446160826E-17,-3.13040041754367E-32,-1.)); #378824=DIRECTION('',(2.77555756156289E-16,1.,0.)); #378825=DIRECTION('center_axis',(2.77555756156289E-16,1.,0.)); #378826=DIRECTION('ref_axis',(0.,0.,1.)); #378827=DIRECTION('',(1.,-2.77555756156289E-16,5.55111512312579E-17)); #378828=DIRECTION('',(-1.,2.77555756156289E-16,0.)); #378829=DIRECTION('center_axis',(-3.94745964311164E-16,-1.,0.)); #378830=DIRECTION('ref_axis',(-1.,3.94745964311164E-16,0.)); #378831=DIRECTION('',(-1.,3.94745964311164E-16,0.)); #378832=DIRECTION('',(0.,0.,1.)); #378833=DIRECTION('',(-1.,3.94745964311164E-16,4.20389539297445E-45)); #378834=DIRECTION('',(0.,0.,-1.)); #378835=DIRECTION('',(-1.,3.94745964311164E-16,-5.55111512312579E-17)); #378836=DIRECTION('center_axis',(-3.94745964311164E-16,-1.,0.)); #378837=DIRECTION('ref_axis',(-1.,3.94745964311164E-16,0.)); #378838=DIRECTION('',(-1.,3.94745964311164E-16,-5.55111512312579E-17)); #378839=DIRECTION('',(-1.5182537088891E-16,5.9932452438443E-32,1.)); #378840=DIRECTION('',(-1.,3.94745964311164E-16,1.75302423382431E-30)); #378841=DIRECTION('',(0.,0.,1.)); #378842=DIRECTION('',(-1.,3.94745964311164E-16,0.)); #378843=DIRECTION('center_axis',(5.55111512312579E-17,0.,-1.)); #378844=DIRECTION('ref_axis',(-1.,3.94745964311164E-16,-5.55111512312579E-17)); #378845=DIRECTION('',(0.,1.,0.)); #378846=DIRECTION('',(-1.,3.94745964311164E-16,-5.55111512312579E-17)); #378847=DIRECTION('',(0.,1.,0.)); #378848=DIRECTION('center_axis',(0.707106781186547,-1.30390984949063E-17, -0.707106781186548)); #378849=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #378850=DIRECTION('center_axis',(0.707106781186547,-1.30390984949063E-17, -0.707106781186548)); #378851=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186547)); #378852=DIRECTION('',(1.84400699326152E-17,1.,0.)); #378853=DIRECTION('center_axis',(0.707106781186547,-1.30390984949063E-17, -0.707106781186548)); #378854=DIRECTION('ref_axis',(0.707106781186548,-5.70942712248646E-17,0.707106781186547)); #378855=DIRECTION('',(-1.84400699326152E-17,-1.,0.)); #378856=DIRECTION('center_axis',(0.,0.,-1.)); #378857=DIRECTION('ref_axis',(0.,1.,0.)); #378858=DIRECTION('center_axis',(0.,0.,-1.)); #378859=DIRECTION('ref_axis',(0.866025403784439,0.499999999999999,0.)); #378860=DIRECTION('',(-5.91680826579558E-16,-3.41607084500047E-16,-1.)); #378861=DIRECTION('center_axis',(0.,0.,-1.)); #378862=DIRECTION('ref_axis',(-5.22457893941253E-16,1.,0.)); #378863=DIRECTION('',(0.,0.,-1.)); #378864=DIRECTION('center_axis',(0.,0.,-1.)); #378865=DIRECTION('ref_axis',(0.866025403784439,0.499999999999999,0.)); #378866=DIRECTION('',(0.,0.,-1.)); #378867=DIRECTION('center_axis',(0.,0.,1.)); #378868=DIRECTION('ref_axis',(1.,0.,0.)); #378869=DIRECTION('center_axis',(0.,0.,1.)); #378870=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #378871=DIRECTION('',(-0.499999999999999,0.866025403784439,0.)); #378872=DIRECTION('',(-0.86602540378444,-0.499999999999998,0.)); #378873=DIRECTION('',(0.499999999999999,-0.866025403784439,0.)); #378874=DIRECTION('center_axis',(0.353553390593275,-0.612372435695791,0.70710678118655)); #378875=DIRECTION('ref_axis',(0.894427190999916,0.,-0.447213595499958)); #378876=DIRECTION('center_axis',(0.353553390593275,-0.612372435695791,0.70710678118655)); #378877=DIRECTION('ref_axis',(0.353553390593277,-0.612372435695795,-0.707106781186545)); #378878=DIRECTION('',(-0.866025403784439,-0.5,4.44089209850028E-15)); #378879=DIRECTION('center_axis',(0.353553390593275,-0.612372435695791,0.70710678118655)); #378880=DIRECTION('ref_axis',(-0.353553390593278,0.612372435695795,0.707106781186545)); #378881=DIRECTION('',(0.866025403784439,0.5,-4.44089209850028E-15)); #378882=DIRECTION('center_axis',(-0.5,0.866025403784439,0.)); #378883=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #378884=DIRECTION('',(0.,0.,1.)); #378885=DIRECTION('',(-0.866025403784439,-0.5,0.)); #378886=DIRECTION('',(0.,0.,1.)); #378887=DIRECTION('',(0.866025403784439,0.5,0.)); #378888=DIRECTION('',(0.,0.,1.)); #378889=DIRECTION('center_axis',(-0.353553390593267,-0.612372435695795, 0.707106781186551)); #378890=DIRECTION('ref_axis',(0.89442719099992,0.,0.44721359549995)); #378891=DIRECTION('',(-0.353553390593275,-0.612372435695797,-0.707106781186545)); #378892=DIRECTION('',(-0.866025403784445,0.499999999999989,-4.44089209850017E-15)); #378893=DIRECTION('',(-0.353553390593275,-0.612372435695797,-0.707106781186545)); #378894=DIRECTION('',(0.866025403784445,-0.499999999999989,4.44089209850017E-15)); #378895=DIRECTION('center_axis',(-5.25907270147295E-30,-8.88178419700055E-15, -1.)); #378896=DIRECTION('ref_axis',(0.,-1.,8.88178419700055E-15)); #378897=DIRECTION('',(0.5,0.866025403784439,-7.69185074553365E-15)); #378898=DIRECTION('center_axis',(-5.25907270147295E-30,-8.88178419700055E-15, -1.)); #378899=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #378900=DIRECTION('',(-1.34848017986487E-30,-1.,8.88178419700055E-15)); #378901=DIRECTION('',(-1.,5.92118946466745E-16,0.)); #378902=DIRECTION('center_axis',(0.,0.,-1.)); #378903=DIRECTION('ref_axis',(-1.21906841919625E-15,-1.,0.)); #378904=DIRECTION('',(0.5,0.866025403784439,0.)); #378905=DIRECTION('center_axis',(0.353553390593273,0.612372435695795,-0.707106781186548)); #378906=DIRECTION('ref_axis',(-0.894427190999916,0.,-0.447213595499957)); #378907=DIRECTION('',(0.353553390593273,0.612372435695795,0.707106781186548)); #378908=DIRECTION('',(-0.866025403784439,0.499999999999999,0.)); #378909=DIRECTION('',(0.353553390593274,0.612372435695794,0.707106781186548)); #378910=DIRECTION('',(0.866025403784439,-0.499999999999999,0.)); #378911=DIRECTION('center_axis',(0.,0.,1.)); #378912=DIRECTION('ref_axis',(1.,0.,0.)); #378913=DIRECTION('',(-0.5,-0.866025403784439,0.)); #378914=DIRECTION('center_axis',(0.,0.,1.)); #378915=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #378916=DIRECTION('',(-1.84400699326152E-17,-1.,0.)); #378917=DIRECTION('center_axis',(2.59978587333891E-15,-4.50296122142282E-15, 1.)); #378918=DIRECTION('ref_axis',(-7.83686840911876E-16,1.,4.17966315153E-15)); #378919=DIRECTION('',(-0.5,-0.866025403784439,0.)); #378920=DIRECTION('center_axis',(-0.707106781186548,-1.30390984949064E-17, 0.707106781186547)); #378921=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186548)); #378922=DIRECTION('center_axis',(-0.707106781186548,-1.30390984949064E-17, 0.707106781186547)); #378923=DIRECTION('ref_axis',(-0.707106781186547,-1.23144506563433E-16, -0.707106781186548)); #378924=DIRECTION('',(-1.84400699326152E-17,1.,0.)); #378925=DIRECTION('center_axis',(-0.707106781186548,-1.30390984949064E-17, 0.707106781186547)); #378926=DIRECTION('ref_axis',(0.707106781186547,5.70942712248645E-17,0.707106781186548)); #378927=DIRECTION('',(1.84400699326152E-17,-1.,0.)); #378928=DIRECTION('center_axis',(1.,1.84400699326152E-17,0.)); #378929=DIRECTION('ref_axis',(1.84400699326152E-17,-1.,0.)); #378930=DIRECTION('',(0.,0.,1.)); #378931=DIRECTION('',(-1.84400699326152E-17,1.,0.)); #378932=DIRECTION('',(-1.84400699326152E-17,1.,0.)); #378933=DIRECTION('',(-1.84400699326152E-17,1.,0.)); #378934=DIRECTION('',(0.,0.,-1.)); #378935=DIRECTION('',(1.84400699326152E-17,-1.,0.)); #378936=DIRECTION('',(0.,0.,1.)); #378937=DIRECTION('center_axis',(-0.35355339059328,0.612372435695791,0.707106781186548)); #378938=DIRECTION('ref_axis',(0.894427190999913,0.,0.447213595499965)); #378939=DIRECTION('',(-0.353553390593273,0.612372435695795,-0.707106781186548)); #378940=DIRECTION('',(0.866025403784433,0.500000000000009,0.)); #378941=DIRECTION('',(-0.353553390593273,0.612372435695795,-0.707106781186548)); #378942=DIRECTION('',(-0.866025403784433,-0.500000000000009,0.)); #378943=DIRECTION('center_axis',(0.,0.,-1.)); #378944=DIRECTION('ref_axis',(-1.,0.,0.)); #378945=DIRECTION('',(0.499999999999999,-0.866025403784439,0.)); #378946=DIRECTION('center_axis',(0.,0.,-1.)); #378947=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #378948=DIRECTION('',(1.84400699326152E-17,-1.,0.)); #378949=DIRECTION('',(0.499999999999999,-0.866025403784439,0.)); #378950=DIRECTION('center_axis',(-0.353553390593273,-0.612372435695796, -0.707106781186546)); #378951=DIRECTION('ref_axis',(-0.894427190999916,0.,0.447213595499958)); #378952=DIRECTION('center_axis',(-0.353553390593273,-0.612372435695796, -0.707106781186546)); #378953=DIRECTION('ref_axis',(-0.353553390593272,-0.612372435695794,0.707106781186549)); #378954=DIRECTION('',(0.866025403784438,-0.500000000000001,2.22044604925015E-15)); #378955=DIRECTION('center_axis',(-0.353553390593273,-0.612372435695796, -0.707106781186546)); #378956=DIRECTION('ref_axis',(-0.353553390593272,-0.612372435695794,0.707106781186548)); #378957=DIRECTION('',(-0.866025403784438,0.500000000000001,-2.22044604925015E-15)); #378958=DIRECTION('center_axis',(0.500000000000001,0.866025403784438,0.)); #378959=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #378960=DIRECTION('',(0.,0.,-1.)); #378961=DIRECTION('',(0.866025403784438,-0.500000000000001,0.)); #378962=DIRECTION('',(0.866025403784438,-0.500000000000001,0.)); #378963=DIRECTION('',(0.,0.,1.)); #378964=DIRECTION('',(-0.866025403784438,0.500000000000001,0.)); #378965=DIRECTION('',(0.,0.,-1.)); #378966=DIRECTION('center_axis',(0.353553390593272,-0.612372435695797,-0.707106781186546)); #378967=DIRECTION('ref_axis',(-0.894427190999917,0.,-0.447213595499956)); #378968=DIRECTION('',(0.353553390593272,-0.612372435695794,0.707106781186549)); #378969=DIRECTION('',(0.86602540378444,0.499999999999998,-2.22044604925014E-15)); #378970=DIRECTION('',(0.353553390593273,-0.612372435695794,0.707106781186549)); #378971=DIRECTION('',(-0.86602540378444,-0.499999999999998,2.22044604925014E-15)); #378972=DIRECTION('center_axis',(1.75302423382433E-30,4.4408920985003E-15, 1.)); #378973=DIRECTION('ref_axis',(0.,-1.,4.4408920985003E-15)); #378974=DIRECTION('',(-0.499999999999999,0.866025403784439,-3.84592537276684E-15)); #378975=DIRECTION('center_axis',(1.75302423382433E-30,4.4408920985003E-15, 1.)); #378976=DIRECTION('ref_axis',(-1.13040889780016E-15,-1.,0.)); #378977=DIRECTION('',(1.,-3.94745964311164E-16,-2.45227231256843E-45)); #378978=DIRECTION('',(0.,-1.,4.4408920985003E-15)); #378979=DIRECTION('',(1.,-3.94745964311164E-16,0.)); #378980=DIRECTION('center_axis',(4.02188274276302E-16,-6.96610525255002E-16, 1.)); #378981=DIRECTION('ref_axis',(-8.70763156568752E-16,-1.,-6.96610525255002E-16)); #378982=DIRECTION('',(-0.499999999999999,0.866025403784439,0.)); #378983=DIRECTION('center_axis',(0.,0.,1.)); #378984=DIRECTION('ref_axis',(1.,0.,0.)); #378985=DIRECTION('',(1.84400699326152E-17,-1.,0.)); #378986=DIRECTION('center_axis',(0.,0.,1.)); #378987=DIRECTION('ref_axis',(1.,0.,0.)); #378988=DIRECTION('',(1.84400699326152E-17,1.,0.)); #378989=DIRECTION('center_axis',(0.,0.,-1.)); #378990=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #378991=DIRECTION('',(0.,0.,1.)); #378992=DIRECTION('',(0.,0.,1.)); #378993=DIRECTION('center_axis',(0.,0.,-1.)); #378994=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #378995=DIRECTION('center_axis',(0.,0.,-1.)); #378996=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #378997=DIRECTION('',(0.,0.,-1.)); #378998=DIRECTION('center_axis',(0.,0.,-1.)); #378999=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #379000=DIRECTION('',(0.,0.,1.)); #379001=DIRECTION('center_axis',(0.,0.,-1.)); #379002=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #379003=DIRECTION('center_axis',(0.,0.,1.)); #379004=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #379005=DIRECTION('',(0.,0.,1.)); #379006=DIRECTION('center_axis',(-0.499999999999998,0.86602540378444,0.)); #379007=DIRECTION('ref_axis',(-0.86602540378444,-0.499999999999998,0.)); #379008=DIRECTION('',(0.,0.,1.)); #379009=DIRECTION('',(0.,0.,-1.)); #379010=DIRECTION('center_axis',(0.500000000000009,-0.866025403784433,0.)); #379011=DIRECTION('ref_axis',(0.866025403784433,0.500000000000009,0.)); #379012=DIRECTION('',(0.,0.,1.)); #379013=DIRECTION('',(-0.866025403784433,-0.500000000000009,2.22044604925018E-15)); #379014=DIRECTION('',(0.,0.,1.)); #379015=DIRECTION('center_axis',(0.866025403784439,0.5,0.)); #379016=DIRECTION('ref_axis',(0.499999999999999,-0.866025403784439,0.)); #379017=DIRECTION('',(-0.499999999999999,0.866025403784439,0.)); #379018=DIRECTION('',(0.,0.,-1.)); #379019=DIRECTION('center_axis',(0.866025403784439,0.5,0.)); #379020=DIRECTION('ref_axis',(0.499999999999999,-0.866025403784439,0.)); #379021=DIRECTION('',(0.499999999999999,-0.866025403784439,3.84592537276683E-15)); #379022=DIRECTION('',(0.,0.,-1.)); #379023=DIRECTION('',(0.499999999999999,-0.866025403784439,0.)); #379024=DIRECTION('center_axis',(-1.75302423382432E-30,-4.44089209850028E-15, -1.)); #379025=DIRECTION('ref_axis',(0.,1.,-4.44089209850028E-15)); #379026=DIRECTION('',(-0.499999999999999,0.866025403784439,0.)); #379027=DIRECTION('center_axis',(4.02188274276302E-16,-6.96610525255002E-16, 1.)); #379028=DIRECTION('ref_axis',(-5.22457893941251E-16,-1.,-6.96610525255001E-16)); #379029=DIRECTION('',(1.,-3.94745964311164E-16,0.)); #379030=DIRECTION('',(0.,1.,-4.44089209850028E-15)); #379031=DIRECTION('',(-1.,3.94745964311164E-16,2.10194769648723E-45)); #379032=DIRECTION('center_axis',(-1.75302423382432E-30,-4.44089209850028E-15, -1.)); #379033=DIRECTION('ref_axis',(-1.13040889780016E-15,-1.,0.)); #379034=DIRECTION('center_axis',(-0.866025403784439,-0.499999999999999, -6.83214169000097E-16)); #379035=DIRECTION('ref_axis',(-5.91680826579558E-16,-3.41607084500048E-16, 1.)); #379036=DIRECTION('',(-5.91680826579558E-16,-3.41607084500048E-16,1.)); #379037=DIRECTION('center_axis',(0.,0.,1.)); #379038=DIRECTION('ref_axis',(-8.7076315656875E-16,-1.,0.)); #379039=DIRECTION('',(0.,6.83214169000096E-16,1.)); #379040=DIRECTION('center_axis',(3.94745964311164E-16,1.,-6.83214169000096E-16)); #379041=DIRECTION('ref_axis',(0.,6.83214169000096E-16,1.)); #379042=DIRECTION('',(0.,6.83214169000096E-16,1.)); #379043=DIRECTION('center_axis',(0.,0.,-1.)); #379044=DIRECTION('ref_axis',(-1.13040889780016E-15,-1.,0.)); #379045=DIRECTION('',(0.,0.,1.)); #379046=DIRECTION('center_axis',(1.75302423382433E-30,4.4408920985003E-15, 1.)); #379047=DIRECTION('ref_axis',(-1.13040889780016E-15,-1.,0.)); #379048=DIRECTION('center_axis',(0.,0.,-1.)); #379049=DIRECTION('ref_axis',(-1.13040889780016E-15,-1.,0.)); #379050=DIRECTION('center_axis',(-3.94745964311164E-16,-1.,0.)); #379051=DIRECTION('ref_axis',(-1.,3.94745964311164E-16,0.)); #379052=DIRECTION('',(0.,0.,-1.)); #379053=DIRECTION('',(1.,-3.94745964311164E-16,-2.45227231256843E-45)); #379054=DIRECTION('center_axis',(0.,0.,-1.)); #379055=DIRECTION('ref_axis',(-1.,0.,0.)); #379056=DIRECTION('center_axis',(0.,0.,1.)); #379057=DIRECTION('ref_axis',(0.866025403784439,0.499999999999999,0.)); #379058=DIRECTION('',(-1.84400699326152E-17,-1.,0.)); #379059=DIRECTION('center_axis',(0.,0.,-1.)); #379060=DIRECTION('ref_axis',(1.,0.,0.)); #379061=DIRECTION('center_axis',(0.,0.,-1.)); #379062=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #379063=DIRECTION('',(0.,0.,-1.)); #379064=DIRECTION('',(0.,0.,1.)); #379065=DIRECTION('center_axis',(0.,0.,1.)); #379066=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #379067=DIRECTION('center_axis',(-0.499999999999999,-0.866025403784439, 0.)); #379068=DIRECTION('ref_axis',(-0.866025403784439,0.499999999999999,0.)); #379069=DIRECTION('',(0.,0.,-1.)); #379070=DIRECTION('',(0.866025403784439,-0.499999999999999,2.22044604925014E-15)); #379071=DIRECTION('',(0.,0.,-1.)); #379072=DIRECTION('center_axis',(0.499999999999989,0.866025403784445,0.)); #379073=DIRECTION('ref_axis',(0.866025403784445,-0.499999999999989,0.)); #379074=DIRECTION('',(0.,0.,-1.)); #379075=DIRECTION('',(0.866025403784445,-0.499999999999989,0.)); #379076=DIRECTION('',(0.,0.,1.)); #379077=DIRECTION('center_axis',(0.866025403784439,-0.5,6.83214169000096E-16)); #379078=DIRECTION('ref_axis',(-5.91680826579558E-16,3.41607084500048E-16, 1.)); #379079=DIRECTION('',(-5.91680826579558E-16,3.41607084500048E-16,-1.)); #379080=DIRECTION('',(0.5,0.866025403784439,0.)); #379081=DIRECTION('',(-5.91680826579558E-16,3.41607084500048E-16,1.)); #379082=DIRECTION('',(-0.5,-0.866025403784439,0.)); #379083=DIRECTION('center_axis',(-0.866025403784439,0.5,0.)); #379084=DIRECTION('ref_axis',(0.5,0.866025403784439,0.)); #379085=DIRECTION('',(-0.5,-0.866025403784439,3.84592537276684E-15)); #379086=DIRECTION('',(0.,0.,1.)); #379087=DIRECTION('',(-0.5,-0.866025403784439,0.)); #379088=DIRECTION('center_axis',(2.62953635073649E-30,4.4408920985003E-15, 1.)); #379089=DIRECTION('ref_axis',(0.,1.,-4.4408920985003E-15)); #379090=DIRECTION('center_axis',(0.,0.,-1.)); #379091=DIRECTION('ref_axis',(-1.0449157878825E-15,-1.,0.)); #379092=DIRECTION('',(-1.,5.92118946466745E-16,0.)); #379093=DIRECTION('',(6.74240089932439E-31,1.,-4.4408920985003E-15)); #379094=DIRECTION('',(1.,-3.94745964311164E-16,-8.76512116912161E-31)); #379095=DIRECTION('center_axis',(2.62953635073649E-30,4.4408920985003E-15, 1.)); #379096=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #379097=DIRECTION('center_axis',(0.,0.,1.)); #379098=DIRECTION('ref_axis',(-1.7415263131375E-16,1.,0.)); #379099=DIRECTION('center_axis',(2.59978587333891E-15,-4.50296122142282E-15, 1.)); #379100=DIRECTION('ref_axis',(-5.22457893941251E-16,1.,4.17966315153001E-15)); #379101=DIRECTION('',(0.,0.,1.)); #379102=DIRECTION('center_axis',(0.,0.,-1.)); #379103=DIRECTION('ref_axis',(-1.,0.,0.)); #379104=DIRECTION('',(0.5,0.866025403784439,0.)); #379105=DIRECTION('center_axis',(0.,0.,-1.)); #379106=DIRECTION('ref_axis',(-5.2245789394125E-16,-1.,0.)); #379107=DIRECTION('',(0.,-6.83214169000096E-16,-1.)); #379108=DIRECTION('center_axis',(5.92118946466745E-16,1.,-6.83214169000096E-16)); #379109=DIRECTION('ref_axis',(0.,-6.83214169000096E-16,-1.)); #379110=DIRECTION('',(1.5182537088891E-16,-6.83214169000097E-16,-1.)); #379111=DIRECTION('center_axis',(-0.866025403784439,0.5,0.)); #379112=DIRECTION('ref_axis',(0.5,0.866025403784439,0.)); #379113=DIRECTION('',(0.5,0.866025403784439,0.)); #379114=DIRECTION('',(0.,0.,1.)); #379115=DIRECTION('center_axis',(0.,0.,-1.)); #379116=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #379117=DIRECTION('',(0.,0.,1.)); #379118=DIRECTION('center_axis',(-5.25907270147295E-30,-8.88178419700055E-15, -1.)); #379119=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #379120=DIRECTION('center_axis',(0.,0.,1.)); #379121=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #379122=DIRECTION('center_axis',(-3.94745964311164E-16,-1.,0.)); #379123=DIRECTION('ref_axis',(-1.,3.94745964311164E-16,0.)); #379124=DIRECTION('',(-1.5182537088891E-16,5.9932452438443E-32,1.)); #379125=DIRECTION('',(-1.,3.94745964311164E-16,1.75302423382431E-30)); #379126=DIRECTION('center_axis',(0.,0.,-1.)); #379127=DIRECTION('ref_axis',(-1.,0.,0.)); #379128=DIRECTION('center_axis',(0.,0.,1.)); #379129=DIRECTION('ref_axis',(-0.866025403784438,0.5,0.)); #379130=DIRECTION('',(0.866025403784439,0.5,0.)); #379131=DIRECTION('center_axis',(0.,0.,-1.)); #379132=DIRECTION('ref_axis',(1.,0.,0.)); #379133=DIRECTION('center_axis',(0.,0.,-1.)); #379134=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #379135=DIRECTION('',(0.,0.,-1.)); #379136=DIRECTION('center_axis',(5.25907270147298E-30,8.88178419700059E-15, 1.)); #379137=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #379138=DIRECTION('',(0.,0.,1.)); #379139=DIRECTION('center_axis',(0.,0.,1.)); #379140=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #379141=DIRECTION('center_axis',(5.25907270147298E-30,8.88178419700059E-15, 1.)); #379142=DIRECTION('ref_axis',(0.,1.,-8.88178419700059E-15)); #379143=DIRECTION('',(0.866025403784439,0.5,-4.4408920985003E-15)); #379144=DIRECTION('center_axis',(0.,0.,-1.)); #379145=DIRECTION('ref_axis',(-1.74152631313751E-16,-1.,0.)); #379146=DIRECTION('',(-1.,5.92118946466745E-16,0.)); #379147=DIRECTION('',(1.34848017986488E-30,1.,-8.88178419700059E-15)); #379148=DIRECTION('',(1.,-3.94745964311164E-16,-1.75302423382432E-30)); #379149=DIRECTION('center_axis',(0.,0.,-1.)); #379150=DIRECTION('ref_axis',(-5.2245789394125E-16,-1.,0.)); #379151=DIRECTION('center_axis',(0.,0.,-1.)); #379152=DIRECTION('ref_axis',(3.48305262627502E-16,-1.,0.)); #379153=DIRECTION('',(0.,-6.83214169000097E-16,-1.)); #379154=DIRECTION('',(0.,0.,1.)); #379155=DIRECTION('center_axis',(-5.25907270147295E-30,-8.88178419700055E-15, -1.)); #379156=DIRECTION('ref_axis',(0.,-1.,8.88178419700055E-15)); #379157=DIRECTION('',(-1.34848017986487E-30,-1.,8.88178419700055E-15)); #379158=DIRECTION('',(-1.,5.92118946466745E-16,0.)); #379159=DIRECTION('center_axis',(5.92118946466745E-16,1.,-6.83214169000097E-16)); #379160=DIRECTION('ref_axis',(0.,-6.83214169000097E-16,-1.)); #379161=DIRECTION('',(1.5182537088891E-16,-6.83214169000097E-16,-1.)); #379162=DIRECTION('center_axis',(0.,0.,-1.)); #379163=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #379164=DIRECTION('center_axis',(0.,0.,-1.)); #379165=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #379166=DIRECTION('center_axis',(-3.94745964311164E-16,-1.,0.)); #379167=DIRECTION('ref_axis',(-1.,3.94745964311164E-16,0.)); #379168=DIRECTION('',(0.,0.,1.)); #379169=DIRECTION('',(1.,-3.94745964311164E-16,0.)); #379170=DIRECTION('',(-1.5182537088891E-16,5.9932452438443E-32,1.)); #379171=DIRECTION('',(1.,-3.94745964311164E-16,0.)); #379172=DIRECTION('',(0.,0.,-1.)); #379173=DIRECTION('center_axis',(0.,0.,1.)); #379174=DIRECTION('ref_axis',(1.,0.,0.)); #379175=DIRECTION('center_axis',(0.,0.,-1.)); #379176=DIRECTION('ref_axis',(-8.7076315656875E-16,-1.,0.)); #379177=DIRECTION('',(-0.866025403784438,0.5,0.)); #379178=DIRECTION('center_axis',(0.,0.,1.)); #379179=DIRECTION('ref_axis',(1.,0.,0.)); #379180=DIRECTION('center_axis',(0.,0.,-1.)); #379181=DIRECTION('ref_axis',(-1.13040889780016E-15,-1.,0.)); #379182=DIRECTION('',(0.,0.,1.)); #379183=DIRECTION('center_axis',(-3.50604846764864E-30,-8.88178419700055E-15, -1.)); #379184=DIRECTION('ref_axis',(-1.13040889780016E-15,-1.,0.)); #379185=DIRECTION('center_axis',(0.,0.,-1.)); #379186=DIRECTION('ref_axis',(-1.13040889780016E-15,-1.,0.)); #379187=DIRECTION('center_axis',(-3.50604846764864E-30,-8.88178419700055E-15, -1.)); #379188=DIRECTION('ref_axis',(0.,1.,-8.88178419700055E-15)); #379189=DIRECTION('',(-0.866025403784438,0.5,-4.44089209850028E-15)); #379190=DIRECTION('center_axis',(-1.73572860496985E-16,6.45291843107677E-16, 1.)); #379191=DIRECTION('ref_axis',(-3.483052626275E-16,-1.,6.96610525255001E-16)); #379192=DIRECTION('',(1.,-3.94745964311164E-16,0.)); #379193=DIRECTION('',(0.,1.,-8.88178419700055E-15)); #379194=DIRECTION('center_axis',(0.,0.,1.)); #379195=DIRECTION('ref_axis',(-8.7076315656875E-16,-1.,0.)); #379196=DIRECTION('center_axis',(-1.73572860496985E-16,6.45291843107678E-16, 1.)); #379197=DIRECTION('ref_axis',(0.,-1.,6.96610525255001E-16)); #379198=DIRECTION('',(0.,6.83214169000096E-16,1.)); #379199=DIRECTION('',(0.,0.,-1.)); #379200=DIRECTION('center_axis',(1.75302423382433E-30,4.4408920985003E-15, 1.)); #379201=DIRECTION('ref_axis',(0.,-1.,4.4408920985003E-15)); #379202=DIRECTION('',(0.,-1.,4.4408920985003E-15)); #379203=DIRECTION('',(1.,-3.94745964311164E-16,0.)); #379204=DIRECTION('center_axis',(3.94745964311164E-16,1.,-6.83214169000096E-16)); #379205=DIRECTION('ref_axis',(0.,6.83214169000096E-16,1.)); #379206=DIRECTION('',(0.,6.83214169000096E-16,1.)); #379207=DIRECTION('center_axis',(0.,0.,-1.)); #379208=DIRECTION('ref_axis',(-1.13040889780016E-15,-1.,0.)); #379209=DIRECTION('center_axis',(0.,0.,1.)); #379210=DIRECTION('ref_axis',(-1.13040889780016E-15,-1.,0.)); #379211=DIRECTION('center_axis',(-1.,0.,-1.5182537088891E-16)); #379212=DIRECTION('ref_axis',(-1.5182537088891E-16,5.9932452438443E-32, 1.)); #379213=DIRECTION('',(-1.5182537088891E-16,5.9932452438443E-32,1.)); #379214=DIRECTION('',(0.,1.,0.)); #379215=DIRECTION('center_axis',(1.,0.,0.)); #379216=DIRECTION('ref_axis',(0.,0.,-1.)); #379217=DIRECTION('',(0.,1.,0.)); #379218=DIRECTION('',(0.,0.,-1.)); #379219=DIRECTION('center_axis',(0.,0.,1.)); #379220=DIRECTION('ref_axis',(1.,-3.94745964311164E-16,0.)); #379221=DIRECTION('',(1.,-3.94745964311164E-16,0.)); #379222=DIRECTION('center_axis',(0.,1.,0.)); #379223=DIRECTION('ref_axis',(0.,0.,1.)); #379224=DIRECTION('center_axis',(0.,0.,-1.)); #379225=DIRECTION('ref_axis',(-1.,0.,0.)); #379226=DIRECTION('center_axis',(0.,0.,-1.)); #379227=DIRECTION('ref_axis',(1.,0.,0.)); #379228=DIRECTION('',(-0.866025403784438,0.500000000000001,0.)); #379229=DIRECTION('center_axis',(0.,0.,-1.)); #379230=DIRECTION('ref_axis',(1.,0.,0.)); #379231=DIRECTION('center_axis',(-1.,1.84400699326152E-17,0.)); #379232=DIRECTION('ref_axis',(1.84400699326152E-17,1.,0.)); #379233=DIRECTION('',(1.84400699326152E-17,1.,0.)); #379234=DIRECTION('',(0.,0.,1.)); #379235=DIRECTION('',(-1.84400699326152E-17,-1.,0.)); #379236=DIRECTION('center_axis',(-0.5,-0.866025403784438,0.)); #379237=DIRECTION('ref_axis',(-0.866025403784438,0.5,0.)); #379238=DIRECTION('',(0.866025403784438,-0.5,0.)); #379239=DIRECTION('',(0.,0.,1.)); #379240=DIRECTION('',(-0.866025403784438,0.5,0.)); #379241=DIRECTION('',(0.,0.,-1.)); #379242=DIRECTION('',(0.,0.,1.)); #379243=DIRECTION('center_axis',(0.866025403783942,-0.50000000000086,0.)); #379244=DIRECTION('ref_axis',(-0.50000000000086,-0.866025403783942,0.)); #379245=DIRECTION('',(-0.50000000000086,-0.866025403783942,0.)); #379246=DIRECTION('',(0.,0.,-1.)); #379247=DIRECTION('center_axis',(0.500000000000001,0.866025403784438,0.)); #379248=DIRECTION('ref_axis',(0.866025403784438,-0.500000000000001,0.)); #379249=DIRECTION('',(0.,0.,1.)); #379250=DIRECTION('',(0.866025403784438,-0.500000000000001,0.)); #379251=DIRECTION('center_axis',(0.5,-0.866025403784439,0.)); #379252=DIRECTION('ref_axis',(-0.866025403784439,-0.5,0.)); #379253=DIRECTION('',(-0.866025403784439,-0.5,0.)); #379254=DIRECTION('',(0.,0.,1.)); #379255=DIRECTION('center_axis',(0.,0.,1.)); #379256=DIRECTION('ref_axis',(1.,0.,0.)); #379257=DIRECTION('center_axis',(0.,0.,-1.)); #379258=DIRECTION('ref_axis',(1.,0.,0.)); #379259=DIRECTION('center_axis',(0.,0.,1.)); #379260=DIRECTION('ref_axis',(1.,0.,0.)); #379261=DIRECTION('center_axis',(0.,0.,-1.)); #379262=DIRECTION('ref_axis',(1.,0.,0.)); #379263=DIRECTION('center_axis',(0.,0.,-1.)); #379264=DIRECTION('ref_axis',(1.,0.,0.)); #379265=DIRECTION('center_axis',(0.,0.,1.)); #379266=DIRECTION('ref_axis',(1.,0.,0.)); #379267=DIRECTION('center_axis',(0.,0.,1.)); #379268=DIRECTION('ref_axis',(1.,0.,0.)); #379269=DIRECTION('',(0.866025403784439,0.5,0.)); #379270=DIRECTION('',(1.84400699326152E-17,1.,0.)); #379271=DIRECTION('center_axis',(0.,0.,1.)); #379272=DIRECTION('ref_axis',(1.,0.,0.)); #379273=DIRECTION('',(-0.5,-0.866025403784438,0.)); #379274=DIRECTION('center_axis',(-0.866025403784939,-0.499999999999133, 0.)); #379275=DIRECTION('ref_axis',(0.499999999999133,-0.866025403784939,0.)); #379276=DIRECTION('',(-0.499999999999133,0.866025403784939,0.)); #379277=DIRECTION('',(0.,0.,1.)); #379278=DIRECTION('',(0.499999999999133,-0.866025403784939,0.)); #379279=DIRECTION('',(0.,0.,1.)); #379280=DIRECTION('center_axis',(-0.5,0.866025403784439,0.)); #379281=DIRECTION('ref_axis',(-0.866025403784439,-0.5,0.)); #379282=DIRECTION('',(0.866025403784439,0.5,0.)); #379283=DIRECTION('',(-0.866025403784439,-0.5,0.)); #379284=DIRECTION('',(0.,0.,1.)); #379285=DIRECTION('center_axis',(-1.,1.84400699326152E-17,0.)); #379286=DIRECTION('ref_axis',(-1.84400699326152E-17,-1.,0.)); #379287=DIRECTION('',(1.84400699326152E-17,1.,0.)); #379288=DIRECTION('',(-1.84400699326152E-17,-1.,0.)); #379289=DIRECTION('center_axis',(1.,1.84400699326152E-17,0.)); #379290=DIRECTION('ref_axis',(-1.84400699326152E-17,1.,0.)); #379291=DIRECTION('',(-1.84400699326152E-17,1.,0.)); #379292=DIRECTION('',(0.,0.,1.)); #379293=DIRECTION('',(1.84400699326152E-17,-1.,0.)); #379294=DIRECTION('center_axis',(0.866025403784438,-0.5,0.)); #379295=DIRECTION('ref_axis',(0.5,0.866025403784438,0.)); #379296=DIRECTION('',(-0.5,-0.866025403784438,0.)); #379297=DIRECTION('',(0.5,0.866025403784438,0.)); #379298=DIRECTION('',(0.,0.,1.)); #379299=DIRECTION('',(-0.5,-0.866025403784438,0.)); #379300=DIRECTION('center_axis',(0.5,-0.866025403784439,0.)); #379301=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #379302=DIRECTION('',(-0.866025403784439,-0.5,0.)); #379303=DIRECTION('',(0.866025403784439,0.5,0.)); #379304=DIRECTION('center_axis',(-0.866025403784438,0.500000000000001,0.)); #379305=DIRECTION('ref_axis',(-0.500000000000001,-0.866025403784438,0.)); #379306=DIRECTION('',(0.500000000000001,0.866025403784438,0.)); #379307=DIRECTION('',(0.,0.,1.)); #379308=DIRECTION('',(-0.500000000000001,-0.866025403784438,0.)); #379309=DIRECTION('center_axis',(-0.5,-0.866025403784438,0.)); #379310=DIRECTION('ref_axis',(0.866025403784438,-0.5,0.)); #379311=DIRECTION('',(0.866025403784438,-0.5,0.)); #379312=DIRECTION('',(-0.866025403784438,0.5,0.)); #379313=DIRECTION('center_axis',(0.,0.,1.)); #379314=DIRECTION('ref_axis',(1.,0.,0.)); #379315=DIRECTION('center_axis',(0.,0.,1.)); #379316=DIRECTION('ref_axis',(1.,0.,0.)); #379317=DIRECTION('center_axis',(0.,0.,1.)); #379318=DIRECTION('ref_axis',(1.,0.,0.)); #379319=DIRECTION('center_axis',(0.,0.,-1.)); #379320=DIRECTION('ref_axis',(1.,0.,0.)); #379321=DIRECTION('center_axis',(0.,0.,-1.)); #379322=DIRECTION('ref_axis',(1.,0.,0.)); #379323=DIRECTION('center_axis',(0.,0.,1.)); #379324=DIRECTION('ref_axis',(1.,0.,0.)); #379325=DIRECTION('',(0.,0.,-1.)); #379326=DIRECTION('center_axis',(0.,0.,1.)); #379327=DIRECTION('ref_axis',(1.,0.,0.)); #379328=DIRECTION('center_axis',(0.,0.,1.)); #379329=DIRECTION('ref_axis',(1.,0.,0.)); #379330=DIRECTION('center_axis',(0.,0.,1.)); #379331=DIRECTION('ref_axis',(1.,0.,0.)); #379332=DIRECTION('center_axis',(0.,0.,1.)); #379333=DIRECTION('ref_axis',(1.,0.,0.)); #379334=DIRECTION('center_axis',(0.,0.,1.)); #379335=DIRECTION('ref_axis',(1.,0.,0.)); #379336=DIRECTION('center_axis',(0.,0.,1.)); #379337=DIRECTION('ref_axis',(1.,0.,0.)); #379338=DIRECTION('center_axis',(0.,0.,1.)); #379339=DIRECTION('ref_axis',(1.,0.,0.)); #379340=DIRECTION('center_axis',(0.,0.,1.)); #379341=DIRECTION('ref_axis',(1.,0.,0.)); #379342=DIRECTION('center_axis',(0.,0.,1.)); #379343=DIRECTION('ref_axis',(1.,0.,0.)); #379344=DIRECTION('',(0.,0.,-1.)); #379345=DIRECTION('center_axis',(0.,0.,1.)); #379346=DIRECTION('ref_axis',(1.,0.,0.)); #379347=DIRECTION('',(0.,0.,-1.)); #379348=DIRECTION('center_axis',(0.,0.,1.)); #379349=DIRECTION('ref_axis',(1.,0.,0.)); #379350=DIRECTION('center_axis',(0.,0.,1.)); #379351=DIRECTION('ref_axis',(1.,0.,0.)); #379352=DIRECTION('center_axis',(0.,0.,1.)); #379353=DIRECTION('ref_axis',(1.,0.,0.)); #379354=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,0.)); #379355=DIRECTION('ref_axis',(0.,0.,1.)); #379356=DIRECTION('',(0.,0.,1.)); #379357=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #379358=DIRECTION('',(0.,0.,1.)); #379359=DIRECTION('',(0.577350269189626,0.577350269189627,0.577350269189624)); #379360=DIRECTION('center_axis',(0.,0.707106781186544,-0.707106781186551)); #379361=DIRECTION('ref_axis',(-1.,0.,0.)); #379362=DIRECTION('',(-1.,3.94745964311164E-16,0.)); #379363=DIRECTION('',(-1.,0.,0.)); #379364=DIRECTION('',(-0.577350269189627,0.577350269189629,0.577350269189621)); #379365=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,1.07356749311711E-16)); #379366=DIRECTION('ref_axis',(1.5182537088891E-16,0.,-1.)); #379367=DIRECTION('',(1.5182537088891E-16,0.,-1.)); #379368=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #379369=DIRECTION('',(1.5182537088891E-16,-5.9932452438443E-32,-1.)); #379370=DIRECTION('center_axis',(3.94745964311164E-16,1.,0.)); #379371=DIRECTION('ref_axis',(-1.,3.94745964311164E-16,0.)); #379372=DIRECTION('',(1.,-3.94745964311164E-16,0.)); #379373=DIRECTION('',(0.,0.,-1.)); #379374=DIRECTION('',(1.,-3.94745964311164E-16,0.)); #379375=DIRECTION('',(0.,0.,-1.)); #379376=DIRECTION('',(1.,-3.94745964311164E-16,0.)); #379377=DIRECTION('center_axis',(0.,0.,-1.)); #379378=DIRECTION('ref_axis',(-1.,0.,0.)); #379379=DIRECTION('',(-1.,1.97372982155582E-16,0.)); #379380=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #379381=DIRECTION('',(-1.,0.,0.)); #379382=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #379383=DIRECTION('',(-1.,1.97372982155582E-16,0.)); #379384=DIRECTION('center_axis',(0.,0.,-1.)); #379385=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #379386=DIRECTION('',(0.5,0.866025403784438,0.)); #379387=DIRECTION('center_axis',(0.,0.,-1.)); #379388=DIRECTION('ref_axis',(0.866025403784439,0.499999999999999,0.)); #379389=DIRECTION('',(0.5,-0.866025403784439,0.)); #379390=DIRECTION('center_axis',(0.,0.,-1.)); #379391=DIRECTION('ref_axis',(-9.03232290307977E-16,-1.,0.)); #379392=DIRECTION('',(1.,-3.94745964311164E-16,0.)); #379393=DIRECTION('center_axis',(0.,0.,1.)); #379394=DIRECTION('ref_axis',(-1.29189588320018E-15,-1.,0.)); #379395=DIRECTION('',(-0.499999999999999,0.866025403784439,0.)); #379396=DIRECTION('center_axis',(0.,0.,1.)); #379397=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #379398=DIRECTION('',(-0.5,-0.866025403784438,0.)); #379399=DIRECTION('center_axis',(0.,0.,1.)); #379400=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #379401=DIRECTION('center_axis',(0.,-1.,0.)); #379402=DIRECTION('ref_axis',(0.,0.,-1.)); #379403=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #379404=DIRECTION('ref_axis',(0.,0.,1.)); #379405=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #379406=DIRECTION('',(0.,0.,-1.)); #379407=DIRECTION('',(0.,0.,-1.)); #379408=DIRECTION('center_axis',(-1.97372982155582E-16,-1.,0.)); #379409=DIRECTION('ref_axis',(1.,-1.97372982155582E-16,0.)); #379410=DIRECTION('',(1.,-1.97372982155582E-16,0.)); #379411=DIRECTION('',(0.,0.,-1.)); #379412=DIRECTION('center_axis',(0.707106781186545,-0.70710678118655,0.)); #379413=DIRECTION('ref_axis',(0.,0.,-1.)); #379414=DIRECTION('',(0.,0.,1.)); #379415=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #379416=DIRECTION('',(0.,0.,-1.)); #379417=DIRECTION('center_axis',(-1.97372982155582E-16,-1.,0.)); #379418=DIRECTION('ref_axis',(1.,-1.97372982155582E-16,0.)); #379419=DIRECTION('',(0.,0.,1.)); #379420=DIRECTION('',(1.,-1.97372982155582E-16,0.)); #379421=DIRECTION('center_axis',(0.,0.,1.)); #379422=DIRECTION('ref_axis',(1.,0.,0.)); #379423=DIRECTION('center_axis',(0.,0.,1.)); #379424=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #379425=DIRECTION('',(-0.499999999999999,0.866025403784439,0.)); #379426=DIRECTION('center_axis',(0.,0.,1.)); #379427=DIRECTION('ref_axis',(-1.29189588320018E-15,-1.,0.)); #379428=DIRECTION('center_axis',(0.,0.,1.)); #379429=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #379430=DIRECTION('',(-0.5,-0.866025403784438,0.)); #379431=DIRECTION('center_axis',(0.,0.,1.)); #379432=DIRECTION('ref_axis',(1.,0.,0.)); #379433=DIRECTION('',(1.,0.,0.)); #379434=DIRECTION('center_axis',(0.,0.,1.)); #379435=DIRECTION('ref_axis',(-9.03232290307977E-16,-1.,0.)); #379436=DIRECTION('',(-0.5,0.866025403784439,0.)); #379437=DIRECTION('center_axis',(0.,0.,1.)); #379438=DIRECTION('ref_axis',(0.866025403784439,0.499999999999999,0.)); #379439=DIRECTION('',(-0.5,-0.866025403784438,0.)); #379440=DIRECTION('center_axis',(0.,0.,1.)); #379441=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #379442=DIRECTION('center_axis',(0.,0.,1.)); #379443=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #379444=DIRECTION('',(0.,0.,-1.)); #379445=DIRECTION('',(0.,0.,-1.)); #379446=DIRECTION('center_axis',(0.866025403784438,-0.5,0.)); #379447=DIRECTION('ref_axis',(0.5,0.866025403784438,0.)); #379448=DIRECTION('',(0.,0.,1.)); #379449=DIRECTION('center_axis',(0.,0.,1.)); #379450=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #379451=DIRECTION('center_axis',(-0.866025403784439,0.5,0.)); #379452=DIRECTION('ref_axis',(-0.5,-0.866025403784438,0.)); #379453=DIRECTION('',(0.,0.,-1.)); #379454=DIRECTION('',(0.,0.,-1.)); #379455=DIRECTION('center_axis',(0.,0.,1.)); #379456=DIRECTION('ref_axis',(0.866025403784439,0.499999999999999,0.)); #379457=DIRECTION('',(0.,0.,-1.)); #379458=DIRECTION('center_axis',(0.866025403784439,0.5,0.)); #379459=DIRECTION('ref_axis',(-0.5,0.866025403784439,0.)); #379460=DIRECTION('',(0.,0.,-1.)); #379461=DIRECTION('center_axis',(0.,0.,1.)); #379462=DIRECTION('ref_axis',(-9.03232290307977E-16,-1.,0.)); #379463=DIRECTION('center_axis',(0.,0.,1.)); #379464=DIRECTION('ref_axis',(-1.29189588320018E-15,-1.,0.)); #379465=DIRECTION('',(0.,0.,-1.)); #379466=DIRECTION('center_axis',(-0.866025403784439,-0.5,0.)); #379467=DIRECTION('ref_axis',(0.499999999999999,-0.866025403784439,0.)); #379468=DIRECTION('center_axis',(0.,-1.,0.)); #379469=DIRECTION('ref_axis',(1.,0.,0.)); #379470=DIRECTION('center_axis',(0.,0.,1.)); #379471=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #379472=DIRECTION('center_axis',(0.707106781186546,-0.707106781186549,0.)); #379473=DIRECTION('ref_axis',(0.,0.,-1.)); #379474=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #379475=DIRECTION('',(0.,0.,-1.)); #379476=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #379477=DIRECTION('',(0.,0.,-1.)); #379478=DIRECTION('center_axis',(-1.97372982155582E-16,-1.,0.)); #379479=DIRECTION('ref_axis',(-1.,1.97372982155582E-16,0.)); #379480=DIRECTION('',(1.,-1.97372982155582E-16,0.)); #379481=DIRECTION('',(0.,0.,-1.)); #379482=DIRECTION('',(-1.,1.97372982155582E-16,0.)); #379483=DIRECTION('center_axis',(0.,0.,-1.)); #379484=DIRECTION('ref_axis',(-1.,0.,0.)); #379485=DIRECTION('',(1.,-3.94745964311164E-16,0.)); #379486=DIRECTION('center_axis',(0.,0.,1.)); #379487=DIRECTION('ref_axis',(-1.29189588320018E-15,-1.,0.)); #379488=DIRECTION('',(-0.499999999999999,0.866025403784439,0.)); #379489=DIRECTION('center_axis',(0.,0.,1.)); #379490=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #379491=DIRECTION('',(-0.5,-0.866025403784438,0.)); #379492=DIRECTION('center_axis',(0.,0.,1.)); #379493=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #379494=DIRECTION('',(1.,-3.94745964311164E-16,0.)); #379495=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #379496=DIRECTION('',(1.,-1.97372982155582E-16,0.)); #379497=DIRECTION('',(2.77555756156289E-16,1.,0.)); #379498=DIRECTION('',(1.,0.,0.)); #379499=DIRECTION('',(-5.04646821855245E-16,-1.,0.)); #379500=DIRECTION('center_axis',(-0.707106781186546,-0.707106781186549, 0.)); #379501=DIRECTION('ref_axis',(0.,0.,1.)); #379502=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #379503=DIRECTION('',(0.,0.,1.)); #379504=DIRECTION('',(0.,0.,-1.)); #379505=DIRECTION('center_axis',(-1.97372982155582E-16,-1.,0.)); #379506=DIRECTION('ref_axis',(-1.,1.97372982155582E-16,0.)); #379507=DIRECTION('',(-1.,1.97372982155582E-16,0.)); #379508=DIRECTION('',(0.,0.,1.)); #379509=DIRECTION('center_axis',(0.,-1.,0.)); #379510=DIRECTION('ref_axis',(-1.,0.,0.)); #379511=DIRECTION('',(0.,0.,-1.)); #379512=DIRECTION('',(-1.,0.,0.)); #379513=DIRECTION('',(0.,0.,-1.)); #379514=DIRECTION('center_axis',(-1.,0.,0.)); #379515=DIRECTION('ref_axis',(0.,1.,0.)); #379516=DIRECTION('',(5.04646821855245E-16,1.,0.)); #379517=DIRECTION('center_axis',(1.,-2.77555756156289E-16,0.)); #379518=DIRECTION('ref_axis',(-2.77555756156289E-16,-1.,0.)); #379519=DIRECTION('',(-2.77555756156289E-16,-1.,0.)); #379520=DIRECTION('center_axis',(-3.94745964311164E-16,-1.,0.)); #379521=DIRECTION('ref_axis',(-1.,3.94745964311164E-16,0.)); #379522=DIRECTION('',(-1.,3.94745964311164E-16,0.)); #379523=DIRECTION('',(0.,0.,-1.)); #379524=DIRECTION('center_axis',(0.,0.,-1.)); #379525=DIRECTION('ref_axis',(-1.29189588320018E-15,-1.,0.)); #379526=DIRECTION('center_axis',(0.,0.,-1.)); #379527=DIRECTION('ref_axis',(-1.29189588320018E-15,-1.,0.)); #379528=DIRECTION('',(0.,0.,-1.)); #379529=DIRECTION('center_axis',(0.866025403784439,0.5,0.)); #379530=DIRECTION('ref_axis',(0.499999999999999,-0.866025403784439,0.)); #379531=DIRECTION('',(0.499999999999999,-0.866025403784439,0.)); #379532=DIRECTION('',(0.,0.,-1.)); #379533=DIRECTION('center_axis',(0.,0.,-1.)); #379534=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #379535=DIRECTION('center_axis',(0.,0.,-1.)); #379536=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #379537=DIRECTION('',(0.,0.,-1.)); #379538=DIRECTION('center_axis',(-0.866025403784438,0.5,0.)); #379539=DIRECTION('ref_axis',(0.5,0.866025403784438,0.)); #379540=DIRECTION('',(0.5,0.866025403784438,0.)); #379541=DIRECTION('',(0.,0.,-1.)); #379542=DIRECTION('center_axis',(0.,0.,-1.)); #379543=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #379544=DIRECTION('center_axis',(0.,0.,-1.)); #379545=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #379546=DIRECTION('',(0.,0.,-1.)); #379547=DIRECTION('center_axis',(-3.94745964311164E-16,-1.,0.)); #379548=DIRECTION('ref_axis',(-1.,3.94745964311164E-16,0.)); #379549=DIRECTION('',(-1.,3.94745964311164E-16,0.)); #379550=DIRECTION('center_axis',(0.,0.,-1.)); #379551=DIRECTION('ref_axis',(-1.,0.,0.)); #379552=DIRECTION('center_axis',(-0.280136775642075,0.649248324551448,0.707106781186547)); #379553=DIRECTION('ref_axis',(0.929698433687633,0.,0.368321629012961)); #379554=DIRECTION('',(0.918175785928666,0.39617322743249,0.)); #379555=DIRECTION('',(-0.918175785928666,-0.396173227432491,0.)); #379556=DIRECTION('',(0.28013677564208,-0.649248324551446,0.707106781186547)); #379557=DIRECTION('',(-0.918175785928666,-0.39617322743249,0.)); #379558=DIRECTION('center_axis',(0.,0.,-1.)); #379559=DIRECTION('ref_axis',(1.,0.,0.)); #379560=DIRECTION('center_axis',(0.,0.,-1.)); #379561=DIRECTION('ref_axis',(1.,0.,0.)); #379562=DIRECTION('',(-0.707106781186547,-8.65956056235493E-17,-0.707106781186548)); #379563=DIRECTION('center_axis',(0.,0.,-1.)); #379564=DIRECTION('ref_axis',(1.,0.,0.)); #379565=DIRECTION('center_axis',(0.,0.,1.)); #379566=DIRECTION('ref_axis',(1.,0.,0.)); #379567=DIRECTION('center_axis',(0.,0.,1.)); #379568=DIRECTION('ref_axis',(1.,0.,0.)); #379569=DIRECTION('',(0.,0.,1.)); #379570=DIRECTION('center_axis',(0.,0.,-1.)); #379571=DIRECTION('ref_axis',(0.567724520094941,-0.823218603581678,0.)); #379572=DIRECTION('center_axis',(0.,0.,-1.)); #379573=DIRECTION('ref_axis',(0.567724520094942,-0.823218603581678,0.)); #379574=DIRECTION('',(1.57009245868378E-14,0.707106781186547,0.707106781186548)); #379575=DIRECTION('center_axis',(0.,0.,1.)); #379576=DIRECTION('ref_axis',(0.567724520094941,-0.823218603581678,0.)); #379577=DIRECTION('center_axis',(1.59102702479956E-14,0.707106781186549, -0.707106781186546)); #379578=DIRECTION('ref_axis',(-1.,0.,-2.25005199657366E-14)); #379579=DIRECTION('',(-1.,2.25005199657365E-14,0.)); #379580=DIRECTION('',(1.57009245868377E-14,0.707106781186546,0.707106781186549)); #379581=DIRECTION('',(1.,-2.25005199657365E-14,0.)); #379582=DIRECTION('center_axis',(0.,0.,1.)); #379583=DIRECTION('ref_axis',(-0.707106781186531,0.707106781186564,0.)); #379584=DIRECTION('',(-0.707106781186546,1.72710170455215E-14,0.707106781186549)); #379585=DIRECTION('center_axis',(0.,0.,-1.)); #379586=DIRECTION('ref_axis',(-0.707106781186531,0.707106781186564,0.)); #379587=DIRECTION('center_axis',(0.,0.,1.)); #379588=DIRECTION('ref_axis',(-0.707106781186531,0.707106781186564,0.)); #379589=DIRECTION('center_axis',(-0.707106781186551,1.73059079890478E-14, -0.707106781186544)); #379590=DIRECTION('ref_axis',(-0.707106781186544,0.,0.707106781186551)); #379591=DIRECTION('',(-2.44742497872922E-14,-1.,0.)); #379592=DIRECTION('',(-0.707106781186541,1.64859708161797E-14,0.707106781186554)); #379593=DIRECTION('',(2.44742497872922E-14,1.,0.)); #379594=DIRECTION('center_axis',(0.,0.,1.)); #379595=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #379596=DIRECTION('',(0.,-0.707106781186547,0.707106781186548)); #379597=DIRECTION('center_axis',(0.,0.,-1.)); #379598=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #379599=DIRECTION('center_axis',(0.,0.,1.)); #379600=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #379601=DIRECTION('center_axis',(3.14018491736756E-16,-0.707106781186549, -0.707106781186546)); #379602=DIRECTION('ref_axis',(-1.,0.,-4.44089209850065E-16)); #379603=DIRECTION('',(1.,4.44089209850063E-16,0.)); #379604=DIRECTION('',(0.,-0.707106781186546,0.707106781186549)); #379605=DIRECTION('',(-1.,-4.44089209850063E-16,0.)); #379606=DIRECTION('center_axis',(0.,0.,-1.)); #379607=DIRECTION('ref_axis',(0.567724520094981,0.823218603581651,0.)); #379608=DIRECTION('center_axis',(0.,0.,1.)); #379609=DIRECTION('ref_axis',(0.567724520094981,0.823218603581651,0.)); #379610=DIRECTION('center_axis',(0.,0.,-1.)); #379611=DIRECTION('ref_axis',(0.567724520094981,0.823218603581651,0.)); #379612=DIRECTION('center_axis',(0.,0.,1.)); #379613=DIRECTION('ref_axis',(-1.,0.,0.)); #379614=DIRECTION('center_axis',(0.,0.,-1.)); #379615=DIRECTION('ref_axis',(1.,0.,0.)); #379616=DIRECTION('center_axis',(0.,0.,-1.)); #379617=DIRECTION('ref_axis',(0.746320670340558,0.665586551112942,0.)); #379618=DIRECTION('',(1.,-2.46469511466784E-14,0.)); #379619=DIRECTION('center_axis',(0.,0.,-1.)); #379620=DIRECTION('ref_axis',(-0.707106781186531,0.707106781186564,0.)); #379621=DIRECTION('',(2.41584530158434E-14,1.,0.)); #379622=DIRECTION('center_axis',(0.,0.,-1.)); #379623=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #379624=DIRECTION('',(-1.,0.,0.)); #379625=DIRECTION('center_axis',(0.,0.,-1.)); #379626=DIRECTION('ref_axis',(0.746320670340522,-0.665586551112981,0.)); #379627=DIRECTION('center_axis',(0.,0.,-1.)); #379628=DIRECTION('ref_axis',(1.,0.,0.)); #379629=DIRECTION('center_axis',(0.,0.,-1.)); #379630=DIRECTION('ref_axis',(0.746320670340522,-0.665586551112981,0.)); #379631=DIRECTION('',(0.,0.707106781186546,0.707106781186549)); #379632=DIRECTION('center_axis',(0.,0.,1.)); #379633=DIRECTION('ref_axis',(0.746320670340522,-0.665586551112981,0.)); #379634=DIRECTION('center_axis',(0.,0.707106781186549,-0.707106781186546)); #379635=DIRECTION('ref_axis',(-1.,0.,0.)); #379636=DIRECTION('',(0.,0.707106781186546,0.707106781186549)); #379637=DIRECTION('',(1.,0.,0.)); #379638=DIRECTION('center_axis',(0.,0.,-1.)); #379639=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #379640=DIRECTION('',(0.707106781186544,-1.68784939308506E-14,0.707106781186551)); #379641=DIRECTION('center_axis',(0.,0.,1.)); #379642=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #379643=DIRECTION('center_axis',(0.707106781186549,-1.70826059504795E-14, -0.707106781186546)); #379644=DIRECTION('ref_axis',(-0.707106781186546,0.,-0.707106781186549)); #379645=DIRECTION('',(0.707106781186546,-1.76635401601924E-14,0.707106781186549)); #379646=DIRECTION('',(-2.41584530158434E-14,-1.,0.)); #379647=DIRECTION('center_axis',(0.,0.,-1.)); #379648=DIRECTION('ref_axis',(-0.707106781186531,0.707106781186564,0.)); #379649=DIRECTION('',(-1.57009245868378E-14,-0.707106781186547,0.707106781186548)); #379650=DIRECTION('center_axis',(0.,0.,1.)); #379651=DIRECTION('ref_axis',(-0.707106781186531,0.707106781186564,0.)); #379652=DIRECTION('center_axis',(-1.74280262913899E-14,-0.707106781186549, -0.707106781186546)); #379653=DIRECTION('ref_axis',(-1.,0.,2.46469511466786E-14)); #379654=DIRECTION('',(-1.88411095042052E-14,-0.707106781186546,0.707106781186549)); #379655=DIRECTION('',(-1.,2.46469511466784E-14,0.)); #379656=DIRECTION('center_axis',(0.,0.,-1.)); #379657=DIRECTION('ref_axis',(0.746320670340558,0.665586551112942,0.)); #379658=DIRECTION('center_axis',(0.,0.,1.)); #379659=DIRECTION('ref_axis',(0.746320670340558,0.665586551112942,0.)); #379660=DIRECTION('center_axis',(0.,0.,-1.)); #379661=DIRECTION('ref_axis',(-0.746320670340205,0.665586551113338,0.)); #379662=DIRECTION('center_axis',(0.,0.,-1.)); #379663=DIRECTION('ref_axis',(-0.746320670340205,0.665586551113338,0.)); #379664=DIRECTION('',(-7.91326599176623E-13,-0.707106781186547,0.707106781186548)); #379665=DIRECTION('center_axis',(0.,0.,1.)); #379666=DIRECTION('ref_axis',(-0.746320670340205,0.665586551113338,0.)); #379667=DIRECTION('center_axis',(-7.90384543701414E-13,-0.707106781186549, -0.707106781186546)); #379668=DIRECTION('ref_axis',(-1.,0.,1.11777254119261E-12)); #379669=DIRECTION('',(1.,-1.11777254119261E-12,0.)); #379670=DIRECTION('',(-7.91326599176621E-13,-0.707106781186546,0.707106781186549)); #379671=DIRECTION('',(-1.,1.11777254119261E-12,0.)); #379672=DIRECTION('center_axis',(0.,0.,-1.)); #379673=DIRECTION('ref_axis',(0.707106781186935,0.70710678118616,0.)); #379674=DIRECTION('',(-0.707106781186544,-1.68784939308506E-14,0.707106781186551)); #379675=DIRECTION('center_axis',(0.,0.,1.)); #379676=DIRECTION('ref_axis',(0.707106781186935,0.70710678118616,0.)); #379677=DIRECTION('center_axis',(0.,0.,-1.)); #379678=DIRECTION('ref_axis',(0.707106781186935,0.70710678118616,0.)); #379679=DIRECTION('center_axis',(-0.707106781186552,-1.70826059504796E-14, -0.707106781186543)); #379680=DIRECTION('ref_axis',(-0.707106781186543,0.,0.707106781186552)); #379681=DIRECTION('',(2.41584530158434E-14,-1.,0.)); #379682=DIRECTION('',(-0.707106781186543,-1.76635401601925E-14,0.707106781186552)); #379683=DIRECTION('',(-2.41584530158434E-14,1.,0.)); #379684=DIRECTION('center_axis',(0.,0.,-1.)); #379685=DIRECTION('ref_axis',(0.707106781186558,-0.707106781186537,0.)); #379686=DIRECTION('',(-3.14018491736755E-15,0.707106781186547,0.707106781186547)); #379687=DIRECTION('center_axis',(0.,0.,1.)); #379688=DIRECTION('ref_axis',(0.707106781186558,-0.707106781186537,0.)); #379689=DIRECTION('center_axis',(0.,0.,-1.)); #379690=DIRECTION('ref_axis',(0.707106781186558,-0.707106781186537,0.)); #379691=DIRECTION('center_axis',(-3.45420340910431E-15,0.707106781186549, -0.707106781186546)); #379692=DIRECTION('ref_axis',(-1.,0.,4.88498130835071E-15)); #379693=DIRECTION('',(-1.,-4.88498130835069E-15,0.)); #379694=DIRECTION('',(-3.14018491736754E-15,0.707106781186546,0.707106781186549)); #379695=DIRECTION('',(1.,4.88498130835069E-15,0.)); #379696=DIRECTION('center_axis',(0.,0.,-1.)); #379697=DIRECTION('ref_axis',(-0.746320670340522,-0.665586551112982,0.)); #379698=DIRECTION('center_axis',(0.,0.,1.)); #379699=DIRECTION('ref_axis',(-0.746320670340522,-0.665586551112982,0.)); #379700=DIRECTION('center_axis',(0.,0.,-1.)); #379701=DIRECTION('ref_axis',(-0.746320670340522,-0.665586551112982,0.)); #379702=DIRECTION('center_axis',(0.,0.,1.)); #379703=DIRECTION('ref_axis',(-1.,0.,0.)); #379704=DIRECTION('center_axis',(0.,0.,-1.)); #379705=DIRECTION('ref_axis',(1.,0.,0.)); #379706=DIRECTION('center_axis',(0.,0.,-1.)); #379707=DIRECTION('ref_axis',(-0.56772452009494,-0.82321860358168,0.)); #379708=DIRECTION('',(-1.,-2.20564307558864E-14,0.)); #379709=DIRECTION('center_axis',(0.,0.,1.)); #379710=DIRECTION('ref_axis',(0.707106781186531,0.707106781186564,0.)); #379711=DIRECTION('',(-2.44742497872922E-14,1.,0.)); #379712=DIRECTION('center_axis',(0.,0.,1.)); #379713=DIRECTION('ref_axis',(0.707106781186556,-0.707106781186539,0.)); #379714=DIRECTION('',(1.,-2.96059473233375E-16,0.)); #379715=DIRECTION('center_axis',(0.,0.,-1.)); #379716=DIRECTION('ref_axis',(-0.567724520094981,0.823218603581651,0.)); #379717=DIRECTION('center_axis',(0.,0.,-1.)); #379718=DIRECTION('ref_axis',(1.,0.,0.)); #379719=DIRECTION('center_axis',(0.,0.,-1.)); #379720=DIRECTION('ref_axis',(-0.567724520094981,0.823218603581651,0.)); #379721=DIRECTION('',(0.,-0.707106781186547,0.707106781186548)); #379722=DIRECTION('center_axis',(0.,0.,1.)); #379723=DIRECTION('ref_axis',(-0.567724520094981,0.823218603581651,0.)); #379724=DIRECTION('center_axis',(-2.09345661157837E-16,-0.70710678118655, -0.707106781186545)); #379725=DIRECTION('ref_axis',(-1.,0.,2.96059473233377E-16)); #379726=DIRECTION('',(0.,-0.707106781186546,0.707106781186549)); #379727=DIRECTION('',(-1.,2.96059473233375E-16,0.)); #379728=DIRECTION('center_axis',(0.,0.,1.)); #379729=DIRECTION('ref_axis',(0.707106781186556,-0.707106781186539,0.)); #379730=DIRECTION('',(0.707106781186546,1.72710170455215E-14,0.707106781186549)); #379731=DIRECTION('center_axis',(0.,0.,-1.)); #379732=DIRECTION('ref_axis',(0.707106781186556,-0.707106781186539,0.)); #379733=DIRECTION('center_axis',(0.707106781186551,1.73059079890478E-14, -0.707106781186544)); #379734=DIRECTION('ref_axis',(-0.707106781186544,0.,-0.707106781186551)); #379735=DIRECTION('',(0.707106781186548,1.72710170455214E-14,0.707106781186548)); #379736=DIRECTION('',(2.44742497872922E-14,-1.,0.)); #379737=DIRECTION('center_axis',(0.,0.,1.)); #379738=DIRECTION('ref_axis',(0.707106781186531,0.707106781186564,0.)); #379739=DIRECTION('',(-1.57009245868378E-14,0.707106781186545,0.70710678118655)); #379740=DIRECTION('center_axis',(0.,0.,-1.)); #379741=DIRECTION('ref_axis',(0.707106781186531,0.707106781186564,0.)); #379742=DIRECTION('center_axis',(-1.55962517562588E-14,0.707106781186549, -0.707106781186546)); #379743=DIRECTION('ref_axis',(-1.,0.,2.20564307558865E-14)); #379744=DIRECTION('',(-1.25607396694702E-14,0.707106781186546,0.707106781186549)); #379745=DIRECTION('',(1.,2.20564307558864E-14,0.)); #379746=DIRECTION('center_axis',(0.,0.,-1.)); #379747=DIRECTION('ref_axis',(-0.56772452009494,-0.823218603581679,0.)); #379748=DIRECTION('center_axis',(0.,0.,1.)); #379749=DIRECTION('ref_axis',(-0.56772452009494,-0.82321860358168,0.)); #379750=DIRECTION('center_axis',(0.,0.,1.)); #379751=DIRECTION('ref_axis',(-0.746320670340522,-0.665586551112982,0.)); #379752=DIRECTION('center_axis',(0.,0.,1.)); #379753=DIRECTION('ref_axis',(-0.746320670340522,-0.665586551112982,0.)); #379754=DIRECTION('',(-3.14018491736755E-15,0.707106781186548,-0.707106781186547)); #379755=DIRECTION('center_axis',(0.,0.,-1.)); #379756=DIRECTION('ref_axis',(-0.746320670340522,-0.665586551112982,0.)); #379757=DIRECTION('center_axis',(-3.4542034091043E-15,0.707106781186547, 0.707106781186548)); #379758=DIRECTION('ref_axis',(1.,0.,4.88498130835068E-15)); #379759=DIRECTION('',(1.,4.88498130835069E-15,0.)); #379760=DIRECTION('',(-3.14018491736755E-15,0.707106781186548,-0.707106781186547)); #379761=DIRECTION('',(-1.,-4.88498130835069E-15,0.)); #379762=DIRECTION('center_axis',(0.,0.,1.)); #379763=DIRECTION('ref_axis',(0.707106781186558,-0.707106781186537,0.)); #379764=DIRECTION('',(-0.707106781186547,-1.68784939308506E-14,-0.707106781186547)); #379765=DIRECTION('center_axis',(0.,0.,-1.)); #379766=DIRECTION('ref_axis',(0.707106781186558,-0.707106781186537,0.)); #379767=DIRECTION('center_axis',(0.,0.,1.)); #379768=DIRECTION('ref_axis',(0.707106781186558,-0.707106781186537,0.)); #379769=DIRECTION('center_axis',(-0.70710678118655,-1.70826059504795E-14, 0.707106781186545)); #379770=DIRECTION('ref_axis',(0.707106781186545,0.,0.70710678118655)); #379771=DIRECTION('',(-2.41584530158434E-14,1.,0.)); #379772=DIRECTION('',(-0.707106781186545,-1.72710170455216E-14,-0.70710678118655)); #379773=DIRECTION('',(2.41584530158434E-14,-1.,0.)); #379774=DIRECTION('center_axis',(0.,0.,1.)); #379775=DIRECTION('ref_axis',(0.707106781186935,0.70710678118616,0.)); #379776=DIRECTION('',(-7.88186414259255E-13,-0.707106781186547,-0.707106781186548)); #379777=DIRECTION('center_axis',(0.,0.,-1.)); #379778=DIRECTION('ref_axis',(0.707106781186935,0.70710678118616,0.)); #379779=DIRECTION('center_axis',(0.,0.,1.)); #379780=DIRECTION('ref_axis',(0.707106781186935,0.70710678118616,0.)); #379781=DIRECTION('center_axis',(-7.90384543701411E-13,-0.707106781186547, 0.707106781186548)); #379782=DIRECTION('ref_axis',(1.,0.,1.11777254119261E-12)); #379783=DIRECTION('',(-1.,1.11777254119261E-12,0.)); #379784=DIRECTION('',(-7.91326599176623E-13,-0.707106781186548,-0.707106781186547)); #379785=DIRECTION('',(1.,-1.11777254119261E-12,0.)); #379786=DIRECTION('center_axis',(0.,0.,1.)); #379787=DIRECTION('ref_axis',(-0.746320670340205,0.665586551113338,0.)); #379788=DIRECTION('center_axis',(0.,0.,-1.)); #379789=DIRECTION('ref_axis',(-0.746320670340205,0.665586551113338,0.)); #379790=DIRECTION('center_axis',(0.,0.,1.)); #379791=DIRECTION('ref_axis',(-0.746320670340205,0.665586551113338,0.)); #379792=DIRECTION('center_axis',(0.,0.,1.)); #379793=DIRECTION('ref_axis',(-1.,0.,0.)); #379794=DIRECTION('center_axis',(0.,0.,1.)); #379795=DIRECTION('ref_axis',(1.,0.,0.)); #379796=DIRECTION('center_axis',(0.,0.,1.)); #379797=DIRECTION('ref_axis',(-0.567724520094981,0.823218603581651,0.)); #379798=DIRECTION('',(-1.,2.96059473233375E-16,0.)); #379799=DIRECTION('center_axis',(0.,0.,-1.)); #379800=DIRECTION('ref_axis',(0.707106781186556,-0.707106781186539,0.)); #379801=DIRECTION('',(2.44742497872922E-14,-1.,0.)); #379802=DIRECTION('center_axis',(0.,0.,-1.)); #379803=DIRECTION('ref_axis',(0.707106781186531,0.707106781186564,0.)); #379804=DIRECTION('',(1.,2.20564307558864E-14,0.)); #379805=DIRECTION('center_axis',(0.,0.,1.)); #379806=DIRECTION('ref_axis',(-0.56772452009494,-0.82321860358168,0.)); #379807=DIRECTION('center_axis',(0.,0.,1.)); #379808=DIRECTION('ref_axis',(1.,0.,0.)); #379809=DIRECTION('center_axis',(0.,0.,1.)); #379810=DIRECTION('ref_axis',(-0.56772452009494,-0.823218603581679,0.)); #379811=DIRECTION('',(-1.57009245868378E-14,0.707106781186547,-0.707106781186548)); #379812=DIRECTION('center_axis',(0.,0.,-1.)); #379813=DIRECTION('ref_axis',(-0.56772452009494,-0.82321860358168,0.)); #379814=DIRECTION('center_axis',(-1.55962517562588E-14,0.707106781186547, 0.707106781186548)); #379815=DIRECTION('ref_axis',(1.,0.,2.20564307558864E-14)); #379816=DIRECTION('',(-1.25607396694702E-14,0.707106781186548,-0.707106781186547)); #379817=DIRECTION('',(-1.,-2.20564307558864E-14,0.)); #379818=DIRECTION('center_axis',(0.,0.,-1.)); #379819=DIRECTION('ref_axis',(0.707106781186531,0.707106781186564,0.)); #379820=DIRECTION('',(0.707106781186546,1.64859708161797E-14,-0.707106781186549)); #379821=DIRECTION('center_axis',(0.,0.,1.)); #379822=DIRECTION('ref_axis',(0.707106781186531,0.707106781186564,0.)); #379823=DIRECTION('center_axis',(0.707106781186548,1.73059079890478E-14, 0.707106781186547)); #379824=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186548)); #379825=DIRECTION('',(0.707106781186547,1.72710170455216E-14,-0.707106781186548)); #379826=DIRECTION('',(-2.44742497872922E-14,1.,0.)); #379827=DIRECTION('center_axis',(0.,0.,-1.)); #379828=DIRECTION('ref_axis',(0.707106781186556,-0.707106781186539,0.)); #379829=DIRECTION('',(0.,-0.707106781186547,-0.707106781186548)); #379830=DIRECTION('center_axis',(0.,0.,1.)); #379831=DIRECTION('ref_axis',(0.707106781186556,-0.707106781186539,0.)); #379832=DIRECTION('center_axis',(-2.09345661157837E-16,-0.707106781186548, 0.707106781186548)); #379833=DIRECTION('ref_axis',(1.,0.,2.96059473233375E-16)); #379834=DIRECTION('',(0.,-0.707106781186548,-0.707106781186548)); #379835=DIRECTION('',(1.,-2.96059473233375E-16,0.)); #379836=DIRECTION('center_axis',(0.,0.,1.)); #379837=DIRECTION('ref_axis',(-0.567724520094981,0.823218603581651,0.)); #379838=DIRECTION('center_axis',(0.,0.,-1.)); #379839=DIRECTION('ref_axis',(-0.567724520094981,0.823218603581651,0.)); #379840=DIRECTION('center_axis',(0.,0.,1.)); #379841=DIRECTION('ref_axis',(0.567724520094981,0.823218603581651,0.)); #379842=DIRECTION('center_axis',(0.,0.,1.)); #379843=DIRECTION('ref_axis',(0.567724520094981,0.823218603581651,0.)); #379844=DIRECTION('',(0.,-0.707106781186547,-0.707106781186548)); #379845=DIRECTION('center_axis',(0.,0.,-1.)); #379846=DIRECTION('ref_axis',(0.567724520094981,0.823218603581651,0.)); #379847=DIRECTION('center_axis',(3.14018491736755E-16,-0.707106781186547, 0.707106781186548)); #379848=DIRECTION('ref_axis',(1.,0.,-4.44089209850062E-16)); #379849=DIRECTION('',(-1.,-4.44089209850063E-16,0.)); #379850=DIRECTION('',(0.,-0.707106781186548,-0.707106781186547)); #379851=DIRECTION('',(1.,4.44089209850063E-16,0.)); #379852=DIRECTION('center_axis',(0.,0.,-1.)); #379853=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #379854=DIRECTION('',(-0.707106781186546,1.72710170455215E-14,-0.707106781186549)); #379855=DIRECTION('center_axis',(0.,0.,1.)); #379856=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #379857=DIRECTION('center_axis',(0.,0.,-1.)); #379858=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #379859=DIRECTION('center_axis',(-0.707106781186548,1.73059079890478E-14, 0.707106781186547)); #379860=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186548)); #379861=DIRECTION('',(2.44742497872922E-14,1.,0.)); #379862=DIRECTION('',(-0.707106781186547,1.72710170455216E-14,-0.707106781186548)); #379863=DIRECTION('',(-2.44742497872922E-14,-1.,0.)); #379864=DIRECTION('center_axis',(0.,0.,-1.)); #379865=DIRECTION('ref_axis',(-0.707106781186531,0.707106781186564,0.)); #379866=DIRECTION('',(1.57009245868378E-14,0.707106781186545,-0.70710678118655)); #379867=DIRECTION('center_axis',(0.,0.,1.)); #379868=DIRECTION('ref_axis',(-0.707106781186531,0.707106781186564,0.)); #379869=DIRECTION('center_axis',(0.,0.,-1.)); #379870=DIRECTION('ref_axis',(-0.707106781186531,0.707106781186564,0.)); #379871=DIRECTION('center_axis',(1.59102702479955E-14,0.707106781186547, 0.707106781186548)); #379872=DIRECTION('ref_axis',(1.,0.,-2.25005199657364E-14)); #379873=DIRECTION('',(1.,-2.25005199657365E-14,0.)); #379874=DIRECTION('',(1.57009245868378E-14,0.707106781186548,-0.707106781186548)); #379875=DIRECTION('',(-1.,2.25005199657365E-14,0.)); #379876=DIRECTION('center_axis',(0.,0.,1.)); #379877=DIRECTION('ref_axis',(0.567724520094941,-0.823218603581678,0.)); #379878=DIRECTION('center_axis',(0.,0.,-1.)); #379879=DIRECTION('ref_axis',(0.567724520094941,-0.823218603581678,0.)); #379880=DIRECTION('center_axis',(0.,0.,1.)); #379881=DIRECTION('ref_axis',(0.567724520094942,-0.823218603581678,0.)); #379882=DIRECTION('center_axis',(0.,0.,1.)); #379883=DIRECTION('ref_axis',(-1.,0.,0.)); #379884=DIRECTION('center_axis',(0.,0.,1.)); #379885=DIRECTION('ref_axis',(1.,0.,0.)); #379886=DIRECTION('center_axis',(0.,0.,1.)); #379887=DIRECTION('ref_axis',(0.746320670340522,-0.665586551112981,0.)); #379888=DIRECTION('',(1.,0.,0.)); #379889=DIRECTION('center_axis',(0.,0.,1.)); #379890=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #379891=DIRECTION('',(-2.41584530158434E-14,-1.,0.)); #379892=DIRECTION('center_axis',(0.,0.,1.)); #379893=DIRECTION('ref_axis',(-0.707106781186531,0.707106781186564,0.)); #379894=DIRECTION('',(-1.,2.46469511466784E-14,0.)); #379895=DIRECTION('center_axis',(0.,0.,1.)); #379896=DIRECTION('ref_axis',(0.746320670340558,0.665586551112942,0.)); #379897=DIRECTION('center_axis',(0.,0.,1.)); #379898=DIRECTION('ref_axis',(1.,0.,0.)); #379899=DIRECTION('center_axis',(0.,0.,1.)); #379900=DIRECTION('ref_axis',(0.746320670340558,0.665586551112942,0.)); #379901=DIRECTION('',(-1.57009245868378E-14,-0.707106781186547,-0.707106781186548)); #379902=DIRECTION('center_axis',(0.,0.,-1.)); #379903=DIRECTION('ref_axis',(0.746320670340558,0.665586551112942,0.)); #379904=DIRECTION('center_axis',(-1.74280262913899E-14,-0.707106781186547, 0.707106781186548)); #379905=DIRECTION('ref_axis',(1.,0.,2.46469511466784E-14)); #379906=DIRECTION('',(-1.88411095042053E-14,-0.707106781186548,-0.707106781186547)); #379907=DIRECTION('',(1.,-2.46469511466784E-14,0.)); #379908=DIRECTION('center_axis',(0.,0.,1.)); #379909=DIRECTION('ref_axis',(-0.707106781186531,0.707106781186564,0.)); #379910=DIRECTION('',(0.707106781186544,-1.72710170455216E-14,-0.707106781186551)); #379911=DIRECTION('center_axis',(0.,0.,-1.)); #379912=DIRECTION('ref_axis',(-0.707106781186531,0.707106781186564,0.)); #379913=DIRECTION('center_axis',(0.707106781186547,-1.70826059504795E-14, 0.707106781186548)); #379914=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #379915=DIRECTION('',(0.707106781186548,-1.72710170455215E-14,-0.707106781186547)); #379916=DIRECTION('',(2.41584530158434E-14,1.,0.)); #379917=DIRECTION('center_axis',(0.,0.,1.)); #379918=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #379919=DIRECTION('',(0.,0.707106781186546,-0.707106781186549)); #379920=DIRECTION('center_axis',(0.,0.,-1.)); #379921=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #379922=DIRECTION('center_axis',(0.,0.707106781186547,0.707106781186548)); #379923=DIRECTION('ref_axis',(1.,0.,0.)); #379924=DIRECTION('',(0.,0.707106781186548,-0.707106781186547)); #379925=DIRECTION('',(-1.,0.,0.)); #379926=DIRECTION('center_axis',(0.,0.,1.)); #379927=DIRECTION('ref_axis',(0.746320670340522,-0.665586551112981,0.)); #379928=DIRECTION('center_axis',(0.,0.,-1.)); #379929=DIRECTION('ref_axis',(0.746320670340522,-0.665586551112981,0.)); #379930=DIRECTION('center_axis',(0.,0.,1.)); #379931=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #379932=DIRECTION('',(0.,0.,1.)); #379933=DIRECTION('',(0.,0.,-1.)); #379934=DIRECTION('center_axis',(4.44089209850063E-16,-1.,0.)); #379935=DIRECTION('ref_axis',(1.,4.44089209850063E-16,0.)); #379936=DIRECTION('',(0.,0.,-1.)); #379937=DIRECTION('center_axis',(0.,0.,1.)); #379938=DIRECTION('ref_axis',(-0.707106781186531,0.707106781186564,0.)); #379939=DIRECTION('',(0.,0.,1.)); #379940=DIRECTION('',(0.,0.,-1.)); #379941=DIRECTION('center_axis',(2.25005199657365E-14,1.,0.)); #379942=DIRECTION('ref_axis',(-1.,2.25005199657365E-14,0.)); #379943=DIRECTION('',(0.,0.,1.)); #379944=DIRECTION('center_axis',(0.,0.,1.)); #379945=DIRECTION('ref_axis',(0.707106781186531,0.707106781186564,0.)); #379946=DIRECTION('',(0.,0.,1.)); #379947=DIRECTION('',(0.,0.,-1.)); #379948=DIRECTION('center_axis',(-2.20564307558864E-14,1.,0.)); #379949=DIRECTION('ref_axis',(-1.,-2.20564307558864E-14,0.)); #379950=DIRECTION('',(0.,0.,-1.)); #379951=DIRECTION('center_axis',(0.,0.,1.)); #379952=DIRECTION('ref_axis',(0.707106781186556,-0.707106781186539,0.)); #379953=DIRECTION('',(0.,0.,1.)); #379954=DIRECTION('',(0.,0.,-1.)); #379955=DIRECTION('center_axis',(-2.96059473233375E-16,-1.,0.)); #379956=DIRECTION('ref_axis',(1.,-2.96059473233375E-16,0.)); #379957=DIRECTION('',(0.,0.,1.)); #379958=DIRECTION('center_axis',(0.,0.,1.)); #379959=DIRECTION('ref_axis',(0.707106781186935,0.70710678118616,0.)); #379960=DIRECTION('',(0.,0.,1.)); #379961=DIRECTION('',(0.,0.,-1.)); #379962=DIRECTION('center_axis',(-1.,-2.41584530158434E-14,0.)); #379963=DIRECTION('ref_axis',(2.41584530158434E-14,-1.,0.)); #379964=DIRECTION('',(0.,0.,-1.)); #379965=DIRECTION('center_axis',(0.,0.,1.)); #379966=DIRECTION('ref_axis',(0.707106781186558,-0.707106781186537,0.)); #379967=DIRECTION('',(0.,0.,1.)); #379968=DIRECTION('center_axis',(-4.88498130835069E-15,1.,0.)); #379969=DIRECTION('ref_axis',(-1.,-4.88498130835069E-15,0.)); #379970=DIRECTION('',(0.,0.,-1.)); #379971=DIRECTION('center_axis',(0.,0.,-1.)); #379972=DIRECTION('ref_axis',(-0.56772452009494,-0.82321860358168,0.)); #379973=DIRECTION('',(0.,0.,1.)); #379974=DIRECTION('center_axis',(0.,0.,-1.)); #379975=DIRECTION('ref_axis',(-0.746320670340521,-0.665586551112982,0.)); #379976=DIRECTION('',(0.,0.,1.)); #379977=DIRECTION('center_axis',(0.,0.,1.)); #379978=DIRECTION('ref_axis',(-0.746320670340205,0.665586551113338,0.)); #379979=DIRECTION('',(0.,0.,1.)); #379980=DIRECTION('',(0.,0.,-1.)); #379981=DIRECTION('center_axis',(-1.11777254119261E-12,-1.,0.)); #379982=DIRECTION('ref_axis',(1.,-1.11777254119261E-12,0.)); #379983=DIRECTION('center_axis',(0.,0.,1.)); #379984=DIRECTION('ref_axis',(-0.567724520094981,0.823218603581651,0.)); #379985=DIRECTION('',(0.,0.,-1.)); #379986=DIRECTION('center_axis',(0.,0.,1.)); #379987=DIRECTION('ref_axis',(-0.707106781186531,0.707106781186564,0.)); #379988=DIRECTION('',(0.,0.,1.)); #379989=DIRECTION('',(0.,0.,-1.)); #379990=DIRECTION('center_axis',(-2.46469511466784E-14,-1.,0.)); #379991=DIRECTION('ref_axis',(1.,-2.46469511466784E-14,0.)); #379992=DIRECTION('',(0.,0.,-1.)); #379993=DIRECTION('center_axis',(0.,0.,1.)); #379994=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #379995=DIRECTION('',(0.,0.,1.)); #379996=DIRECTION('',(0.,0.,-1.)); #379997=DIRECTION('center_axis',(1.,-2.41584530158434E-14,0.)); #379998=DIRECTION('ref_axis',(2.41584530158434E-14,1.,0.)); #379999=DIRECTION('center_axis',(0.,0.,-1.)); #380000=DIRECTION('ref_axis',(0.567724520094981,0.823218603581651,0.)); #380001=DIRECTION('',(0.,0.,1.)); #380002=DIRECTION('center_axis',(0.,0.,-1.)); #380003=DIRECTION('ref_axis',(0.746320670340558,0.665586551112942,0.)); #380004=DIRECTION('',(0.,0.,1.)); #380005=DIRECTION('center_axis',(0.,0.,1.)); #380006=DIRECTION('ref_axis',(0.746320670340522,-0.665586551112981,0.)); #380007=DIRECTION('',(0.,0.,1.)); #380008=DIRECTION('',(0.,0.,-1.)); #380009=DIRECTION('center_axis',(0.,1.,0.)); #380010=DIRECTION('ref_axis',(-1.,0.,0.)); #380011=DIRECTION('center_axis',(0.,0.,1.)); #380012=DIRECTION('ref_axis',(0.567724520094941,-0.823218603581678,0.)); #380013=DIRECTION('',(0.,0.,-1.)); #380014=DIRECTION('center_axis',(1.,2.44742497872922E-14,0.)); #380015=DIRECTION('ref_axis',(-2.44742497872922E-14,1.,0.)); #380016=DIRECTION('center_axis',(-1.,2.44742497872922E-14,0.)); #380017=DIRECTION('ref_axis',(-2.44742497872922E-14,-1.,0.)); #380018=DIRECTION('center_axis',(0.,0.,-1.)); #380019=DIRECTION('ref_axis',(0.396173227432491,-0.918175785928666,0.)); #380020=DIRECTION('center_axis',(0.,0.,-1.)); #380021=DIRECTION('ref_axis',(0.396173227432491,-0.918175785928666,0.)); #380022=DIRECTION('',(0.,0.,-1.)); #380023=DIRECTION('center_axis',(0.,0.,-1.)); #380024=DIRECTION('ref_axis',(0.396173227432491,-0.918175785928666,0.)); #380025=DIRECTION('',(0.,0.,-1.)); #380026=DIRECTION('center_axis',(0.,0.,-1.)); #380027=DIRECTION('ref_axis',(0.396173227432491,-0.918175785928666,0.)); #380028=DIRECTION('center_axis',(0.,0.,1.)); #380029=DIRECTION('ref_axis',(1.,0.,0.)); #380030=DIRECTION('center_axis',(0.,0.,-1.)); #380031=DIRECTION('ref_axis',(1.,0.,0.)); #380032=DIRECTION('center_axis',(0.,0.,-1.)); #380033=DIRECTION('ref_axis',(1.,0.,0.)); #380034=DIRECTION('',(0.,0.,1.)); #380035=DIRECTION('center_axis',(0.,0.,1.)); #380036=DIRECTION('ref_axis',(1.,0.,0.)); #380037=DIRECTION('',(0.,0.,-1.)); #380038=DIRECTION('',(0.,0.,1.)); #380039=DIRECTION('center_axis',(0.,0.,1.)); #380040=DIRECTION('ref_axis',(1.,0.,0.)); #380041=DIRECTION('',(0.,0.,-1.)); #380042=DIRECTION('',(0.,0.,1.)); #380043=DIRECTION('center_axis',(0.,0.,1.)); #380044=DIRECTION('ref_axis',(1.,0.,0.)); #380045=DIRECTION('',(0.,0.,-1.)); #380046=DIRECTION('',(0.,0.,1.)); #380047=DIRECTION('center_axis',(0.,0.,1.)); #380048=DIRECTION('ref_axis',(1.,0.,0.)); #380049=DIRECTION('',(0.,0.,-1.)); #380050=DIRECTION('',(0.,0.,1.)); #380051=DIRECTION('center_axis',(0.,0.,1.)); #380052=DIRECTION('ref_axis',(1.,0.,0.)); #380053=DIRECTION('',(0.,0.,-1.)); #380054=DIRECTION('',(0.,0.,1.)); #380055=DIRECTION('center_axis',(0.,0.,1.)); #380056=DIRECTION('ref_axis',(1.,0.,0.)); #380057=DIRECTION('',(0.,0.,-1.)); #380058=DIRECTION('',(0.,0.,1.)); #380059=DIRECTION('center_axis',(0.,0.,1.)); #380060=DIRECTION('ref_axis',(1.,0.,0.)); #380061=DIRECTION('',(0.,0.,-1.)); #380062=DIRECTION('',(0.,0.,1.)); #380063=DIRECTION('center_axis',(0.,0.,1.)); #380064=DIRECTION('ref_axis',(1.,0.,0.)); #380065=DIRECTION('',(0.,0.,-1.)); #380066=DIRECTION('',(0.,0.,1.)); #380067=DIRECTION('center_axis',(0.,0.,1.)); #380068=DIRECTION('ref_axis',(1.,0.,0.)); #380069=DIRECTION('',(0.,0.,-1.)); #380070=DIRECTION('',(0.,0.,1.)); #380071=DIRECTION('center_axis',(0.,0.,1.)); #380072=DIRECTION('ref_axis',(1.,0.,0.)); #380073=DIRECTION('',(0.,0.,-1.)); #380074=DIRECTION('',(0.,0.,-1.)); #380075=DIRECTION('center_axis',(0.,0.,1.)); #380076=DIRECTION('ref_axis',(1.,0.,0.)); #380077=DIRECTION('',(0.,0.,1.)); #380078=DIRECTION('center_axis',(0.,0.,1.)); #380079=DIRECTION('ref_axis',(1.,0.,0.)); #380080=DIRECTION('',(0.,0.,-1.)); #380081=DIRECTION('',(0.,0.,1.)); #380082=DIRECTION('center_axis',(0.,0.,1.)); #380083=DIRECTION('ref_axis',(1.,0.,0.)); #380084=DIRECTION('',(0.,0.,-1.)); #380085=DIRECTION('',(0.,0.,1.)); #380086=DIRECTION('center_axis',(0.,0.,1.)); #380087=DIRECTION('ref_axis',(1.,0.,0.)); #380088=DIRECTION('',(0.,0.,-1.)); #380089=DIRECTION('',(0.,0.,1.)); #380090=DIRECTION('center_axis',(0.,0.,1.)); #380091=DIRECTION('ref_axis',(1.,0.,0.)); #380092=DIRECTION('',(0.,0.,-1.)); #380093=DIRECTION('',(0.,0.,1.)); #380094=DIRECTION('center_axis',(0.,0.,1.)); #380095=DIRECTION('ref_axis',(1.,0.,0.)); #380096=DIRECTION('',(0.,0.,-1.)); #380097=DIRECTION('',(0.,0.,1.)); #380098=DIRECTION('center_axis',(0.,0.,1.)); #380099=DIRECTION('ref_axis',(1.,0.,0.)); #380100=DIRECTION('',(0.,0.,-1.)); #380101=DIRECTION('',(0.,0.,1.)); #380102=DIRECTION('center_axis',(0.,0.,1.)); #380103=DIRECTION('ref_axis',(1.,0.,0.)); #380104=DIRECTION('',(0.,0.,-1.)); #380105=DIRECTION('center_axis',(0.,0.,1.)); #380106=DIRECTION('ref_axis',(0.396173227432488,-0.918175785928667,0.)); #380107=DIRECTION('center_axis',(0.,0.,-1.)); #380108=DIRECTION('ref_axis',(0.396173227432488,-0.918175785928667,0.)); #380109=DIRECTION('center_axis',(0.,0.,-1.)); #380110=DIRECTION('ref_axis',(-1.,0.,0.)); #380111=DIRECTION('center_axis',(0.,0.,1.)); #380112=DIRECTION('ref_axis',(1.,0.,0.)); #380113=DIRECTION('',(0.,-1.,0.)); #380114=DIRECTION('center_axis',(0.280136775642075,-0.649248324551447,0.707106781186547)); #380115=DIRECTION('ref_axis',(0.929698433687633,0.,-0.368321629012962)); #380116=DIRECTION('',(0.918175785928666,0.396173227432492,0.)); #380117=DIRECTION('',(-0.280136775642073,0.649248324551449,0.707106781186547)); #380118=DIRECTION('',(-0.918175785928666,-0.396173227432492,0.)); #380119=DIRECTION('center_axis',(0.,0.,1.)); #380120=DIRECTION('ref_axis',(-0.396173227432488,0.918175785928667,0.)); #380121=DIRECTION('center_axis',(0.,0.,1.)); #380122=DIRECTION('ref_axis',(-0.396173227432488,0.918175785928667,0.)); #380123=DIRECTION('center_axis',(0.,0.,1.)); #380124=DIRECTION('ref_axis',(-0.396173227432488,0.918175785928667,0.)); #380125=DIRECTION('center_axis',(0.,0.,-1.)); #380126=DIRECTION('ref_axis',(-0.396173227432488,0.918175785928667,0.)); #380127=DIRECTION('center_axis',(0.,0.,-1.)); #380128=DIRECTION('ref_axis',(-1.,0.,0.)); #380129=DIRECTION('',(1.,0.,0.)); #380130=DIRECTION('',(0.,-1.,0.)); #380131=DIRECTION('center_axis',(0.,0.,-1.)); #380132=DIRECTION('ref_axis',(1.,0.,0.)); #380133=DIRECTION('',(1.,0.,0.)); #380134=DIRECTION('center_axis',(0.,0.,1.)); #380135=DIRECTION('ref_axis',(1.,0.,0.)); #380136=DIRECTION('center_axis',(0.,0.,-1.)); #380137=DIRECTION('ref_axis',(0.396173227432488,-0.918175785928667,0.)); #380138=DIRECTION('',(0.,0.,-1.)); #380139=DIRECTION('center_axis',(-0.396173227432492,0.918175785928666,0.)); #380140=DIRECTION('ref_axis',(0.918175785928666,0.396173227432492,0.)); #380141=DIRECTION('center_axis',(0.,0.,-1.)); #380142=DIRECTION('ref_axis',(0.396173227432488,-0.918175785928667,0.)); #380143=DIRECTION('',(0.,0.,-1.)); #380144=DIRECTION('center_axis',(0.39617322743249,-0.918175785928666,0.)); #380145=DIRECTION('ref_axis',(-0.918175785928666,-0.39617322743249,0.)); #380146=DIRECTION('center_axis',(0.,0.,-1.)); #380147=DIRECTION('ref_axis',(-0.918175785928666,-0.396173227432492,0.)); #380148=DIRECTION('center_axis',(0.,0.,-1.)); #380149=DIRECTION('ref_axis',(1.,0.,0.)); #380150=DIRECTION('center_axis',(0.,0.,1.)); #380151=DIRECTION('ref_axis',(1.,0.,0.)); #380152=DIRECTION('center_axis',(0.,0.,-1.)); #380153=DIRECTION('ref_axis',(1.,0.,0.)); #380154=DIRECTION('center_axis',(0.,0.,1.)); #380155=DIRECTION('ref_axis',(1.,0.,0.)); #380156=DIRECTION('center_axis',(0.,0.,-1.)); #380157=DIRECTION('ref_axis',(1.,0.,0.)); #380158=DIRECTION('center_axis',(0.,0.,1.)); #380159=DIRECTION('ref_axis',(1.,0.,0.)); #380160=DIRECTION('center_axis',(0.,0.,-1.)); #380161=DIRECTION('ref_axis',(1.,0.,0.)); #380162=DIRECTION('center_axis',(0.,0.,-1.)); #380163=DIRECTION('ref_axis',(-1.,0.,0.)); #380164=DIRECTION('center_axis',(0.,0.,-1.)); #380165=DIRECTION('ref_axis',(1.,0.,0.)); #380166=DIRECTION('',(1.,0.,0.)); #380167=DIRECTION('center_axis',(0.,1.,0.)); #380168=DIRECTION('ref_axis',(1.,0.,0.)); #380169=DIRECTION('',(0.,0.,-1.)); #380170=DIRECTION('',(1.,0.,0.)); #380171=DIRECTION('',(0.,0.,-1.)); #380172=DIRECTION('center_axis',(0.396173227432491,-0.918175785928666,0.)); #380173=DIRECTION('ref_axis',(-0.918175785928666,-0.396173227432491,0.)); #380174=DIRECTION('',(0.,0.,1.)); #380175=DIRECTION('',(-0.918175785928666,-0.396173227432491,0.)); #380176=DIRECTION('center_axis',(0.,0.,-1.)); #380177=DIRECTION('ref_axis',(1.,0.,0.)); #380178=DIRECTION('center_axis',(0.,0.,-1.)); #380179=DIRECTION('ref_axis',(1.,0.,0.)); #380180=DIRECTION('center_axis',(-1.,0.,0.)); #380181=DIRECTION('ref_axis',(0.,1.,0.)); #380182=DIRECTION('',(0.,1.,0.)); #380183=DIRECTION('',(0.,0.,-1.)); #380184=DIRECTION('center_axis',(-1.,0.,0.)); #380185=DIRECTION('ref_axis',(0.,1.,0.)); #380186=DIRECTION('',(0.,-1.,0.)); #380187=DIRECTION('',(0.,-1.,0.)); #380188=DIRECTION('',(0.,0.,-1.)); #380189=DIRECTION('',(0.,1.,0.)); #380190=DIRECTION('center_axis',(0.,0.,-1.)); #380191=DIRECTION('ref_axis',(-1.,0.,0.)); #380192=DIRECTION('center_axis',(0.,0.,-1.)); #380193=DIRECTION('ref_axis',(-1.,0.,0.)); #380194=DIRECTION('',(0.,0.,-1.)); #380195=DIRECTION('center_axis',(0.,0.,-1.)); #380196=DIRECTION('ref_axis',(-1.,0.,0.)); #380197=DIRECTION('center_axis',(0.,0.,1.)); #380198=DIRECTION('ref_axis',(1.,0.,0.)); #380199=DIRECTION('center_axis',(0.,0.,1.)); #380200=DIRECTION('ref_axis',(-1.,0.,0.)); #380201=DIRECTION('center_axis',(0.,0.,-1.)); #380202=DIRECTION('ref_axis',(-1.,0.,0.)); #380203=DIRECTION('center_axis',(0.,0.,-1.)); #380204=DIRECTION('ref_axis',(-1.,0.,0.)); #380205=DIRECTION('',(0.,0.,-1.)); #380206=DIRECTION('center_axis',(0.,0.,-1.)); #380207=DIRECTION('ref_axis',(-1.,0.,0.)); #380208=DIRECTION('center_axis',(0.,0.,1.)); #380209=DIRECTION('ref_axis',(1.,0.,0.)); #380210=DIRECTION('center_axis',(0.,0.,1.)); #380211=DIRECTION('ref_axis',(-1.,0.,0.)); #380212=DIRECTION('center_axis',(0.,0.,-1.)); #380213=DIRECTION('ref_axis',(-1.,0.,0.)); #380214=DIRECTION('center_axis',(0.,0.,-1.)); #380215=DIRECTION('ref_axis',(-1.,0.,0.)); #380216=DIRECTION('',(0.,0.,-1.)); #380217=DIRECTION('center_axis',(0.,0.,-1.)); #380218=DIRECTION('ref_axis',(-1.,0.,0.)); #380219=DIRECTION('center_axis',(0.,0.,1.)); #380220=DIRECTION('ref_axis',(1.,0.,0.)); #380221=DIRECTION('center_axis',(0.,0.,1.)); #380222=DIRECTION('ref_axis',(-1.,0.,0.)); #380223=DIRECTION('center_axis',(0.,0.,-1.)); #380224=DIRECTION('ref_axis',(-1.,0.,0.)); #380225=DIRECTION('center_axis',(0.,0.,-1.)); #380226=DIRECTION('ref_axis',(-1.,0.,0.)); #380227=DIRECTION('',(0.,0.,-1.)); #380228=DIRECTION('center_axis',(0.,0.,-1.)); #380229=DIRECTION('ref_axis',(-1.,0.,0.)); #380230=DIRECTION('center_axis',(0.,0.,1.)); #380231=DIRECTION('ref_axis',(1.,0.,0.)); #380232=DIRECTION('center_axis',(0.,0.,1.)); #380233=DIRECTION('ref_axis',(-1.,0.,0.)); #380234=DIRECTION('center_axis',(0.,0.,-1.)); #380235=DIRECTION('ref_axis',(-1.,0.,0.)); #380236=DIRECTION('',(0.,0.,-1.)); #380237=DIRECTION('center_axis',(0.,0.,-1.)); #380238=DIRECTION('ref_axis',(-1.,0.,0.)); #380239=DIRECTION('center_axis',(0.,0.,1.)); #380240=DIRECTION('ref_axis',(1.,0.,0.)); #380241=DIRECTION('center_axis',(0.,0.,-1.)); #380242=DIRECTION('ref_axis',(-1.,0.,0.)); #380243=DIRECTION('',(0.,0.,-1.)); #380244=DIRECTION('center_axis',(0.,0.,-1.)); #380245=DIRECTION('ref_axis',(-1.,0.,0.)); #380246=DIRECTION('center_axis',(0.,0.,1.)); #380247=DIRECTION('ref_axis',(1.,0.,0.)); #380248=DIRECTION('center_axis',(0.,0.,-1.)); #380249=DIRECTION('ref_axis',(-1.,0.,0.)); #380250=DIRECTION('',(0.,0.,-1.)); #380251=DIRECTION('center_axis',(0.,0.,-1.)); #380252=DIRECTION('ref_axis',(-1.,0.,0.)); #380253=DIRECTION('center_axis',(0.,0.,1.)); #380254=DIRECTION('ref_axis',(1.,0.,0.)); #380255=DIRECTION('center_axis',(0.,0.,-1.)); #380256=DIRECTION('ref_axis',(-1.,0.,0.)); #380257=DIRECTION('',(0.,0.,-1.)); #380258=DIRECTION('center_axis',(0.,0.,-1.)); #380259=DIRECTION('ref_axis',(-1.,0.,0.)); #380260=DIRECTION('center_axis',(0.,0.,1.)); #380261=DIRECTION('ref_axis',(1.,0.,0.)); #380262=DIRECTION('center_axis',(0.,0.,-1.)); #380263=DIRECTION('ref_axis',(-1.,0.,0.)); #380264=DIRECTION('center_axis',(0.,0.,-1.)); #380265=DIRECTION('ref_axis',(1.,0.,0.)); #380266=DIRECTION('center_axis',(0.,0.,1.)); #380267=DIRECTION('ref_axis',(1.,0.,0.)); #380268=DIRECTION('',(0.707106781186548,8.65956056235493E-17,-0.707106781186548)); #380269=DIRECTION('center_axis',(0.422945646405803,-0.906155053060114,-2.72937728728825E-17)); #380270=DIRECTION('ref_axis',(0.,3.01204223059956E-17,-1.)); #380271=DIRECTION('',(-0.906155053060114,-0.422945646405801,0.)); #380272=DIRECTION('',(0.906155053060113,0.422945646405803,0.)); #380273=DIRECTION('',(3.41904109657985E-17,-1.41621319251436E-17,1.)); #380274=DIRECTION('',(0.341680648178633,-0.939816117472046,0.)); #380275=DIRECTION('center_axis',(-0.939816117472137,-0.341680648178381, -2.72937728728827E-17)); #380276=DIRECTION('ref_axis',(-2.90416096994548E-17,0.,1.)); #380277=DIRECTION('',(0.341680648178381,-0.939816117472137,0.)); #380278=DIRECTION('',(3.41904109657985E-17,-1.41621319251436E-17,-1.)); #380279=DIRECTION('center_axis',(0.38268343236509,0.923879532511287,0.)); #380280=DIRECTION('ref_axis',(-0.923879532511286,0.38268343236509,0.)); #380281=DIRECTION('',(0.38268343236509,0.923879532511286,0.)); #380282=DIRECTION('',(0.38268343236509,0.923879532511286,0.)); #380283=DIRECTION('center_axis',(-0.923879532511287,0.38268343236509,3.70074341541719E-17)); #380284=DIRECTION('ref_axis',(3.41904109657985E-17,-1.41621319251436E-17, 1.)); #380285=DIRECTION('',(0.38268343236509,0.923879532511286,0.)); #380286=DIRECTION('',(-3.41904109657985E-17,1.41621319251436E-17,-1.)); #380287=DIRECTION('center_axis',(0.908256582697375,0.418413646989299,-2.51280365568721E-17)); #380288=DIRECTION('ref_axis',(-2.76662311460996E-17,0.,-1.)); #380289=DIRECTION('',(-0.418413646989294,0.908256582697378,0.)); #380290=DIRECTION('',(0.,0.,1.)); #380291=DIRECTION('',(0.418413646989299,-0.908256582697375,0.)); #380292=DIRECTION('',(0.938097515809818,0.346371261555354,1.30806894959031E-14)); #380293=DIRECTION('center_axis',(-0.3463712615555,0.938097515809765,-2.5128036556872E-17)); #380294=DIRECTION('ref_axis',(0.,2.67861668252916E-17,1.)); #380295=DIRECTION('',(0.938097515809762,0.346371261555506,0.)); #380296=DIRECTION('',(0.,0.,-1.)); #380297=DIRECTION('',(-3.41904109657985E-17,1.41621319251436E-17,1.)); #380298=DIRECTION('center_axis',(0.38268343236509,0.923879532511287,0.)); #380299=DIRECTION('ref_axis',(0.923879532511287,-0.38268343236509,0.)); #380300=DIRECTION('',(0.38268343236509,0.923879532511286,0.)); #380301=DIRECTION('center_axis',(0.,0.,-1.)); #380302=DIRECTION('ref_axis',(1.,0.,0.)); #380303=DIRECTION('',(0.,0.,1.)); #380304=DIRECTION('',(0.,0.,-1.)); #380305=DIRECTION('',(0.,0.,1.)); #380306=DIRECTION('center_axis',(0.,0.,1.)); #380307=DIRECTION('ref_axis',(1.,0.,0.)); #380308=DIRECTION('',(0.,0.,1.)); #380309=DIRECTION('',(0.,0.,1.)); #380310=DIRECTION('',(0.,0.,-1.)); #380311=DIRECTION('',(0.,0.,1.)); #380312=DIRECTION('',(0.,0.,-1.)); #380313=DIRECTION('',(0.,0.,1.)); #380314=DIRECTION('',(0.,0.,-1.)); #380315=DIRECTION('',(0.,0.,1.)); #380316=DIRECTION('',(0.,0.,-1.)); #380317=DIRECTION('',(0.,0.,1.)); #380318=DIRECTION('',(0.,0.,-1.)); #380319=DIRECTION('',(0.,0.,1.)); #380320=DIRECTION('',(0.,0.,-1.)); #380321=DIRECTION('',(0.,0.,1.)); #380322=DIRECTION('',(0.,0.,-1.)); #380323=DIRECTION('',(0.,0.,1.)); #380324=DIRECTION('',(0.,0.,-1.)); #380325=DIRECTION('',(0.,0.,1.)); #380326=DIRECTION('',(0.,0.,-1.)); #380327=DIRECTION('',(0.,0.,1.)); #380328=DIRECTION('',(0.,0.,-1.)); #380329=DIRECTION('',(0.,0.,1.)); #380330=DIRECTION('',(0.,0.,-1.)); #380331=DIRECTION('',(0.,0.,1.)); #380332=DIRECTION('',(0.,0.,-1.)); #380333=DIRECTION('',(0.,0.,1.)); #380334=DIRECTION('',(0.,0.,-1.)); #380335=DIRECTION('',(0.,0.,1.)); #380336=DIRECTION('',(0.,0.,-1.)); #380337=DIRECTION('center_axis',(0.923879532511287,-0.38268343236509,3.70074341541719E-17)); #380338=DIRECTION('ref_axis',(3.41904109657985E-17,-1.41621319251436E-17, -1.)); #380339=DIRECTION('center_axis',(0.0439803001190699,-0.999032398474362, -2.72937728728827E-17)); #380340=DIRECTION('ref_axis',(0.,2.73202079477737E-17,-1.)); #380341=DIRECTION('',(-0.999032398474362,-0.0439803001190699,0.)); #380342=DIRECTION('',(0.999032398474362,0.0439803001190699,0.)); #380343=DIRECTION('',(2.61682076447296E-17,-2.61682076447296E-17,1.)); #380344=DIRECTION('',(-0.0439803001187979,-0.999032398474374,-1.20427485556141E-14)); #380345=DIRECTION('center_axis',(-0.999032398474362,0.0439803001190699, -2.72937728728827E-17)); #380346=DIRECTION('ref_axis',(-2.73202079477737E-17,0.,1.)); #380347=DIRECTION('',(-0.0439803001190699,-0.999032398474362,0.)); #380348=DIRECTION('',(2.61682076447296E-17,-2.61682076447296E-17,-1.)); #380349=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #380350=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #380351=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #380352=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #380353=DIRECTION('center_axis',(-0.707106781186547,0.707106781186548,3.70074341541719E-17)); #380354=DIRECTION('ref_axis',(2.61682076447296E-17,-2.61682076447296E-17, 1.)); #380355=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #380356=DIRECTION('',(-2.61682076447296E-17,2.61682076447296E-17,-1.)); #380357=DIRECTION('center_axis',(0.99923963760101,0.0389890580420102,-2.51280365568718E-17)); #380358=DIRECTION('ref_axis',(-2.51471575098838E-17,0.,-1.)); #380359=DIRECTION('',(-0.0389890580420168,0.999239637601009,0.)); #380360=DIRECTION('',(0.0389890580420168,-0.999239637601009,0.)); #380361=DIRECTION('',(0.999239637601004,-0.0389890580421548,0.)); #380362=DIRECTION('center_axis',(0.0389890580420102,0.99923963760101,-2.51280365568718E-17)); #380363=DIRECTION('ref_axis',(0.,2.51471575098838E-17,1.)); #380364=DIRECTION('',(0.999239637601009,-0.0389890580420105,0.)); #380365=DIRECTION('',(-2.61682076447296E-17,2.61682076447296E-17,1.)); #380366=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #380367=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #380368=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #380369=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,3.70074341541719E-17)); #380370=DIRECTION('ref_axis',(2.61682076447296E-17,-2.61682076447296E-17, -1.)); #380371=DIRECTION('center_axis',(-0.341680648178384,-0.939816117472136, -2.72937728728827E-17)); #380372=DIRECTION('ref_axis',(0.,2.90416096994547E-17,-1.)); #380373=DIRECTION('',(-0.939816117472137,0.341680648178381,0.)); #380374=DIRECTION('',(0.939816117472137,-0.341680648178381,0.)); #380375=DIRECTION('',(1.41621319251436E-17,-3.41904109657985E-17,1.)); #380376=DIRECTION('',(-0.42294564640557,-0.906155053060223,0.)); #380377=DIRECTION('center_axis',(-0.906155053060113,0.422945646405804,-2.72937728728825E-17)); #380378=DIRECTION('ref_axis',(-3.01204223059957E-17,0.,1.)); #380379=DIRECTION('',(-0.422945646405809,-0.906155053060111,0.)); #380380=DIRECTION('',(1.41621319251436E-17,-3.41904109657985E-17,-1.)); #380381=DIRECTION('center_axis',(0.923879532511287,0.38268343236509,0.)); #380382=DIRECTION('ref_axis',(-0.38268343236509,0.923879532511287,0.)); #380383=DIRECTION('',(0.923879532511287,0.38268343236509,0.)); #380384=DIRECTION('',(0.923879532511287,0.38268343236509,0.)); #380385=DIRECTION('center_axis',(-0.38268343236509,0.923879532511287,3.70074341541719E-17)); #380386=DIRECTION('ref_axis',(1.41621319251436E-17,-3.41904109657985E-17, 1.)); #380387=DIRECTION('',(0.923879532511287,0.38268343236509,0.)); #380388=DIRECTION('',(-1.41621319251436E-17,3.41904109657985E-17,-1.)); #380389=DIRECTION('center_axis',(0.938097515809768,-0.346371261555491,-2.51280365568717E-17)); #380390=DIRECTION('ref_axis',(-2.67861668252912E-17,0.,-1.)); #380391=DIRECTION('',(0.346371261555492,0.938097515809767,0.)); #380392=DIRECTION('',(-0.346371261555492,-0.938097515809767,0.)); #380393=DIRECTION('',(0.908256582697308,-0.418413646989445,1.3080689495903E-14)); #380394=DIRECTION('center_axis',(0.41841364698931,0.908256582697371,-2.51280365568718E-17)); #380395=DIRECTION('ref_axis',(0.,2.76662311460994E-17,1.)); #380396=DIRECTION('',(0.90825658269737,-0.41841364698931,0.)); #380397=DIRECTION('',(-1.41621319251436E-17,3.41904109657985E-17,1.)); #380398=DIRECTION('center_axis',(0.923879532511287,0.38268343236509,0.)); #380399=DIRECTION('ref_axis',(0.38268343236509,-0.923879532511287,0.)); #380400=DIRECTION('',(0.923879532511287,0.38268343236509,0.)); #380401=DIRECTION('center_axis',(0.38268343236509,-0.923879532511287,3.70074341541719E-17)); #380402=DIRECTION('ref_axis',(1.41621319251436E-17,-3.41904109657985E-17, -1.)); #380403=DIRECTION('center_axis',(-0.675323815133473,-0.737521352039092, -2.72937728728825E-17)); #380404=DIRECTION('ref_axis',(-4.04158305412169E-17,0.,1.)); #380405=DIRECTION('',(-0.737521352039092,0.675323815133473,0.)); #380406=DIRECTION('',(0.737521352039093,-0.675323815133472,0.)); #380407=DIRECTION('',(-6.88079666764234E-33,-3.70074341541719E-17,1.)); #380408=DIRECTION('',(-0.737521352038917,-0.675323815133665,-1.20427485556141E-14)); #380409=DIRECTION('center_axis',(-0.67532381513347,0.737521352039095,-2.72937728728826E-17)); #380410=DIRECTION('ref_axis',(-4.04158305412172E-17,0.,1.)); #380411=DIRECTION('',(-0.737521352039096,-0.675323815133469,0.)); #380412=DIRECTION('',(-6.88079666764234E-33,-3.70074341541719E-17,-1.)); #380413=DIRECTION('center_axis',(1.,-1.85930119850437E-16,0.)); #380414=DIRECTION('ref_axis',(1.85930119850437E-16,1.,0.)); #380415=DIRECTION('',(1.,-1.85930119850437E-16,0.)); #380416=DIRECTION('',(1.,-1.85930119850437E-16,0.)); #380417=DIRECTION('center_axis',(1.85930119850437E-16,1.,3.70074341541719E-17)); #380418=DIRECTION('ref_axis',(-6.79815536723445E-33,-3.70074341541719E-17, 1.)); #380419=DIRECTION('',(1.,-1.85930119850437E-16,0.)); #380420=DIRECTION('',(6.88079666764234E-33,3.70074341541719E-17,-1.)); #380421=DIRECTION('center_axis',(0.734138551111637,-0.678999696444488,-2.51280365568721E-17)); #380422=DIRECTION('ref_axis',(-3.42279213083458E-17,0.,-1.)); #380423=DIRECTION('',(0.67899969644449,0.734138551111635,0.)); #380424=DIRECTION('',(-0.678999696444489,-0.734138551111635,0.)); #380425=DIRECTION('',(0.678999696444368,-0.734138551111748,-1.30806894959031E-14)); #380426=DIRECTION('center_axis',(0.734138551111643,0.678999696444481,-2.51280365568718E-17)); #380427=DIRECTION('ref_axis',(-3.42279213083451E-17,0.,-1.)); #380428=DIRECTION('',(0.678999696444482,-0.734138551111642,0.)); #380429=DIRECTION('',(6.88079666764234E-33,3.70074341541719E-17,1.)); #380430=DIRECTION('center_axis',(1.,-1.85930119850437E-16,0.)); #380431=DIRECTION('ref_axis',(-1.85930119850437E-16,-1.,0.)); #380432=DIRECTION('',(1.,-1.85930119850437E-16,0.)); #380433=DIRECTION('center_axis',(-1.85930119850437E-16,-1.,3.70074341541719E-17)); #380434=DIRECTION('ref_axis',(-6.79815536723445E-33,-3.70074341541719E-17, -1.)); #380435=DIRECTION('center_axis',(-0.906155053060111,-0.422945646405808, -2.72937728728827E-17)); #380436=DIRECTION('ref_axis',(-3.01204223059959E-17,0.,1.)); #380437=DIRECTION('',(-0.422945646405808,0.906155053060111,0.)); #380438=DIRECTION('',(0.422945646405813,-0.906155053060109,0.)); #380439=DIRECTION('',(-1.41621319251436E-17,-3.41904109657985E-17,1.)); #380440=DIRECTION('',(-0.939816117472046,-0.34168064817863,0.)); #380441=DIRECTION('center_axis',(-0.341680648178381,0.939816117472137,-2.72937728728827E-17)); #380442=DIRECTION('ref_axis',(0.,2.90416096994548E-17,1.)); #380443=DIRECTION('',(-0.939816117472137,-0.341680648178381,0.)); #380444=DIRECTION('',(-1.41621319251436E-17,-3.41904109657985E-17,-1.)); #380445=DIRECTION('center_axis',(0.923879532511287,-0.38268343236509,0.)); #380446=DIRECTION('ref_axis',(0.38268343236509,0.923879532511286,0.)); #380447=DIRECTION('',(0.923879532511286,-0.38268343236509,0.)); #380448=DIRECTION('',(0.923879532511286,-0.38268343236509,0.)); #380449=DIRECTION('center_axis',(0.38268343236509,0.923879532511287,3.70074341541719E-17)); #380450=DIRECTION('ref_axis',(-1.41621319251436E-17,-3.41904109657985E-17, 1.)); #380451=DIRECTION('',(0.923879532511286,-0.38268343236509,0.)); #380452=DIRECTION('',(1.41621319251436E-17,3.41904109657985E-17,-1.)); #380453=DIRECTION('center_axis',(0.418413646989299,-0.908256582697375,-2.51280365568721E-17)); #380454=DIRECTION('ref_axis',(0.,2.76662311460996E-17,-1.)); #380455=DIRECTION('',(0.908256582697375,0.418413646989299,0.)); #380456=DIRECTION('',(-0.908256582697375,-0.418413646989299,0.)); #380457=DIRECTION('',(0.346371261555353,-0.938097515809819,1.3080689495903E-14)); #380458=DIRECTION('center_axis',(0.938097515809765,0.3463712615555,-2.5128036556872E-17)); #380459=DIRECTION('ref_axis',(-2.67861668252916E-17,0.,-1.)); #380460=DIRECTION('',(0.346371261555506,-0.938097515809762,0.)); #380461=DIRECTION('',(1.41621319251436E-17,3.41904109657985E-17,1.)); #380462=DIRECTION('center_axis',(0.923879532511287,-0.38268343236509,0.)); #380463=DIRECTION('ref_axis',(-0.38268343236509,-0.923879532511287,0.)); #380464=DIRECTION('',(0.923879532511286,-0.38268343236509,0.)); #380465=DIRECTION('center_axis',(-0.38268343236509,-0.923879532511287,3.70074341541719E-17)); #380466=DIRECTION('ref_axis',(-1.41621319251436E-17,-3.41904109657985E-17, -1.)); #380467=DIRECTION('center_axis',(-0.999032398474363,-0.0439803001190583, -2.72937728728824E-17)); #380468=DIRECTION('ref_axis',(-2.73202079477734E-17,0.,1.)); #380469=DIRECTION('',(-0.0439803001190583,0.999032398474363,0.)); #380470=DIRECTION('',(0.0439803001190583,-0.999032398474363,0.)); #380471=DIRECTION('',(-2.61682076447296E-17,-2.61682076447296E-17,1.)); #380472=DIRECTION('',(-0.999032398474374,0.0439803001188038,-1.20427485556141E-14)); #380473=DIRECTION('center_axis',(0.0439803001190699,0.999032398474362,-2.72937728728827E-17)); #380474=DIRECTION('ref_axis',(0.,2.73202079477737E-17,1.)); #380475=DIRECTION('',(-0.999032398474362,0.0439803001190757,0.)); #380476=DIRECTION('',(-2.61682076447296E-17,-2.61682076447296E-17,-1.)); #380477=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #380478=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,0.)); #380479=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #380480=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #380481=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,3.70074341541719E-17)); #380482=DIRECTION('ref_axis',(-2.61682076447296E-17,-2.61682076447296E-17, 1.)); #380483=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #380484=DIRECTION('',(2.61682076447296E-17,2.61682076447296E-17,-1.)); #380485=DIRECTION('center_axis',(0.0389890580420108,-0.99923963760101,-2.51280365568718E-17)); #380486=DIRECTION('ref_axis',(0.,2.51471575098838E-17,-1.)); #380487=DIRECTION('',(0.999239637601009,0.0389890580420178,0.)); #380488=DIRECTION('',(-0.999239637601009,-0.0389890580420178,0.)); #380489=DIRECTION('',(-0.0389890580421546,-0.999239637601004,1.3080689495903E-14)); #380490=DIRECTION('center_axis',(0.99923963760101,-0.0389890580420102,-2.51280365568718E-17)); #380491=DIRECTION('ref_axis',(-2.51471575098838E-17,0.,-1.)); #380492=DIRECTION('',(-0.0389890580420105,-0.999239637601009,0.)); #380493=DIRECTION('',(2.61682076447296E-17,2.61682076447296E-17,1.)); #380494=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #380495=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,0.)); #380496=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #380497=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 3.70074341541719E-17)); #380498=DIRECTION('ref_axis',(-2.61682076447296E-17,-2.61682076447296E-17, -1.)); #380499=DIRECTION('center_axis',(-0.939816117472139,0.341680648178375,-2.7293772872883E-17)); #380500=DIRECTION('ref_axis',(-2.90416096994549E-17,0.,1.)); #380501=DIRECTION('',(0.341680648178375,0.939816117472139,0.)); #380502=DIRECTION('',(-0.341680648178375,-0.939816117472139,0.)); #380503=DIRECTION('',(-3.41904109657986E-17,-1.41621319251435E-17,1.)); #380504=DIRECTION('',(-0.906155053060224,0.422945646405566,1.20427485556142E-14)); #380505=DIRECTION('center_axis',(0.422945646405819,0.906155053060106,-2.7293772872883E-17)); #380506=DIRECTION('ref_axis',(0.,3.01204223059964E-17,1.)); #380507=DIRECTION('',(-0.906155053060106,0.422945646405819,0.)); #380508=DIRECTION('',(-3.41904109657986E-17,-1.41621319251435E-17,-1.)); #380509=DIRECTION('center_axis',(0.38268343236509,-0.923879532511287,0.)); #380510=DIRECTION('ref_axis',(0.923879532511287,0.38268343236509,0.)); #380511=DIRECTION('',(0.38268343236509,-0.923879532511287,0.)); #380512=DIRECTION('',(0.38268343236509,-0.923879532511287,0.)); #380513=DIRECTION('center_axis',(0.923879532511287,0.38268343236509,3.70074341541719E-17)); #380514=DIRECTION('ref_axis',(-3.41904109657985E-17,-1.41621319251435E-17, 1.)); #380515=DIRECTION('',(0.38268343236509,-0.923879532511287,0.)); #380516=DIRECTION('',(3.41904109657986E-17,1.41621319251435E-17,-1.)); #380517=DIRECTION('center_axis',(-0.346371261555499,-0.938097515809765, -2.51280365568719E-17)); #380518=DIRECTION('ref_axis',(0.,2.67861668252916E-17,-1.)); #380519=DIRECTION('',(0.938097515809767,-0.346371261555493,0.)); #380520=DIRECTION('',(-0.938097515809767,0.346371261555493,0.)); #380521=DIRECTION('',(-0.418413646989447,-0.908256582697307,0.)); #380522=DIRECTION('center_axis',(0.908256582697367,-0.418413646989318,-2.51280365568716E-17)); #380523=DIRECTION('ref_axis',(-2.76662311460993E-17,0.,-1.)); #380524=DIRECTION('',(-0.41841364698932,-0.908256582697366,0.)); #380525=DIRECTION('',(3.41904109657986E-17,1.41621319251435E-17,1.)); #380526=DIRECTION('center_axis',(0.38268343236509,-0.923879532511287,0.)); #380527=DIRECTION('ref_axis',(-0.923879532511287,-0.38268343236509,0.)); #380528=DIRECTION('',(0.38268343236509,-0.923879532511287,0.)); #380529=DIRECTION('center_axis',(-0.923879532511287,-0.38268343236509,3.70074341541719E-17)); #380530=DIRECTION('ref_axis',(-3.41904109657985E-17,-1.41621319251435E-17, -1.)); #380531=DIRECTION('center_axis',(-0.737521352039095,0.67532381513347,-2.72937728728826E-17)); #380532=DIRECTION('ref_axis',(-3.70074341541719E-17,0.,1.)); #380533=DIRECTION('',(0.67532381513347,0.737521352039095,0.)); #380534=DIRECTION('',(-0.675323815133469,-0.737521352039096,0.)); #380535=DIRECTION('',(-3.70074341541719E-17,4.49898243653537E-33,1.)); #380536=DIRECTION('',(-0.675323815133665,0.737521352038916,-1.20427485556141E-14)); #380537=DIRECTION('center_axis',(0.737521352039095,0.675323815133471,-2.72937728728826E-17)); #380538=DIRECTION('ref_axis',(-3.70074341541719E-17,0.,-1.)); #380539=DIRECTION('',(-0.67532381513347,0.737521352039095,0.)); #380540=DIRECTION('',(-3.70074341541719E-17,4.49898243653537E-33,-1.)); #380541=DIRECTION('center_axis',(-1.21569693748363E-16,-1.,0.)); #380542=DIRECTION('ref_axis',(1.,-1.21569693748363E-16,0.)); #380543=DIRECTION('',(-1.21569693748363E-16,-1.,0.)); #380544=DIRECTION('',(-1.21569693748363E-16,-1.,0.)); #380545=DIRECTION('center_axis',(1.,-1.21569693748363E-16,3.70074341541719E-17)); #380546=DIRECTION('ref_axis',(-3.70074341541719E-17,4.5321035781563E-33, 1.)); #380547=DIRECTION('',(-1.21569693748363E-16,-1.,0.)); #380548=DIRECTION('',(3.70074341541719E-17,-4.49898243653537E-33,-1.)); #380549=DIRECTION('center_axis',(-0.678999696444488,-0.734138551111637, -2.51280365568721E-17)); #380550=DIRECTION('ref_axis',(-3.70074341541719E-17,0.,1.)); #380551=DIRECTION('',(0.734138551111635,-0.67899969644449,0.)); #380552=DIRECTION('',(-0.734138551111636,0.678999696444489,0.)); #380553=DIRECTION('',(-0.734138551111747,-0.678999696444369,-1.30806894959031E-14)); #380554=DIRECTION('center_axis',(0.678999696444481,-0.734138551111643,-2.51280365568718E-17)); #380555=DIRECTION('ref_axis',(-3.70074341541719E-17,0.,-1.)); #380556=DIRECTION('',(-0.734138551111642,-0.678999696444482,0.)); #380557=DIRECTION('',(3.70074341541719E-17,-4.49898243653537E-33,1.)); #380558=DIRECTION('center_axis',(-1.21569693748363E-16,-1.,0.)); #380559=DIRECTION('ref_axis',(-1.,1.21569693748363E-16,0.)); #380560=DIRECTION('',(-1.21569693748363E-16,-1.,0.)); #380561=DIRECTION('center_axis',(-1.,1.21569693748363E-16,3.70074341541719E-17)); #380562=DIRECTION('ref_axis',(-3.70074341541719E-17,4.5321035781563E-33, -1.)); #380563=DIRECTION('center_axis',(-0.422945646405804,0.906155053060113,-2.72937728728825E-17)); #380564=DIRECTION('ref_axis',(0.,3.01204223059957E-17,1.)); #380565=DIRECTION('',(0.906155053060111,0.42294564640581,0.)); #380566=DIRECTION('',(-0.906155053060111,-0.42294564640581,0.)); #380567=DIRECTION('',(-3.41904109657985E-17,1.41621319251436E-17,1.)); #380568=DIRECTION('',(-0.341680648178633,0.939816117472046,0.)); #380569=DIRECTION('center_axis',(0.939816117472138,0.341680648178379,-2.72937728728828E-17)); #380570=DIRECTION('ref_axis',(-2.90416096994548E-17,0.,-1.)); #380571=DIRECTION('',(-0.341680648178377,0.939816117472138,0.)); #380572=DIRECTION('',(-3.41904109657985E-17,1.41621319251436E-17,-1.)); #380573=DIRECTION('center_axis',(-0.38268343236509,-0.923879532511287,0.)); #380574=DIRECTION('ref_axis',(0.923879532511287,-0.38268343236509,0.)); #380575=DIRECTION('',(-0.38268343236509,-0.923879532511287,0.)); #380576=DIRECTION('',(-0.38268343236509,-0.923879532511287,0.)); #380577=DIRECTION('center_axis',(0.923879532511287,-0.38268343236509,3.70074341541719E-17)); #380578=DIRECTION('ref_axis',(-3.41904109657985E-17,1.41621319251436E-17, 1.)); #380579=DIRECTION('',(-0.38268343236509,-0.923879532511287,0.)); #380580=DIRECTION('',(3.41904109657985E-17,-1.41621319251436E-17,-1.)); #380581=DIRECTION('center_axis',(-0.908256582697371,-0.41841364698931,-2.51280365568718E-17)); #380582=DIRECTION('ref_axis',(-2.76662311460994E-17,0.,1.)); #380583=DIRECTION('',(0.418413646989312,-0.908256582697369,0.)); #380584=DIRECTION('',(-0.418413646989312,0.908256582697369,0.)); #380585=DIRECTION('',(-0.938097515809818,-0.346371261555354,1.30806894959031E-14)); #380586=DIRECTION('center_axis',(0.346371261555502,-0.938097515809764,-2.5128036556872E-17)); #380587=DIRECTION('ref_axis',(0.,2.67861668252917E-17,-1.)); #380588=DIRECTION('',(-0.938097515809764,-0.346371261555503,0.)); #380589=DIRECTION('',(3.41904109657985E-17,-1.41621319251436E-17,1.)); #380590=DIRECTION('center_axis',(-0.38268343236509,-0.923879532511287,0.)); #380591=DIRECTION('ref_axis',(-0.923879532511287,0.38268343236509,0.)); #380592=DIRECTION('',(-0.38268343236509,-0.923879532511287,0.)); #380593=DIRECTION('center_axis',(-0.923879532511287,0.38268343236509,3.70074341541719E-17)); #380594=DIRECTION('ref_axis',(-3.41904109657985E-17,1.41621319251436E-17, -1.)); #380595=DIRECTION('center_axis',(-0.0439803001190585,0.999032398474363, -2.72937728728824E-17)); #380596=DIRECTION('ref_axis',(0.,2.73202079477734E-17,1.)); #380597=DIRECTION('',(0.999032398474363,0.0439803001190585,0.)); #380598=DIRECTION('',(-0.999032398474363,-0.0439803001190585,0.)); #380599=DIRECTION('',(-2.61682076447296E-17,2.61682076447296E-17,1.)); #380600=DIRECTION('',(0.0439803001187979,0.999032398474374,-1.20427485556141E-14)); #380601=DIRECTION('center_axis',(0.999032398474363,-0.0439803001190585, -2.72937728728824E-17)); #380602=DIRECTION('ref_axis',(-2.73202079477734E-17,0.,-1.)); #380603=DIRECTION('',(0.0439803001190585,0.999032398474363,0.)); #380604=DIRECTION('',(-2.61682076447296E-17,2.61682076447296E-17,-1.)); #380605=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #380606=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #380607=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #380608=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #380609=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,3.70074341541719E-17)); #380610=DIRECTION('ref_axis',(-2.61682076447296E-17,2.61682076447296E-17, 1.)); #380611=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #380612=DIRECTION('',(2.61682076447296E-17,-2.61682076447296E-17,-1.)); #380613=DIRECTION('center_axis',(-0.99923963760101,-0.0389890580420102, -2.51280365568718E-17)); #380614=DIRECTION('ref_axis',(-2.51471575098838E-17,0.,1.)); #380615=DIRECTION('',(0.0389890580420102,-0.99923963760101,0.)); #380616=DIRECTION('',(-0.0389890580420102,0.99923963760101,0.)); #380617=DIRECTION('',(-0.999239637601004,0.0389890580421616,1.30806894959031E-14)); #380618=DIRECTION('center_axis',(-0.0389890580420165,-0.999239637601009, -2.51280365568716E-17)); #380619=DIRECTION('ref_axis',(0.,2.51471575098837E-17,-1.)); #380620=DIRECTION('',(-0.99923963760101,0.0389890580420102,0.)); #380621=DIRECTION('',(2.61682076447296E-17,-2.61682076447296E-17,1.)); #380622=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #380623=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #380624=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #380625=DIRECTION('center_axis',(-0.707106781186547,0.707106781186548,3.70074341541719E-17)); #380626=DIRECTION('ref_axis',(-2.61682076447296E-17,2.61682076447296E-17, -1.)); #380627=DIRECTION('center_axis',(0.341680648178383,0.939816117472136,-2.72937728728827E-17)); #380628=DIRECTION('ref_axis',(0.,2.90416096994548E-17,1.)); #380629=DIRECTION('',(0.939816117472136,-0.341680648178383,0.)); #380630=DIRECTION('',(-0.939816117472136,0.341680648178383,0.)); #380631=DIRECTION('',(-1.41621319251435E-17,3.41904109657985E-17,1.)); #380632=DIRECTION('',(0.42294564640557,0.906155053060222,1.20427485556141E-14)); #380633=DIRECTION('center_axis',(0.906155053060113,-0.422945646405805,-2.72937728728825E-17)); #380634=DIRECTION('ref_axis',(-3.01204223059957E-17,0.,-1.)); #380635=DIRECTION('',(0.422945646405806,0.906155053060112,0.)); #380636=DIRECTION('',(-1.41621319251435E-17,3.41904109657985E-17,-1.)); #380637=DIRECTION('center_axis',(-0.923879532511287,-0.38268343236509,0.)); #380638=DIRECTION('ref_axis',(0.38268343236509,-0.923879532511287,0.)); #380639=DIRECTION('',(-0.923879532511287,-0.38268343236509,0.)); #380640=DIRECTION('',(-0.923879532511287,-0.38268343236509,0.)); #380641=DIRECTION('center_axis',(0.38268343236509,-0.923879532511287,3.70074341541719E-17)); #380642=DIRECTION('ref_axis',(-1.41621319251435E-17,3.41904109657985E-17, 1.)); #380643=DIRECTION('',(-0.923879532511287,-0.38268343236509,0.)); #380644=DIRECTION('',(1.41621319251435E-17,-3.41904109657985E-17,-1.)); #380645=DIRECTION('center_axis',(-0.938097515809764,0.346371261555502,-2.5128036556872E-17)); #380646=DIRECTION('ref_axis',(-2.67861668252916E-17,0.,1.)); #380647=DIRECTION('',(-0.346371261555509,-0.938097515809761,0.)); #380648=DIRECTION('',(0.346371261555509,0.938097515809761,0.)); #380649=DIRECTION('',(-0.908256582697306,0.41841364698945,0.)); #380650=DIRECTION('center_axis',(-0.418413646989313,-0.908256582697369, -2.51280365568718E-17)); #380651=DIRECTION('ref_axis',(0.,2.76662311460994E-17,-1.)); #380652=DIRECTION('',(-0.90825658269737,0.41841364698931,0.)); #380653=DIRECTION('',(1.41621319251435E-17,-3.41904109657985E-17,1.)); #380654=DIRECTION('center_axis',(-0.923879532511287,-0.38268343236509,0.)); #380655=DIRECTION('ref_axis',(-0.38268343236509,0.923879532511287,0.)); #380656=DIRECTION('',(-0.923879532511287,-0.38268343236509,0.)); #380657=DIRECTION('center_axis',(-0.38268343236509,0.923879532511287,3.70074341541719E-17)); #380658=DIRECTION('ref_axis',(-1.41621319251435E-17,3.41904109657985E-17, -1.)); #380659=DIRECTION('center_axis',(0.67532381513347,0.737521352039095,-2.72937728728826E-17)); #380660=DIRECTION('ref_axis',(-4.04158305412172E-17,0.,-1.)); #380661=DIRECTION('',(0.737521352039096,-0.67532381513347,0.)); #380662=DIRECTION('',(-0.737521352039096,0.675323815133469,0.)); #380663=DIRECTION('',(2.38181423110696E-33,3.70074341541719E-17,1.)); #380664=DIRECTION('',(0.737521352038917,0.675323815133664,-1.20427485556141E-14)); #380665=DIRECTION('center_axis',(0.675323815133471,-0.737521352039094,-2.72937728728826E-17)); #380666=DIRECTION('ref_axis',(-4.04158305412171E-17,0.,-1.)); #380667=DIRECTION('',(0.737521352039095,0.67532381513347,0.)); #380668=DIRECTION('',(2.38181423110696E-33,3.70074341541719E-17,-1.)); #380669=DIRECTION('center_axis',(-1.,6.43604261020744E-17,0.)); #380670=DIRECTION('ref_axis',(-6.43604261020744E-17,-1.,0.)); #380671=DIRECTION('',(-1.,6.43604261020744E-17,0.)); #380672=DIRECTION('',(-1.,6.43604261020744E-17,0.)); #380673=DIRECTION('center_axis',(-6.43604261020744E-17,-1.,3.70074341541719E-17)); #380674=DIRECTION('ref_axis',(2.26605178907815E-33,3.70074341541719E-17, 1.)); #380675=DIRECTION('',(-1.,6.43604261020744E-17,0.)); #380676=DIRECTION('',(-2.38181423110696E-33,-3.70074341541719E-17,-1.)); #380677=DIRECTION('center_axis',(-0.734138551111637,0.678999696444488,-2.51280365568721E-17)); #380678=DIRECTION('ref_axis',(-3.42279213083458E-17,0.,1.)); #380679=DIRECTION('',(-0.67899969644449,-0.734138551111635,0.)); #380680=DIRECTION('',(0.678999696444489,0.734138551111636,0.)); #380681=DIRECTION('',(-0.678999696444368,0.734138551111747,-1.30806894959031E-14)); #380682=DIRECTION('center_axis',(-0.734138551111643,-0.678999696444481, -2.51280365568718E-17)); #380683=DIRECTION('ref_axis',(-3.42279213083451E-17,0.,1.)); #380684=DIRECTION('',(-0.678999696444482,0.734138551111642,0.)); #380685=DIRECTION('',(-2.38181423110696E-33,-3.70074341541719E-17,1.)); #380686=DIRECTION('center_axis',(-1.,6.43604261020744E-17,0.)); #380687=DIRECTION('ref_axis',(6.43604261020744E-17,1.,0.)); #380688=DIRECTION('',(-1.,6.43604261020744E-17,0.)); #380689=DIRECTION('center_axis',(6.43604261020744E-17,1.,3.70074341541719E-17)); #380690=DIRECTION('ref_axis',(2.26605178907815E-33,3.70074341541719E-17, -1.)); #380691=DIRECTION('center_axis',(0.906155053060115,0.4229456464058,-2.72937728728824E-17)); #380692=DIRECTION('ref_axis',(-3.01204223059955E-17,0.,-1.)); #380693=DIRECTION('',(0.422945646405801,-0.906155053060115,0.)); #380694=DIRECTION('',(-0.422945646405805,0.906155053060113,0.)); #380695=DIRECTION('',(1.41621319251435E-17,3.41904109657985E-17,1.)); #380696=DIRECTION('',(0.939816117472046,0.341680648178631,0.)); #380697=DIRECTION('center_axis',(0.341680648178381,-0.939816117472137,-2.72937728728828E-17)); #380698=DIRECTION('ref_axis',(0.,2.90416096994548E-17,-1.)); #380699=DIRECTION('',(0.939816117472138,0.34168064817838,0.)); #380700=DIRECTION('',(1.41621319251435E-17,3.41904109657985E-17,-1.)); #380701=DIRECTION('center_axis',(-0.923879532511287,0.38268343236509,0.)); #380702=DIRECTION('ref_axis',(-0.38268343236509,-0.923879532511287,0.)); #380703=DIRECTION('',(-0.923879532511287,0.38268343236509,0.)); #380704=DIRECTION('',(-0.923879532511287,0.38268343236509,0.)); #380705=DIRECTION('center_axis',(-0.38268343236509,-0.923879532511287,3.70074341541719E-17)); #380706=DIRECTION('ref_axis',(1.41621319251436E-17,3.41904109657985E-17, 1.)); #380707=DIRECTION('',(-0.923879532511287,0.38268343236509,0.)); #380708=DIRECTION('',(-1.41621319251435E-17,-3.41904109657985E-17,-1.)); #380709=DIRECTION('center_axis',(-0.41841364698931,0.908256582697371,-2.51280365568718E-17)); #380710=DIRECTION('ref_axis',(0.,2.76662311460994E-17,1.)); #380711=DIRECTION('',(-0.908256582697372,-0.418413646989307,0.)); #380712=DIRECTION('',(0.908256582697372,0.418413646989307,0.)); #380713=DIRECTION('',(-0.34637126155536,0.938097515809816,0.)); #380714=DIRECTION('center_axis',(-0.938097515809767,-0.346371261555492, -2.51280365568717E-17)); #380715=DIRECTION('ref_axis',(-2.67861668252913E-17,0.,1.)); #380716=DIRECTION('',(-0.346371261555493,0.938097515809767,0.)); #380717=DIRECTION('',(-1.41621319251435E-17,-3.41904109657985E-17,1.)); #380718=DIRECTION('center_axis',(-0.923879532511287,0.38268343236509,0.)); #380719=DIRECTION('ref_axis',(0.38268343236509,0.923879532511287,0.)); #380720=DIRECTION('',(-0.923879532511287,0.38268343236509,0.)); #380721=DIRECTION('center_axis',(0.38268343236509,0.923879532511287,3.70074341541719E-17)); #380722=DIRECTION('ref_axis',(1.41621319251436E-17,3.41904109657985E-17, -1.)); #380723=DIRECTION('center_axis',(0.999032398474363,0.0439803001190583,-2.72937728728824E-17)); #380724=DIRECTION('ref_axis',(-2.73202079477734E-17,0.,-1.)); #380725=DIRECTION('',(0.0439803001190583,-0.999032398474363,0.)); #380726=DIRECTION('',(-0.0439803001190583,0.999032398474363,0.)); #380727=DIRECTION('',(2.61682076447296E-17,2.61682076447296E-17,1.)); #380728=DIRECTION('',(0.999032398474374,-0.043980300118798,0.)); #380729=DIRECTION('center_axis',(-0.0439803001190583,-0.999032398474363, -2.72937728728824E-17)); #380730=DIRECTION('ref_axis',(0.,2.73202079477734E-17,-1.)); #380731=DIRECTION('',(0.999032398474363,-0.0439803001190583,0.)); #380732=DIRECTION('',(2.61682076447296E-17,2.61682076447296E-17,-1.)); #380733=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #380734=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #380735=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #380736=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #380737=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 3.70074341541719E-17)); #380738=DIRECTION('ref_axis',(2.61682076447296E-17,2.61682076447296E-17, 1.)); #380739=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #380740=DIRECTION('',(-2.61682076447296E-17,-2.61682076447296E-17,-1.)); #380741=DIRECTION('center_axis',(-0.038989058042017,0.999239637601009,-2.51280365568716E-17)); #380742=DIRECTION('ref_axis',(0.,2.51471575098836E-17,1.)); #380743=DIRECTION('',(-0.999239637601009,-0.038989058042017,0.)); #380744=DIRECTION('',(0.999239637601009,0.038989058042017,0.)); #380745=DIRECTION('',(0.0389890580421616,0.999239637601004,-1.30806894959031E-14)); #380746=DIRECTION('center_axis',(-0.999239637601009,0.038989058042017,-2.51280365568716E-17)); #380747=DIRECTION('ref_axis',(-2.51471575098836E-17,0.,1.)); #380748=DIRECTION('',(0.038989058042017,0.999239637601009,0.)); #380749=DIRECTION('',(-2.61682076447296E-17,-2.61682076447296E-17,1.)); #380750=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #380751=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #380752=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #380753=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,3.70074341541719E-17)); #380754=DIRECTION('ref_axis',(2.61682076447296E-17,2.61682076447296E-17, -1.)); #380755=DIRECTION('center_axis',(0.939816117472137,-0.341680648178381,-2.72937728728828E-17)); #380756=DIRECTION('ref_axis',(-2.90416096994548E-17,0.,-1.)); #380757=DIRECTION('',(-0.341680648178381,-0.939816117472137,0.)); #380758=DIRECTION('',(0.341680648178381,0.939816117472137,0.)); #380759=DIRECTION('',(3.41904109657985E-17,1.41621319251435E-17,1.)); #380760=DIRECTION('',(0.906155053060223,-0.42294564640557,0.)); #380761=DIRECTION('center_axis',(-0.422945646405799,-0.906155053060116, -2.72937728728824E-17)); #380762=DIRECTION('ref_axis',(0.,3.01204223059955E-17,-1.)); #380763=DIRECTION('',(0.906155053060115,-0.422945646405801,0.)); #380764=DIRECTION('',(3.41904109657985E-17,1.41621319251435E-17,-1.)); #380765=DIRECTION('center_axis',(-0.38268343236509,0.923879532511287,0.)); #380766=DIRECTION('ref_axis',(-0.923879532511287,-0.38268343236509,0.)); #380767=DIRECTION('',(-0.38268343236509,0.923879532511287,0.)); #380768=DIRECTION('',(-0.38268343236509,0.923879532511287,0.)); #380769=DIRECTION('center_axis',(-0.923879532511287,-0.38268343236509,3.70074341541719E-17)); #380770=DIRECTION('ref_axis',(3.41904109657985E-17,1.41621319251435E-17, 1.)); #380771=DIRECTION('',(-0.38268343236509,0.923879532511287,0.)); #380772=DIRECTION('',(-3.41904109657985E-17,-1.41621319251435E-17,-1.)); #380773=DIRECTION('center_axis',(0.346371261555492,0.938097515809767,-2.51280365568717E-17)); #380774=DIRECTION('ref_axis',(0.,2.67861668252913E-17,1.)); #380775=DIRECTION('',(-0.938097515809767,0.346371261555493,0.)); #380776=DIRECTION('',(0.938097515809767,-0.346371261555493,0.)); #380777=DIRECTION('',(0.418413646989444,0.908256582697308,-1.30806894959031E-14)); #380778=DIRECTION('center_axis',(-0.908256582697371,0.41841364698931,-2.51280365568718E-17)); #380779=DIRECTION('ref_axis',(-2.76662311460994E-17,0.,1.)); #380780=DIRECTION('',(0.41841364698931,0.90825658269737,0.)); #380781=DIRECTION('',(-3.41904109657985E-17,-1.41621319251435E-17,1.)); #380782=DIRECTION('center_axis',(-0.38268343236509,0.923879532511287,0.)); #380783=DIRECTION('ref_axis',(0.923879532511287,0.38268343236509,0.)); #380784=DIRECTION('',(-0.38268343236509,0.923879532511287,0.)); #380785=DIRECTION('center_axis',(0.923879532511287,0.38268343236509,3.70074341541719E-17)); #380786=DIRECTION('ref_axis',(3.41904109657985E-17,1.41621319251435E-17, -1.)); #380787=DIRECTION('center_axis',(0.737521352039095,-0.67532381513347,-2.72937728728826E-17)); #380788=DIRECTION('ref_axis',(-3.70074341541719E-17,0.,-1.)); #380789=DIRECTION('',(-0.67532381513347,-0.737521352039095,0.)); #380790=DIRECTION('',(0.675323815133469,0.737521352039096,0.)); #380791=DIRECTION('',(3.70074341541719E-17,0.,1.)); #380792=DIRECTION('',(0.675323815133666,-0.737521352038916,-1.20427485556141E-14)); #380793=DIRECTION('center_axis',(-0.737521352039095,-0.67532381513347,-2.72937728728826E-17)); #380794=DIRECTION('ref_axis',(-3.70074341541719E-17,0.,1.)); #380795=DIRECTION('',(0.675323815133469,-0.737521352039096,0.)); #380796=DIRECTION('',(3.70074341541719E-17,0.,-1.)); #380797=DIRECTION('center_axis',(0.,1.,0.)); #380798=DIRECTION('ref_axis',(-1.,0.,0.)); #380799=DIRECTION('',(0.,1.,0.)); #380800=DIRECTION('',(0.,1.,0.)); #380801=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-17)); #380802=DIRECTION('ref_axis',(3.70074341541719E-17,0.,1.)); #380803=DIRECTION('',(0.,1.,0.)); #380804=DIRECTION('',(-3.70074341541719E-17,0.,-1.)); #380805=DIRECTION('center_axis',(0.678999696444481,0.734138551111643,-2.51280365568718E-17)); #380806=DIRECTION('ref_axis',(-3.70074341541719E-17,0.,-1.)); #380807=DIRECTION('',(-0.734138551111641,0.678999696444483,0.)); #380808=DIRECTION('',(0.734138551111642,-0.678999696444482,0.)); #380809=DIRECTION('',(0.734138551111747,0.678999696444368,-1.30806894959031E-14)); #380810=DIRECTION('center_axis',(-0.678999696444481,0.734138551111643,-2.51280365568718E-17)); #380811=DIRECTION('ref_axis',(-3.70074341541719E-17,0.,1.)); #380812=DIRECTION('',(0.734138551111642,0.678999696444482,0.)); #380813=DIRECTION('',(-3.70074341541719E-17,0.,1.)); #380814=DIRECTION('center_axis',(0.,1.,0.)); #380815=DIRECTION('ref_axis',(1.,0.,0.)); #380816=DIRECTION('',(0.,1.,0.)); #380817=DIRECTION('center_axis',(1.,0.,3.70074341541719E-17)); #380818=DIRECTION('ref_axis',(3.70074341541719E-17,0.,-1.)); #380819=DIRECTION('center_axis',(0.,0.,-1.)); #380820=DIRECTION('ref_axis',(-1.,0.,0.)); #380821=DIRECTION('',(1.,0.,0.)); #380822=DIRECTION('center_axis',(0.,0.,-1.)); #380823=DIRECTION('ref_axis',(-1.,0.,0.)); #380824=DIRECTION('center_axis',(0.,0.,-1.)); #380825=DIRECTION('ref_axis',(-1.,0.,0.)); #380826=DIRECTION('center_axis',(0.,0.,-1.)); #380827=DIRECTION('ref_axis',(-1.,0.,0.)); #380828=DIRECTION('',(-1.,0.,0.)); #380829=DIRECTION('',(0.,1.,0.)); #380830=DIRECTION('center_axis',(0.,0.,-1.)); #380831=DIRECTION('ref_axis',(-1.,0.,0.)); #380832=DIRECTION('center_axis',(0.,0.,-1.)); #380833=DIRECTION('ref_axis',(0.,1.,0.)); #380834=DIRECTION('',(0.,1.,0.)); #380835=DIRECTION('',(1.,0.,0.)); #380836=DIRECTION('center_axis',(0.,0.,-1.)); #380837=DIRECTION('ref_axis',(-1.,0.,0.)); #380838=DIRECTION('',(-1.,0.,0.)); #380839=DIRECTION('center_axis',(0.,0.,-1.)); #380840=DIRECTION('ref_axis',(0.,-1.,0.)); #380841=DIRECTION('center_axis',(0.,0.,-1.)); #380842=DIRECTION('ref_axis',(-1.,0.,0.)); #380843=DIRECTION('',(-1.,0.,0.)); #380844=DIRECTION('center_axis',(0.,0.,-1.)); #380845=DIRECTION('ref_axis',(-1.,0.,0.)); #380846=DIRECTION('',(0.,1.,0.)); #380847=DIRECTION('center_axis',(0.,0.,-1.)); #380848=DIRECTION('ref_axis',(1.,0.,0.)); #380849=DIRECTION('center_axis',(0.,0.,1.)); #380850=DIRECTION('ref_axis',(1.,0.,0.)); #380851=DIRECTION('',(0.,0.,-1.)); #380852=DIRECTION('center_axis',(0.,0.,-1.)); #380853=DIRECTION('ref_axis',(0.,1.,0.)); #380854=DIRECTION('',(0.,0.,-1.)); #380855=DIRECTION('center_axis',(0.,0.,1.)); #380856=DIRECTION('ref_axis',(0.,1.,0.)); #380857=DIRECTION('',(0.,0.,-1.)); #380858=DIRECTION('center_axis',(0.,-1.,0.)); #380859=DIRECTION('ref_axis',(-1.,0.,0.)); #380860=DIRECTION('',(-1.,0.,0.)); #380861=DIRECTION('center_axis',(0.,0.,-1.)); #380862=DIRECTION('ref_axis',(-1.,0.,0.)); #380863=DIRECTION('',(0.,0.,-1.)); #380864=DIRECTION('center_axis',(0.,0.,1.)); #380865=DIRECTION('ref_axis',(-1.,0.,0.)); #380866=DIRECTION('',(0.,0.,-1.)); #380867=DIRECTION('center_axis',(1.,0.,0.)); #380868=DIRECTION('ref_axis',(0.,-1.,0.)); #380869=DIRECTION('',(0.,-1.,0.)); #380870=DIRECTION('center_axis',(0.,0.,-1.)); #380871=DIRECTION('ref_axis',(0.,-1.,0.)); #380872=DIRECTION('',(0.,0.,-1.)); #380873=DIRECTION('center_axis',(0.,0.,1.)); #380874=DIRECTION('ref_axis',(0.,-1.,0.)); #380875=DIRECTION('center_axis',(0.,1.,0.)); #380876=DIRECTION('ref_axis',(1.,0.,0.)); #380877=DIRECTION('',(1.,0.,0.)); #380878=DIRECTION('center_axis',(0.,0.,1.)); #380879=DIRECTION('ref_axis',(1.,0.,0.)); #380880=DIRECTION('center_axis',(0.,0.,1.)); #380881=DIRECTION('ref_axis',(-1.,0.,0.)); #380882=DIRECTION('center_axis',(0.,0.,-1.)); #380883=DIRECTION('ref_axis',(-1.,0.,0.)); #380884=DIRECTION('',(0.707106781186549,-8.65956056235495E-17,0.707106781186546)); #380885=DIRECTION('center_axis',(0.,0.,1.)); #380886=DIRECTION('ref_axis',(-1.,0.,0.)); #380887=DIRECTION('center_axis',(0.,0.,1.)); #380888=DIRECTION('ref_axis',(-1.,0.,0.)); #380889=DIRECTION('center_axis',(0.,0.,1.)); #380890=DIRECTION('ref_axis',(-1.,0.,0.)); #380891=DIRECTION('',(0.,0.,-1.)); #380892=DIRECTION('center_axis',(0.,0.,1.)); #380893=DIRECTION('ref_axis',(1.,0.,0.)); #380894=DIRECTION('center_axis',(0.,0.,-1.)); #380895=DIRECTION('ref_axis',(-1.,0.,0.)); #380896=DIRECTION('center_axis',(0.,0.,-1.)); #380897=DIRECTION('ref_axis',(-1.,0.,0.)); #380898=DIRECTION('center_axis',(0.,0.,-1.)); #380899=DIRECTION('ref_axis',(-1.,0.,0.)); #380900=DIRECTION('center_axis',(0.,0.,-1.)); #380901=DIRECTION('ref_axis',(-1.,0.,0.)); #380902=DIRECTION('center_axis',(0.,0.,-1.)); #380903=DIRECTION('ref_axis',(1.,0.,0.)); #380904=DIRECTION('center_axis',(0.,0.,1.)); #380905=DIRECTION('ref_axis',(-1.,0.,0.)); #380906=DIRECTION('center_axis',(0.,0.,1.)); #380907=DIRECTION('ref_axis',(-1.,0.,0.)); #380908=DIRECTION('center_axis',(0.,0.,-1.)); #380909=DIRECTION('ref_axis',(-1.,0.,0.)); #380910=DIRECTION('center_axis',(0.,0.,-1.)); #380911=DIRECTION('ref_axis',(-1.,0.,0.)); #380912=DIRECTION('',(0.,0.,-1.)); #380913=DIRECTION('center_axis',(0.,0.,1.)); #380914=DIRECTION('ref_axis',(-1.,0.,0.)); #380915=DIRECTION('center_axis',(0.,0.,-1.)); #380916=DIRECTION('ref_axis',(-1.,0.,0.)); #380917=DIRECTION('center_axis',(0.,0.,-1.)); #380918=DIRECTION('ref_axis',(-1.,0.,0.)); #380919=DIRECTION('',(0.,0.,-1.)); #380920=DIRECTION('center_axis',(0.,0.,1.)); #380921=DIRECTION('ref_axis',(-1.,0.,0.)); #380922=DIRECTION('center_axis',(0.,0.,-1.)); #380923=DIRECTION('ref_axis',(-1.,0.,0.)); #380924=DIRECTION('center_axis',(0.,0.,-1.)); #380925=DIRECTION('ref_axis',(-1.,0.,0.)); #380926=DIRECTION('',(0.,0.,-1.)); #380927=DIRECTION('center_axis',(0.,0.,1.)); #380928=DIRECTION('ref_axis',(-1.,0.,0.)); #380929=DIRECTION('center_axis',(0.,0.,-1.)); #380930=DIRECTION('ref_axis',(-1.,0.,0.)); #380931=DIRECTION('center_axis',(0.,0.,-1.)); #380932=DIRECTION('ref_axis',(-1.,0.,0.)); #380933=DIRECTION('',(0.,0.,-1.)); #380934=DIRECTION('center_axis',(0.,0.,1.)); #380935=DIRECTION('ref_axis',(-1.,0.,0.)); #380936=DIRECTION('center_axis',(0.,0.,-1.)); #380937=DIRECTION('ref_axis',(-1.,0.,0.)); #380938=DIRECTION('',(0.,0.,-1.)); #380939=DIRECTION('center_axis',(0.,0.,-1.)); #380940=DIRECTION('ref_axis',(-1.,0.,0.)); #380941=DIRECTION('center_axis',(0.,0.,1.)); #380942=DIRECTION('ref_axis',(-1.,0.,0.)); #380943=DIRECTION('center_axis',(0.,0.,-1.)); #380944=DIRECTION('ref_axis',(-1.,0.,0.)); #380945=DIRECTION('',(0.,0.,-1.)); #380946=DIRECTION('center_axis',(0.,0.,-1.)); #380947=DIRECTION('ref_axis',(-1.,0.,0.)); #380948=DIRECTION('center_axis',(0.,0.,1.)); #380949=DIRECTION('ref_axis',(-1.,0.,0.)); #380950=DIRECTION('center_axis',(0.,0.,-1.)); #380951=DIRECTION('ref_axis',(-1.,0.,0.)); #380952=DIRECTION('',(0.,0.,-1.)); #380953=DIRECTION('center_axis',(0.,0.,-1.)); #380954=DIRECTION('ref_axis',(-1.,0.,0.)); #380955=DIRECTION('center_axis',(0.,0.,1.)); #380956=DIRECTION('ref_axis',(-1.,0.,0.)); #380957=DIRECTION('center_axis',(0.,0.,-1.)); #380958=DIRECTION('ref_axis',(-1.,0.,0.)); #380959=DIRECTION('',(0.,0.,-1.)); #380960=DIRECTION('center_axis',(0.,0.,-1.)); #380961=DIRECTION('ref_axis',(-1.,0.,0.)); #380962=DIRECTION('center_axis',(0.,0.,1.)); #380963=DIRECTION('ref_axis',(-1.,0.,0.)); #380964=DIRECTION('center_axis',(0.,0.,1.)); #380965=DIRECTION('ref_axis',(1.,0.,0.)); #380966=DIRECTION('',(0.,0.,-1.)); #380967=DIRECTION('center_axis',(0.,0.,-1.)); #380968=DIRECTION('ref_axis',(1.,0.,0.)); #380969=DIRECTION('center_axis',(0.,0.,1.)); #380970=DIRECTION('ref_axis',(1.,0.,0.)); #380971=DIRECTION('',(0.,0.,-1.)); #380972=DIRECTION('center_axis',(0.,0.,1.)); #380973=DIRECTION('ref_axis',(1.,0.,0.)); #380974=DIRECTION('center_axis',(0.,0.,1.)); #380975=DIRECTION('ref_axis',(1.,0.,0.)); #380976=DIRECTION('center_axis',(0.,0.,1.)); #380977=DIRECTION('ref_axis',(1.,0.,0.)); #380978=DIRECTION('',(0.,0.,-1.)); #380979=DIRECTION('center_axis',(0.,0.,1.)); #380980=DIRECTION('ref_axis',(1.,0.,0.)); #380981=DIRECTION('',(0.,0.,1.)); #380982=DIRECTION('center_axis',(0.,0.,1.)); #380983=DIRECTION('ref_axis',(-1.,0.,0.)); #380984=DIRECTION('center_axis',(0.,0.,-1.)); #380985=DIRECTION('ref_axis',(1.,0.,0.)); #380986=DIRECTION('center_axis',(0.,0.,-1.)); #380987=DIRECTION('ref_axis',(1.,0.,0.)); #380988=DIRECTION('',(-0.707106781186547,-8.65956056235493E-17,-0.707106781186548)); #380989=DIRECTION('center_axis',(0.,0.,1.)); #380990=DIRECTION('ref_axis',(1.,0.,0.)); #380991=DIRECTION('center_axis',(0.,0.,1.)); #380992=DIRECTION('ref_axis',(1.,0.,0.)); #380993=DIRECTION('',(0.,0.,-1.)); #380994=DIRECTION('center_axis',(0.,0.,1.)); #380995=DIRECTION('ref_axis',(1.,0.,0.)); #380996=DIRECTION('center_axis',(0.,0.,-1.)); #380997=DIRECTION('ref_axis',(-1.,0.,0.)); #380998=DIRECTION('',(-0.866025403784447,-0.499999999999985,0.)); #380999=DIRECTION('',(-0.5,0.866025403784439,0.)); #381000=DIRECTION('',(0.86602540378443,0.500000000000015,0.)); #381001=DIRECTION('',(0.5,-0.866025403784439,0.)); #381002=DIRECTION('center_axis',(0.,0.,-1.)); #381003=DIRECTION('ref_axis',(-1.,0.,0.)); #381004=DIRECTION('center_axis',(0.,0.,-1.)); #381005=DIRECTION('ref_axis',(-1.,0.,0.)); #381006=DIRECTION('center_axis',(-0.353553390593284,0.612372435695788,0.707106781186548)); #381007=DIRECTION('ref_axis',(0.894427190999911,0.,0.447213595499968)); #381008=DIRECTION('',(-0.353553390592699,0.612372435696127,-0.707106781186547)); #381009=DIRECTION('',(0.866025403784427,0.50000000000002,0.)); #381010=DIRECTION('',(-0.353553390593274,0.612372435695795,-0.707106781186547)); #381011=DIRECTION('',(0.86602540378443,0.500000000000015,0.)); #381012=DIRECTION('center_axis',(0.353553390593542,-0.612372435695639,0.707106781186548)); #381013=DIRECTION('ref_axis',(0.89442719099978,0.,-0.447213595500229)); #381014=DIRECTION('',(-0.353553390592699,0.612372435696127,0.707106781186547)); #381015=DIRECTION('',(-0.866025403784219,-0.50000000000038,0.)); #381016=DIRECTION('',(-0.353553390593274,0.612372435695795,0.707106781186547)); #381017=DIRECTION('',(0.86602540378445,0.499999999999981,0.)); #381018=DIRECTION('center_axis',(-0.50000000000038,0.866025403784219,0.)); #381019=DIRECTION('ref_axis',(0.866025403784219,0.50000000000038,0.)); #381020=DIRECTION('',(0.,0.,-1.)); #381021=DIRECTION('',(0.866025403784219,0.50000000000038,0.)); #381022=DIRECTION('',(0.,0.,-1.)); #381023=DIRECTION('',(-0.866025403784219,-0.50000000000038,0.)); #381024=DIRECTION('',(0.,0.,-1.)); #381025=DIRECTION('',(0.61237243569564,0.353553390593543,0.707106781186547)); #381026=DIRECTION('',(0.,0.,-1.)); #381027=DIRECTION('center_axis',(0.353553390593542,-0.612372435695639,-0.707106781186548)); #381028=DIRECTION('ref_axis',(-0.89442719099978,0.,-0.447213595500229)); #381029=DIRECTION('',(0.353553390592699,-0.612372435696127,0.707106781186547)); #381030=DIRECTION('',(-0.86602540378445,-0.499999999999981,0.)); #381031=DIRECTION('',(0.353553390593274,-0.612372435695795,0.707106781186547)); #381032=DIRECTION('center_axis',(-0.353553390593284,0.612372435695788,-0.707106781186548)); #381033=DIRECTION('ref_axis',(-0.894427190999911,0.,0.447213595499968)); #381034=DIRECTION('',(0.353553390592699,-0.612372435696127,-0.707106781186547)); #381035=DIRECTION('',(-0.86602540378443,-0.500000000000015,0.)); #381036=DIRECTION('',(0.353553390593274,-0.612372435695795,-0.707106781186547)); #381037=DIRECTION('',(0.866025403784427,0.50000000000002,0.)); #381038=DIRECTION('center_axis',(0.500000000000015,-0.86602540378443,0.)); #381039=DIRECTION('ref_axis',(-0.86602540378443,-0.500000000000015,0.)); #381040=DIRECTION('',(0.,0.,1.)); #381041=DIRECTION('',(-0.612372435695789,-0.353553390593285,-0.707106781186547)); #381042=DIRECTION('',(0.,0.,-1.)); #381043=DIRECTION('',(0.86602540378443,0.500000000000015,0.)); #381044=DIRECTION('',(0.,0.,1.)); #381045=DIRECTION('',(0.,0.,1.)); #381046=DIRECTION('center_axis',(-0.612372435695794,-0.353553390593273, 0.707106781186548)); #381047=DIRECTION('ref_axis',(0.755928946018455,0.,0.654653670707976)); #381048=DIRECTION('center_axis',(0.612372435695794,0.353553390593273,-0.707106781186548)); #381049=DIRECTION('ref_axis',(0.612372435695795,0.353553390593274,0.707106781186547)); #381050=DIRECTION('',(0.5,-0.866025403784439,0.)); #381051=DIRECTION('',(0.612372435695789,0.353553390593285,0.707106781186547)); #381052=DIRECTION('',(-0.5,0.866025403784439,0.)); #381053=DIRECTION('center_axis',(0.,0.,-1.)); #381054=DIRECTION('ref_axis',(-0.499999999999999,0.866025403784439,0.)); #381055=DIRECTION('center_axis',(0.,0.,1.)); #381056=DIRECTION('ref_axis',(-0.499999999999999,0.866025403784439,0.)); #381057=DIRECTION('center_axis',(0.612372435695794,0.353553390593273,-0.707106781186548)); #381058=DIRECTION('ref_axis',(0.612372435695795,0.353553390593274,0.707106781186547)); #381059=DIRECTION('center_axis',(-0.612372435695794,-0.353553390593273, 0.707106781186548)); #381060=DIRECTION('ref_axis',(0.755928946018455,0.,0.654653670707976)); #381061=DIRECTION('',(-0.5,0.866025403784439,0.)); #381062=DIRECTION('',(-0.612372435695801,-0.353553390593263,-0.707106781186547)); #381063=DIRECTION('',(0.5,-0.866025403784439,0.)); #381064=DIRECTION('center_axis',(-0.866025403784439,-0.5,0.)); #381065=DIRECTION('ref_axis',(-0.5,0.866025403784439,0.)); #381066=DIRECTION('',(0.,0.,1.)); #381067=DIRECTION('',(0.,0.,-1.)); #381068=DIRECTION('',(0.5,-0.866025403784439,0.)); #381069=DIRECTION('',(0.,0.,-1.)); #381070=DIRECTION('',(0.5,-0.866025403784439,0.)); #381071=DIRECTION('center_axis',(0.499999999999985,-0.866025403784447,0.)); #381072=DIRECTION('ref_axis',(0.866025403784447,0.499999999999985,0.)); #381073=DIRECTION('',(0.,0.,1.)); #381074=DIRECTION('',(0.866025403784447,0.499999999999985,0.)); #381075=DIRECTION('',(0.,0.,1.)); #381076=DIRECTION('center_axis',(-0.866025403784439,-0.5,0.)); #381077=DIRECTION('ref_axis',(0.5,-0.866025403784439,0.)); #381078=DIRECTION('',(0.5,-0.866025403784439,0.)); #381079=DIRECTION('',(0.,0.,1.)); #381080=DIRECTION('center_axis',(-0.500000000000015,0.86602540378443,0.)); #381081=DIRECTION('ref_axis',(-0.86602540378443,-0.500000000000015,0.)); #381082=DIRECTION('',(-0.86602540378443,-0.500000000000015,0.)); #381083=DIRECTION('',(0.,0.,-1.)); #381084=DIRECTION('',(0.,0.,1.)); #381085=DIRECTION('center_axis',(0.866025403784439,0.5,0.)); #381086=DIRECTION('ref_axis',(-0.5,0.866025403784439,0.)); #381087=DIRECTION('center_axis',(0.866025403784908,0.499999999999187,0.)); #381088=DIRECTION('ref_axis',(0.499999999999187,-0.866025403784908,0.)); #381089=DIRECTION('',(0.,0.,-1.)); #381090=DIRECTION('center_axis',(-0.50000000000002,0.866025403784427,0.)); #381091=DIRECTION('ref_axis',(0.866025403784427,0.50000000000002,0.)); #381092=DIRECTION('center_axis',(0.866025403784908,0.499999999999187,0.)); #381093=DIRECTION('ref_axis',(0.499999999999187,-0.866025403784908,0.)); #381094=DIRECTION('',(0.,0.,-1.)); #381095=DIRECTION('center_axis',(0.499999999999981,-0.86602540378445,0.)); #381096=DIRECTION('ref_axis',(-0.86602540378445,-0.499999999999981,0.)); #381097=DIRECTION('',(0.,0.,1.)); #381098=DIRECTION('center_axis',(0.,0.,-1.)); #381099=DIRECTION('ref_axis',(-1.,0.,0.)); #381100=DIRECTION('center_axis',(0.,0.,-1.)); #381101=DIRECTION('ref_axis',(1.,0.,0.)); #381102=DIRECTION('',(0.5,-0.866025403784439,0.)); #381103=DIRECTION('',(0.965925824360715,-0.258819052299235,0.)); #381104=DIRECTION('',(0.499999999999997,-0.866025403784441,0.)); #381105=DIRECTION('center_axis',(0.,0.,-1.)); #381106=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #381107=DIRECTION('',(0.500000000000001,0.866025403784438,0.)); #381108=DIRECTION('center_axis',(0.,0.,-1.)); #381109=DIRECTION('ref_axis',(-7.52693575256647E-16,-1.,0.)); #381110=DIRECTION('',(-1.,3.94745964311164E-16,0.)); #381111=DIRECTION('center_axis',(0.,0.,-1.)); #381112=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #381113=DIRECTION('',(0.499999999999983,-0.866025403784449,0.)); #381114=DIRECTION('',(-0.258819037905808,-0.965925828217422,0.)); #381115=DIRECTION('center_axis',(-0.866025403784439,-0.5,0.)); #381116=DIRECTION('ref_axis',(-0.5,0.866025403784439,0.)); #381117=DIRECTION('',(0.5,-0.866025403784439,0.)); #381118=DIRECTION('',(0.,0.,-1.)); #381119=DIRECTION('center_axis',(0.999982833125561,0.00585947558887992, 0.)); #381120=DIRECTION('ref_axis',(0.,0.,-1.)); #381121=DIRECTION('',(-0.00585947558887992,0.999982833125561,0.)); #381122=DIRECTION('',(0.,0.,-1.)); #381123=DIRECTION('',(0.00585947558887992,-0.999982833125561,0.)); #381124=DIRECTION('',(0.,0.,1.)); #381125=DIRECTION('',(0.,0.,1.)); #381126=DIRECTION('',(0.,0.,1.)); #381127=DIRECTION('center_axis',(-0.505065871275606,0.86308079904063,0.)); #381128=DIRECTION('ref_axis',(0.,0.,1.)); #381129=DIRECTION('',(-0.86308079904063,-0.505065871275607,0.)); #381130=DIRECTION('',(0.,0.,-1.)); #381131=DIRECTION('',(0.86308079904063,0.505065871275607,0.)); #381132=DIRECTION('',(0.,0.,1.)); #381133=DIRECTION('',(0.,0.,1.)); #381134=DIRECTION('',(0.,0.,1.)); #381135=DIRECTION('center_axis',(-0.494916961849948,-0.868940274629515, 0.)); #381136=DIRECTION('ref_axis',(0.,0.,-1.)); #381137=DIRECTION('',(0.868940274629515,-0.494916961849948,0.)); #381138=DIRECTION('',(0.,0.,-1.)); #381139=DIRECTION('',(-0.868940274629515,0.494916961849948,0.)); #381140=DIRECTION('',(0.,0.,1.)); #381141=DIRECTION('',(0.,0.,1.)); #381142=DIRECTION('',(0.,0.,1.)); #381143=DIRECTION('center_axis',(0.,0.,1.)); #381144=DIRECTION('ref_axis',(1.,0.,0.)); #381145=DIRECTION('center_axis',(0.,0.,1.)); #381146=DIRECTION('ref_axis',(1.,0.,0.)); #381147=DIRECTION('',(0.,0.,-1.)); #381148=DIRECTION('center_axis',(0.,0.,-1.)); #381149=DIRECTION('ref_axis',(-1.,0.,0.)); #381150=DIRECTION('center_axis',(-0.967461381066569,-0.253018726865754, 0.)); #381151=DIRECTION('ref_axis',(0.,0.,1.)); #381152=DIRECTION('',(-0.253018726865754,0.967461381066569,0.)); #381153=DIRECTION('',(0.,0.,1.)); #381154=DIRECTION('',(0.253018726865754,-0.967461381066569,0.)); #381155=DIRECTION('',(0.,0.,-1.)); #381156=DIRECTION('center_axis',(0.,0.,-1.)); #381157=DIRECTION('ref_axis',(1.,0.,0.)); #381158=DIRECTION('center_axis',(0.,0.,-1.)); #381159=DIRECTION('ref_axis',(1.,0.,0.)); #381160=DIRECTION('',(0.997176177699676,0.075097740500386,0.)); #381161=DIRECTION('center_axis',(0.,0.,-1.)); #381162=DIRECTION('ref_axis',(1.,0.,0.)); #381163=DIRECTION('center_axis',(0.,0.,-1.)); #381164=DIRECTION('ref_axis',(1.,0.,0.)); #381165=DIRECTION('center_axis',(0.,0.,1.)); #381166=DIRECTION('ref_axis',(1.,0.,0.)); #381167=DIRECTION('center_axis',(0.,0.,1.)); #381168=DIRECTION('ref_axis',(1.,0.,0.)); #381169=DIRECTION('',(0.,0.,-1.)); #381170=DIRECTION('center_axis',(0.,0.,-1.)); #381171=DIRECTION('ref_axis',(1.,0.,0.)); #381172=DIRECTION('center_axis',(0.,0.,1.)); #381173=DIRECTION('ref_axis',(1.,0.,0.)); #381174=DIRECTION('center_axis',(0.,0.,1.)); #381175=DIRECTION('ref_axis',(1.,0.,0.)); #381176=DIRECTION('',(-0.997176177699676,-0.075097740500386,0.)); #381177=DIRECTION('center_axis',(0.702851335632223,-0.71133676975115,0.)); #381178=DIRECTION('ref_axis',(0.,0.,-1.)); #381179=DIRECTION('',(-0.71133676975115,-0.702851335632223,0.)); #381180=DIRECTION('',(0.,0.,1.)); #381181=DIRECTION('',(0.71133676975115,0.702851335632223,0.)); #381182=DIRECTION('',(0.,0.,-1.)); #381183=DIRECTION('center_axis',(0.,0.,-1.)); #381184=DIRECTION('ref_axis',(1.,0.,0.)); #381185=DIRECTION('center_axis',(0.,0.,-1.)); #381186=DIRECTION('ref_axis',(1.,0.,0.)); #381187=DIRECTION('',(-0.563624639889986,0.826031031686391,0.)); #381188=DIRECTION('center_axis',(0.,0.,-1.)); #381189=DIRECTION('ref_axis',(1.,0.,0.)); #381190=DIRECTION('center_axis',(0.,0.,-1.)); #381191=DIRECTION('ref_axis',(1.,0.,0.)); #381192=DIRECTION('center_axis',(0.,0.,1.)); #381193=DIRECTION('ref_axis',(1.,0.,0.)); #381194=DIRECTION('center_axis',(0.,0.,1.)); #381195=DIRECTION('ref_axis',(1.,0.,0.)); #381196=DIRECTION('',(0.,0.,-1.)); #381197=DIRECTION('center_axis',(0.,0.,-1.)); #381198=DIRECTION('ref_axis',(1.,0.,0.)); #381199=DIRECTION('center_axis',(0.,0.,1.)); #381200=DIRECTION('ref_axis',(1.,0.,0.)); #381201=DIRECTION('center_axis',(0.,0.,1.)); #381202=DIRECTION('ref_axis',(1.,0.,0.)); #381203=DIRECTION('',(0.563624639889986,-0.826031031686391,0.)); #381204=DIRECTION('center_axis',(0.,0.,-1.)); #381205=DIRECTION('ref_axis',(1.,0.,0.)); #381206=DIRECTION('center_axis',(0.,0.,-1.)); #381207=DIRECTION('ref_axis',(1.,0.,0.)); #381208=DIRECTION('',(0.964355496616903,-0.264610045434344,0.)); #381209=DIRECTION('center_axis',(0.,0.,-1.)); #381210=DIRECTION('ref_axis',(1.,0.,0.)); #381211=DIRECTION('',(-0.433551537809688,-0.90112877218678,0.)); #381212=DIRECTION('center_axis',(0.,0.,-1.)); #381213=DIRECTION('ref_axis',(1.,0.,0.)); #381214=DIRECTION('center_axis',(0.,0.,1.)); #381215=DIRECTION('ref_axis',(1.,0.,0.)); #381216=DIRECTION('center_axis',(0.,0.,1.)); #381217=DIRECTION('ref_axis',(1.,0.,0.)); #381218=DIRECTION('',(0.,0.,1.)); #381219=DIRECTION('center_axis',(0.264610045434344,0.964355496616903,0.)); #381220=DIRECTION('ref_axis',(0.,0.,1.)); #381221=DIRECTION('',(-0.964355496616903,0.264610045434344,0.)); #381222=DIRECTION('',(0.,0.,-1.)); #381223=DIRECTION('center_axis',(0.,0.,-1.)); #381224=DIRECTION('ref_axis',(1.,0.,0.)); #381225=DIRECTION('center_axis',(0.,0.,1.)); #381226=DIRECTION('ref_axis',(1.,0.,0.)); #381227=DIRECTION('',(0.433551537809688,0.90112877218678,0.)); #381228=DIRECTION('center_axis',(0.,0.,1.)); #381229=DIRECTION('ref_axis',(1.,0.,0.)); #381230=DIRECTION('center_axis',(0.,0.,1.)); #381231=DIRECTION('ref_axis',(1.,0.,0.)); #381232=DIRECTION('center_axis',(0.,0.,-1.)); #381233=DIRECTION('ref_axis',(1.,0.,0.)); #381234=DIRECTION('',(0.,0.,1.)); #381235=DIRECTION('center_axis',(0.,0.,1.)); #381236=DIRECTION('ref_axis',(1.,0.,0.)); #381237=DIRECTION('',(0.,0.,1.)); #381238=DIRECTION('center_axis',(-0.075097740500386,0.997176177699676,0.)); #381239=DIRECTION('ref_axis',(0.,0.,1.)); #381240=DIRECTION('',(0.,0.,1.)); #381241=DIRECTION('',(0.,0.,1.)); #381242=DIRECTION('center_axis',(0.,0.,1.)); #381243=DIRECTION('ref_axis',(1.,0.,0.)); #381244=DIRECTION('center_axis',(0.,0.,1.)); #381245=DIRECTION('ref_axis',(1.,0.,0.)); #381246=DIRECTION('',(0.,0.,1.)); #381247=DIRECTION('center_axis',(0.,0.,-1.)); #381248=DIRECTION('ref_axis',(1.,0.,0.)); #381249=DIRECTION('',(0.,0.,1.)); #381250=DIRECTION('center_axis',(0.90112877218678,-0.433551537809688,0.)); #381251=DIRECTION('ref_axis',(0.,0.,-1.)); #381252=DIRECTION('',(0.,0.,1.)); #381253=DIRECTION('',(0.,0.,-1.)); #381254=DIRECTION('',(0.,0.,1.)); #381255=DIRECTION('center_axis',(0.,0.,1.)); #381256=DIRECTION('ref_axis',(1.,0.,0.)); #381257=DIRECTION('center_axis',(0.,0.,1.)); #381258=DIRECTION('ref_axis',(1.,0.,0.)); #381259=DIRECTION('center_axis',(0.,0.,-1.)); #381260=DIRECTION('ref_axis',(1.,0.,0.)); #381261=DIRECTION('',(0.,0.,1.)); #381262=DIRECTION('center_axis',(0.,0.,1.)); #381263=DIRECTION('ref_axis',(1.,0.,0.)); #381264=DIRECTION('',(0.,0.,1.)); #381265=DIRECTION('center_axis',(-0.826031031686391,-0.563624639889986, 0.)); #381266=DIRECTION('ref_axis',(0.,0.,1.)); #381267=DIRECTION('',(0.,0.,1.)); #381268=DIRECTION('',(0.,0.,1.)); #381269=DIRECTION('center_axis',(0.,0.,1.)); #381270=DIRECTION('ref_axis',(1.,0.,0.)); #381271=DIRECTION('center_axis',(0.,0.,-1.)); #381272=DIRECTION('ref_axis',(-1.,0.,0.)); #381273=DIRECTION('center_axis',(0.,0.,1.)); #381274=DIRECTION('ref_axis',(1.,0.,0.)); #381275=DIRECTION('',(0.,0.,-1.)); #381276=DIRECTION('center_axis',(0.,0.,-1.)); #381277=DIRECTION('ref_axis',(-1.,0.,0.)); #381278=DIRECTION('',(0.,0.,1.)); #381279=DIRECTION('center_axis',(0.,0.,1.)); #381280=DIRECTION('ref_axis',(-1.,0.,0.)); #381281=DIRECTION('center_axis',(0.,0.,-1.)); #381282=DIRECTION('ref_axis',(-1.,0.,0.)); #381283=DIRECTION('center_axis',(0.,0.,-1.)); #381284=DIRECTION('ref_axis',(-1.,0.,0.)); #381285=DIRECTION('center_axis',(0.,0.,-1.)); #381286=DIRECTION('ref_axis',(1.,0.,0.)); #381287=DIRECTION('center_axis',(0.,0.,1.)); #381288=DIRECTION('ref_axis',(1.,0.,0.)); #381289=DIRECTION('center_axis',(0.,0.,-1.)); #381290=DIRECTION('ref_axis',(1.,0.,0.)); #381291=DIRECTION('center_axis',(0.,0.,-1.)); #381292=DIRECTION('ref_axis',(1.,0.,0.)); #381293=DIRECTION('center_axis',(0.,0.,-1.)); #381294=DIRECTION('ref_axis',(1.,0.,0.)); #381295=DIRECTION('center_axis',(0.,0.,-1.)); #381296=DIRECTION('ref_axis',(1.,0.,0.)); #381297=DIRECTION('center_axis',(0.,0.,-1.)); #381298=DIRECTION('ref_axis',(1.,0.,0.)); #381299=DIRECTION('center_axis',(0.,0.,1.)); #381300=DIRECTION('ref_axis',(1.,0.,0.)); #381301=DIRECTION('center_axis',(0.,0.,1.)); #381302=DIRECTION('ref_axis',(1.,0.,0.)); #381303=DIRECTION('center_axis',(0.,0.,1.)); #381304=DIRECTION('ref_axis',(1.,0.,0.)); #381305=DIRECTION('center_axis',(0.,0.,1.)); #381306=DIRECTION('ref_axis',(1.,0.,0.)); #381307=DIRECTION('center_axis',(0.,0.,1.)); #381308=DIRECTION('ref_axis',(1.,0.,0.)); #381309=DIRECTION('center_axis',(0.,0.,1.)); #381310=DIRECTION('ref_axis',(1.,0.,0.)); #381311=DIRECTION('center_axis',(0.,0.,1.)); #381312=DIRECTION('ref_axis',(1.,0.,0.)); #381313=DIRECTION('center_axis',(0.,0.,1.)); #381314=DIRECTION('ref_axis',(1.,0.,0.)); #381315=DIRECTION('center_axis',(0.,0.,1.)); #381316=DIRECTION('ref_axis',(-1.,0.,0.)); #381317=DIRECTION('center_axis',(0.,0.,1.)); #381318=DIRECTION('ref_axis',(1.,0.,0.)); #381319=DIRECTION('center_axis',(0.,0.,1.)); #381320=DIRECTION('ref_axis',(1.,0.,0.)); #381321=DIRECTION('',(0.,0.,-1.)); #381322=DIRECTION('center_axis',(0.,0.,1.)); #381323=DIRECTION('ref_axis',(1.,0.,0.)); #381324=DIRECTION('',(0.,0.,-1.)); #381325=DIRECTION('',(0.,0.,1.)); #381326=DIRECTION('center_axis',(0.,0.,1.)); #381327=DIRECTION('ref_axis',(1.,0.,0.)); #381328=DIRECTION('center_axis',(0.,0.,1.)); #381329=DIRECTION('ref_axis',(1.,0.,0.)); #381330=DIRECTION('center_axis',(0.,0.,1.)); #381331=DIRECTION('ref_axis',(-1.,0.,0.)); #381332=DIRECTION('',(0.,0.,-1.)); #381333=DIRECTION('center_axis',(0.,0.,-1.)); #381334=DIRECTION('ref_axis',(-1.,0.,0.)); #381335=DIRECTION('center_axis',(0.,0.,1.)); #381336=DIRECTION('ref_axis',(1.,0.,0.)); #381337=DIRECTION('',(0.,0.,-1.)); #381338=DIRECTION('center_axis',(0.,0.,-1.)); #381339=DIRECTION('ref_axis',(-1.,0.,0.)); #381340=DIRECTION('',(0.,0.,1.)); #381341=DIRECTION('center_axis',(0.,0.,1.)); #381342=DIRECTION('ref_axis',(-1.,0.,0.)); #381343=DIRECTION('center_axis',(0.,0.,1.)); #381344=DIRECTION('ref_axis',(1.,0.,0.)); #381345=DIRECTION('center_axis',(0.,0.,1.)); #381346=DIRECTION('ref_axis',(-1.,0.,0.)); #381347=DIRECTION('',(0.,0.,-1.)); #381348=DIRECTION('',(0.,0.,-1.)); #381349=DIRECTION('center_axis',(0.,0.,1.)); #381350=DIRECTION('ref_axis',(1.,0.,0.)); #381351=DIRECTION('',(0.,0.,1.)); #381352=DIRECTION('center_axis',(0.,0.,1.)); #381353=DIRECTION('ref_axis',(1.,0.,0.)); #381354=DIRECTION('',(0.,0.,-1.)); #381355=DIRECTION('',(0.,0.,-1.)); #381356=DIRECTION('center_axis',(0.,0.,1.)); #381357=DIRECTION('ref_axis',(1.,0.,0.)); #381358=DIRECTION('',(0.,0.,1.)); #381359=DIRECTION('center_axis',(0.,0.,1.)); #381360=DIRECTION('ref_axis',(1.,0.,0.)); #381361=DIRECTION('',(0.,0.,-1.)); #381362=DIRECTION('center_axis',(0.,0.,-1.)); #381363=DIRECTION('ref_axis',(1.,0.,0.)); #381364=DIRECTION('',(0.,0.,-1.)); #381365=DIRECTION('',(0.,0.,-1.)); #381366=DIRECTION('center_axis',(-0.715609372628354,0.698500698501049,0.)); #381367=DIRECTION('ref_axis',(0.,0.,1.)); #381368=DIRECTION('center_axis',(0.0750977405003938,-0.997176177699676, 0.)); #381369=DIRECTION('ref_axis',(0.,0.,-1.)); #381370=DIRECTION('center_axis',(-0.247114663148906,-0.968986245132924, 0.)); #381371=DIRECTION('ref_axis',(0.,0.,-1.)); #381372=DIRECTION('center_axis',(0.82603103168639,0.563624639889988,0.)); #381373=DIRECTION('ref_axis',(0.,0.,-1.)); #381374=DIRECTION('center_axis',(0.962724035777261,0.270485546631875,0.)); #381375=DIRECTION('ref_axis',(0.,0.,-1.)); #381376=DIRECTION('center_axis',(-0.901128772186782,0.433551537809684,0.)); #381377=DIRECTION('ref_axis',(0.,0.,1.)); #381378=DIRECTION('center_axis',(0.,0.,-1.)); #381379=DIRECTION('ref_axis',(-1.,0.,0.)); #381380=DIRECTION('center_axis',(0.,0.,1.)); #381381=DIRECTION('ref_axis',(1.,0.,0.)); #381382=DIRECTION('center_axis',(0.247114663148907,0.968986245132924,0.)); #381383=DIRECTION('ref_axis',(0.,0.,1.)); #381384=DIRECTION('center_axis',(0.,0.,-1.)); #381385=DIRECTION('ref_axis',(-1.,0.,0.)); #381386=DIRECTION('',(-0.707106781186551,8.65956056235497E-17,0.707106781186544)); #381387=DIRECTION('center_axis',(0.,0.,1.)); #381388=DIRECTION('ref_axis',(1.,0.,0.)); #381389=DIRECTION('center_axis',(-0.962724035777262,-0.270485546631868, 0.)); #381390=DIRECTION('ref_axis',(0.,0.,1.)); #381391=DIRECTION('center_axis',(0.,0.,1.)); #381392=DIRECTION('ref_axis',(-1.,0.,0.)); #381393=DIRECTION('center_axis',(0.715609372628354,-0.698500698501049,0.)); #381394=DIRECTION('ref_axis',(0.,0.,-1.)); #381395=DIRECTION('center_axis',(0.,0.,-1.)); #381396=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #381397=DIRECTION('',(0.,0.,-1.)); #381398=DIRECTION('center_axis',(0.,0.,-1.)); #381399=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #381400=DIRECTION('',(0.,0.,-1.)); #381401=DIRECTION('center_axis',(3.94745964311164E-16,1.,0.)); #381402=DIRECTION('ref_axis',(1.,-3.94745964311164E-16,0.)); #381403=DIRECTION('',(0.,0.,-1.)); #381404=DIRECTION('',(-1.,3.94745964311164E-16,0.)); #381405=DIRECTION('center_axis',(0.,0.,-1.)); #381406=DIRECTION('ref_axis',(-7.52693575256647E-16,-1.,0.)); #381407=DIRECTION('',(0.,0.,-1.)); #381408=DIRECTION('center_axis',(0.,0.,-1.)); #381409=DIRECTION('ref_axis',(-7.52693575256647E-16,-1.,0.)); #381410=DIRECTION('center_axis',(0.866025403784438,-0.500000000000001,0.)); #381411=DIRECTION('ref_axis',(-0.500000000000001,-0.866025403784438,0.)); #381412=DIRECTION('',(0.,0.,-1.)); #381413=DIRECTION('',(0.500000000000001,0.866025403784438,0.)); #381414=DIRECTION('center_axis',(0.,0.,-1.)); #381415=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #381416=DIRECTION('',(0.,0.,-1.)); #381417=DIRECTION('center_axis',(0.,0.,-1.)); #381418=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #381419=DIRECTION('center_axis',(-0.866025403784441,-0.499999999999997, 0.)); #381420=DIRECTION('ref_axis',(-0.499999999999997,0.866025403784441,0.)); #381421=DIRECTION('',(0.,0.,1.)); #381422=DIRECTION('',(0.499999999999997,-0.866025403784441,0.)); #381423=DIRECTION('center_axis',(-0.258819052299235,-0.965925824360715, 0.)); #381424=DIRECTION('ref_axis',(-0.965925824360715,0.258819052299235,0.)); #381425=DIRECTION('',(0.965925824360715,-0.258819052299235,0.)); #381426=DIRECTION('center_axis',(-0.965925828217422,0.258819037905808,0.)); #381427=DIRECTION('ref_axis',(0.258819037905808,0.965925828217422,0.)); #381428=DIRECTION('',(0.,0.,-1.)); #381429=DIRECTION('',(-0.258819037905808,-0.965925828217422,0.)); #381430=DIRECTION('center_axis',(-0.866025403784449,-0.499999999999983, 0.)); #381431=DIRECTION('ref_axis',(-0.499999999999983,0.866025403784449,0.)); #381432=DIRECTION('',(0.499999999999983,-0.866025403784449,0.)); #381433=DIRECTION('center_axis',(0.,0.,-1.)); #381434=DIRECTION('ref_axis',(-1.,0.,0.)); #381435=DIRECTION('',(0.,0.,-1.)); #381436=DIRECTION('',(1.,0.,0.)); #381437=DIRECTION('',(0.,0.,1.)); #381438=DIRECTION('',(-0.5,0.866025403784439,0.)); #381439=DIRECTION('axis',(0.,0.,1.)); #381440=DIRECTION('refdir',(1.,0.,0.)); #381441=DIRECTION('axis',(0.,0.,1.)); #381442=DIRECTION('refdir',(1.,0.,0.)); #381443=DIRECTION('axis',(0.,0.,1.)); #381444=DIRECTION('refdir',(1.,0.,0.)); #381445=DIRECTION('center_axis',(0.,0.,-1.)); #381446=DIRECTION('ref_axis',(1.,0.,0.)); #381447=DIRECTION('center_axis',(0.,0.,-1.)); #381448=DIRECTION('ref_axis',(1.,0.,0.)); #381449=DIRECTION('',(0.,0.,-1.)); #381450=DIRECTION('center_axis',(0.,0.,1.)); #381451=DIRECTION('ref_axis',(1.,0.,0.)); #381452=DIRECTION('center_axis',(0.,0.,-1.)); #381453=DIRECTION('ref_axis',(1.,0.,0.)); #381454=DIRECTION('center_axis',(0.,0.,-1.)); #381455=DIRECTION('ref_axis',(1.,0.,0.)); #381456=DIRECTION('',(0.,0.,-1.)); #381457=DIRECTION('center_axis',(0.,0.,1.)); #381458=DIRECTION('ref_axis',(1.,0.,0.)); #381459=DIRECTION('center_axis',(0.,0.,1.)); #381460=DIRECTION('ref_axis',(1.,0.,0.)); #381461=DIRECTION('center_axis',(0.,0.,1.)); #381462=DIRECTION('ref_axis',(1.,0.,0.)); #381463=DIRECTION('',(0.,0.,1.)); #381464=DIRECTION('center_axis',(0.,0.,-1.)); #381465=DIRECTION('ref_axis',(1.,0.,0.)); #381466=DIRECTION('center_axis',(0.,0.,1.)); #381467=DIRECTION('ref_axis',(1.,0.,0.)); #381468=DIRECTION('center_axis',(0.,0.,1.)); #381469=DIRECTION('ref_axis',(1.,0.,0.)); #381470=DIRECTION('',(0.,0.,1.)); #381471=DIRECTION('center_axis',(0.,0.,-1.)); #381472=DIRECTION('ref_axis',(1.,0.,0.)); #381473=DIRECTION('center_axis',(0.,0.,1.)); #381474=DIRECTION('ref_axis',(1.,0.,0.)); #381475=DIRECTION('center_axis',(0.,0.,1.)); #381476=DIRECTION('ref_axis',(1.,0.,0.)); #381477=DIRECTION('',(0.,0.,1.)); #381478=DIRECTION('center_axis',(0.,0.,-1.)); #381479=DIRECTION('ref_axis',(1.,0.,0.)); #381480=DIRECTION('center_axis',(0.,0.,1.)); #381481=DIRECTION('ref_axis',(1.,0.,0.)); #381482=DIRECTION('center_axis',(0.,0.,1.)); #381483=DIRECTION('ref_axis',(1.,0.,0.)); #381484=DIRECTION('',(0.,0.,1.)); #381485=DIRECTION('center_axis',(0.,0.,-1.)); #381486=DIRECTION('ref_axis',(1.,0.,0.)); #381487=DIRECTION('center_axis',(0.,0.,1.)); #381488=DIRECTION('ref_axis',(1.,0.,0.)); #381489=DIRECTION('center_axis',(0.,0.,1.)); #381490=DIRECTION('ref_axis',(1.,0.,0.)); #381491=DIRECTION('',(0.,0.,1.)); #381492=DIRECTION('center_axis',(0.,0.,-1.)); #381493=DIRECTION('ref_axis',(1.,0.,0.)); #381494=DIRECTION('center_axis',(0.,0.,1.)); #381495=DIRECTION('ref_axis',(1.,0.,0.)); #381496=DIRECTION('center_axis',(0.,0.,1.)); #381497=DIRECTION('ref_axis',(1.,0.,0.)); #381498=DIRECTION('',(0.,0.,1.)); #381499=DIRECTION('center_axis',(0.,0.,-1.)); #381500=DIRECTION('ref_axis',(1.,0.,0.)); #381501=DIRECTION('center_axis',(0.,0.,1.)); #381502=DIRECTION('ref_axis',(1.,0.,0.)); #381503=DIRECTION('center_axis',(0.,0.,1.)); #381504=DIRECTION('ref_axis',(1.,0.,0.)); #381505=DIRECTION('',(0.,0.,1.)); #381506=DIRECTION('center_axis',(0.,0.,-1.)); #381507=DIRECTION('ref_axis',(1.,0.,0.)); #381508=DIRECTION('center_axis',(0.,0.,1.)); #381509=DIRECTION('ref_axis',(1.,0.,0.)); #381510=DIRECTION('center_axis',(0.,0.,1.)); #381511=DIRECTION('ref_axis',(1.,0.,0.)); #381512=DIRECTION('',(0.,0.,1.)); #381513=DIRECTION('center_axis',(0.,0.,-1.)); #381514=DIRECTION('ref_axis',(1.,0.,0.)); #381515=DIRECTION('center_axis',(0.,0.,1.)); #381516=DIRECTION('ref_axis',(1.,0.,0.)); #381517=DIRECTION('center_axis',(0.,0.,1.)); #381518=DIRECTION('ref_axis',(1.,0.,0.)); #381519=DIRECTION('',(0.,0.,1.)); #381520=DIRECTION('center_axis',(0.,0.,-1.)); #381521=DIRECTION('ref_axis',(1.,0.,0.)); #381522=DIRECTION('center_axis',(0.,0.,1.)); #381523=DIRECTION('ref_axis',(1.,0.,0.)); #381524=DIRECTION('center_axis',(0.,0.,1.)); #381525=DIRECTION('ref_axis',(1.,0.,0.)); #381526=DIRECTION('',(0.,0.,1.)); #381527=DIRECTION('center_axis',(0.,0.,-1.)); #381528=DIRECTION('ref_axis',(1.,0.,0.)); #381529=DIRECTION('center_axis',(0.,0.,1.)); #381530=DIRECTION('ref_axis',(1.,0.,0.)); #381531=DIRECTION('center_axis',(0.,0.,1.)); #381532=DIRECTION('ref_axis',(1.,0.,0.)); #381533=DIRECTION('',(0.,0.,1.)); #381534=DIRECTION('center_axis',(0.,0.,-1.)); #381535=DIRECTION('ref_axis',(1.,0.,0.)); #381536=DIRECTION('center_axis',(0.,0.,1.)); #381537=DIRECTION('ref_axis',(1.,0.,0.)); #381538=DIRECTION('center_axis',(0.,0.,1.)); #381539=DIRECTION('ref_axis',(1.,0.,0.)); #381540=DIRECTION('',(0.,0.,1.)); #381541=DIRECTION('center_axis',(0.,0.,-1.)); #381542=DIRECTION('ref_axis',(1.,0.,0.)); #381543=DIRECTION('center_axis',(0.,0.,1.)); #381544=DIRECTION('ref_axis',(1.,0.,0.)); #381545=DIRECTION('center_axis',(0.,0.,1.)); #381546=DIRECTION('ref_axis',(1.,0.,0.)); #381547=DIRECTION('',(0.,0.,1.)); #381548=DIRECTION('center_axis',(0.,0.,-1.)); #381549=DIRECTION('ref_axis',(1.,0.,0.)); #381550=DIRECTION('center_axis',(0.,0.,1.)); #381551=DIRECTION('ref_axis',(1.,0.,0.)); #381552=DIRECTION('center_axis',(0.,0.,1.)); #381553=DIRECTION('ref_axis',(1.,0.,0.)); #381554=DIRECTION('',(0.,0.,1.)); #381555=DIRECTION('center_axis',(0.,0.,-1.)); #381556=DIRECTION('ref_axis',(1.,0.,0.)); #381557=DIRECTION('center_axis',(0.,0.,1.)); #381558=DIRECTION('ref_axis',(1.,0.,0.)); #381559=DIRECTION('center_axis',(0.,0.,1.)); #381560=DIRECTION('ref_axis',(1.,0.,0.)); #381561=DIRECTION('',(0.,0.,1.)); #381562=DIRECTION('center_axis',(0.,0.,-1.)); #381563=DIRECTION('ref_axis',(1.,0.,0.)); #381564=DIRECTION('center_axis',(0.,0.,1.)); #381565=DIRECTION('ref_axis',(1.,0.,0.)); #381566=DIRECTION('center_axis',(0.,0.,1.)); #381567=DIRECTION('ref_axis',(1.,0.,0.)); #381568=DIRECTION('',(0.,0.,1.)); #381569=DIRECTION('center_axis',(0.,0.,-1.)); #381570=DIRECTION('ref_axis',(1.,0.,0.)); #381571=DIRECTION('center_axis',(0.,0.,1.)); #381572=DIRECTION('ref_axis',(1.,0.,0.)); #381573=DIRECTION('center_axis',(0.,0.,1.)); #381574=DIRECTION('ref_axis',(1.,0.,0.)); #381575=DIRECTION('',(0.,0.,1.)); #381576=DIRECTION('center_axis',(0.,0.,-1.)); #381577=DIRECTION('ref_axis',(1.,0.,0.)); #381578=DIRECTION('center_axis',(0.,0.,1.)); #381579=DIRECTION('ref_axis',(1.,0.,0.)); #381580=DIRECTION('center_axis',(0.,0.,1.)); #381581=DIRECTION('ref_axis',(1.,0.,0.)); #381582=DIRECTION('',(0.,0.,1.)); #381583=DIRECTION('center_axis',(0.,0.,-1.)); #381584=DIRECTION('ref_axis',(1.,0.,0.)); #381585=DIRECTION('center_axis',(0.,0.,1.)); #381586=DIRECTION('ref_axis',(1.,0.,0.)); #381587=DIRECTION('center_axis',(0.,0.,1.)); #381588=DIRECTION('ref_axis',(1.,0.,0.)); #381589=DIRECTION('',(0.,0.,1.)); #381590=DIRECTION('center_axis',(0.,0.,-1.)); #381591=DIRECTION('ref_axis',(1.,0.,0.)); #381592=DIRECTION('center_axis',(0.,0.,1.)); #381593=DIRECTION('ref_axis',(1.,0.,0.)); #381594=DIRECTION('center_axis',(0.,0.,1.)); #381595=DIRECTION('ref_axis',(1.,0.,0.)); #381596=DIRECTION('',(0.,0.,1.)); #381597=DIRECTION('center_axis',(0.,0.,-1.)); #381598=DIRECTION('ref_axis',(1.,0.,0.)); #381599=DIRECTION('center_axis',(0.,0.,1.)); #381600=DIRECTION('ref_axis',(1.,0.,0.)); #381601=DIRECTION('center_axis',(0.,0.,1.)); #381602=DIRECTION('ref_axis',(1.,0.,0.)); #381603=DIRECTION('',(0.,0.,1.)); #381604=DIRECTION('center_axis',(0.,0.,-1.)); #381605=DIRECTION('ref_axis',(1.,0.,0.)); #381606=DIRECTION('center_axis',(0.,0.,1.)); #381607=DIRECTION('ref_axis',(1.,0.,0.)); #381608=DIRECTION('center_axis',(0.,0.,1.)); #381609=DIRECTION('ref_axis',(1.,0.,0.)); #381610=DIRECTION('',(0.,0.,1.)); #381611=DIRECTION('center_axis',(0.,0.,-1.)); #381612=DIRECTION('ref_axis',(1.,0.,0.)); #381613=DIRECTION('center_axis',(0.,0.,1.)); #381614=DIRECTION('ref_axis',(1.,0.,0.)); #381615=DIRECTION('center_axis',(0.,0.,1.)); #381616=DIRECTION('ref_axis',(1.,0.,0.)); #381617=DIRECTION('',(0.,0.,1.)); #381618=DIRECTION('center_axis',(0.,0.,-1.)); #381619=DIRECTION('ref_axis',(1.,0.,0.)); #381620=DIRECTION('center_axis',(0.,0.,1.)); #381621=DIRECTION('ref_axis',(1.,0.,0.)); #381622=DIRECTION('center_axis',(0.,0.,1.)); #381623=DIRECTION('ref_axis',(1.,0.,0.)); #381624=DIRECTION('',(0.,0.,1.)); #381625=DIRECTION('center_axis',(0.,0.,-1.)); #381626=DIRECTION('ref_axis',(1.,0.,0.)); #381627=DIRECTION('center_axis',(0.,0.,1.)); #381628=DIRECTION('ref_axis',(1.,0.,0.)); #381629=DIRECTION('center_axis',(0.,0.,1.)); #381630=DIRECTION('ref_axis',(1.,0.,0.)); #381631=DIRECTION('',(0.,0.,1.)); #381632=DIRECTION('center_axis',(0.,0.,-1.)); #381633=DIRECTION('ref_axis',(1.,0.,0.)); #381634=DIRECTION('center_axis',(0.,0.,1.)); #381635=DIRECTION('ref_axis',(1.,0.,0.)); #381636=DIRECTION('center_axis',(0.,0.,1.)); #381637=DIRECTION('ref_axis',(1.,0.,0.)); #381638=DIRECTION('',(0.,0.,1.)); #381639=DIRECTION('center_axis',(0.,0.,-1.)); #381640=DIRECTION('ref_axis',(1.,0.,0.)); #381641=DIRECTION('center_axis',(0.,0.,1.)); #381642=DIRECTION('ref_axis',(1.,0.,0.)); #381643=DIRECTION('center_axis',(0.,0.,1.)); #381644=DIRECTION('ref_axis',(1.,0.,0.)); #381645=DIRECTION('',(0.,0.,1.)); #381646=DIRECTION('center_axis',(0.,0.,-1.)); #381647=DIRECTION('ref_axis',(1.,0.,0.)); #381648=DIRECTION('center_axis',(0.,0.,1.)); #381649=DIRECTION('ref_axis',(1.,0.,0.)); #381650=DIRECTION('center_axis',(0.,0.,1.)); #381651=DIRECTION('ref_axis',(1.,0.,0.)); #381652=DIRECTION('',(0.,0.,1.)); #381653=DIRECTION('center_axis',(0.,0.,-1.)); #381654=DIRECTION('ref_axis',(1.,0.,0.)); #381655=DIRECTION('center_axis',(0.,0.,1.)); #381656=DIRECTION('ref_axis',(1.,0.,0.)); #381657=DIRECTION('center_axis',(0.,0.,1.)); #381658=DIRECTION('ref_axis',(1.,0.,0.)); #381659=DIRECTION('',(0.,0.,1.)); #381660=DIRECTION('center_axis',(0.,0.,-1.)); #381661=DIRECTION('ref_axis',(1.,0.,0.)); #381662=DIRECTION('center_axis',(0.,0.,1.)); #381663=DIRECTION('ref_axis',(1.,0.,0.)); #381664=DIRECTION('center_axis',(0.,0.,1.)); #381665=DIRECTION('ref_axis',(1.,0.,0.)); #381666=DIRECTION('',(0.,0.,1.)); #381667=DIRECTION('center_axis',(0.,0.,-1.)); #381668=DIRECTION('ref_axis',(1.,0.,0.)); #381669=DIRECTION('center_axis',(0.,0.,1.)); #381670=DIRECTION('ref_axis',(1.,0.,0.)); #381671=DIRECTION('center_axis',(0.,0.,1.)); #381672=DIRECTION('ref_axis',(1.,0.,0.)); #381673=DIRECTION('',(0.,0.,1.)); #381674=DIRECTION('center_axis',(0.,0.,-1.)); #381675=DIRECTION('ref_axis',(1.,0.,0.)); #381676=DIRECTION('center_axis',(0.,0.,1.)); #381677=DIRECTION('ref_axis',(1.,0.,0.)); #381678=DIRECTION('center_axis',(0.,0.,1.)); #381679=DIRECTION('ref_axis',(1.,0.,0.)); #381680=DIRECTION('',(0.,0.,1.)); #381681=DIRECTION('center_axis',(0.,0.,-1.)); #381682=DIRECTION('ref_axis',(1.,0.,0.)); #381683=DIRECTION('center_axis',(0.,0.,1.)); #381684=DIRECTION('ref_axis',(1.,0.,0.)); #381685=DIRECTION('center_axis',(0.,0.,1.)); #381686=DIRECTION('ref_axis',(1.,0.,0.)); #381687=DIRECTION('',(0.,0.,1.)); #381688=DIRECTION('center_axis',(0.,0.,-1.)); #381689=DIRECTION('ref_axis',(1.,0.,0.)); #381690=DIRECTION('center_axis',(0.,0.,1.)); #381691=DIRECTION('ref_axis',(1.,0.,0.)); #381692=DIRECTION('center_axis',(0.,0.,1.)); #381693=DIRECTION('ref_axis',(1.,0.,0.)); #381694=DIRECTION('',(0.,0.,1.)); #381695=DIRECTION('center_axis',(0.,0.,-1.)); #381696=DIRECTION('ref_axis',(1.,0.,0.)); #381697=DIRECTION('center_axis',(0.,0.,1.)); #381698=DIRECTION('ref_axis',(1.,0.,0.)); #381699=DIRECTION('center_axis',(0.,0.,1.)); #381700=DIRECTION('ref_axis',(1.,0.,0.)); #381701=DIRECTION('',(0.,0.,1.)); #381702=DIRECTION('center_axis',(0.,0.,-1.)); #381703=DIRECTION('ref_axis',(1.,0.,0.)); #381704=DIRECTION('center_axis',(0.,0.,1.)); #381705=DIRECTION('ref_axis',(1.,0.,0.)); #381706=DIRECTION('center_axis',(0.,0.,1.)); #381707=DIRECTION('ref_axis',(1.,0.,0.)); #381708=DIRECTION('',(0.,0.,1.)); #381709=DIRECTION('center_axis',(0.,0.,-1.)); #381710=DIRECTION('ref_axis',(1.,0.,0.)); #381711=DIRECTION('center_axis',(0.,0.,1.)); #381712=DIRECTION('ref_axis',(1.,0.,0.)); #381713=DIRECTION('center_axis',(0.,0.,1.)); #381714=DIRECTION('ref_axis',(1.,0.,0.)); #381715=DIRECTION('',(0.,0.,1.)); #381716=DIRECTION('center_axis',(0.,0.,-1.)); #381717=DIRECTION('ref_axis',(1.,0.,0.)); #381718=DIRECTION('center_axis',(0.,0.,1.)); #381719=DIRECTION('ref_axis',(1.,0.,0.)); #381720=DIRECTION('center_axis',(0.,0.,1.)); #381721=DIRECTION('ref_axis',(1.,0.,0.)); #381722=DIRECTION('',(0.,0.,1.)); #381723=DIRECTION('center_axis',(0.,0.,-1.)); #381724=DIRECTION('ref_axis',(1.,0.,0.)); #381725=DIRECTION('center_axis',(0.,0.,1.)); #381726=DIRECTION('ref_axis',(1.,0.,0.)); #381727=DIRECTION('center_axis',(0.,0.,1.)); #381728=DIRECTION('ref_axis',(1.,0.,0.)); #381729=DIRECTION('',(0.,0.,1.)); #381730=DIRECTION('center_axis',(0.,0.,-1.)); #381731=DIRECTION('ref_axis',(1.,0.,0.)); #381732=DIRECTION('center_axis',(0.,0.,1.)); #381733=DIRECTION('ref_axis',(1.,0.,0.)); #381734=DIRECTION('center_axis',(0.,0.,1.)); #381735=DIRECTION('ref_axis',(1.,0.,0.)); #381736=DIRECTION('',(0.,0.,1.)); #381737=DIRECTION('center_axis',(0.,0.,-1.)); #381738=DIRECTION('ref_axis',(1.,0.,0.)); #381739=DIRECTION('center_axis',(0.,0.,1.)); #381740=DIRECTION('ref_axis',(1.,0.,0.)); #381741=DIRECTION('center_axis',(0.,0.,1.)); #381742=DIRECTION('ref_axis',(1.,0.,0.)); #381743=DIRECTION('',(0.,0.,1.)); #381744=DIRECTION('center_axis',(0.,0.,-1.)); #381745=DIRECTION('ref_axis',(1.,0.,0.)); #381746=DIRECTION('center_axis',(0.,0.,1.)); #381747=DIRECTION('ref_axis',(1.,0.,0.)); #381748=DIRECTION('center_axis',(0.,0.,1.)); #381749=DIRECTION('ref_axis',(1.,0.,0.)); #381750=DIRECTION('',(0.,0.,1.)); #381751=DIRECTION('center_axis',(0.,0.,-1.)); #381752=DIRECTION('ref_axis',(1.,0.,0.)); #381753=DIRECTION('center_axis',(0.,0.,1.)); #381754=DIRECTION('ref_axis',(1.,0.,0.)); #381755=DIRECTION('center_axis',(0.,0.,1.)); #381756=DIRECTION('ref_axis',(1.,0.,0.)); #381757=DIRECTION('',(0.,0.,1.)); #381758=DIRECTION('center_axis',(0.,0.,-1.)); #381759=DIRECTION('ref_axis',(1.,0.,0.)); #381760=DIRECTION('center_axis',(0.,0.,1.)); #381761=DIRECTION('ref_axis',(1.,0.,0.)); #381762=DIRECTION('center_axis',(0.,0.,1.)); #381763=DIRECTION('ref_axis',(1.,0.,0.)); #381764=DIRECTION('',(0.,0.,1.)); #381765=DIRECTION('center_axis',(0.,0.,-1.)); #381766=DIRECTION('ref_axis',(1.,0.,0.)); #381767=DIRECTION('center_axis',(0.,0.,1.)); #381768=DIRECTION('ref_axis',(1.,0.,0.)); #381769=DIRECTION('center_axis',(0.,0.,1.)); #381770=DIRECTION('ref_axis',(1.,0.,0.)); #381771=DIRECTION('',(0.,0.,1.)); #381772=DIRECTION('center_axis',(0.,0.,-1.)); #381773=DIRECTION('ref_axis',(1.,0.,0.)); #381774=DIRECTION('center_axis',(0.,0.,1.)); #381775=DIRECTION('ref_axis',(1.,0.,0.)); #381776=DIRECTION('center_axis',(0.,0.,1.)); #381777=DIRECTION('ref_axis',(1.,0.,0.)); #381778=DIRECTION('',(0.,0.,1.)); #381779=DIRECTION('center_axis',(0.,0.,-1.)); #381780=DIRECTION('ref_axis',(1.,0.,0.)); #381781=DIRECTION('center_axis',(0.,0.,1.)); #381782=DIRECTION('ref_axis',(1.,0.,0.)); #381783=DIRECTION('center_axis',(0.,0.,1.)); #381784=DIRECTION('ref_axis',(1.,0.,0.)); #381785=DIRECTION('',(0.,0.,1.)); #381786=DIRECTION('center_axis',(0.,0.,-1.)); #381787=DIRECTION('ref_axis',(1.,0.,0.)); #381788=DIRECTION('center_axis',(0.,0.,1.)); #381789=DIRECTION('ref_axis',(1.,0.,0.)); #381790=DIRECTION('center_axis',(0.,0.,1.)); #381791=DIRECTION('ref_axis',(1.,0.,0.)); #381792=DIRECTION('',(0.,0.,1.)); #381793=DIRECTION('center_axis',(0.,0.,-1.)); #381794=DIRECTION('ref_axis',(1.,0.,0.)); #381795=DIRECTION('center_axis',(0.,0.,1.)); #381796=DIRECTION('ref_axis',(1.,0.,0.)); #381797=DIRECTION('center_axis',(0.,0.,1.)); #381798=DIRECTION('ref_axis',(1.,0.,0.)); #381799=DIRECTION('',(0.,0.,1.)); #381800=DIRECTION('center_axis',(0.,0.,-1.)); #381801=DIRECTION('ref_axis',(1.,0.,0.)); #381802=DIRECTION('center_axis',(0.,0.,1.)); #381803=DIRECTION('ref_axis',(1.,0.,0.)); #381804=DIRECTION('center_axis',(0.,0.,1.)); #381805=DIRECTION('ref_axis',(1.,0.,0.)); #381806=DIRECTION('',(0.,0.,1.)); #381807=DIRECTION('center_axis',(0.,0.,-1.)); #381808=DIRECTION('ref_axis',(1.,0.,0.)); #381809=DIRECTION('center_axis',(0.,0.,1.)); #381810=DIRECTION('ref_axis',(1.,0.,0.)); #381811=DIRECTION('center_axis',(0.,0.,1.)); #381812=DIRECTION('ref_axis',(1.,0.,0.)); #381813=DIRECTION('',(0.,0.,1.)); #381814=DIRECTION('center_axis',(0.,0.,-1.)); #381815=DIRECTION('ref_axis',(1.,0.,0.)); #381816=DIRECTION('center_axis',(0.,0.,1.)); #381817=DIRECTION('ref_axis',(1.,0.,0.)); #381818=DIRECTION('center_axis',(0.,0.,1.)); #381819=DIRECTION('ref_axis',(1.,0.,0.)); #381820=DIRECTION('',(0.,0.,1.)); #381821=DIRECTION('center_axis',(0.,0.,-1.)); #381822=DIRECTION('ref_axis',(1.,0.,0.)); #381823=DIRECTION('center_axis',(0.,0.,1.)); #381824=DIRECTION('ref_axis',(1.,0.,0.)); #381825=DIRECTION('center_axis',(0.,0.,1.)); #381826=DIRECTION('ref_axis',(1.,0.,0.)); #381827=DIRECTION('',(0.,0.,1.)); #381828=DIRECTION('center_axis',(0.,0.,-1.)); #381829=DIRECTION('ref_axis',(1.,0.,0.)); #381830=DIRECTION('center_axis',(0.,0.,1.)); #381831=DIRECTION('ref_axis',(1.,0.,0.)); #381832=DIRECTION('center_axis',(0.,0.,1.)); #381833=DIRECTION('ref_axis',(1.,0.,0.)); #381834=DIRECTION('',(0.,0.,1.)); #381835=DIRECTION('center_axis',(0.,0.,-1.)); #381836=DIRECTION('ref_axis',(1.,0.,0.)); #381837=DIRECTION('center_axis',(0.,0.,1.)); #381838=DIRECTION('ref_axis',(1.,0.,0.)); #381839=DIRECTION('center_axis',(0.,0.,1.)); #381840=DIRECTION('ref_axis',(1.,0.,0.)); #381841=DIRECTION('',(0.,0.,1.)); #381842=DIRECTION('center_axis',(0.,0.,-1.)); #381843=DIRECTION('ref_axis',(1.,0.,0.)); #381844=DIRECTION('center_axis',(0.,0.,1.)); #381845=DIRECTION('ref_axis',(1.,0.,0.)); #381846=DIRECTION('center_axis',(0.,0.,1.)); #381847=DIRECTION('ref_axis',(1.,0.,0.)); #381848=DIRECTION('',(0.,0.,1.)); #381849=DIRECTION('center_axis',(0.,0.,-1.)); #381850=DIRECTION('ref_axis',(1.,0.,0.)); #381851=DIRECTION('center_axis',(0.,0.,1.)); #381852=DIRECTION('ref_axis',(1.,0.,0.)); #381853=DIRECTION('center_axis',(0.,0.,1.)); #381854=DIRECTION('ref_axis',(1.,0.,0.)); #381855=DIRECTION('',(0.,0.,1.)); #381856=DIRECTION('center_axis',(0.,0.,-1.)); #381857=DIRECTION('ref_axis',(1.,0.,0.)); #381858=DIRECTION('center_axis',(0.,0.,1.)); #381859=DIRECTION('ref_axis',(1.,0.,0.)); #381860=DIRECTION('center_axis',(0.,0.,1.)); #381861=DIRECTION('ref_axis',(1.,0.,0.)); #381862=DIRECTION('',(0.,0.,1.)); #381863=DIRECTION('center_axis',(0.,0.,-1.)); #381864=DIRECTION('ref_axis',(1.,0.,0.)); #381865=DIRECTION('center_axis',(0.,0.,1.)); #381866=DIRECTION('ref_axis',(1.,0.,0.)); #381867=DIRECTION('center_axis',(0.,0.,1.)); #381868=DIRECTION('ref_axis',(1.,0.,0.)); #381869=DIRECTION('',(0.,0.,1.)); #381870=DIRECTION('center_axis',(0.,0.,-1.)); #381871=DIRECTION('ref_axis',(1.,0.,0.)); #381872=DIRECTION('center_axis',(0.,0.,1.)); #381873=DIRECTION('ref_axis',(1.,0.,0.)); #381874=DIRECTION('center_axis',(0.,0.,1.)); #381875=DIRECTION('ref_axis',(1.,0.,0.)); #381876=DIRECTION('',(0.,0.,1.)); #381877=DIRECTION('center_axis',(0.,0.,-1.)); #381878=DIRECTION('ref_axis',(1.,0.,0.)); #381879=DIRECTION('center_axis',(0.,0.,1.)); #381880=DIRECTION('ref_axis',(1.,0.,0.)); #381881=DIRECTION('center_axis',(0.,0.,1.)); #381882=DIRECTION('ref_axis',(1.,0.,0.)); #381883=DIRECTION('',(0.,0.,1.)); #381884=DIRECTION('center_axis',(0.,0.,-1.)); #381885=DIRECTION('ref_axis',(1.,0.,0.)); #381886=DIRECTION('center_axis',(0.,0.,1.)); #381887=DIRECTION('ref_axis',(1.,0.,0.)); #381888=DIRECTION('center_axis',(0.,0.,1.)); #381889=DIRECTION('ref_axis',(1.,0.,0.)); #381890=DIRECTION('',(0.,0.,1.)); #381891=DIRECTION('center_axis',(0.,0.,-1.)); #381892=DIRECTION('ref_axis',(1.,0.,0.)); #381893=DIRECTION('center_axis',(0.,0.,1.)); #381894=DIRECTION('ref_axis',(1.,0.,0.)); #381895=DIRECTION('center_axis',(0.,0.,1.)); #381896=DIRECTION('ref_axis',(1.,0.,0.)); #381897=DIRECTION('',(0.,0.,1.)); #381898=DIRECTION('center_axis',(0.,0.,-1.)); #381899=DIRECTION('ref_axis',(1.,0.,0.)); #381900=DIRECTION('center_axis',(0.,0.,1.)); #381901=DIRECTION('ref_axis',(1.,0.,0.)); #381902=DIRECTION('center_axis',(0.,0.,1.)); #381903=DIRECTION('ref_axis',(1.,0.,0.)); #381904=DIRECTION('',(0.,0.,1.)); #381905=DIRECTION('center_axis',(0.,0.,-1.)); #381906=DIRECTION('ref_axis',(1.,0.,0.)); #381907=DIRECTION('center_axis',(0.,0.,1.)); #381908=DIRECTION('ref_axis',(1.,0.,0.)); #381909=DIRECTION('center_axis',(0.,0.,1.)); #381910=DIRECTION('ref_axis',(1.,0.,0.)); #381911=DIRECTION('',(0.,0.,1.)); #381912=DIRECTION('center_axis',(0.,0.,-1.)); #381913=DIRECTION('ref_axis',(1.,0.,0.)); #381914=DIRECTION('center_axis',(0.,0.,1.)); #381915=DIRECTION('ref_axis',(1.,0.,0.)); #381916=DIRECTION('center_axis',(0.,0.,1.)); #381917=DIRECTION('ref_axis',(1.,0.,0.)); #381918=DIRECTION('',(0.,0.,1.)); #381919=DIRECTION('center_axis',(0.,0.,-1.)); #381920=DIRECTION('ref_axis',(1.,0.,0.)); #381921=DIRECTION('center_axis',(0.,0.,1.)); #381922=DIRECTION('ref_axis',(1.,0.,0.)); #381923=DIRECTION('center_axis',(0.,0.,1.)); #381924=DIRECTION('ref_axis',(1.,0.,0.)); #381925=DIRECTION('',(0.,0.,1.)); #381926=DIRECTION('center_axis',(0.,0.,-1.)); #381927=DIRECTION('ref_axis',(1.,0.,0.)); #381928=DIRECTION('center_axis',(0.,0.,1.)); #381929=DIRECTION('ref_axis',(1.,0.,0.)); #381930=DIRECTION('center_axis',(0.,0.,1.)); #381931=DIRECTION('ref_axis',(1.,0.,0.)); #381932=DIRECTION('',(0.,0.,1.)); #381933=DIRECTION('center_axis',(0.,0.,-1.)); #381934=DIRECTION('ref_axis',(1.,0.,0.)); #381935=DIRECTION('center_axis',(0.,0.,1.)); #381936=DIRECTION('ref_axis',(1.,0.,0.)); #381937=DIRECTION('center_axis',(0.,0.,1.)); #381938=DIRECTION('ref_axis',(1.,0.,0.)); #381939=DIRECTION('',(0.,0.,1.)); #381940=DIRECTION('center_axis',(0.,0.,-1.)); #381941=DIRECTION('ref_axis',(1.,0.,0.)); #381942=DIRECTION('center_axis',(0.,0.,1.)); #381943=DIRECTION('ref_axis',(1.,0.,0.)); #381944=DIRECTION('center_axis',(0.,0.,1.)); #381945=DIRECTION('ref_axis',(1.,0.,0.)); #381946=DIRECTION('',(0.,0.,1.)); #381947=DIRECTION('center_axis',(0.,0.,-1.)); #381948=DIRECTION('ref_axis',(1.,0.,0.)); #381949=DIRECTION('center_axis',(0.,0.,1.)); #381950=DIRECTION('ref_axis',(1.,0.,0.)); #381951=DIRECTION('center_axis',(0.,0.,1.)); #381952=DIRECTION('ref_axis',(1.,0.,0.)); #381953=DIRECTION('',(0.,0.,1.)); #381954=DIRECTION('center_axis',(0.,0.,-1.)); #381955=DIRECTION('ref_axis',(1.,0.,0.)); #381956=DIRECTION('center_axis',(0.,0.,1.)); #381957=DIRECTION('ref_axis',(1.,0.,0.)); #381958=DIRECTION('center_axis',(0.,0.,1.)); #381959=DIRECTION('ref_axis',(1.,0.,0.)); #381960=DIRECTION('',(0.,0.,1.)); #381961=DIRECTION('center_axis',(0.,0.,-1.)); #381962=DIRECTION('ref_axis',(1.,0.,0.)); #381963=DIRECTION('center_axis',(0.,0.,1.)); #381964=DIRECTION('ref_axis',(1.,0.,0.)); #381965=DIRECTION('center_axis',(0.,0.,1.)); #381966=DIRECTION('ref_axis',(1.,0.,0.)); #381967=DIRECTION('',(0.,0.,1.)); #381968=DIRECTION('center_axis',(0.,0.,-1.)); #381969=DIRECTION('ref_axis',(1.,0.,0.)); #381970=DIRECTION('center_axis',(0.,0.,1.)); #381971=DIRECTION('ref_axis',(1.,0.,0.)); #381972=DIRECTION('center_axis',(0.,0.,1.)); #381973=DIRECTION('ref_axis',(1.,0.,0.)); #381974=DIRECTION('',(0.,0.,1.)); #381975=DIRECTION('center_axis',(0.,0.,-1.)); #381976=DIRECTION('ref_axis',(1.,0.,0.)); #381977=DIRECTION('center_axis',(0.,0.,1.)); #381978=DIRECTION('ref_axis',(1.,0.,0.)); #381979=DIRECTION('center_axis',(0.,0.,1.)); #381980=DIRECTION('ref_axis',(1.,0.,0.)); #381981=DIRECTION('',(0.,0.,1.)); #381982=DIRECTION('center_axis',(0.,0.,-1.)); #381983=DIRECTION('ref_axis',(1.,0.,0.)); #381984=DIRECTION('center_axis',(0.,0.,1.)); #381985=DIRECTION('ref_axis',(1.,0.,0.)); #381986=DIRECTION('center_axis',(0.,0.,1.)); #381987=DIRECTION('ref_axis',(1.,0.,0.)); #381988=DIRECTION('',(0.,0.,1.)); #381989=DIRECTION('center_axis',(0.,0.,-1.)); #381990=DIRECTION('ref_axis',(1.,0.,0.)); #381991=DIRECTION('center_axis',(0.,0.,1.)); #381992=DIRECTION('ref_axis',(1.,0.,0.)); #381993=DIRECTION('center_axis',(0.,0.,1.)); #381994=DIRECTION('ref_axis',(1.,0.,0.)); #381995=DIRECTION('',(0.,0.,1.)); #381996=DIRECTION('center_axis',(0.,0.,-1.)); #381997=DIRECTION('ref_axis',(1.,0.,0.)); #381998=DIRECTION('center_axis',(0.,0.,1.)); #381999=DIRECTION('ref_axis',(1.,0.,0.)); #382000=DIRECTION('center_axis',(0.,0.,1.)); #382001=DIRECTION('ref_axis',(1.,0.,0.)); #382002=DIRECTION('',(0.,0.,1.)); #382003=DIRECTION('center_axis',(0.,0.,-1.)); #382004=DIRECTION('ref_axis',(1.,0.,0.)); #382005=DIRECTION('center_axis',(0.,0.,1.)); #382006=DIRECTION('ref_axis',(1.,0.,0.)); #382007=DIRECTION('center_axis',(0.,0.,1.)); #382008=DIRECTION('ref_axis',(1.,0.,0.)); #382009=DIRECTION('',(0.,0.,1.)); #382010=DIRECTION('center_axis',(0.,0.,-1.)); #382011=DIRECTION('ref_axis',(1.,0.,0.)); #382012=DIRECTION('center_axis',(0.,0.,1.)); #382013=DIRECTION('ref_axis',(1.,0.,0.)); #382014=DIRECTION('center_axis',(0.,0.,1.)); #382015=DIRECTION('ref_axis',(1.,0.,0.)); #382016=DIRECTION('',(0.,0.,1.)); #382017=DIRECTION('center_axis',(0.,0.,-1.)); #382018=DIRECTION('ref_axis',(1.,0.,0.)); #382019=DIRECTION('center_axis',(0.,0.,1.)); #382020=DIRECTION('ref_axis',(1.,0.,0.)); #382021=DIRECTION('center_axis',(0.,0.,1.)); #382022=DIRECTION('ref_axis',(1.,0.,0.)); #382023=DIRECTION('',(0.,0.,1.)); #382024=DIRECTION('center_axis',(0.,0.,-1.)); #382025=DIRECTION('ref_axis',(1.,0.,0.)); #382026=DIRECTION('center_axis',(0.,0.,1.)); #382027=DIRECTION('ref_axis',(1.,0.,0.)); #382028=DIRECTION('center_axis',(0.,0.,1.)); #382029=DIRECTION('ref_axis',(1.,0.,0.)); #382030=DIRECTION('',(0.,0.,1.)); #382031=DIRECTION('center_axis',(0.,0.,-1.)); #382032=DIRECTION('ref_axis',(1.,0.,0.)); #382033=DIRECTION('center_axis',(0.,0.,1.)); #382034=DIRECTION('ref_axis',(1.,0.,0.)); #382035=DIRECTION('center_axis',(0.,0.,1.)); #382036=DIRECTION('ref_axis',(1.,0.,0.)); #382037=DIRECTION('',(0.,0.,1.)); #382038=DIRECTION('center_axis',(0.,0.,-1.)); #382039=DIRECTION('ref_axis',(1.,0.,0.)); #382040=DIRECTION('center_axis',(0.,0.,1.)); #382041=DIRECTION('ref_axis',(1.,0.,0.)); #382042=DIRECTION('center_axis',(0.,0.,1.)); #382043=DIRECTION('ref_axis',(1.,0.,0.)); #382044=DIRECTION('',(0.,0.,1.)); #382045=DIRECTION('center_axis',(0.,0.,-1.)); #382046=DIRECTION('ref_axis',(1.,0.,0.)); #382047=DIRECTION('center_axis',(0.,0.,1.)); #382048=DIRECTION('ref_axis',(1.,0.,0.)); #382049=DIRECTION('center_axis',(0.,0.,1.)); #382050=DIRECTION('ref_axis',(1.,0.,0.)); #382051=DIRECTION('',(0.,0.,1.)); #382052=DIRECTION('center_axis',(0.,0.,-1.)); #382053=DIRECTION('ref_axis',(1.,0.,0.)); #382054=DIRECTION('center_axis',(0.,0.,1.)); #382055=DIRECTION('ref_axis',(1.,0.,0.)); #382056=DIRECTION('center_axis',(0.,0.,1.)); #382057=DIRECTION('ref_axis',(1.,0.,0.)); #382058=DIRECTION('',(0.,0.,1.)); #382059=DIRECTION('center_axis',(0.,0.,-1.)); #382060=DIRECTION('ref_axis',(1.,0.,0.)); #382061=DIRECTION('center_axis',(0.,0.,1.)); #382062=DIRECTION('ref_axis',(1.,0.,0.)); #382063=DIRECTION('center_axis',(0.,0.,1.)); #382064=DIRECTION('ref_axis',(1.,0.,0.)); #382065=DIRECTION('',(0.,0.,1.)); #382066=DIRECTION('center_axis',(0.,0.,-1.)); #382067=DIRECTION('ref_axis',(1.,0.,0.)); #382068=DIRECTION('center_axis',(0.,0.,1.)); #382069=DIRECTION('ref_axis',(1.,0.,0.)); #382070=DIRECTION('center_axis',(0.,0.,1.)); #382071=DIRECTION('ref_axis',(1.,0.,0.)); #382072=DIRECTION('',(0.,0.,1.)); #382073=DIRECTION('center_axis',(0.,0.,-1.)); #382074=DIRECTION('ref_axis',(1.,0.,0.)); #382075=DIRECTION('center_axis',(0.,0.,1.)); #382076=DIRECTION('ref_axis',(1.,0.,0.)); #382077=DIRECTION('center_axis',(0.,0.,1.)); #382078=DIRECTION('ref_axis',(1.,0.,0.)); #382079=DIRECTION('',(0.,0.,1.)); #382080=DIRECTION('center_axis',(0.,0.,-1.)); #382081=DIRECTION('ref_axis',(1.,0.,0.)); #382082=DIRECTION('center_axis',(0.,0.,1.)); #382083=DIRECTION('ref_axis',(1.,0.,0.)); #382084=DIRECTION('center_axis',(0.,0.,1.)); #382085=DIRECTION('ref_axis',(1.,0.,0.)); #382086=DIRECTION('',(0.,0.,1.)); #382087=DIRECTION('center_axis',(0.,0.,-1.)); #382088=DIRECTION('ref_axis',(1.,0.,0.)); #382089=DIRECTION('center_axis',(0.,0.,1.)); #382090=DIRECTION('ref_axis',(1.,0.,0.)); #382091=DIRECTION('center_axis',(0.,0.,1.)); #382092=DIRECTION('ref_axis',(1.,0.,0.)); #382093=DIRECTION('',(0.,0.,1.)); #382094=DIRECTION('center_axis',(0.,0.,-1.)); #382095=DIRECTION('ref_axis',(1.,0.,0.)); #382096=DIRECTION('center_axis',(0.,0.,1.)); #382097=DIRECTION('ref_axis',(1.,0.,0.)); #382098=DIRECTION('center_axis',(0.,0.,1.)); #382099=DIRECTION('ref_axis',(1.,0.,0.)); #382100=DIRECTION('',(0.,0.,1.)); #382101=DIRECTION('center_axis',(0.,0.,-1.)); #382102=DIRECTION('ref_axis',(1.,0.,0.)); #382103=DIRECTION('center_axis',(0.,0.,1.)); #382104=DIRECTION('ref_axis',(1.,0.,0.)); #382105=DIRECTION('center_axis',(0.,0.,1.)); #382106=DIRECTION('ref_axis',(1.,0.,0.)); #382107=DIRECTION('',(0.,0.,1.)); #382108=DIRECTION('center_axis',(0.,0.,-1.)); #382109=DIRECTION('ref_axis',(1.,0.,0.)); #382110=DIRECTION('center_axis',(0.,0.,1.)); #382111=DIRECTION('ref_axis',(1.,0.,0.)); #382112=DIRECTION('center_axis',(0.,0.,1.)); #382113=DIRECTION('ref_axis',(1.,0.,0.)); #382114=DIRECTION('',(0.,0.,1.)); #382115=DIRECTION('center_axis',(0.,0.,-1.)); #382116=DIRECTION('ref_axis',(1.,0.,0.)); #382117=DIRECTION('center_axis',(0.,0.,1.)); #382118=DIRECTION('ref_axis',(1.,0.,0.)); #382119=DIRECTION('center_axis',(0.,0.,1.)); #382120=DIRECTION('ref_axis',(1.,0.,0.)); #382121=DIRECTION('',(0.,0.,1.)); #382122=DIRECTION('center_axis',(0.,0.,-1.)); #382123=DIRECTION('ref_axis',(1.,0.,0.)); #382124=DIRECTION('center_axis',(0.,0.,1.)); #382125=DIRECTION('ref_axis',(1.,0.,0.)); #382126=DIRECTION('center_axis',(0.,0.,1.)); #382127=DIRECTION('ref_axis',(1.,0.,0.)); #382128=DIRECTION('',(0.,0.,1.)); #382129=DIRECTION('center_axis',(0.,0.,-1.)); #382130=DIRECTION('ref_axis',(1.,0.,0.)); #382131=DIRECTION('center_axis',(0.,0.,1.)); #382132=DIRECTION('ref_axis',(1.,0.,0.)); #382133=DIRECTION('center_axis',(0.,0.,1.)); #382134=DIRECTION('ref_axis',(1.,0.,0.)); #382135=DIRECTION('',(0.,0.,1.)); #382136=DIRECTION('center_axis',(0.,0.,-1.)); #382137=DIRECTION('ref_axis',(1.,0.,0.)); #382138=DIRECTION('center_axis',(0.,0.,1.)); #382139=DIRECTION('ref_axis',(1.,0.,0.)); #382140=DIRECTION('center_axis',(0.,0.,1.)); #382141=DIRECTION('ref_axis',(1.,0.,0.)); #382142=DIRECTION('',(0.,0.,1.)); #382143=DIRECTION('center_axis',(0.,0.,-1.)); #382144=DIRECTION('ref_axis',(1.,0.,0.)); #382145=DIRECTION('center_axis',(0.,0.,1.)); #382146=DIRECTION('ref_axis',(1.,0.,0.)); #382147=DIRECTION('center_axis',(0.,0.,1.)); #382148=DIRECTION('ref_axis',(1.,0.,0.)); #382149=DIRECTION('',(0.,0.,1.)); #382150=DIRECTION('center_axis',(0.,0.,-1.)); #382151=DIRECTION('ref_axis',(1.,0.,0.)); #382152=DIRECTION('center_axis',(0.,0.,1.)); #382153=DIRECTION('ref_axis',(1.,0.,0.)); #382154=DIRECTION('center_axis',(0.,0.,1.)); #382155=DIRECTION('ref_axis',(1.,0.,0.)); #382156=DIRECTION('',(0.,0.,1.)); #382157=DIRECTION('center_axis',(0.,0.,-1.)); #382158=DIRECTION('ref_axis',(1.,0.,0.)); #382159=DIRECTION('center_axis',(0.,0.,1.)); #382160=DIRECTION('ref_axis',(1.,0.,0.)); #382161=DIRECTION('center_axis',(0.,0.,1.)); #382162=DIRECTION('ref_axis',(1.,0.,0.)); #382163=DIRECTION('',(0.,0.,1.)); #382164=DIRECTION('center_axis',(0.,0.,-1.)); #382165=DIRECTION('ref_axis',(1.,0.,0.)); #382166=DIRECTION('center_axis',(0.,0.,1.)); #382167=DIRECTION('ref_axis',(1.,0.,0.)); #382168=DIRECTION('center_axis',(0.,0.,1.)); #382169=DIRECTION('ref_axis',(1.,0.,0.)); #382170=DIRECTION('',(0.,0.,1.)); #382171=DIRECTION('center_axis',(0.,0.,-1.)); #382172=DIRECTION('ref_axis',(1.,0.,0.)); #382173=DIRECTION('center_axis',(0.,0.,1.)); #382174=DIRECTION('ref_axis',(1.,0.,0.)); #382175=DIRECTION('center_axis',(0.,0.,1.)); #382176=DIRECTION('ref_axis',(1.,0.,0.)); #382177=DIRECTION('',(0.,0.,1.)); #382178=DIRECTION('center_axis',(0.,0.,-1.)); #382179=DIRECTION('ref_axis',(1.,0.,0.)); #382180=DIRECTION('center_axis',(0.,0.,1.)); #382181=DIRECTION('ref_axis',(1.,0.,0.)); #382182=DIRECTION('center_axis',(0.,0.,1.)); #382183=DIRECTION('ref_axis',(1.,0.,0.)); #382184=DIRECTION('',(0.,0.,1.)); #382185=DIRECTION('center_axis',(0.,0.,-1.)); #382186=DIRECTION('ref_axis',(1.,0.,0.)); #382187=DIRECTION('center_axis',(0.,0.,1.)); #382188=DIRECTION('ref_axis',(1.,0.,0.)); #382189=DIRECTION('center_axis',(0.,0.,1.)); #382190=DIRECTION('ref_axis',(1.,0.,0.)); #382191=DIRECTION('',(0.,0.,1.)); #382192=DIRECTION('center_axis',(0.,0.,-1.)); #382193=DIRECTION('ref_axis',(1.,0.,0.)); #382194=DIRECTION('center_axis',(0.,0.,1.)); #382195=DIRECTION('ref_axis',(1.,0.,0.)); #382196=DIRECTION('center_axis',(0.,0.,1.)); #382197=DIRECTION('ref_axis',(1.,0.,0.)); #382198=DIRECTION('',(0.,0.,1.)); #382199=DIRECTION('center_axis',(0.,0.,-1.)); #382200=DIRECTION('ref_axis',(1.,0.,0.)); #382201=DIRECTION('center_axis',(0.,0.,1.)); #382202=DIRECTION('ref_axis',(1.,0.,0.)); #382203=DIRECTION('center_axis',(0.,0.,1.)); #382204=DIRECTION('ref_axis',(1.,0.,0.)); #382205=DIRECTION('',(0.,0.,1.)); #382206=DIRECTION('center_axis',(0.,0.,-1.)); #382207=DIRECTION('ref_axis',(1.,0.,0.)); #382208=DIRECTION('center_axis',(0.,0.,1.)); #382209=DIRECTION('ref_axis',(1.,0.,0.)); #382210=DIRECTION('center_axis',(0.,0.,1.)); #382211=DIRECTION('ref_axis',(1.,0.,0.)); #382212=DIRECTION('',(0.,0.,1.)); #382213=DIRECTION('center_axis',(0.,0.,-1.)); #382214=DIRECTION('ref_axis',(1.,0.,0.)); #382215=DIRECTION('center_axis',(0.,0.,1.)); #382216=DIRECTION('ref_axis',(1.,0.,0.)); #382217=DIRECTION('center_axis',(0.,0.,1.)); #382218=DIRECTION('ref_axis',(1.,0.,0.)); #382219=DIRECTION('',(0.,0.,1.)); #382220=DIRECTION('center_axis',(0.,0.,-1.)); #382221=DIRECTION('ref_axis',(1.,0.,0.)); #382222=DIRECTION('center_axis',(0.,0.,1.)); #382223=DIRECTION('ref_axis',(1.,0.,0.)); #382224=DIRECTION('center_axis',(0.,0.,1.)); #382225=DIRECTION('ref_axis',(1.,0.,0.)); #382226=DIRECTION('',(0.,0.,1.)); #382227=DIRECTION('center_axis',(0.,0.,-1.)); #382228=DIRECTION('ref_axis',(1.,0.,0.)); #382229=DIRECTION('center_axis',(0.,0.,1.)); #382230=DIRECTION('ref_axis',(1.,0.,0.)); #382231=DIRECTION('center_axis',(0.,0.,1.)); #382232=DIRECTION('ref_axis',(1.,0.,0.)); #382233=DIRECTION('',(0.,0.,1.)); #382234=DIRECTION('center_axis',(0.,0.,-1.)); #382235=DIRECTION('ref_axis',(1.,0.,0.)); #382236=DIRECTION('center_axis',(0.,0.,1.)); #382237=DIRECTION('ref_axis',(1.,0.,0.)); #382238=DIRECTION('center_axis',(0.,0.,1.)); #382239=DIRECTION('ref_axis',(1.,0.,0.)); #382240=DIRECTION('',(0.,0.,1.)); #382241=DIRECTION('center_axis',(0.,0.,-1.)); #382242=DIRECTION('ref_axis',(1.,0.,0.)); #382243=DIRECTION('center_axis',(0.,0.,1.)); #382244=DIRECTION('ref_axis',(1.,0.,0.)); #382245=DIRECTION('center_axis',(0.,0.,1.)); #382246=DIRECTION('ref_axis',(1.,0.,0.)); #382247=DIRECTION('',(0.,0.,1.)); #382248=DIRECTION('center_axis',(0.,0.,-1.)); #382249=DIRECTION('ref_axis',(1.,0.,0.)); #382250=DIRECTION('center_axis',(0.,0.,1.)); #382251=DIRECTION('ref_axis',(1.,0.,0.)); #382252=DIRECTION('center_axis',(0.,0.,1.)); #382253=DIRECTION('ref_axis',(1.,0.,0.)); #382254=DIRECTION('',(0.,0.,1.)); #382255=DIRECTION('center_axis',(0.,0.,-1.)); #382256=DIRECTION('ref_axis',(1.,0.,0.)); #382257=DIRECTION('center_axis',(0.,0.,1.)); #382258=DIRECTION('ref_axis',(1.,0.,0.)); #382259=DIRECTION('center_axis',(0.,0.,1.)); #382260=DIRECTION('ref_axis',(1.,0.,0.)); #382261=DIRECTION('',(0.,0.,1.)); #382262=DIRECTION('center_axis',(0.,0.,-1.)); #382263=DIRECTION('ref_axis',(1.,0.,0.)); #382264=DIRECTION('center_axis',(0.,0.,1.)); #382265=DIRECTION('ref_axis',(1.,0.,0.)); #382266=DIRECTION('center_axis',(0.,0.,1.)); #382267=DIRECTION('ref_axis',(1.,0.,0.)); #382268=DIRECTION('',(0.,0.,1.)); #382269=DIRECTION('center_axis',(0.,0.,-1.)); #382270=DIRECTION('ref_axis',(1.,0.,0.)); #382271=DIRECTION('center_axis',(0.,0.,1.)); #382272=DIRECTION('ref_axis',(1.,0.,0.)); #382273=DIRECTION('center_axis',(0.,0.,1.)); #382274=DIRECTION('ref_axis',(1.,0.,0.)); #382275=DIRECTION('',(0.,0.,1.)); #382276=DIRECTION('center_axis',(0.,0.,-1.)); #382277=DIRECTION('ref_axis',(1.,0.,0.)); #382278=DIRECTION('center_axis',(0.,0.,1.)); #382279=DIRECTION('ref_axis',(1.,0.,0.)); #382280=DIRECTION('center_axis',(0.,0.,1.)); #382281=DIRECTION('ref_axis',(1.,0.,0.)); #382282=DIRECTION('',(0.,0.,1.)); #382283=DIRECTION('center_axis',(0.,0.,-1.)); #382284=DIRECTION('ref_axis',(1.,0.,0.)); #382285=DIRECTION('center_axis',(0.,0.,1.)); #382286=DIRECTION('ref_axis',(1.,0.,0.)); #382287=DIRECTION('center_axis',(0.,0.,1.)); #382288=DIRECTION('ref_axis',(1.,0.,0.)); #382289=DIRECTION('',(0.,0.,1.)); #382290=DIRECTION('center_axis',(0.,0.,-1.)); #382291=DIRECTION('ref_axis',(1.,0.,0.)); #382292=DIRECTION('center_axis',(0.,0.,1.)); #382293=DIRECTION('ref_axis',(1.,0.,0.)); #382294=DIRECTION('center_axis',(0.,0.,1.)); #382295=DIRECTION('ref_axis',(1.,0.,0.)); #382296=DIRECTION('',(0.,0.,1.)); #382297=DIRECTION('center_axis',(0.,0.,-1.)); #382298=DIRECTION('ref_axis',(1.,0.,0.)); #382299=DIRECTION('center_axis',(0.,0.,1.)); #382300=DIRECTION('ref_axis',(1.,0.,0.)); #382301=DIRECTION('center_axis',(0.,0.,1.)); #382302=DIRECTION('ref_axis',(1.,0.,0.)); #382303=DIRECTION('',(0.,0.,1.)); #382304=DIRECTION('center_axis',(0.,0.,-1.)); #382305=DIRECTION('ref_axis',(1.,0.,0.)); #382306=DIRECTION('center_axis',(0.,0.,1.)); #382307=DIRECTION('ref_axis',(1.,0.,0.)); #382308=DIRECTION('center_axis',(0.,0.,1.)); #382309=DIRECTION('ref_axis',(1.,0.,0.)); #382310=DIRECTION('',(0.,0.,1.)); #382311=DIRECTION('center_axis',(0.,0.,-1.)); #382312=DIRECTION('ref_axis',(1.,0.,0.)); #382313=DIRECTION('center_axis',(0.,0.,1.)); #382314=DIRECTION('ref_axis',(1.,0.,0.)); #382315=DIRECTION('center_axis',(0.,0.,1.)); #382316=DIRECTION('ref_axis',(1.,0.,0.)); #382317=DIRECTION('',(0.,0.,1.)); #382318=DIRECTION('center_axis',(0.,0.,-1.)); #382319=DIRECTION('ref_axis',(1.,0.,0.)); #382320=DIRECTION('center_axis',(0.,0.,1.)); #382321=DIRECTION('ref_axis',(1.,0.,0.)); #382322=DIRECTION('center_axis',(0.,0.,1.)); #382323=DIRECTION('ref_axis',(1.,0.,0.)); #382324=DIRECTION('',(0.,0.,1.)); #382325=DIRECTION('center_axis',(0.,0.,-1.)); #382326=DIRECTION('ref_axis',(1.,0.,0.)); #382327=DIRECTION('center_axis',(0.,0.,1.)); #382328=DIRECTION('ref_axis',(1.,0.,0.)); #382329=DIRECTION('center_axis',(0.,0.,1.)); #382330=DIRECTION('ref_axis',(1.,0.,0.)); #382331=DIRECTION('',(0.,0.,1.)); #382332=DIRECTION('center_axis',(0.,0.,-1.)); #382333=DIRECTION('ref_axis',(1.,0.,0.)); #382334=DIRECTION('center_axis',(0.,0.,1.)); #382335=DIRECTION('ref_axis',(1.,0.,0.)); #382336=DIRECTION('center_axis',(0.,0.,1.)); #382337=DIRECTION('ref_axis',(1.,0.,0.)); #382338=DIRECTION('',(0.,0.,1.)); #382339=DIRECTION('center_axis',(0.,0.,-1.)); #382340=DIRECTION('ref_axis',(1.,0.,0.)); #382341=DIRECTION('center_axis',(0.,0.,1.)); #382342=DIRECTION('ref_axis',(1.,0.,0.)); #382343=DIRECTION('center_axis',(0.,0.,1.)); #382344=DIRECTION('ref_axis',(1.,0.,0.)); #382345=DIRECTION('',(0.,0.,1.)); #382346=DIRECTION('center_axis',(0.,0.,-1.)); #382347=DIRECTION('ref_axis',(1.,0.,0.)); #382348=DIRECTION('center_axis',(0.,0.,1.)); #382349=DIRECTION('ref_axis',(1.,0.,0.)); #382350=DIRECTION('center_axis',(0.,0.,1.)); #382351=DIRECTION('ref_axis',(1.,0.,0.)); #382352=DIRECTION('',(0.,0.,1.)); #382353=DIRECTION('center_axis',(0.,0.,-1.)); #382354=DIRECTION('ref_axis',(1.,0.,0.)); #382355=DIRECTION('center_axis',(0.,0.,1.)); #382356=DIRECTION('ref_axis',(1.,0.,0.)); #382357=DIRECTION('center_axis',(0.,0.,1.)); #382358=DIRECTION('ref_axis',(1.,0.,0.)); #382359=DIRECTION('',(0.,0.,1.)); #382360=DIRECTION('center_axis',(0.,0.,-1.)); #382361=DIRECTION('ref_axis',(1.,0.,0.)); #382362=DIRECTION('center_axis',(0.,0.,1.)); #382363=DIRECTION('ref_axis',(1.,0.,0.)); #382364=DIRECTION('center_axis',(0.,0.,1.)); #382365=DIRECTION('ref_axis',(1.,0.,0.)); #382366=DIRECTION('',(0.,0.,1.)); #382367=DIRECTION('center_axis',(0.,0.,-1.)); #382368=DIRECTION('ref_axis',(1.,0.,0.)); #382369=DIRECTION('center_axis',(0.,0.,1.)); #382370=DIRECTION('ref_axis',(1.,0.,0.)); #382371=DIRECTION('center_axis',(0.,0.,1.)); #382372=DIRECTION('ref_axis',(1.,0.,0.)); #382373=DIRECTION('',(0.,0.,1.)); #382374=DIRECTION('center_axis',(0.,0.,-1.)); #382375=DIRECTION('ref_axis',(1.,0.,0.)); #382376=DIRECTION('center_axis',(0.,0.,1.)); #382377=DIRECTION('ref_axis',(1.,0.,0.)); #382378=DIRECTION('center_axis',(0.,0.,1.)); #382379=DIRECTION('ref_axis',(1.,0.,0.)); #382380=DIRECTION('',(0.,0.,1.)); #382381=DIRECTION('center_axis',(0.,0.,-1.)); #382382=DIRECTION('ref_axis',(1.,0.,0.)); #382383=DIRECTION('center_axis',(0.,0.,1.)); #382384=DIRECTION('ref_axis',(1.,0.,0.)); #382385=DIRECTION('center_axis',(0.,0.,1.)); #382386=DIRECTION('ref_axis',(1.,0.,0.)); #382387=DIRECTION('',(0.,0.,1.)); #382388=DIRECTION('center_axis',(0.,0.,-1.)); #382389=DIRECTION('ref_axis',(1.,0.,0.)); #382390=DIRECTION('center_axis',(0.,0.,1.)); #382391=DIRECTION('ref_axis',(1.,0.,0.)); #382392=DIRECTION('center_axis',(0.,0.,1.)); #382393=DIRECTION('ref_axis',(1.,0.,0.)); #382394=DIRECTION('',(0.,0.,1.)); #382395=DIRECTION('center_axis',(0.,0.,-1.)); #382396=DIRECTION('ref_axis',(1.,0.,0.)); #382397=DIRECTION('center_axis',(0.,0.,1.)); #382398=DIRECTION('ref_axis',(1.,0.,0.)); #382399=DIRECTION('center_axis',(0.,0.,1.)); #382400=DIRECTION('ref_axis',(1.,0.,0.)); #382401=DIRECTION('',(0.,0.,1.)); #382402=DIRECTION('center_axis',(0.,0.,-1.)); #382403=DIRECTION('ref_axis',(1.,0.,0.)); #382404=DIRECTION('center_axis',(0.,0.,1.)); #382405=DIRECTION('ref_axis',(1.,0.,0.)); #382406=DIRECTION('center_axis',(0.,0.,1.)); #382407=DIRECTION('ref_axis',(1.,0.,0.)); #382408=DIRECTION('',(0.,0.,1.)); #382409=DIRECTION('center_axis',(0.,0.,-1.)); #382410=DIRECTION('ref_axis',(1.,0.,0.)); #382411=DIRECTION('center_axis',(0.,0.,1.)); #382412=DIRECTION('ref_axis',(1.,0.,0.)); #382413=DIRECTION('center_axis',(0.,0.,1.)); #382414=DIRECTION('ref_axis',(1.,0.,0.)); #382415=DIRECTION('',(0.,0.,1.)); #382416=DIRECTION('center_axis',(0.,0.,-1.)); #382417=DIRECTION('ref_axis',(1.,0.,0.)); #382418=DIRECTION('center_axis',(0.,0.,1.)); #382419=DIRECTION('ref_axis',(1.,0.,0.)); #382420=DIRECTION('center_axis',(0.,0.,1.)); #382421=DIRECTION('ref_axis',(1.,0.,0.)); #382422=DIRECTION('',(0.,0.,1.)); #382423=DIRECTION('center_axis',(0.,0.,-1.)); #382424=DIRECTION('ref_axis',(1.,0.,0.)); #382425=DIRECTION('center_axis',(0.,0.,1.)); #382426=DIRECTION('ref_axis',(1.,0.,0.)); #382427=DIRECTION('center_axis',(0.,0.,1.)); #382428=DIRECTION('ref_axis',(1.,0.,0.)); #382429=DIRECTION('',(0.,0.,1.)); #382430=DIRECTION('center_axis',(0.,0.,-1.)); #382431=DIRECTION('ref_axis',(1.,0.,0.)); #382432=DIRECTION('center_axis',(0.,0.,1.)); #382433=DIRECTION('ref_axis',(1.,0.,0.)); #382434=DIRECTION('center_axis',(0.,0.,1.)); #382435=DIRECTION('ref_axis',(1.,0.,0.)); #382436=DIRECTION('',(0.,0.,1.)); #382437=DIRECTION('center_axis',(0.,0.,-1.)); #382438=DIRECTION('ref_axis',(1.,0.,0.)); #382439=DIRECTION('center_axis',(0.,0.,1.)); #382440=DIRECTION('ref_axis',(1.,0.,0.)); #382441=DIRECTION('center_axis',(0.,0.,1.)); #382442=DIRECTION('ref_axis',(1.,0.,0.)); #382443=DIRECTION('',(0.,0.,1.)); #382444=DIRECTION('center_axis',(0.,0.,-1.)); #382445=DIRECTION('ref_axis',(1.,0.,0.)); #382446=DIRECTION('center_axis',(0.,0.,1.)); #382447=DIRECTION('ref_axis',(1.,0.,0.)); #382448=DIRECTION('center_axis',(0.,0.,1.)); #382449=DIRECTION('ref_axis',(1.,0.,0.)); #382450=DIRECTION('',(0.,0.,1.)); #382451=DIRECTION('center_axis',(0.,0.,-1.)); #382452=DIRECTION('ref_axis',(1.,0.,0.)); #382453=DIRECTION('center_axis',(0.,0.,1.)); #382454=DIRECTION('ref_axis',(1.,0.,0.)); #382455=DIRECTION('center_axis',(0.,0.,1.)); #382456=DIRECTION('ref_axis',(1.,0.,0.)); #382457=DIRECTION('',(0.,0.,1.)); #382458=DIRECTION('center_axis',(0.,0.,-1.)); #382459=DIRECTION('ref_axis',(1.,0.,0.)); #382460=DIRECTION('center_axis',(0.,0.,1.)); #382461=DIRECTION('ref_axis',(1.,0.,0.)); #382462=DIRECTION('center_axis',(0.,0.,1.)); #382463=DIRECTION('ref_axis',(1.,0.,0.)); #382464=DIRECTION('',(0.,0.,1.)); #382465=DIRECTION('center_axis',(0.,0.,-1.)); #382466=DIRECTION('ref_axis',(1.,0.,0.)); #382467=DIRECTION('center_axis',(0.,0.,1.)); #382468=DIRECTION('ref_axis',(1.,0.,0.)); #382469=DIRECTION('center_axis',(0.,0.,1.)); #382470=DIRECTION('ref_axis',(1.,0.,0.)); #382471=DIRECTION('',(0.,0.,1.)); #382472=DIRECTION('center_axis',(0.,0.,-1.)); #382473=DIRECTION('ref_axis',(1.,0.,0.)); #382474=DIRECTION('center_axis',(0.,0.,1.)); #382475=DIRECTION('ref_axis',(1.,0.,0.)); #382476=DIRECTION('center_axis',(0.,0.,1.)); #382477=DIRECTION('ref_axis',(1.,0.,0.)); #382478=DIRECTION('',(0.,0.,1.)); #382479=DIRECTION('center_axis',(0.,0.,-1.)); #382480=DIRECTION('ref_axis',(1.,0.,0.)); #382481=DIRECTION('center_axis',(0.,0.,1.)); #382482=DIRECTION('ref_axis',(1.,0.,0.)); #382483=DIRECTION('center_axis',(0.,0.,1.)); #382484=DIRECTION('ref_axis',(1.,0.,0.)); #382485=DIRECTION('',(0.,0.,1.)); #382486=DIRECTION('center_axis',(0.,0.,-1.)); #382487=DIRECTION('ref_axis',(1.,0.,0.)); #382488=DIRECTION('center_axis',(0.,0.,1.)); #382489=DIRECTION('ref_axis',(1.,0.,0.)); #382490=DIRECTION('center_axis',(0.,0.,1.)); #382491=DIRECTION('ref_axis',(1.,0.,0.)); #382492=DIRECTION('',(0.,0.,1.)); #382493=DIRECTION('center_axis',(0.,0.,-1.)); #382494=DIRECTION('ref_axis',(1.,0.,0.)); #382495=DIRECTION('center_axis',(0.,0.,1.)); #382496=DIRECTION('ref_axis',(1.,0.,0.)); #382497=DIRECTION('center_axis',(0.,0.,1.)); #382498=DIRECTION('ref_axis',(1.,0.,0.)); #382499=DIRECTION('',(0.,0.,1.)); #382500=DIRECTION('center_axis',(0.,0.,-1.)); #382501=DIRECTION('ref_axis',(1.,0.,0.)); #382502=DIRECTION('center_axis',(0.,0.,1.)); #382503=DIRECTION('ref_axis',(1.,0.,0.)); #382504=DIRECTION('center_axis',(0.,0.,1.)); #382505=DIRECTION('ref_axis',(1.,0.,0.)); #382506=DIRECTION('',(0.,0.,1.)); #382507=DIRECTION('center_axis',(0.,0.,-1.)); #382508=DIRECTION('ref_axis',(1.,0.,0.)); #382509=DIRECTION('center_axis',(0.,0.,1.)); #382510=DIRECTION('ref_axis',(1.,0.,0.)); #382511=DIRECTION('center_axis',(0.,0.,1.)); #382512=DIRECTION('ref_axis',(1.,0.,0.)); #382513=DIRECTION('',(0.,0.,1.)); #382514=DIRECTION('center_axis',(0.,0.,-1.)); #382515=DIRECTION('ref_axis',(1.,0.,0.)); #382516=DIRECTION('center_axis',(0.,0.,1.)); #382517=DIRECTION('ref_axis',(1.,0.,0.)); #382518=DIRECTION('center_axis',(0.,0.,1.)); #382519=DIRECTION('ref_axis',(1.,0.,0.)); #382520=DIRECTION('',(0.,0.,1.)); #382521=DIRECTION('center_axis',(0.,0.,-1.)); #382522=DIRECTION('ref_axis',(1.,0.,0.)); #382523=DIRECTION('center_axis',(0.,0.,1.)); #382524=DIRECTION('ref_axis',(1.,0.,0.)); #382525=DIRECTION('center_axis',(0.,0.,1.)); #382526=DIRECTION('ref_axis',(1.,0.,0.)); #382527=DIRECTION('',(0.,0.,1.)); #382528=DIRECTION('center_axis',(0.,0.,-1.)); #382529=DIRECTION('ref_axis',(1.,0.,0.)); #382530=DIRECTION('center_axis',(0.,0.,1.)); #382531=DIRECTION('ref_axis',(1.,0.,0.)); #382532=DIRECTION('center_axis',(0.,0.,1.)); #382533=DIRECTION('ref_axis',(1.,0.,0.)); #382534=DIRECTION('',(0.,0.,1.)); #382535=DIRECTION('center_axis',(0.,0.,-1.)); #382536=DIRECTION('ref_axis',(1.,0.,0.)); #382537=DIRECTION('center_axis',(0.,0.,1.)); #382538=DIRECTION('ref_axis',(1.,0.,0.)); #382539=DIRECTION('center_axis',(0.,0.,1.)); #382540=DIRECTION('ref_axis',(1.,0.,0.)); #382541=DIRECTION('',(0.,0.,1.)); #382542=DIRECTION('center_axis',(0.,0.,-1.)); #382543=DIRECTION('ref_axis',(1.,0.,0.)); #382544=DIRECTION('center_axis',(0.,0.,1.)); #382545=DIRECTION('ref_axis',(1.,0.,0.)); #382546=DIRECTION('center_axis',(0.,0.,1.)); #382547=DIRECTION('ref_axis',(1.,0.,0.)); #382548=DIRECTION('',(0.,0.,1.)); #382549=DIRECTION('center_axis',(0.,0.,-1.)); #382550=DIRECTION('ref_axis',(1.,0.,0.)); #382551=DIRECTION('center_axis',(0.,0.,1.)); #382552=DIRECTION('ref_axis',(1.,0.,0.)); #382553=DIRECTION('center_axis',(0.,0.,1.)); #382554=DIRECTION('ref_axis',(1.,0.,0.)); #382555=DIRECTION('',(0.,0.,1.)); #382556=DIRECTION('center_axis',(0.,0.,-1.)); #382557=DIRECTION('ref_axis',(1.,0.,0.)); #382558=DIRECTION('center_axis',(0.,0.,1.)); #382559=DIRECTION('ref_axis',(1.,0.,0.)); #382560=DIRECTION('center_axis',(0.,0.,1.)); #382561=DIRECTION('ref_axis',(1.,0.,0.)); #382562=DIRECTION('',(0.,0.,1.)); #382563=DIRECTION('center_axis',(0.,0.,-1.)); #382564=DIRECTION('ref_axis',(1.,0.,0.)); #382565=DIRECTION('center_axis',(0.,0.,1.)); #382566=DIRECTION('ref_axis',(1.,0.,0.)); #382567=DIRECTION('center_axis',(0.,0.,1.)); #382568=DIRECTION('ref_axis',(1.,0.,0.)); #382569=DIRECTION('',(0.,0.,1.)); #382570=DIRECTION('center_axis',(0.,0.,-1.)); #382571=DIRECTION('ref_axis',(1.,0.,0.)); #382572=DIRECTION('center_axis',(0.,0.,1.)); #382573=DIRECTION('ref_axis',(1.,0.,0.)); #382574=DIRECTION('center_axis',(0.,0.,1.)); #382575=DIRECTION('ref_axis',(1.,0.,0.)); #382576=DIRECTION('',(0.,0.,1.)); #382577=DIRECTION('center_axis',(0.,0.,-1.)); #382578=DIRECTION('ref_axis',(1.,0.,0.)); #382579=DIRECTION('center_axis',(0.,0.,1.)); #382580=DIRECTION('ref_axis',(1.,0.,0.)); #382581=DIRECTION('center_axis',(0.,0.,1.)); #382582=DIRECTION('ref_axis',(1.,0.,0.)); #382583=DIRECTION('',(0.,0.,1.)); #382584=DIRECTION('center_axis',(0.,0.,-1.)); #382585=DIRECTION('ref_axis',(1.,0.,0.)); #382586=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #382587=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #382588=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #382589=DIRECTION('',(0.,0.,1.)); #382590=DIRECTION('',(5.55111499297693E-15,1.,0.)); #382591=DIRECTION('',(0.,0.,1.)); #382592=DIRECTION('center_axis',(0.,0.,1.)); #382593=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #382594=DIRECTION('center_axis',(0.,0.,-1.)); #382595=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #382596=DIRECTION('center_axis',(0.,0.,1.)); #382597=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #382598=DIRECTION('',(0.,0.,1.)); #382599=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #382600=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #382601=DIRECTION('',(5.55111499297693E-15,1.,0.)); #382602=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #382603=DIRECTION('',(0.,0.,1.)); #382604=DIRECTION('center_axis',(0.,0.,1.)); #382605=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #382606=DIRECTION('center_axis',(0.,0.,-1.)); #382607=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #382608=DIRECTION('center_axis',(0.,0.,1.)); #382609=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #382610=DIRECTION('center_axis',(0.,0.,1.)); #382611=DIRECTION('ref_axis',(1.,0.,0.)); #382612=DIRECTION('center_axis',(0.,0.,1.)); #382613=DIRECTION('ref_axis',(1.,0.,0.)); #382614=DIRECTION('',(0.,0.,1.)); #382615=DIRECTION('center_axis',(0.,0.,-1.)); #382616=DIRECTION('ref_axis',(1.,0.,0.)); #382617=DIRECTION('center_axis',(7.40148643419025E-15,1.,0.)); #382618=DIRECTION('ref_axis',(-1.,7.40148643419025E-15,0.)); #382619=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #382620=DIRECTION('',(0.,0.,1.)); #382621=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #382622=DIRECTION('',(0.,0.,1.)); #382623=DIRECTION('center_axis',(0.,0.,1.)); #382624=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #382625=DIRECTION('center_axis',(0.,0.,-1.)); #382626=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #382627=DIRECTION('center_axis',(0.,0.,1.)); #382628=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #382629=DIRECTION('',(0.,0.,1.)); #382630=DIRECTION('center_axis',(-7.40148643419025E-15,-1.,0.)); #382631=DIRECTION('ref_axis',(1.,-7.40148643419025E-15,0.)); #382632=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #382633=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #382634=DIRECTION('',(0.,0.,1.)); #382635=DIRECTION('center_axis',(0.,0.,1.)); #382636=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #382637=DIRECTION('center_axis',(0.,0.,-1.)); #382638=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #382639=DIRECTION('center_axis',(0.,0.,1.)); #382640=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #382641=DIRECTION('center_axis',(0.,0.,1.)); #382642=DIRECTION('ref_axis',(1.,0.,0.)); #382643=DIRECTION('center_axis',(0.,0.,1.)); #382644=DIRECTION('ref_axis',(1.,0.,0.)); #382645=DIRECTION('',(0.,0.,1.)); #382646=DIRECTION('center_axis',(0.,0.,-1.)); #382647=DIRECTION('ref_axis',(1.,0.,0.)); #382648=DIRECTION('center_axis',(0.,0.,1.)); #382649=DIRECTION('ref_axis',(1.,0.,0.)); #382650=DIRECTION('center_axis',(0.,0.,1.)); #382651=DIRECTION('ref_axis',(1.,0.,0.)); #382652=DIRECTION('',(0.,0.,1.)); #382653=DIRECTION('center_axis',(0.,0.,-1.)); #382654=DIRECTION('ref_axis',(1.,0.,0.)); #382655=DIRECTION('center_axis',(0.,0.,1.)); #382656=DIRECTION('ref_axis',(1.,0.,0.)); #382657=DIRECTION('center_axis',(0.,0.,1.)); #382658=DIRECTION('ref_axis',(1.,0.,0.)); #382659=DIRECTION('',(0.,0.,1.)); #382660=DIRECTION('center_axis',(0.,0.,-1.)); #382661=DIRECTION('ref_axis',(1.,0.,0.)); #382662=DIRECTION('center_axis',(7.40148643419025E-15,1.,0.)); #382663=DIRECTION('ref_axis',(-1.,7.40148643419025E-15,0.)); #382664=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #382665=DIRECTION('',(0.,0.,1.)); #382666=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #382667=DIRECTION('',(0.,0.,1.)); #382668=DIRECTION('center_axis',(0.,0.,1.)); #382669=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #382670=DIRECTION('center_axis',(0.,0.,-1.)); #382671=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #382672=DIRECTION('center_axis',(0.,0.,1.)); #382673=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #382674=DIRECTION('',(0.,0.,1.)); #382675=DIRECTION('center_axis',(-7.40148643419025E-15,-1.,0.)); #382676=DIRECTION('ref_axis',(1.,-7.40148643419025E-15,0.)); #382677=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #382678=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #382679=DIRECTION('',(0.,0.,1.)); #382680=DIRECTION('center_axis',(0.,0.,1.)); #382681=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #382682=DIRECTION('center_axis',(0.,0.,-1.)); #382683=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #382684=DIRECTION('center_axis',(0.,0.,1.)); #382685=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #382686=DIRECTION('center_axis',(0.,0.,1.)); #382687=DIRECTION('ref_axis',(1.,0.,0.)); #382688=DIRECTION('center_axis',(0.,0.,1.)); #382689=DIRECTION('ref_axis',(1.,0.,0.)); #382690=DIRECTION('',(0.,0.,1.)); #382691=DIRECTION('center_axis',(0.,0.,-1.)); #382692=DIRECTION('ref_axis',(1.,0.,0.)); #382693=DIRECTION('center_axis',(0.,0.,1.)); #382694=DIRECTION('ref_axis',(1.,0.,0.)); #382695=DIRECTION('center_axis',(0.,0.,1.)); #382696=DIRECTION('ref_axis',(1.,0.,0.)); #382697=DIRECTION('',(0.,0.,1.)); #382698=DIRECTION('center_axis',(0.,0.,-1.)); #382699=DIRECTION('ref_axis',(1.,0.,0.)); #382700=DIRECTION('center_axis',(0.,0.,1.)); #382701=DIRECTION('ref_axis',(1.,0.,0.)); #382702=DIRECTION('center_axis',(0.,0.,1.)); #382703=DIRECTION('ref_axis',(1.,0.,0.)); #382704=DIRECTION('',(0.,0.,1.)); #382705=DIRECTION('center_axis',(0.,0.,-1.)); #382706=DIRECTION('ref_axis',(1.,0.,0.)); #382707=DIRECTION('center_axis',(0.,0.,1.)); #382708=DIRECTION('ref_axis',(1.,0.,0.)); #382709=DIRECTION('center_axis',(0.,0.,1.)); #382710=DIRECTION('ref_axis',(1.,0.,0.)); #382711=DIRECTION('',(0.,0.,1.)); #382712=DIRECTION('center_axis',(0.,0.,-1.)); #382713=DIRECTION('ref_axis',(1.,0.,0.)); #382714=DIRECTION('center_axis',(0.,0.,1.)); #382715=DIRECTION('ref_axis',(1.,0.,0.)); #382716=DIRECTION('center_axis',(0.,0.,1.)); #382717=DIRECTION('ref_axis',(1.,0.,0.)); #382718=DIRECTION('',(0.,0.,1.)); #382719=DIRECTION('center_axis',(0.,0.,-1.)); #382720=DIRECTION('ref_axis',(1.,0.,0.)); #382721=DIRECTION('center_axis',(0.,0.,1.)); #382722=DIRECTION('ref_axis',(1.,0.,0.)); #382723=DIRECTION('center_axis',(0.,0.,1.)); #382724=DIRECTION('ref_axis',(1.,0.,0.)); #382725=DIRECTION('',(0.,0.,1.)); #382726=DIRECTION('center_axis',(0.,0.,-1.)); #382727=DIRECTION('ref_axis',(1.,0.,0.)); #382728=DIRECTION('center_axis',(0.,0.,1.)); #382729=DIRECTION('ref_axis',(1.,0.,0.)); #382730=DIRECTION('center_axis',(0.,0.,1.)); #382731=DIRECTION('ref_axis',(1.,0.,0.)); #382732=DIRECTION('',(0.,0.,1.)); #382733=DIRECTION('center_axis',(0.,0.,-1.)); #382734=DIRECTION('ref_axis',(1.,0.,0.)); #382735=DIRECTION('center_axis',(0.,0.,1.)); #382736=DIRECTION('ref_axis',(1.,0.,0.)); #382737=DIRECTION('center_axis',(0.,0.,1.)); #382738=DIRECTION('ref_axis',(1.,0.,0.)); #382739=DIRECTION('',(0.,0.,1.)); #382740=DIRECTION('center_axis',(0.,0.,-1.)); #382741=DIRECTION('ref_axis',(1.,0.,0.)); #382742=DIRECTION('center_axis',(0.,0.,1.)); #382743=DIRECTION('ref_axis',(1.,0.,0.)); #382744=DIRECTION('center_axis',(0.,0.,1.)); #382745=DIRECTION('ref_axis',(1.,0.,0.)); #382746=DIRECTION('',(0.,0.,1.)); #382747=DIRECTION('center_axis',(0.,0.,-1.)); #382748=DIRECTION('ref_axis',(1.,0.,0.)); #382749=DIRECTION('center_axis',(0.,0.,1.)); #382750=DIRECTION('ref_axis',(1.,0.,0.)); #382751=DIRECTION('center_axis',(0.,0.,1.)); #382752=DIRECTION('ref_axis',(1.,0.,0.)); #382753=DIRECTION('',(0.,0.,1.)); #382754=DIRECTION('center_axis',(0.,0.,-1.)); #382755=DIRECTION('ref_axis',(1.,0.,0.)); #382756=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #382757=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #382758=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #382759=DIRECTION('',(0.,0.,1.)); #382760=DIRECTION('',(5.55111499297693E-15,1.,0.)); #382761=DIRECTION('',(0.,0.,1.)); #382762=DIRECTION('center_axis',(0.,0.,1.)); #382763=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #382764=DIRECTION('center_axis',(0.,0.,-1.)); #382765=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #382766=DIRECTION('center_axis',(0.,0.,1.)); #382767=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #382768=DIRECTION('',(0.,0.,1.)); #382769=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #382770=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #382771=DIRECTION('',(5.55111499297693E-15,1.,0.)); #382772=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #382773=DIRECTION('',(0.,0.,1.)); #382774=DIRECTION('center_axis',(0.,0.,1.)); #382775=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #382776=DIRECTION('center_axis',(0.,0.,-1.)); #382777=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #382778=DIRECTION('center_axis',(0.,0.,1.)); #382779=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #382780=DIRECTION('center_axis',(0.,0.,1.)); #382781=DIRECTION('ref_axis',(1.,0.,0.)); #382782=DIRECTION('center_axis',(0.,0.,1.)); #382783=DIRECTION('ref_axis',(1.,0.,0.)); #382784=DIRECTION('',(0.,0.,1.)); #382785=DIRECTION('center_axis',(0.,0.,-1.)); #382786=DIRECTION('ref_axis',(1.,0.,0.)); #382787=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #382788=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #382789=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #382790=DIRECTION('',(0.,0.,1.)); #382791=DIRECTION('',(5.55111499297693E-15,1.,0.)); #382792=DIRECTION('',(0.,0.,1.)); #382793=DIRECTION('center_axis',(0.,0.,1.)); #382794=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #382795=DIRECTION('center_axis',(0.,0.,-1.)); #382796=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #382797=DIRECTION('center_axis',(0.,0.,1.)); #382798=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #382799=DIRECTION('',(0.,0.,1.)); #382800=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #382801=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #382802=DIRECTION('',(5.55111499297693E-15,1.,0.)); #382803=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #382804=DIRECTION('',(0.,0.,1.)); #382805=DIRECTION('center_axis',(0.,0.,1.)); #382806=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #382807=DIRECTION('center_axis',(0.,0.,-1.)); #382808=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #382809=DIRECTION('center_axis',(0.,0.,1.)); #382810=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #382811=DIRECTION('center_axis',(0.,0.,1.)); #382812=DIRECTION('ref_axis',(1.,0.,0.)); #382813=DIRECTION('center_axis',(0.,0.,1.)); #382814=DIRECTION('ref_axis',(1.,0.,0.)); #382815=DIRECTION('',(0.,0.,1.)); #382816=DIRECTION('center_axis',(0.,0.,-1.)); #382817=DIRECTION('ref_axis',(1.,0.,0.)); #382818=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #382819=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #382820=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #382821=DIRECTION('',(0.,0.,1.)); #382822=DIRECTION('',(5.55111499297693E-15,1.,0.)); #382823=DIRECTION('',(0.,0.,1.)); #382824=DIRECTION('center_axis',(0.,0.,1.)); #382825=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #382826=DIRECTION('center_axis',(0.,0.,-1.)); #382827=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #382828=DIRECTION('center_axis',(0.,0.,1.)); #382829=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #382830=DIRECTION('',(0.,0.,1.)); #382831=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #382832=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #382833=DIRECTION('',(5.55111499297693E-15,1.,0.)); #382834=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #382835=DIRECTION('',(0.,0.,1.)); #382836=DIRECTION('center_axis',(0.,0.,1.)); #382837=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #382838=DIRECTION('center_axis',(0.,0.,-1.)); #382839=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #382840=DIRECTION('center_axis',(0.,0.,1.)); #382841=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #382842=DIRECTION('center_axis',(0.,0.,1.)); #382843=DIRECTION('ref_axis',(1.,0.,0.)); #382844=DIRECTION('center_axis',(0.,0.,1.)); #382845=DIRECTION('ref_axis',(1.,0.,0.)); #382846=DIRECTION('',(0.,0.,1.)); #382847=DIRECTION('center_axis',(0.,0.,-1.)); #382848=DIRECTION('ref_axis',(1.,0.,0.)); #382849=DIRECTION('center_axis',(0.,0.,1.)); #382850=DIRECTION('ref_axis',(1.,0.,0.)); #382851=DIRECTION('center_axis',(0.,0.,1.)); #382852=DIRECTION('ref_axis',(1.,0.,0.)); #382853=DIRECTION('',(0.,0.,1.)); #382854=DIRECTION('center_axis',(0.,0.,-1.)); #382855=DIRECTION('ref_axis',(1.,0.,0.)); #382856=DIRECTION('center_axis',(0.,0.,1.)); #382857=DIRECTION('ref_axis',(1.,0.,0.)); #382858=DIRECTION('center_axis',(0.,0.,1.)); #382859=DIRECTION('ref_axis',(1.,0.,0.)); #382860=DIRECTION('',(0.,0.,1.)); #382861=DIRECTION('center_axis',(0.,0.,-1.)); #382862=DIRECTION('ref_axis',(1.,0.,0.)); #382863=DIRECTION('center_axis',(0.,0.,1.)); #382864=DIRECTION('ref_axis',(1.,0.,0.)); #382865=DIRECTION('center_axis',(0.,0.,1.)); #382866=DIRECTION('ref_axis',(1.,0.,0.)); #382867=DIRECTION('',(0.,0.,1.)); #382868=DIRECTION('center_axis',(0.,0.,-1.)); #382869=DIRECTION('ref_axis',(1.,0.,0.)); #382870=DIRECTION('center_axis',(0.,0.,1.)); #382871=DIRECTION('ref_axis',(1.,0.,0.)); #382872=DIRECTION('center_axis',(0.,0.,1.)); #382873=DIRECTION('ref_axis',(1.,0.,0.)); #382874=DIRECTION('',(0.,0.,1.)); #382875=DIRECTION('center_axis',(0.,0.,-1.)); #382876=DIRECTION('ref_axis',(1.,0.,0.)); #382877=DIRECTION('center_axis',(0.,0.,1.)); #382878=DIRECTION('ref_axis',(1.,0.,0.)); #382879=DIRECTION('center_axis',(0.,0.,1.)); #382880=DIRECTION('ref_axis',(1.,0.,0.)); #382881=DIRECTION('',(0.,0.,1.)); #382882=DIRECTION('center_axis',(0.,0.,-1.)); #382883=DIRECTION('ref_axis',(1.,0.,0.)); #382884=DIRECTION('center_axis',(0.,0.,1.)); #382885=DIRECTION('ref_axis',(1.,0.,0.)); #382886=DIRECTION('center_axis',(0.,0.,1.)); #382887=DIRECTION('ref_axis',(1.,0.,0.)); #382888=DIRECTION('',(0.,0.,1.)); #382889=DIRECTION('center_axis',(0.,0.,-1.)); #382890=DIRECTION('ref_axis',(1.,0.,0.)); #382891=DIRECTION('center_axis',(0.,0.,1.)); #382892=DIRECTION('ref_axis',(1.,0.,0.)); #382893=DIRECTION('center_axis',(0.,0.,1.)); #382894=DIRECTION('ref_axis',(1.,0.,0.)); #382895=DIRECTION('',(0.,0.,1.)); #382896=DIRECTION('center_axis',(0.,0.,-1.)); #382897=DIRECTION('ref_axis',(1.,0.,0.)); #382898=DIRECTION('center_axis',(0.,0.,1.)); #382899=DIRECTION('ref_axis',(1.,0.,0.)); #382900=DIRECTION('center_axis',(0.,0.,1.)); #382901=DIRECTION('ref_axis',(1.,0.,0.)); #382902=DIRECTION('',(0.,0.,1.)); #382903=DIRECTION('center_axis',(0.,0.,-1.)); #382904=DIRECTION('ref_axis',(1.,0.,0.)); #382905=DIRECTION('center_axis',(0.,0.,1.)); #382906=DIRECTION('ref_axis',(1.,0.,0.)); #382907=DIRECTION('center_axis',(0.,0.,1.)); #382908=DIRECTION('ref_axis',(1.,0.,0.)); #382909=DIRECTION('',(0.,0.,1.)); #382910=DIRECTION('center_axis',(0.,0.,-1.)); #382911=DIRECTION('ref_axis',(1.,0.,0.)); #382912=DIRECTION('center_axis',(0.,0.,1.)); #382913=DIRECTION('ref_axis',(1.,0.,0.)); #382914=DIRECTION('center_axis',(0.,0.,1.)); #382915=DIRECTION('ref_axis',(1.,0.,0.)); #382916=DIRECTION('',(0.,0.,1.)); #382917=DIRECTION('center_axis',(0.,0.,-1.)); #382918=DIRECTION('ref_axis',(1.,0.,0.)); #382919=DIRECTION('center_axis',(0.,0.,1.)); #382920=DIRECTION('ref_axis',(1.,0.,0.)); #382921=DIRECTION('center_axis',(0.,0.,1.)); #382922=DIRECTION('ref_axis',(1.,0.,0.)); #382923=DIRECTION('',(0.,0.,1.)); #382924=DIRECTION('center_axis',(0.,0.,-1.)); #382925=DIRECTION('ref_axis',(1.,0.,0.)); #382926=DIRECTION('center_axis',(0.,0.,1.)); #382927=DIRECTION('ref_axis',(1.,0.,0.)); #382928=DIRECTION('center_axis',(0.,0.,1.)); #382929=DIRECTION('ref_axis',(1.,0.,0.)); #382930=DIRECTION('',(0.,0.,1.)); #382931=DIRECTION('center_axis',(0.,0.,-1.)); #382932=DIRECTION('ref_axis',(1.,0.,0.)); #382933=DIRECTION('center_axis',(0.,0.,1.)); #382934=DIRECTION('ref_axis',(1.,0.,0.)); #382935=DIRECTION('center_axis',(0.,0.,1.)); #382936=DIRECTION('ref_axis',(1.,0.,0.)); #382937=DIRECTION('',(0.,0.,1.)); #382938=DIRECTION('center_axis',(0.,0.,-1.)); #382939=DIRECTION('ref_axis',(1.,0.,0.)); #382940=DIRECTION('center_axis',(0.,0.,1.)); #382941=DIRECTION('ref_axis',(1.,0.,0.)); #382942=DIRECTION('center_axis',(0.,0.,1.)); #382943=DIRECTION('ref_axis',(1.,0.,0.)); #382944=DIRECTION('',(0.,0.,1.)); #382945=DIRECTION('center_axis',(0.,0.,-1.)); #382946=DIRECTION('ref_axis',(1.,0.,0.)); #382947=DIRECTION('center_axis',(0.,0.,1.)); #382948=DIRECTION('ref_axis',(1.,0.,0.)); #382949=DIRECTION('center_axis',(0.,0.,1.)); #382950=DIRECTION('ref_axis',(1.,0.,0.)); #382951=DIRECTION('',(0.,0.,1.)); #382952=DIRECTION('center_axis',(0.,0.,-1.)); #382953=DIRECTION('ref_axis',(1.,0.,0.)); #382954=DIRECTION('center_axis',(0.,0.,1.)); #382955=DIRECTION('ref_axis',(1.,0.,0.)); #382956=DIRECTION('center_axis',(0.,0.,1.)); #382957=DIRECTION('ref_axis',(1.,0.,0.)); #382958=DIRECTION('',(0.,0.,1.)); #382959=DIRECTION('center_axis',(0.,0.,-1.)); #382960=DIRECTION('ref_axis',(1.,0.,0.)); #382961=DIRECTION('center_axis',(0.,0.,1.)); #382962=DIRECTION('ref_axis',(1.,0.,0.)); #382963=DIRECTION('center_axis',(0.,0.,1.)); #382964=DIRECTION('ref_axis',(1.,0.,0.)); #382965=DIRECTION('',(0.,0.,1.)); #382966=DIRECTION('center_axis',(0.,0.,-1.)); #382967=DIRECTION('ref_axis',(1.,0.,0.)); #382968=DIRECTION('center_axis',(0.,0.,1.)); #382969=DIRECTION('ref_axis',(1.,0.,0.)); #382970=DIRECTION('center_axis',(0.,0.,1.)); #382971=DIRECTION('ref_axis',(1.,0.,0.)); #382972=DIRECTION('',(0.,0.,1.)); #382973=DIRECTION('center_axis',(0.,0.,-1.)); #382974=DIRECTION('ref_axis',(1.,0.,0.)); #382975=DIRECTION('center_axis',(0.,0.,1.)); #382976=DIRECTION('ref_axis',(1.,0.,0.)); #382977=DIRECTION('center_axis',(0.,0.,1.)); #382978=DIRECTION('ref_axis',(1.,0.,0.)); #382979=DIRECTION('',(0.,0.,1.)); #382980=DIRECTION('center_axis',(0.,0.,-1.)); #382981=DIRECTION('ref_axis',(1.,0.,0.)); #382982=DIRECTION('center_axis',(0.,0.,1.)); #382983=DIRECTION('ref_axis',(1.,0.,0.)); #382984=DIRECTION('center_axis',(0.,0.,1.)); #382985=DIRECTION('ref_axis',(1.,0.,0.)); #382986=DIRECTION('',(0.,0.,1.)); #382987=DIRECTION('center_axis',(0.,0.,-1.)); #382988=DIRECTION('ref_axis',(1.,0.,0.)); #382989=DIRECTION('center_axis',(0.,0.,1.)); #382990=DIRECTION('ref_axis',(1.,0.,0.)); #382991=DIRECTION('center_axis',(0.,0.,1.)); #382992=DIRECTION('ref_axis',(1.,0.,0.)); #382993=DIRECTION('',(0.,0.,1.)); #382994=DIRECTION('center_axis',(0.,0.,-1.)); #382995=DIRECTION('ref_axis',(1.,0.,0.)); #382996=DIRECTION('center_axis',(0.,0.,1.)); #382997=DIRECTION('ref_axis',(1.,0.,0.)); #382998=DIRECTION('center_axis',(0.,0.,1.)); #382999=DIRECTION('ref_axis',(1.,0.,0.)); #383000=DIRECTION('',(0.,0.,1.)); #383001=DIRECTION('center_axis',(0.,0.,-1.)); #383002=DIRECTION('ref_axis',(1.,0.,0.)); #383003=DIRECTION('center_axis',(0.,0.,1.)); #383004=DIRECTION('ref_axis',(1.,0.,0.)); #383005=DIRECTION('center_axis',(0.,0.,1.)); #383006=DIRECTION('ref_axis',(1.,0.,0.)); #383007=DIRECTION('',(0.,0.,1.)); #383008=DIRECTION('center_axis',(0.,0.,-1.)); #383009=DIRECTION('ref_axis',(1.,0.,0.)); #383010=DIRECTION('center_axis',(0.,0.,1.)); #383011=DIRECTION('ref_axis',(1.,0.,0.)); #383012=DIRECTION('center_axis',(0.,0.,1.)); #383013=DIRECTION('ref_axis',(1.,0.,0.)); #383014=DIRECTION('',(0.,0.,1.)); #383015=DIRECTION('center_axis',(0.,0.,-1.)); #383016=DIRECTION('ref_axis',(1.,0.,0.)); #383017=DIRECTION('center_axis',(0.,0.,1.)); #383018=DIRECTION('ref_axis',(1.,0.,0.)); #383019=DIRECTION('center_axis',(0.,0.,1.)); #383020=DIRECTION('ref_axis',(1.,0.,0.)); #383021=DIRECTION('',(0.,0.,1.)); #383022=DIRECTION('center_axis',(0.,0.,-1.)); #383023=DIRECTION('ref_axis',(1.,0.,0.)); #383024=DIRECTION('center_axis',(0.,0.,1.)); #383025=DIRECTION('ref_axis',(1.,0.,0.)); #383026=DIRECTION('center_axis',(0.,0.,1.)); #383027=DIRECTION('ref_axis',(1.,0.,0.)); #383028=DIRECTION('',(0.,0.,1.)); #383029=DIRECTION('center_axis',(0.,0.,-1.)); #383030=DIRECTION('ref_axis',(1.,0.,0.)); #383031=DIRECTION('center_axis',(0.,0.,1.)); #383032=DIRECTION('ref_axis',(1.,0.,0.)); #383033=DIRECTION('center_axis',(0.,0.,1.)); #383034=DIRECTION('ref_axis',(1.,0.,0.)); #383035=DIRECTION('',(0.,0.,1.)); #383036=DIRECTION('center_axis',(0.,0.,-1.)); #383037=DIRECTION('ref_axis',(1.,0.,0.)); #383038=DIRECTION('center_axis',(0.,0.,1.)); #383039=DIRECTION('ref_axis',(1.,0.,0.)); #383040=DIRECTION('center_axis',(0.,0.,1.)); #383041=DIRECTION('ref_axis',(1.,0.,0.)); #383042=DIRECTION('',(0.,0.,1.)); #383043=DIRECTION('center_axis',(0.,0.,-1.)); #383044=DIRECTION('ref_axis',(1.,0.,0.)); #383045=DIRECTION('center_axis',(0.,0.,1.)); #383046=DIRECTION('ref_axis',(1.,0.,0.)); #383047=DIRECTION('center_axis',(0.,0.,1.)); #383048=DIRECTION('ref_axis',(1.,0.,0.)); #383049=DIRECTION('',(0.,0.,1.)); #383050=DIRECTION('center_axis',(0.,0.,-1.)); #383051=DIRECTION('ref_axis',(1.,0.,0.)); #383052=DIRECTION('center_axis',(0.,0.,1.)); #383053=DIRECTION('ref_axis',(1.,0.,0.)); #383054=DIRECTION('center_axis',(0.,0.,1.)); #383055=DIRECTION('ref_axis',(1.,0.,0.)); #383056=DIRECTION('',(0.,0.,1.)); #383057=DIRECTION('center_axis',(0.,0.,-1.)); #383058=DIRECTION('ref_axis',(1.,0.,0.)); #383059=DIRECTION('center_axis',(0.,0.,1.)); #383060=DIRECTION('ref_axis',(1.,0.,0.)); #383061=DIRECTION('center_axis',(0.,0.,1.)); #383062=DIRECTION('ref_axis',(1.,0.,0.)); #383063=DIRECTION('',(0.,0.,1.)); #383064=DIRECTION('center_axis',(0.,0.,-1.)); #383065=DIRECTION('ref_axis',(1.,0.,0.)); #383066=DIRECTION('center_axis',(0.,0.,1.)); #383067=DIRECTION('ref_axis',(1.,0.,0.)); #383068=DIRECTION('center_axis',(0.,0.,1.)); #383069=DIRECTION('ref_axis',(1.,0.,0.)); #383070=DIRECTION('',(0.,0.,1.)); #383071=DIRECTION('center_axis',(0.,0.,-1.)); #383072=DIRECTION('ref_axis',(1.,0.,0.)); #383073=DIRECTION('center_axis',(0.,0.,1.)); #383074=DIRECTION('ref_axis',(1.,0.,0.)); #383075=DIRECTION('center_axis',(0.,0.,1.)); #383076=DIRECTION('ref_axis',(1.,0.,0.)); #383077=DIRECTION('',(0.,0.,1.)); #383078=DIRECTION('center_axis',(0.,0.,-1.)); #383079=DIRECTION('ref_axis',(1.,0.,0.)); #383080=DIRECTION('center_axis',(0.,0.,1.)); #383081=DIRECTION('ref_axis',(1.,0.,0.)); #383082=DIRECTION('center_axis',(0.,0.,1.)); #383083=DIRECTION('ref_axis',(1.,0.,0.)); #383084=DIRECTION('',(0.,0.,1.)); #383085=DIRECTION('center_axis',(0.,0.,-1.)); #383086=DIRECTION('ref_axis',(1.,0.,0.)); #383087=DIRECTION('center_axis',(0.,0.,1.)); #383088=DIRECTION('ref_axis',(1.,0.,0.)); #383089=DIRECTION('center_axis',(0.,0.,1.)); #383090=DIRECTION('ref_axis',(1.,0.,0.)); #383091=DIRECTION('',(0.,0.,1.)); #383092=DIRECTION('center_axis',(0.,0.,-1.)); #383093=DIRECTION('ref_axis',(1.,0.,0.)); #383094=DIRECTION('center_axis',(0.,0.,1.)); #383095=DIRECTION('ref_axis',(1.,0.,0.)); #383096=DIRECTION('center_axis',(0.,0.,1.)); #383097=DIRECTION('ref_axis',(1.,0.,0.)); #383098=DIRECTION('',(0.,0.,1.)); #383099=DIRECTION('center_axis',(0.,0.,-1.)); #383100=DIRECTION('ref_axis',(1.,0.,0.)); #383101=DIRECTION('center_axis',(0.,0.,1.)); #383102=DIRECTION('ref_axis',(1.,0.,0.)); #383103=DIRECTION('center_axis',(0.,0.,1.)); #383104=DIRECTION('ref_axis',(1.,0.,0.)); #383105=DIRECTION('',(0.,0.,1.)); #383106=DIRECTION('center_axis',(0.,0.,-1.)); #383107=DIRECTION('ref_axis',(1.,0.,0.)); #383108=DIRECTION('center_axis',(0.,0.,1.)); #383109=DIRECTION('ref_axis',(1.,0.,0.)); #383110=DIRECTION('center_axis',(0.,0.,1.)); #383111=DIRECTION('ref_axis',(1.,0.,0.)); #383112=DIRECTION('',(0.,0.,1.)); #383113=DIRECTION('center_axis',(0.,0.,-1.)); #383114=DIRECTION('ref_axis',(1.,0.,0.)); #383115=DIRECTION('center_axis',(0.,0.,1.)); #383116=DIRECTION('ref_axis',(1.,0.,0.)); #383117=DIRECTION('center_axis',(0.,0.,1.)); #383118=DIRECTION('ref_axis',(1.,0.,0.)); #383119=DIRECTION('',(0.,0.,1.)); #383120=DIRECTION('center_axis',(0.,0.,-1.)); #383121=DIRECTION('ref_axis',(1.,0.,0.)); #383122=DIRECTION('center_axis',(0.,0.,1.)); #383123=DIRECTION('ref_axis',(1.,0.,0.)); #383124=DIRECTION('center_axis',(0.,0.,1.)); #383125=DIRECTION('ref_axis',(1.,0.,0.)); #383126=DIRECTION('',(0.,0.,1.)); #383127=DIRECTION('center_axis',(0.,0.,-1.)); #383128=DIRECTION('ref_axis',(1.,0.,0.)); #383129=DIRECTION('center_axis',(0.,0.,1.)); #383130=DIRECTION('ref_axis',(1.,0.,0.)); #383131=DIRECTION('center_axis',(0.,0.,1.)); #383132=DIRECTION('ref_axis',(1.,0.,0.)); #383133=DIRECTION('',(0.,0.,1.)); #383134=DIRECTION('center_axis',(0.,0.,-1.)); #383135=DIRECTION('ref_axis',(1.,0.,0.)); #383136=DIRECTION('center_axis',(0.,0.,1.)); #383137=DIRECTION('ref_axis',(1.,0.,0.)); #383138=DIRECTION('center_axis',(0.,0.,1.)); #383139=DIRECTION('ref_axis',(1.,0.,0.)); #383140=DIRECTION('',(0.,0.,1.)); #383141=DIRECTION('center_axis',(0.,0.,-1.)); #383142=DIRECTION('ref_axis',(1.,0.,0.)); #383143=DIRECTION('center_axis',(0.,0.,1.)); #383144=DIRECTION('ref_axis',(1.,0.,0.)); #383145=DIRECTION('center_axis',(0.,0.,1.)); #383146=DIRECTION('ref_axis',(1.,0.,0.)); #383147=DIRECTION('',(0.,0.,1.)); #383148=DIRECTION('center_axis',(0.,0.,-1.)); #383149=DIRECTION('ref_axis',(1.,0.,0.)); #383150=DIRECTION('center_axis',(0.,0.,1.)); #383151=DIRECTION('ref_axis',(1.,0.,0.)); #383152=DIRECTION('center_axis',(0.,0.,1.)); #383153=DIRECTION('ref_axis',(1.,0.,0.)); #383154=DIRECTION('',(0.,0.,1.)); #383155=DIRECTION('center_axis',(0.,0.,-1.)); #383156=DIRECTION('ref_axis',(1.,0.,0.)); #383157=DIRECTION('center_axis',(0.,0.,1.)); #383158=DIRECTION('ref_axis',(1.,0.,0.)); #383159=DIRECTION('center_axis',(0.,0.,1.)); #383160=DIRECTION('ref_axis',(1.,0.,0.)); #383161=DIRECTION('',(0.,0.,1.)); #383162=DIRECTION('center_axis',(0.,0.,-1.)); #383163=DIRECTION('ref_axis',(1.,0.,0.)); #383164=DIRECTION('center_axis',(0.,0.,1.)); #383165=DIRECTION('ref_axis',(1.,0.,0.)); #383166=DIRECTION('center_axis',(0.,0.,1.)); #383167=DIRECTION('ref_axis',(1.,0.,0.)); #383168=DIRECTION('',(0.,0.,1.)); #383169=DIRECTION('center_axis',(0.,0.,-1.)); #383170=DIRECTION('ref_axis',(1.,0.,0.)); #383171=DIRECTION('center_axis',(0.,0.,1.)); #383172=DIRECTION('ref_axis',(1.,0.,0.)); #383173=DIRECTION('center_axis',(0.,0.,1.)); #383174=DIRECTION('ref_axis',(1.,0.,0.)); #383175=DIRECTION('',(0.,0.,1.)); #383176=DIRECTION('center_axis',(0.,0.,-1.)); #383177=DIRECTION('ref_axis',(1.,0.,0.)); #383178=DIRECTION('center_axis',(0.,0.,1.)); #383179=DIRECTION('ref_axis',(1.,0.,0.)); #383180=DIRECTION('center_axis',(0.,0.,1.)); #383181=DIRECTION('ref_axis',(1.,0.,0.)); #383182=DIRECTION('',(0.,0.,1.)); #383183=DIRECTION('center_axis',(0.,0.,-1.)); #383184=DIRECTION('ref_axis',(1.,0.,0.)); #383185=DIRECTION('center_axis',(0.,0.,1.)); #383186=DIRECTION('ref_axis',(1.,0.,0.)); #383187=DIRECTION('center_axis',(0.,0.,1.)); #383188=DIRECTION('ref_axis',(1.,0.,0.)); #383189=DIRECTION('',(0.,0.,1.)); #383190=DIRECTION('center_axis',(0.,0.,-1.)); #383191=DIRECTION('ref_axis',(1.,0.,0.)); #383192=DIRECTION('center_axis',(0.,0.,1.)); #383193=DIRECTION('ref_axis',(1.,0.,0.)); #383194=DIRECTION('center_axis',(0.,0.,1.)); #383195=DIRECTION('ref_axis',(1.,0.,0.)); #383196=DIRECTION('',(0.,0.,1.)); #383197=DIRECTION('center_axis',(0.,0.,-1.)); #383198=DIRECTION('ref_axis',(1.,0.,0.)); #383199=DIRECTION('center_axis',(0.,0.,1.)); #383200=DIRECTION('ref_axis',(1.,0.,0.)); #383201=DIRECTION('center_axis',(0.,0.,1.)); #383202=DIRECTION('ref_axis',(1.,0.,0.)); #383203=DIRECTION('',(0.,0.,1.)); #383204=DIRECTION('center_axis',(0.,0.,-1.)); #383205=DIRECTION('ref_axis',(1.,0.,0.)); #383206=DIRECTION('center_axis',(0.,0.,1.)); #383207=DIRECTION('ref_axis',(1.,0.,0.)); #383208=DIRECTION('center_axis',(0.,0.,1.)); #383209=DIRECTION('ref_axis',(1.,0.,0.)); #383210=DIRECTION('',(0.,0.,1.)); #383211=DIRECTION('center_axis',(0.,0.,-1.)); #383212=DIRECTION('ref_axis',(1.,0.,0.)); #383213=DIRECTION('center_axis',(0.,0.,1.)); #383214=DIRECTION('ref_axis',(1.,0.,0.)); #383215=DIRECTION('center_axis',(0.,0.,1.)); #383216=DIRECTION('ref_axis',(1.,0.,0.)); #383217=DIRECTION('',(0.,0.,1.)); #383218=DIRECTION('center_axis',(0.,0.,-1.)); #383219=DIRECTION('ref_axis',(1.,0.,0.)); #383220=DIRECTION('center_axis',(0.,0.,1.)); #383221=DIRECTION('ref_axis',(1.,0.,0.)); #383222=DIRECTION('center_axis',(0.,0.,1.)); #383223=DIRECTION('ref_axis',(1.,0.,0.)); #383224=DIRECTION('',(0.,0.,1.)); #383225=DIRECTION('center_axis',(0.,0.,-1.)); #383226=DIRECTION('ref_axis',(1.,0.,0.)); #383227=DIRECTION('center_axis',(0.,0.,1.)); #383228=DIRECTION('ref_axis',(1.,0.,0.)); #383229=DIRECTION('center_axis',(0.,0.,1.)); #383230=DIRECTION('ref_axis',(1.,0.,0.)); #383231=DIRECTION('',(0.,0.,1.)); #383232=DIRECTION('center_axis',(0.,0.,-1.)); #383233=DIRECTION('ref_axis',(1.,0.,0.)); #383234=DIRECTION('center_axis',(0.,0.,1.)); #383235=DIRECTION('ref_axis',(1.,0.,0.)); #383236=DIRECTION('center_axis',(0.,0.,1.)); #383237=DIRECTION('ref_axis',(1.,0.,0.)); #383238=DIRECTION('',(0.,0.,1.)); #383239=DIRECTION('center_axis',(0.,0.,-1.)); #383240=DIRECTION('ref_axis',(1.,0.,0.)); #383241=DIRECTION('center_axis',(0.,0.,1.)); #383242=DIRECTION('ref_axis',(1.,0.,0.)); #383243=DIRECTION('center_axis',(0.,0.,1.)); #383244=DIRECTION('ref_axis',(1.,0.,0.)); #383245=DIRECTION('',(0.,0.,1.)); #383246=DIRECTION('center_axis',(0.,0.,-1.)); #383247=DIRECTION('ref_axis',(1.,0.,0.)); #383248=DIRECTION('center_axis',(0.,0.,1.)); #383249=DIRECTION('ref_axis',(1.,0.,0.)); #383250=DIRECTION('center_axis',(0.,0.,1.)); #383251=DIRECTION('ref_axis',(1.,0.,0.)); #383252=DIRECTION('',(0.,0.,1.)); #383253=DIRECTION('center_axis',(0.,0.,-1.)); #383254=DIRECTION('ref_axis',(1.,0.,0.)); #383255=DIRECTION('center_axis',(0.,0.,1.)); #383256=DIRECTION('ref_axis',(1.,0.,0.)); #383257=DIRECTION('center_axis',(0.,0.,1.)); #383258=DIRECTION('ref_axis',(1.,0.,0.)); #383259=DIRECTION('',(0.,0.,1.)); #383260=DIRECTION('center_axis',(0.,0.,-1.)); #383261=DIRECTION('ref_axis',(1.,0.,0.)); #383262=DIRECTION('center_axis',(0.,0.,1.)); #383263=DIRECTION('ref_axis',(1.,0.,0.)); #383264=DIRECTION('center_axis',(0.,0.,1.)); #383265=DIRECTION('ref_axis',(1.,0.,0.)); #383266=DIRECTION('',(0.,0.,1.)); #383267=DIRECTION('center_axis',(0.,0.,-1.)); #383268=DIRECTION('ref_axis',(1.,0.,0.)); #383269=DIRECTION('center_axis',(0.,0.,1.)); #383270=DIRECTION('ref_axis',(1.,0.,0.)); #383271=DIRECTION('center_axis',(0.,0.,1.)); #383272=DIRECTION('ref_axis',(1.,0.,0.)); #383273=DIRECTION('',(0.,0.,1.)); #383274=DIRECTION('center_axis',(0.,0.,-1.)); #383275=DIRECTION('ref_axis',(1.,0.,0.)); #383276=DIRECTION('center_axis',(0.,0.,1.)); #383277=DIRECTION('ref_axis',(1.,0.,0.)); #383278=DIRECTION('center_axis',(0.,0.,1.)); #383279=DIRECTION('ref_axis',(1.,0.,0.)); #383280=DIRECTION('',(0.,0.,1.)); #383281=DIRECTION('center_axis',(0.,0.,-1.)); #383282=DIRECTION('ref_axis',(1.,0.,0.)); #383283=DIRECTION('center_axis',(0.,0.,1.)); #383284=DIRECTION('ref_axis',(1.,0.,0.)); #383285=DIRECTION('center_axis',(0.,0.,1.)); #383286=DIRECTION('ref_axis',(1.,0.,0.)); #383287=DIRECTION('',(0.,0.,1.)); #383288=DIRECTION('center_axis',(0.,0.,-1.)); #383289=DIRECTION('ref_axis',(1.,0.,0.)); #383290=DIRECTION('center_axis',(0.,0.,1.)); #383291=DIRECTION('ref_axis',(1.,0.,0.)); #383292=DIRECTION('center_axis',(0.,0.,1.)); #383293=DIRECTION('ref_axis',(1.,0.,0.)); #383294=DIRECTION('',(0.,0.,1.)); #383295=DIRECTION('center_axis',(0.,0.,-1.)); #383296=DIRECTION('ref_axis',(1.,0.,0.)); #383297=DIRECTION('center_axis',(0.,0.,1.)); #383298=DIRECTION('ref_axis',(1.,0.,0.)); #383299=DIRECTION('center_axis',(0.,0.,1.)); #383300=DIRECTION('ref_axis',(1.,0.,0.)); #383301=DIRECTION('',(0.,0.,1.)); #383302=DIRECTION('center_axis',(0.,0.,-1.)); #383303=DIRECTION('ref_axis',(1.,0.,0.)); #383304=DIRECTION('center_axis',(0.,0.,1.)); #383305=DIRECTION('ref_axis',(1.,0.,0.)); #383306=DIRECTION('center_axis',(0.,0.,1.)); #383307=DIRECTION('ref_axis',(1.,0.,0.)); #383308=DIRECTION('',(0.,0.,1.)); #383309=DIRECTION('center_axis',(0.,0.,-1.)); #383310=DIRECTION('ref_axis',(1.,0.,0.)); #383311=DIRECTION('center_axis',(0.,0.,1.)); #383312=DIRECTION('ref_axis',(1.,0.,0.)); #383313=DIRECTION('center_axis',(0.,0.,1.)); #383314=DIRECTION('ref_axis',(1.,0.,0.)); #383315=DIRECTION('',(0.,0.,1.)); #383316=DIRECTION('center_axis',(0.,0.,-1.)); #383317=DIRECTION('ref_axis',(1.,0.,0.)); #383318=DIRECTION('center_axis',(0.,0.,1.)); #383319=DIRECTION('ref_axis',(1.,0.,0.)); #383320=DIRECTION('center_axis',(0.,0.,1.)); #383321=DIRECTION('ref_axis',(1.,0.,0.)); #383322=DIRECTION('',(0.,0.,1.)); #383323=DIRECTION('center_axis',(0.,0.,-1.)); #383324=DIRECTION('ref_axis',(1.,0.,0.)); #383325=DIRECTION('center_axis',(0.,0.,1.)); #383326=DIRECTION('ref_axis',(1.,0.,0.)); #383327=DIRECTION('center_axis',(0.,0.,1.)); #383328=DIRECTION('ref_axis',(1.,0.,0.)); #383329=DIRECTION('',(0.,0.,1.)); #383330=DIRECTION('center_axis',(0.,0.,-1.)); #383331=DIRECTION('ref_axis',(1.,0.,0.)); #383332=DIRECTION('center_axis',(0.,0.,1.)); #383333=DIRECTION('ref_axis',(1.,0.,0.)); #383334=DIRECTION('center_axis',(0.,0.,1.)); #383335=DIRECTION('ref_axis',(1.,0.,0.)); #383336=DIRECTION('',(0.,0.,1.)); #383337=DIRECTION('center_axis',(0.,0.,-1.)); #383338=DIRECTION('ref_axis',(1.,0.,0.)); #383339=DIRECTION('center_axis',(0.,0.,1.)); #383340=DIRECTION('ref_axis',(1.,0.,0.)); #383341=DIRECTION('center_axis',(0.,0.,1.)); #383342=DIRECTION('ref_axis',(1.,0.,0.)); #383343=DIRECTION('',(0.,0.,1.)); #383344=DIRECTION('center_axis',(0.,0.,-1.)); #383345=DIRECTION('ref_axis',(1.,0.,0.)); #383346=DIRECTION('center_axis',(0.,0.,1.)); #383347=DIRECTION('ref_axis',(1.,0.,0.)); #383348=DIRECTION('center_axis',(0.,0.,1.)); #383349=DIRECTION('ref_axis',(1.,0.,0.)); #383350=DIRECTION('',(0.,0.,1.)); #383351=DIRECTION('center_axis',(0.,0.,-1.)); #383352=DIRECTION('ref_axis',(1.,0.,0.)); #383353=DIRECTION('center_axis',(0.,0.,1.)); #383354=DIRECTION('ref_axis',(1.,0.,0.)); #383355=DIRECTION('center_axis',(0.,0.,1.)); #383356=DIRECTION('ref_axis',(1.,0.,0.)); #383357=DIRECTION('',(0.,0.,1.)); #383358=DIRECTION('center_axis',(0.,0.,-1.)); #383359=DIRECTION('ref_axis',(1.,0.,0.)); #383360=DIRECTION('center_axis',(0.,0.,1.)); #383361=DIRECTION('ref_axis',(1.,0.,0.)); #383362=DIRECTION('center_axis',(0.,0.,1.)); #383363=DIRECTION('ref_axis',(1.,0.,0.)); #383364=DIRECTION('',(0.,0.,1.)); #383365=DIRECTION('center_axis',(0.,0.,-1.)); #383366=DIRECTION('ref_axis',(1.,0.,0.)); #383367=DIRECTION('center_axis',(0.,0.,1.)); #383368=DIRECTION('ref_axis',(1.,0.,0.)); #383369=DIRECTION('center_axis',(0.,0.,1.)); #383370=DIRECTION('ref_axis',(1.,0.,0.)); #383371=DIRECTION('',(0.,0.,1.)); #383372=DIRECTION('center_axis',(0.,0.,-1.)); #383373=DIRECTION('ref_axis',(1.,0.,0.)); #383374=DIRECTION('center_axis',(0.,0.,1.)); #383375=DIRECTION('ref_axis',(1.,0.,0.)); #383376=DIRECTION('center_axis',(0.,0.,1.)); #383377=DIRECTION('ref_axis',(1.,0.,0.)); #383378=DIRECTION('',(0.,0.,1.)); #383379=DIRECTION('center_axis',(0.,0.,-1.)); #383380=DIRECTION('ref_axis',(1.,0.,0.)); #383381=DIRECTION('center_axis',(0.,0.,1.)); #383382=DIRECTION('ref_axis',(1.,0.,0.)); #383383=DIRECTION('center_axis',(0.,0.,1.)); #383384=DIRECTION('ref_axis',(1.,0.,0.)); #383385=DIRECTION('',(0.,0.,1.)); #383386=DIRECTION('center_axis',(0.,0.,-1.)); #383387=DIRECTION('ref_axis',(1.,0.,0.)); #383388=DIRECTION('center_axis',(0.,0.,1.)); #383389=DIRECTION('ref_axis',(1.,0.,0.)); #383390=DIRECTION('center_axis',(0.,0.,1.)); #383391=DIRECTION('ref_axis',(1.,0.,0.)); #383392=DIRECTION('',(0.,0.,1.)); #383393=DIRECTION('center_axis',(0.,0.,-1.)); #383394=DIRECTION('ref_axis',(1.,0.,0.)); #383395=DIRECTION('center_axis',(0.,0.,1.)); #383396=DIRECTION('ref_axis',(1.,0.,0.)); #383397=DIRECTION('center_axis',(0.,0.,1.)); #383398=DIRECTION('ref_axis',(1.,0.,0.)); #383399=DIRECTION('',(0.,0.,1.)); #383400=DIRECTION('center_axis',(0.,0.,-1.)); #383401=DIRECTION('ref_axis',(1.,0.,0.)); #383402=DIRECTION('center_axis',(0.,0.,1.)); #383403=DIRECTION('ref_axis',(1.,0.,0.)); #383404=DIRECTION('center_axis',(0.,0.,1.)); #383405=DIRECTION('ref_axis',(1.,0.,0.)); #383406=DIRECTION('',(0.,0.,1.)); #383407=DIRECTION('center_axis',(0.,0.,-1.)); #383408=DIRECTION('ref_axis',(1.,0.,0.)); #383409=DIRECTION('center_axis',(0.,0.,1.)); #383410=DIRECTION('ref_axis',(1.,0.,0.)); #383411=DIRECTION('center_axis',(0.,0.,1.)); #383412=DIRECTION('ref_axis',(1.,0.,0.)); #383413=DIRECTION('',(0.,0.,1.)); #383414=DIRECTION('center_axis',(0.,0.,-1.)); #383415=DIRECTION('ref_axis',(1.,0.,0.)); #383416=DIRECTION('center_axis',(0.,0.,1.)); #383417=DIRECTION('ref_axis',(1.,0.,0.)); #383418=DIRECTION('center_axis',(0.,0.,1.)); #383419=DIRECTION('ref_axis',(1.,0.,0.)); #383420=DIRECTION('',(0.,0.,1.)); #383421=DIRECTION('center_axis',(0.,0.,-1.)); #383422=DIRECTION('ref_axis',(1.,0.,0.)); #383423=DIRECTION('center_axis',(0.,0.,1.)); #383424=DIRECTION('ref_axis',(1.,0.,0.)); #383425=DIRECTION('center_axis',(0.,0.,1.)); #383426=DIRECTION('ref_axis',(1.,0.,0.)); #383427=DIRECTION('',(0.,0.,1.)); #383428=DIRECTION('center_axis',(0.,0.,-1.)); #383429=DIRECTION('ref_axis',(1.,0.,0.)); #383430=DIRECTION('center_axis',(0.,0.,1.)); #383431=DIRECTION('ref_axis',(1.,0.,0.)); #383432=DIRECTION('center_axis',(0.,0.,1.)); #383433=DIRECTION('ref_axis',(1.,0.,0.)); #383434=DIRECTION('',(0.,0.,1.)); #383435=DIRECTION('center_axis',(0.,0.,-1.)); #383436=DIRECTION('ref_axis',(1.,0.,0.)); #383437=DIRECTION('center_axis',(0.,0.,1.)); #383438=DIRECTION('ref_axis',(1.,0.,0.)); #383439=DIRECTION('center_axis',(0.,0.,1.)); #383440=DIRECTION('ref_axis',(1.,0.,0.)); #383441=DIRECTION('',(0.,0.,1.)); #383442=DIRECTION('center_axis',(0.,0.,-1.)); #383443=DIRECTION('ref_axis',(1.,0.,0.)); #383444=DIRECTION('center_axis',(0.,0.,1.)); #383445=DIRECTION('ref_axis',(1.,0.,0.)); #383446=DIRECTION('center_axis',(0.,0.,1.)); #383447=DIRECTION('ref_axis',(1.,0.,0.)); #383448=DIRECTION('',(0.,0.,1.)); #383449=DIRECTION('center_axis',(0.,0.,-1.)); #383450=DIRECTION('ref_axis',(1.,0.,0.)); #383451=DIRECTION('center_axis',(0.,0.,1.)); #383452=DIRECTION('ref_axis',(1.,0.,0.)); #383453=DIRECTION('center_axis',(0.,0.,1.)); #383454=DIRECTION('ref_axis',(1.,0.,0.)); #383455=DIRECTION('',(0.,0.,1.)); #383456=DIRECTION('center_axis',(0.,0.,-1.)); #383457=DIRECTION('ref_axis',(1.,0.,0.)); #383458=DIRECTION('center_axis',(0.,0.,1.)); #383459=DIRECTION('ref_axis',(1.,0.,0.)); #383460=DIRECTION('center_axis',(0.,0.,1.)); #383461=DIRECTION('ref_axis',(1.,0.,0.)); #383462=DIRECTION('',(0.,0.,1.)); #383463=DIRECTION('center_axis',(0.,0.,-1.)); #383464=DIRECTION('ref_axis',(1.,0.,0.)); #383465=DIRECTION('center_axis',(0.,0.,1.)); #383466=DIRECTION('ref_axis',(1.,0.,0.)); #383467=DIRECTION('center_axis',(0.,0.,1.)); #383468=DIRECTION('ref_axis',(1.,0.,0.)); #383469=DIRECTION('',(0.,0.,1.)); #383470=DIRECTION('center_axis',(0.,0.,-1.)); #383471=DIRECTION('ref_axis',(1.,0.,0.)); #383472=DIRECTION('center_axis',(0.,0.,1.)); #383473=DIRECTION('ref_axis',(1.,0.,0.)); #383474=DIRECTION('center_axis',(0.,0.,1.)); #383475=DIRECTION('ref_axis',(1.,0.,0.)); #383476=DIRECTION('',(0.,0.,1.)); #383477=DIRECTION('center_axis',(0.,0.,-1.)); #383478=DIRECTION('ref_axis',(1.,0.,0.)); #383479=DIRECTION('center_axis',(0.,0.,1.)); #383480=DIRECTION('ref_axis',(1.,0.,0.)); #383481=DIRECTION('center_axis',(0.,0.,1.)); #383482=DIRECTION('ref_axis',(1.,0.,0.)); #383483=DIRECTION('',(0.,0.,1.)); #383484=DIRECTION('center_axis',(0.,0.,-1.)); #383485=DIRECTION('ref_axis',(1.,0.,0.)); #383486=DIRECTION('center_axis',(0.,0.,1.)); #383487=DIRECTION('ref_axis',(1.,0.,0.)); #383488=DIRECTION('center_axis',(0.,0.,1.)); #383489=DIRECTION('ref_axis',(1.,0.,0.)); #383490=DIRECTION('',(0.,0.,1.)); #383491=DIRECTION('center_axis',(0.,0.,-1.)); #383492=DIRECTION('ref_axis',(1.,0.,0.)); #383493=DIRECTION('center_axis',(0.,0.,1.)); #383494=DIRECTION('ref_axis',(1.,0.,0.)); #383495=DIRECTION('center_axis',(0.,0.,1.)); #383496=DIRECTION('ref_axis',(1.,0.,0.)); #383497=DIRECTION('',(0.,0.,1.)); #383498=DIRECTION('center_axis',(0.,0.,-1.)); #383499=DIRECTION('ref_axis',(1.,0.,0.)); #383500=DIRECTION('center_axis',(0.,0.,1.)); #383501=DIRECTION('ref_axis',(1.,0.,0.)); #383502=DIRECTION('center_axis',(0.,0.,1.)); #383503=DIRECTION('ref_axis',(1.,0.,0.)); #383504=DIRECTION('',(0.,0.,1.)); #383505=DIRECTION('center_axis',(0.,0.,-1.)); #383506=DIRECTION('ref_axis',(1.,0.,0.)); #383507=DIRECTION('center_axis',(0.,0.,1.)); #383508=DIRECTION('ref_axis',(1.,0.,0.)); #383509=DIRECTION('center_axis',(0.,0.,1.)); #383510=DIRECTION('ref_axis',(1.,0.,0.)); #383511=DIRECTION('',(0.,0.,1.)); #383512=DIRECTION('center_axis',(0.,0.,-1.)); #383513=DIRECTION('ref_axis',(1.,0.,0.)); #383514=DIRECTION('center_axis',(0.,0.,1.)); #383515=DIRECTION('ref_axis',(1.,0.,0.)); #383516=DIRECTION('center_axis',(0.,0.,1.)); #383517=DIRECTION('ref_axis',(1.,0.,0.)); #383518=DIRECTION('',(0.,0.,1.)); #383519=DIRECTION('center_axis',(0.,0.,-1.)); #383520=DIRECTION('ref_axis',(1.,0.,0.)); #383521=DIRECTION('center_axis',(0.,0.,1.)); #383522=DIRECTION('ref_axis',(1.,0.,0.)); #383523=DIRECTION('center_axis',(0.,0.,1.)); #383524=DIRECTION('ref_axis',(1.,0.,0.)); #383525=DIRECTION('',(0.,0.,1.)); #383526=DIRECTION('center_axis',(0.,0.,-1.)); #383527=DIRECTION('ref_axis',(1.,0.,0.)); #383528=DIRECTION('center_axis',(0.,0.,1.)); #383529=DIRECTION('ref_axis',(1.,0.,0.)); #383530=DIRECTION('center_axis',(0.,0.,1.)); #383531=DIRECTION('ref_axis',(1.,0.,0.)); #383532=DIRECTION('',(0.,0.,1.)); #383533=DIRECTION('center_axis',(0.,0.,-1.)); #383534=DIRECTION('ref_axis',(1.,0.,0.)); #383535=DIRECTION('center_axis',(0.,0.,1.)); #383536=DIRECTION('ref_axis',(1.,0.,0.)); #383537=DIRECTION('center_axis',(0.,0.,1.)); #383538=DIRECTION('ref_axis',(1.,0.,0.)); #383539=DIRECTION('',(0.,0.,1.)); #383540=DIRECTION('center_axis',(0.,0.,-1.)); #383541=DIRECTION('ref_axis',(1.,0.,0.)); #383542=DIRECTION('center_axis',(0.,0.,1.)); #383543=DIRECTION('ref_axis',(1.,0.,0.)); #383544=DIRECTION('center_axis',(0.,0.,1.)); #383545=DIRECTION('ref_axis',(1.,0.,0.)); #383546=DIRECTION('',(0.,0.,1.)); #383547=DIRECTION('center_axis',(0.,0.,-1.)); #383548=DIRECTION('ref_axis',(1.,0.,0.)); #383549=DIRECTION('center_axis',(0.,0.,1.)); #383550=DIRECTION('ref_axis',(1.,0.,0.)); #383551=DIRECTION('center_axis',(0.,0.,1.)); #383552=DIRECTION('ref_axis',(1.,0.,0.)); #383553=DIRECTION('',(0.,0.,1.)); #383554=DIRECTION('center_axis',(0.,0.,-1.)); #383555=DIRECTION('ref_axis',(1.,0.,0.)); #383556=DIRECTION('center_axis',(0.,0.,1.)); #383557=DIRECTION('ref_axis',(1.,0.,0.)); #383558=DIRECTION('center_axis',(0.,0.,1.)); #383559=DIRECTION('ref_axis',(1.,0.,0.)); #383560=DIRECTION('',(0.,0.,1.)); #383561=DIRECTION('center_axis',(0.,0.,-1.)); #383562=DIRECTION('ref_axis',(1.,0.,0.)); #383563=DIRECTION('center_axis',(0.,0.,1.)); #383564=DIRECTION('ref_axis',(1.,0.,0.)); #383565=DIRECTION('center_axis',(0.,0.,1.)); #383566=DIRECTION('ref_axis',(1.,0.,0.)); #383567=DIRECTION('',(0.,0.,1.)); #383568=DIRECTION('center_axis',(0.,0.,-1.)); #383569=DIRECTION('ref_axis',(1.,0.,0.)); #383570=DIRECTION('center_axis',(0.,0.,1.)); #383571=DIRECTION('ref_axis',(1.,0.,0.)); #383572=DIRECTION('center_axis',(0.,0.,1.)); #383573=DIRECTION('ref_axis',(1.,0.,0.)); #383574=DIRECTION('',(0.,0.,1.)); #383575=DIRECTION('center_axis',(0.,0.,-1.)); #383576=DIRECTION('ref_axis',(1.,0.,0.)); #383577=DIRECTION('center_axis',(0.,0.,1.)); #383578=DIRECTION('ref_axis',(1.,0.,0.)); #383579=DIRECTION('center_axis',(0.,0.,1.)); #383580=DIRECTION('ref_axis',(1.,0.,0.)); #383581=DIRECTION('',(0.,0.,1.)); #383582=DIRECTION('center_axis',(0.,0.,-1.)); #383583=DIRECTION('ref_axis',(1.,0.,0.)); #383584=DIRECTION('center_axis',(0.,0.,1.)); #383585=DIRECTION('ref_axis',(1.,0.,0.)); #383586=DIRECTION('center_axis',(0.,0.,1.)); #383587=DIRECTION('ref_axis',(1.,0.,0.)); #383588=DIRECTION('',(0.,0.,1.)); #383589=DIRECTION('center_axis',(0.,0.,-1.)); #383590=DIRECTION('ref_axis',(1.,0.,0.)); #383591=DIRECTION('center_axis',(0.,0.,1.)); #383592=DIRECTION('ref_axis',(1.,0.,0.)); #383593=DIRECTION('center_axis',(0.,0.,1.)); #383594=DIRECTION('ref_axis',(1.,0.,0.)); #383595=DIRECTION('',(0.,0.,1.)); #383596=DIRECTION('center_axis',(0.,0.,-1.)); #383597=DIRECTION('ref_axis',(1.,0.,0.)); #383598=DIRECTION('center_axis',(0.,0.,1.)); #383599=DIRECTION('ref_axis',(1.,0.,0.)); #383600=DIRECTION('center_axis',(0.,0.,1.)); #383601=DIRECTION('ref_axis',(1.,0.,0.)); #383602=DIRECTION('',(0.,0.,1.)); #383603=DIRECTION('center_axis',(0.,0.,-1.)); #383604=DIRECTION('ref_axis',(1.,0.,0.)); #383605=DIRECTION('center_axis',(0.,0.,1.)); #383606=DIRECTION('ref_axis',(1.,0.,0.)); #383607=DIRECTION('center_axis',(0.,0.,1.)); #383608=DIRECTION('ref_axis',(1.,0.,0.)); #383609=DIRECTION('',(0.,0.,1.)); #383610=DIRECTION('center_axis',(0.,0.,-1.)); #383611=DIRECTION('ref_axis',(1.,0.,0.)); #383612=DIRECTION('center_axis',(0.,0.,1.)); #383613=DIRECTION('ref_axis',(1.,0.,0.)); #383614=DIRECTION('center_axis',(0.,0.,1.)); #383615=DIRECTION('ref_axis',(1.,0.,0.)); #383616=DIRECTION('',(0.,0.,1.)); #383617=DIRECTION('center_axis',(0.,0.,-1.)); #383618=DIRECTION('ref_axis',(1.,0.,0.)); #383619=DIRECTION('center_axis',(0.,0.,1.)); #383620=DIRECTION('ref_axis',(1.,0.,0.)); #383621=DIRECTION('center_axis',(0.,0.,1.)); #383622=DIRECTION('ref_axis',(1.,0.,0.)); #383623=DIRECTION('',(0.,0.,1.)); #383624=DIRECTION('center_axis',(0.,0.,-1.)); #383625=DIRECTION('ref_axis',(1.,0.,0.)); #383626=DIRECTION('center_axis',(0.,0.,1.)); #383627=DIRECTION('ref_axis',(1.,0.,0.)); #383628=DIRECTION('center_axis',(0.,0.,1.)); #383629=DIRECTION('ref_axis',(1.,0.,0.)); #383630=DIRECTION('',(0.,0.,1.)); #383631=DIRECTION('center_axis',(0.,0.,-1.)); #383632=DIRECTION('ref_axis',(1.,0.,0.)); #383633=DIRECTION('center_axis',(0.,0.,1.)); #383634=DIRECTION('ref_axis',(1.,0.,0.)); #383635=DIRECTION('center_axis',(0.,0.,1.)); #383636=DIRECTION('ref_axis',(1.,0.,0.)); #383637=DIRECTION('',(0.,0.,1.)); #383638=DIRECTION('center_axis',(0.,0.,-1.)); #383639=DIRECTION('ref_axis',(1.,0.,0.)); #383640=DIRECTION('center_axis',(0.,0.,1.)); #383641=DIRECTION('ref_axis',(1.,0.,0.)); #383642=DIRECTION('center_axis',(0.,0.,1.)); #383643=DIRECTION('ref_axis',(1.,0.,0.)); #383644=DIRECTION('',(0.,0.,1.)); #383645=DIRECTION('center_axis',(0.,0.,-1.)); #383646=DIRECTION('ref_axis',(1.,0.,0.)); #383647=DIRECTION('center_axis',(0.,0.,1.)); #383648=DIRECTION('ref_axis',(1.,0.,0.)); #383649=DIRECTION('center_axis',(0.,0.,1.)); #383650=DIRECTION('ref_axis',(1.,0.,0.)); #383651=DIRECTION('',(0.,0.,1.)); #383652=DIRECTION('center_axis',(0.,0.,-1.)); #383653=DIRECTION('ref_axis',(1.,0.,0.)); #383654=DIRECTION('center_axis',(0.,0.,1.)); #383655=DIRECTION('ref_axis',(1.,0.,0.)); #383656=DIRECTION('center_axis',(0.,0.,1.)); #383657=DIRECTION('ref_axis',(1.,0.,0.)); #383658=DIRECTION('',(0.,0.,1.)); #383659=DIRECTION('center_axis',(0.,0.,-1.)); #383660=DIRECTION('ref_axis',(1.,0.,0.)); #383661=DIRECTION('center_axis',(0.,0.,1.)); #383662=DIRECTION('ref_axis',(1.,0.,0.)); #383663=DIRECTION('center_axis',(0.,0.,1.)); #383664=DIRECTION('ref_axis',(1.,0.,0.)); #383665=DIRECTION('',(0.,0.,1.)); #383666=DIRECTION('center_axis',(0.,0.,-1.)); #383667=DIRECTION('ref_axis',(1.,0.,0.)); #383668=DIRECTION('center_axis',(0.,0.,1.)); #383669=DIRECTION('ref_axis',(1.,0.,0.)); #383670=DIRECTION('center_axis',(0.,0.,1.)); #383671=DIRECTION('ref_axis',(1.,0.,0.)); #383672=DIRECTION('',(0.,0.,1.)); #383673=DIRECTION('center_axis',(0.,0.,-1.)); #383674=DIRECTION('ref_axis',(1.,0.,0.)); #383675=DIRECTION('center_axis',(0.,0.,1.)); #383676=DIRECTION('ref_axis',(1.,0.,0.)); #383677=DIRECTION('center_axis',(0.,0.,1.)); #383678=DIRECTION('ref_axis',(1.,0.,0.)); #383679=DIRECTION('',(0.,0.,1.)); #383680=DIRECTION('center_axis',(0.,0.,-1.)); #383681=DIRECTION('ref_axis',(1.,0.,0.)); #383682=DIRECTION('center_axis',(0.,0.,1.)); #383683=DIRECTION('ref_axis',(1.,0.,0.)); #383684=DIRECTION('center_axis',(0.,0.,1.)); #383685=DIRECTION('ref_axis',(1.,0.,0.)); #383686=DIRECTION('',(0.,0.,1.)); #383687=DIRECTION('center_axis',(0.,0.,-1.)); #383688=DIRECTION('ref_axis',(1.,0.,0.)); #383689=DIRECTION('center_axis',(0.,0.,1.)); #383690=DIRECTION('ref_axis',(1.,0.,0.)); #383691=DIRECTION('center_axis',(0.,0.,1.)); #383692=DIRECTION('ref_axis',(1.,0.,0.)); #383693=DIRECTION('',(0.,0.,1.)); #383694=DIRECTION('center_axis',(0.,0.,-1.)); #383695=DIRECTION('ref_axis',(1.,0.,0.)); #383696=DIRECTION('center_axis',(0.,0.,1.)); #383697=DIRECTION('ref_axis',(1.,0.,0.)); #383698=DIRECTION('center_axis',(0.,0.,1.)); #383699=DIRECTION('ref_axis',(1.,0.,0.)); #383700=DIRECTION('',(0.,0.,1.)); #383701=DIRECTION('center_axis',(0.,0.,-1.)); #383702=DIRECTION('ref_axis',(1.,0.,0.)); #383703=DIRECTION('center_axis',(0.,0.,1.)); #383704=DIRECTION('ref_axis',(1.,0.,0.)); #383705=DIRECTION('center_axis',(0.,0.,1.)); #383706=DIRECTION('ref_axis',(1.,0.,0.)); #383707=DIRECTION('',(0.,0.,1.)); #383708=DIRECTION('center_axis',(0.,0.,-1.)); #383709=DIRECTION('ref_axis',(1.,0.,0.)); #383710=DIRECTION('center_axis',(0.,0.,1.)); #383711=DIRECTION('ref_axis',(1.,0.,0.)); #383712=DIRECTION('center_axis',(0.,0.,1.)); #383713=DIRECTION('ref_axis',(1.,0.,0.)); #383714=DIRECTION('',(0.,0.,1.)); #383715=DIRECTION('center_axis',(0.,0.,-1.)); #383716=DIRECTION('ref_axis',(1.,0.,0.)); #383717=DIRECTION('center_axis',(0.,0.,1.)); #383718=DIRECTION('ref_axis',(1.,0.,0.)); #383719=DIRECTION('center_axis',(0.,0.,1.)); #383720=DIRECTION('ref_axis',(1.,0.,0.)); #383721=DIRECTION('',(0.,0.,1.)); #383722=DIRECTION('center_axis',(0.,0.,-1.)); #383723=DIRECTION('ref_axis',(1.,0.,0.)); #383724=DIRECTION('center_axis',(0.,0.,1.)); #383725=DIRECTION('ref_axis',(1.,0.,0.)); #383726=DIRECTION('center_axis',(0.,0.,1.)); #383727=DIRECTION('ref_axis',(1.,0.,0.)); #383728=DIRECTION('',(0.,0.,1.)); #383729=DIRECTION('center_axis',(0.,0.,-1.)); #383730=DIRECTION('ref_axis',(1.,0.,0.)); #383731=DIRECTION('center_axis',(0.,0.,1.)); #383732=DIRECTION('ref_axis',(1.,0.,0.)); #383733=DIRECTION('center_axis',(0.,0.,1.)); #383734=DIRECTION('ref_axis',(1.,0.,0.)); #383735=DIRECTION('',(0.,0.,1.)); #383736=DIRECTION('center_axis',(0.,0.,-1.)); #383737=DIRECTION('ref_axis',(1.,0.,0.)); #383738=DIRECTION('center_axis',(0.,0.,1.)); #383739=DIRECTION('ref_axis',(1.,0.,0.)); #383740=DIRECTION('center_axis',(0.,0.,1.)); #383741=DIRECTION('ref_axis',(1.,0.,0.)); #383742=DIRECTION('',(0.,0.,1.)); #383743=DIRECTION('center_axis',(0.,0.,-1.)); #383744=DIRECTION('ref_axis',(1.,0.,0.)); #383745=DIRECTION('center_axis',(0.,0.,1.)); #383746=DIRECTION('ref_axis',(1.,0.,0.)); #383747=DIRECTION('center_axis',(0.,0.,1.)); #383748=DIRECTION('ref_axis',(1.,0.,0.)); #383749=DIRECTION('',(0.,0.,1.)); #383750=DIRECTION('center_axis',(0.,0.,-1.)); #383751=DIRECTION('ref_axis',(1.,0.,0.)); #383752=DIRECTION('center_axis',(0.,0.,1.)); #383753=DIRECTION('ref_axis',(1.,0.,0.)); #383754=DIRECTION('center_axis',(0.,0.,1.)); #383755=DIRECTION('ref_axis',(1.,0.,0.)); #383756=DIRECTION('',(0.,0.,1.)); #383757=DIRECTION('center_axis',(0.,0.,-1.)); #383758=DIRECTION('ref_axis',(1.,0.,0.)); #383759=DIRECTION('center_axis',(0.,0.,1.)); #383760=DIRECTION('ref_axis',(1.,0.,0.)); #383761=DIRECTION('center_axis',(0.,0.,1.)); #383762=DIRECTION('ref_axis',(1.,0.,0.)); #383763=DIRECTION('',(0.,0.,1.)); #383764=DIRECTION('center_axis',(0.,0.,-1.)); #383765=DIRECTION('ref_axis',(1.,0.,0.)); #383766=DIRECTION('center_axis',(0.,0.,1.)); #383767=DIRECTION('ref_axis',(1.,0.,0.)); #383768=DIRECTION('center_axis',(0.,0.,1.)); #383769=DIRECTION('ref_axis',(1.,0.,0.)); #383770=DIRECTION('',(0.,0.,-1.)); #383771=DIRECTION('center_axis',(0.,0.,1.)); #383772=DIRECTION('ref_axis',(1.,0.,0.)); #383773=DIRECTION('center_axis',(0.,0.,1.)); #383774=DIRECTION('ref_axis',(1.,0.,0.)); #383775=DIRECTION('center_axis',(0.,0.,1.)); #383776=DIRECTION('ref_axis',(1.,0.,0.)); #383777=DIRECTION('center_axis',(0.,0.,1.)); #383778=DIRECTION('ref_axis',(1.,0.,0.)); #383779=DIRECTION('center_axis',(0.,0.,-1.)); #383780=DIRECTION('ref_axis',(1.,0.,0.)); #383781=DIRECTION('',(0.,0.,-1.)); #383782=DIRECTION('center_axis',(0.,0.,1.)); #383783=DIRECTION('ref_axis',(1.,0.,0.)); #383784=DIRECTION('center_axis',(0.,0.,1.)); #383785=DIRECTION('ref_axis',(1.,0.,0.)); #383786=DIRECTION('center_axis',(0.,0.,1.)); #383787=DIRECTION('ref_axis',(1.,0.,0.)); #383788=DIRECTION('',(0.,0.,-1.)); #383789=DIRECTION('center_axis',(0.,0.,1.)); #383790=DIRECTION('ref_axis',(1.,0.,0.)); #383791=DIRECTION('center_axis',(0.,0.,1.)); #383792=DIRECTION('ref_axis',(1.,0.,0.)); #383793=DIRECTION('center_axis',(0.,0.,1.)); #383794=DIRECTION('ref_axis',(1.,0.,0.)); #383795=DIRECTION('center_axis',(0.,0.,1.)); #383796=DIRECTION('ref_axis',(1.,0.,0.)); #383797=DIRECTION('center_axis',(0.,0.,-1.)); #383798=DIRECTION('ref_axis',(1.,0.,0.)); #383799=DIRECTION('',(0.,0.,-1.)); #383800=DIRECTION('center_axis',(0.,0.,1.)); #383801=DIRECTION('ref_axis',(1.,0.,0.)); #383802=DIRECTION('center_axis',(0.,0.,1.)); #383803=DIRECTION('ref_axis',(1.,0.,0.)); #383804=DIRECTION('center_axis',(0.,0.,1.)); #383805=DIRECTION('ref_axis',(1.,0.,0.)); #383806=DIRECTION('',(0.,0.,-1.)); #383807=DIRECTION('center_axis',(0.,0.,1.)); #383808=DIRECTION('ref_axis',(1.,0.,0.)); #383809=DIRECTION('center_axis',(0.,0.,1.)); #383810=DIRECTION('ref_axis',(1.,0.,0.)); #383811=DIRECTION('center_axis',(0.,0.,1.)); #383812=DIRECTION('ref_axis',(1.,0.,0.)); #383813=DIRECTION('center_axis',(0.,0.,1.)); #383814=DIRECTION('ref_axis',(1.,0.,0.)); #383815=DIRECTION('center_axis',(0.,0.,-1.)); #383816=DIRECTION('ref_axis',(1.,0.,0.)); #383817=DIRECTION('',(0.,0.,-1.)); #383818=DIRECTION('center_axis',(0.,0.,1.)); #383819=DIRECTION('ref_axis',(1.,0.,0.)); #383820=DIRECTION('center_axis',(0.,0.,1.)); #383821=DIRECTION('ref_axis',(1.,0.,0.)); #383822=DIRECTION('center_axis',(0.,0.,1.)); #383823=DIRECTION('ref_axis',(1.,0.,0.)); #383824=DIRECTION('',(0.,0.,-1.)); #383825=DIRECTION('center_axis',(0.,0.,1.)); #383826=DIRECTION('ref_axis',(1.,0.,0.)); #383827=DIRECTION('center_axis',(0.,0.,1.)); #383828=DIRECTION('ref_axis',(1.,0.,0.)); #383829=DIRECTION('center_axis',(0.,0.,1.)); #383830=DIRECTION('ref_axis',(1.,0.,0.)); #383831=DIRECTION('center_axis',(0.,0.,1.)); #383832=DIRECTION('ref_axis',(1.,0.,0.)); #383833=DIRECTION('center_axis',(0.,0.,-1.)); #383834=DIRECTION('ref_axis',(1.,0.,0.)); #383835=DIRECTION('',(0.,0.,-1.)); #383836=DIRECTION('center_axis',(0.,0.,1.)); #383837=DIRECTION('ref_axis',(1.,0.,0.)); #383838=DIRECTION('center_axis',(0.,0.,1.)); #383839=DIRECTION('ref_axis',(1.,0.,0.)); #383840=DIRECTION('center_axis',(0.,0.,1.)); #383841=DIRECTION('ref_axis',(1.,0.,0.)); #383842=DIRECTION('',(0.,0.,-1.)); #383843=DIRECTION('center_axis',(0.,0.,1.)); #383844=DIRECTION('ref_axis',(1.,0.,0.)); #383845=DIRECTION('center_axis',(0.,0.,1.)); #383846=DIRECTION('ref_axis',(1.,0.,0.)); #383847=DIRECTION('center_axis',(0.,0.,1.)); #383848=DIRECTION('ref_axis',(1.,0.,0.)); #383849=DIRECTION('center_axis',(0.,0.,1.)); #383850=DIRECTION('ref_axis',(1.,0.,0.)); #383851=DIRECTION('center_axis',(0.,0.,-1.)); #383852=DIRECTION('ref_axis',(1.,0.,0.)); #383853=DIRECTION('',(0.,0.,-1.)); #383854=DIRECTION('center_axis',(0.,0.,1.)); #383855=DIRECTION('ref_axis',(1.,0.,0.)); #383856=DIRECTION('center_axis',(0.,0.,1.)); #383857=DIRECTION('ref_axis',(1.,0.,0.)); #383858=DIRECTION('center_axis',(0.,0.,1.)); #383859=DIRECTION('ref_axis',(1.,0.,0.)); #383860=DIRECTION('',(0.,0.,-1.)); #383861=DIRECTION('center_axis',(0.,0.,1.)); #383862=DIRECTION('ref_axis',(1.,0.,0.)); #383863=DIRECTION('center_axis',(0.,0.,1.)); #383864=DIRECTION('ref_axis',(1.,0.,0.)); #383865=DIRECTION('center_axis',(0.,0.,1.)); #383866=DIRECTION('ref_axis',(1.,0.,0.)); #383867=DIRECTION('center_axis',(0.,0.,1.)); #383868=DIRECTION('ref_axis',(1.,0.,0.)); #383869=DIRECTION('center_axis',(0.,0.,-1.)); #383870=DIRECTION('ref_axis',(1.,0.,0.)); #383871=DIRECTION('',(0.,0.,-1.)); #383872=DIRECTION('center_axis',(0.,0.,1.)); #383873=DIRECTION('ref_axis',(1.,0.,0.)); #383874=DIRECTION('center_axis',(0.,0.,1.)); #383875=DIRECTION('ref_axis',(1.,0.,0.)); #383876=DIRECTION('center_axis',(0.,0.,1.)); #383877=DIRECTION('ref_axis',(1.,0.,0.)); #383878=DIRECTION('',(0.,0.,-1.)); #383879=DIRECTION('center_axis',(0.,0.,1.)); #383880=DIRECTION('ref_axis',(1.,0.,0.)); #383881=DIRECTION('center_axis',(0.,0.,1.)); #383882=DIRECTION('ref_axis',(1.,0.,0.)); #383883=DIRECTION('center_axis',(0.,0.,1.)); #383884=DIRECTION('ref_axis',(1.,0.,0.)); #383885=DIRECTION('center_axis',(0.,0.,1.)); #383886=DIRECTION('ref_axis',(1.,0.,0.)); #383887=DIRECTION('center_axis',(0.,0.,-1.)); #383888=DIRECTION('ref_axis',(1.,0.,0.)); #383889=DIRECTION('',(0.,0.,-1.)); #383890=DIRECTION('center_axis',(0.,0.,1.)); #383891=DIRECTION('ref_axis',(1.,0.,0.)); #383892=DIRECTION('center_axis',(0.,0.,1.)); #383893=DIRECTION('ref_axis',(1.,0.,0.)); #383894=DIRECTION('center_axis',(0.,0.,1.)); #383895=DIRECTION('ref_axis',(1.,0.,0.)); #383896=DIRECTION('',(0.,0.,-1.)); #383897=DIRECTION('center_axis',(0.,0.,1.)); #383898=DIRECTION('ref_axis',(1.,0.,0.)); #383899=DIRECTION('center_axis',(0.,0.,1.)); #383900=DIRECTION('ref_axis',(1.,0.,0.)); #383901=DIRECTION('center_axis',(0.,0.,1.)); #383902=DIRECTION('ref_axis',(1.,0.,0.)); #383903=DIRECTION('center_axis',(0.,0.,1.)); #383904=DIRECTION('ref_axis',(1.,0.,0.)); #383905=DIRECTION('center_axis',(0.,0.,-1.)); #383906=DIRECTION('ref_axis',(1.,0.,0.)); #383907=DIRECTION('',(0.,0.,-1.)); #383908=DIRECTION('center_axis',(0.,0.,1.)); #383909=DIRECTION('ref_axis',(1.,0.,0.)); #383910=DIRECTION('center_axis',(0.,0.,1.)); #383911=DIRECTION('ref_axis',(1.,0.,0.)); #383912=DIRECTION('center_axis',(0.,0.,1.)); #383913=DIRECTION('ref_axis',(1.,0.,0.)); #383914=DIRECTION('',(0.,0.,-1.)); #383915=DIRECTION('center_axis',(0.,0.,1.)); #383916=DIRECTION('ref_axis',(1.,0.,0.)); #383917=DIRECTION('center_axis',(0.,0.,1.)); #383918=DIRECTION('ref_axis',(1.,0.,0.)); #383919=DIRECTION('center_axis',(0.,0.,1.)); #383920=DIRECTION('ref_axis',(1.,0.,0.)); #383921=DIRECTION('center_axis',(0.,0.,1.)); #383922=DIRECTION('ref_axis',(1.,0.,0.)); #383923=DIRECTION('center_axis',(0.,0.,-1.)); #383924=DIRECTION('ref_axis',(1.,0.,0.)); #383925=DIRECTION('',(0.,0.,-1.)); #383926=DIRECTION('center_axis',(0.,0.,1.)); #383927=DIRECTION('ref_axis',(1.,0.,0.)); #383928=DIRECTION('center_axis',(0.,0.,1.)); #383929=DIRECTION('ref_axis',(1.,0.,0.)); #383930=DIRECTION('center_axis',(0.,0.,1.)); #383931=DIRECTION('ref_axis',(1.,0.,0.)); #383932=DIRECTION('',(0.,0.,-1.)); #383933=DIRECTION('center_axis',(0.,0.,1.)); #383934=DIRECTION('ref_axis',(1.,0.,0.)); #383935=DIRECTION('center_axis',(0.,0.,1.)); #383936=DIRECTION('ref_axis',(1.,0.,0.)); #383937=DIRECTION('center_axis',(0.,0.,1.)); #383938=DIRECTION('ref_axis',(1.,0.,0.)); #383939=DIRECTION('center_axis',(0.,0.,1.)); #383940=DIRECTION('ref_axis',(1.,0.,0.)); #383941=DIRECTION('center_axis',(0.,0.,-1.)); #383942=DIRECTION('ref_axis',(1.,0.,0.)); #383943=DIRECTION('',(0.,0.,-1.)); #383944=DIRECTION('center_axis',(0.,0.,1.)); #383945=DIRECTION('ref_axis',(1.,0.,0.)); #383946=DIRECTION('center_axis',(0.,0.,1.)); #383947=DIRECTION('ref_axis',(1.,0.,0.)); #383948=DIRECTION('center_axis',(0.,0.,1.)); #383949=DIRECTION('ref_axis',(1.,0.,0.)); #383950=DIRECTION('',(0.,0.,-1.)); #383951=DIRECTION('center_axis',(0.,0.,1.)); #383952=DIRECTION('ref_axis',(1.,0.,0.)); #383953=DIRECTION('center_axis',(0.,0.,1.)); #383954=DIRECTION('ref_axis',(1.,0.,0.)); #383955=DIRECTION('center_axis',(0.,0.,1.)); #383956=DIRECTION('ref_axis',(1.,0.,0.)); #383957=DIRECTION('center_axis',(0.,0.,1.)); #383958=DIRECTION('ref_axis',(1.,0.,0.)); #383959=DIRECTION('center_axis',(0.,0.,-1.)); #383960=DIRECTION('ref_axis',(1.,0.,0.)); #383961=DIRECTION('',(0.,0.,-1.)); #383962=DIRECTION('center_axis',(0.,0.,1.)); #383963=DIRECTION('ref_axis',(1.,0.,0.)); #383964=DIRECTION('center_axis',(0.,0.,1.)); #383965=DIRECTION('ref_axis',(1.,0.,0.)); #383966=DIRECTION('center_axis',(0.,0.,1.)); #383967=DIRECTION('ref_axis',(1.,0.,0.)); #383968=DIRECTION('',(0.,0.,-1.)); #383969=DIRECTION('center_axis',(0.,0.,1.)); #383970=DIRECTION('ref_axis',(1.,0.,0.)); #383971=DIRECTION('center_axis',(0.,0.,1.)); #383972=DIRECTION('ref_axis',(1.,0.,0.)); #383973=DIRECTION('center_axis',(0.,0.,1.)); #383974=DIRECTION('ref_axis',(1.,0.,0.)); #383975=DIRECTION('center_axis',(0.,0.,1.)); #383976=DIRECTION('ref_axis',(1.,0.,0.)); #383977=DIRECTION('center_axis',(0.,0.,-1.)); #383978=DIRECTION('ref_axis',(1.,0.,0.)); #383979=DIRECTION('',(0.,0.,-1.)); #383980=DIRECTION('center_axis',(0.,0.,1.)); #383981=DIRECTION('ref_axis',(1.,0.,0.)); #383982=DIRECTION('center_axis',(0.,0.,1.)); #383983=DIRECTION('ref_axis',(1.,0.,0.)); #383984=DIRECTION('center_axis',(0.,0.,1.)); #383985=DIRECTION('ref_axis',(1.,0.,0.)); #383986=DIRECTION('',(0.,0.,-1.)); #383987=DIRECTION('center_axis',(0.,0.,1.)); #383988=DIRECTION('ref_axis',(1.,0.,0.)); #383989=DIRECTION('center_axis',(0.,0.,1.)); #383990=DIRECTION('ref_axis',(1.,0.,0.)); #383991=DIRECTION('center_axis',(0.,0.,1.)); #383992=DIRECTION('ref_axis',(1.,0.,0.)); #383993=DIRECTION('center_axis',(0.,0.,1.)); #383994=DIRECTION('ref_axis',(1.,0.,0.)); #383995=DIRECTION('center_axis',(0.,0.,-1.)); #383996=DIRECTION('ref_axis',(1.,0.,0.)); #383997=DIRECTION('',(0.,0.,-1.)); #383998=DIRECTION('center_axis',(0.,0.,1.)); #383999=DIRECTION('ref_axis',(1.,0.,0.)); #384000=DIRECTION('center_axis',(0.,0.,1.)); #384001=DIRECTION('ref_axis',(1.,0.,0.)); #384002=DIRECTION('center_axis',(0.,0.,1.)); #384003=DIRECTION('ref_axis',(1.,0.,0.)); #384004=DIRECTION('',(0.,0.,-1.)); #384005=DIRECTION('center_axis',(0.,0.,1.)); #384006=DIRECTION('ref_axis',(1.,0.,0.)); #384007=DIRECTION('center_axis',(0.,0.,1.)); #384008=DIRECTION('ref_axis',(1.,0.,0.)); #384009=DIRECTION('center_axis',(0.,0.,1.)); #384010=DIRECTION('ref_axis',(1.,0.,0.)); #384011=DIRECTION('center_axis',(0.,0.,1.)); #384012=DIRECTION('ref_axis',(1.,0.,0.)); #384013=DIRECTION('center_axis',(0.,0.,-1.)); #384014=DIRECTION('ref_axis',(1.,0.,0.)); #384015=DIRECTION('',(0.,0.,-1.)); #384016=DIRECTION('center_axis',(0.,0.,1.)); #384017=DIRECTION('ref_axis',(1.,0.,0.)); #384018=DIRECTION('center_axis',(0.,0.,1.)); #384019=DIRECTION('ref_axis',(1.,0.,0.)); #384020=DIRECTION('center_axis',(0.,0.,1.)); #384021=DIRECTION('ref_axis',(1.,0.,0.)); #384022=DIRECTION('',(0.,0.,-1.)); #384023=DIRECTION('center_axis',(0.,0.,1.)); #384024=DIRECTION('ref_axis',(1.,0.,0.)); #384025=DIRECTION('center_axis',(0.,0.,1.)); #384026=DIRECTION('ref_axis',(1.,0.,0.)); #384027=DIRECTION('center_axis',(0.,0.,1.)); #384028=DIRECTION('ref_axis',(1.,0.,0.)); #384029=DIRECTION('center_axis',(0.,0.,1.)); #384030=DIRECTION('ref_axis',(1.,0.,0.)); #384031=DIRECTION('center_axis',(0.,0.,-1.)); #384032=DIRECTION('ref_axis',(1.,0.,0.)); #384033=DIRECTION('',(0.,0.,-1.)); #384034=DIRECTION('center_axis',(0.,0.,1.)); #384035=DIRECTION('ref_axis',(1.,0.,0.)); #384036=DIRECTION('center_axis',(0.,0.,1.)); #384037=DIRECTION('ref_axis',(1.,0.,0.)); #384038=DIRECTION('center_axis',(0.,0.,1.)); #384039=DIRECTION('ref_axis',(1.,0.,0.)); #384040=DIRECTION('',(0.,0.,-1.)); #384041=DIRECTION('center_axis',(0.,0.,1.)); #384042=DIRECTION('ref_axis',(1.,0.,0.)); #384043=DIRECTION('center_axis',(0.,0.,1.)); #384044=DIRECTION('ref_axis',(1.,0.,0.)); #384045=DIRECTION('center_axis',(0.,0.,1.)); #384046=DIRECTION('ref_axis',(1.,0.,0.)); #384047=DIRECTION('center_axis',(0.,0.,1.)); #384048=DIRECTION('ref_axis',(1.,0.,0.)); #384049=DIRECTION('center_axis',(0.,0.,-1.)); #384050=DIRECTION('ref_axis',(1.,0.,0.)); #384051=DIRECTION('',(0.,0.,-1.)); #384052=DIRECTION('center_axis',(0.,0.,1.)); #384053=DIRECTION('ref_axis',(1.,0.,0.)); #384054=DIRECTION('center_axis',(0.,0.,1.)); #384055=DIRECTION('ref_axis',(1.,0.,0.)); #384056=DIRECTION('center_axis',(0.,0.,1.)); #384057=DIRECTION('ref_axis',(1.,0.,0.)); #384058=DIRECTION('',(0.,0.,-1.)); #384059=DIRECTION('center_axis',(0.,0.,1.)); #384060=DIRECTION('ref_axis',(1.,0.,0.)); #384061=DIRECTION('center_axis',(0.,0.,1.)); #384062=DIRECTION('ref_axis',(1.,0.,0.)); #384063=DIRECTION('center_axis',(0.,0.,1.)); #384064=DIRECTION('ref_axis',(1.,0.,0.)); #384065=DIRECTION('center_axis',(0.,0.,1.)); #384066=DIRECTION('ref_axis',(1.,0.,0.)); #384067=DIRECTION('center_axis',(0.,0.,-1.)); #384068=DIRECTION('ref_axis',(1.,0.,0.)); #384069=DIRECTION('',(0.,0.,-1.)); #384070=DIRECTION('center_axis',(0.,0.,1.)); #384071=DIRECTION('ref_axis',(1.,0.,0.)); #384072=DIRECTION('center_axis',(0.,0.,1.)); #384073=DIRECTION('ref_axis',(1.,0.,0.)); #384074=DIRECTION('center_axis',(0.,0.,1.)); #384075=DIRECTION('ref_axis',(1.,0.,0.)); #384076=DIRECTION('',(0.,0.,-1.)); #384077=DIRECTION('center_axis',(0.,0.,1.)); #384078=DIRECTION('ref_axis',(1.,0.,0.)); #384079=DIRECTION('center_axis',(0.,0.,1.)); #384080=DIRECTION('ref_axis',(1.,0.,0.)); #384081=DIRECTION('center_axis',(0.,0.,1.)); #384082=DIRECTION('ref_axis',(1.,0.,0.)); #384083=DIRECTION('center_axis',(0.,0.,1.)); #384084=DIRECTION('ref_axis',(1.,0.,0.)); #384085=DIRECTION('center_axis',(0.,0.,-1.)); #384086=DIRECTION('ref_axis',(1.,0.,0.)); #384087=DIRECTION('',(0.,0.,-1.)); #384088=DIRECTION('center_axis',(0.,0.,1.)); #384089=DIRECTION('ref_axis',(1.,0.,0.)); #384090=DIRECTION('center_axis',(0.,0.,1.)); #384091=DIRECTION('ref_axis',(1.,0.,0.)); #384092=DIRECTION('center_axis',(0.,0.,1.)); #384093=DIRECTION('ref_axis',(1.,0.,0.)); #384094=DIRECTION('',(0.,0.,-1.)); #384095=DIRECTION('center_axis',(0.,0.,1.)); #384096=DIRECTION('ref_axis',(1.,0.,0.)); #384097=DIRECTION('center_axis',(0.,0.,1.)); #384098=DIRECTION('ref_axis',(1.,0.,0.)); #384099=DIRECTION('center_axis',(0.,0.,1.)); #384100=DIRECTION('ref_axis',(1.,0.,0.)); #384101=DIRECTION('center_axis',(0.,0.,1.)); #384102=DIRECTION('ref_axis',(1.,0.,0.)); #384103=DIRECTION('center_axis',(0.,0.,-1.)); #384104=DIRECTION('ref_axis',(1.,0.,0.)); #384105=DIRECTION('',(0.,0.,-1.)); #384106=DIRECTION('center_axis',(0.,0.,1.)); #384107=DIRECTION('ref_axis',(1.,0.,0.)); #384108=DIRECTION('center_axis',(0.,0.,1.)); #384109=DIRECTION('ref_axis',(1.,0.,0.)); #384110=DIRECTION('center_axis',(0.,0.,1.)); #384111=DIRECTION('ref_axis',(1.,0.,0.)); #384112=DIRECTION('',(0.,0.,-1.)); #384113=DIRECTION('center_axis',(0.,0.,1.)); #384114=DIRECTION('ref_axis',(1.,0.,0.)); #384115=DIRECTION('center_axis',(0.,0.,1.)); #384116=DIRECTION('ref_axis',(1.,0.,0.)); #384117=DIRECTION('center_axis',(0.,0.,1.)); #384118=DIRECTION('ref_axis',(1.,0.,0.)); #384119=DIRECTION('center_axis',(0.,0.,1.)); #384120=DIRECTION('ref_axis',(1.,0.,0.)); #384121=DIRECTION('center_axis',(0.,0.,-1.)); #384122=DIRECTION('ref_axis',(1.,0.,0.)); #384123=DIRECTION('',(0.,0.,-1.)); #384124=DIRECTION('center_axis',(0.,0.,1.)); #384125=DIRECTION('ref_axis',(1.,0.,0.)); #384126=DIRECTION('center_axis',(0.,0.,1.)); #384127=DIRECTION('ref_axis',(1.,0.,0.)); #384128=DIRECTION('center_axis',(0.,0.,1.)); #384129=DIRECTION('ref_axis',(1.,0.,0.)); #384130=DIRECTION('',(0.,0.,-1.)); #384131=DIRECTION('center_axis',(0.,0.,1.)); #384132=DIRECTION('ref_axis',(1.,0.,0.)); #384133=DIRECTION('center_axis',(0.,0.,1.)); #384134=DIRECTION('ref_axis',(1.,0.,0.)); #384135=DIRECTION('center_axis',(0.,0.,1.)); #384136=DIRECTION('ref_axis',(1.,0.,0.)); #384137=DIRECTION('center_axis',(0.,0.,1.)); #384138=DIRECTION('ref_axis',(1.,0.,0.)); #384139=DIRECTION('center_axis',(0.,0.,-1.)); #384140=DIRECTION('ref_axis',(1.,0.,0.)); #384141=DIRECTION('',(0.,0.,-1.)); #384142=DIRECTION('center_axis',(0.,0.,1.)); #384143=DIRECTION('ref_axis',(1.,0.,0.)); #384144=DIRECTION('center_axis',(0.,0.,1.)); #384145=DIRECTION('ref_axis',(1.,0.,0.)); #384146=DIRECTION('center_axis',(0.,0.,1.)); #384147=DIRECTION('ref_axis',(1.,0.,0.)); #384148=DIRECTION('',(0.,0.,-1.)); #384149=DIRECTION('center_axis',(0.,0.,1.)); #384150=DIRECTION('ref_axis',(1.,0.,0.)); #384151=DIRECTION('center_axis',(0.,0.,1.)); #384152=DIRECTION('ref_axis',(1.,0.,0.)); #384153=DIRECTION('center_axis',(0.,0.,1.)); #384154=DIRECTION('ref_axis',(1.,0.,0.)); #384155=DIRECTION('center_axis',(0.,0.,1.)); #384156=DIRECTION('ref_axis',(1.,0.,0.)); #384157=DIRECTION('center_axis',(0.,0.,-1.)); #384158=DIRECTION('ref_axis',(1.,0.,0.)); #384159=DIRECTION('',(0.,0.,-1.)); #384160=DIRECTION('center_axis',(0.,0.,1.)); #384161=DIRECTION('ref_axis',(1.,0.,0.)); #384162=DIRECTION('center_axis',(0.,0.,1.)); #384163=DIRECTION('ref_axis',(1.,0.,0.)); #384164=DIRECTION('center_axis',(0.,0.,1.)); #384165=DIRECTION('ref_axis',(1.,0.,0.)); #384166=DIRECTION('',(0.,0.,-1.)); #384167=DIRECTION('center_axis',(0.,0.,1.)); #384168=DIRECTION('ref_axis',(1.,0.,0.)); #384169=DIRECTION('center_axis',(0.,0.,1.)); #384170=DIRECTION('ref_axis',(1.,0.,0.)); #384171=DIRECTION('center_axis',(0.,0.,1.)); #384172=DIRECTION('ref_axis',(1.,0.,0.)); #384173=DIRECTION('center_axis',(0.,0.,1.)); #384174=DIRECTION('ref_axis',(1.,0.,0.)); #384175=DIRECTION('center_axis',(0.,0.,-1.)); #384176=DIRECTION('ref_axis',(1.,0.,0.)); #384177=DIRECTION('',(0.,0.,-1.)); #384178=DIRECTION('center_axis',(0.,0.,1.)); #384179=DIRECTION('ref_axis',(1.,0.,0.)); #384180=DIRECTION('center_axis',(0.,0.,1.)); #384181=DIRECTION('ref_axis',(1.,0.,0.)); #384182=DIRECTION('center_axis',(0.,0.,1.)); #384183=DIRECTION('ref_axis',(1.,0.,0.)); #384184=DIRECTION('',(0.,0.,-1.)); #384185=DIRECTION('center_axis',(0.,0.,1.)); #384186=DIRECTION('ref_axis',(1.,0.,0.)); #384187=DIRECTION('center_axis',(0.,0.,1.)); #384188=DIRECTION('ref_axis',(1.,0.,0.)); #384189=DIRECTION('center_axis',(0.,0.,1.)); #384190=DIRECTION('ref_axis',(1.,0.,0.)); #384191=DIRECTION('center_axis',(0.,0.,1.)); #384192=DIRECTION('ref_axis',(1.,0.,0.)); #384193=DIRECTION('center_axis',(0.,0.,-1.)); #384194=DIRECTION('ref_axis',(1.,0.,0.)); #384195=DIRECTION('',(0.,0.,-1.)); #384196=DIRECTION('center_axis',(0.,0.,1.)); #384197=DIRECTION('ref_axis',(1.,0.,0.)); #384198=DIRECTION('center_axis',(0.,0.,1.)); #384199=DIRECTION('ref_axis',(1.,0.,0.)); #384200=DIRECTION('center_axis',(0.,0.,1.)); #384201=DIRECTION('ref_axis',(1.,0.,0.)); #384202=DIRECTION('',(0.,0.,-1.)); #384203=DIRECTION('center_axis',(0.,0.,1.)); #384204=DIRECTION('ref_axis',(1.,0.,0.)); #384205=DIRECTION('center_axis',(0.,0.,1.)); #384206=DIRECTION('ref_axis',(1.,0.,0.)); #384207=DIRECTION('center_axis',(0.,0.,1.)); #384208=DIRECTION('ref_axis',(1.,0.,0.)); #384209=DIRECTION('center_axis',(0.,0.,1.)); #384210=DIRECTION('ref_axis',(1.,0.,0.)); #384211=DIRECTION('center_axis',(0.,0.,-1.)); #384212=DIRECTION('ref_axis',(1.,0.,0.)); #384213=DIRECTION('',(0.,0.,-1.)); #384214=DIRECTION('center_axis',(0.,0.,1.)); #384215=DIRECTION('ref_axis',(1.,0.,0.)); #384216=DIRECTION('center_axis',(0.,0.,1.)); #384217=DIRECTION('ref_axis',(1.,0.,0.)); #384218=DIRECTION('center_axis',(0.,0.,1.)); #384219=DIRECTION('ref_axis',(1.,0.,0.)); #384220=DIRECTION('',(0.,0.,-1.)); #384221=DIRECTION('center_axis',(0.,0.,1.)); #384222=DIRECTION('ref_axis',(1.,0.,0.)); #384223=DIRECTION('center_axis',(0.,0.,1.)); #384224=DIRECTION('ref_axis',(1.,0.,0.)); #384225=DIRECTION('center_axis',(0.,0.,1.)); #384226=DIRECTION('ref_axis',(1.,0.,0.)); #384227=DIRECTION('center_axis',(0.,0.,1.)); #384228=DIRECTION('ref_axis',(1.,0.,0.)); #384229=DIRECTION('center_axis',(0.,0.,-1.)); #384230=DIRECTION('ref_axis',(1.,0.,0.)); #384231=DIRECTION('',(0.,0.,-1.)); #384232=DIRECTION('center_axis',(0.,0.,1.)); #384233=DIRECTION('ref_axis',(1.,0.,0.)); #384234=DIRECTION('center_axis',(0.,0.,1.)); #384235=DIRECTION('ref_axis',(1.,0.,0.)); #384236=DIRECTION('center_axis',(0.,0.,1.)); #384237=DIRECTION('ref_axis',(1.,0.,0.)); #384238=DIRECTION('',(0.,0.,-1.)); #384239=DIRECTION('center_axis',(0.,0.,1.)); #384240=DIRECTION('ref_axis',(1.,0.,0.)); #384241=DIRECTION('center_axis',(0.,0.,1.)); #384242=DIRECTION('ref_axis',(1.,0.,0.)); #384243=DIRECTION('center_axis',(0.,0.,1.)); #384244=DIRECTION('ref_axis',(1.,0.,0.)); #384245=DIRECTION('center_axis',(0.,0.,1.)); #384246=DIRECTION('ref_axis',(1.,0.,0.)); #384247=DIRECTION('center_axis',(0.,0.,-1.)); #384248=DIRECTION('ref_axis',(1.,0.,0.)); #384249=DIRECTION('',(0.,0.,-1.)); #384250=DIRECTION('center_axis',(0.,0.,1.)); #384251=DIRECTION('ref_axis',(1.,0.,0.)); #384252=DIRECTION('center_axis',(0.,0.,1.)); #384253=DIRECTION('ref_axis',(1.,0.,0.)); #384254=DIRECTION('center_axis',(0.,0.,1.)); #384255=DIRECTION('ref_axis',(1.,0.,0.)); #384256=DIRECTION('',(0.,0.,-1.)); #384257=DIRECTION('center_axis',(0.,0.,1.)); #384258=DIRECTION('ref_axis',(1.,0.,0.)); #384259=DIRECTION('center_axis',(0.,0.,1.)); #384260=DIRECTION('ref_axis',(1.,0.,0.)); #384261=DIRECTION('center_axis',(0.,0.,1.)); #384262=DIRECTION('ref_axis',(1.,0.,0.)); #384263=DIRECTION('center_axis',(0.,0.,1.)); #384264=DIRECTION('ref_axis',(1.,0.,0.)); #384265=DIRECTION('center_axis',(0.,0.,-1.)); #384266=DIRECTION('ref_axis',(1.,0.,0.)); #384267=DIRECTION('',(0.,0.,-1.)); #384268=DIRECTION('center_axis',(0.,0.,1.)); #384269=DIRECTION('ref_axis',(1.,0.,0.)); #384270=DIRECTION('center_axis',(0.,0.,1.)); #384271=DIRECTION('ref_axis',(1.,0.,0.)); #384272=DIRECTION('center_axis',(0.,0.,1.)); #384273=DIRECTION('ref_axis',(1.,0.,0.)); #384274=DIRECTION('',(0.,0.,-1.)); #384275=DIRECTION('center_axis',(0.,0.,1.)); #384276=DIRECTION('ref_axis',(1.,0.,0.)); #384277=DIRECTION('center_axis',(0.,0.,1.)); #384278=DIRECTION('ref_axis',(1.,0.,0.)); #384279=DIRECTION('center_axis',(0.,0.,1.)); #384280=DIRECTION('ref_axis',(1.,0.,0.)); #384281=DIRECTION('center_axis',(0.,0.,1.)); #384282=DIRECTION('ref_axis',(1.,0.,0.)); #384283=DIRECTION('center_axis',(0.,0.,-1.)); #384284=DIRECTION('ref_axis',(1.,0.,0.)); #384285=DIRECTION('',(0.,0.,-1.)); #384286=DIRECTION('center_axis',(0.,0.,1.)); #384287=DIRECTION('ref_axis',(1.,0.,0.)); #384288=DIRECTION('center_axis',(0.,0.,1.)); #384289=DIRECTION('ref_axis',(1.,0.,0.)); #384290=DIRECTION('center_axis',(0.,0.,1.)); #384291=DIRECTION('ref_axis',(1.,0.,0.)); #384292=DIRECTION('',(0.,0.,-1.)); #384293=DIRECTION('center_axis',(0.,0.,1.)); #384294=DIRECTION('ref_axis',(1.,0.,0.)); #384295=DIRECTION('center_axis',(0.,0.,1.)); #384296=DIRECTION('ref_axis',(1.,0.,0.)); #384297=DIRECTION('center_axis',(0.,0.,1.)); #384298=DIRECTION('ref_axis',(1.,0.,0.)); #384299=DIRECTION('center_axis',(0.,0.,1.)); #384300=DIRECTION('ref_axis',(1.,0.,0.)); #384301=DIRECTION('center_axis',(0.,0.,-1.)); #384302=DIRECTION('ref_axis',(1.,0.,0.)); #384303=DIRECTION('',(0.,0.,-1.)); #384304=DIRECTION('center_axis',(0.,0.,1.)); #384305=DIRECTION('ref_axis',(1.,0.,0.)); #384306=DIRECTION('center_axis',(0.,0.,1.)); #384307=DIRECTION('ref_axis',(1.,0.,0.)); #384308=DIRECTION('center_axis',(0.,0.,1.)); #384309=DIRECTION('ref_axis',(1.,0.,0.)); #384310=DIRECTION('',(0.,0.,-1.)); #384311=DIRECTION('center_axis',(0.,0.,1.)); #384312=DIRECTION('ref_axis',(1.,0.,0.)); #384313=DIRECTION('center_axis',(0.,0.,1.)); #384314=DIRECTION('ref_axis',(1.,0.,0.)); #384315=DIRECTION('center_axis',(0.,0.,1.)); #384316=DIRECTION('ref_axis',(1.,0.,0.)); #384317=DIRECTION('center_axis',(0.,0.,1.)); #384318=DIRECTION('ref_axis',(1.,0.,0.)); #384319=DIRECTION('center_axis',(0.,0.,-1.)); #384320=DIRECTION('ref_axis',(1.,0.,0.)); #384321=DIRECTION('',(0.,0.,-1.)); #384322=DIRECTION('center_axis',(0.,0.,1.)); #384323=DIRECTION('ref_axis',(1.,0.,0.)); #384324=DIRECTION('center_axis',(0.,0.,1.)); #384325=DIRECTION('ref_axis',(1.,0.,0.)); #384326=DIRECTION('center_axis',(0.,0.,1.)); #384327=DIRECTION('ref_axis',(1.,0.,0.)); #384328=DIRECTION('',(0.,0.,-1.)); #384329=DIRECTION('center_axis',(0.,0.,1.)); #384330=DIRECTION('ref_axis',(1.,0.,0.)); #384331=DIRECTION('center_axis',(0.,0.,1.)); #384332=DIRECTION('ref_axis',(1.,0.,0.)); #384333=DIRECTION('center_axis',(0.,0.,1.)); #384334=DIRECTION('ref_axis',(1.,0.,0.)); #384335=DIRECTION('center_axis',(0.,0.,1.)); #384336=DIRECTION('ref_axis',(1.,0.,0.)); #384337=DIRECTION('center_axis',(0.,0.,-1.)); #384338=DIRECTION('ref_axis',(1.,0.,0.)); #384339=DIRECTION('',(0.,0.,-1.)); #384340=DIRECTION('center_axis',(0.,0.,1.)); #384341=DIRECTION('ref_axis',(1.,0.,0.)); #384342=DIRECTION('center_axis',(0.,0.,1.)); #384343=DIRECTION('ref_axis',(1.,0.,0.)); #384344=DIRECTION('center_axis',(0.,0.,1.)); #384345=DIRECTION('ref_axis',(1.,0.,0.)); #384346=DIRECTION('',(0.,0.,-1.)); #384347=DIRECTION('center_axis',(0.,0.,1.)); #384348=DIRECTION('ref_axis',(1.,0.,0.)); #384349=DIRECTION('center_axis',(0.,0.,1.)); #384350=DIRECTION('ref_axis',(1.,0.,0.)); #384351=DIRECTION('center_axis',(0.,0.,1.)); #384352=DIRECTION('ref_axis',(1.,0.,0.)); #384353=DIRECTION('center_axis',(0.,0.,1.)); #384354=DIRECTION('ref_axis',(1.,0.,0.)); #384355=DIRECTION('center_axis',(0.,0.,-1.)); #384356=DIRECTION('ref_axis',(1.,0.,0.)); #384357=DIRECTION('',(0.,0.,-1.)); #384358=DIRECTION('center_axis',(0.,0.,1.)); #384359=DIRECTION('ref_axis',(1.,0.,0.)); #384360=DIRECTION('center_axis',(0.,0.,1.)); #384361=DIRECTION('ref_axis',(1.,0.,0.)); #384362=DIRECTION('center_axis',(0.,0.,1.)); #384363=DIRECTION('ref_axis',(1.,0.,0.)); #384364=DIRECTION('',(0.,0.,-1.)); #384365=DIRECTION('center_axis',(0.,0.,1.)); #384366=DIRECTION('ref_axis',(1.,0.,0.)); #384367=DIRECTION('center_axis',(0.,0.,1.)); #384368=DIRECTION('ref_axis',(1.,0.,0.)); #384369=DIRECTION('center_axis',(0.,0.,1.)); #384370=DIRECTION('ref_axis',(1.,0.,0.)); #384371=DIRECTION('center_axis',(0.,0.,1.)); #384372=DIRECTION('ref_axis',(1.,0.,0.)); #384373=DIRECTION('center_axis',(0.,0.,-1.)); #384374=DIRECTION('ref_axis',(1.,0.,0.)); #384375=DIRECTION('',(0.,0.,-1.)); #384376=DIRECTION('center_axis',(0.,0.,1.)); #384377=DIRECTION('ref_axis',(1.,0.,0.)); #384378=DIRECTION('center_axis',(0.,0.,1.)); #384379=DIRECTION('ref_axis',(1.,0.,0.)); #384380=DIRECTION('center_axis',(0.,0.,1.)); #384381=DIRECTION('ref_axis',(1.,0.,0.)); #384382=DIRECTION('',(0.,0.,-1.)); #384383=DIRECTION('center_axis',(0.,0.,1.)); #384384=DIRECTION('ref_axis',(1.,0.,0.)); #384385=DIRECTION('center_axis',(0.,0.,1.)); #384386=DIRECTION('ref_axis',(1.,0.,0.)); #384387=DIRECTION('center_axis',(0.,0.,1.)); #384388=DIRECTION('ref_axis',(1.,0.,0.)); #384389=DIRECTION('center_axis',(0.,0.,1.)); #384390=DIRECTION('ref_axis',(1.,0.,0.)); #384391=DIRECTION('center_axis',(0.,0.,-1.)); #384392=DIRECTION('ref_axis',(1.,0.,0.)); #384393=DIRECTION('',(0.,0.,-1.)); #384394=DIRECTION('center_axis',(0.,0.,1.)); #384395=DIRECTION('ref_axis',(1.,0.,0.)); #384396=DIRECTION('center_axis',(0.,0.,1.)); #384397=DIRECTION('ref_axis',(1.,0.,0.)); #384398=DIRECTION('center_axis',(0.,0.,1.)); #384399=DIRECTION('ref_axis',(1.,0.,0.)); #384400=DIRECTION('',(0.,0.,-1.)); #384401=DIRECTION('center_axis',(0.,0.,1.)); #384402=DIRECTION('ref_axis',(1.,0.,0.)); #384403=DIRECTION('center_axis',(0.,0.,1.)); #384404=DIRECTION('ref_axis',(1.,0.,0.)); #384405=DIRECTION('center_axis',(0.,0.,1.)); #384406=DIRECTION('ref_axis',(1.,0.,0.)); #384407=DIRECTION('center_axis',(0.,0.,1.)); #384408=DIRECTION('ref_axis',(1.,0.,0.)); #384409=DIRECTION('center_axis',(0.,0.,-1.)); #384410=DIRECTION('ref_axis',(1.,0.,0.)); #384411=DIRECTION('',(0.,0.,-1.)); #384412=DIRECTION('center_axis',(0.,0.,1.)); #384413=DIRECTION('ref_axis',(1.,0.,0.)); #384414=DIRECTION('center_axis',(0.,0.,1.)); #384415=DIRECTION('ref_axis',(1.,0.,0.)); #384416=DIRECTION('center_axis',(0.,0.,1.)); #384417=DIRECTION('ref_axis',(1.,0.,0.)); #384418=DIRECTION('',(0.,0.,-1.)); #384419=DIRECTION('center_axis',(0.,0.,1.)); #384420=DIRECTION('ref_axis',(1.,0.,0.)); #384421=DIRECTION('center_axis',(0.,0.,1.)); #384422=DIRECTION('ref_axis',(1.,0.,0.)); #384423=DIRECTION('center_axis',(0.,0.,1.)); #384424=DIRECTION('ref_axis',(1.,0.,0.)); #384425=DIRECTION('center_axis',(0.,0.,1.)); #384426=DIRECTION('ref_axis',(1.,0.,0.)); #384427=DIRECTION('center_axis',(0.,0.,-1.)); #384428=DIRECTION('ref_axis',(1.,0.,0.)); #384429=DIRECTION('',(0.,0.,-1.)); #384430=DIRECTION('center_axis',(0.,0.,1.)); #384431=DIRECTION('ref_axis',(1.,0.,0.)); #384432=DIRECTION('center_axis',(0.,0.,1.)); #384433=DIRECTION('ref_axis',(1.,0.,0.)); #384434=DIRECTION('center_axis',(0.,0.,1.)); #384435=DIRECTION('ref_axis',(1.,0.,0.)); #384436=DIRECTION('',(0.,0.,-1.)); #384437=DIRECTION('center_axis',(0.,0.,1.)); #384438=DIRECTION('ref_axis',(1.,0.,0.)); #384439=DIRECTION('center_axis',(0.,0.,1.)); #384440=DIRECTION('ref_axis',(1.,0.,0.)); #384441=DIRECTION('center_axis',(0.,0.,1.)); #384442=DIRECTION('ref_axis',(1.,0.,0.)); #384443=DIRECTION('center_axis',(0.,0.,1.)); #384444=DIRECTION('ref_axis',(1.,0.,0.)); #384445=DIRECTION('center_axis',(0.,0.,-1.)); #384446=DIRECTION('ref_axis',(1.,0.,0.)); #384447=DIRECTION('',(0.,0.,-1.)); #384448=DIRECTION('center_axis',(0.,0.,1.)); #384449=DIRECTION('ref_axis',(1.,0.,0.)); #384450=DIRECTION('center_axis',(0.,0.,1.)); #384451=DIRECTION('ref_axis',(1.,0.,0.)); #384452=DIRECTION('center_axis',(0.,0.,1.)); #384453=DIRECTION('ref_axis',(1.,0.,0.)); #384454=DIRECTION('',(0.,0.,-1.)); #384455=DIRECTION('center_axis',(0.,0.,1.)); #384456=DIRECTION('ref_axis',(1.,0.,0.)); #384457=DIRECTION('center_axis',(0.,0.,1.)); #384458=DIRECTION('ref_axis',(1.,0.,0.)); #384459=DIRECTION('center_axis',(0.,0.,1.)); #384460=DIRECTION('ref_axis',(1.,0.,0.)); #384461=DIRECTION('center_axis',(0.,0.,1.)); #384462=DIRECTION('ref_axis',(1.,0.,0.)); #384463=DIRECTION('center_axis',(0.,0.,-1.)); #384464=DIRECTION('ref_axis',(1.,0.,0.)); #384465=DIRECTION('',(0.,0.,-1.)); #384466=DIRECTION('center_axis',(0.,0.,1.)); #384467=DIRECTION('ref_axis',(1.,0.,0.)); #384468=DIRECTION('center_axis',(0.,0.,1.)); #384469=DIRECTION('ref_axis',(1.,0.,0.)); #384470=DIRECTION('center_axis',(0.,0.,1.)); #384471=DIRECTION('ref_axis',(1.,0.,0.)); #384472=DIRECTION('',(0.,0.,-1.)); #384473=DIRECTION('center_axis',(0.,0.,1.)); #384474=DIRECTION('ref_axis',(1.,0.,0.)); #384475=DIRECTION('center_axis',(0.,0.,1.)); #384476=DIRECTION('ref_axis',(1.,0.,0.)); #384477=DIRECTION('center_axis',(0.,0.,1.)); #384478=DIRECTION('ref_axis',(1.,0.,0.)); #384479=DIRECTION('center_axis',(0.,0.,1.)); #384480=DIRECTION('ref_axis',(1.,0.,0.)); #384481=DIRECTION('center_axis',(0.,0.,-1.)); #384482=DIRECTION('ref_axis',(1.,0.,0.)); #384483=DIRECTION('',(0.,0.,-1.)); #384484=DIRECTION('center_axis',(0.,0.,1.)); #384485=DIRECTION('ref_axis',(1.,0.,0.)); #384486=DIRECTION('center_axis',(0.,0.,1.)); #384487=DIRECTION('ref_axis',(1.,0.,0.)); #384488=DIRECTION('center_axis',(0.,0.,1.)); #384489=DIRECTION('ref_axis',(1.,0.,0.)); #384490=DIRECTION('',(0.,0.,-1.)); #384491=DIRECTION('center_axis',(0.,0.,1.)); #384492=DIRECTION('ref_axis',(1.,0.,0.)); #384493=DIRECTION('center_axis',(0.,0.,1.)); #384494=DIRECTION('ref_axis',(1.,0.,0.)); #384495=DIRECTION('center_axis',(0.,0.,1.)); #384496=DIRECTION('ref_axis',(1.,0.,0.)); #384497=DIRECTION('center_axis',(0.,0.,1.)); #384498=DIRECTION('ref_axis',(1.,0.,0.)); #384499=DIRECTION('center_axis',(0.,0.,-1.)); #384500=DIRECTION('ref_axis',(1.,0.,0.)); #384501=DIRECTION('',(0.,0.,-1.)); #384502=DIRECTION('center_axis',(0.,0.,1.)); #384503=DIRECTION('ref_axis',(1.,0.,0.)); #384504=DIRECTION('center_axis',(0.,0.,1.)); #384505=DIRECTION('ref_axis',(1.,0.,0.)); #384506=DIRECTION('center_axis',(0.,0.,1.)); #384507=DIRECTION('ref_axis',(1.,0.,0.)); #384508=DIRECTION('',(0.,0.,-1.)); #384509=DIRECTION('center_axis',(0.,0.,1.)); #384510=DIRECTION('ref_axis',(1.,0.,0.)); #384511=DIRECTION('center_axis',(0.,0.,1.)); #384512=DIRECTION('ref_axis',(1.,0.,0.)); #384513=DIRECTION('center_axis',(0.,0.,1.)); #384514=DIRECTION('ref_axis',(1.,0.,0.)); #384515=DIRECTION('center_axis',(0.,0.,1.)); #384516=DIRECTION('ref_axis',(1.,0.,0.)); #384517=DIRECTION('center_axis',(0.,0.,-1.)); #384518=DIRECTION('ref_axis',(1.,0.,0.)); #384519=DIRECTION('',(0.,0.,-1.)); #384520=DIRECTION('center_axis',(0.,0.,1.)); #384521=DIRECTION('ref_axis',(1.,0.,0.)); #384522=DIRECTION('center_axis',(0.,0.,1.)); #384523=DIRECTION('ref_axis',(1.,0.,0.)); #384524=DIRECTION('center_axis',(0.,0.,1.)); #384525=DIRECTION('ref_axis',(1.,0.,0.)); #384526=DIRECTION('',(0.,0.,-1.)); #384527=DIRECTION('center_axis',(0.,0.,1.)); #384528=DIRECTION('ref_axis',(1.,0.,0.)); #384529=DIRECTION('center_axis',(0.,0.,1.)); #384530=DIRECTION('ref_axis',(1.,0.,0.)); #384531=DIRECTION('center_axis',(0.,0.,1.)); #384532=DIRECTION('ref_axis',(1.,0.,0.)); #384533=DIRECTION('center_axis',(0.,0.,1.)); #384534=DIRECTION('ref_axis',(1.,0.,0.)); #384535=DIRECTION('center_axis',(0.,0.,-1.)); #384536=DIRECTION('ref_axis',(1.,0.,0.)); #384537=DIRECTION('',(0.,0.,-1.)); #384538=DIRECTION('center_axis',(0.,0.,1.)); #384539=DIRECTION('ref_axis',(1.,0.,0.)); #384540=DIRECTION('center_axis',(0.,0.,1.)); #384541=DIRECTION('ref_axis',(1.,0.,0.)); #384542=DIRECTION('center_axis',(0.,0.,1.)); #384543=DIRECTION('ref_axis',(1.,0.,0.)); #384544=DIRECTION('',(0.,0.,-1.)); #384545=DIRECTION('center_axis',(0.,0.,1.)); #384546=DIRECTION('ref_axis',(1.,0.,0.)); #384547=DIRECTION('center_axis',(0.,0.,1.)); #384548=DIRECTION('ref_axis',(1.,0.,0.)); #384549=DIRECTION('center_axis',(0.,0.,1.)); #384550=DIRECTION('ref_axis',(1.,0.,0.)); #384551=DIRECTION('center_axis',(0.,0.,1.)); #384552=DIRECTION('ref_axis',(1.,0.,0.)); #384553=DIRECTION('center_axis',(0.,0.,-1.)); #384554=DIRECTION('ref_axis',(1.,0.,0.)); #384555=DIRECTION('',(0.,0.,-1.)); #384556=DIRECTION('center_axis',(0.,0.,1.)); #384557=DIRECTION('ref_axis',(1.,0.,0.)); #384558=DIRECTION('center_axis',(0.,0.,1.)); #384559=DIRECTION('ref_axis',(1.,0.,0.)); #384560=DIRECTION('center_axis',(0.,0.,1.)); #384561=DIRECTION('ref_axis',(1.,0.,0.)); #384562=DIRECTION('',(0.,0.,-1.)); #384563=DIRECTION('center_axis',(0.,0.,1.)); #384564=DIRECTION('ref_axis',(1.,0.,0.)); #384565=DIRECTION('center_axis',(0.,0.,1.)); #384566=DIRECTION('ref_axis',(1.,0.,0.)); #384567=DIRECTION('center_axis',(0.,0.,1.)); #384568=DIRECTION('ref_axis',(1.,0.,0.)); #384569=DIRECTION('center_axis',(0.,0.,1.)); #384570=DIRECTION('ref_axis',(1.,0.,0.)); #384571=DIRECTION('center_axis',(0.,0.,-1.)); #384572=DIRECTION('ref_axis',(1.,0.,0.)); #384573=DIRECTION('',(0.,0.,-1.)); #384574=DIRECTION('center_axis',(0.,0.,1.)); #384575=DIRECTION('ref_axis',(1.,0.,0.)); #384576=DIRECTION('center_axis',(0.,0.,1.)); #384577=DIRECTION('ref_axis',(1.,0.,0.)); #384578=DIRECTION('center_axis',(0.,0.,1.)); #384579=DIRECTION('ref_axis',(1.,0.,0.)); #384580=DIRECTION('',(0.,0.,-1.)); #384581=DIRECTION('center_axis',(0.,0.,1.)); #384582=DIRECTION('ref_axis',(1.,0.,0.)); #384583=DIRECTION('center_axis',(0.,0.,1.)); #384584=DIRECTION('ref_axis',(1.,0.,0.)); #384585=DIRECTION('center_axis',(0.,0.,1.)); #384586=DIRECTION('ref_axis',(1.,0.,0.)); #384587=DIRECTION('center_axis',(0.,0.,1.)); #384588=DIRECTION('ref_axis',(1.,0.,0.)); #384589=DIRECTION('center_axis',(0.,0.,-1.)); #384590=DIRECTION('ref_axis',(1.,0.,0.)); #384591=DIRECTION('',(0.,0.,-1.)); #384592=DIRECTION('center_axis',(0.,0.,1.)); #384593=DIRECTION('ref_axis',(1.,0.,0.)); #384594=DIRECTION('center_axis',(0.,0.,1.)); #384595=DIRECTION('ref_axis',(1.,0.,0.)); #384596=DIRECTION('center_axis',(0.,0.,1.)); #384597=DIRECTION('ref_axis',(1.,0.,0.)); #384598=DIRECTION('',(0.,0.,-1.)); #384599=DIRECTION('center_axis',(0.,0.,1.)); #384600=DIRECTION('ref_axis',(1.,0.,0.)); #384601=DIRECTION('center_axis',(0.,0.,1.)); #384602=DIRECTION('ref_axis',(1.,0.,0.)); #384603=DIRECTION('center_axis',(0.,0.,1.)); #384604=DIRECTION('ref_axis',(1.,0.,0.)); #384605=DIRECTION('center_axis',(0.,0.,1.)); #384606=DIRECTION('ref_axis',(1.,0.,0.)); #384607=DIRECTION('center_axis',(0.,0.,-1.)); #384608=DIRECTION('ref_axis',(1.,0.,0.)); #384609=DIRECTION('',(0.,0.,-1.)); #384610=DIRECTION('center_axis',(0.,0.,1.)); #384611=DIRECTION('ref_axis',(1.,0.,0.)); #384612=DIRECTION('center_axis',(0.,0.,1.)); #384613=DIRECTION('ref_axis',(1.,0.,0.)); #384614=DIRECTION('center_axis',(0.,0.,1.)); #384615=DIRECTION('ref_axis',(1.,0.,0.)); #384616=DIRECTION('',(0.,0.,-1.)); #384617=DIRECTION('center_axis',(0.,0.,1.)); #384618=DIRECTION('ref_axis',(1.,0.,0.)); #384619=DIRECTION('center_axis',(0.,0.,1.)); #384620=DIRECTION('ref_axis',(1.,0.,0.)); #384621=DIRECTION('center_axis',(0.,0.,1.)); #384622=DIRECTION('ref_axis',(1.,0.,0.)); #384623=DIRECTION('center_axis',(0.,0.,1.)); #384624=DIRECTION('ref_axis',(1.,0.,0.)); #384625=DIRECTION('center_axis',(0.,0.,-1.)); #384626=DIRECTION('ref_axis',(1.,0.,0.)); #384627=DIRECTION('',(0.,0.,-1.)); #384628=DIRECTION('center_axis',(0.,0.,1.)); #384629=DIRECTION('ref_axis',(1.,0.,0.)); #384630=DIRECTION('center_axis',(0.,0.,1.)); #384631=DIRECTION('ref_axis',(1.,0.,0.)); #384632=DIRECTION('center_axis',(0.,0.,1.)); #384633=DIRECTION('ref_axis',(1.,0.,0.)); #384634=DIRECTION('',(0.,0.,-1.)); #384635=DIRECTION('center_axis',(0.,0.,1.)); #384636=DIRECTION('ref_axis',(1.,0.,0.)); #384637=DIRECTION('center_axis',(0.,0.,1.)); #384638=DIRECTION('ref_axis',(1.,0.,0.)); #384639=DIRECTION('center_axis',(0.,0.,1.)); #384640=DIRECTION('ref_axis',(1.,0.,0.)); #384641=DIRECTION('center_axis',(0.,0.,1.)); #384642=DIRECTION('ref_axis',(1.,0.,0.)); #384643=DIRECTION('center_axis',(0.,0.,-1.)); #384644=DIRECTION('ref_axis',(1.,0.,0.)); #384645=DIRECTION('',(0.,0.,-1.)); #384646=DIRECTION('center_axis',(0.,0.,1.)); #384647=DIRECTION('ref_axis',(1.,0.,0.)); #384648=DIRECTION('center_axis',(0.,0.,1.)); #384649=DIRECTION('ref_axis',(1.,0.,0.)); #384650=DIRECTION('center_axis',(0.,0.,1.)); #384651=DIRECTION('ref_axis',(1.,0.,0.)); #384652=DIRECTION('',(0.,0.,-1.)); #384653=DIRECTION('center_axis',(0.,0.,1.)); #384654=DIRECTION('ref_axis',(1.,0.,0.)); #384655=DIRECTION('center_axis',(0.,0.,1.)); #384656=DIRECTION('ref_axis',(1.,0.,0.)); #384657=DIRECTION('center_axis',(0.,0.,1.)); #384658=DIRECTION('ref_axis',(1.,0.,0.)); #384659=DIRECTION('center_axis',(0.,0.,1.)); #384660=DIRECTION('ref_axis',(1.,0.,0.)); #384661=DIRECTION('center_axis',(0.,0.,-1.)); #384662=DIRECTION('ref_axis',(1.,0.,0.)); #384663=DIRECTION('',(0.,0.,-1.)); #384664=DIRECTION('center_axis',(0.,0.,1.)); #384665=DIRECTION('ref_axis',(1.,0.,0.)); #384666=DIRECTION('center_axis',(0.,0.,1.)); #384667=DIRECTION('ref_axis',(1.,0.,0.)); #384668=DIRECTION('center_axis',(0.,0.,1.)); #384669=DIRECTION('ref_axis',(1.,0.,0.)); #384670=DIRECTION('',(0.,0.,-1.)); #384671=DIRECTION('center_axis',(0.,0.,1.)); #384672=DIRECTION('ref_axis',(1.,0.,0.)); #384673=DIRECTION('center_axis',(0.,0.,1.)); #384674=DIRECTION('ref_axis',(1.,0.,0.)); #384675=DIRECTION('center_axis',(0.,0.,1.)); #384676=DIRECTION('ref_axis',(1.,0.,0.)); #384677=DIRECTION('center_axis',(0.,0.,1.)); #384678=DIRECTION('ref_axis',(1.,0.,0.)); #384679=DIRECTION('center_axis',(0.,0.,-1.)); #384680=DIRECTION('ref_axis',(1.,0.,0.)); #384681=DIRECTION('',(0.,0.,-1.)); #384682=DIRECTION('center_axis',(0.,0.,1.)); #384683=DIRECTION('ref_axis',(1.,0.,0.)); #384684=DIRECTION('center_axis',(0.,0.,1.)); #384685=DIRECTION('ref_axis',(1.,0.,0.)); #384686=DIRECTION('center_axis',(0.,0.,1.)); #384687=DIRECTION('ref_axis',(1.,0.,0.)); #384688=DIRECTION('',(0.,0.,-1.)); #384689=DIRECTION('center_axis',(0.,0.,1.)); #384690=DIRECTION('ref_axis',(1.,0.,0.)); #384691=DIRECTION('center_axis',(0.,0.,1.)); #384692=DIRECTION('ref_axis',(1.,0.,0.)); #384693=DIRECTION('center_axis',(0.,0.,1.)); #384694=DIRECTION('ref_axis',(1.,0.,0.)); #384695=DIRECTION('center_axis',(0.,0.,1.)); #384696=DIRECTION('ref_axis',(1.,0.,0.)); #384697=DIRECTION('center_axis',(0.,0.,-1.)); #384698=DIRECTION('ref_axis',(1.,0.,0.)); #384699=DIRECTION('',(0.,0.,-1.)); #384700=DIRECTION('center_axis',(0.,0.,1.)); #384701=DIRECTION('ref_axis',(1.,0.,0.)); #384702=DIRECTION('center_axis',(0.,0.,1.)); #384703=DIRECTION('ref_axis',(1.,0.,0.)); #384704=DIRECTION('center_axis',(0.,0.,1.)); #384705=DIRECTION('ref_axis',(1.,0.,0.)); #384706=DIRECTION('',(0.,0.,-1.)); #384707=DIRECTION('center_axis',(0.,0.,1.)); #384708=DIRECTION('ref_axis',(1.,0.,0.)); #384709=DIRECTION('center_axis',(0.,0.,1.)); #384710=DIRECTION('ref_axis',(1.,0.,0.)); #384711=DIRECTION('center_axis',(0.,0.,1.)); #384712=DIRECTION('ref_axis',(1.,0.,0.)); #384713=DIRECTION('center_axis',(0.,0.,1.)); #384714=DIRECTION('ref_axis',(1.,0.,0.)); #384715=DIRECTION('center_axis',(0.,0.,-1.)); #384716=DIRECTION('ref_axis',(1.,0.,0.)); #384717=DIRECTION('',(0.,0.,-1.)); #384718=DIRECTION('center_axis',(0.,0.,1.)); #384719=DIRECTION('ref_axis',(1.,0.,0.)); #384720=DIRECTION('center_axis',(0.,0.,1.)); #384721=DIRECTION('ref_axis',(1.,0.,0.)); #384722=DIRECTION('center_axis',(0.,0.,1.)); #384723=DIRECTION('ref_axis',(1.,0.,0.)); #384724=DIRECTION('',(0.,0.,-1.)); #384725=DIRECTION('center_axis',(0.,0.,1.)); #384726=DIRECTION('ref_axis',(1.,0.,0.)); #384727=DIRECTION('center_axis',(0.,0.,1.)); #384728=DIRECTION('ref_axis',(1.,0.,0.)); #384729=DIRECTION('center_axis',(0.,0.,1.)); #384730=DIRECTION('ref_axis',(1.,0.,0.)); #384731=DIRECTION('center_axis',(0.,0.,1.)); #384732=DIRECTION('ref_axis',(1.,0.,0.)); #384733=DIRECTION('center_axis',(0.,0.,-1.)); #384734=DIRECTION('ref_axis',(1.,0.,0.)); #384735=DIRECTION('',(0.,0.,-1.)); #384736=DIRECTION('center_axis',(0.,0.,1.)); #384737=DIRECTION('ref_axis',(1.,0.,0.)); #384738=DIRECTION('center_axis',(0.,0.,1.)); #384739=DIRECTION('ref_axis',(1.,0.,0.)); #384740=DIRECTION('center_axis',(0.,0.,1.)); #384741=DIRECTION('ref_axis',(1.,0.,0.)); #384742=DIRECTION('',(0.,0.,-1.)); #384743=DIRECTION('center_axis',(0.,0.,1.)); #384744=DIRECTION('ref_axis',(1.,0.,0.)); #384745=DIRECTION('center_axis',(0.,0.,1.)); #384746=DIRECTION('ref_axis',(1.,0.,0.)); #384747=DIRECTION('center_axis',(0.,0.,1.)); #384748=DIRECTION('ref_axis',(1.,0.,0.)); #384749=DIRECTION('center_axis',(0.,0.,1.)); #384750=DIRECTION('ref_axis',(1.,0.,0.)); #384751=DIRECTION('center_axis',(0.,0.,-1.)); #384752=DIRECTION('ref_axis',(1.,0.,0.)); #384753=DIRECTION('',(0.,0.,-1.)); #384754=DIRECTION('center_axis',(0.,0.,1.)); #384755=DIRECTION('ref_axis',(1.,0.,0.)); #384756=DIRECTION('center_axis',(0.,0.,1.)); #384757=DIRECTION('ref_axis',(1.,0.,0.)); #384758=DIRECTION('center_axis',(0.,0.,1.)); #384759=DIRECTION('ref_axis',(1.,0.,0.)); #384760=DIRECTION('',(0.,0.,-1.)); #384761=DIRECTION('center_axis',(0.,0.,1.)); #384762=DIRECTION('ref_axis',(1.,0.,0.)); #384763=DIRECTION('center_axis',(0.,0.,1.)); #384764=DIRECTION('ref_axis',(1.,0.,0.)); #384765=DIRECTION('center_axis',(0.,0.,1.)); #384766=DIRECTION('ref_axis',(1.,0.,0.)); #384767=DIRECTION('center_axis',(0.,0.,1.)); #384768=DIRECTION('ref_axis',(1.,0.,0.)); #384769=DIRECTION('center_axis',(0.,0.,-1.)); #384770=DIRECTION('ref_axis',(1.,0.,0.)); #384771=DIRECTION('',(0.,0.,-1.)); #384772=DIRECTION('center_axis',(0.,0.,1.)); #384773=DIRECTION('ref_axis',(1.,0.,0.)); #384774=DIRECTION('center_axis',(0.,0.,1.)); #384775=DIRECTION('ref_axis',(1.,0.,0.)); #384776=DIRECTION('center_axis',(0.,0.,1.)); #384777=DIRECTION('ref_axis',(1.,0.,0.)); #384778=DIRECTION('',(0.,0.,-1.)); #384779=DIRECTION('center_axis',(0.,0.,1.)); #384780=DIRECTION('ref_axis',(1.,0.,0.)); #384781=DIRECTION('center_axis',(0.,0.,1.)); #384782=DIRECTION('ref_axis',(1.,0.,0.)); #384783=DIRECTION('center_axis',(0.,0.,1.)); #384784=DIRECTION('ref_axis',(1.,0.,0.)); #384785=DIRECTION('center_axis',(0.,0.,1.)); #384786=DIRECTION('ref_axis',(1.,0.,0.)); #384787=DIRECTION('center_axis',(0.,0.,-1.)); #384788=DIRECTION('ref_axis',(1.,0.,0.)); #384789=DIRECTION('',(0.,0.,-1.)); #384790=DIRECTION('center_axis',(0.,0.,1.)); #384791=DIRECTION('ref_axis',(1.,0.,0.)); #384792=DIRECTION('center_axis',(0.,0.,1.)); #384793=DIRECTION('ref_axis',(1.,0.,0.)); #384794=DIRECTION('center_axis',(0.,0.,1.)); #384795=DIRECTION('ref_axis',(1.,0.,0.)); #384796=DIRECTION('',(0.,0.,-1.)); #384797=DIRECTION('center_axis',(0.,0.,1.)); #384798=DIRECTION('ref_axis',(1.,0.,0.)); #384799=DIRECTION('center_axis',(0.,0.,1.)); #384800=DIRECTION('ref_axis',(1.,0.,0.)); #384801=DIRECTION('center_axis',(0.,0.,1.)); #384802=DIRECTION('ref_axis',(1.,0.,0.)); #384803=DIRECTION('center_axis',(0.,0.,1.)); #384804=DIRECTION('ref_axis',(1.,0.,0.)); #384805=DIRECTION('center_axis',(0.,0.,-1.)); #384806=DIRECTION('ref_axis',(1.,0.,0.)); #384807=DIRECTION('',(0.,0.,-1.)); #384808=DIRECTION('center_axis',(0.,0.,1.)); #384809=DIRECTION('ref_axis',(1.,0.,0.)); #384810=DIRECTION('center_axis',(0.,0.,1.)); #384811=DIRECTION('ref_axis',(1.,0.,0.)); #384812=DIRECTION('center_axis',(0.,0.,1.)); #384813=DIRECTION('ref_axis',(1.,0.,0.)); #384814=DIRECTION('',(0.,0.,-1.)); #384815=DIRECTION('center_axis',(0.,0.,1.)); #384816=DIRECTION('ref_axis',(1.,0.,0.)); #384817=DIRECTION('center_axis',(0.,0.,1.)); #384818=DIRECTION('ref_axis',(1.,0.,0.)); #384819=DIRECTION('center_axis',(0.,0.,1.)); #384820=DIRECTION('ref_axis',(1.,0.,0.)); #384821=DIRECTION('center_axis',(0.,0.,1.)); #384822=DIRECTION('ref_axis',(1.,0.,0.)); #384823=DIRECTION('center_axis',(0.,0.,-1.)); #384824=DIRECTION('ref_axis',(1.,0.,0.)); #384825=DIRECTION('',(0.,0.,-1.)); #384826=DIRECTION('center_axis',(0.,0.,1.)); #384827=DIRECTION('ref_axis',(1.,0.,0.)); #384828=DIRECTION('center_axis',(0.,0.,1.)); #384829=DIRECTION('ref_axis',(1.,0.,0.)); #384830=DIRECTION('center_axis',(0.,0.,1.)); #384831=DIRECTION('ref_axis',(1.,0.,0.)); #384832=DIRECTION('',(0.,0.,-1.)); #384833=DIRECTION('center_axis',(0.,0.,1.)); #384834=DIRECTION('ref_axis',(1.,0.,0.)); #384835=DIRECTION('center_axis',(0.,0.,1.)); #384836=DIRECTION('ref_axis',(1.,0.,0.)); #384837=DIRECTION('center_axis',(0.,0.,1.)); #384838=DIRECTION('ref_axis',(1.,0.,0.)); #384839=DIRECTION('center_axis',(0.,0.,1.)); #384840=DIRECTION('ref_axis',(1.,0.,0.)); #384841=DIRECTION('center_axis',(0.,0.,-1.)); #384842=DIRECTION('ref_axis',(1.,0.,0.)); #384843=DIRECTION('',(0.,0.,-1.)); #384844=DIRECTION('center_axis',(0.,0.,1.)); #384845=DIRECTION('ref_axis',(1.,0.,0.)); #384846=DIRECTION('center_axis',(0.,0.,1.)); #384847=DIRECTION('ref_axis',(1.,0.,0.)); #384848=DIRECTION('center_axis',(0.,0.,1.)); #384849=DIRECTION('ref_axis',(1.,0.,0.)); #384850=DIRECTION('',(0.,0.,-1.)); #384851=DIRECTION('center_axis',(0.,0.,1.)); #384852=DIRECTION('ref_axis',(1.,0.,0.)); #384853=DIRECTION('center_axis',(0.,0.,1.)); #384854=DIRECTION('ref_axis',(1.,0.,0.)); #384855=DIRECTION('center_axis',(0.,0.,1.)); #384856=DIRECTION('ref_axis',(1.,0.,0.)); #384857=DIRECTION('center_axis',(0.,0.,1.)); #384858=DIRECTION('ref_axis',(1.,0.,0.)); #384859=DIRECTION('center_axis',(0.,0.,-1.)); #384860=DIRECTION('ref_axis',(1.,0.,0.)); #384861=DIRECTION('',(0.,0.,-1.)); #384862=DIRECTION('center_axis',(0.,0.,1.)); #384863=DIRECTION('ref_axis',(1.,0.,0.)); #384864=DIRECTION('center_axis',(0.,0.,1.)); #384865=DIRECTION('ref_axis',(1.,0.,0.)); #384866=DIRECTION('center_axis',(0.,0.,1.)); #384867=DIRECTION('ref_axis',(1.,0.,0.)); #384868=DIRECTION('',(0.,0.,-1.)); #384869=DIRECTION('center_axis',(0.,0.,1.)); #384870=DIRECTION('ref_axis',(1.,0.,0.)); #384871=DIRECTION('center_axis',(0.,0.,1.)); #384872=DIRECTION('ref_axis',(1.,0.,0.)); #384873=DIRECTION('center_axis',(0.,0.,1.)); #384874=DIRECTION('ref_axis',(1.,0.,0.)); #384875=DIRECTION('center_axis',(0.,0.,1.)); #384876=DIRECTION('ref_axis',(1.,0.,0.)); #384877=DIRECTION('center_axis',(0.,0.,-1.)); #384878=DIRECTION('ref_axis',(1.,0.,0.)); #384879=DIRECTION('',(0.,0.,-1.)); #384880=DIRECTION('center_axis',(0.,0.,1.)); #384881=DIRECTION('ref_axis',(1.,0.,0.)); #384882=DIRECTION('center_axis',(0.,0.,1.)); #384883=DIRECTION('ref_axis',(1.,0.,0.)); #384884=DIRECTION('center_axis',(0.,0.,1.)); #384885=DIRECTION('ref_axis',(1.,0.,0.)); #384886=DIRECTION('',(0.,0.,-1.)); #384887=DIRECTION('center_axis',(0.,0.,1.)); #384888=DIRECTION('ref_axis',(1.,0.,0.)); #384889=DIRECTION('center_axis',(0.,0.,1.)); #384890=DIRECTION('ref_axis',(1.,0.,0.)); #384891=DIRECTION('center_axis',(0.,0.,1.)); #384892=DIRECTION('ref_axis',(1.,0.,0.)); #384893=DIRECTION('center_axis',(0.,0.,1.)); #384894=DIRECTION('ref_axis',(1.,0.,0.)); #384895=DIRECTION('center_axis',(0.,0.,-1.)); #384896=DIRECTION('ref_axis',(1.,0.,0.)); #384897=DIRECTION('',(0.,0.,-1.)); #384898=DIRECTION('center_axis',(0.,0.,1.)); #384899=DIRECTION('ref_axis',(1.,0.,0.)); #384900=DIRECTION('center_axis',(0.,0.,1.)); #384901=DIRECTION('ref_axis',(1.,0.,0.)); #384902=DIRECTION('center_axis',(0.,0.,1.)); #384903=DIRECTION('ref_axis',(1.,0.,0.)); #384904=DIRECTION('',(0.,0.,-1.)); #384905=DIRECTION('center_axis',(0.,0.,1.)); #384906=DIRECTION('ref_axis',(1.,0.,0.)); #384907=DIRECTION('center_axis',(0.,0.,1.)); #384908=DIRECTION('ref_axis',(1.,0.,0.)); #384909=DIRECTION('center_axis',(0.,0.,1.)); #384910=DIRECTION('ref_axis',(1.,0.,0.)); #384911=DIRECTION('center_axis',(0.,0.,1.)); #384912=DIRECTION('ref_axis',(1.,0.,0.)); #384913=DIRECTION('center_axis',(0.,0.,-1.)); #384914=DIRECTION('ref_axis',(1.,0.,0.)); #384915=DIRECTION('',(0.,0.,-1.)); #384916=DIRECTION('center_axis',(0.,0.,1.)); #384917=DIRECTION('ref_axis',(1.,0.,0.)); #384918=DIRECTION('center_axis',(0.,0.,1.)); #384919=DIRECTION('ref_axis',(1.,0.,0.)); #384920=DIRECTION('center_axis',(0.,0.,1.)); #384921=DIRECTION('ref_axis',(1.,0.,0.)); #384922=DIRECTION('',(0.,0.,-1.)); #384923=DIRECTION('center_axis',(0.,0.,1.)); #384924=DIRECTION('ref_axis',(1.,0.,0.)); #384925=DIRECTION('center_axis',(0.,0.,1.)); #384926=DIRECTION('ref_axis',(1.,0.,0.)); #384927=DIRECTION('center_axis',(0.,0.,1.)); #384928=DIRECTION('ref_axis',(1.,0.,0.)); #384929=DIRECTION('center_axis',(0.,0.,1.)); #384930=DIRECTION('ref_axis',(1.,0.,0.)); #384931=DIRECTION('center_axis',(0.,0.,-1.)); #384932=DIRECTION('ref_axis',(1.,0.,0.)); #384933=DIRECTION('',(0.,0.,-1.)); #384934=DIRECTION('center_axis',(0.,0.,1.)); #384935=DIRECTION('ref_axis',(1.,0.,0.)); #384936=DIRECTION('center_axis',(0.,0.,1.)); #384937=DIRECTION('ref_axis',(1.,0.,0.)); #384938=DIRECTION('center_axis',(0.,0.,1.)); #384939=DIRECTION('ref_axis',(1.,0.,0.)); #384940=DIRECTION('',(0.,0.,-1.)); #384941=DIRECTION('center_axis',(0.,0.,1.)); #384942=DIRECTION('ref_axis',(1.,0.,0.)); #384943=DIRECTION('center_axis',(0.,0.,1.)); #384944=DIRECTION('ref_axis',(1.,0.,0.)); #384945=DIRECTION('center_axis',(0.,0.,1.)); #384946=DIRECTION('ref_axis',(1.,0.,0.)); #384947=DIRECTION('center_axis',(0.,0.,1.)); #384948=DIRECTION('ref_axis',(1.,0.,0.)); #384949=DIRECTION('center_axis',(0.,0.,-1.)); #384950=DIRECTION('ref_axis',(1.,0.,0.)); #384951=DIRECTION('',(0.,0.,-1.)); #384952=DIRECTION('center_axis',(0.,0.,1.)); #384953=DIRECTION('ref_axis',(1.,0.,0.)); #384954=DIRECTION('center_axis',(0.,0.,1.)); #384955=DIRECTION('ref_axis',(1.,0.,0.)); #384956=DIRECTION('center_axis',(0.,0.,1.)); #384957=DIRECTION('ref_axis',(1.,0.,0.)); #384958=DIRECTION('',(0.,0.,-1.)); #384959=DIRECTION('center_axis',(0.,0.,1.)); #384960=DIRECTION('ref_axis',(1.,0.,0.)); #384961=DIRECTION('center_axis',(0.,0.,1.)); #384962=DIRECTION('ref_axis',(1.,0.,0.)); #384963=DIRECTION('center_axis',(0.,0.,1.)); #384964=DIRECTION('ref_axis',(1.,0.,0.)); #384965=DIRECTION('center_axis',(0.,0.,1.)); #384966=DIRECTION('ref_axis',(1.,0.,0.)); #384967=DIRECTION('center_axis',(0.,0.,-1.)); #384968=DIRECTION('ref_axis',(1.,0.,0.)); #384969=DIRECTION('',(0.,0.,-1.)); #384970=DIRECTION('center_axis',(0.,0.,1.)); #384971=DIRECTION('ref_axis',(1.,0.,0.)); #384972=DIRECTION('center_axis',(0.,0.,1.)); #384973=DIRECTION('ref_axis',(1.,0.,0.)); #384974=DIRECTION('center_axis',(0.,0.,1.)); #384975=DIRECTION('ref_axis',(1.,0.,0.)); #384976=DIRECTION('',(0.,0.,-1.)); #384977=DIRECTION('center_axis',(0.,0.,1.)); #384978=DIRECTION('ref_axis',(1.,0.,0.)); #384979=DIRECTION('center_axis',(0.,0.,1.)); #384980=DIRECTION('ref_axis',(1.,0.,0.)); #384981=DIRECTION('center_axis',(0.,0.,1.)); #384982=DIRECTION('ref_axis',(1.,0.,0.)); #384983=DIRECTION('center_axis',(0.,0.,1.)); #384984=DIRECTION('ref_axis',(1.,0.,0.)); #384985=DIRECTION('center_axis',(0.,0.,-1.)); #384986=DIRECTION('ref_axis',(1.,0.,0.)); #384987=DIRECTION('',(0.,0.,-1.)); #384988=DIRECTION('center_axis',(0.,0.,1.)); #384989=DIRECTION('ref_axis',(1.,0.,0.)); #384990=DIRECTION('center_axis',(0.,0.,1.)); #384991=DIRECTION('ref_axis',(1.,0.,0.)); #384992=DIRECTION('center_axis',(0.,0.,1.)); #384993=DIRECTION('ref_axis',(1.,0.,0.)); #384994=DIRECTION('',(0.,0.,-1.)); #384995=DIRECTION('center_axis',(0.,0.,1.)); #384996=DIRECTION('ref_axis',(1.,0.,0.)); #384997=DIRECTION('center_axis',(0.,0.,1.)); #384998=DIRECTION('ref_axis',(1.,0.,0.)); #384999=DIRECTION('center_axis',(0.,0.,1.)); #385000=DIRECTION('ref_axis',(1.,0.,0.)); #385001=DIRECTION('center_axis',(0.,0.,1.)); #385002=DIRECTION('ref_axis',(1.,0.,0.)); #385003=DIRECTION('center_axis',(0.,0.,-1.)); #385004=DIRECTION('ref_axis',(1.,0.,0.)); #385005=DIRECTION('',(0.,0.,-1.)); #385006=DIRECTION('center_axis',(0.,0.,1.)); #385007=DIRECTION('ref_axis',(1.,0.,0.)); #385008=DIRECTION('center_axis',(0.,0.,1.)); #385009=DIRECTION('ref_axis',(1.,0.,0.)); #385010=DIRECTION('center_axis',(0.,0.,1.)); #385011=DIRECTION('ref_axis',(1.,0.,0.)); #385012=DIRECTION('',(0.,0.,-1.)); #385013=DIRECTION('center_axis',(0.,0.,1.)); #385014=DIRECTION('ref_axis',(1.,0.,0.)); #385015=DIRECTION('center_axis',(0.,0.,1.)); #385016=DIRECTION('ref_axis',(1.,0.,0.)); #385017=DIRECTION('center_axis',(0.,0.,1.)); #385018=DIRECTION('ref_axis',(1.,0.,0.)); #385019=DIRECTION('center_axis',(0.,0.,1.)); #385020=DIRECTION('ref_axis',(1.,0.,0.)); #385021=DIRECTION('center_axis',(0.,0.,-1.)); #385022=DIRECTION('ref_axis',(1.,0.,0.)); #385023=DIRECTION('',(0.,0.,-1.)); #385024=DIRECTION('center_axis',(0.,0.,1.)); #385025=DIRECTION('ref_axis',(1.,0.,0.)); #385026=DIRECTION('center_axis',(0.,0.,1.)); #385027=DIRECTION('ref_axis',(1.,0.,0.)); #385028=DIRECTION('center_axis',(0.,0.,1.)); #385029=DIRECTION('ref_axis',(1.,0.,0.)); #385030=DIRECTION('',(0.,0.,-1.)); #385031=DIRECTION('center_axis',(0.,0.,1.)); #385032=DIRECTION('ref_axis',(1.,0.,0.)); #385033=DIRECTION('center_axis',(0.,0.,1.)); #385034=DIRECTION('ref_axis',(1.,0.,0.)); #385035=DIRECTION('center_axis',(0.,0.,1.)); #385036=DIRECTION('ref_axis',(1.,0.,0.)); #385037=DIRECTION('center_axis',(0.,0.,1.)); #385038=DIRECTION('ref_axis',(1.,0.,0.)); #385039=DIRECTION('center_axis',(0.,0.,-1.)); #385040=DIRECTION('ref_axis',(1.,0.,0.)); #385041=DIRECTION('',(0.,0.,-1.)); #385042=DIRECTION('center_axis',(0.,0.,1.)); #385043=DIRECTION('ref_axis',(1.,0.,0.)); #385044=DIRECTION('center_axis',(0.,0.,1.)); #385045=DIRECTION('ref_axis',(1.,0.,0.)); #385046=DIRECTION('center_axis',(0.,0.,1.)); #385047=DIRECTION('ref_axis',(1.,0.,0.)); #385048=DIRECTION('',(0.,0.,-1.)); #385049=DIRECTION('center_axis',(0.,0.,1.)); #385050=DIRECTION('ref_axis',(1.,0.,0.)); #385051=DIRECTION('center_axis',(0.,0.,1.)); #385052=DIRECTION('ref_axis',(1.,0.,0.)); #385053=DIRECTION('center_axis',(0.,0.,1.)); #385054=DIRECTION('ref_axis',(1.,0.,0.)); #385055=DIRECTION('center_axis',(0.,0.,1.)); #385056=DIRECTION('ref_axis',(1.,0.,0.)); #385057=DIRECTION('center_axis',(0.,0.,-1.)); #385058=DIRECTION('ref_axis',(1.,0.,0.)); #385059=DIRECTION('',(0.,0.,-1.)); #385060=DIRECTION('center_axis',(0.,0.,1.)); #385061=DIRECTION('ref_axis',(1.,0.,0.)); #385062=DIRECTION('center_axis',(0.,0.,1.)); #385063=DIRECTION('ref_axis',(1.,0.,0.)); #385064=DIRECTION('center_axis',(0.,0.,1.)); #385065=DIRECTION('ref_axis',(1.,0.,0.)); #385066=DIRECTION('',(0.,0.,-1.)); #385067=DIRECTION('center_axis',(0.,0.,1.)); #385068=DIRECTION('ref_axis',(1.,0.,0.)); #385069=DIRECTION('center_axis',(0.,0.,1.)); #385070=DIRECTION('ref_axis',(1.,0.,0.)); #385071=DIRECTION('center_axis',(0.,0.,1.)); #385072=DIRECTION('ref_axis',(1.,0.,0.)); #385073=DIRECTION('center_axis',(0.,0.,1.)); #385074=DIRECTION('ref_axis',(1.,0.,0.)); #385075=DIRECTION('center_axis',(0.,0.,-1.)); #385076=DIRECTION('ref_axis',(1.,0.,0.)); #385077=DIRECTION('',(0.,0.,-1.)); #385078=DIRECTION('center_axis',(0.,0.,1.)); #385079=DIRECTION('ref_axis',(1.,0.,0.)); #385080=DIRECTION('center_axis',(0.,0.,1.)); #385081=DIRECTION('ref_axis',(1.,0.,0.)); #385082=DIRECTION('center_axis',(0.,0.,1.)); #385083=DIRECTION('ref_axis',(1.,0.,0.)); #385084=DIRECTION('',(0.,0.,-1.)); #385085=DIRECTION('center_axis',(0.,0.,1.)); #385086=DIRECTION('ref_axis',(1.,0.,0.)); #385087=DIRECTION('center_axis',(0.,0.,1.)); #385088=DIRECTION('ref_axis',(1.,0.,0.)); #385089=DIRECTION('center_axis',(0.,0.,1.)); #385090=DIRECTION('ref_axis',(1.,0.,0.)); #385091=DIRECTION('center_axis',(0.,0.,1.)); #385092=DIRECTION('ref_axis',(1.,0.,0.)); #385093=DIRECTION('center_axis',(0.,0.,-1.)); #385094=DIRECTION('ref_axis',(1.,0.,0.)); #385095=DIRECTION('',(0.,0.,-1.)); #385096=DIRECTION('center_axis',(0.,0.,1.)); #385097=DIRECTION('ref_axis',(1.,0.,0.)); #385098=DIRECTION('center_axis',(0.,0.,1.)); #385099=DIRECTION('ref_axis',(1.,0.,0.)); #385100=DIRECTION('center_axis',(0.,0.,1.)); #385101=DIRECTION('ref_axis',(1.,0.,0.)); #385102=DIRECTION('',(0.,0.,-1.)); #385103=DIRECTION('center_axis',(0.,0.,1.)); #385104=DIRECTION('ref_axis',(1.,0.,0.)); #385105=DIRECTION('center_axis',(0.,0.,1.)); #385106=DIRECTION('ref_axis',(1.,0.,0.)); #385107=DIRECTION('center_axis',(0.,0.,1.)); #385108=DIRECTION('ref_axis',(1.,0.,0.)); #385109=DIRECTION('center_axis',(0.,0.,1.)); #385110=DIRECTION('ref_axis',(1.,0.,0.)); #385111=DIRECTION('center_axis',(0.,0.,-1.)); #385112=DIRECTION('ref_axis',(1.,0.,0.)); #385113=DIRECTION('',(0.,0.,-1.)); #385114=DIRECTION('center_axis',(0.,0.,1.)); #385115=DIRECTION('ref_axis',(1.,0.,0.)); #385116=DIRECTION('center_axis',(0.,0.,1.)); #385117=DIRECTION('ref_axis',(1.,0.,0.)); #385118=DIRECTION('center_axis',(0.,0.,1.)); #385119=DIRECTION('ref_axis',(1.,0.,0.)); #385120=DIRECTION('',(0.,0.,-1.)); #385121=DIRECTION('center_axis',(0.,0.,1.)); #385122=DIRECTION('ref_axis',(1.,0.,0.)); #385123=DIRECTION('center_axis',(0.,0.,1.)); #385124=DIRECTION('ref_axis',(1.,0.,0.)); #385125=DIRECTION('center_axis',(0.,0.,1.)); #385126=DIRECTION('ref_axis',(1.,0.,0.)); #385127=DIRECTION('center_axis',(0.,0.,1.)); #385128=DIRECTION('ref_axis',(1.,0.,0.)); #385129=DIRECTION('center_axis',(0.,0.,-1.)); #385130=DIRECTION('ref_axis',(1.,0.,0.)); #385131=DIRECTION('',(0.,0.,-1.)); #385132=DIRECTION('center_axis',(0.,0.,1.)); #385133=DIRECTION('ref_axis',(1.,0.,0.)); #385134=DIRECTION('center_axis',(0.,0.,1.)); #385135=DIRECTION('ref_axis',(1.,0.,0.)); #385136=DIRECTION('center_axis',(0.,0.,1.)); #385137=DIRECTION('ref_axis',(1.,0.,0.)); #385138=DIRECTION('',(0.,0.,-1.)); #385139=DIRECTION('center_axis',(0.,0.,1.)); #385140=DIRECTION('ref_axis',(1.,0.,0.)); #385141=DIRECTION('center_axis',(0.,0.,1.)); #385142=DIRECTION('ref_axis',(1.,0.,0.)); #385143=DIRECTION('center_axis',(0.,0.,1.)); #385144=DIRECTION('ref_axis',(1.,0.,0.)); #385145=DIRECTION('center_axis',(0.,0.,1.)); #385146=DIRECTION('ref_axis',(1.,0.,0.)); #385147=DIRECTION('center_axis',(0.,0.,-1.)); #385148=DIRECTION('ref_axis',(1.,0.,0.)); #385149=DIRECTION('',(0.,0.,-1.)); #385150=DIRECTION('center_axis',(0.,0.,1.)); #385151=DIRECTION('ref_axis',(1.,0.,0.)); #385152=DIRECTION('center_axis',(0.,0.,1.)); #385153=DIRECTION('ref_axis',(1.,0.,0.)); #385154=DIRECTION('center_axis',(0.,0.,1.)); #385155=DIRECTION('ref_axis',(1.,0.,0.)); #385156=DIRECTION('',(0.,0.,-1.)); #385157=DIRECTION('center_axis',(0.,0.,1.)); #385158=DIRECTION('ref_axis',(1.,0.,0.)); #385159=DIRECTION('center_axis',(0.,0.,1.)); #385160=DIRECTION('ref_axis',(1.,0.,0.)); #385161=DIRECTION('center_axis',(0.,0.,1.)); #385162=DIRECTION('ref_axis',(1.,0.,0.)); #385163=DIRECTION('center_axis',(0.,0.,1.)); #385164=DIRECTION('ref_axis',(1.,0.,0.)); #385165=DIRECTION('center_axis',(0.,0.,-1.)); #385166=DIRECTION('ref_axis',(1.,0.,0.)); #385167=DIRECTION('',(0.,0.,-1.)); #385168=DIRECTION('center_axis',(0.,0.,1.)); #385169=DIRECTION('ref_axis',(1.,0.,0.)); #385170=DIRECTION('center_axis',(0.,0.,1.)); #385171=DIRECTION('ref_axis',(1.,0.,0.)); #385172=DIRECTION('center_axis',(0.,0.,1.)); #385173=DIRECTION('ref_axis',(1.,0.,0.)); #385174=DIRECTION('',(0.,0.,-1.)); #385175=DIRECTION('center_axis',(0.,0.,1.)); #385176=DIRECTION('ref_axis',(1.,0.,0.)); #385177=DIRECTION('center_axis',(0.,0.,1.)); #385178=DIRECTION('ref_axis',(1.,0.,0.)); #385179=DIRECTION('center_axis',(0.,0.,1.)); #385180=DIRECTION('ref_axis',(1.,0.,0.)); #385181=DIRECTION('center_axis',(0.,0.,1.)); #385182=DIRECTION('ref_axis',(1.,0.,0.)); #385183=DIRECTION('center_axis',(0.,0.,-1.)); #385184=DIRECTION('ref_axis',(1.,0.,0.)); #385185=DIRECTION('',(0.,0.,-1.)); #385186=DIRECTION('center_axis',(0.,0.,1.)); #385187=DIRECTION('ref_axis',(1.,0.,0.)); #385188=DIRECTION('center_axis',(0.,0.,1.)); #385189=DIRECTION('ref_axis',(1.,0.,0.)); #385190=DIRECTION('center_axis',(0.,0.,1.)); #385191=DIRECTION('ref_axis',(1.,0.,0.)); #385192=DIRECTION('',(0.,0.,-1.)); #385193=DIRECTION('center_axis',(0.,0.,1.)); #385194=DIRECTION('ref_axis',(1.,0.,0.)); #385195=DIRECTION('center_axis',(0.,0.,1.)); #385196=DIRECTION('ref_axis',(1.,0.,0.)); #385197=DIRECTION('center_axis',(0.,0.,1.)); #385198=DIRECTION('ref_axis',(1.,0.,0.)); #385199=DIRECTION('center_axis',(0.,0.,1.)); #385200=DIRECTION('ref_axis',(1.,0.,0.)); #385201=DIRECTION('center_axis',(0.,0.,-1.)); #385202=DIRECTION('ref_axis',(1.,0.,0.)); #385203=DIRECTION('',(0.,0.,-1.)); #385204=DIRECTION('center_axis',(0.,0.,1.)); #385205=DIRECTION('ref_axis',(1.,0.,0.)); #385206=DIRECTION('center_axis',(0.,0.,1.)); #385207=DIRECTION('ref_axis',(1.,0.,0.)); #385208=DIRECTION('center_axis',(0.,0.,1.)); #385209=DIRECTION('ref_axis',(1.,0.,0.)); #385210=DIRECTION('',(0.,0.,-1.)); #385211=DIRECTION('center_axis',(0.,0.,1.)); #385212=DIRECTION('ref_axis',(1.,0.,0.)); #385213=DIRECTION('center_axis',(0.,0.,1.)); #385214=DIRECTION('ref_axis',(1.,0.,0.)); #385215=DIRECTION('center_axis',(0.,0.,1.)); #385216=DIRECTION('ref_axis',(1.,0.,0.)); #385217=DIRECTION('center_axis',(0.,0.,1.)); #385218=DIRECTION('ref_axis',(1.,0.,0.)); #385219=DIRECTION('center_axis',(0.,0.,-1.)); #385220=DIRECTION('ref_axis',(1.,0.,0.)); #385221=DIRECTION('',(0.,0.,-1.)); #385222=DIRECTION('center_axis',(0.,0.,1.)); #385223=DIRECTION('ref_axis',(1.,0.,0.)); #385224=DIRECTION('center_axis',(0.,0.,1.)); #385225=DIRECTION('ref_axis',(1.,0.,0.)); #385226=DIRECTION('center_axis',(0.,0.,1.)); #385227=DIRECTION('ref_axis',(1.,0.,0.)); #385228=DIRECTION('',(0.,0.,-1.)); #385229=DIRECTION('center_axis',(0.,0.,1.)); #385230=DIRECTION('ref_axis',(1.,0.,0.)); #385231=DIRECTION('center_axis',(0.,0.,1.)); #385232=DIRECTION('ref_axis',(1.,0.,0.)); #385233=DIRECTION('center_axis',(0.,0.,1.)); #385234=DIRECTION('ref_axis',(1.,0.,0.)); #385235=DIRECTION('center_axis',(0.,0.,1.)); #385236=DIRECTION('ref_axis',(1.,0.,0.)); #385237=DIRECTION('center_axis',(0.,0.,-1.)); #385238=DIRECTION('ref_axis',(1.,0.,0.)); #385239=DIRECTION('',(0.,0.,-1.)); #385240=DIRECTION('center_axis',(0.,0.,1.)); #385241=DIRECTION('ref_axis',(1.,0.,0.)); #385242=DIRECTION('center_axis',(0.,0.,1.)); #385243=DIRECTION('ref_axis',(1.,0.,0.)); #385244=DIRECTION('center_axis',(0.,0.,1.)); #385245=DIRECTION('ref_axis',(1.,0.,0.)); #385246=DIRECTION('',(0.,0.,-1.)); #385247=DIRECTION('center_axis',(0.,0.,1.)); #385248=DIRECTION('ref_axis',(1.,0.,0.)); #385249=DIRECTION('center_axis',(0.,0.,1.)); #385250=DIRECTION('ref_axis',(1.,0.,0.)); #385251=DIRECTION('center_axis',(0.,0.,1.)); #385252=DIRECTION('ref_axis',(1.,0.,0.)); #385253=DIRECTION('center_axis',(0.,0.,1.)); #385254=DIRECTION('ref_axis',(1.,0.,0.)); #385255=DIRECTION('center_axis',(0.,0.,-1.)); #385256=DIRECTION('ref_axis',(1.,0.,0.)); #385257=DIRECTION('',(0.,0.,-1.)); #385258=DIRECTION('center_axis',(0.,0.,1.)); #385259=DIRECTION('ref_axis',(1.,0.,0.)); #385260=DIRECTION('center_axis',(0.,0.,1.)); #385261=DIRECTION('ref_axis',(1.,0.,0.)); #385262=DIRECTION('center_axis',(0.,0.,1.)); #385263=DIRECTION('ref_axis',(1.,0.,0.)); #385264=DIRECTION('',(0.,0.,-1.)); #385265=DIRECTION('center_axis',(0.,0.,1.)); #385266=DIRECTION('ref_axis',(1.,0.,0.)); #385267=DIRECTION('center_axis',(0.,0.,1.)); #385268=DIRECTION('ref_axis',(1.,0.,0.)); #385269=DIRECTION('center_axis',(0.,0.,1.)); #385270=DIRECTION('ref_axis',(1.,0.,0.)); #385271=DIRECTION('center_axis',(0.,0.,1.)); #385272=DIRECTION('ref_axis',(1.,0.,0.)); #385273=DIRECTION('center_axis',(0.,0.,-1.)); #385274=DIRECTION('ref_axis',(1.,0.,0.)); #385275=DIRECTION('',(0.,0.,-1.)); #385276=DIRECTION('center_axis',(0.,0.,1.)); #385277=DIRECTION('ref_axis',(1.,0.,0.)); #385278=DIRECTION('center_axis',(0.,0.,1.)); #385279=DIRECTION('ref_axis',(1.,0.,0.)); #385280=DIRECTION('center_axis',(0.,0.,1.)); #385281=DIRECTION('ref_axis',(1.,0.,0.)); #385282=DIRECTION('',(0.,0.,-1.)); #385283=DIRECTION('center_axis',(0.,0.,1.)); #385284=DIRECTION('ref_axis',(1.,0.,0.)); #385285=DIRECTION('center_axis',(0.,0.,1.)); #385286=DIRECTION('ref_axis',(1.,0.,0.)); #385287=DIRECTION('center_axis',(0.,0.,1.)); #385288=DIRECTION('ref_axis',(1.,0.,0.)); #385289=DIRECTION('center_axis',(0.,0.,1.)); #385290=DIRECTION('ref_axis',(1.,0.,0.)); #385291=DIRECTION('center_axis',(0.,0.,-1.)); #385292=DIRECTION('ref_axis',(1.,0.,0.)); #385293=DIRECTION('',(0.,0.,-1.)); #385294=DIRECTION('center_axis',(0.,0.,1.)); #385295=DIRECTION('ref_axis',(1.,0.,0.)); #385296=DIRECTION('center_axis',(0.,0.,1.)); #385297=DIRECTION('ref_axis',(1.,0.,0.)); #385298=DIRECTION('center_axis',(0.,0.,1.)); #385299=DIRECTION('ref_axis',(1.,0.,0.)); #385300=DIRECTION('',(0.,0.,-1.)); #385301=DIRECTION('center_axis',(0.,0.,1.)); #385302=DIRECTION('ref_axis',(1.,0.,0.)); #385303=DIRECTION('center_axis',(0.,0.,1.)); #385304=DIRECTION('ref_axis',(1.,0.,0.)); #385305=DIRECTION('center_axis',(0.,0.,1.)); #385306=DIRECTION('ref_axis',(1.,0.,0.)); #385307=DIRECTION('center_axis',(0.,0.,1.)); #385308=DIRECTION('ref_axis',(1.,0.,0.)); #385309=DIRECTION('center_axis',(0.,0.,-1.)); #385310=DIRECTION('ref_axis',(1.,0.,0.)); #385311=DIRECTION('',(0.,0.,-1.)); #385312=DIRECTION('center_axis',(0.,0.,1.)); #385313=DIRECTION('ref_axis',(1.,0.,0.)); #385314=DIRECTION('center_axis',(0.,0.,1.)); #385315=DIRECTION('ref_axis',(1.,0.,0.)); #385316=DIRECTION('center_axis',(0.,0.,1.)); #385317=DIRECTION('ref_axis',(1.,0.,0.)); #385318=DIRECTION('',(0.,0.,-1.)); #385319=DIRECTION('center_axis',(0.,0.,1.)); #385320=DIRECTION('ref_axis',(1.,0.,0.)); #385321=DIRECTION('center_axis',(0.,0.,1.)); #385322=DIRECTION('ref_axis',(1.,0.,0.)); #385323=DIRECTION('center_axis',(0.,0.,1.)); #385324=DIRECTION('ref_axis',(1.,0.,0.)); #385325=DIRECTION('center_axis',(0.,0.,1.)); #385326=DIRECTION('ref_axis',(1.,0.,0.)); #385327=DIRECTION('center_axis',(0.,0.,-1.)); #385328=DIRECTION('ref_axis',(1.,0.,0.)); #385329=DIRECTION('',(0.,0.,-1.)); #385330=DIRECTION('center_axis',(0.,0.,1.)); #385331=DIRECTION('ref_axis',(1.,0.,0.)); #385332=DIRECTION('center_axis',(0.,0.,1.)); #385333=DIRECTION('ref_axis',(1.,0.,0.)); #385334=DIRECTION('center_axis',(0.,0.,1.)); #385335=DIRECTION('ref_axis',(1.,0.,0.)); #385336=DIRECTION('',(0.,0.,-1.)); #385337=DIRECTION('center_axis',(0.,0.,1.)); #385338=DIRECTION('ref_axis',(1.,0.,0.)); #385339=DIRECTION('center_axis',(0.,0.,1.)); #385340=DIRECTION('ref_axis',(1.,0.,0.)); #385341=DIRECTION('center_axis',(0.,0.,1.)); #385342=DIRECTION('ref_axis',(1.,0.,0.)); #385343=DIRECTION('center_axis',(0.,0.,1.)); #385344=DIRECTION('ref_axis',(1.,0.,0.)); #385345=DIRECTION('center_axis',(0.,0.,-1.)); #385346=DIRECTION('ref_axis',(1.,0.,0.)); #385347=DIRECTION('',(0.,0.,-1.)); #385348=DIRECTION('center_axis',(0.,0.,1.)); #385349=DIRECTION('ref_axis',(1.,0.,0.)); #385350=DIRECTION('center_axis',(0.,0.,1.)); #385351=DIRECTION('ref_axis',(1.,0.,0.)); #385352=DIRECTION('center_axis',(0.,0.,1.)); #385353=DIRECTION('ref_axis',(1.,0.,0.)); #385354=DIRECTION('',(0.,0.,-1.)); #385355=DIRECTION('center_axis',(0.,0.,1.)); #385356=DIRECTION('ref_axis',(1.,0.,0.)); #385357=DIRECTION('center_axis',(0.,0.,1.)); #385358=DIRECTION('ref_axis',(1.,0.,0.)); #385359=DIRECTION('center_axis',(0.,0.,1.)); #385360=DIRECTION('ref_axis',(1.,0.,0.)); #385361=DIRECTION('center_axis',(0.,0.,1.)); #385362=DIRECTION('ref_axis',(1.,0.,0.)); #385363=DIRECTION('center_axis',(0.,0.,-1.)); #385364=DIRECTION('ref_axis',(1.,0.,0.)); #385365=DIRECTION('',(0.,0.,-1.)); #385366=DIRECTION('center_axis',(0.,0.,1.)); #385367=DIRECTION('ref_axis',(1.,0.,0.)); #385368=DIRECTION('center_axis',(0.,0.,1.)); #385369=DIRECTION('ref_axis',(1.,0.,0.)); #385370=DIRECTION('center_axis',(0.,0.,1.)); #385371=DIRECTION('ref_axis',(1.,0.,0.)); #385372=DIRECTION('',(0.,0.,-1.)); #385373=DIRECTION('center_axis',(0.,0.,1.)); #385374=DIRECTION('ref_axis',(1.,0.,0.)); #385375=DIRECTION('center_axis',(0.,0.,1.)); #385376=DIRECTION('ref_axis',(1.,0.,0.)); #385377=DIRECTION('center_axis',(0.,0.,1.)); #385378=DIRECTION('ref_axis',(1.,0.,0.)); #385379=DIRECTION('center_axis',(0.,0.,1.)); #385380=DIRECTION('ref_axis',(1.,0.,0.)); #385381=DIRECTION('center_axis',(0.,0.,-1.)); #385382=DIRECTION('ref_axis',(1.,0.,0.)); #385383=DIRECTION('',(0.,0.,-1.)); #385384=DIRECTION('center_axis',(0.,0.,1.)); #385385=DIRECTION('ref_axis',(1.,0.,0.)); #385386=DIRECTION('center_axis',(0.,0.,1.)); #385387=DIRECTION('ref_axis',(1.,0.,0.)); #385388=DIRECTION('center_axis',(0.,0.,1.)); #385389=DIRECTION('ref_axis',(1.,0.,0.)); #385390=DIRECTION('',(0.,0.,-1.)); #385391=DIRECTION('center_axis',(0.,0.,1.)); #385392=DIRECTION('ref_axis',(1.,0.,0.)); #385393=DIRECTION('center_axis',(0.,0.,1.)); #385394=DIRECTION('ref_axis',(1.,0.,0.)); #385395=DIRECTION('center_axis',(0.,0.,1.)); #385396=DIRECTION('ref_axis',(1.,0.,0.)); #385397=DIRECTION('center_axis',(0.,0.,1.)); #385398=DIRECTION('ref_axis',(1.,0.,0.)); #385399=DIRECTION('center_axis',(0.,0.,-1.)); #385400=DIRECTION('ref_axis',(1.,0.,0.)); #385401=DIRECTION('',(0.,0.,-1.)); #385402=DIRECTION('center_axis',(0.,0.,1.)); #385403=DIRECTION('ref_axis',(1.,0.,0.)); #385404=DIRECTION('center_axis',(0.,0.,1.)); #385405=DIRECTION('ref_axis',(1.,0.,0.)); #385406=DIRECTION('center_axis',(0.,0.,1.)); #385407=DIRECTION('ref_axis',(1.,0.,0.)); #385408=DIRECTION('',(0.,0.,-1.)); #385409=DIRECTION('center_axis',(0.,0.,1.)); #385410=DIRECTION('ref_axis',(1.,0.,0.)); #385411=DIRECTION('center_axis',(0.,0.,1.)); #385412=DIRECTION('ref_axis',(1.,0.,0.)); #385413=DIRECTION('center_axis',(0.,0.,1.)); #385414=DIRECTION('ref_axis',(1.,0.,0.)); #385415=DIRECTION('center_axis',(0.,0.,1.)); #385416=DIRECTION('ref_axis',(1.,0.,0.)); #385417=DIRECTION('center_axis',(0.,0.,-1.)); #385418=DIRECTION('ref_axis',(1.,0.,0.)); #385419=DIRECTION('',(0.,0.,-1.)); #385420=DIRECTION('center_axis',(0.,0.,1.)); #385421=DIRECTION('ref_axis',(1.,0.,0.)); #385422=DIRECTION('center_axis',(0.,0.,1.)); #385423=DIRECTION('ref_axis',(1.,0.,0.)); #385424=DIRECTION('center_axis',(0.,0.,1.)); #385425=DIRECTION('ref_axis',(1.,0.,0.)); #385426=DIRECTION('',(0.,0.,-1.)); #385427=DIRECTION('center_axis',(0.,0.,1.)); #385428=DIRECTION('ref_axis',(1.,0.,0.)); #385429=DIRECTION('center_axis',(0.,0.,1.)); #385430=DIRECTION('ref_axis',(1.,0.,0.)); #385431=DIRECTION('center_axis',(0.,0.,1.)); #385432=DIRECTION('ref_axis',(1.,0.,0.)); #385433=DIRECTION('center_axis',(0.,0.,1.)); #385434=DIRECTION('ref_axis',(1.,0.,0.)); #385435=DIRECTION('center_axis',(0.,0.,-1.)); #385436=DIRECTION('ref_axis',(1.,0.,0.)); #385437=DIRECTION('',(0.,0.,-1.)); #385438=DIRECTION('center_axis',(0.,0.,1.)); #385439=DIRECTION('ref_axis',(1.,0.,0.)); #385440=DIRECTION('center_axis',(0.,0.,1.)); #385441=DIRECTION('ref_axis',(1.,0.,0.)); #385442=DIRECTION('center_axis',(0.,0.,1.)); #385443=DIRECTION('ref_axis',(1.,0.,0.)); #385444=DIRECTION('',(0.,0.,-1.)); #385445=DIRECTION('center_axis',(0.,0.,1.)); #385446=DIRECTION('ref_axis',(1.,0.,0.)); #385447=DIRECTION('center_axis',(0.,0.,1.)); #385448=DIRECTION('ref_axis',(1.,0.,0.)); #385449=DIRECTION('center_axis',(0.,0.,1.)); #385450=DIRECTION('ref_axis',(1.,0.,0.)); #385451=DIRECTION('center_axis',(0.,0.,1.)); #385452=DIRECTION('ref_axis',(1.,0.,0.)); #385453=DIRECTION('center_axis',(0.,0.,-1.)); #385454=DIRECTION('ref_axis',(1.,0.,0.)); #385455=DIRECTION('',(0.,0.,-1.)); #385456=DIRECTION('center_axis',(0.,0.,1.)); #385457=DIRECTION('ref_axis',(1.,0.,0.)); #385458=DIRECTION('center_axis',(0.,0.,1.)); #385459=DIRECTION('ref_axis',(1.,0.,0.)); #385460=DIRECTION('center_axis',(0.,0.,1.)); #385461=DIRECTION('ref_axis',(1.,0.,0.)); #385462=DIRECTION('',(0.,0.,-1.)); #385463=DIRECTION('center_axis',(0.,0.,1.)); #385464=DIRECTION('ref_axis',(1.,0.,0.)); #385465=DIRECTION('center_axis',(0.,0.,1.)); #385466=DIRECTION('ref_axis',(1.,0.,0.)); #385467=DIRECTION('center_axis',(0.,0.,1.)); #385468=DIRECTION('ref_axis',(1.,0.,0.)); #385469=DIRECTION('center_axis',(0.,0.,1.)); #385470=DIRECTION('ref_axis',(1.,0.,0.)); #385471=DIRECTION('center_axis',(0.,0.,-1.)); #385472=DIRECTION('ref_axis',(1.,0.,0.)); #385473=DIRECTION('',(0.,0.,-1.)); #385474=DIRECTION('center_axis',(0.,0.,1.)); #385475=DIRECTION('ref_axis',(1.,0.,0.)); #385476=DIRECTION('center_axis',(0.,0.,1.)); #385477=DIRECTION('ref_axis',(1.,0.,0.)); #385478=DIRECTION('center_axis',(0.,0.,1.)); #385479=DIRECTION('ref_axis',(1.,0.,0.)); #385480=DIRECTION('',(0.,0.,-1.)); #385481=DIRECTION('center_axis',(0.,0.,1.)); #385482=DIRECTION('ref_axis',(1.,0.,0.)); #385483=DIRECTION('center_axis',(0.,0.,1.)); #385484=DIRECTION('ref_axis',(1.,0.,0.)); #385485=DIRECTION('center_axis',(0.,0.,1.)); #385486=DIRECTION('ref_axis',(1.,0.,0.)); #385487=DIRECTION('center_axis',(0.,0.,1.)); #385488=DIRECTION('ref_axis',(1.,0.,0.)); #385489=DIRECTION('center_axis',(0.,0.,-1.)); #385490=DIRECTION('ref_axis',(1.,0.,0.)); #385491=DIRECTION('',(0.,0.,-1.)); #385492=DIRECTION('center_axis',(0.,0.,1.)); #385493=DIRECTION('ref_axis',(1.,0.,0.)); #385494=DIRECTION('center_axis',(0.,0.,1.)); #385495=DIRECTION('ref_axis',(1.,0.,0.)); #385496=DIRECTION('center_axis',(0.,0.,1.)); #385497=DIRECTION('ref_axis',(1.,0.,0.)); #385498=DIRECTION('',(0.,0.,-1.)); #385499=DIRECTION('center_axis',(0.,0.,1.)); #385500=DIRECTION('ref_axis',(1.,0.,0.)); #385501=DIRECTION('center_axis',(0.,0.,1.)); #385502=DIRECTION('ref_axis',(1.,0.,0.)); #385503=DIRECTION('center_axis',(0.,0.,1.)); #385504=DIRECTION('ref_axis',(1.,0.,0.)); #385505=DIRECTION('center_axis',(0.,0.,1.)); #385506=DIRECTION('ref_axis',(1.,0.,0.)); #385507=DIRECTION('center_axis',(0.,0.,-1.)); #385508=DIRECTION('ref_axis',(1.,0.,0.)); #385509=DIRECTION('',(0.,0.,-1.)); #385510=DIRECTION('center_axis',(0.,0.,1.)); #385511=DIRECTION('ref_axis',(1.,0.,0.)); #385512=DIRECTION('center_axis',(0.,0.,1.)); #385513=DIRECTION('ref_axis',(1.,0.,0.)); #385514=DIRECTION('center_axis',(0.,0.,1.)); #385515=DIRECTION('ref_axis',(1.,0.,0.)); #385516=DIRECTION('',(0.,0.,-1.)); #385517=DIRECTION('center_axis',(0.,0.,1.)); #385518=DIRECTION('ref_axis',(1.,0.,0.)); #385519=DIRECTION('center_axis',(0.,0.,1.)); #385520=DIRECTION('ref_axis',(1.,0.,0.)); #385521=DIRECTION('center_axis',(0.,0.,1.)); #385522=DIRECTION('ref_axis',(1.,0.,0.)); #385523=DIRECTION('center_axis',(0.,0.,1.)); #385524=DIRECTION('ref_axis',(1.,0.,0.)); #385525=DIRECTION('center_axis',(0.,0.,-1.)); #385526=DIRECTION('ref_axis',(1.,0.,0.)); #385527=DIRECTION('',(0.,0.,-1.)); #385528=DIRECTION('center_axis',(0.,0.,1.)); #385529=DIRECTION('ref_axis',(1.,0.,0.)); #385530=DIRECTION('center_axis',(0.,0.,1.)); #385531=DIRECTION('ref_axis',(1.,0.,0.)); #385532=DIRECTION('center_axis',(0.,0.,1.)); #385533=DIRECTION('ref_axis',(1.,0.,0.)); #385534=DIRECTION('',(0.,0.,-1.)); #385535=DIRECTION('center_axis',(0.,0.,1.)); #385536=DIRECTION('ref_axis',(1.,0.,0.)); #385537=DIRECTION('center_axis',(0.,0.,1.)); #385538=DIRECTION('ref_axis',(1.,0.,0.)); #385539=DIRECTION('center_axis',(0.,0.,1.)); #385540=DIRECTION('ref_axis',(1.,0.,0.)); #385541=DIRECTION('center_axis',(0.,0.,1.)); #385542=DIRECTION('ref_axis',(1.,0.,0.)); #385543=DIRECTION('center_axis',(0.,0.,-1.)); #385544=DIRECTION('ref_axis',(1.,0.,0.)); #385545=DIRECTION('',(0.,0.,-1.)); #385546=DIRECTION('center_axis',(0.,0.,1.)); #385547=DIRECTION('ref_axis',(1.,0.,0.)); #385548=DIRECTION('center_axis',(0.,0.,1.)); #385549=DIRECTION('ref_axis',(1.,0.,0.)); #385550=DIRECTION('center_axis',(0.,0.,1.)); #385551=DIRECTION('ref_axis',(1.,0.,0.)); #385552=DIRECTION('',(0.,0.,-1.)); #385553=DIRECTION('center_axis',(0.,0.,1.)); #385554=DIRECTION('ref_axis',(1.,0.,0.)); #385555=DIRECTION('center_axis',(0.,0.,1.)); #385556=DIRECTION('ref_axis',(1.,0.,0.)); #385557=DIRECTION('center_axis',(0.,0.,1.)); #385558=DIRECTION('ref_axis',(1.,0.,0.)); #385559=DIRECTION('center_axis',(0.,0.,1.)); #385560=DIRECTION('ref_axis',(1.,0.,0.)); #385561=DIRECTION('center_axis',(0.,0.,-1.)); #385562=DIRECTION('ref_axis',(1.,0.,0.)); #385563=DIRECTION('',(0.,0.,-1.)); #385564=DIRECTION('center_axis',(0.,0.,1.)); #385565=DIRECTION('ref_axis',(1.,0.,0.)); #385566=DIRECTION('center_axis',(0.,0.,1.)); #385567=DIRECTION('ref_axis',(1.,0.,0.)); #385568=DIRECTION('center_axis',(0.,0.,1.)); #385569=DIRECTION('ref_axis',(1.,0.,0.)); #385570=DIRECTION('',(0.,0.,-1.)); #385571=DIRECTION('center_axis',(0.,0.,1.)); #385572=DIRECTION('ref_axis',(1.,0.,0.)); #385573=DIRECTION('center_axis',(0.,0.,1.)); #385574=DIRECTION('ref_axis',(1.,0.,0.)); #385575=DIRECTION('center_axis',(0.,0.,1.)); #385576=DIRECTION('ref_axis',(1.,0.,0.)); #385577=DIRECTION('center_axis',(0.,0.,1.)); #385578=DIRECTION('ref_axis',(1.,0.,0.)); #385579=DIRECTION('center_axis',(0.,0.,-1.)); #385580=DIRECTION('ref_axis',(1.,0.,0.)); #385581=DIRECTION('',(0.,0.,-1.)); #385582=DIRECTION('center_axis',(0.,0.,1.)); #385583=DIRECTION('ref_axis',(1.,0.,0.)); #385584=DIRECTION('center_axis',(0.,0.,1.)); #385585=DIRECTION('ref_axis',(1.,0.,0.)); #385586=DIRECTION('center_axis',(0.,0.,1.)); #385587=DIRECTION('ref_axis',(1.,0.,0.)); #385588=DIRECTION('',(0.,0.,-1.)); #385589=DIRECTION('center_axis',(0.,0.,1.)); #385590=DIRECTION('ref_axis',(1.,0.,0.)); #385591=DIRECTION('center_axis',(0.,0.,1.)); #385592=DIRECTION('ref_axis',(1.,0.,0.)); #385593=DIRECTION('center_axis',(0.,0.,1.)); #385594=DIRECTION('ref_axis',(1.,0.,0.)); #385595=DIRECTION('center_axis',(0.,0.,1.)); #385596=DIRECTION('ref_axis',(1.,0.,0.)); #385597=DIRECTION('center_axis',(0.,0.,-1.)); #385598=DIRECTION('ref_axis',(1.,0.,0.)); #385599=DIRECTION('',(0.,0.,-1.)); #385600=DIRECTION('center_axis',(0.,0.,1.)); #385601=DIRECTION('ref_axis',(1.,0.,0.)); #385602=DIRECTION('center_axis',(0.,0.,1.)); #385603=DIRECTION('ref_axis',(1.,0.,0.)); #385604=DIRECTION('center_axis',(0.,0.,1.)); #385605=DIRECTION('ref_axis',(1.,0.,0.)); #385606=DIRECTION('',(0.,0.,-1.)); #385607=DIRECTION('center_axis',(0.,0.,1.)); #385608=DIRECTION('ref_axis',(1.,0.,0.)); #385609=DIRECTION('center_axis',(0.,0.,1.)); #385610=DIRECTION('ref_axis',(1.,0.,0.)); #385611=DIRECTION('center_axis',(0.,0.,1.)); #385612=DIRECTION('ref_axis',(1.,0.,0.)); #385613=DIRECTION('center_axis',(0.,0.,1.)); #385614=DIRECTION('ref_axis',(1.,0.,0.)); #385615=DIRECTION('center_axis',(0.,0.,-1.)); #385616=DIRECTION('ref_axis',(1.,0.,0.)); #385617=DIRECTION('',(0.,0.,-1.)); #385618=DIRECTION('center_axis',(0.,0.,1.)); #385619=DIRECTION('ref_axis',(1.,0.,0.)); #385620=DIRECTION('center_axis',(0.,0.,1.)); #385621=DIRECTION('ref_axis',(1.,0.,0.)); #385622=DIRECTION('center_axis',(0.,0.,1.)); #385623=DIRECTION('ref_axis',(1.,0.,0.)); #385624=DIRECTION('',(0.,0.,-1.)); #385625=DIRECTION('center_axis',(0.,0.,1.)); #385626=DIRECTION('ref_axis',(1.,0.,0.)); #385627=DIRECTION('center_axis',(0.,0.,1.)); #385628=DIRECTION('ref_axis',(1.,0.,0.)); #385629=DIRECTION('center_axis',(0.,0.,1.)); #385630=DIRECTION('ref_axis',(1.,0.,0.)); #385631=DIRECTION('center_axis',(0.,0.,1.)); #385632=DIRECTION('ref_axis',(1.,0.,0.)); #385633=DIRECTION('center_axis',(0.,0.,-1.)); #385634=DIRECTION('ref_axis',(1.,0.,0.)); #385635=DIRECTION('',(0.,0.,-1.)); #385636=DIRECTION('center_axis',(0.,0.,1.)); #385637=DIRECTION('ref_axis',(1.,0.,0.)); #385638=DIRECTION('center_axis',(0.,0.,1.)); #385639=DIRECTION('ref_axis',(1.,0.,0.)); #385640=DIRECTION('center_axis',(0.,0.,1.)); #385641=DIRECTION('ref_axis',(1.,0.,0.)); #385642=DIRECTION('',(0.,0.,-1.)); #385643=DIRECTION('center_axis',(0.,0.,1.)); #385644=DIRECTION('ref_axis',(1.,0.,0.)); #385645=DIRECTION('center_axis',(0.,0.,1.)); #385646=DIRECTION('ref_axis',(1.,0.,0.)); #385647=DIRECTION('center_axis',(0.,0.,1.)); #385648=DIRECTION('ref_axis',(1.,0.,0.)); #385649=DIRECTION('center_axis',(0.,0.,1.)); #385650=DIRECTION('ref_axis',(1.,0.,0.)); #385651=DIRECTION('center_axis',(0.,0.,-1.)); #385652=DIRECTION('ref_axis',(1.,0.,0.)); #385653=DIRECTION('',(0.,0.,-1.)); #385654=DIRECTION('center_axis',(0.,0.,1.)); #385655=DIRECTION('ref_axis',(1.,0.,0.)); #385656=DIRECTION('center_axis',(0.,0.,1.)); #385657=DIRECTION('ref_axis',(1.,0.,0.)); #385658=DIRECTION('center_axis',(0.,0.,1.)); #385659=DIRECTION('ref_axis',(1.,0.,0.)); #385660=DIRECTION('',(0.,0.,-1.)); #385661=DIRECTION('center_axis',(0.,0.,1.)); #385662=DIRECTION('ref_axis',(1.,0.,0.)); #385663=DIRECTION('center_axis',(0.,0.,1.)); #385664=DIRECTION('ref_axis',(1.,0.,0.)); #385665=DIRECTION('center_axis',(0.,0.,1.)); #385666=DIRECTION('ref_axis',(1.,0.,0.)); #385667=DIRECTION('center_axis',(0.,0.,1.)); #385668=DIRECTION('ref_axis',(1.,0.,0.)); #385669=DIRECTION('center_axis',(0.,0.,-1.)); #385670=DIRECTION('ref_axis',(1.,0.,0.)); #385671=DIRECTION('',(0.,0.,-1.)); #385672=DIRECTION('center_axis',(0.,0.,1.)); #385673=DIRECTION('ref_axis',(1.,0.,0.)); #385674=DIRECTION('center_axis',(0.,0.,1.)); #385675=DIRECTION('ref_axis',(1.,0.,0.)); #385676=DIRECTION('center_axis',(0.,0.,1.)); #385677=DIRECTION('ref_axis',(1.,0.,0.)); #385678=DIRECTION('',(0.,0.,-1.)); #385679=DIRECTION('center_axis',(0.,0.,1.)); #385680=DIRECTION('ref_axis',(1.,0.,0.)); #385681=DIRECTION('center_axis',(0.,0.,1.)); #385682=DIRECTION('ref_axis',(1.,0.,0.)); #385683=DIRECTION('center_axis',(0.,0.,1.)); #385684=DIRECTION('ref_axis',(1.,0.,0.)); #385685=DIRECTION('center_axis',(0.,0.,1.)); #385686=DIRECTION('ref_axis',(1.,0.,0.)); #385687=DIRECTION('center_axis',(0.,0.,-1.)); #385688=DIRECTION('ref_axis',(1.,0.,0.)); #385689=DIRECTION('',(0.,0.,-1.)); #385690=DIRECTION('center_axis',(0.,0.,1.)); #385691=DIRECTION('ref_axis',(1.,0.,0.)); #385692=DIRECTION('center_axis',(0.,0.,1.)); #385693=DIRECTION('ref_axis',(1.,0.,0.)); #385694=DIRECTION('center_axis',(0.,0.,1.)); #385695=DIRECTION('ref_axis',(1.,0.,0.)); #385696=DIRECTION('',(0.,0.,-1.)); #385697=DIRECTION('center_axis',(0.,0.,1.)); #385698=DIRECTION('ref_axis',(1.,0.,0.)); #385699=DIRECTION('center_axis',(0.,0.,1.)); #385700=DIRECTION('ref_axis',(1.,0.,0.)); #385701=DIRECTION('center_axis',(0.,0.,1.)); #385702=DIRECTION('ref_axis',(1.,0.,0.)); #385703=DIRECTION('center_axis',(0.,0.,1.)); #385704=DIRECTION('ref_axis',(1.,0.,0.)); #385705=DIRECTION('center_axis',(0.,0.,-1.)); #385706=DIRECTION('ref_axis',(1.,0.,0.)); #385707=DIRECTION('',(0.,0.,-1.)); #385708=DIRECTION('center_axis',(0.,0.,1.)); #385709=DIRECTION('ref_axis',(1.,0.,0.)); #385710=DIRECTION('center_axis',(0.,0.,1.)); #385711=DIRECTION('ref_axis',(1.,0.,0.)); #385712=DIRECTION('center_axis',(0.,0.,1.)); #385713=DIRECTION('ref_axis',(1.,0.,0.)); #385714=DIRECTION('',(0.,0.,-1.)); #385715=DIRECTION('center_axis',(0.,0.,1.)); #385716=DIRECTION('ref_axis',(1.,0.,0.)); #385717=DIRECTION('center_axis',(0.,0.,1.)); #385718=DIRECTION('ref_axis',(1.,0.,0.)); #385719=DIRECTION('center_axis',(0.,0.,1.)); #385720=DIRECTION('ref_axis',(1.,0.,0.)); #385721=DIRECTION('center_axis',(0.,0.,1.)); #385722=DIRECTION('ref_axis',(1.,0.,0.)); #385723=DIRECTION('center_axis',(0.,0.,-1.)); #385724=DIRECTION('ref_axis',(1.,0.,0.)); #385725=DIRECTION('',(0.,0.,-1.)); #385726=DIRECTION('center_axis',(0.,0.,1.)); #385727=DIRECTION('ref_axis',(1.,0.,0.)); #385728=DIRECTION('center_axis',(0.,0.,1.)); #385729=DIRECTION('ref_axis',(1.,0.,0.)); #385730=DIRECTION('center_axis',(0.,0.,1.)); #385731=DIRECTION('ref_axis',(1.,0.,0.)); #385732=DIRECTION('',(0.,0.,-1.)); #385733=DIRECTION('center_axis',(0.,0.,1.)); #385734=DIRECTION('ref_axis',(1.,0.,0.)); #385735=DIRECTION('center_axis',(0.,0.,1.)); #385736=DIRECTION('ref_axis',(1.,0.,0.)); #385737=DIRECTION('center_axis',(0.,0.,1.)); #385738=DIRECTION('ref_axis',(1.,0.,0.)); #385739=DIRECTION('center_axis',(0.,0.,1.)); #385740=DIRECTION('ref_axis',(1.,0.,0.)); #385741=DIRECTION('center_axis',(0.,0.,-1.)); #385742=DIRECTION('ref_axis',(1.,0.,0.)); #385743=DIRECTION('',(0.,0.,-1.)); #385744=DIRECTION('center_axis',(0.,0.,1.)); #385745=DIRECTION('ref_axis',(1.,0.,0.)); #385746=DIRECTION('center_axis',(0.,0.,1.)); #385747=DIRECTION('ref_axis',(1.,0.,0.)); #385748=DIRECTION('center_axis',(0.,0.,1.)); #385749=DIRECTION('ref_axis',(1.,0.,0.)); #385750=DIRECTION('',(0.,0.,-1.)); #385751=DIRECTION('center_axis',(0.,0.,1.)); #385752=DIRECTION('ref_axis',(1.,0.,0.)); #385753=DIRECTION('center_axis',(0.,0.,1.)); #385754=DIRECTION('ref_axis',(1.,0.,0.)); #385755=DIRECTION('center_axis',(0.,0.,1.)); #385756=DIRECTION('ref_axis',(1.,0.,0.)); #385757=DIRECTION('center_axis',(0.,0.,1.)); #385758=DIRECTION('ref_axis',(1.,0.,0.)); #385759=DIRECTION('center_axis',(0.,0.,-1.)); #385760=DIRECTION('ref_axis',(1.,0.,0.)); #385761=DIRECTION('',(0.,0.,-1.)); #385762=DIRECTION('center_axis',(0.,0.,1.)); #385763=DIRECTION('ref_axis',(1.,0.,0.)); #385764=DIRECTION('center_axis',(0.,0.,1.)); #385765=DIRECTION('ref_axis',(1.,0.,0.)); #385766=DIRECTION('center_axis',(0.,0.,1.)); #385767=DIRECTION('ref_axis',(1.,0.,0.)); #385768=DIRECTION('',(0.,0.,-1.)); #385769=DIRECTION('center_axis',(0.,0.,1.)); #385770=DIRECTION('ref_axis',(1.,0.,0.)); #385771=DIRECTION('center_axis',(0.,0.,1.)); #385772=DIRECTION('ref_axis',(1.,0.,0.)); #385773=DIRECTION('center_axis',(0.,0.,1.)); #385774=DIRECTION('ref_axis',(1.,0.,0.)); #385775=DIRECTION('center_axis',(0.,0.,1.)); #385776=DIRECTION('ref_axis',(1.,0.,0.)); #385777=DIRECTION('center_axis',(0.,0.,-1.)); #385778=DIRECTION('ref_axis',(1.,0.,0.)); #385779=DIRECTION('',(0.,0.,-1.)); #385780=DIRECTION('center_axis',(0.,0.,1.)); #385781=DIRECTION('ref_axis',(1.,0.,0.)); #385782=DIRECTION('center_axis',(0.,0.,1.)); #385783=DIRECTION('ref_axis',(1.,0.,0.)); #385784=DIRECTION('center_axis',(0.,0.,1.)); #385785=DIRECTION('ref_axis',(1.,0.,0.)); #385786=DIRECTION('',(0.,0.,-1.)); #385787=DIRECTION('center_axis',(0.,0.,1.)); #385788=DIRECTION('ref_axis',(1.,0.,0.)); #385789=DIRECTION('center_axis',(0.,0.,1.)); #385790=DIRECTION('ref_axis',(1.,0.,0.)); #385791=DIRECTION('center_axis',(0.,0.,1.)); #385792=DIRECTION('ref_axis',(1.,0.,0.)); #385793=DIRECTION('center_axis',(0.,0.,1.)); #385794=DIRECTION('ref_axis',(1.,0.,0.)); #385795=DIRECTION('center_axis',(0.,0.,-1.)); #385796=DIRECTION('ref_axis',(1.,0.,0.)); #385797=DIRECTION('',(0.,0.,-1.)); #385798=DIRECTION('center_axis',(0.,0.,1.)); #385799=DIRECTION('ref_axis',(1.,0.,0.)); #385800=DIRECTION('center_axis',(0.,0.,1.)); #385801=DIRECTION('ref_axis',(1.,0.,0.)); #385802=DIRECTION('center_axis',(0.,0.,1.)); #385803=DIRECTION('ref_axis',(1.,0.,0.)); #385804=DIRECTION('',(0.,0.,-1.)); #385805=DIRECTION('center_axis',(0.,0.,1.)); #385806=DIRECTION('ref_axis',(1.,0.,0.)); #385807=DIRECTION('center_axis',(0.,0.,1.)); #385808=DIRECTION('ref_axis',(1.,0.,0.)); #385809=DIRECTION('center_axis',(0.,0.,1.)); #385810=DIRECTION('ref_axis',(1.,0.,0.)); #385811=DIRECTION('center_axis',(0.,0.,1.)); #385812=DIRECTION('ref_axis',(1.,0.,0.)); #385813=DIRECTION('center_axis',(0.,0.,-1.)); #385814=DIRECTION('ref_axis',(1.,0.,0.)); #385815=DIRECTION('',(0.,0.,-1.)); #385816=DIRECTION('center_axis',(0.,0.,1.)); #385817=DIRECTION('ref_axis',(1.,0.,0.)); #385818=DIRECTION('center_axis',(0.,0.,1.)); #385819=DIRECTION('ref_axis',(1.,0.,0.)); #385820=DIRECTION('center_axis',(0.,0.,1.)); #385821=DIRECTION('ref_axis',(1.,0.,0.)); #385822=DIRECTION('',(0.,0.,-1.)); #385823=DIRECTION('center_axis',(0.,0.,1.)); #385824=DIRECTION('ref_axis',(1.,0.,0.)); #385825=DIRECTION('center_axis',(0.,0.,1.)); #385826=DIRECTION('ref_axis',(1.,0.,0.)); #385827=DIRECTION('center_axis',(0.,0.,1.)); #385828=DIRECTION('ref_axis',(1.,0.,0.)); #385829=DIRECTION('center_axis',(0.,0.,1.)); #385830=DIRECTION('ref_axis',(1.,0.,0.)); #385831=DIRECTION('center_axis',(0.,0.,-1.)); #385832=DIRECTION('ref_axis',(1.,0.,0.)); #385833=DIRECTION('',(0.,0.,-1.)); #385834=DIRECTION('center_axis',(0.,0.,1.)); #385835=DIRECTION('ref_axis',(1.,0.,0.)); #385836=DIRECTION('center_axis',(0.,0.,1.)); #385837=DIRECTION('ref_axis',(1.,0.,0.)); #385838=DIRECTION('center_axis',(0.,0.,1.)); #385839=DIRECTION('ref_axis',(1.,0.,0.)); #385840=DIRECTION('',(0.,0.,-1.)); #385841=DIRECTION('center_axis',(0.,0.,1.)); #385842=DIRECTION('ref_axis',(1.,0.,0.)); #385843=DIRECTION('center_axis',(0.,0.,1.)); #385844=DIRECTION('ref_axis',(1.,0.,0.)); #385845=DIRECTION('center_axis',(0.,0.,1.)); #385846=DIRECTION('ref_axis',(1.,0.,0.)); #385847=DIRECTION('center_axis',(0.,0.,1.)); #385848=DIRECTION('ref_axis',(1.,0.,0.)); #385849=DIRECTION('center_axis',(0.,0.,-1.)); #385850=DIRECTION('ref_axis',(1.,0.,0.)); #385851=DIRECTION('',(0.,0.,-1.)); #385852=DIRECTION('center_axis',(0.,0.,1.)); #385853=DIRECTION('ref_axis',(1.,0.,0.)); #385854=DIRECTION('center_axis',(0.,0.,1.)); #385855=DIRECTION('ref_axis',(1.,0.,0.)); #385856=DIRECTION('center_axis',(0.,0.,1.)); #385857=DIRECTION('ref_axis',(1.,0.,0.)); #385858=DIRECTION('',(0.,0.,-1.)); #385859=DIRECTION('center_axis',(0.,0.,1.)); #385860=DIRECTION('ref_axis',(1.,0.,0.)); #385861=DIRECTION('center_axis',(0.,0.,1.)); #385862=DIRECTION('ref_axis',(1.,0.,0.)); #385863=DIRECTION('center_axis',(0.,0.,1.)); #385864=DIRECTION('ref_axis',(1.,0.,0.)); #385865=DIRECTION('center_axis',(0.,0.,1.)); #385866=DIRECTION('ref_axis',(1.,0.,0.)); #385867=DIRECTION('center_axis',(0.,0.,-1.)); #385868=DIRECTION('ref_axis',(1.,0.,0.)); #385869=DIRECTION('',(0.,0.,-1.)); #385870=DIRECTION('center_axis',(0.,0.,1.)); #385871=DIRECTION('ref_axis',(1.,0.,0.)); #385872=DIRECTION('center_axis',(0.,0.,1.)); #385873=DIRECTION('ref_axis',(1.,0.,0.)); #385874=DIRECTION('center_axis',(0.,0.,1.)); #385875=DIRECTION('ref_axis',(1.,0.,0.)); #385876=DIRECTION('',(0.,0.,-1.)); #385877=DIRECTION('center_axis',(0.,0.,1.)); #385878=DIRECTION('ref_axis',(1.,0.,0.)); #385879=DIRECTION('center_axis',(0.,0.,1.)); #385880=DIRECTION('ref_axis',(1.,0.,0.)); #385881=DIRECTION('center_axis',(0.,0.,1.)); #385882=DIRECTION('ref_axis',(1.,0.,0.)); #385883=DIRECTION('center_axis',(0.,0.,1.)); #385884=DIRECTION('ref_axis',(1.,0.,0.)); #385885=DIRECTION('center_axis',(0.,0.,-1.)); #385886=DIRECTION('ref_axis',(1.,0.,0.)); #385887=DIRECTION('',(0.,0.,-1.)); #385888=DIRECTION('center_axis',(0.,0.,1.)); #385889=DIRECTION('ref_axis',(1.,0.,0.)); #385890=DIRECTION('center_axis',(0.,0.,1.)); #385891=DIRECTION('ref_axis',(1.,0.,0.)); #385892=DIRECTION('center_axis',(0.,0.,1.)); #385893=DIRECTION('ref_axis',(1.,0.,0.)); #385894=DIRECTION('',(0.,0.,-1.)); #385895=DIRECTION('center_axis',(0.,0.,1.)); #385896=DIRECTION('ref_axis',(1.,0.,0.)); #385897=DIRECTION('center_axis',(0.,0.,1.)); #385898=DIRECTION('ref_axis',(1.,0.,0.)); #385899=DIRECTION('center_axis',(0.,0.,1.)); #385900=DIRECTION('ref_axis',(1.,0.,0.)); #385901=DIRECTION('center_axis',(0.,0.,1.)); #385902=DIRECTION('ref_axis',(1.,0.,0.)); #385903=DIRECTION('center_axis',(0.,0.,-1.)); #385904=DIRECTION('ref_axis',(1.,0.,0.)); #385905=DIRECTION('',(0.,0.,-1.)); #385906=DIRECTION('center_axis',(0.,0.,1.)); #385907=DIRECTION('ref_axis',(1.,0.,0.)); #385908=DIRECTION('center_axis',(0.,0.,1.)); #385909=DIRECTION('ref_axis',(1.,0.,0.)); #385910=DIRECTION('center_axis',(0.,0.,1.)); #385911=DIRECTION('ref_axis',(1.,0.,0.)); #385912=DIRECTION('',(0.,0.,-1.)); #385913=DIRECTION('center_axis',(0.,0.,1.)); #385914=DIRECTION('ref_axis',(1.,0.,0.)); #385915=DIRECTION('center_axis',(0.,0.,1.)); #385916=DIRECTION('ref_axis',(1.,0.,0.)); #385917=DIRECTION('center_axis',(0.,0.,1.)); #385918=DIRECTION('ref_axis',(1.,0.,0.)); #385919=DIRECTION('center_axis',(0.,0.,1.)); #385920=DIRECTION('ref_axis',(1.,0.,0.)); #385921=DIRECTION('center_axis',(0.,0.,-1.)); #385922=DIRECTION('ref_axis',(1.,0.,0.)); #385923=DIRECTION('',(0.,0.,-1.)); #385924=DIRECTION('center_axis',(0.,0.,1.)); #385925=DIRECTION('ref_axis',(1.,0.,0.)); #385926=DIRECTION('center_axis',(0.,0.,1.)); #385927=DIRECTION('ref_axis',(1.,0.,0.)); #385928=DIRECTION('center_axis',(0.,0.,1.)); #385929=DIRECTION('ref_axis',(1.,0.,0.)); #385930=DIRECTION('',(0.,0.,-1.)); #385931=DIRECTION('center_axis',(0.,0.,1.)); #385932=DIRECTION('ref_axis',(1.,0.,0.)); #385933=DIRECTION('center_axis',(0.,0.,1.)); #385934=DIRECTION('ref_axis',(1.,0.,0.)); #385935=DIRECTION('center_axis',(0.,0.,1.)); #385936=DIRECTION('ref_axis',(1.,0.,0.)); #385937=DIRECTION('center_axis',(0.,0.,1.)); #385938=DIRECTION('ref_axis',(1.,0.,0.)); #385939=DIRECTION('center_axis',(0.,0.,-1.)); #385940=DIRECTION('ref_axis',(1.,0.,0.)); #385941=DIRECTION('',(0.,0.,-1.)); #385942=DIRECTION('center_axis',(0.,0.,1.)); #385943=DIRECTION('ref_axis',(1.,0.,0.)); #385944=DIRECTION('center_axis',(0.,0.,1.)); #385945=DIRECTION('ref_axis',(1.,0.,0.)); #385946=DIRECTION('center_axis',(0.,0.,1.)); #385947=DIRECTION('ref_axis',(1.,0.,0.)); #385948=DIRECTION('',(0.,0.,-1.)); #385949=DIRECTION('center_axis',(0.,0.,1.)); #385950=DIRECTION('ref_axis',(1.,0.,0.)); #385951=DIRECTION('center_axis',(0.,0.,1.)); #385952=DIRECTION('ref_axis',(1.,0.,0.)); #385953=DIRECTION('center_axis',(0.,0.,1.)); #385954=DIRECTION('ref_axis',(1.,0.,0.)); #385955=DIRECTION('center_axis',(0.,0.,1.)); #385956=DIRECTION('ref_axis',(1.,0.,0.)); #385957=DIRECTION('center_axis',(0.,0.,-1.)); #385958=DIRECTION('ref_axis',(1.,0.,0.)); #385959=DIRECTION('',(0.,0.,-1.)); #385960=DIRECTION('center_axis',(0.,0.,1.)); #385961=DIRECTION('ref_axis',(1.,0.,0.)); #385962=DIRECTION('center_axis',(0.,0.,1.)); #385963=DIRECTION('ref_axis',(1.,0.,0.)); #385964=DIRECTION('center_axis',(0.,0.,1.)); #385965=DIRECTION('ref_axis',(1.,0.,0.)); #385966=DIRECTION('',(0.,0.,-1.)); #385967=DIRECTION('center_axis',(0.,0.,1.)); #385968=DIRECTION('ref_axis',(1.,0.,0.)); #385969=DIRECTION('center_axis',(0.,0.,1.)); #385970=DIRECTION('ref_axis',(1.,0.,0.)); #385971=DIRECTION('center_axis',(0.,0.,1.)); #385972=DIRECTION('ref_axis',(1.,0.,0.)); #385973=DIRECTION('center_axis',(0.,0.,1.)); #385974=DIRECTION('ref_axis',(1.,0.,0.)); #385975=DIRECTION('center_axis',(0.,0.,-1.)); #385976=DIRECTION('ref_axis',(1.,0.,0.)); #385977=DIRECTION('',(0.,0.,-1.)); #385978=DIRECTION('center_axis',(0.,0.,1.)); #385979=DIRECTION('ref_axis',(1.,0.,0.)); #385980=DIRECTION('center_axis',(0.,0.,1.)); #385981=DIRECTION('ref_axis',(1.,0.,0.)); #385982=DIRECTION('center_axis',(0.,0.,1.)); #385983=DIRECTION('ref_axis',(1.,0.,0.)); #385984=DIRECTION('',(0.,0.,-1.)); #385985=DIRECTION('center_axis',(0.,0.,1.)); #385986=DIRECTION('ref_axis',(1.,0.,0.)); #385987=DIRECTION('center_axis',(0.,0.,1.)); #385988=DIRECTION('ref_axis',(1.,0.,0.)); #385989=DIRECTION('center_axis',(0.,0.,1.)); #385990=DIRECTION('ref_axis',(1.,0.,0.)); #385991=DIRECTION('center_axis',(0.,0.,1.)); #385992=DIRECTION('ref_axis',(1.,0.,0.)); #385993=DIRECTION('center_axis',(0.,0.,-1.)); #385994=DIRECTION('ref_axis',(1.,0.,0.)); #385995=DIRECTION('',(0.,0.,-1.)); #385996=DIRECTION('center_axis',(0.,0.,1.)); #385997=DIRECTION('ref_axis',(1.,0.,0.)); #385998=DIRECTION('center_axis',(0.,0.,1.)); #385999=DIRECTION('ref_axis',(1.,0.,0.)); #386000=DIRECTION('center_axis',(0.,0.,1.)); #386001=DIRECTION('ref_axis',(1.,0.,0.)); #386002=DIRECTION('',(0.,0.,-1.)); #386003=DIRECTION('center_axis',(0.,0.,1.)); #386004=DIRECTION('ref_axis',(1.,0.,0.)); #386005=DIRECTION('center_axis',(0.,0.,1.)); #386006=DIRECTION('ref_axis',(1.,0.,0.)); #386007=DIRECTION('center_axis',(0.,0.,1.)); #386008=DIRECTION('ref_axis',(1.,0.,0.)); #386009=DIRECTION('center_axis',(0.,0.,1.)); #386010=DIRECTION('ref_axis',(1.,0.,0.)); #386011=DIRECTION('center_axis',(0.,0.,-1.)); #386012=DIRECTION('ref_axis',(1.,0.,0.)); #386013=DIRECTION('',(0.,0.,-1.)); #386014=DIRECTION('center_axis',(0.,0.,1.)); #386015=DIRECTION('ref_axis',(1.,0.,0.)); #386016=DIRECTION('center_axis',(0.,0.,1.)); #386017=DIRECTION('ref_axis',(1.,0.,0.)); #386018=DIRECTION('center_axis',(0.,0.,1.)); #386019=DIRECTION('ref_axis',(1.,0.,0.)); #386020=DIRECTION('',(0.,0.,-1.)); #386021=DIRECTION('center_axis',(0.,0.,1.)); #386022=DIRECTION('ref_axis',(1.,0.,0.)); #386023=DIRECTION('center_axis',(0.,0.,1.)); #386024=DIRECTION('ref_axis',(1.,0.,0.)); #386025=DIRECTION('center_axis',(0.,0.,1.)); #386026=DIRECTION('ref_axis',(1.,0.,0.)); #386027=DIRECTION('center_axis',(0.,0.,1.)); #386028=DIRECTION('ref_axis',(1.,0.,0.)); #386029=DIRECTION('center_axis',(0.,0.,-1.)); #386030=DIRECTION('ref_axis',(1.,0.,0.)); #386031=DIRECTION('',(0.,0.,-1.)); #386032=DIRECTION('center_axis',(0.,0.,1.)); #386033=DIRECTION('ref_axis',(1.,0.,0.)); #386034=DIRECTION('center_axis',(0.,0.,1.)); #386035=DIRECTION('ref_axis',(1.,0.,0.)); #386036=DIRECTION('center_axis',(0.,0.,1.)); #386037=DIRECTION('ref_axis',(1.,0.,0.)); #386038=DIRECTION('',(0.,0.,-1.)); #386039=DIRECTION('center_axis',(0.,0.,1.)); #386040=DIRECTION('ref_axis',(1.,0.,0.)); #386041=DIRECTION('center_axis',(0.,0.,1.)); #386042=DIRECTION('ref_axis',(1.,0.,0.)); #386043=DIRECTION('center_axis',(0.,0.,1.)); #386044=DIRECTION('ref_axis',(1.,0.,0.)); #386045=DIRECTION('center_axis',(0.,0.,1.)); #386046=DIRECTION('ref_axis',(1.,0.,0.)); #386047=DIRECTION('center_axis',(0.,0.,-1.)); #386048=DIRECTION('ref_axis',(1.,0.,0.)); #386049=DIRECTION('',(0.,0.,-1.)); #386050=DIRECTION('center_axis',(0.,0.,1.)); #386051=DIRECTION('ref_axis',(1.,0.,0.)); #386052=DIRECTION('center_axis',(0.,0.,1.)); #386053=DIRECTION('ref_axis',(1.,0.,0.)); #386054=DIRECTION('center_axis',(0.,0.,1.)); #386055=DIRECTION('ref_axis',(1.,0.,0.)); #386056=DIRECTION('',(0.,0.,-1.)); #386057=DIRECTION('center_axis',(0.,0.,1.)); #386058=DIRECTION('ref_axis',(1.,0.,0.)); #386059=DIRECTION('center_axis',(0.,0.,1.)); #386060=DIRECTION('ref_axis',(1.,0.,0.)); #386061=DIRECTION('center_axis',(0.,0.,1.)); #386062=DIRECTION('ref_axis',(1.,0.,0.)); #386063=DIRECTION('center_axis',(0.,0.,1.)); #386064=DIRECTION('ref_axis',(1.,0.,0.)); #386065=DIRECTION('center_axis',(0.,0.,-1.)); #386066=DIRECTION('ref_axis',(1.,0.,0.)); #386067=DIRECTION('',(0.,0.,-1.)); #386068=DIRECTION('center_axis',(0.,0.,1.)); #386069=DIRECTION('ref_axis',(1.,0.,0.)); #386070=DIRECTION('center_axis',(0.,0.,1.)); #386071=DIRECTION('ref_axis',(1.,0.,0.)); #386072=DIRECTION('center_axis',(0.,0.,1.)); #386073=DIRECTION('ref_axis',(1.,0.,0.)); #386074=DIRECTION('',(0.,0.,-1.)); #386075=DIRECTION('center_axis',(0.,0.,1.)); #386076=DIRECTION('ref_axis',(1.,0.,0.)); #386077=DIRECTION('center_axis',(0.,0.,1.)); #386078=DIRECTION('ref_axis',(1.,0.,0.)); #386079=DIRECTION('center_axis',(0.,0.,1.)); #386080=DIRECTION('ref_axis',(1.,0.,0.)); #386081=DIRECTION('center_axis',(0.,0.,1.)); #386082=DIRECTION('ref_axis',(1.,0.,0.)); #386083=DIRECTION('center_axis',(0.,0.,-1.)); #386084=DIRECTION('ref_axis',(1.,0.,0.)); #386085=DIRECTION('',(0.,0.,-1.)); #386086=DIRECTION('center_axis',(0.,0.,1.)); #386087=DIRECTION('ref_axis',(1.,0.,0.)); #386088=DIRECTION('center_axis',(0.,0.,1.)); #386089=DIRECTION('ref_axis',(1.,0.,0.)); #386090=DIRECTION('center_axis',(0.,0.,1.)); #386091=DIRECTION('ref_axis',(1.,0.,0.)); #386092=DIRECTION('',(0.,0.,-1.)); #386093=DIRECTION('center_axis',(0.,0.,1.)); #386094=DIRECTION('ref_axis',(1.,0.,0.)); #386095=DIRECTION('center_axis',(0.,0.,1.)); #386096=DIRECTION('ref_axis',(1.,0.,0.)); #386097=DIRECTION('center_axis',(0.,0.,1.)); #386098=DIRECTION('ref_axis',(1.,0.,0.)); #386099=DIRECTION('center_axis',(0.,0.,1.)); #386100=DIRECTION('ref_axis',(1.,0.,0.)); #386101=DIRECTION('center_axis',(0.,0.,-1.)); #386102=DIRECTION('ref_axis',(1.,0.,0.)); #386103=DIRECTION('',(0.,0.,-1.)); #386104=DIRECTION('center_axis',(0.,0.,1.)); #386105=DIRECTION('ref_axis',(1.,0.,0.)); #386106=DIRECTION('center_axis',(0.,0.,1.)); #386107=DIRECTION('ref_axis',(1.,0.,0.)); #386108=DIRECTION('center_axis',(0.,0.,1.)); #386109=DIRECTION('ref_axis',(1.,0.,0.)); #386110=DIRECTION('',(0.,0.,-1.)); #386111=DIRECTION('center_axis',(0.,0.,1.)); #386112=DIRECTION('ref_axis',(1.,0.,0.)); #386113=DIRECTION('center_axis',(0.,0.,1.)); #386114=DIRECTION('ref_axis',(1.,0.,0.)); #386115=DIRECTION('center_axis',(0.,0.,1.)); #386116=DIRECTION('ref_axis',(1.,0.,0.)); #386117=DIRECTION('center_axis',(0.,0.,1.)); #386118=DIRECTION('ref_axis',(1.,0.,0.)); #386119=DIRECTION('center_axis',(0.,0.,-1.)); #386120=DIRECTION('ref_axis',(1.,0.,0.)); #386121=DIRECTION('',(0.,0.,-1.)); #386122=DIRECTION('center_axis',(0.,0.,1.)); #386123=DIRECTION('ref_axis',(1.,0.,0.)); #386124=DIRECTION('center_axis',(0.,0.,1.)); #386125=DIRECTION('ref_axis',(1.,0.,0.)); #386126=DIRECTION('center_axis',(0.,0.,1.)); #386127=DIRECTION('ref_axis',(1.,0.,0.)); #386128=DIRECTION('',(0.,0.,-1.)); #386129=DIRECTION('center_axis',(0.,0.,1.)); #386130=DIRECTION('ref_axis',(1.,0.,0.)); #386131=DIRECTION('center_axis',(0.,0.,1.)); #386132=DIRECTION('ref_axis',(1.,0.,0.)); #386133=DIRECTION('center_axis',(0.,0.,1.)); #386134=DIRECTION('ref_axis',(1.,0.,0.)); #386135=DIRECTION('center_axis',(0.,0.,1.)); #386136=DIRECTION('ref_axis',(1.,0.,0.)); #386137=DIRECTION('center_axis',(0.,0.,-1.)); #386138=DIRECTION('ref_axis',(1.,0.,0.)); #386139=DIRECTION('',(0.,0.,-1.)); #386140=DIRECTION('center_axis',(0.,0.,1.)); #386141=DIRECTION('ref_axis',(1.,0.,0.)); #386142=DIRECTION('center_axis',(0.,0.,1.)); #386143=DIRECTION('ref_axis',(1.,0.,0.)); #386144=DIRECTION('center_axis',(0.,0.,1.)); #386145=DIRECTION('ref_axis',(1.,0.,0.)); #386146=DIRECTION('',(0.,0.,-1.)); #386147=DIRECTION('center_axis',(0.,0.,1.)); #386148=DIRECTION('ref_axis',(1.,0.,0.)); #386149=DIRECTION('center_axis',(0.,0.,1.)); #386150=DIRECTION('ref_axis',(1.,0.,0.)); #386151=DIRECTION('center_axis',(0.,0.,1.)); #386152=DIRECTION('ref_axis',(1.,0.,0.)); #386153=DIRECTION('center_axis',(-1.,0.,0.)); #386154=DIRECTION('ref_axis',(0.,-1.,0.)); #386155=DIRECTION('',(0.,-1.,0.)); #386156=DIRECTION('',(0.,0.,1.)); #386157=DIRECTION('',(0.,-1.,0.)); #386158=DIRECTION('',(0.,0.,1.)); #386159=DIRECTION('center_axis',(0.,0.,1.)); #386160=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #386161=DIRECTION('center_axis',(0.,0.,1.)); #386162=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #386163=DIRECTION('center_axis',(0.,0.,-1.)); #386164=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #386165=DIRECTION('',(0.,0.,1.)); #386166=DIRECTION('center_axis',(1.,0.,0.)); #386167=DIRECTION('ref_axis',(0.,1.,0.)); #386168=DIRECTION('',(0.,1.,0.)); #386169=DIRECTION('',(0.,1.,0.)); #386170=DIRECTION('',(0.,0.,1.)); #386171=DIRECTION('center_axis',(0.,0.,1.)); #386172=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #386173=DIRECTION('center_axis',(0.,0.,1.)); #386174=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #386175=DIRECTION('center_axis',(0.,0.,-1.)); #386176=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #386177=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #386178=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #386179=DIRECTION('',(5.55111499297693E-15,1.,0.)); #386180=DIRECTION('',(0.,0.,1.)); #386181=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #386182=DIRECTION('',(0.,0.,1.)); #386183=DIRECTION('center_axis',(0.,0.,1.)); #386184=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #386185=DIRECTION('center_axis',(0.,0.,1.)); #386186=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #386187=DIRECTION('center_axis',(0.,0.,1.)); #386188=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #386189=DIRECTION('',(0.,0.,1.)); #386190=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #386191=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #386192=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #386193=DIRECTION('',(5.55111499297693E-15,1.,0.)); #386194=DIRECTION('',(0.,0.,1.)); #386195=DIRECTION('center_axis',(0.,0.,1.)); #386196=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #386197=DIRECTION('center_axis',(0.,0.,1.)); #386198=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #386199=DIRECTION('center_axis',(0.,0.,1.)); #386200=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #386201=DIRECTION('center_axis',(0.,0.,1.)); #386202=DIRECTION('ref_axis',(1.,0.,0.)); #386203=DIRECTION('center_axis',(0.,0.,1.)); #386204=DIRECTION('ref_axis',(1.,0.,0.)); #386205=DIRECTION('center_axis',(0.,0.,1.)); #386206=DIRECTION('ref_axis',(1.,0.,0.)); #386207=DIRECTION('center_axis',(0.,0.,-1.)); #386208=DIRECTION('ref_axis',(1.,0.,0.)); #386209=DIRECTION('',(0.,0.,-1.)); #386210=DIRECTION('center_axis',(0.,0.,1.)); #386211=DIRECTION('ref_axis',(1.,0.,0.)); #386212=DIRECTION('center_axis',(0.,0.,1.)); #386213=DIRECTION('ref_axis',(1.,0.,0.)); #386214=DIRECTION('center_axis',(0.,0.,1.)); #386215=DIRECTION('ref_axis',(1.,0.,0.)); #386216=DIRECTION('',(0.,0.,-1.)); #386217=DIRECTION('center_axis',(0.,0.,1.)); #386218=DIRECTION('ref_axis',(1.,0.,0.)); #386219=DIRECTION('center_axis',(0.,0.,1.)); #386220=DIRECTION('ref_axis',(1.,0.,0.)); #386221=DIRECTION('center_axis',(0.,0.,1.)); #386222=DIRECTION('ref_axis',(1.,0.,0.)); #386223=DIRECTION('center_axis',(-1.,0.,0.)); #386224=DIRECTION('ref_axis',(0.,-1.,0.)); #386225=DIRECTION('',(0.,-1.,0.)); #386226=DIRECTION('',(0.,0.,1.)); #386227=DIRECTION('',(0.,-1.,0.)); #386228=DIRECTION('',(0.,0.,1.)); #386229=DIRECTION('center_axis',(0.,0.,1.)); #386230=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #386231=DIRECTION('center_axis',(0.,0.,1.)); #386232=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #386233=DIRECTION('center_axis',(0.,0.,-1.)); #386234=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #386235=DIRECTION('',(0.,0.,1.)); #386236=DIRECTION('center_axis',(1.,0.,0.)); #386237=DIRECTION('ref_axis',(0.,1.,0.)); #386238=DIRECTION('',(0.,1.,0.)); #386239=DIRECTION('',(0.,1.,0.)); #386240=DIRECTION('',(0.,0.,1.)); #386241=DIRECTION('center_axis',(0.,0.,1.)); #386242=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #386243=DIRECTION('center_axis',(0.,0.,1.)); #386244=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #386245=DIRECTION('center_axis',(0.,0.,-1.)); #386246=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #386247=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #386248=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #386249=DIRECTION('',(5.55111499297693E-15,1.,0.)); #386250=DIRECTION('',(0.,0.,1.)); #386251=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #386252=DIRECTION('',(0.,0.,1.)); #386253=DIRECTION('center_axis',(0.,0.,1.)); #386254=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #386255=DIRECTION('center_axis',(0.,0.,1.)); #386256=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #386257=DIRECTION('center_axis',(0.,0.,1.)); #386258=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #386259=DIRECTION('',(0.,0.,1.)); #386260=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #386261=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #386262=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #386263=DIRECTION('',(5.55111499297693E-15,1.,0.)); #386264=DIRECTION('',(0.,0.,1.)); #386265=DIRECTION('center_axis',(0.,0.,1.)); #386266=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #386267=DIRECTION('center_axis',(0.,0.,1.)); #386268=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #386269=DIRECTION('center_axis',(0.,0.,1.)); #386270=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #386271=DIRECTION('center_axis',(0.,0.,1.)); #386272=DIRECTION('ref_axis',(1.,0.,0.)); #386273=DIRECTION('center_axis',(0.,0.,1.)); #386274=DIRECTION('ref_axis',(1.,0.,0.)); #386275=DIRECTION('center_axis',(0.,0.,1.)); #386276=DIRECTION('ref_axis',(1.,0.,0.)); #386277=DIRECTION('center_axis',(0.,0.,-1.)); #386278=DIRECTION('ref_axis',(1.,0.,0.)); #386279=DIRECTION('',(0.,0.,-1.)); #386280=DIRECTION('center_axis',(0.,0.,1.)); #386281=DIRECTION('ref_axis',(1.,0.,0.)); #386282=DIRECTION('center_axis',(0.,0.,1.)); #386283=DIRECTION('ref_axis',(1.,0.,0.)); #386284=DIRECTION('center_axis',(0.,0.,1.)); #386285=DIRECTION('ref_axis',(1.,0.,0.)); #386286=DIRECTION('',(0.,0.,-1.)); #386287=DIRECTION('center_axis',(0.,0.,1.)); #386288=DIRECTION('ref_axis',(1.,0.,0.)); #386289=DIRECTION('center_axis',(0.,0.,1.)); #386290=DIRECTION('ref_axis',(1.,0.,0.)); #386291=DIRECTION('center_axis',(0.,0.,1.)); #386292=DIRECTION('ref_axis',(1.,0.,0.)); #386293=DIRECTION('center_axis',(-1.,0.,0.)); #386294=DIRECTION('ref_axis',(0.,-1.,0.)); #386295=DIRECTION('',(0.,-1.,0.)); #386296=DIRECTION('',(0.,0.,1.)); #386297=DIRECTION('',(0.,-1.,0.)); #386298=DIRECTION('',(0.,0.,1.)); #386299=DIRECTION('center_axis',(0.,0.,1.)); #386300=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #386301=DIRECTION('center_axis',(0.,0.,1.)); #386302=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #386303=DIRECTION('center_axis',(0.,0.,-1.)); #386304=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #386305=DIRECTION('',(0.,0.,1.)); #386306=DIRECTION('center_axis',(1.,0.,0.)); #386307=DIRECTION('ref_axis',(0.,1.,0.)); #386308=DIRECTION('',(0.,1.,0.)); #386309=DIRECTION('',(0.,1.,0.)); #386310=DIRECTION('',(0.,0.,1.)); #386311=DIRECTION('center_axis',(0.,0.,1.)); #386312=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #386313=DIRECTION('center_axis',(0.,0.,1.)); #386314=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #386315=DIRECTION('center_axis',(0.,0.,-1.)); #386316=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #386317=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #386318=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #386319=DIRECTION('',(5.55111499297693E-15,1.,0.)); #386320=DIRECTION('',(0.,0.,1.)); #386321=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #386322=DIRECTION('',(0.,0.,1.)); #386323=DIRECTION('center_axis',(0.,0.,1.)); #386324=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #386325=DIRECTION('center_axis',(0.,0.,1.)); #386326=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #386327=DIRECTION('center_axis',(0.,0.,1.)); #386328=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #386329=DIRECTION('',(0.,0.,1.)); #386330=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #386331=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #386332=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #386333=DIRECTION('',(5.55111499297693E-15,1.,0.)); #386334=DIRECTION('',(0.,0.,1.)); #386335=DIRECTION('center_axis',(0.,0.,1.)); #386336=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #386337=DIRECTION('center_axis',(0.,0.,1.)); #386338=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #386339=DIRECTION('center_axis',(0.,0.,1.)); #386340=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #386341=DIRECTION('center_axis',(0.,0.,1.)); #386342=DIRECTION('ref_axis',(1.,0.,0.)); #386343=DIRECTION('center_axis',(0.,0.,1.)); #386344=DIRECTION('ref_axis',(1.,0.,0.)); #386345=DIRECTION('center_axis',(0.,0.,1.)); #386346=DIRECTION('ref_axis',(1.,0.,0.)); #386347=DIRECTION('center_axis',(0.,0.,-1.)); #386348=DIRECTION('ref_axis',(1.,0.,0.)); #386349=DIRECTION('',(0.,0.,-1.)); #386350=DIRECTION('center_axis',(0.,0.,1.)); #386351=DIRECTION('ref_axis',(1.,0.,0.)); #386352=DIRECTION('center_axis',(0.,0.,1.)); #386353=DIRECTION('ref_axis',(1.,0.,0.)); #386354=DIRECTION('center_axis',(0.,0.,1.)); #386355=DIRECTION('ref_axis',(1.,0.,0.)); #386356=DIRECTION('',(0.,0.,-1.)); #386357=DIRECTION('center_axis',(0.,0.,1.)); #386358=DIRECTION('ref_axis',(1.,0.,0.)); #386359=DIRECTION('center_axis',(0.,0.,1.)); #386360=DIRECTION('ref_axis',(1.,0.,0.)); #386361=DIRECTION('center_axis',(0.,0.,1.)); #386362=DIRECTION('ref_axis',(1.,0.,0.)); #386363=DIRECTION('center_axis',(0.,0.,1.)); #386364=DIRECTION('ref_axis',(1.,0.,0.)); #386365=DIRECTION('center_axis',(0.,0.,-1.)); #386366=DIRECTION('ref_axis',(1.,0.,0.)); #386367=DIRECTION('',(0.,0.,-1.)); #386368=DIRECTION('center_axis',(0.,0.,1.)); #386369=DIRECTION('ref_axis',(1.,0.,0.)); #386370=DIRECTION('center_axis',(0.,0.,1.)); #386371=DIRECTION('ref_axis',(1.,0.,0.)); #386372=DIRECTION('center_axis',(0.,0.,1.)); #386373=DIRECTION('ref_axis',(1.,0.,0.)); #386374=DIRECTION('',(0.,0.,-1.)); #386375=DIRECTION('center_axis',(0.,0.,1.)); #386376=DIRECTION('ref_axis',(1.,0.,0.)); #386377=DIRECTION('center_axis',(0.,0.,1.)); #386378=DIRECTION('ref_axis',(1.,0.,0.)); #386379=DIRECTION('center_axis',(0.,0.,1.)); #386380=DIRECTION('ref_axis',(1.,0.,0.)); #386381=DIRECTION('center_axis',(0.,0.,1.)); #386382=DIRECTION('ref_axis',(1.,0.,0.)); #386383=DIRECTION('center_axis',(0.,0.,-1.)); #386384=DIRECTION('ref_axis',(1.,0.,0.)); #386385=DIRECTION('',(0.,0.,-1.)); #386386=DIRECTION('center_axis',(0.,0.,1.)); #386387=DIRECTION('ref_axis',(1.,0.,0.)); #386388=DIRECTION('center_axis',(0.,0.,1.)); #386389=DIRECTION('ref_axis',(1.,0.,0.)); #386390=DIRECTION('center_axis',(0.,0.,1.)); #386391=DIRECTION('ref_axis',(1.,0.,0.)); #386392=DIRECTION('',(0.,0.,-1.)); #386393=DIRECTION('center_axis',(0.,0.,1.)); #386394=DIRECTION('ref_axis',(1.,0.,0.)); #386395=DIRECTION('center_axis',(0.,0.,1.)); #386396=DIRECTION('ref_axis',(1.,0.,0.)); #386397=DIRECTION('center_axis',(0.,0.,1.)); #386398=DIRECTION('ref_axis',(1.,0.,0.)); #386399=DIRECTION('center_axis',(0.,0.,1.)); #386400=DIRECTION('ref_axis',(1.,0.,0.)); #386401=DIRECTION('center_axis',(0.,0.,-1.)); #386402=DIRECTION('ref_axis',(1.,0.,0.)); #386403=DIRECTION('',(0.,0.,-1.)); #386404=DIRECTION('center_axis',(0.,0.,1.)); #386405=DIRECTION('ref_axis',(1.,0.,0.)); #386406=DIRECTION('center_axis',(0.,0.,1.)); #386407=DIRECTION('ref_axis',(1.,0.,0.)); #386408=DIRECTION('center_axis',(0.,0.,1.)); #386409=DIRECTION('ref_axis',(1.,0.,0.)); #386410=DIRECTION('',(0.,0.,-1.)); #386411=DIRECTION('center_axis',(0.,0.,1.)); #386412=DIRECTION('ref_axis',(1.,0.,0.)); #386413=DIRECTION('center_axis',(0.,0.,1.)); #386414=DIRECTION('ref_axis',(1.,0.,0.)); #386415=DIRECTION('center_axis',(0.,0.,1.)); #386416=DIRECTION('ref_axis',(1.,0.,0.)); #386417=DIRECTION('center_axis',(0.,0.,1.)); #386418=DIRECTION('ref_axis',(1.,0.,0.)); #386419=DIRECTION('center_axis',(0.,0.,-1.)); #386420=DIRECTION('ref_axis',(1.,0.,0.)); #386421=DIRECTION('',(0.,0.,-1.)); #386422=DIRECTION('center_axis',(0.,0.,1.)); #386423=DIRECTION('ref_axis',(1.,0.,0.)); #386424=DIRECTION('center_axis',(0.,0.,1.)); #386425=DIRECTION('ref_axis',(1.,0.,0.)); #386426=DIRECTION('center_axis',(0.,0.,1.)); #386427=DIRECTION('ref_axis',(1.,0.,0.)); #386428=DIRECTION('',(0.,0.,-1.)); #386429=DIRECTION('center_axis',(0.,0.,1.)); #386430=DIRECTION('ref_axis',(1.,0.,0.)); #386431=DIRECTION('center_axis',(0.,0.,1.)); #386432=DIRECTION('ref_axis',(1.,0.,0.)); #386433=DIRECTION('center_axis',(0.,0.,1.)); #386434=DIRECTION('ref_axis',(1.,0.,0.)); #386435=DIRECTION('center_axis',(0.,0.,1.)); #386436=DIRECTION('ref_axis',(1.,0.,0.)); #386437=DIRECTION('center_axis',(0.,0.,-1.)); #386438=DIRECTION('ref_axis',(1.,0.,0.)); #386439=DIRECTION('',(0.,0.,-1.)); #386440=DIRECTION('center_axis',(0.,0.,1.)); #386441=DIRECTION('ref_axis',(1.,0.,0.)); #386442=DIRECTION('center_axis',(0.,0.,1.)); #386443=DIRECTION('ref_axis',(1.,0.,0.)); #386444=DIRECTION('center_axis',(0.,0.,1.)); #386445=DIRECTION('ref_axis',(1.,0.,0.)); #386446=DIRECTION('',(0.,0.,-1.)); #386447=DIRECTION('center_axis',(0.,0.,1.)); #386448=DIRECTION('ref_axis',(1.,0.,0.)); #386449=DIRECTION('center_axis',(0.,0.,1.)); #386450=DIRECTION('ref_axis',(1.,0.,0.)); #386451=DIRECTION('center_axis',(0.,0.,1.)); #386452=DIRECTION('ref_axis',(1.,0.,0.)); #386453=DIRECTION('center_axis',(0.,0.,1.)); #386454=DIRECTION('ref_axis',(1.,0.,0.)); #386455=DIRECTION('center_axis',(0.,0.,-1.)); #386456=DIRECTION('ref_axis',(1.,0.,0.)); #386457=DIRECTION('',(0.,0.,-1.)); #386458=DIRECTION('center_axis',(0.,0.,1.)); #386459=DIRECTION('ref_axis',(1.,0.,0.)); #386460=DIRECTION('center_axis',(0.,0.,1.)); #386461=DIRECTION('ref_axis',(1.,0.,0.)); #386462=DIRECTION('center_axis',(0.,0.,1.)); #386463=DIRECTION('ref_axis',(1.,0.,0.)); #386464=DIRECTION('',(0.,0.,-1.)); #386465=DIRECTION('center_axis',(0.,0.,1.)); #386466=DIRECTION('ref_axis',(1.,0.,0.)); #386467=DIRECTION('center_axis',(0.,0.,1.)); #386468=DIRECTION('ref_axis',(1.,0.,0.)); #386469=DIRECTION('center_axis',(0.,0.,1.)); #386470=DIRECTION('ref_axis',(1.,0.,0.)); #386471=DIRECTION('center_axis',(0.,0.,1.)); #386472=DIRECTION('ref_axis',(1.,0.,0.)); #386473=DIRECTION('center_axis',(0.,0.,-1.)); #386474=DIRECTION('ref_axis',(1.,0.,0.)); #386475=DIRECTION('',(0.,0.,-1.)); #386476=DIRECTION('center_axis',(0.,0.,1.)); #386477=DIRECTION('ref_axis',(1.,0.,0.)); #386478=DIRECTION('center_axis',(0.,0.,1.)); #386479=DIRECTION('ref_axis',(1.,0.,0.)); #386480=DIRECTION('center_axis',(0.,0.,1.)); #386481=DIRECTION('ref_axis',(1.,0.,0.)); #386482=DIRECTION('',(0.,0.,-1.)); #386483=DIRECTION('center_axis',(0.,0.,1.)); #386484=DIRECTION('ref_axis',(1.,0.,0.)); #386485=DIRECTION('center_axis',(0.,0.,1.)); #386486=DIRECTION('ref_axis',(1.,0.,0.)); #386487=DIRECTION('center_axis',(0.,0.,1.)); #386488=DIRECTION('ref_axis',(1.,0.,0.)); #386489=DIRECTION('center_axis',(0.,0.,1.)); #386490=DIRECTION('ref_axis',(1.,0.,0.)); #386491=DIRECTION('center_axis',(0.,0.,-1.)); #386492=DIRECTION('ref_axis',(1.,0.,0.)); #386493=DIRECTION('',(0.,0.,-1.)); #386494=DIRECTION('center_axis',(0.,0.,1.)); #386495=DIRECTION('ref_axis',(1.,0.,0.)); #386496=DIRECTION('center_axis',(0.,0.,1.)); #386497=DIRECTION('ref_axis',(1.,0.,0.)); #386498=DIRECTION('center_axis',(0.,0.,1.)); #386499=DIRECTION('ref_axis',(1.,0.,0.)); #386500=DIRECTION('',(0.,0.,-1.)); #386501=DIRECTION('center_axis',(0.,0.,1.)); #386502=DIRECTION('ref_axis',(1.,0.,0.)); #386503=DIRECTION('center_axis',(0.,0.,1.)); #386504=DIRECTION('ref_axis',(1.,0.,0.)); #386505=DIRECTION('center_axis',(0.,0.,1.)); #386506=DIRECTION('ref_axis',(1.,0.,0.)); #386507=DIRECTION('center_axis',(0.,0.,1.)); #386508=DIRECTION('ref_axis',(1.,0.,0.)); #386509=DIRECTION('center_axis',(0.,0.,-1.)); #386510=DIRECTION('ref_axis',(1.,0.,0.)); #386511=DIRECTION('',(0.,0.,-1.)); #386512=DIRECTION('center_axis',(0.,0.,1.)); #386513=DIRECTION('ref_axis',(1.,0.,0.)); #386514=DIRECTION('center_axis',(0.,0.,1.)); #386515=DIRECTION('ref_axis',(1.,0.,0.)); #386516=DIRECTION('center_axis',(0.,0.,1.)); #386517=DIRECTION('ref_axis',(1.,0.,0.)); #386518=DIRECTION('',(0.,0.,-1.)); #386519=DIRECTION('center_axis',(0.,0.,1.)); #386520=DIRECTION('ref_axis',(1.,0.,0.)); #386521=DIRECTION('center_axis',(0.,0.,1.)); #386522=DIRECTION('ref_axis',(1.,0.,0.)); #386523=DIRECTION('center_axis',(0.,0.,1.)); #386524=DIRECTION('ref_axis',(1.,0.,0.)); #386525=DIRECTION('center_axis',(0.,-1.,0.)); #386526=DIRECTION('ref_axis',(1.,0.,0.)); #386527=DIRECTION('',(1.,0.,0.)); #386528=DIRECTION('',(0.,0.,1.)); #386529=DIRECTION('',(1.,0.,0.)); #386530=DIRECTION('',(0.,0.,1.)); #386531=DIRECTION('center_axis',(0.,0.,1.)); #386532=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #386533=DIRECTION('center_axis',(0.,0.,1.)); #386534=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #386535=DIRECTION('center_axis',(0.,0.,-1.)); #386536=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #386537=DIRECTION('',(0.,0.,1.)); #386538=DIRECTION('center_axis',(0.,1.,0.)); #386539=DIRECTION('ref_axis',(-1.,0.,0.)); #386540=DIRECTION('',(-1.,0.,0.)); #386541=DIRECTION('',(-1.,0.,0.)); #386542=DIRECTION('',(0.,0.,1.)); #386543=DIRECTION('center_axis',(0.,0.,1.)); #386544=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #386545=DIRECTION('center_axis',(0.,0.,1.)); #386546=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #386547=DIRECTION('center_axis',(0.,0.,-1.)); #386548=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #386549=DIRECTION('center_axis',(-7.40148643419025E-15,-1.,0.)); #386550=DIRECTION('ref_axis',(1.,-7.40148643419025E-15,0.)); #386551=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #386552=DIRECTION('',(0.,0.,1.)); #386553=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #386554=DIRECTION('',(0.,0.,1.)); #386555=DIRECTION('center_axis',(0.,0.,1.)); #386556=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #386557=DIRECTION('center_axis',(0.,0.,1.)); #386558=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #386559=DIRECTION('center_axis',(0.,0.,1.)); #386560=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #386561=DIRECTION('',(0.,0.,1.)); #386562=DIRECTION('center_axis',(7.40148643419025E-15,1.,0.)); #386563=DIRECTION('ref_axis',(-1.,7.40148643419025E-15,0.)); #386564=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #386565=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #386566=DIRECTION('',(0.,0.,1.)); #386567=DIRECTION('center_axis',(0.,0.,1.)); #386568=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #386569=DIRECTION('center_axis',(0.,0.,1.)); #386570=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #386571=DIRECTION('center_axis',(0.,0.,1.)); #386572=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #386573=DIRECTION('center_axis',(0.,0.,1.)); #386574=DIRECTION('ref_axis',(1.,0.,0.)); #386575=DIRECTION('center_axis',(0.,0.,1.)); #386576=DIRECTION('ref_axis',(1.,0.,0.)); #386577=DIRECTION('center_axis',(0.,0.,1.)); #386578=DIRECTION('ref_axis',(1.,0.,0.)); #386579=DIRECTION('center_axis',(0.,0.,-1.)); #386580=DIRECTION('ref_axis',(1.,0.,0.)); #386581=DIRECTION('',(0.,0.,-1.)); #386582=DIRECTION('center_axis',(0.,0.,1.)); #386583=DIRECTION('ref_axis',(1.,0.,0.)); #386584=DIRECTION('center_axis',(0.,0.,1.)); #386585=DIRECTION('ref_axis',(1.,0.,0.)); #386586=DIRECTION('center_axis',(0.,0.,1.)); #386587=DIRECTION('ref_axis',(1.,0.,0.)); #386588=DIRECTION('',(0.,0.,-1.)); #386589=DIRECTION('center_axis',(0.,0.,1.)); #386590=DIRECTION('ref_axis',(1.,0.,0.)); #386591=DIRECTION('center_axis',(0.,0.,1.)); #386592=DIRECTION('ref_axis',(1.,0.,0.)); #386593=DIRECTION('center_axis',(0.,0.,1.)); #386594=DIRECTION('ref_axis',(1.,0.,0.)); #386595=DIRECTION('center_axis',(0.,0.,1.)); #386596=DIRECTION('ref_axis',(1.,0.,0.)); #386597=DIRECTION('center_axis',(0.,0.,-1.)); #386598=DIRECTION('ref_axis',(1.,0.,0.)); #386599=DIRECTION('',(0.,0.,-1.)); #386600=DIRECTION('center_axis',(0.,0.,1.)); #386601=DIRECTION('ref_axis',(1.,0.,0.)); #386602=DIRECTION('center_axis',(0.,0.,1.)); #386603=DIRECTION('ref_axis',(1.,0.,0.)); #386604=DIRECTION('center_axis',(0.,0.,1.)); #386605=DIRECTION('ref_axis',(1.,0.,0.)); #386606=DIRECTION('',(0.,0.,-1.)); #386607=DIRECTION('center_axis',(0.,0.,1.)); #386608=DIRECTION('ref_axis',(1.,0.,0.)); #386609=DIRECTION('center_axis',(0.,0.,1.)); #386610=DIRECTION('ref_axis',(1.,0.,0.)); #386611=DIRECTION('center_axis',(0.,0.,1.)); #386612=DIRECTION('ref_axis',(1.,0.,0.)); #386613=DIRECTION('center_axis',(0.,0.,1.)); #386614=DIRECTION('ref_axis',(1.,0.,0.)); #386615=DIRECTION('center_axis',(0.,0.,-1.)); #386616=DIRECTION('ref_axis',(1.,0.,0.)); #386617=DIRECTION('',(0.,0.,-1.)); #386618=DIRECTION('center_axis',(0.,0.,1.)); #386619=DIRECTION('ref_axis',(1.,0.,0.)); #386620=DIRECTION('center_axis',(0.,0.,1.)); #386621=DIRECTION('ref_axis',(1.,0.,0.)); #386622=DIRECTION('center_axis',(0.,0.,1.)); #386623=DIRECTION('ref_axis',(1.,0.,0.)); #386624=DIRECTION('',(0.,0.,-1.)); #386625=DIRECTION('center_axis',(0.,0.,1.)); #386626=DIRECTION('ref_axis',(1.,0.,0.)); #386627=DIRECTION('center_axis',(0.,0.,1.)); #386628=DIRECTION('ref_axis',(1.,0.,0.)); #386629=DIRECTION('center_axis',(0.,0.,1.)); #386630=DIRECTION('ref_axis',(1.,0.,0.)); #386631=DIRECTION('center_axis',(0.,-1.,0.)); #386632=DIRECTION('ref_axis',(1.,0.,0.)); #386633=DIRECTION('',(1.,0.,0.)); #386634=DIRECTION('',(0.,0.,1.)); #386635=DIRECTION('',(1.,0.,0.)); #386636=DIRECTION('',(0.,0.,1.)); #386637=DIRECTION('center_axis',(0.,0.,1.)); #386638=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #386639=DIRECTION('center_axis',(0.,0.,1.)); #386640=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #386641=DIRECTION('center_axis',(0.,0.,-1.)); #386642=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #386643=DIRECTION('',(0.,0.,1.)); #386644=DIRECTION('center_axis',(0.,1.,0.)); #386645=DIRECTION('ref_axis',(-1.,0.,0.)); #386646=DIRECTION('',(-1.,0.,0.)); #386647=DIRECTION('',(-1.,0.,0.)); #386648=DIRECTION('',(0.,0.,1.)); #386649=DIRECTION('center_axis',(0.,0.,1.)); #386650=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #386651=DIRECTION('center_axis',(0.,0.,1.)); #386652=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #386653=DIRECTION('center_axis',(0.,0.,-1.)); #386654=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #386655=DIRECTION('center_axis',(-7.40148643419025E-15,-1.,0.)); #386656=DIRECTION('ref_axis',(1.,-7.40148643419025E-15,0.)); #386657=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #386658=DIRECTION('',(0.,0.,1.)); #386659=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #386660=DIRECTION('',(0.,0.,1.)); #386661=DIRECTION('center_axis',(0.,0.,1.)); #386662=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #386663=DIRECTION('center_axis',(0.,0.,1.)); #386664=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #386665=DIRECTION('center_axis',(0.,0.,1.)); #386666=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #386667=DIRECTION('',(0.,0.,1.)); #386668=DIRECTION('center_axis',(7.40148643419025E-15,1.,0.)); #386669=DIRECTION('ref_axis',(-1.,7.40148643419025E-15,0.)); #386670=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #386671=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #386672=DIRECTION('',(0.,0.,1.)); #386673=DIRECTION('center_axis',(0.,0.,1.)); #386674=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #386675=DIRECTION('center_axis',(0.,0.,1.)); #386676=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #386677=DIRECTION('center_axis',(0.,0.,1.)); #386678=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #386679=DIRECTION('center_axis',(0.,0.,1.)); #386680=DIRECTION('ref_axis',(1.,0.,0.)); #386681=DIRECTION('center_axis',(0.,0.,1.)); #386682=DIRECTION('ref_axis',(1.,0.,0.)); #386683=DIRECTION('center_axis',(0.,0.,1.)); #386684=DIRECTION('ref_axis',(1.,0.,0.)); #386685=DIRECTION('center_axis',(0.,0.,-1.)); #386686=DIRECTION('ref_axis',(1.,0.,0.)); #386687=DIRECTION('',(0.,0.,-1.)); #386688=DIRECTION('center_axis',(0.,0.,1.)); #386689=DIRECTION('ref_axis',(1.,0.,0.)); #386690=DIRECTION('center_axis',(0.,0.,1.)); #386691=DIRECTION('ref_axis',(1.,0.,0.)); #386692=DIRECTION('center_axis',(0.,0.,1.)); #386693=DIRECTION('ref_axis',(1.,0.,0.)); #386694=DIRECTION('',(0.,0.,-1.)); #386695=DIRECTION('center_axis',(0.,0.,1.)); #386696=DIRECTION('ref_axis',(1.,0.,0.)); #386697=DIRECTION('center_axis',(0.,0.,1.)); #386698=DIRECTION('ref_axis',(1.,0.,0.)); #386699=DIRECTION('center_axis',(0.,0.,1.)); #386700=DIRECTION('ref_axis',(1.,0.,0.)); #386701=DIRECTION('center_axis',(-1.,0.,0.)); #386702=DIRECTION('ref_axis',(0.,-1.,0.)); #386703=DIRECTION('',(0.,-1.,0.)); #386704=DIRECTION('',(0.,0.,1.)); #386705=DIRECTION('',(0.,-1.,0.)); #386706=DIRECTION('',(0.,0.,1.)); #386707=DIRECTION('center_axis',(0.,0.,1.)); #386708=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #386709=DIRECTION('center_axis',(0.,0.,1.)); #386710=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #386711=DIRECTION('center_axis',(0.,0.,-1.)); #386712=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #386713=DIRECTION('',(0.,0.,1.)); #386714=DIRECTION('center_axis',(1.,0.,0.)); #386715=DIRECTION('ref_axis',(0.,1.,0.)); #386716=DIRECTION('',(0.,1.,0.)); #386717=DIRECTION('',(0.,1.,0.)); #386718=DIRECTION('',(0.,0.,1.)); #386719=DIRECTION('center_axis',(0.,0.,1.)); #386720=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #386721=DIRECTION('center_axis',(0.,0.,1.)); #386722=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #386723=DIRECTION('center_axis',(0.,0.,-1.)); #386724=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #386725=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #386726=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #386727=DIRECTION('',(5.55111499297693E-15,1.,0.)); #386728=DIRECTION('',(0.,0.,1.)); #386729=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #386730=DIRECTION('',(0.,0.,1.)); #386731=DIRECTION('center_axis',(0.,0.,1.)); #386732=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #386733=DIRECTION('center_axis',(0.,0.,1.)); #386734=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #386735=DIRECTION('center_axis',(0.,0.,1.)); #386736=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #386737=DIRECTION('',(0.,0.,1.)); #386738=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #386739=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #386740=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #386741=DIRECTION('',(5.55111499297693E-15,1.,0.)); #386742=DIRECTION('',(0.,0.,1.)); #386743=DIRECTION('center_axis',(0.,0.,1.)); #386744=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #386745=DIRECTION('center_axis',(0.,0.,1.)); #386746=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #386747=DIRECTION('center_axis',(0.,0.,1.)); #386748=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #386749=DIRECTION('center_axis',(0.,0.,1.)); #386750=DIRECTION('ref_axis',(1.,0.,0.)); #386751=DIRECTION('center_axis',(0.,0.,1.)); #386752=DIRECTION('ref_axis',(1.,0.,0.)); #386753=DIRECTION('center_axis',(0.,0.,1.)); #386754=DIRECTION('ref_axis',(1.,0.,0.)); #386755=DIRECTION('center_axis',(0.,0.,-1.)); #386756=DIRECTION('ref_axis',(1.,0.,0.)); #386757=DIRECTION('',(0.,0.,-1.)); #386758=DIRECTION('center_axis',(0.,0.,1.)); #386759=DIRECTION('ref_axis',(1.,0.,0.)); #386760=DIRECTION('center_axis',(0.,0.,1.)); #386761=DIRECTION('ref_axis',(1.,0.,0.)); #386762=DIRECTION('center_axis',(0.,0.,1.)); #386763=DIRECTION('ref_axis',(1.,0.,0.)); #386764=DIRECTION('',(0.,0.,-1.)); #386765=DIRECTION('center_axis',(0.,0.,1.)); #386766=DIRECTION('ref_axis',(1.,0.,0.)); #386767=DIRECTION('center_axis',(0.,0.,1.)); #386768=DIRECTION('ref_axis',(1.,0.,0.)); #386769=DIRECTION('center_axis',(0.,0.,1.)); #386770=DIRECTION('ref_axis',(1.,0.,0.)); #386771=DIRECTION('center_axis',(0.,0.,1.)); #386772=DIRECTION('ref_axis',(1.,0.,0.)); #386773=DIRECTION('center_axis',(0.,0.,-1.)); #386774=DIRECTION('ref_axis',(1.,0.,0.)); #386775=DIRECTION('',(0.,0.,-1.)); #386776=DIRECTION('center_axis',(0.,0.,1.)); #386777=DIRECTION('ref_axis',(1.,0.,0.)); #386778=DIRECTION('center_axis',(0.,0.,1.)); #386779=DIRECTION('ref_axis',(1.,0.,0.)); #386780=DIRECTION('center_axis',(0.,0.,1.)); #386781=DIRECTION('ref_axis',(1.,0.,0.)); #386782=DIRECTION('',(0.,0.,-1.)); #386783=DIRECTION('center_axis',(0.,0.,1.)); #386784=DIRECTION('ref_axis',(1.,0.,0.)); #386785=DIRECTION('center_axis',(0.,0.,1.)); #386786=DIRECTION('ref_axis',(1.,0.,0.)); #386787=DIRECTION('center_axis',(0.,0.,1.)); #386788=DIRECTION('ref_axis',(1.,0.,0.)); #386789=DIRECTION('center_axis',(0.,0.,1.)); #386790=DIRECTION('ref_axis',(1.,0.,0.)); #386791=DIRECTION('center_axis',(0.,0.,-1.)); #386792=DIRECTION('ref_axis',(1.,0.,0.)); #386793=DIRECTION('',(0.,0.,-1.)); #386794=DIRECTION('center_axis',(0.,0.,1.)); #386795=DIRECTION('ref_axis',(1.,0.,0.)); #386796=DIRECTION('center_axis',(0.,0.,1.)); #386797=DIRECTION('ref_axis',(1.,0.,0.)); #386798=DIRECTION('center_axis',(0.,0.,1.)); #386799=DIRECTION('ref_axis',(1.,0.,0.)); #386800=DIRECTION('',(0.,0.,-1.)); #386801=DIRECTION('center_axis',(0.,0.,1.)); #386802=DIRECTION('ref_axis',(1.,0.,0.)); #386803=DIRECTION('center_axis',(0.,0.,1.)); #386804=DIRECTION('ref_axis',(1.,0.,0.)); #386805=DIRECTION('center_axis',(0.,0.,1.)); #386806=DIRECTION('ref_axis',(1.,0.,0.)); #386807=DIRECTION('center_axis',(0.,0.,1.)); #386808=DIRECTION('ref_axis',(1.,0.,0.)); #386809=DIRECTION('center_axis',(0.,0.,-1.)); #386810=DIRECTION('ref_axis',(1.,0.,0.)); #386811=DIRECTION('',(0.,0.,-1.)); #386812=DIRECTION('center_axis',(0.,0.,1.)); #386813=DIRECTION('ref_axis',(1.,0.,0.)); #386814=DIRECTION('center_axis',(0.,0.,1.)); #386815=DIRECTION('ref_axis',(1.,0.,0.)); #386816=DIRECTION('center_axis',(0.,0.,1.)); #386817=DIRECTION('ref_axis',(1.,0.,0.)); #386818=DIRECTION('',(0.,0.,-1.)); #386819=DIRECTION('center_axis',(0.,0.,1.)); #386820=DIRECTION('ref_axis',(1.,0.,0.)); #386821=DIRECTION('center_axis',(0.,0.,1.)); #386822=DIRECTION('ref_axis',(1.,0.,0.)); #386823=DIRECTION('center_axis',(0.,0.,1.)); #386824=DIRECTION('ref_axis',(1.,0.,0.)); #386825=DIRECTION('center_axis',(0.,0.,1.)); #386826=DIRECTION('ref_axis',(1.,0.,0.)); #386827=DIRECTION('center_axis',(0.,0.,-1.)); #386828=DIRECTION('ref_axis',(1.,0.,0.)); #386829=DIRECTION('',(0.,0.,-1.)); #386830=DIRECTION('center_axis',(0.,0.,1.)); #386831=DIRECTION('ref_axis',(1.,0.,0.)); #386832=DIRECTION('center_axis',(0.,0.,1.)); #386833=DIRECTION('ref_axis',(1.,0.,0.)); #386834=DIRECTION('center_axis',(0.,0.,1.)); #386835=DIRECTION('ref_axis',(1.,0.,0.)); #386836=DIRECTION('',(0.,0.,-1.)); #386837=DIRECTION('center_axis',(0.,0.,1.)); #386838=DIRECTION('ref_axis',(1.,0.,0.)); #386839=DIRECTION('center_axis',(0.,0.,1.)); #386840=DIRECTION('ref_axis',(1.,0.,0.)); #386841=DIRECTION('center_axis',(0.,0.,1.)); #386842=DIRECTION('ref_axis',(1.,0.,0.)); #386843=DIRECTION('center_axis',(0.,0.,1.)); #386844=DIRECTION('ref_axis',(1.,0.,0.)); #386845=DIRECTION('center_axis',(0.,0.,-1.)); #386846=DIRECTION('ref_axis',(1.,0.,0.)); #386847=DIRECTION('',(0.,0.,-1.)); #386848=DIRECTION('center_axis',(0.,0.,1.)); #386849=DIRECTION('ref_axis',(1.,0.,0.)); #386850=DIRECTION('center_axis',(0.,0.,1.)); #386851=DIRECTION('ref_axis',(1.,0.,0.)); #386852=DIRECTION('center_axis',(0.,0.,1.)); #386853=DIRECTION('ref_axis',(1.,0.,0.)); #386854=DIRECTION('',(0.,0.,-1.)); #386855=DIRECTION('center_axis',(0.,0.,1.)); #386856=DIRECTION('ref_axis',(1.,0.,0.)); #386857=DIRECTION('center_axis',(0.,0.,1.)); #386858=DIRECTION('ref_axis',(1.,0.,0.)); #386859=DIRECTION('center_axis',(0.,0.,1.)); #386860=DIRECTION('ref_axis',(1.,0.,0.)); #386861=DIRECTION('center_axis',(0.,0.,1.)); #386862=DIRECTION('ref_axis',(1.,0.,0.)); #386863=DIRECTION('center_axis',(0.,0.,-1.)); #386864=DIRECTION('ref_axis',(1.,0.,0.)); #386865=DIRECTION('',(0.,0.,-1.)); #386866=DIRECTION('center_axis',(0.,0.,1.)); #386867=DIRECTION('ref_axis',(1.,0.,0.)); #386868=DIRECTION('center_axis',(0.,0.,1.)); #386869=DIRECTION('ref_axis',(1.,0.,0.)); #386870=DIRECTION('center_axis',(0.,0.,1.)); #386871=DIRECTION('ref_axis',(1.,0.,0.)); #386872=DIRECTION('',(0.,0.,-1.)); #386873=DIRECTION('center_axis',(0.,0.,1.)); #386874=DIRECTION('ref_axis',(1.,0.,0.)); #386875=DIRECTION('center_axis',(0.,0.,1.)); #386876=DIRECTION('ref_axis',(1.,0.,0.)); #386877=DIRECTION('center_axis',(0.,0.,1.)); #386878=DIRECTION('ref_axis',(1.,0.,0.)); #386879=DIRECTION('center_axis',(0.,0.,1.)); #386880=DIRECTION('ref_axis',(1.,0.,0.)); #386881=DIRECTION('center_axis',(0.,0.,-1.)); #386882=DIRECTION('ref_axis',(1.,0.,0.)); #386883=DIRECTION('',(0.,0.,-1.)); #386884=DIRECTION('center_axis',(0.,0.,1.)); #386885=DIRECTION('ref_axis',(1.,0.,0.)); #386886=DIRECTION('center_axis',(0.,0.,1.)); #386887=DIRECTION('ref_axis',(1.,0.,0.)); #386888=DIRECTION('center_axis',(0.,0.,1.)); #386889=DIRECTION('ref_axis',(1.,0.,0.)); #386890=DIRECTION('',(0.,0.,-1.)); #386891=DIRECTION('center_axis',(0.,0.,1.)); #386892=DIRECTION('ref_axis',(1.,0.,0.)); #386893=DIRECTION('center_axis',(0.,0.,1.)); #386894=DIRECTION('ref_axis',(1.,0.,0.)); #386895=DIRECTION('center_axis',(0.,0.,1.)); #386896=DIRECTION('ref_axis',(1.,0.,0.)); #386897=DIRECTION('center_axis',(0.,0.,1.)); #386898=DIRECTION('ref_axis',(1.,0.,0.)); #386899=DIRECTION('center_axis',(0.,0.,-1.)); #386900=DIRECTION('ref_axis',(1.,0.,0.)); #386901=DIRECTION('',(0.,0.,-1.)); #386902=DIRECTION('center_axis',(0.,0.,1.)); #386903=DIRECTION('ref_axis',(1.,0.,0.)); #386904=DIRECTION('center_axis',(0.,0.,1.)); #386905=DIRECTION('ref_axis',(1.,0.,0.)); #386906=DIRECTION('center_axis',(0.,0.,1.)); #386907=DIRECTION('ref_axis',(1.,0.,0.)); #386908=DIRECTION('',(0.,0.,-1.)); #386909=DIRECTION('center_axis',(0.,0.,1.)); #386910=DIRECTION('ref_axis',(1.,0.,0.)); #386911=DIRECTION('center_axis',(0.,0.,1.)); #386912=DIRECTION('ref_axis',(1.,0.,0.)); #386913=DIRECTION('center_axis',(0.,0.,1.)); #386914=DIRECTION('ref_axis',(1.,0.,0.)); #386915=DIRECTION('center_axis',(0.,0.,1.)); #386916=DIRECTION('ref_axis',(1.,0.,0.)); #386917=DIRECTION('center_axis',(0.,0.,-1.)); #386918=DIRECTION('ref_axis',(1.,0.,0.)); #386919=DIRECTION('',(0.,0.,-1.)); #386920=DIRECTION('center_axis',(0.,0.,1.)); #386921=DIRECTION('ref_axis',(1.,0.,0.)); #386922=DIRECTION('center_axis',(0.,0.,1.)); #386923=DIRECTION('ref_axis',(1.,0.,0.)); #386924=DIRECTION('center_axis',(0.,0.,1.)); #386925=DIRECTION('ref_axis',(1.,0.,0.)); #386926=DIRECTION('',(0.,0.,-1.)); #386927=DIRECTION('center_axis',(0.,0.,1.)); #386928=DIRECTION('ref_axis',(1.,0.,0.)); #386929=DIRECTION('center_axis',(0.,0.,1.)); #386930=DIRECTION('ref_axis',(1.,0.,0.)); #386931=DIRECTION('center_axis',(0.,0.,1.)); #386932=DIRECTION('ref_axis',(1.,0.,0.)); #386933=DIRECTION('center_axis',(0.,0.,1.)); #386934=DIRECTION('ref_axis',(1.,0.,0.)); #386935=DIRECTION('center_axis',(0.,0.,-1.)); #386936=DIRECTION('ref_axis',(1.,0.,0.)); #386937=DIRECTION('',(0.,0.,-1.)); #386938=DIRECTION('center_axis',(0.,0.,1.)); #386939=DIRECTION('ref_axis',(1.,0.,0.)); #386940=DIRECTION('center_axis',(0.,0.,1.)); #386941=DIRECTION('ref_axis',(1.,0.,0.)); #386942=DIRECTION('center_axis',(0.,0.,1.)); #386943=DIRECTION('ref_axis',(1.,0.,0.)); #386944=DIRECTION('',(0.,0.,-1.)); #386945=DIRECTION('center_axis',(0.,0.,1.)); #386946=DIRECTION('ref_axis',(1.,0.,0.)); #386947=DIRECTION('center_axis',(0.,0.,1.)); #386948=DIRECTION('ref_axis',(1.,0.,0.)); #386949=DIRECTION('center_axis',(0.,0.,1.)); #386950=DIRECTION('ref_axis',(1.,0.,0.)); #386951=DIRECTION('center_axis',(0.,0.,1.)); #386952=DIRECTION('ref_axis',(1.,0.,0.)); #386953=DIRECTION('center_axis',(0.,0.,-1.)); #386954=DIRECTION('ref_axis',(1.,0.,0.)); #386955=DIRECTION('',(0.,0.,-1.)); #386956=DIRECTION('center_axis',(0.,0.,1.)); #386957=DIRECTION('ref_axis',(1.,0.,0.)); #386958=DIRECTION('center_axis',(0.,0.,1.)); #386959=DIRECTION('ref_axis',(1.,0.,0.)); #386960=DIRECTION('center_axis',(0.,0.,1.)); #386961=DIRECTION('ref_axis',(1.,0.,0.)); #386962=DIRECTION('',(0.,0.,-1.)); #386963=DIRECTION('center_axis',(0.,0.,1.)); #386964=DIRECTION('ref_axis',(1.,0.,0.)); #386965=DIRECTION('center_axis',(0.,0.,1.)); #386966=DIRECTION('ref_axis',(1.,0.,0.)); #386967=DIRECTION('center_axis',(0.,0.,1.)); #386968=DIRECTION('ref_axis',(1.,0.,0.)); #386969=DIRECTION('center_axis',(0.,0.,1.)); #386970=DIRECTION('ref_axis',(1.,0.,0.)); #386971=DIRECTION('center_axis',(0.,0.,-1.)); #386972=DIRECTION('ref_axis',(1.,0.,0.)); #386973=DIRECTION('',(0.,0.,-1.)); #386974=DIRECTION('center_axis',(0.,0.,1.)); #386975=DIRECTION('ref_axis',(1.,0.,0.)); #386976=DIRECTION('center_axis',(0.,0.,1.)); #386977=DIRECTION('ref_axis',(1.,0.,0.)); #386978=DIRECTION('center_axis',(0.,0.,1.)); #386979=DIRECTION('ref_axis',(1.,0.,0.)); #386980=DIRECTION('',(0.,0.,-1.)); #386981=DIRECTION('center_axis',(0.,0.,1.)); #386982=DIRECTION('ref_axis',(1.,0.,0.)); #386983=DIRECTION('center_axis',(0.,0.,1.)); #386984=DIRECTION('ref_axis',(1.,0.,0.)); #386985=DIRECTION('center_axis',(0.,0.,1.)); #386986=DIRECTION('ref_axis',(1.,0.,0.)); #386987=DIRECTION('center_axis',(0.,0.,1.)); #386988=DIRECTION('ref_axis',(1.,0.,0.)); #386989=DIRECTION('center_axis',(0.,0.,-1.)); #386990=DIRECTION('ref_axis',(1.,0.,0.)); #386991=DIRECTION('',(0.,0.,-1.)); #386992=DIRECTION('center_axis',(0.,0.,1.)); #386993=DIRECTION('ref_axis',(1.,0.,0.)); #386994=DIRECTION('center_axis',(0.,0.,1.)); #386995=DIRECTION('ref_axis',(1.,0.,0.)); #386996=DIRECTION('center_axis',(0.,0.,1.)); #386997=DIRECTION('ref_axis',(1.,0.,0.)); #386998=DIRECTION('',(0.,0.,-1.)); #386999=DIRECTION('center_axis',(0.,0.,1.)); #387000=DIRECTION('ref_axis',(1.,0.,0.)); #387001=DIRECTION('center_axis',(0.,0.,1.)); #387002=DIRECTION('ref_axis',(1.,0.,0.)); #387003=DIRECTION('center_axis',(0.,0.,1.)); #387004=DIRECTION('ref_axis',(1.,0.,0.)); #387005=DIRECTION('center_axis',(0.,0.,1.)); #387006=DIRECTION('ref_axis',(1.,0.,0.)); #387007=DIRECTION('center_axis',(0.,0.,-1.)); #387008=DIRECTION('ref_axis',(1.,0.,0.)); #387009=DIRECTION('',(0.,0.,-1.)); #387010=DIRECTION('center_axis',(0.,0.,1.)); #387011=DIRECTION('ref_axis',(1.,0.,0.)); #387012=DIRECTION('center_axis',(0.,0.,1.)); #387013=DIRECTION('ref_axis',(1.,0.,0.)); #387014=DIRECTION('center_axis',(0.,0.,1.)); #387015=DIRECTION('ref_axis',(1.,0.,0.)); #387016=DIRECTION('',(0.,0.,-1.)); #387017=DIRECTION('center_axis',(0.,0.,1.)); #387018=DIRECTION('ref_axis',(1.,0.,0.)); #387019=DIRECTION('center_axis',(0.,0.,1.)); #387020=DIRECTION('ref_axis',(1.,0.,0.)); #387021=DIRECTION('center_axis',(0.,0.,1.)); #387022=DIRECTION('ref_axis',(1.,0.,0.)); #387023=DIRECTION('center_axis',(0.,0.,1.)); #387024=DIRECTION('ref_axis',(1.,0.,0.)); #387025=DIRECTION('center_axis',(0.,0.,-1.)); #387026=DIRECTION('ref_axis',(1.,0.,0.)); #387027=DIRECTION('',(0.,0.,-1.)); #387028=DIRECTION('center_axis',(0.,0.,1.)); #387029=DIRECTION('ref_axis',(1.,0.,0.)); #387030=DIRECTION('center_axis',(0.,0.,1.)); #387031=DIRECTION('ref_axis',(1.,0.,0.)); #387032=DIRECTION('center_axis',(0.,0.,1.)); #387033=DIRECTION('ref_axis',(1.,0.,0.)); #387034=DIRECTION('',(0.,0.,-1.)); #387035=DIRECTION('center_axis',(0.,0.,1.)); #387036=DIRECTION('ref_axis',(1.,0.,0.)); #387037=DIRECTION('center_axis',(0.,0.,1.)); #387038=DIRECTION('ref_axis',(1.,0.,0.)); #387039=DIRECTION('center_axis',(0.,0.,1.)); #387040=DIRECTION('ref_axis',(1.,0.,0.)); #387041=DIRECTION('center_axis',(0.,0.,1.)); #387042=DIRECTION('ref_axis',(1.,0.,0.)); #387043=DIRECTION('center_axis',(0.,0.,-1.)); #387044=DIRECTION('ref_axis',(1.,0.,0.)); #387045=DIRECTION('',(0.,0.,-1.)); #387046=DIRECTION('center_axis',(0.,0.,1.)); #387047=DIRECTION('ref_axis',(1.,0.,0.)); #387048=DIRECTION('center_axis',(0.,0.,1.)); #387049=DIRECTION('ref_axis',(1.,0.,0.)); #387050=DIRECTION('center_axis',(0.,0.,1.)); #387051=DIRECTION('ref_axis',(1.,0.,0.)); #387052=DIRECTION('',(0.,0.,-1.)); #387053=DIRECTION('center_axis',(0.,0.,1.)); #387054=DIRECTION('ref_axis',(1.,0.,0.)); #387055=DIRECTION('center_axis',(0.,0.,1.)); #387056=DIRECTION('ref_axis',(1.,0.,0.)); #387057=DIRECTION('center_axis',(0.,0.,1.)); #387058=DIRECTION('ref_axis',(1.,0.,0.)); #387059=DIRECTION('center_axis',(0.,0.,1.)); #387060=DIRECTION('ref_axis',(1.,0.,0.)); #387061=DIRECTION('center_axis',(0.,0.,-1.)); #387062=DIRECTION('ref_axis',(1.,0.,0.)); #387063=DIRECTION('',(0.,0.,-1.)); #387064=DIRECTION('center_axis',(0.,0.,1.)); #387065=DIRECTION('ref_axis',(1.,0.,0.)); #387066=DIRECTION('center_axis',(0.,0.,1.)); #387067=DIRECTION('ref_axis',(1.,0.,0.)); #387068=DIRECTION('center_axis',(0.,0.,1.)); #387069=DIRECTION('ref_axis',(1.,0.,0.)); #387070=DIRECTION('',(0.,0.,-1.)); #387071=DIRECTION('center_axis',(0.,0.,1.)); #387072=DIRECTION('ref_axis',(1.,0.,0.)); #387073=DIRECTION('center_axis',(0.,0.,1.)); #387074=DIRECTION('ref_axis',(1.,0.,0.)); #387075=DIRECTION('center_axis',(0.,0.,1.)); #387076=DIRECTION('ref_axis',(1.,0.,0.)); #387077=DIRECTION('center_axis',(0.,0.,1.)); #387078=DIRECTION('ref_axis',(1.,0.,0.)); #387079=DIRECTION('center_axis',(0.,0.,-1.)); #387080=DIRECTION('ref_axis',(1.,0.,0.)); #387081=DIRECTION('',(0.,0.,-1.)); #387082=DIRECTION('center_axis',(0.,0.,1.)); #387083=DIRECTION('ref_axis',(1.,0.,0.)); #387084=DIRECTION('center_axis',(0.,0.,1.)); #387085=DIRECTION('ref_axis',(1.,0.,0.)); #387086=DIRECTION('center_axis',(0.,0.,1.)); #387087=DIRECTION('ref_axis',(1.,0.,0.)); #387088=DIRECTION('',(0.,0.,-1.)); #387089=DIRECTION('center_axis',(0.,0.,1.)); #387090=DIRECTION('ref_axis',(1.,0.,0.)); #387091=DIRECTION('center_axis',(0.,0.,1.)); #387092=DIRECTION('ref_axis',(1.,0.,0.)); #387093=DIRECTION('center_axis',(0.,0.,1.)); #387094=DIRECTION('ref_axis',(1.,0.,0.)); #387095=DIRECTION('center_axis',(0.,0.,1.)); #387096=DIRECTION('ref_axis',(1.,0.,0.)); #387097=DIRECTION('center_axis',(0.,0.,-1.)); #387098=DIRECTION('ref_axis',(1.,0.,0.)); #387099=DIRECTION('',(0.,0.,-1.)); #387100=DIRECTION('center_axis',(0.,0.,1.)); #387101=DIRECTION('ref_axis',(1.,0.,0.)); #387102=DIRECTION('center_axis',(0.,0.,1.)); #387103=DIRECTION('ref_axis',(1.,0.,0.)); #387104=DIRECTION('center_axis',(0.,0.,1.)); #387105=DIRECTION('ref_axis',(1.,0.,0.)); #387106=DIRECTION('',(0.,0.,-1.)); #387107=DIRECTION('center_axis',(0.,0.,1.)); #387108=DIRECTION('ref_axis',(1.,0.,0.)); #387109=DIRECTION('center_axis',(0.,0.,1.)); #387110=DIRECTION('ref_axis',(1.,0.,0.)); #387111=DIRECTION('center_axis',(0.,0.,1.)); #387112=DIRECTION('ref_axis',(1.,0.,0.)); #387113=DIRECTION('center_axis',(0.,0.,1.)); #387114=DIRECTION('ref_axis',(1.,0.,0.)); #387115=DIRECTION('center_axis',(0.,0.,-1.)); #387116=DIRECTION('ref_axis',(1.,0.,0.)); #387117=DIRECTION('',(0.,0.,-1.)); #387118=DIRECTION('center_axis',(0.,0.,1.)); #387119=DIRECTION('ref_axis',(1.,0.,0.)); #387120=DIRECTION('center_axis',(0.,0.,1.)); #387121=DIRECTION('ref_axis',(1.,0.,0.)); #387122=DIRECTION('center_axis',(0.,0.,1.)); #387123=DIRECTION('ref_axis',(1.,0.,0.)); #387124=DIRECTION('',(0.,0.,-1.)); #387125=DIRECTION('center_axis',(0.,0.,1.)); #387126=DIRECTION('ref_axis',(1.,0.,0.)); #387127=DIRECTION('center_axis',(0.,0.,1.)); #387128=DIRECTION('ref_axis',(1.,0.,0.)); #387129=DIRECTION('center_axis',(0.,0.,1.)); #387130=DIRECTION('ref_axis',(1.,0.,0.)); #387131=DIRECTION('center_axis',(0.,0.,1.)); #387132=DIRECTION('ref_axis',(1.,0.,0.)); #387133=DIRECTION('center_axis',(0.,0.,-1.)); #387134=DIRECTION('ref_axis',(1.,0.,0.)); #387135=DIRECTION('',(0.,0.,-1.)); #387136=DIRECTION('center_axis',(0.,0.,1.)); #387137=DIRECTION('ref_axis',(1.,0.,0.)); #387138=DIRECTION('center_axis',(0.,0.,1.)); #387139=DIRECTION('ref_axis',(1.,0.,0.)); #387140=DIRECTION('center_axis',(0.,0.,1.)); #387141=DIRECTION('ref_axis',(1.,0.,0.)); #387142=DIRECTION('',(0.,0.,-1.)); #387143=DIRECTION('center_axis',(0.,0.,1.)); #387144=DIRECTION('ref_axis',(1.,0.,0.)); #387145=DIRECTION('center_axis',(0.,0.,1.)); #387146=DIRECTION('ref_axis',(1.,0.,0.)); #387147=DIRECTION('center_axis',(0.,0.,1.)); #387148=DIRECTION('ref_axis',(1.,0.,0.)); #387149=DIRECTION('center_axis',(0.,0.,1.)); #387150=DIRECTION('ref_axis',(1.,0.,0.)); #387151=DIRECTION('center_axis',(0.,0.,-1.)); #387152=DIRECTION('ref_axis',(1.,0.,0.)); #387153=DIRECTION('',(0.,0.,-1.)); #387154=DIRECTION('center_axis',(0.,0.,1.)); #387155=DIRECTION('ref_axis',(1.,0.,0.)); #387156=DIRECTION('center_axis',(0.,0.,1.)); #387157=DIRECTION('ref_axis',(1.,0.,0.)); #387158=DIRECTION('center_axis',(0.,0.,1.)); #387159=DIRECTION('ref_axis',(1.,0.,0.)); #387160=DIRECTION('',(0.,0.,-1.)); #387161=DIRECTION('center_axis',(0.,0.,1.)); #387162=DIRECTION('ref_axis',(1.,0.,0.)); #387163=DIRECTION('center_axis',(0.,0.,1.)); #387164=DIRECTION('ref_axis',(1.,0.,0.)); #387165=DIRECTION('center_axis',(0.,0.,1.)); #387166=DIRECTION('ref_axis',(1.,0.,0.)); #387167=DIRECTION('center_axis',(0.,0.,1.)); #387168=DIRECTION('ref_axis',(1.,0.,0.)); #387169=DIRECTION('center_axis',(0.,0.,-1.)); #387170=DIRECTION('ref_axis',(1.,0.,0.)); #387171=DIRECTION('',(0.,0.,-1.)); #387172=DIRECTION('center_axis',(0.,0.,1.)); #387173=DIRECTION('ref_axis',(1.,0.,0.)); #387174=DIRECTION('center_axis',(0.,0.,1.)); #387175=DIRECTION('ref_axis',(1.,0.,0.)); #387176=DIRECTION('center_axis',(0.,0.,1.)); #387177=DIRECTION('ref_axis',(1.,0.,0.)); #387178=DIRECTION('',(0.,0.,-1.)); #387179=DIRECTION('center_axis',(0.,0.,1.)); #387180=DIRECTION('ref_axis',(1.,0.,0.)); #387181=DIRECTION('center_axis',(0.,0.,1.)); #387182=DIRECTION('ref_axis',(1.,0.,0.)); #387183=DIRECTION('center_axis',(0.,0.,1.)); #387184=DIRECTION('ref_axis',(1.,0.,0.)); #387185=DIRECTION('center_axis',(0.,0.,1.)); #387186=DIRECTION('ref_axis',(1.,0.,0.)); #387187=DIRECTION('center_axis',(0.,0.,-1.)); #387188=DIRECTION('ref_axis',(1.,0.,0.)); #387189=DIRECTION('',(0.,0.,-1.)); #387190=DIRECTION('center_axis',(0.,0.,1.)); #387191=DIRECTION('ref_axis',(1.,0.,0.)); #387192=DIRECTION('center_axis',(0.,0.,1.)); #387193=DIRECTION('ref_axis',(1.,0.,0.)); #387194=DIRECTION('center_axis',(0.,0.,1.)); #387195=DIRECTION('ref_axis',(1.,0.,0.)); #387196=DIRECTION('',(0.,0.,-1.)); #387197=DIRECTION('center_axis',(0.,0.,1.)); #387198=DIRECTION('ref_axis',(1.,0.,0.)); #387199=DIRECTION('center_axis',(0.,0.,1.)); #387200=DIRECTION('ref_axis',(1.,0.,0.)); #387201=DIRECTION('center_axis',(0.,0.,1.)); #387202=DIRECTION('ref_axis',(1.,0.,0.)); #387203=DIRECTION('center_axis',(0.,0.,1.)); #387204=DIRECTION('ref_axis',(1.,0.,0.)); #387205=DIRECTION('center_axis',(0.,0.,-1.)); #387206=DIRECTION('ref_axis',(1.,0.,0.)); #387207=DIRECTION('',(0.,0.,-1.)); #387208=DIRECTION('center_axis',(0.,0.,1.)); #387209=DIRECTION('ref_axis',(1.,0.,0.)); #387210=DIRECTION('center_axis',(0.,0.,1.)); #387211=DIRECTION('ref_axis',(1.,0.,0.)); #387212=DIRECTION('center_axis',(0.,0.,1.)); #387213=DIRECTION('ref_axis',(1.,0.,0.)); #387214=DIRECTION('',(0.,0.,-1.)); #387215=DIRECTION('center_axis',(0.,0.,1.)); #387216=DIRECTION('ref_axis',(1.,0.,0.)); #387217=DIRECTION('center_axis',(0.,0.,1.)); #387218=DIRECTION('ref_axis',(1.,0.,0.)); #387219=DIRECTION('center_axis',(0.,0.,1.)); #387220=DIRECTION('ref_axis',(1.,0.,0.)); #387221=DIRECTION('center_axis',(0.,0.,1.)); #387222=DIRECTION('ref_axis',(1.,0.,0.)); #387223=DIRECTION('center_axis',(0.,0.,-1.)); #387224=DIRECTION('ref_axis',(1.,0.,0.)); #387225=DIRECTION('',(0.,0.,-1.)); #387226=DIRECTION('center_axis',(0.,0.,1.)); #387227=DIRECTION('ref_axis',(1.,0.,0.)); #387228=DIRECTION('center_axis',(0.,0.,1.)); #387229=DIRECTION('ref_axis',(1.,0.,0.)); #387230=DIRECTION('center_axis',(0.,0.,1.)); #387231=DIRECTION('ref_axis',(1.,0.,0.)); #387232=DIRECTION('',(0.,0.,-1.)); #387233=DIRECTION('center_axis',(0.,0.,1.)); #387234=DIRECTION('ref_axis',(1.,0.,0.)); #387235=DIRECTION('center_axis',(0.,0.,1.)); #387236=DIRECTION('ref_axis',(1.,0.,0.)); #387237=DIRECTION('center_axis',(0.,0.,1.)); #387238=DIRECTION('ref_axis',(1.,0.,0.)); #387239=DIRECTION('center_axis',(0.,0.,1.)); #387240=DIRECTION('ref_axis',(1.,0.,0.)); #387241=DIRECTION('center_axis',(0.,0.,-1.)); #387242=DIRECTION('ref_axis',(1.,0.,0.)); #387243=DIRECTION('',(0.,0.,-1.)); #387244=DIRECTION('center_axis',(0.,0.,1.)); #387245=DIRECTION('ref_axis',(1.,0.,0.)); #387246=DIRECTION('center_axis',(0.,0.,1.)); #387247=DIRECTION('ref_axis',(1.,0.,0.)); #387248=DIRECTION('center_axis',(0.,0.,1.)); #387249=DIRECTION('ref_axis',(1.,0.,0.)); #387250=DIRECTION('',(0.,0.,-1.)); #387251=DIRECTION('center_axis',(0.,0.,1.)); #387252=DIRECTION('ref_axis',(1.,0.,0.)); #387253=DIRECTION('center_axis',(0.,0.,1.)); #387254=DIRECTION('ref_axis',(1.,0.,0.)); #387255=DIRECTION('center_axis',(0.,0.,1.)); #387256=DIRECTION('ref_axis',(1.,0.,0.)); #387257=DIRECTION('center_axis',(0.,0.,1.)); #387258=DIRECTION('ref_axis',(1.,0.,0.)); #387259=DIRECTION('center_axis',(0.,0.,-1.)); #387260=DIRECTION('ref_axis',(1.,0.,0.)); #387261=DIRECTION('',(0.,0.,-1.)); #387262=DIRECTION('center_axis',(0.,0.,1.)); #387263=DIRECTION('ref_axis',(1.,0.,0.)); #387264=DIRECTION('center_axis',(0.,0.,1.)); #387265=DIRECTION('ref_axis',(1.,0.,0.)); #387266=DIRECTION('center_axis',(0.,0.,1.)); #387267=DIRECTION('ref_axis',(1.,0.,0.)); #387268=DIRECTION('',(0.,0.,-1.)); #387269=DIRECTION('center_axis',(0.,0.,1.)); #387270=DIRECTION('ref_axis',(1.,0.,0.)); #387271=DIRECTION('center_axis',(0.,0.,1.)); #387272=DIRECTION('ref_axis',(1.,0.,0.)); #387273=DIRECTION('center_axis',(0.,0.,1.)); #387274=DIRECTION('ref_axis',(1.,0.,0.)); #387275=DIRECTION('center_axis',(0.,0.,1.)); #387276=DIRECTION('ref_axis',(1.,0.,0.)); #387277=DIRECTION('center_axis',(0.,0.,-1.)); #387278=DIRECTION('ref_axis',(1.,0.,0.)); #387279=DIRECTION('',(0.,0.,-1.)); #387280=DIRECTION('center_axis',(0.,0.,1.)); #387281=DIRECTION('ref_axis',(1.,0.,0.)); #387282=DIRECTION('center_axis',(0.,0.,1.)); #387283=DIRECTION('ref_axis',(1.,0.,0.)); #387284=DIRECTION('center_axis',(0.,0.,1.)); #387285=DIRECTION('ref_axis',(1.,0.,0.)); #387286=DIRECTION('',(0.,0.,-1.)); #387287=DIRECTION('center_axis',(0.,0.,1.)); #387288=DIRECTION('ref_axis',(1.,0.,0.)); #387289=DIRECTION('center_axis',(0.,0.,1.)); #387290=DIRECTION('ref_axis',(1.,0.,0.)); #387291=DIRECTION('center_axis',(0.,0.,1.)); #387292=DIRECTION('ref_axis',(1.,0.,0.)); #387293=DIRECTION('center_axis',(0.,0.,1.)); #387294=DIRECTION('ref_axis',(1.,0.,0.)); #387295=DIRECTION('center_axis',(0.,0.,-1.)); #387296=DIRECTION('ref_axis',(1.,0.,0.)); #387297=DIRECTION('',(0.,0.,-1.)); #387298=DIRECTION('center_axis',(0.,0.,1.)); #387299=DIRECTION('ref_axis',(1.,0.,0.)); #387300=DIRECTION('center_axis',(0.,0.,1.)); #387301=DIRECTION('ref_axis',(1.,0.,0.)); #387302=DIRECTION('center_axis',(0.,0.,1.)); #387303=DIRECTION('ref_axis',(1.,0.,0.)); #387304=DIRECTION('',(0.,0.,-1.)); #387305=DIRECTION('center_axis',(0.,0.,1.)); #387306=DIRECTION('ref_axis',(1.,0.,0.)); #387307=DIRECTION('center_axis',(0.,0.,1.)); #387308=DIRECTION('ref_axis',(1.,0.,0.)); #387309=DIRECTION('center_axis',(0.,0.,1.)); #387310=DIRECTION('ref_axis',(1.,0.,0.)); #387311=DIRECTION('center_axis',(0.,0.,1.)); #387312=DIRECTION('ref_axis',(1.,0.,0.)); #387313=DIRECTION('center_axis',(0.,0.,-1.)); #387314=DIRECTION('ref_axis',(1.,0.,0.)); #387315=DIRECTION('',(0.,0.,-1.)); #387316=DIRECTION('center_axis',(0.,0.,1.)); #387317=DIRECTION('ref_axis',(1.,0.,0.)); #387318=DIRECTION('center_axis',(0.,0.,1.)); #387319=DIRECTION('ref_axis',(1.,0.,0.)); #387320=DIRECTION('center_axis',(0.,0.,1.)); #387321=DIRECTION('ref_axis',(1.,0.,0.)); #387322=DIRECTION('',(0.,0.,-1.)); #387323=DIRECTION('center_axis',(0.,0.,1.)); #387324=DIRECTION('ref_axis',(1.,0.,0.)); #387325=DIRECTION('center_axis',(0.,0.,1.)); #387326=DIRECTION('ref_axis',(1.,0.,0.)); #387327=DIRECTION('center_axis',(0.,0.,1.)); #387328=DIRECTION('ref_axis',(1.,0.,0.)); #387329=DIRECTION('center_axis',(0.,0.,1.)); #387330=DIRECTION('ref_axis',(1.,0.,0.)); #387331=DIRECTION('center_axis',(0.,0.,-1.)); #387332=DIRECTION('ref_axis',(1.,0.,0.)); #387333=DIRECTION('',(0.,0.,-1.)); #387334=DIRECTION('center_axis',(0.,0.,1.)); #387335=DIRECTION('ref_axis',(1.,0.,0.)); #387336=DIRECTION('center_axis',(0.,0.,1.)); #387337=DIRECTION('ref_axis',(1.,0.,0.)); #387338=DIRECTION('center_axis',(0.,0.,1.)); #387339=DIRECTION('ref_axis',(1.,0.,0.)); #387340=DIRECTION('',(0.,0.,-1.)); #387341=DIRECTION('center_axis',(0.,0.,1.)); #387342=DIRECTION('ref_axis',(1.,0.,0.)); #387343=DIRECTION('center_axis',(0.,0.,1.)); #387344=DIRECTION('ref_axis',(1.,0.,0.)); #387345=DIRECTION('center_axis',(0.,0.,1.)); #387346=DIRECTION('ref_axis',(1.,0.,0.)); #387347=DIRECTION('center_axis',(0.,0.,1.)); #387348=DIRECTION('ref_axis',(1.,0.,0.)); #387349=DIRECTION('center_axis',(0.,0.,-1.)); #387350=DIRECTION('ref_axis',(1.,0.,0.)); #387351=DIRECTION('',(0.,0.,-1.)); #387352=DIRECTION('center_axis',(0.,0.,1.)); #387353=DIRECTION('ref_axis',(1.,0.,0.)); #387354=DIRECTION('center_axis',(0.,0.,1.)); #387355=DIRECTION('ref_axis',(1.,0.,0.)); #387356=DIRECTION('center_axis',(0.,0.,1.)); #387357=DIRECTION('ref_axis',(1.,0.,0.)); #387358=DIRECTION('',(0.,0.,-1.)); #387359=DIRECTION('center_axis',(0.,0.,1.)); #387360=DIRECTION('ref_axis',(1.,0.,0.)); #387361=DIRECTION('center_axis',(0.,0.,1.)); #387362=DIRECTION('ref_axis',(1.,0.,0.)); #387363=DIRECTION('center_axis',(0.,0.,1.)); #387364=DIRECTION('ref_axis',(1.,0.,0.)); #387365=DIRECTION('center_axis',(0.,0.,1.)); #387366=DIRECTION('ref_axis',(1.,0.,0.)); #387367=DIRECTION('center_axis',(0.,0.,-1.)); #387368=DIRECTION('ref_axis',(1.,0.,0.)); #387369=DIRECTION('',(0.,0.,-1.)); #387370=DIRECTION('center_axis',(0.,0.,1.)); #387371=DIRECTION('ref_axis',(1.,0.,0.)); #387372=DIRECTION('center_axis',(0.,0.,1.)); #387373=DIRECTION('ref_axis',(1.,0.,0.)); #387374=DIRECTION('center_axis',(0.,0.,1.)); #387375=DIRECTION('ref_axis',(1.,0.,0.)); #387376=DIRECTION('',(0.,0.,-1.)); #387377=DIRECTION('center_axis',(0.,0.,1.)); #387378=DIRECTION('ref_axis',(1.,0.,0.)); #387379=DIRECTION('center_axis',(0.,0.,1.)); #387380=DIRECTION('ref_axis',(1.,0.,0.)); #387381=DIRECTION('center_axis',(0.,0.,1.)); #387382=DIRECTION('ref_axis',(1.,0.,0.)); #387383=DIRECTION('center_axis',(0.,0.,1.)); #387384=DIRECTION('ref_axis',(1.,0.,0.)); #387385=DIRECTION('center_axis',(0.,0.,-1.)); #387386=DIRECTION('ref_axis',(1.,0.,0.)); #387387=DIRECTION('',(0.,0.,-1.)); #387388=DIRECTION('center_axis',(0.,0.,1.)); #387389=DIRECTION('ref_axis',(1.,0.,0.)); #387390=DIRECTION('center_axis',(0.,0.,1.)); #387391=DIRECTION('ref_axis',(1.,0.,0.)); #387392=DIRECTION('center_axis',(0.,0.,1.)); #387393=DIRECTION('ref_axis',(1.,0.,0.)); #387394=DIRECTION('',(0.,0.,-1.)); #387395=DIRECTION('center_axis',(0.,0.,1.)); #387396=DIRECTION('ref_axis',(1.,0.,0.)); #387397=DIRECTION('center_axis',(0.,0.,1.)); #387398=DIRECTION('ref_axis',(1.,0.,0.)); #387399=DIRECTION('center_axis',(0.,0.,1.)); #387400=DIRECTION('ref_axis',(1.,0.,0.)); #387401=DIRECTION('center_axis',(0.,0.,1.)); #387402=DIRECTION('ref_axis',(1.,0.,0.)); #387403=DIRECTION('center_axis',(0.,0.,-1.)); #387404=DIRECTION('ref_axis',(1.,0.,0.)); #387405=DIRECTION('',(0.,0.,-1.)); #387406=DIRECTION('center_axis',(0.,0.,1.)); #387407=DIRECTION('ref_axis',(1.,0.,0.)); #387408=DIRECTION('center_axis',(0.,0.,1.)); #387409=DIRECTION('ref_axis',(1.,0.,0.)); #387410=DIRECTION('center_axis',(0.,0.,1.)); #387411=DIRECTION('ref_axis',(1.,0.,0.)); #387412=DIRECTION('',(0.,0.,-1.)); #387413=DIRECTION('center_axis',(0.,0.,1.)); #387414=DIRECTION('ref_axis',(1.,0.,0.)); #387415=DIRECTION('center_axis',(0.,0.,1.)); #387416=DIRECTION('ref_axis',(1.,0.,0.)); #387417=DIRECTION('center_axis',(0.,0.,1.)); #387418=DIRECTION('ref_axis',(1.,0.,0.)); #387419=DIRECTION('center_axis',(0.,0.,1.)); #387420=DIRECTION('ref_axis',(1.,0.,0.)); #387421=DIRECTION('center_axis',(0.,0.,-1.)); #387422=DIRECTION('ref_axis',(1.,0.,0.)); #387423=DIRECTION('',(0.,0.,-1.)); #387424=DIRECTION('center_axis',(0.,0.,1.)); #387425=DIRECTION('ref_axis',(1.,0.,0.)); #387426=DIRECTION('center_axis',(0.,0.,1.)); #387427=DIRECTION('ref_axis',(1.,0.,0.)); #387428=DIRECTION('center_axis',(0.,0.,1.)); #387429=DIRECTION('ref_axis',(1.,0.,0.)); #387430=DIRECTION('',(0.,0.,-1.)); #387431=DIRECTION('center_axis',(0.,0.,1.)); #387432=DIRECTION('ref_axis',(1.,0.,0.)); #387433=DIRECTION('center_axis',(0.,0.,1.)); #387434=DIRECTION('ref_axis',(1.,0.,0.)); #387435=DIRECTION('center_axis',(0.,0.,1.)); #387436=DIRECTION('ref_axis',(1.,0.,0.)); #387437=DIRECTION('center_axis',(0.,0.,1.)); #387438=DIRECTION('ref_axis',(1.,0.,0.)); #387439=DIRECTION('center_axis',(0.,0.,-1.)); #387440=DIRECTION('ref_axis',(1.,0.,0.)); #387441=DIRECTION('',(0.,0.,-1.)); #387442=DIRECTION('center_axis',(0.,0.,1.)); #387443=DIRECTION('ref_axis',(1.,0.,0.)); #387444=DIRECTION('center_axis',(0.,0.,1.)); #387445=DIRECTION('ref_axis',(1.,0.,0.)); #387446=DIRECTION('center_axis',(0.,0.,1.)); #387447=DIRECTION('ref_axis',(1.,0.,0.)); #387448=DIRECTION('',(0.,0.,-1.)); #387449=DIRECTION('center_axis',(0.,0.,1.)); #387450=DIRECTION('ref_axis',(1.,0.,0.)); #387451=DIRECTION('center_axis',(0.,0.,1.)); #387452=DIRECTION('ref_axis',(1.,0.,0.)); #387453=DIRECTION('center_axis',(0.,0.,1.)); #387454=DIRECTION('ref_axis',(1.,0.,0.)); #387455=DIRECTION('center_axis',(0.,0.,1.)); #387456=DIRECTION('ref_axis',(1.,0.,0.)); #387457=DIRECTION('center_axis',(0.,0.,-1.)); #387458=DIRECTION('ref_axis',(1.,0.,0.)); #387459=DIRECTION('',(0.,0.,-1.)); #387460=DIRECTION('center_axis',(0.,0.,1.)); #387461=DIRECTION('ref_axis',(1.,0.,0.)); #387462=DIRECTION('center_axis',(0.,0.,1.)); #387463=DIRECTION('ref_axis',(1.,0.,0.)); #387464=DIRECTION('center_axis',(0.,0.,1.)); #387465=DIRECTION('ref_axis',(1.,0.,0.)); #387466=DIRECTION('',(0.,0.,-1.)); #387467=DIRECTION('center_axis',(0.,0.,1.)); #387468=DIRECTION('ref_axis',(1.,0.,0.)); #387469=DIRECTION('center_axis',(0.,0.,1.)); #387470=DIRECTION('ref_axis',(1.,0.,0.)); #387471=DIRECTION('center_axis',(0.,0.,1.)); #387472=DIRECTION('ref_axis',(1.,0.,0.)); #387473=DIRECTION('center_axis',(0.,0.,1.)); #387474=DIRECTION('ref_axis',(1.,0.,0.)); #387475=DIRECTION('center_axis',(0.,0.,-1.)); #387476=DIRECTION('ref_axis',(1.,0.,0.)); #387477=DIRECTION('',(0.,0.,-1.)); #387478=DIRECTION('center_axis',(0.,0.,1.)); #387479=DIRECTION('ref_axis',(1.,0.,0.)); #387480=DIRECTION('center_axis',(0.,0.,1.)); #387481=DIRECTION('ref_axis',(1.,0.,0.)); #387482=DIRECTION('center_axis',(0.,0.,1.)); #387483=DIRECTION('ref_axis',(1.,0.,0.)); #387484=DIRECTION('',(0.,0.,-1.)); #387485=DIRECTION('center_axis',(0.,0.,1.)); #387486=DIRECTION('ref_axis',(1.,0.,0.)); #387487=DIRECTION('center_axis',(0.,0.,1.)); #387488=DIRECTION('ref_axis',(1.,0.,0.)); #387489=DIRECTION('center_axis',(0.,0.,1.)); #387490=DIRECTION('ref_axis',(1.,0.,0.)); #387491=DIRECTION('center_axis',(0.,0.,1.)); #387492=DIRECTION('ref_axis',(1.,0.,0.)); #387493=DIRECTION('center_axis',(0.,0.,-1.)); #387494=DIRECTION('ref_axis',(1.,0.,0.)); #387495=DIRECTION('',(0.,0.,-1.)); #387496=DIRECTION('center_axis',(0.,0.,1.)); #387497=DIRECTION('ref_axis',(1.,0.,0.)); #387498=DIRECTION('center_axis',(0.,0.,1.)); #387499=DIRECTION('ref_axis',(1.,0.,0.)); #387500=DIRECTION('center_axis',(0.,0.,1.)); #387501=DIRECTION('ref_axis',(1.,0.,0.)); #387502=DIRECTION('',(0.,0.,-1.)); #387503=DIRECTION('center_axis',(0.,0.,1.)); #387504=DIRECTION('ref_axis',(1.,0.,0.)); #387505=DIRECTION('center_axis',(0.,0.,1.)); #387506=DIRECTION('ref_axis',(1.,0.,0.)); #387507=DIRECTION('center_axis',(0.,0.,1.)); #387508=DIRECTION('ref_axis',(1.,0.,0.)); #387509=DIRECTION('center_axis',(0.,0.,1.)); #387510=DIRECTION('ref_axis',(1.,0.,0.)); #387511=DIRECTION('center_axis',(0.,0.,-1.)); #387512=DIRECTION('ref_axis',(1.,0.,0.)); #387513=DIRECTION('',(0.,0.,-1.)); #387514=DIRECTION('center_axis',(0.,0.,1.)); #387515=DIRECTION('ref_axis',(1.,0.,0.)); #387516=DIRECTION('center_axis',(0.,0.,1.)); #387517=DIRECTION('ref_axis',(1.,0.,0.)); #387518=DIRECTION('center_axis',(0.,0.,1.)); #387519=DIRECTION('ref_axis',(1.,0.,0.)); #387520=DIRECTION('',(0.,0.,-1.)); #387521=DIRECTION('center_axis',(0.,0.,1.)); #387522=DIRECTION('ref_axis',(1.,0.,0.)); #387523=DIRECTION('center_axis',(0.,0.,1.)); #387524=DIRECTION('ref_axis',(1.,0.,0.)); #387525=DIRECTION('center_axis',(0.,0.,1.)); #387526=DIRECTION('ref_axis',(1.,0.,0.)); #387527=DIRECTION('center_axis',(0.,0.,1.)); #387528=DIRECTION('ref_axis',(1.,0.,0.)); #387529=DIRECTION('center_axis',(0.,0.,-1.)); #387530=DIRECTION('ref_axis',(1.,0.,0.)); #387531=DIRECTION('',(0.,0.,-1.)); #387532=DIRECTION('center_axis',(0.,0.,1.)); #387533=DIRECTION('ref_axis',(1.,0.,0.)); #387534=DIRECTION('center_axis',(0.,0.,1.)); #387535=DIRECTION('ref_axis',(1.,0.,0.)); #387536=DIRECTION('center_axis',(0.,0.,1.)); #387537=DIRECTION('ref_axis',(1.,0.,0.)); #387538=DIRECTION('',(0.,0.,-1.)); #387539=DIRECTION('center_axis',(0.,0.,1.)); #387540=DIRECTION('ref_axis',(1.,0.,0.)); #387541=DIRECTION('center_axis',(0.,0.,1.)); #387542=DIRECTION('ref_axis',(1.,0.,0.)); #387543=DIRECTION('center_axis',(0.,0.,1.)); #387544=DIRECTION('ref_axis',(1.,0.,0.)); #387545=DIRECTION('center_axis',(0.,0.,1.)); #387546=DIRECTION('ref_axis',(1.,0.,0.)); #387547=DIRECTION('center_axis',(0.,0.,-1.)); #387548=DIRECTION('ref_axis',(1.,0.,0.)); #387549=DIRECTION('',(0.,0.,-1.)); #387550=DIRECTION('center_axis',(0.,0.,1.)); #387551=DIRECTION('ref_axis',(1.,0.,0.)); #387552=DIRECTION('center_axis',(0.,0.,1.)); #387553=DIRECTION('ref_axis',(1.,0.,0.)); #387554=DIRECTION('center_axis',(0.,0.,1.)); #387555=DIRECTION('ref_axis',(1.,0.,0.)); #387556=DIRECTION('',(0.,0.,-1.)); #387557=DIRECTION('center_axis',(0.,0.,1.)); #387558=DIRECTION('ref_axis',(1.,0.,0.)); #387559=DIRECTION('center_axis',(0.,0.,1.)); #387560=DIRECTION('ref_axis',(1.,0.,0.)); #387561=DIRECTION('center_axis',(0.,0.,1.)); #387562=DIRECTION('ref_axis',(1.,0.,0.)); #387563=DIRECTION('center_axis',(0.,0.,1.)); #387564=DIRECTION('ref_axis',(1.,0.,0.)); #387565=DIRECTION('center_axis',(0.,0.,-1.)); #387566=DIRECTION('ref_axis',(1.,0.,0.)); #387567=DIRECTION('',(0.,0.,-1.)); #387568=DIRECTION('center_axis',(0.,0.,1.)); #387569=DIRECTION('ref_axis',(1.,0.,0.)); #387570=DIRECTION('center_axis',(0.,0.,1.)); #387571=DIRECTION('ref_axis',(1.,0.,0.)); #387572=DIRECTION('center_axis',(0.,0.,1.)); #387573=DIRECTION('ref_axis',(1.,0.,0.)); #387574=DIRECTION('',(0.,0.,-1.)); #387575=DIRECTION('center_axis',(0.,0.,1.)); #387576=DIRECTION('ref_axis',(1.,0.,0.)); #387577=DIRECTION('center_axis',(0.,0.,1.)); #387578=DIRECTION('ref_axis',(1.,0.,0.)); #387579=DIRECTION('center_axis',(0.,0.,1.)); #387580=DIRECTION('ref_axis',(1.,0.,0.)); #387581=DIRECTION('center_axis',(0.,0.,1.)); #387582=DIRECTION('ref_axis',(1.,0.,0.)); #387583=DIRECTION('center_axis',(0.,0.,-1.)); #387584=DIRECTION('ref_axis',(1.,0.,0.)); #387585=DIRECTION('',(0.,0.,-1.)); #387586=DIRECTION('center_axis',(0.,0.,1.)); #387587=DIRECTION('ref_axis',(1.,0.,0.)); #387588=DIRECTION('center_axis',(0.,0.,1.)); #387589=DIRECTION('ref_axis',(1.,0.,0.)); #387590=DIRECTION('center_axis',(0.,0.,1.)); #387591=DIRECTION('ref_axis',(1.,0.,0.)); #387592=DIRECTION('',(0.,0.,-1.)); #387593=DIRECTION('center_axis',(0.,0.,1.)); #387594=DIRECTION('ref_axis',(1.,0.,0.)); #387595=DIRECTION('center_axis',(0.,0.,1.)); #387596=DIRECTION('ref_axis',(1.,0.,0.)); #387597=DIRECTION('center_axis',(0.,0.,1.)); #387598=DIRECTION('ref_axis',(1.,0.,0.)); #387599=DIRECTION('center_axis',(0.,0.,1.)); #387600=DIRECTION('ref_axis',(1.,0.,0.)); #387601=DIRECTION('center_axis',(0.,0.,-1.)); #387602=DIRECTION('ref_axis',(1.,0.,0.)); #387603=DIRECTION('',(0.,0.,-1.)); #387604=DIRECTION('center_axis',(0.,0.,1.)); #387605=DIRECTION('ref_axis',(1.,0.,0.)); #387606=DIRECTION('center_axis',(0.,0.,1.)); #387607=DIRECTION('ref_axis',(1.,0.,0.)); #387608=DIRECTION('center_axis',(0.,0.,1.)); #387609=DIRECTION('ref_axis',(1.,0.,0.)); #387610=DIRECTION('',(0.,0.,-1.)); #387611=DIRECTION('center_axis',(0.,0.,1.)); #387612=DIRECTION('ref_axis',(1.,0.,0.)); #387613=DIRECTION('center_axis',(0.,0.,1.)); #387614=DIRECTION('ref_axis',(1.,0.,0.)); #387615=DIRECTION('center_axis',(0.,0.,1.)); #387616=DIRECTION('ref_axis',(1.,0.,0.)); #387617=DIRECTION('center_axis',(0.,0.,1.)); #387618=DIRECTION('ref_axis',(1.,0.,0.)); #387619=DIRECTION('center_axis',(0.,0.,-1.)); #387620=DIRECTION('ref_axis',(1.,0.,0.)); #387621=DIRECTION('',(0.,0.,-1.)); #387622=DIRECTION('center_axis',(0.,0.,1.)); #387623=DIRECTION('ref_axis',(1.,0.,0.)); #387624=DIRECTION('center_axis',(0.,0.,1.)); #387625=DIRECTION('ref_axis',(1.,0.,0.)); #387626=DIRECTION('center_axis',(0.,0.,1.)); #387627=DIRECTION('ref_axis',(1.,0.,0.)); #387628=DIRECTION('',(0.,0.,-1.)); #387629=DIRECTION('center_axis',(0.,0.,1.)); #387630=DIRECTION('ref_axis',(1.,0.,0.)); #387631=DIRECTION('center_axis',(0.,0.,1.)); #387632=DIRECTION('ref_axis',(1.,0.,0.)); #387633=DIRECTION('center_axis',(0.,0.,1.)); #387634=DIRECTION('ref_axis',(1.,0.,0.)); #387635=DIRECTION('center_axis',(0.,0.,1.)); #387636=DIRECTION('ref_axis',(1.,0.,0.)); #387637=DIRECTION('center_axis',(0.,0.,-1.)); #387638=DIRECTION('ref_axis',(1.,0.,0.)); #387639=DIRECTION('',(0.,0.,-1.)); #387640=DIRECTION('center_axis',(0.,0.,1.)); #387641=DIRECTION('ref_axis',(1.,0.,0.)); #387642=DIRECTION('center_axis',(0.,0.,1.)); #387643=DIRECTION('ref_axis',(1.,0.,0.)); #387644=DIRECTION('center_axis',(0.,0.,1.)); #387645=DIRECTION('ref_axis',(1.,0.,0.)); #387646=DIRECTION('',(0.,0.,-1.)); #387647=DIRECTION('center_axis',(0.,0.,1.)); #387648=DIRECTION('ref_axis',(1.,0.,0.)); #387649=DIRECTION('center_axis',(0.,0.,1.)); #387650=DIRECTION('ref_axis',(1.,0.,0.)); #387651=DIRECTION('center_axis',(0.,0.,1.)); #387652=DIRECTION('ref_axis',(1.,0.,0.)); #387653=DIRECTION('center_axis',(0.,0.,1.)); #387654=DIRECTION('ref_axis',(1.,0.,0.)); #387655=DIRECTION('center_axis',(0.,0.,-1.)); #387656=DIRECTION('ref_axis',(1.,0.,0.)); #387657=DIRECTION('',(0.,0.,-1.)); #387658=DIRECTION('center_axis',(0.,0.,1.)); #387659=DIRECTION('ref_axis',(1.,0.,0.)); #387660=DIRECTION('center_axis',(0.,0.,1.)); #387661=DIRECTION('ref_axis',(1.,0.,0.)); #387662=DIRECTION('center_axis',(0.,0.,1.)); #387663=DIRECTION('ref_axis',(1.,0.,0.)); #387664=DIRECTION('',(0.,0.,-1.)); #387665=DIRECTION('center_axis',(0.,0.,1.)); #387666=DIRECTION('ref_axis',(1.,0.,0.)); #387667=DIRECTION('center_axis',(0.,0.,1.)); #387668=DIRECTION('ref_axis',(1.,0.,0.)); #387669=DIRECTION('center_axis',(0.,0.,1.)); #387670=DIRECTION('ref_axis',(1.,0.,0.)); #387671=DIRECTION('center_axis',(0.,0.,1.)); #387672=DIRECTION('ref_axis',(1.,0.,0.)); #387673=DIRECTION('center_axis',(0.,0.,-1.)); #387674=DIRECTION('ref_axis',(1.,0.,0.)); #387675=DIRECTION('',(0.,0.,-1.)); #387676=DIRECTION('center_axis',(0.,0.,1.)); #387677=DIRECTION('ref_axis',(1.,0.,0.)); #387678=DIRECTION('center_axis',(0.,0.,1.)); #387679=DIRECTION('ref_axis',(1.,0.,0.)); #387680=DIRECTION('center_axis',(0.,0.,1.)); #387681=DIRECTION('ref_axis',(1.,0.,0.)); #387682=DIRECTION('',(0.,0.,-1.)); #387683=DIRECTION('center_axis',(0.,0.,1.)); #387684=DIRECTION('ref_axis',(1.,0.,0.)); #387685=DIRECTION('center_axis',(0.,0.,1.)); #387686=DIRECTION('ref_axis',(1.,0.,0.)); #387687=DIRECTION('center_axis',(0.,0.,1.)); #387688=DIRECTION('ref_axis',(1.,0.,0.)); #387689=DIRECTION('center_axis',(0.,0.,1.)); #387690=DIRECTION('ref_axis',(1.,0.,0.)); #387691=DIRECTION('center_axis',(0.,0.,-1.)); #387692=DIRECTION('ref_axis',(1.,0.,0.)); #387693=DIRECTION('',(0.,0.,-1.)); #387694=DIRECTION('center_axis',(0.,0.,1.)); #387695=DIRECTION('ref_axis',(1.,0.,0.)); #387696=DIRECTION('center_axis',(0.,0.,1.)); #387697=DIRECTION('ref_axis',(1.,0.,0.)); #387698=DIRECTION('center_axis',(0.,0.,1.)); #387699=DIRECTION('ref_axis',(1.,0.,0.)); #387700=DIRECTION('',(0.,0.,-1.)); #387701=DIRECTION('center_axis',(0.,0.,1.)); #387702=DIRECTION('ref_axis',(1.,0.,0.)); #387703=DIRECTION('center_axis',(0.,0.,1.)); #387704=DIRECTION('ref_axis',(1.,0.,0.)); #387705=DIRECTION('center_axis',(0.,0.,1.)); #387706=DIRECTION('ref_axis',(1.,0.,0.)); #387707=DIRECTION('center_axis',(0.,0.,1.)); #387708=DIRECTION('ref_axis',(1.,0.,0.)); #387709=DIRECTION('center_axis',(0.,0.,-1.)); #387710=DIRECTION('ref_axis',(1.,0.,0.)); #387711=DIRECTION('',(0.,0.,-1.)); #387712=DIRECTION('center_axis',(0.,0.,1.)); #387713=DIRECTION('ref_axis',(1.,0.,0.)); #387714=DIRECTION('center_axis',(0.,0.,1.)); #387715=DIRECTION('ref_axis',(1.,0.,0.)); #387716=DIRECTION('center_axis',(0.,0.,1.)); #387717=DIRECTION('ref_axis',(1.,0.,0.)); #387718=DIRECTION('',(0.,0.,-1.)); #387719=DIRECTION('center_axis',(0.,0.,1.)); #387720=DIRECTION('ref_axis',(1.,0.,0.)); #387721=DIRECTION('center_axis',(0.,0.,1.)); #387722=DIRECTION('ref_axis',(1.,0.,0.)); #387723=DIRECTION('center_axis',(0.,0.,1.)); #387724=DIRECTION('ref_axis',(1.,0.,0.)); #387725=DIRECTION('center_axis',(0.,0.,1.)); #387726=DIRECTION('ref_axis',(1.,0.,0.)); #387727=DIRECTION('center_axis',(0.,0.,-1.)); #387728=DIRECTION('ref_axis',(1.,0.,0.)); #387729=DIRECTION('',(0.,0.,-1.)); #387730=DIRECTION('center_axis',(0.,0.,1.)); #387731=DIRECTION('ref_axis',(1.,0.,0.)); #387732=DIRECTION('center_axis',(0.,0.,1.)); #387733=DIRECTION('ref_axis',(1.,0.,0.)); #387734=DIRECTION('center_axis',(0.,0.,1.)); #387735=DIRECTION('ref_axis',(1.,0.,0.)); #387736=DIRECTION('',(0.,0.,-1.)); #387737=DIRECTION('center_axis',(0.,0.,1.)); #387738=DIRECTION('ref_axis',(1.,0.,0.)); #387739=DIRECTION('center_axis',(0.,0.,1.)); #387740=DIRECTION('ref_axis',(1.,0.,0.)); #387741=DIRECTION('center_axis',(0.,0.,1.)); #387742=DIRECTION('ref_axis',(1.,0.,0.)); #387743=DIRECTION('center_axis',(0.,0.,1.)); #387744=DIRECTION('ref_axis',(1.,0.,0.)); #387745=DIRECTION('center_axis',(0.,0.,-1.)); #387746=DIRECTION('ref_axis',(1.,0.,0.)); #387747=DIRECTION('',(0.,0.,-1.)); #387748=DIRECTION('center_axis',(0.,0.,1.)); #387749=DIRECTION('ref_axis',(1.,0.,0.)); #387750=DIRECTION('center_axis',(0.,0.,1.)); #387751=DIRECTION('ref_axis',(1.,0.,0.)); #387752=DIRECTION('center_axis',(0.,0.,1.)); #387753=DIRECTION('ref_axis',(1.,0.,0.)); #387754=DIRECTION('',(0.,0.,-1.)); #387755=DIRECTION('center_axis',(0.,0.,1.)); #387756=DIRECTION('ref_axis',(1.,0.,0.)); #387757=DIRECTION('center_axis',(0.,0.,1.)); #387758=DIRECTION('ref_axis',(1.,0.,0.)); #387759=DIRECTION('center_axis',(0.,0.,1.)); #387760=DIRECTION('ref_axis',(1.,0.,0.)); #387761=DIRECTION('center_axis',(0.,0.,1.)); #387762=DIRECTION('ref_axis',(1.,0.,0.)); #387763=DIRECTION('center_axis',(0.,0.,-1.)); #387764=DIRECTION('ref_axis',(1.,0.,0.)); #387765=DIRECTION('',(0.,0.,-1.)); #387766=DIRECTION('center_axis',(0.,0.,1.)); #387767=DIRECTION('ref_axis',(1.,0.,0.)); #387768=DIRECTION('center_axis',(0.,0.,1.)); #387769=DIRECTION('ref_axis',(1.,0.,0.)); #387770=DIRECTION('center_axis',(0.,0.,1.)); #387771=DIRECTION('ref_axis',(1.,0.,0.)); #387772=DIRECTION('',(0.,0.,-1.)); #387773=DIRECTION('center_axis',(0.,0.,1.)); #387774=DIRECTION('ref_axis',(1.,0.,0.)); #387775=DIRECTION('center_axis',(0.,0.,1.)); #387776=DIRECTION('ref_axis',(1.,0.,0.)); #387777=DIRECTION('center_axis',(0.,0.,1.)); #387778=DIRECTION('ref_axis',(1.,0.,0.)); #387779=DIRECTION('center_axis',(0.,0.,1.)); #387780=DIRECTION('ref_axis',(1.,0.,0.)); #387781=DIRECTION('center_axis',(0.,0.,-1.)); #387782=DIRECTION('ref_axis',(1.,0.,0.)); #387783=DIRECTION('',(0.,0.,-1.)); #387784=DIRECTION('center_axis',(0.,0.,1.)); #387785=DIRECTION('ref_axis',(1.,0.,0.)); #387786=DIRECTION('center_axis',(0.,0.,1.)); #387787=DIRECTION('ref_axis',(1.,0.,0.)); #387788=DIRECTION('center_axis',(0.,0.,1.)); #387789=DIRECTION('ref_axis',(1.,0.,0.)); #387790=DIRECTION('',(0.,0.,-1.)); #387791=DIRECTION('center_axis',(0.,0.,1.)); #387792=DIRECTION('ref_axis',(1.,0.,0.)); #387793=DIRECTION('center_axis',(0.,0.,1.)); #387794=DIRECTION('ref_axis',(1.,0.,0.)); #387795=DIRECTION('center_axis',(0.,0.,1.)); #387796=DIRECTION('ref_axis',(1.,0.,0.)); #387797=DIRECTION('center_axis',(0.,0.,1.)); #387798=DIRECTION('ref_axis',(1.,0.,0.)); #387799=DIRECTION('center_axis',(0.,0.,-1.)); #387800=DIRECTION('ref_axis',(1.,0.,0.)); #387801=DIRECTION('',(0.,0.,-1.)); #387802=DIRECTION('center_axis',(0.,0.,1.)); #387803=DIRECTION('ref_axis',(1.,0.,0.)); #387804=DIRECTION('center_axis',(0.,0.,1.)); #387805=DIRECTION('ref_axis',(1.,0.,0.)); #387806=DIRECTION('center_axis',(0.,0.,1.)); #387807=DIRECTION('ref_axis',(1.,0.,0.)); #387808=DIRECTION('',(0.,0.,-1.)); #387809=DIRECTION('center_axis',(0.,0.,1.)); #387810=DIRECTION('ref_axis',(1.,0.,0.)); #387811=DIRECTION('center_axis',(0.,0.,1.)); #387812=DIRECTION('ref_axis',(1.,0.,0.)); #387813=DIRECTION('center_axis',(0.,0.,1.)); #387814=DIRECTION('ref_axis',(1.,0.,0.)); #387815=DIRECTION('center_axis',(0.,0.,1.)); #387816=DIRECTION('ref_axis',(1.,0.,0.)); #387817=DIRECTION('center_axis',(0.,0.,-1.)); #387818=DIRECTION('ref_axis',(1.,0.,0.)); #387819=DIRECTION('',(0.,0.,-1.)); #387820=DIRECTION('center_axis',(0.,0.,1.)); #387821=DIRECTION('ref_axis',(1.,0.,0.)); #387822=DIRECTION('center_axis',(0.,0.,1.)); #387823=DIRECTION('ref_axis',(1.,0.,0.)); #387824=DIRECTION('center_axis',(0.,0.,1.)); #387825=DIRECTION('ref_axis',(1.,0.,0.)); #387826=DIRECTION('',(0.,0.,-1.)); #387827=DIRECTION('center_axis',(0.,0.,1.)); #387828=DIRECTION('ref_axis',(1.,0.,0.)); #387829=DIRECTION('center_axis',(0.,0.,1.)); #387830=DIRECTION('ref_axis',(1.,0.,0.)); #387831=DIRECTION('center_axis',(0.,0.,1.)); #387832=DIRECTION('ref_axis',(1.,0.,0.)); #387833=DIRECTION('center_axis',(0.,0.,1.)); #387834=DIRECTION('ref_axis',(1.,0.,0.)); #387835=DIRECTION('center_axis',(0.,0.,-1.)); #387836=DIRECTION('ref_axis',(1.,0.,0.)); #387837=DIRECTION('',(0.,0.,-1.)); #387838=DIRECTION('center_axis',(0.,0.,1.)); #387839=DIRECTION('ref_axis',(1.,0.,0.)); #387840=DIRECTION('center_axis',(0.,0.,1.)); #387841=DIRECTION('ref_axis',(1.,0.,0.)); #387842=DIRECTION('center_axis',(0.,0.,1.)); #387843=DIRECTION('ref_axis',(1.,0.,0.)); #387844=DIRECTION('',(0.,0.,-1.)); #387845=DIRECTION('center_axis',(0.,0.,1.)); #387846=DIRECTION('ref_axis',(1.,0.,0.)); #387847=DIRECTION('center_axis',(0.,0.,1.)); #387848=DIRECTION('ref_axis',(1.,0.,0.)); #387849=DIRECTION('center_axis',(0.,0.,1.)); #387850=DIRECTION('ref_axis',(1.,0.,0.)); #387851=DIRECTION('center_axis',(0.,0.,1.)); #387852=DIRECTION('ref_axis',(1.,0.,0.)); #387853=DIRECTION('center_axis',(0.,0.,-1.)); #387854=DIRECTION('ref_axis',(1.,0.,0.)); #387855=DIRECTION('',(0.,0.,-1.)); #387856=DIRECTION('center_axis',(0.,0.,1.)); #387857=DIRECTION('ref_axis',(1.,0.,0.)); #387858=DIRECTION('center_axis',(0.,0.,1.)); #387859=DIRECTION('ref_axis',(1.,0.,0.)); #387860=DIRECTION('center_axis',(0.,0.,1.)); #387861=DIRECTION('ref_axis',(1.,0.,0.)); #387862=DIRECTION('',(0.,0.,-1.)); #387863=DIRECTION('center_axis',(0.,0.,1.)); #387864=DIRECTION('ref_axis',(1.,0.,0.)); #387865=DIRECTION('center_axis',(0.,0.,1.)); #387866=DIRECTION('ref_axis',(1.,0.,0.)); #387867=DIRECTION('center_axis',(0.,0.,1.)); #387868=DIRECTION('ref_axis',(1.,0.,0.)); #387869=DIRECTION('center_axis',(0.,0.,1.)); #387870=DIRECTION('ref_axis',(1.,0.,0.)); #387871=DIRECTION('center_axis',(0.,0.,-1.)); #387872=DIRECTION('ref_axis',(1.,0.,0.)); #387873=DIRECTION('',(0.,0.,-1.)); #387874=DIRECTION('center_axis',(0.,0.,1.)); #387875=DIRECTION('ref_axis',(1.,0.,0.)); #387876=DIRECTION('center_axis',(0.,0.,1.)); #387877=DIRECTION('ref_axis',(1.,0.,0.)); #387878=DIRECTION('center_axis',(0.,0.,1.)); #387879=DIRECTION('ref_axis',(1.,0.,0.)); #387880=DIRECTION('',(0.,0.,-1.)); #387881=DIRECTION('center_axis',(0.,0.,1.)); #387882=DIRECTION('ref_axis',(1.,0.,0.)); #387883=DIRECTION('center_axis',(0.,0.,1.)); #387884=DIRECTION('ref_axis',(1.,0.,0.)); #387885=DIRECTION('center_axis',(0.,0.,1.)); #387886=DIRECTION('ref_axis',(1.,0.,0.)); #387887=DIRECTION('center_axis',(0.,0.,1.)); #387888=DIRECTION('ref_axis',(1.,0.,0.)); #387889=DIRECTION('center_axis',(0.,0.,-1.)); #387890=DIRECTION('ref_axis',(1.,0.,0.)); #387891=DIRECTION('',(0.,0.,-1.)); #387892=DIRECTION('center_axis',(0.,0.,1.)); #387893=DIRECTION('ref_axis',(1.,0.,0.)); #387894=DIRECTION('center_axis',(0.,0.,1.)); #387895=DIRECTION('ref_axis',(1.,0.,0.)); #387896=DIRECTION('center_axis',(0.,0.,1.)); #387897=DIRECTION('ref_axis',(1.,0.,0.)); #387898=DIRECTION('',(0.,0.,-1.)); #387899=DIRECTION('center_axis',(0.,0.,1.)); #387900=DIRECTION('ref_axis',(1.,0.,0.)); #387901=DIRECTION('center_axis',(0.,0.,1.)); #387902=DIRECTION('ref_axis',(1.,0.,0.)); #387903=DIRECTION('center_axis',(0.,0.,1.)); #387904=DIRECTION('ref_axis',(1.,0.,0.)); #387905=DIRECTION('center_axis',(0.,0.,1.)); #387906=DIRECTION('ref_axis',(1.,0.,0.)); #387907=DIRECTION('center_axis',(0.,0.,-1.)); #387908=DIRECTION('ref_axis',(1.,0.,0.)); #387909=DIRECTION('',(0.,0.,-1.)); #387910=DIRECTION('center_axis',(0.,0.,1.)); #387911=DIRECTION('ref_axis',(1.,0.,0.)); #387912=DIRECTION('center_axis',(0.,0.,1.)); #387913=DIRECTION('ref_axis',(1.,0.,0.)); #387914=DIRECTION('center_axis',(0.,0.,1.)); #387915=DIRECTION('ref_axis',(1.,0.,0.)); #387916=DIRECTION('',(0.,0.,-1.)); #387917=DIRECTION('center_axis',(0.,0.,1.)); #387918=DIRECTION('ref_axis',(1.,0.,0.)); #387919=DIRECTION('center_axis',(0.,0.,1.)); #387920=DIRECTION('ref_axis',(1.,0.,0.)); #387921=DIRECTION('center_axis',(0.,0.,1.)); #387922=DIRECTION('ref_axis',(1.,0.,0.)); #387923=DIRECTION('center_axis',(0.,0.,1.)); #387924=DIRECTION('ref_axis',(1.,0.,0.)); #387925=DIRECTION('center_axis',(0.,0.,-1.)); #387926=DIRECTION('ref_axis',(1.,0.,0.)); #387927=DIRECTION('',(0.,0.,-1.)); #387928=DIRECTION('center_axis',(0.,0.,1.)); #387929=DIRECTION('ref_axis',(1.,0.,0.)); #387930=DIRECTION('center_axis',(0.,0.,1.)); #387931=DIRECTION('ref_axis',(1.,0.,0.)); #387932=DIRECTION('center_axis',(0.,0.,1.)); #387933=DIRECTION('ref_axis',(1.,0.,0.)); #387934=DIRECTION('',(0.,0.,-1.)); #387935=DIRECTION('center_axis',(0.,0.,1.)); #387936=DIRECTION('ref_axis',(1.,0.,0.)); #387937=DIRECTION('center_axis',(0.,0.,1.)); #387938=DIRECTION('ref_axis',(1.,0.,0.)); #387939=DIRECTION('center_axis',(0.,0.,1.)); #387940=DIRECTION('ref_axis',(1.,0.,0.)); #387941=DIRECTION('center_axis',(0.,0.,1.)); #387942=DIRECTION('ref_axis',(1.,0.,0.)); #387943=DIRECTION('center_axis',(0.,0.,-1.)); #387944=DIRECTION('ref_axis',(1.,0.,0.)); #387945=DIRECTION('',(0.,0.,-1.)); #387946=DIRECTION('center_axis',(0.,0.,1.)); #387947=DIRECTION('ref_axis',(1.,0.,0.)); #387948=DIRECTION('center_axis',(0.,0.,1.)); #387949=DIRECTION('ref_axis',(1.,0.,0.)); #387950=DIRECTION('center_axis',(0.,0.,1.)); #387951=DIRECTION('ref_axis',(1.,0.,0.)); #387952=DIRECTION('',(0.,0.,-1.)); #387953=DIRECTION('center_axis',(0.,0.,1.)); #387954=DIRECTION('ref_axis',(1.,0.,0.)); #387955=DIRECTION('center_axis',(0.,0.,1.)); #387956=DIRECTION('ref_axis',(1.,0.,0.)); #387957=DIRECTION('center_axis',(0.,0.,1.)); #387958=DIRECTION('ref_axis',(1.,0.,0.)); #387959=DIRECTION('center_axis',(0.,0.,1.)); #387960=DIRECTION('ref_axis',(1.,0.,0.)); #387961=DIRECTION('center_axis',(0.,0.,-1.)); #387962=DIRECTION('ref_axis',(1.,0.,0.)); #387963=DIRECTION('',(0.,0.,-1.)); #387964=DIRECTION('center_axis',(0.,0.,1.)); #387965=DIRECTION('ref_axis',(1.,0.,0.)); #387966=DIRECTION('center_axis',(0.,0.,1.)); #387967=DIRECTION('ref_axis',(1.,0.,0.)); #387968=DIRECTION('center_axis',(0.,0.,1.)); #387969=DIRECTION('ref_axis',(1.,0.,0.)); #387970=DIRECTION('',(0.,0.,-1.)); #387971=DIRECTION('center_axis',(0.,0.,1.)); #387972=DIRECTION('ref_axis',(1.,0.,0.)); #387973=DIRECTION('center_axis',(0.,0.,1.)); #387974=DIRECTION('ref_axis',(1.,0.,0.)); #387975=DIRECTION('center_axis',(0.,0.,1.)); #387976=DIRECTION('ref_axis',(1.,0.,0.)); #387977=DIRECTION('center_axis',(0.,0.,1.)); #387978=DIRECTION('ref_axis',(1.,0.,0.)); #387979=DIRECTION('center_axis',(0.,0.,-1.)); #387980=DIRECTION('ref_axis',(1.,0.,0.)); #387981=DIRECTION('',(0.,0.,-1.)); #387982=DIRECTION('center_axis',(0.,0.,1.)); #387983=DIRECTION('ref_axis',(1.,0.,0.)); #387984=DIRECTION('center_axis',(0.,0.,1.)); #387985=DIRECTION('ref_axis',(1.,0.,0.)); #387986=DIRECTION('center_axis',(0.,0.,1.)); #387987=DIRECTION('ref_axis',(1.,0.,0.)); #387988=DIRECTION('',(0.,0.,-1.)); #387989=DIRECTION('center_axis',(0.,0.,1.)); #387990=DIRECTION('ref_axis',(1.,0.,0.)); #387991=DIRECTION('center_axis',(0.,0.,1.)); #387992=DIRECTION('ref_axis',(1.,0.,0.)); #387993=DIRECTION('center_axis',(0.,0.,1.)); #387994=DIRECTION('ref_axis',(1.,0.,0.)); #387995=DIRECTION('center_axis',(0.,0.,1.)); #387996=DIRECTION('ref_axis',(1.,0.,0.)); #387997=DIRECTION('center_axis',(0.,0.,-1.)); #387998=DIRECTION('ref_axis',(1.,0.,0.)); #387999=DIRECTION('',(0.,0.,-1.)); #388000=DIRECTION('center_axis',(0.,0.,1.)); #388001=DIRECTION('ref_axis',(1.,0.,0.)); #388002=DIRECTION('center_axis',(0.,0.,1.)); #388003=DIRECTION('ref_axis',(1.,0.,0.)); #388004=DIRECTION('center_axis',(0.,0.,1.)); #388005=DIRECTION('ref_axis',(1.,0.,0.)); #388006=DIRECTION('',(0.,0.,-1.)); #388007=DIRECTION('center_axis',(0.,0.,1.)); #388008=DIRECTION('ref_axis',(1.,0.,0.)); #388009=DIRECTION('center_axis',(0.,0.,1.)); #388010=DIRECTION('ref_axis',(1.,0.,0.)); #388011=DIRECTION('center_axis',(0.,0.,1.)); #388012=DIRECTION('ref_axis',(1.,0.,0.)); #388013=DIRECTION('center_axis',(0.,0.,1.)); #388014=DIRECTION('ref_axis',(1.,0.,0.)); #388015=DIRECTION('center_axis',(0.,0.,-1.)); #388016=DIRECTION('ref_axis',(1.,0.,0.)); #388017=DIRECTION('',(0.,0.,-1.)); #388018=DIRECTION('center_axis',(0.,0.,1.)); #388019=DIRECTION('ref_axis',(1.,0.,0.)); #388020=DIRECTION('center_axis',(0.,0.,1.)); #388021=DIRECTION('ref_axis',(1.,0.,0.)); #388022=DIRECTION('center_axis',(0.,0.,1.)); #388023=DIRECTION('ref_axis',(1.,0.,0.)); #388024=DIRECTION('',(0.,0.,-1.)); #388025=DIRECTION('center_axis',(0.,0.,1.)); #388026=DIRECTION('ref_axis',(1.,0.,0.)); #388027=DIRECTION('center_axis',(0.,0.,1.)); #388028=DIRECTION('ref_axis',(1.,0.,0.)); #388029=DIRECTION('center_axis',(0.,0.,1.)); #388030=DIRECTION('ref_axis',(1.,0.,0.)); #388031=DIRECTION('center_axis',(0.,0.,1.)); #388032=DIRECTION('ref_axis',(1.,0.,0.)); #388033=DIRECTION('center_axis',(0.,0.,-1.)); #388034=DIRECTION('ref_axis',(1.,0.,0.)); #388035=DIRECTION('',(0.,0.,-1.)); #388036=DIRECTION('center_axis',(0.,0.,1.)); #388037=DIRECTION('ref_axis',(1.,0.,0.)); #388038=DIRECTION('center_axis',(0.,0.,1.)); #388039=DIRECTION('ref_axis',(1.,0.,0.)); #388040=DIRECTION('center_axis',(0.,0.,1.)); #388041=DIRECTION('ref_axis',(1.,0.,0.)); #388042=DIRECTION('',(0.,0.,-1.)); #388043=DIRECTION('center_axis',(0.,0.,1.)); #388044=DIRECTION('ref_axis',(1.,0.,0.)); #388045=DIRECTION('center_axis',(0.,0.,1.)); #388046=DIRECTION('ref_axis',(1.,0.,0.)); #388047=DIRECTION('center_axis',(0.,0.,1.)); #388048=DIRECTION('ref_axis',(1.,0.,0.)); #388049=DIRECTION('center_axis',(0.,0.,1.)); #388050=DIRECTION('ref_axis',(1.,0.,0.)); #388051=DIRECTION('center_axis',(0.,0.,-1.)); #388052=DIRECTION('ref_axis',(1.,0.,0.)); #388053=DIRECTION('',(0.,0.,-1.)); #388054=DIRECTION('center_axis',(0.,0.,1.)); #388055=DIRECTION('ref_axis',(1.,0.,0.)); #388056=DIRECTION('center_axis',(0.,0.,1.)); #388057=DIRECTION('ref_axis',(1.,0.,0.)); #388058=DIRECTION('center_axis',(0.,0.,1.)); #388059=DIRECTION('ref_axis',(1.,0.,0.)); #388060=DIRECTION('',(0.,0.,-1.)); #388061=DIRECTION('center_axis',(0.,0.,1.)); #388062=DIRECTION('ref_axis',(1.,0.,0.)); #388063=DIRECTION('center_axis',(0.,0.,1.)); #388064=DIRECTION('ref_axis',(1.,0.,0.)); #388065=DIRECTION('center_axis',(0.,0.,1.)); #388066=DIRECTION('ref_axis',(1.,0.,0.)); #388067=DIRECTION('center_axis',(0.,0.,1.)); #388068=DIRECTION('ref_axis',(1.,0.,0.)); #388069=DIRECTION('center_axis',(0.,0.,-1.)); #388070=DIRECTION('ref_axis',(1.,0.,0.)); #388071=DIRECTION('',(0.,0.,-1.)); #388072=DIRECTION('center_axis',(0.,0.,1.)); #388073=DIRECTION('ref_axis',(1.,0.,0.)); #388074=DIRECTION('center_axis',(0.,0.,1.)); #388075=DIRECTION('ref_axis',(1.,0.,0.)); #388076=DIRECTION('center_axis',(0.,0.,1.)); #388077=DIRECTION('ref_axis',(1.,0.,0.)); #388078=DIRECTION('',(0.,0.,-1.)); #388079=DIRECTION('center_axis',(0.,0.,1.)); #388080=DIRECTION('ref_axis',(1.,0.,0.)); #388081=DIRECTION('center_axis',(0.,0.,1.)); #388082=DIRECTION('ref_axis',(1.,0.,0.)); #388083=DIRECTION('center_axis',(0.,0.,1.)); #388084=DIRECTION('ref_axis',(1.,0.,0.)); #388085=DIRECTION('center_axis',(0.,0.,1.)); #388086=DIRECTION('ref_axis',(1.,0.,0.)); #388087=DIRECTION('center_axis',(0.,0.,-1.)); #388088=DIRECTION('ref_axis',(1.,0.,0.)); #388089=DIRECTION('',(0.,0.,-1.)); #388090=DIRECTION('center_axis',(0.,0.,1.)); #388091=DIRECTION('ref_axis',(1.,0.,0.)); #388092=DIRECTION('center_axis',(0.,0.,1.)); #388093=DIRECTION('ref_axis',(1.,0.,0.)); #388094=DIRECTION('center_axis',(0.,0.,1.)); #388095=DIRECTION('ref_axis',(1.,0.,0.)); #388096=DIRECTION('',(0.,0.,-1.)); #388097=DIRECTION('center_axis',(0.,0.,1.)); #388098=DIRECTION('ref_axis',(1.,0.,0.)); #388099=DIRECTION('center_axis',(0.,0.,1.)); #388100=DIRECTION('ref_axis',(1.,0.,0.)); #388101=DIRECTION('center_axis',(0.,0.,1.)); #388102=DIRECTION('ref_axis',(1.,0.,0.)); #388103=DIRECTION('center_axis',(0.,0.,1.)); #388104=DIRECTION('ref_axis',(1.,0.,0.)); #388105=DIRECTION('center_axis',(0.,0.,-1.)); #388106=DIRECTION('ref_axis',(1.,0.,0.)); #388107=DIRECTION('',(0.,0.,-1.)); #388108=DIRECTION('center_axis',(0.,0.,1.)); #388109=DIRECTION('ref_axis',(1.,0.,0.)); #388110=DIRECTION('center_axis',(0.,0.,1.)); #388111=DIRECTION('ref_axis',(1.,0.,0.)); #388112=DIRECTION('center_axis',(0.,0.,1.)); #388113=DIRECTION('ref_axis',(1.,0.,0.)); #388114=DIRECTION('',(0.,0.,-1.)); #388115=DIRECTION('center_axis',(0.,0.,1.)); #388116=DIRECTION('ref_axis',(1.,0.,0.)); #388117=DIRECTION('center_axis',(0.,0.,1.)); #388118=DIRECTION('ref_axis',(1.,0.,0.)); #388119=DIRECTION('center_axis',(0.,0.,1.)); #388120=DIRECTION('ref_axis',(1.,0.,0.)); #388121=DIRECTION('center_axis',(0.,0.,1.)); #388122=DIRECTION('ref_axis',(1.,0.,0.)); #388123=DIRECTION('center_axis',(0.,0.,-1.)); #388124=DIRECTION('ref_axis',(1.,0.,0.)); #388125=DIRECTION('',(0.,0.,-1.)); #388126=DIRECTION('center_axis',(0.,0.,1.)); #388127=DIRECTION('ref_axis',(1.,0.,0.)); #388128=DIRECTION('center_axis',(0.,0.,1.)); #388129=DIRECTION('ref_axis',(1.,0.,0.)); #388130=DIRECTION('center_axis',(0.,0.,1.)); #388131=DIRECTION('ref_axis',(1.,0.,0.)); #388132=DIRECTION('',(0.,0.,-1.)); #388133=DIRECTION('center_axis',(0.,0.,1.)); #388134=DIRECTION('ref_axis',(1.,0.,0.)); #388135=DIRECTION('center_axis',(0.,0.,1.)); #388136=DIRECTION('ref_axis',(1.,0.,0.)); #388137=DIRECTION('center_axis',(0.,0.,1.)); #388138=DIRECTION('ref_axis',(1.,0.,0.)); #388139=DIRECTION('center_axis',(0.,0.,1.)); #388140=DIRECTION('ref_axis',(1.,0.,0.)); #388141=DIRECTION('center_axis',(0.,0.,-1.)); #388142=DIRECTION('ref_axis',(1.,0.,0.)); #388143=DIRECTION('',(0.,0.,-1.)); #388144=DIRECTION('center_axis',(0.,0.,1.)); #388145=DIRECTION('ref_axis',(1.,0.,0.)); #388146=DIRECTION('center_axis',(0.,0.,1.)); #388147=DIRECTION('ref_axis',(1.,0.,0.)); #388148=DIRECTION('center_axis',(0.,0.,1.)); #388149=DIRECTION('ref_axis',(1.,0.,0.)); #388150=DIRECTION('',(0.,0.,-1.)); #388151=DIRECTION('center_axis',(0.,0.,1.)); #388152=DIRECTION('ref_axis',(1.,0.,0.)); #388153=DIRECTION('center_axis',(0.,0.,1.)); #388154=DIRECTION('ref_axis',(1.,0.,0.)); #388155=DIRECTION('center_axis',(0.,0.,1.)); #388156=DIRECTION('ref_axis',(1.,0.,0.)); #388157=DIRECTION('center_axis',(0.,0.,1.)); #388158=DIRECTION('ref_axis',(1.,0.,0.)); #388159=DIRECTION('center_axis',(0.,0.,-1.)); #388160=DIRECTION('ref_axis',(1.,0.,0.)); #388161=DIRECTION('',(0.,0.,-1.)); #388162=DIRECTION('center_axis',(0.,0.,1.)); #388163=DIRECTION('ref_axis',(1.,0.,0.)); #388164=DIRECTION('center_axis',(0.,0.,1.)); #388165=DIRECTION('ref_axis',(1.,0.,0.)); #388166=DIRECTION('center_axis',(0.,0.,1.)); #388167=DIRECTION('ref_axis',(1.,0.,0.)); #388168=DIRECTION('',(0.,0.,-1.)); #388169=DIRECTION('center_axis',(0.,0.,1.)); #388170=DIRECTION('ref_axis',(1.,0.,0.)); #388171=DIRECTION('center_axis',(0.,0.,1.)); #388172=DIRECTION('ref_axis',(1.,0.,0.)); #388173=DIRECTION('center_axis',(0.,0.,1.)); #388174=DIRECTION('ref_axis',(1.,0.,0.)); #388175=DIRECTION('center_axis',(0.,0.,1.)); #388176=DIRECTION('ref_axis',(1.,0.,0.)); #388177=DIRECTION('center_axis',(0.,0.,-1.)); #388178=DIRECTION('ref_axis',(1.,0.,0.)); #388179=DIRECTION('',(0.,0.,-1.)); #388180=DIRECTION('center_axis',(0.,0.,1.)); #388181=DIRECTION('ref_axis',(1.,0.,0.)); #388182=DIRECTION('center_axis',(0.,0.,1.)); #388183=DIRECTION('ref_axis',(1.,0.,0.)); #388184=DIRECTION('center_axis',(0.,0.,1.)); #388185=DIRECTION('ref_axis',(1.,0.,0.)); #388186=DIRECTION('',(0.,0.,-1.)); #388187=DIRECTION('center_axis',(0.,0.,1.)); #388188=DIRECTION('ref_axis',(1.,0.,0.)); #388189=DIRECTION('center_axis',(0.,0.,1.)); #388190=DIRECTION('ref_axis',(1.,0.,0.)); #388191=DIRECTION('center_axis',(0.,0.,1.)); #388192=DIRECTION('ref_axis',(1.,0.,0.)); #388193=DIRECTION('center_axis',(0.,0.,1.)); #388194=DIRECTION('ref_axis',(1.,0.,0.)); #388195=DIRECTION('center_axis',(0.,0.,-1.)); #388196=DIRECTION('ref_axis',(1.,0.,0.)); #388197=DIRECTION('',(0.,0.,-1.)); #388198=DIRECTION('center_axis',(0.,0.,1.)); #388199=DIRECTION('ref_axis',(1.,0.,0.)); #388200=DIRECTION('center_axis',(0.,0.,1.)); #388201=DIRECTION('ref_axis',(1.,0.,0.)); #388202=DIRECTION('center_axis',(0.,0.,1.)); #388203=DIRECTION('ref_axis',(1.,0.,0.)); #388204=DIRECTION('',(0.,0.,-1.)); #388205=DIRECTION('center_axis',(0.,0.,1.)); #388206=DIRECTION('ref_axis',(1.,0.,0.)); #388207=DIRECTION('center_axis',(0.,0.,1.)); #388208=DIRECTION('ref_axis',(1.,0.,0.)); #388209=DIRECTION('center_axis',(0.,0.,1.)); #388210=DIRECTION('ref_axis',(1.,0.,0.)); #388211=DIRECTION('center_axis',(0.,0.,1.)); #388212=DIRECTION('ref_axis',(1.,0.,0.)); #388213=DIRECTION('center_axis',(0.,0.,-1.)); #388214=DIRECTION('ref_axis',(1.,0.,0.)); #388215=DIRECTION('',(0.,0.,-1.)); #388216=DIRECTION('center_axis',(0.,0.,1.)); #388217=DIRECTION('ref_axis',(1.,0.,0.)); #388218=DIRECTION('center_axis',(0.,0.,1.)); #388219=DIRECTION('ref_axis',(1.,0.,0.)); #388220=DIRECTION('center_axis',(0.,0.,1.)); #388221=DIRECTION('ref_axis',(1.,0.,0.)); #388222=DIRECTION('',(0.,0.,-1.)); #388223=DIRECTION('center_axis',(0.,0.,1.)); #388224=DIRECTION('ref_axis',(1.,0.,0.)); #388225=DIRECTION('center_axis',(0.,0.,1.)); #388226=DIRECTION('ref_axis',(1.,0.,0.)); #388227=DIRECTION('center_axis',(0.,0.,1.)); #388228=DIRECTION('ref_axis',(1.,0.,0.)); #388229=DIRECTION('center_axis',(0.,0.,1.)); #388230=DIRECTION('ref_axis',(1.,0.,0.)); #388231=DIRECTION('center_axis',(0.,0.,-1.)); #388232=DIRECTION('ref_axis',(1.,0.,0.)); #388233=DIRECTION('',(0.,0.,-1.)); #388234=DIRECTION('center_axis',(0.,0.,1.)); #388235=DIRECTION('ref_axis',(1.,0.,0.)); #388236=DIRECTION('center_axis',(0.,0.,1.)); #388237=DIRECTION('ref_axis',(1.,0.,0.)); #388238=DIRECTION('center_axis',(0.,0.,1.)); #388239=DIRECTION('ref_axis',(1.,0.,0.)); #388240=DIRECTION('',(0.,0.,-1.)); #388241=DIRECTION('center_axis',(0.,0.,1.)); #388242=DIRECTION('ref_axis',(1.,0.,0.)); #388243=DIRECTION('center_axis',(0.,0.,1.)); #388244=DIRECTION('ref_axis',(1.,0.,0.)); #388245=DIRECTION('center_axis',(0.,0.,1.)); #388246=DIRECTION('ref_axis',(1.,0.,0.)); #388247=DIRECTION('center_axis',(0.,0.,1.)); #388248=DIRECTION('ref_axis',(1.,0.,0.)); #388249=DIRECTION('center_axis',(0.,0.,-1.)); #388250=DIRECTION('ref_axis',(1.,0.,0.)); #388251=DIRECTION('',(0.,0.,-1.)); #388252=DIRECTION('center_axis',(0.,0.,1.)); #388253=DIRECTION('ref_axis',(1.,0.,0.)); #388254=DIRECTION('center_axis',(0.,0.,1.)); #388255=DIRECTION('ref_axis',(1.,0.,0.)); #388256=DIRECTION('center_axis',(0.,0.,1.)); #388257=DIRECTION('ref_axis',(1.,0.,0.)); #388258=DIRECTION('',(0.,0.,-1.)); #388259=DIRECTION('center_axis',(0.,0.,1.)); #388260=DIRECTION('ref_axis',(1.,0.,0.)); #388261=DIRECTION('center_axis',(0.,0.,1.)); #388262=DIRECTION('ref_axis',(1.,0.,0.)); #388263=DIRECTION('center_axis',(0.,0.,1.)); #388264=DIRECTION('ref_axis',(1.,0.,0.)); #388265=DIRECTION('center_axis',(0.,0.,1.)); #388266=DIRECTION('ref_axis',(1.,0.,0.)); #388267=DIRECTION('center_axis',(0.,0.,-1.)); #388268=DIRECTION('ref_axis',(1.,0.,0.)); #388269=DIRECTION('',(0.,0.,-1.)); #388270=DIRECTION('center_axis',(0.,0.,1.)); #388271=DIRECTION('ref_axis',(1.,0.,0.)); #388272=DIRECTION('center_axis',(0.,0.,1.)); #388273=DIRECTION('ref_axis',(1.,0.,0.)); #388274=DIRECTION('center_axis',(0.,0.,1.)); #388275=DIRECTION('ref_axis',(1.,0.,0.)); #388276=DIRECTION('',(0.,0.,-1.)); #388277=DIRECTION('center_axis',(0.,0.,1.)); #388278=DIRECTION('ref_axis',(1.,0.,0.)); #388279=DIRECTION('center_axis',(0.,0.,1.)); #388280=DIRECTION('ref_axis',(1.,0.,0.)); #388281=DIRECTION('center_axis',(0.,0.,1.)); #388282=DIRECTION('ref_axis',(1.,0.,0.)); #388283=DIRECTION('center_axis',(0.,0.,1.)); #388284=DIRECTION('ref_axis',(1.,0.,0.)); #388285=DIRECTION('center_axis',(0.,0.,-1.)); #388286=DIRECTION('ref_axis',(1.,0.,0.)); #388287=DIRECTION('',(0.,0.,-1.)); #388288=DIRECTION('center_axis',(0.,0.,1.)); #388289=DIRECTION('ref_axis',(1.,0.,0.)); #388290=DIRECTION('center_axis',(0.,0.,1.)); #388291=DIRECTION('ref_axis',(1.,0.,0.)); #388292=DIRECTION('center_axis',(0.,0.,1.)); #388293=DIRECTION('ref_axis',(1.,0.,0.)); #388294=DIRECTION('',(0.,0.,-1.)); #388295=DIRECTION('center_axis',(0.,0.,1.)); #388296=DIRECTION('ref_axis',(1.,0.,0.)); #388297=DIRECTION('center_axis',(0.,0.,1.)); #388298=DIRECTION('ref_axis',(1.,0.,0.)); #388299=DIRECTION('center_axis',(0.,0.,1.)); #388300=DIRECTION('ref_axis',(1.,0.,0.)); #388301=DIRECTION('center_axis',(0.,0.,1.)); #388302=DIRECTION('ref_axis',(1.,0.,0.)); #388303=DIRECTION('center_axis',(0.,0.,-1.)); #388304=DIRECTION('ref_axis',(1.,0.,0.)); #388305=DIRECTION('',(0.,0.,-1.)); #388306=DIRECTION('center_axis',(0.,0.,1.)); #388307=DIRECTION('ref_axis',(1.,0.,0.)); #388308=DIRECTION('center_axis',(0.,0.,1.)); #388309=DIRECTION('ref_axis',(1.,0.,0.)); #388310=DIRECTION('center_axis',(0.,0.,1.)); #388311=DIRECTION('ref_axis',(1.,0.,0.)); #388312=DIRECTION('',(0.,0.,-1.)); #388313=DIRECTION('center_axis',(0.,0.,1.)); #388314=DIRECTION('ref_axis',(1.,0.,0.)); #388315=DIRECTION('center_axis',(0.,0.,1.)); #388316=DIRECTION('ref_axis',(1.,0.,0.)); #388317=DIRECTION('center_axis',(0.,0.,1.)); #388318=DIRECTION('ref_axis',(1.,0.,0.)); #388319=DIRECTION('center_axis',(0.,0.,1.)); #388320=DIRECTION('ref_axis',(1.,0.,0.)); #388321=DIRECTION('center_axis',(0.,0.,-1.)); #388322=DIRECTION('ref_axis',(1.,0.,0.)); #388323=DIRECTION('',(0.,0.,-1.)); #388324=DIRECTION('center_axis',(0.,0.,1.)); #388325=DIRECTION('ref_axis',(1.,0.,0.)); #388326=DIRECTION('center_axis',(0.,0.,1.)); #388327=DIRECTION('ref_axis',(1.,0.,0.)); #388328=DIRECTION('center_axis',(0.,0.,1.)); #388329=DIRECTION('ref_axis',(1.,0.,0.)); #388330=DIRECTION('',(0.,0.,-1.)); #388331=DIRECTION('center_axis',(0.,0.,1.)); #388332=DIRECTION('ref_axis',(1.,0.,0.)); #388333=DIRECTION('center_axis',(0.,0.,1.)); #388334=DIRECTION('ref_axis',(1.,0.,0.)); #388335=DIRECTION('center_axis',(0.,0.,1.)); #388336=DIRECTION('ref_axis',(1.,0.,0.)); #388337=DIRECTION('center_axis',(0.,0.,1.)); #388338=DIRECTION('ref_axis',(1.,0.,0.)); #388339=DIRECTION('center_axis',(0.,0.,-1.)); #388340=DIRECTION('ref_axis',(1.,0.,0.)); #388341=DIRECTION('',(0.,0.,-1.)); #388342=DIRECTION('center_axis',(0.,0.,1.)); #388343=DIRECTION('ref_axis',(1.,0.,0.)); #388344=DIRECTION('center_axis',(0.,0.,1.)); #388345=DIRECTION('ref_axis',(1.,0.,0.)); #388346=DIRECTION('center_axis',(0.,0.,1.)); #388347=DIRECTION('ref_axis',(1.,0.,0.)); #388348=DIRECTION('',(0.,0.,-1.)); #388349=DIRECTION('center_axis',(0.,0.,1.)); #388350=DIRECTION('ref_axis',(1.,0.,0.)); #388351=DIRECTION('center_axis',(0.,0.,1.)); #388352=DIRECTION('ref_axis',(1.,0.,0.)); #388353=DIRECTION('center_axis',(0.,0.,1.)); #388354=DIRECTION('ref_axis',(1.,0.,0.)); #388355=DIRECTION('center_axis',(0.,0.,1.)); #388356=DIRECTION('ref_axis',(1.,0.,0.)); #388357=DIRECTION('center_axis',(0.,0.,-1.)); #388358=DIRECTION('ref_axis',(1.,0.,0.)); #388359=DIRECTION('',(0.,0.,-1.)); #388360=DIRECTION('center_axis',(0.,0.,1.)); #388361=DIRECTION('ref_axis',(1.,0.,0.)); #388362=DIRECTION('center_axis',(0.,0.,1.)); #388363=DIRECTION('ref_axis',(1.,0.,0.)); #388364=DIRECTION('center_axis',(0.,0.,1.)); #388365=DIRECTION('ref_axis',(1.,0.,0.)); #388366=DIRECTION('',(0.,0.,-1.)); #388367=DIRECTION('center_axis',(0.,0.,1.)); #388368=DIRECTION('ref_axis',(1.,0.,0.)); #388369=DIRECTION('center_axis',(0.,0.,1.)); #388370=DIRECTION('ref_axis',(1.,0.,0.)); #388371=DIRECTION('center_axis',(0.,0.,1.)); #388372=DIRECTION('ref_axis',(1.,0.,0.)); #388373=DIRECTION('center_axis',(0.,0.,1.)); #388374=DIRECTION('ref_axis',(1.,0.,0.)); #388375=DIRECTION('center_axis',(0.,0.,-1.)); #388376=DIRECTION('ref_axis',(1.,0.,0.)); #388377=DIRECTION('',(0.,0.,-1.)); #388378=DIRECTION('center_axis',(0.,0.,1.)); #388379=DIRECTION('ref_axis',(1.,0.,0.)); #388380=DIRECTION('center_axis',(0.,0.,1.)); #388381=DIRECTION('ref_axis',(1.,0.,0.)); #388382=DIRECTION('center_axis',(0.,0.,1.)); #388383=DIRECTION('ref_axis',(1.,0.,0.)); #388384=DIRECTION('',(0.,0.,-1.)); #388385=DIRECTION('center_axis',(0.,0.,1.)); #388386=DIRECTION('ref_axis',(1.,0.,0.)); #388387=DIRECTION('center_axis',(0.,0.,1.)); #388388=DIRECTION('ref_axis',(1.,0.,0.)); #388389=DIRECTION('center_axis',(0.,0.,1.)); #388390=DIRECTION('ref_axis',(1.,0.,0.)); #388391=DIRECTION('center_axis',(0.,0.,1.)); #388392=DIRECTION('ref_axis',(1.,0.,0.)); #388393=DIRECTION('center_axis',(0.,0.,-1.)); #388394=DIRECTION('ref_axis',(1.,0.,0.)); #388395=DIRECTION('',(0.,0.,-1.)); #388396=DIRECTION('center_axis',(0.,0.,1.)); #388397=DIRECTION('ref_axis',(1.,0.,0.)); #388398=DIRECTION('center_axis',(0.,0.,1.)); #388399=DIRECTION('ref_axis',(1.,0.,0.)); #388400=DIRECTION('center_axis',(0.,0.,1.)); #388401=DIRECTION('ref_axis',(1.,0.,0.)); #388402=DIRECTION('',(0.,0.,-1.)); #388403=DIRECTION('center_axis',(0.,0.,1.)); #388404=DIRECTION('ref_axis',(1.,0.,0.)); #388405=DIRECTION('center_axis',(0.,0.,1.)); #388406=DIRECTION('ref_axis',(1.,0.,0.)); #388407=DIRECTION('center_axis',(0.,0.,1.)); #388408=DIRECTION('ref_axis',(1.,0.,0.)); #388409=DIRECTION('center_axis',(0.,0.,1.)); #388410=DIRECTION('ref_axis',(1.,0.,0.)); #388411=DIRECTION('center_axis',(0.,0.,-1.)); #388412=DIRECTION('ref_axis',(1.,0.,0.)); #388413=DIRECTION('',(0.,0.,-1.)); #388414=DIRECTION('center_axis',(0.,0.,1.)); #388415=DIRECTION('ref_axis',(1.,0.,0.)); #388416=DIRECTION('center_axis',(0.,0.,1.)); #388417=DIRECTION('ref_axis',(1.,0.,0.)); #388418=DIRECTION('center_axis',(0.,0.,1.)); #388419=DIRECTION('ref_axis',(1.,0.,0.)); #388420=DIRECTION('',(0.,0.,-1.)); #388421=DIRECTION('center_axis',(0.,0.,1.)); #388422=DIRECTION('ref_axis',(1.,0.,0.)); #388423=DIRECTION('center_axis',(0.,0.,1.)); #388424=DIRECTION('ref_axis',(1.,0.,0.)); #388425=DIRECTION('center_axis',(0.,0.,1.)); #388426=DIRECTION('ref_axis',(1.,0.,0.)); #388427=DIRECTION('center_axis',(0.,0.,1.)); #388428=DIRECTION('ref_axis',(1.,0.,0.)); #388429=DIRECTION('center_axis',(0.,0.,-1.)); #388430=DIRECTION('ref_axis',(1.,0.,0.)); #388431=DIRECTION('',(0.,0.,-1.)); #388432=DIRECTION('center_axis',(0.,0.,1.)); #388433=DIRECTION('ref_axis',(1.,0.,0.)); #388434=DIRECTION('center_axis',(0.,0.,1.)); #388435=DIRECTION('ref_axis',(1.,0.,0.)); #388436=DIRECTION('center_axis',(0.,0.,1.)); #388437=DIRECTION('ref_axis',(1.,0.,0.)); #388438=DIRECTION('',(0.,0.,-1.)); #388439=DIRECTION('center_axis',(0.,0.,1.)); #388440=DIRECTION('ref_axis',(1.,0.,0.)); #388441=DIRECTION('center_axis',(0.,0.,1.)); #388442=DIRECTION('ref_axis',(1.,0.,0.)); #388443=DIRECTION('center_axis',(0.,0.,1.)); #388444=DIRECTION('ref_axis',(1.,0.,0.)); #388445=DIRECTION('center_axis',(0.,0.,1.)); #388446=DIRECTION('ref_axis',(1.,0.,0.)); #388447=DIRECTION('center_axis',(0.,0.,-1.)); #388448=DIRECTION('ref_axis',(1.,0.,0.)); #388449=DIRECTION('',(0.,0.,-1.)); #388450=DIRECTION('center_axis',(0.,0.,1.)); #388451=DIRECTION('ref_axis',(1.,0.,0.)); #388452=DIRECTION('center_axis',(0.,0.,1.)); #388453=DIRECTION('ref_axis',(1.,0.,0.)); #388454=DIRECTION('center_axis',(0.,0.,1.)); #388455=DIRECTION('ref_axis',(1.,0.,0.)); #388456=DIRECTION('',(0.,0.,-1.)); #388457=DIRECTION('center_axis',(0.,0.,1.)); #388458=DIRECTION('ref_axis',(1.,0.,0.)); #388459=DIRECTION('center_axis',(0.,0.,1.)); #388460=DIRECTION('ref_axis',(1.,0.,0.)); #388461=DIRECTION('center_axis',(0.,0.,1.)); #388462=DIRECTION('ref_axis',(1.,0.,0.)); #388463=DIRECTION('center_axis',(0.,0.,1.)); #388464=DIRECTION('ref_axis',(1.,0.,0.)); #388465=DIRECTION('center_axis',(0.,0.,-1.)); #388466=DIRECTION('ref_axis',(1.,0.,0.)); #388467=DIRECTION('',(0.,0.,-1.)); #388468=DIRECTION('center_axis',(0.,0.,1.)); #388469=DIRECTION('ref_axis',(1.,0.,0.)); #388470=DIRECTION('center_axis',(0.,0.,1.)); #388471=DIRECTION('ref_axis',(1.,0.,0.)); #388472=DIRECTION('center_axis',(0.,0.,1.)); #388473=DIRECTION('ref_axis',(1.,0.,0.)); #388474=DIRECTION('',(0.,0.,-1.)); #388475=DIRECTION('center_axis',(0.,0.,1.)); #388476=DIRECTION('ref_axis',(1.,0.,0.)); #388477=DIRECTION('center_axis',(0.,0.,1.)); #388478=DIRECTION('ref_axis',(1.,0.,0.)); #388479=DIRECTION('center_axis',(0.,0.,1.)); #388480=DIRECTION('ref_axis',(1.,0.,0.)); #388481=DIRECTION('center_axis',(0.,0.,1.)); #388482=DIRECTION('ref_axis',(1.,0.,0.)); #388483=DIRECTION('center_axis',(0.,0.,-1.)); #388484=DIRECTION('ref_axis',(1.,0.,0.)); #388485=DIRECTION('',(0.,0.,-1.)); #388486=DIRECTION('center_axis',(0.,0.,1.)); #388487=DIRECTION('ref_axis',(1.,0.,0.)); #388488=DIRECTION('center_axis',(0.,0.,1.)); #388489=DIRECTION('ref_axis',(1.,0.,0.)); #388490=DIRECTION('center_axis',(0.,0.,1.)); #388491=DIRECTION('ref_axis',(1.,0.,0.)); #388492=DIRECTION('',(0.,0.,-1.)); #388493=DIRECTION('center_axis',(0.,0.,1.)); #388494=DIRECTION('ref_axis',(1.,0.,0.)); #388495=DIRECTION('center_axis',(0.,0.,1.)); #388496=DIRECTION('ref_axis',(1.,0.,0.)); #388497=DIRECTION('center_axis',(0.,0.,1.)); #388498=DIRECTION('ref_axis',(1.,0.,0.)); #388499=DIRECTION('center_axis',(0.,0.,1.)); #388500=DIRECTION('ref_axis',(1.,0.,0.)); #388501=DIRECTION('center_axis',(0.,0.,-1.)); #388502=DIRECTION('ref_axis',(1.,0.,0.)); #388503=DIRECTION('',(0.,0.,-1.)); #388504=DIRECTION('center_axis',(0.,0.,1.)); #388505=DIRECTION('ref_axis',(1.,0.,0.)); #388506=DIRECTION('center_axis',(0.,0.,1.)); #388507=DIRECTION('ref_axis',(1.,0.,0.)); #388508=DIRECTION('center_axis',(0.,0.,1.)); #388509=DIRECTION('ref_axis',(1.,0.,0.)); #388510=DIRECTION('',(0.,0.,-1.)); #388511=DIRECTION('center_axis',(0.,0.,1.)); #388512=DIRECTION('ref_axis',(1.,0.,0.)); #388513=DIRECTION('center_axis',(0.,0.,1.)); #388514=DIRECTION('ref_axis',(1.,0.,0.)); #388515=DIRECTION('center_axis',(0.,0.,1.)); #388516=DIRECTION('ref_axis',(1.,0.,0.)); #388517=DIRECTION('center_axis',(0.,0.,1.)); #388518=DIRECTION('ref_axis',(1.,0.,0.)); #388519=DIRECTION('center_axis',(0.,0.,-1.)); #388520=DIRECTION('ref_axis',(1.,0.,0.)); #388521=DIRECTION('',(0.,0.,-1.)); #388522=DIRECTION('center_axis',(0.,0.,1.)); #388523=DIRECTION('ref_axis',(1.,0.,0.)); #388524=DIRECTION('center_axis',(0.,0.,1.)); #388525=DIRECTION('ref_axis',(1.,0.,0.)); #388526=DIRECTION('center_axis',(0.,0.,1.)); #388527=DIRECTION('ref_axis',(1.,0.,0.)); #388528=DIRECTION('',(0.,0.,-1.)); #388529=DIRECTION('center_axis',(0.,0.,1.)); #388530=DIRECTION('ref_axis',(1.,0.,0.)); #388531=DIRECTION('center_axis',(0.,0.,1.)); #388532=DIRECTION('ref_axis',(1.,0.,0.)); #388533=DIRECTION('center_axis',(0.,0.,1.)); #388534=DIRECTION('ref_axis',(1.,0.,0.)); #388535=DIRECTION('center_axis',(0.,0.,1.)); #388536=DIRECTION('ref_axis',(1.,0.,0.)); #388537=DIRECTION('center_axis',(0.,0.,-1.)); #388538=DIRECTION('ref_axis',(1.,0.,0.)); #388539=DIRECTION('',(0.,0.,-1.)); #388540=DIRECTION('center_axis',(0.,0.,1.)); #388541=DIRECTION('ref_axis',(1.,0.,0.)); #388542=DIRECTION('center_axis',(0.,0.,1.)); #388543=DIRECTION('ref_axis',(1.,0.,0.)); #388544=DIRECTION('center_axis',(0.,0.,1.)); #388545=DIRECTION('ref_axis',(1.,0.,0.)); #388546=DIRECTION('',(0.,0.,-1.)); #388547=DIRECTION('center_axis',(0.,0.,1.)); #388548=DIRECTION('ref_axis',(1.,0.,0.)); #388549=DIRECTION('center_axis',(0.,0.,1.)); #388550=DIRECTION('ref_axis',(1.,0.,0.)); #388551=DIRECTION('center_axis',(0.,0.,1.)); #388552=DIRECTION('ref_axis',(1.,0.,0.)); #388553=DIRECTION('center_axis',(0.,0.,1.)); #388554=DIRECTION('ref_axis',(1.,0.,0.)); #388555=DIRECTION('center_axis',(0.,0.,-1.)); #388556=DIRECTION('ref_axis',(1.,0.,0.)); #388557=DIRECTION('',(0.,0.,-1.)); #388558=DIRECTION('center_axis',(0.,0.,1.)); #388559=DIRECTION('ref_axis',(1.,0.,0.)); #388560=DIRECTION('center_axis',(0.,0.,1.)); #388561=DIRECTION('ref_axis',(1.,0.,0.)); #388562=DIRECTION('center_axis',(0.,0.,1.)); #388563=DIRECTION('ref_axis',(1.,0.,0.)); #388564=DIRECTION('',(0.,0.,-1.)); #388565=DIRECTION('center_axis',(0.,0.,1.)); #388566=DIRECTION('ref_axis',(1.,0.,0.)); #388567=DIRECTION('center_axis',(0.,0.,1.)); #388568=DIRECTION('ref_axis',(1.,0.,0.)); #388569=DIRECTION('center_axis',(0.,0.,1.)); #388570=DIRECTION('ref_axis',(1.,0.,0.)); #388571=DIRECTION('center_axis',(0.,0.,1.)); #388572=DIRECTION('ref_axis',(1.,0.,0.)); #388573=DIRECTION('center_axis',(0.,0.,-1.)); #388574=DIRECTION('ref_axis',(1.,0.,0.)); #388575=DIRECTION('',(0.,0.,-1.)); #388576=DIRECTION('center_axis',(0.,0.,1.)); #388577=DIRECTION('ref_axis',(1.,0.,0.)); #388578=DIRECTION('center_axis',(0.,0.,1.)); #388579=DIRECTION('ref_axis',(1.,0.,0.)); #388580=DIRECTION('center_axis',(0.,0.,1.)); #388581=DIRECTION('ref_axis',(1.,0.,0.)); #388582=DIRECTION('',(0.,0.,-1.)); #388583=DIRECTION('center_axis',(0.,0.,1.)); #388584=DIRECTION('ref_axis',(1.,0.,0.)); #388585=DIRECTION('center_axis',(0.,0.,1.)); #388586=DIRECTION('ref_axis',(1.,0.,0.)); #388587=DIRECTION('center_axis',(0.,0.,1.)); #388588=DIRECTION('ref_axis',(1.,0.,0.)); #388589=DIRECTION('center_axis',(0.,0.,1.)); #388590=DIRECTION('ref_axis',(1.,0.,0.)); #388591=DIRECTION('center_axis',(0.,0.,-1.)); #388592=DIRECTION('ref_axis',(1.,0.,0.)); #388593=DIRECTION('',(0.,0.,-1.)); #388594=DIRECTION('center_axis',(0.,0.,1.)); #388595=DIRECTION('ref_axis',(1.,0.,0.)); #388596=DIRECTION('center_axis',(0.,0.,1.)); #388597=DIRECTION('ref_axis',(1.,0.,0.)); #388598=DIRECTION('center_axis',(0.,0.,1.)); #388599=DIRECTION('ref_axis',(1.,0.,0.)); #388600=DIRECTION('',(0.,0.,-1.)); #388601=DIRECTION('center_axis',(0.,0.,1.)); #388602=DIRECTION('ref_axis',(1.,0.,0.)); #388603=DIRECTION('center_axis',(0.,0.,1.)); #388604=DIRECTION('ref_axis',(1.,0.,0.)); #388605=DIRECTION('center_axis',(0.,0.,1.)); #388606=DIRECTION('ref_axis',(1.,0.,0.)); #388607=DIRECTION('center_axis',(0.,0.,1.)); #388608=DIRECTION('ref_axis',(1.,0.,0.)); #388609=DIRECTION('center_axis',(0.,0.,-1.)); #388610=DIRECTION('ref_axis',(1.,0.,0.)); #388611=DIRECTION('',(0.,0.,-1.)); #388612=DIRECTION('center_axis',(0.,0.,1.)); #388613=DIRECTION('ref_axis',(1.,0.,0.)); #388614=DIRECTION('center_axis',(0.,0.,1.)); #388615=DIRECTION('ref_axis',(1.,0.,0.)); #388616=DIRECTION('center_axis',(0.,0.,1.)); #388617=DIRECTION('ref_axis',(1.,0.,0.)); #388618=DIRECTION('',(0.,0.,-1.)); #388619=DIRECTION('center_axis',(0.,0.,1.)); #388620=DIRECTION('ref_axis',(1.,0.,0.)); #388621=DIRECTION('center_axis',(0.,0.,1.)); #388622=DIRECTION('ref_axis',(1.,0.,0.)); #388623=DIRECTION('center_axis',(0.,0.,1.)); #388624=DIRECTION('ref_axis',(1.,0.,0.)); #388625=DIRECTION('center_axis',(0.,0.,1.)); #388626=DIRECTION('ref_axis',(1.,0.,0.)); #388627=DIRECTION('center_axis',(0.,0.,-1.)); #388628=DIRECTION('ref_axis',(1.,0.,0.)); #388629=DIRECTION('',(0.,0.,-1.)); #388630=DIRECTION('center_axis',(0.,0.,1.)); #388631=DIRECTION('ref_axis',(1.,0.,0.)); #388632=DIRECTION('center_axis',(0.,0.,1.)); #388633=DIRECTION('ref_axis',(1.,0.,0.)); #388634=DIRECTION('center_axis',(0.,0.,1.)); #388635=DIRECTION('ref_axis',(1.,0.,0.)); #388636=DIRECTION('',(0.,0.,-1.)); #388637=DIRECTION('center_axis',(0.,0.,1.)); #388638=DIRECTION('ref_axis',(1.,0.,0.)); #388639=DIRECTION('center_axis',(0.,0.,1.)); #388640=DIRECTION('ref_axis',(1.,0.,0.)); #388641=DIRECTION('center_axis',(0.,0.,1.)); #388642=DIRECTION('ref_axis',(1.,0.,0.)); #388643=DIRECTION('center_axis',(0.,0.,1.)); #388644=DIRECTION('ref_axis',(1.,0.,0.)); #388645=DIRECTION('center_axis',(0.,0.,-1.)); #388646=DIRECTION('ref_axis',(1.,0.,0.)); #388647=DIRECTION('',(0.,0.,-1.)); #388648=DIRECTION('center_axis',(0.,0.,1.)); #388649=DIRECTION('ref_axis',(1.,0.,0.)); #388650=DIRECTION('center_axis',(0.,0.,1.)); #388651=DIRECTION('ref_axis',(1.,0.,0.)); #388652=DIRECTION('center_axis',(0.,0.,1.)); #388653=DIRECTION('ref_axis',(1.,0.,0.)); #388654=DIRECTION('',(0.,0.,-1.)); #388655=DIRECTION('center_axis',(0.,0.,1.)); #388656=DIRECTION('ref_axis',(1.,0.,0.)); #388657=DIRECTION('center_axis',(0.,0.,1.)); #388658=DIRECTION('ref_axis',(1.,0.,0.)); #388659=DIRECTION('center_axis',(0.,0.,1.)); #388660=DIRECTION('ref_axis',(1.,0.,0.)); #388661=DIRECTION('center_axis',(0.,0.,1.)); #388662=DIRECTION('ref_axis',(1.,0.,0.)); #388663=DIRECTION('center_axis',(0.,0.,-1.)); #388664=DIRECTION('ref_axis',(1.,0.,0.)); #388665=DIRECTION('',(0.,0.,-1.)); #388666=DIRECTION('center_axis',(0.,0.,1.)); #388667=DIRECTION('ref_axis',(1.,0.,0.)); #388668=DIRECTION('center_axis',(0.,0.,1.)); #388669=DIRECTION('ref_axis',(1.,0.,0.)); #388670=DIRECTION('center_axis',(0.,0.,1.)); #388671=DIRECTION('ref_axis',(1.,0.,0.)); #388672=DIRECTION('',(0.,0.,-1.)); #388673=DIRECTION('center_axis',(0.,0.,1.)); #388674=DIRECTION('ref_axis',(1.,0.,0.)); #388675=DIRECTION('center_axis',(0.,0.,1.)); #388676=DIRECTION('ref_axis',(1.,0.,0.)); #388677=DIRECTION('center_axis',(0.,0.,1.)); #388678=DIRECTION('ref_axis',(1.,0.,0.)); #388679=DIRECTION('center_axis',(0.,0.,1.)); #388680=DIRECTION('ref_axis',(1.,0.,0.)); #388681=DIRECTION('center_axis',(0.,0.,-1.)); #388682=DIRECTION('ref_axis',(1.,0.,0.)); #388683=DIRECTION('',(0.,0.,-1.)); #388684=DIRECTION('center_axis',(0.,0.,1.)); #388685=DIRECTION('ref_axis',(1.,0.,0.)); #388686=DIRECTION('center_axis',(0.,0.,1.)); #388687=DIRECTION('ref_axis',(1.,0.,0.)); #388688=DIRECTION('center_axis',(0.,0.,1.)); #388689=DIRECTION('ref_axis',(1.,0.,0.)); #388690=DIRECTION('',(0.,0.,-1.)); #388691=DIRECTION('center_axis',(0.,0.,1.)); #388692=DIRECTION('ref_axis',(1.,0.,0.)); #388693=DIRECTION('center_axis',(0.,0.,1.)); #388694=DIRECTION('ref_axis',(1.,0.,0.)); #388695=DIRECTION('center_axis',(0.,0.,1.)); #388696=DIRECTION('ref_axis',(1.,0.,0.)); #388697=DIRECTION('center_axis',(0.,0.,1.)); #388698=DIRECTION('ref_axis',(1.,0.,0.)); #388699=DIRECTION('center_axis',(0.,0.,-1.)); #388700=DIRECTION('ref_axis',(1.,0.,0.)); #388701=DIRECTION('',(0.,0.,-1.)); #388702=DIRECTION('center_axis',(0.,0.,1.)); #388703=DIRECTION('ref_axis',(1.,0.,0.)); #388704=DIRECTION('center_axis',(0.,0.,1.)); #388705=DIRECTION('ref_axis',(1.,0.,0.)); #388706=DIRECTION('center_axis',(0.,0.,1.)); #388707=DIRECTION('ref_axis',(1.,0.,0.)); #388708=DIRECTION('',(0.,0.,-1.)); #388709=DIRECTION('center_axis',(0.,0.,1.)); #388710=DIRECTION('ref_axis',(1.,0.,0.)); #388711=DIRECTION('center_axis',(0.,0.,1.)); #388712=DIRECTION('ref_axis',(1.,0.,0.)); #388713=DIRECTION('center_axis',(0.,0.,1.)); #388714=DIRECTION('ref_axis',(1.,0.,0.)); #388715=DIRECTION('center_axis',(0.,0.,1.)); #388716=DIRECTION('ref_axis',(1.,0.,0.)); #388717=DIRECTION('center_axis',(0.,0.,-1.)); #388718=DIRECTION('ref_axis',(1.,0.,0.)); #388719=DIRECTION('',(0.,0.,-1.)); #388720=DIRECTION('center_axis',(0.,0.,1.)); #388721=DIRECTION('ref_axis',(1.,0.,0.)); #388722=DIRECTION('center_axis',(0.,0.,1.)); #388723=DIRECTION('ref_axis',(1.,0.,0.)); #388724=DIRECTION('center_axis',(0.,0.,1.)); #388725=DIRECTION('ref_axis',(1.,0.,0.)); #388726=DIRECTION('',(0.,0.,-1.)); #388727=DIRECTION('center_axis',(0.,0.,1.)); #388728=DIRECTION('ref_axis',(1.,0.,0.)); #388729=DIRECTION('center_axis',(0.,0.,1.)); #388730=DIRECTION('ref_axis',(1.,0.,0.)); #388731=DIRECTION('center_axis',(0.,0.,1.)); #388732=DIRECTION('ref_axis',(1.,0.,0.)); #388733=DIRECTION('center_axis',(0.,0.,1.)); #388734=DIRECTION('ref_axis',(1.,0.,0.)); #388735=DIRECTION('center_axis',(0.,0.,-1.)); #388736=DIRECTION('ref_axis',(1.,0.,0.)); #388737=DIRECTION('',(0.,0.,-1.)); #388738=DIRECTION('center_axis',(0.,0.,1.)); #388739=DIRECTION('ref_axis',(1.,0.,0.)); #388740=DIRECTION('center_axis',(0.,0.,1.)); #388741=DIRECTION('ref_axis',(1.,0.,0.)); #388742=DIRECTION('center_axis',(0.,0.,1.)); #388743=DIRECTION('ref_axis',(1.,0.,0.)); #388744=DIRECTION('',(0.,0.,-1.)); #388745=DIRECTION('center_axis',(0.,0.,1.)); #388746=DIRECTION('ref_axis',(1.,0.,0.)); #388747=DIRECTION('center_axis',(0.,0.,1.)); #388748=DIRECTION('ref_axis',(1.,0.,0.)); #388749=DIRECTION('center_axis',(0.,0.,1.)); #388750=DIRECTION('ref_axis',(1.,0.,0.)); #388751=DIRECTION('center_axis',(0.,0.,1.)); #388752=DIRECTION('ref_axis',(1.,0.,0.)); #388753=DIRECTION('center_axis',(0.,0.,-1.)); #388754=DIRECTION('ref_axis',(1.,0.,0.)); #388755=DIRECTION('',(0.,0.,-1.)); #388756=DIRECTION('center_axis',(0.,0.,1.)); #388757=DIRECTION('ref_axis',(1.,0.,0.)); #388758=DIRECTION('center_axis',(0.,0.,1.)); #388759=DIRECTION('ref_axis',(1.,0.,0.)); #388760=DIRECTION('center_axis',(0.,0.,1.)); #388761=DIRECTION('ref_axis',(1.,0.,0.)); #388762=DIRECTION('',(0.,0.,-1.)); #388763=DIRECTION('center_axis',(0.,0.,1.)); #388764=DIRECTION('ref_axis',(1.,0.,0.)); #388765=DIRECTION('center_axis',(0.,0.,1.)); #388766=DIRECTION('ref_axis',(1.,0.,0.)); #388767=DIRECTION('center_axis',(0.,0.,1.)); #388768=DIRECTION('ref_axis',(1.,0.,0.)); #388769=DIRECTION('center_axis',(0.,0.,1.)); #388770=DIRECTION('ref_axis',(1.,0.,0.)); #388771=DIRECTION('center_axis',(0.,0.,-1.)); #388772=DIRECTION('ref_axis',(1.,0.,0.)); #388773=DIRECTION('',(0.,0.,-1.)); #388774=DIRECTION('center_axis',(0.,0.,1.)); #388775=DIRECTION('ref_axis',(1.,0.,0.)); #388776=DIRECTION('center_axis',(0.,0.,1.)); #388777=DIRECTION('ref_axis',(1.,0.,0.)); #388778=DIRECTION('center_axis',(0.,0.,1.)); #388779=DIRECTION('ref_axis',(1.,0.,0.)); #388780=DIRECTION('',(0.,0.,-1.)); #388781=DIRECTION('center_axis',(0.,0.,1.)); #388782=DIRECTION('ref_axis',(1.,0.,0.)); #388783=DIRECTION('center_axis',(0.,0.,1.)); #388784=DIRECTION('ref_axis',(1.,0.,0.)); #388785=DIRECTION('center_axis',(0.,0.,1.)); #388786=DIRECTION('ref_axis',(1.,0.,0.)); #388787=DIRECTION('center_axis',(0.,0.,1.)); #388788=DIRECTION('ref_axis',(1.,0.,0.)); #388789=DIRECTION('center_axis',(0.,0.,-1.)); #388790=DIRECTION('ref_axis',(1.,0.,0.)); #388791=DIRECTION('',(0.,0.,-1.)); #388792=DIRECTION('center_axis',(0.,0.,1.)); #388793=DIRECTION('ref_axis',(1.,0.,0.)); #388794=DIRECTION('center_axis',(0.,0.,1.)); #388795=DIRECTION('ref_axis',(1.,0.,0.)); #388796=DIRECTION('center_axis',(0.,0.,1.)); #388797=DIRECTION('ref_axis',(1.,0.,0.)); #388798=DIRECTION('',(0.,0.,-1.)); #388799=DIRECTION('center_axis',(0.,0.,1.)); #388800=DIRECTION('ref_axis',(1.,0.,0.)); #388801=DIRECTION('center_axis',(0.,0.,1.)); #388802=DIRECTION('ref_axis',(1.,0.,0.)); #388803=DIRECTION('center_axis',(0.,0.,1.)); #388804=DIRECTION('ref_axis',(1.,0.,0.)); #388805=DIRECTION('center_axis',(0.,0.,1.)); #388806=DIRECTION('ref_axis',(1.,0.,0.)); #388807=DIRECTION('center_axis',(0.,0.,-1.)); #388808=DIRECTION('ref_axis',(1.,0.,0.)); #388809=DIRECTION('',(0.,0.,-1.)); #388810=DIRECTION('center_axis',(0.,0.,1.)); #388811=DIRECTION('ref_axis',(1.,0.,0.)); #388812=DIRECTION('center_axis',(0.,0.,1.)); #388813=DIRECTION('ref_axis',(1.,0.,0.)); #388814=DIRECTION('center_axis',(0.,0.,1.)); #388815=DIRECTION('ref_axis',(1.,0.,0.)); #388816=DIRECTION('',(0.,0.,-1.)); #388817=DIRECTION('center_axis',(0.,0.,1.)); #388818=DIRECTION('ref_axis',(1.,0.,0.)); #388819=DIRECTION('center_axis',(0.,0.,1.)); #388820=DIRECTION('ref_axis',(1.,0.,0.)); #388821=DIRECTION('center_axis',(0.,0.,1.)); #388822=DIRECTION('ref_axis',(1.,0.,0.)); #388823=DIRECTION('center_axis',(0.,0.,1.)); #388824=DIRECTION('ref_axis',(1.,0.,0.)); #388825=DIRECTION('center_axis',(0.,0.,-1.)); #388826=DIRECTION('ref_axis',(1.,0.,0.)); #388827=DIRECTION('',(0.,0.,-1.)); #388828=DIRECTION('center_axis',(0.,0.,1.)); #388829=DIRECTION('ref_axis',(1.,0.,0.)); #388830=DIRECTION('center_axis',(0.,0.,1.)); #388831=DIRECTION('ref_axis',(1.,0.,0.)); #388832=DIRECTION('center_axis',(0.,0.,1.)); #388833=DIRECTION('ref_axis',(1.,0.,0.)); #388834=DIRECTION('',(0.,0.,-1.)); #388835=DIRECTION('center_axis',(0.,0.,1.)); #388836=DIRECTION('ref_axis',(1.,0.,0.)); #388837=DIRECTION('center_axis',(0.,0.,1.)); #388838=DIRECTION('ref_axis',(1.,0.,0.)); #388839=DIRECTION('center_axis',(0.,0.,1.)); #388840=DIRECTION('ref_axis',(1.,0.,0.)); #388841=DIRECTION('center_axis',(0.,0.,1.)); #388842=DIRECTION('ref_axis',(1.,0.,0.)); #388843=DIRECTION('center_axis',(0.,0.,-1.)); #388844=DIRECTION('ref_axis',(1.,0.,0.)); #388845=DIRECTION('',(0.,0.,-1.)); #388846=DIRECTION('center_axis',(0.,0.,1.)); #388847=DIRECTION('ref_axis',(1.,0.,0.)); #388848=DIRECTION('center_axis',(0.,0.,1.)); #388849=DIRECTION('ref_axis',(1.,0.,0.)); #388850=DIRECTION('center_axis',(0.,0.,1.)); #388851=DIRECTION('ref_axis',(1.,0.,0.)); #388852=DIRECTION('',(0.,0.,-1.)); #388853=DIRECTION('center_axis',(0.,0.,1.)); #388854=DIRECTION('ref_axis',(1.,0.,0.)); #388855=DIRECTION('center_axis',(0.,0.,1.)); #388856=DIRECTION('ref_axis',(1.,0.,0.)); #388857=DIRECTION('center_axis',(0.,0.,1.)); #388858=DIRECTION('ref_axis',(1.,0.,0.)); #388859=DIRECTION('center_axis',(0.,0.,1.)); #388860=DIRECTION('ref_axis',(1.,0.,0.)); #388861=DIRECTION('center_axis',(0.,0.,-1.)); #388862=DIRECTION('ref_axis',(1.,0.,0.)); #388863=DIRECTION('',(0.,0.,-1.)); #388864=DIRECTION('center_axis',(0.,0.,1.)); #388865=DIRECTION('ref_axis',(1.,0.,0.)); #388866=DIRECTION('center_axis',(0.,0.,1.)); #388867=DIRECTION('ref_axis',(1.,0.,0.)); #388868=DIRECTION('center_axis',(0.,0.,1.)); #388869=DIRECTION('ref_axis',(1.,0.,0.)); #388870=DIRECTION('',(0.,0.,-1.)); #388871=DIRECTION('center_axis',(0.,0.,1.)); #388872=DIRECTION('ref_axis',(1.,0.,0.)); #388873=DIRECTION('center_axis',(0.,0.,1.)); #388874=DIRECTION('ref_axis',(1.,0.,0.)); #388875=DIRECTION('center_axis',(0.,0.,1.)); #388876=DIRECTION('ref_axis',(1.,0.,0.)); #388877=DIRECTION('center_axis',(0.,0.,1.)); #388878=DIRECTION('ref_axis',(1.,0.,0.)); #388879=DIRECTION('center_axis',(0.,0.,-1.)); #388880=DIRECTION('ref_axis',(1.,0.,0.)); #388881=DIRECTION('',(0.,0.,-1.)); #388882=DIRECTION('center_axis',(0.,0.,1.)); #388883=DIRECTION('ref_axis',(1.,0.,0.)); #388884=DIRECTION('center_axis',(0.,0.,1.)); #388885=DIRECTION('ref_axis',(1.,0.,0.)); #388886=DIRECTION('center_axis',(0.,0.,1.)); #388887=DIRECTION('ref_axis',(1.,0.,0.)); #388888=DIRECTION('',(0.,0.,-1.)); #388889=DIRECTION('center_axis',(0.,0.,1.)); #388890=DIRECTION('ref_axis',(1.,0.,0.)); #388891=DIRECTION('center_axis',(0.,0.,1.)); #388892=DIRECTION('ref_axis',(1.,0.,0.)); #388893=DIRECTION('center_axis',(0.,0.,1.)); #388894=DIRECTION('ref_axis',(1.,0.,0.)); #388895=DIRECTION('center_axis',(0.,0.,1.)); #388896=DIRECTION('ref_axis',(1.,0.,0.)); #388897=DIRECTION('center_axis',(0.,0.,-1.)); #388898=DIRECTION('ref_axis',(1.,0.,0.)); #388899=DIRECTION('',(0.,0.,-1.)); #388900=DIRECTION('center_axis',(0.,0.,1.)); #388901=DIRECTION('ref_axis',(1.,0.,0.)); #388902=DIRECTION('center_axis',(0.,0.,1.)); #388903=DIRECTION('ref_axis',(1.,0.,0.)); #388904=DIRECTION('center_axis',(0.,0.,1.)); #388905=DIRECTION('ref_axis',(1.,0.,0.)); #388906=DIRECTION('',(0.,0.,-1.)); #388907=DIRECTION('center_axis',(0.,0.,1.)); #388908=DIRECTION('ref_axis',(1.,0.,0.)); #388909=DIRECTION('center_axis',(0.,0.,1.)); #388910=DIRECTION('ref_axis',(1.,0.,0.)); #388911=DIRECTION('center_axis',(0.,0.,1.)); #388912=DIRECTION('ref_axis',(1.,0.,0.)); #388913=DIRECTION('center_axis',(0.,0.,1.)); #388914=DIRECTION('ref_axis',(1.,0.,0.)); #388915=DIRECTION('center_axis',(0.,0.,-1.)); #388916=DIRECTION('ref_axis',(1.,0.,0.)); #388917=DIRECTION('',(0.,0.,-1.)); #388918=DIRECTION('center_axis',(0.,0.,1.)); #388919=DIRECTION('ref_axis',(1.,0.,0.)); #388920=DIRECTION('center_axis',(0.,0.,1.)); #388921=DIRECTION('ref_axis',(1.,0.,0.)); #388922=DIRECTION('center_axis',(0.,0.,1.)); #388923=DIRECTION('ref_axis',(1.,0.,0.)); #388924=DIRECTION('',(0.,0.,-1.)); #388925=DIRECTION('center_axis',(0.,0.,1.)); #388926=DIRECTION('ref_axis',(1.,0.,0.)); #388927=DIRECTION('center_axis',(0.,0.,1.)); #388928=DIRECTION('ref_axis',(1.,0.,0.)); #388929=DIRECTION('center_axis',(0.,0.,1.)); #388930=DIRECTION('ref_axis',(1.,0.,0.)); #388931=DIRECTION('center_axis',(0.,0.,1.)); #388932=DIRECTION('ref_axis',(1.,0.,0.)); #388933=DIRECTION('center_axis',(0.,0.,-1.)); #388934=DIRECTION('ref_axis',(1.,0.,0.)); #388935=DIRECTION('',(0.,0.,-1.)); #388936=DIRECTION('center_axis',(0.,0.,1.)); #388937=DIRECTION('ref_axis',(1.,0.,0.)); #388938=DIRECTION('center_axis',(0.,0.,1.)); #388939=DIRECTION('ref_axis',(1.,0.,0.)); #388940=DIRECTION('center_axis',(0.,0.,1.)); #388941=DIRECTION('ref_axis',(1.,0.,0.)); #388942=DIRECTION('',(0.,0.,-1.)); #388943=DIRECTION('center_axis',(0.,0.,1.)); #388944=DIRECTION('ref_axis',(1.,0.,0.)); #388945=DIRECTION('center_axis',(0.,0.,1.)); #388946=DIRECTION('ref_axis',(1.,0.,0.)); #388947=DIRECTION('center_axis',(0.,0.,1.)); #388948=DIRECTION('ref_axis',(1.,0.,0.)); #388949=DIRECTION('center_axis',(0.,0.,1.)); #388950=DIRECTION('ref_axis',(1.,0.,0.)); #388951=DIRECTION('center_axis',(0.,0.,-1.)); #388952=DIRECTION('ref_axis',(1.,0.,0.)); #388953=DIRECTION('',(0.,0.,-1.)); #388954=DIRECTION('center_axis',(0.,0.,1.)); #388955=DIRECTION('ref_axis',(1.,0.,0.)); #388956=DIRECTION('center_axis',(0.,0.,1.)); #388957=DIRECTION('ref_axis',(1.,0.,0.)); #388958=DIRECTION('center_axis',(0.,0.,1.)); #388959=DIRECTION('ref_axis',(1.,0.,0.)); #388960=DIRECTION('',(0.,0.,-1.)); #388961=DIRECTION('center_axis',(0.,0.,1.)); #388962=DIRECTION('ref_axis',(1.,0.,0.)); #388963=DIRECTION('center_axis',(0.,0.,1.)); #388964=DIRECTION('ref_axis',(1.,0.,0.)); #388965=DIRECTION('center_axis',(0.,0.,1.)); #388966=DIRECTION('ref_axis',(1.,0.,0.)); #388967=DIRECTION('center_axis',(0.,0.,1.)); #388968=DIRECTION('ref_axis',(1.,0.,0.)); #388969=DIRECTION('center_axis',(0.,0.,-1.)); #388970=DIRECTION('ref_axis',(1.,0.,0.)); #388971=DIRECTION('',(0.,0.,-1.)); #388972=DIRECTION('center_axis',(0.,0.,1.)); #388973=DIRECTION('ref_axis',(1.,0.,0.)); #388974=DIRECTION('center_axis',(0.,0.,1.)); #388975=DIRECTION('ref_axis',(1.,0.,0.)); #388976=DIRECTION('center_axis',(0.,0.,1.)); #388977=DIRECTION('ref_axis',(1.,0.,0.)); #388978=DIRECTION('',(0.,0.,-1.)); #388979=DIRECTION('center_axis',(0.,0.,1.)); #388980=DIRECTION('ref_axis',(1.,0.,0.)); #388981=DIRECTION('center_axis',(0.,0.,1.)); #388982=DIRECTION('ref_axis',(1.,0.,0.)); #388983=DIRECTION('center_axis',(0.,0.,1.)); #388984=DIRECTION('ref_axis',(1.,0.,0.)); #388985=DIRECTION('center_axis',(0.,0.,1.)); #388986=DIRECTION('ref_axis',(1.,0.,0.)); #388987=DIRECTION('center_axis',(0.,0.,-1.)); #388988=DIRECTION('ref_axis',(1.,0.,0.)); #388989=DIRECTION('',(0.,0.,-1.)); #388990=DIRECTION('center_axis',(0.,0.,1.)); #388991=DIRECTION('ref_axis',(1.,0.,0.)); #388992=DIRECTION('center_axis',(0.,0.,1.)); #388993=DIRECTION('ref_axis',(1.,0.,0.)); #388994=DIRECTION('center_axis',(0.,0.,1.)); #388995=DIRECTION('ref_axis',(1.,0.,0.)); #388996=DIRECTION('',(0.,0.,-1.)); #388997=DIRECTION('center_axis',(0.,0.,1.)); #388998=DIRECTION('ref_axis',(1.,0.,0.)); #388999=DIRECTION('center_axis',(0.,0.,1.)); #389000=DIRECTION('ref_axis',(1.,0.,0.)); #389001=DIRECTION('center_axis',(0.,0.,1.)); #389002=DIRECTION('ref_axis',(1.,0.,0.)); #389003=DIRECTION('center_axis',(0.,0.,1.)); #389004=DIRECTION('ref_axis',(1.,0.,0.)); #389005=DIRECTION('center_axis',(0.,0.,-1.)); #389006=DIRECTION('ref_axis',(1.,0.,0.)); #389007=DIRECTION('',(0.,0.,-1.)); #389008=DIRECTION('center_axis',(0.,0.,1.)); #389009=DIRECTION('ref_axis',(1.,0.,0.)); #389010=DIRECTION('center_axis',(0.,0.,1.)); #389011=DIRECTION('ref_axis',(1.,0.,0.)); #389012=DIRECTION('center_axis',(0.,0.,1.)); #389013=DIRECTION('ref_axis',(1.,0.,0.)); #389014=DIRECTION('',(0.,0.,-1.)); #389015=DIRECTION('center_axis',(0.,0.,1.)); #389016=DIRECTION('ref_axis',(1.,0.,0.)); #389017=DIRECTION('center_axis',(0.,0.,1.)); #389018=DIRECTION('ref_axis',(1.,0.,0.)); #389019=DIRECTION('center_axis',(0.,0.,1.)); #389020=DIRECTION('ref_axis',(1.,0.,0.)); #389021=DIRECTION('center_axis',(0.,0.,1.)); #389022=DIRECTION('ref_axis',(1.,0.,0.)); #389023=DIRECTION('center_axis',(0.,0.,-1.)); #389024=DIRECTION('ref_axis',(1.,0.,0.)); #389025=DIRECTION('',(0.,0.,-1.)); #389026=DIRECTION('center_axis',(0.,0.,1.)); #389027=DIRECTION('ref_axis',(1.,0.,0.)); #389028=DIRECTION('center_axis',(0.,0.,1.)); #389029=DIRECTION('ref_axis',(1.,0.,0.)); #389030=DIRECTION('center_axis',(0.,0.,1.)); #389031=DIRECTION('ref_axis',(1.,0.,0.)); #389032=DIRECTION('',(0.,0.,-1.)); #389033=DIRECTION('center_axis',(0.,0.,1.)); #389034=DIRECTION('ref_axis',(1.,0.,0.)); #389035=DIRECTION('center_axis',(0.,0.,1.)); #389036=DIRECTION('ref_axis',(1.,0.,0.)); #389037=DIRECTION('center_axis',(0.,0.,1.)); #389038=DIRECTION('ref_axis',(1.,0.,0.)); #389039=DIRECTION('center_axis',(0.,0.,1.)); #389040=DIRECTION('ref_axis',(1.,0.,0.)); #389041=DIRECTION('center_axis',(0.,0.,-1.)); #389042=DIRECTION('ref_axis',(1.,0.,0.)); #389043=DIRECTION('',(0.,0.,-1.)); #389044=DIRECTION('center_axis',(0.,0.,1.)); #389045=DIRECTION('ref_axis',(1.,0.,0.)); #389046=DIRECTION('center_axis',(0.,0.,1.)); #389047=DIRECTION('ref_axis',(1.,0.,0.)); #389048=DIRECTION('center_axis',(0.,0.,1.)); #389049=DIRECTION('ref_axis',(1.,0.,0.)); #389050=DIRECTION('',(0.,0.,-1.)); #389051=DIRECTION('center_axis',(0.,0.,1.)); #389052=DIRECTION('ref_axis',(1.,0.,0.)); #389053=DIRECTION('center_axis',(0.,0.,1.)); #389054=DIRECTION('ref_axis',(1.,0.,0.)); #389055=DIRECTION('center_axis',(0.,0.,1.)); #389056=DIRECTION('ref_axis',(1.,0.,0.)); #389057=DIRECTION('center_axis',(0.,0.,1.)); #389058=DIRECTION('ref_axis',(1.,0.,0.)); #389059=DIRECTION('center_axis',(0.,0.,-1.)); #389060=DIRECTION('ref_axis',(1.,0.,0.)); #389061=DIRECTION('',(0.,0.,-1.)); #389062=DIRECTION('center_axis',(0.,0.,1.)); #389063=DIRECTION('ref_axis',(1.,0.,0.)); #389064=DIRECTION('center_axis',(0.,0.,1.)); #389065=DIRECTION('ref_axis',(1.,0.,0.)); #389066=DIRECTION('center_axis',(0.,0.,1.)); #389067=DIRECTION('ref_axis',(1.,0.,0.)); #389068=DIRECTION('',(0.,0.,-1.)); #389069=DIRECTION('center_axis',(0.,0.,1.)); #389070=DIRECTION('ref_axis',(1.,0.,0.)); #389071=DIRECTION('center_axis',(0.,0.,1.)); #389072=DIRECTION('ref_axis',(1.,0.,0.)); #389073=DIRECTION('center_axis',(0.,0.,1.)); #389074=DIRECTION('ref_axis',(1.,0.,0.)); #389075=DIRECTION('center_axis',(0.,0.,1.)); #389076=DIRECTION('ref_axis',(1.,0.,0.)); #389077=DIRECTION('center_axis',(0.,0.,-1.)); #389078=DIRECTION('ref_axis',(1.,0.,0.)); #389079=DIRECTION('',(0.,0.,-1.)); #389080=DIRECTION('center_axis',(0.,0.,1.)); #389081=DIRECTION('ref_axis',(1.,0.,0.)); #389082=DIRECTION('center_axis',(0.,0.,1.)); #389083=DIRECTION('ref_axis',(1.,0.,0.)); #389084=DIRECTION('center_axis',(0.,0.,1.)); #389085=DIRECTION('ref_axis',(1.,0.,0.)); #389086=DIRECTION('',(0.,0.,-1.)); #389087=DIRECTION('center_axis',(0.,0.,1.)); #389088=DIRECTION('ref_axis',(1.,0.,0.)); #389089=DIRECTION('center_axis',(0.,0.,1.)); #389090=DIRECTION('ref_axis',(1.,0.,0.)); #389091=DIRECTION('center_axis',(0.,0.,1.)); #389092=DIRECTION('ref_axis',(1.,0.,0.)); #389093=DIRECTION('center_axis',(0.,0.,1.)); #389094=DIRECTION('ref_axis',(1.,0.,0.)); #389095=DIRECTION('center_axis',(0.,0.,-1.)); #389096=DIRECTION('ref_axis',(1.,0.,0.)); #389097=DIRECTION('',(0.,0.,-1.)); #389098=DIRECTION('center_axis',(0.,0.,1.)); #389099=DIRECTION('ref_axis',(1.,0.,0.)); #389100=DIRECTION('center_axis',(0.,0.,1.)); #389101=DIRECTION('ref_axis',(1.,0.,0.)); #389102=DIRECTION('center_axis',(0.,0.,1.)); #389103=DIRECTION('ref_axis',(1.,0.,0.)); #389104=DIRECTION('',(0.,0.,-1.)); #389105=DIRECTION('center_axis',(0.,0.,1.)); #389106=DIRECTION('ref_axis',(1.,0.,0.)); #389107=DIRECTION('center_axis',(0.,0.,1.)); #389108=DIRECTION('ref_axis',(1.,0.,0.)); #389109=DIRECTION('center_axis',(0.,0.,1.)); #389110=DIRECTION('ref_axis',(1.,0.,0.)); #389111=DIRECTION('center_axis',(0.,0.,1.)); #389112=DIRECTION('ref_axis',(1.,0.,0.)); #389113=DIRECTION('center_axis',(0.,0.,-1.)); #389114=DIRECTION('ref_axis',(1.,0.,0.)); #389115=DIRECTION('',(0.,0.,-1.)); #389116=DIRECTION('center_axis',(0.,0.,1.)); #389117=DIRECTION('ref_axis',(1.,0.,0.)); #389118=DIRECTION('center_axis',(0.,0.,1.)); #389119=DIRECTION('ref_axis',(1.,0.,0.)); #389120=DIRECTION('center_axis',(0.,0.,1.)); #389121=DIRECTION('ref_axis',(1.,0.,0.)); #389122=DIRECTION('',(0.,0.,-1.)); #389123=DIRECTION('center_axis',(0.,0.,1.)); #389124=DIRECTION('ref_axis',(1.,0.,0.)); #389125=DIRECTION('center_axis',(0.,0.,1.)); #389126=DIRECTION('ref_axis',(1.,0.,0.)); #389127=DIRECTION('center_axis',(0.,0.,1.)); #389128=DIRECTION('ref_axis',(1.,0.,0.)); #389129=DIRECTION('center_axis',(0.,0.,1.)); #389130=DIRECTION('ref_axis',(1.,0.,0.)); #389131=DIRECTION('center_axis',(0.,0.,-1.)); #389132=DIRECTION('ref_axis',(1.,0.,0.)); #389133=DIRECTION('',(0.,0.,-1.)); #389134=DIRECTION('center_axis',(0.,0.,1.)); #389135=DIRECTION('ref_axis',(1.,0.,0.)); #389136=DIRECTION('center_axis',(0.,0.,1.)); #389137=DIRECTION('ref_axis',(1.,0.,0.)); #389138=DIRECTION('center_axis',(0.,0.,1.)); #389139=DIRECTION('ref_axis',(1.,0.,0.)); #389140=DIRECTION('',(0.,0.,-1.)); #389141=DIRECTION('center_axis',(0.,0.,1.)); #389142=DIRECTION('ref_axis',(1.,0.,0.)); #389143=DIRECTION('center_axis',(0.,0.,1.)); #389144=DIRECTION('ref_axis',(1.,0.,0.)); #389145=DIRECTION('center_axis',(0.,0.,1.)); #389146=DIRECTION('ref_axis',(1.,0.,0.)); #389147=DIRECTION('center_axis',(0.,0.,1.)); #389148=DIRECTION('ref_axis',(1.,0.,0.)); #389149=DIRECTION('center_axis',(0.,0.,-1.)); #389150=DIRECTION('ref_axis',(1.,0.,0.)); #389151=DIRECTION('',(0.,0.,-1.)); #389152=DIRECTION('center_axis',(0.,0.,1.)); #389153=DIRECTION('ref_axis',(1.,0.,0.)); #389154=DIRECTION('center_axis',(0.,0.,1.)); #389155=DIRECTION('ref_axis',(1.,0.,0.)); #389156=DIRECTION('center_axis',(0.,0.,1.)); #389157=DIRECTION('ref_axis',(1.,0.,0.)); #389158=DIRECTION('',(0.,0.,-1.)); #389159=DIRECTION('center_axis',(0.,0.,1.)); #389160=DIRECTION('ref_axis',(1.,0.,0.)); #389161=DIRECTION('center_axis',(0.,0.,1.)); #389162=DIRECTION('ref_axis',(1.,0.,0.)); #389163=DIRECTION('center_axis',(0.,0.,1.)); #389164=DIRECTION('ref_axis',(1.,0.,0.)); #389165=DIRECTION('center_axis',(0.,0.,1.)); #389166=DIRECTION('ref_axis',(1.,0.,0.)); #389167=DIRECTION('center_axis',(0.,0.,-1.)); #389168=DIRECTION('ref_axis',(1.,0.,0.)); #389169=DIRECTION('',(0.,0.,-1.)); #389170=DIRECTION('center_axis',(0.,0.,1.)); #389171=DIRECTION('ref_axis',(1.,0.,0.)); #389172=DIRECTION('center_axis',(0.,0.,1.)); #389173=DIRECTION('ref_axis',(1.,0.,0.)); #389174=DIRECTION('center_axis',(0.,0.,1.)); #389175=DIRECTION('ref_axis',(1.,0.,0.)); #389176=DIRECTION('',(0.,0.,-1.)); #389177=DIRECTION('center_axis',(0.,0.,1.)); #389178=DIRECTION('ref_axis',(1.,0.,0.)); #389179=DIRECTION('center_axis',(0.,0.,1.)); #389180=DIRECTION('ref_axis',(1.,0.,0.)); #389181=DIRECTION('center_axis',(0.,0.,1.)); #389182=DIRECTION('ref_axis',(1.,0.,0.)); #389183=DIRECTION('center_axis',(0.,0.,1.)); #389184=DIRECTION('ref_axis',(1.,0.,0.)); #389185=DIRECTION('center_axis',(0.,0.,-1.)); #389186=DIRECTION('ref_axis',(1.,0.,0.)); #389187=DIRECTION('',(0.,0.,-1.)); #389188=DIRECTION('center_axis',(0.,0.,1.)); #389189=DIRECTION('ref_axis',(1.,0.,0.)); #389190=DIRECTION('center_axis',(0.,0.,1.)); #389191=DIRECTION('ref_axis',(1.,0.,0.)); #389192=DIRECTION('center_axis',(0.,0.,1.)); #389193=DIRECTION('ref_axis',(1.,0.,0.)); #389194=DIRECTION('',(0.,0.,-1.)); #389195=DIRECTION('center_axis',(0.,0.,1.)); #389196=DIRECTION('ref_axis',(1.,0.,0.)); #389197=DIRECTION('center_axis',(0.,0.,1.)); #389198=DIRECTION('ref_axis',(1.,0.,0.)); #389199=DIRECTION('center_axis',(0.,0.,1.)); #389200=DIRECTION('ref_axis',(1.,0.,0.)); #389201=DIRECTION('center_axis',(0.,0.,1.)); #389202=DIRECTION('ref_axis',(1.,0.,0.)); #389203=DIRECTION('center_axis',(0.,0.,-1.)); #389204=DIRECTION('ref_axis',(1.,0.,0.)); #389205=DIRECTION('',(0.,0.,-1.)); #389206=DIRECTION('center_axis',(0.,0.,1.)); #389207=DIRECTION('ref_axis',(1.,0.,0.)); #389208=DIRECTION('center_axis',(0.,0.,1.)); #389209=DIRECTION('ref_axis',(1.,0.,0.)); #389210=DIRECTION('center_axis',(0.,0.,1.)); #389211=DIRECTION('ref_axis',(1.,0.,0.)); #389212=DIRECTION('',(0.,0.,-1.)); #389213=DIRECTION('center_axis',(0.,0.,1.)); #389214=DIRECTION('ref_axis',(1.,0.,0.)); #389215=DIRECTION('center_axis',(0.,0.,1.)); #389216=DIRECTION('ref_axis',(1.,0.,0.)); #389217=DIRECTION('center_axis',(0.,0.,1.)); #389218=DIRECTION('ref_axis',(1.,0.,0.)); #389219=DIRECTION('center_axis',(0.,0.,1.)); #389220=DIRECTION('ref_axis',(1.,0.,0.)); #389221=DIRECTION('center_axis',(0.,0.,-1.)); #389222=DIRECTION('ref_axis',(1.,0.,0.)); #389223=DIRECTION('',(0.,0.,-1.)); #389224=DIRECTION('center_axis',(0.,0.,1.)); #389225=DIRECTION('ref_axis',(1.,0.,0.)); #389226=DIRECTION('center_axis',(0.,0.,1.)); #389227=DIRECTION('ref_axis',(1.,0.,0.)); #389228=DIRECTION('center_axis',(0.,0.,1.)); #389229=DIRECTION('ref_axis',(1.,0.,0.)); #389230=DIRECTION('',(0.,0.,-1.)); #389231=DIRECTION('center_axis',(0.,0.,1.)); #389232=DIRECTION('ref_axis',(1.,0.,0.)); #389233=DIRECTION('center_axis',(0.,0.,1.)); #389234=DIRECTION('ref_axis',(1.,0.,0.)); #389235=DIRECTION('center_axis',(0.,0.,1.)); #389236=DIRECTION('ref_axis',(1.,0.,0.)); #389237=DIRECTION('center_axis',(0.,0.,1.)); #389238=DIRECTION('ref_axis',(1.,0.,0.)); #389239=DIRECTION('center_axis',(0.,0.,-1.)); #389240=DIRECTION('ref_axis',(1.,0.,0.)); #389241=DIRECTION('',(0.,0.,-1.)); #389242=DIRECTION('center_axis',(0.,0.,1.)); #389243=DIRECTION('ref_axis',(1.,0.,0.)); #389244=DIRECTION('center_axis',(0.,0.,1.)); #389245=DIRECTION('ref_axis',(1.,0.,0.)); #389246=DIRECTION('center_axis',(0.,0.,1.)); #389247=DIRECTION('ref_axis',(1.,0.,0.)); #389248=DIRECTION('',(0.,0.,-1.)); #389249=DIRECTION('center_axis',(0.,0.,1.)); #389250=DIRECTION('ref_axis',(1.,0.,0.)); #389251=DIRECTION('center_axis',(0.,0.,1.)); #389252=DIRECTION('ref_axis',(1.,0.,0.)); #389253=DIRECTION('center_axis',(0.,0.,1.)); #389254=DIRECTION('ref_axis',(1.,0.,0.)); #389255=DIRECTION('center_axis',(0.,0.,1.)); #389256=DIRECTION('ref_axis',(1.,0.,0.)); #389257=DIRECTION('center_axis',(0.,0.,-1.)); #389258=DIRECTION('ref_axis',(1.,0.,0.)); #389259=DIRECTION('',(0.,0.,-1.)); #389260=DIRECTION('center_axis',(0.,0.,1.)); #389261=DIRECTION('ref_axis',(1.,0.,0.)); #389262=DIRECTION('center_axis',(0.,0.,1.)); #389263=DIRECTION('ref_axis',(1.,0.,0.)); #389264=DIRECTION('center_axis',(0.,0.,1.)); #389265=DIRECTION('ref_axis',(1.,0.,0.)); #389266=DIRECTION('',(0.,0.,-1.)); #389267=DIRECTION('center_axis',(0.,0.,1.)); #389268=DIRECTION('ref_axis',(1.,0.,0.)); #389269=DIRECTION('center_axis',(0.,0.,1.)); #389270=DIRECTION('ref_axis',(1.,0.,0.)); #389271=DIRECTION('center_axis',(0.,0.,1.)); #389272=DIRECTION('ref_axis',(1.,0.,0.)); #389273=DIRECTION('center_axis',(0.,0.,1.)); #389274=DIRECTION('ref_axis',(1.,0.,0.)); #389275=DIRECTION('center_axis',(0.,0.,-1.)); #389276=DIRECTION('ref_axis',(1.,0.,0.)); #389277=DIRECTION('',(0.,0.,-1.)); #389278=DIRECTION('center_axis',(0.,0.,1.)); #389279=DIRECTION('ref_axis',(1.,0.,0.)); #389280=DIRECTION('center_axis',(0.,0.,1.)); #389281=DIRECTION('ref_axis',(1.,0.,0.)); #389282=DIRECTION('center_axis',(0.,0.,1.)); #389283=DIRECTION('ref_axis',(1.,0.,0.)); #389284=DIRECTION('',(0.,0.,-1.)); #389285=DIRECTION('center_axis',(0.,0.,1.)); #389286=DIRECTION('ref_axis',(1.,0.,0.)); #389287=DIRECTION('center_axis',(0.,0.,1.)); #389288=DIRECTION('ref_axis',(1.,0.,0.)); #389289=DIRECTION('center_axis',(0.,0.,1.)); #389290=DIRECTION('ref_axis',(1.,0.,0.)); #389291=DIRECTION('center_axis',(0.,0.,1.)); #389292=DIRECTION('ref_axis',(1.,0.,0.)); #389293=DIRECTION('center_axis',(0.,0.,-1.)); #389294=DIRECTION('ref_axis',(1.,0.,0.)); #389295=DIRECTION('',(0.,0.,-1.)); #389296=DIRECTION('center_axis',(0.,0.,1.)); #389297=DIRECTION('ref_axis',(1.,0.,0.)); #389298=DIRECTION('center_axis',(0.,0.,1.)); #389299=DIRECTION('ref_axis',(1.,0.,0.)); #389300=DIRECTION('center_axis',(0.,0.,1.)); #389301=DIRECTION('ref_axis',(1.,0.,0.)); #389302=DIRECTION('',(0.,0.,-1.)); #389303=DIRECTION('center_axis',(0.,0.,1.)); #389304=DIRECTION('ref_axis',(1.,0.,0.)); #389305=DIRECTION('center_axis',(0.,0.,1.)); #389306=DIRECTION('ref_axis',(1.,0.,0.)); #389307=DIRECTION('center_axis',(0.,0.,1.)); #389308=DIRECTION('ref_axis',(1.,0.,0.)); #389309=DIRECTION('center_axis',(0.,0.,1.)); #389310=DIRECTION('ref_axis',(1.,0.,0.)); #389311=DIRECTION('center_axis',(0.,0.,-1.)); #389312=DIRECTION('ref_axis',(1.,0.,0.)); #389313=DIRECTION('',(0.,0.,-1.)); #389314=DIRECTION('center_axis',(0.,0.,1.)); #389315=DIRECTION('ref_axis',(1.,0.,0.)); #389316=DIRECTION('center_axis',(0.,0.,1.)); #389317=DIRECTION('ref_axis',(1.,0.,0.)); #389318=DIRECTION('center_axis',(0.,0.,1.)); #389319=DIRECTION('ref_axis',(1.,0.,0.)); #389320=DIRECTION('',(0.,0.,-1.)); #389321=DIRECTION('center_axis',(0.,0.,1.)); #389322=DIRECTION('ref_axis',(1.,0.,0.)); #389323=DIRECTION('center_axis',(0.,0.,1.)); #389324=DIRECTION('ref_axis',(1.,0.,0.)); #389325=DIRECTION('center_axis',(0.,0.,1.)); #389326=DIRECTION('ref_axis',(1.,0.,0.)); #389327=DIRECTION('center_axis',(0.,0.,1.)); #389328=DIRECTION('ref_axis',(1.,0.,0.)); #389329=DIRECTION('center_axis',(0.,0.,-1.)); #389330=DIRECTION('ref_axis',(1.,0.,0.)); #389331=DIRECTION('',(0.,0.,-1.)); #389332=DIRECTION('center_axis',(0.,0.,1.)); #389333=DIRECTION('ref_axis',(1.,0.,0.)); #389334=DIRECTION('center_axis',(0.,0.,1.)); #389335=DIRECTION('ref_axis',(1.,0.,0.)); #389336=DIRECTION('center_axis',(0.,0.,1.)); #389337=DIRECTION('ref_axis',(1.,0.,0.)); #389338=DIRECTION('',(0.,0.,-1.)); #389339=DIRECTION('center_axis',(0.,0.,1.)); #389340=DIRECTION('ref_axis',(1.,0.,0.)); #389341=DIRECTION('center_axis',(0.,0.,1.)); #389342=DIRECTION('ref_axis',(1.,0.,0.)); #389343=DIRECTION('center_axis',(0.,0.,1.)); #389344=DIRECTION('ref_axis',(1.,0.,0.)); #389345=DIRECTION('center_axis',(0.,0.,1.)); #389346=DIRECTION('ref_axis',(1.,0.,0.)); #389347=DIRECTION('center_axis',(0.,0.,-1.)); #389348=DIRECTION('ref_axis',(1.,0.,0.)); #389349=DIRECTION('',(0.,0.,-1.)); #389350=DIRECTION('center_axis',(0.,0.,1.)); #389351=DIRECTION('ref_axis',(1.,0.,0.)); #389352=DIRECTION('center_axis',(0.,0.,1.)); #389353=DIRECTION('ref_axis',(1.,0.,0.)); #389354=DIRECTION('center_axis',(0.,0.,1.)); #389355=DIRECTION('ref_axis',(1.,0.,0.)); #389356=DIRECTION('',(0.,0.,-1.)); #389357=DIRECTION('center_axis',(0.,0.,1.)); #389358=DIRECTION('ref_axis',(1.,0.,0.)); #389359=DIRECTION('center_axis',(0.,0.,1.)); #389360=DIRECTION('ref_axis',(1.,0.,0.)); #389361=DIRECTION('center_axis',(0.,0.,1.)); #389362=DIRECTION('ref_axis',(1.,0.,0.)); #389363=DIRECTION('center_axis',(0.,0.,1.)); #389364=DIRECTION('ref_axis',(1.,0.,0.)); #389365=DIRECTION('center_axis',(0.,0.,-1.)); #389366=DIRECTION('ref_axis',(1.,0.,0.)); #389367=DIRECTION('',(0.,0.,-1.)); #389368=DIRECTION('center_axis',(0.,0.,1.)); #389369=DIRECTION('ref_axis',(1.,0.,0.)); #389370=DIRECTION('center_axis',(0.,0.,1.)); #389371=DIRECTION('ref_axis',(1.,0.,0.)); #389372=DIRECTION('center_axis',(0.,0.,1.)); #389373=DIRECTION('ref_axis',(1.,0.,0.)); #389374=DIRECTION('',(0.,0.,-1.)); #389375=DIRECTION('center_axis',(0.,0.,1.)); #389376=DIRECTION('ref_axis',(1.,0.,0.)); #389377=DIRECTION('center_axis',(0.,0.,1.)); #389378=DIRECTION('ref_axis',(1.,0.,0.)); #389379=DIRECTION('center_axis',(0.,0.,1.)); #389380=DIRECTION('ref_axis',(1.,0.,0.)); #389381=DIRECTION('center_axis',(0.,0.,1.)); #389382=DIRECTION('ref_axis',(1.,0.,0.)); #389383=DIRECTION('center_axis',(0.,0.,-1.)); #389384=DIRECTION('ref_axis',(1.,0.,0.)); #389385=DIRECTION('',(0.,0.,-1.)); #389386=DIRECTION('center_axis',(0.,0.,1.)); #389387=DIRECTION('ref_axis',(1.,0.,0.)); #389388=DIRECTION('center_axis',(0.,0.,1.)); #389389=DIRECTION('ref_axis',(1.,0.,0.)); #389390=DIRECTION('center_axis',(0.,0.,1.)); #389391=DIRECTION('ref_axis',(1.,0.,0.)); #389392=DIRECTION('',(0.,0.,-1.)); #389393=DIRECTION('center_axis',(0.,0.,1.)); #389394=DIRECTION('ref_axis',(1.,0.,0.)); #389395=DIRECTION('center_axis',(0.,0.,1.)); #389396=DIRECTION('ref_axis',(1.,0.,0.)); #389397=DIRECTION('center_axis',(0.,0.,1.)); #389398=DIRECTION('ref_axis',(1.,0.,0.)); #389399=DIRECTION('center_axis',(0.,0.,1.)); #389400=DIRECTION('ref_axis',(1.,0.,0.)); #389401=DIRECTION('center_axis',(0.,0.,-1.)); #389402=DIRECTION('ref_axis',(1.,0.,0.)); #389403=DIRECTION('',(0.,0.,-1.)); #389404=DIRECTION('center_axis',(0.,0.,1.)); #389405=DIRECTION('ref_axis',(1.,0.,0.)); #389406=DIRECTION('center_axis',(0.,0.,1.)); #389407=DIRECTION('ref_axis',(1.,0.,0.)); #389408=DIRECTION('center_axis',(0.,0.,1.)); #389409=DIRECTION('ref_axis',(1.,0.,0.)); #389410=DIRECTION('',(0.,0.,-1.)); #389411=DIRECTION('center_axis',(0.,0.,1.)); #389412=DIRECTION('ref_axis',(1.,0.,0.)); #389413=DIRECTION('center_axis',(0.,0.,1.)); #389414=DIRECTION('ref_axis',(1.,0.,0.)); #389415=DIRECTION('center_axis',(0.,0.,1.)); #389416=DIRECTION('ref_axis',(1.,0.,0.)); #389417=DIRECTION('center_axis',(0.,0.,1.)); #389418=DIRECTION('ref_axis',(1.,0.,0.)); #389419=DIRECTION('center_axis',(0.,0.,-1.)); #389420=DIRECTION('ref_axis',(1.,0.,0.)); #389421=DIRECTION('',(0.,0.,-1.)); #389422=DIRECTION('center_axis',(0.,0.,1.)); #389423=DIRECTION('ref_axis',(1.,0.,0.)); #389424=DIRECTION('center_axis',(0.,0.,1.)); #389425=DIRECTION('ref_axis',(1.,0.,0.)); #389426=DIRECTION('center_axis',(0.,0.,1.)); #389427=DIRECTION('ref_axis',(1.,0.,0.)); #389428=DIRECTION('',(0.,0.,-1.)); #389429=DIRECTION('center_axis',(0.,0.,1.)); #389430=DIRECTION('ref_axis',(1.,0.,0.)); #389431=DIRECTION('center_axis',(0.,0.,1.)); #389432=DIRECTION('ref_axis',(1.,0.,0.)); #389433=DIRECTION('center_axis',(0.,0.,1.)); #389434=DIRECTION('ref_axis',(1.,0.,0.)); #389435=DIRECTION('center_axis',(0.,0.,1.)); #389436=DIRECTION('ref_axis',(1.,0.,0.)); #389437=DIRECTION('center_axis',(0.,0.,-1.)); #389438=DIRECTION('ref_axis',(1.,0.,0.)); #389439=DIRECTION('',(0.,0.,-1.)); #389440=DIRECTION('center_axis',(0.,0.,1.)); #389441=DIRECTION('ref_axis',(1.,0.,0.)); #389442=DIRECTION('center_axis',(0.,0.,1.)); #389443=DIRECTION('ref_axis',(1.,0.,0.)); #389444=DIRECTION('center_axis',(0.,0.,1.)); #389445=DIRECTION('ref_axis',(1.,0.,0.)); #389446=DIRECTION('',(0.,0.,-1.)); #389447=DIRECTION('center_axis',(0.,0.,1.)); #389448=DIRECTION('ref_axis',(1.,0.,0.)); #389449=DIRECTION('center_axis',(0.,0.,1.)); #389450=DIRECTION('ref_axis',(1.,0.,0.)); #389451=DIRECTION('center_axis',(0.,0.,1.)); #389452=DIRECTION('ref_axis',(1.,0.,0.)); #389453=DIRECTION('center_axis',(0.,0.,1.)); #389454=DIRECTION('ref_axis',(1.,0.,0.)); #389455=DIRECTION('center_axis',(0.,0.,-1.)); #389456=DIRECTION('ref_axis',(1.,0.,0.)); #389457=DIRECTION('',(0.,0.,-1.)); #389458=DIRECTION('center_axis',(0.,0.,1.)); #389459=DIRECTION('ref_axis',(1.,0.,0.)); #389460=DIRECTION('center_axis',(0.,0.,1.)); #389461=DIRECTION('ref_axis',(1.,0.,0.)); #389462=DIRECTION('center_axis',(0.,0.,1.)); #389463=DIRECTION('ref_axis',(1.,0.,0.)); #389464=DIRECTION('',(0.,0.,-1.)); #389465=DIRECTION('center_axis',(0.,0.,1.)); #389466=DIRECTION('ref_axis',(1.,0.,0.)); #389467=DIRECTION('center_axis',(0.,0.,1.)); #389468=DIRECTION('ref_axis',(1.,0.,0.)); #389469=DIRECTION('center_axis',(0.,0.,1.)); #389470=DIRECTION('ref_axis',(1.,0.,0.)); #389471=DIRECTION('center_axis',(0.,0.,1.)); #389472=DIRECTION('ref_axis',(1.,0.,0.)); #389473=DIRECTION('center_axis',(0.,0.,-1.)); #389474=DIRECTION('ref_axis',(1.,0.,0.)); #389475=DIRECTION('',(0.,0.,-1.)); #389476=DIRECTION('center_axis',(0.,0.,1.)); #389477=DIRECTION('ref_axis',(1.,0.,0.)); #389478=DIRECTION('center_axis',(0.,0.,1.)); #389479=DIRECTION('ref_axis',(1.,0.,0.)); #389480=DIRECTION('center_axis',(0.,0.,1.)); #389481=DIRECTION('ref_axis',(1.,0.,0.)); #389482=DIRECTION('',(0.,0.,-1.)); #389483=DIRECTION('center_axis',(0.,0.,1.)); #389484=DIRECTION('ref_axis',(1.,0.,0.)); #389485=DIRECTION('center_axis',(0.,0.,1.)); #389486=DIRECTION('ref_axis',(1.,0.,0.)); #389487=DIRECTION('center_axis',(0.,0.,1.)); #389488=DIRECTION('ref_axis',(1.,0.,0.)); #389489=DIRECTION('center_axis',(0.,0.,1.)); #389490=DIRECTION('ref_axis',(1.,0.,0.)); #389491=DIRECTION('center_axis',(0.,0.,-1.)); #389492=DIRECTION('ref_axis',(1.,0.,0.)); #389493=DIRECTION('',(0.,0.,-1.)); #389494=DIRECTION('center_axis',(0.,0.,1.)); #389495=DIRECTION('ref_axis',(1.,0.,0.)); #389496=DIRECTION('center_axis',(0.,0.,1.)); #389497=DIRECTION('ref_axis',(1.,0.,0.)); #389498=DIRECTION('center_axis',(0.,0.,1.)); #389499=DIRECTION('ref_axis',(1.,0.,0.)); #389500=DIRECTION('',(0.,0.,-1.)); #389501=DIRECTION('center_axis',(0.,0.,1.)); #389502=DIRECTION('ref_axis',(1.,0.,0.)); #389503=DIRECTION('center_axis',(0.,0.,1.)); #389504=DIRECTION('ref_axis',(1.,0.,0.)); #389505=DIRECTION('center_axis',(0.,0.,1.)); #389506=DIRECTION('ref_axis',(1.,0.,0.)); #389507=DIRECTION('center_axis',(0.,0.,1.)); #389508=DIRECTION('ref_axis',(1.,0.,0.)); #389509=DIRECTION('center_axis',(0.,0.,-1.)); #389510=DIRECTION('ref_axis',(1.,0.,0.)); #389511=DIRECTION('',(0.,0.,-1.)); #389512=DIRECTION('center_axis',(0.,0.,1.)); #389513=DIRECTION('ref_axis',(1.,0.,0.)); #389514=DIRECTION('center_axis',(0.,0.,1.)); #389515=DIRECTION('ref_axis',(1.,0.,0.)); #389516=DIRECTION('center_axis',(0.,0.,1.)); #389517=DIRECTION('ref_axis',(1.,0.,0.)); #389518=DIRECTION('',(0.,0.,-1.)); #389519=DIRECTION('center_axis',(0.,0.,1.)); #389520=DIRECTION('ref_axis',(1.,0.,0.)); #389521=DIRECTION('center_axis',(0.,0.,1.)); #389522=DIRECTION('ref_axis',(1.,0.,0.)); #389523=DIRECTION('center_axis',(0.,0.,1.)); #389524=DIRECTION('ref_axis',(1.,0.,0.)); #389525=DIRECTION('center_axis',(0.,0.,1.)); #389526=DIRECTION('ref_axis',(1.,0.,0.)); #389527=DIRECTION('center_axis',(0.,0.,-1.)); #389528=DIRECTION('ref_axis',(1.,0.,0.)); #389529=DIRECTION('',(0.,0.,-1.)); #389530=DIRECTION('center_axis',(0.,0.,1.)); #389531=DIRECTION('ref_axis',(1.,0.,0.)); #389532=DIRECTION('center_axis',(0.,0.,1.)); #389533=DIRECTION('ref_axis',(1.,0.,0.)); #389534=DIRECTION('center_axis',(0.,0.,1.)); #389535=DIRECTION('ref_axis',(1.,0.,0.)); #389536=DIRECTION('',(0.,0.,-1.)); #389537=DIRECTION('center_axis',(0.,0.,1.)); #389538=DIRECTION('ref_axis',(1.,0.,0.)); #389539=DIRECTION('center_axis',(0.,0.,1.)); #389540=DIRECTION('ref_axis',(1.,0.,0.)); #389541=DIRECTION('center_axis',(0.,0.,1.)); #389542=DIRECTION('ref_axis',(1.,0.,0.)); #389543=DIRECTION('center_axis',(0.,0.,1.)); #389544=DIRECTION('ref_axis',(1.,0.,0.)); #389545=DIRECTION('center_axis',(0.,0.,-1.)); #389546=DIRECTION('ref_axis',(1.,0.,0.)); #389547=DIRECTION('',(0.,0.,-1.)); #389548=DIRECTION('center_axis',(0.,0.,1.)); #389549=DIRECTION('ref_axis',(1.,0.,0.)); #389550=DIRECTION('center_axis',(0.,0.,1.)); #389551=DIRECTION('ref_axis',(1.,0.,0.)); #389552=DIRECTION('center_axis',(0.,0.,1.)); #389553=DIRECTION('ref_axis',(1.,0.,0.)); #389554=DIRECTION('',(0.,0.,-1.)); #389555=DIRECTION('center_axis',(0.,0.,1.)); #389556=DIRECTION('ref_axis',(1.,0.,0.)); #389557=DIRECTION('center_axis',(0.,0.,1.)); #389558=DIRECTION('ref_axis',(1.,0.,0.)); #389559=DIRECTION('center_axis',(0.,0.,1.)); #389560=DIRECTION('ref_axis',(1.,0.,0.)); #389561=DIRECTION('center_axis',(0.,0.,1.)); #389562=DIRECTION('ref_axis',(1.,0.,0.)); #389563=DIRECTION('center_axis',(0.,0.,-1.)); #389564=DIRECTION('ref_axis',(1.,0.,0.)); #389565=DIRECTION('',(0.,0.,-1.)); #389566=DIRECTION('center_axis',(0.,0.,1.)); #389567=DIRECTION('ref_axis',(1.,0.,0.)); #389568=DIRECTION('center_axis',(0.,0.,1.)); #389569=DIRECTION('ref_axis',(1.,0.,0.)); #389570=DIRECTION('center_axis',(0.,0.,1.)); #389571=DIRECTION('ref_axis',(1.,0.,0.)); #389572=DIRECTION('',(0.,0.,-1.)); #389573=DIRECTION('center_axis',(0.,0.,1.)); #389574=DIRECTION('ref_axis',(1.,0.,0.)); #389575=DIRECTION('center_axis',(0.,0.,1.)); #389576=DIRECTION('ref_axis',(1.,0.,0.)); #389577=DIRECTION('center_axis',(0.,0.,1.)); #389578=DIRECTION('ref_axis',(1.,0.,0.)); #389579=DIRECTION('center_axis',(0.,0.,1.)); #389580=DIRECTION('ref_axis',(1.,0.,0.)); #389581=DIRECTION('center_axis',(0.,0.,-1.)); #389582=DIRECTION('ref_axis',(1.,0.,0.)); #389583=DIRECTION('',(0.,0.,-1.)); #389584=DIRECTION('center_axis',(0.,0.,1.)); #389585=DIRECTION('ref_axis',(1.,0.,0.)); #389586=DIRECTION('center_axis',(0.,0.,1.)); #389587=DIRECTION('ref_axis',(1.,0.,0.)); #389588=DIRECTION('center_axis',(0.,0.,1.)); #389589=DIRECTION('ref_axis',(1.,0.,0.)); #389590=DIRECTION('',(0.,0.,-1.)); #389591=DIRECTION('center_axis',(0.,0.,1.)); #389592=DIRECTION('ref_axis',(1.,0.,0.)); #389593=DIRECTION('center_axis',(0.,0.,1.)); #389594=DIRECTION('ref_axis',(1.,0.,0.)); #389595=DIRECTION('center_axis',(0.,0.,1.)); #389596=DIRECTION('ref_axis',(1.,0.,0.)); #389597=DIRECTION('center_axis',(0.,0.,1.)); #389598=DIRECTION('ref_axis',(1.,0.,0.)); #389599=DIRECTION('center_axis',(0.,0.,-1.)); #389600=DIRECTION('ref_axis',(1.,0.,0.)); #389601=DIRECTION('',(0.,0.,-1.)); #389602=DIRECTION('center_axis',(0.,0.,1.)); #389603=DIRECTION('ref_axis',(1.,0.,0.)); #389604=DIRECTION('center_axis',(0.,0.,1.)); #389605=DIRECTION('ref_axis',(1.,0.,0.)); #389606=DIRECTION('center_axis',(0.,0.,1.)); #389607=DIRECTION('ref_axis',(1.,0.,0.)); #389608=DIRECTION('',(0.,0.,-1.)); #389609=DIRECTION('center_axis',(0.,0.,1.)); #389610=DIRECTION('ref_axis',(1.,0.,0.)); #389611=DIRECTION('center_axis',(0.,0.,1.)); #389612=DIRECTION('ref_axis',(1.,0.,0.)); #389613=DIRECTION('center_axis',(0.,0.,1.)); #389614=DIRECTION('ref_axis',(1.,0.,0.)); #389615=DIRECTION('center_axis',(0.,0.,1.)); #389616=DIRECTION('ref_axis',(1.,0.,0.)); #389617=DIRECTION('center_axis',(0.,0.,-1.)); #389618=DIRECTION('ref_axis',(1.,0.,0.)); #389619=DIRECTION('',(0.,0.,-1.)); #389620=DIRECTION('center_axis',(0.,0.,1.)); #389621=DIRECTION('ref_axis',(1.,0.,0.)); #389622=DIRECTION('center_axis',(0.,0.,1.)); #389623=DIRECTION('ref_axis',(1.,0.,0.)); #389624=DIRECTION('center_axis',(0.,0.,1.)); #389625=DIRECTION('ref_axis',(1.,0.,0.)); #389626=DIRECTION('',(0.,0.,-1.)); #389627=DIRECTION('center_axis',(0.,0.,1.)); #389628=DIRECTION('ref_axis',(1.,0.,0.)); #389629=DIRECTION('center_axis',(0.,0.,1.)); #389630=DIRECTION('ref_axis',(1.,0.,0.)); #389631=DIRECTION('center_axis',(0.,0.,1.)); #389632=DIRECTION('ref_axis',(1.,0.,0.)); #389633=DIRECTION('center_axis',(0.,0.,1.)); #389634=DIRECTION('ref_axis',(1.,0.,0.)); #389635=DIRECTION('center_axis',(0.,0.,-1.)); #389636=DIRECTION('ref_axis',(1.,0.,0.)); #389637=DIRECTION('',(0.,0.,-1.)); #389638=DIRECTION('center_axis',(0.,0.,1.)); #389639=DIRECTION('ref_axis',(1.,0.,0.)); #389640=DIRECTION('center_axis',(0.,0.,1.)); #389641=DIRECTION('ref_axis',(1.,0.,0.)); #389642=DIRECTION('center_axis',(0.,0.,1.)); #389643=DIRECTION('ref_axis',(1.,0.,0.)); #389644=DIRECTION('',(0.,0.,-1.)); #389645=DIRECTION('center_axis',(0.,0.,1.)); #389646=DIRECTION('ref_axis',(1.,0.,0.)); #389647=DIRECTION('center_axis',(0.,0.,1.)); #389648=DIRECTION('ref_axis',(1.,0.,0.)); #389649=DIRECTION('center_axis',(0.,0.,1.)); #389650=DIRECTION('ref_axis',(1.,0.,0.)); #389651=DIRECTION('axis',(0.,0.,1.)); #389652=DIRECTION('refdir',(1.,0.,0.)); #389653=DIRECTION('center_axis',(0.,0.,1.)); #389654=DIRECTION('ref_axis',(1.,0.,0.)); #389655=DIRECTION('center_axis',(0.,0.,1.)); #389656=DIRECTION('ref_axis',(1.,0.,0.)); #389657=DIRECTION('',(0.,0.,1.)); #389658=DIRECTION('center_axis',(0.,0.,-1.)); #389659=DIRECTION('ref_axis',(1.,0.,0.)); #389660=DIRECTION('center_axis',(0.0871622148812039,-0.996194131832246, 0.)); #389661=DIRECTION('ref_axis',(0.996194131832246,0.0871622148812039,0.)); #389662=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #389663=DIRECTION('',(0.,0.,1.)); #389664=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #389665=DIRECTION('',(0.,0.,1.)); #389666=DIRECTION('center_axis',(0.,0.,1.)); #389667=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #389668=DIRECTION('center_axis',(0.,0.,1.)); #389669=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #389670=DIRECTION('center_axis',(0.,0.,1.)); #389671=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #389672=DIRECTION('',(0.,0.,1.)); #389673=DIRECTION('center_axis',(0.,0.,1.)); #389674=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #389675=DIRECTION('center_axis',(0.,0.,1.)); #389676=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #389677=DIRECTION('center_axis',(0.,0.,1.)); #389678=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #389679=DIRECTION('center_axis',(0.,0.,1.)); #389680=DIRECTION('ref_axis',(1.,0.,0.)); #389681=DIRECTION('center_axis',(0.,0.,1.)); #389682=DIRECTION('ref_axis',(1.,0.,0.)); #389683=DIRECTION('center_axis',(1.,0.,0.)); #389684=DIRECTION('ref_axis',(0.,1.,0.)); #389685=DIRECTION('',(0.,1.,0.)); #389686=DIRECTION('',(0.,0.,1.)); #389687=DIRECTION('',(0.,1.,0.)); #389688=DIRECTION('',(0.,0.,1.)); #389689=DIRECTION('center_axis',(0.,-1.,0.)); #389690=DIRECTION('ref_axis',(1.,0.,0.)); #389691=DIRECTION('',(1.,0.,0.)); #389692=DIRECTION('',(1.,0.,0.)); #389693=DIRECTION('',(0.,0.,1.)); #389694=DIRECTION('center_axis',(-1.,0.,0.)); #389695=DIRECTION('ref_axis',(0.,-1.,0.)); #389696=DIRECTION('',(0.,-1.,0.)); #389697=DIRECTION('',(0.,-1.,0.)); #389698=DIRECTION('',(0.,0.,1.)); #389699=DIRECTION('center_axis',(0.,1.,0.)); #389700=DIRECTION('ref_axis',(-1.,0.,0.)); #389701=DIRECTION('',(-1.,0.,0.)); #389702=DIRECTION('',(-1.,0.,0.)); #389703=DIRECTION('center_axis',(0.,0.,1.)); #389704=DIRECTION('ref_axis',(1.,0.,0.)); #389705=DIRECTION('center_axis',(0.,0.,1.)); #389706=DIRECTION('ref_axis',(1.,0.,0.)); #389707=DIRECTION('center_axis',(1.,0.,0.)); #389708=DIRECTION('ref_axis',(0.,1.,0.)); #389709=DIRECTION('',(0.,1.,0.)); #389710=DIRECTION('',(0.,0.,1.)); #389711=DIRECTION('',(0.,1.,0.)); #389712=DIRECTION('',(0.,0.,1.)); #389713=DIRECTION('center_axis',(0.,-1.,0.)); #389714=DIRECTION('ref_axis',(1.,0.,0.)); #389715=DIRECTION('',(1.,0.,0.)); #389716=DIRECTION('',(1.,0.,0.)); #389717=DIRECTION('',(0.,0.,1.)); #389718=DIRECTION('center_axis',(-1.,0.,0.)); #389719=DIRECTION('ref_axis',(0.,-1.,0.)); #389720=DIRECTION('',(0.,-1.,0.)); #389721=DIRECTION('',(0.,-1.,0.)); #389722=DIRECTION('',(0.,0.,1.)); #389723=DIRECTION('center_axis',(0.,1.,0.)); #389724=DIRECTION('ref_axis',(-1.,0.,0.)); #389725=DIRECTION('',(-1.,0.,0.)); #389726=DIRECTION('',(-1.,0.,0.)); #389727=DIRECTION('center_axis',(0.,0.,1.)); #389728=DIRECTION('ref_axis',(1.,0.,0.)); #389729=DIRECTION('center_axis',(0.,0.,1.)); #389730=DIRECTION('ref_axis',(1.,0.,0.)); #389731=DIRECTION('center_axis',(1.,0.,0.)); #389732=DIRECTION('ref_axis',(0.,1.,0.)); #389733=DIRECTION('',(0.,1.,0.)); #389734=DIRECTION('',(0.,0.,1.)); #389735=DIRECTION('',(0.,1.,0.)); #389736=DIRECTION('',(0.,0.,1.)); #389737=DIRECTION('center_axis',(0.,-1.,0.)); #389738=DIRECTION('ref_axis',(1.,0.,0.)); #389739=DIRECTION('',(1.,0.,0.)); #389740=DIRECTION('',(1.,0.,0.)); #389741=DIRECTION('',(0.,0.,1.)); #389742=DIRECTION('center_axis',(-1.,0.,0.)); #389743=DIRECTION('ref_axis',(0.,-1.,0.)); #389744=DIRECTION('',(0.,-1.,0.)); #389745=DIRECTION('',(0.,-1.,0.)); #389746=DIRECTION('',(0.,0.,1.)); #389747=DIRECTION('center_axis',(0.,1.,0.)); #389748=DIRECTION('ref_axis',(-1.,0.,0.)); #389749=DIRECTION('',(-1.,0.,0.)); #389750=DIRECTION('',(-1.,0.,0.)); #389751=DIRECTION('center_axis',(0.,0.,1.)); #389752=DIRECTION('ref_axis',(1.,0.,0.)); #389753=DIRECTION('center_axis',(0.,0.,1.)); #389754=DIRECTION('ref_axis',(1.,0.,0.)); #389755=DIRECTION('center_axis',(1.,0.,0.)); #389756=DIRECTION('ref_axis',(0.,1.,0.)); #389757=DIRECTION('',(0.,1.,0.)); #389758=DIRECTION('',(0.,0.,1.)); #389759=DIRECTION('',(0.,1.,0.)); #389760=DIRECTION('',(0.,0.,1.)); #389761=DIRECTION('center_axis',(0.,-1.,0.)); #389762=DIRECTION('ref_axis',(1.,0.,0.)); #389763=DIRECTION('',(1.,0.,0.)); #389764=DIRECTION('',(1.,0.,0.)); #389765=DIRECTION('',(0.,0.,1.)); #389766=DIRECTION('center_axis',(-1.,0.,0.)); #389767=DIRECTION('ref_axis',(0.,-1.,0.)); #389768=DIRECTION('',(0.,-1.,0.)); #389769=DIRECTION('',(0.,-1.,0.)); #389770=DIRECTION('',(0.,0.,1.)); #389771=DIRECTION('center_axis',(0.,1.,0.)); #389772=DIRECTION('ref_axis',(-1.,0.,0.)); #389773=DIRECTION('',(-1.,0.,0.)); #389774=DIRECTION('',(-1.,0.,0.)); #389775=DIRECTION('center_axis',(0.,0.,1.)); #389776=DIRECTION('ref_axis',(1.,0.,0.)); #389777=DIRECTION('center_axis',(0.,0.,1.)); #389778=DIRECTION('ref_axis',(1.,0.,0.)); #389779=DIRECTION('center_axis',(1.,0.,0.)); #389780=DIRECTION('ref_axis',(0.,1.,0.)); #389781=DIRECTION('',(0.,1.,0.)); #389782=DIRECTION('',(0.,0.,1.)); #389783=DIRECTION('',(0.,1.,0.)); #389784=DIRECTION('',(0.,0.,1.)); #389785=DIRECTION('center_axis',(0.,-1.,0.)); #389786=DIRECTION('ref_axis',(1.,0.,0.)); #389787=DIRECTION('',(1.,0.,0.)); #389788=DIRECTION('',(1.,0.,0.)); #389789=DIRECTION('',(0.,0.,1.)); #389790=DIRECTION('center_axis',(-1.,0.,0.)); #389791=DIRECTION('ref_axis',(0.,-1.,0.)); #389792=DIRECTION('',(0.,-1.,0.)); #389793=DIRECTION('',(0.,-1.,0.)); #389794=DIRECTION('',(0.,0.,1.)); #389795=DIRECTION('center_axis',(0.,1.,0.)); #389796=DIRECTION('ref_axis',(-1.,0.,0.)); #389797=DIRECTION('',(-1.,0.,0.)); #389798=DIRECTION('',(-1.,0.,0.)); #389799=DIRECTION('center_axis',(0.,0.,1.)); #389800=DIRECTION('ref_axis',(1.,0.,0.)); #389801=DIRECTION('center_axis',(0.,0.,1.)); #389802=DIRECTION('ref_axis',(1.,0.,0.)); #389803=DIRECTION('center_axis',(1.,0.,0.)); #389804=DIRECTION('ref_axis',(0.,1.,0.)); #389805=DIRECTION('',(0.,1.,0.)); #389806=DIRECTION('',(0.,0.,1.)); #389807=DIRECTION('',(0.,1.,0.)); #389808=DIRECTION('',(0.,0.,1.)); #389809=DIRECTION('center_axis',(0.,-1.,0.)); #389810=DIRECTION('ref_axis',(1.,0.,0.)); #389811=DIRECTION('',(1.,0.,0.)); #389812=DIRECTION('',(1.,0.,0.)); #389813=DIRECTION('',(0.,0.,1.)); #389814=DIRECTION('center_axis',(-1.,0.,0.)); #389815=DIRECTION('ref_axis',(0.,-1.,0.)); #389816=DIRECTION('',(0.,-1.,0.)); #389817=DIRECTION('',(0.,-1.,0.)); #389818=DIRECTION('',(0.,0.,1.)); #389819=DIRECTION('center_axis',(0.,1.,0.)); #389820=DIRECTION('ref_axis',(-1.,0.,0.)); #389821=DIRECTION('',(-1.,0.,0.)); #389822=DIRECTION('',(-1.,0.,0.)); #389823=DIRECTION('center_axis',(0.,0.,1.)); #389824=DIRECTION('ref_axis',(1.,0.,0.)); #389825=DIRECTION('center_axis',(0.,0.,1.)); #389826=DIRECTION('ref_axis',(1.,0.,0.)); #389827=DIRECTION('center_axis',(1.,0.,0.)); #389828=DIRECTION('ref_axis',(0.,1.,0.)); #389829=DIRECTION('',(0.,1.,0.)); #389830=DIRECTION('',(0.,0.,1.)); #389831=DIRECTION('',(0.,1.,0.)); #389832=DIRECTION('',(0.,0.,1.)); #389833=DIRECTION('center_axis',(0.,-1.,0.)); #389834=DIRECTION('ref_axis',(1.,0.,0.)); #389835=DIRECTION('',(1.,0.,0.)); #389836=DIRECTION('',(1.,0.,0.)); #389837=DIRECTION('',(0.,0.,1.)); #389838=DIRECTION('center_axis',(-1.,0.,0.)); #389839=DIRECTION('ref_axis',(0.,-1.,0.)); #389840=DIRECTION('',(0.,-1.,0.)); #389841=DIRECTION('',(0.,-1.,0.)); #389842=DIRECTION('',(0.,0.,1.)); #389843=DIRECTION('center_axis',(0.,1.,0.)); #389844=DIRECTION('ref_axis',(-1.,0.,0.)); #389845=DIRECTION('',(-1.,0.,0.)); #389846=DIRECTION('',(-1.,0.,0.)); #389847=DIRECTION('center_axis',(0.,0.,1.)); #389848=DIRECTION('ref_axis',(1.,0.,0.)); #389849=DIRECTION('center_axis',(0.,0.,1.)); #389850=DIRECTION('ref_axis',(1.,0.,0.)); #389851=DIRECTION('center_axis',(1.,0.,0.)); #389852=DIRECTION('ref_axis',(0.,1.,0.)); #389853=DIRECTION('',(0.,1.,0.)); #389854=DIRECTION('',(0.,0.,1.)); #389855=DIRECTION('',(0.,1.,0.)); #389856=DIRECTION('',(0.,0.,1.)); #389857=DIRECTION('center_axis',(0.,-1.,0.)); #389858=DIRECTION('ref_axis',(1.,0.,0.)); #389859=DIRECTION('',(1.,0.,0.)); #389860=DIRECTION('',(1.,0.,0.)); #389861=DIRECTION('',(0.,0.,1.)); #389862=DIRECTION('center_axis',(-1.,0.,0.)); #389863=DIRECTION('ref_axis',(0.,-1.,0.)); #389864=DIRECTION('',(0.,-1.,0.)); #389865=DIRECTION('',(0.,-1.,0.)); #389866=DIRECTION('',(0.,0.,1.)); #389867=DIRECTION('center_axis',(0.,1.,0.)); #389868=DIRECTION('ref_axis',(-1.,0.,0.)); #389869=DIRECTION('',(-1.,0.,0.)); #389870=DIRECTION('',(-1.,0.,0.)); #389871=DIRECTION('center_axis',(0.,0.,1.)); #389872=DIRECTION('ref_axis',(1.,0.,0.)); #389873=DIRECTION('center_axis',(0.,0.,1.)); #389874=DIRECTION('ref_axis',(1.,0.,0.)); #389875=DIRECTION('center_axis',(1.,0.,0.)); #389876=DIRECTION('ref_axis',(0.,1.,0.)); #389877=DIRECTION('',(0.,1.,0.)); #389878=DIRECTION('',(0.,0.,1.)); #389879=DIRECTION('',(0.,1.,0.)); #389880=DIRECTION('',(0.,0.,1.)); #389881=DIRECTION('center_axis',(0.,-1.,0.)); #389882=DIRECTION('ref_axis',(1.,0.,0.)); #389883=DIRECTION('',(1.,0.,0.)); #389884=DIRECTION('',(1.,0.,0.)); #389885=DIRECTION('',(0.,0.,1.)); #389886=DIRECTION('center_axis',(-1.,0.,0.)); #389887=DIRECTION('ref_axis',(0.,-1.,0.)); #389888=DIRECTION('',(0.,-1.,0.)); #389889=DIRECTION('',(0.,-1.,0.)); #389890=DIRECTION('',(0.,0.,1.)); #389891=DIRECTION('center_axis',(0.,1.,0.)); #389892=DIRECTION('ref_axis',(-1.,0.,0.)); #389893=DIRECTION('',(-1.,0.,0.)); #389894=DIRECTION('',(-1.,0.,0.)); #389895=DIRECTION('center_axis',(0.,0.,1.)); #389896=DIRECTION('ref_axis',(1.,0.,0.)); #389897=DIRECTION('center_axis',(0.,0.,1.)); #389898=DIRECTION('ref_axis',(1.,0.,0.)); #389899=DIRECTION('center_axis',(1.,0.,0.)); #389900=DIRECTION('ref_axis',(0.,1.,0.)); #389901=DIRECTION('',(0.,1.,0.)); #389902=DIRECTION('',(0.,0.,1.)); #389903=DIRECTION('',(0.,1.,0.)); #389904=DIRECTION('',(0.,0.,1.)); #389905=DIRECTION('center_axis',(0.,-1.,0.)); #389906=DIRECTION('ref_axis',(1.,0.,0.)); #389907=DIRECTION('',(1.,0.,0.)); #389908=DIRECTION('',(1.,0.,0.)); #389909=DIRECTION('',(0.,0.,1.)); #389910=DIRECTION('center_axis',(-1.,0.,0.)); #389911=DIRECTION('ref_axis',(0.,-1.,0.)); #389912=DIRECTION('',(0.,-1.,0.)); #389913=DIRECTION('',(0.,-1.,0.)); #389914=DIRECTION('',(0.,0.,1.)); #389915=DIRECTION('center_axis',(0.,1.,0.)); #389916=DIRECTION('ref_axis',(-1.,0.,0.)); #389917=DIRECTION('',(-1.,0.,0.)); #389918=DIRECTION('',(-1.,0.,0.)); #389919=DIRECTION('center_axis',(0.,0.,1.)); #389920=DIRECTION('ref_axis',(1.,0.,0.)); #389921=DIRECTION('center_axis',(0.,0.,1.)); #389922=DIRECTION('ref_axis',(1.,0.,0.)); #389923=DIRECTION('center_axis',(0.,0.,1.)); #389924=DIRECTION('ref_axis',(1.,0.,0.)); #389925=DIRECTION('center_axis',(0.,0.,1.)); #389926=DIRECTION('ref_axis',(1.,0.,0.)); #389927=DIRECTION('',(0.,0.,1.)); #389928=DIRECTION('center_axis',(0.,0.,-1.)); #389929=DIRECTION('ref_axis',(1.,0.,0.)); #389930=DIRECTION('center_axis',(0.0871622148812039,-0.996194131832246, 0.)); #389931=DIRECTION('ref_axis',(0.996194131832246,0.0871622148812039,0.)); #389932=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #389933=DIRECTION('',(0.,0.,1.)); #389934=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #389935=DIRECTION('',(0.,0.,1.)); #389936=DIRECTION('center_axis',(0.,0.,1.)); #389937=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #389938=DIRECTION('center_axis',(0.,0.,1.)); #389939=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #389940=DIRECTION('center_axis',(0.,0.,1.)); #389941=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #389942=DIRECTION('',(0.,0.,1.)); #389943=DIRECTION('center_axis',(0.,0.,1.)); #389944=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #389945=DIRECTION('center_axis',(0.,0.,1.)); #389946=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #389947=DIRECTION('center_axis',(0.,0.,1.)); #389948=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #389949=DIRECTION('center_axis',(0.,0.,1.)); #389950=DIRECTION('ref_axis',(1.,0.,0.)); #389951=DIRECTION('center_axis',(0.,0.,1.)); #389952=DIRECTION('ref_axis',(1.,0.,0.)); #389953=DIRECTION('center_axis',(0.,0.,1.)); #389954=DIRECTION('ref_axis',(1.,0.,0.)); #389955=DIRECTION('center_axis',(0.,0.,1.)); #389956=DIRECTION('ref_axis',(1.,0.,0.)); #389957=DIRECTION('',(0.,0.,1.)); #389958=DIRECTION('center_axis',(0.,0.,-1.)); #389959=DIRECTION('ref_axis',(1.,0.,0.)); #389960=DIRECTION('center_axis',(0.,0.,1.)); #389961=DIRECTION('ref_axis',(1.,0.,0.)); #389962=DIRECTION('center_axis',(0.,0.,1.)); #389963=DIRECTION('ref_axis',(1.,0.,0.)); #389964=DIRECTION('',(0.,0.,1.)); #389965=DIRECTION('center_axis',(0.,0.,-1.)); #389966=DIRECTION('ref_axis',(1.,0.,0.)); #389967=DIRECTION('center_axis',(0.156447762252248,-0.987686234431899,0.)); #389968=DIRECTION('ref_axis',(0.987686234431899,0.156447762252248,0.)); #389969=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #389970=DIRECTION('',(0.,0.,1.)); #389971=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #389972=DIRECTION('',(0.,0.,1.)); #389973=DIRECTION('center_axis',(0.,0.,1.)); #389974=DIRECTION('ref_axis',(-0.382679799465878,-0.923881037299043,0.)); #389975=DIRECTION('center_axis',(0.,0.,1.)); #389976=DIRECTION('ref_axis',(-0.382679799465878,-0.923881037299043,0.)); #389977=DIRECTION('center_axis',(0.,0.,1.)); #389978=DIRECTION('ref_axis',(-0.382679799465878,-0.923881037299043,0.)); #389979=DIRECTION('',(0.,0.,1.)); #389980=DIRECTION('center_axis',(0.,0.,1.)); #389981=DIRECTION('ref_axis',(0.300709399253543,0.953715815744173,0.)); #389982=DIRECTION('center_axis',(0.,0.,1.)); #389983=DIRECTION('ref_axis',(0.300709399253543,0.953715815744173,0.)); #389984=DIRECTION('center_axis',(0.,0.,1.)); #389985=DIRECTION('ref_axis',(0.300709399253543,0.953715815744173,0.)); #389986=DIRECTION('',(0.,0.,1.)); #389987=DIRECTION('center_axis',(-0.707106475088,0.707107087284963,0.)); #389988=DIRECTION('ref_axis',(-0.707107087284963,-0.707106475088,0.)); #389989=DIRECTION('',(-0.707107087284963,-0.707106475088,0.)); #389990=DIRECTION('',(-0.707107087284963,-0.707106475088,0.)); #389991=DIRECTION('',(0.,0.,1.)); #389992=DIRECTION('center_axis',(0.,0.,1.)); #389993=DIRECTION('ref_axis',(0.382023508072098,0.924152606056103,0.)); #389994=DIRECTION('center_axis',(0.,0.,1.)); #389995=DIRECTION('ref_axis',(0.382023508072098,0.924152606056103,0.)); #389996=DIRECTION('center_axis',(0.,0.,1.)); #389997=DIRECTION('ref_axis',(0.382023508072098,0.924152606056103,0.)); #389998=DIRECTION('',(0.,0.,1.)); #389999=DIRECTION('center_axis',(0.,0.,1.)); #390000=DIRECTION('ref_axis',(-0.300711620456772,-0.953715115389424,0.)); #390001=DIRECTION('center_axis',(0.,0.,1.)); #390002=DIRECTION('ref_axis',(-0.300711620456772,-0.953715115389424,0.)); #390003=DIRECTION('center_axis',(0.,0.,1.)); #390004=DIRECTION('ref_axis',(-0.300711620456772,-0.953715115389424,0.)); #390005=DIRECTION('',(0.,0.,1.)); #390006=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #390007=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #390008=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #390009=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #390010=DIRECTION('',(0.,0.,1.)); #390011=DIRECTION('center_axis',(0.,0.,1.)); #390012=DIRECTION('ref_axis',(0.234831124216078,-0.97203618404842,0.)); #390013=DIRECTION('center_axis',(0.,0.,1.)); #390014=DIRECTION('ref_axis',(0.234831124216078,-0.97203618404842,0.)); #390015=DIRECTION('center_axis',(0.,0.,1.)); #390016=DIRECTION('ref_axis',(0.234831124216078,-0.97203618404842,0.)); #390017=DIRECTION('center_axis',(0.,0.,1.)); #390018=DIRECTION('ref_axis',(1.,0.,0.)); #390019=DIRECTION('center_axis',(0.,0.,1.)); #390020=DIRECTION('ref_axis',(1.,0.,0.)); #390021=DIRECTION('center_axis',(0.,0.,1.)); #390022=DIRECTION('ref_axis',(1.,0.,0.)); #390023=DIRECTION('center_axis',(0.,0.,1.)); #390024=DIRECTION('ref_axis',(1.,0.,0.)); #390025=DIRECTION('',(0.,0.,1.)); #390026=DIRECTION('center_axis',(0.,0.,-1.)); #390027=DIRECTION('ref_axis',(1.,0.,0.)); #390028=DIRECTION('center_axis',(0.0979988322081603,-0.995186529694729, 0.)); #390029=DIRECTION('ref_axis',(0.995186529694729,0.0979988322081603,0.)); #390030=DIRECTION('',(0.995186529694729,0.0979988322081603,0.)); #390031=DIRECTION('',(0.,0.,1.)); #390032=DIRECTION('',(0.995186529694729,0.0979988322081603,0.)); #390033=DIRECTION('',(0.,0.,1.)); #390034=DIRECTION('center_axis',(0.,0.,1.)); #390035=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #390036=DIRECTION('center_axis',(0.,0.,1.)); #390037=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #390038=DIRECTION('center_axis',(0.,0.,1.)); #390039=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #390040=DIRECTION('',(0.,0.,1.)); #390041=DIRECTION('center_axis',(0.,0.,1.)); #390042=DIRECTION('ref_axis',(0.146731885739879,-0.989176300619469,0.)); #390043=DIRECTION('center_axis',(0.,0.,1.)); #390044=DIRECTION('ref_axis',(0.146731885739879,-0.989176300619469,0.)); #390045=DIRECTION('center_axis',(0.,0.,1.)); #390046=DIRECTION('ref_axis',(0.146731885739879,-0.989176300619469,0.)); #390047=DIRECTION('center_axis',(0.,0.,1.)); #390048=DIRECTION('ref_axis',(1.,0.,0.)); #390049=DIRECTION('center_axis',(0.,0.,1.)); #390050=DIRECTION('ref_axis',(1.,0.,0.)); #390051=DIRECTION('center_axis',(0.,0.,1.)); #390052=DIRECTION('ref_axis',(1.,0.,0.)); #390053=DIRECTION('center_axis',(0.,0.,1.)); #390054=DIRECTION('ref_axis',(1.,0.,0.)); #390055=DIRECTION('',(0.,0.,1.)); #390056=DIRECTION('center_axis',(0.,0.,-1.)); #390057=DIRECTION('ref_axis',(1.,0.,0.)); #390058=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #390059=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #390060=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #390061=DIRECTION('',(0.,0.,1.)); #390062=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #390063=DIRECTION('',(0.,0.,1.)); #390064=DIRECTION('center_axis',(0.,0.,1.)); #390065=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #390066=DIRECTION('center_axis',(0.,0.,1.)); #390067=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #390068=DIRECTION('center_axis',(0.,0.,1.)); #390069=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #390070=DIRECTION('',(0.,0.,1.)); #390071=DIRECTION('center_axis',(0.,0.,1.)); #390072=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #390073=DIRECTION('center_axis',(0.,0.,1.)); #390074=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #390075=DIRECTION('center_axis',(0.,0.,1.)); #390076=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #390077=DIRECTION('center_axis',(0.,0.,1.)); #390078=DIRECTION('ref_axis',(1.,0.,0.)); #390079=DIRECTION('center_axis',(0.,0.,1.)); #390080=DIRECTION('ref_axis',(1.,0.,0.)); #390081=DIRECTION('center_axis',(0.,0.,1.)); #390082=DIRECTION('ref_axis',(1.,0.,0.)); #390083=DIRECTION('center_axis',(0.,0.,1.)); #390084=DIRECTION('ref_axis',(1.,0.,0.)); #390085=DIRECTION('',(0.,0.,1.)); #390086=DIRECTION('center_axis',(0.,0.,-1.)); #390087=DIRECTION('ref_axis',(1.,0.,0.)); #390088=DIRECTION('center_axis',(0.156447762252332,-0.987686234431886,0.)); #390089=DIRECTION('ref_axis',(0.987686234431886,0.156447762252332,0.)); #390090=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #390091=DIRECTION('',(0.,0.,1.)); #390092=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #390093=DIRECTION('',(0.,0.,1.)); #390094=DIRECTION('center_axis',(0.,0.,1.)); #390095=DIRECTION('ref_axis',(-0.888045829238897,-0.459754940344746,0.)); #390096=DIRECTION('center_axis',(0.,0.,1.)); #390097=DIRECTION('ref_axis',(-0.888045829238897,-0.459754940344746,0.)); #390098=DIRECTION('center_axis',(0.,0.,1.)); #390099=DIRECTION('ref_axis',(-0.888045829238897,-0.459754940344746,0.)); #390100=DIRECTION('',(0.,0.,1.)); #390101=DIRECTION('center_axis',(0.,-1.,0.)); #390102=DIRECTION('ref_axis',(1.,0.,0.)); #390103=DIRECTION('',(1.,0.,0.)); #390104=DIRECTION('',(1.,0.,0.)); #390105=DIRECTION('',(0.,0.,1.)); #390106=DIRECTION('center_axis',(0.,0.,1.)); #390107=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984462,0.)); #390108=DIRECTION('center_axis',(0.,0.,1.)); #390109=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984462,0.)); #390110=DIRECTION('center_axis',(0.,0.,1.)); #390111=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984462,0.)); #390112=DIRECTION('',(0.,0.,1.)); #390113=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #390114=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #390115=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #390116=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #390117=DIRECTION('',(0.,0.,1.)); #390118=DIRECTION('center_axis',(0.,-1.,0.)); #390119=DIRECTION('ref_axis',(1.,0.,0.)); #390120=DIRECTION('',(1.,0.,0.)); #390121=DIRECTION('',(1.,0.,0.)); #390122=DIRECTION('',(0.,0.,1.)); #390123=DIRECTION('center_axis',(-1.,0.,0.)); #390124=DIRECTION('ref_axis',(0.,-1.,0.)); #390125=DIRECTION('',(0.,-1.,0.)); #390126=DIRECTION('',(0.,-1.,0.)); #390127=DIRECTION('',(0.,0.,1.)); #390128=DIRECTION('center_axis',(0.,1.,0.)); #390129=DIRECTION('ref_axis',(-1.,0.,0.)); #390130=DIRECTION('',(-1.,0.,0.)); #390131=DIRECTION('',(-1.,0.,0.)); #390132=DIRECTION('',(0.,0.,1.)); #390133=DIRECTION('center_axis',(1.,0.,0.)); #390134=DIRECTION('ref_axis',(0.,1.,0.)); #390135=DIRECTION('',(0.,1.,0.)); #390136=DIRECTION('',(0.,1.,0.)); #390137=DIRECTION('',(0.,0.,1.)); #390138=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #390139=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #390140=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #390141=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #390142=DIRECTION('',(0.,0.,1.)); #390143=DIRECTION('center_axis',(0.,1.,0.)); #390144=DIRECTION('ref_axis',(-1.,0.,0.)); #390145=DIRECTION('',(-1.,0.,0.)); #390146=DIRECTION('',(-1.,0.,0.)); #390147=DIRECTION('',(0.,0.,1.)); #390148=DIRECTION('center_axis',(0.,0.,1.)); #390149=DIRECTION('ref_axis',(-0.236706046226626,0.971581312953146,0.)); #390150=DIRECTION('center_axis',(0.,0.,1.)); #390151=DIRECTION('ref_axis',(-0.236706046226626,0.971581312953146,0.)); #390152=DIRECTION('center_axis',(0.,0.,1.)); #390153=DIRECTION('ref_axis',(-0.236706046226626,0.971581312953146,0.)); #390154=DIRECTION('',(0.,0.,1.)); #390155=DIRECTION('center_axis',(0.,0.,1.)); #390156=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #390157=DIRECTION('center_axis',(0.,0.,1.)); #390158=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #390159=DIRECTION('center_axis',(0.,0.,1.)); #390160=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #390161=DIRECTION('center_axis',(0.,0.,1.)); #390162=DIRECTION('ref_axis',(1.,0.,0.)); #390163=DIRECTION('center_axis',(0.,0.,1.)); #390164=DIRECTION('ref_axis',(1.,0.,0.)); #390165=DIRECTION('center_axis',(0.,0.,1.)); #390166=DIRECTION('ref_axis',(1.,0.,0.)); #390167=DIRECTION('center_axis',(0.,0.,1.)); #390168=DIRECTION('ref_axis',(1.,0.,0.)); #390169=DIRECTION('',(0.,0.,1.)); #390170=DIRECTION('center_axis',(0.,0.,-1.)); #390171=DIRECTION('ref_axis',(1.,0.,0.)); #390172=DIRECTION('center_axis',(0.156447762252348,-0.987686234431883,0.)); #390173=DIRECTION('ref_axis',(0.987686234431883,0.156447762252348,0.)); #390174=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #390175=DIRECTION('',(0.,0.,1.)); #390176=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #390177=DIRECTION('',(0.,0.,1.)); #390178=DIRECTION('center_axis',(0.,0.,1.)); #390179=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #390180=DIRECTION('center_axis',(0.,0.,1.)); #390181=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #390182=DIRECTION('center_axis',(0.,0.,1.)); #390183=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #390184=DIRECTION('',(0.,0.,1.)); #390185=DIRECTION('center_axis',(-1.,0.,0.)); #390186=DIRECTION('ref_axis',(0.,-1.,0.)); #390187=DIRECTION('',(0.,-1.,0.)); #390188=DIRECTION('',(0.,-1.,0.)); #390189=DIRECTION('',(0.,0.,1.)); #390190=DIRECTION('center_axis',(0.,-1.,0.)); #390191=DIRECTION('ref_axis',(1.,0.,0.)); #390192=DIRECTION('',(1.,0.,0.)); #390193=DIRECTION('',(1.,0.,0.)); #390194=DIRECTION('',(0.,0.,1.)); #390195=DIRECTION('center_axis',(-1.,0.,0.)); #390196=DIRECTION('ref_axis',(0.,-1.,0.)); #390197=DIRECTION('',(0.,-1.,0.)); #390198=DIRECTION('',(0.,-1.,0.)); #390199=DIRECTION('',(0.,0.,1.)); #390200=DIRECTION('center_axis',(0.,1.,0.)); #390201=DIRECTION('ref_axis',(-1.,0.,0.)); #390202=DIRECTION('',(-1.,0.,0.)); #390203=DIRECTION('',(-1.,0.,0.)); #390204=DIRECTION('',(0.,0.,1.)); #390205=DIRECTION('center_axis',(1.,0.,0.)); #390206=DIRECTION('ref_axis',(0.,1.,0.)); #390207=DIRECTION('',(0.,1.,0.)); #390208=DIRECTION('',(0.,1.,0.)); #390209=DIRECTION('',(0.,0.,1.)); #390210=DIRECTION('center_axis',(0.,-1.,0.)); #390211=DIRECTION('ref_axis',(1.,0.,0.)); #390212=DIRECTION('',(1.,0.,0.)); #390213=DIRECTION('',(1.,0.,0.)); #390214=DIRECTION('',(0.,0.,1.)); #390215=DIRECTION('center_axis',(1.,0.,0.)); #390216=DIRECTION('ref_axis',(0.,1.,0.)); #390217=DIRECTION('',(0.,1.,0.)); #390218=DIRECTION('',(0.,1.,0.)); #390219=DIRECTION('',(0.,0.,1.)); #390220=DIRECTION('center_axis',(0.,0.,1.)); #390221=DIRECTION('ref_axis',(0.23400412146881,-0.972235604745892,0.)); #390222=DIRECTION('center_axis',(0.,0.,1.)); #390223=DIRECTION('ref_axis',(0.23400412146881,-0.972235604745892,0.)); #390224=DIRECTION('center_axis',(0.,0.,1.)); #390225=DIRECTION('ref_axis',(0.23400412146881,-0.972235604745892,0.)); #390226=DIRECTION('center_axis',(0.,0.,1.)); #390227=DIRECTION('ref_axis',(1.,0.,0.)); #390228=DIRECTION('center_axis',(0.,0.,1.)); #390229=DIRECTION('ref_axis',(1.,0.,0.)); #390230=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #390231=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #390232=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #390233=DIRECTION('',(0.,0.,1.)); #390234=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #390235=DIRECTION('',(0.,0.,1.)); #390236=DIRECTION('center_axis',(0.,1.,0.)); #390237=DIRECTION('ref_axis',(-1.,0.,0.)); #390238=DIRECTION('',(-1.,0.,0.)); #390239=DIRECTION('',(-1.,0.,0.)); #390240=DIRECTION('',(0.,0.,1.)); #390241=DIRECTION('center_axis',(-1.,0.,0.)); #390242=DIRECTION('ref_axis',(0.,-1.,0.)); #390243=DIRECTION('',(0.,-1.,0.)); #390244=DIRECTION('',(0.,-1.,0.)); #390245=DIRECTION('',(0.,0.,1.)); #390246=DIRECTION('center_axis',(0.,1.,0.)); #390247=DIRECTION('ref_axis',(-1.,0.,0.)); #390248=DIRECTION('',(-1.,0.,0.)); #390249=DIRECTION('',(-1.,0.,0.)); #390250=DIRECTION('',(0.,0.,1.)); #390251=DIRECTION('center_axis',(1.,0.,0.)); #390252=DIRECTION('ref_axis',(0.,1.,0.)); #390253=DIRECTION('',(0.,1.,0.)); #390254=DIRECTION('',(0.,1.,0.)); #390255=DIRECTION('',(0.,0.,1.)); #390256=DIRECTION('center_axis',(0.,-1.,0.)); #390257=DIRECTION('ref_axis',(1.,0.,0.)); #390258=DIRECTION('',(1.,0.,0.)); #390259=DIRECTION('',(1.,0.,0.)); #390260=DIRECTION('',(0.,0.,1.)); #390261=DIRECTION('center_axis',(-1.,0.,0.)); #390262=DIRECTION('ref_axis',(0.,-1.,0.)); #390263=DIRECTION('',(0.,-1.,0.)); #390264=DIRECTION('',(0.,-1.,0.)); #390265=DIRECTION('',(0.,0.,1.)); #390266=DIRECTION('center_axis',(0.,-1.,0.)); #390267=DIRECTION('ref_axis',(1.,0.,0.)); #390268=DIRECTION('',(1.,0.,0.)); #390269=DIRECTION('',(1.,0.,0.)); #390270=DIRECTION('',(0.,0.,1.)); #390271=DIRECTION('center_axis',(0.,0.,1.)); #390272=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984461,0.)); #390273=DIRECTION('center_axis',(0.,0.,1.)); #390274=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984461,0.)); #390275=DIRECTION('center_axis',(0.,0.,1.)); #390276=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984461,0.)); #390277=DIRECTION('',(0.,0.,1.)); #390278=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #390279=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #390280=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #390281=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #390282=DIRECTION('',(0.,0.,1.)); #390283=DIRECTION('center_axis',(0.,0.,1.)); #390284=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396481E-6,0.)); #390285=DIRECTION('center_axis',(0.,0.,1.)); #390286=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396481E-6,0.)); #390287=DIRECTION('center_axis',(0.,0.,1.)); #390288=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396481E-6,0.)); #390289=DIRECTION('',(0.,0.,1.)); #390290=DIRECTION('center_axis',(-1.,0.,0.)); #390291=DIRECTION('ref_axis',(0.,-1.,0.)); #390292=DIRECTION('',(0.,-1.,0.)); #390293=DIRECTION('',(0.,-1.,0.)); #390294=DIRECTION('',(0.,0.,1.)); #390295=DIRECTION('center_axis',(-0.707106781186546,-0.707106781186549, 0.)); #390296=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #390297=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #390298=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #390299=DIRECTION('',(0.,0.,1.)); #390300=DIRECTION('center_axis',(0.,-1.,0.)); #390301=DIRECTION('ref_axis',(1.,0.,0.)); #390302=DIRECTION('',(1.,0.,0.)); #390303=DIRECTION('',(1.,0.,0.)); #390304=DIRECTION('',(0.,0.,1.)); #390305=DIRECTION('center_axis',(-1.,0.,0.)); #390306=DIRECTION('ref_axis',(0.,-1.,0.)); #390307=DIRECTION('',(0.,-1.,0.)); #390308=DIRECTION('',(0.,-1.,0.)); #390309=DIRECTION('',(0.,0.,1.)); #390310=DIRECTION('center_axis',(0.,1.,0.)); #390311=DIRECTION('ref_axis',(-1.,0.,0.)); #390312=DIRECTION('',(-1.,0.,0.)); #390313=DIRECTION('',(-1.,0.,0.)); #390314=DIRECTION('',(0.,0.,1.)); #390315=DIRECTION('center_axis',(1.,0.,0.)); #390316=DIRECTION('ref_axis',(0.,1.,0.)); #390317=DIRECTION('',(0.,1.,0.)); #390318=DIRECTION('',(0.,1.,0.)); #390319=DIRECTION('',(0.,0.,1.)); #390320=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #390321=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #390322=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #390323=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #390324=DIRECTION('',(0.,0.,1.)); #390325=DIRECTION('center_axis',(0.,0.,1.)); #390326=DIRECTION('ref_axis',(0.999999999982576,-5.90313866444152E-6,0.)); #390327=DIRECTION('center_axis',(0.,0.,1.)); #390328=DIRECTION('ref_axis',(0.999999999982576,-5.90313866444152E-6,0.)); #390329=DIRECTION('center_axis',(0.,0.,1.)); #390330=DIRECTION('ref_axis',(0.999999999982576,-5.90313866444152E-6,0.)); #390331=DIRECTION('',(0.,0.,1.)); #390332=DIRECTION('center_axis',(1.,0.,0.)); #390333=DIRECTION('ref_axis',(0.,1.,0.)); #390334=DIRECTION('',(0.,1.,0.)); #390335=DIRECTION('',(0.,1.,0.)); #390336=DIRECTION('center_axis',(0.,0.,1.)); #390337=DIRECTION('ref_axis',(1.,0.,0.)); #390338=DIRECTION('center_axis',(0.,0.,1.)); #390339=DIRECTION('ref_axis',(1.,0.,0.)); #390340=DIRECTION('center_axis',(1.,0.,0.)); #390341=DIRECTION('ref_axis',(0.,1.,0.)); #390342=DIRECTION('',(0.,1.,0.)); #390343=DIRECTION('',(0.,0.,1.)); #390344=DIRECTION('',(0.,1.,0.)); #390345=DIRECTION('',(0.,0.,1.)); #390346=DIRECTION('center_axis',(0.,-1.,0.)); #390347=DIRECTION('ref_axis',(1.,0.,0.)); #390348=DIRECTION('',(1.,0.,0.)); #390349=DIRECTION('',(1.,0.,0.)); #390350=DIRECTION('',(0.,0.,1.)); #390351=DIRECTION('center_axis',(-1.,0.,0.)); #390352=DIRECTION('ref_axis',(0.,-1.,0.)); #390353=DIRECTION('',(0.,-1.,0.)); #390354=DIRECTION('',(0.,-1.,0.)); #390355=DIRECTION('',(0.,0.,1.)); #390356=DIRECTION('center_axis',(0.,1.,0.)); #390357=DIRECTION('ref_axis',(-1.,0.,0.)); #390358=DIRECTION('',(-1.,0.,0.)); #390359=DIRECTION('',(-1.,0.,0.)); #390360=DIRECTION('',(0.,0.,1.)); #390361=DIRECTION('center_axis',(-1.,0.,0.)); #390362=DIRECTION('ref_axis',(0.,-1.,0.)); #390363=DIRECTION('',(0.,-1.,0.)); #390364=DIRECTION('',(0.,-1.,0.)); #390365=DIRECTION('',(0.,0.,1.)); #390366=DIRECTION('center_axis',(0.,0.,1.)); #390367=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #390368=DIRECTION('center_axis',(0.,0.,1.)); #390369=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #390370=DIRECTION('center_axis',(0.,0.,1.)); #390371=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #390372=DIRECTION('',(0.,0.,1.)); #390373=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,0.)); #390374=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,0.)); #390375=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #390376=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #390377=DIRECTION('',(0.,0.,1.)); #390378=DIRECTION('center_axis',(-1.,0.,0.)); #390379=DIRECTION('ref_axis',(0.,-1.,0.)); #390380=DIRECTION('',(0.,-1.,0.)); #390381=DIRECTION('',(0.,-1.,0.)); #390382=DIRECTION('',(0.,0.,1.)); #390383=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #390384=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #390385=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #390386=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #390387=DIRECTION('',(0.,0.,1.)); #390388=DIRECTION('center_axis',(0.,-1.,0.)); #390389=DIRECTION('ref_axis',(1.,0.,0.)); #390390=DIRECTION('',(1.,0.,0.)); #390391=DIRECTION('',(1.,0.,0.)); #390392=DIRECTION('',(0.,0.,1.)); #390393=DIRECTION('center_axis',(-1.,0.,0.)); #390394=DIRECTION('ref_axis',(0.,-1.,0.)); #390395=DIRECTION('',(0.,-1.,0.)); #390396=DIRECTION('',(0.,-1.,0.)); #390397=DIRECTION('',(0.,0.,1.)); #390398=DIRECTION('center_axis',(0.,1.,0.)); #390399=DIRECTION('ref_axis',(-1.,0.,0.)); #390400=DIRECTION('',(-1.,0.,0.)); #390401=DIRECTION('',(-1.,0.,0.)); #390402=DIRECTION('',(0.,0.,1.)); #390403=DIRECTION('center_axis',(-1.,0.,0.)); #390404=DIRECTION('ref_axis',(0.,-1.,0.)); #390405=DIRECTION('',(0.,-1.,0.)); #390406=DIRECTION('',(0.,-1.,0.)); #390407=DIRECTION('',(0.,0.,1.)); #390408=DIRECTION('center_axis',(0.,0.,1.)); #390409=DIRECTION('ref_axis',(-0.707110948194238,0.707102614154301,0.)); #390410=DIRECTION('center_axis',(0.,0.,1.)); #390411=DIRECTION('ref_axis',(-0.707110948194238,0.707102614154301,0.)); #390412=DIRECTION('center_axis',(0.,0.,1.)); #390413=DIRECTION('ref_axis',(-0.707110948194238,0.707102614154301,0.)); #390414=DIRECTION('',(0.,0.,1.)); #390415=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #390416=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #390417=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #390418=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #390419=DIRECTION('',(0.,0.,1.)); #390420=DIRECTION('center_axis',(-1.,0.,0.)); #390421=DIRECTION('ref_axis',(0.,-1.,0.)); #390422=DIRECTION('',(0.,-1.,0.)); #390423=DIRECTION('',(0.,-1.,0.)); #390424=DIRECTION('',(0.,0.,1.)); #390425=DIRECTION('center_axis',(0.,0.,1.)); #390426=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #390427=DIRECTION('center_axis',(0.,0.,1.)); #390428=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #390429=DIRECTION('center_axis',(0.,0.,1.)); #390430=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #390431=DIRECTION('',(0.,0.,1.)); #390432=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #390433=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #390434=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #390435=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #390436=DIRECTION('',(0.,0.,1.)); #390437=DIRECTION('center_axis',(-1.,0.,0.)); #390438=DIRECTION('ref_axis',(0.,-1.,0.)); #390439=DIRECTION('',(0.,-1.,0.)); #390440=DIRECTION('',(0.,-1.,0.)); #390441=DIRECTION('',(0.,0.,1.)); #390442=DIRECTION('center_axis',(0.,1.,0.)); #390443=DIRECTION('ref_axis',(-1.,0.,0.)); #390444=DIRECTION('',(-1.,0.,0.)); #390445=DIRECTION('',(-1.,0.,0.)); #390446=DIRECTION('',(0.,0.,1.)); #390447=DIRECTION('center_axis',(1.,0.,0.)); #390448=DIRECTION('ref_axis',(0.,1.,0.)); #390449=DIRECTION('',(0.,1.,0.)); #390450=DIRECTION('',(0.,1.,0.)); #390451=DIRECTION('',(0.,0.,1.)); #390452=DIRECTION('center_axis',(0.,-1.,0.)); #390453=DIRECTION('ref_axis',(1.,0.,0.)); #390454=DIRECTION('',(1.,0.,0.)); #390455=DIRECTION('',(1.,0.,0.)); #390456=DIRECTION('',(0.,0.,1.)); #390457=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #390458=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #390459=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #390460=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #390461=DIRECTION('',(0.,0.,1.)); #390462=DIRECTION('center_axis',(1.,0.,0.)); #390463=DIRECTION('ref_axis',(0.,1.,0.)); #390464=DIRECTION('',(0.,1.,0.)); #390465=DIRECTION('',(0.,1.,0.)); #390466=DIRECTION('',(0.,0.,1.)); #390467=DIRECTION('center_axis',(0.,0.,1.)); #390468=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #390469=DIRECTION('center_axis',(0.,0.,1.)); #390470=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #390471=DIRECTION('center_axis',(0.,0.,1.)); #390472=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #390473=DIRECTION('',(0.,0.,1.)); #390474=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #390475=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #390476=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #390477=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #390478=DIRECTION('',(0.,0.,1.)); #390479=DIRECTION('center_axis',(1.,0.,0.)); #390480=DIRECTION('ref_axis',(0.,1.,0.)); #390481=DIRECTION('',(0.,1.,0.)); #390482=DIRECTION('',(0.,1.,0.)); #390483=DIRECTION('',(0.,0.,1.)); #390484=DIRECTION('center_axis',(0.,1.,0.)); #390485=DIRECTION('ref_axis',(-1.,0.,0.)); #390486=DIRECTION('',(-1.,0.,0.)); #390487=DIRECTION('',(-1.,0.,0.)); #390488=DIRECTION('',(0.,0.,1.)); #390489=DIRECTION('center_axis',(1.,0.,0.)); #390490=DIRECTION('ref_axis',(0.,1.,0.)); #390491=DIRECTION('',(0.,1.,0.)); #390492=DIRECTION('',(0.,1.,0.)); #390493=DIRECTION('',(0.,0.,1.)); #390494=DIRECTION('center_axis',(0.707106781186546,0.707106781186549,0.)); #390495=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #390496=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #390497=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #390498=DIRECTION('',(0.,0.,1.)); #390499=DIRECTION('center_axis',(0.,0.,1.)); #390500=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #390501=DIRECTION('center_axis',(0.,0.,1.)); #390502=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #390503=DIRECTION('center_axis',(0.,0.,1.)); #390504=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #390505=DIRECTION('',(0.,0.,1.)); #390506=DIRECTION('center_axis',(1.,0.,0.)); #390507=DIRECTION('ref_axis',(0.,1.,0.)); #390508=DIRECTION('',(0.,1.,0.)); #390509=DIRECTION('',(0.,1.,0.)); #390510=DIRECTION('',(0.,0.,1.)); #390511=DIRECTION('center_axis',(0.,0.,1.)); #390512=DIRECTION('ref_axis',(0.707110948194273,-0.707102614154266,0.)); #390513=DIRECTION('center_axis',(0.,0.,1.)); #390514=DIRECTION('ref_axis',(0.707110948194273,-0.707102614154266,0.)); #390515=DIRECTION('center_axis',(0.,0.,1.)); #390516=DIRECTION('ref_axis',(0.707110948194273,-0.707102614154266,0.)); #390517=DIRECTION('',(0.,0.,1.)); #390518=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #390519=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #390520=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #390521=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #390522=DIRECTION('',(0.,0.,1.)); #390523=DIRECTION('center_axis',(1.,0.,0.)); #390524=DIRECTION('ref_axis',(0.,1.,0.)); #390525=DIRECTION('',(0.,1.,0.)); #390526=DIRECTION('',(0.,1.,0.)); #390527=DIRECTION('',(0.,0.,1.)); #390528=DIRECTION('center_axis',(0.,1.,0.)); #390529=DIRECTION('ref_axis',(-1.,0.,0.)); #390530=DIRECTION('',(-1.,0.,0.)); #390531=DIRECTION('',(-1.,0.,0.)); #390532=DIRECTION('center_axis',(0.,0.,1.)); #390533=DIRECTION('ref_axis',(1.,0.,0.)); #390534=DIRECTION('center_axis',(0.,0.,1.)); #390535=DIRECTION('ref_axis',(1.,0.,0.)); #390536=DIRECTION('center_axis',(1.,0.,0.)); #390537=DIRECTION('ref_axis',(0.,1.,0.)); #390538=DIRECTION('',(0.,1.,0.)); #390539=DIRECTION('',(0.,0.,1.)); #390540=DIRECTION('',(0.,1.,0.)); #390541=DIRECTION('',(0.,0.,1.)); #390542=DIRECTION('center_axis',(0.,-1.,0.)); #390543=DIRECTION('ref_axis',(1.,0.,0.)); #390544=DIRECTION('',(1.,0.,0.)); #390545=DIRECTION('',(1.,0.,0.)); #390546=DIRECTION('',(0.,0.,1.)); #390547=DIRECTION('center_axis',(-1.,0.,0.)); #390548=DIRECTION('ref_axis',(0.,-1.,0.)); #390549=DIRECTION('',(0.,-1.,0.)); #390550=DIRECTION('',(0.,-1.,0.)); #390551=DIRECTION('',(0.,0.,1.)); #390552=DIRECTION('center_axis',(0.,1.,0.)); #390553=DIRECTION('ref_axis',(-1.,0.,0.)); #390554=DIRECTION('',(-1.,0.,0.)); #390555=DIRECTION('',(-1.,0.,0.)); #390556=DIRECTION('',(0.,0.,1.)); #390557=DIRECTION('center_axis',(-1.,0.,0.)); #390558=DIRECTION('ref_axis',(0.,-1.,0.)); #390559=DIRECTION('',(0.,-1.,0.)); #390560=DIRECTION('',(0.,-1.,0.)); #390561=DIRECTION('',(0.,0.,1.)); #390562=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #390563=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #390564=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #390565=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #390566=DIRECTION('',(0.,0.,1.)); #390567=DIRECTION('center_axis',(0.,0.,1.)); #390568=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695794E-6,0.)); #390569=DIRECTION('center_axis',(0.,0.,1.)); #390570=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695794E-6,0.)); #390571=DIRECTION('center_axis',(0.,0.,1.)); #390572=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695794E-6,0.)); #390573=DIRECTION('',(0.,0.,1.)); #390574=DIRECTION('center_axis',(-1.,0.,0.)); #390575=DIRECTION('ref_axis',(0.,-1.,0.)); #390576=DIRECTION('',(0.,-1.,0.)); #390577=DIRECTION('',(0.,-1.,0.)); #390578=DIRECTION('',(0.,0.,1.)); #390579=DIRECTION('center_axis',(0.,0.,1.)); #390580=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #390581=DIRECTION('center_axis',(0.,0.,1.)); #390582=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #390583=DIRECTION('center_axis',(0.,0.,1.)); #390584=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #390585=DIRECTION('',(0.,0.,1.)); #390586=DIRECTION('center_axis',(-0.707106781186549,0.707106781186546,0.)); #390587=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,0.)); #390588=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #390589=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #390590=DIRECTION('',(0.,0.,1.)); #390591=DIRECTION('center_axis',(-1.,0.,0.)); #390592=DIRECTION('ref_axis',(0.,-1.,0.)); #390593=DIRECTION('',(0.,-1.,0.)); #390594=DIRECTION('',(0.,-1.,0.)); #390595=DIRECTION('',(0.,0.,1.)); #390596=DIRECTION('center_axis',(0.,1.,0.)); #390597=DIRECTION('ref_axis',(-1.,0.,0.)); #390598=DIRECTION('',(-1.,0.,0.)); #390599=DIRECTION('',(-1.,0.,0.)); #390600=DIRECTION('',(0.,0.,1.)); #390601=DIRECTION('center_axis',(-1.,0.,0.)); #390602=DIRECTION('ref_axis',(0.,-1.,0.)); #390603=DIRECTION('',(0.,-1.,0.)); #390604=DIRECTION('',(0.,-1.,0.)); #390605=DIRECTION('',(0.,0.,1.)); #390606=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #390607=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #390608=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #390609=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #390610=DIRECTION('',(0.,0.,1.)); #390611=DIRECTION('center_axis',(0.,0.,1.)); #390612=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192593E-6,0.)); #390613=DIRECTION('center_axis',(0.,0.,1.)); #390614=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192593E-6,0.)); #390615=DIRECTION('center_axis',(0.,0.,1.)); #390616=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192593E-6,0.)); #390617=DIRECTION('',(0.,0.,1.)); #390618=DIRECTION('center_axis',(-1.,0.,0.)); #390619=DIRECTION('ref_axis',(0.,-1.,0.)); #390620=DIRECTION('',(0.,-1.,0.)); #390621=DIRECTION('',(0.,-1.,0.)); #390622=DIRECTION('',(0.,0.,1.)); #390623=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #390624=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #390625=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #390626=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #390627=DIRECTION('',(0.,0.,1.)); #390628=DIRECTION('center_axis',(0.,-1.,0.)); #390629=DIRECTION('ref_axis',(1.,0.,0.)); #390630=DIRECTION('',(1.,0.,0.)); #390631=DIRECTION('',(1.,0.,0.)); #390632=DIRECTION('',(0.,0.,1.)); #390633=DIRECTION('center_axis',(-1.,0.,0.)); #390634=DIRECTION('ref_axis',(0.,-1.,0.)); #390635=DIRECTION('',(0.,-1.,0.)); #390636=DIRECTION('',(0.,-1.,0.)); #390637=DIRECTION('',(0.,0.,1.)); #390638=DIRECTION('center_axis',(0.,1.,0.)); #390639=DIRECTION('ref_axis',(-1.,0.,0.)); #390640=DIRECTION('',(-1.,0.,0.)); #390641=DIRECTION('',(-1.,0.,0.)); #390642=DIRECTION('',(0.,0.,1.)); #390643=DIRECTION('center_axis',(1.,0.,0.)); #390644=DIRECTION('ref_axis',(0.,1.,0.)); #390645=DIRECTION('',(0.,1.,0.)); #390646=DIRECTION('',(0.,1.,0.)); #390647=DIRECTION('',(0.,0.,1.)); #390648=DIRECTION('center_axis',(0.707106781186535,0.70710678118656,0.)); #390649=DIRECTION('ref_axis',(-0.70710678118656,0.707106781186535,0.)); #390650=DIRECTION('',(-0.70710678118656,0.707106781186535,0.)); #390651=DIRECTION('',(-0.70710678118656,0.707106781186535,0.)); #390652=DIRECTION('',(0.,0.,1.)); #390653=DIRECTION('center_axis',(0.,0.,1.)); #390654=DIRECTION('ref_axis',(0.999999999982576,-5.90313871689267E-6,0.)); #390655=DIRECTION('center_axis',(0.,0.,1.)); #390656=DIRECTION('ref_axis',(0.999999999982576,-5.90313871689267E-6,0.)); #390657=DIRECTION('center_axis',(0.,0.,1.)); #390658=DIRECTION('ref_axis',(0.999999999982576,-5.90313871689267E-6,0.)); #390659=DIRECTION('',(0.,0.,1.)); #390660=DIRECTION('center_axis',(1.,0.,0.)); #390661=DIRECTION('ref_axis',(0.,1.,0.)); #390662=DIRECTION('',(0.,1.,0.)); #390663=DIRECTION('',(0.,1.,0.)); #390664=DIRECTION('',(0.,0.,1.)); #390665=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #390666=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #390667=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #390668=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #390669=DIRECTION('',(0.,0.,1.)); #390670=DIRECTION('center_axis',(0.,0.,1.)); #390671=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192572E-6,0.)); #390672=DIRECTION('center_axis',(0.,0.,1.)); #390673=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192572E-6,0.)); #390674=DIRECTION('center_axis',(0.,0.,1.)); #390675=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192572E-6,0.)); #390676=DIRECTION('',(0.,0.,1.)); #390677=DIRECTION('center_axis',(1.,0.,0.)); #390678=DIRECTION('ref_axis',(0.,1.,0.)); #390679=DIRECTION('',(0.,1.,0.)); #390680=DIRECTION('',(0.,1.,0.)); #390681=DIRECTION('',(0.,0.,1.)); #390682=DIRECTION('center_axis',(0.,1.,0.)); #390683=DIRECTION('ref_axis',(-1.,0.,0.)); #390684=DIRECTION('',(-1.,0.,0.)); #390685=DIRECTION('',(-1.,0.,0.)); #390686=DIRECTION('',(0.,0.,1.)); #390687=DIRECTION('center_axis',(1.,0.,0.)); #390688=DIRECTION('ref_axis',(0.,1.,0.)); #390689=DIRECTION('',(0.,1.,0.)); #390690=DIRECTION('',(0.,1.,0.)); #390691=DIRECTION('',(0.,0.,1.)); #390692=DIRECTION('center_axis',(0.,-1.,0.)); #390693=DIRECTION('ref_axis',(1.,0.,0.)); #390694=DIRECTION('',(1.,0.,0.)); #390695=DIRECTION('',(1.,0.,0.)); #390696=DIRECTION('',(0.,0.,1.)); #390697=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #390698=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #390699=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #390700=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #390701=DIRECTION('',(0.,0.,1.)); #390702=DIRECTION('center_axis',(1.,0.,0.)); #390703=DIRECTION('ref_axis',(0.,1.,0.)); #390704=DIRECTION('',(0.,1.,0.)); #390705=DIRECTION('',(0.,1.,0.)); #390706=DIRECTION('',(0.,0.,1.)); #390707=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #390708=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #390709=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #390710=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #390711=DIRECTION('',(0.,0.,1.)); #390712=DIRECTION('center_axis',(0.,0.,1.)); #390713=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192572E-6,0.)); #390714=DIRECTION('center_axis',(0.,0.,1.)); #390715=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192572E-6,0.)); #390716=DIRECTION('center_axis',(0.,0.,1.)); #390717=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192572E-6,0.)); #390718=DIRECTION('',(0.,0.,1.)); #390719=DIRECTION('center_axis',(1.,0.,0.)); #390720=DIRECTION('ref_axis',(0.,1.,0.)); #390721=DIRECTION('',(0.,1.,0.)); #390722=DIRECTION('',(0.,1.,0.)); #390723=DIRECTION('',(0.,0.,1.)); #390724=DIRECTION('center_axis',(0.,1.,0.)); #390725=DIRECTION('ref_axis',(-1.,0.,0.)); #390726=DIRECTION('',(-1.,0.,0.)); #390727=DIRECTION('',(-1.,0.,0.)); #390728=DIRECTION('center_axis',(0.,0.,1.)); #390729=DIRECTION('ref_axis',(1.,0.,0.)); #390730=DIRECTION('center_axis',(0.,0.,1.)); #390731=DIRECTION('ref_axis',(1.,0.,0.)); #390732=DIRECTION('center_axis',(0.,0.,1.)); #390733=DIRECTION('ref_axis',(1.,0.,0.)); #390734=DIRECTION('center_axis',(0.,0.,1.)); #390735=DIRECTION('ref_axis',(1.,0.,0.)); #390736=DIRECTION('',(0.,0.,1.)); #390737=DIRECTION('center_axis',(0.,0.,-1.)); #390738=DIRECTION('ref_axis',(1.,0.,0.)); #390739=DIRECTION('center_axis',(0.156445508580651,-0.98768659140688,0.)); #390740=DIRECTION('ref_axis',(0.98768659140688,0.156445508580651,0.)); #390741=DIRECTION('',(0.98768659140688,0.156445508580651,0.)); #390742=DIRECTION('',(0.,0.,1.)); #390743=DIRECTION('',(0.98768659140688,0.156445508580651,0.)); #390744=DIRECTION('',(0.,0.,1.)); #390745=DIRECTION('center_axis',(0.,0.,1.)); #390746=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #390747=DIRECTION('center_axis',(0.,0.,1.)); #390748=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #390749=DIRECTION('center_axis',(0.,0.,1.)); #390750=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #390751=DIRECTION('',(0.,0.,1.)); #390752=DIRECTION('center_axis',(-1.,0.,0.)); #390753=DIRECTION('ref_axis',(0.,-1.,0.)); #390754=DIRECTION('',(0.,-1.,0.)); #390755=DIRECTION('',(0.,-1.,0.)); #390756=DIRECTION('',(0.,0.,1.)); #390757=DIRECTION('center_axis',(-0.707106947327658,-0.707106615045398, 0.)); #390758=DIRECTION('ref_axis',(0.707106615045398,-0.707106947327658,0.)); #390759=DIRECTION('',(0.707106615045398,-0.707106947327658,0.)); #390760=DIRECTION('',(0.707106615045398,-0.707106947327658,0.)); #390761=DIRECTION('',(0.,0.,1.)); #390762=DIRECTION('center_axis',(0.,0.,1.)); #390763=DIRECTION('ref_axis',(-0.999999999980175,6.29683249865397E-6,0.)); #390764=DIRECTION('center_axis',(0.,0.,1.)); #390765=DIRECTION('ref_axis',(-0.999999999980175,6.29683249865397E-6,0.)); #390766=DIRECTION('center_axis',(0.,0.,1.)); #390767=DIRECTION('ref_axis',(-0.999999999980175,6.29683249865397E-6,0.)); #390768=DIRECTION('',(0.,0.,1.)); #390769=DIRECTION('center_axis',(-1.,0.,0.)); #390770=DIRECTION('ref_axis',(0.,-1.,0.)); #390771=DIRECTION('',(0.,-1.,0.)); #390772=DIRECTION('',(0.,-1.,0.)); #390773=DIRECTION('',(0.,0.,1.)); #390774=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #390775=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #390776=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #390777=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #390778=DIRECTION('',(0.,0.,1.)); #390779=DIRECTION('center_axis',(0.,0.,1.)); #390780=DIRECTION('ref_axis',(-0.999999999982576,5.90313866444152E-6,0.)); #390781=DIRECTION('center_axis',(0.,0.,1.)); #390782=DIRECTION('ref_axis',(-0.999999999982576,5.90313866444152E-6,0.)); #390783=DIRECTION('center_axis',(0.,0.,1.)); #390784=DIRECTION('ref_axis',(-0.999999999982576,5.90313866444152E-6,0.)); #390785=DIRECTION('',(0.,0.,1.)); #390786=DIRECTION('center_axis',(-1.,0.,0.)); #390787=DIRECTION('ref_axis',(0.,-1.,0.)); #390788=DIRECTION('',(0.,-1.,0.)); #390789=DIRECTION('',(0.,-1.,0.)); #390790=DIRECTION('',(0.,0.,1.)); #390791=DIRECTION('center_axis',(0.,-1.,0.)); #390792=DIRECTION('ref_axis',(1.,0.,0.)); #390793=DIRECTION('',(1.,0.,0.)); #390794=DIRECTION('',(1.,0.,0.)); #390795=DIRECTION('',(0.,0.,1.)); #390796=DIRECTION('center_axis',(-1.,0.,0.)); #390797=DIRECTION('ref_axis',(0.,-1.,0.)); #390798=DIRECTION('',(0.,-1.,0.)); #390799=DIRECTION('',(0.,-1.,0.)); #390800=DIRECTION('',(0.,0.,1.)); #390801=DIRECTION('center_axis',(0.,1.,0.)); #390802=DIRECTION('ref_axis',(-1.,0.,0.)); #390803=DIRECTION('',(-1.,0.,0.)); #390804=DIRECTION('',(-1.,0.,0.)); #390805=DIRECTION('',(0.,0.,1.)); #390806=DIRECTION('center_axis',(1.,0.,0.)); #390807=DIRECTION('ref_axis',(0.,1.,0.)); #390808=DIRECTION('',(0.,1.,0.)); #390809=DIRECTION('',(0.,1.,0.)); #390810=DIRECTION('',(0.,0.,1.)); #390811=DIRECTION('center_axis',(0.,-1.,0.)); #390812=DIRECTION('ref_axis',(1.,0.,0.)); #390813=DIRECTION('',(1.,0.,0.)); #390814=DIRECTION('',(1.,0.,0.)); #390815=DIRECTION('',(0.,0.,1.)); #390816=DIRECTION('center_axis',(1.,0.,0.)); #390817=DIRECTION('ref_axis',(0.,1.,0.)); #390818=DIRECTION('',(0.,1.,0.)); #390819=DIRECTION('',(0.,1.,0.)); #390820=DIRECTION('',(0.,0.,1.)); #390821=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #390822=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #390823=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #390824=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #390825=DIRECTION('',(0.,0.,1.)); #390826=DIRECTION('center_axis',(0.,0.,1.)); #390827=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #390828=DIRECTION('center_axis',(0.,0.,1.)); #390829=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #390830=DIRECTION('center_axis',(0.,0.,1.)); #390831=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #390832=DIRECTION('',(0.,0.,1.)); #390833=DIRECTION('center_axis',(1.,0.,0.)); #390834=DIRECTION('ref_axis',(0.,1.,0.)); #390835=DIRECTION('',(0.,1.,0.)); #390836=DIRECTION('',(0.,1.,0.)); #390837=DIRECTION('',(0.,0.,1.)); #390838=DIRECTION('center_axis',(0.707107113468654,0.707106448904285,0.)); #390839=DIRECTION('ref_axis',(-0.707106448904285,0.707107113468654,0.)); #390840=DIRECTION('',(-0.707106448904285,0.707107113468654,0.)); #390841=DIRECTION('',(-0.707106448904285,0.707107113468654,0.)); #390842=DIRECTION('',(0.,0.,1.)); #390843=DIRECTION('center_axis',(0.,0.,1.)); #390844=DIRECTION('ref_axis',(0.999999999980175,-6.29683249865397E-6,0.)); #390845=DIRECTION('center_axis',(0.,0.,1.)); #390846=DIRECTION('ref_axis',(0.999999999980175,-6.29683249865397E-6,0.)); #390847=DIRECTION('center_axis',(0.,0.,1.)); #390848=DIRECTION('ref_axis',(0.999999999980175,-6.29683249865397E-6,0.)); #390849=DIRECTION('',(0.,0.,1.)); #390850=DIRECTION('center_axis',(1.,0.,0.)); #390851=DIRECTION('ref_axis',(0.,1.,0.)); #390852=DIRECTION('',(0.,1.,0.)); #390853=DIRECTION('',(0.,1.,0.)); #390854=DIRECTION('',(0.,0.,1.)); #390855=DIRECTION('center_axis',(0.,0.,1.)); #390856=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #390857=DIRECTION('center_axis',(0.,0.,1.)); #390858=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #390859=DIRECTION('center_axis',(0.,0.,1.)); #390860=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #390861=DIRECTION('center_axis',(0.,0.,1.)); #390862=DIRECTION('ref_axis',(1.,0.,0.)); #390863=DIRECTION('center_axis',(0.,0.,1.)); #390864=DIRECTION('ref_axis',(1.,0.,0.)); #390865=DIRECTION('center_axis',(0.,0.,1.)); #390866=DIRECTION('ref_axis',(1.,0.,0.)); #390867=DIRECTION('center_axis',(0.,0.,1.)); #390868=DIRECTION('ref_axis',(1.,0.,0.)); #390869=DIRECTION('',(0.,0.,1.)); #390870=DIRECTION('center_axis',(0.,0.,-1.)); #390871=DIRECTION('ref_axis',(1.,0.,0.)); #390872=DIRECTION('center_axis',(0.156445508580676,-0.987686591406876,0.)); #390873=DIRECTION('ref_axis',(0.987686591406876,0.156445508580676,0.)); #390874=DIRECTION('',(0.987686591406876,0.156445508580676,0.)); #390875=DIRECTION('',(0.,0.,1.)); #390876=DIRECTION('',(0.987686591406876,0.156445508580676,0.)); #390877=DIRECTION('',(0.,0.,1.)); #390878=DIRECTION('center_axis',(0.,0.,1.)); #390879=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #390880=DIRECTION('center_axis',(0.,0.,1.)); #390881=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #390882=DIRECTION('center_axis',(0.,0.,1.)); #390883=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #390884=DIRECTION('',(0.,0.,1.)); #390885=DIRECTION('center_axis',(-1.,0.,0.)); #390886=DIRECTION('ref_axis',(0.,-1.,0.)); #390887=DIRECTION('',(0.,-1.,0.)); #390888=DIRECTION('',(0.,-1.,0.)); #390889=DIRECTION('',(0.,0.,1.)); #390890=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546, 0.)); #390891=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.)); #390892=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #390893=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #390894=DIRECTION('',(0.,0.,1.)); #390895=DIRECTION('center_axis',(0.,0.,1.)); #390896=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #390897=DIRECTION('center_axis',(0.,0.,1.)); #390898=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #390899=DIRECTION('center_axis',(0.,0.,1.)); #390900=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #390901=DIRECTION('',(0.,0.,1.)); #390902=DIRECTION('center_axis',(-1.,0.,0.)); #390903=DIRECTION('ref_axis',(0.,-1.,0.)); #390904=DIRECTION('',(0.,-1.,0.)); #390905=DIRECTION('',(0.,-1.,0.)); #390906=DIRECTION('',(0.,0.,1.)); #390907=DIRECTION('center_axis',(0.,-1.,0.)); #390908=DIRECTION('ref_axis',(1.,0.,0.)); #390909=DIRECTION('',(1.,0.,0.)); #390910=DIRECTION('',(1.,0.,0.)); #390911=DIRECTION('',(0.,0.,1.)); #390912=DIRECTION('center_axis',(-1.,0.,0.)); #390913=DIRECTION('ref_axis',(0.,-1.,0.)); #390914=DIRECTION('',(0.,-1.,0.)); #390915=DIRECTION('',(0.,-1.,0.)); #390916=DIRECTION('',(0.,0.,1.)); #390917=DIRECTION('center_axis',(0.,1.,0.)); #390918=DIRECTION('ref_axis',(-1.,0.,0.)); #390919=DIRECTION('',(-1.,0.,0.)); #390920=DIRECTION('',(-1.,0.,0.)); #390921=DIRECTION('',(0.,0.,1.)); #390922=DIRECTION('center_axis',(1.,0.,0.)); #390923=DIRECTION('ref_axis',(0.,1.,0.)); #390924=DIRECTION('',(0.,1.,0.)); #390925=DIRECTION('',(0.,1.,0.)); #390926=DIRECTION('',(0.,0.,1.)); #390927=DIRECTION('center_axis',(0.,-1.,0.)); #390928=DIRECTION('ref_axis',(1.,0.,0.)); #390929=DIRECTION('',(1.,0.,0.)); #390930=DIRECTION('',(1.,0.,0.)); #390931=DIRECTION('',(0.,0.,1.)); #390932=DIRECTION('center_axis',(1.,0.,0.)); #390933=DIRECTION('ref_axis',(0.,1.,0.)); #390934=DIRECTION('',(0.,1.,0.)); #390935=DIRECTION('',(0.,1.,0.)); #390936=DIRECTION('',(0.,0.,1.)); #390937=DIRECTION('center_axis',(0.707106781186546,0.707106781186549,0.)); #390938=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #390939=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #390940=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #390941=DIRECTION('',(0.,0.,1.)); #390942=DIRECTION('center_axis',(0.,0.,1.)); #390943=DIRECTION('ref_axis',(0.999999999982576,-5.90313866444152E-6,0.)); #390944=DIRECTION('center_axis',(0.,0.,1.)); #390945=DIRECTION('ref_axis',(0.999999999982576,-5.90313866444152E-6,0.)); #390946=DIRECTION('center_axis',(0.,0.,1.)); #390947=DIRECTION('ref_axis',(0.999999999982576,-5.90313866444152E-6,0.)); #390948=DIRECTION('',(0.,0.,1.)); #390949=DIRECTION('center_axis',(1.,0.,0.)); #390950=DIRECTION('ref_axis',(0.,1.,0.)); #390951=DIRECTION('',(0.,1.,0.)); #390952=DIRECTION('',(0.,1.,0.)); #390953=DIRECTION('',(0.,0.,1.)); #390954=DIRECTION('center_axis',(0.,0.,1.)); #390955=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #390956=DIRECTION('center_axis',(0.,0.,1.)); #390957=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #390958=DIRECTION('center_axis',(0.,0.,1.)); #390959=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #390960=DIRECTION('center_axis',(0.,0.,1.)); #390961=DIRECTION('ref_axis',(1.,0.,0.)); #390962=DIRECTION('center_axis',(0.,0.,1.)); #390963=DIRECTION('ref_axis',(1.,0.,0.)); #390964=DIRECTION('center_axis',(0.,0.,1.)); #390965=DIRECTION('ref_axis',(1.,0.,0.)); #390966=DIRECTION('center_axis',(0.,0.,1.)); #390967=DIRECTION('ref_axis',(1.,0.,0.)); #390968=DIRECTION('',(0.,0.,1.)); #390969=DIRECTION('center_axis',(0.,0.,-1.)); #390970=DIRECTION('ref_axis',(1.,0.,0.)); #390971=DIRECTION('center_axis',(0.156447762252348,-0.987686234431883,0.)); #390972=DIRECTION('ref_axis',(0.987686234431883,0.156447762252348,0.)); #390973=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #390974=DIRECTION('',(0.,0.,1.)); #390975=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #390976=DIRECTION('',(0.,0.,1.)); #390977=DIRECTION('center_axis',(0.,0.,1.)); #390978=DIRECTION('ref_axis',(-0.461527865934839,0.887125712041779,0.)); #390979=DIRECTION('center_axis',(0.,0.,1.)); #390980=DIRECTION('ref_axis',(-0.461527865934839,0.887125712041779,0.)); #390981=DIRECTION('center_axis',(0.,0.,1.)); #390982=DIRECTION('ref_axis',(-0.461527865934839,0.887125712041779,0.)); #390983=DIRECTION('',(0.,0.,1.)); #390984=DIRECTION('center_axis',(-1.,0.,0.)); #390985=DIRECTION('ref_axis',(0.,-1.,0.)); #390986=DIRECTION('',(0.,-1.,0.)); #390987=DIRECTION('',(0.,-1.,0.)); #390988=DIRECTION('',(0.,0.,1.)); #390989=DIRECTION('center_axis',(-0.707106781186552,-0.707106781186543, 0.)); #390990=DIRECTION('ref_axis',(0.707106781186543,-0.707106781186552,0.)); #390991=DIRECTION('',(0.707106781186543,-0.707106781186552,0.)); #390992=DIRECTION('',(0.707106781186543,-0.707106781186552,0.)); #390993=DIRECTION('',(0.,0.,1.)); #390994=DIRECTION('center_axis',(0.,-1.,0.)); #390995=DIRECTION('ref_axis',(1.,0.,0.)); #390996=DIRECTION('',(1.,0.,0.)); #390997=DIRECTION('',(1.,0.,0.)); #390998=DIRECTION('',(0.,0.,1.)); #390999=DIRECTION('center_axis',(-1.,0.,0.)); #391000=DIRECTION('ref_axis',(0.,-1.,0.)); #391001=DIRECTION('',(0.,-1.,0.)); #391002=DIRECTION('',(0.,-1.,0.)); #391003=DIRECTION('',(0.,0.,1.)); #391004=DIRECTION('center_axis',(0.,1.,0.)); #391005=DIRECTION('ref_axis',(-1.,0.,0.)); #391006=DIRECTION('',(-1.,0.,0.)); #391007=DIRECTION('',(-1.,0.,0.)); #391008=DIRECTION('',(0.,0.,1.)); #391009=DIRECTION('center_axis',(1.,0.,0.)); #391010=DIRECTION('ref_axis',(0.,1.,0.)); #391011=DIRECTION('',(0.,1.,0.)); #391012=DIRECTION('',(0.,1.,0.)); #391013=DIRECTION('',(0.,0.,1.)); #391014=DIRECTION('center_axis',(0.70710678118654,0.707106781186555,0.)); #391015=DIRECTION('ref_axis',(-0.707106781186555,0.70710678118654,0.)); #391016=DIRECTION('',(-0.707106781186555,0.70710678118654,0.)); #391017=DIRECTION('',(-0.707106781186555,0.70710678118654,0.)); #391018=DIRECTION('',(0.,0.,1.)); #391019=DIRECTION('center_axis',(0.,0.,1.)); #391020=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #391021=DIRECTION('center_axis',(0.,0.,1.)); #391022=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #391023=DIRECTION('center_axis',(0.,0.,1.)); #391024=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #391025=DIRECTION('',(0.,0.,1.)); #391026=DIRECTION('center_axis',(1.,0.,0.)); #391027=DIRECTION('ref_axis',(0.,1.,0.)); #391028=DIRECTION('',(0.,1.,0.)); #391029=DIRECTION('',(0.,1.,0.)); #391030=DIRECTION('',(0.,0.,1.)); #391031=DIRECTION('center_axis',(0.,0.,1.)); #391032=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #391033=DIRECTION('center_axis',(0.,0.,1.)); #391034=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #391035=DIRECTION('center_axis',(0.,0.,1.)); #391036=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #391037=DIRECTION('center_axis',(0.,0.,1.)); #391038=DIRECTION('ref_axis',(1.,0.,0.)); #391039=DIRECTION('center_axis',(0.,0.,1.)); #391040=DIRECTION('ref_axis',(1.,0.,0.)); #391041=DIRECTION('center_axis',(0.,0.,1.)); #391042=DIRECTION('ref_axis',(1.,0.,0.)); #391043=DIRECTION('center_axis',(0.,0.,1.)); #391044=DIRECTION('ref_axis',(1.,0.,0.)); #391045=DIRECTION('',(0.,0.,1.)); #391046=DIRECTION('center_axis',(0.,0.,-1.)); #391047=DIRECTION('ref_axis',(1.,0.,0.)); #391048=DIRECTION('center_axis',(0.156447762252298,-0.987686234431891,0.)); #391049=DIRECTION('ref_axis',(0.987686234431891,0.156447762252298,0.)); #391050=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #391051=DIRECTION('',(0.,0.,1.)); #391052=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #391053=DIRECTION('',(0.,0.,1.)); #391054=DIRECTION('center_axis',(0.,0.,1.)); #391055=DIRECTION('ref_axis',(-0.953425737718333,0.30162785457622,0.)); #391056=DIRECTION('center_axis',(0.,0.,1.)); #391057=DIRECTION('ref_axis',(-0.953425737718333,0.30162785457622,0.)); #391058=DIRECTION('center_axis',(0.,0.,1.)); #391059=DIRECTION('ref_axis',(-0.953425737718333,0.30162785457622,0.)); #391060=DIRECTION('',(0.,0.,1.)); #391061=DIRECTION('center_axis',(-0.707106781186544,-0.707106781186551, 0.)); #391062=DIRECTION('ref_axis',(0.707106781186551,-0.707106781186544,0.)); #391063=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #391064=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #391065=DIRECTION('',(0.,0.,1.)); #391066=DIRECTION('center_axis',(0.,0.,1.)); #391067=DIRECTION('ref_axis',(-0.999999999982576,5.90313869940909E-6,0.)); #391068=DIRECTION('center_axis',(0.,0.,1.)); #391069=DIRECTION('ref_axis',(-0.999999999982576,5.90313869940909E-6,0.)); #391070=DIRECTION('center_axis',(0.,0.,1.)); #391071=DIRECTION('ref_axis',(-0.999999999982576,5.90313869940909E-6,0.)); #391072=DIRECTION('',(0.,0.,1.)); #391073=DIRECTION('center_axis',(-1.,0.,0.)); #391074=DIRECTION('ref_axis',(0.,-1.,0.)); #391075=DIRECTION('',(0.,-1.,0.)); #391076=DIRECTION('',(0.,-1.,0.)); #391077=DIRECTION('',(0.,0.,1.)); #391078=DIRECTION('center_axis',(0.,-1.,0.)); #391079=DIRECTION('ref_axis',(1.,0.,0.)); #391080=DIRECTION('',(1.,0.,0.)); #391081=DIRECTION('',(1.,0.,0.)); #391082=DIRECTION('',(0.,0.,1.)); #391083=DIRECTION('center_axis',(-1.,0.,0.)); #391084=DIRECTION('ref_axis',(0.,-1.,0.)); #391085=DIRECTION('',(0.,-1.,0.)); #391086=DIRECTION('',(0.,-1.,0.)); #391087=DIRECTION('',(0.,0.,1.)); #391088=DIRECTION('center_axis',(0.,1.,0.)); #391089=DIRECTION('ref_axis',(-1.,0.,0.)); #391090=DIRECTION('',(-1.,0.,0.)); #391091=DIRECTION('',(-1.,0.,0.)); #391092=DIRECTION('',(0.,0.,1.)); #391093=DIRECTION('center_axis',(1.,0.,0.)); #391094=DIRECTION('ref_axis',(0.,1.,0.)); #391095=DIRECTION('',(0.,1.,0.)); #391096=DIRECTION('',(0.,1.,0.)); #391097=DIRECTION('',(0.,0.,1.)); #391098=DIRECTION('center_axis',(0.,-1.,0.)); #391099=DIRECTION('ref_axis',(1.,0.,0.)); #391100=DIRECTION('',(1.,0.,0.)); #391101=DIRECTION('',(1.,0.,0.)); #391102=DIRECTION('',(0.,0.,1.)); #391103=DIRECTION('center_axis',(1.,0.,0.)); #391104=DIRECTION('ref_axis',(0.,1.,0.)); #391105=DIRECTION('',(0.,1.,0.)); #391106=DIRECTION('',(0.,1.,0.)); #391107=DIRECTION('',(0.,0.,1.)); #391108=DIRECTION('center_axis',(0.707106041253763,0.707107521118558,0.)); #391109=DIRECTION('ref_axis',(-0.707107521118558,0.707106041253763,0.)); #391110=DIRECTION('',(-0.707107521118558,0.707106041253763,0.)); #391111=DIRECTION('',(-0.707107521118558,0.707106041253763,0.)); #391112=DIRECTION('',(0.,0.,1.)); #391113=DIRECTION('center_axis',(-0.308977861954611,0.951069230299223,0.)); #391114=DIRECTION('ref_axis',(-0.951069230299223,-0.308977861954611,0.)); #391115=DIRECTION('',(-0.951069230299223,-0.308977861954611,0.)); #391116=DIRECTION('',(-0.951069230299223,-0.308977861954611,0.)); #391117=DIRECTION('',(0.,0.,1.)); #391118=DIRECTION('center_axis',(0.,0.,1.)); #391119=DIRECTION('ref_axis',(0.233449321392221,-0.972368970268751,0.)); #391120=DIRECTION('center_axis',(0.,0.,1.)); #391121=DIRECTION('ref_axis',(0.233449321392221,-0.972368970268751,0.)); #391122=DIRECTION('center_axis',(0.,0.,1.)); #391123=DIRECTION('ref_axis',(0.233449321392221,-0.972368970268751,0.)); #391124=DIRECTION('center_axis',(0.,0.,1.)); #391125=DIRECTION('ref_axis',(1.,0.,0.)); #391126=DIRECTION('center_axis',(0.,0.,1.)); #391127=DIRECTION('ref_axis',(1.,0.,0.)); #391128=DIRECTION('center_axis',(0.,0.,1.)); #391129=DIRECTION('ref_axis',(1.,0.,0.)); #391130=DIRECTION('center_axis',(0.,0.,1.)); #391131=DIRECTION('ref_axis',(1.,0.,0.)); #391132=DIRECTION('',(0.,0.,1.)); #391133=DIRECTION('center_axis',(0.,0.,-1.)); #391134=DIRECTION('ref_axis',(1.,0.,0.)); #391135=DIRECTION('center_axis',(0.,0.,1.)); #391136=DIRECTION('ref_axis',(1.,0.,0.)); #391137=DIRECTION('center_axis',(0.,0.,1.)); #391138=DIRECTION('ref_axis',(1.,0.,0.)); #391139=DIRECTION('',(0.,0.,1.)); #391140=DIRECTION('center_axis',(0.,0.,-1.)); #391141=DIRECTION('ref_axis',(1.,0.,0.)); #391142=DIRECTION('center_axis',(0.156447762252298,-0.987686234431891,0.)); #391143=DIRECTION('ref_axis',(0.987686234431891,0.156447762252298,0.)); #391144=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #391145=DIRECTION('',(0.,0.,1.)); #391146=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #391147=DIRECTION('',(0.,0.,1.)); #391148=DIRECTION('center_axis',(0.,0.,1.)); #391149=DIRECTION('ref_axis',(-0.382679799465882,-0.923881037299041,0.)); #391150=DIRECTION('center_axis',(0.,0.,1.)); #391151=DIRECTION('ref_axis',(-0.382679799465882,-0.923881037299041,0.)); #391152=DIRECTION('center_axis',(0.,0.,1.)); #391153=DIRECTION('ref_axis',(-0.382679799465882,-0.923881037299041,0.)); #391154=DIRECTION('',(0.,0.,1.)); #391155=DIRECTION('center_axis',(0.,0.,1.)); #391156=DIRECTION('ref_axis',(0.300709399253546,0.953715815744172,0.)); #391157=DIRECTION('center_axis',(0.,0.,1.)); #391158=DIRECTION('ref_axis',(0.300709399253546,0.953715815744172,0.)); #391159=DIRECTION('center_axis',(0.,0.,1.)); #391160=DIRECTION('ref_axis',(0.300709399253546,0.953715815744172,0.)); #391161=DIRECTION('',(0.,0.,1.)); #391162=DIRECTION('center_axis',(-0.707106390225302,0.707107172147577,0.)); #391163=DIRECTION('ref_axis',(-0.707107172147577,-0.707106390225302,0.)); #391164=DIRECTION('',(-0.707107172147577,-0.707106390225302,0.)); #391165=DIRECTION('',(-0.707107172147577,-0.707106390225302,0.)); #391166=DIRECTION('',(0.,0.,1.)); #391167=DIRECTION('center_axis',(0.,0.,1.)); #391168=DIRECTION('ref_axis',(0.382041212939084,0.924145287071267,0.)); #391169=DIRECTION('center_axis',(0.,0.,1.)); #391170=DIRECTION('ref_axis',(0.382041212939084,0.924145287071267,0.)); #391171=DIRECTION('center_axis',(0.,0.,1.)); #391172=DIRECTION('ref_axis',(0.382041212939084,0.924145287071267,0.)); #391173=DIRECTION('',(0.,0.,1.)); #391174=DIRECTION('center_axis',(0.,0.,1.)); #391175=DIRECTION('ref_axis',(-0.308674711171629,-0.951167662761467,0.)); #391176=DIRECTION('center_axis',(0.,0.,1.)); #391177=DIRECTION('ref_axis',(-0.308674711171629,-0.951167662761467,0.)); #391178=DIRECTION('center_axis',(0.,0.,1.)); #391179=DIRECTION('ref_axis',(-0.308674711171629,-0.951167662761467,0.)); #391180=DIRECTION('',(0.,0.,1.)); #391181=DIRECTION('center_axis',(0.707106781186545,-0.70710678118655,0.)); #391182=DIRECTION('ref_axis',(0.70710678118655,0.707106781186545,0.)); #391183=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #391184=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #391185=DIRECTION('',(0.,0.,1.)); #391186=DIRECTION('center_axis',(0.,0.,1.)); #391187=DIRECTION('ref_axis',(0.234831124216084,-0.972036184048418,0.)); #391188=DIRECTION('center_axis',(0.,0.,1.)); #391189=DIRECTION('ref_axis',(0.234831124216084,-0.972036184048418,0.)); #391190=DIRECTION('center_axis',(0.,0.,1.)); #391191=DIRECTION('ref_axis',(0.234831124216084,-0.972036184048418,0.)); #391192=DIRECTION('center_axis',(0.,0.,1.)); #391193=DIRECTION('ref_axis',(1.,0.,0.)); #391194=DIRECTION('center_axis',(0.,0.,1.)); #391195=DIRECTION('ref_axis',(1.,0.,0.)); #391196=DIRECTION('center_axis',(0.,0.,1.)); #391197=DIRECTION('ref_axis',(1.,0.,0.)); #391198=DIRECTION('center_axis',(0.,0.,1.)); #391199=DIRECTION('ref_axis',(1.,0.,0.)); #391200=DIRECTION('',(0.,0.,1.)); #391201=DIRECTION('center_axis',(0.,0.,-1.)); #391202=DIRECTION('ref_axis',(1.,0.,0.)); #391203=DIRECTION('center_axis',(0.156445508580676,-0.987686591406876,0.)); #391204=DIRECTION('ref_axis',(0.987686591406876,0.156445508580676,0.)); #391205=DIRECTION('',(0.987686591406876,0.156445508580676,0.)); #391206=DIRECTION('',(0.,0.,1.)); #391207=DIRECTION('',(0.987686591406876,0.156445508580676,0.)); #391208=DIRECTION('',(0.,0.,1.)); #391209=DIRECTION('center_axis',(0.,0.,1.)); #391210=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #391211=DIRECTION('center_axis',(0.,0.,1.)); #391212=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #391213=DIRECTION('center_axis',(0.,0.,1.)); #391214=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #391215=DIRECTION('',(0.,0.,1.)); #391216=DIRECTION('center_axis',(-1.,0.,0.)); #391217=DIRECTION('ref_axis',(0.,-1.,0.)); #391218=DIRECTION('',(0.,-1.,0.)); #391219=DIRECTION('',(0.,-1.,0.)); #391220=DIRECTION('',(0.,0.,1.)); #391221=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #391222=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #391223=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #391224=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #391225=DIRECTION('',(0.,0.,1.)); #391226=DIRECTION('center_axis',(0.,0.,1.)); #391227=DIRECTION('ref_axis',(-0.999999999951587,9.84004341648154E-6,0.)); #391228=DIRECTION('center_axis',(0.,0.,1.)); #391229=DIRECTION('ref_axis',(-0.999999999951587,9.84004341648154E-6,0.)); #391230=DIRECTION('center_axis',(0.,0.,1.)); #391231=DIRECTION('ref_axis',(-0.999999999951587,9.84004341648154E-6,0.)); #391232=DIRECTION('',(0.,0.,1.)); #391233=DIRECTION('center_axis',(-1.,0.,0.)); #391234=DIRECTION('ref_axis',(0.,-1.,0.)); #391235=DIRECTION('',(0.,-1.,0.)); #391236=DIRECTION('',(0.,-1.,0.)); #391237=DIRECTION('',(0.,0.,1.)); #391238=DIRECTION('center_axis',(0.,-1.,0.)); #391239=DIRECTION('ref_axis',(1.,0.,0.)); #391240=DIRECTION('',(1.,0.,0.)); #391241=DIRECTION('',(1.,0.,0.)); #391242=DIRECTION('',(0.,0.,1.)); #391243=DIRECTION('center_axis',(-1.,0.,0.)); #391244=DIRECTION('ref_axis',(0.,-1.,0.)); #391245=DIRECTION('',(0.,-1.,0.)); #391246=DIRECTION('',(0.,-1.,0.)); #391247=DIRECTION('',(0.,0.,1.)); #391248=DIRECTION('center_axis',(0.,1.,0.)); #391249=DIRECTION('ref_axis',(-1.,0.,0.)); #391250=DIRECTION('',(-1.,0.,0.)); #391251=DIRECTION('',(-1.,0.,0.)); #391252=DIRECTION('',(0.,0.,1.)); #391253=DIRECTION('center_axis',(1.,0.,0.)); #391254=DIRECTION('ref_axis',(0.,1.,0.)); #391255=DIRECTION('',(0.,1.,0.)); #391256=DIRECTION('',(0.,1.,0.)); #391257=DIRECTION('',(0.,0.,1.)); #391258=DIRECTION('center_axis',(0.,-1.,0.)); #391259=DIRECTION('ref_axis',(1.,0.,0.)); #391260=DIRECTION('',(1.,0.,0.)); #391261=DIRECTION('',(1.,0.,0.)); #391262=DIRECTION('',(0.,0.,1.)); #391263=DIRECTION('center_axis',(1.,0.,0.)); #391264=DIRECTION('ref_axis',(0.,1.,0.)); #391265=DIRECTION('',(0.,1.,0.)); #391266=DIRECTION('',(0.,1.,0.)); #391267=DIRECTION('',(0.,0.,1.)); #391268=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #391269=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #391270=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #391271=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #391272=DIRECTION('',(0.,0.,1.)); #391273=DIRECTION('center_axis',(0.,0.,1.)); #391274=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #391275=DIRECTION('center_axis',(0.,0.,1.)); #391276=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #391277=DIRECTION('center_axis',(0.,0.,1.)); #391278=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #391279=DIRECTION('',(0.,0.,1.)); #391280=DIRECTION('center_axis',(1.,0.,0.)); #391281=DIRECTION('ref_axis',(0.,1.,0.)); #391282=DIRECTION('',(0.,1.,0.)); #391283=DIRECTION('',(0.,1.,0.)); #391284=DIRECTION('',(0.,0.,1.)); #391285=DIRECTION('center_axis',(0.,0.,1.)); #391286=DIRECTION('ref_axis',(0.234004167193525,-0.972235593740563,0.)); #391287=DIRECTION('center_axis',(0.,0.,1.)); #391288=DIRECTION('ref_axis',(0.234004167193525,-0.972235593740563,0.)); #391289=DIRECTION('center_axis',(0.,0.,1.)); #391290=DIRECTION('ref_axis',(0.234004167193525,-0.972235593740563,0.)); #391291=DIRECTION('center_axis',(0.,0.,1.)); #391292=DIRECTION('ref_axis',(1.,0.,0.)); #391293=DIRECTION('center_axis',(0.,0.,1.)); #391294=DIRECTION('ref_axis',(1.,0.,0.)); #391295=DIRECTION('center_axis',(0.,0.,1.)); #391296=DIRECTION('ref_axis',(1.,0.,0.)); #391297=DIRECTION('center_axis',(0.,0.,1.)); #391298=DIRECTION('ref_axis',(1.,0.,0.)); #391299=DIRECTION('',(0.,0.,1.)); #391300=DIRECTION('center_axis',(0.,0.,-1.)); #391301=DIRECTION('ref_axis',(1.,0.,0.)); #391302=DIRECTION('center_axis',(0.156445508580651,-0.98768659140688,0.)); #391303=DIRECTION('ref_axis',(0.98768659140688,0.156445508580651,0.)); #391304=DIRECTION('',(0.98768659140688,0.156445508580651,0.)); #391305=DIRECTION('',(0.,0.,1.)); #391306=DIRECTION('',(0.98768659140688,0.156445508580651,0.)); #391307=DIRECTION('',(0.,0.,1.)); #391308=DIRECTION('center_axis',(0.,0.,1.)); #391309=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #391310=DIRECTION('center_axis',(0.,0.,1.)); #391311=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #391312=DIRECTION('center_axis',(0.,0.,1.)); #391313=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #391314=DIRECTION('',(0.,0.,1.)); #391315=DIRECTION('center_axis',(-1.,0.,0.)); #391316=DIRECTION('ref_axis',(0.,-1.,0.)); #391317=DIRECTION('',(0.,-1.,0.)); #391318=DIRECTION('',(0.,-1.,0.)); #391319=DIRECTION('',(0.,0.,1.)); #391320=DIRECTION('center_axis',(-0.70710694732766,-0.707106615045396,0.)); #391321=DIRECTION('ref_axis',(0.707106615045396,-0.70710694732766,0.)); #391322=DIRECTION('',(0.707106615045396,-0.70710694732766,0.)); #391323=DIRECTION('',(0.707106615045396,-0.70710694732766,0.)); #391324=DIRECTION('',(0.,0.,1.)); #391325=DIRECTION('center_axis',(0.,0.,1.)); #391326=DIRECTION('ref_axis',(-0.999999999980175,6.29683249865397E-6,0.)); #391327=DIRECTION('center_axis',(0.,0.,1.)); #391328=DIRECTION('ref_axis',(-0.999999999980175,6.29683249865397E-6,0.)); #391329=DIRECTION('center_axis',(0.,0.,1.)); #391330=DIRECTION('ref_axis',(-0.999999999980175,6.29683249865397E-6,0.)); #391331=DIRECTION('',(0.,0.,1.)); #391332=DIRECTION('center_axis',(-1.,0.,0.)); #391333=DIRECTION('ref_axis',(0.,-1.,0.)); #391334=DIRECTION('',(0.,-1.,0.)); #391335=DIRECTION('',(0.,-1.,0.)); #391336=DIRECTION('',(0.,0.,1.)); #391337=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #391338=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #391339=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #391340=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #391341=DIRECTION('',(0.,0.,1.)); #391342=DIRECTION('center_axis',(0.,0.,1.)); #391343=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #391344=DIRECTION('center_axis',(0.,0.,1.)); #391345=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #391346=DIRECTION('center_axis',(0.,0.,1.)); #391347=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #391348=DIRECTION('',(0.,0.,1.)); #391349=DIRECTION('center_axis',(-1.,0.,0.)); #391350=DIRECTION('ref_axis',(0.,-1.,0.)); #391351=DIRECTION('',(0.,-1.,0.)); #391352=DIRECTION('',(0.,-1.,0.)); #391353=DIRECTION('',(0.,0.,1.)); #391354=DIRECTION('center_axis',(0.,-1.,0.)); #391355=DIRECTION('ref_axis',(1.,0.,0.)); #391356=DIRECTION('',(1.,0.,0.)); #391357=DIRECTION('',(1.,0.,0.)); #391358=DIRECTION('',(0.,0.,1.)); #391359=DIRECTION('center_axis',(-1.,0.,0.)); #391360=DIRECTION('ref_axis',(0.,-1.,0.)); #391361=DIRECTION('',(0.,-1.,0.)); #391362=DIRECTION('',(0.,-1.,0.)); #391363=DIRECTION('',(0.,0.,1.)); #391364=DIRECTION('center_axis',(0.,1.,0.)); #391365=DIRECTION('ref_axis',(-1.,0.,0.)); #391366=DIRECTION('',(-1.,0.,0.)); #391367=DIRECTION('',(-1.,0.,0.)); #391368=DIRECTION('',(0.,0.,1.)); #391369=DIRECTION('center_axis',(1.,0.,0.)); #391370=DIRECTION('ref_axis',(0.,1.,0.)); #391371=DIRECTION('',(0.,1.,0.)); #391372=DIRECTION('',(0.,1.,0.)); #391373=DIRECTION('',(0.,0.,1.)); #391374=DIRECTION('center_axis',(0.,-1.,0.)); #391375=DIRECTION('ref_axis',(1.,0.,0.)); #391376=DIRECTION('',(1.,0.,0.)); #391377=DIRECTION('',(1.,0.,0.)); #391378=DIRECTION('',(0.,0.,1.)); #391379=DIRECTION('center_axis',(1.,0.,0.)); #391380=DIRECTION('ref_axis',(0.,1.,0.)); #391381=DIRECTION('',(0.,1.,0.)); #391382=DIRECTION('',(0.,1.,0.)); #391383=DIRECTION('',(0.,0.,1.)); #391384=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #391385=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #391386=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #391387=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #391388=DIRECTION('',(0.,0.,1.)); #391389=DIRECTION('center_axis',(0.,0.,1.)); #391390=DIRECTION('ref_axis',(0.999999999982576,-5.9031386994093E-6,0.)); #391391=DIRECTION('center_axis',(0.,0.,1.)); #391392=DIRECTION('ref_axis',(0.999999999982576,-5.9031386994093E-6,0.)); #391393=DIRECTION('center_axis',(0.,0.,1.)); #391394=DIRECTION('ref_axis',(0.999999999982576,-5.9031386994093E-6,0.)); #391395=DIRECTION('',(0.,0.,1.)); #391396=DIRECTION('center_axis',(1.,0.,0.)); #391397=DIRECTION('ref_axis',(0.,1.,0.)); #391398=DIRECTION('',(0.,1.,0.)); #391399=DIRECTION('',(0.,1.,0.)); #391400=DIRECTION('',(0.,0.,1.)); #391401=DIRECTION('center_axis',(0.707106947327653,0.707106615045403,0.)); #391402=DIRECTION('ref_axis',(-0.707106615045403,0.707106947327653,0.)); #391403=DIRECTION('',(-0.707106615045403,0.707106947327653,0.)); #391404=DIRECTION('',(-0.707106615045403,0.707106947327653,0.)); #391405=DIRECTION('',(0.,0.,1.)); #391406=DIRECTION('center_axis',(0.,0.,1.)); #391407=DIRECTION('ref_axis',(0.999999999980175,-6.29683249865397E-6,0.)); #391408=DIRECTION('center_axis',(0.,0.,1.)); #391409=DIRECTION('ref_axis',(0.999999999980175,-6.29683249865397E-6,0.)); #391410=DIRECTION('center_axis',(0.,0.,1.)); #391411=DIRECTION('ref_axis',(0.999999999980175,-6.29683249865397E-6,0.)); #391412=DIRECTION('',(0.,0.,1.)); #391413=DIRECTION('center_axis',(1.,0.,0.)); #391414=DIRECTION('ref_axis',(0.,1.,0.)); #391415=DIRECTION('',(0.,1.,0.)); #391416=DIRECTION('',(0.,1.,0.)); #391417=DIRECTION('',(0.,0.,1.)); #391418=DIRECTION('center_axis',(0.,0.,1.)); #391419=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #391420=DIRECTION('center_axis',(0.,0.,1.)); #391421=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #391422=DIRECTION('center_axis',(0.,0.,1.)); #391423=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #391424=DIRECTION('center_axis',(0.,0.,1.)); #391425=DIRECTION('ref_axis',(1.,0.,0.)); #391426=DIRECTION('center_axis',(0.,0.,1.)); #391427=DIRECTION('ref_axis',(1.,0.,0.)); #391428=DIRECTION('center_axis',(1.,0.,0.)); #391429=DIRECTION('ref_axis',(0.,1.,0.)); #391430=DIRECTION('',(0.,1.,0.)); #391431=DIRECTION('',(0.,0.,1.)); #391432=DIRECTION('',(0.,1.,0.)); #391433=DIRECTION('',(0.,0.,1.)); #391434=DIRECTION('center_axis',(0.,-1.,0.)); #391435=DIRECTION('ref_axis',(1.,0.,0.)); #391436=DIRECTION('',(1.,0.,0.)); #391437=DIRECTION('',(1.,0.,0.)); #391438=DIRECTION('',(0.,0.,1.)); #391439=DIRECTION('center_axis',(-1.,0.,0.)); #391440=DIRECTION('ref_axis',(0.,-1.,0.)); #391441=DIRECTION('',(0.,-1.,0.)); #391442=DIRECTION('',(0.,-1.,0.)); #391443=DIRECTION('',(0.,0.,1.)); #391444=DIRECTION('center_axis',(0.,-1.,0.)); #391445=DIRECTION('ref_axis',(1.,0.,0.)); #391446=DIRECTION('',(1.,0.,0.)); #391447=DIRECTION('',(1.,0.,0.)); #391448=DIRECTION('',(0.,0.,1.)); #391449=DIRECTION('center_axis',(0.,0.,1.)); #391450=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #391451=DIRECTION('center_axis',(0.,0.,1.)); #391452=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #391453=DIRECTION('center_axis',(0.,0.,1.)); #391454=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #391455=DIRECTION('',(0.,0.,1.)); #391456=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #391457=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #391458=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #391459=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #391460=DIRECTION('',(0.,0.,1.)); #391461=DIRECTION('center_axis',(0.,0.,1.)); #391462=DIRECTION('ref_axis',(-0.999999999982576,5.90313866444152E-6,0.)); #391463=DIRECTION('center_axis',(0.,0.,1.)); #391464=DIRECTION('ref_axis',(-0.999999999982576,5.90313866444152E-6,0.)); #391465=DIRECTION('center_axis',(0.,0.,1.)); #391466=DIRECTION('ref_axis',(-0.999999999982576,5.90313866444152E-6,0.)); #391467=DIRECTION('',(0.,0.,1.)); #391468=DIRECTION('center_axis',(-1.,0.,0.)); #391469=DIRECTION('ref_axis',(0.,-1.,0.)); #391470=DIRECTION('',(0.,-1.,0.)); #391471=DIRECTION('',(0.,-1.,0.)); #391472=DIRECTION('',(0.,0.,1.)); #391473=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546, 0.)); #391474=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.)); #391475=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #391476=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #391477=DIRECTION('',(0.,0.,1.)); #391478=DIRECTION('center_axis',(0.,-1.,0.)); #391479=DIRECTION('ref_axis',(1.,0.,0.)); #391480=DIRECTION('',(1.,0.,0.)); #391481=DIRECTION('',(1.,0.,0.)); #391482=DIRECTION('',(0.,0.,1.)); #391483=DIRECTION('center_axis',(0.,0.,1.)); #391484=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #391485=DIRECTION('center_axis',(0.,0.,1.)); #391486=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #391487=DIRECTION('center_axis',(0.,0.,1.)); #391488=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #391489=DIRECTION('',(0.,0.,1.)); #391490=DIRECTION('center_axis',(-0.707106781186544,-0.707106781186551, 0.)); #391491=DIRECTION('ref_axis',(0.707106781186551,-0.707106781186544,0.)); #391492=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #391493=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #391494=DIRECTION('',(0.,0.,1.)); #391495=DIRECTION('center_axis',(0.,-1.,0.)); #391496=DIRECTION('ref_axis',(1.,0.,0.)); #391497=DIRECTION('',(1.,0.,0.)); #391498=DIRECTION('',(1.,0.,0.)); #391499=DIRECTION('',(0.,0.,1.)); #391500=DIRECTION('center_axis',(-1.,0.,0.)); #391501=DIRECTION('ref_axis',(0.,-1.,0.)); #391502=DIRECTION('',(0.,-1.,0.)); #391503=DIRECTION('',(0.,-1.,0.)); #391504=DIRECTION('',(0.,0.,1.)); #391505=DIRECTION('center_axis',(0.,1.,0.)); #391506=DIRECTION('ref_axis',(-1.,0.,0.)); #391507=DIRECTION('',(-1.,0.,0.)); #391508=DIRECTION('',(-1.,0.,0.)); #391509=DIRECTION('',(0.,0.,1.)); #391510=DIRECTION('center_axis',(1.,0.,0.)); #391511=DIRECTION('ref_axis',(0.,1.,0.)); #391512=DIRECTION('',(0.,1.,0.)); #391513=DIRECTION('',(0.,1.,0.)); #391514=DIRECTION('',(0.,0.,1.)); #391515=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #391516=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #391517=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #391518=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #391519=DIRECTION('',(0.,0.,1.)); #391520=DIRECTION('center_axis',(0.,1.,0.)); #391521=DIRECTION('ref_axis',(-1.,0.,0.)); #391522=DIRECTION('',(-1.,0.,0.)); #391523=DIRECTION('',(-1.,0.,0.)); #391524=DIRECTION('',(0.,0.,1.)); #391525=DIRECTION('center_axis',(0.,0.,1.)); #391526=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #391527=DIRECTION('center_axis',(0.,0.,1.)); #391528=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #391529=DIRECTION('center_axis',(0.,0.,1.)); #391530=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #391531=DIRECTION('',(0.,0.,1.)); #391532=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #391533=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #391534=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #391535=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #391536=DIRECTION('',(0.,0.,1.)); #391537=DIRECTION('center_axis',(0.,0.,1.)); #391538=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #391539=DIRECTION('center_axis',(0.,0.,1.)); #391540=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #391541=DIRECTION('center_axis',(0.,0.,1.)); #391542=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #391543=DIRECTION('',(0.,0.,1.)); #391544=DIRECTION('center_axis',(1.,0.,0.)); #391545=DIRECTION('ref_axis',(0.,1.,0.)); #391546=DIRECTION('',(0.,1.,0.)); #391547=DIRECTION('',(0.,1.,0.)); #391548=DIRECTION('',(0.,0.,1.)); #391549=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #391550=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #391551=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #391552=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #391553=DIRECTION('',(0.,0.,1.)); #391554=DIRECTION('center_axis',(0.,1.,0.)); #391555=DIRECTION('ref_axis',(-1.,0.,0.)); #391556=DIRECTION('',(-1.,0.,0.)); #391557=DIRECTION('',(-1.,0.,0.)); #391558=DIRECTION('',(0.,0.,1.)); #391559=DIRECTION('center_axis',(-1.,0.,0.)); #391560=DIRECTION('ref_axis',(0.,-1.,0.)); #391561=DIRECTION('',(0.,-1.,0.)); #391562=DIRECTION('',(0.,-1.,0.)); #391563=DIRECTION('',(0.,0.,1.)); #391564=DIRECTION('center_axis',(0.,1.,0.)); #391565=DIRECTION('ref_axis',(-1.,0.,0.)); #391566=DIRECTION('',(-1.,0.,0.)); #391567=DIRECTION('',(-1.,0.,0.)); #391568=DIRECTION('center_axis',(0.,0.,1.)); #391569=DIRECTION('ref_axis',(1.,0.,0.)); #391570=DIRECTION('center_axis',(0.,0.,1.)); #391571=DIRECTION('ref_axis',(1.,0.,0.)); #391572=DIRECTION('center_axis',(0.,0.,1.)); #391573=DIRECTION('ref_axis',(1.,0.,0.)); #391574=DIRECTION('center_axis',(0.,0.,1.)); #391575=DIRECTION('ref_axis',(1.,0.,0.)); #391576=DIRECTION('',(0.,0.,1.)); #391577=DIRECTION('center_axis',(0.,0.,-1.)); #391578=DIRECTION('ref_axis',(1.,0.,0.)); #391579=DIRECTION('center_axis',(0.156447762252348,-0.987686234431883,0.)); #391580=DIRECTION('ref_axis',(0.987686234431883,0.156447762252348,0.)); #391581=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #391582=DIRECTION('',(0.,0.,1.)); #391583=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #391584=DIRECTION('',(0.,0.,1.)); #391585=DIRECTION('center_axis',(0.,0.,1.)); #391586=DIRECTION('ref_axis',(-0.461527865934839,0.887125712041779,0.)); #391587=DIRECTION('center_axis',(0.,0.,1.)); #391588=DIRECTION('ref_axis',(-0.461527865934839,0.887125712041779,0.)); #391589=DIRECTION('center_axis',(0.,0.,1.)); #391590=DIRECTION('ref_axis',(-0.461527865934839,0.887125712041779,0.)); #391591=DIRECTION('',(0.,0.,1.)); #391592=DIRECTION('center_axis',(-1.,0.,0.)); #391593=DIRECTION('ref_axis',(0.,-1.,0.)); #391594=DIRECTION('',(0.,-1.,0.)); #391595=DIRECTION('',(0.,-1.,0.)); #391596=DIRECTION('',(0.,0.,1.)); #391597=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #391598=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #391599=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #391600=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #391601=DIRECTION('',(0.,0.,1.)); #391602=DIRECTION('center_axis',(0.,0.,1.)); #391603=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #391604=DIRECTION('center_axis',(0.,0.,1.)); #391605=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #391606=DIRECTION('center_axis',(0.,0.,1.)); #391607=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #391608=DIRECTION('',(0.,0.,1.)); #391609=DIRECTION('center_axis',(-1.,0.,0.)); #391610=DIRECTION('ref_axis',(0.,-1.,0.)); #391611=DIRECTION('',(0.,-1.,0.)); #391612=DIRECTION('',(0.,-1.,0.)); #391613=DIRECTION('',(0.,0.,1.)); #391614=DIRECTION('center_axis',(0.,0.,1.)); #391615=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154248,0.)); #391616=DIRECTION('center_axis',(0.,0.,1.)); #391617=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154248,0.)); #391618=DIRECTION('center_axis',(0.,0.,1.)); #391619=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154248,0.)); #391620=DIRECTION('',(0.,0.,1.)); #391621=DIRECTION('center_axis',(-0.707106781186546,0.707106781186549,0.)); #391622=DIRECTION('ref_axis',(-0.707106781186549,-0.707106781186546,0.)); #391623=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #391624=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #391625=DIRECTION('',(0.,0.,1.)); #391626=DIRECTION('center_axis',(0.,0.,1.)); #391627=DIRECTION('ref_axis',(5.90313864695753E-6,0.999999999982576,0.)); #391628=DIRECTION('center_axis',(0.,0.,1.)); #391629=DIRECTION('ref_axis',(5.90313864695753E-6,0.999999999982576,0.)); #391630=DIRECTION('center_axis',(0.,0.,1.)); #391631=DIRECTION('ref_axis',(5.90313864695753E-6,0.999999999982576,0.)); #391632=DIRECTION('',(0.,0.,1.)); #391633=DIRECTION('center_axis',(0.,1.,0.)); #391634=DIRECTION('ref_axis',(-1.,0.,0.)); #391635=DIRECTION('',(-1.,0.,0.)); #391636=DIRECTION('',(-1.,0.,0.)); #391637=DIRECTION('',(0.,0.,1.)); #391638=DIRECTION('center_axis',(-0.707106781186543,0.707106781186552,0.)); #391639=DIRECTION('ref_axis',(-0.707106781186552,-0.707106781186543,0.)); #391640=DIRECTION('',(-0.707106781186552,-0.707106781186543,0.)); #391641=DIRECTION('',(-0.707106781186552,-0.707106781186543,0.)); #391642=DIRECTION('',(0.,0.,1.)); #391643=DIRECTION('center_axis',(-1.,0.,0.)); #391644=DIRECTION('ref_axis',(0.,-1.,0.)); #391645=DIRECTION('',(0.,-1.,0.)); #391646=DIRECTION('',(0.,-1.,0.)); #391647=DIRECTION('',(0.,0.,1.)); #391648=DIRECTION('center_axis',(0.,1.,0.)); #391649=DIRECTION('ref_axis',(-1.,0.,0.)); #391650=DIRECTION('',(-1.,0.,0.)); #391651=DIRECTION('',(-1.,0.,0.)); #391652=DIRECTION('',(0.,0.,1.)); #391653=DIRECTION('center_axis',(1.,0.,0.)); #391654=DIRECTION('ref_axis',(0.,1.,0.)); #391655=DIRECTION('',(0.,1.,0.)); #391656=DIRECTION('',(0.,1.,0.)); #391657=DIRECTION('',(0.,0.,1.)); #391658=DIRECTION('center_axis',(0.,-1.,0.)); #391659=DIRECTION('ref_axis',(1.,0.,0.)); #391660=DIRECTION('',(1.,0.,0.)); #391661=DIRECTION('',(1.,0.,0.)); #391662=DIRECTION('',(0.,0.,1.)); #391663=DIRECTION('center_axis',(0.707106781186559,-0.707106781186536,0.)); #391664=DIRECTION('ref_axis',(0.707106781186536,0.707106781186559,0.)); #391665=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #391666=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #391667=DIRECTION('',(0.,0.,1.)); #391668=DIRECTION('center_axis',(0.,0.,1.)); #391669=DIRECTION('ref_axis',(-5.90313871689267E-6,-0.999999999982576,0.)); #391670=DIRECTION('center_axis',(0.,0.,1.)); #391671=DIRECTION('ref_axis',(-5.90313871689267E-6,-0.999999999982576,0.)); #391672=DIRECTION('center_axis',(0.,0.,1.)); #391673=DIRECTION('ref_axis',(-5.90313871689267E-6,-0.999999999982576,0.)); #391674=DIRECTION('',(0.,0.,1.)); #391675=DIRECTION('center_axis',(0.,-1.,0.)); #391676=DIRECTION('ref_axis',(1.,0.,0.)); #391677=DIRECTION('',(1.,0.,0.)); #391678=DIRECTION('',(1.,0.,0.)); #391679=DIRECTION('',(0.,0.,1.)); #391680=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #391681=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #391682=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #391683=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #391684=DIRECTION('',(0.,0.,1.)); #391685=DIRECTION('center_axis',(1.,0.,0.)); #391686=DIRECTION('ref_axis',(0.,1.,0.)); #391687=DIRECTION('',(0.,1.,0.)); #391688=DIRECTION('',(0.,1.,0.)); #391689=DIRECTION('',(0.,0.,1.)); #391690=DIRECTION('center_axis',(0.707106781186538,0.707106781186557,0.)); #391691=DIRECTION('ref_axis',(-0.707106781186557,0.707106781186538,0.)); #391692=DIRECTION('',(-0.707106781186557,0.707106781186538,0.)); #391693=DIRECTION('',(-0.707106781186557,0.707106781186538,0.)); #391694=DIRECTION('',(0.,0.,1.)); #391695=DIRECTION('center_axis',(0.,0.,1.)); #391696=DIRECTION('ref_axis',(0.999999999982576,-5.90313875186003E-6,0.)); #391697=DIRECTION('center_axis',(0.,0.,1.)); #391698=DIRECTION('ref_axis',(0.999999999982576,-5.90313875186003E-6,0.)); #391699=DIRECTION('center_axis',(0.,0.,1.)); #391700=DIRECTION('ref_axis',(0.999999999982576,-5.90313875186003E-6,0.)); #391701=DIRECTION('',(0.,0.,1.)); #391702=DIRECTION('center_axis',(1.,0.,0.)); #391703=DIRECTION('ref_axis',(0.,1.,0.)); #391704=DIRECTION('',(0.,1.,0.)); #391705=DIRECTION('',(0.,1.,0.)); #391706=DIRECTION('',(0.,0.,1.)); #391707=DIRECTION('center_axis',(0.,0.,1.)); #391708=DIRECTION('ref_axis',(0.234004121468812,-0.972235604745892,0.)); #391709=DIRECTION('center_axis',(0.,0.,1.)); #391710=DIRECTION('ref_axis',(0.234004121468812,-0.972235604745892,0.)); #391711=DIRECTION('center_axis',(0.,0.,1.)); #391712=DIRECTION('ref_axis',(0.234004121468812,-0.972235604745892,0.)); #391713=DIRECTION('center_axis',(0.,0.,1.)); #391714=DIRECTION('ref_axis',(1.,0.,0.)); #391715=DIRECTION('center_axis',(0.,0.,1.)); #391716=DIRECTION('ref_axis',(1.,0.,0.)); #391717=DIRECTION('center_axis',(0.,0.,1.)); #391718=DIRECTION('ref_axis',(1.,0.,0.)); #391719=DIRECTION('center_axis',(0.,0.,1.)); #391720=DIRECTION('ref_axis',(1.,0.,0.)); #391721=DIRECTION('',(0.,0.,1.)); #391722=DIRECTION('center_axis',(0.,0.,-1.)); #391723=DIRECTION('ref_axis',(1.,0.,0.)); #391724=DIRECTION('center_axis',(0.156447762252298,-0.987686234431891,0.)); #391725=DIRECTION('ref_axis',(0.987686234431891,0.156447762252298,0.)); #391726=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #391727=DIRECTION('',(0.,0.,1.)); #391728=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #391729=DIRECTION('',(0.,0.,1.)); #391730=DIRECTION('center_axis',(0.,0.,1.)); #391731=DIRECTION('ref_axis',(-0.88804582923889,-0.459754940344759,0.)); #391732=DIRECTION('center_axis',(0.,0.,1.)); #391733=DIRECTION('ref_axis',(-0.88804582923889,-0.459754940344759,0.)); #391734=DIRECTION('center_axis',(0.,0.,1.)); #391735=DIRECTION('ref_axis',(-0.88804582923889,-0.459754940344759,0.)); #391736=DIRECTION('',(0.,0.,1.)); #391737=DIRECTION('center_axis',(0.,-1.,0.)); #391738=DIRECTION('ref_axis',(1.,0.,0.)); #391739=DIRECTION('',(1.,0.,0.)); #391740=DIRECTION('',(1.,0.,0.)); #391741=DIRECTION('',(0.,0.,1.)); #391742=DIRECTION('center_axis',(1.,0.,0.)); #391743=DIRECTION('ref_axis',(0.,1.,0.)); #391744=DIRECTION('',(0.,1.,0.)); #391745=DIRECTION('',(0.,1.,0.)); #391746=DIRECTION('',(0.,0.,1.)); #391747=DIRECTION('center_axis',(0.,-1.,0.)); #391748=DIRECTION('ref_axis',(1.,0.,0.)); #391749=DIRECTION('',(1.,0.,0.)); #391750=DIRECTION('',(1.,0.,0.)); #391751=DIRECTION('',(0.,0.,1.)); #391752=DIRECTION('center_axis',(-1.,0.,0.)); #391753=DIRECTION('ref_axis',(0.,-1.,0.)); #391754=DIRECTION('',(0.,-1.,0.)); #391755=DIRECTION('',(0.,-1.,0.)); #391756=DIRECTION('',(0.,0.,1.)); #391757=DIRECTION('center_axis',(0.,1.,0.)); #391758=DIRECTION('ref_axis',(-1.,0.,0.)); #391759=DIRECTION('',(-1.,0.,0.)); #391760=DIRECTION('',(-1.,0.,0.)); #391761=DIRECTION('',(0.,0.,1.)); #391762=DIRECTION('center_axis',(1.,0.,0.)); #391763=DIRECTION('ref_axis',(0.,1.,0.)); #391764=DIRECTION('',(0.,1.,0.)); #391765=DIRECTION('',(0.,1.,0.)); #391766=DIRECTION('',(0.,0.,1.)); #391767=DIRECTION('center_axis',(0.,1.,0.)); #391768=DIRECTION('ref_axis',(-1.,0.,0.)); #391769=DIRECTION('',(-1.,0.,0.)); #391770=DIRECTION('',(-1.,0.,0.)); #391771=DIRECTION('',(0.,0.,1.)); #391772=DIRECTION('center_axis',(0.,0.,1.)); #391773=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #391774=DIRECTION('center_axis',(0.,0.,1.)); #391775=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #391776=DIRECTION('center_axis',(0.,0.,1.)); #391777=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #391778=DIRECTION('',(0.,0.,1.)); #391779=DIRECTION('center_axis',(0.,0.,1.)); #391780=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #391781=DIRECTION('center_axis',(0.,0.,1.)); #391782=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #391783=DIRECTION('center_axis',(0.,0.,1.)); #391784=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #391785=DIRECTION('center_axis',(0.,0.,1.)); #391786=DIRECTION('ref_axis',(1.,0.,0.)); #391787=DIRECTION('center_axis',(0.,0.,1.)); #391788=DIRECTION('ref_axis',(1.,0.,0.)); #391789=DIRECTION('center_axis',(1.,0.,0.)); #391790=DIRECTION('ref_axis',(0.,1.,0.)); #391791=DIRECTION('',(0.,1.,0.)); #391792=DIRECTION('',(0.,0.,1.)); #391793=DIRECTION('',(0.,1.,0.)); #391794=DIRECTION('',(0.,0.,1.)); #391795=DIRECTION('center_axis',(0.,-1.,0.)); #391796=DIRECTION('ref_axis',(1.,0.,0.)); #391797=DIRECTION('',(1.,0.,0.)); #391798=DIRECTION('',(1.,0.,0.)); #391799=DIRECTION('',(0.,0.,1.)); #391800=DIRECTION('center_axis',(-1.,0.,0.)); #391801=DIRECTION('ref_axis',(0.,-1.,0.)); #391802=DIRECTION('',(0.,-1.,0.)); #391803=DIRECTION('',(0.,-1.,0.)); #391804=DIRECTION('',(0.,0.,1.)); #391805=DIRECTION('center_axis',(0.,1.,0.)); #391806=DIRECTION('ref_axis',(-1.,0.,0.)); #391807=DIRECTION('',(-1.,0.,0.)); #391808=DIRECTION('',(-1.,0.,0.)); #391809=DIRECTION('',(0.,0.,1.)); #391810=DIRECTION('center_axis',(-1.,0.,0.)); #391811=DIRECTION('ref_axis',(0.,-1.,0.)); #391812=DIRECTION('',(0.,-1.,0.)); #391813=DIRECTION('',(0.,-1.,0.)); #391814=DIRECTION('',(0.,0.,1.)); #391815=DIRECTION('center_axis',(0.,0.,1.)); #391816=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #391817=DIRECTION('center_axis',(0.,0.,1.)); #391818=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #391819=DIRECTION('center_axis',(0.,0.,1.)); #391820=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #391821=DIRECTION('',(0.,0.,1.)); #391822=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,0.)); #391823=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,0.)); #391824=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #391825=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #391826=DIRECTION('',(0.,0.,1.)); #391827=DIRECTION('center_axis',(-1.,0.,0.)); #391828=DIRECTION('ref_axis',(0.,-1.,0.)); #391829=DIRECTION('',(0.,-1.,0.)); #391830=DIRECTION('',(0.,-1.,0.)); #391831=DIRECTION('',(0.,0.,1.)); #391832=DIRECTION('center_axis',(-0.707106781186546,-0.707106781186549, 0.)); #391833=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #391834=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #391835=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #391836=DIRECTION('',(0.,0.,1.)); #391837=DIRECTION('center_axis',(0.,0.,1.)); #391838=DIRECTION('ref_axis',(-0.999999999982576,5.9031386819251E-6,0.)); #391839=DIRECTION('center_axis',(0.,0.,1.)); #391840=DIRECTION('ref_axis',(-0.999999999982576,5.9031386819251E-6,0.)); #391841=DIRECTION('center_axis',(0.,0.,1.)); #391842=DIRECTION('ref_axis',(-0.999999999982576,5.9031386819251E-6,0.)); #391843=DIRECTION('',(0.,0.,1.)); #391844=DIRECTION('center_axis',(-1.,0.,0.)); #391845=DIRECTION('ref_axis',(0.,-1.,0.)); #391846=DIRECTION('',(0.,-1.,0.)); #391847=DIRECTION('',(0.,-1.,0.)); #391848=DIRECTION('',(0.,0.,1.)); #391849=DIRECTION('center_axis',(0.,0.,1.)); #391850=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #391851=DIRECTION('center_axis',(0.,0.,1.)); #391852=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #391853=DIRECTION('center_axis',(0.,0.,1.)); #391854=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #391855=DIRECTION('',(0.,0.,1.)); #391856=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #391857=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #391858=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #391859=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #391860=DIRECTION('',(0.,0.,1.)); #391861=DIRECTION('center_axis',(0.,0.,1.)); #391862=DIRECTION('ref_axis',(5.90313871689288E-6,0.999999999982576,0.)); #391863=DIRECTION('center_axis',(0.,0.,1.)); #391864=DIRECTION('ref_axis',(5.90313871689288E-6,0.999999999982576,0.)); #391865=DIRECTION('center_axis',(0.,0.,1.)); #391866=DIRECTION('ref_axis',(5.90313871689288E-6,0.999999999982576,0.)); #391867=DIRECTION('',(0.,0.,1.)); #391868=DIRECTION('center_axis',(0.,1.,0.)); #391869=DIRECTION('ref_axis',(-1.,0.,0.)); #391870=DIRECTION('',(-1.,0.,0.)); #391871=DIRECTION('',(-1.,0.,0.)); #391872=DIRECTION('',(0.,0.,1.)); #391873=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #391874=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #391875=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #391876=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #391877=DIRECTION('',(0.,0.,1.)); #391878=DIRECTION('center_axis',(0.,0.,1.)); #391879=DIRECTION('ref_axis',(5.90313868192531E-6,0.999999999982576,0.)); #391880=DIRECTION('center_axis',(0.,0.,1.)); #391881=DIRECTION('ref_axis',(5.90313868192531E-6,0.999999999982576,0.)); #391882=DIRECTION('center_axis',(0.,0.,1.)); #391883=DIRECTION('ref_axis',(5.90313868192531E-6,0.999999999982576,0.)); #391884=DIRECTION('',(0.,0.,1.)); #391885=DIRECTION('center_axis',(0.,1.,0.)); #391886=DIRECTION('ref_axis',(-1.,0.,0.)); #391887=DIRECTION('',(-1.,0.,0.)); #391888=DIRECTION('',(-1.,0.,0.)); #391889=DIRECTION('',(0.,0.,1.)); #391890=DIRECTION('center_axis',(-0.707106781186552,0.707106781186543,0.)); #391891=DIRECTION('ref_axis',(-0.707106781186543,-0.707106781186552,0.)); #391892=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #391893=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #391894=DIRECTION('',(0.,0.,1.)); #391895=DIRECTION('center_axis',(-1.,0.,0.)); #391896=DIRECTION('ref_axis',(0.,-1.,0.)); #391897=DIRECTION('',(0.,-1.,0.)); #391898=DIRECTION('',(0.,-1.,0.)); #391899=DIRECTION('',(0.,0.,1.)); #391900=DIRECTION('center_axis',(0.,1.,0.)); #391901=DIRECTION('ref_axis',(-1.,0.,0.)); #391902=DIRECTION('',(-1.,0.,0.)); #391903=DIRECTION('',(-1.,0.,0.)); #391904=DIRECTION('',(0.,0.,1.)); #391905=DIRECTION('center_axis',(1.,0.,0.)); #391906=DIRECTION('ref_axis',(0.,1.,0.)); #391907=DIRECTION('',(0.,1.,0.)); #391908=DIRECTION('',(0.,1.,0.)); #391909=DIRECTION('',(0.,0.,1.)); #391910=DIRECTION('center_axis',(0.,-1.,0.)); #391911=DIRECTION('ref_axis',(1.,0.,0.)); #391912=DIRECTION('',(1.,0.,0.)); #391913=DIRECTION('',(1.,0.,0.)); #391914=DIRECTION('',(0.,0.,1.)); #391915=DIRECTION('center_axis',(0.707106781186544,-0.707106781186551,0.)); #391916=DIRECTION('ref_axis',(0.707106781186551,0.707106781186544,0.)); #391917=DIRECTION('',(0.707106781186551,0.707106781186544,0.)); #391918=DIRECTION('',(0.707106781186551,0.707106781186544,0.)); #391919=DIRECTION('',(0.,0.,1.)); #391920=DIRECTION('center_axis',(0.,0.,1.)); #391921=DIRECTION('ref_axis',(-5.90313861198996E-6,-0.999999999982576,0.)); #391922=DIRECTION('center_axis',(0.,0.,1.)); #391923=DIRECTION('ref_axis',(-5.90313861198996E-6,-0.999999999982576,0.)); #391924=DIRECTION('center_axis',(0.,0.,1.)); #391925=DIRECTION('ref_axis',(-5.90313861198996E-6,-0.999999999982576,0.)); #391926=DIRECTION('',(0.,0.,1.)); #391927=DIRECTION('center_axis',(0.,-1.,0.)); #391928=DIRECTION('ref_axis',(1.,0.,0.)); #391929=DIRECTION('',(1.,0.,0.)); #391930=DIRECTION('',(1.,0.,0.)); #391931=DIRECTION('',(0.,0.,1.)); #391932=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #391933=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,0.)); #391934=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #391935=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #391936=DIRECTION('',(0.,0.,1.)); #391937=DIRECTION('center_axis',(0.,0.,1.)); #391938=DIRECTION('ref_axis',(-5.90313861199058E-6,-0.999999999982576,0.)); #391939=DIRECTION('center_axis',(0.,0.,1.)); #391940=DIRECTION('ref_axis',(-5.90313861199058E-6,-0.999999999982576,0.)); #391941=DIRECTION('center_axis',(0.,0.,1.)); #391942=DIRECTION('ref_axis',(-5.90313861199058E-6,-0.999999999982576,0.)); #391943=DIRECTION('',(0.,0.,1.)); #391944=DIRECTION('center_axis',(0.,-1.,0.)); #391945=DIRECTION('ref_axis',(1.,0.,0.)); #391946=DIRECTION('',(1.,0.,0.)); #391947=DIRECTION('',(1.,0.,0.)); #391948=DIRECTION('',(0.,0.,1.)); #391949=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #391950=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #391951=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #391952=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #391953=DIRECTION('',(0.,0.,1.)); #391954=DIRECTION('center_axis',(1.,0.,0.)); #391955=DIRECTION('ref_axis',(0.,1.,0.)); #391956=DIRECTION('',(0.,1.,0.)); #391957=DIRECTION('',(0.,1.,0.)); #391958=DIRECTION('',(0.,0.,1.)); #391959=DIRECTION('center_axis',(0.707107058417278,0.707106503955709,0.)); #391960=DIRECTION('ref_axis',(-0.707106503955709,0.707107058417278,0.)); #391961=DIRECTION('',(-0.707106503955709,0.707107058417278,0.)); #391962=DIRECTION('',(-0.707106503955709,0.707107058417278,0.)); #391963=DIRECTION('',(0.,0.,1.)); #391964=DIRECTION('center_axis',(0.,0.,1.)); #391965=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #391966=DIRECTION('center_axis',(0.,0.,1.)); #391967=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #391968=DIRECTION('center_axis',(0.,0.,1.)); #391969=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #391970=DIRECTION('',(0.,0.,1.)); #391971=DIRECTION('center_axis',(1.,0.,0.)); #391972=DIRECTION('ref_axis',(0.,1.,0.)); #391973=DIRECTION('',(0.,1.,0.)); #391974=DIRECTION('',(0.,1.,0.)); #391975=DIRECTION('',(0.,0.,1.)); #391976=DIRECTION('center_axis',(0.,0.,1.)); #391977=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #391978=DIRECTION('center_axis',(0.,0.,1.)); #391979=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #391980=DIRECTION('center_axis',(0.,0.,1.)); #391981=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #391982=DIRECTION('',(0.,0.,1.)); #391983=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,0.)); #391984=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.)); #391985=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #391986=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #391987=DIRECTION('',(0.,0.,1.)); #391988=DIRECTION('center_axis',(1.,0.,0.)); #391989=DIRECTION('ref_axis',(0.,1.,0.)); #391990=DIRECTION('',(0.,1.,0.)); #391991=DIRECTION('',(0.,1.,0.)); #391992=DIRECTION('',(0.,0.,1.)); #391993=DIRECTION('center_axis',(0.,1.,0.)); #391994=DIRECTION('ref_axis',(-1.,0.,0.)); #391995=DIRECTION('',(-1.,0.,0.)); #391996=DIRECTION('',(-1.,0.,0.)); #391997=DIRECTION('center_axis',(0.,0.,1.)); #391998=DIRECTION('ref_axis',(1.,0.,0.)); #391999=DIRECTION('center_axis',(0.,0.,1.)); #392000=DIRECTION('ref_axis',(1.,0.,0.)); #392001=DIRECTION('center_axis',(1.,0.,0.)); #392002=DIRECTION('ref_axis',(0.,1.,0.)); #392003=DIRECTION('',(0.,1.,0.)); #392004=DIRECTION('',(0.,0.,1.)); #392005=DIRECTION('',(0.,1.,0.)); #392006=DIRECTION('',(0.,0.,1.)); #392007=DIRECTION('center_axis',(0.,-1.,0.)); #392008=DIRECTION('ref_axis',(1.,0.,0.)); #392009=DIRECTION('',(1.,0.,0.)); #392010=DIRECTION('',(1.,0.,0.)); #392011=DIRECTION('',(0.,0.,1.)); #392012=DIRECTION('center_axis',(-1.,0.,0.)); #392013=DIRECTION('ref_axis',(0.,-1.,0.)); #392014=DIRECTION('',(0.,-1.,0.)); #392015=DIRECTION('',(0.,-1.,0.)); #392016=DIRECTION('',(0.,0.,1.)); #392017=DIRECTION('center_axis',(0.,1.,0.)); #392018=DIRECTION('ref_axis',(-1.,0.,0.)); #392019=DIRECTION('',(-1.,0.,0.)); #392020=DIRECTION('',(-1.,0.,0.)); #392021=DIRECTION('',(0.,0.,1.)); #392022=DIRECTION('center_axis',(-1.,0.,0.)); #392023=DIRECTION('ref_axis',(0.,-1.,0.)); #392024=DIRECTION('',(0.,-1.,0.)); #392025=DIRECTION('',(0.,-1.,0.)); #392026=DIRECTION('',(0.,0.,1.)); #392027=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #392028=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #392029=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #392030=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #392031=DIRECTION('',(0.,0.,1.)); #392032=DIRECTION('center_axis',(0.,0.,1.)); #392033=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #392034=DIRECTION('center_axis',(0.,0.,1.)); #392035=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #392036=DIRECTION('center_axis',(0.,0.,1.)); #392037=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #392038=DIRECTION('',(0.,0.,1.)); #392039=DIRECTION('center_axis',(-1.,0.,0.)); #392040=DIRECTION('ref_axis',(0.,-1.,0.)); #392041=DIRECTION('',(0.,-1.,0.)); #392042=DIRECTION('',(0.,-1.,0.)); #392043=DIRECTION('',(0.,0.,1.)); #392044=DIRECTION('center_axis',(0.,0.,1.)); #392045=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #392046=DIRECTION('center_axis',(0.,0.,1.)); #392047=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #392048=DIRECTION('center_axis',(0.,0.,1.)); #392049=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #392050=DIRECTION('',(0.,0.,1.)); #392051=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #392052=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #392053=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #392054=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #392055=DIRECTION('',(0.,0.,1.)); #392056=DIRECTION('center_axis',(-1.,0.,0.)); #392057=DIRECTION('ref_axis',(0.,-1.,0.)); #392058=DIRECTION('',(0.,-1.,0.)); #392059=DIRECTION('',(0.,-1.,0.)); #392060=DIRECTION('',(0.,0.,1.)); #392061=DIRECTION('center_axis',(-0.707106781186546,-0.707106781186549, 0.)); #392062=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #392063=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #392064=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #392065=DIRECTION('',(0.,0.,1.)); #392066=DIRECTION('center_axis',(0.,-1.,0.)); #392067=DIRECTION('ref_axis',(1.,0.,0.)); #392068=DIRECTION('',(1.,0.,0.)); #392069=DIRECTION('',(1.,0.,0.)); #392070=DIRECTION('',(0.,0.,1.)); #392071=DIRECTION('center_axis',(0.,0.,1.)); #392072=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #392073=DIRECTION('center_axis',(0.,0.,1.)); #392074=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #392075=DIRECTION('center_axis',(0.,0.,1.)); #392076=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #392077=DIRECTION('',(0.,0.,1.)); #392078=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #392079=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #392080=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #392081=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #392082=DIRECTION('',(0.,0.,1.)); #392083=DIRECTION('center_axis',(0.,-1.,0.)); #392084=DIRECTION('ref_axis',(1.,0.,0.)); #392085=DIRECTION('',(1.,0.,0.)); #392086=DIRECTION('',(1.,0.,0.)); #392087=DIRECTION('',(0.,0.,1.)); #392088=DIRECTION('center_axis',(0.,0.,1.)); #392089=DIRECTION('ref_axis',(-0.707102614154319,-0.70711094819422,0.)); #392090=DIRECTION('center_axis',(0.,0.,1.)); #392091=DIRECTION('ref_axis',(-0.707102614154319,-0.70711094819422,0.)); #392092=DIRECTION('center_axis',(0.,0.,1.)); #392093=DIRECTION('ref_axis',(-0.707102614154319,-0.70711094819422,0.)); #392094=DIRECTION('',(0.,0.,1.)); #392095=DIRECTION('center_axis',(-0.707106781186544,-0.707106781186551, 0.)); #392096=DIRECTION('ref_axis',(0.707106781186551,-0.707106781186544,0.)); #392097=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #392098=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #392099=DIRECTION('',(0.,0.,1.)); #392100=DIRECTION('center_axis',(0.,-1.,0.)); #392101=DIRECTION('ref_axis',(1.,0.,0.)); #392102=DIRECTION('',(1.,0.,0.)); #392103=DIRECTION('',(1.,0.,0.)); #392104=DIRECTION('',(0.,0.,1.)); #392105=DIRECTION('center_axis',(-1.,0.,0.)); #392106=DIRECTION('ref_axis',(0.,-1.,0.)); #392107=DIRECTION('',(0.,-1.,0.)); #392108=DIRECTION('',(0.,-1.,0.)); #392109=DIRECTION('',(0.,0.,1.)); #392110=DIRECTION('center_axis',(0.,1.,0.)); #392111=DIRECTION('ref_axis',(-1.,0.,0.)); #392112=DIRECTION('',(-1.,0.,0.)); #392113=DIRECTION('',(-1.,0.,0.)); #392114=DIRECTION('',(0.,0.,1.)); #392115=DIRECTION('center_axis',(1.,0.,0.)); #392116=DIRECTION('ref_axis',(0.,1.,0.)); #392117=DIRECTION('',(0.,1.,0.)); #392118=DIRECTION('',(0.,1.,0.)); #392119=DIRECTION('',(0.,0.,1.)); #392120=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #392121=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #392122=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #392123=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #392124=DIRECTION('',(0.,0.,1.)); #392125=DIRECTION('center_axis',(0.,1.,0.)); #392126=DIRECTION('ref_axis',(-1.,0.,0.)); #392127=DIRECTION('',(-1.,0.,0.)); #392128=DIRECTION('',(-1.,0.,0.)); #392129=DIRECTION('',(0.,0.,1.)); #392130=DIRECTION('center_axis',(0.,0.,1.)); #392131=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #392132=DIRECTION('center_axis',(0.,0.,1.)); #392133=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #392134=DIRECTION('center_axis',(0.,0.,1.)); #392135=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #392136=DIRECTION('',(0.,0.,1.)); #392137=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #392138=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #392139=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #392140=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #392141=DIRECTION('',(0.,0.,1.)); #392142=DIRECTION('center_axis',(0.,1.,0.)); #392143=DIRECTION('ref_axis',(-1.,0.,0.)); #392144=DIRECTION('',(-1.,0.,0.)); #392145=DIRECTION('',(-1.,0.,0.)); #392146=DIRECTION('',(0.,0.,1.)); #392147=DIRECTION('center_axis',(0.,0.,1.)); #392148=DIRECTION('ref_axis',(0.707102614154214,0.707110948194325,0.)); #392149=DIRECTION('center_axis',(0.,0.,1.)); #392150=DIRECTION('ref_axis',(0.707102614154214,0.707110948194325,0.)); #392151=DIRECTION('center_axis',(0.,0.,1.)); #392152=DIRECTION('ref_axis',(0.707102614154214,0.707110948194325,0.)); #392153=DIRECTION('',(0.,0.,1.)); #392154=DIRECTION('center_axis',(0.70710678118655,0.707106781186545,0.)); #392155=DIRECTION('ref_axis',(-0.707106781186545,0.70710678118655,0.)); #392156=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #392157=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #392158=DIRECTION('',(0.,0.,1.)); #392159=DIRECTION('center_axis',(0.,0.,1.)); #392160=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #392161=DIRECTION('center_axis',(0.,0.,1.)); #392162=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #392163=DIRECTION('center_axis',(0.,0.,1.)); #392164=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #392165=DIRECTION('',(0.,0.,1.)); #392166=DIRECTION('center_axis',(1.,0.,0.)); #392167=DIRECTION('ref_axis',(0.,1.,0.)); #392168=DIRECTION('',(0.,1.,0.)); #392169=DIRECTION('',(0.,1.,0.)); #392170=DIRECTION('',(0.,0.,1.)); #392171=DIRECTION('center_axis',(0.,0.,1.)); #392172=DIRECTION('ref_axis',(0.70711094819429,-0.707102614154248,0.)); #392173=DIRECTION('center_axis',(0.,0.,1.)); #392174=DIRECTION('ref_axis',(0.70711094819429,-0.707102614154248,0.)); #392175=DIRECTION('center_axis',(0.,0.,1.)); #392176=DIRECTION('ref_axis',(0.70711094819429,-0.707102614154248,0.)); #392177=DIRECTION('',(0.,0.,1.)); #392178=DIRECTION('center_axis',(0.707106781186546,-0.707106781186549,0.)); #392179=DIRECTION('ref_axis',(0.707106781186549,0.707106781186546,0.)); #392180=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #392181=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #392182=DIRECTION('',(0.,0.,1.)); #392183=DIRECTION('center_axis',(1.,0.,0.)); #392184=DIRECTION('ref_axis',(0.,1.,0.)); #392185=DIRECTION('',(0.,1.,0.)); #392186=DIRECTION('',(0.,1.,0.)); #392187=DIRECTION('',(0.,0.,1.)); #392188=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #392189=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #392190=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #392191=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #392192=DIRECTION('',(0.,0.,1.)); #392193=DIRECTION('center_axis',(0.,0.,1.)); #392194=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #392195=DIRECTION('center_axis',(0.,0.,1.)); #392196=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #392197=DIRECTION('center_axis',(0.,0.,1.)); #392198=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #392199=DIRECTION('',(0.,0.,1.)); #392200=DIRECTION('center_axis',(1.,0.,0.)); #392201=DIRECTION('ref_axis',(0.,1.,0.)); #392202=DIRECTION('',(0.,1.,0.)); #392203=DIRECTION('',(0.,1.,0.)); #392204=DIRECTION('',(0.,0.,1.)); #392205=DIRECTION('center_axis',(0.,1.,0.)); #392206=DIRECTION('ref_axis',(-1.,0.,0.)); #392207=DIRECTION('',(-1.,0.,0.)); #392208=DIRECTION('',(-1.,0.,0.)); #392209=DIRECTION('center_axis',(0.,0.,1.)); #392210=DIRECTION('ref_axis',(1.,0.,0.)); #392211=DIRECTION('center_axis',(0.,0.,1.)); #392212=DIRECTION('ref_axis',(1.,0.,0.)); #392213=DIRECTION('center_axis',(1.,0.,0.)); #392214=DIRECTION('ref_axis',(0.,1.,0.)); #392215=DIRECTION('',(0.,1.,0.)); #392216=DIRECTION('',(0.,0.,1.)); #392217=DIRECTION('',(0.,1.,0.)); #392218=DIRECTION('',(0.,0.,1.)); #392219=DIRECTION('center_axis',(0.,-1.,0.)); #392220=DIRECTION('ref_axis',(1.,0.,0.)); #392221=DIRECTION('',(1.,0.,0.)); #392222=DIRECTION('',(1.,0.,0.)); #392223=DIRECTION('',(0.,0.,1.)); #392224=DIRECTION('center_axis',(-1.,0.,0.)); #392225=DIRECTION('ref_axis',(0.,-1.,0.)); #392226=DIRECTION('',(0.,-1.,0.)); #392227=DIRECTION('',(0.,-1.,0.)); #392228=DIRECTION('',(0.,0.,1.)); #392229=DIRECTION('center_axis',(0.,-1.,0.)); #392230=DIRECTION('ref_axis',(1.,0.,0.)); #392231=DIRECTION('',(1.,0.,0.)); #392232=DIRECTION('',(1.,0.,0.)); #392233=DIRECTION('',(0.,0.,1.)); #392234=DIRECTION('center_axis',(0.,0.,1.)); #392235=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #392236=DIRECTION('center_axis',(0.,0.,1.)); #392237=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #392238=DIRECTION('center_axis',(0.,0.,1.)); #392239=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #392240=DIRECTION('',(0.,0.,1.)); #392241=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #392242=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #392243=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #392244=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #392245=DIRECTION('',(0.,0.,1.)); #392246=DIRECTION('center_axis',(0.,-1.,0.)); #392247=DIRECTION('ref_axis',(1.,0.,0.)); #392248=DIRECTION('',(1.,0.,0.)); #392249=DIRECTION('',(1.,0.,0.)); #392250=DIRECTION('',(0.,0.,1.)); #392251=DIRECTION('center_axis',(0.,0.,1.)); #392252=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #392253=DIRECTION('center_axis',(0.,0.,1.)); #392254=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #392255=DIRECTION('center_axis',(0.,0.,1.)); #392256=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #392257=DIRECTION('',(0.,0.,1.)); #392258=DIRECTION('center_axis',(-0.707106781186546,-0.70710678118655,0.)); #392259=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186545,0.)); #392260=DIRECTION('',(0.707106781186549,-0.707106781186545,0.)); #392261=DIRECTION('',(0.707106781186549,-0.707106781186545,0.)); #392262=DIRECTION('',(0.,0.,1.)); #392263=DIRECTION('center_axis',(0.,-1.,0.)); #392264=DIRECTION('ref_axis',(1.,0.,0.)); #392265=DIRECTION('',(1.,0.,0.)); #392266=DIRECTION('',(1.,0.,0.)); #392267=DIRECTION('',(0.,0.,1.)); #392268=DIRECTION('center_axis',(-1.,0.,0.)); #392269=DIRECTION('ref_axis',(0.,-1.,0.)); #392270=DIRECTION('',(0.,-1.,0.)); #392271=DIRECTION('',(0.,-1.,0.)); #392272=DIRECTION('',(0.,0.,1.)); #392273=DIRECTION('center_axis',(0.,1.,0.)); #392274=DIRECTION('ref_axis',(-1.,0.,0.)); #392275=DIRECTION('',(-1.,0.,0.)); #392276=DIRECTION('',(-1.,0.,0.)); #392277=DIRECTION('',(0.,0.,1.)); #392278=DIRECTION('center_axis',(1.,0.,0.)); #392279=DIRECTION('ref_axis',(0.,1.,0.)); #392280=DIRECTION('',(0.,1.,0.)); #392281=DIRECTION('',(0.,1.,0.)); #392282=DIRECTION('',(0.,0.,1.)); #392283=DIRECTION('center_axis',(0.70710678118655,0.707106781186546,0.)); #392284=DIRECTION('ref_axis',(-0.707106781186545,0.707106781186549,0.)); #392285=DIRECTION('',(-0.707106781186545,0.707106781186549,0.)); #392286=DIRECTION('',(-0.707106781186545,0.707106781186549,0.)); #392287=DIRECTION('',(0.,0.,1.)); #392288=DIRECTION('center_axis',(0.,1.,0.)); #392289=DIRECTION('ref_axis',(-1.,0.,0.)); #392290=DIRECTION('',(-1.,0.,0.)); #392291=DIRECTION('',(-1.,0.,0.)); #392292=DIRECTION('',(0.,0.,1.)); #392293=DIRECTION('center_axis',(0.,0.,1.)); #392294=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #392295=DIRECTION('center_axis',(0.,0.,1.)); #392296=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #392297=DIRECTION('center_axis',(0.,0.,1.)); #392298=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #392299=DIRECTION('',(0.,0.,1.)); #392300=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #392301=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #392302=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #392303=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #392304=DIRECTION('',(0.,0.,1.)); #392305=DIRECTION('center_axis',(0.,1.,0.)); #392306=DIRECTION('ref_axis',(-1.,0.,0.)); #392307=DIRECTION('',(-1.,0.,0.)); #392308=DIRECTION('',(-1.,0.,0.)); #392309=DIRECTION('',(0.,0.,1.)); #392310=DIRECTION('center_axis',(-1.,0.,0.)); #392311=DIRECTION('ref_axis',(0.,-1.,0.)); #392312=DIRECTION('',(0.,-1.,0.)); #392313=DIRECTION('',(0.,-1.,0.)); #392314=DIRECTION('',(0.,0.,1.)); #392315=DIRECTION('center_axis',(0.,1.,0.)); #392316=DIRECTION('ref_axis',(-1.,0.,0.)); #392317=DIRECTION('',(-1.,0.,0.)); #392318=DIRECTION('',(-1.,0.,0.)); #392319=DIRECTION('center_axis',(0.,0.,1.)); #392320=DIRECTION('ref_axis',(1.,0.,0.)); #392321=DIRECTION('center_axis',(0.,0.,1.)); #392322=DIRECTION('ref_axis',(1.,0.,0.)); #392323=DIRECTION('center_axis',(0.,0.,1.)); #392324=DIRECTION('ref_axis',(1.,0.,0.)); #392325=DIRECTION('center_axis',(0.,0.,1.)); #392326=DIRECTION('ref_axis',(1.,0.,0.)); #392327=DIRECTION('',(0.,0.,1.)); #392328=DIRECTION('center_axis',(0.,0.,-1.)); #392329=DIRECTION('ref_axis',(1.,0.,0.)); #392330=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #392331=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #392332=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #392333=DIRECTION('',(0.,0.,1.)); #392334=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #392335=DIRECTION('',(0.,0.,1.)); #392336=DIRECTION('center_axis',(0.,1.,0.)); #392337=DIRECTION('ref_axis',(-1.,0.,0.)); #392338=DIRECTION('',(-1.,0.,0.)); #392339=DIRECTION('',(-1.,0.,0.)); #392340=DIRECTION('',(0.,0.,1.)); #392341=DIRECTION('center_axis',(0.,0.,1.)); #392342=DIRECTION('ref_axis',(0.123732154653925,0.992315652352968,0.)); #392343=DIRECTION('center_axis',(0.,0.,1.)); #392344=DIRECTION('ref_axis',(0.123732154653925,0.992315652352968,0.)); #392345=DIRECTION('center_axis',(0.,0.,1.)); #392346=DIRECTION('ref_axis',(0.123732154653925,0.992315652352968,0.)); #392347=DIRECTION('',(0.,0.,1.)); #392348=DIRECTION('center_axis',(0.228549733743527,0.973532238400847,0.)); #392349=DIRECTION('ref_axis',(-0.973532238400847,0.228549733743527,0.)); #392350=DIRECTION('',(-0.973532238400847,0.228549733743527,0.)); #392351=DIRECTION('',(-0.973532238400847,0.228549733743527,0.)); #392352=DIRECTION('',(0.,0.,1.)); #392353=DIRECTION('center_axis',(0.127810440204558,0.991798614323854,0.)); #392354=DIRECTION('ref_axis',(-0.991798614323854,0.127810440204558,0.)); #392355=DIRECTION('',(-0.991798614323854,0.127810440204558,0.)); #392356=DIRECTION('',(-0.991798614323854,0.127810440204558,0.)); #392357=DIRECTION('',(0.,0.,1.)); #392358=DIRECTION('center_axis',(0.358957638710899,0.933353852304203,0.)); #392359=DIRECTION('ref_axis',(-0.933353852304203,0.358957638710899,0.)); #392360=DIRECTION('',(-0.933353852304203,0.358957638710899,0.)); #392361=DIRECTION('',(-0.933353852304203,0.358957638710899,0.)); #392362=DIRECTION('',(0.,0.,1.)); #392363=DIRECTION('center_axis',(0.,0.,1.)); #392364=DIRECTION('ref_axis',(0.827588579700001,0.561335142985128,0.)); #392365=DIRECTION('center_axis',(0.,0.,1.)); #392366=DIRECTION('ref_axis',(0.827588579700001,0.561335142985128,0.)); #392367=DIRECTION('center_axis',(0.,0.,1.)); #392368=DIRECTION('ref_axis',(0.827588579700001,0.561335142985128,0.)); #392369=DIRECTION('',(0.,0.,1.)); #392370=DIRECTION('center_axis',(0.707106876875023,0.70710668549806,0.)); #392371=DIRECTION('ref_axis',(-0.707106685498059,0.707106876875023,0.)); #392372=DIRECTION('',(-0.707106685498059,0.707106876875023,0.)); #392373=DIRECTION('',(-0.707106685498059,0.707106876875023,0.)); #392374=DIRECTION('',(0.,0.,1.)); #392375=DIRECTION('center_axis',(0.,1.,0.)); #392376=DIRECTION('ref_axis',(-1.,0.,0.)); #392377=DIRECTION('',(-1.,0.,0.)); #392378=DIRECTION('',(-1.,0.,0.)); #392379=DIRECTION('',(0.,0.,1.)); #392380=DIRECTION('center_axis',(0.,0.,1.)); #392381=DIRECTION('ref_axis',(0.923607696029128,0.383339045540324,0.)); #392382=DIRECTION('center_axis',(0.,0.,1.)); #392383=DIRECTION('ref_axis',(0.923607696029128,0.383339045540324,0.)); #392384=DIRECTION('center_axis',(0.,0.,1.)); #392385=DIRECTION('ref_axis',(0.923607696029128,0.383339045540324,0.)); #392386=DIRECTION('',(0.,0.,1.)); #392387=DIRECTION('center_axis',(0.,0.,1.)); #392388=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #392389=DIRECTION('center_axis',(0.,0.,1.)); #392390=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #392391=DIRECTION('center_axis',(0.,0.,1.)); #392392=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #392393=DIRECTION('',(0.,0.,1.)); #392394=DIRECTION('center_axis',(0.,-1.,0.)); #392395=DIRECTION('ref_axis',(1.,0.,0.)); #392396=DIRECTION('',(1.,0.,0.)); #392397=DIRECTION('',(1.,0.,0.)); #392398=DIRECTION('',(0.,0.,1.)); #392399=DIRECTION('center_axis',(0.,0.,1.)); #392400=DIRECTION('ref_axis',(-0.707102614154292,-0.707110948194246,0.)); #392401=DIRECTION('center_axis',(0.,0.,1.)); #392402=DIRECTION('ref_axis',(-0.707102614154292,-0.707110948194246,0.)); #392403=DIRECTION('center_axis',(0.,0.,1.)); #392404=DIRECTION('ref_axis',(-0.707102614154292,-0.707110948194246,0.)); #392405=DIRECTION('',(0.,0.,1.)); #392406=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #392407=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #392408=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #392409=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #392410=DIRECTION('',(0.,0.,1.)); #392411=DIRECTION('center_axis',(0.,-1.,0.)); #392412=DIRECTION('ref_axis',(1.,0.,0.)); #392413=DIRECTION('',(1.,0.,0.)); #392414=DIRECTION('',(1.,0.,0.)); #392415=DIRECTION('',(0.,0.,1.)); #392416=DIRECTION('center_axis',(0.,0.,1.)); #392417=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #392418=DIRECTION('center_axis',(0.,0.,1.)); #392419=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #392420=DIRECTION('center_axis',(0.,0.,1.)); #392421=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #392422=DIRECTION('',(0.,0.,1.)); #392423=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #392424=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #392425=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #392426=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #392427=DIRECTION('',(0.,0.,1.)); #392428=DIRECTION('center_axis',(0.,-1.,0.)); #392429=DIRECTION('ref_axis',(1.,0.,0.)); #392430=DIRECTION('',(1.,0.,0.)); #392431=DIRECTION('',(1.,0.,0.)); #392432=DIRECTION('',(0.,0.,1.)); #392433=DIRECTION('center_axis',(-1.,0.,0.)); #392434=DIRECTION('ref_axis',(0.,-1.,0.)); #392435=DIRECTION('',(0.,-1.,0.)); #392436=DIRECTION('',(0.,-1.,0.)); #392437=DIRECTION('',(0.,0.,1.)); #392438=DIRECTION('center_axis',(0.,1.,0.)); #392439=DIRECTION('ref_axis',(-1.,0.,0.)); #392440=DIRECTION('',(-1.,0.,0.)); #392441=DIRECTION('',(-1.,0.,0.)); #392442=DIRECTION('',(0.,0.,1.)); #392443=DIRECTION('center_axis',(1.,0.,0.)); #392444=DIRECTION('ref_axis',(0.,1.,0.)); #392445=DIRECTION('',(0.,1.,0.)); #392446=DIRECTION('',(0.,1.,0.)); #392447=DIRECTION('center_axis',(0.,0.,1.)); #392448=DIRECTION('ref_axis',(1.,0.,0.)); #392449=DIRECTION('center_axis',(0.,0.,1.)); #392450=DIRECTION('ref_axis',(1.,0.,0.)); #392451=DIRECTION('center_axis',(0.,0.,1.)); #392452=DIRECTION('ref_axis',(1.,0.,0.)); #392453=DIRECTION('center_axis',(0.,0.,1.)); #392454=DIRECTION('ref_axis',(1.,0.,0.)); #392455=DIRECTION('',(0.,0.,1.)); #392456=DIRECTION('center_axis',(0.,0.,-1.)); #392457=DIRECTION('ref_axis',(1.,0.,0.)); #392458=DIRECTION('center_axis',(0.156447762252298,-0.987686234431891,0.)); #392459=DIRECTION('ref_axis',(0.987686234431891,0.156447762252298,0.)); #392460=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #392461=DIRECTION('',(0.,0.,1.)); #392462=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #392463=DIRECTION('',(0.,0.,1.)); #392464=DIRECTION('center_axis',(0.,0.,1.)); #392465=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #392466=DIRECTION('center_axis',(0.,0.,1.)); #392467=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #392468=DIRECTION('center_axis',(0.,0.,1.)); #392469=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #392470=DIRECTION('',(0.,0.,1.)); #392471=DIRECTION('center_axis',(-1.,0.,0.)); #392472=DIRECTION('ref_axis',(0.,-1.,0.)); #392473=DIRECTION('',(0.,-1.,0.)); #392474=DIRECTION('',(0.,-1.,0.)); #392475=DIRECTION('',(0.,0.,1.)); #392476=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #392477=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #392478=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #392479=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #392480=DIRECTION('',(0.,0.,1.)); #392481=DIRECTION('center_axis',(0.,0.,1.)); #392482=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #392483=DIRECTION('center_axis',(0.,0.,1.)); #392484=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #392485=DIRECTION('center_axis',(0.,0.,1.)); #392486=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #392487=DIRECTION('',(0.,0.,1.)); #392488=DIRECTION('center_axis',(-1.,0.,0.)); #392489=DIRECTION('ref_axis',(0.,-1.,0.)); #392490=DIRECTION('',(0.,-1.,0.)); #392491=DIRECTION('',(0.,-1.,0.)); #392492=DIRECTION('',(0.,0.,1.)); #392493=DIRECTION('center_axis',(0.,-1.,0.)); #392494=DIRECTION('ref_axis',(1.,0.,0.)); #392495=DIRECTION('',(1.,0.,0.)); #392496=DIRECTION('',(1.,0.,0.)); #392497=DIRECTION('',(0.,0.,1.)); #392498=DIRECTION('center_axis',(-1.,0.,0.)); #392499=DIRECTION('ref_axis',(0.,-1.,0.)); #392500=DIRECTION('',(0.,-1.,0.)); #392501=DIRECTION('',(0.,-1.,0.)); #392502=DIRECTION('',(0.,0.,1.)); #392503=DIRECTION('center_axis',(0.,1.,0.)); #392504=DIRECTION('ref_axis',(-1.,0.,0.)); #392505=DIRECTION('',(-1.,0.,0.)); #392506=DIRECTION('',(-1.,0.,0.)); #392507=DIRECTION('',(0.,0.,1.)); #392508=DIRECTION('center_axis',(1.,0.,0.)); #392509=DIRECTION('ref_axis',(0.,1.,0.)); #392510=DIRECTION('',(0.,1.,0.)); #392511=DIRECTION('',(0.,1.,0.)); #392512=DIRECTION('',(0.,0.,1.)); #392513=DIRECTION('center_axis',(0.,-1.,0.)); #392514=DIRECTION('ref_axis',(1.,0.,0.)); #392515=DIRECTION('',(1.,0.,0.)); #392516=DIRECTION('',(1.,0.,0.)); #392517=DIRECTION('',(0.,0.,1.)); #392518=DIRECTION('center_axis',(1.,0.,0.)); #392519=DIRECTION('ref_axis',(0.,1.,0.)); #392520=DIRECTION('',(0.,1.,0.)); #392521=DIRECTION('',(0.,1.,0.)); #392522=DIRECTION('',(0.,0.,1.)); #392523=DIRECTION('center_axis',(0.707106781186551,0.707106781186544,0.)); #392524=DIRECTION('ref_axis',(-0.707106781186544,0.707106781186551,0.)); #392525=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #392526=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #392527=DIRECTION('',(0.,0.,1.)); #392528=DIRECTION('center_axis',(0.,0.,1.)); #392529=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #392530=DIRECTION('center_axis',(0.,0.,1.)); #392531=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #392532=DIRECTION('center_axis',(0.,0.,1.)); #392533=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #392534=DIRECTION('',(0.,0.,1.)); #392535=DIRECTION('center_axis',(1.,0.,0.)); #392536=DIRECTION('ref_axis',(0.,1.,0.)); #392537=DIRECTION('',(0.,1.,0.)); #392538=DIRECTION('',(0.,1.,0.)); #392539=DIRECTION('',(0.,0.,1.)); #392540=DIRECTION('center_axis',(0.,0.,1.)); #392541=DIRECTION('ref_axis',(0.234004121468793,-0.972235604745896,0.)); #392542=DIRECTION('center_axis',(0.,0.,1.)); #392543=DIRECTION('ref_axis',(0.234004121468793,-0.972235604745896,0.)); #392544=DIRECTION('center_axis',(0.,0.,1.)); #392545=DIRECTION('ref_axis',(0.234004121468793,-0.972235604745896,0.)); #392546=DIRECTION('center_axis',(0.,0.,1.)); #392547=DIRECTION('ref_axis',(1.,0.,0.)); #392548=DIRECTION('center_axis',(0.,0.,1.)); #392549=DIRECTION('ref_axis',(1.,0.,0.)); #392550=DIRECTION('center_axis',(0.,0.,1.)); #392551=DIRECTION('ref_axis',(1.,0.,0.)); #392552=DIRECTION('center_axis',(0.,0.,1.)); #392553=DIRECTION('ref_axis',(1.,0.,0.)); #392554=DIRECTION('',(0.,0.,1.)); #392555=DIRECTION('center_axis',(0.,0.,-1.)); #392556=DIRECTION('ref_axis',(1.,0.,0.)); #392557=DIRECTION('center_axis',(0.156447762252248,-0.987686234431899,0.)); #392558=DIRECTION('ref_axis',(0.987686234431899,0.156447762252248,0.)); #392559=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #392560=DIRECTION('',(0.,0.,1.)); #392561=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #392562=DIRECTION('',(0.,0.,1.)); #392563=DIRECTION('center_axis',(0.,0.,1.)); #392564=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #392565=DIRECTION('center_axis',(0.,0.,1.)); #392566=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #392567=DIRECTION('center_axis',(0.,0.,1.)); #392568=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #392569=DIRECTION('',(0.,0.,1.)); #392570=DIRECTION('center_axis',(0.,0.,1.)); #392571=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #392572=DIRECTION('center_axis',(0.,0.,1.)); #392573=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #392574=DIRECTION('center_axis',(0.,0.,1.)); #392575=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #392576=DIRECTION('',(0.,0.,1.)); #392577=DIRECTION('center_axis',(0.,1.,0.)); #392578=DIRECTION('ref_axis',(-1.,0.,0.)); #392579=DIRECTION('',(-1.,0.,0.)); #392580=DIRECTION('',(-1.,0.,0.)); #392581=DIRECTION('',(0.,0.,1.)); #392582=DIRECTION('center_axis',(-0.707106781186547,0.707106781186549,0.)); #392583=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186546,0.)); #392584=DIRECTION('',(-0.707106781186548,-0.707106781186546,0.)); #392585=DIRECTION('',(-0.707106781186548,-0.707106781186546,0.)); #392586=DIRECTION('',(0.,0.,1.)); #392587=DIRECTION('center_axis',(-1.,0.,0.)); #392588=DIRECTION('ref_axis',(0.,-1.,0.)); #392589=DIRECTION('',(0.,-1.,0.)); #392590=DIRECTION('',(0.,-1.,0.)); #392591=DIRECTION('',(0.,0.,1.)); #392592=DIRECTION('center_axis',(0.,1.,0.)); #392593=DIRECTION('ref_axis',(-1.,0.,0.)); #392594=DIRECTION('',(-1.,0.,0.)); #392595=DIRECTION('',(-1.,0.,0.)); #392596=DIRECTION('',(0.,0.,1.)); #392597=DIRECTION('center_axis',(1.,0.,0.)); #392598=DIRECTION('ref_axis',(0.,1.,0.)); #392599=DIRECTION('',(0.,1.,0.)); #392600=DIRECTION('',(0.,1.,0.)); #392601=DIRECTION('',(0.,0.,1.)); #392602=DIRECTION('center_axis',(0.,-1.,0.)); #392603=DIRECTION('ref_axis',(1.,0.,0.)); #392604=DIRECTION('',(1.,0.,0.)); #392605=DIRECTION('',(1.,0.,0.)); #392606=DIRECTION('',(0.,0.,1.)); #392607=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #392608=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #392609=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #392610=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #392611=DIRECTION('',(0.,0.,1.)); #392612=DIRECTION('center_axis',(0.,0.,1.)); #392613=DIRECTION('ref_axis',(-5.90313868192593E-6,-0.999999999982576,0.)); #392614=DIRECTION('center_axis',(0.,0.,1.)); #392615=DIRECTION('ref_axis',(-5.90313868192593E-6,-0.999999999982576,0.)); #392616=DIRECTION('center_axis',(0.,0.,1.)); #392617=DIRECTION('ref_axis',(-5.90313868192593E-6,-0.999999999982576,0.)); #392618=DIRECTION('',(0.,0.,1.)); #392619=DIRECTION('center_axis',(0.,-1.,0.)); #392620=DIRECTION('ref_axis',(1.,0.,0.)); #392621=DIRECTION('',(1.,0.,0.)); #392622=DIRECTION('',(1.,0.,0.)); #392623=DIRECTION('',(0.,0.,1.)); #392624=DIRECTION('center_axis',(0.,0.,1.)); #392625=DIRECTION('ref_axis',(0.236706046226647,-0.971581312953141,0.)); #392626=DIRECTION('center_axis',(0.,0.,1.)); #392627=DIRECTION('ref_axis',(0.236706046226647,-0.971581312953141,0.)); #392628=DIRECTION('center_axis',(0.,0.,1.)); #392629=DIRECTION('ref_axis',(0.236706046226647,-0.971581312953141,0.)); #392630=DIRECTION('center_axis',(0.,0.,1.)); #392631=DIRECTION('ref_axis',(1.,0.,0.)); #392632=DIRECTION('center_axis',(0.,0.,1.)); #392633=DIRECTION('ref_axis',(1.,0.,0.)); #392634=DIRECTION('center_axis',(0.,0.,1.)); #392635=DIRECTION('ref_axis',(1.,0.,0.)); #392636=DIRECTION('center_axis',(0.,0.,1.)); #392637=DIRECTION('ref_axis',(1.,0.,0.)); #392638=DIRECTION('',(0.,0.,1.)); #392639=DIRECTION('center_axis',(0.,0.,-1.)); #392640=DIRECTION('ref_axis',(1.,0.,0.)); #392641=DIRECTION('center_axis',(1.,0.,0.)); #392642=DIRECTION('ref_axis',(0.,1.,0.)); #392643=DIRECTION('',(0.,1.,0.)); #392644=DIRECTION('',(0.,0.,1.)); #392645=DIRECTION('',(0.,1.,0.)); #392646=DIRECTION('',(0.,0.,1.)); #392647=DIRECTION('center_axis',(0.,-1.,0.)); #392648=DIRECTION('ref_axis',(1.,0.,0.)); #392649=DIRECTION('',(1.,0.,0.)); #392650=DIRECTION('',(1.,0.,0.)); #392651=DIRECTION('',(0.,0.,1.)); #392652=DIRECTION('center_axis',(-1.,0.,0.)); #392653=DIRECTION('ref_axis',(0.,-1.,0.)); #392654=DIRECTION('',(0.,-1.,0.)); #392655=DIRECTION('',(0.,-1.,0.)); #392656=DIRECTION('',(0.,0.,1.)); #392657=DIRECTION('center_axis',(0.,1.,0.)); #392658=DIRECTION('ref_axis',(-1.,0.,0.)); #392659=DIRECTION('',(-1.,0.,0.)); #392660=DIRECTION('',(-1.,0.,0.)); #392661=DIRECTION('',(0.,0.,1.)); #392662=DIRECTION('center_axis',(-1.,0.,0.)); #392663=DIRECTION('ref_axis',(0.,-1.,0.)); #392664=DIRECTION('',(0.,-1.,0.)); #392665=DIRECTION('',(0.,-1.,0.)); #392666=DIRECTION('',(0.,0.,1.)); #392667=DIRECTION('center_axis',(0.,0.,1.)); #392668=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #392669=DIRECTION('center_axis',(0.,0.,1.)); #392670=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #392671=DIRECTION('center_axis',(0.,0.,1.)); #392672=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #392673=DIRECTION('',(0.,0.,1.)); #392674=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #392675=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #392676=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #392677=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #392678=DIRECTION('',(0.,0.,1.)); #392679=DIRECTION('center_axis',(-1.,0.,0.)); #392680=DIRECTION('ref_axis',(0.,-1.,0.)); #392681=DIRECTION('',(0.,-1.,0.)); #392682=DIRECTION('',(0.,-1.,0.)); #392683=DIRECTION('',(0.,0.,1.)); #392684=DIRECTION('center_axis',(0.,0.,1.)); #392685=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #392686=DIRECTION('center_axis',(0.,0.,1.)); #392687=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #392688=DIRECTION('center_axis',(0.,0.,1.)); #392689=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #392690=DIRECTION('',(0.,0.,1.)); #392691=DIRECTION('center_axis',(-0.707106781186547,0.707106781186548,0.)); #392692=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,0.)); #392693=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #392694=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #392695=DIRECTION('',(0.,0.,1.)); #392696=DIRECTION('center_axis',(0.,0.,1.)); #392697=DIRECTION('ref_axis',(0.382023508072098,0.924152606056103,0.)); #392698=DIRECTION('center_axis',(0.,0.,1.)); #392699=DIRECTION('ref_axis',(0.382023508072098,0.924152606056103,0.)); #392700=DIRECTION('center_axis',(0.,0.,1.)); #392701=DIRECTION('ref_axis',(0.382023508072098,0.924152606056103,0.)); #392702=DIRECTION('',(0.,0.,1.)); #392703=DIRECTION('center_axis',(0.,0.,1.)); #392704=DIRECTION('ref_axis',(-0.300711620456786,-0.95371511538942,0.)); #392705=DIRECTION('center_axis',(0.,0.,1.)); #392706=DIRECTION('ref_axis',(-0.300711620456786,-0.95371511538942,0.)); #392707=DIRECTION('center_axis',(0.,0.,1.)); #392708=DIRECTION('ref_axis',(-0.300711620456786,-0.95371511538942,0.)); #392709=DIRECTION('',(0.,0.,1.)); #392710=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #392711=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,0.)); #392712=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #392713=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #392714=DIRECTION('',(0.,0.,1.)); #392715=DIRECTION('center_axis',(1.,0.,0.)); #392716=DIRECTION('ref_axis',(0.,1.,0.)); #392717=DIRECTION('',(0.,1.,0.)); #392718=DIRECTION('',(0.,1.,0.)); #392719=DIRECTION('',(0.,0.,1.)); #392720=DIRECTION('center_axis',(0.,0.,1.)); #392721=DIRECTION('ref_axis',(0.707110948194325,-0.707102614154214,0.)); #392722=DIRECTION('center_axis',(0.,0.,1.)); #392723=DIRECTION('ref_axis',(0.707110948194325,-0.707102614154214,0.)); #392724=DIRECTION('center_axis',(0.,0.,1.)); #392725=DIRECTION('ref_axis',(0.707110948194325,-0.707102614154214,0.)); #392726=DIRECTION('',(0.,0.,1.)); #392727=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #392728=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #392729=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #392730=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #392731=DIRECTION('',(0.,0.,1.)); #392732=DIRECTION('center_axis',(1.,0.,0.)); #392733=DIRECTION('ref_axis',(0.,1.,0.)); #392734=DIRECTION('',(0.,1.,0.)); #392735=DIRECTION('',(0.,1.,0.)); #392736=DIRECTION('',(0.,0.,1.)); #392737=DIRECTION('center_axis',(0.,1.,0.)); #392738=DIRECTION('ref_axis',(-1.,0.,0.)); #392739=DIRECTION('',(-1.,0.,0.)); #392740=DIRECTION('',(-1.,0.,0.)); #392741=DIRECTION('center_axis',(0.,0.,1.)); #392742=DIRECTION('ref_axis',(1.,0.,0.)); #392743=DIRECTION('center_axis',(0.,0.,1.)); #392744=DIRECTION('ref_axis',(1.,0.,0.)); #392745=DIRECTION('center_axis',(0.,0.,1.)); #392746=DIRECTION('ref_axis',(1.,0.,0.)); #392747=DIRECTION('center_axis',(0.,0.,1.)); #392748=DIRECTION('ref_axis',(1.,0.,0.)); #392749=DIRECTION('',(0.,0.,1.)); #392750=DIRECTION('center_axis',(0.,0.,-1.)); #392751=DIRECTION('ref_axis',(1.,0.,0.)); #392752=DIRECTION('center_axis',(0.156447762252232,-0.987686234431902,0.)); #392753=DIRECTION('ref_axis',(0.987686234431902,0.156447762252232,0.)); #392754=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #392755=DIRECTION('',(0.,0.,1.)); #392756=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #392757=DIRECTION('',(0.,0.,1.)); #392758=DIRECTION('center_axis',(0.,0.,1.)); #392759=DIRECTION('ref_axis',(-0.888045829238886,-0.459754940344765,0.)); #392760=DIRECTION('center_axis',(0.,0.,1.)); #392761=DIRECTION('ref_axis',(-0.888045829238886,-0.459754940344765,0.)); #392762=DIRECTION('center_axis',(0.,0.,1.)); #392763=DIRECTION('ref_axis',(-0.888045829238886,-0.459754940344765,0.)); #392764=DIRECTION('',(0.,0.,1.)); #392765=DIRECTION('center_axis',(0.,-1.,0.)); #392766=DIRECTION('ref_axis',(1.,0.,0.)); #392767=DIRECTION('',(1.,0.,0.)); #392768=DIRECTION('',(1.,0.,0.)); #392769=DIRECTION('',(0.,0.,1.)); #392770=DIRECTION('center_axis',(0.,0.,1.)); #392771=DIRECTION('ref_axis',(-0.707099830320263,-0.707113731984505,0.)); #392772=DIRECTION('center_axis',(0.,0.,1.)); #392773=DIRECTION('ref_axis',(-0.707099830320263,-0.707113731984505,0.)); #392774=DIRECTION('center_axis',(0.,0.,1.)); #392775=DIRECTION('ref_axis',(-0.707099830320263,-0.707113731984505,0.)); #392776=DIRECTION('',(0.,0.,1.)); #392777=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #392778=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #392779=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #392780=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #392781=DIRECTION('',(0.,0.,1.)); #392782=DIRECTION('center_axis',(0.,-1.,0.)); #392783=DIRECTION('ref_axis',(1.,0.,0.)); #392784=DIRECTION('',(1.,0.,0.)); #392785=DIRECTION('',(1.,0.,0.)); #392786=DIRECTION('',(0.,0.,1.)); #392787=DIRECTION('center_axis',(-1.,0.,0.)); #392788=DIRECTION('ref_axis',(0.,-1.,0.)); #392789=DIRECTION('',(0.,-1.,0.)); #392790=DIRECTION('',(0.,-1.,0.)); #392791=DIRECTION('',(0.,0.,1.)); #392792=DIRECTION('center_axis',(0.,1.,0.)); #392793=DIRECTION('ref_axis',(-1.,0.,0.)); #392794=DIRECTION('',(-1.,0.,0.)); #392795=DIRECTION('',(-1.,0.,0.)); #392796=DIRECTION('',(0.,0.,1.)); #392797=DIRECTION('center_axis',(1.,0.,0.)); #392798=DIRECTION('ref_axis',(0.,1.,0.)); #392799=DIRECTION('',(0.,1.,0.)); #392800=DIRECTION('',(0.,1.,0.)); #392801=DIRECTION('',(0.,0.,1.)); #392802=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #392803=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #392804=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #392805=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #392806=DIRECTION('',(0.,0.,1.)); #392807=DIRECTION('center_axis',(0.,1.,0.)); #392808=DIRECTION('ref_axis',(-1.,0.,0.)); #392809=DIRECTION('',(-1.,0.,0.)); #392810=DIRECTION('',(-1.,0.,0.)); #392811=DIRECTION('',(0.,0.,1.)); #392812=DIRECTION('center_axis',(0.,0.,1.)); #392813=DIRECTION('ref_axis',(-0.236706046226624,0.971581312953146,0.)); #392814=DIRECTION('center_axis',(0.,0.,1.)); #392815=DIRECTION('ref_axis',(-0.236706046226624,0.971581312953146,0.)); #392816=DIRECTION('center_axis',(0.,0.,1.)); #392817=DIRECTION('ref_axis',(-0.236706046226624,0.971581312953146,0.)); #392818=DIRECTION('',(0.,0.,1.)); #392819=DIRECTION('center_axis',(0.,0.,1.)); #392820=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268748,0.)); #392821=DIRECTION('center_axis',(0.,0.,1.)); #392822=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268748,0.)); #392823=DIRECTION('center_axis',(0.,0.,1.)); #392824=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268748,0.)); #392825=DIRECTION('center_axis',(0.,0.,1.)); #392826=DIRECTION('ref_axis',(1.,0.,0.)); #392827=DIRECTION('center_axis',(0.,0.,1.)); #392828=DIRECTION('ref_axis',(1.,0.,0.)); #392829=DIRECTION('center_axis',(0.,0.,1.)); #392830=DIRECTION('ref_axis',(1.,0.,0.)); #392831=DIRECTION('center_axis',(0.,0.,1.)); #392832=DIRECTION('ref_axis',(1.,0.,0.)); #392833=DIRECTION('',(0.,0.,1.)); #392834=DIRECTION('center_axis',(0.,0.,-1.)); #392835=DIRECTION('ref_axis',(1.,0.,0.)); #392836=DIRECTION('center_axis',(1.,0.,0.)); #392837=DIRECTION('ref_axis',(0.,1.,0.)); #392838=DIRECTION('',(0.,1.,0.)); #392839=DIRECTION('',(0.,0.,1.)); #392840=DIRECTION('',(0.,1.,0.)); #392841=DIRECTION('',(0.,0.,1.)); #392842=DIRECTION('center_axis',(0.,-1.,0.)); #392843=DIRECTION('ref_axis',(1.,0.,0.)); #392844=DIRECTION('',(1.,0.,0.)); #392845=DIRECTION('',(1.,0.,0.)); #392846=DIRECTION('',(0.,0.,1.)); #392847=DIRECTION('center_axis',(-1.,0.,0.)); #392848=DIRECTION('ref_axis',(0.,-1.,0.)); #392849=DIRECTION('',(0.,-1.,0.)); #392850=DIRECTION('',(0.,-1.,0.)); #392851=DIRECTION('',(0.,0.,1.)); #392852=DIRECTION('center_axis',(0.,1.,0.)); #392853=DIRECTION('ref_axis',(-1.,0.,0.)); #392854=DIRECTION('',(-1.,0.,0.)); #392855=DIRECTION('',(-1.,0.,0.)); #392856=DIRECTION('',(0.,0.,1.)); #392857=DIRECTION('center_axis',(-1.,0.,0.)); #392858=DIRECTION('ref_axis',(0.,-1.,0.)); #392859=DIRECTION('',(0.,-1.,0.)); #392860=DIRECTION('',(0.,-1.,0.)); #392861=DIRECTION('',(0.,0.,1.)); #392862=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #392863=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #392864=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #392865=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #392866=DIRECTION('',(0.,0.,1.)); #392867=DIRECTION('center_axis',(0.,0.,1.)); #392868=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #392869=DIRECTION('center_axis',(0.,0.,1.)); #392870=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #392871=DIRECTION('center_axis',(0.,0.,1.)); #392872=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #392873=DIRECTION('',(0.,0.,1.)); #392874=DIRECTION('center_axis',(-1.,0.,0.)); #392875=DIRECTION('ref_axis',(0.,-1.,0.)); #392876=DIRECTION('',(0.,-1.,0.)); #392877=DIRECTION('',(0.,-1.,0.)); #392878=DIRECTION('',(0.,0.,1.)); #392879=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #392880=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #392881=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #392882=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #392883=DIRECTION('',(0.,0.,1.)); #392884=DIRECTION('center_axis',(0.,0.,1.)); #392885=DIRECTION('ref_axis',(-0.924152606056098,0.382023508072112,0.)); #392886=DIRECTION('center_axis',(0.,0.,1.)); #392887=DIRECTION('ref_axis',(-0.924152606056098,0.382023508072112,0.)); #392888=DIRECTION('center_axis',(0.,0.,1.)); #392889=DIRECTION('ref_axis',(-0.924152606056098,0.382023508072112,0.)); #392890=DIRECTION('',(0.,0.,1.)); #392891=DIRECTION('center_axis',(0.,0.,1.)); #392892=DIRECTION('ref_axis',(0.953715302291666,-0.300711027690565,0.)); #392893=DIRECTION('center_axis',(0.,0.,1.)); #392894=DIRECTION('ref_axis',(0.953715302291666,-0.300711027690565,0.)); #392895=DIRECTION('center_axis',(0.,0.,1.)); #392896=DIRECTION('ref_axis',(0.953715302291666,-0.300711027690565,0.)); #392897=DIRECTION('',(0.,0.,1.)); #392898=DIRECTION('center_axis',(0.707106962262367,0.707106600110681,0.)); #392899=DIRECTION('ref_axis',(-0.707106600110681,0.707106962262367,0.)); #392900=DIRECTION('',(-0.707106600110681,0.707106962262367,0.)); #392901=DIRECTION('',(-0.707106600110681,0.707106962262367,0.)); #392902=DIRECTION('',(0.,0.,1.)); #392903=DIRECTION('center_axis',(0.,0.,1.)); #392904=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #392905=DIRECTION('center_axis',(0.,0.,1.)); #392906=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #392907=DIRECTION('center_axis',(0.,0.,1.)); #392908=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #392909=DIRECTION('',(0.,0.,1.)); #392910=DIRECTION('center_axis',(1.,0.,0.)); #392911=DIRECTION('ref_axis',(0.,1.,0.)); #392912=DIRECTION('',(0.,1.,0.)); #392913=DIRECTION('',(0.,1.,0.)); #392914=DIRECTION('',(0.,0.,1.)); #392915=DIRECTION('center_axis',(0.707106781186553,0.707106781186542,0.)); #392916=DIRECTION('ref_axis',(-0.707106781186542,0.707106781186553,0.)); #392917=DIRECTION('',(-0.707106781186542,0.707106781186553,0.)); #392918=DIRECTION('',(-0.707106781186542,0.707106781186553,0.)); #392919=DIRECTION('',(0.,0.,1.)); #392920=DIRECTION('center_axis',(0.,0.,1.)); #392921=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #392922=DIRECTION('center_axis',(0.,0.,1.)); #392923=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #392924=DIRECTION('center_axis',(0.,0.,1.)); #392925=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #392926=DIRECTION('',(0.,0.,1.)); #392927=DIRECTION('center_axis',(1.,0.,0.)); #392928=DIRECTION('ref_axis',(0.,1.,0.)); #392929=DIRECTION('',(0.,1.,0.)); #392930=DIRECTION('',(0.,1.,0.)); #392931=DIRECTION('',(0.,0.,1.)); #392932=DIRECTION('center_axis',(0.,1.,0.)); #392933=DIRECTION('ref_axis',(-1.,0.,0.)); #392934=DIRECTION('',(-1.,0.,0.)); #392935=DIRECTION('',(-1.,0.,0.)); #392936=DIRECTION('center_axis',(0.,0.,1.)); #392937=DIRECTION('ref_axis',(1.,0.,0.)); #392938=DIRECTION('center_axis',(0.,0.,1.)); #392939=DIRECTION('ref_axis',(1.,0.,0.)); #392940=DIRECTION('center_axis',(0.,0.,1.)); #392941=DIRECTION('ref_axis',(1.,0.,0.)); #392942=DIRECTION('center_axis',(0.,0.,1.)); #392943=DIRECTION('ref_axis',(1.,0.,0.)); #392944=DIRECTION('',(0.,0.,1.)); #392945=DIRECTION('center_axis',(0.,0.,-1.)); #392946=DIRECTION('ref_axis',(1.,0.,0.)); #392947=DIRECTION('center_axis',(0.156447762252248,-0.987686234431899,0.)); #392948=DIRECTION('ref_axis',(0.987686234431899,0.156447762252248,0.)); #392949=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #392950=DIRECTION('',(0.,0.,1.)); #392951=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #392952=DIRECTION('',(0.,0.,1.)); #392953=DIRECTION('center_axis',(0.,0.,1.)); #392954=DIRECTION('ref_axis',(-0.88804582923889,-0.459754940344759,0.)); #392955=DIRECTION('center_axis',(0.,0.,1.)); #392956=DIRECTION('ref_axis',(-0.88804582923889,-0.459754940344759,0.)); #392957=DIRECTION('center_axis',(0.,0.,1.)); #392958=DIRECTION('ref_axis',(-0.88804582923889,-0.459754940344759,0.)); #392959=DIRECTION('',(0.,0.,1.)); #392960=DIRECTION('center_axis',(0.,-1.,0.)); #392961=DIRECTION('ref_axis',(1.,0.,0.)); #392962=DIRECTION('',(1.,0.,0.)); #392963=DIRECTION('',(1.,0.,0.)); #392964=DIRECTION('',(0.,0.,1.)); #392965=DIRECTION('center_axis',(1.,0.,0.)); #392966=DIRECTION('ref_axis',(0.,1.,0.)); #392967=DIRECTION('',(0.,1.,0.)); #392968=DIRECTION('',(0.,1.,0.)); #392969=DIRECTION('',(0.,0.,1.)); #392970=DIRECTION('center_axis',(0.,-1.,0.)); #392971=DIRECTION('ref_axis',(1.,0.,0.)); #392972=DIRECTION('',(1.,0.,0.)); #392973=DIRECTION('',(1.,0.,0.)); #392974=DIRECTION('',(0.,0.,1.)); #392975=DIRECTION('center_axis',(-1.,0.,0.)); #392976=DIRECTION('ref_axis',(0.,-1.,0.)); #392977=DIRECTION('',(0.,-1.,0.)); #392978=DIRECTION('',(0.,-1.,0.)); #392979=DIRECTION('',(0.,0.,1.)); #392980=DIRECTION('center_axis',(0.,1.,0.)); #392981=DIRECTION('ref_axis',(-1.,0.,0.)); #392982=DIRECTION('',(-1.,0.,0.)); #392983=DIRECTION('',(-1.,0.,0.)); #392984=DIRECTION('',(0.,0.,1.)); #392985=DIRECTION('center_axis',(1.,0.,0.)); #392986=DIRECTION('ref_axis',(0.,1.,0.)); #392987=DIRECTION('',(0.,1.,0.)); #392988=DIRECTION('',(0.,1.,0.)); #392989=DIRECTION('',(0.,0.,1.)); #392990=DIRECTION('center_axis',(0.,1.,0.)); #392991=DIRECTION('ref_axis',(-1.,0.,0.)); #392992=DIRECTION('',(-1.,0.,0.)); #392993=DIRECTION('',(-1.,0.,0.)); #392994=DIRECTION('',(0.,0.,1.)); #392995=DIRECTION('center_axis',(0.,0.,1.)); #392996=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #392997=DIRECTION('center_axis',(0.,0.,1.)); #392998=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #392999=DIRECTION('center_axis',(0.,0.,1.)); #393000=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #393001=DIRECTION('',(0.,0.,1.)); #393002=DIRECTION('center_axis',(0.,0.,1.)); #393003=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #393004=DIRECTION('center_axis',(0.,0.,1.)); #393005=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #393006=DIRECTION('center_axis',(0.,0.,1.)); #393007=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #393008=DIRECTION('center_axis',(0.,0.,1.)); #393009=DIRECTION('ref_axis',(1.,0.,0.)); #393010=DIRECTION('center_axis',(0.,0.,1.)); #393011=DIRECTION('ref_axis',(1.,0.,0.)); #393012=DIRECTION('center_axis',(0.,0.,1.)); #393013=DIRECTION('ref_axis',(1.,0.,0.)); #393014=DIRECTION('center_axis',(0.,0.,1.)); #393015=DIRECTION('ref_axis',(1.,0.,0.)); #393016=DIRECTION('',(0.,0.,1.)); #393017=DIRECTION('center_axis',(0.,0.,-1.)); #393018=DIRECTION('ref_axis',(1.,0.,0.)); #393019=DIRECTION('center_axis',(0.156447762252298,-0.987686234431891,0.)); #393020=DIRECTION('ref_axis',(0.987686234431891,0.156447762252298,0.)); #393021=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #393022=DIRECTION('',(0.,0.,1.)); #393023=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #393024=DIRECTION('',(0.,0.,1.)); #393025=DIRECTION('center_axis',(0.,0.,1.)); #393026=DIRECTION('ref_axis',(-0.923881211222879,-0.382679379571654,0.)); #393027=DIRECTION('center_axis',(0.,0.,1.)); #393028=DIRECTION('ref_axis',(-0.923881211222879,-0.382679379571654,0.)); #393029=DIRECTION('center_axis',(0.,0.,1.)); #393030=DIRECTION('ref_axis',(-0.923881211222879,-0.382679379571654,0.)); #393031=DIRECTION('',(0.,0.,1.)); #393032=DIRECTION('center_axis',(0.,0.,1.)); #393033=DIRECTION('ref_axis',(0.887013571520812,0.461743352889776,0.)); #393034=DIRECTION('center_axis',(0.,0.,1.)); #393035=DIRECTION('ref_axis',(0.887013571520812,0.461743352889776,0.)); #393036=DIRECTION('center_axis',(0.,0.,1.)); #393037=DIRECTION('ref_axis',(0.887013571520812,0.461743352889776,0.)); #393038=DIRECTION('',(0.,0.,1.)); #393039=DIRECTION('center_axis',(0.,1.,0.)); #393040=DIRECTION('ref_axis',(-1.,0.,0.)); #393041=DIRECTION('',(-1.,0.,0.)); #393042=DIRECTION('',(-1.,0.,0.)); #393043=DIRECTION('',(0.,0.,1.)); #393044=DIRECTION('center_axis',(-1.,0.,0.)); #393045=DIRECTION('ref_axis',(0.,-1.,0.)); #393046=DIRECTION('',(0.,-1.,0.)); #393047=DIRECTION('',(0.,-1.,0.)); #393048=DIRECTION('',(0.,0.,1.)); #393049=DIRECTION('center_axis',(0.,1.,0.)); #393050=DIRECTION('ref_axis',(-1.,0.,0.)); #393051=DIRECTION('',(-1.,0.,0.)); #393052=DIRECTION('',(-1.,0.,0.)); #393053=DIRECTION('',(0.,0.,1.)); #393054=DIRECTION('center_axis',(1.,0.,0.)); #393055=DIRECTION('ref_axis',(0.,1.,0.)); #393056=DIRECTION('',(0.,1.,0.)); #393057=DIRECTION('',(0.,1.,0.)); #393058=DIRECTION('',(0.,0.,1.)); #393059=DIRECTION('center_axis',(0.,-1.,0.)); #393060=DIRECTION('ref_axis',(1.,0.,0.)); #393061=DIRECTION('',(1.,0.,0.)); #393062=DIRECTION('',(1.,0.,0.)); #393063=DIRECTION('',(0.,0.,1.)); #393064=DIRECTION('center_axis',(-1.,0.,0.)); #393065=DIRECTION('ref_axis',(0.,-1.,0.)); #393066=DIRECTION('',(0.,-1.,0.)); #393067=DIRECTION('',(0.,-1.,0.)); #393068=DIRECTION('',(0.,0.,1.)); #393069=DIRECTION('center_axis',(0.,-1.,0.)); #393070=DIRECTION('ref_axis',(1.,0.,0.)); #393071=DIRECTION('',(1.,0.,0.)); #393072=DIRECTION('',(1.,0.,0.)); #393073=DIRECTION('',(0.,0.,1.)); #393074=DIRECTION('center_axis',(0.,0.,1.)); #393075=DIRECTION('ref_axis',(0.23670604622663,-0.971581312953145,0.)); #393076=DIRECTION('center_axis',(0.,0.,1.)); #393077=DIRECTION('ref_axis',(0.23670604622663,-0.971581312953145,0.)); #393078=DIRECTION('center_axis',(0.,0.,1.)); #393079=DIRECTION('ref_axis',(0.23670604622663,-0.971581312953145,0.)); #393080=DIRECTION('center_axis',(0.,0.,1.)); #393081=DIRECTION('ref_axis',(1.,0.,0.)); #393082=DIRECTION('center_axis',(0.,0.,1.)); #393083=DIRECTION('ref_axis',(1.,0.,0.)); #393084=DIRECTION('center_axis',(0.,0.,1.)); #393085=DIRECTION('ref_axis',(1.,0.,0.)); #393086=DIRECTION('center_axis',(0.,0.,1.)); #393087=DIRECTION('ref_axis',(1.,0.,0.)); #393088=DIRECTION('',(0.,0.,1.)); #393089=DIRECTION('center_axis',(0.,0.,-1.)); #393090=DIRECTION('ref_axis',(1.,0.,0.)); #393091=DIRECTION('center_axis',(1.,0.,0.)); #393092=DIRECTION('ref_axis',(0.,1.,0.)); #393093=DIRECTION('',(0.,1.,0.)); #393094=DIRECTION('',(0.,0.,1.)); #393095=DIRECTION('',(0.,1.,0.)); #393096=DIRECTION('',(0.,0.,1.)); #393097=DIRECTION('center_axis',(0.,-1.,0.)); #393098=DIRECTION('ref_axis',(1.,0.,0.)); #393099=DIRECTION('',(1.,0.,0.)); #393100=DIRECTION('',(1.,0.,0.)); #393101=DIRECTION('',(0.,0.,1.)); #393102=DIRECTION('center_axis',(-1.,0.,0.)); #393103=DIRECTION('ref_axis',(0.,-1.,0.)); #393104=DIRECTION('',(0.,-1.,0.)); #393105=DIRECTION('',(0.,-1.,0.)); #393106=DIRECTION('',(0.,0.,1.)); #393107=DIRECTION('center_axis',(0.,1.,0.)); #393108=DIRECTION('ref_axis',(-1.,0.,0.)); #393109=DIRECTION('',(-1.,0.,0.)); #393110=DIRECTION('',(-1.,0.,0.)); #393111=DIRECTION('',(0.,0.,1.)); #393112=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #393113=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #393114=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #393115=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #393116=DIRECTION('',(0.,0.,1.)); #393117=DIRECTION('center_axis',(0.,0.,1.)); #393118=DIRECTION('ref_axis',(5.90313861198996E-6,0.999999999982576,0.)); #393119=DIRECTION('center_axis',(0.,0.,1.)); #393120=DIRECTION('ref_axis',(5.90313861198996E-6,0.999999999982576,0.)); #393121=DIRECTION('center_axis',(0.,0.,1.)); #393122=DIRECTION('ref_axis',(5.90313861198996E-6,0.999999999982576,0.)); #393123=DIRECTION('',(0.,0.,1.)); #393124=DIRECTION('center_axis',(0.,1.,0.)); #393125=DIRECTION('ref_axis',(-1.,0.,0.)); #393126=DIRECTION('',(-1.,0.,0.)); #393127=DIRECTION('',(-1.,0.,0.)); #393128=DIRECTION('',(0.,0.,1.)); #393129=DIRECTION('center_axis',(-0.707106781186543,0.707106781186552,0.)); #393130=DIRECTION('ref_axis',(-0.707106781186552,-0.707106781186543,0.)); #393131=DIRECTION('',(-0.707106781186552,-0.707106781186543,0.)); #393132=DIRECTION('',(-0.707106781186552,-0.707106781186543,0.)); #393133=DIRECTION('',(0.,0.,1.)); #393134=DIRECTION('center_axis',(-1.,0.,0.)); #393135=DIRECTION('ref_axis',(0.,-1.,0.)); #393136=DIRECTION('',(0.,-1.,0.)); #393137=DIRECTION('',(0.,-1.,0.)); #393138=DIRECTION('',(0.,0.,1.)); #393139=DIRECTION('center_axis',(-0.707106781186536,-0.707106781186559, 0.)); #393140=DIRECTION('ref_axis',(0.707106781186559,-0.707106781186536,0.)); #393141=DIRECTION('',(0.707106781186559,-0.707106781186536,0.)); #393142=DIRECTION('',(0.707106781186559,-0.707106781186536,0.)); #393143=DIRECTION('',(0.,0.,1.)); #393144=DIRECTION('center_axis',(0.,-1.,0.)); #393145=DIRECTION('ref_axis',(1.,0.,0.)); #393146=DIRECTION('',(1.,0.,0.)); #393147=DIRECTION('',(1.,0.,0.)); #393148=DIRECTION('',(0.,0.,1.)); #393149=DIRECTION('center_axis',(0.,0.,1.)); #393150=DIRECTION('ref_axis',(-0.923608463162002,-0.383337197223964,0.)); #393151=DIRECTION('center_axis',(0.,0.,1.)); #393152=DIRECTION('ref_axis',(-0.923608463162002,-0.383337197223964,0.)); #393153=DIRECTION('center_axis',(0.,0.,1.)); #393154=DIRECTION('ref_axis',(-0.923608463162002,-0.383337197223964,0.)); #393155=DIRECTION('',(0.,0.,1.)); #393156=DIRECTION('center_axis',(0.,0.,1.)); #393157=DIRECTION('ref_axis',(0.887013571520819,0.461743352889764,0.)); #393158=DIRECTION('center_axis',(0.,0.,1.)); #393159=DIRECTION('ref_axis',(0.887013571520819,0.461743352889764,0.)); #393160=DIRECTION('center_axis',(0.,0.,1.)); #393161=DIRECTION('ref_axis',(0.887013571520819,0.461743352889764,0.)); #393162=DIRECTION('',(0.,0.,1.)); #393163=DIRECTION('center_axis',(0.,1.,0.)); #393164=DIRECTION('ref_axis',(-1.,0.,0.)); #393165=DIRECTION('',(-1.,0.,0.)); #393166=DIRECTION('',(-1.,0.,0.)); #393167=DIRECTION('',(0.,0.,1.)); #393168=DIRECTION('center_axis',(0.,0.,1.)); #393169=DIRECTION('ref_axis',(0.707102614154231,0.707110948194308,0.)); #393170=DIRECTION('center_axis',(0.,0.,1.)); #393171=DIRECTION('ref_axis',(0.707102614154231,0.707110948194308,0.)); #393172=DIRECTION('center_axis',(0.,0.,1.)); #393173=DIRECTION('ref_axis',(0.707102614154231,0.707110948194308,0.)); #393174=DIRECTION('',(0.,0.,1.)); #393175=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #393176=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #393177=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #393178=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #393179=DIRECTION('',(0.,0.,1.)); #393180=DIRECTION('center_axis',(0.,0.,1.)); #393181=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #393182=DIRECTION('center_axis',(0.,0.,1.)); #393183=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #393184=DIRECTION('center_axis',(0.,0.,1.)); #393185=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #393186=DIRECTION('',(0.,0.,1.)); #393187=DIRECTION('center_axis',(1.,0.,0.)); #393188=DIRECTION('ref_axis',(0.,1.,0.)); #393189=DIRECTION('',(0.,1.,0.)); #393190=DIRECTION('',(0.,1.,0.)); #393191=DIRECTION('',(0.,0.,1.)); #393192=DIRECTION('center_axis',(0.,0.,1.)); #393193=DIRECTION('ref_axis',(0.70711094819429,-0.707102614154248,0.)); #393194=DIRECTION('center_axis',(0.,0.,1.)); #393195=DIRECTION('ref_axis',(0.70711094819429,-0.707102614154248,0.)); #393196=DIRECTION('center_axis',(0.,0.,1.)); #393197=DIRECTION('ref_axis',(0.70711094819429,-0.707102614154248,0.)); #393198=DIRECTION('',(0.,0.,1.)); #393199=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #393200=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #393201=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #393202=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #393203=DIRECTION('',(0.,0.,1.)); #393204=DIRECTION('center_axis',(0.,0.,1.)); #393205=DIRECTION('ref_axis',(-5.90313868192551E-6,-0.999999999982576,0.)); #393206=DIRECTION('center_axis',(0.,0.,1.)); #393207=DIRECTION('ref_axis',(-5.90313868192551E-6,-0.999999999982576,0.)); #393208=DIRECTION('center_axis',(0.,0.,1.)); #393209=DIRECTION('ref_axis',(-5.90313868192551E-6,-0.999999999982576,0.)); #393210=DIRECTION('',(0.,0.,1.)); #393211=DIRECTION('center_axis',(0.,-1.,0.)); #393212=DIRECTION('ref_axis',(1.,0.,0.)); #393213=DIRECTION('',(1.,0.,0.)); #393214=DIRECTION('',(1.,0.,0.)); #393215=DIRECTION('',(0.,0.,1.)); #393216=DIRECTION('center_axis',(0.707106781186552,-0.707106781186543,0.)); #393217=DIRECTION('ref_axis',(0.707106781186543,0.707106781186552,0.)); #393218=DIRECTION('',(0.707106781186543,0.707106781186552,0.)); #393219=DIRECTION('',(0.707106781186543,0.707106781186552,0.)); #393220=DIRECTION('center_axis',(0.,0.,1.)); #393221=DIRECTION('ref_axis',(1.,0.,0.)); #393222=DIRECTION('center_axis',(0.,0.,1.)); #393223=DIRECTION('ref_axis',(1.,0.,0.)); #393224=DIRECTION('center_axis',(0.,0.,1.)); #393225=DIRECTION('ref_axis',(1.,0.,0.)); #393226=DIRECTION('center_axis',(0.,0.,1.)); #393227=DIRECTION('ref_axis',(1.,0.,0.)); #393228=DIRECTION('',(0.,0.,1.)); #393229=DIRECTION('center_axis',(0.,0.,-1.)); #393230=DIRECTION('ref_axis',(1.,0.,0.)); #393231=DIRECTION('center_axis',(1.,0.,0.)); #393232=DIRECTION('ref_axis',(0.,1.,0.)); #393233=DIRECTION('',(0.,1.,0.)); #393234=DIRECTION('',(0.,0.,1.)); #393235=DIRECTION('',(0.,1.,0.)); #393236=DIRECTION('',(0.,0.,1.)); #393237=DIRECTION('center_axis',(0.,-1.,0.)); #393238=DIRECTION('ref_axis',(1.,0.,0.)); #393239=DIRECTION('',(1.,0.,0.)); #393240=DIRECTION('',(1.,0.,0.)); #393241=DIRECTION('',(0.,0.,1.)); #393242=DIRECTION('center_axis',(-1.,0.,0.)); #393243=DIRECTION('ref_axis',(0.,-1.,0.)); #393244=DIRECTION('',(0.,-1.,0.)); #393245=DIRECTION('',(0.,-1.,0.)); #393246=DIRECTION('',(0.,0.,1.)); #393247=DIRECTION('center_axis',(0.,1.,0.)); #393248=DIRECTION('ref_axis',(-1.,0.,0.)); #393249=DIRECTION('',(-1.,0.,0.)); #393250=DIRECTION('',(-1.,0.,0.)); #393251=DIRECTION('',(0.,0.,1.)); #393252=DIRECTION('center_axis',(-0.707106781186546,0.707106781186549,0.)); #393253=DIRECTION('ref_axis',(-0.707106781186549,-0.707106781186546,0.)); #393254=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #393255=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #393256=DIRECTION('',(0.,0.,1.)); #393257=DIRECTION('center_axis',(-1.,0.,0.)); #393258=DIRECTION('ref_axis',(0.,-1.,0.)); #393259=DIRECTION('',(0.,-1.,0.)); #393260=DIRECTION('',(0.,-1.,0.)); #393261=DIRECTION('',(0.,0.,1.)); #393262=DIRECTION('center_axis',(-0.707106781186544,-0.707106781186551, 0.)); #393263=DIRECTION('ref_axis',(0.707106781186551,-0.707106781186544,0.)); #393264=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #393265=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #393266=DIRECTION('',(0.,0.,1.)); #393267=DIRECTION('center_axis',(0.,-1.,0.)); #393268=DIRECTION('ref_axis',(1.,0.,0.)); #393269=DIRECTION('',(1.,0.,0.)); #393270=DIRECTION('',(1.,0.,0.)); #393271=DIRECTION('',(0.,0.,1.)); #393272=DIRECTION('center_axis',(0.,0.,1.)); #393273=DIRECTION('ref_axis',(-0.923608463162,-0.383337197223969,0.)); #393274=DIRECTION('center_axis',(0.,0.,1.)); #393275=DIRECTION('ref_axis',(-0.923608463162,-0.383337197223969,0.)); #393276=DIRECTION('center_axis',(0.,0.,1.)); #393277=DIRECTION('ref_axis',(-0.923608463162,-0.383337197223969,0.)); #393278=DIRECTION('',(0.,0.,1.)); #393279=DIRECTION('center_axis',(0.,0.,1.)); #393280=DIRECTION('ref_axis',(0.887013571520806,0.461743352889789,0.)); #393281=DIRECTION('center_axis',(0.,0.,1.)); #393282=DIRECTION('ref_axis',(0.887013571520806,0.461743352889789,0.)); #393283=DIRECTION('center_axis',(0.,0.,1.)); #393284=DIRECTION('ref_axis',(0.887013571520806,0.461743352889789,0.)); #393285=DIRECTION('',(0.,0.,1.)); #393286=DIRECTION('center_axis',(0.,1.,0.)); #393287=DIRECTION('ref_axis',(-1.,0.,0.)); #393288=DIRECTION('',(-1.,0.,0.)); #393289=DIRECTION('',(-1.,0.,0.)); #393290=DIRECTION('',(0.,0.,1.)); #393291=DIRECTION('center_axis',(0.,0.,1.)); #393292=DIRECTION('ref_axis',(0.707102614154231,0.707110948194308,0.)); #393293=DIRECTION('center_axis',(0.,0.,1.)); #393294=DIRECTION('ref_axis',(0.707102614154231,0.707110948194308,0.)); #393295=DIRECTION('center_axis',(0.,0.,1.)); #393296=DIRECTION('ref_axis',(0.707102614154231,0.707110948194308,0.)); #393297=DIRECTION('',(0.,0.,1.)); #393298=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,0.)); #393299=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.)); #393300=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #393301=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #393302=DIRECTION('',(0.,0.,1.)); #393303=DIRECTION('center_axis',(0.,0.,1.)); #393304=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #393305=DIRECTION('center_axis',(0.,0.,1.)); #393306=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #393307=DIRECTION('center_axis',(0.,0.,1.)); #393308=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #393309=DIRECTION('',(0.,0.,1.)); #393310=DIRECTION('center_axis',(1.,0.,0.)); #393311=DIRECTION('ref_axis',(0.,1.,0.)); #393312=DIRECTION('',(0.,1.,0.)); #393313=DIRECTION('',(0.,1.,0.)); #393314=DIRECTION('',(0.,0.,1.)); #393315=DIRECTION('center_axis',(0.,0.,1.)); #393316=DIRECTION('ref_axis',(0.707113731984418,-0.707099830320351,0.)); #393317=DIRECTION('center_axis',(0.,0.,1.)); #393318=DIRECTION('ref_axis',(0.707113731984418,-0.707099830320351,0.)); #393319=DIRECTION('center_axis',(0.,0.,1.)); #393320=DIRECTION('ref_axis',(0.707113731984418,-0.707099830320351,0.)); #393321=DIRECTION('',(0.,0.,1.)); #393322=DIRECTION('center_axis',(0.707106781186551,-0.707106781186544,0.)); #393323=DIRECTION('ref_axis',(0.707106781186544,0.707106781186551,0.)); #393324=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #393325=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #393326=DIRECTION('center_axis',(0.,0.,1.)); #393327=DIRECTION('ref_axis',(1.,0.,0.)); #393328=DIRECTION('center_axis',(0.,0.,1.)); #393329=DIRECTION('ref_axis',(1.,0.,0.)); #393330=DIRECTION('center_axis',(0.,0.,1.)); #393331=DIRECTION('ref_axis',(1.,0.,0.)); #393332=DIRECTION('center_axis',(0.,0.,1.)); #393333=DIRECTION('ref_axis',(1.,0.,0.)); #393334=DIRECTION('',(0.,0.,1.)); #393335=DIRECTION('center_axis',(0.,0.,-1.)); #393336=DIRECTION('ref_axis',(1.,0.,0.)); #393337=DIRECTION('center_axis',(1.,0.,0.)); #393338=DIRECTION('ref_axis',(0.,1.,0.)); #393339=DIRECTION('',(0.,1.,0.)); #393340=DIRECTION('',(0.,0.,1.)); #393341=DIRECTION('',(0.,1.,0.)); #393342=DIRECTION('',(0.,0.,1.)); #393343=DIRECTION('center_axis',(0.,-1.,0.)); #393344=DIRECTION('ref_axis',(1.,0.,0.)); #393345=DIRECTION('',(1.,0.,0.)); #393346=DIRECTION('',(1.,0.,0.)); #393347=DIRECTION('',(0.,0.,1.)); #393348=DIRECTION('center_axis',(-1.,0.,0.)); #393349=DIRECTION('ref_axis',(0.,-1.,0.)); #393350=DIRECTION('',(0.,-1.,0.)); #393351=DIRECTION('',(0.,-1.,0.)); #393352=DIRECTION('',(0.,0.,1.)); #393353=DIRECTION('center_axis',(-0.707106781186557,-0.707106781186538, 0.)); #393354=DIRECTION('ref_axis',(0.707106781186538,-0.707106781186557,0.)); #393355=DIRECTION('',(0.707106781186538,-0.707106781186557,0.)); #393356=DIRECTION('',(0.707106781186538,-0.707106781186557,0.)); #393357=DIRECTION('',(0.,0.,1.)); #393358=DIRECTION('center_axis',(0.,-1.,0.)); #393359=DIRECTION('ref_axis',(1.,0.,0.)); #393360=DIRECTION('',(1.,0.,0.)); #393361=DIRECTION('',(1.,0.,0.)); #393362=DIRECTION('',(0.,0.,1.)); #393363=DIRECTION('center_axis',(0.,0.,1.)); #393364=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #393365=DIRECTION('center_axis',(0.,0.,1.)); #393366=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #393367=DIRECTION('center_axis',(0.,0.,1.)); #393368=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #393369=DIRECTION('',(0.,0.,1.)); #393370=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #393371=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #393372=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #393373=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #393374=DIRECTION('',(0.,0.,1.)); #393375=DIRECTION('center_axis',(0.,0.,1.)); #393376=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192551E-6,0.)); #393377=DIRECTION('center_axis',(0.,0.,1.)); #393378=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192551E-6,0.)); #393379=DIRECTION('center_axis',(0.,0.,1.)); #393380=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192551E-6,0.)); #393381=DIRECTION('',(0.,0.,1.)); #393382=DIRECTION('center_axis',(-1.,0.,0.)); #393383=DIRECTION('ref_axis',(0.,-1.,0.)); #393384=DIRECTION('',(0.,-1.,0.)); #393385=DIRECTION('',(0.,-1.,0.)); #393386=DIRECTION('',(0.,0.,1.)); #393387=DIRECTION('center_axis',(0.,0.,1.)); #393388=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154248,0.)); #393389=DIRECTION('center_axis',(0.,0.,1.)); #393390=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154248,0.)); #393391=DIRECTION('center_axis',(0.,0.,1.)); #393392=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154248,0.)); #393393=DIRECTION('',(0.,0.,1.)); #393394=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #393395=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #393396=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #393397=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #393398=DIRECTION('',(0.,0.,1.)); #393399=DIRECTION('center_axis',(0.,0.,1.)); #393400=DIRECTION('ref_axis',(5.90313875185983E-6,0.999999999982576,0.)); #393401=DIRECTION('center_axis',(0.,0.,1.)); #393402=DIRECTION('ref_axis',(5.90313875185983E-6,0.999999999982576,0.)); #393403=DIRECTION('center_axis',(0.,0.,1.)); #393404=DIRECTION('ref_axis',(5.90313875185983E-6,0.999999999982576,0.)); #393405=DIRECTION('',(0.,0.,1.)); #393406=DIRECTION('center_axis',(0.,1.,0.)); #393407=DIRECTION('ref_axis',(-1.,0.,0.)); #393408=DIRECTION('',(-1.,0.,0.)); #393409=DIRECTION('',(-1.,0.,0.)); #393410=DIRECTION('',(0.,0.,1.)); #393411=DIRECTION('center_axis',(0.,0.,1.)); #393412=DIRECTION('ref_axis',(0.923607696029133,0.38333904554031,0.)); #393413=DIRECTION('center_axis',(0.,0.,1.)); #393414=DIRECTION('ref_axis',(0.923607696029133,0.38333904554031,0.)); #393415=DIRECTION('center_axis',(0.,0.,1.)); #393416=DIRECTION('ref_axis',(0.923607696029133,0.38333904554031,0.)); #393417=DIRECTION('',(0.,0.,1.)); #393418=DIRECTION('center_axis',(0.,0.,1.)); #393419=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #393420=DIRECTION('center_axis',(0.,0.,1.)); #393421=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #393422=DIRECTION('center_axis',(0.,0.,1.)); #393423=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #393424=DIRECTION('',(0.,0.,1.)); #393425=DIRECTION('center_axis',(0.,-1.,0.)); #393426=DIRECTION('ref_axis',(1.,0.,0.)); #393427=DIRECTION('',(1.,0.,0.)); #393428=DIRECTION('',(1.,0.,0.)); #393429=DIRECTION('',(0.,0.,1.)); #393430=DIRECTION('center_axis',(0.70710678118654,-0.707106781186555,0.)); #393431=DIRECTION('ref_axis',(0.707106781186555,0.70710678118654,0.)); #393432=DIRECTION('',(0.707106781186555,0.70710678118654,0.)); #393433=DIRECTION('',(0.707106781186555,0.70710678118654,0.)); #393434=DIRECTION('',(0.,0.,1.)); #393435=DIRECTION('center_axis',(1.,0.,0.)); #393436=DIRECTION('ref_axis',(0.,1.,0.)); #393437=DIRECTION('',(0.,1.,0.)); #393438=DIRECTION('',(0.,1.,0.)); #393439=DIRECTION('',(0.,0.,1.)); #393440=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #393441=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #393442=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #393443=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #393444=DIRECTION('',(0.,0.,1.)); #393445=DIRECTION('center_axis',(0.,1.,0.)); #393446=DIRECTION('ref_axis',(-1.,0.,0.)); #393447=DIRECTION('',(-1.,0.,0.)); #393448=DIRECTION('',(-1.,0.,0.)); #393449=DIRECTION('',(0.,0.,1.)); #393450=DIRECTION('center_axis',(0.,0.,1.)); #393451=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #393452=DIRECTION('center_axis',(0.,0.,1.)); #393453=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #393454=DIRECTION('center_axis',(0.,0.,1.)); #393455=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #393456=DIRECTION('',(0.,0.,1.)); #393457=DIRECTION('center_axis',(0.70710678118654,0.707106781186555,0.)); #393458=DIRECTION('ref_axis',(-0.707106781186555,0.70710678118654,0.)); #393459=DIRECTION('',(-0.707106781186555,0.70710678118654,0.)); #393460=DIRECTION('',(-0.707106781186555,0.70710678118654,0.)); #393461=DIRECTION('',(0.,0.,1.)); #393462=DIRECTION('center_axis',(0.,1.,0.)); #393463=DIRECTION('ref_axis',(-1.,0.,0.)); #393464=DIRECTION('',(-1.,0.,0.)); #393465=DIRECTION('',(-1.,0.,0.)); #393466=DIRECTION('center_axis',(0.,0.,1.)); #393467=DIRECTION('ref_axis',(1.,0.,0.)); #393468=DIRECTION('center_axis',(0.,0.,1.)); #393469=DIRECTION('ref_axis',(1.,0.,0.)); #393470=DIRECTION('center_axis',(1.,0.,0.)); #393471=DIRECTION('ref_axis',(0.,1.,0.)); #393472=DIRECTION('',(0.,1.,0.)); #393473=DIRECTION('',(0.,0.,1.)); #393474=DIRECTION('',(0.,1.,0.)); #393475=DIRECTION('',(0.,0.,1.)); #393476=DIRECTION('center_axis',(0.,-1.,0.)); #393477=DIRECTION('ref_axis',(1.,0.,0.)); #393478=DIRECTION('',(1.,0.,0.)); #393479=DIRECTION('',(1.,0.,0.)); #393480=DIRECTION('',(0.,0.,1.)); #393481=DIRECTION('center_axis',(-1.,0.,0.)); #393482=DIRECTION('ref_axis',(0.,-1.,0.)); #393483=DIRECTION('',(0.,-1.,0.)); #393484=DIRECTION('',(0.,-1.,0.)); #393485=DIRECTION('',(0.,0.,1.)); #393486=DIRECTION('center_axis',(0.,-1.,0.)); #393487=DIRECTION('ref_axis',(1.,0.,0.)); #393488=DIRECTION('',(1.,0.,0.)); #393489=DIRECTION('',(1.,0.,0.)); #393490=DIRECTION('',(0.,0.,1.)); #393491=DIRECTION('center_axis',(0.,0.,1.)); #393492=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #393493=DIRECTION('center_axis',(0.,0.,1.)); #393494=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #393495=DIRECTION('center_axis',(0.,0.,1.)); #393496=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #393497=DIRECTION('',(0.,0.,1.)); #393498=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #393499=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #393500=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #393501=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #393502=DIRECTION('',(0.,0.,1.)); #393503=DIRECTION('center_axis',(0.,0.,1.)); #393504=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #393505=DIRECTION('center_axis',(0.,0.,1.)); #393506=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #393507=DIRECTION('center_axis',(0.,0.,1.)); #393508=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #393509=DIRECTION('',(0.,0.,1.)); #393510=DIRECTION('center_axis',(-1.,0.,0.)); #393511=DIRECTION('ref_axis',(0.,-1.,0.)); #393512=DIRECTION('',(0.,-1.,0.)); #393513=DIRECTION('',(0.,-1.,0.)); #393514=DIRECTION('',(0.,0.,1.)); #393515=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #393516=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #393517=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #393518=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #393519=DIRECTION('',(0.,0.,1.)); #393520=DIRECTION('center_axis',(0.,-1.,0.)); #393521=DIRECTION('ref_axis',(1.,0.,0.)); #393522=DIRECTION('',(1.,0.,0.)); #393523=DIRECTION('',(1.,0.,0.)); #393524=DIRECTION('',(0.,0.,1.)); #393525=DIRECTION('center_axis',(1.,0.,0.)); #393526=DIRECTION('ref_axis',(0.,1.,0.)); #393527=DIRECTION('',(0.,1.,0.)); #393528=DIRECTION('',(0.,1.,0.)); #393529=DIRECTION('',(0.,0.,1.)); #393530=DIRECTION('center_axis',(0.,-1.,0.)); #393531=DIRECTION('ref_axis',(1.,0.,0.)); #393532=DIRECTION('',(1.,0.,0.)); #393533=DIRECTION('',(1.,0.,0.)); #393534=DIRECTION('',(0.,0.,1.)); #393535=DIRECTION('center_axis',(-1.,0.,0.)); #393536=DIRECTION('ref_axis',(0.,-1.,0.)); #393537=DIRECTION('',(0.,-1.,0.)); #393538=DIRECTION('',(0.,-1.,0.)); #393539=DIRECTION('',(0.,0.,1.)); #393540=DIRECTION('center_axis',(0.,1.,0.)); #393541=DIRECTION('ref_axis',(-1.,0.,0.)); #393542=DIRECTION('',(-1.,0.,0.)); #393543=DIRECTION('',(-1.,0.,0.)); #393544=DIRECTION('',(0.,0.,1.)); #393545=DIRECTION('center_axis',(1.,0.,0.)); #393546=DIRECTION('ref_axis',(0.,1.,0.)); #393547=DIRECTION('',(0.,1.,0.)); #393548=DIRECTION('',(0.,1.,0.)); #393549=DIRECTION('',(0.,0.,1.)); #393550=DIRECTION('center_axis',(0.,1.,0.)); #393551=DIRECTION('ref_axis',(-1.,0.,0.)); #393552=DIRECTION('',(-1.,0.,0.)); #393553=DIRECTION('',(-1.,0.,0.)); #393554=DIRECTION('',(0.,0.,1.)); #393555=DIRECTION('center_axis',(0.,0.,1.)); #393556=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #393557=DIRECTION('center_axis',(0.,0.,1.)); #393558=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #393559=DIRECTION('center_axis',(0.,0.,1.)); #393560=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #393561=DIRECTION('',(0.,0.,1.)); #393562=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #393563=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #393564=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #393565=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #393566=DIRECTION('',(0.,0.,1.)); #393567=DIRECTION('center_axis',(0.,0.,1.)); #393568=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #393569=DIRECTION('center_axis',(0.,0.,1.)); #393570=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #393571=DIRECTION('center_axis',(0.,0.,1.)); #393572=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #393573=DIRECTION('',(0.,0.,1.)); #393574=DIRECTION('center_axis',(1.,0.,0.)); #393575=DIRECTION('ref_axis',(0.,1.,0.)); #393576=DIRECTION('',(0.,1.,0.)); #393577=DIRECTION('',(0.,1.,0.)); #393578=DIRECTION('',(0.,0.,1.)); #393579=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #393580=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #393581=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #393582=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #393583=DIRECTION('',(0.,0.,1.)); #393584=DIRECTION('center_axis',(0.,1.,0.)); #393585=DIRECTION('ref_axis',(-1.,0.,0.)); #393586=DIRECTION('',(-1.,0.,0.)); #393587=DIRECTION('',(-1.,0.,0.)); #393588=DIRECTION('',(0.,0.,1.)); #393589=DIRECTION('center_axis',(-1.,0.,0.)); #393590=DIRECTION('ref_axis',(0.,-1.,0.)); #393591=DIRECTION('',(0.,-1.,0.)); #393592=DIRECTION('',(0.,-1.,0.)); #393593=DIRECTION('',(0.,0.,1.)); #393594=DIRECTION('center_axis',(0.,1.,0.)); #393595=DIRECTION('ref_axis',(-1.,0.,0.)); #393596=DIRECTION('',(-1.,0.,0.)); #393597=DIRECTION('',(-1.,0.,0.)); #393598=DIRECTION('center_axis',(0.,0.,1.)); #393599=DIRECTION('ref_axis',(1.,0.,0.)); #393600=DIRECTION('center_axis',(0.,0.,1.)); #393601=DIRECTION('ref_axis',(1.,0.,0.)); #393602=DIRECTION('center_axis',(0.,0.,1.)); #393603=DIRECTION('ref_axis',(1.,0.,0.)); #393604=DIRECTION('center_axis',(0.,0.,1.)); #393605=DIRECTION('ref_axis',(1.,0.,0.)); #393606=DIRECTION('',(0.,0.,1.)); #393607=DIRECTION('center_axis',(0.,0.,-1.)); #393608=DIRECTION('ref_axis',(1.,0.,0.)); #393609=DIRECTION('center_axis',(1.,0.,0.)); #393610=DIRECTION('ref_axis',(0.,1.,0.)); #393611=DIRECTION('',(0.,1.,0.)); #393612=DIRECTION('',(0.,0.,1.)); #393613=DIRECTION('',(0.,1.,0.)); #393614=DIRECTION('',(0.,0.,1.)); #393615=DIRECTION('center_axis',(0.,-1.,0.)); #393616=DIRECTION('ref_axis',(1.,0.,0.)); #393617=DIRECTION('',(1.,0.,0.)); #393618=DIRECTION('',(1.,0.,0.)); #393619=DIRECTION('',(0.,0.,1.)); #393620=DIRECTION('center_axis',(-1.,0.,0.)); #393621=DIRECTION('ref_axis',(0.,-1.,0.)); #393622=DIRECTION('',(0.,-1.,0.)); #393623=DIRECTION('',(0.,-1.,0.)); #393624=DIRECTION('',(0.,0.,1.)); #393625=DIRECTION('center_axis',(0.,1.,0.)); #393626=DIRECTION('ref_axis',(-1.,0.,0.)); #393627=DIRECTION('',(-1.,0.,0.)); #393628=DIRECTION('',(-1.,0.,0.)); #393629=DIRECTION('center_axis',(0.,0.,1.)); #393630=DIRECTION('ref_axis',(1.,0.,0.)); #393631=DIRECTION('center_axis',(0.,0.,1.)); #393632=DIRECTION('ref_axis',(1.,0.,0.)); #393633=DIRECTION('center_axis',(0.,0.,1.)); #393634=DIRECTION('ref_axis',(1.,0.,0.)); #393635=DIRECTION('center_axis',(0.,0.,1.)); #393636=DIRECTION('ref_axis',(1.,0.,0.)); #393637=DIRECTION('',(0.,0.,1.)); #393638=DIRECTION('center_axis',(0.,0.,-1.)); #393639=DIRECTION('ref_axis',(1.,0.,0.)); #393640=DIRECTION('center_axis',(0.156447762252348,-0.987686234431883,0.)); #393641=DIRECTION('ref_axis',(0.987686234431883,0.156447762252348,0.)); #393642=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #393643=DIRECTION('',(0.,0.,1.)); #393644=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #393645=DIRECTION('',(0.,0.,1.)); #393646=DIRECTION('center_axis',(0.,0.,1.)); #393647=DIRECTION('ref_axis',(-0.461527865934839,0.887125712041779,0.)); #393648=DIRECTION('center_axis',(0.,0.,1.)); #393649=DIRECTION('ref_axis',(-0.461527865934839,0.887125712041779,0.)); #393650=DIRECTION('center_axis',(0.,0.,1.)); #393651=DIRECTION('ref_axis',(-0.461527865934839,0.887125712041779,0.)); #393652=DIRECTION('',(0.,0.,1.)); #393653=DIRECTION('center_axis',(-1.,0.,0.)); #393654=DIRECTION('ref_axis',(0.,-1.,0.)); #393655=DIRECTION('',(0.,-1.,0.)); #393656=DIRECTION('',(0.,-1.,0.)); #393657=DIRECTION('',(0.,0.,1.)); #393658=DIRECTION('center_axis',(0.,0.,1.)); #393659=DIRECTION('ref_axis',(-0.707110948194308,0.707102614154231,0.)); #393660=DIRECTION('center_axis',(0.,0.,1.)); #393661=DIRECTION('ref_axis',(-0.707110948194308,0.707102614154231,0.)); #393662=DIRECTION('center_axis',(0.,0.,1.)); #393663=DIRECTION('ref_axis',(-0.707110948194308,0.707102614154231,0.)); #393664=DIRECTION('',(0.,0.,1.)); #393665=DIRECTION('center_axis',(-0.707106781186538,0.707106781186557,0.)); #393666=DIRECTION('ref_axis',(-0.707106781186557,-0.707106781186538,0.)); #393667=DIRECTION('',(-0.707106781186557,-0.707106781186538,0.)); #393668=DIRECTION('',(-0.707106781186557,-0.707106781186538,0.)); #393669=DIRECTION('',(0.,0.,1.)); #393670=DIRECTION('center_axis',(-1.,0.,0.)); #393671=DIRECTION('ref_axis',(0.,-1.,0.)); #393672=DIRECTION('',(0.,-1.,0.)); #393673=DIRECTION('',(0.,-1.,0.)); #393674=DIRECTION('',(0.,0.,1.)); #393675=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #393676=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #393677=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #393678=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #393679=DIRECTION('',(0.,0.,1.)); #393680=DIRECTION('center_axis',(0.,-1.,0.)); #393681=DIRECTION('ref_axis',(1.,0.,0.)); #393682=DIRECTION('',(1.,0.,0.)); #393683=DIRECTION('',(1.,0.,0.)); #393684=DIRECTION('',(0.,0.,1.)); #393685=DIRECTION('center_axis',(0.,0.,1.)); #393686=DIRECTION('ref_axis',(-0.707102614154231,-0.707110948194308,0.)); #393687=DIRECTION('center_axis',(0.,0.,1.)); #393688=DIRECTION('ref_axis',(-0.707102614154231,-0.707110948194308,0.)); #393689=DIRECTION('center_axis',(0.,0.,1.)); #393690=DIRECTION('ref_axis',(-0.707102614154231,-0.707110948194308,0.)); #393691=DIRECTION('',(0.,0.,1.)); #393692=DIRECTION('center_axis',(-0.707106781186559,-0.707106781186536, 0.)); #393693=DIRECTION('ref_axis',(0.707106781186536,-0.707106781186559,0.)); #393694=DIRECTION('',(0.707106781186536,-0.707106781186559,0.)); #393695=DIRECTION('',(0.707106781186536,-0.707106781186559,0.)); #393696=DIRECTION('',(0.,0.,1.)); #393697=DIRECTION('center_axis',(0.,-1.,0.)); #393698=DIRECTION('ref_axis',(1.,0.,0.)); #393699=DIRECTION('',(1.,0.,0.)); #393700=DIRECTION('',(1.,0.,0.)); #393701=DIRECTION('',(0.,0.,1.)); #393702=DIRECTION('center_axis',(-1.,0.,0.)); #393703=DIRECTION('ref_axis',(0.,-1.,0.)); #393704=DIRECTION('',(0.,-1.,0.)); #393705=DIRECTION('',(0.,-1.,0.)); #393706=DIRECTION('',(0.,0.,1.)); #393707=DIRECTION('center_axis',(0.,1.,0.)); #393708=DIRECTION('ref_axis',(-1.,0.,0.)); #393709=DIRECTION('',(-1.,0.,0.)); #393710=DIRECTION('',(-1.,0.,0.)); #393711=DIRECTION('',(0.,0.,1.)); #393712=DIRECTION('center_axis',(1.,0.,0.)); #393713=DIRECTION('ref_axis',(0.,1.,0.)); #393714=DIRECTION('',(0.,1.,0.)); #393715=DIRECTION('',(0.,1.,0.)); #393716=DIRECTION('',(0.,0.,1.)); #393717=DIRECTION('center_axis',(0.707106781186539,0.707106781186556,0.)); #393718=DIRECTION('ref_axis',(-0.707106781186556,0.707106781186539,0.)); #393719=DIRECTION('',(-0.707106781186556,0.707106781186539,0.)); #393720=DIRECTION('',(-0.707106781186556,0.707106781186539,0.)); #393721=DIRECTION('',(0.,0.,1.)); #393722=DIRECTION('center_axis',(0.,1.,0.)); #393723=DIRECTION('ref_axis',(-1.,0.,0.)); #393724=DIRECTION('',(-1.,0.,0.)); #393725=DIRECTION('',(-1.,0.,0.)); #393726=DIRECTION('',(0.,0.,1.)); #393727=DIRECTION('center_axis',(0.,0.,1.)); #393728=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #393729=DIRECTION('center_axis',(0.,0.,1.)); #393730=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #393731=DIRECTION('center_axis',(0.,0.,1.)); #393732=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #393733=DIRECTION('',(0.,0.,1.)); #393734=DIRECTION('center_axis',(0.707106781186546,0.707106781186549,0.)); #393735=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #393736=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #393737=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #393738=DIRECTION('',(0.,0.,1.)); #393739=DIRECTION('center_axis',(0.,0.,1.)); #393740=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #393741=DIRECTION('center_axis',(0.,0.,1.)); #393742=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #393743=DIRECTION('center_axis',(0.,0.,1.)); #393744=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #393745=DIRECTION('',(0.,0.,1.)); #393746=DIRECTION('center_axis',(1.,0.,0.)); #393747=DIRECTION('ref_axis',(0.,1.,0.)); #393748=DIRECTION('',(0.,1.,0.)); #393749=DIRECTION('',(0.,1.,0.)); #393750=DIRECTION('',(0.,0.,1.)); #393751=DIRECTION('center_axis',(0.,0.,1.)); #393752=DIRECTION('ref_axis',(0.70711094819429,-0.707102614154248,0.)); #393753=DIRECTION('center_axis',(0.,0.,1.)); #393754=DIRECTION('ref_axis',(0.70711094819429,-0.707102614154248,0.)); #393755=DIRECTION('center_axis',(0.,0.,1.)); #393756=DIRECTION('ref_axis',(0.70711094819429,-0.707102614154248,0.)); #393757=DIRECTION('',(0.,0.,1.)); #393758=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #393759=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #393760=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #393761=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #393762=DIRECTION('',(0.,0.,1.)); #393763=DIRECTION('center_axis',(1.,0.,0.)); #393764=DIRECTION('ref_axis',(0.,1.,0.)); #393765=DIRECTION('',(0.,1.,0.)); #393766=DIRECTION('',(0.,1.,0.)); #393767=DIRECTION('',(0.,0.,1.)); #393768=DIRECTION('center_axis',(0.,0.,1.)); #393769=DIRECTION('ref_axis',(0.234004121468812,-0.972235604745892,0.)); #393770=DIRECTION('center_axis',(0.,0.,1.)); #393771=DIRECTION('ref_axis',(0.234004121468812,-0.972235604745892,0.)); #393772=DIRECTION('center_axis',(0.,0.,1.)); #393773=DIRECTION('ref_axis',(0.234004121468812,-0.972235604745892,0.)); #393774=DIRECTION('center_axis',(0.,0.,1.)); #393775=DIRECTION('ref_axis',(1.,0.,0.)); #393776=DIRECTION('center_axis',(0.,0.,1.)); #393777=DIRECTION('ref_axis',(1.,0.,0.)); #393778=DIRECTION('center_axis',(0.,0.,1.)); #393779=DIRECTION('ref_axis',(1.,0.,0.)); #393780=DIRECTION('center_axis',(0.,0.,1.)); #393781=DIRECTION('ref_axis',(1.,0.,0.)); #393782=DIRECTION('',(0.,0.,1.)); #393783=DIRECTION('center_axis',(0.,0.,-1.)); #393784=DIRECTION('ref_axis',(1.,0.,0.)); #393785=DIRECTION('center_axis',(1.,0.,0.)); #393786=DIRECTION('ref_axis',(0.,1.,0.)); #393787=DIRECTION('',(0.,1.,0.)); #393788=DIRECTION('',(0.,0.,1.)); #393789=DIRECTION('',(0.,1.,0.)); #393790=DIRECTION('',(0.,0.,1.)); #393791=DIRECTION('center_axis',(0.,-1.,0.)); #393792=DIRECTION('ref_axis',(1.,0.,0.)); #393793=DIRECTION('',(1.,0.,0.)); #393794=DIRECTION('',(1.,0.,0.)); #393795=DIRECTION('',(0.,0.,1.)); #393796=DIRECTION('center_axis',(-1.,0.,0.)); #393797=DIRECTION('ref_axis',(0.,-1.,0.)); #393798=DIRECTION('',(0.,-1.,0.)); #393799=DIRECTION('',(0.,-1.,0.)); #393800=DIRECTION('',(0.,0.,1.)); #393801=DIRECTION('center_axis',(0.,1.,0.)); #393802=DIRECTION('ref_axis',(-1.,0.,0.)); #393803=DIRECTION('',(-1.,0.,0.)); #393804=DIRECTION('',(-1.,0.,0.)); #393805=DIRECTION('',(0.,0.,1.)); #393806=DIRECTION('center_axis',(1.,0.,0.)); #393807=DIRECTION('ref_axis',(0.,1.,0.)); #393808=DIRECTION('',(0.,1.,0.)); #393809=DIRECTION('',(0.,1.,0.)); #393810=DIRECTION('',(0.,0.,1.)); #393811=DIRECTION('center_axis',(0.,1.,0.)); #393812=DIRECTION('ref_axis',(-1.,0.,0.)); #393813=DIRECTION('',(-1.,0.,0.)); #393814=DIRECTION('',(-1.,0.,0.)); #393815=DIRECTION('',(0.,0.,1.)); #393816=DIRECTION('center_axis',(-0.707106781186559,0.707106781186536,0.)); #393817=DIRECTION('ref_axis',(-0.707106781186536,-0.707106781186559,0.)); #393818=DIRECTION('',(-0.707106781186536,-0.707106781186559,0.)); #393819=DIRECTION('',(-0.707106781186536,-0.707106781186559,0.)); #393820=DIRECTION('',(0.,0.,1.)); #393821=DIRECTION('center_axis',(0.,0.,1.)); #393822=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #393823=DIRECTION('center_axis',(0.,0.,1.)); #393824=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #393825=DIRECTION('center_axis',(0.,0.,1.)); #393826=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #393827=DIRECTION('',(0.,0.,1.)); #393828=DIRECTION('center_axis',(0.,1.,0.)); #393829=DIRECTION('ref_axis',(-1.,0.,0.)); #393830=DIRECTION('',(-1.,0.,0.)); #393831=DIRECTION('',(-1.,0.,0.)); #393832=DIRECTION('',(0.,0.,1.)); #393833=DIRECTION('center_axis',(0.,0.,1.)); #393834=DIRECTION('ref_axis',(0.923607696029123,0.383339045540335,0.)); #393835=DIRECTION('center_axis',(0.,0.,1.)); #393836=DIRECTION('ref_axis',(0.923607696029123,0.383339045540335,0.)); #393837=DIRECTION('center_axis',(0.,0.,1.)); #393838=DIRECTION('ref_axis',(0.923607696029123,0.383339045540335,0.)); #393839=DIRECTION('',(0.,0.,1.)); #393840=DIRECTION('center_axis',(0.,0.,1.)); #393841=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676714,0.)); #393842=DIRECTION('center_axis',(0.,0.,1.)); #393843=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676714,0.)); #393844=DIRECTION('center_axis',(0.,0.,1.)); #393845=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676714,0.)); #393846=DIRECTION('',(0.,0.,1.)); #393847=DIRECTION('center_axis',(0.,-1.,0.)); #393848=DIRECTION('ref_axis',(1.,0.,0.)); #393849=DIRECTION('',(1.,0.,0.)); #393850=DIRECTION('',(1.,0.,0.)); #393851=DIRECTION('',(0.,0.,1.)); #393852=DIRECTION('center_axis',(0.707106781186559,-0.707106781186536,0.)); #393853=DIRECTION('ref_axis',(0.707106781186536,0.707106781186559,0.)); #393854=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #393855=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #393856=DIRECTION('',(0.,0.,1.)); #393857=DIRECTION('center_axis',(0.,0.,1.)); #393858=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #393859=DIRECTION('center_axis',(0.,0.,1.)); #393860=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #393861=DIRECTION('center_axis',(0.,0.,1.)); #393862=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #393863=DIRECTION('',(0.,0.,1.)); #393864=DIRECTION('center_axis',(0.,-1.,0.)); #393865=DIRECTION('ref_axis',(1.,0.,0.)); #393866=DIRECTION('',(1.,0.,0.)); #393867=DIRECTION('',(1.,0.,0.)); #393868=DIRECTION('center_axis',(0.,0.,1.)); #393869=DIRECTION('ref_axis',(1.,0.,0.)); #393870=DIRECTION('center_axis',(0.,0.,1.)); #393871=DIRECTION('ref_axis',(1.,0.,0.)); #393872=DIRECTION('center_axis',(0.,0.,1.)); #393873=DIRECTION('ref_axis',(1.,0.,0.)); #393874=DIRECTION('center_axis',(0.,0.,1.)); #393875=DIRECTION('ref_axis',(1.,0.,0.)); #393876=DIRECTION('',(0.,0.,1.)); #393877=DIRECTION('center_axis',(0.,0.,-1.)); #393878=DIRECTION('ref_axis',(1.,0.,0.)); #393879=DIRECTION('center_axis',(1.,0.,0.)); #393880=DIRECTION('ref_axis',(0.,1.,0.)); #393881=DIRECTION('',(0.,1.,0.)); #393882=DIRECTION('',(0.,0.,1.)); #393883=DIRECTION('',(0.,1.,0.)); #393884=DIRECTION('',(0.,0.,1.)); #393885=DIRECTION('center_axis',(0.,1.,0.)); #393886=DIRECTION('ref_axis',(-1.,0.,0.)); #393887=DIRECTION('',(-1.,0.,0.)); #393888=DIRECTION('',(-1.,0.,0.)); #393889=DIRECTION('',(0.,0.,1.)); #393890=DIRECTION('center_axis',(-1.,0.,0.)); #393891=DIRECTION('ref_axis',(0.,-1.,0.)); #393892=DIRECTION('',(0.,-1.,0.)); #393893=DIRECTION('',(0.,-1.,0.)); #393894=DIRECTION('',(0.,0.,1.)); #393895=DIRECTION('center_axis',(0.,1.,0.)); #393896=DIRECTION('ref_axis',(-1.,0.,0.)); #393897=DIRECTION('',(-1.,0.,0.)); #393898=DIRECTION('',(-1.,0.,0.)); #393899=DIRECTION('',(0.,0.,1.)); #393900=DIRECTION('center_axis',(1.,0.,0.)); #393901=DIRECTION('ref_axis',(0.,1.,0.)); #393902=DIRECTION('',(0.,1.,0.)); #393903=DIRECTION('',(0.,1.,0.)); #393904=DIRECTION('',(0.,0.,1.)); #393905=DIRECTION('center_axis',(0.,-1.,0.)); #393906=DIRECTION('ref_axis',(1.,0.,0.)); #393907=DIRECTION('',(1.,0.,0.)); #393908=DIRECTION('',(1.,0.,0.)); #393909=DIRECTION('',(0.,0.,1.)); #393910=DIRECTION('center_axis',(-1.,0.,0.)); #393911=DIRECTION('ref_axis',(0.,-1.,0.)); #393912=DIRECTION('',(0.,-1.,0.)); #393913=DIRECTION('',(0.,-1.,0.)); #393914=DIRECTION('',(0.,0.,1.)); #393915=DIRECTION('center_axis',(0.,-1.,0.)); #393916=DIRECTION('ref_axis',(1.,0.,0.)); #393917=DIRECTION('',(1.,0.,0.)); #393918=DIRECTION('',(1.,0.,0.)); #393919=DIRECTION('',(0.,0.,1.)); #393920=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #393921=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #393922=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #393923=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #393924=DIRECTION('',(0.,0.,1.)); #393925=DIRECTION('center_axis',(1.,0.,0.)); #393926=DIRECTION('ref_axis',(0.,1.,0.)); #393927=DIRECTION('',(0.,1.,0.)); #393928=DIRECTION('',(0.,1.,0.)); #393929=DIRECTION('',(0.,0.,1.)); #393930=DIRECTION('center_axis',(0.,0.,1.)); #393931=DIRECTION('ref_axis',(0.70711094819436,-0.707102614154179,0.)); #393932=DIRECTION('center_axis',(0.,0.,1.)); #393933=DIRECTION('ref_axis',(0.70711094819436,-0.707102614154179,0.)); #393934=DIRECTION('center_axis',(0.,0.,1.)); #393935=DIRECTION('ref_axis',(0.70711094819436,-0.707102614154179,0.)); #393936=DIRECTION('',(0.,0.,1.)); #393937=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #393938=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #393939=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #393940=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #393941=DIRECTION('',(0.,0.,1.)); #393942=DIRECTION('center_axis',(0.,0.,1.)); #393943=DIRECTION('ref_axis',(-5.90313875185983E-6,-0.999999999982576,0.)); #393944=DIRECTION('center_axis',(0.,0.,1.)); #393945=DIRECTION('ref_axis',(-5.90313875185983E-6,-0.999999999982576,0.)); #393946=DIRECTION('center_axis',(0.,0.,1.)); #393947=DIRECTION('ref_axis',(-5.90313875185983E-6,-0.999999999982576,0.)); #393948=DIRECTION('',(0.,0.,1.)); #393949=DIRECTION('center_axis',(0.,-1.,0.)); #393950=DIRECTION('ref_axis',(1.,0.,0.)); #393951=DIRECTION('',(1.,0.,0.)); #393952=DIRECTION('',(1.,0.,0.)); #393953=DIRECTION('',(0.,0.,1.)); #393954=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #393955=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #393956=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #393957=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #393958=DIRECTION('',(0.,0.,1.)); #393959=DIRECTION('center_axis',(1.,0.,0.)); #393960=DIRECTION('ref_axis',(0.,1.,0.)); #393961=DIRECTION('',(0.,1.,0.)); #393962=DIRECTION('',(0.,1.,0.)); #393963=DIRECTION('',(0.,0.,1.)); #393964=DIRECTION('center_axis',(0.,0.,1.)); #393965=DIRECTION('ref_axis',(0.707113731984488,-0.707099830320281,0.)); #393966=DIRECTION('center_axis',(0.,0.,1.)); #393967=DIRECTION('ref_axis',(0.707113731984488,-0.707099830320281,0.)); #393968=DIRECTION('center_axis',(0.,0.,1.)); #393969=DIRECTION('ref_axis',(0.707113731984488,-0.707099830320281,0.)); #393970=DIRECTION('',(0.,0.,1.)); #393971=DIRECTION('center_axis',(0.707106781186545,-0.70710678118655,0.)); #393972=DIRECTION('ref_axis',(0.70710678118655,0.707106781186545,0.)); #393973=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #393974=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #393975=DIRECTION('',(0.,0.,1.)); #393976=DIRECTION('center_axis',(0.,0.,1.)); #393977=DIRECTION('ref_axis',(-5.90313861199058E-6,-0.999999999982576,0.)); #393978=DIRECTION('center_axis',(0.,0.,1.)); #393979=DIRECTION('ref_axis',(-5.90313861199058E-6,-0.999999999982576,0.)); #393980=DIRECTION('center_axis',(0.,0.,1.)); #393981=DIRECTION('ref_axis',(-5.90313861199058E-6,-0.999999999982576,0.)); #393982=DIRECTION('',(0.,0.,1.)); #393983=DIRECTION('center_axis',(0.,-1.,0.)); #393984=DIRECTION('ref_axis',(1.,0.,0.)); #393985=DIRECTION('',(1.,0.,0.)); #393986=DIRECTION('',(1.,0.,0.)); #393987=DIRECTION('',(0.,0.,1.)); #393988=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,0.)); #393989=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.)); #393990=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #393991=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #393992=DIRECTION('',(0.,0.,1.)); #393993=DIRECTION('center_axis',(1.,0.,0.)); #393994=DIRECTION('ref_axis',(0.,1.,0.)); #393995=DIRECTION('',(0.,1.,0.)); #393996=DIRECTION('',(0.,1.,0.)); #393997=DIRECTION('',(0.,0.,1.)); #393998=DIRECTION('center_axis',(0.,0.,1.)); #393999=DIRECTION('ref_axis',(0.383339045540316,-0.923607696029131,0.)); #394000=DIRECTION('center_axis',(0.,0.,1.)); #394001=DIRECTION('ref_axis',(0.383339045540316,-0.923607696029131,0.)); #394002=DIRECTION('center_axis',(0.,0.,1.)); #394003=DIRECTION('ref_axis',(0.383339045540316,-0.923607696029131,0.)); #394004=DIRECTION('',(0.,0.,1.)); #394005=DIRECTION('center_axis',(0.,0.,1.)); #394006=DIRECTION('ref_axis',(-0.46174481367672,0.887012811092743,0.)); #394007=DIRECTION('center_axis',(0.,0.,1.)); #394008=DIRECTION('ref_axis',(-0.46174481367672,0.887012811092743,0.)); #394009=DIRECTION('center_axis',(0.,0.,1.)); #394010=DIRECTION('ref_axis',(-0.46174481367672,0.887012811092743,0.)); #394011=DIRECTION('',(0.,0.,1.)); #394012=DIRECTION('center_axis',(-1.,0.,0.)); #394013=DIRECTION('ref_axis',(0.,-1.,0.)); #394014=DIRECTION('',(0.,-1.,0.)); #394015=DIRECTION('',(0.,-1.,0.)); #394016=DIRECTION('',(0.,0.,1.)); #394017=DIRECTION('center_axis',(0.,0.,1.)); #394018=DIRECTION('ref_axis',(-0.707110948194238,0.707102614154301,0.)); #394019=DIRECTION('center_axis',(0.,0.,1.)); #394020=DIRECTION('ref_axis',(-0.707110948194238,0.707102614154301,0.)); #394021=DIRECTION('center_axis',(0.,0.,1.)); #394022=DIRECTION('ref_axis',(-0.707110948194238,0.707102614154301,0.)); #394023=DIRECTION('',(0.,0.,1.)); #394024=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #394025=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #394026=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #394027=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #394028=DIRECTION('',(0.,0.,1.)); #394029=DIRECTION('center_axis',(0.,0.,1.)); #394030=DIRECTION('ref_axis',(5.90313861199058E-6,0.999999999982576,0.)); #394031=DIRECTION('center_axis',(0.,0.,1.)); #394032=DIRECTION('ref_axis',(5.90313861199058E-6,0.999999999982576,0.)); #394033=DIRECTION('center_axis',(0.,0.,1.)); #394034=DIRECTION('ref_axis',(5.90313861199058E-6,0.999999999982576,0.)); #394035=DIRECTION('',(0.,0.,1.)); #394036=DIRECTION('center_axis',(0.,1.,0.)); #394037=DIRECTION('ref_axis',(-1.,0.,0.)); #394038=DIRECTION('',(-1.,0.,0.)); #394039=DIRECTION('',(-1.,0.,0.)); #394040=DIRECTION('',(0.,0.,1.)); #394041=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #394042=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #394043=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #394044=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #394045=DIRECTION('',(0.,0.,1.)); #394046=DIRECTION('center_axis',(-1.,0.,0.)); #394047=DIRECTION('ref_axis',(0.,-1.,0.)); #394048=DIRECTION('',(0.,-1.,0.)); #394049=DIRECTION('',(0.,-1.,0.)); #394050=DIRECTION('',(0.,0.,1.)); #394051=DIRECTION('center_axis',(0.,0.,1.)); #394052=DIRECTION('ref_axis',(-0.707110948194255,0.707102614154283,0.)); #394053=DIRECTION('center_axis',(0.,0.,1.)); #394054=DIRECTION('ref_axis',(-0.707110948194255,0.707102614154283,0.)); #394055=DIRECTION('center_axis',(0.,0.,1.)); #394056=DIRECTION('ref_axis',(-0.707110948194255,0.707102614154283,0.)); #394057=DIRECTION('',(0.,0.,1.)); #394058=DIRECTION('center_axis',(-0.707106781186551,0.707106781186544,0.)); #394059=DIRECTION('ref_axis',(-0.707106781186544,-0.707106781186551,0.)); #394060=DIRECTION('',(-0.707106781186544,-0.707106781186551,0.)); #394061=DIRECTION('',(-0.707106781186544,-0.707106781186551,0.)); #394062=DIRECTION('',(0.,0.,1.)); #394063=DIRECTION('center_axis',(0.,0.,1.)); #394064=DIRECTION('ref_axis',(5.90313868192551E-6,0.999999999982576,0.)); #394065=DIRECTION('center_axis',(0.,0.,1.)); #394066=DIRECTION('ref_axis',(5.90313868192551E-6,0.999999999982576,0.)); #394067=DIRECTION('center_axis',(0.,0.,1.)); #394068=DIRECTION('ref_axis',(5.90313868192551E-6,0.999999999982576,0.)); #394069=DIRECTION('',(0.,0.,1.)); #394070=DIRECTION('center_axis',(0.,1.,0.)); #394071=DIRECTION('ref_axis',(-1.,0.,0.)); #394072=DIRECTION('',(-1.,0.,0.)); #394073=DIRECTION('',(-1.,0.,0.)); #394074=DIRECTION('',(0.,0.,1.)); #394075=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #394076=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #394077=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #394078=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #394079=DIRECTION('',(0.,0.,1.)); #394080=DIRECTION('center_axis',(-1.,0.,0.)); #394081=DIRECTION('ref_axis',(0.,-1.,0.)); #394082=DIRECTION('',(0.,-1.,0.)); #394083=DIRECTION('',(0.,-1.,0.)); #394084=DIRECTION('',(0.,0.,1.)); #394085=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #394086=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #394087=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #394088=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #394089=DIRECTION('',(0.,0.,1.)); #394090=DIRECTION('center_axis',(0.,-1.,0.)); #394091=DIRECTION('ref_axis',(1.,0.,0.)); #394092=DIRECTION('',(1.,0.,0.)); #394093=DIRECTION('',(1.,0.,0.)); #394094=DIRECTION('',(0.,0.,1.)); #394095=DIRECTION('center_axis',(1.,0.,0.)); #394096=DIRECTION('ref_axis',(0.,1.,0.)); #394097=DIRECTION('',(0.,1.,0.)); #394098=DIRECTION('',(0.,1.,0.)); #394099=DIRECTION('',(0.,0.,1.)); #394100=DIRECTION('center_axis',(0.,-1.,0.)); #394101=DIRECTION('ref_axis',(1.,0.,0.)); #394102=DIRECTION('',(1.,0.,0.)); #394103=DIRECTION('',(1.,0.,0.)); #394104=DIRECTION('',(0.,0.,1.)); #394105=DIRECTION('center_axis',(-1.,0.,0.)); #394106=DIRECTION('ref_axis',(0.,-1.,0.)); #394107=DIRECTION('',(0.,-1.,0.)); #394108=DIRECTION('',(0.,-1.,0.)); #394109=DIRECTION('',(0.,0.,1.)); #394110=DIRECTION('center_axis',(0.,1.,0.)); #394111=DIRECTION('ref_axis',(-1.,0.,0.)); #394112=DIRECTION('',(-1.,0.,0.)); #394113=DIRECTION('',(-1.,0.,0.)); #394114=DIRECTION('center_axis',(0.,0.,1.)); #394115=DIRECTION('ref_axis',(1.,0.,0.)); #394116=DIRECTION('center_axis',(0.,0.,1.)); #394117=DIRECTION('ref_axis',(1.,0.,0.)); #394118=DIRECTION('center_axis',(0.,0.,1.)); #394119=DIRECTION('ref_axis',(1.,0.,0.)); #394120=DIRECTION('center_axis',(0.,0.,1.)); #394121=DIRECTION('ref_axis',(1.,0.,0.)); #394122=DIRECTION('',(0.,0.,1.)); #394123=DIRECTION('center_axis',(0.,0.,-1.)); #394124=DIRECTION('ref_axis',(1.,0.,0.)); #394125=DIRECTION('center_axis',(1.,0.,0.)); #394126=DIRECTION('ref_axis',(0.,1.,0.)); #394127=DIRECTION('',(0.,1.,0.)); #394128=DIRECTION('',(0.,0.,1.)); #394129=DIRECTION('',(0.,1.,0.)); #394130=DIRECTION('',(0.,0.,1.)); #394131=DIRECTION('center_axis',(0.,-1.,0.)); #394132=DIRECTION('ref_axis',(1.,0.,0.)); #394133=DIRECTION('',(1.,0.,0.)); #394134=DIRECTION('',(1.,0.,0.)); #394135=DIRECTION('',(0.,0.,1.)); #394136=DIRECTION('center_axis',(-1.,0.,0.)); #394137=DIRECTION('ref_axis',(0.,-1.,0.)); #394138=DIRECTION('',(0.,-1.,0.)); #394139=DIRECTION('',(0.,-1.,0.)); #394140=DIRECTION('',(0.,0.,1.)); #394141=DIRECTION('center_axis',(0.,1.,0.)); #394142=DIRECTION('ref_axis',(-1.,0.,0.)); #394143=DIRECTION('',(-1.,0.,0.)); #394144=DIRECTION('',(-1.,0.,0.)); #394145=DIRECTION('',(0.,0.,1.)); #394146=DIRECTION('center_axis',(-1.,0.,0.)); #394147=DIRECTION('ref_axis',(0.,-1.,0.)); #394148=DIRECTION('',(0.,-1.,0.)); #394149=DIRECTION('',(0.,-1.,0.)); #394150=DIRECTION('',(0.,0.,1.)); #394151=DIRECTION('center_axis',(0.,0.,1.)); #394152=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #394153=DIRECTION('center_axis',(0.,0.,1.)); #394154=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #394155=DIRECTION('center_axis',(0.,0.,1.)); #394156=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #394157=DIRECTION('',(0.,0.,1.)); #394158=DIRECTION('center_axis',(-0.707106781186547,0.707106781186548,0.)); #394159=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,0.)); #394160=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #394161=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #394162=DIRECTION('',(0.,0.,1.)); #394163=DIRECTION('center_axis',(-1.,0.,0.)); #394164=DIRECTION('ref_axis',(0.,-1.,0.)); #394165=DIRECTION('',(0.,-1.,0.)); #394166=DIRECTION('',(0.,-1.,0.)); #394167=DIRECTION('',(0.,0.,1.)); #394168=DIRECTION('center_axis',(0.,0.,1.)); #394169=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #394170=DIRECTION('center_axis',(0.,0.,1.)); #394171=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #394172=DIRECTION('center_axis',(0.,0.,1.)); #394173=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #394174=DIRECTION('',(0.,0.,1.)); #394175=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,0.)); #394176=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,0.)); #394177=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #394178=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #394179=DIRECTION('',(0.,0.,1.)); #394180=DIRECTION('center_axis',(-1.,0.,0.)); #394181=DIRECTION('ref_axis',(0.,-1.,0.)); #394182=DIRECTION('',(0.,-1.,0.)); #394183=DIRECTION('',(0.,-1.,0.)); #394184=DIRECTION('',(0.,0.,1.)); #394185=DIRECTION('center_axis',(0.,0.,1.)); #394186=DIRECTION('ref_axis',(-0.38333719722397,0.923608463162,0.)); #394187=DIRECTION('center_axis',(0.,0.,1.)); #394188=DIRECTION('ref_axis',(-0.38333719722397,0.923608463162,0.)); #394189=DIRECTION('center_axis',(0.,0.,1.)); #394190=DIRECTION('ref_axis',(-0.38333719722397,0.923608463162,0.)); #394191=DIRECTION('',(0.,0.,1.)); #394192=DIRECTION('center_axis',(0.,0.,1.)); #394193=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #394194=DIRECTION('center_axis',(0.,0.,1.)); #394195=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #394196=DIRECTION('center_axis',(0.,0.,1.)); #394197=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #394198=DIRECTION('',(0.,0.,1.)); #394199=DIRECTION('center_axis',(1.,0.,0.)); #394200=DIRECTION('ref_axis',(0.,1.,0.)); #394201=DIRECTION('',(0.,1.,0.)); #394202=DIRECTION('',(0.,1.,0.)); #394203=DIRECTION('',(0.,0.,1.)); #394204=DIRECTION('center_axis',(0.,0.,1.)); #394205=DIRECTION('ref_axis',(0.707113731984435,-0.707099830320333,0.)); #394206=DIRECTION('center_axis',(0.,0.,1.)); #394207=DIRECTION('ref_axis',(0.707113731984435,-0.707099830320333,0.)); #394208=DIRECTION('center_axis',(0.,0.,1.)); #394209=DIRECTION('ref_axis',(0.707113731984435,-0.707099830320333,0.)); #394210=DIRECTION('',(0.,0.,1.)); #394211=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #394212=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #394213=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #394214=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #394215=DIRECTION('',(0.,0.,1.)); #394216=DIRECTION('center_axis',(1.,0.,0.)); #394217=DIRECTION('ref_axis',(0.,1.,0.)); #394218=DIRECTION('',(0.,1.,0.)); #394219=DIRECTION('',(0.,1.,0.)); #394220=DIRECTION('',(0.,0.,1.)); #394221=DIRECTION('center_axis',(0.,0.,1.)); #394222=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #394223=DIRECTION('center_axis',(0.,0.,1.)); #394224=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #394225=DIRECTION('center_axis',(0.,0.,1.)); #394226=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #394227=DIRECTION('',(0.,0.,1.)); #394228=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,0.)); #394229=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.)); #394230=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #394231=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #394232=DIRECTION('',(0.,0.,1.)); #394233=DIRECTION('center_axis',(1.,0.,0.)); #394234=DIRECTION('ref_axis',(0.,1.,0.)); #394235=DIRECTION('',(0.,1.,0.)); #394236=DIRECTION('',(0.,1.,0.)); #394237=DIRECTION('',(0.,0.,1.)); #394238=DIRECTION('center_axis',(0.,1.,0.)); #394239=DIRECTION('ref_axis',(-1.,0.,0.)); #394240=DIRECTION('',(-1.,0.,0.)); #394241=DIRECTION('',(-1.,0.,0.)); #394242=DIRECTION('center_axis',(0.,0.,1.)); #394243=DIRECTION('ref_axis',(1.,0.,0.)); #394244=DIRECTION('center_axis',(0.,0.,1.)); #394245=DIRECTION('ref_axis',(1.,0.,0.)); #394246=DIRECTION('center_axis',(0.,0.,1.)); #394247=DIRECTION('ref_axis',(1.,0.,0.)); #394248=DIRECTION('center_axis',(0.,0.,1.)); #394249=DIRECTION('ref_axis',(1.,0.,0.)); #394250=DIRECTION('',(0.,0.,1.)); #394251=DIRECTION('center_axis',(0.,0.,-1.)); #394252=DIRECTION('ref_axis',(1.,0.,0.)); #394253=DIRECTION('center_axis',(1.,0.,0.)); #394254=DIRECTION('ref_axis',(0.,1.,0.)); #394255=DIRECTION('',(0.,1.,0.)); #394256=DIRECTION('',(0.,0.,1.)); #394257=DIRECTION('',(0.,1.,0.)); #394258=DIRECTION('',(0.,0.,1.)); #394259=DIRECTION('center_axis',(0.,-1.,0.)); #394260=DIRECTION('ref_axis',(1.,0.,0.)); #394261=DIRECTION('',(1.,0.,0.)); #394262=DIRECTION('',(1.,0.,0.)); #394263=DIRECTION('',(0.,0.,1.)); #394264=DIRECTION('center_axis',(-1.,0.,0.)); #394265=DIRECTION('ref_axis',(0.,-1.,0.)); #394266=DIRECTION('',(0.,-1.,0.)); #394267=DIRECTION('',(0.,-1.,0.)); #394268=DIRECTION('',(0.,0.,1.)); #394269=DIRECTION('center_axis',(0.,1.,0.)); #394270=DIRECTION('ref_axis',(-1.,0.,0.)); #394271=DIRECTION('',(-1.,0.,0.)); #394272=DIRECTION('',(-1.,0.,0.)); #394273=DIRECTION('center_axis',(0.,0.,1.)); #394274=DIRECTION('ref_axis',(1.,0.,0.)); #394275=DIRECTION('center_axis',(0.,0.,1.)); #394276=DIRECTION('ref_axis',(1.,0.,0.)); #394277=DIRECTION('center_axis',(0.,0.,1.)); #394278=DIRECTION('ref_axis',(1.,0.,0.)); #394279=DIRECTION('center_axis',(0.,0.,1.)); #394280=DIRECTION('ref_axis',(1.,0.,0.)); #394281=DIRECTION('',(0.,0.,1.)); #394282=DIRECTION('center_axis',(0.,0.,-1.)); #394283=DIRECTION('ref_axis',(1.,0.,0.)); #394284=DIRECTION('center_axis',(1.,0.,0.)); #394285=DIRECTION('ref_axis',(0.,1.,0.)); #394286=DIRECTION('',(0.,1.,0.)); #394287=DIRECTION('',(0.,0.,1.)); #394288=DIRECTION('',(0.,1.,0.)); #394289=DIRECTION('',(0.,0.,1.)); #394290=DIRECTION('center_axis',(0.,-1.,0.)); #394291=DIRECTION('ref_axis',(1.,0.,0.)); #394292=DIRECTION('',(1.,0.,0.)); #394293=DIRECTION('',(1.,0.,0.)); #394294=DIRECTION('',(0.,0.,1.)); #394295=DIRECTION('center_axis',(-1.,0.,0.)); #394296=DIRECTION('ref_axis',(0.,-1.,0.)); #394297=DIRECTION('',(0.,-1.,0.)); #394298=DIRECTION('',(0.,-1.,0.)); #394299=DIRECTION('',(0.,0.,1.)); #394300=DIRECTION('center_axis',(0.,1.,0.)); #394301=DIRECTION('ref_axis',(-1.,0.,0.)); #394302=DIRECTION('',(-1.,0.,0.)); #394303=DIRECTION('',(-1.,0.,0.)); #394304=DIRECTION('center_axis',(0.,0.,1.)); #394305=DIRECTION('ref_axis',(1.,0.,0.)); #394306=DIRECTION('center_axis',(0.,0.,1.)); #394307=DIRECTION('ref_axis',(1.,0.,0.)); #394308=DIRECTION('center_axis',(0.,0.,1.)); #394309=DIRECTION('ref_axis',(1.,0.,0.)); #394310=DIRECTION('center_axis',(0.,0.,1.)); #394311=DIRECTION('ref_axis',(1.,0.,0.)); #394312=DIRECTION('',(0.,0.,1.)); #394313=DIRECTION('center_axis',(0.,0.,-1.)); #394314=DIRECTION('ref_axis',(1.,0.,0.)); #394315=DIRECTION('center_axis',(0.156447762252298,-0.987686234431891,0.)); #394316=DIRECTION('ref_axis',(0.987686234431891,0.156447762252298,0.)); #394317=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #394318=DIRECTION('',(0.,0.,1.)); #394319=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #394320=DIRECTION('',(0.,0.,1.)); #394321=DIRECTION('center_axis',(0.,0.,1.)); #394322=DIRECTION('ref_axis',(-0.953425737718333,0.30162785457622,0.)); #394323=DIRECTION('center_axis',(0.,0.,1.)); #394324=DIRECTION('ref_axis',(-0.953425737718333,0.30162785457622,0.)); #394325=DIRECTION('center_axis',(0.,0.,1.)); #394326=DIRECTION('ref_axis',(-0.953425737718333,0.30162785457622,0.)); #394327=DIRECTION('',(0.,0.,1.)); #394328=DIRECTION('center_axis',(-0.707106781186542,-0.707106781186553, 0.)); #394329=DIRECTION('ref_axis',(0.707106781186553,-0.707106781186542,0.)); #394330=DIRECTION('',(0.707106781186553,-0.707106781186542,0.)); #394331=DIRECTION('',(0.707106781186553,-0.707106781186542,0.)); #394332=DIRECTION('',(0.,0.,1.)); #394333=DIRECTION('center_axis',(0.,0.,1.)); #394334=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #394335=DIRECTION('center_axis',(0.,0.,1.)); #394336=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #394337=DIRECTION('center_axis',(0.,0.,1.)); #394338=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #394339=DIRECTION('',(0.,0.,1.)); #394340=DIRECTION('center_axis',(-1.,0.,0.)); #394341=DIRECTION('ref_axis',(0.,-1.,0.)); #394342=DIRECTION('',(0.,-1.,0.)); #394343=DIRECTION('',(0.,-1.,0.)); #394344=DIRECTION('',(0.,0.,1.)); #394345=DIRECTION('center_axis',(0.,-1.,0.)); #394346=DIRECTION('ref_axis',(1.,0.,0.)); #394347=DIRECTION('',(1.,0.,0.)); #394348=DIRECTION('',(1.,0.,0.)); #394349=DIRECTION('',(0.,0.,1.)); #394350=DIRECTION('center_axis',(-1.,0.,0.)); #394351=DIRECTION('ref_axis',(0.,-1.,0.)); #394352=DIRECTION('',(0.,-1.,0.)); #394353=DIRECTION('',(0.,-1.,0.)); #394354=DIRECTION('',(0.,0.,1.)); #394355=DIRECTION('center_axis',(0.,1.,0.)); #394356=DIRECTION('ref_axis',(-1.,0.,0.)); #394357=DIRECTION('',(-1.,0.,0.)); #394358=DIRECTION('',(-1.,0.,0.)); #394359=DIRECTION('',(0.,0.,1.)); #394360=DIRECTION('center_axis',(1.,0.,0.)); #394361=DIRECTION('ref_axis',(0.,1.,0.)); #394362=DIRECTION('',(0.,1.,0.)); #394363=DIRECTION('',(0.,1.,0.)); #394364=DIRECTION('',(0.,0.,1.)); #394365=DIRECTION('center_axis',(0.,-1.,0.)); #394366=DIRECTION('ref_axis',(1.,0.,0.)); #394367=DIRECTION('',(1.,0.,0.)); #394368=DIRECTION('',(1.,0.,0.)); #394369=DIRECTION('',(0.,0.,1.)); #394370=DIRECTION('center_axis',(1.,0.,0.)); #394371=DIRECTION('ref_axis',(0.,1.,0.)); #394372=DIRECTION('',(0.,1.,0.)); #394373=DIRECTION('',(0.,1.,0.)); #394374=DIRECTION('',(0.,0.,1.)); #394375=DIRECTION('center_axis',(0.707103342561715,0.707110219794658,0.)); #394376=DIRECTION('ref_axis',(-0.707110219794658,0.707103342561715,0.)); #394377=DIRECTION('',(-0.707110219794658,0.707103342561715,0.)); #394378=DIRECTION('',(-0.707110219794658,0.707103342561715,0.)); #394379=DIRECTION('',(0.,0.,1.)); #394380=DIRECTION('center_axis',(-0.308977861954611,0.951069230299223,0.)); #394381=DIRECTION('ref_axis',(-0.951069230299223,-0.308977861954611,0.)); #394382=DIRECTION('',(-0.951069230299223,-0.308977861954611,0.)); #394383=DIRECTION('',(-0.951069230299223,-0.308977861954611,0.)); #394384=DIRECTION('',(0.,0.,1.)); #394385=DIRECTION('center_axis',(0.,0.,1.)); #394386=DIRECTION('ref_axis',(0.233449321392221,-0.972368970268751,0.)); #394387=DIRECTION('center_axis',(0.,0.,1.)); #394388=DIRECTION('ref_axis',(0.233449321392221,-0.972368970268751,0.)); #394389=DIRECTION('center_axis',(0.,0.,1.)); #394390=DIRECTION('ref_axis',(0.233449321392221,-0.972368970268751,0.)); #394391=DIRECTION('center_axis',(0.,0.,1.)); #394392=DIRECTION('ref_axis',(1.,0.,0.)); #394393=DIRECTION('center_axis',(0.,0.,1.)); #394394=DIRECTION('ref_axis',(1.,0.,0.)); #394395=DIRECTION('center_axis',(0.,0.,1.)); #394396=DIRECTION('ref_axis',(1.,0.,0.)); #394397=DIRECTION('center_axis',(0.,0.,1.)); #394398=DIRECTION('ref_axis',(1.,0.,0.)); #394399=DIRECTION('',(0.,0.,1.)); #394400=DIRECTION('center_axis',(0.,0.,-1.)); #394401=DIRECTION('ref_axis',(1.,0.,0.)); #394402=DIRECTION('center_axis',(0.0980028398661646,-0.995186135041162, 0.)); #394403=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661646,0.)); #394404=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #394405=DIRECTION('',(0.,0.,1.)); #394406=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #394407=DIRECTION('',(0.,0.,1.)); #394408=DIRECTION('center_axis',(0.,0.,1.)); #394409=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #394410=DIRECTION('center_axis',(0.,0.,1.)); #394411=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #394412=DIRECTION('center_axis',(0.,0.,1.)); #394413=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #394414=DIRECTION('',(0.,0.,1.)); #394415=DIRECTION('center_axis',(0.,0.,1.)); #394416=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #394417=DIRECTION('center_axis',(0.,0.,1.)); #394418=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #394419=DIRECTION('center_axis',(0.,0.,1.)); #394420=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #394421=DIRECTION('center_axis',(0.,0.,1.)); #394422=DIRECTION('ref_axis',(1.,0.,0.)); #394423=DIRECTION('center_axis',(0.,0.,1.)); #394424=DIRECTION('ref_axis',(1.,0.,0.)); #394425=DIRECTION('center_axis',(0.,0.,1.)); #394426=DIRECTION('ref_axis',(1.,0.,0.)); #394427=DIRECTION('center_axis',(0.,0.,1.)); #394428=DIRECTION('ref_axis',(1.,0.,0.)); #394429=DIRECTION('',(0.,0.,1.)); #394430=DIRECTION('center_axis',(0.,0.,-1.)); #394431=DIRECTION('ref_axis',(1.,0.,0.)); #394432=DIRECTION('center_axis',(0.,0.,1.)); #394433=DIRECTION('ref_axis',(1.,0.,0.)); #394434=DIRECTION('center_axis',(0.,0.,1.)); #394435=DIRECTION('ref_axis',(1.,0.,0.)); #394436=DIRECTION('',(0.,0.,1.)); #394437=DIRECTION('center_axis',(0.,0.,-1.)); #394438=DIRECTION('ref_axis',(1.,0.,0.)); #394439=DIRECTION('center_axis',(0.156447762252248,-0.987686234431899,0.)); #394440=DIRECTION('ref_axis',(0.987686234431899,0.156447762252248,0.)); #394441=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #394442=DIRECTION('',(0.,0.,1.)); #394443=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #394444=DIRECTION('',(0.,0.,1.)); #394445=DIRECTION('center_axis',(0.,0.,1.)); #394446=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #394447=DIRECTION('center_axis',(0.,0.,1.)); #394448=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #394449=DIRECTION('center_axis',(0.,0.,1.)); #394450=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #394451=DIRECTION('',(0.,0.,1.)); #394452=DIRECTION('center_axis',(-1.,0.,0.)); #394453=DIRECTION('ref_axis',(0.,-1.,0.)); #394454=DIRECTION('',(0.,-1.,0.)); #394455=DIRECTION('',(0.,-1.,0.)); #394456=DIRECTION('',(0.,0.,1.)); #394457=DIRECTION('center_axis',(0.,0.,1.)); #394458=DIRECTION('ref_axis',(-0.14679923663854,0.989166307615834,0.)); #394459=DIRECTION('center_axis',(0.,0.,1.)); #394460=DIRECTION('ref_axis',(-0.14679923663854,0.989166307615834,0.)); #394461=DIRECTION('center_axis',(0.,0.,1.)); #394462=DIRECTION('ref_axis',(-0.14679923663854,0.989166307615834,0.)); #394463=DIRECTION('',(0.,0.,1.)); #394464=DIRECTION('center_axis',(0.,0.,1.)); #394465=DIRECTION('ref_axis',(0.169270053049368,-0.985569707905364,0.)); #394466=DIRECTION('center_axis',(0.,0.,1.)); #394467=DIRECTION('ref_axis',(0.169270053049368,-0.985569707905364,0.)); #394468=DIRECTION('center_axis',(0.,0.,1.)); #394469=DIRECTION('ref_axis',(0.169270053049368,-0.985569707905364,0.)); #394470=DIRECTION('',(0.,0.,1.)); #394471=DIRECTION('center_axis',(1.,0.,0.)); #394472=DIRECTION('ref_axis',(0.,1.,0.)); #394473=DIRECTION('',(0.,1.,0.)); #394474=DIRECTION('',(0.,1.,0.)); #394475=DIRECTION('',(0.,0.,1.)); #394476=DIRECTION('center_axis',(0.,0.,1.)); #394477=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #394478=DIRECTION('center_axis',(0.,0.,1.)); #394479=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #394480=DIRECTION('center_axis',(0.,0.,1.)); #394481=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #394482=DIRECTION('center_axis',(0.,0.,1.)); #394483=DIRECTION('ref_axis',(1.,0.,0.)); #394484=DIRECTION('center_axis',(0.,0.,1.)); #394485=DIRECTION('ref_axis',(1.,0.,0.)); #394486=DIRECTION('center_axis',(0.,0.,1.)); #394487=DIRECTION('ref_axis',(1.,0.,0.)); #394488=DIRECTION('center_axis',(0.,0.,1.)); #394489=DIRECTION('ref_axis',(1.,0.,0.)); #394490=DIRECTION('',(0.,0.,1.)); #394491=DIRECTION('center_axis',(0.,0.,-1.)); #394492=DIRECTION('ref_axis',(1.,0.,0.)); #394493=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #394494=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #394495=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #394496=DIRECTION('',(0.,0.,1.)); #394497=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #394498=DIRECTION('',(0.,0.,1.)); #394499=DIRECTION('center_axis',(0.,0.,1.)); #394500=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #394501=DIRECTION('center_axis',(0.,0.,1.)); #394502=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #394503=DIRECTION('center_axis',(0.,0.,1.)); #394504=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #394505=DIRECTION('',(0.,0.,1.)); #394506=DIRECTION('center_axis',(0.,0.,1.)); #394507=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #394508=DIRECTION('center_axis',(0.,0.,1.)); #394509=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #394510=DIRECTION('center_axis',(0.,0.,1.)); #394511=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #394512=DIRECTION('center_axis',(0.,0.,1.)); #394513=DIRECTION('ref_axis',(1.,0.,0.)); #394514=DIRECTION('center_axis',(0.,0.,1.)); #394515=DIRECTION('ref_axis',(1.,0.,0.)); #394516=DIRECTION('center_axis',(0.,0.,1.)); #394517=DIRECTION('ref_axis',(1.,0.,0.)); #394518=DIRECTION('center_axis',(0.,0.,1.)); #394519=DIRECTION('ref_axis',(1.,0.,0.)); #394520=DIRECTION('',(0.,0.,1.)); #394521=DIRECTION('center_axis',(0.,0.,-1.)); #394522=DIRECTION('ref_axis',(1.,0.,0.)); #394523=DIRECTION('center_axis',(1.,0.,0.)); #394524=DIRECTION('ref_axis',(0.,1.,0.)); #394525=DIRECTION('',(0.,1.,0.)); #394526=DIRECTION('',(0.,0.,1.)); #394527=DIRECTION('',(0.,1.,0.)); #394528=DIRECTION('',(0.,0.,1.)); #394529=DIRECTION('center_axis',(0.,-1.,0.)); #394530=DIRECTION('ref_axis',(1.,0.,0.)); #394531=DIRECTION('',(1.,0.,0.)); #394532=DIRECTION('',(1.,0.,0.)); #394533=DIRECTION('',(0.,0.,1.)); #394534=DIRECTION('center_axis',(-1.,0.,0.)); #394535=DIRECTION('ref_axis',(0.,-1.,0.)); #394536=DIRECTION('',(0.,-1.,0.)); #394537=DIRECTION('',(0.,-1.,0.)); #394538=DIRECTION('',(0.,0.,1.)); #394539=DIRECTION('center_axis',(0.,1.,0.)); #394540=DIRECTION('ref_axis',(-1.,0.,0.)); #394541=DIRECTION('',(-1.,0.,0.)); #394542=DIRECTION('',(-1.,0.,0.)); #394543=DIRECTION('center_axis',(0.,0.,1.)); #394544=DIRECTION('ref_axis',(1.,0.,0.)); #394545=DIRECTION('center_axis',(0.,0.,1.)); #394546=DIRECTION('ref_axis',(1.,0.,0.)); #394547=DIRECTION('center_axis',(0.,0.,1.)); #394548=DIRECTION('ref_axis',(1.,0.,0.)); #394549=DIRECTION('center_axis',(0.,0.,1.)); #394550=DIRECTION('ref_axis',(1.,0.,0.)); #394551=DIRECTION('',(0.,0.,1.)); #394552=DIRECTION('center_axis',(0.,0.,-1.)); #394553=DIRECTION('ref_axis',(1.,0.,0.)); #394554=DIRECTION('center_axis',(1.,0.,0.)); #394555=DIRECTION('ref_axis',(0.,1.,0.)); #394556=DIRECTION('',(0.,1.,0.)); #394557=DIRECTION('',(0.,0.,1.)); #394558=DIRECTION('',(0.,1.,0.)); #394559=DIRECTION('',(0.,0.,1.)); #394560=DIRECTION('center_axis',(0.,1.,0.)); #394561=DIRECTION('ref_axis',(-1.,0.,0.)); #394562=DIRECTION('',(-1.,0.,0.)); #394563=DIRECTION('',(-1.,0.,0.)); #394564=DIRECTION('',(0.,0.,1.)); #394565=DIRECTION('center_axis',(-1.,0.,0.)); #394566=DIRECTION('ref_axis',(0.,-1.,0.)); #394567=DIRECTION('',(0.,-1.,0.)); #394568=DIRECTION('',(0.,-1.,0.)); #394569=DIRECTION('',(0.,0.,1.)); #394570=DIRECTION('center_axis',(0.,1.,0.)); #394571=DIRECTION('ref_axis',(-1.,0.,0.)); #394572=DIRECTION('',(-1.,0.,0.)); #394573=DIRECTION('',(-1.,0.,0.)); #394574=DIRECTION('',(0.,0.,1.)); #394575=DIRECTION('center_axis',(1.,0.,0.)); #394576=DIRECTION('ref_axis',(0.,1.,0.)); #394577=DIRECTION('',(0.,1.,0.)); #394578=DIRECTION('',(0.,1.,0.)); #394579=DIRECTION('',(0.,0.,1.)); #394580=DIRECTION('center_axis',(0.,-1.,0.)); #394581=DIRECTION('ref_axis',(1.,0.,0.)); #394582=DIRECTION('',(1.,0.,0.)); #394583=DIRECTION('',(1.,0.,0.)); #394584=DIRECTION('',(0.,0.,1.)); #394585=DIRECTION('center_axis',(-1.,0.,0.)); #394586=DIRECTION('ref_axis',(0.,-1.,0.)); #394587=DIRECTION('',(0.,-1.,0.)); #394588=DIRECTION('',(0.,-1.,0.)); #394589=DIRECTION('',(0.,0.,1.)); #394590=DIRECTION('center_axis',(0.,-1.,0.)); #394591=DIRECTION('ref_axis',(1.,0.,0.)); #394592=DIRECTION('',(1.,0.,0.)); #394593=DIRECTION('',(1.,0.,0.)); #394594=DIRECTION('',(0.,0.,1.)); #394595=DIRECTION('center_axis',(0.707106781186539,-0.707106781186556,0.)); #394596=DIRECTION('ref_axis',(0.707106781186556,0.707106781186539,0.)); #394597=DIRECTION('',(0.707106781186556,0.707106781186539,0.)); #394598=DIRECTION('',(0.707106781186556,0.707106781186539,0.)); #394599=DIRECTION('',(0.,0.,1.)); #394600=DIRECTION('center_axis',(1.,0.,0.)); #394601=DIRECTION('ref_axis',(0.,1.,0.)); #394602=DIRECTION('',(0.,1.,0.)); #394603=DIRECTION('',(0.,1.,0.)); #394604=DIRECTION('',(0.,0.,1.)); #394605=DIRECTION('center_axis',(0.707106781186546,0.707106781186549,0.)); #394606=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #394607=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #394608=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #394609=DIRECTION('',(0.,0.,1.)); #394610=DIRECTION('center_axis',(0.,1.,0.)); #394611=DIRECTION('ref_axis',(-1.,0.,0.)); #394612=DIRECTION('',(-1.,0.,0.)); #394613=DIRECTION('',(-1.,0.,0.)); #394614=DIRECTION('',(0.,0.,1.)); #394615=DIRECTION('center_axis',(0.,0.,1.)); #394616=DIRECTION('ref_axis',(0.707102614154231,0.707110948194308,0.)); #394617=DIRECTION('center_axis',(0.,0.,1.)); #394618=DIRECTION('ref_axis',(0.707102614154231,0.707110948194308,0.)); #394619=DIRECTION('center_axis',(0.,0.,1.)); #394620=DIRECTION('ref_axis',(0.707102614154231,0.707110948194308,0.)); #394621=DIRECTION('',(0.,0.,1.)); #394622=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #394623=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #394624=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #394625=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #394626=DIRECTION('',(0.,0.,1.)); #394627=DIRECTION('center_axis',(0.,0.,1.)); #394628=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #394629=DIRECTION('center_axis',(0.,0.,1.)); #394630=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #394631=DIRECTION('center_axis',(0.,0.,1.)); #394632=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #394633=DIRECTION('',(0.,0.,1.)); #394634=DIRECTION('center_axis',(1.,0.,0.)); #394635=DIRECTION('ref_axis',(0.,1.,0.)); #394636=DIRECTION('',(0.,1.,0.)); #394637=DIRECTION('',(0.,1.,0.)); #394638=DIRECTION('',(0.,0.,1.)); #394639=DIRECTION('center_axis',(0.707106781186542,0.707106781186554,0.)); #394640=DIRECTION('ref_axis',(-0.707106781186553,0.707106781186541,0.)); #394641=DIRECTION('',(-0.707106781186553,0.707106781186542,0.)); #394642=DIRECTION('',(-0.707106781186553,0.707106781186542,0.)); #394643=DIRECTION('',(0.,0.,1.)); #394644=DIRECTION('center_axis',(0.,1.,0.)); #394645=DIRECTION('ref_axis',(-1.,0.,0.)); #394646=DIRECTION('',(-1.,0.,0.)); #394647=DIRECTION('',(-1.,0.,0.)); #394648=DIRECTION('',(0.,0.,1.)); #394649=DIRECTION('center_axis',(0.,0.,1.)); #394650=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #394651=DIRECTION('center_axis',(0.,0.,1.)); #394652=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #394653=DIRECTION('center_axis',(0.,0.,1.)); #394654=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #394655=DIRECTION('',(0.,0.,1.)); #394656=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,0.)); #394657=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.)); #394658=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #394659=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #394660=DIRECTION('',(0.,0.,1.)); #394661=DIRECTION('center_axis',(0.,0.,1.)); #394662=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #394663=DIRECTION('center_axis',(0.,0.,1.)); #394664=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #394665=DIRECTION('center_axis',(0.,0.,1.)); #394666=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #394667=DIRECTION('',(0.,0.,1.)); #394668=DIRECTION('center_axis',(1.,0.,0.)); #394669=DIRECTION('ref_axis',(0.,1.,0.)); #394670=DIRECTION('',(0.,1.,0.)); #394671=DIRECTION('',(0.,1.,0.)); #394672=DIRECTION('',(0.,0.,1.)); #394673=DIRECTION('center_axis',(0.,0.,1.)); #394674=DIRECTION('ref_axis',(0.383339045540335,-0.923607696029123,0.)); #394675=DIRECTION('center_axis',(0.,0.,1.)); #394676=DIRECTION('ref_axis',(0.383339045540335,-0.923607696029123,0.)); #394677=DIRECTION('center_axis',(0.,0.,1.)); #394678=DIRECTION('ref_axis',(0.383339045540335,-0.923607696029123,0.)); #394679=DIRECTION('',(0.,0.,1.)); #394680=DIRECTION('center_axis',(0.,0.,1.)); #394681=DIRECTION('ref_axis',(-0.46174481367672,0.887012811092743,0.)); #394682=DIRECTION('center_axis',(0.,0.,1.)); #394683=DIRECTION('ref_axis',(-0.46174481367672,0.887012811092743,0.)); #394684=DIRECTION('center_axis',(0.,0.,1.)); #394685=DIRECTION('ref_axis',(-0.46174481367672,0.887012811092743,0.)); #394686=DIRECTION('',(0.,0.,1.)); #394687=DIRECTION('center_axis',(-1.,0.,0.)); #394688=DIRECTION('ref_axis',(0.,-1.,0.)); #394689=DIRECTION('',(0.,-1.,0.)); #394690=DIRECTION('',(0.,-1.,0.)); #394691=DIRECTION('',(0.,0.,1.)); #394692=DIRECTION('center_axis',(-0.707106781186546,-0.707106781186549, 0.)); #394693=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #394694=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #394695=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #394696=DIRECTION('',(0.,0.,1.)); #394697=DIRECTION('center_axis',(0.,-1.,0.)); #394698=DIRECTION('ref_axis',(1.,0.,0.)); #394699=DIRECTION('',(1.,0.,0.)); #394700=DIRECTION('',(1.,0.,0.)); #394701=DIRECTION('',(0.,0.,1.)); #394702=DIRECTION('center_axis',(0.,0.,1.)); #394703=DIRECTION('ref_axis',(-0.707099830320316,-0.707113731984453,0.)); #394704=DIRECTION('center_axis',(0.,0.,1.)); #394705=DIRECTION('ref_axis',(-0.707099830320316,-0.707113731984453,0.)); #394706=DIRECTION('center_axis',(0.,0.,1.)); #394707=DIRECTION('ref_axis',(-0.707099830320316,-0.707113731984453,0.)); #394708=DIRECTION('',(0.,0.,1.)); #394709=DIRECTION('center_axis',(-0.70710678118655,-0.707106781186545,0.)); #394710=DIRECTION('ref_axis',(0.707106781186545,-0.70710678118655,0.)); #394711=DIRECTION('',(0.707106781186545,-0.70710678118655,0.)); #394712=DIRECTION('',(0.707106781186545,-0.70710678118655,0.)); #394713=DIRECTION('',(0.,0.,1.)); #394714=DIRECTION('center_axis',(0.,0.,1.)); #394715=DIRECTION('ref_axis',(-0.999999999951587,9.8400434339655E-6,0.)); #394716=DIRECTION('center_axis',(0.,0.,1.)); #394717=DIRECTION('ref_axis',(-0.999999999951587,9.8400434339655E-6,0.)); #394718=DIRECTION('center_axis',(0.,0.,1.)); #394719=DIRECTION('ref_axis',(-0.999999999951587,9.8400434339655E-6,0.)); #394720=DIRECTION('',(0.,0.,1.)); #394721=DIRECTION('center_axis',(-1.,0.,0.)); #394722=DIRECTION('ref_axis',(0.,-1.,0.)); #394723=DIRECTION('',(0.,-1.,0.)); #394724=DIRECTION('',(0.,-1.,0.)); #394725=DIRECTION('',(0.,0.,1.)); #394726=DIRECTION('center_axis',(-0.707106781186545,-0.70710678118655,0.)); #394727=DIRECTION('ref_axis',(0.70710678118655,-0.707106781186545,0.)); #394728=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #394729=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #394730=DIRECTION('',(0.,0.,1.)); #394731=DIRECTION('center_axis',(0.,-1.,0.)); #394732=DIRECTION('ref_axis',(1.,0.,0.)); #394733=DIRECTION('',(1.,0.,0.)); #394734=DIRECTION('',(1.,0.,0.)); #394735=DIRECTION('',(0.,0.,1.)); #394736=DIRECTION('center_axis',(0.,0.,1.)); #394737=DIRECTION('ref_axis',(-0.707102614154231,-0.707110948194308,0.)); #394738=DIRECTION('center_axis',(0.,0.,1.)); #394739=DIRECTION('ref_axis',(-0.707102614154231,-0.707110948194308,0.)); #394740=DIRECTION('center_axis',(0.,0.,1.)); #394741=DIRECTION('ref_axis',(-0.707102614154231,-0.707110948194308,0.)); #394742=DIRECTION('',(0.,0.,1.)); #394743=DIRECTION('center_axis',(-0.707106781186546,-0.707106781186549, 0.)); #394744=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #394745=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #394746=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #394747=DIRECTION('',(0.,0.,1.)); #394748=DIRECTION('center_axis',(0.,0.,1.)); #394749=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192479E-6,0.)); #394750=DIRECTION('center_axis',(0.,0.,1.)); #394751=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192479E-6,0.)); #394752=DIRECTION('center_axis',(0.,0.,1.)); #394753=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192479E-6,0.)); #394754=DIRECTION('',(0.,0.,1.)); #394755=DIRECTION('center_axis',(-1.,0.,0.)); #394756=DIRECTION('ref_axis',(0.,-1.,0.)); #394757=DIRECTION('',(0.,-1.,0.)); #394758=DIRECTION('',(0.,-1.,0.)); #394759=DIRECTION('',(0.,0.,1.)); #394760=DIRECTION('center_axis',(-0.707106781186534,-0.707106781186561, 0.)); #394761=DIRECTION('ref_axis',(0.707106781186561,-0.707106781186534,0.)); #394762=DIRECTION('',(0.707106781186561,-0.707106781186534,0.)); #394763=DIRECTION('',(0.707106781186561,-0.707106781186534,0.)); #394764=DIRECTION('',(0.,0.,1.)); #394765=DIRECTION('center_axis',(0.,-1.,0.)); #394766=DIRECTION('ref_axis',(1.,0.,0.)); #394767=DIRECTION('',(1.,0.,0.)); #394768=DIRECTION('',(1.,0.,0.)); #394769=DIRECTION('',(0.,0.,1.)); #394770=DIRECTION('center_axis',(1.,0.,0.)); #394771=DIRECTION('ref_axis',(0.,1.,0.)); #394772=DIRECTION('',(0.,1.,0.)); #394773=DIRECTION('',(0.,1.,0.)); #394774=DIRECTION('',(0.,0.,1.)); #394775=DIRECTION('center_axis',(0.,-1.,0.)); #394776=DIRECTION('ref_axis',(1.,0.,0.)); #394777=DIRECTION('',(1.,0.,0.)); #394778=DIRECTION('',(1.,0.,0.)); #394779=DIRECTION('',(0.,0.,1.)); #394780=DIRECTION('center_axis',(-1.,0.,0.)); #394781=DIRECTION('ref_axis',(0.,-1.,0.)); #394782=DIRECTION('',(0.,-1.,0.)); #394783=DIRECTION('',(0.,-1.,0.)); #394784=DIRECTION('',(0.,0.,1.)); #394785=DIRECTION('center_axis',(0.,1.,0.)); #394786=DIRECTION('ref_axis',(-1.,0.,0.)); #394787=DIRECTION('',(-1.,0.,0.)); #394788=DIRECTION('',(-1.,0.,0.)); #394789=DIRECTION('center_axis',(0.,0.,1.)); #394790=DIRECTION('ref_axis',(1.,0.,0.)); #394791=DIRECTION('center_axis',(0.,0.,1.)); #394792=DIRECTION('ref_axis',(1.,0.,0.)); #394793=DIRECTION('center_axis',(0.,0.,1.)); #394794=DIRECTION('ref_axis',(1.,0.,0.)); #394795=DIRECTION('center_axis',(0.,0.,1.)); #394796=DIRECTION('ref_axis',(1.,0.,0.)); #394797=DIRECTION('',(0.,0.,1.)); #394798=DIRECTION('center_axis',(0.,0.,-1.)); #394799=DIRECTION('ref_axis',(1.,0.,0.)); #394800=DIRECTION('center_axis',(1.,0.,0.)); #394801=DIRECTION('ref_axis',(0.,1.,0.)); #394802=DIRECTION('',(0.,1.,0.)); #394803=DIRECTION('',(0.,0.,1.)); #394804=DIRECTION('',(0.,1.,0.)); #394805=DIRECTION('',(0.,0.,1.)); #394806=DIRECTION('center_axis',(0.,-1.,0.)); #394807=DIRECTION('ref_axis',(1.,0.,0.)); #394808=DIRECTION('',(1.,0.,0.)); #394809=DIRECTION('',(1.,0.,0.)); #394810=DIRECTION('',(0.,0.,1.)); #394811=DIRECTION('center_axis',(-1.,0.,0.)); #394812=DIRECTION('ref_axis',(0.,-1.,0.)); #394813=DIRECTION('',(0.,-1.,0.)); #394814=DIRECTION('',(0.,-1.,0.)); #394815=DIRECTION('',(0.,0.,1.)); #394816=DIRECTION('center_axis',(0.,-1.,0.)); #394817=DIRECTION('ref_axis',(1.,0.,0.)); #394818=DIRECTION('',(1.,0.,0.)); #394819=DIRECTION('',(1.,0.,0.)); #394820=DIRECTION('',(0.,0.,1.)); #394821=DIRECTION('center_axis',(0.,0.,1.)); #394822=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #394823=DIRECTION('center_axis',(0.,0.,1.)); #394824=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #394825=DIRECTION('center_axis',(0.,0.,1.)); #394826=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #394827=DIRECTION('',(0.,0.,1.)); #394828=DIRECTION('center_axis',(-0.707106781186546,-0.707106781186549, 0.)); #394829=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #394830=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #394831=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #394832=DIRECTION('',(0.,0.,1.)); #394833=DIRECTION('center_axis',(0.,0.,1.)); #394834=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #394835=DIRECTION('center_axis',(0.,0.,1.)); #394836=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #394837=DIRECTION('center_axis',(0.,0.,1.)); #394838=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #394839=DIRECTION('',(0.,0.,1.)); #394840=DIRECTION('center_axis',(-1.,0.,0.)); #394841=DIRECTION('ref_axis',(0.,-1.,0.)); #394842=DIRECTION('',(0.,-1.,0.)); #394843=DIRECTION('',(0.,-1.,0.)); #394844=DIRECTION('',(0.,0.,1.)); #394845=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #394846=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #394847=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #394848=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #394849=DIRECTION('',(0.,0.,1.)); #394850=DIRECTION('center_axis',(0.,0.,1.)); #394851=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #394852=DIRECTION('center_axis',(0.,0.,1.)); #394853=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #394854=DIRECTION('center_axis',(0.,0.,1.)); #394855=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #394856=DIRECTION('',(0.,0.,1.)); #394857=DIRECTION('center_axis',(-1.,0.,0.)); #394858=DIRECTION('ref_axis',(0.,-1.,0.)); #394859=DIRECTION('',(0.,-1.,0.)); #394860=DIRECTION('',(0.,-1.,0.)); #394861=DIRECTION('',(0.,0.,1.)); #394862=DIRECTION('center_axis',(0.,0.,1.)); #394863=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #394864=DIRECTION('center_axis',(0.,0.,1.)); #394865=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #394866=DIRECTION('center_axis',(0.,0.,1.)); #394867=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #394868=DIRECTION('',(0.,0.,1.)); #394869=DIRECTION('center_axis',(0.,0.,1.)); #394870=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #394871=DIRECTION('center_axis',(0.,0.,1.)); #394872=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #394873=DIRECTION('center_axis',(0.,0.,1.)); #394874=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #394875=DIRECTION('',(0.,0.,1.)); #394876=DIRECTION('center_axis',(1.,0.,0.)); #394877=DIRECTION('ref_axis',(0.,1.,0.)); #394878=DIRECTION('',(0.,1.,0.)); #394879=DIRECTION('',(0.,1.,0.)); #394880=DIRECTION('',(0.,0.,1.)); #394881=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #394882=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #394883=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #394884=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #394885=DIRECTION('',(0.,0.,1.)); #394886=DIRECTION('center_axis',(0.,0.,1.)); #394887=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #394888=DIRECTION('center_axis',(0.,0.,1.)); #394889=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #394890=DIRECTION('center_axis',(0.,0.,1.)); #394891=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #394892=DIRECTION('',(0.,0.,1.)); #394893=DIRECTION('center_axis',(1.,0.,0.)); #394894=DIRECTION('ref_axis',(0.,1.,0.)); #394895=DIRECTION('',(0.,1.,0.)); #394896=DIRECTION('',(0.,1.,0.)); #394897=DIRECTION('',(0.,0.,1.)); #394898=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,0.)); #394899=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.)); #394900=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #394901=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #394902=DIRECTION('',(0.,0.,1.)); #394903=DIRECTION('center_axis',(0.,1.,0.)); #394904=DIRECTION('ref_axis',(-1.,0.,0.)); #394905=DIRECTION('',(-1.,0.,0.)); #394906=DIRECTION('',(-1.,0.,0.)); #394907=DIRECTION('',(0.,0.,1.)); #394908=DIRECTION('center_axis',(-1.,0.,0.)); #394909=DIRECTION('ref_axis',(0.,-1.,0.)); #394910=DIRECTION('',(0.,-1.,0.)); #394911=DIRECTION('',(0.,-1.,0.)); #394912=DIRECTION('',(0.,0.,1.)); #394913=DIRECTION('center_axis',(0.,1.,0.)); #394914=DIRECTION('ref_axis',(-1.,0.,0.)); #394915=DIRECTION('',(-1.,0.,0.)); #394916=DIRECTION('',(-1.,0.,0.)); #394917=DIRECTION('center_axis',(0.,0.,1.)); #394918=DIRECTION('ref_axis',(1.,0.,0.)); #394919=DIRECTION('center_axis',(0.,0.,1.)); #394920=DIRECTION('ref_axis',(1.,0.,0.)); #394921=DIRECTION('center_axis',(1.,0.,0.)); #394922=DIRECTION('ref_axis',(0.,1.,0.)); #394923=DIRECTION('',(0.,1.,0.)); #394924=DIRECTION('',(0.,0.,1.)); #394925=DIRECTION('',(0.,1.,0.)); #394926=DIRECTION('',(0.,0.,1.)); #394927=DIRECTION('center_axis',(0.,-1.,0.)); #394928=DIRECTION('ref_axis',(1.,0.,0.)); #394929=DIRECTION('',(1.,0.,0.)); #394930=DIRECTION('',(1.,0.,0.)); #394931=DIRECTION('',(0.,0.,1.)); #394932=DIRECTION('center_axis',(-1.,0.,0.)); #394933=DIRECTION('ref_axis',(0.,-1.,0.)); #394934=DIRECTION('',(0.,-1.,0.)); #394935=DIRECTION('',(0.,-1.,0.)); #394936=DIRECTION('',(0.,0.,1.)); #394937=DIRECTION('center_axis',(0.,1.,0.)); #394938=DIRECTION('ref_axis',(-1.,0.,0.)); #394939=DIRECTION('',(-1.,0.,0.)); #394940=DIRECTION('',(-1.,0.,0.)); #394941=DIRECTION('',(0.,0.,1.)); #394942=DIRECTION('center_axis',(-1.,0.,0.)); #394943=DIRECTION('ref_axis',(0.,-1.,0.)); #394944=DIRECTION('',(0.,-1.,0.)); #394945=DIRECTION('',(0.,-1.,0.)); #394946=DIRECTION('',(0.,0.,1.)); #394947=DIRECTION('center_axis',(0.,-1.,0.)); #394948=DIRECTION('ref_axis',(1.,0.,0.)); #394949=DIRECTION('',(1.,0.,0.)); #394950=DIRECTION('',(1.,0.,0.)); #394951=DIRECTION('',(0.,0.,1.)); #394952=DIRECTION('center_axis',(-1.,0.,0.)); #394953=DIRECTION('ref_axis',(0.,-1.,0.)); #394954=DIRECTION('',(0.,-1.,0.)); #394955=DIRECTION('',(0.,-1.,0.)); #394956=DIRECTION('',(0.,0.,1.)); #394957=DIRECTION('center_axis',(0.,1.,0.)); #394958=DIRECTION('ref_axis',(-1.,0.,0.)); #394959=DIRECTION('',(-1.,0.,0.)); #394960=DIRECTION('',(-1.,0.,0.)); #394961=DIRECTION('',(0.,0.,1.)); #394962=DIRECTION('center_axis',(1.,0.,0.)); #394963=DIRECTION('ref_axis',(0.,1.,0.)); #394964=DIRECTION('',(0.,1.,0.)); #394965=DIRECTION('',(0.,1.,0.)); #394966=DIRECTION('',(0.,0.,1.)); #394967=DIRECTION('center_axis',(0.,-1.,0.)); #394968=DIRECTION('ref_axis',(1.,0.,0.)); #394969=DIRECTION('',(1.,0.,0.)); #394970=DIRECTION('',(1.,0.,0.)); #394971=DIRECTION('',(0.,0.,1.)); #394972=DIRECTION('center_axis',(1.,0.,0.)); #394973=DIRECTION('ref_axis',(0.,1.,0.)); #394974=DIRECTION('',(0.,1.,0.)); #394975=DIRECTION('',(0.,1.,0.)); #394976=DIRECTION('',(0.,0.,1.)); #394977=DIRECTION('center_axis',(0.,1.,0.)); #394978=DIRECTION('ref_axis',(-1.,0.,0.)); #394979=DIRECTION('',(-1.,0.,0.)); #394980=DIRECTION('',(-1.,0.,0.)); #394981=DIRECTION('center_axis',(0.,0.,1.)); #394982=DIRECTION('ref_axis',(1.,0.,0.)); #394983=DIRECTION('center_axis',(0.,0.,1.)); #394984=DIRECTION('ref_axis',(1.,0.,0.)); #394985=DIRECTION('center_axis',(1.,0.,0.)); #394986=DIRECTION('ref_axis',(0.,1.,0.)); #394987=DIRECTION('',(0.,1.,0.)); #394988=DIRECTION('',(0.,0.,1.)); #394989=DIRECTION('',(0.,1.,0.)); #394990=DIRECTION('',(0.,0.,1.)); #394991=DIRECTION('center_axis',(0.,-1.,0.)); #394992=DIRECTION('ref_axis',(1.,0.,0.)); #394993=DIRECTION('',(1.,0.,0.)); #394994=DIRECTION('',(1.,0.,0.)); #394995=DIRECTION('',(0.,0.,1.)); #394996=DIRECTION('center_axis',(-1.,0.,0.)); #394997=DIRECTION('ref_axis',(0.,-1.,0.)); #394998=DIRECTION('',(0.,-1.,0.)); #394999=DIRECTION('',(0.,-1.,0.)); #395000=DIRECTION('',(0.,0.,1.)); #395001=DIRECTION('center_axis',(0.,1.,0.)); #395002=DIRECTION('ref_axis',(-1.,0.,0.)); #395003=DIRECTION('',(-1.,0.,0.)); #395004=DIRECTION('',(-1.,0.,0.)); #395005=DIRECTION('',(0.,0.,1.)); #395006=DIRECTION('center_axis',(-1.,0.,0.)); #395007=DIRECTION('ref_axis',(0.,-1.,0.)); #395008=DIRECTION('',(0.,-1.,0.)); #395009=DIRECTION('',(0.,-1.,0.)); #395010=DIRECTION('',(0.,0.,1.)); #395011=DIRECTION('center_axis',(0.,-1.,0.)); #395012=DIRECTION('ref_axis',(1.,0.,0.)); #395013=DIRECTION('',(1.,0.,0.)); #395014=DIRECTION('',(1.,0.,0.)); #395015=DIRECTION('',(0.,0.,1.)); #395016=DIRECTION('center_axis',(-1.,0.,0.)); #395017=DIRECTION('ref_axis',(0.,-1.,0.)); #395018=DIRECTION('',(0.,-1.,0.)); #395019=DIRECTION('',(0.,-1.,0.)); #395020=DIRECTION('',(0.,0.,1.)); #395021=DIRECTION('center_axis',(0.,1.,0.)); #395022=DIRECTION('ref_axis',(-1.,0.,0.)); #395023=DIRECTION('',(-1.,0.,0.)); #395024=DIRECTION('',(-1.,0.,0.)); #395025=DIRECTION('',(0.,0.,1.)); #395026=DIRECTION('center_axis',(1.,0.,0.)); #395027=DIRECTION('ref_axis',(0.,1.,0.)); #395028=DIRECTION('',(0.,1.,0.)); #395029=DIRECTION('',(0.,1.,0.)); #395030=DIRECTION('',(0.,0.,1.)); #395031=DIRECTION('center_axis',(0.,-1.,0.)); #395032=DIRECTION('ref_axis',(1.,0.,0.)); #395033=DIRECTION('',(1.,0.,0.)); #395034=DIRECTION('',(1.,0.,0.)); #395035=DIRECTION('',(0.,0.,1.)); #395036=DIRECTION('center_axis',(1.,0.,0.)); #395037=DIRECTION('ref_axis',(0.,1.,0.)); #395038=DIRECTION('',(0.,1.,0.)); #395039=DIRECTION('',(0.,1.,0.)); #395040=DIRECTION('',(0.,0.,1.)); #395041=DIRECTION('center_axis',(0.,1.,0.)); #395042=DIRECTION('ref_axis',(-1.,0.,0.)); #395043=DIRECTION('',(-1.,0.,0.)); #395044=DIRECTION('',(-1.,0.,0.)); #395045=DIRECTION('center_axis',(0.,0.,1.)); #395046=DIRECTION('ref_axis',(1.,0.,0.)); #395047=DIRECTION('center_axis',(0.,0.,1.)); #395048=DIRECTION('ref_axis',(1.,0.,0.)); #395049=DIRECTION('center_axis',(0.,0.,1.)); #395050=DIRECTION('ref_axis',(1.,0.,0.)); #395051=DIRECTION('center_axis',(0.,0.,1.)); #395052=DIRECTION('ref_axis',(1.,0.,0.)); #395053=DIRECTION('',(0.,0.,1.)); #395054=DIRECTION('center_axis',(0.,0.,-1.)); #395055=DIRECTION('ref_axis',(1.,0.,0.)); #395056=DIRECTION('center_axis',(1.,0.,0.)); #395057=DIRECTION('ref_axis',(0.,1.,0.)); #395058=DIRECTION('',(0.,1.,0.)); #395059=DIRECTION('',(0.,0.,1.)); #395060=DIRECTION('',(0.,1.,0.)); #395061=DIRECTION('',(0.,0.,1.)); #395062=DIRECTION('center_axis',(0.,-1.,0.)); #395063=DIRECTION('ref_axis',(1.,0.,0.)); #395064=DIRECTION('',(1.,0.,0.)); #395065=DIRECTION('',(1.,0.,0.)); #395066=DIRECTION('',(0.,0.,1.)); #395067=DIRECTION('center_axis',(-1.,0.,0.)); #395068=DIRECTION('ref_axis',(0.,-1.,0.)); #395069=DIRECTION('',(0.,-1.,0.)); #395070=DIRECTION('',(0.,-1.,0.)); #395071=DIRECTION('',(0.,0.,1.)); #395072=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #395073=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #395074=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #395075=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #395076=DIRECTION('',(0.,0.,1.)); #395077=DIRECTION('center_axis',(0.,0.,1.)); #395078=DIRECTION('ref_axis',(-0.999999999951587,9.84004336403171E-6,0.)); #395079=DIRECTION('center_axis',(0.,0.,1.)); #395080=DIRECTION('ref_axis',(-0.999999999951587,9.84004336403171E-6,0.)); #395081=DIRECTION('center_axis',(0.,0.,1.)); #395082=DIRECTION('ref_axis',(-0.999999999951587,9.84004336403171E-6,0.)); #395083=DIRECTION('',(0.,0.,1.)); #395084=DIRECTION('center_axis',(-1.,0.,0.)); #395085=DIRECTION('ref_axis',(0.,-1.,0.)); #395086=DIRECTION('',(0.,-1.,0.)); #395087=DIRECTION('',(0.,-1.,0.)); #395088=DIRECTION('',(0.,0.,1.)); #395089=DIRECTION('center_axis',(0.,0.,1.)); #395090=DIRECTION('ref_axis',(-0.707110948194255,0.707102614154283,0.)); #395091=DIRECTION('center_axis',(0.,0.,1.)); #395092=DIRECTION('ref_axis',(-0.707110948194255,0.707102614154283,0.)); #395093=DIRECTION('center_axis',(0.,0.,1.)); #395094=DIRECTION('ref_axis',(-0.707110948194255,0.707102614154283,0.)); #395095=DIRECTION('',(0.,0.,1.)); #395096=DIRECTION('center_axis',(-0.707106781186551,0.707106781186544,0.)); #395097=DIRECTION('ref_axis',(-0.707106781186544,-0.707106781186551,0.)); #395098=DIRECTION('',(-0.707106781186544,-0.707106781186551,0.)); #395099=DIRECTION('',(-0.707106781186544,-0.707106781186551,0.)); #395100=DIRECTION('',(0.,0.,1.)); #395101=DIRECTION('center_axis',(0.,0.,1.)); #395102=DIRECTION('ref_axis',(5.90313875185983E-6,0.999999999982576,0.)); #395103=DIRECTION('center_axis',(0.,0.,1.)); #395104=DIRECTION('ref_axis',(5.90313875185983E-6,0.999999999982576,0.)); #395105=DIRECTION('center_axis',(0.,0.,1.)); #395106=DIRECTION('ref_axis',(5.90313875185983E-6,0.999999999982576,0.)); #395107=DIRECTION('',(0.,0.,1.)); #395108=DIRECTION('center_axis',(0.,1.,0.)); #395109=DIRECTION('ref_axis',(-1.,0.,0.)); #395110=DIRECTION('',(-1.,0.,0.)); #395111=DIRECTION('',(-1.,0.,0.)); #395112=DIRECTION('',(0.,0.,1.)); #395113=DIRECTION('center_axis',(0.,0.,1.)); #395114=DIRECTION('ref_axis',(0.923607696029133,0.38333904554031,0.)); #395115=DIRECTION('center_axis',(0.,0.,1.)); #395116=DIRECTION('ref_axis',(0.923607696029133,0.38333904554031,0.)); #395117=DIRECTION('center_axis',(0.,0.,1.)); #395118=DIRECTION('ref_axis',(0.923607696029133,0.38333904554031,0.)); #395119=DIRECTION('',(0.,0.,1.)); #395120=DIRECTION('center_axis',(0.,0.,1.)); #395121=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #395122=DIRECTION('center_axis',(0.,0.,1.)); #395123=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #395124=DIRECTION('center_axis',(0.,0.,1.)); #395125=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #395126=DIRECTION('',(0.,0.,1.)); #395127=DIRECTION('center_axis',(0.,-1.,0.)); #395128=DIRECTION('ref_axis',(1.,0.,0.)); #395129=DIRECTION('',(1.,0.,0.)); #395130=DIRECTION('',(1.,0.,0.)); #395131=DIRECTION('',(0.,0.,1.)); #395132=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #395133=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #395134=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #395135=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #395136=DIRECTION('',(0.,0.,1.)); #395137=DIRECTION('center_axis',(1.,0.,0.)); #395138=DIRECTION('ref_axis',(0.,1.,0.)); #395139=DIRECTION('',(0.,1.,0.)); #395140=DIRECTION('',(0.,1.,0.)); #395141=DIRECTION('',(0.,0.,1.)); #395142=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #395143=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #395144=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #395145=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #395146=DIRECTION('',(0.,0.,1.)); #395147=DIRECTION('center_axis',(0.,1.,0.)); #395148=DIRECTION('ref_axis',(-1.,0.,0.)); #395149=DIRECTION('',(-1.,0.,0.)); #395150=DIRECTION('',(-1.,0.,0.)); #395151=DIRECTION('center_axis',(0.,0.,1.)); #395152=DIRECTION('ref_axis',(1.,0.,0.)); #395153=DIRECTION('center_axis',(0.,0.,1.)); #395154=DIRECTION('ref_axis',(1.,0.,0.)); #395155=DIRECTION('center_axis',(0.,0.,1.)); #395156=DIRECTION('ref_axis',(1.,0.,0.)); #395157=DIRECTION('center_axis',(0.,0.,1.)); #395158=DIRECTION('ref_axis',(1.,0.,0.)); #395159=DIRECTION('',(0.,0.,1.)); #395160=DIRECTION('center_axis',(0.,0.,-1.)); #395161=DIRECTION('ref_axis',(1.,0.,0.)); #395162=DIRECTION('center_axis',(0.156447762252248,-0.987686234431899,0.)); #395163=DIRECTION('ref_axis',(0.987686234431899,0.156447762252248,0.)); #395164=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #395165=DIRECTION('',(0.,0.,1.)); #395166=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #395167=DIRECTION('',(0.,0.,1.)); #395168=DIRECTION('center_axis',(0.,0.,1.)); #395169=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #395170=DIRECTION('center_axis',(0.,0.,1.)); #395171=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #395172=DIRECTION('center_axis',(0.,0.,1.)); #395173=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #395174=DIRECTION('',(0.,0.,1.)); #395175=DIRECTION('center_axis',(-1.,0.,0.)); #395176=DIRECTION('ref_axis',(0.,-1.,0.)); #395177=DIRECTION('',(0.,-1.,0.)); #395178=DIRECTION('',(0.,-1.,0.)); #395179=DIRECTION('',(0.,0.,1.)); #395180=DIRECTION('center_axis',(0.,0.,1.)); #395181=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #395182=DIRECTION('center_axis',(0.,0.,1.)); #395183=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #395184=DIRECTION('center_axis',(0.,0.,1.)); #395185=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #395186=DIRECTION('',(0.,0.,1.)); #395187=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #395188=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #395189=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #395190=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #395191=DIRECTION('',(0.,0.,1.)); #395192=DIRECTION('center_axis',(0.,0.,1.)); #395193=DIRECTION('ref_axis',(5.9031386819251E-6,0.999999999982576,0.)); #395194=DIRECTION('center_axis',(0.,0.,1.)); #395195=DIRECTION('ref_axis',(5.9031386819251E-6,0.999999999982576,0.)); #395196=DIRECTION('center_axis',(0.,0.,1.)); #395197=DIRECTION('ref_axis',(5.9031386819251E-6,0.999999999982576,0.)); #395198=DIRECTION('',(0.,0.,1.)); #395199=DIRECTION('center_axis',(0.,1.,0.)); #395200=DIRECTION('ref_axis',(-1.,0.,0.)); #395201=DIRECTION('',(-1.,0.,0.)); #395202=DIRECTION('',(-1.,0.,0.)); #395203=DIRECTION('',(0.,0.,1.)); #395204=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #395205=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #395206=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #395207=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #395208=DIRECTION('',(0.,0.,1.)); #395209=DIRECTION('center_axis',(-1.,0.,0.)); #395210=DIRECTION('ref_axis',(0.,-1.,0.)); #395211=DIRECTION('',(0.,-1.,0.)); #395212=DIRECTION('',(0.,-1.,0.)); #395213=DIRECTION('',(0.,0.,1.)); #395214=DIRECTION('center_axis',(0.,-1.,0.)); #395215=DIRECTION('ref_axis',(1.,0.,0.)); #395216=DIRECTION('',(1.,0.,0.)); #395217=DIRECTION('',(1.,0.,0.)); #395218=DIRECTION('',(0.,0.,1.)); #395219=DIRECTION('center_axis',(-1.,0.,0.)); #395220=DIRECTION('ref_axis',(0.,-1.,0.)); #395221=DIRECTION('',(0.,-1.,0.)); #395222=DIRECTION('',(0.,-1.,0.)); #395223=DIRECTION('',(0.,0.,1.)); #395224=DIRECTION('center_axis',(0.,1.,0.)); #395225=DIRECTION('ref_axis',(-1.,0.,0.)); #395226=DIRECTION('',(-1.,0.,0.)); #395227=DIRECTION('',(-1.,0.,0.)); #395228=DIRECTION('',(0.,0.,1.)); #395229=DIRECTION('center_axis',(1.,0.,0.)); #395230=DIRECTION('ref_axis',(0.,1.,0.)); #395231=DIRECTION('',(0.,1.,0.)); #395232=DIRECTION('',(0.,1.,0.)); #395233=DIRECTION('',(0.,0.,1.)); #395234=DIRECTION('center_axis',(0.,-1.,0.)); #395235=DIRECTION('ref_axis',(1.,0.,0.)); #395236=DIRECTION('',(1.,0.,0.)); #395237=DIRECTION('',(1.,0.,0.)); #395238=DIRECTION('',(0.,0.,1.)); #395239=DIRECTION('center_axis',(1.,0.,0.)); #395240=DIRECTION('ref_axis',(0.,1.,0.)); #395241=DIRECTION('',(0.,1.,0.)); #395242=DIRECTION('',(0.,1.,0.)); #395243=DIRECTION('',(0.,0.,1.)); #395244=DIRECTION('center_axis',(0.,0.,1.)); #395245=DIRECTION('ref_axis',(0.707110948194255,-0.707102614154283,0.)); #395246=DIRECTION('center_axis',(0.,0.,1.)); #395247=DIRECTION('ref_axis',(0.707110948194255,-0.707102614154283,0.)); #395248=DIRECTION('center_axis',(0.,0.,1.)); #395249=DIRECTION('ref_axis',(0.707110948194255,-0.707102614154283,0.)); #395250=DIRECTION('',(0.,0.,1.)); #395251=DIRECTION('center_axis',(0.707106781186545,-0.70710678118655,0.)); #395252=DIRECTION('ref_axis',(0.70710678118655,0.707106781186545,0.)); #395253=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #395254=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #395255=DIRECTION('',(0.,0.,1.)); #395256=DIRECTION('center_axis',(0.,0.,1.)); #395257=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #395258=DIRECTION('center_axis',(0.,0.,1.)); #395259=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #395260=DIRECTION('center_axis',(0.,0.,1.)); #395261=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #395262=DIRECTION('',(0.,0.,1.)); #395263=DIRECTION('center_axis',(0.,-1.,0.)); #395264=DIRECTION('ref_axis',(1.,0.,0.)); #395265=DIRECTION('',(1.,0.,0.)); #395266=DIRECTION('',(1.,0.,0.)); #395267=DIRECTION('',(0.,0.,1.)); #395268=DIRECTION('center_axis',(0.707106781186549,-0.707106781186546,0.)); #395269=DIRECTION('ref_axis',(0.707106781186546,0.707106781186549,0.)); #395270=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #395271=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #395272=DIRECTION('',(0.,0.,1.)); #395273=DIRECTION('center_axis',(1.,0.,0.)); #395274=DIRECTION('ref_axis',(0.,1.,0.)); #395275=DIRECTION('',(0.,1.,0.)); #395276=DIRECTION('',(0.,1.,0.)); #395277=DIRECTION('',(0.,0.,1.)); #395278=DIRECTION('center_axis',(0.,0.,1.)); #395279=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #395280=DIRECTION('center_axis',(0.,0.,1.)); #395281=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #395282=DIRECTION('center_axis',(0.,0.,1.)); #395283=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #395284=DIRECTION('center_axis',(0.,0.,1.)); #395285=DIRECTION('ref_axis',(1.,0.,0.)); #395286=DIRECTION('center_axis',(0.,0.,1.)); #395287=DIRECTION('ref_axis',(1.,0.,0.)); #395288=DIRECTION('center_axis',(0.,0.,1.)); #395289=DIRECTION('ref_axis',(1.,0.,0.)); #395290=DIRECTION('center_axis',(0.,0.,1.)); #395291=DIRECTION('ref_axis',(1.,0.,0.)); #395292=DIRECTION('',(0.,0.,1.)); #395293=DIRECTION('center_axis',(0.,0.,-1.)); #395294=DIRECTION('ref_axis',(1.,0.,0.)); #395295=DIRECTION('center_axis',(0.156447762252332,-0.987686234431886,0.)); #395296=DIRECTION('ref_axis',(0.987686234431886,0.156447762252332,0.)); #395297=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #395298=DIRECTION('',(0.,0.,1.)); #395299=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #395300=DIRECTION('',(0.,0.,1.)); #395301=DIRECTION('center_axis',(0.,0.,1.)); #395302=DIRECTION('ref_axis',(-0.382679799465922,-0.923881037299025,0.)); #395303=DIRECTION('center_axis',(0.,0.,1.)); #395304=DIRECTION('ref_axis',(-0.382679799465922,-0.923881037299025,0.)); #395305=DIRECTION('center_axis',(0.,0.,1.)); #395306=DIRECTION('ref_axis',(-0.382679799465922,-0.923881037299025,0.)); #395307=DIRECTION('',(0.,0.,1.)); #395308=DIRECTION('center_axis',(0.,0.,1.)); #395309=DIRECTION('ref_axis',(0.300709399253546,0.953715815744172,0.)); #395310=DIRECTION('center_axis',(0.,0.,1.)); #395311=DIRECTION('ref_axis',(0.300709399253546,0.953715815744172,0.)); #395312=DIRECTION('center_axis',(0.,0.,1.)); #395313=DIRECTION('ref_axis',(0.300709399253546,0.953715815744172,0.)); #395314=DIRECTION('',(0.,0.,1.)); #395315=DIRECTION('center_axis',(-0.707094924240216,0.707118637934062,0.)); #395316=DIRECTION('ref_axis',(-0.707118637934062,-0.707094924240216,0.)); #395317=DIRECTION('',(-0.707118637934062,-0.707094924240216,0.)); #395318=DIRECTION('',(-0.707118637934062,-0.707094924240216,0.)); #395319=DIRECTION('',(0.,0.,1.)); #395320=DIRECTION('center_axis',(-1.,0.,0.)); #395321=DIRECTION('ref_axis',(0.,-1.,0.)); #395322=DIRECTION('',(0.,-1.,0.)); #395323=DIRECTION('',(0.,-1.,0.)); #395324=DIRECTION('',(0.,0.,1.)); #395325=DIRECTION('center_axis',(0.,1.,0.)); #395326=DIRECTION('ref_axis',(-1.,0.,0.)); #395327=DIRECTION('',(-1.,0.,0.)); #395328=DIRECTION('',(-1.,0.,0.)); #395329=DIRECTION('',(0.,0.,1.)); #395330=DIRECTION('center_axis',(1.,0.,0.)); #395331=DIRECTION('ref_axis',(0.,1.,0.)); #395332=DIRECTION('',(0.,1.,0.)); #395333=DIRECTION('',(0.,1.,0.)); #395334=DIRECTION('',(0.,0.,1.)); #395335=DIRECTION('center_axis',(0.,-1.,0.)); #395336=DIRECTION('ref_axis',(1.,0.,0.)); #395337=DIRECTION('',(1.,0.,0.)); #395338=DIRECTION('',(1.,0.,0.)); #395339=DIRECTION('',(0.,0.,1.)); #395340=DIRECTION('center_axis',(1.,0.,0.)); #395341=DIRECTION('ref_axis',(0.,1.,0.)); #395342=DIRECTION('',(0.,1.,0.)); #395343=DIRECTION('',(0.,1.,0.)); #395344=DIRECTION('',(0.,0.,1.)); #395345=DIRECTION('center_axis',(0.,0.,1.)); #395346=DIRECTION('ref_axis',(0.707110948194281,-0.707102614154257,0.)); #395347=DIRECTION('center_axis',(0.,0.,1.)); #395348=DIRECTION('ref_axis',(0.707110948194281,-0.707102614154257,0.)); #395349=DIRECTION('center_axis',(0.,0.,1.)); #395350=DIRECTION('ref_axis',(0.707110948194281,-0.707102614154257,0.)); #395351=DIRECTION('',(0.,0.,1.)); #395352=DIRECTION('center_axis',(0.70711134223886,-0.707102220104815,0.)); #395353=DIRECTION('ref_axis',(0.707102220104815,0.70711134223886,0.)); #395354=DIRECTION('',(0.707102220104815,0.70711134223886,0.)); #395355=DIRECTION('',(0.707102220104815,0.70711134223886,0.)); #395356=DIRECTION('',(0.,0.,1.)); #395357=DIRECTION('center_axis',(0.,0.,1.)); #395358=DIRECTION('ref_axis',(0.234829022546279,-0.972036691781724,0.)); #395359=DIRECTION('center_axis',(0.,0.,1.)); #395360=DIRECTION('ref_axis',(0.234829022546279,-0.972036691781724,0.)); #395361=DIRECTION('center_axis',(0.,0.,1.)); #395362=DIRECTION('ref_axis',(0.234829022546279,-0.972036691781724,0.)); #395363=DIRECTION('center_axis',(0.,0.,1.)); #395364=DIRECTION('ref_axis',(1.,0.,0.)); #395365=DIRECTION('center_axis',(0.,0.,1.)); #395366=DIRECTION('ref_axis',(1.,0.,0.)); #395367=DIRECTION('center_axis',(1.,0.,0.)); #395368=DIRECTION('ref_axis',(0.,1.,0.)); #395369=DIRECTION('',(0.,1.,0.)); #395370=DIRECTION('',(0.,0.,1.)); #395371=DIRECTION('',(0.,1.,0.)); #395372=DIRECTION('',(0.,0.,1.)); #395373=DIRECTION('center_axis',(0.,-1.,0.)); #395374=DIRECTION('ref_axis',(1.,0.,0.)); #395375=DIRECTION('',(1.,0.,0.)); #395376=DIRECTION('',(1.,0.,0.)); #395377=DIRECTION('',(0.,0.,1.)); #395378=DIRECTION('center_axis',(-1.,0.,0.)); #395379=DIRECTION('ref_axis',(0.,-1.,0.)); #395380=DIRECTION('',(0.,-1.,0.)); #395381=DIRECTION('',(0.,-1.,0.)); #395382=DIRECTION('',(0.,0.,1.)); #395383=DIRECTION('center_axis',(0.,-1.,0.)); #395384=DIRECTION('ref_axis',(1.,0.,0.)); #395385=DIRECTION('',(1.,0.,0.)); #395386=DIRECTION('',(1.,0.,0.)); #395387=DIRECTION('',(0.,0.,1.)); #395388=DIRECTION('center_axis',(0.,0.,1.)); #395389=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984462,0.)); #395390=DIRECTION('center_axis',(0.,0.,1.)); #395391=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984462,0.)); #395392=DIRECTION('center_axis',(0.,0.,1.)); #395393=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984462,0.)); #395394=DIRECTION('',(0.,0.,1.)); #395395=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #395396=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #395397=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #395398=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #395399=DIRECTION('',(0.,0.,1.)); #395400=DIRECTION('center_axis',(0.,0.,1.)); #395401=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #395402=DIRECTION('center_axis',(0.,0.,1.)); #395403=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #395404=DIRECTION('center_axis',(0.,0.,1.)); #395405=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #395406=DIRECTION('',(0.,0.,1.)); #395407=DIRECTION('center_axis',(-1.,0.,0.)); #395408=DIRECTION('ref_axis',(0.,-1.,0.)); #395409=DIRECTION('',(0.,-1.,0.)); #395410=DIRECTION('',(0.,-1.,0.)); #395411=DIRECTION('',(0.,0.,1.)); #395412=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #395413=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #395414=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #395415=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #395416=DIRECTION('',(0.,0.,1.)); #395417=DIRECTION('center_axis',(0.,-1.,0.)); #395418=DIRECTION('ref_axis',(1.,0.,0.)); #395419=DIRECTION('',(1.,0.,0.)); #395420=DIRECTION('',(1.,0.,0.)); #395421=DIRECTION('',(0.,0.,1.)); #395422=DIRECTION('center_axis',(0.,0.,1.)); #395423=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #395424=DIRECTION('center_axis',(0.,0.,1.)); #395425=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #395426=DIRECTION('center_axis',(0.,0.,1.)); #395427=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #395428=DIRECTION('',(0.,0.,1.)); #395429=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #395430=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #395431=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #395432=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #395433=DIRECTION('',(0.,0.,1.)); #395434=DIRECTION('center_axis',(0.,0.,1.)); #395435=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #395436=DIRECTION('center_axis',(0.,0.,1.)); #395437=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #395438=DIRECTION('center_axis',(0.,0.,1.)); #395439=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #395440=DIRECTION('',(0.,0.,1.)); #395441=DIRECTION('center_axis',(-1.,0.,0.)); #395442=DIRECTION('ref_axis',(0.,-1.,0.)); #395443=DIRECTION('',(0.,-1.,0.)); #395444=DIRECTION('',(0.,-1.,0.)); #395445=DIRECTION('',(0.,0.,1.)); #395446=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #395447=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #395448=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #395449=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #395450=DIRECTION('',(0.,0.,1.)); #395451=DIRECTION('center_axis',(0.,-1.,0.)); #395452=DIRECTION('ref_axis',(1.,0.,0.)); #395453=DIRECTION('',(1.,0.,0.)); #395454=DIRECTION('',(1.,0.,0.)); #395455=DIRECTION('',(0.,0.,1.)); #395456=DIRECTION('center_axis',(1.,0.,0.)); #395457=DIRECTION('ref_axis',(0.,1.,0.)); #395458=DIRECTION('',(0.,1.,0.)); #395459=DIRECTION('',(0.,1.,0.)); #395460=DIRECTION('',(0.,0.,1.)); #395461=DIRECTION('center_axis',(0.,-1.,0.)); #395462=DIRECTION('ref_axis',(1.,0.,0.)); #395463=DIRECTION('',(1.,0.,0.)); #395464=DIRECTION('',(1.,0.,0.)); #395465=DIRECTION('',(0.,0.,1.)); #395466=DIRECTION('center_axis',(-1.,0.,0.)); #395467=DIRECTION('ref_axis',(0.,-1.,0.)); #395468=DIRECTION('',(0.,-1.,0.)); #395469=DIRECTION('',(0.,-1.,0.)); #395470=DIRECTION('',(0.,0.,1.)); #395471=DIRECTION('center_axis',(0.,1.,0.)); #395472=DIRECTION('ref_axis',(-1.,0.,0.)); #395473=DIRECTION('',(-1.,0.,0.)); #395474=DIRECTION('',(-1.,0.,0.)); #395475=DIRECTION('',(0.,0.,1.)); #395476=DIRECTION('center_axis',(1.,0.,0.)); #395477=DIRECTION('ref_axis',(0.,1.,0.)); #395478=DIRECTION('',(0.,1.,0.)); #395479=DIRECTION('',(0.,1.,0.)); #395480=DIRECTION('',(0.,0.,1.)); #395481=DIRECTION('center_axis',(0.,1.,0.)); #395482=DIRECTION('ref_axis',(-1.,0.,0.)); #395483=DIRECTION('',(-1.,0.,0.)); #395484=DIRECTION('',(-1.,0.,0.)); #395485=DIRECTION('',(0.,0.,1.)); #395486=DIRECTION('center_axis',(0.,0.,1.)); #395487=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #395488=DIRECTION('center_axis',(0.,0.,1.)); #395489=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #395490=DIRECTION('center_axis',(0.,0.,1.)); #395491=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #395492=DIRECTION('',(0.,0.,1.)); #395493=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #395494=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #395495=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #395496=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #395497=DIRECTION('',(0.,0.,1.)); #395498=DIRECTION('center_axis',(0.,0.,1.)); #395499=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #395500=DIRECTION('center_axis',(0.,0.,1.)); #395501=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #395502=DIRECTION('center_axis',(0.,0.,1.)); #395503=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #395504=DIRECTION('',(0.,0.,1.)); #395505=DIRECTION('center_axis',(1.,0.,0.)); #395506=DIRECTION('ref_axis',(0.,1.,0.)); #395507=DIRECTION('',(0.,1.,0.)); #395508=DIRECTION('',(0.,1.,0.)); #395509=DIRECTION('',(0.,0.,1.)); #395510=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #395511=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #395512=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #395513=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #395514=DIRECTION('',(0.,0.,1.)); #395515=DIRECTION('center_axis',(0.,1.,0.)); #395516=DIRECTION('ref_axis',(-1.,0.,0.)); #395517=DIRECTION('',(-1.,0.,0.)); #395518=DIRECTION('',(-1.,0.,0.)); #395519=DIRECTION('',(0.,0.,1.)); #395520=DIRECTION('center_axis',(0.,0.,1.)); #395521=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #395522=DIRECTION('center_axis',(0.,0.,1.)); #395523=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #395524=DIRECTION('center_axis',(0.,0.,1.)); #395525=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #395526=DIRECTION('',(0.,0.,1.)); #395527=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #395528=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #395529=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #395530=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #395531=DIRECTION('',(0.,0.,1.)); #395532=DIRECTION('center_axis',(0.,0.,1.)); #395533=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #395534=DIRECTION('center_axis',(0.,0.,1.)); #395535=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #395536=DIRECTION('center_axis',(0.,0.,1.)); #395537=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #395538=DIRECTION('',(0.,0.,1.)); #395539=DIRECTION('center_axis',(1.,0.,0.)); #395540=DIRECTION('ref_axis',(0.,1.,0.)); #395541=DIRECTION('',(0.,1.,0.)); #395542=DIRECTION('',(0.,1.,0.)); #395543=DIRECTION('',(0.,0.,1.)); #395544=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #395545=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #395546=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #395547=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #395548=DIRECTION('',(0.,0.,1.)); #395549=DIRECTION('center_axis',(0.,1.,0.)); #395550=DIRECTION('ref_axis',(-1.,0.,0.)); #395551=DIRECTION('',(-1.,0.,0.)); #395552=DIRECTION('',(-1.,0.,0.)); #395553=DIRECTION('',(0.,0.,1.)); #395554=DIRECTION('center_axis',(-1.,0.,0.)); #395555=DIRECTION('ref_axis',(0.,-1.,0.)); #395556=DIRECTION('',(0.,-1.,0.)); #395557=DIRECTION('',(0.,-1.,0.)); #395558=DIRECTION('',(0.,0.,1.)); #395559=DIRECTION('center_axis',(0.,1.,0.)); #395560=DIRECTION('ref_axis',(-1.,0.,0.)); #395561=DIRECTION('',(-1.,0.,0.)); #395562=DIRECTION('',(-1.,0.,0.)); #395563=DIRECTION('center_axis',(0.,0.,1.)); #395564=DIRECTION('ref_axis',(1.,0.,0.)); #395565=DIRECTION('center_axis',(0.,0.,1.)); #395566=DIRECTION('ref_axis',(1.,0.,0.)); #395567=DIRECTION('center_axis',(0.,0.,1.)); #395568=DIRECTION('ref_axis',(1.,0.,0.)); #395569=DIRECTION('center_axis',(0.,0.,1.)); #395570=DIRECTION('ref_axis',(1.,0.,0.)); #395571=DIRECTION('',(0.,0.,1.)); #395572=DIRECTION('center_axis',(0.,0.,-1.)); #395573=DIRECTION('ref_axis',(1.,0.,0.)); #395574=DIRECTION('center_axis',(0.156447762252232,-0.987686234431902,0.)); #395575=DIRECTION('ref_axis',(0.987686234431902,0.156447762252232,0.)); #395576=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #395577=DIRECTION('',(0.,0.,1.)); #395578=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #395579=DIRECTION('',(0.,0.,1.)); #395580=DIRECTION('center_axis',(0.,0.,1.)); #395581=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #395582=DIRECTION('center_axis',(0.,0.,1.)); #395583=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #395584=DIRECTION('center_axis',(0.,0.,1.)); #395585=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #395586=DIRECTION('',(0.,0.,1.)); #395587=DIRECTION('center_axis',(-1.,0.,0.)); #395588=DIRECTION('ref_axis',(0.,-1.,0.)); #395589=DIRECTION('',(0.,-1.,0.)); #395590=DIRECTION('',(0.,-1.,0.)); #395591=DIRECTION('',(0.,0.,1.)); #395592=DIRECTION('center_axis',(0.,-1.,0.)); #395593=DIRECTION('ref_axis',(1.,0.,0.)); #395594=DIRECTION('',(1.,0.,0.)); #395595=DIRECTION('',(1.,0.,0.)); #395596=DIRECTION('',(0.,0.,1.)); #395597=DIRECTION('center_axis',(-1.,0.,0.)); #395598=DIRECTION('ref_axis',(0.,-1.,0.)); #395599=DIRECTION('',(0.,-1.,0.)); #395600=DIRECTION('',(0.,-1.,0.)); #395601=DIRECTION('',(0.,0.,1.)); #395602=DIRECTION('center_axis',(0.,1.,0.)); #395603=DIRECTION('ref_axis',(-1.,0.,0.)); #395604=DIRECTION('',(-1.,0.,0.)); #395605=DIRECTION('',(-1.,0.,0.)); #395606=DIRECTION('',(0.,0.,1.)); #395607=DIRECTION('center_axis',(1.,0.,0.)); #395608=DIRECTION('ref_axis',(0.,1.,0.)); #395609=DIRECTION('',(0.,1.,0.)); #395610=DIRECTION('',(0.,1.,0.)); #395611=DIRECTION('',(0.,0.,1.)); #395612=DIRECTION('center_axis',(0.,-1.,0.)); #395613=DIRECTION('ref_axis',(1.,0.,0.)); #395614=DIRECTION('',(1.,0.,0.)); #395615=DIRECTION('',(1.,0.,0.)); #395616=DIRECTION('',(0.,0.,1.)); #395617=DIRECTION('center_axis',(1.,0.,0.)); #395618=DIRECTION('ref_axis',(0.,1.,0.)); #395619=DIRECTION('',(0.,1.,0.)); #395620=DIRECTION('',(0.,1.,0.)); #395621=DIRECTION('',(0.,0.,1.)); #395622=DIRECTION('center_axis',(0.,0.,1.)); #395623=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #395624=DIRECTION('center_axis',(0.,0.,1.)); #395625=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #395626=DIRECTION('center_axis',(0.,0.,1.)); #395627=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #395628=DIRECTION('center_axis',(0.,0.,1.)); #395629=DIRECTION('ref_axis',(1.,0.,0.)); #395630=DIRECTION('center_axis',(0.,0.,1.)); #395631=DIRECTION('ref_axis',(1.,0.,0.)); #395632=DIRECTION('center_axis',(0.,0.,1.)); #395633=DIRECTION('ref_axis',(1.,0.,0.)); #395634=DIRECTION('center_axis',(0.,0.,1.)); #395635=DIRECTION('ref_axis',(1.,0.,0.)); #395636=DIRECTION('',(0.,0.,1.)); #395637=DIRECTION('center_axis',(0.,0.,-1.)); #395638=DIRECTION('ref_axis',(1.,0.,0.)); #395639=DIRECTION('center_axis',(0.,0.,1.)); #395640=DIRECTION('ref_axis',(1.,0.,0.)); #395641=DIRECTION('center_axis',(0.,0.,1.)); #395642=DIRECTION('ref_axis',(1.,0.,0.)); #395643=DIRECTION('',(0.,0.,1.)); #395644=DIRECTION('center_axis',(0.,0.,-1.)); #395645=DIRECTION('ref_axis',(1.,0.,0.)); #395646=DIRECTION('center_axis',(-0.993822986070332,-0.11097690011101,0.)); #395647=DIRECTION('ref_axis',(0.11097690011101,-0.993822986070332,0.)); #395648=DIRECTION('',(0.11097690011101,-0.993822986070332,0.)); #395649=DIRECTION('',(0.,0.,1.)); #395650=DIRECTION('',(0.11097690011101,-0.993822986070332,0.)); #395651=DIRECTION('',(0.,0.,1.)); #395652=DIRECTION('center_axis',(-1.,0.,0.)); #395653=DIRECTION('ref_axis',(0.,-1.,0.)); #395654=DIRECTION('',(0.,-1.,0.)); #395655=DIRECTION('',(0.,-1.,0.)); #395656=DIRECTION('',(0.,0.,1.)); #395657=DIRECTION('center_axis',(0.,0.,1.)); #395658=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #395659=DIRECTION('center_axis',(0.,0.,1.)); #395660=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #395661=DIRECTION('center_axis',(0.,0.,1.)); #395662=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #395663=DIRECTION('',(0.,0.,1.)); #395664=DIRECTION('center_axis',(0.,0.,1.)); #395665=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #395666=DIRECTION('center_axis',(0.,0.,1.)); #395667=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #395668=DIRECTION('center_axis',(0.,0.,1.)); #395669=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #395670=DIRECTION('',(0.,0.,1.)); #395671=DIRECTION('center_axis',(1.,0.,0.)); #395672=DIRECTION('ref_axis',(0.,1.,0.)); #395673=DIRECTION('',(0.,1.,0.)); #395674=DIRECTION('',(0.,1.,0.)); #395675=DIRECTION('',(0.,0.,1.)); #395676=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #395677=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #395678=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #395679=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #395680=DIRECTION('',(0.,0.,1.)); #395681=DIRECTION('center_axis',(0.,0.,1.)); #395682=DIRECTION('ref_axis',(0.852390916810226,0.522905082151075,0.)); #395683=DIRECTION('center_axis',(0.,0.,1.)); #395684=DIRECTION('ref_axis',(0.852390916810226,0.522905082151075,0.)); #395685=DIRECTION('center_axis',(0.,0.,1.)); #395686=DIRECTION('ref_axis',(0.852390916810226,0.522905082151075,0.)); #395687=DIRECTION('',(0.,0.,1.)); #395688=DIRECTION('center_axis',(0.,0.,1.)); #395689=DIRECTION('ref_axis',(-0.840683265709484,-0.541527143138769,0.)); #395690=DIRECTION('center_axis',(0.,0.,1.)); #395691=DIRECTION('ref_axis',(-0.840683265709484,-0.541527143138769,0.)); #395692=DIRECTION('center_axis',(0.,0.,1.)); #395693=DIRECTION('ref_axis',(-0.840683265709484,-0.541527143138769,0.)); #395694=DIRECTION('',(0.,0.,1.)); #395695=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #395696=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #395697=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #395698=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #395699=DIRECTION('',(0.,0.,1.)); #395700=DIRECTION('center_axis',(1.,0.,0.)); #395701=DIRECTION('ref_axis',(0.,1.,0.)); #395702=DIRECTION('',(0.,1.,0.)); #395703=DIRECTION('',(0.,1.,0.)); #395704=DIRECTION('',(0.,0.,1.)); #395705=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #395706=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #395707=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #395708=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #395709=DIRECTION('',(0.,0.,1.)); #395710=DIRECTION('center_axis',(0.,1.,0.)); #395711=DIRECTION('ref_axis',(-1.,0.,0.)); #395712=DIRECTION('',(-1.,0.,0.)); #395713=DIRECTION('',(-1.,0.,0.)); #395714=DIRECTION('',(0.,0.,1.)); #395715=DIRECTION('center_axis',(-1.,0.,0.)); #395716=DIRECTION('ref_axis',(0.,-1.,0.)); #395717=DIRECTION('',(0.,-1.,0.)); #395718=DIRECTION('',(0.,-1.,0.)); #395719=DIRECTION('',(0.,0.,1.)); #395720=DIRECTION('center_axis',(0.,1.,0.)); #395721=DIRECTION('ref_axis',(-1.,0.,0.)); #395722=DIRECTION('',(-1.,0.,0.)); #395723=DIRECTION('',(-1.,0.,0.)); #395724=DIRECTION('',(0.,0.,1.)); #395725=DIRECTION('center_axis',(1.,0.,0.)); #395726=DIRECTION('ref_axis',(0.,1.,0.)); #395727=DIRECTION('',(0.,1.,0.)); #395728=DIRECTION('',(0.,1.,0.)); #395729=DIRECTION('',(0.,0.,1.)); #395730=DIRECTION('center_axis',(0.,-1.,0.)); #395731=DIRECTION('ref_axis',(1.,0.,0.)); #395732=DIRECTION('',(1.,0.,0.)); #395733=DIRECTION('',(1.,0.,0.)); #395734=DIRECTION('',(0.,0.,1.)); #395735=DIRECTION('center_axis',(-1.,0.,0.)); #395736=DIRECTION('ref_axis',(0.,-1.,0.)); #395737=DIRECTION('',(0.,-1.,0.)); #395738=DIRECTION('',(0.,-1.,0.)); #395739=DIRECTION('',(0.,0.,1.)); #395740=DIRECTION('center_axis',(0.,-1.,0.)); #395741=DIRECTION('ref_axis',(1.,0.,0.)); #395742=DIRECTION('',(1.,0.,0.)); #395743=DIRECTION('',(1.,0.,0.)); #395744=DIRECTION('',(0.,0.,1.)); #395745=DIRECTION('center_axis',(0.,0.,1.)); #395746=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #395747=DIRECTION('center_axis',(0.,0.,1.)); #395748=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #395749=DIRECTION('center_axis',(0.,0.,1.)); #395750=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #395751=DIRECTION('',(0.,0.,1.)); #395752=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #395753=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #395754=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #395755=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #395756=DIRECTION('',(0.,0.,1.)); #395757=DIRECTION('center_axis',(0.,0.,1.)); #395758=DIRECTION('ref_axis',(-0.999287545164094,-0.0377412517004872,0.)); #395759=DIRECTION('center_axis',(0.,0.,1.)); #395760=DIRECTION('ref_axis',(-0.999287545164094,-0.0377412517004872,0.)); #395761=DIRECTION('center_axis',(0.,0.,1.)); #395762=DIRECTION('ref_axis',(-0.999287545164094,-0.0377412517004872,0.)); #395763=DIRECTION('center_axis',(0.,0.,1.)); #395764=DIRECTION('ref_axis',(1.,0.,0.)); #395765=DIRECTION('center_axis',(0.,0.,1.)); #395766=DIRECTION('ref_axis',(1.,0.,0.)); #395767=DIRECTION('center_axis',(1.,0.,0.)); #395768=DIRECTION('ref_axis',(0.,1.,0.)); #395769=DIRECTION('',(0.,1.,0.)); #395770=DIRECTION('',(0.,0.,1.)); #395771=DIRECTION('',(0.,1.,0.)); #395772=DIRECTION('',(0.,0.,1.)); #395773=DIRECTION('center_axis',(0.,-1.,0.)); #395774=DIRECTION('ref_axis',(1.,0.,0.)); #395775=DIRECTION('',(1.,0.,0.)); #395776=DIRECTION('',(1.,0.,0.)); #395777=DIRECTION('',(0.,0.,1.)); #395778=DIRECTION('center_axis',(-1.,0.,0.)); #395779=DIRECTION('ref_axis',(0.,-1.,0.)); #395780=DIRECTION('',(0.,-1.,0.)); #395781=DIRECTION('',(0.,-1.,0.)); #395782=DIRECTION('',(0.,0.,1.)); #395783=DIRECTION('center_axis',(0.,-1.,0.)); #395784=DIRECTION('ref_axis',(1.,0.,0.)); #395785=DIRECTION('',(1.,0.,0.)); #395786=DIRECTION('',(1.,0.,0.)); #395787=DIRECTION('',(0.,0.,1.)); #395788=DIRECTION('center_axis',(0.,0.,1.)); #395789=DIRECTION('ref_axis',(-0.707099830320334,-0.707113731984435,0.)); #395790=DIRECTION('center_axis',(0.,0.,1.)); #395791=DIRECTION('ref_axis',(-0.707099830320334,-0.707113731984435,0.)); #395792=DIRECTION('center_axis',(0.,0.,1.)); #395793=DIRECTION('ref_axis',(-0.707099830320334,-0.707113731984435,0.)); #395794=DIRECTION('',(0.,0.,1.)); #395795=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #395796=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #395797=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #395798=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #395799=DIRECTION('',(0.,0.,1.)); #395800=DIRECTION('center_axis',(0.,-1.,0.)); #395801=DIRECTION('ref_axis',(1.,0.,0.)); #395802=DIRECTION('',(1.,0.,0.)); #395803=DIRECTION('',(1.,0.,0.)); #395804=DIRECTION('',(0.,0.,1.)); #395805=DIRECTION('center_axis',(-1.,0.,0.)); #395806=DIRECTION('ref_axis',(0.,-1.,0.)); #395807=DIRECTION('',(0.,-1.,0.)); #395808=DIRECTION('',(0.,-1.,0.)); #395809=DIRECTION('',(0.,0.,1.)); #395810=DIRECTION('center_axis',(0.,1.,0.)); #395811=DIRECTION('ref_axis',(-1.,0.,0.)); #395812=DIRECTION('',(-1.,0.,0.)); #395813=DIRECTION('',(-1.,0.,0.)); #395814=DIRECTION('',(0.,0.,1.)); #395815=DIRECTION('center_axis',(1.,0.,0.)); #395816=DIRECTION('ref_axis',(0.,1.,0.)); #395817=DIRECTION('',(0.,1.,0.)); #395818=DIRECTION('',(0.,1.,0.)); #395819=DIRECTION('',(0.,0.,1.)); #395820=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #395821=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #395822=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #395823=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #395824=DIRECTION('',(0.,0.,1.)); #395825=DIRECTION('center_axis',(0.,1.,0.)); #395826=DIRECTION('ref_axis',(-1.,0.,0.)); #395827=DIRECTION('',(-1.,0.,0.)); #395828=DIRECTION('',(-1.,0.,0.)); #395829=DIRECTION('',(0.,0.,1.)); #395830=DIRECTION('center_axis',(-1.,0.,0.)); #395831=DIRECTION('ref_axis',(0.,-1.,0.)); #395832=DIRECTION('',(0.,-1.,0.)); #395833=DIRECTION('',(0.,-1.,0.)); #395834=DIRECTION('',(0.,0.,1.)); #395835=DIRECTION('center_axis',(0.,1.,0.)); #395836=DIRECTION('ref_axis',(-1.,0.,0.)); #395837=DIRECTION('',(-1.,0.,0.)); #395838=DIRECTION('',(-1.,0.,0.)); #395839=DIRECTION('center_axis',(0.,0.,1.)); #395840=DIRECTION('ref_axis',(1.,0.,0.)); #395841=DIRECTION('center_axis',(0.,0.,1.)); #395842=DIRECTION('ref_axis',(1.,0.,0.)); #395843=DIRECTION('center_axis',(0.,0.,1.)); #395844=DIRECTION('ref_axis',(1.,0.,0.)); #395845=DIRECTION('center_axis',(0.,0.,1.)); #395846=DIRECTION('ref_axis',(1.,0.,0.)); #395847=DIRECTION('',(0.,0.,1.)); #395848=DIRECTION('center_axis',(0.,0.,-1.)); #395849=DIRECTION('ref_axis',(1.,0.,0.)); #395850=DIRECTION('center_axis',(0.,0.,1.)); #395851=DIRECTION('ref_axis',(1.,0.,0.)); #395852=DIRECTION('center_axis',(0.,0.,1.)); #395853=DIRECTION('ref_axis',(1.,0.,0.)); #395854=DIRECTION('',(0.,0.,1.)); #395855=DIRECTION('center_axis',(0.,0.,-1.)); #395856=DIRECTION('ref_axis',(1.,0.,0.)); #395857=DIRECTION('center_axis',(0.,0.,1.)); #395858=DIRECTION('ref_axis',(1.,0.,0.)); #395859=DIRECTION('center_axis',(0.,0.,1.)); #395860=DIRECTION('ref_axis',(1.,0.,0.)); #395861=DIRECTION('',(0.,0.,1.)); #395862=DIRECTION('center_axis',(0.,0.,-1.)); #395863=DIRECTION('ref_axis',(1.,0.,0.)); #395864=DIRECTION('center_axis',(0.,0.,1.)); #395865=DIRECTION('ref_axis',(1.,0.,0.)); #395866=DIRECTION('center_axis',(0.,0.,1.)); #395867=DIRECTION('ref_axis',(1.,0.,0.)); #395868=DIRECTION('',(0.,0.,1.)); #395869=DIRECTION('center_axis',(0.,0.,-1.)); #395870=DIRECTION('ref_axis',(1.,0.,0.)); #395871=DIRECTION('center_axis',(0.,0.,1.)); #395872=DIRECTION('ref_axis',(1.,0.,0.)); #395873=DIRECTION('center_axis',(0.,0.,1.)); #395874=DIRECTION('ref_axis',(1.,0.,0.)); #395875=DIRECTION('',(0.,0.,1.)); #395876=DIRECTION('center_axis',(0.,0.,-1.)); #395877=DIRECTION('ref_axis',(1.,0.,0.)); #395878=DIRECTION('center_axis',(0.,0.,1.)); #395879=DIRECTION('ref_axis',(1.,0.,0.)); #395880=DIRECTION('center_axis',(0.,0.,1.)); #395881=DIRECTION('ref_axis',(1.,0.,0.)); #395882=DIRECTION('',(0.,0.,1.)); #395883=DIRECTION('center_axis',(0.,0.,-1.)); #395884=DIRECTION('ref_axis',(1.,0.,0.)); #395885=DIRECTION('center_axis',(0.,0.,1.)); #395886=DIRECTION('ref_axis',(1.,0.,0.)); #395887=DIRECTION('center_axis',(0.,0.,1.)); #395888=DIRECTION('ref_axis',(1.,0.,0.)); #395889=DIRECTION('',(0.,0.,1.)); #395890=DIRECTION('center_axis',(0.,0.,-1.)); #395891=DIRECTION('ref_axis',(1.,0.,0.)); #395892=DIRECTION('center_axis',(0.,0.,1.)); #395893=DIRECTION('ref_axis',(1.,0.,0.)); #395894=DIRECTION('center_axis',(0.,0.,1.)); #395895=DIRECTION('ref_axis',(1.,0.,0.)); #395896=DIRECTION('',(0.,0.,1.)); #395897=DIRECTION('center_axis',(0.,0.,-1.)); #395898=DIRECTION('ref_axis',(1.,0.,0.)); #395899=DIRECTION('center_axis',(0.,0.,1.)); #395900=DIRECTION('ref_axis',(1.,0.,0.)); #395901=DIRECTION('center_axis',(0.,0.,1.)); #395902=DIRECTION('ref_axis',(1.,0.,0.)); #395903=DIRECTION('',(0.,0.,1.)); #395904=DIRECTION('center_axis',(0.,0.,-1.)); #395905=DIRECTION('ref_axis',(1.,0.,0.)); #395906=DIRECTION('center_axis',(0.,0.,1.)); #395907=DIRECTION('ref_axis',(1.,0.,0.)); #395908=DIRECTION('center_axis',(0.,0.,1.)); #395909=DIRECTION('ref_axis',(1.,0.,0.)); #395910=DIRECTION('',(0.,0.,1.)); #395911=DIRECTION('center_axis',(0.,0.,-1.)); #395912=DIRECTION('ref_axis',(1.,0.,0.)); #395913=DIRECTION('center_axis',(0.,0.,1.)); #395914=DIRECTION('ref_axis',(1.,0.,0.)); #395915=DIRECTION('center_axis',(0.,0.,1.)); #395916=DIRECTION('ref_axis',(1.,0.,0.)); #395917=DIRECTION('',(0.,0.,1.)); #395918=DIRECTION('center_axis',(0.,0.,-1.)); #395919=DIRECTION('ref_axis',(1.,0.,0.)); #395920=DIRECTION('center_axis',(1.,0.,0.)); #395921=DIRECTION('ref_axis',(0.,1.,0.)); #395922=DIRECTION('',(0.,1.,0.)); #395923=DIRECTION('',(0.,0.,1.)); #395924=DIRECTION('',(0.,1.,0.)); #395925=DIRECTION('',(0.,0.,1.)); #395926=DIRECTION('center_axis',(0.,-1.,0.)); #395927=DIRECTION('ref_axis',(1.,0.,0.)); #395928=DIRECTION('',(1.,0.,0.)); #395929=DIRECTION('',(1.,0.,0.)); #395930=DIRECTION('',(0.,0.,1.)); #395931=DIRECTION('center_axis',(-1.,0.,0.)); #395932=DIRECTION('ref_axis',(0.,-1.,0.)); #395933=DIRECTION('',(0.,-1.,0.)); #395934=DIRECTION('',(0.,-1.,0.)); #395935=DIRECTION('',(0.,0.,1.)); #395936=DIRECTION('center_axis',(0.,-1.,0.)); #395937=DIRECTION('ref_axis',(1.,0.,0.)); #395938=DIRECTION('',(1.,0.,0.)); #395939=DIRECTION('',(1.,0.,0.)); #395940=DIRECTION('',(0.,0.,1.)); #395941=DIRECTION('center_axis',(0.,0.,1.)); #395942=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984461,0.)); #395943=DIRECTION('center_axis',(0.,0.,1.)); #395944=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984461,0.)); #395945=DIRECTION('center_axis',(0.,0.,1.)); #395946=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984461,0.)); #395947=DIRECTION('',(0.,0.,1.)); #395948=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #395949=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #395950=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #395951=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #395952=DIRECTION('',(0.,0.,1.)); #395953=DIRECTION('center_axis',(0.,0.,1.)); #395954=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396447E-6,0.)); #395955=DIRECTION('center_axis',(0.,0.,1.)); #395956=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396447E-6,0.)); #395957=DIRECTION('center_axis',(0.,0.,1.)); #395958=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396447E-6,0.)); #395959=DIRECTION('',(0.,0.,1.)); #395960=DIRECTION('center_axis',(-1.,0.,0.)); #395961=DIRECTION('ref_axis',(0.,-1.,0.)); #395962=DIRECTION('',(0.,-1.,0.)); #395963=DIRECTION('',(0.,-1.,0.)); #395964=DIRECTION('',(0.,0.,1.)); #395965=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #395966=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #395967=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #395968=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #395969=DIRECTION('',(0.,0.,1.)); #395970=DIRECTION('center_axis',(0.,-1.,0.)); #395971=DIRECTION('ref_axis',(1.,0.,0.)); #395972=DIRECTION('',(1.,0.,0.)); #395973=DIRECTION('',(1.,0.,0.)); #395974=DIRECTION('',(0.,0.,1.)); #395975=DIRECTION('center_axis',(1.,0.,0.)); #395976=DIRECTION('ref_axis',(0.,1.,0.)); #395977=DIRECTION('',(0.,1.,0.)); #395978=DIRECTION('',(0.,1.,0.)); #395979=DIRECTION('',(0.,0.,1.)); #395980=DIRECTION('center_axis',(0.987649512185963,0.156679421363592,0.)); #395981=DIRECTION('ref_axis',(-0.156679421363592,0.987649512185963,0.)); #395982=DIRECTION('',(-0.156679421363592,0.987649512185963,0.)); #395983=DIRECTION('',(-0.156679421363592,0.987649512185963,0.)); #395984=DIRECTION('',(0.,0.,1.)); #395985=DIRECTION('center_axis',(0.12543811388941,0.992101446215994,0.)); #395986=DIRECTION('ref_axis',(-0.992101446215994,0.12543811388941,0.)); #395987=DIRECTION('',(-0.992101446215994,0.12543811388941,0.)); #395988=DIRECTION('',(-0.992101446215994,0.12543811388941,0.)); #395989=DIRECTION('',(0.,0.,1.)); #395990=DIRECTION('center_axis',(0.,1.,0.)); #395991=DIRECTION('ref_axis',(-1.,0.,0.)); #395992=DIRECTION('',(-1.,0.,0.)); #395993=DIRECTION('',(-1.,0.,0.)); #395994=DIRECTION('',(0.,0.,1.)); #395995=DIRECTION('center_axis',(-0.156679421363592,0.987649512185963,0.)); #395996=DIRECTION('ref_axis',(-0.987649512185963,-0.156679421363592,0.)); #395997=DIRECTION('',(-0.987649512185963,-0.156679421363592,0.)); #395998=DIRECTION('',(-0.987649512185963,-0.156679421363592,0.)); #395999=DIRECTION('',(0.,0.,1.)); #396000=DIRECTION('center_axis',(-0.992101446215994,0.12543811388941,0.)); #396001=DIRECTION('ref_axis',(-0.12543811388941,-0.992101446215994,0.)); #396002=DIRECTION('',(-0.12543811388941,-0.992101446215994,0.)); #396003=DIRECTION('',(-0.12543811388941,-0.992101446215994,0.)); #396004=DIRECTION('',(0.,0.,1.)); #396005=DIRECTION('center_axis',(-1.,0.,0.)); #396006=DIRECTION('ref_axis',(0.,-1.,0.)); #396007=DIRECTION('',(0.,-1.,0.)); #396008=DIRECTION('',(0.,-1.,0.)); #396009=DIRECTION('',(0.,0.,1.)); #396010=DIRECTION('center_axis',(-0.983050395657587,0.18333553828285,0.)); #396011=DIRECTION('ref_axis',(-0.18333553828285,-0.983050395657587,0.)); #396012=DIRECTION('',(-0.18333553828285,-0.983050395657587,0.)); #396013=DIRECTION('',(-0.18333553828285,-0.983050395657587,0.)); #396014=DIRECTION('',(0.,0.,1.)); #396015=DIRECTION('center_axis',(-0.842360495470256,0.538914460439786,0.)); #396016=DIRECTION('ref_axis',(-0.538914460439786,-0.842360495470256,0.)); #396017=DIRECTION('',(-0.538914460439786,-0.842360495470256,0.)); #396018=DIRECTION('',(-0.538914460439786,-0.842360495470256,0.)); #396019=DIRECTION('',(0.,0.,1.)); #396020=DIRECTION('center_axis',(-0.565473758099733,0.824766287441821,0.)); #396021=DIRECTION('ref_axis',(-0.824766287441821,-0.565473758099733,0.)); #396022=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #396023=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #396024=DIRECTION('',(0.,0.,1.)); #396025=DIRECTION('center_axis',(-0.199010641789413,0.97999732879971,0.)); #396026=DIRECTION('ref_axis',(-0.97999732879971,-0.199010641789413,0.)); #396027=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #396028=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #396029=DIRECTION('',(0.,0.,1.)); #396030=DIRECTION('center_axis',(0.,1.,0.)); #396031=DIRECTION('ref_axis',(-1.,0.,0.)); #396032=DIRECTION('',(-1.,0.,0.)); #396033=DIRECTION('',(-1.,0.,0.)); #396034=DIRECTION('',(0.,0.,1.)); #396035=DIRECTION('center_axis',(0.191191000932891,0.981552851945467,0.)); #396036=DIRECTION('ref_axis',(-0.981552851945467,0.191191000932891,0.)); #396037=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #396038=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #396039=DIRECTION('',(0.,0.,1.)); #396040=DIRECTION('center_axis',(0.552227809242827,0.833693256958978,0.)); #396041=DIRECTION('ref_axis',(-0.833693256958978,0.552227809242827,0.)); #396042=DIRECTION('',(-0.833693256958978,0.552227809242827,0.)); #396043=DIRECTION('',(-0.833693256958978,0.552227809242827,0.)); #396044=DIRECTION('',(0.,0.,1.)); #396045=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #396046=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #396047=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #396048=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #396049=DIRECTION('',(0.,0.,1.)); #396050=DIRECTION('center_axis',(0.829258128536007,0.558865776602003,0.)); #396051=DIRECTION('ref_axis',(-0.558865776602003,0.829258128536007,0.)); #396052=DIRECTION('',(-0.558865776602003,0.829258128536007,0.)); #396053=DIRECTION('',(-0.558865776602003,0.829258128536007,0.)); #396054=DIRECTION('',(0.,0.,1.)); #396055=DIRECTION('center_axis',(0.979998112340235,0.199006783325535,0.)); #396056=DIRECTION('ref_axis',(-0.199006783325535,0.979998112340235,0.)); #396057=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #396058=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #396059=DIRECTION('',(0.,0.,1.)); #396060=DIRECTION('center_axis',(1.,0.,0.)); #396061=DIRECTION('ref_axis',(0.,1.,0.)); #396062=DIRECTION('',(0.,1.,0.)); #396063=DIRECTION('',(0.,1.,0.)); #396064=DIRECTION('',(0.,0.,1.)); #396065=DIRECTION('center_axis',(0.983054265471482,-0.183314787014918,0.)); #396066=DIRECTION('ref_axis',(0.183314787014918,0.983054265471482,0.)); #396067=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #396068=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #396069=DIRECTION('',(0.,0.,1.)); #396070=DIRECTION('center_axis',(0.842351514327689,-0.538928498327793,0.)); #396071=DIRECTION('ref_axis',(0.538928498327792,0.842351514327689,0.)); #396072=DIRECTION('',(0.538928498327792,0.842351514327689,0.)); #396073=DIRECTION('',(0.538928498327792,0.842351514327689,0.)); #396074=DIRECTION('',(0.,0.,1.)); #396075=DIRECTION('center_axis',(0.565473758099751,-0.824766287441809,0.)); #396076=DIRECTION('ref_axis',(0.824766287441808,0.565473758099751,0.)); #396077=DIRECTION('',(0.824766287441808,0.565473758099751,0.)); #396078=DIRECTION('',(0.824766287441808,0.565473758099751,0.)); #396079=DIRECTION('',(0.,0.,1.)); #396080=DIRECTION('center_axis',(0.199010641789328,-0.979997328799727,0.)); #396081=DIRECTION('ref_axis',(0.979997328799727,0.199010641789328,0.)); #396082=DIRECTION('',(0.979997328799727,0.199010641789328,0.)); #396083=DIRECTION('',(0.979997328799727,0.199010641789328,0.)); #396084=DIRECTION('',(0.,0.,1.)); #396085=DIRECTION('center_axis',(0.,-1.,0.)); #396086=DIRECTION('ref_axis',(1.,0.,0.)); #396087=DIRECTION('',(1.,0.,0.)); #396088=DIRECTION('',(1.,0.,0.)); #396089=DIRECTION('',(0.,0.,1.)); #396090=DIRECTION('center_axis',(0.140944937191828,-0.990017436553514,0.)); #396091=DIRECTION('ref_axis',(0.990017436553514,0.140944937191828,0.)); #396092=DIRECTION('',(0.990017436553514,0.140944937191828,0.)); #396093=DIRECTION('',(0.990017436553514,0.140944937191828,0.)); #396094=DIRECTION('',(0.,0.,1.)); #396095=DIRECTION('center_axis',(0.613211584811163,-0.789918699774466,0.)); #396096=DIRECTION('ref_axis',(0.789918699774466,0.613211584811163,0.)); #396097=DIRECTION('',(0.789918699774466,0.613211584811163,0.)); #396098=DIRECTION('',(0.789918699774466,0.613211584811163,0.)); #396099=DIRECTION('',(0.,0.,1.)); #396100=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #396101=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #396102=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #396103=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #396104=DIRECTION('',(0.,0.,1.)); #396105=DIRECTION('center_axis',(0.799763100782771,-0.600315735781037,0.)); #396106=DIRECTION('ref_axis',(0.600315735781037,0.799763100782771,0.)); #396107=DIRECTION('',(0.600315735781037,0.799763100782771,0.)); #396108=DIRECTION('',(0.600315735781037,0.799763100782771,0.)); #396109=DIRECTION('',(0.,0.,1.)); #396110=DIRECTION('center_axis',(0.992121345390277,-0.125280629073241,0.)); #396111=DIRECTION('ref_axis',(0.125280629073241,0.992121345390277,0.)); #396112=DIRECTION('',(0.125280629073241,0.992121345390277,0.)); #396113=DIRECTION('',(0.125280629073241,0.992121345390277,0.)); #396114=DIRECTION('',(0.,0.,1.)); #396115=DIRECTION('center_axis',(1.,0.,0.)); #396116=DIRECTION('ref_axis',(0.,1.,0.)); #396117=DIRECTION('',(0.,1.,0.)); #396118=DIRECTION('',(0.,1.,0.)); #396119=DIRECTION('',(0.,0.,1.)); #396120=DIRECTION('center_axis',(0.981552099144139,-0.191194865688736,0.)); #396121=DIRECTION('ref_axis',(0.191194865688736,0.981552099144139,0.)); #396122=DIRECTION('',(0.191194865688736,0.981552099144139,0.)); #396123=DIRECTION('',(0.191194865688736,0.981552099144139,0.)); #396124=DIRECTION('',(0.,0.,1.)); #396125=DIRECTION('center_axis',(0.833699290017759,-0.55221870108127,0.)); #396126=DIRECTION('ref_axis',(0.55221870108127,0.833699290017759,0.)); #396127=DIRECTION('',(0.55221870108127,0.833699290017759,0.)); #396128=DIRECTION('',(0.55221870108127,0.833699290017759,0.)); #396129=DIRECTION('',(0.,0.,1.)); #396130=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #396131=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #396132=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #396133=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #396134=DIRECTION('',(0.,0.,1.)); #396135=DIRECTION('center_axis',(0.558856232804972,-0.829264560351541,0.)); #396136=DIRECTION('ref_axis',(0.829264560351541,0.558856232804972,0.)); #396137=DIRECTION('',(0.829264560351541,0.558856232804972,0.)); #396138=DIRECTION('',(0.829264560351541,0.558856232804972,0.)); #396139=DIRECTION('',(0.,0.,1.)); #396140=DIRECTION('center_axis',(0.199010641789353,-0.979997328799722,0.)); #396141=DIRECTION('ref_axis',(0.979997328799722,0.199010641789353,0.)); #396142=DIRECTION('',(0.979997328799722,0.199010641789353,0.)); #396143=DIRECTION('',(0.979997328799722,0.199010641789353,0.)); #396144=DIRECTION('',(0.,0.,1.)); #396145=DIRECTION('center_axis',(0.,-1.,0.)); #396146=DIRECTION('ref_axis',(1.,0.,0.)); #396147=DIRECTION('',(1.,0.,0.)); #396148=DIRECTION('',(1.,0.,0.)); #396149=DIRECTION('',(0.,0.,1.)); #396150=DIRECTION('center_axis',(-0.191194865688692,-0.981552099144148, 0.)); #396151=DIRECTION('ref_axis',(0.981552099144148,-0.191194865688692,0.)); #396152=DIRECTION('',(0.981552099144148,-0.191194865688692,0.)); #396153=DIRECTION('',(0.981552099144148,-0.191194865688692,0.)); #396154=DIRECTION('',(0.,0.,1.)); #396155=DIRECTION('center_axis',(-0.55221870108126,-0.833699290017765,0.)); #396156=DIRECTION('ref_axis',(0.833699290017765,-0.55221870108126,0.)); #396157=DIRECTION('',(0.833699290017765,-0.55221870108126,0.)); #396158=DIRECTION('',(0.833699290017765,-0.55221870108126,0.)); #396159=DIRECTION('',(0.,0.,1.)); #396160=DIRECTION('center_axis',(-0.707106781186552,-0.707106781186543, 0.)); #396161=DIRECTION('ref_axis',(0.707106781186543,-0.707106781186552,0.)); #396162=DIRECTION('',(0.707106781186543,-0.707106781186552,0.)); #396163=DIRECTION('',(0.707106781186543,-0.707106781186552,0.)); #396164=DIRECTION('',(0.,0.,1.)); #396165=DIRECTION('center_axis',(-0.829264560351577,-0.558856232804919, 0.)); #396166=DIRECTION('ref_axis',(0.558856232804919,-0.829264560351577,0.)); #396167=DIRECTION('',(0.558856232804919,-0.829264560351577,0.)); #396168=DIRECTION('',(0.558856232804919,-0.829264560351577,0.)); #396169=DIRECTION('',(0.,0.,1.)); #396170=DIRECTION('center_axis',(-0.979997328799711,-0.199010641789404, 0.)); #396171=DIRECTION('ref_axis',(0.199010641789404,-0.979997328799711,0.)); #396172=DIRECTION('',(0.199010641789404,-0.979997328799711,0.)); #396173=DIRECTION('',(0.199010641789404,-0.979997328799711,0.)); #396174=DIRECTION('',(0.,0.,1.)); #396175=DIRECTION('center_axis',(-1.,0.,0.)); #396176=DIRECTION('ref_axis',(0.,-1.,0.)); #396177=DIRECTION('',(0.,-1.,0.)); #396178=DIRECTION('',(0.,-1.,0.)); #396179=DIRECTION('',(0.,0.,1.)); #396180=DIRECTION('center_axis',(-0.995393973877089,-0.095868851923743, 0.)); #396181=DIRECTION('ref_axis',(0.095868851923743,-0.995393973877089,0.)); #396182=DIRECTION('',(0.095868851923743,-0.995393973877089,0.)); #396183=DIRECTION('',(0.095868851923743,-0.995393973877089,0.)); #396184=DIRECTION('',(0.,0.,1.)); #396185=DIRECTION('center_axis',(-0.94971778516927,-0.313107215715603,0.)); #396186=DIRECTION('ref_axis',(0.313107215715603,-0.94971778516927,0.)); #396187=DIRECTION('',(0.313107215715603,-0.94971778516927,0.)); #396188=DIRECTION('',(0.313107215715603,-0.94971778516927,0.)); #396189=DIRECTION('',(0.,0.,1.)); #396190=DIRECTION('center_axis',(-0.842364599557948,-0.538908045413667, 0.)); #396191=DIRECTION('ref_axis',(0.538908045413667,-0.842364599557948,0.)); #396192=DIRECTION('',(0.538908045413667,-0.842364599557948,0.)); #396193=DIRECTION('',(0.538908045413667,-0.842364599557948,0.)); #396194=DIRECTION('',(0.,0.,1.)); #396195=DIRECTION('center_axis',(-0.768221180734969,-0.640184518299349, 0.)); #396196=DIRECTION('ref_axis',(0.640184518299349,-0.768221180734969,0.)); #396197=DIRECTION('',(0.640184518299349,-0.768221180734969,0.)); #396198=DIRECTION('',(0.640184518299349,-0.768221180734969,0.)); #396199=DIRECTION('',(0.,0.,1.)); #396200=DIRECTION('center_axis',(-0.853752470878315,-0.52067909355876,0.)); #396201=DIRECTION('ref_axis',(0.52067909355876,-0.853752470878315,0.)); #396202=DIRECTION('',(0.52067909355876,-0.853752470878315,0.)); #396203=DIRECTION('',(0.52067909355876,-0.853752470878315,0.)); #396204=DIRECTION('',(0.,0.,1.)); #396205=DIRECTION('center_axis',(-0.97999732879971,-0.199010641789413,0.)); #396206=DIRECTION('ref_axis',(0.199010641789413,-0.97999732879971,0.)); #396207=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #396208=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #396209=DIRECTION('',(0.,0.,1.)); #396210=DIRECTION('center_axis',(-1.,0.,0.)); #396211=DIRECTION('ref_axis',(0.,-1.,0.)); #396212=DIRECTION('',(0.,-1.,0.)); #396213=DIRECTION('',(0.,-1.,0.)); #396214=DIRECTION('',(0.,0.,1.)); #396215=DIRECTION('center_axis',(-0.981552099144153,0.191194865688666,0.)); #396216=DIRECTION('ref_axis',(-0.191194865688666,-0.981552099144153,0.)); #396217=DIRECTION('',(-0.191194865688666,-0.981552099144153,0.)); #396218=DIRECTION('',(-0.191194865688666,-0.981552099144153,0.)); #396219=DIRECTION('',(0.,0.,1.)); #396220=DIRECTION('center_axis',(-0.833699290017718,0.552218701081331,0.)); #396221=DIRECTION('ref_axis',(-0.552218701081331,-0.833699290017718,0.)); #396222=DIRECTION('',(-0.552218701081331,-0.833699290017718,0.)); #396223=DIRECTION('',(-0.552218701081331,-0.833699290017718,0.)); #396224=DIRECTION('',(0.,0.,1.)); #396225=DIRECTION('center_axis',(-0.707106781186549,0.707106781186546,0.)); #396226=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,0.)); #396227=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #396228=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #396229=DIRECTION('',(0.,0.,1.)); #396230=DIRECTION('center_axis',(-0.558856232804961,0.829264560351549,0.)); #396231=DIRECTION('ref_axis',(-0.829264560351548,-0.558856232804961,0.)); #396232=DIRECTION('',(-0.829264560351548,-0.558856232804961,0.)); #396233=DIRECTION('',(-0.829264560351548,-0.558856232804961,0.)); #396234=DIRECTION('',(0.,0.,1.)); #396235=DIRECTION('center_axis',(-0.199010641789404,0.979997328799711,0.)); #396236=DIRECTION('ref_axis',(-0.979997328799711,-0.199010641789404,0.)); #396237=DIRECTION('',(-0.979997328799711,-0.199010641789404,0.)); #396238=DIRECTION('',(-0.979997328799711,-0.199010641789404,0.)); #396239=DIRECTION('',(0.,0.,1.)); #396240=DIRECTION('center_axis',(0.,1.,0.)); #396241=DIRECTION('ref_axis',(-1.,0.,0.)); #396242=DIRECTION('',(-1.,0.,0.)); #396243=DIRECTION('',(-1.,0.,0.)); #396244=DIRECTION('',(0.,0.,1.)); #396245=DIRECTION('center_axis',(0.183314787014826,0.983054265471499,0.)); #396246=DIRECTION('ref_axis',(-0.983054265471499,0.183314787014826,0.)); #396247=DIRECTION('',(-0.983054265471499,0.183314787014826,0.)); #396248=DIRECTION('',(-0.983054265471499,0.183314787014826,0.)); #396249=DIRECTION('',(0.,0.,1.)); #396250=DIRECTION('center_axis',(0.538928498327897,0.842351514327622,0.)); #396251=DIRECTION('ref_axis',(-0.842351514327622,0.538928498327897,0.)); #396252=DIRECTION('',(-0.842351514327622,0.538928498327897,0.)); #396253=DIRECTION('',(-0.842351514327622,0.538928498327897,0.)); #396254=DIRECTION('',(0.,0.,1.)); #396255=DIRECTION('center_axis',(0.824766287441793,0.565473758099774,0.)); #396256=DIRECTION('ref_axis',(-0.565473758099774,0.824766287441793,0.)); #396257=DIRECTION('',(-0.565473758099774,0.824766287441793,0.)); #396258=DIRECTION('',(-0.565473758099774,0.824766287441793,0.)); #396259=DIRECTION('',(0.,0.,1.)); #396260=DIRECTION('center_axis',(0.979997328799717,0.199010641789378,0.)); #396261=DIRECTION('ref_axis',(-0.199010641789378,0.979997328799717,0.)); #396262=DIRECTION('',(-0.199010641789378,0.979997328799717,0.)); #396263=DIRECTION('',(-0.199010641789378,0.979997328799717,0.)); #396264=DIRECTION('',(0.,0.,1.)); #396265=DIRECTION('center_axis',(1.,0.,0.)); #396266=DIRECTION('ref_axis',(0.,1.,0.)); #396267=DIRECTION('',(0.,1.,0.)); #396268=DIRECTION('',(0.,1.,0.)); #396269=DIRECTION('',(0.,0.,1.)); #396270=DIRECTION('center_axis',(0.707106781186521,0.707106781186574,0.)); #396271=DIRECTION('ref_axis',(-0.707106781186574,0.707106781186521,0.)); #396272=DIRECTION('',(-0.707106781186574,0.707106781186521,0.)); #396273=DIRECTION('',(-0.707106781186574,0.707106781186521,0.)); #396274=DIRECTION('',(0.,0.,1.)); #396275=DIRECTION('center_axis',(0.,1.,0.)); #396276=DIRECTION('ref_axis',(-1.,0.,0.)); #396277=DIRECTION('',(-1.,0.,0.)); #396278=DIRECTION('',(-1.,0.,0.)); #396279=DIRECTION('',(0.,0.,1.)); #396280=DIRECTION('center_axis',(-0.70710678118653,0.707106781186565,0.)); #396281=DIRECTION('ref_axis',(-0.707106781186565,-0.70710678118653,0.)); #396282=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #396283=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #396284=DIRECTION('',(0.,0.,1.)); #396285=DIRECTION('center_axis',(-1.,0.,0.)); #396286=DIRECTION('ref_axis',(0.,-1.,0.)); #396287=DIRECTION('',(0.,-1.,0.)); #396288=DIRECTION('',(0.,-1.,0.)); #396289=DIRECTION('',(0.,0.,1.)); #396290=DIRECTION('center_axis',(-0.983054265471482,0.183314787014918,0.)); #396291=DIRECTION('ref_axis',(-0.183314787014918,-0.983054265471482,0.)); #396292=DIRECTION('',(-0.183314787014918,-0.983054265471482,0.)); #396293=DIRECTION('',(-0.183314787014918,-0.983054265471482,0.)); #396294=DIRECTION('',(0.,0.,1.)); #396295=DIRECTION('center_axis',(-0.842351514327714,0.538928498327753,0.)); #396296=DIRECTION('ref_axis',(-0.538928498327753,-0.842351514327714,0.)); #396297=DIRECTION('',(-0.538928498327753,-0.842351514327714,0.)); #396298=DIRECTION('',(-0.538928498327753,-0.842351514327714,0.)); #396299=DIRECTION('',(0.,0.,1.)); #396300=DIRECTION('center_axis',(-0.565473758099673,0.824766287441862,0.)); #396301=DIRECTION('ref_axis',(-0.824766287441862,-0.565473758099673,0.)); #396302=DIRECTION('',(-0.824766287441862,-0.565473758099673,0.)); #396303=DIRECTION('',(-0.824766287441862,-0.565473758099673,0.)); #396304=DIRECTION('',(0.,0.,1.)); #396305=DIRECTION('center_axis',(-0.199010641789404,0.979997328799711,0.)); #396306=DIRECTION('ref_axis',(-0.979997328799711,-0.199010641789404,0.)); #396307=DIRECTION('',(-0.979997328799711,-0.199010641789404,0.)); #396308=DIRECTION('',(-0.979997328799711,-0.199010641789404,0.)); #396309=DIRECTION('',(0.,0.,1.)); #396310=DIRECTION('center_axis',(0.,1.,0.)); #396311=DIRECTION('ref_axis',(-1.,0.,0.)); #396312=DIRECTION('',(-1.,0.,0.)); #396313=DIRECTION('',(-1.,0.,0.)); #396314=DIRECTION('',(0.,0.,1.)); #396315=DIRECTION('center_axis',(0.191191000932891,0.981552851945467,0.)); #396316=DIRECTION('ref_axis',(-0.981552851945467,0.191191000932891,0.)); #396317=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #396318=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #396319=DIRECTION('',(0.,0.,1.)); #396320=DIRECTION('center_axis',(0.552227809242786,0.833693256959005,0.)); #396321=DIRECTION('ref_axis',(-0.833693256959005,0.552227809242786,0.)); #396322=DIRECTION('',(-0.833693256959005,0.552227809242786,0.)); #396323=DIRECTION('',(-0.833693256959005,0.552227809242786,0.)); #396324=DIRECTION('',(0.,0.,1.)); #396325=DIRECTION('center_axis',(0.707106781186566,0.707106781186529,0.)); #396326=DIRECTION('ref_axis',(-0.707106781186529,0.707106781186566,0.)); #396327=DIRECTION('',(-0.707106781186529,0.707106781186566,0.)); #396328=DIRECTION('',(-0.707106781186529,0.707106781186566,0.)); #396329=DIRECTION('',(0.,0.,1.)); #396330=DIRECTION('center_axis',(0.829258128536007,0.558865776602003,0.)); #396331=DIRECTION('ref_axis',(-0.558865776602003,0.829258128536007,0.)); #396332=DIRECTION('',(-0.558865776602003,0.829258128536007,0.)); #396333=DIRECTION('',(-0.558865776602003,0.829258128536007,0.)); #396334=DIRECTION('',(0.,0.,1.)); #396335=DIRECTION('center_axis',(0.979998112340235,0.199006783325535,0.)); #396336=DIRECTION('ref_axis',(-0.199006783325535,0.979998112340235,0.)); #396337=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #396338=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #396339=DIRECTION('',(0.,0.,1.)); #396340=DIRECTION('center_axis',(1.,0.,0.)); #396341=DIRECTION('ref_axis',(0.,1.,0.)); #396342=DIRECTION('',(0.,1.,0.)); #396343=DIRECTION('',(0.,1.,0.)); #396344=DIRECTION('',(0.,0.,1.)); #396345=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,0.)); #396346=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.)); #396347=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #396348=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #396349=DIRECTION('',(0.,0.,1.)); #396350=DIRECTION('center_axis',(0.,1.,0.)); #396351=DIRECTION('ref_axis',(-1.,0.,0.)); #396352=DIRECTION('',(-1.,0.,0.)); #396353=DIRECTION('',(-1.,0.,0.)); #396354=DIRECTION('',(0.,0.,1.)); #396355=DIRECTION('center_axis',(0.191191000932891,0.981552851945467,0.)); #396356=DIRECTION('ref_axis',(-0.981552851945467,0.191191000932891,0.)); #396357=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #396358=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #396359=DIRECTION('',(0.,0.,1.)); #396360=DIRECTION('center_axis',(0.552227809242786,0.833693256959005,0.)); #396361=DIRECTION('ref_axis',(-0.833693256959005,0.552227809242786,0.)); #396362=DIRECTION('',(-0.833693256959005,0.552227809242786,0.)); #396363=DIRECTION('',(-0.833693256959005,0.552227809242786,0.)); #396364=DIRECTION('',(0.,0.,1.)); #396365=DIRECTION('center_axis',(0.70710678118655,0.707106781186545,0.)); #396366=DIRECTION('ref_axis',(-0.707106781186545,0.70710678118655,0.)); #396367=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #396368=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #396369=DIRECTION('',(0.,0.,1.)); #396370=DIRECTION('center_axis',(0.829258128535986,0.558865776602034,0.)); #396371=DIRECTION('ref_axis',(-0.558865776602034,0.829258128535985,0.)); #396372=DIRECTION('',(-0.558865776602034,0.829258128535985,0.)); #396373=DIRECTION('',(-0.558865776602034,0.829258128535985,0.)); #396374=DIRECTION('',(0.,0.,1.)); #396375=DIRECTION('center_axis',(0.979998112340244,0.199006783325492,0.)); #396376=DIRECTION('ref_axis',(-0.199006783325492,0.979998112340244,0.)); #396377=DIRECTION('',(-0.199006783325492,0.979998112340244,0.)); #396378=DIRECTION('',(-0.199006783325492,0.979998112340244,0.)); #396379=DIRECTION('',(0.,0.,1.)); #396380=DIRECTION('center_axis',(1.,0.,0.)); #396381=DIRECTION('ref_axis',(0.,1.,0.)); #396382=DIRECTION('',(0.,1.,0.)); #396383=DIRECTION('',(0.,1.,0.)); #396384=DIRECTION('',(0.,0.,1.)); #396385=DIRECTION('center_axis',(0.707106781186545,0.70710678118655,0.)); #396386=DIRECTION('ref_axis',(-0.70710678118655,0.707106781186545,0.)); #396387=DIRECTION('',(-0.70710678118655,0.707106781186545,0.)); #396388=DIRECTION('',(-0.70710678118655,0.707106781186545,0.)); #396389=DIRECTION('',(0.,0.,1.)); #396390=DIRECTION('center_axis',(0.,1.,0.)); #396391=DIRECTION('ref_axis',(-1.,0.,0.)); #396392=DIRECTION('',(-1.,0.,0.)); #396393=DIRECTION('',(-1.,0.,0.)); #396394=DIRECTION('',(0.,0.,1.)); #396395=DIRECTION('center_axis',(0.191191000932891,0.981552851945467,0.)); #396396=DIRECTION('ref_axis',(-0.981552851945467,0.191191000932891,0.)); #396397=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #396398=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #396399=DIRECTION('',(0.,0.,1.)); #396400=DIRECTION('center_axis',(0.552227809242867,0.833693256958951,0.)); #396401=DIRECTION('ref_axis',(-0.833693256958951,0.552227809242867,0.)); #396402=DIRECTION('',(-0.833693256958951,0.552227809242867,0.)); #396403=DIRECTION('',(-0.833693256958951,0.552227809242867,0.)); #396404=DIRECTION('',(0.,0.,1.)); #396405=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #396406=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #396407=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #396408=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #396409=DIRECTION('',(0.,0.,1.)); #396410=DIRECTION('center_axis',(0.829258128536035,0.55886577660196,0.)); #396411=DIRECTION('ref_axis',(-0.55886577660196,0.829258128536035,0.)); #396412=DIRECTION('',(-0.55886577660196,0.829258128536035,0.)); #396413=DIRECTION('',(-0.55886577660196,0.829258128536035,0.)); #396414=DIRECTION('',(0.,0.,1.)); #396415=DIRECTION('center_axis',(0.979998112340252,0.19900678332545,0.)); #396416=DIRECTION('ref_axis',(-0.19900678332545,0.979998112340252,0.)); #396417=DIRECTION('',(-0.19900678332545,0.979998112340252,0.)); #396418=DIRECTION('',(-0.19900678332545,0.979998112340252,0.)); #396419=DIRECTION('',(0.,0.,1.)); #396420=DIRECTION('center_axis',(1.,0.,0.)); #396421=DIRECTION('ref_axis',(0.,1.,0.)); #396422=DIRECTION('',(0.,1.,0.)); #396423=DIRECTION('',(0.,1.,0.)); #396424=DIRECTION('',(0.,0.,1.)); #396425=DIRECTION('center_axis',(0.981552851945484,-0.191191000932803,0.)); #396426=DIRECTION('ref_axis',(0.191191000932803,0.981552851945484,0.)); #396427=DIRECTION('',(0.191191000932803,0.981552851945484,0.)); #396428=DIRECTION('',(0.191191000932803,0.981552851945484,0.)); #396429=DIRECTION('',(0.,0.,1.)); #396430=DIRECTION('center_axis',(0.833693256958951,-0.552227809242867,0.)); #396431=DIRECTION('ref_axis',(0.552227809242867,0.833693256958951,0.)); #396432=DIRECTION('',(0.552227809242867,0.833693256958951,0.)); #396433=DIRECTION('',(0.552227809242867,0.833693256958951,0.)); #396434=DIRECTION('',(0.,0.,1.)); #396435=DIRECTION('center_axis',(0.707108968966173,-0.707104593400154,0.)); #396436=DIRECTION('ref_axis',(0.707104593400154,0.707108968966173,0.)); #396437=DIRECTION('',(0.707104593400154,0.707108968966173,0.)); #396438=DIRECTION('',(0.707104593400154,0.707108968966173,0.)); #396439=DIRECTION('',(0.,0.,1.)); #396440=DIRECTION('center_axis',(1.,0.,0.)); #396441=DIRECTION('ref_axis',(0.,1.,0.)); #396442=DIRECTION('',(0.,1.,0.)); #396443=DIRECTION('',(0.,1.,0.)); #396444=DIRECTION('',(0.,0.,1.)); #396445=DIRECTION('center_axis',(0.983054265471485,-0.183314787014901,0.)); #396446=DIRECTION('ref_axis',(0.183314787014901,0.983054265471485,0.)); #396447=DIRECTION('',(0.183314787014901,0.983054265471485,0.)); #396448=DIRECTION('',(0.183314787014901,0.983054265471485,0.)); #396449=DIRECTION('',(0.,0.,1.)); #396450=DIRECTION('center_axis',(0.842351514327689,-0.538928498327793,0.)); #396451=DIRECTION('ref_axis',(0.538928498327792,0.842351514327689,0.)); #396452=DIRECTION('',(0.538928498327792,0.842351514327689,0.)); #396453=DIRECTION('',(0.538928498327792,0.842351514327689,0.)); #396454=DIRECTION('',(0.,0.,1.)); #396455=DIRECTION('center_axis',(0.565473758099733,-0.824766287441821,0.)); #396456=DIRECTION('ref_axis',(0.824766287441821,0.565473758099733,0.)); #396457=DIRECTION('',(0.824766287441821,0.565473758099733,0.)); #396458=DIRECTION('',(0.824766287441821,0.565473758099733,0.)); #396459=DIRECTION('',(0.,0.,1.)); #396460=DIRECTION('center_axis',(0.199010641789396,-0.979997328799713,0.)); #396461=DIRECTION('ref_axis',(0.979997328799713,0.199010641789396,0.)); #396462=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #396463=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #396464=DIRECTION('',(0.,0.,1.)); #396465=DIRECTION('center_axis',(0.,-1.,0.)); #396466=DIRECTION('ref_axis',(1.,0.,0.)); #396467=DIRECTION('',(1.,0.,0.)); #396468=DIRECTION('',(1.,0.,0.)); #396469=DIRECTION('',(0.,0.,1.)); #396470=DIRECTION('center_axis',(0.140944937191896,-0.990017436553505,0.)); #396471=DIRECTION('ref_axis',(0.990017436553505,0.140944937191896,0.)); #396472=DIRECTION('',(0.990017436553505,0.140944937191896,0.)); #396473=DIRECTION('',(0.990017436553505,0.140944937191896,0.)); #396474=DIRECTION('',(0.,0.,1.)); #396475=DIRECTION('center_axis',(0.613211584811249,-0.789918699774398,0.)); #396476=DIRECTION('ref_axis',(0.789918699774398,0.613211584811249,0.)); #396477=DIRECTION('',(0.789918699774398,0.613211584811249,0.)); #396478=DIRECTION('',(0.789918699774398,0.613211584811249,0.)); #396479=DIRECTION('',(0.,0.,1.)); #396480=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #396481=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #396482=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #396483=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #396484=DIRECTION('',(0.,0.,1.)); #396485=DIRECTION('center_axis',(0.799763100782771,-0.600315735781037,0.)); #396486=DIRECTION('ref_axis',(0.600315735781037,0.799763100782771,0.)); #396487=DIRECTION('',(0.600315735781037,0.799763100782771,0.)); #396488=DIRECTION('',(0.600315735781037,0.799763100782771,0.)); #396489=DIRECTION('',(0.,0.,1.)); #396490=DIRECTION('center_axis',(0.992121345390267,-0.125280629073318,0.)); #396491=DIRECTION('ref_axis',(0.125280629073318,0.992121345390267,0.)); #396492=DIRECTION('',(0.125280629073318,0.992121345390267,0.)); #396493=DIRECTION('',(0.125280629073318,0.992121345390267,0.)); #396494=DIRECTION('',(0.,0.,1.)); #396495=DIRECTION('center_axis',(1.,0.,0.)); #396496=DIRECTION('ref_axis',(0.,1.,0.)); #396497=DIRECTION('',(0.,1.,0.)); #396498=DIRECTION('',(0.,1.,0.)); #396499=DIRECTION('',(0.,0.,1.)); #396500=DIRECTION('center_axis',(0.981552099144143,-0.191194865688719,0.)); #396501=DIRECTION('ref_axis',(0.191194865688719,0.981552099144143,0.)); #396502=DIRECTION('',(0.191194865688719,0.981552099144143,0.)); #396503=DIRECTION('',(0.191194865688719,0.981552099144143,0.)); #396504=DIRECTION('',(0.,0.,1.)); #396505=DIRECTION('center_axis',(0.833699290017759,-0.55221870108127,0.)); #396506=DIRECTION('ref_axis',(0.55221870108127,0.833699290017759,0.)); #396507=DIRECTION('',(0.55221870108127,0.833699290017759,0.)); #396508=DIRECTION('',(0.55221870108127,0.833699290017759,0.)); #396509=DIRECTION('',(0.,0.,1.)); #396510=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #396511=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #396512=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #396513=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #396514=DIRECTION('',(0.,0.,1.)); #396515=DIRECTION('center_axis',(0.558856232804919,-0.829264560351577,0.)); #396516=DIRECTION('ref_axis',(0.829264560351577,0.558856232804919,0.)); #396517=DIRECTION('',(0.829264560351577,0.558856232804919,0.)); #396518=DIRECTION('',(0.829264560351577,0.558856232804919,0.)); #396519=DIRECTION('',(0.,0.,1.)); #396520=DIRECTION('center_axis',(0.199010641789396,-0.979997328799713,0.)); #396521=DIRECTION('ref_axis',(0.979997328799713,0.199010641789396,0.)); #396522=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #396523=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #396524=DIRECTION('',(0.,0.,1.)); #396525=DIRECTION('center_axis',(0.,-1.,0.)); #396526=DIRECTION('ref_axis',(1.,0.,0.)); #396527=DIRECTION('',(1.,0.,0.)); #396528=DIRECTION('',(1.,0.,0.)); #396529=DIRECTION('',(0.,0.,1.)); #396530=DIRECTION('center_axis',(0.156679421363592,-0.987649512185963,0.)); #396531=DIRECTION('ref_axis',(0.987649512185963,0.156679421363592,0.)); #396532=DIRECTION('',(0.987649512185963,0.156679421363592,0.)); #396533=DIRECTION('',(0.987649512185963,0.156679421363592,0.)); #396534=DIRECTION('',(0.,0.,1.)); #396535=DIRECTION('center_axis',(0.992101446215994,-0.12543811388941,0.)); #396536=DIRECTION('ref_axis',(0.12543811388941,0.992101446215994,0.)); #396537=DIRECTION('',(0.12543811388941,0.992101446215994,0.)); #396538=DIRECTION('',(0.12543811388941,0.992101446215994,0.)); #396539=DIRECTION('',(0.,0.,1.)); #396540=DIRECTION('center_axis',(1.,0.,0.)); #396541=DIRECTION('ref_axis',(0.,1.,0.)); #396542=DIRECTION('',(0.,1.,0.)); #396543=DIRECTION('',(0.,1.,0.)); #396544=DIRECTION('',(0.,0.,1.)); #396545=DIRECTION('center_axis',(0.,1.,0.)); #396546=DIRECTION('ref_axis',(-1.,0.,0.)); #396547=DIRECTION('',(-1.,0.,0.)); #396548=DIRECTION('',(-1.,0.,0.)); #396549=DIRECTION('',(0.,0.,1.)); #396550=DIRECTION('center_axis',(0.,0.,1.)); #396551=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #396552=DIRECTION('center_axis',(0.,0.,1.)); #396553=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #396554=DIRECTION('center_axis',(0.,0.,1.)); #396555=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #396556=DIRECTION('',(0.,0.,1.)); #396557=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #396558=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #396559=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #396560=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #396561=DIRECTION('',(0.,0.,1.)); #396562=DIRECTION('center_axis',(0.,0.,1.)); #396563=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #396564=DIRECTION('center_axis',(0.,0.,1.)); #396565=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #396566=DIRECTION('center_axis',(0.,0.,1.)); #396567=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #396568=DIRECTION('',(0.,0.,1.)); #396569=DIRECTION('center_axis',(1.,0.,0.)); #396570=DIRECTION('ref_axis',(0.,1.,0.)); #396571=DIRECTION('',(0.,1.,0.)); #396572=DIRECTION('',(0.,1.,0.)); #396573=DIRECTION('',(0.,0.,1.)); #396574=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #396575=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #396576=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #396577=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #396578=DIRECTION('',(0.,0.,1.)); #396579=DIRECTION('center_axis',(0.,1.,0.)); #396580=DIRECTION('ref_axis',(-1.,0.,0.)); #396581=DIRECTION('',(-1.,0.,0.)); #396582=DIRECTION('',(-1.,0.,0.)); #396583=DIRECTION('',(0.,0.,1.)); #396584=DIRECTION('center_axis',(-1.,0.,0.)); #396585=DIRECTION('ref_axis',(0.,-1.,0.)); #396586=DIRECTION('',(0.,-1.,0.)); #396587=DIRECTION('',(0.,-1.,0.)); #396588=DIRECTION('',(0.,0.,1.)); #396589=DIRECTION('center_axis',(0.,1.,0.)); #396590=DIRECTION('ref_axis',(-1.,0.,0.)); #396591=DIRECTION('',(-1.,0.,0.)); #396592=DIRECTION('',(-1.,0.,0.)); #396593=DIRECTION('center_axis',(0.,0.,1.)); #396594=DIRECTION('ref_axis',(1.,0.,0.)); #396595=DIRECTION('center_axis',(0.,0.,1.)); #396596=DIRECTION('ref_axis',(1.,0.,0.)); #396597=DIRECTION('center_axis',(0.,0.,1.)); #396598=DIRECTION('ref_axis',(1.,0.,0.)); #396599=DIRECTION('center_axis',(0.,0.,1.)); #396600=DIRECTION('ref_axis',(1.,0.,0.)); #396601=DIRECTION('',(0.,0.,1.)); #396602=DIRECTION('center_axis',(0.,0.,-1.)); #396603=DIRECTION('ref_axis',(1.,0.,0.)); #396604=DIRECTION('center_axis',(0.0980028398661646,-0.995186135041162, 0.)); #396605=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661646,0.)); #396606=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #396607=DIRECTION('',(0.,0.,1.)); #396608=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #396609=DIRECTION('',(0.,0.,1.)); #396610=DIRECTION('center_axis',(0.,0.,1.)); #396611=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #396612=DIRECTION('center_axis',(0.,0.,1.)); #396613=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #396614=DIRECTION('center_axis',(0.,0.,1.)); #396615=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #396616=DIRECTION('',(0.,0.,1.)); #396617=DIRECTION('center_axis',(0.,0.,1.)); #396618=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #396619=DIRECTION('center_axis',(0.,0.,1.)); #396620=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #396621=DIRECTION('center_axis',(0.,0.,1.)); #396622=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #396623=DIRECTION('center_axis',(0.,0.,1.)); #396624=DIRECTION('ref_axis',(1.,0.,0.)); #396625=DIRECTION('center_axis',(0.,0.,1.)); #396626=DIRECTION('ref_axis',(1.,0.,0.)); #396627=DIRECTION('center_axis',(0.214642857802347,-0.976692604453644,0.)); #396628=DIRECTION('ref_axis',(0.976692604453643,0.214642857802347,0.)); #396629=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #396630=DIRECTION('',(0.,0.,1.)); #396631=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #396632=DIRECTION('',(0.,0.,1.)); #396633=DIRECTION('center_axis',(-0.183302952703531,-0.983056472197893, 0.)); #396634=DIRECTION('ref_axis',(0.983056472197893,-0.183302952703531,0.)); #396635=DIRECTION('',(0.983056472197893,-0.183302952703531,0.)); #396636=DIRECTION('',(0.983056472197893,-0.183302952703531,0.)); #396637=DIRECTION('',(0.,0.,1.)); #396638=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #396639=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #396640=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #396641=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #396642=DIRECTION('',(0.,0.,1.)); #396643=DIRECTION('center_axis',(-0.707106781186545,-0.70710678118655,0.)); #396644=DIRECTION('ref_axis',(0.70710678118655,-0.707106781186545,0.)); #396645=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #396646=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #396647=DIRECTION('',(0.,0.,1.)); #396648=DIRECTION('center_axis',(-0.820212632122783,-0.572058771549057, 0.)); #396649=DIRECTION('ref_axis',(0.572058771549057,-0.820212632122783,0.)); #396650=DIRECTION('',(0.572058771549057,-0.820212632122783,0.)); #396651=DIRECTION('',(0.572058771549057,-0.820212632122783,0.)); #396652=DIRECTION('',(0.,0.,1.)); #396653=DIRECTION('center_axis',(-0.973151456886365,-0.230165683714894, 0.)); #396654=DIRECTION('ref_axis',(0.230165683714894,-0.973151456886365,0.)); #396655=DIRECTION('',(0.230165683714894,-0.973151456886365,0.)); #396656=DIRECTION('',(0.230165683714894,-0.973151456886365,0.)); #396657=DIRECTION('',(0.,0.,1.)); #396658=DIRECTION('center_axis',(-0.985847618607389,0.167643887112353,0.)); #396659=DIRECTION('ref_axis',(-0.167643887112353,-0.985847618607389,0.)); #396660=DIRECTION('',(-0.167643887112353,-0.985847618607389,0.)); #396661=DIRECTION('',(-0.167643887112353,-0.985847618607389,0.)); #396662=DIRECTION('',(0.,0.,1.)); #396663=DIRECTION('center_axis',(-0.842362410729263,0.538911466746055,0.)); #396664=DIRECTION('ref_axis',(-0.538911466746055,-0.842362410729263,0.)); #396665=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #396666=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #396667=DIRECTION('',(0.,0.,1.)); #396668=DIRECTION('center_axis',(-0.565504004715031,0.82474554903392,0.)); #396669=DIRECTION('ref_axis',(-0.82474554903392,-0.565504004715031,0.)); #396670=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #396671=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #396672=DIRECTION('',(0.,0.,1.)); #396673=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #396674=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #396675=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #396676=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #396677=DIRECTION('',(0.,0.,1.)); #396678=DIRECTION('center_axis',(0.,1.,0.)); #396679=DIRECTION('ref_axis',(-1.,0.,0.)); #396680=DIRECTION('',(-1.,0.,0.)); #396681=DIRECTION('',(-1.,0.,0.)); #396682=DIRECTION('',(0.,0.,1.)); #396683=DIRECTION('center_axis',(0.191149856928945,0.981560865252911,0.)); #396684=DIRECTION('ref_axis',(-0.981560865252911,0.191149856928945,0.)); #396685=DIRECTION('',(-0.981560865252911,0.191149856928945,0.)); #396686=DIRECTION('',(-0.981560865252911,0.191149856928945,0.)); #396687=DIRECTION('',(0.,0.,1.)); #396688=DIRECTION('center_axis',(0.552278225391724,0.833659859749867,0.)); #396689=DIRECTION('ref_axis',(-0.833659859749867,0.552278225391724,0.)); #396690=DIRECTION('',(-0.833659859749867,0.552278225391724,0.)); #396691=DIRECTION('',(-0.833659859749867,0.552278225391724,0.)); #396692=DIRECTION('',(0.,0.,1.)); #396693=DIRECTION('center_axis',(0.707106781186569,0.707106781186526,0.)); #396694=DIRECTION('ref_axis',(-0.707106781186526,0.707106781186569,0.)); #396695=DIRECTION('',(-0.707106781186526,0.707106781186569,0.)); #396696=DIRECTION('',(-0.707106781186526,0.707106781186569,0.)); #396697=DIRECTION('',(0.,0.,1.)); #396698=DIRECTION('center_axis',(0.829203833807246,0.55894633194911,0.)); #396699=DIRECTION('ref_axis',(-0.55894633194911,0.829203833807246,0.)); #396700=DIRECTION('',(-0.55894633194911,0.829203833807246,0.)); #396701=DIRECTION('',(-0.55894633194911,0.829203833807246,0.)); #396702=DIRECTION('',(0.,0.,1.)); #396703=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #396704=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #396705=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #396706=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #396707=DIRECTION('',(0.,0.,1.)); #396708=DIRECTION('center_axis',(1.,0.,0.)); #396709=DIRECTION('ref_axis',(0.,1.,0.)); #396710=DIRECTION('',(0.,1.,0.)); #396711=DIRECTION('',(0.,1.,0.)); #396712=DIRECTION('',(0.,0.,1.)); #396713=DIRECTION('center_axis',(0.984479063846087,-0.175502059386013,0.)); #396714=DIRECTION('ref_axis',(0.175502059386013,0.984479063846087,0.)); #396715=DIRECTION('',(0.175502059386013,0.984479063846087,0.)); #396716=DIRECTION('',(0.175502059386013,0.984479063846087,0.)); #396717=DIRECTION('',(0.,0.,1.)); #396718=DIRECTION('center_axis',(0.850871695642093,-0.525373540973609,0.)); #396719=DIRECTION('ref_axis',(0.525373540973609,0.850871695642093,0.)); #396720=DIRECTION('',(0.525373540973609,0.850871695642093,0.)); #396721=DIRECTION('',(0.525373540973609,0.850871695642093,0.)); #396722=DIRECTION('',(0.,0.,1.)); #396723=DIRECTION('center_axis',(0.578483828224723,-0.81569385217891,0.)); #396724=DIRECTION('ref_axis',(0.81569385217891,0.578483828224723,0.)); #396725=DIRECTION('',(0.81569385217891,0.578483828224723,0.)); #396726=DIRECTION('',(0.81569385217891,0.578483828224723,0.)); #396727=DIRECTION('center_axis',(0.,0.,1.)); #396728=DIRECTION('ref_axis',(1.,0.,0.)); #396729=DIRECTION('center_axis',(0.,0.,1.)); #396730=DIRECTION('ref_axis',(1.,0.,0.)); #396731=DIRECTION('center_axis',(0.214642857802207,-0.976692604453674,0.)); #396732=DIRECTION('ref_axis',(0.976692604453674,0.214642857802207,0.)); #396733=DIRECTION('',(0.976692604453674,0.214642857802207,0.)); #396734=DIRECTION('',(0.,0.,1.)); #396735=DIRECTION('',(0.976692604453674,0.214642857802207,0.)); #396736=DIRECTION('',(0.,0.,1.)); #396737=DIRECTION('center_axis',(-0.183302952703363,-0.983056472197924, 0.)); #396738=DIRECTION('ref_axis',(0.983056472197924,-0.183302952703363,0.)); #396739=DIRECTION('',(0.983056472197924,-0.183302952703363,0.)); #396740=DIRECTION('',(0.983056472197924,-0.183302952703363,0.)); #396741=DIRECTION('',(0.,0.,1.)); #396742=DIRECTION('center_axis',(-0.552278225391961,-0.83365985974971,0.)); #396743=DIRECTION('ref_axis',(0.83365985974971,-0.552278225391961,0.)); #396744=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #396745=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #396746=DIRECTION('',(0.,0.,1.)); #396747=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #396748=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #396749=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #396750=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #396751=DIRECTION('',(0.,0.,1.)); #396752=DIRECTION('center_axis',(-0.829214556504256,-0.558930424365547, 0.)); #396753=DIRECTION('ref_axis',(0.558930424365547,-0.829214556504256,0.)); #396754=DIRECTION('',(0.558930424365547,-0.829214556504256,0.)); #396755=DIRECTION('',(0.558930424365547,-0.829214556504256,0.)); #396756=DIRECTION('',(0.,0.,1.)); #396757=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #396758=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #396759=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #396760=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #396761=DIRECTION('',(0.,0.,1.)); #396762=DIRECTION('center_axis',(-1.,0.,0.)); #396763=DIRECTION('ref_axis',(0.,-1.,0.)); #396764=DIRECTION('',(0.,-1.,0.)); #396765=DIRECTION('',(0.,-1.,0.)); #396766=DIRECTION('',(0.,0.,1.)); #396767=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #396768=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #396769=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #396770=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #396771=DIRECTION('',(0.,0.,1.)); #396772=DIRECTION('center_axis',(-0.833659859749822,0.552278225391792,0.)); #396773=DIRECTION('ref_axis',(-0.552278225391792,-0.833659859749822,0.)); #396774=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #396775=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #396776=DIRECTION('',(0.,0.,1.)); #396777=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #396778=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #396779=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #396780=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #396781=DIRECTION('',(0.,0.,1.)); #396782=DIRECTION('center_axis',(-0.56543933110129,0.824789890119736,0.)); #396783=DIRECTION('ref_axis',(-0.824789890119736,-0.56543933110129,0.)); #396784=DIRECTION('',(-0.824789890119736,-0.56543933110129,0.)); #396785=DIRECTION('',(-0.824789890119736,-0.56543933110129,0.)); #396786=DIRECTION('',(0.,0.,1.)); #396787=DIRECTION('center_axis',(-0.214674314516579,0.976685690837557,0.)); #396788=DIRECTION('ref_axis',(-0.976685690837557,-0.214674314516579,0.)); #396789=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #396790=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #396791=DIRECTION('',(0.,0.,1.)); #396792=DIRECTION('center_axis',(0.183334818573646,0.98305052988062,0.)); #396793=DIRECTION('ref_axis',(-0.98305052988062,0.183334818573646,0.)); #396794=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #396795=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #396796=DIRECTION('',(0.,0.,1.)); #396797=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #396798=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #396799=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #396800=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #396801=DIRECTION('',(0.,0.,1.)); #396802=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #396803=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #396804=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #396805=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #396806=DIRECTION('',(0.,0.,1.)); #396807=DIRECTION('center_axis',(0.829203833807412,0.558946331948864,0.)); #396808=DIRECTION('ref_axis',(-0.558946331948864,0.829203833807412,0.)); #396809=DIRECTION('',(-0.558946331948864,0.829203833807412,0.)); #396810=DIRECTION('',(-0.558946331948864,0.829203833807412,0.)); #396811=DIRECTION('',(0.,0.,1.)); #396812=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #396813=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #396814=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #396815=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #396816=DIRECTION('',(0.,0.,1.)); #396817=DIRECTION('center_axis',(1.,0.,0.)); #396818=DIRECTION('ref_axis',(0.,1.,0.)); #396819=DIRECTION('',(0.,1.,0.)); #396820=DIRECTION('',(0.,1.,0.)); #396821=DIRECTION('',(0.,0.,1.)); #396822=DIRECTION('center_axis',(0.984480215387564,-0.175495599689726,0.)); #396823=DIRECTION('ref_axis',(0.175495599689726,0.984480215387564,0.)); #396824=DIRECTION('',(0.175495599689726,0.984480215387564,0.)); #396825=DIRECTION('',(0.175495599689726,0.984480215387564,0.)); #396826=DIRECTION('',(0.,0.,1.)); #396827=DIRECTION('center_axis',(0.850871695642159,-0.525373540973504,0.)); #396828=DIRECTION('ref_axis',(0.525373540973504,0.850871695642159,0.)); #396829=DIRECTION('',(0.525373540973504,0.850871695642159,0.)); #396830=DIRECTION('',(0.525373540973504,0.850871695642159,0.)); #396831=DIRECTION('',(0.,0.,1.)); #396832=DIRECTION('center_axis',(0.578483828224751,-0.81569385217889,0.)); #396833=DIRECTION('ref_axis',(0.81569385217889,0.578483828224751,0.)); #396834=DIRECTION('',(0.81569385217889,0.578483828224751,0.)); #396835=DIRECTION('',(0.81569385217889,0.578483828224751,0.)); #396836=DIRECTION('center_axis',(0.,0.,1.)); #396837=DIRECTION('ref_axis',(1.,0.,0.)); #396838=DIRECTION('center_axis',(0.,0.,1.)); #396839=DIRECTION('ref_axis',(1.,0.,0.)); #396840=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #396841=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #396842=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #396843=DIRECTION('',(0.,0.,1.)); #396844=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #396845=DIRECTION('',(0.,0.,1.)); #396846=DIRECTION('center_axis',(0.,-1.,0.)); #396847=DIRECTION('ref_axis',(1.,0.,0.)); #396848=DIRECTION('',(1.,0.,0.)); #396849=DIRECTION('',(1.,0.,0.)); #396850=DIRECTION('',(0.,0.,1.)); #396851=DIRECTION('center_axis',(-0.191149856928945,-0.981560865252911, 0.)); #396852=DIRECTION('ref_axis',(0.981560865252911,-0.191149856928945,0.)); #396853=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #396854=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #396855=DIRECTION('',(0.,0.,1.)); #396856=DIRECTION('center_axis',(-0.552278225391961,-0.83365985974971,0.)); #396857=DIRECTION('ref_axis',(0.83365985974971,-0.552278225391961,0.)); #396858=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #396859=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #396860=DIRECTION('',(0.,0.,1.)); #396861=DIRECTION('center_axis',(-0.707106781186536,-0.707106781186559, 0.)); #396862=DIRECTION('ref_axis',(0.707106781186559,-0.707106781186536,0.)); #396863=DIRECTION('',(0.707106781186559,-0.707106781186536,0.)); #396864=DIRECTION('',(0.707106781186559,-0.707106781186536,0.)); #396865=DIRECTION('',(0.,0.,1.)); #396866=DIRECTION('center_axis',(-0.820212632122596,-0.572058771549325, 0.)); #396867=DIRECTION('ref_axis',(0.572058771549325,-0.820212632122596,0.)); #396868=DIRECTION('',(0.572058771549325,-0.820212632122596,0.)); #396869=DIRECTION('',(0.572058771549325,-0.820212632122596,0.)); #396870=DIRECTION('',(0.,0.,1.)); #396871=DIRECTION('center_axis',(-0.97315145688639,-0.230165683714788,0.)); #396872=DIRECTION('ref_axis',(0.230165683714788,-0.97315145688639,0.)); #396873=DIRECTION('',(0.230165683714788,-0.97315145688639,0.)); #396874=DIRECTION('',(0.230165683714788,-0.97315145688639,0.)); #396875=DIRECTION('',(0.,0.,1.)); #396876=DIRECTION('center_axis',(-0.985847618607373,0.167643887112447,0.)); #396877=DIRECTION('ref_axis',(-0.167643887112447,-0.985847618607373,0.)); #396878=DIRECTION('',(-0.167643887112447,-0.985847618607373,0.)); #396879=DIRECTION('',(-0.167643887112447,-0.985847618607373,0.)); #396880=DIRECTION('',(0.,0.,1.)); #396881=DIRECTION('center_axis',(-0.842362410729287,0.538911466746018,0.)); #396882=DIRECTION('ref_axis',(-0.538911466746018,-0.842362410729287,0.)); #396883=DIRECTION('',(-0.538911466746018,-0.842362410729287,0.)); #396884=DIRECTION('',(-0.538911466746018,-0.842362410729287,0.)); #396885=DIRECTION('',(0.,0.,1.)); #396886=DIRECTION('center_axis',(-0.565504004715031,0.82474554903392,0.)); #396887=DIRECTION('ref_axis',(-0.82474554903392,-0.565504004715031,0.)); #396888=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #396889=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #396890=DIRECTION('',(0.,0.,1.)); #396891=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #396892=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #396893=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #396894=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #396895=DIRECTION('',(0.,0.,1.)); #396896=DIRECTION('center_axis',(0.,1.,0.)); #396897=DIRECTION('ref_axis',(-1.,0.,0.)); #396898=DIRECTION('',(-1.,0.,0.)); #396899=DIRECTION('',(-1.,0.,0.)); #396900=DIRECTION('',(0.,0.,1.)); #396901=DIRECTION('center_axis',(0.191149856928917,0.981560865252917,0.)); #396902=DIRECTION('ref_axis',(-0.981560865252917,0.191149856928917,0.)); #396903=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #396904=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #396905=DIRECTION('',(0.,0.,1.)); #396906=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #396907=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #396908=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #396909=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #396910=DIRECTION('',(0.,0.,1.)); #396911=DIRECTION('center_axis',(0.707106781186559,0.707106781186536,0.)); #396912=DIRECTION('ref_axis',(-0.707106781186536,0.707106781186559,0.)); #396913=DIRECTION('',(-0.707106781186536,0.707106781186559,0.)); #396914=DIRECTION('',(-0.707106781186536,0.707106781186559,0.)); #396915=DIRECTION('',(0.,0.,1.)); #396916=DIRECTION('center_axis',(0.820212632122518,0.572058771549436,0.)); #396917=DIRECTION('ref_axis',(-0.572058771549436,0.820212632122518,0.)); #396918=DIRECTION('',(-0.572058771549436,0.820212632122518,0.)); #396919=DIRECTION('',(-0.572058771549436,0.820212632122518,0.)); #396920=DIRECTION('',(0.,0.,1.)); #396921=DIRECTION('center_axis',(0.973144071552987,0.230196907019351,0.)); #396922=DIRECTION('ref_axis',(-0.230196907019351,0.973144071552987,0.)); #396923=DIRECTION('',(-0.230196907019351,0.973144071552987,0.)); #396924=DIRECTION('',(-0.230196907019351,0.973144071552987,0.)); #396925=DIRECTION('',(0.,0.,1.)); #396926=DIRECTION('center_axis',(0.985842168327529,-0.167675934908011,0.)); #396927=DIRECTION('ref_axis',(0.167675934908011,0.985842168327529,0.)); #396928=DIRECTION('',(0.167675934908011,0.985842168327529,0.)); #396929=DIRECTION('',(0.167675934908011,0.985842168327529,0.)); #396930=DIRECTION('',(0.,0.,1.)); #396931=DIRECTION('center_axis',(0.842377379073538,-0.538888069291942,0.)); #396932=DIRECTION('ref_axis',(0.538888069291942,0.842377379073538,0.)); #396933=DIRECTION('',(0.538888069291942,0.842377379073538,0.)); #396934=DIRECTION('',(0.538888069291942,0.842377379073538,0.)); #396935=DIRECTION('',(0.,0.,1.)); #396936=DIRECTION('center_axis',(0.565488626065756,-0.824756093515085,0.)); #396937=DIRECTION('ref_axis',(0.824756093515085,0.565488626065756,0.)); #396938=DIRECTION('',(0.824756093515085,0.565488626065756,0.)); #396939=DIRECTION('',(0.824756093515085,0.565488626065756,0.)); #396940=DIRECTION('center_axis',(0.,0.,1.)); #396941=DIRECTION('ref_axis',(1.,0.,0.)); #396942=DIRECTION('center_axis',(0.,0.,1.)); #396943=DIRECTION('ref_axis',(1.,0.,0.)); #396944=DIRECTION('center_axis',(0.230165683714756,-0.973151456886398,0.)); #396945=DIRECTION('ref_axis',(0.973151456886398,0.230165683714756,0.)); #396946=DIRECTION('',(0.973151456886398,0.230165683714756,0.)); #396947=DIRECTION('',(0.,0.,1.)); #396948=DIRECTION('',(0.973151456886398,0.230165683714756,0.)); #396949=DIRECTION('',(0.,0.,1.)); #396950=DIRECTION('center_axis',(-0.167675934907869,-0.985842168327553, 0.)); #396951=DIRECTION('ref_axis',(0.985842168327553,-0.167675934907869,0.)); #396952=DIRECTION('',(0.985842168327553,-0.167675934907869,0.)); #396953=DIRECTION('',(0.985842168327553,-0.167675934907869,0.)); #396954=DIRECTION('',(0.,0.,1.)); #396955=DIRECTION('center_axis',(-0.538888069292009,-0.842377379073495, 0.)); #396956=DIRECTION('ref_axis',(0.842377379073495,-0.538888069292009,0.)); #396957=DIRECTION('',(0.842377379073495,-0.538888069292009,0.)); #396958=DIRECTION('',(0.842377379073495,-0.538888069292009,0.)); #396959=DIRECTION('',(0.,0.,1.)); #396960=DIRECTION('center_axis',(-0.824756093514948,-0.565488626065955, 0.)); #396961=DIRECTION('ref_axis',(0.565488626065955,-0.824756093514948,0.)); #396962=DIRECTION('',(0.565488626065955,-0.824756093514948,0.)); #396963=DIRECTION('',(0.565488626065955,-0.824756093514948,0.)); #396964=DIRECTION('',(0.,0.,1.)); #396965=DIRECTION('center_axis',(-0.980008419561376,-0.19895601923243,0.)); #396966=DIRECTION('ref_axis',(0.19895601923243,-0.980008419561375,0.)); #396967=DIRECTION('',(0.19895601923243,-0.980008419561375,0.)); #396968=DIRECTION('',(0.19895601923243,-0.980008419561375,0.)); #396969=DIRECTION('',(0.,0.,1.)); #396970=DIRECTION('center_axis',(-1.,0.,0.)); #396971=DIRECTION('ref_axis',(0.,-1.,0.)); #396972=DIRECTION('',(0.,-1.,0.)); #396973=DIRECTION('',(0.,-1.,0.)); #396974=DIRECTION('',(0.,0.,1.)); #396975=DIRECTION('center_axis',(-0.981560865252974,0.191149856928623,0.)); #396976=DIRECTION('ref_axis',(-0.191149856928623,-0.981560865252974,0.)); #396977=DIRECTION('',(-0.191149856928623,-0.981560865252974,0.)); #396978=DIRECTION('',(-0.191149856928623,-0.981560865252974,0.)); #396979=DIRECTION('',(0.,0.,1.)); #396980=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #396981=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #396982=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #396983=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #396984=DIRECTION('',(0.,0.,1.)); #396985=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #396986=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #396987=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #396988=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #396989=DIRECTION('',(0.,0.,1.)); #396990=DIRECTION('center_axis',(-0.565439331101364,0.824789890119685,0.)); #396991=DIRECTION('ref_axis',(-0.824789890119685,-0.565439331101364,0.)); #396992=DIRECTION('',(-0.824789890119685,-0.565439331101364,0.)); #396993=DIRECTION('',(-0.824789890119685,-0.565439331101364,0.)); #396994=DIRECTION('',(0.,0.,1.)); #396995=DIRECTION('center_axis',(-0.214674314516549,0.976685690837564,0.)); #396996=DIRECTION('ref_axis',(-0.976685690837564,-0.214674314516549,0.)); #396997=DIRECTION('',(-0.976685690837564,-0.214674314516549,0.)); #396998=DIRECTION('',(-0.976685690837564,-0.214674314516549,0.)); #396999=DIRECTION('',(0.,0.,1.)); #397000=DIRECTION('center_axis',(0.183334818573699,0.98305052988061,0.)); #397001=DIRECTION('ref_axis',(-0.98305052988061,0.183334818573699,0.)); #397002=DIRECTION('',(-0.98305052988061,0.183334818573699,0.)); #397003=DIRECTION('',(-0.98305052988061,0.183334818573699,0.)); #397004=DIRECTION('',(0.,0.,1.)); #397005=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #397006=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #397007=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #397008=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #397009=DIRECTION('',(0.,0.,1.)); #397010=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #397011=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #397012=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #397013=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #397014=DIRECTION('',(0.,0.,1.)); #397015=DIRECTION('center_axis',(0.829203833807271,0.558946331949074,0.)); #397016=DIRECTION('ref_axis',(-0.558946331949074,0.829203833807271,0.)); #397017=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #397018=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #397019=DIRECTION('',(0.,0.,1.)); #397020=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #397021=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #397022=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #397023=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #397024=DIRECTION('',(0.,0.,1.)); #397025=DIRECTION('center_axis',(1.,0.,0.)); #397026=DIRECTION('ref_axis',(0.,1.,0.)); #397027=DIRECTION('',(0.,1.,0.)); #397028=DIRECTION('',(0.,1.,0.)); #397029=DIRECTION('',(0.,0.,1.)); #397030=DIRECTION('center_axis',(0.981560865252911,-0.191149856928945,0.)); #397031=DIRECTION('ref_axis',(0.191149856928945,0.981560865252911,0.)); #397032=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #397033=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #397034=DIRECTION('',(0.,0.,1.)); #397035=DIRECTION('center_axis',(0.833669916115057,-0.552263045083332,0.)); #397036=DIRECTION('ref_axis',(0.552263045083332,0.833669916115057,0.)); #397037=DIRECTION('',(0.552263045083332,0.833669916115057,0.)); #397038=DIRECTION('',(0.552263045083332,0.833669916115057,0.)); #397039=DIRECTION('',(0.,0.,1.)); #397040=DIRECTION('center_axis',(0.707106781186559,-0.707106781186536,0.)); #397041=DIRECTION('ref_axis',(0.707106781186536,0.707106781186559,0.)); #397042=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #397043=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #397044=DIRECTION('',(0.,0.,1.)); #397045=DIRECTION('center_axis',(0.572058771549325,-0.820212632122596,0.)); #397046=DIRECTION('ref_axis',(0.820212632122596,0.572058771549325,0.)); #397047=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #397048=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #397049=DIRECTION('center_axis',(0.,0.,1.)); #397050=DIRECTION('ref_axis',(1.,0.,0.)); #397051=DIRECTION('center_axis',(0.,0.,1.)); #397052=DIRECTION('ref_axis',(1.,0.,0.)); #397053=DIRECTION('center_axis',(0.,0.,1.)); #397054=DIRECTION('ref_axis',(1.,0.,0.)); #397055=DIRECTION('center_axis',(0.,0.,1.)); #397056=DIRECTION('ref_axis',(1.,0.,0.)); #397057=DIRECTION('',(0.,0.,1.)); #397058=DIRECTION('center_axis',(0.,0.,-1.)); #397059=DIRECTION('ref_axis',(1.,0.,0.)); #397060=DIRECTION('center_axis',(0.,0.,1.)); #397061=DIRECTION('ref_axis',(1.,0.,0.)); #397062=DIRECTION('center_axis',(0.,0.,1.)); #397063=DIRECTION('ref_axis',(1.,0.,0.)); #397064=DIRECTION('',(0.,0.,1.)); #397065=DIRECTION('center_axis',(0.,0.,-1.)); #397066=DIRECTION('ref_axis',(1.,0.,0.)); #397067=DIRECTION('center_axis',(0.,0.,1.)); #397068=DIRECTION('ref_axis',(1.,0.,0.)); #397069=DIRECTION('center_axis',(0.,0.,1.)); #397070=DIRECTION('ref_axis',(1.,0.,0.)); #397071=DIRECTION('',(0.,0.,1.)); #397072=DIRECTION('center_axis',(0.,0.,-1.)); #397073=DIRECTION('ref_axis',(1.,0.,0.)); #397074=DIRECTION('center_axis',(0.198956019232542,-0.980008419561353,0.)); #397075=DIRECTION('ref_axis',(0.980008419561353,0.198956019232542,0.)); #397076=DIRECTION('',(0.980008419561353,0.198956019232542,0.)); #397077=DIRECTION('',(0.,0.,1.)); #397078=DIRECTION('',(0.980008419561353,0.198956019232542,0.)); #397079=DIRECTION('',(0.,0.,1.)); #397080=DIRECTION('center_axis',(0.,-1.,0.)); #397081=DIRECTION('ref_axis',(1.,0.,0.)); #397082=DIRECTION('',(1.,0.,0.)); #397083=DIRECTION('',(1.,0.,0.)); #397084=DIRECTION('',(0.,0.,1.)); #397085=DIRECTION('center_axis',(-0.191149856928741,-0.981560865252951, 0.)); #397086=DIRECTION('ref_axis',(0.981560865252951,-0.191149856928741,0.)); #397087=DIRECTION('',(0.981560865252951,-0.191149856928741,0.)); #397088=DIRECTION('',(0.981560865252951,-0.191149856928741,0.)); #397089=DIRECTION('',(0.,0.,1.)); #397090=DIRECTION('center_axis',(-0.552278225391961,-0.83365985974971,0.)); #397091=DIRECTION('ref_axis',(0.83365985974971,-0.552278225391961,0.)); #397092=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #397093=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #397094=DIRECTION('',(0.,0.,1.)); #397095=DIRECTION('center_axis',(-0.707104777838364,-0.707108784529055, 0.)); #397096=DIRECTION('ref_axis',(0.707108784529055,-0.707104777838364,0.)); #397097=DIRECTION('',(0.707108784529055,-0.707104777838364,0.)); #397098=DIRECTION('',(0.707108784529055,-0.707104777838364,0.)); #397099=DIRECTION('',(0.,0.,1.)); #397100=DIRECTION('center_axis',(0.,-1.,0.)); #397101=DIRECTION('ref_axis',(1.,0.,0.)); #397102=DIRECTION('',(1.,0.,0.)); #397103=DIRECTION('',(1.,0.,0.)); #397104=DIRECTION('',(0.,0.,1.)); #397105=DIRECTION('center_axis',(0.707087858650815,-0.707125703215917,0.)); #397106=DIRECTION('ref_axis',(0.707125703215917,0.707087858650815,0.)); #397107=DIRECTION('',(0.707125703215917,0.707087858650815,0.)); #397108=DIRECTION('',(0.707125703215917,0.707087858650815,0.)); #397109=DIRECTION('',(0.,0.,1.)); #397110=DIRECTION('center_axis',(0.572058771549057,-0.820212632122783,0.)); #397111=DIRECTION('ref_axis',(0.820212632122783,0.572058771549057,0.)); #397112=DIRECTION('',(0.820212632122783,0.572058771549057,0.)); #397113=DIRECTION('',(0.820212632122783,0.572058771549057,0.)); #397114=DIRECTION('',(0.,0.,1.)); #397115=DIRECTION('center_axis',(0.230196907019351,-0.973144071552987,0.)); #397116=DIRECTION('ref_axis',(0.973144071552987,0.230196907019351,0.)); #397117=DIRECTION('',(0.973144071552987,0.230196907019351,0.)); #397118=DIRECTION('',(0.973144071552987,0.230196907019351,0.)); #397119=DIRECTION('',(0.,0.,1.)); #397120=DIRECTION('center_axis',(-0.167675934908035,-0.985842168327525, 0.)); #397121=DIRECTION('ref_axis',(0.985842168327525,-0.167675934908035,0.)); #397122=DIRECTION('',(0.985842168327525,-0.167675934908035,0.)); #397123=DIRECTION('',(0.985842168327525,-0.167675934908035,0.)); #397124=DIRECTION('',(0.,0.,1.)); #397125=DIRECTION('center_axis',(-0.538911466745847,-0.842362410729396, 0.)); #397126=DIRECTION('ref_axis',(0.842362410729396,-0.538911466745847,0.)); #397127=DIRECTION('',(0.842362410729396,-0.538911466745847,0.)); #397128=DIRECTION('',(0.842362410729396,-0.538911466745847,0.)); #397129=DIRECTION('',(0.,0.,1.)); #397130=DIRECTION('center_axis',(-0.824745549033945,-0.565504004714994, 0.)); #397131=DIRECTION('ref_axis',(0.565504004714994,-0.824745549033945,0.)); #397132=DIRECTION('',(0.565504004714994,-0.824745549033945,0.)); #397133=DIRECTION('',(0.565504004714994,-0.824745549033945,0.)); #397134=DIRECTION('',(0.,0.,1.)); #397135=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #397136=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #397137=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #397138=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #397139=DIRECTION('',(0.,0.,1.)); #397140=DIRECTION('center_axis',(-1.,0.,0.)); #397141=DIRECTION('ref_axis',(0.,-1.,0.)); #397142=DIRECTION('',(0.,-1.,0.)); #397143=DIRECTION('',(0.,-1.,0.)); #397144=DIRECTION('',(0.,0.,1.)); #397145=DIRECTION('center_axis',(-0.98156086525294,0.191149856928798,0.)); #397146=DIRECTION('ref_axis',(-0.191149856928798,-0.98156086525294,0.)); #397147=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #397148=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #397149=DIRECTION('',(0.,0.,1.)); #397150=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #397151=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #397152=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #397153=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #397154=DIRECTION('',(0.,0.,1.)); #397155=DIRECTION('center_axis',(-0.707106781186708,0.707106781186387,0.)); #397156=DIRECTION('ref_axis',(-0.707106781186387,-0.707106781186708,0.)); #397157=DIRECTION('',(-0.707106781186387,-0.707106781186708,0.)); #397158=DIRECTION('',(-0.707106781186387,-0.707106781186708,0.)); #397159=DIRECTION('',(0.,0.,1.)); #397160=DIRECTION('center_axis',(-0.558946331948898,0.829203833807389,0.)); #397161=DIRECTION('ref_axis',(-0.829203833807389,-0.558946331948898,0.)); #397162=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #397163=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #397164=DIRECTION('',(0.,0.,1.)); #397165=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #397166=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #397167=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #397168=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #397169=DIRECTION('',(0.,0.,1.)); #397170=DIRECTION('center_axis',(0.,1.,0.)); #397171=DIRECTION('ref_axis',(-1.,0.,0.)); #397172=DIRECTION('',(-1.,0.,0.)); #397173=DIRECTION('',(-1.,0.,0.)); #397174=DIRECTION('',(0.,0.,1.)); #397175=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #397176=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #397177=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #397178=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #397179=DIRECTION('',(0.,0.,1.)); #397180=DIRECTION('center_axis',(-1.,0.,0.)); #397181=DIRECTION('ref_axis',(0.,-1.,0.)); #397182=DIRECTION('',(0.,-1.,0.)); #397183=DIRECTION('',(0.,-1.,0.)); #397184=DIRECTION('',(0.,0.,1.)); #397185=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #397186=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #397187=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #397188=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #397189=DIRECTION('',(0.,0.,1.)); #397190=DIRECTION('center_axis',(0.,-1.,0.)); #397191=DIRECTION('ref_axis',(1.,0.,0.)); #397192=DIRECTION('',(1.,0.,0.)); #397193=DIRECTION('',(1.,0.,0.)); #397194=DIRECTION('',(0.,0.,1.)); #397195=DIRECTION('center_axis',(-0.175502059386013,-0.984479063846087, 0.)); #397196=DIRECTION('ref_axis',(0.984479063846087,-0.175502059386013,0.)); #397197=DIRECTION('',(0.984479063846087,-0.175502059386013,0.)); #397198=DIRECTION('',(0.984479063846087,-0.175502059386013,0.)); #397199=DIRECTION('',(0.,0.,1.)); #397200=DIRECTION('center_axis',(-0.525373540973569,-0.850871695642118, 0.)); #397201=DIRECTION('ref_axis',(0.850871695642118,-0.525373540973569,0.)); #397202=DIRECTION('',(0.850871695642118,-0.525373540973569,0.)); #397203=DIRECTION('',(0.850871695642118,-0.525373540973569,0.)); #397204=DIRECTION('',(0.,0.,1.)); #397205=DIRECTION('center_axis',(-0.81569385217889,-0.578483828224751,0.)); #397206=DIRECTION('ref_axis',(0.578483828224751,-0.81569385217889,0.)); #397207=DIRECTION('',(0.578483828224751,-0.81569385217889,0.)); #397208=DIRECTION('',(0.578483828224751,-0.81569385217889,0.)); #397209=DIRECTION('',(0.,0.,1.)); #397210=DIRECTION('center_axis',(-0.976692604453637,-0.214642857802378, 0.)); #397211=DIRECTION('ref_axis',(0.214642857802378,-0.976692604453637,0.)); #397212=DIRECTION('',(0.214642857802378,-0.976692604453637,0.)); #397213=DIRECTION('',(0.214642857802378,-0.976692604453637,0.)); #397214=DIRECTION('',(0.,0.,1.)); #397215=DIRECTION('center_axis',(-0.983056472197929,0.183302952703337,0.)); #397216=DIRECTION('ref_axis',(-0.183302952703337,-0.983056472197929,0.)); #397217=DIRECTION('',(-0.183302952703337,-0.983056472197929,0.)); #397218=DIRECTION('',(-0.183302952703337,-0.983056472197929,0.)); #397219=DIRECTION('',(0.,0.,1.)); #397220=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #397221=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #397222=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #397223=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #397224=DIRECTION('',(0.,0.,1.)); #397225=DIRECTION('center_axis',(-0.707106781186547,0.707106781186548,0.)); #397226=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,0.)); #397227=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #397228=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #397229=DIRECTION('',(0.,0.,1.)); #397230=DIRECTION('center_axis',(-0.558946331948864,0.829203833807412,0.)); #397231=DIRECTION('ref_axis',(-0.829203833807412,-0.558946331948864,0.)); #397232=DIRECTION('',(-0.829203833807412,-0.558946331948864,0.)); #397233=DIRECTION('',(-0.829203833807412,-0.558946331948864,0.)); #397234=DIRECTION('',(0.,0.,1.)); #397235=DIRECTION('center_axis',(-0.198846786199896,0.980030589123612,0.)); #397236=DIRECTION('ref_axis',(-0.980030589123612,-0.198846786199896,0.)); #397237=DIRECTION('',(-0.980030589123612,-0.198846786199896,0.)); #397238=DIRECTION('',(-0.980030589123612,-0.198846786199896,0.)); #397239=DIRECTION('',(0.,0.,1.)); #397240=DIRECTION('center_axis',(-0.707102906342199,0.707110656009662,0.)); #397241=DIRECTION('ref_axis',(-0.707110656009662,-0.707102906342199,0.)); #397242=DIRECTION('',(-0.707110656009662,-0.707102906342199,0.)); #397243=DIRECTION('',(-0.707110656009662,-0.707102906342199,0.)); #397244=DIRECTION('',(0.,0.,1.)); #397245=DIRECTION('center_axis',(-0.565439331101256,0.824789890119759,0.)); #397246=DIRECTION('ref_axis',(-0.824789890119759,-0.565439331101256,0.)); #397247=DIRECTION('',(-0.824789890119759,-0.565439331101256,0.)); #397248=DIRECTION('',(-0.824789890119759,-0.565439331101256,0.)); #397249=DIRECTION('',(0.,0.,1.)); #397250=DIRECTION('center_axis',(-0.214667400660304,0.976687210469016,0.)); #397251=DIRECTION('ref_axis',(-0.976687210469016,-0.214667400660304,0.)); #397252=DIRECTION('',(-0.976687210469016,-0.214667400660304,0.)); #397253=DIRECTION('',(-0.976687210469016,-0.214667400660304,0.)); #397254=DIRECTION('',(0.,0.,1.)); #397255=DIRECTION('center_axis',(0.183340761560929,0.983049421519721,0.)); #397256=DIRECTION('ref_axis',(-0.983049421519721,0.183340761560929,0.)); #397257=DIRECTION('',(-0.983049421519721,0.183340761560929,0.)); #397258=DIRECTION('',(-0.983049421519721,0.183340761560929,0.)); #397259=DIRECTION('',(0.,0.,1.)); #397260=DIRECTION('center_axis',(0.552263045083061,0.833669916115236,0.)); #397261=DIRECTION('ref_axis',(-0.833669916115236,0.552263045083061,0.)); #397262=DIRECTION('',(-0.833669916115236,0.552263045083061,0.)); #397263=DIRECTION('',(-0.833669916115236,0.552263045083061,0.)); #397264=DIRECTION('',(0.,0.,1.)); #397265=DIRECTION('center_axis',(0.707106781186551,0.707106781186544,0.)); #397266=DIRECTION('ref_axis',(-0.707106781186544,0.707106781186551,0.)); #397267=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #397268=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #397269=DIRECTION('',(0.,0.,1.)); #397270=DIRECTION('center_axis',(0.829214556504162,0.558930424365686,0.)); #397271=DIRECTION('ref_axis',(-0.558930424365686,0.829214556504162,0.)); #397272=DIRECTION('',(-0.558930424365686,0.829214556504162,0.)); #397273=DIRECTION('',(-0.558930424365686,0.829214556504162,0.)); #397274=DIRECTION('',(0.,0.,1.)); #397275=DIRECTION('center_axis',(0.980008419561313,0.19895601923274,0.)); #397276=DIRECTION('ref_axis',(-0.19895601923274,0.980008419561313,0.)); #397277=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #397278=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #397279=DIRECTION('',(0.,0.,1.)); #397280=DIRECTION('center_axis',(1.,0.,0.)); #397281=DIRECTION('ref_axis',(0.,1.,0.)); #397282=DIRECTION('',(0.,1.,0.)); #397283=DIRECTION('',(0.,1.,0.)); #397284=DIRECTION('',(0.,0.,1.)); #397285=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #397286=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #397287=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #397288=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #397289=DIRECTION('',(0.,0.,1.)); #397290=DIRECTION('center_axis',(0.,1.,0.)); #397291=DIRECTION('ref_axis',(-1.,0.,0.)); #397292=DIRECTION('',(-1.,0.,0.)); #397293=DIRECTION('',(-1.,0.,0.)); #397294=DIRECTION('',(0.,0.,1.)); #397295=DIRECTION('center_axis',(-0.707106781186512,0.707106781186583,0.)); #397296=DIRECTION('ref_axis',(-0.707106781186583,-0.707106781186512,0.)); #397297=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #397298=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #397299=DIRECTION('',(0.,0.,1.)); #397300=DIRECTION('center_axis',(-1.,0.,0.)); #397301=DIRECTION('ref_axis',(0.,-1.,0.)); #397302=DIRECTION('',(0.,-1.,0.)); #397303=DIRECTION('',(0.,-1.,0.)); #397304=DIRECTION('',(0.,0.,1.)); #397305=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #397306=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #397307=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #397308=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #397309=DIRECTION('',(0.,0.,1.)); #397310=DIRECTION('center_axis',(-0.842362410729263,0.538911466746055,0.)); #397311=DIRECTION('ref_axis',(-0.538911466746055,-0.842362410729263,0.)); #397312=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #397313=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #397314=DIRECTION('',(0.,0.,1.)); #397315=DIRECTION('center_axis',(-0.565504004715099,0.824745549033873,0.)); #397316=DIRECTION('ref_axis',(-0.824745549033873,-0.565504004715099,0.)); #397317=DIRECTION('',(-0.824745549033873,-0.565504004715099,0.)); #397318=DIRECTION('',(-0.824745549033873,-0.565504004715099,0.)); #397319=DIRECTION('',(0.,0.,1.)); #397320=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #397321=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #397322=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #397323=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #397324=DIRECTION('',(0.,0.,1.)); #397325=DIRECTION('center_axis',(0.,1.,0.)); #397326=DIRECTION('ref_axis',(-1.,0.,0.)); #397327=DIRECTION('',(-1.,0.,0.)); #397328=DIRECTION('',(-1.,0.,0.)); #397329=DIRECTION('',(0.,0.,1.)); #397330=DIRECTION('center_axis',(0.191149856928974,0.981560865252906,0.)); #397331=DIRECTION('ref_axis',(-0.981560865252906,0.191149856928974,0.)); #397332=DIRECTION('',(-0.981560865252906,0.191149856928974,0.)); #397333=DIRECTION('',(-0.981560865252906,0.191149856928974,0.)); #397334=DIRECTION('',(0.,0.,1.)); #397335=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #397336=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #397337=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #397338=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #397339=DIRECTION('',(0.,0.,1.)); #397340=DIRECTION('center_axis',(0.707106781186531,0.707106781186564,0.)); #397341=DIRECTION('ref_axis',(-0.707106781186564,0.707106781186531,0.)); #397342=DIRECTION('',(-0.707106781186564,0.707106781186531,0.)); #397343=DIRECTION('',(-0.707106781186564,0.707106781186531,0.)); #397344=DIRECTION('',(0.,0.,1.)); #397345=DIRECTION('center_axis',(0.829203833807271,0.558946331949074,0.)); #397346=DIRECTION('ref_axis',(-0.558946331949074,0.829203833807271,0.)); #397347=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #397348=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #397349=DIRECTION('',(0.,0.,1.)); #397350=DIRECTION('center_axis',(0.980008419561381,0.198956019232402,0.)); #397351=DIRECTION('ref_axis',(-0.198956019232402,0.980008419561381,0.)); #397352=DIRECTION('',(-0.198956019232402,0.980008419561381,0.)); #397353=DIRECTION('',(-0.198956019232402,0.980008419561381,0.)); #397354=DIRECTION('',(0.,0.,1.)); #397355=DIRECTION('center_axis',(1.,0.,0.)); #397356=DIRECTION('ref_axis',(0.,1.,0.)); #397357=DIRECTION('',(0.,1.,0.)); #397358=DIRECTION('',(0.,1.,0.)); #397359=DIRECTION('',(0.,0.,1.)); #397360=DIRECTION('center_axis',(0.707106781186512,0.707106781186583,0.)); #397361=DIRECTION('ref_axis',(-0.707106781186583,0.707106781186512,0.)); #397362=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #397363=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #397364=DIRECTION('',(0.,0.,1.)); #397365=DIRECTION('center_axis',(0.,1.,0.)); #397366=DIRECTION('ref_axis',(-1.,0.,0.)); #397367=DIRECTION('',(-1.,0.,0.)); #397368=DIRECTION('',(-1.,0.,0.)); #397369=DIRECTION('',(0.,0.,1.)); #397370=DIRECTION('center_axis',(0.183305290257358,0.983056036329398,0.)); #397371=DIRECTION('ref_axis',(-0.983056036329397,0.183305290257358,0.)); #397372=DIRECTION('',(-0.983056036329397,0.183305290257358,0.)); #397373=DIRECTION('',(-0.983056036329397,0.183305290257358,0.)); #397374=DIRECTION('',(0.,0.,1.)); #397375=DIRECTION('center_axis',(0.53891146674598,0.842362410729311,0.)); #397376=DIRECTION('ref_axis',(-0.842362410729311,0.53891146674598,0.)); #397377=DIRECTION('',(-0.842362410729311,0.53891146674598,0.)); #397378=DIRECTION('',(-0.842362410729311,0.53891146674598,0.)); #397379=DIRECTION('',(0.,0.,1.)); #397380=DIRECTION('center_axis',(0.82474554903406,0.565504004714826,0.)); #397381=DIRECTION('ref_axis',(-0.565504004714826,0.82474554903406,0.)); #397382=DIRECTION('',(-0.565504004714826,0.82474554903406,0.)); #397383=DIRECTION('',(-0.565504004714826,0.82474554903406,0.)); #397384=DIRECTION('',(0.,0.,1.)); #397385=DIRECTION('center_axis',(0.980008419561313,0.19895601923274,0.)); #397386=DIRECTION('ref_axis',(-0.19895601923274,0.980008419561313,0.)); #397387=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #397388=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #397389=DIRECTION('',(0.,0.,1.)); #397390=DIRECTION('center_axis',(1.,0.,0.)); #397391=DIRECTION('ref_axis',(0.,1.,0.)); #397392=DIRECTION('',(0.,1.,0.)); #397393=DIRECTION('',(0.,1.,0.)); #397394=DIRECTION('',(0.,0.,1.)); #397395=DIRECTION('center_axis',(0.983056036329376,-0.183305290257473,0.)); #397396=DIRECTION('ref_axis',(0.183305290257473,0.983056036329376,0.)); #397397=DIRECTION('',(0.183305290257473,0.983056036329376,0.)); #397398=DIRECTION('',(0.183305290257473,0.983056036329376,0.)); #397399=DIRECTION('',(0.,0.,1.)); #397400=DIRECTION('center_axis',(0.842362410729396,-0.538911466745847,0.)); #397401=DIRECTION('ref_axis',(0.538911466745847,0.842362410729396,0.)); #397402=DIRECTION('',(0.538911466745847,0.842362410729396,0.)); #397403=DIRECTION('',(0.538911466745847,0.842362410729396,0.)); #397404=DIRECTION('',(0.,0.,1.)); #397405=DIRECTION('center_axis',(0.565504004715162,-0.82474554903383,0.)); #397406=DIRECTION('ref_axis',(0.82474554903383,0.565504004715162,0.)); #397407=DIRECTION('',(0.82474554903383,0.565504004715162,0.)); #397408=DIRECTION('',(0.82474554903383,0.565504004715162,0.)); #397409=DIRECTION('',(0.,0.,1.)); #397410=DIRECTION('center_axis',(0.198956019232402,-0.980008419561381,0.)); #397411=DIRECTION('ref_axis',(0.980008419561381,0.198956019232402,0.)); #397412=DIRECTION('',(0.980008419561381,0.198956019232402,0.)); #397413=DIRECTION('',(0.980008419561381,0.198956019232402,0.)); #397414=DIRECTION('',(0.,0.,1.)); #397415=DIRECTION('center_axis',(0.,-1.,0.)); #397416=DIRECTION('ref_axis',(1.,0.,0.)); #397417=DIRECTION('',(1.,0.,0.)); #397418=DIRECTION('',(1.,0.,0.)); #397419=DIRECTION('',(0.,0.,1.)); #397420=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #397421=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #397422=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #397423=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #397424=DIRECTION('',(0.,0.,1.)); #397425=DIRECTION('center_axis',(1.,0.,0.)); #397426=DIRECTION('ref_axis',(0.,1.,0.)); #397427=DIRECTION('',(0.,1.,0.)); #397428=DIRECTION('',(0.,1.,0.)); #397429=DIRECTION('',(0.,0.,1.)); #397430=DIRECTION('center_axis',(0.981560865252968,-0.191149856928652,0.)); #397431=DIRECTION('ref_axis',(0.191149856928652,0.981560865252968,0.)); #397432=DIRECTION('',(0.191149856928652,0.981560865252968,0.)); #397433=DIRECTION('',(0.191149856928652,0.981560865252968,0.)); #397434=DIRECTION('',(0.,0.,1.)); #397435=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #397436=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #397437=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #397438=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #397439=DIRECTION('',(0.,0.,1.)); #397440=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #397441=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #397442=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #397443=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #397444=DIRECTION('',(0.,0.,1.)); #397445=DIRECTION('center_axis',(0.558946331948969,-0.829203833807341,0.)); #397446=DIRECTION('ref_axis',(0.829203833807341,0.558946331948969,0.)); #397447=DIRECTION('',(0.829203833807341,0.558946331948969,0.)); #397448=DIRECTION('',(0.829203833807341,0.558946331948969,0.)); #397449=DIRECTION('',(0.,0.,1.)); #397450=DIRECTION('center_axis',(0.19895601923274,-0.980008419561313,0.)); #397451=DIRECTION('ref_axis',(0.980008419561313,0.19895601923274,0.)); #397452=DIRECTION('',(0.980008419561313,0.19895601923274,0.)); #397453=DIRECTION('',(0.980008419561313,0.19895601923274,0.)); #397454=DIRECTION('',(0.,0.,1.)); #397455=DIRECTION('center_axis',(0.,-1.,0.)); #397456=DIRECTION('ref_axis',(1.,0.,0.)); #397457=DIRECTION('',(1.,0.,0.)); #397458=DIRECTION('',(1.,0.,0.)); #397459=DIRECTION('',(0.,0.,1.)); #397460=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #397461=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #397462=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #397463=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #397464=DIRECTION('',(0.,0.,1.)); #397465=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #397466=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #397467=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #397468=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #397469=DIRECTION('',(0.,0.,1.)); #397470=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #397471=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #397472=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #397473=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #397474=DIRECTION('',(0.,0.,1.)); #397475=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #397476=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #397477=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #397478=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #397479=DIRECTION('',(0.,0.,1.)); #397480=DIRECTION('center_axis',(-1.,0.,0.)); #397481=DIRECTION('ref_axis',(0.,-1.,0.)); #397482=DIRECTION('',(0.,-1.,0.)); #397483=DIRECTION('',(0.,-1.,0.)); #397484=DIRECTION('',(0.,0.,1.)); #397485=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #397486=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #397487=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #397488=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #397489=DIRECTION('',(0.,0.,1.)); #397490=DIRECTION('center_axis',(0.,-1.,0.)); #397491=DIRECTION('ref_axis',(1.,0.,0.)); #397492=DIRECTION('',(1.,0.,0.)); #397493=DIRECTION('',(1.,0.,0.)); #397494=DIRECTION('',(0.,0.,1.)); #397495=DIRECTION('center_axis',(0.707106781186583,-0.707106781186512,0.)); #397496=DIRECTION('ref_axis',(0.707106781186512,0.707106781186583,0.)); #397497=DIRECTION('',(0.707106781186512,0.707106781186583,0.)); #397498=DIRECTION('',(0.707106781186512,0.707106781186583,0.)); #397499=DIRECTION('',(0.,0.,1.)); #397500=DIRECTION('center_axis',(1.,0.,0.)); #397501=DIRECTION('ref_axis',(0.,1.,0.)); #397502=DIRECTION('',(0.,1.,0.)); #397503=DIRECTION('',(0.,1.,0.)); #397504=DIRECTION('',(0.,0.,1.)); #397505=DIRECTION('center_axis',(0.981560865252911,-0.191149856928945,0.)); #397506=DIRECTION('ref_axis',(0.191149856928945,0.981560865252911,0.)); #397507=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #397508=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #397509=DIRECTION('',(0.,0.,1.)); #397510=DIRECTION('center_axis',(0.833669916115057,-0.552263045083332,0.)); #397511=DIRECTION('ref_axis',(0.552263045083332,0.833669916115057,0.)); #397512=DIRECTION('',(0.552263045083332,0.833669916115057,0.)); #397513=DIRECTION('',(0.552263045083332,0.833669916115057,0.)); #397514=DIRECTION('',(0.,0.,1.)); #397515=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,0.)); #397516=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.)); #397517=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #397518=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #397519=DIRECTION('',(0.,0.,1.)); #397520=DIRECTION('center_axis',(0.558930424365581,-0.829214556504233,0.)); #397521=DIRECTION('ref_axis',(0.829214556504233,0.558930424365581,0.)); #397522=DIRECTION('',(0.829214556504233,0.558930424365581,0.)); #397523=DIRECTION('',(0.829214556504233,0.558930424365581,0.)); #397524=DIRECTION('center_axis',(0.,0.,1.)); #397525=DIRECTION('ref_axis',(1.,0.,0.)); #397526=DIRECTION('center_axis',(0.,0.,1.)); #397527=DIRECTION('ref_axis',(1.,0.,0.)); #397528=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #397529=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #397530=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #397531=DIRECTION('',(0.,0.,1.)); #397532=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #397533=DIRECTION('',(0.,0.,1.)); #397534=DIRECTION('center_axis',(0.,-1.,0.)); #397535=DIRECTION('ref_axis',(1.,0.,0.)); #397536=DIRECTION('',(1.,0.,0.)); #397537=DIRECTION('',(1.,0.,0.)); #397538=DIRECTION('',(0.,0.,1.)); #397539=DIRECTION('center_axis',(-0.183305290257367,-0.983056036329396, 0.)); #397540=DIRECTION('ref_axis',(0.983056036329396,-0.183305290257367,0.)); #397541=DIRECTION('',(0.983056036329396,-0.183305290257367,0.)); #397542=DIRECTION('',(0.983056036329396,-0.183305290257367,0.)); #397543=DIRECTION('',(0.,0.,1.)); #397544=DIRECTION('center_axis',(-0.538911466746003,-0.842362410729296, 0.)); #397545=DIRECTION('ref_axis',(0.842362410729296,-0.538911466746003,0.)); #397546=DIRECTION('',(0.842362410729296,-0.538911466746003,0.)); #397547=DIRECTION('',(0.842362410729296,-0.538911466746003,0.)); #397548=DIRECTION('',(0.,0.,1.)); #397549=DIRECTION('center_axis',(-0.824745549033875,-0.565504004715097, 0.)); #397550=DIRECTION('ref_axis',(0.565504004715097,-0.824745549033875,0.)); #397551=DIRECTION('',(0.565504004715097,-0.824745549033875,0.)); #397552=DIRECTION('',(0.565504004715097,-0.824745549033875,0.)); #397553=DIRECTION('',(0.,0.,1.)); #397554=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #397555=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #397556=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #397557=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #397558=DIRECTION('',(0.,0.,1.)); #397559=DIRECTION('center_axis',(-1.,0.,0.)); #397560=DIRECTION('ref_axis',(0.,-1.,0.)); #397561=DIRECTION('',(0.,-1.,0.)); #397562=DIRECTION('',(0.,-1.,0.)); #397563=DIRECTION('',(0.,0.,1.)); #397564=DIRECTION('center_axis',(-0.82477623219461,-0.565459253003135,0.)); #397565=DIRECTION('ref_axis',(0.565459253003135,-0.82477623219461,0.)); #397566=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #397567=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #397568=DIRECTION('',(0.,0.,1.)); #397569=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #397570=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #397571=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #397572=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #397573=DIRECTION('',(0.,0.,1.)); #397574=DIRECTION('center_axis',(-0.983056472197872,0.183302952703647,0.)); #397575=DIRECTION('ref_axis',(-0.183302952703647,-0.983056472197872,0.)); #397576=DIRECTION('',(-0.183302952703646,-0.983056472197872,0.)); #397577=DIRECTION('',(-0.183302952703646,-0.983056472197872,0.)); #397578=DIRECTION('',(0.,0.,1.)); #397579=DIRECTION('center_axis',(-0.833664013512907,0.5522719552662,0.)); #397580=DIRECTION('ref_axis',(-0.5522719552662,-0.833664013512907,0.)); #397581=DIRECTION('',(-0.5522719552662,-0.833664013512907,0.)); #397582=DIRECTION('',(-0.5522719552662,-0.833664013512907,0.)); #397583=DIRECTION('',(0.,0.,1.)); #397584=DIRECTION('center_axis',(-1.,0.,0.)); #397585=DIRECTION('ref_axis',(0.,-1.,0.)); #397586=DIRECTION('',(0.,-1.,0.)); #397587=DIRECTION('',(0.,-1.,0.)); #397588=DIRECTION('',(0.,0.,1.)); #397589=DIRECTION('center_axis',(-0.983056036329416,0.183305290257262,0.)); #397590=DIRECTION('ref_axis',(-0.183305290257262,-0.983056036329416,0.)); #397591=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #397592=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #397593=DIRECTION('',(0.,0.,1.)); #397594=DIRECTION('center_axis',(-0.842362410729242,0.538911466746088,0.)); #397595=DIRECTION('ref_axis',(-0.538911466746088,-0.842362410729242,0.)); #397596=DIRECTION('',(-0.538911466746088,-0.842362410729242,0.)); #397597=DIRECTION('',(-0.538911466746088,-0.842362410729242,0.)); #397598=DIRECTION('',(0.,0.,1.)); #397599=DIRECTION('center_axis',(-0.565504004715081,0.824745549033886,0.)); #397600=DIRECTION('ref_axis',(-0.824745549033886,-0.565504004715081,0.)); #397601=DIRECTION('',(-0.824745549033886,-0.565504004715081,0.)); #397602=DIRECTION('',(-0.824745549033886,-0.565504004715081,0.)); #397603=DIRECTION('',(0.,0.,1.)); #397604=DIRECTION('center_axis',(-0.198956019232641,0.980008419561333,0.)); #397605=DIRECTION('ref_axis',(-0.980008419561333,-0.198956019232641,0.)); #397606=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #397607=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #397608=DIRECTION('',(0.,0.,1.)); #397609=DIRECTION('center_axis',(0.,1.,0.)); #397610=DIRECTION('ref_axis',(-1.,0.,0.)); #397611=DIRECTION('',(-1.,0.,0.)); #397612=DIRECTION('',(-1.,0.,0.)); #397613=DIRECTION('',(0.,0.,1.)); #397614=DIRECTION('center_axis',(0.191149856928872,0.981560865252926,0.)); #397615=DIRECTION('ref_axis',(-0.981560865252926,0.191149856928872,0.)); #397616=DIRECTION('',(-0.981560865252926,0.191149856928872,0.)); #397617=DIRECTION('',(-0.981560865252926,0.191149856928872,0.)); #397618=DIRECTION('',(0.,0.,1.)); #397619=DIRECTION('center_axis',(0.552278225391843,0.833659859749789,0.)); #397620=DIRECTION('ref_axis',(-0.833659859749789,0.552278225391843,0.)); #397621=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #397622=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #397623=DIRECTION('',(0.,0.,1.)); #397624=DIRECTION('center_axis',(0.707106781186541,0.707106781186554,0.)); #397625=DIRECTION('ref_axis',(-0.707106781186554,0.707106781186541,0.)); #397626=DIRECTION('',(-0.707106781186554,0.707106781186541,0.)); #397627=DIRECTION('',(-0.707106781186554,0.707106781186541,0.)); #397628=DIRECTION('',(0.,0.,1.)); #397629=DIRECTION('center_axis',(0.,1.,0.)); #397630=DIRECTION('ref_axis',(-1.,0.,0.)); #397631=DIRECTION('',(-1.,0.,0.)); #397632=DIRECTION('',(-1.,0.,0.)); #397633=DIRECTION('',(0.,0.,1.)); #397634=DIRECTION('center_axis',(0.191149856928815,0.981560865252937,0.)); #397635=DIRECTION('ref_axis',(-0.981560865252937,0.191149856928815,0.)); #397636=DIRECTION('',(-0.981560865252937,0.191149856928815,0.)); #397637=DIRECTION('',(-0.981560865252937,0.191149856928815,0.)); #397638=DIRECTION('',(0.,0.,1.)); #397639=DIRECTION('center_axis',(0.552278225391843,0.833659859749789,0.)); #397640=DIRECTION('ref_axis',(-0.833659859749789,0.552278225391843,0.)); #397641=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #397642=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #397643=DIRECTION('',(0.,0.,1.)); #397644=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #397645=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #397646=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #397647=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #397648=DIRECTION('',(0.,0.,1.)); #397649=DIRECTION('center_axis',(0.82478989011981,0.565439331101182,0.)); #397650=DIRECTION('ref_axis',(-0.565439331101182,0.82478989011981,0.)); #397651=DIRECTION('',(-0.565439331101182,0.82478989011981,0.)); #397652=DIRECTION('',(-0.565439331101182,0.82478989011981,0.)); #397653=DIRECTION('',(0.,0.,1.)); #397654=DIRECTION('center_axis',(0.976685690837554,0.214674314516595,0.)); #397655=DIRECTION('ref_axis',(-0.214674314516595,0.976685690837554,0.)); #397656=DIRECTION('',(-0.214674314516595,0.976685690837554,0.)); #397657=DIRECTION('',(-0.214674314516595,0.976685690837554,0.)); #397658=DIRECTION('',(0.,0.,1.)); #397659=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #397660=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #397661=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #397662=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #397663=DIRECTION('',(0.,0.,1.)); #397664=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #397665=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #397666=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #397667=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #397668=DIRECTION('',(0.,0.,1.)); #397669=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #397670=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #397671=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #397672=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #397673=DIRECTION('',(0.,0.,1.)); #397674=DIRECTION('center_axis',(0.558946331948723,-0.829203833807507,0.)); #397675=DIRECTION('ref_axis',(0.829203833807507,0.558946331948723,0.)); #397676=DIRECTION('',(0.829203833807507,0.558946331948723,0.)); #397677=DIRECTION('',(0.829203833807507,0.558946331948723,0.)); #397678=DIRECTION('',(0.,0.,1.)); #397679=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #397680=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #397681=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #397682=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #397683=DIRECTION('',(0.,0.,1.)); #397684=DIRECTION('center_axis',(0.,-1.,0.)); #397685=DIRECTION('ref_axis',(1.,0.,0.)); #397686=DIRECTION('',(1.,0.,0.)); #397687=DIRECTION('',(1.,0.,0.)); #397688=DIRECTION('',(0.,0.,1.)); #397689=DIRECTION('center_axis',(0.707106781186541,-0.707106781186554,0.)); #397690=DIRECTION('ref_axis',(0.707106781186554,0.707106781186541,0.)); #397691=DIRECTION('',(0.707106781186554,0.707106781186541,0.)); #397692=DIRECTION('',(0.707106781186554,0.707106781186541,0.)); #397693=DIRECTION('',(0.,0.,1.)); #397694=DIRECTION('center_axis',(0.558946331948917,-0.829203833807377,0.)); #397695=DIRECTION('ref_axis',(0.829203833807377,0.558946331948917,0.)); #397696=DIRECTION('',(0.829203833807377,0.558946331948917,0.)); #397697=DIRECTION('',(0.829203833807377,0.558946331948917,0.)); #397698=DIRECTION('center_axis',(0.,0.,1.)); #397699=DIRECTION('ref_axis',(1.,0.,0.)); #397700=DIRECTION('center_axis',(0.,0.,1.)); #397701=DIRECTION('ref_axis',(1.,0.,0.)); #397702=DIRECTION('center_axis',(0.230165683714788,-0.97315145688639,0.)); #397703=DIRECTION('ref_axis',(0.97315145688639,0.230165683714788,0.)); #397704=DIRECTION('',(0.97315145688639,0.230165683714788,0.)); #397705=DIRECTION('',(0.,0.,1.)); #397706=DIRECTION('',(0.97315145688639,0.230165683714788,0.)); #397707=DIRECTION('',(0.,0.,1.)); #397708=DIRECTION('center_axis',(-0.167643887112471,-0.985847618607369, 0.)); #397709=DIRECTION('ref_axis',(0.985847618607369,-0.167643887112471,0.)); #397710=DIRECTION('',(0.985847618607369,-0.167643887112471,0.)); #397711=DIRECTION('',(0.985847618607369,-0.167643887112471,0.)); #397712=DIRECTION('',(0.,0.,1.)); #397713=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #397714=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #397715=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #397716=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #397717=DIRECTION('',(0.,0.,1.)); #397718=DIRECTION('center_axis',(-0.824745549033852,-0.565504004715131, 0.)); #397719=DIRECTION('ref_axis',(0.565504004715131,-0.824745549033852,0.)); #397720=DIRECTION('',(0.565504004715131,-0.824745549033852,0.)); #397721=DIRECTION('',(0.565504004715131,-0.824745549033852,0.)); #397722=DIRECTION('',(0.,0.,1.)); #397723=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #397724=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #397725=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #397726=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #397727=DIRECTION('',(0.,0.,1.)); #397728=DIRECTION('center_axis',(-1.,0.,0.)); #397729=DIRECTION('ref_axis',(0.,-1.,0.)); #397730=DIRECTION('',(0.,-1.,0.)); #397731=DIRECTION('',(0.,-1.,0.)); #397732=DIRECTION('',(0.,0.,1.)); #397733=DIRECTION('center_axis',(-0.98156086525294,0.191149856928798,0.)); #397734=DIRECTION('ref_axis',(-0.191149856928798,-0.98156086525294,0.)); #397735=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #397736=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #397737=DIRECTION('',(0.,0.,1.)); #397738=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #397739=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #397740=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #397741=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #397742=DIRECTION('',(0.,0.,1.)); #397743=DIRECTION('center_axis',(-0.70710678118655,0.707106781186545,0.)); #397744=DIRECTION('ref_axis',(-0.707106781186545,-0.70710678118655,0.)); #397745=DIRECTION('',(-0.707106781186545,-0.70710678118655,0.)); #397746=DIRECTION('',(-0.707106781186545,-0.70710678118655,0.)); #397747=DIRECTION('',(0.,0.,1.)); #397748=DIRECTION('center_axis',(-0.572058771549247,0.820212632122651,0.)); #397749=DIRECTION('ref_axis',(-0.820212632122651,-0.572058771549247,0.)); #397750=DIRECTION('',(-0.820212632122651,-0.572058771549247,0.)); #397751=DIRECTION('',(-0.820212632122651,-0.572058771549247,0.)); #397752=DIRECTION('',(0.,0.,1.)); #397753=DIRECTION('center_axis',(-0.230165683714756,0.973151456886398,0.)); #397754=DIRECTION('ref_axis',(-0.973151456886398,-0.230165683714756,0.)); #397755=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #397756=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #397757=DIRECTION('',(0.,0.,1.)); #397758=DIRECTION('center_axis',(0.167643887112495,0.985847618607365,0.)); #397759=DIRECTION('ref_axis',(-0.985847618607365,0.167643887112495,0.)); #397760=DIRECTION('',(-0.985847618607365,0.167643887112495,0.)); #397761=DIRECTION('',(-0.985847618607365,0.167643887112495,0.)); #397762=DIRECTION('',(0.,0.,1.)); #397763=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #397764=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #397765=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #397766=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #397767=DIRECTION('',(0.,0.,1.)); #397768=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #397769=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #397770=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #397771=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #397772=DIRECTION('',(0.,0.,1.)); #397773=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #397774=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #397775=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #397776=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #397777=DIRECTION('',(0.,0.,1.)); #397778=DIRECTION('center_axis',(1.,0.,0.)); #397779=DIRECTION('ref_axis',(0.,1.,0.)); #397780=DIRECTION('',(0.,1.,0.)); #397781=DIRECTION('',(0.,1.,0.)); #397782=DIRECTION('',(0.,0.,1.)); #397783=DIRECTION('center_axis',(0.981560865252946,-0.19114985692877,0.)); #397784=DIRECTION('ref_axis',(0.19114985692877,0.981560865252945,0.)); #397785=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #397786=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #397787=DIRECTION('',(0.,0.,1.)); #397788=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #397789=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #397790=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #397791=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #397792=DIRECTION('',(0.,0.,1.)); #397793=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #397794=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #397795=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #397796=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #397797=DIRECTION('',(0.,0.,1.)); #397798=DIRECTION('center_axis',(0.572058771549169,-0.820212632122705,0.)); #397799=DIRECTION('ref_axis',(0.820212632122705,0.572058771549169,0.)); #397800=DIRECTION('',(0.820212632122705,0.572058771549169,0.)); #397801=DIRECTION('',(0.820212632122705,0.572058771549169,0.)); #397802=DIRECTION('center_axis',(0.,0.,1.)); #397803=DIRECTION('ref_axis',(1.,0.,0.)); #397804=DIRECTION('center_axis',(0.,0.,1.)); #397805=DIRECTION('ref_axis',(1.,0.,0.)); #397806=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #397807=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #397808=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #397809=DIRECTION('',(0.,0.,1.)); #397810=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #397811=DIRECTION('',(0.,0.,1.)); #397812=DIRECTION('center_axis',(0.,-1.,0.)); #397813=DIRECTION('ref_axis',(1.,0.,0.)); #397814=DIRECTION('',(1.,0.,0.)); #397815=DIRECTION('',(1.,0.,0.)); #397816=DIRECTION('',(0.,0.,1.)); #397817=DIRECTION('center_axis',(-0.191149856928872,-0.981560865252926, 0.)); #397818=DIRECTION('ref_axis',(0.981560865252926,-0.191149856928872,0.)); #397819=DIRECTION('',(0.981560865252926,-0.191149856928872,0.)); #397820=DIRECTION('',(0.981560865252926,-0.191149856928872,0.)); #397821=DIRECTION('',(0.,0.,1.)); #397822=DIRECTION('center_axis',(-0.552278225391843,-0.833659859749789, 0.)); #397823=DIRECTION('ref_axis',(0.833659859749789,-0.552278225391843,0.)); #397824=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #397825=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #397826=DIRECTION('',(0.,0.,1.)); #397827=DIRECTION('center_axis',(-0.707106781186559,-0.707106781186536, 0.)); #397828=DIRECTION('ref_axis',(0.707106781186536,-0.707106781186559,0.)); #397829=DIRECTION('',(0.707106781186536,-0.707106781186559,0.)); #397830=DIRECTION('',(0.707106781186536,-0.707106781186559,0.)); #397831=DIRECTION('',(0.,0.,1.)); #397832=DIRECTION('center_axis',(-0.820212632122522,-0.572058771549431, 0.)); #397833=DIRECTION('ref_axis',(0.572058771549431,-0.820212632122522,0.)); #397834=DIRECTION('',(0.572058771549431,-0.820212632122522,0.)); #397835=DIRECTION('',(0.572058771549431,-0.820212632122522,0.)); #397836=DIRECTION('',(0.,0.,1.)); #397837=DIRECTION('center_axis',(-0.97315145688646,-0.230165683714495,0.)); #397838=DIRECTION('ref_axis',(0.230165683714495,-0.97315145688646,0.)); #397839=DIRECTION('',(0.230165683714495,-0.97315145688646,0.)); #397840=DIRECTION('',(0.230165683714495,-0.97315145688646,0.)); #397841=DIRECTION('',(0.,0.,1.)); #397842=DIRECTION('center_axis',(-0.985847618607416,0.167643887112198,0.)); #397843=DIRECTION('ref_axis',(-0.167643887112198,-0.985847618607416,0.)); #397844=DIRECTION('',(-0.167643887112198,-0.985847618607416,0.)); #397845=DIRECTION('',(-0.167643887112198,-0.985847618607416,0.)); #397846=DIRECTION('',(0.,0.,1.)); #397847=DIRECTION('center_axis',(-0.842362410729242,0.538911466746088,0.)); #397848=DIRECTION('ref_axis',(-0.538911466746088,-0.842362410729242,0.)); #397849=DIRECTION('',(-0.538911466746088,-0.842362410729242,0.)); #397850=DIRECTION('',(-0.538911466746088,-0.842362410729242,0.)); #397851=DIRECTION('',(0.,0.,1.)); #397852=DIRECTION('center_axis',(-0.565504004715081,0.824745549033886,0.)); #397853=DIRECTION('ref_axis',(-0.824745549033886,-0.565504004715081,0.)); #397854=DIRECTION('',(-0.824745549033886,-0.565504004715081,0.)); #397855=DIRECTION('',(-0.824745549033886,-0.565504004715081,0.)); #397856=DIRECTION('',(0.,0.,1.)); #397857=DIRECTION('center_axis',(-0.198956019232641,0.980008419561333,0.)); #397858=DIRECTION('ref_axis',(-0.980008419561333,-0.198956019232641,0.)); #397859=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #397860=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #397861=DIRECTION('',(0.,0.,1.)); #397862=DIRECTION('center_axis',(0.,1.,0.)); #397863=DIRECTION('ref_axis',(-1.,0.,0.)); #397864=DIRECTION('',(-1.,0.,0.)); #397865=DIRECTION('',(-1.,0.,0.)); #397866=DIRECTION('',(0.,0.,1.)); #397867=DIRECTION('center_axis',(0.191149856928815,0.981560865252937,0.)); #397868=DIRECTION('ref_axis',(-0.981560865252937,0.191149856928815,0.)); #397869=DIRECTION('',(-0.981560865252937,0.191149856928815,0.)); #397870=DIRECTION('',(-0.981560865252937,0.191149856928815,0.)); #397871=DIRECTION('',(0.,0.,1.)); #397872=DIRECTION('center_axis',(0.552278225391843,0.833659859749789,0.)); #397873=DIRECTION('ref_axis',(-0.833659859749789,0.552278225391843,0.)); #397874=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #397875=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #397876=DIRECTION('',(0.,0.,1.)); #397877=DIRECTION('center_axis',(0.707106781186559,0.707106781186536,0.)); #397878=DIRECTION('ref_axis',(-0.707106781186536,0.707106781186559,0.)); #397879=DIRECTION('',(-0.707106781186536,0.707106781186559,0.)); #397880=DIRECTION('',(-0.707106781186536,0.707106781186559,0.)); #397881=DIRECTION('',(0.,0.,1.)); #397882=DIRECTION('center_axis',(0.824789890119785,0.565439331101219,0.)); #397883=DIRECTION('ref_axis',(-0.565439331101219,0.824789890119785,0.)); #397884=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #397885=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #397886=DIRECTION('',(0.,0.,1.)); #397887=DIRECTION('center_axis',(0.976685690837557,0.214674314516579,0.)); #397888=DIRECTION('ref_axis',(-0.214674314516579,0.976685690837557,0.)); #397889=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #397890=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #397891=DIRECTION('',(0.,0.,1.)); #397892=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #397893=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #397894=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #397895=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #397896=DIRECTION('',(0.,0.,1.)); #397897=DIRECTION('center_axis',(0.833659859749733,-0.552278225391927,0.)); #397898=DIRECTION('ref_axis',(0.552278225391927,0.833659859749732,0.)); #397899=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #397900=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #397901=DIRECTION('',(0.,0.,1.)); #397902=DIRECTION('center_axis',(0.707106781186559,-0.707106781186536,0.)); #397903=DIRECTION('ref_axis',(0.707106781186536,0.707106781186559,0.)); #397904=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #397905=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #397906=DIRECTION('',(0.,0.,1.)); #397907=DIRECTION('center_axis',(0.558946331948775,-0.829203833807472,0.)); #397908=DIRECTION('ref_axis',(0.829203833807472,0.558946331948775,0.)); #397909=DIRECTION('',(0.829203833807472,0.558946331948775,0.)); #397910=DIRECTION('',(0.829203833807472,0.558946331948775,0.)); #397911=DIRECTION('center_axis',(0.,0.,1.)); #397912=DIRECTION('ref_axis',(1.,0.,0.)); #397913=DIRECTION('center_axis',(0.,0.,1.)); #397914=DIRECTION('ref_axis',(1.,0.,0.)); #397915=DIRECTION('center_axis',(0.,0.,1.)); #397916=DIRECTION('ref_axis',(1.,0.,0.)); #397917=DIRECTION('center_axis',(0.,0.,1.)); #397918=DIRECTION('ref_axis',(1.,0.,0.)); #397919=DIRECTION('',(0.,0.,1.)); #397920=DIRECTION('center_axis',(0.,0.,-1.)); #397921=DIRECTION('ref_axis',(1.,0.,0.)); #397922=DIRECTION('center_axis',(0.,1.,0.)); #397923=DIRECTION('ref_axis',(-1.,0.,0.)); #397924=DIRECTION('',(1.,0.,0.)); #397925=DIRECTION('',(0.,0.,1.)); #397926=DIRECTION('',(-1.,0.,0.)); #397927=DIRECTION('',(0.,0.,1.)); #397928=DIRECTION('center_axis',(0.,0.,1.)); #397929=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #397930=DIRECTION('center_axis',(0.,0.,-1.)); #397931=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #397932=DIRECTION('center_axis',(0.,0.,1.)); #397933=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #397934=DIRECTION('',(0.,0.,1.)); #397935=DIRECTION('center_axis',(0.,-1.,0.)); #397936=DIRECTION('ref_axis',(1.,0.,0.)); #397937=DIRECTION('',(-1.,0.,0.)); #397938=DIRECTION('',(1.,0.,0.)); #397939=DIRECTION('',(0.,0.,1.)); #397940=DIRECTION('center_axis',(0.,0.,1.)); #397941=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #397942=DIRECTION('center_axis',(0.,0.,-1.)); #397943=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #397944=DIRECTION('center_axis',(0.,0.,1.)); #397945=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #397946=DIRECTION('center_axis',(0.,0.,1.)); #397947=DIRECTION('ref_axis',(1.,0.,0.)); #397948=DIRECTION('center_axis',(0.,0.,1.)); #397949=DIRECTION('ref_axis',(1.,0.,0.)); #397950=DIRECTION('',(0.,0.,1.)); #397951=DIRECTION('center_axis',(0.,0.,-1.)); #397952=DIRECTION('ref_axis',(1.,0.,0.)); #397953=DIRECTION('center_axis',(0.,0.,1.)); #397954=DIRECTION('ref_axis',(1.,0.,0.)); #397955=DIRECTION('center_axis',(0.,0.,1.)); #397956=DIRECTION('ref_axis',(1.,0.,0.)); #397957=DIRECTION('',(0.,0.,1.)); #397958=DIRECTION('center_axis',(0.,0.,-1.)); #397959=DIRECTION('ref_axis',(1.,0.,0.)); #397960=DIRECTION('center_axis',(0.,0.,1.)); #397961=DIRECTION('ref_axis',(1.,0.,0.)); #397962=DIRECTION('center_axis',(0.,0.,1.)); #397963=DIRECTION('ref_axis',(1.,0.,0.)); #397964=DIRECTION('',(0.,0.,1.)); #397965=DIRECTION('center_axis',(0.,0.,-1.)); #397966=DIRECTION('ref_axis',(1.,0.,0.)); #397967=DIRECTION('center_axis',(0.,0.,1.)); #397968=DIRECTION('ref_axis',(1.,0.,0.)); #397969=DIRECTION('center_axis',(0.,0.,1.)); #397970=DIRECTION('ref_axis',(1.,0.,0.)); #397971=DIRECTION('',(0.,0.,1.)); #397972=DIRECTION('center_axis',(0.,0.,-1.)); #397973=DIRECTION('ref_axis',(1.,0.,0.)); #397974=DIRECTION('center_axis',(0.,0.,1.)); #397975=DIRECTION('ref_axis',(1.,0.,0.)); #397976=DIRECTION('center_axis',(0.,0.,1.)); #397977=DIRECTION('ref_axis',(1.,0.,0.)); #397978=DIRECTION('',(0.,0.,1.)); #397979=DIRECTION('center_axis',(0.,0.,-1.)); #397980=DIRECTION('ref_axis',(1.,0.,0.)); #397981=DIRECTION('center_axis',(0.,0.,1.)); #397982=DIRECTION('ref_axis',(1.,0.,0.)); #397983=DIRECTION('center_axis',(0.,0.,1.)); #397984=DIRECTION('ref_axis',(1.,0.,0.)); #397985=DIRECTION('',(0.,0.,1.)); #397986=DIRECTION('center_axis',(0.,0.,-1.)); #397987=DIRECTION('ref_axis',(1.,0.,0.)); #397988=DIRECTION('center_axis',(0.,0.,1.)); #397989=DIRECTION('ref_axis',(1.,0.,0.)); #397990=DIRECTION('center_axis',(0.,0.,1.)); #397991=DIRECTION('ref_axis',(1.,0.,0.)); #397992=DIRECTION('',(0.,0.,1.)); #397993=DIRECTION('center_axis',(0.,0.,-1.)); #397994=DIRECTION('ref_axis',(1.,0.,0.)); #397995=DIRECTION('center_axis',(0.,0.,1.)); #397996=DIRECTION('ref_axis',(1.,0.,0.)); #397997=DIRECTION('center_axis',(0.,0.,1.)); #397998=DIRECTION('ref_axis',(1.,0.,0.)); #397999=DIRECTION('',(0.,0.,1.)); #398000=DIRECTION('center_axis',(0.,0.,-1.)); #398001=DIRECTION('ref_axis',(1.,0.,0.)); #398002=DIRECTION('center_axis',(0.,0.,1.)); #398003=DIRECTION('ref_axis',(1.,0.,0.)); #398004=DIRECTION('center_axis',(0.,0.,1.)); #398005=DIRECTION('ref_axis',(1.,0.,0.)); #398006=DIRECTION('',(0.,0.,1.)); #398007=DIRECTION('center_axis',(0.,0.,-1.)); #398008=DIRECTION('ref_axis',(1.,0.,0.)); #398009=DIRECTION('center_axis',(0.,1.,0.)); #398010=DIRECTION('ref_axis',(-1.,0.,0.)); #398011=DIRECTION('',(-1.,0.,0.)); #398012=DIRECTION('',(0.,0.,1.)); #398013=DIRECTION('',(-1.,0.,0.)); #398014=DIRECTION('',(0.,0.,1.)); #398015=DIRECTION('center_axis',(-0.382684321704497,0.923879164134341,0.)); #398016=DIRECTION('ref_axis',(-0.923879164134341,-0.382684321704497,0.)); #398017=DIRECTION('',(-0.923879164134341,-0.382684321704497,0.)); #398018=DIRECTION('',(-0.923879164134341,-0.382684321704497,0.)); #398019=DIRECTION('',(0.,0.,1.)); #398020=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #398021=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #398022=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #398023=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #398024=DIRECTION('',(0.,0.,1.)); #398025=DIRECTION('center_axis',(-0.923879164134337,0.382684321704506,0.)); #398026=DIRECTION('ref_axis',(-0.382684321704506,-0.923879164134337,0.)); #398027=DIRECTION('',(-0.382684321704506,-0.923879164134337,0.)); #398028=DIRECTION('',(-0.382684321704506,-0.923879164134337,0.)); #398029=DIRECTION('',(0.,0.,1.)); #398030=DIRECTION('center_axis',(-1.,0.,0.)); #398031=DIRECTION('ref_axis',(0.,-1.,0.)); #398032=DIRECTION('',(0.,-1.,0.)); #398033=DIRECTION('',(0.,-1.,0.)); #398034=DIRECTION('',(0.,0.,1.)); #398035=DIRECTION('center_axis',(-0.923879164134336,-0.38268432170451,0.)); #398036=DIRECTION('ref_axis',(0.38268432170451,-0.923879164134336,0.)); #398037=DIRECTION('',(0.38268432170451,-0.923879164134336,0.)); #398038=DIRECTION('',(0.38268432170451,-0.923879164134336,0.)); #398039=DIRECTION('',(0.,0.,1.)); #398040=DIRECTION('center_axis',(-0.707106781186553,-0.707106781186542, 0.)); #398041=DIRECTION('ref_axis',(0.707106781186542,-0.707106781186553,0.)); #398042=DIRECTION('',(0.707106781186542,-0.707106781186553,0.)); #398043=DIRECTION('',(0.707106781186542,-0.707106781186553,0.)); #398044=DIRECTION('',(0.,0.,1.)); #398045=DIRECTION('center_axis',(-0.382684321704487,-0.923879164134345, 0.)); #398046=DIRECTION('ref_axis',(0.923879164134345,-0.382684321704487,0.)); #398047=DIRECTION('',(0.923879164134345,-0.382684321704487,0.)); #398048=DIRECTION('',(0.923879164134345,-0.382684321704487,0.)); #398049=DIRECTION('',(0.,0.,1.)); #398050=DIRECTION('center_axis',(0.,-1.,0.)); #398051=DIRECTION('ref_axis',(1.,0.,0.)); #398052=DIRECTION('',(1.,0.,0.)); #398053=DIRECTION('',(1.,0.,0.)); #398054=DIRECTION('',(0.,0.,1.)); #398055=DIRECTION('center_axis',(0.382684321704495,-0.923879164134342,0.)); #398056=DIRECTION('ref_axis',(0.923879164134342,0.382684321704495,0.)); #398057=DIRECTION('',(0.923879164134342,0.382684321704495,0.)); #398058=DIRECTION('',(0.923879164134342,0.382684321704495,0.)); #398059=DIRECTION('',(0.,0.,1.)); #398060=DIRECTION('center_axis',(0.707106781186542,-0.707106781186553,0.)); #398061=DIRECTION('ref_axis',(0.707106781186553,0.707106781186542,0.)); #398062=DIRECTION('',(0.707106781186553,0.707106781186542,0.)); #398063=DIRECTION('',(0.707106781186553,0.707106781186542,0.)); #398064=DIRECTION('',(0.,0.,1.)); #398065=DIRECTION('center_axis',(0.923879164134344,-0.382684321704491,0.)); #398066=DIRECTION('ref_axis',(0.382684321704491,0.923879164134344,0.)); #398067=DIRECTION('',(0.382684321704491,0.923879164134344,0.)); #398068=DIRECTION('',(0.382684321704491,0.923879164134344,0.)); #398069=DIRECTION('',(0.,0.,1.)); #398070=DIRECTION('center_axis',(1.,0.,0.)); #398071=DIRECTION('ref_axis',(0.,1.,0.)); #398072=DIRECTION('',(0.,1.,0.)); #398073=DIRECTION('',(0.,1.,0.)); #398074=DIRECTION('',(0.,0.,1.)); #398075=DIRECTION('center_axis',(0.923879164134345,0.382684321704487,0.)); #398076=DIRECTION('ref_axis',(-0.382684321704487,0.923879164134345,0.)); #398077=DIRECTION('',(-0.382684321704487,0.923879164134345,0.)); #398078=DIRECTION('',(-0.382684321704487,0.923879164134345,0.)); #398079=DIRECTION('',(0.,0.,1.)); #398080=DIRECTION('center_axis',(0.707106781186536,0.707106781186559,0.)); #398081=DIRECTION('ref_axis',(-0.707106781186559,0.707106781186536,0.)); #398082=DIRECTION('',(-0.707106781186559,0.707106781186536,0.)); #398083=DIRECTION('',(-0.707106781186559,0.707106781186536,0.)); #398084=DIRECTION('',(0.,0.,1.)); #398085=DIRECTION('center_axis',(0.382684321704505,0.923879164134338,0.)); #398086=DIRECTION('ref_axis',(-0.923879164134338,0.382684321704505,0.)); #398087=DIRECTION('',(-0.923879164134338,0.382684321704505,0.)); #398088=DIRECTION('',(-0.923879164134338,0.382684321704505,0.)); #398089=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #398090=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #398091=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #398092=DIRECTION('',(0.,0.,1.)); #398093=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #398094=DIRECTION('',(0.,0.,1.)); #398095=DIRECTION('center_axis',(0.,-1.,0.)); #398096=DIRECTION('ref_axis',(1.,0.,0.)); #398097=DIRECTION('',(1.,0.,0.)); #398098=DIRECTION('',(1.,0.,0.)); #398099=DIRECTION('',(0.,0.,1.)); #398100=DIRECTION('center_axis',(-0.191149856928872,-0.981560865252926, 0.)); #398101=DIRECTION('ref_axis',(0.981560865252926,-0.191149856928872,0.)); #398102=DIRECTION('',(0.981560865252926,-0.191149856928872,0.)); #398103=DIRECTION('',(0.981560865252926,-0.191149856928872,0.)); #398104=DIRECTION('',(0.,0.,1.)); #398105=DIRECTION('center_axis',(-0.552263045083112,-0.833669916115203, 0.)); #398106=DIRECTION('ref_axis',(0.833669916115202,-0.552263045083112,0.)); #398107=DIRECTION('',(0.833669916115202,-0.552263045083112,0.)); #398108=DIRECTION('',(0.833669916115202,-0.552263045083112,0.)); #398109=DIRECTION('',(0.,0.,1.)); #398110=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #398111=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #398112=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #398113=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #398114=DIRECTION('',(0.,0.,1.)); #398115=DIRECTION('center_axis',(0.,-1.,0.)); #398116=DIRECTION('ref_axis',(1.,0.,0.)); #398117=DIRECTION('',(1.,0.,0.)); #398118=DIRECTION('',(1.,0.,0.)); #398119=DIRECTION('',(0.,0.,1.)); #398120=DIRECTION('center_axis',(-0.183305290257444,-0.983056036329382, 0.)); #398121=DIRECTION('ref_axis',(0.983056036329381,-0.183305290257444,0.)); #398122=DIRECTION('',(0.983056036329381,-0.183305290257444,0.)); #398123=DIRECTION('',(0.983056036329381,-0.183305290257444,0.)); #398124=DIRECTION('',(0.,0.,1.)); #398125=DIRECTION('center_axis',(-0.538911466745885,-0.842362410729372, 0.)); #398126=DIRECTION('ref_axis',(0.842362410729372,-0.538911466745885,0.)); #398127=DIRECTION('',(0.842362410729372,-0.538911466745885,0.)); #398128=DIRECTION('',(0.842362410729372,-0.538911466745885,0.)); #398129=DIRECTION('',(0.,0.,1.)); #398130=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #398131=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #398132=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #398133=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #398134=DIRECTION('',(0.,0.,1.)); #398135=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #398136=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #398137=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #398138=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #398139=DIRECTION('',(0.,0.,1.)); #398140=DIRECTION('center_axis',(-1.,0.,0.)); #398141=DIRECTION('ref_axis',(0.,-1.,0.)); #398142=DIRECTION('',(0.,-1.,0.)); #398143=DIRECTION('',(0.,-1.,0.)); #398144=DIRECTION('',(0.,0.,1.)); #398145=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #398146=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #398147=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #398148=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #398149=DIRECTION('',(0.,0.,1.)); #398150=DIRECTION('center_axis',(0.,-1.,0.)); #398151=DIRECTION('ref_axis',(1.,0.,0.)); #398152=DIRECTION('',(1.,0.,0.)); #398153=DIRECTION('',(1.,0.,0.)); #398154=DIRECTION('',(0.,0.,1.)); #398155=DIRECTION('center_axis',(-0.183305290257262,-0.983056036329416, 0.)); #398156=DIRECTION('ref_axis',(0.983056036329416,-0.183305290257262,0.)); #398157=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #398158=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #398159=DIRECTION('',(0.,0.,1.)); #398160=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #398161=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #398162=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #398163=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #398164=DIRECTION('',(0.,0.,1.)); #398165=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #398166=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #398167=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #398168=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #398169=DIRECTION('',(0.,0.,1.)); #398170=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #398171=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #398172=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #398173=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #398174=DIRECTION('',(0.,0.,1.)); #398175=DIRECTION('center_axis',(-1.,0.,0.)); #398176=DIRECTION('ref_axis',(0.,-1.,0.)); #398177=DIRECTION('',(0.,-1.,0.)); #398178=DIRECTION('',(0.,-1.,0.)); #398179=DIRECTION('',(0.,0.,1.)); #398180=DIRECTION('center_axis',(-0.70710678118653,-0.707106781186565,0.)); #398181=DIRECTION('ref_axis',(0.707106781186565,-0.70710678118653,0.)); #398182=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #398183=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #398184=DIRECTION('',(0.,0.,1.)); #398185=DIRECTION('center_axis',(0.,-1.,0.)); #398186=DIRECTION('ref_axis',(1.,0.,0.)); #398187=DIRECTION('',(1.,0.,0.)); #398188=DIRECTION('',(1.,0.,0.)); #398189=DIRECTION('',(0.,0.,1.)); #398190=DIRECTION('center_axis',(-0.183305290257387,-0.983056036329392, 0.)); #398191=DIRECTION('ref_axis',(0.983056036329392,-0.183305290257387,0.)); #398192=DIRECTION('',(0.983056036329392,-0.183305290257387,0.)); #398193=DIRECTION('',(0.983056036329392,-0.183305290257387,0.)); #398194=DIRECTION('',(0.,0.,1.)); #398195=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #398196=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #398197=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #398198=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #398199=DIRECTION('',(0.,0.,1.)); #398200=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #398201=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #398202=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #398203=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #398204=DIRECTION('',(0.,0.,1.)); #398205=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #398206=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #398207=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #398208=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #398209=DIRECTION('',(0.,0.,1.)); #398210=DIRECTION('center_axis',(-1.,0.,0.)); #398211=DIRECTION('ref_axis',(0.,-1.,0.)); #398212=DIRECTION('',(0.,-1.,0.)); #398213=DIRECTION('',(0.,-1.,0.)); #398214=DIRECTION('',(0.,0.,1.)); #398215=DIRECTION('center_axis',(-0.981560865252911,0.191149856928945,0.)); #398216=DIRECTION('ref_axis',(-0.191149856928945,-0.981560865252911,0.)); #398217=DIRECTION('',(-0.191149856928945,-0.981560865252911,0.)); #398218=DIRECTION('',(-0.191149856928945,-0.981560865252911,0.)); #398219=DIRECTION('',(0.,0.,1.)); #398220=DIRECTION('center_axis',(-0.833669916115124,0.55226304508323,0.)); #398221=DIRECTION('ref_axis',(-0.55226304508323,-0.833669916115124,0.)); #398222=DIRECTION('',(-0.55226304508323,-0.833669916115124,0.)); #398223=DIRECTION('',(-0.55226304508323,-0.833669916115124,0.)); #398224=DIRECTION('',(0.,0.,1.)); #398225=DIRECTION('center_axis',(-0.70710678118655,0.707106781186545,0.)); #398226=DIRECTION('ref_axis',(-0.707106781186545,-0.70710678118655,0.)); #398227=DIRECTION('',(-0.707106781186545,-0.70710678118655,0.)); #398228=DIRECTION('',(-0.707106781186545,-0.70710678118655,0.)); #398229=DIRECTION('',(0.,0.,1.)); #398230=DIRECTION('center_axis',(-0.572058771549325,0.820212632122596,0.)); #398231=DIRECTION('ref_axis',(-0.820212632122596,-0.572058771549325,0.)); #398232=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #398233=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #398234=DIRECTION('',(0.,0.,1.)); #398235=DIRECTION('center_axis',(-0.230165683714756,0.973151456886398,0.)); #398236=DIRECTION('ref_axis',(-0.973151456886398,-0.230165683714756,0.)); #398237=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #398238=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #398239=DIRECTION('',(0.,0.,1.)); #398240=DIRECTION('center_axis',(0.167675934908011,0.985842168327529,0.)); #398241=DIRECTION('ref_axis',(-0.985842168327529,0.167675934908011,0.)); #398242=DIRECTION('',(-0.985842168327529,0.167675934908011,0.)); #398243=DIRECTION('',(-0.985842168327529,0.167675934908011,0.)); #398244=DIRECTION('',(0.,0.,1.)); #398245=DIRECTION('center_axis',(0.538888069291942,0.842377379073538,0.)); #398246=DIRECTION('ref_axis',(-0.842377379073538,0.538888069291942,0.)); #398247=DIRECTION('',(-0.842377379073538,0.538888069291942,0.)); #398248=DIRECTION('',(-0.842377379073538,0.538888069291942,0.)); #398249=DIRECTION('',(0.,0.,1.)); #398250=DIRECTION('center_axis',(0.824756093515085,0.565488626065756,0.)); #398251=DIRECTION('ref_axis',(-0.565488626065756,0.824756093515085,0.)); #398252=DIRECTION('',(-0.565488626065756,0.824756093515085,0.)); #398253=DIRECTION('',(-0.565488626065756,0.824756093515085,0.)); #398254=DIRECTION('',(0.,0.,1.)); #398255=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #398256=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #398257=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #398258=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #398259=DIRECTION('',(0.,0.,1.)); #398260=DIRECTION('center_axis',(1.,0.,0.)); #398261=DIRECTION('ref_axis',(0.,1.,0.)); #398262=DIRECTION('',(0.,1.,0.)); #398263=DIRECTION('',(0.,1.,0.)); #398264=DIRECTION('',(0.,0.,1.)); #398265=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #398266=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #398267=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #398268=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #398269=DIRECTION('',(0.,0.,1.)); #398270=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #398271=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #398272=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #398273=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #398274=DIRECTION('',(0.,0.,1.)); #398275=DIRECTION('center_axis',(0.707108784529055,-0.707104777838364,0.)); #398276=DIRECTION('ref_axis',(0.707104777838364,0.707108784529055,0.)); #398277=DIRECTION('',(0.707104777838364,0.707108784529055,0.)); #398278=DIRECTION('',(0.707104777838364,0.707108784529055,0.)); #398279=DIRECTION('',(0.,0.,1.)); #398280=DIRECTION('center_axis',(1.,0.,0.)); #398281=DIRECTION('ref_axis',(0.,1.,0.)); #398282=DIRECTION('',(0.,1.,0.)); #398283=DIRECTION('',(0.,1.,0.)); #398284=DIRECTION('',(0.,0.,1.)); #398285=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #398286=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #398287=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #398288=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #398289=DIRECTION('',(0.,0.,1.)); #398290=DIRECTION('center_axis',(0.,1.,0.)); #398291=DIRECTION('ref_axis',(-1.,0.,0.)); #398292=DIRECTION('',(-1.,0.,0.)); #398293=DIRECTION('',(-1.,0.,0.)); #398294=DIRECTION('',(0.,0.,1.)); #398295=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #398296=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #398297=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #398298=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #398299=DIRECTION('',(0.,0.,1.)); #398300=DIRECTION('center_axis',(-1.,0.,0.)); #398301=DIRECTION('ref_axis',(0.,-1.,0.)); #398302=DIRECTION('',(0.,-1.,0.)); #398303=DIRECTION('',(0.,-1.,0.)); #398304=DIRECTION('',(0.,0.,1.)); #398305=DIRECTION('center_axis',(-0.707108784529055,-0.707104777838364, 0.)); #398306=DIRECTION('ref_axis',(0.707104777838364,-0.707108784529055,0.)); #398307=DIRECTION('',(0.707104777838364,-0.707108784529055,0.)); #398308=DIRECTION('',(0.707104777838364,-0.707108784529055,0.)); #398309=DIRECTION('',(0.,0.,1.)); #398310=DIRECTION('center_axis',(-0.829203833807389,-0.558946331948898, 0.)); #398311=DIRECTION('ref_axis',(0.558946331948898,-0.829203833807389,0.)); #398312=DIRECTION('',(0.558946331948898,-0.829203833807389,0.)); #398313=DIRECTION('',(0.558946331948898,-0.829203833807389,0.)); #398314=DIRECTION('',(0.,0.,1.)); #398315=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #398316=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #398317=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #398318=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #398319=DIRECTION('',(0.,0.,1.)); #398320=DIRECTION('center_axis',(-1.,0.,0.)); #398321=DIRECTION('ref_axis',(0.,-1.,0.)); #398322=DIRECTION('',(0.,-1.,0.)); #398323=DIRECTION('',(0.,-1.,0.)); #398324=DIRECTION('',(0.,0.,1.)); #398325=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #398326=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #398327=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #398328=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #398329=DIRECTION('',(0.,0.,1.)); #398330=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #398331=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #398332=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #398333=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #398334=DIRECTION('',(0.,0.,1.)); #398335=DIRECTION('center_axis',(-0.707106781186549,0.707106781186546,0.)); #398336=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,0.)); #398337=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #398338=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #398339=DIRECTION('',(0.,0.,1.)); #398340=DIRECTION('center_axis',(-0.558946331948898,0.829203833807389,0.)); #398341=DIRECTION('ref_axis',(-0.829203833807389,-0.558946331948898,0.)); #398342=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #398343=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #398344=DIRECTION('',(0.,0.,1.)); #398345=DIRECTION('center_axis',(-0.198956019232542,0.980008419561353,0.)); #398346=DIRECTION('ref_axis',(-0.980008419561353,-0.198956019232542,0.)); #398347=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #398348=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #398349=DIRECTION('',(0.,0.,1.)); #398350=DIRECTION('center_axis',(0.,1.,0.)); #398351=DIRECTION('ref_axis',(-1.,0.,0.)); #398352=DIRECTION('',(-1.,0.,0.)); #398353=DIRECTION('',(-1.,0.,0.)); #398354=DIRECTION('',(0.,0.,1.)); #398355=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #398356=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #398357=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #398358=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #398359=DIRECTION('',(0.,0.,1.)); #398360=DIRECTION('center_axis',(-1.,0.,0.)); #398361=DIRECTION('ref_axis',(0.,-1.,0.)); #398362=DIRECTION('',(0.,-1.,0.)); #398363=DIRECTION('',(0.,-1.,0.)); #398364=DIRECTION('',(0.,0.,1.)); #398365=DIRECTION('center_axis',(-0.983056036329444,0.183305290257108,0.)); #398366=DIRECTION('ref_axis',(-0.183305290257108,-0.983056036329444,0.)); #398367=DIRECTION('',(-0.183305290257108,-0.983056036329444,0.)); #398368=DIRECTION('',(-0.183305290257108,-0.983056036329444,0.)); #398369=DIRECTION('',(0.,0.,1.)); #398370=DIRECTION('center_axis',(-0.842362410729263,0.538911466746055,0.)); #398371=DIRECTION('ref_axis',(-0.538911466746055,-0.842362410729263,0.)); #398372=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #398373=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #398374=DIRECTION('',(0.,0.,1.)); #398375=DIRECTION('center_axis',(-0.565504004714963,0.824745549033967,0.)); #398376=DIRECTION('ref_axis',(-0.824745549033967,-0.565504004714963,0.)); #398377=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #398378=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #398379=DIRECTION('',(0.,0.,1.)); #398380=DIRECTION('center_axis',(-0.1989560192326,0.980008419561341,0.)); #398381=DIRECTION('ref_axis',(-0.980008419561341,-0.1989560192326,0.)); #398382=DIRECTION('',(-0.980008419561341,-0.198956019232599,0.)); #398383=DIRECTION('',(-0.980008419561341,-0.198956019232599,0.)); #398384=DIRECTION('',(0.,0.,1.)); #398385=DIRECTION('center_axis',(0.,1.,0.)); #398386=DIRECTION('ref_axis',(-1.,0.,0.)); #398387=DIRECTION('',(-1.,0.,0.)); #398388=DIRECTION('',(-1.,0.,0.)); #398389=DIRECTION('',(0.,0.,1.)); #398390=DIRECTION('center_axis',(-0.707106781186583,0.707106781186512,0.)); #398391=DIRECTION('ref_axis',(-0.707106781186512,-0.707106781186583,0.)); #398392=DIRECTION('',(-0.707106781186512,-0.707106781186583,0.)); #398393=DIRECTION('',(-0.707106781186512,-0.707106781186583,0.)); #398394=DIRECTION('',(0.,0.,1.)); #398395=DIRECTION('center_axis',(-1.,0.,0.)); #398396=DIRECTION('ref_axis',(0.,-1.,0.)); #398397=DIRECTION('',(0.,-1.,0.)); #398398=DIRECTION('',(0.,-1.,0.)); #398399=DIRECTION('',(0.,0.,1.)); #398400=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #398401=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #398402=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #398403=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #398404=DIRECTION('',(0.,0.,1.)); #398405=DIRECTION('center_axis',(0.,-1.,0.)); #398406=DIRECTION('ref_axis',(1.,0.,0.)); #398407=DIRECTION('',(1.,0.,0.)); #398408=DIRECTION('',(1.,0.,0.)); #398409=DIRECTION('',(0.,0.,1.)); #398410=DIRECTION('center_axis',(0.70710678118653,-0.707106781186565,0.)); #398411=DIRECTION('ref_axis',(0.707106781186565,0.70710678118653,0.)); #398412=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #398413=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #398414=DIRECTION('',(0.,0.,1.)); #398415=DIRECTION('center_axis',(1.,0.,0.)); #398416=DIRECTION('ref_axis',(0.,1.,0.)); #398417=DIRECTION('',(0.,1.,0.)); #398418=DIRECTION('',(0.,1.,0.)); #398419=DIRECTION('',(0.,0.,1.)); #398420=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #398421=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #398422=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #398423=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #398424=DIRECTION('',(0.,0.,1.)); #398425=DIRECTION('center_axis',(0.,1.,0.)); #398426=DIRECTION('ref_axis',(-1.,0.,0.)); #398427=DIRECTION('',(-1.,0.,0.)); #398428=DIRECTION('',(-1.,0.,0.)); #398429=DIRECTION('',(0.,0.,1.)); #398430=DIRECTION('center_axis',(0.183305290257262,0.983056036329416,0.)); #398431=DIRECTION('ref_axis',(-0.983056036329416,0.183305290257262,0.)); #398432=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #398433=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #398434=DIRECTION('',(0.,0.,1.)); #398435=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #398436=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #398437=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #398438=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #398439=DIRECTION('',(0.,0.,1.)); #398440=DIRECTION('center_axis',(0.82474554903392,0.565504004715031,0.)); #398441=DIRECTION('ref_axis',(-0.565504004715031,0.82474554903392,0.)); #398442=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #398443=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #398444=DIRECTION('',(0.,0.,1.)); #398445=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #398446=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #398447=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #398448=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #398449=DIRECTION('',(0.,0.,1.)); #398450=DIRECTION('center_axis',(1.,0.,0.)); #398451=DIRECTION('ref_axis',(0.,1.,0.)); #398452=DIRECTION('',(0.,1.,0.)); #398453=DIRECTION('',(0.,1.,0.)); #398454=DIRECTION('',(0.,0.,1.)); #398455=DIRECTION('center_axis',(0.981560865252911,-0.191149856928945,0.)); #398456=DIRECTION('ref_axis',(0.191149856928945,0.981560865252911,0.)); #398457=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #398458=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #398459=DIRECTION('',(0.,0.,1.)); #398460=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #398461=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #398462=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #398463=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #398464=DIRECTION('',(0.,0.,1.)); #398465=DIRECTION('center_axis',(0.707106781186553,-0.707106781186542,0.)); #398466=DIRECTION('ref_axis',(0.707106781186542,0.707106781186553,0.)); #398467=DIRECTION('',(0.707106781186542,0.707106781186553,0.)); #398468=DIRECTION('',(0.707106781186542,0.707106781186553,0.)); #398469=DIRECTION('',(0.,0.,1.)); #398470=DIRECTION('center_axis',(0.558946331948864,-0.829203833807412,0.)); #398471=DIRECTION('ref_axis',(0.829203833807412,0.558946331948864,0.)); #398472=DIRECTION('',(0.829203833807412,0.558946331948864,0.)); #398473=DIRECTION('',(0.829203833807412,0.558946331948864,0.)); #398474=DIRECTION('',(0.,0.,1.)); #398475=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #398476=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #398477=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #398478=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #398479=DIRECTION('',(0.,0.,1.)); #398480=DIRECTION('center_axis',(0.,-1.,0.)); #398481=DIRECTION('ref_axis',(1.,0.,0.)); #398482=DIRECTION('',(1.,0.,0.)); #398483=DIRECTION('',(1.,0.,0.)); #398484=DIRECTION('',(0.,0.,1.)); #398485=DIRECTION('center_axis',(-0.175495599689754,-0.984480215387558, 0.)); #398486=DIRECTION('ref_axis',(0.984480215387559,-0.175495599689754,0.)); #398487=DIRECTION('',(0.984480215387559,-0.175495599689754,0.)); #398488=DIRECTION('',(0.984480215387559,-0.175495599689754,0.)); #398489=DIRECTION('',(0.,0.,1.)); #398490=DIRECTION('center_axis',(-0.525373540973438,-0.850871695642199, 0.)); #398491=DIRECTION('ref_axis',(0.850871695642199,-0.525373540973438,0.)); #398492=DIRECTION('',(0.850871695642199,-0.525373540973438,0.)); #398493=DIRECTION('',(0.850871695642199,-0.525373540973438,0.)); #398494=DIRECTION('',(0.,0.,1.)); #398495=DIRECTION('center_axis',(-0.81569385217889,-0.578483828224751,0.)); #398496=DIRECTION('ref_axis',(0.578483828224751,-0.81569385217889,0.)); #398497=DIRECTION('',(0.578483828224751,-0.81569385217889,0.)); #398498=DIRECTION('',(0.578483828224751,-0.81569385217889,0.)); #398499=DIRECTION('',(0.,0.,1.)); #398500=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #398501=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #398502=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #398503=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #398504=DIRECTION('',(0.,0.,1.)); #398505=DIRECTION('center_axis',(-0.983056472197872,0.183302952703647,0.)); #398506=DIRECTION('ref_axis',(-0.183302952703647,-0.983056472197872,0.)); #398507=DIRECTION('',(-0.183302952703646,-0.983056472197872,0.)); #398508=DIRECTION('',(-0.183302952703646,-0.983056472197872,0.)); #398509=DIRECTION('',(0.,0.,1.)); #398510=DIRECTION('center_axis',(-0.833659859749845,0.552278225391757,0.)); #398511=DIRECTION('ref_axis',(-0.552278225391757,-0.833659859749845,0.)); #398512=DIRECTION('',(-0.552278225391757,-0.833659859749845,0.)); #398513=DIRECTION('',(-0.552278225391757,-0.833659859749845,0.)); #398514=DIRECTION('',(0.,0.,1.)); #398515=DIRECTION('center_axis',(-0.707106781186542,0.707106781186553,0.)); #398516=DIRECTION('ref_axis',(-0.707106781186553,-0.707106781186542,0.)); #398517=DIRECTION('',(-0.707106781186553,-0.707106781186542,0.)); #398518=DIRECTION('',(-0.707106781186553,-0.707106781186542,0.)); #398519=DIRECTION('',(0.,0.,1.)); #398520=DIRECTION('center_axis',(-1.,0.,0.)); #398521=DIRECTION('ref_axis',(0.,-1.,0.)); #398522=DIRECTION('',(0.,-1.,0.)); #398523=DIRECTION('',(0.,-1.,0.)); #398524=DIRECTION('',(0.,0.,1.)); #398525=DIRECTION('center_axis',(-0.98156086525294,0.191149856928798,0.)); #398526=DIRECTION('ref_axis',(-0.191149856928798,-0.98156086525294,0.)); #398527=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #398528=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #398529=DIRECTION('',(0.,0.,1.)); #398530=DIRECTION('center_axis',(-0.833669916115057,0.552263045083332,0.)); #398531=DIRECTION('ref_axis',(-0.552263045083332,-0.833669916115057,0.)); #398532=DIRECTION('',(-0.552263045083332,-0.833669916115057,0.)); #398533=DIRECTION('',(-0.552263045083332,-0.833669916115057,0.)); #398534=DIRECTION('',(0.,0.,1.)); #398535=DIRECTION('center_axis',(-0.707106781186552,0.707106781186543,0.)); #398536=DIRECTION('ref_axis',(-0.707106781186543,-0.707106781186552,0.)); #398537=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #398538=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #398539=DIRECTION('',(0.,0.,1.)); #398540=DIRECTION('center_axis',(-0.572058771549169,0.820212632122705,0.)); #398541=DIRECTION('ref_axis',(-0.820212632122705,-0.572058771549169,0.)); #398542=DIRECTION('',(-0.820212632122705,-0.572058771549169,0.)); #398543=DIRECTION('',(-0.820212632122705,-0.572058771549169,0.)); #398544=DIRECTION('',(0.,0.,1.)); #398545=DIRECTION('center_axis',(-0.230165683714756,0.973151456886398,0.)); #398546=DIRECTION('ref_axis',(-0.973151456886398,-0.230165683714756,0.)); #398547=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #398548=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #398549=DIRECTION('',(0.,0.,1.)); #398550=DIRECTION('center_axis',(0.167643887112495,0.985847618607365,0.)); #398551=DIRECTION('ref_axis',(-0.985847618607365,0.167643887112495,0.)); #398552=DIRECTION('',(-0.985847618607365,0.167643887112495,0.)); #398553=DIRECTION('',(-0.985847618607365,0.167643887112495,0.)); #398554=DIRECTION('',(0.,0.,1.)); #398555=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #398556=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #398557=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #398558=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #398559=DIRECTION('',(0.,0.,1.)); #398560=DIRECTION('center_axis',(0.824756093514902,0.565488626066023,0.)); #398561=DIRECTION('ref_axis',(-0.565488626066023,0.824756093514902,0.)); #398562=DIRECTION('',(-0.565488626066023,0.824756093514902,0.)); #398563=DIRECTION('',(-0.565488626066023,0.824756093514902,0.)); #398564=DIRECTION('',(0.,0.,1.)); #398565=DIRECTION('center_axis',(0.980008419561381,0.198956019232402,0.)); #398566=DIRECTION('ref_axis',(-0.198956019232402,0.980008419561381,0.)); #398567=DIRECTION('',(-0.198956019232402,0.980008419561381,0.)); #398568=DIRECTION('',(-0.198956019232402,0.980008419561381,0.)); #398569=DIRECTION('',(0.,0.,1.)); #398570=DIRECTION('center_axis',(1.,0.,0.)); #398571=DIRECTION('ref_axis',(0.,1.,0.)); #398572=DIRECTION('',(0.,1.,0.)); #398573=DIRECTION('',(0.,1.,0.)); #398574=DIRECTION('',(0.,0.,1.)); #398575=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #398576=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #398577=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #398578=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #398579=DIRECTION('',(0.,0.,1.)); #398580=DIRECTION('center_axis',(0.,1.,0.)); #398581=DIRECTION('ref_axis',(-1.,0.,0.)); #398582=DIRECTION('',(-1.,0.,0.)); #398583=DIRECTION('',(-1.,0.,0.)); #398584=DIRECTION('',(0.,0.,1.)); #398585=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #398586=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #398587=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #398588=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #398589=DIRECTION('',(0.,0.,1.)); #398590=DIRECTION('center_axis',(-1.,0.,0.)); #398591=DIRECTION('ref_axis',(0.,-1.,0.)); #398592=DIRECTION('',(0.,-1.,0.)); #398593=DIRECTION('',(0.,-1.,0.)); #398594=DIRECTION('',(0.,0.,1.)); #398595=DIRECTION('center_axis',(-0.981560865252974,0.191149856928623,0.)); #398596=DIRECTION('ref_axis',(-0.191149856928623,-0.981560865252974,0.)); #398597=DIRECTION('',(-0.191149856928623,-0.981560865252974,0.)); #398598=DIRECTION('',(-0.191149856928623,-0.981560865252974,0.)); #398599=DIRECTION('',(0.,0.,1.)); #398600=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #398601=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #398602=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #398603=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #398604=DIRECTION('',(0.,0.,1.)); #398605=DIRECTION('center_axis',(-0.707106781186546,0.707106781186549,0.)); #398606=DIRECTION('ref_axis',(-0.707106781186549,-0.707106781186546,0.)); #398607=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #398608=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #398609=DIRECTION('',(0.,0.,1.)); #398610=DIRECTION('center_axis',(-0.558946331948969,0.829203833807341,0.)); #398611=DIRECTION('ref_axis',(-0.829203833807341,-0.558946331948969,0.)); #398612=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #398613=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #398614=DIRECTION('',(0.,0.,1.)); #398615=DIRECTION('center_axis',(-0.19895601923274,0.980008419561313,0.)); #398616=DIRECTION('ref_axis',(-0.980008419561313,-0.19895601923274,0.)); #398617=DIRECTION('',(-0.980008419561313,-0.19895601923274,0.)); #398618=DIRECTION('',(-0.980008419561313,-0.19895601923274,0.)); #398619=DIRECTION('',(0.,0.,1.)); #398620=DIRECTION('center_axis',(0.,1.,0.)); #398621=DIRECTION('ref_axis',(-1.,0.,0.)); #398622=DIRECTION('',(-1.,0.,0.)); #398623=DIRECTION('',(-1.,0.,0.)); #398624=DIRECTION('',(0.,0.,1.)); #398625=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #398626=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #398627=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #398628=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #398629=DIRECTION('',(0.,0.,1.)); #398630=DIRECTION('center_axis',(-1.,0.,0.)); #398631=DIRECTION('ref_axis',(0.,-1.,0.)); #398632=DIRECTION('',(0.,-1.,0.)); #398633=DIRECTION('',(0.,-1.,0.)); #398634=DIRECTION('',(0.,0.,1.)); #398635=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #398636=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #398637=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #398638=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #398639=DIRECTION('',(0.,0.,1.)); #398640=DIRECTION('center_axis',(0.,-1.,0.)); #398641=DIRECTION('ref_axis',(1.,0.,0.)); #398642=DIRECTION('',(1.,0.,0.)); #398643=DIRECTION('',(1.,0.,0.)); #398644=DIRECTION('',(0.,0.,1.)); #398645=DIRECTION('center_axis',(-0.175495599689668,-0.984480215387574, 0.)); #398646=DIRECTION('ref_axis',(0.984480215387574,-0.175495599689668,0.)); #398647=DIRECTION('',(0.984480215387574,-0.175495599689668,0.)); #398648=DIRECTION('',(0.984480215387574,-0.175495599689668,0.)); #398649=DIRECTION('',(0.,0.,1.)); #398650=DIRECTION('center_axis',(-0.52537354097374,-0.850871695642012,0.)); #398651=DIRECTION('ref_axis',(0.850871695642012,-0.52537354097374,0.)); #398652=DIRECTION('',(0.850871695642012,-0.52537354097374,0.)); #398653=DIRECTION('',(0.850871695642012,-0.52537354097374,0.)); #398654=DIRECTION('',(0.,0.,1.)); #398655=DIRECTION('center_axis',(-0.815693852178772,-0.578483828224917, 0.)); #398656=DIRECTION('ref_axis',(0.578483828224917,-0.815693852178772,0.)); #398657=DIRECTION('',(0.578483828224917,-0.815693852178772,0.)); #398658=DIRECTION('',(0.578483828224917,-0.815693852178772,0.)); #398659=DIRECTION('',(0.,0.,1.)); #398660=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #398661=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #398662=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #398663=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #398664=DIRECTION('',(0.,0.,1.)); #398665=DIRECTION('center_axis',(-0.983056472197898,0.183302952703505,0.)); #398666=DIRECTION('ref_axis',(-0.183302952703505,-0.983056472197898,0.)); #398667=DIRECTION('',(-0.183302952703505,-0.983056472197898,0.)); #398668=DIRECTION('',(-0.183302952703505,-0.983056472197898,0.)); #398669=DIRECTION('',(0.,0.,1.)); #398670=DIRECTION('center_axis',(-0.833659859749822,0.552278225391792,0.)); #398671=DIRECTION('ref_axis',(-0.552278225391792,-0.833659859749822,0.)); #398672=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #398673=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #398674=DIRECTION('',(0.,0.,1.)); #398675=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #398676=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #398677=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #398678=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #398679=DIRECTION('',(0.,0.,1.)); #398680=DIRECTION('center_axis',(-1.,0.,0.)); #398681=DIRECTION('ref_axis',(0.,-1.,0.)); #398682=DIRECTION('',(0.,-1.,0.)); #398683=DIRECTION('',(0.,-1.,0.)); #398684=DIRECTION('',(0.,0.,1.)); #398685=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #398686=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #398687=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #398688=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #398689=DIRECTION('',(0.,0.,1.)); #398690=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #398691=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #398692=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #398693=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #398694=DIRECTION('',(0.,0.,1.)); #398695=DIRECTION('center_axis',(-0.707108784529055,0.707104777838364,0.)); #398696=DIRECTION('ref_axis',(-0.707104777838364,-0.707108784529055,0.)); #398697=DIRECTION('',(-0.707104777838364,-0.707108784529055,0.)); #398698=DIRECTION('',(-0.707104777838364,-0.707108784529055,0.)); #398699=DIRECTION('',(0.,0.,1.)); #398700=DIRECTION('center_axis',(-1.,0.,0.)); #398701=DIRECTION('ref_axis',(0.,-1.,0.)); #398702=DIRECTION('',(0.,-1.,0.)); #398703=DIRECTION('',(0.,-1.,0.)); #398704=DIRECTION('',(0.,0.,1.)); #398705=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #398706=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #398707=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #398708=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #398709=DIRECTION('',(0.,0.,1.)); #398710=DIRECTION('center_axis',(0.,-1.,0.)); #398711=DIRECTION('ref_axis',(1.,0.,0.)); #398712=DIRECTION('',(1.,0.,0.)); #398713=DIRECTION('',(1.,0.,0.)); #398714=DIRECTION('',(0.,0.,1.)); #398715=DIRECTION('center_axis',(0.707104327390303,-0.707109234974277,0.)); #398716=DIRECTION('ref_axis',(0.707109234974277,0.707104327390303,0.)); #398717=DIRECTION('',(0.707109234974277,0.707104327390303,0.)); #398718=DIRECTION('',(0.707109234974277,0.707104327390303,0.)); #398719=DIRECTION('',(0.,0.,1.)); #398720=DIRECTION('center_axis',(0.565439331101256,-0.824789890119759,0.)); #398721=DIRECTION('ref_axis',(0.824789890119759,0.565439331101256,0.)); #398722=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #398723=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #398724=DIRECTION('',(0.,0.,1.)); #398725=DIRECTION('center_axis',(0.21467431451675,-0.97668569083752,0.)); #398726=DIRECTION('ref_axis',(0.97668569083752,0.21467431451675,0.)); #398727=DIRECTION('',(0.97668569083752,0.21467431451675,0.)); #398728=DIRECTION('',(0.97668569083752,0.21467431451675,0.)); #398729=DIRECTION('',(0.,0.,1.)); #398730=DIRECTION('center_axis',(-0.183334818573788,-0.983050529880594, 0.)); #398731=DIRECTION('ref_axis',(0.983050529880594,-0.183334818573788,0.)); #398732=DIRECTION('',(0.983050529880594,-0.183334818573788,0.)); #398733=DIRECTION('',(0.983050529880594,-0.183334818573788,0.)); #398734=DIRECTION('',(0.,0.,1.)); #398735=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #398736=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #398737=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #398738=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #398739=DIRECTION('',(0.,0.,1.)); #398740=DIRECTION('center_axis',(-0.707104327390303,-0.707109234974277, 0.)); #398741=DIRECTION('ref_axis',(0.707109234974277,-0.707104327390303,0.)); #398742=DIRECTION('',(0.707109234974277,-0.707104327390303,0.)); #398743=DIRECTION('',(0.707109234974277,-0.707104327390303,0.)); #398744=DIRECTION('',(0.,0.,1.)); #398745=DIRECTION('center_axis',(0.,-1.,0.)); #398746=DIRECTION('ref_axis',(1.,0.,0.)); #398747=DIRECTION('',(1.,0.,0.)); #398748=DIRECTION('',(1.,0.,0.)); #398749=DIRECTION('',(0.,0.,1.)); #398750=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #398751=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #398752=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #398753=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #398754=DIRECTION('',(0.,0.,1.)); #398755=DIRECTION('center_axis',(1.,0.,0.)); #398756=DIRECTION('ref_axis',(0.,1.,0.)); #398757=DIRECTION('',(0.,1.,0.)); #398758=DIRECTION('',(0.,1.,0.)); #398759=DIRECTION('',(0.,0.,1.)); #398760=DIRECTION('center_axis',(0.707108784529073,0.707104777838346,0.)); #398761=DIRECTION('ref_axis',(-0.707104777838346,0.707108784529073,0.)); #398762=DIRECTION('',(-0.707104777838346,0.707108784529073,0.)); #398763=DIRECTION('',(-0.707104777838346,0.707108784529073,0.)); #398764=DIRECTION('',(0.,0.,1.)); #398765=DIRECTION('center_axis',(0.829203833807271,0.558946331949074,0.)); #398766=DIRECTION('ref_axis',(-0.558946331949074,0.829203833807271,0.)); #398767=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #398768=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #398769=DIRECTION('',(0.,0.,1.)); #398770=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #398771=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #398772=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #398773=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #398774=DIRECTION('',(0.,0.,1.)); #398775=DIRECTION('center_axis',(1.,0.,0.)); #398776=DIRECTION('ref_axis',(0.,1.,0.)); #398777=DIRECTION('',(0.,1.,0.)); #398778=DIRECTION('',(0.,1.,0.)); #398779=DIRECTION('',(0.,0.,1.)); #398780=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #398781=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #398782=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #398783=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #398784=DIRECTION('',(0.,0.,1.)); #398785=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #398786=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #398787=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #398788=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #398789=DIRECTION('',(0.,0.,1.)); #398790=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,0.)); #398791=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.)); #398792=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #398793=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #398794=DIRECTION('',(0.,0.,1.)); #398795=DIRECTION('center_axis',(0.572058771549436,-0.820212632122518,0.)); #398796=DIRECTION('ref_axis',(0.820212632122518,0.572058771549436,0.)); #398797=DIRECTION('',(0.820212632122518,0.572058771549436,0.)); #398798=DIRECTION('',(0.820212632122518,0.572058771549436,0.)); #398799=DIRECTION('',(0.,0.,1.)); #398800=DIRECTION('center_axis',(0.230165683714756,-0.973151456886398,0.)); #398801=DIRECTION('ref_axis',(0.973151456886398,0.230165683714756,0.)); #398802=DIRECTION('',(0.973151456886398,0.230165683714756,0.)); #398803=DIRECTION('',(0.973151456886398,0.230165683714756,0.)); #398804=DIRECTION('',(0.,0.,1.)); #398805=DIRECTION('center_axis',(-0.167643887112447,-0.985847618607373, 0.)); #398806=DIRECTION('ref_axis',(0.985847618607373,-0.167643887112447,0.)); #398807=DIRECTION('',(0.985847618607373,-0.167643887112447,0.)); #398808=DIRECTION('',(0.985847618607373,-0.167643887112447,0.)); #398809=DIRECTION('',(0.,0.,1.)); #398810=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #398811=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #398812=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #398813=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #398814=DIRECTION('',(0.,0.,1.)); #398815=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #398816=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #398817=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #398818=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #398819=DIRECTION('',(0.,0.,1.)); #398820=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #398821=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #398822=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #398823=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #398824=DIRECTION('',(0.,0.,1.)); #398825=DIRECTION('center_axis',(-1.,0.,0.)); #398826=DIRECTION('ref_axis',(0.,-1.,0.)); #398827=DIRECTION('',(0.,-1.,0.)); #398828=DIRECTION('',(0.,-1.,0.)); #398829=DIRECTION('',(0.,0.,1.)); #398830=DIRECTION('center_axis',(-0.70710678118655,-0.707106781186545,0.)); #398831=DIRECTION('ref_axis',(0.707106781186545,-0.70710678118655,0.)); #398832=DIRECTION('',(0.707106781186545,-0.70710678118655,0.)); #398833=DIRECTION('',(0.707106781186545,-0.70710678118655,0.)); #398834=DIRECTION('',(0.,0.,1.)); #398835=DIRECTION('center_axis',(-0.829203833807341,-0.558946331948969, 0.)); #398836=DIRECTION('ref_axis',(0.558946331948969,-0.829203833807341,0.)); #398837=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #398838=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #398839=DIRECTION('',(0.,0.,1.)); #398840=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #398841=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #398842=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #398843=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #398844=DIRECTION('',(0.,0.,1.)); #398845=DIRECTION('center_axis',(-1.,0.,0.)); #398846=DIRECTION('ref_axis',(0.,-1.,0.)); #398847=DIRECTION('',(0.,-1.,0.)); #398848=DIRECTION('',(0.,-1.,0.)); #398849=DIRECTION('',(0.,0.,1.)); #398850=DIRECTION('center_axis',(-0.981560865252922,0.191149856928888,0.)); #398851=DIRECTION('ref_axis',(-0.191149856928888,-0.981560865252922,0.)); #398852=DIRECTION('',(-0.191149856928888,-0.981560865252922,0.)); #398853=DIRECTION('',(-0.191149856928888,-0.981560865252922,0.)); #398854=DIRECTION('',(0.,0.,1.)); #398855=DIRECTION('center_axis',(-0.83365985974971,0.552278225391961,0.)); #398856=DIRECTION('ref_axis',(-0.552278225391961,-0.83365985974971,0.)); #398857=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #398858=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #398859=DIRECTION('',(0.,0.,1.)); #398860=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #398861=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #398862=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #398863=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #398864=DIRECTION('',(0.,0.,1.)); #398865=DIRECTION('center_axis',(-0.558946331948898,0.829203833807389,0.)); #398866=DIRECTION('ref_axis',(-0.829203833807389,-0.558946331948898,0.)); #398867=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #398868=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #398869=DIRECTION('',(0.,0.,1.)); #398870=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #398871=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #398872=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #398873=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #398874=DIRECTION('',(0.,0.,1.)); #398875=DIRECTION('center_axis',(0.,1.,0.)); #398876=DIRECTION('ref_axis',(-1.,0.,0.)); #398877=DIRECTION('',(-1.,0.,0.)); #398878=DIRECTION('',(-1.,0.,0.)); #398879=DIRECTION('',(0.,0.,1.)); #398880=DIRECTION('center_axis',(-0.707106781186553,0.707106781186542,0.)); #398881=DIRECTION('ref_axis',(-0.707106781186542,-0.707106781186553,0.)); #398882=DIRECTION('',(-0.707106781186542,-0.707106781186553,0.)); #398883=DIRECTION('',(-0.707106781186542,-0.707106781186553,0.)); #398884=DIRECTION('',(0.,0.,1.)); #398885=DIRECTION('center_axis',(-0.572058771549325,0.820212632122596,0.)); #398886=DIRECTION('ref_axis',(-0.820212632122596,-0.572058771549325,0.)); #398887=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #398888=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #398889=DIRECTION('',(0.,0.,1.)); #398890=DIRECTION('center_axis',(-0.230165683714756,0.973151456886398,0.)); #398891=DIRECTION('ref_axis',(-0.973151456886398,-0.230165683714756,0.)); #398892=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #398893=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #398894=DIRECTION('',(0.,0.,1.)); #398895=DIRECTION('center_axis',(0.167643887112495,0.985847618607365,0.)); #398896=DIRECTION('ref_axis',(-0.985847618607365,0.167643887112495,0.)); #398897=DIRECTION('',(-0.985847618607365,0.167643887112495,0.)); #398898=DIRECTION('',(-0.985847618607365,0.167643887112495,0.)); #398899=DIRECTION('',(0.,0.,1.)); #398900=DIRECTION('center_axis',(0.538911466745818,0.842362410729415,0.)); #398901=DIRECTION('ref_axis',(-0.842362410729414,0.538911466745818,0.)); #398902=DIRECTION('',(-0.842362410729415,0.538911466745818,0.)); #398903=DIRECTION('',(-0.842362410729415,0.538911466745818,0.)); #398904=DIRECTION('',(0.,0.,1.)); #398905=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #398906=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #398907=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #398908=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #398909=DIRECTION('',(0.,0.,1.)); #398910=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #398911=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #398912=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #398913=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #398914=DIRECTION('',(0.,0.,1.)); #398915=DIRECTION('center_axis',(1.,0.,0.)); #398916=DIRECTION('ref_axis',(0.,1.,0.)); #398917=DIRECTION('',(0.,1.,0.)); #398918=DIRECTION('',(0.,1.,0.)); #398919=DIRECTION('',(0.,0.,1.)); #398920=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #398921=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #398922=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #398923=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #398924=DIRECTION('',(0.,0.,1.)); #398925=DIRECTION('center_axis',(0.,1.,0.)); #398926=DIRECTION('ref_axis',(-1.,0.,0.)); #398927=DIRECTION('',(-1.,0.,0.)); #398928=DIRECTION('',(-1.,0.,0.)); #398929=DIRECTION('',(0.,0.,1.)); #398930=DIRECTION('center_axis',(-0.707104327390303,0.707109234974277,0.)); #398931=DIRECTION('ref_axis',(-0.707109234974277,-0.707104327390303,0.)); #398932=DIRECTION('',(-0.707109234974277,-0.707104327390303,0.)); #398933=DIRECTION('',(-0.707109234974277,-0.707104327390303,0.)); #398934=DIRECTION('',(0.,0.,1.)); #398935=DIRECTION('center_axis',(-0.565439331101364,0.824789890119685,0.)); #398936=DIRECTION('ref_axis',(-0.824789890119685,-0.565439331101364,0.)); #398937=DIRECTION('',(-0.824789890119685,-0.565439331101364,0.)); #398938=DIRECTION('',(-0.824789890119685,-0.565439331101364,0.)); #398939=DIRECTION('',(0.,0.,1.)); #398940=DIRECTION('center_axis',(-0.214674314516549,0.976685690837564,0.)); #398941=DIRECTION('ref_axis',(-0.976685690837564,-0.214674314516549,0.)); #398942=DIRECTION('',(-0.976685690837564,-0.214674314516549,0.)); #398943=DIRECTION('',(-0.976685690837564,-0.214674314516549,0.)); #398944=DIRECTION('',(0.,0.,1.)); #398945=DIRECTION('center_axis',(0.183334818573558,0.983050529880637,0.)); #398946=DIRECTION('ref_axis',(-0.983050529880637,0.183334818573558,0.)); #398947=DIRECTION('',(-0.983050529880637,0.183334818573558,0.)); #398948=DIRECTION('',(-0.983050529880637,0.183334818573558,0.)); #398949=DIRECTION('',(0.,0.,1.)); #398950=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #398951=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #398952=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #398953=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #398954=DIRECTION('',(0.,0.,1.)); #398955=DIRECTION('center_axis',(0.707104327390303,0.707109234974277,0.)); #398956=DIRECTION('ref_axis',(-0.707109234974277,0.707104327390303,0.)); #398957=DIRECTION('',(-0.707109234974277,0.707104327390303,0.)); #398958=DIRECTION('',(-0.707109234974277,0.707104327390303,0.)); #398959=DIRECTION('',(0.,0.,1.)); #398960=DIRECTION('center_axis',(0.,1.,0.)); #398961=DIRECTION('ref_axis',(-1.,0.,0.)); #398962=DIRECTION('',(-1.,0.,0.)); #398963=DIRECTION('',(-1.,0.,0.)); #398964=DIRECTION('',(0.,0.,1.)); #398965=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #398966=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #398967=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #398968=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #398969=DIRECTION('',(0.,0.,1.)); #398970=DIRECTION('center_axis',(-1.,0.,0.)); #398971=DIRECTION('ref_axis',(0.,-1.,0.)); #398972=DIRECTION('',(0.,-1.,0.)); #398973=DIRECTION('',(0.,-1.,0.)); #398974=DIRECTION('',(0.,0.,1.)); #398975=DIRECTION('center_axis',(-0.707108784529055,-0.707104777838364, 0.)); #398976=DIRECTION('ref_axis',(0.707104777838364,-0.707108784529055,0.)); #398977=DIRECTION('',(0.707104777838364,-0.707108784529055,0.)); #398978=DIRECTION('',(0.707104777838364,-0.707108784529055,0.)); #398979=DIRECTION('',(0.,0.,1.)); #398980=DIRECTION('center_axis',(-0.829203833807366,-0.558946331948933, 0.)); #398981=DIRECTION('ref_axis',(0.558946331948932,-0.829203833807366,0.)); #398982=DIRECTION('',(0.558946331948932,-0.829203833807366,0.)); #398983=DIRECTION('',(0.558946331948932,-0.829203833807366,0.)); #398984=DIRECTION('',(0.,0.,1.)); #398985=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #398986=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #398987=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #398988=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #398989=DIRECTION('',(0.,0.,1.)); #398990=DIRECTION('center_axis',(-1.,0.,0.)); #398991=DIRECTION('ref_axis',(0.,-1.,0.)); #398992=DIRECTION('',(0.,-1.,0.)); #398993=DIRECTION('',(0.,-1.,0.)); #398994=DIRECTION('',(0.,0.,1.)); #398995=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #398996=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #398997=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #398998=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #398999=DIRECTION('',(0.,0.,1.)); #399000=DIRECTION('center_axis',(-0.833659859749643,0.552278225392063,0.)); #399001=DIRECTION('ref_axis',(-0.552278225392063,-0.833659859749643,0.)); #399002=DIRECTION('',(-0.552278225392063,-0.833659859749643,0.)); #399003=DIRECTION('',(-0.552278225392063,-0.833659859749643,0.)); #399004=DIRECTION('',(0.,0.,1.)); #399005=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #399006=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #399007=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #399008=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #399009=DIRECTION('',(0.,0.,1.)); #399010=DIRECTION('center_axis',(-0.55894633194911,0.829203833807246,0.)); #399011=DIRECTION('ref_axis',(-0.829203833807246,-0.55894633194911,0.)); #399012=DIRECTION('',(-0.829203833807246,-0.55894633194911,0.)); #399013=DIRECTION('',(-0.829203833807246,-0.55894633194911,0.)); #399014=DIRECTION('',(0.,0.,1.)); #399015=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #399016=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #399017=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #399018=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #399019=DIRECTION('',(0.,0.,1.)); #399020=DIRECTION('center_axis',(0.,1.,0.)); #399021=DIRECTION('ref_axis',(-1.,0.,0.)); #399022=DIRECTION('',(-1.,0.,0.)); #399023=DIRECTION('',(-1.,0.,0.)); #399024=DIRECTION('',(0.,0.,1.)); #399025=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #399026=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #399027=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #399028=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #399029=DIRECTION('',(0.,0.,1.)); #399030=DIRECTION('center_axis',(0.538911466745847,0.842362410729396,0.)); #399031=DIRECTION('ref_axis',(-0.842362410729396,0.538911466745847,0.)); #399032=DIRECTION('',(-0.842362410729396,0.538911466745847,0.)); #399033=DIRECTION('',(-0.842362410729396,0.538911466745847,0.)); #399034=DIRECTION('',(0.,0.,1.)); #399035=DIRECTION('center_axis',(0.824745549034014,0.565504004714894,0.)); #399036=DIRECTION('ref_axis',(-0.565504004714894,0.824745549034014,0.)); #399037=DIRECTION('',(-0.565504004714894,0.824745549034014,0.)); #399038=DIRECTION('',(-0.565504004714894,0.824745549034014,0.)); #399039=DIRECTION('',(0.,0.,1.)); #399040=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #399041=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #399042=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #399043=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #399044=DIRECTION('',(0.,0.,1.)); #399045=DIRECTION('center_axis',(1.,0.,0.)); #399046=DIRECTION('ref_axis',(0.,1.,0.)); #399047=DIRECTION('',(0.,1.,0.)); #399048=DIRECTION('',(0.,1.,0.)); #399049=DIRECTION('',(0.,0.,1.)); #399050=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #399051=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #399052=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #399053=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #399054=DIRECTION('',(0.,0.,1.)); #399055=DIRECTION('center_axis',(0.833659859749822,-0.552278225391792,0.)); #399056=DIRECTION('ref_axis',(0.552278225391792,0.833659859749822,0.)); #399057=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #399058=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #399059=DIRECTION('',(0.,0.,1.)); #399060=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #399061=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #399062=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #399063=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #399064=DIRECTION('',(0.,0.,1.)); #399065=DIRECTION('center_axis',(0.558946331948723,-0.829203833807507,0.)); #399066=DIRECTION('ref_axis',(0.829203833807507,0.558946331948723,0.)); #399067=DIRECTION('',(0.829203833807507,0.558946331948723,0.)); #399068=DIRECTION('',(0.829203833807507,0.558946331948723,0.)); #399069=DIRECTION('',(0.,0.,1.)); #399070=DIRECTION('center_axis',(0.19895601923274,-0.980008419561313,0.)); #399071=DIRECTION('ref_axis',(0.980008419561313,0.19895601923274,0.)); #399072=DIRECTION('',(0.980008419561313,0.19895601923274,0.)); #399073=DIRECTION('',(0.980008419561313,0.19895601923274,0.)); #399074=DIRECTION('',(0.,0.,1.)); #399075=DIRECTION('center_axis',(0.,-1.,0.)); #399076=DIRECTION('ref_axis',(1.,0.,0.)); #399077=DIRECTION('',(1.,0.,0.)); #399078=DIRECTION('',(1.,0.,0.)); #399079=DIRECTION('',(0.,0.,1.)); #399080=DIRECTION('center_axis',(0.105885061288489,-0.994378375567336,0.)); #399081=DIRECTION('ref_axis',(0.994378375567335,0.105885061288489,0.)); #399082=DIRECTION('',(0.994378375567335,0.105885061288489,0.)); #399083=DIRECTION('',(0.994378375567335,0.105885061288489,0.)); #399084=DIRECTION('',(0.,0.,1.)); #399085=DIRECTION('center_axis',(0.312922107778818,-0.949778792384449,0.)); #399086=DIRECTION('ref_axis',(0.949778792384449,0.312922107778818,0.)); #399087=DIRECTION('',(0.949778792384449,0.312922107778818,0.)); #399088=DIRECTION('',(0.949778792384449,0.312922107778818,0.)); #399089=DIRECTION('',(0.,0.,1.)); #399090=DIRECTION('center_axis',(0.506114900434878,-0.862466061684628,0.)); #399091=DIRECTION('ref_axis',(0.862466061684628,0.506114900434878,0.)); #399092=DIRECTION('',(0.862466061684628,0.506114900434878,0.)); #399093=DIRECTION('',(0.862466061684628,0.506114900434878,0.)); #399094=DIRECTION('',(0.,0.,1.)); #399095=DIRECTION('center_axis',(0.65250882445189,-0.757781125399949,0.)); #399096=DIRECTION('ref_axis',(0.757781125399949,0.65250882445189,0.)); #399097=DIRECTION('',(0.757781125399949,0.65250882445189,0.)); #399098=DIRECTION('',(0.757781125399949,0.65250882445189,0.)); #399099=DIRECTION('',(0.,0.,1.)); #399100=DIRECTION('center_axis',(0.70710706513946,-0.707106497233521,0.)); #399101=DIRECTION('ref_axis',(0.707106497233521,0.70710706513946,0.)); #399102=DIRECTION('',(0.707106497233521,0.70710706513946,0.)); #399103=DIRECTION('',(0.707106497233521,0.70710706513946,0.)); #399104=DIRECTION('',(0.,0.,1.)); #399105=DIRECTION('center_axis',(0.77804881138165,-0.628203826084816,0.)); #399106=DIRECTION('ref_axis',(0.628203826084816,0.77804881138165,0.)); #399107=DIRECTION('',(0.628203826084816,0.77804881138165,0.)); #399108=DIRECTION('',(0.628203826084816,0.77804881138165,0.)); #399109=DIRECTION('',(0.,0.,1.)); #399110=DIRECTION('center_axis',(0.892938781493067,-0.450178111979777,0.)); #399111=DIRECTION('ref_axis',(0.450178111979777,0.892938781493067,0.)); #399112=DIRECTION('',(0.450178111979777,0.892938781493067,0.)); #399113=DIRECTION('',(0.450178111979777,0.892938781493067,0.)); #399114=DIRECTION('',(0.,0.,1.)); #399115=DIRECTION('center_axis',(0.967662278078034,-0.252249312357498,0.)); #399116=DIRECTION('ref_axis',(0.252249312357498,0.967662278078034,0.)); #399117=DIRECTION('',(0.252249312357498,0.967662278078034,0.)); #399118=DIRECTION('',(0.252249312357498,0.967662278078034,0.)); #399119=DIRECTION('',(0.,0.,1.)); #399120=DIRECTION('center_axis',(0.997238118319985,-0.0742706898420613, 0.)); #399121=DIRECTION('ref_axis',(0.0742706898420613,0.997238118319985,0.)); #399122=DIRECTION('',(0.0742706898420613,0.997238118319985,0.)); #399123=DIRECTION('',(0.0742706898420613,0.997238118319985,0.)); #399124=DIRECTION('',(0.,0.,1.)); #399125=DIRECTION('center_axis',(1.,0.,0.)); #399126=DIRECTION('ref_axis',(0.,1.,0.)); #399127=DIRECTION('',(0.,1.,0.)); #399128=DIRECTION('',(0.,1.,0.)); #399129=DIRECTION('',(0.,0.,1.)); #399130=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #399131=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #399132=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #399133=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #399134=DIRECTION('',(0.,0.,1.)); #399135=DIRECTION('center_axis',(0.84236241072922,-0.538911466746122,0.)); #399136=DIRECTION('ref_axis',(0.538911466746122,0.84236241072922,0.)); #399137=DIRECTION('',(0.538911466746122,0.84236241072922,0.)); #399138=DIRECTION('',(0.538911466746122,0.84236241072922,0.)); #399139=DIRECTION('',(0.,0.,1.)); #399140=DIRECTION('center_axis',(0.565504004715081,-0.824745549033886,0.)); #399141=DIRECTION('ref_axis',(0.824745549033886,0.565504004715081,0.)); #399142=DIRECTION('',(0.824745549033886,0.565504004715081,0.)); #399143=DIRECTION('',(0.824745549033886,0.565504004715081,0.)); #399144=DIRECTION('center_axis',(0.,0.,1.)); #399145=DIRECTION('ref_axis',(1.,0.,0.)); #399146=DIRECTION('center_axis',(0.,0.,1.)); #399147=DIRECTION('ref_axis',(1.,0.,0.)); #399148=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #399149=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #399150=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #399151=DIRECTION('',(0.,0.,1.)); #399152=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #399153=DIRECTION('',(0.,0.,1.)); #399154=DIRECTION('center_axis',(0.,-1.,0.)); #399155=DIRECTION('ref_axis',(1.,0.,0.)); #399156=DIRECTION('',(1.,0.,0.)); #399157=DIRECTION('',(1.,0.,0.)); #399158=DIRECTION('',(0.,0.,1.)); #399159=DIRECTION('center_axis',(-0.17550205938607,-0.984479063846077,0.)); #399160=DIRECTION('ref_axis',(0.984479063846077,-0.17550205938607,0.)); #399161=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #399162=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #399163=DIRECTION('',(0.,0.,1.)); #399164=DIRECTION('center_axis',(-0.525373540973438,-0.850871695642199, 0.)); #399165=DIRECTION('ref_axis',(0.850871695642199,-0.525373540973438,0.)); #399166=DIRECTION('',(0.850871695642199,-0.525373540973438,0.)); #399167=DIRECTION('',(0.850871695642199,-0.525373540973438,0.)); #399168=DIRECTION('',(0.,0.,1.)); #399169=DIRECTION('center_axis',(-0.815693852178959,-0.578483828224653, 0.)); #399170=DIRECTION('ref_axis',(0.578483828224653,-0.815693852178959,0.)); #399171=DIRECTION('',(0.578483828224653,-0.815693852178959,0.)); #399172=DIRECTION('',(0.578483828224653,-0.815693852178959,0.)); #399173=DIRECTION('',(0.,0.,1.)); #399174=DIRECTION('center_axis',(-0.97669260445364,-0.214642857802362,0.)); #399175=DIRECTION('ref_axis',(0.214642857802362,-0.97669260445364,0.)); #399176=DIRECTION('',(0.214642857802362,-0.97669260445364,0.)); #399177=DIRECTION('',(0.214642857802362,-0.97669260445364,0.)); #399178=DIRECTION('',(0.,0.,1.)); #399179=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #399180=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #399181=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #399182=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #399183=DIRECTION('',(0.,0.,1.)); #399184=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #399185=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #399186=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #399187=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #399188=DIRECTION('',(0.,0.,1.)); #399189=DIRECTION('center_axis',(-0.707106781186553,0.707106781186542,0.)); #399190=DIRECTION('ref_axis',(-0.707106781186542,-0.707106781186553,0.)); #399191=DIRECTION('',(-0.707106781186542,-0.707106781186553,0.)); #399192=DIRECTION('',(-0.707106781186542,-0.707106781186553,0.)); #399193=DIRECTION('',(0.,0.,1.)); #399194=DIRECTION('center_axis',(-0.558946331948917,0.829203833807377,0.)); #399195=DIRECTION('ref_axis',(-0.829203833807377,-0.558946331948917,0.)); #399196=DIRECTION('',(-0.829203833807377,-0.558946331948917,0.)); #399197=DIRECTION('',(-0.829203833807377,-0.558946331948917,0.)); #399198=DIRECTION('',(0.,0.,1.)); #399199=DIRECTION('center_axis',(-0.198956019232641,0.980008419561333,0.)); #399200=DIRECTION('ref_axis',(-0.980008419561333,-0.198956019232641,0.)); #399201=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #399202=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #399203=DIRECTION('',(0.,0.,1.)); #399204=DIRECTION('center_axis',(0.,1.,0.)); #399205=DIRECTION('ref_axis',(-1.,0.,0.)); #399206=DIRECTION('',(-1.,0.,0.)); #399207=DIRECTION('',(-1.,0.,0.)); #399208=DIRECTION('',(0.,0.,1.)); #399209=DIRECTION('center_axis',(0.191149856928815,0.981560865252937,0.)); #399210=DIRECTION('ref_axis',(-0.981560865252937,0.191149856928815,0.)); #399211=DIRECTION('',(-0.981560865252937,0.191149856928815,0.)); #399212=DIRECTION('',(-0.981560865252937,0.191149856928815,0.)); #399213=DIRECTION('',(0.,0.,1.)); #399214=DIRECTION('center_axis',(0.552278225391843,0.833659859749789,0.)); #399215=DIRECTION('ref_axis',(-0.833659859749789,0.552278225391843,0.)); #399216=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #399217=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #399218=DIRECTION('',(0.,0.,1.)); #399219=DIRECTION('center_axis',(0.707106781186559,0.707106781186536,0.)); #399220=DIRECTION('ref_axis',(-0.707106781186536,0.707106781186559,0.)); #399221=DIRECTION('',(-0.707106781186536,0.707106781186559,0.)); #399222=DIRECTION('',(-0.707106781186536,0.707106781186559,0.)); #399223=DIRECTION('',(0.,0.,1.)); #399224=DIRECTION('center_axis',(0.824789890119785,0.565439331101219,0.)); #399225=DIRECTION('ref_axis',(-0.565439331101219,0.824789890119785,0.)); #399226=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #399227=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #399228=DIRECTION('',(0.,0.,1.)); #399229=DIRECTION('center_axis',(0.976685690837557,0.214674314516579,0.)); #399230=DIRECTION('ref_axis',(-0.214674314516579,0.976685690837557,0.)); #399231=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #399232=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #399233=DIRECTION('',(0.,0.,1.)); #399234=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #399235=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #399236=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #399237=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #399238=DIRECTION('',(0.,0.,1.)); #399239=DIRECTION('center_axis',(0.833659859749733,-0.552278225391927,0.)); #399240=DIRECTION('ref_axis',(0.552278225391927,0.833659859749732,0.)); #399241=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #399242=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #399243=DIRECTION('',(0.,0.,1.)); #399244=DIRECTION('center_axis',(0.707106781186559,-0.707106781186536,0.)); #399245=DIRECTION('ref_axis',(0.707106781186536,0.707106781186559,0.)); #399246=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #399247=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #399248=DIRECTION('',(0.,0.,1.)); #399249=DIRECTION('center_axis',(0.558946331948775,-0.829203833807472,0.)); #399250=DIRECTION('ref_axis',(0.829203833807472,0.558946331948775,0.)); #399251=DIRECTION('',(0.829203833807472,0.558946331948775,0.)); #399252=DIRECTION('',(0.829203833807472,0.558946331948775,0.)); #399253=DIRECTION('center_axis',(0.,0.,1.)); #399254=DIRECTION('ref_axis',(1.,0.,0.)); #399255=DIRECTION('center_axis',(0.,0.,1.)); #399256=DIRECTION('ref_axis',(1.,0.,0.)); #399257=DIRECTION('center_axis',(0.214642857802207,-0.976692604453674,0.)); #399258=DIRECTION('ref_axis',(0.976692604453674,0.214642857802207,0.)); #399259=DIRECTION('',(0.976692604453674,0.214642857802207,0.)); #399260=DIRECTION('',(0.,0.,1.)); #399261=DIRECTION('',(0.976692604453674,0.214642857802207,0.)); #399262=DIRECTION('',(0.,0.,1.)); #399263=DIRECTION('center_axis',(-0.18330295270339,-0.983056472197919,0.)); #399264=DIRECTION('ref_axis',(0.98305647219792,-0.18330295270339,0.)); #399265=DIRECTION('',(0.98305647219792,-0.18330295270339,0.)); #399266=DIRECTION('',(0.98305647219792,-0.18330295270339,0.)); #399267=DIRECTION('',(0.,0.,1.)); #399268=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #399269=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #399270=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #399271=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #399272=DIRECTION('',(0.,0.,1.)); #399273=DIRECTION('center_axis',(-0.707106781186545,-0.70710678118655,0.)); #399274=DIRECTION('ref_axis',(0.70710678118655,-0.707106781186545,0.)); #399275=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #399276=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #399277=DIRECTION('',(0.,0.,1.)); #399278=DIRECTION('center_axis',(-0.829203833807389,-0.558946331948898, 0.)); #399279=DIRECTION('ref_axis',(0.558946331948898,-0.829203833807389,0.)); #399280=DIRECTION('',(0.558946331948898,-0.829203833807389,0.)); #399281=DIRECTION('',(0.558946331948898,-0.829203833807389,0.)); #399282=DIRECTION('',(0.,0.,1.)); #399283=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #399284=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #399285=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #399286=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #399287=DIRECTION('',(0.,0.,1.)); #399288=DIRECTION('center_axis',(-1.,0.,0.)); #399289=DIRECTION('ref_axis',(0.,-1.,0.)); #399290=DIRECTION('',(0.,-1.,0.)); #399291=DIRECTION('',(0.,-1.,0.)); #399292=DIRECTION('',(0.,0.,1.)); #399293=DIRECTION('center_axis',(-0.984479063846087,0.175502059386013,0.)); #399294=DIRECTION('ref_axis',(-0.175502059386013,-0.984479063846087,0.)); #399295=DIRECTION('',(-0.175502059386013,-0.984479063846087,0.)); #399296=DIRECTION('',(-0.175502059386013,-0.984479063846087,0.)); #399297=DIRECTION('',(0.,0.,1.)); #399298=DIRECTION('center_axis',(-0.850880796063459,0.525358802049051,0.)); #399299=DIRECTION('ref_axis',(-0.525358802049051,-0.850880796063459,0.)); #399300=DIRECTION('',(-0.525358802049051,-0.850880796063459,0.)); #399301=DIRECTION('',(-0.525358802049051,-0.850880796063459,0.)); #399302=DIRECTION('',(0.,0.,1.)); #399303=DIRECTION('center_axis',(-0.578461888589359,0.815709411156713,0.)); #399304=DIRECTION('ref_axis',(-0.815709411156713,-0.578461888589359,0.)); #399305=DIRECTION('',(-0.815709411156713,-0.578461888589359,0.)); #399306=DIRECTION('',(-0.815709411156713,-0.578461888589359,0.)); #399307=DIRECTION('',(0.,0.,1.)); #399308=DIRECTION('center_axis',(-0.214674314516579,0.976685690837557,0.)); #399309=DIRECTION('ref_axis',(-0.976685690837557,-0.214674314516579,0.)); #399310=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #399311=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #399312=DIRECTION('',(0.,0.,1.)); #399313=DIRECTION('center_axis',(0.18333481857362,0.983050529880625,0.)); #399314=DIRECTION('ref_axis',(-0.983050529880625,0.18333481857362,0.)); #399315=DIRECTION('',(-0.983050529880625,0.18333481857362,0.)); #399316=DIRECTION('',(-0.983050529880625,0.18333481857362,0.)); #399317=DIRECTION('',(0.,0.,1.)); #399318=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #399319=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #399320=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #399321=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #399322=DIRECTION('',(0.,0.,1.)); #399323=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #399324=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #399325=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #399326=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #399327=DIRECTION('',(0.,0.,1.)); #399328=DIRECTION('center_axis',(0.829203833807389,0.558946331948898,0.)); #399329=DIRECTION('ref_axis',(-0.558946331948898,0.829203833807389,0.)); #399330=DIRECTION('',(-0.558946331948898,0.829203833807389,0.)); #399331=DIRECTION('',(-0.558946331948898,0.829203833807389,0.)); #399332=DIRECTION('',(0.,0.,1.)); #399333=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #399334=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #399335=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #399336=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #399337=DIRECTION('',(0.,0.,1.)); #399338=DIRECTION('center_axis',(1.,0.,0.)); #399339=DIRECTION('ref_axis',(0.,1.,0.)); #399340=DIRECTION('',(0.,1.,0.)); #399341=DIRECTION('',(0.,1.,0.)); #399342=DIRECTION('',(0.,0.,1.)); #399343=DIRECTION('center_axis',(0.984479063846087,-0.175502059386013,0.)); #399344=DIRECTION('ref_axis',(0.175502059386013,0.984479063846087,0.)); #399345=DIRECTION('',(0.175502059386013,0.984479063846087,0.)); #399346=DIRECTION('',(0.175502059386013,0.984479063846087,0.)); #399347=DIRECTION('',(0.,0.,1.)); #399348=DIRECTION('center_axis',(0.850871695642093,-0.525373540973609,0.)); #399349=DIRECTION('ref_axis',(0.525373540973609,0.850871695642093,0.)); #399350=DIRECTION('',(0.525373540973609,0.850871695642093,0.)); #399351=DIRECTION('',(0.525373540973609,0.850871695642093,0.)); #399352=DIRECTION('',(0.,0.,1.)); #399353=DIRECTION('center_axis',(0.57848382822482,-0.815693852178841,0.)); #399354=DIRECTION('ref_axis',(0.815693852178841,0.57848382822482,0.)); #399355=DIRECTION('',(0.815693852178841,0.57848382822482,0.)); #399356=DIRECTION('',(0.815693852178841,0.57848382822482,0.)); #399357=DIRECTION('center_axis',(0.,0.,1.)); #399358=DIRECTION('ref_axis',(1.,0.,0.)); #399359=DIRECTION('center_axis',(0.,0.,1.)); #399360=DIRECTION('ref_axis',(1.,0.,0.)); #399361=DIRECTION('center_axis',(0.,0.,1.)); #399362=DIRECTION('ref_axis',(1.,0.,0.)); #399363=DIRECTION('center_axis',(0.,0.,1.)); #399364=DIRECTION('ref_axis',(1.,0.,0.)); #399365=DIRECTION('',(0.,0.,1.)); #399366=DIRECTION('center_axis',(0.,0.,-1.)); #399367=DIRECTION('ref_axis',(1.,0.,0.)); #399368=DIRECTION('center_axis',(0.,0.,1.)); #399369=DIRECTION('ref_axis',(1.,0.,0.)); #399370=DIRECTION('center_axis',(0.,0.,1.)); #399371=DIRECTION('ref_axis',(1.,0.,0.)); #399372=DIRECTION('',(0.,0.,1.)); #399373=DIRECTION('center_axis',(0.,0.,-1.)); #399374=DIRECTION('ref_axis',(1.,0.,0.)); #399375=DIRECTION('center_axis',(0.,0.,1.)); #399376=DIRECTION('ref_axis',(1.,0.,0.)); #399377=DIRECTION('center_axis',(0.,0.,1.)); #399378=DIRECTION('ref_axis',(1.,0.,0.)); #399379=DIRECTION('',(0.,0.,1.)); #399380=DIRECTION('center_axis',(0.,0.,-1.)); #399381=DIRECTION('ref_axis',(1.,0.,0.)); #399382=DIRECTION('center_axis',(0.,0.,1.)); #399383=DIRECTION('ref_axis',(1.,0.,0.)); #399384=DIRECTION('center_axis',(0.,0.,1.)); #399385=DIRECTION('ref_axis',(1.,0.,0.)); #399386=DIRECTION('',(0.,0.,1.)); #399387=DIRECTION('center_axis',(0.,0.,-1.)); #399388=DIRECTION('ref_axis',(1.,0.,0.)); #399389=DIRECTION('center_axis',(0.,0.,1.)); #399390=DIRECTION('ref_axis',(1.,0.,0.)); #399391=DIRECTION('center_axis',(0.,0.,1.)); #399392=DIRECTION('ref_axis',(1.,0.,0.)); #399393=DIRECTION('',(0.,0.,1.)); #399394=DIRECTION('center_axis',(0.,0.,-1.)); #399395=DIRECTION('ref_axis',(1.,0.,0.)); #399396=DIRECTION('center_axis',(0.,0.,1.)); #399397=DIRECTION('ref_axis',(1.,0.,0.)); #399398=DIRECTION('center_axis',(0.,0.,1.)); #399399=DIRECTION('ref_axis',(1.,0.,0.)); #399400=DIRECTION('',(0.,0.,1.)); #399401=DIRECTION('center_axis',(0.,0.,-1.)); #399402=DIRECTION('ref_axis',(1.,0.,0.)); #399403=DIRECTION('center_axis',(0.,0.,1.)); #399404=DIRECTION('ref_axis',(1.,0.,0.)); #399405=DIRECTION('center_axis',(0.,0.,1.)); #399406=DIRECTION('ref_axis',(1.,0.,0.)); #399407=DIRECTION('',(0.,0.,1.)); #399408=DIRECTION('center_axis',(0.,0.,-1.)); #399409=DIRECTION('ref_axis',(1.,0.,0.)); #399410=DIRECTION('center_axis',(0.,0.,1.)); #399411=DIRECTION('ref_axis',(1.,0.,0.)); #399412=DIRECTION('center_axis',(0.,0.,1.)); #399413=DIRECTION('ref_axis',(1.,0.,0.)); #399414=DIRECTION('',(0.,0.,1.)); #399415=DIRECTION('center_axis',(0.,0.,-1.)); #399416=DIRECTION('ref_axis',(1.,0.,0.)); #399417=DIRECTION('center_axis',(0.,0.,1.)); #399418=DIRECTION('ref_axis',(1.,0.,0.)); #399419=DIRECTION('center_axis',(0.,0.,1.)); #399420=DIRECTION('ref_axis',(1.,0.,0.)); #399421=DIRECTION('',(0.,0.,1.)); #399422=DIRECTION('center_axis',(0.,0.,-1.)); #399423=DIRECTION('ref_axis',(1.,0.,0.)); #399424=DIRECTION('center_axis',(0.,0.,1.)); #399425=DIRECTION('ref_axis',(1.,0.,0.)); #399426=DIRECTION('center_axis',(0.,0.,1.)); #399427=DIRECTION('ref_axis',(1.,0.,0.)); #399428=DIRECTION('',(0.,0.,1.)); #399429=DIRECTION('center_axis',(0.,0.,-1.)); #399430=DIRECTION('ref_axis',(1.,0.,0.)); #399431=DIRECTION('center_axis',(0.,0.,1.)); #399432=DIRECTION('ref_axis',(1.,0.,0.)); #399433=DIRECTION('center_axis',(0.,0.,1.)); #399434=DIRECTION('ref_axis',(1.,0.,0.)); #399435=DIRECTION('',(0.,0.,1.)); #399436=DIRECTION('center_axis',(0.,0.,-1.)); #399437=DIRECTION('ref_axis',(1.,0.,0.)); #399438=DIRECTION('center_axis',(0.,0.,1.)); #399439=DIRECTION('ref_axis',(1.,0.,0.)); #399440=DIRECTION('center_axis',(0.,0.,1.)); #399441=DIRECTION('ref_axis',(1.,0.,0.)); #399442=DIRECTION('',(0.,0.,1.)); #399443=DIRECTION('center_axis',(0.,0.,-1.)); #399444=DIRECTION('ref_axis',(1.,0.,0.)); #399445=DIRECTION('center_axis',(0.,0.,1.)); #399446=DIRECTION('ref_axis',(1.,0.,0.)); #399447=DIRECTION('center_axis',(0.,0.,1.)); #399448=DIRECTION('ref_axis',(1.,0.,0.)); #399449=DIRECTION('',(0.,0.,1.)); #399450=DIRECTION('center_axis',(0.,0.,-1.)); #399451=DIRECTION('ref_axis',(1.,0.,0.)); #399452=DIRECTION('center_axis',(0.,0.,1.)); #399453=DIRECTION('ref_axis',(1.,0.,0.)); #399454=DIRECTION('center_axis',(0.,0.,1.)); #399455=DIRECTION('ref_axis',(1.,0.,0.)); #399456=DIRECTION('',(0.,0.,1.)); #399457=DIRECTION('center_axis',(0.,0.,-1.)); #399458=DIRECTION('ref_axis',(1.,0.,0.)); #399459=DIRECTION('center_axis',(0.,0.,1.)); #399460=DIRECTION('ref_axis',(1.,0.,0.)); #399461=DIRECTION('center_axis',(0.,0.,1.)); #399462=DIRECTION('ref_axis',(1.,0.,0.)); #399463=DIRECTION('',(0.,0.,1.)); #399464=DIRECTION('center_axis',(0.,0.,-1.)); #399465=DIRECTION('ref_axis',(1.,0.,0.)); #399466=DIRECTION('center_axis',(0.,0.,1.)); #399467=DIRECTION('ref_axis',(1.,0.,0.)); #399468=DIRECTION('center_axis',(0.,0.,1.)); #399469=DIRECTION('ref_axis',(1.,0.,0.)); #399470=DIRECTION('',(0.,0.,1.)); #399471=DIRECTION('center_axis',(0.,0.,-1.)); #399472=DIRECTION('ref_axis',(1.,0.,0.)); #399473=DIRECTION('center_axis',(0.,0.,1.)); #399474=DIRECTION('ref_axis',(1.,0.,0.)); #399475=DIRECTION('center_axis',(0.,0.,1.)); #399476=DIRECTION('ref_axis',(1.,0.,0.)); #399477=DIRECTION('',(0.,0.,1.)); #399478=DIRECTION('center_axis',(0.,0.,-1.)); #399479=DIRECTION('ref_axis',(1.,0.,0.)); #399480=DIRECTION('center_axis',(0.,0.,1.)); #399481=DIRECTION('ref_axis',(1.,0.,0.)); #399482=DIRECTION('center_axis',(0.,0.,1.)); #399483=DIRECTION('ref_axis',(1.,0.,0.)); #399484=DIRECTION('',(0.,0.,1.)); #399485=DIRECTION('center_axis',(0.,0.,-1.)); #399486=DIRECTION('ref_axis',(1.,0.,0.)); #399487=DIRECTION('center_axis',(0.,0.,1.)); #399488=DIRECTION('ref_axis',(1.,0.,0.)); #399489=DIRECTION('center_axis',(0.,0.,1.)); #399490=DIRECTION('ref_axis',(1.,0.,0.)); #399491=DIRECTION('',(0.,0.,1.)); #399492=DIRECTION('center_axis',(0.,0.,-1.)); #399493=DIRECTION('ref_axis',(1.,0.,0.)); #399494=DIRECTION('center_axis',(0.,0.,1.)); #399495=DIRECTION('ref_axis',(1.,0.,0.)); #399496=DIRECTION('center_axis',(0.,0.,1.)); #399497=DIRECTION('ref_axis',(1.,0.,0.)); #399498=DIRECTION('',(0.,0.,1.)); #399499=DIRECTION('center_axis',(0.,0.,-1.)); #399500=DIRECTION('ref_axis',(1.,0.,0.)); #399501=DIRECTION('center_axis',(0.,0.,1.)); #399502=DIRECTION('ref_axis',(1.,0.,0.)); #399503=DIRECTION('center_axis',(0.,0.,1.)); #399504=DIRECTION('ref_axis',(1.,0.,0.)); #399505=DIRECTION('',(0.,0.,1.)); #399506=DIRECTION('center_axis',(0.,0.,-1.)); #399507=DIRECTION('ref_axis',(1.,0.,0.)); #399508=DIRECTION('center_axis',(0.,0.,1.)); #399509=DIRECTION('ref_axis',(1.,0.,0.)); #399510=DIRECTION('center_axis',(0.,0.,1.)); #399511=DIRECTION('ref_axis',(1.,0.,0.)); #399512=DIRECTION('',(0.,0.,1.)); #399513=DIRECTION('center_axis',(0.,0.,-1.)); #399514=DIRECTION('ref_axis',(1.,0.,0.)); #399515=DIRECTION('center_axis',(0.,0.,1.)); #399516=DIRECTION('ref_axis',(1.,0.,0.)); #399517=DIRECTION('center_axis',(0.,0.,1.)); #399518=DIRECTION('ref_axis',(1.,0.,0.)); #399519=DIRECTION('',(0.,0.,1.)); #399520=DIRECTION('center_axis',(0.,0.,-1.)); #399521=DIRECTION('ref_axis',(1.,0.,0.)); #399522=DIRECTION('center_axis',(0.,0.,1.)); #399523=DIRECTION('ref_axis',(1.,0.,0.)); #399524=DIRECTION('center_axis',(0.,0.,1.)); #399525=DIRECTION('ref_axis',(1.,0.,0.)); #399526=DIRECTION('',(0.,0.,1.)); #399527=DIRECTION('center_axis',(0.,0.,-1.)); #399528=DIRECTION('ref_axis',(1.,0.,0.)); #399529=DIRECTION('center_axis',(0.,0.,1.)); #399530=DIRECTION('ref_axis',(1.,0.,0.)); #399531=DIRECTION('center_axis',(0.,0.,1.)); #399532=DIRECTION('ref_axis',(1.,0.,0.)); #399533=DIRECTION('',(0.,0.,1.)); #399534=DIRECTION('center_axis',(0.,0.,-1.)); #399535=DIRECTION('ref_axis',(1.,0.,0.)); #399536=DIRECTION('center_axis',(0.,0.,1.)); #399537=DIRECTION('ref_axis',(1.,0.,0.)); #399538=DIRECTION('center_axis',(0.,0.,1.)); #399539=DIRECTION('ref_axis',(1.,0.,0.)); #399540=DIRECTION('',(0.,0.,1.)); #399541=DIRECTION('center_axis',(0.,0.,-1.)); #399542=DIRECTION('ref_axis',(1.,0.,0.)); #399543=DIRECTION('center_axis',(0.,0.,1.)); #399544=DIRECTION('ref_axis',(1.,0.,0.)); #399545=DIRECTION('center_axis',(0.,0.,1.)); #399546=DIRECTION('ref_axis',(1.,0.,0.)); #399547=DIRECTION('',(0.,0.,1.)); #399548=DIRECTION('center_axis',(0.,0.,-1.)); #399549=DIRECTION('ref_axis',(1.,0.,0.)); #399550=DIRECTION('center_axis',(0.,0.,1.)); #399551=DIRECTION('ref_axis',(1.,0.,0.)); #399552=DIRECTION('center_axis',(0.,0.,1.)); #399553=DIRECTION('ref_axis',(1.,0.,0.)); #399554=DIRECTION('',(0.,0.,1.)); #399555=DIRECTION('center_axis',(0.,0.,-1.)); #399556=DIRECTION('ref_axis',(1.,0.,0.)); #399557=DIRECTION('center_axis',(0.,0.,1.)); #399558=DIRECTION('ref_axis',(1.,0.,0.)); #399559=DIRECTION('center_axis',(0.,0.,1.)); #399560=DIRECTION('ref_axis',(1.,0.,0.)); #399561=DIRECTION('',(0.,0.,1.)); #399562=DIRECTION('center_axis',(0.,0.,-1.)); #399563=DIRECTION('ref_axis',(1.,0.,0.)); #399564=DIRECTION('center_axis',(0.,0.,1.)); #399565=DIRECTION('ref_axis',(1.,0.,0.)); #399566=DIRECTION('center_axis',(0.,0.,1.)); #399567=DIRECTION('ref_axis',(1.,0.,0.)); #399568=DIRECTION('',(0.,0.,1.)); #399569=DIRECTION('center_axis',(0.,0.,-1.)); #399570=DIRECTION('ref_axis',(1.,0.,0.)); #399571=DIRECTION('center_axis',(0.,0.,1.)); #399572=DIRECTION('ref_axis',(1.,0.,0.)); #399573=DIRECTION('center_axis',(0.,0.,1.)); #399574=DIRECTION('ref_axis',(1.,0.,0.)); #399575=DIRECTION('',(0.,0.,1.)); #399576=DIRECTION('center_axis',(0.,0.,-1.)); #399577=DIRECTION('ref_axis',(1.,0.,0.)); #399578=DIRECTION('center_axis',(0.,0.,1.)); #399579=DIRECTION('ref_axis',(1.,0.,0.)); #399580=DIRECTION('center_axis',(0.,0.,1.)); #399581=DIRECTION('ref_axis',(1.,0.,0.)); #399582=DIRECTION('',(0.,0.,1.)); #399583=DIRECTION('center_axis',(0.,0.,-1.)); #399584=DIRECTION('ref_axis',(1.,0.,0.)); #399585=DIRECTION('center_axis',(0.,0.,1.)); #399586=DIRECTION('ref_axis',(1.,0.,0.)); #399587=DIRECTION('center_axis',(0.,0.,1.)); #399588=DIRECTION('ref_axis',(1.,0.,0.)); #399589=DIRECTION('',(0.,0.,1.)); #399590=DIRECTION('center_axis',(0.,0.,-1.)); #399591=DIRECTION('ref_axis',(1.,0.,0.)); #399592=DIRECTION('center_axis',(0.,0.,1.)); #399593=DIRECTION('ref_axis',(1.,0.,0.)); #399594=DIRECTION('center_axis',(0.,0.,1.)); #399595=DIRECTION('ref_axis',(1.,0.,0.)); #399596=DIRECTION('',(0.,0.,1.)); #399597=DIRECTION('center_axis',(0.,0.,-1.)); #399598=DIRECTION('ref_axis',(1.,0.,0.)); #399599=DIRECTION('center_axis',(0.,0.,1.)); #399600=DIRECTION('ref_axis',(1.,0.,0.)); #399601=DIRECTION('center_axis',(0.,0.,1.)); #399602=DIRECTION('ref_axis',(1.,0.,0.)); #399603=DIRECTION('',(0.,0.,1.)); #399604=DIRECTION('center_axis',(0.,0.,-1.)); #399605=DIRECTION('ref_axis',(1.,0.,0.)); #399606=DIRECTION('center_axis',(1.,0.,0.)); #399607=DIRECTION('ref_axis',(0.,1.,0.)); #399608=DIRECTION('',(0.,-1.,0.)); #399609=DIRECTION('',(0.,0.,1.)); #399610=DIRECTION('',(0.,1.,0.)); #399611=DIRECTION('',(0.,0.,1.)); #399612=DIRECTION('center_axis',(0.,0.,1.)); #399613=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #399614=DIRECTION('center_axis',(0.,0.,-1.)); #399615=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #399616=DIRECTION('center_axis',(0.,0.,1.)); #399617=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #399618=DIRECTION('',(0.,0.,1.)); #399619=DIRECTION('center_axis',(-1.,0.,0.)); #399620=DIRECTION('ref_axis',(0.,-1.,0.)); #399621=DIRECTION('',(0.,1.,0.)); #399622=DIRECTION('',(0.,-1.,0.)); #399623=DIRECTION('',(0.,0.,1.)); #399624=DIRECTION('center_axis',(0.,0.,1.)); #399625=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #399626=DIRECTION('center_axis',(0.,0.,-1.)); #399627=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #399628=DIRECTION('center_axis',(0.,0.,1.)); #399629=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #399630=DIRECTION('center_axis',(0.,0.,1.)); #399631=DIRECTION('ref_axis',(1.,0.,0.)); #399632=DIRECTION('center_axis',(0.,0.,1.)); #399633=DIRECTION('ref_axis',(1.,0.,0.)); #399634=DIRECTION('',(0.,0.,1.)); #399635=DIRECTION('center_axis',(0.,0.,-1.)); #399636=DIRECTION('ref_axis',(1.,0.,0.)); #399637=DIRECTION('center_axis',(0.,0.,1.)); #399638=DIRECTION('ref_axis',(1.,0.,0.)); #399639=DIRECTION('center_axis',(0.,0.,1.)); #399640=DIRECTION('ref_axis',(1.,0.,0.)); #399641=DIRECTION('',(0.,0.,1.)); #399642=DIRECTION('center_axis',(0.,0.,-1.)); #399643=DIRECTION('ref_axis',(1.,0.,0.)); #399644=DIRECTION('center_axis',(0.,0.,1.)); #399645=DIRECTION('ref_axis',(1.,0.,0.)); #399646=DIRECTION('center_axis',(0.,0.,1.)); #399647=DIRECTION('ref_axis',(1.,0.,0.)); #399648=DIRECTION('',(0.,0.,1.)); #399649=DIRECTION('center_axis',(0.,0.,-1.)); #399650=DIRECTION('ref_axis',(1.,0.,0.)); #399651=DIRECTION('center_axis',(0.,0.,1.)); #399652=DIRECTION('ref_axis',(1.,0.,0.)); #399653=DIRECTION('center_axis',(0.,0.,1.)); #399654=DIRECTION('ref_axis',(1.,0.,0.)); #399655=DIRECTION('',(0.,0.,1.)); #399656=DIRECTION('center_axis',(0.,0.,-1.)); #399657=DIRECTION('ref_axis',(1.,0.,0.)); #399658=DIRECTION('center_axis',(1.,0.,0.)); #399659=DIRECTION('ref_axis',(0.,1.,0.)); #399660=DIRECTION('',(0.,-1.,0.)); #399661=DIRECTION('',(0.,0.,1.)); #399662=DIRECTION('',(0.,1.,0.)); #399663=DIRECTION('',(0.,0.,1.)); #399664=DIRECTION('center_axis',(0.,0.,1.)); #399665=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #399666=DIRECTION('center_axis',(0.,0.,-1.)); #399667=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #399668=DIRECTION('center_axis',(0.,0.,1.)); #399669=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #399670=DIRECTION('',(0.,0.,1.)); #399671=DIRECTION('center_axis',(-1.,0.,0.)); #399672=DIRECTION('ref_axis',(0.,-1.,0.)); #399673=DIRECTION('',(0.,1.,0.)); #399674=DIRECTION('',(0.,-1.,0.)); #399675=DIRECTION('',(0.,0.,1.)); #399676=DIRECTION('center_axis',(0.,0.,1.)); #399677=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #399678=DIRECTION('center_axis',(0.,0.,-1.)); #399679=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #399680=DIRECTION('center_axis',(0.,0.,1.)); #399681=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #399682=DIRECTION('center_axis',(1.,0.,0.)); #399683=DIRECTION('ref_axis',(0.,1.,0.)); #399684=DIRECTION('',(0.,-1.,0.)); #399685=DIRECTION('',(0.,0.,1.)); #399686=DIRECTION('',(0.,1.,0.)); #399687=DIRECTION('',(0.,0.,1.)); #399688=DIRECTION('center_axis',(0.,0.,1.)); #399689=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #399690=DIRECTION('center_axis',(0.,0.,-1.)); #399691=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #399692=DIRECTION('center_axis',(0.,0.,1.)); #399693=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #399694=DIRECTION('',(0.,0.,1.)); #399695=DIRECTION('center_axis',(-1.,0.,0.)); #399696=DIRECTION('ref_axis',(0.,-1.,0.)); #399697=DIRECTION('',(0.,1.,0.)); #399698=DIRECTION('',(0.,-1.,0.)); #399699=DIRECTION('',(0.,0.,1.)); #399700=DIRECTION('center_axis',(0.,0.,1.)); #399701=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #399702=DIRECTION('center_axis',(0.,0.,-1.)); #399703=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #399704=DIRECTION('center_axis',(0.,0.,1.)); #399705=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #399706=DIRECTION('center_axis',(1.,0.,0.)); #399707=DIRECTION('ref_axis',(0.,1.,0.)); #399708=DIRECTION('',(0.,-1.,0.)); #399709=DIRECTION('',(0.,0.,1.)); #399710=DIRECTION('',(0.,1.,0.)); #399711=DIRECTION('',(0.,0.,1.)); #399712=DIRECTION('center_axis',(0.,0.,1.)); #399713=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #399714=DIRECTION('center_axis',(0.,0.,-1.)); #399715=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #399716=DIRECTION('center_axis',(0.,0.,1.)); #399717=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #399718=DIRECTION('',(0.,0.,1.)); #399719=DIRECTION('center_axis',(-1.,0.,0.)); #399720=DIRECTION('ref_axis',(0.,-1.,0.)); #399721=DIRECTION('',(0.,1.,0.)); #399722=DIRECTION('',(0.,-1.,0.)); #399723=DIRECTION('',(0.,0.,1.)); #399724=DIRECTION('center_axis',(0.,0.,1.)); #399725=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #399726=DIRECTION('center_axis',(0.,0.,-1.)); #399727=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #399728=DIRECTION('center_axis',(0.,0.,1.)); #399729=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #399730=DIRECTION('center_axis',(0.,0.,1.)); #399731=DIRECTION('ref_axis',(1.,0.,0.)); #399732=DIRECTION('center_axis',(0.,0.,1.)); #399733=DIRECTION('ref_axis',(1.,0.,0.)); #399734=DIRECTION('',(0.,0.,1.)); #399735=DIRECTION('center_axis',(0.,0.,-1.)); #399736=DIRECTION('ref_axis',(1.,0.,0.)); #399737=DIRECTION('center_axis',(0.,0.,1.)); #399738=DIRECTION('ref_axis',(1.,0.,0.)); #399739=DIRECTION('center_axis',(0.,0.,1.)); #399740=DIRECTION('ref_axis',(1.,0.,0.)); #399741=DIRECTION('',(0.,0.,1.)); #399742=DIRECTION('center_axis',(0.,0.,-1.)); #399743=DIRECTION('ref_axis',(1.,0.,0.)); #399744=DIRECTION('center_axis',(0.,0.,1.)); #399745=DIRECTION('ref_axis',(1.,0.,0.)); #399746=DIRECTION('center_axis',(0.,0.,1.)); #399747=DIRECTION('ref_axis',(1.,0.,0.)); #399748=DIRECTION('',(0.,0.,1.)); #399749=DIRECTION('center_axis',(0.,0.,-1.)); #399750=DIRECTION('ref_axis',(1.,0.,0.)); #399751=DIRECTION('center_axis',(0.,0.,1.)); #399752=DIRECTION('ref_axis',(1.,0.,0.)); #399753=DIRECTION('center_axis',(0.,0.,1.)); #399754=DIRECTION('ref_axis',(1.,0.,0.)); #399755=DIRECTION('',(0.,0.,1.)); #399756=DIRECTION('center_axis',(0.,0.,-1.)); #399757=DIRECTION('ref_axis',(1.,0.,0.)); #399758=DIRECTION('center_axis',(0.,0.,1.)); #399759=DIRECTION('ref_axis',(1.,0.,0.)); #399760=DIRECTION('center_axis',(0.,0.,1.)); #399761=DIRECTION('ref_axis',(1.,0.,0.)); #399762=DIRECTION('',(0.,0.,1.)); #399763=DIRECTION('center_axis',(0.,0.,-1.)); #399764=DIRECTION('ref_axis',(1.,0.,0.)); #399765=DIRECTION('center_axis',(0.,0.,1.)); #399766=DIRECTION('ref_axis',(1.,0.,0.)); #399767=DIRECTION('center_axis',(0.,0.,1.)); #399768=DIRECTION('ref_axis',(1.,0.,0.)); #399769=DIRECTION('',(0.,0.,1.)); #399770=DIRECTION('center_axis',(0.,0.,-1.)); #399771=DIRECTION('ref_axis',(1.,0.,0.)); #399772=DIRECTION('center_axis',(0.,0.,1.)); #399773=DIRECTION('ref_axis',(1.,0.,0.)); #399774=DIRECTION('center_axis',(0.,0.,1.)); #399775=DIRECTION('ref_axis',(1.,0.,0.)); #399776=DIRECTION('',(0.,0.,1.)); #399777=DIRECTION('center_axis',(0.,0.,-1.)); #399778=DIRECTION('ref_axis',(1.,0.,0.)); #399779=DIRECTION('center_axis',(0.,0.,1.)); #399780=DIRECTION('ref_axis',(1.,0.,0.)); #399781=DIRECTION('center_axis',(0.,0.,1.)); #399782=DIRECTION('ref_axis',(1.,0.,0.)); #399783=DIRECTION('',(0.,0.,1.)); #399784=DIRECTION('center_axis',(0.,0.,-1.)); #399785=DIRECTION('ref_axis',(1.,0.,0.)); #399786=DIRECTION('center_axis',(0.,0.,1.)); #399787=DIRECTION('ref_axis',(1.,0.,0.)); #399788=DIRECTION('center_axis',(0.,0.,1.)); #399789=DIRECTION('ref_axis',(1.,0.,0.)); #399790=DIRECTION('',(0.,0.,1.)); #399791=DIRECTION('center_axis',(0.,0.,-1.)); #399792=DIRECTION('ref_axis',(1.,0.,0.)); #399793=DIRECTION('center_axis',(0.,0.,1.)); #399794=DIRECTION('ref_axis',(1.,0.,0.)); #399795=DIRECTION('center_axis',(0.,0.,1.)); #399796=DIRECTION('ref_axis',(1.,0.,0.)); #399797=DIRECTION('',(0.,0.,1.)); #399798=DIRECTION('center_axis',(0.,0.,-1.)); #399799=DIRECTION('ref_axis',(1.,0.,0.)); #399800=DIRECTION('center_axis',(0.,0.,1.)); #399801=DIRECTION('ref_axis',(1.,0.,0.)); #399802=DIRECTION('center_axis',(0.,0.,1.)); #399803=DIRECTION('ref_axis',(1.,0.,0.)); #399804=DIRECTION('',(0.,0.,1.)); #399805=DIRECTION('center_axis',(0.,0.,-1.)); #399806=DIRECTION('ref_axis',(1.,0.,0.)); #399807=DIRECTION('center_axis',(0.,0.,1.)); #399808=DIRECTION('ref_axis',(1.,0.,0.)); #399809=DIRECTION('center_axis',(0.,0.,1.)); #399810=DIRECTION('ref_axis',(1.,0.,0.)); #399811=DIRECTION('',(0.,0.,1.)); #399812=DIRECTION('center_axis',(0.,0.,-1.)); #399813=DIRECTION('ref_axis',(1.,0.,0.)); #399814=DIRECTION('center_axis',(0.,0.,1.)); #399815=DIRECTION('ref_axis',(1.,0.,0.)); #399816=DIRECTION('center_axis',(0.,0.,1.)); #399817=DIRECTION('ref_axis',(1.,0.,0.)); #399818=DIRECTION('',(0.,0.,1.)); #399819=DIRECTION('center_axis',(0.,0.,-1.)); #399820=DIRECTION('ref_axis',(1.,0.,0.)); #399821=DIRECTION('center_axis',(0.,0.,1.)); #399822=DIRECTION('ref_axis',(1.,0.,0.)); #399823=DIRECTION('center_axis',(0.,0.,1.)); #399824=DIRECTION('ref_axis',(1.,0.,0.)); #399825=DIRECTION('',(0.,0.,1.)); #399826=DIRECTION('center_axis',(0.,0.,-1.)); #399827=DIRECTION('ref_axis',(1.,0.,0.)); #399828=DIRECTION('center_axis',(0.,0.,1.)); #399829=DIRECTION('ref_axis',(1.,0.,0.)); #399830=DIRECTION('center_axis',(0.,0.,1.)); #399831=DIRECTION('ref_axis',(1.,0.,0.)); #399832=DIRECTION('',(0.,0.,1.)); #399833=DIRECTION('center_axis',(0.,0.,-1.)); #399834=DIRECTION('ref_axis',(1.,0.,0.)); #399835=DIRECTION('center_axis',(0.,0.,1.)); #399836=DIRECTION('ref_axis',(1.,0.,0.)); #399837=DIRECTION('center_axis',(0.,0.,1.)); #399838=DIRECTION('ref_axis',(1.,0.,0.)); #399839=DIRECTION('',(0.,0.,1.)); #399840=DIRECTION('center_axis',(0.,0.,-1.)); #399841=DIRECTION('ref_axis',(1.,0.,0.)); #399842=DIRECTION('center_axis',(0.,0.,1.)); #399843=DIRECTION('ref_axis',(1.,0.,0.)); #399844=DIRECTION('center_axis',(0.,0.,1.)); #399845=DIRECTION('ref_axis',(1.,0.,0.)); #399846=DIRECTION('',(0.,0.,1.)); #399847=DIRECTION('center_axis',(0.,0.,-1.)); #399848=DIRECTION('ref_axis',(1.,0.,0.)); #399849=DIRECTION('center_axis',(0.,0.,1.)); #399850=DIRECTION('ref_axis',(1.,0.,0.)); #399851=DIRECTION('center_axis',(0.,0.,1.)); #399852=DIRECTION('ref_axis',(1.,0.,0.)); #399853=DIRECTION('',(0.,0.,1.)); #399854=DIRECTION('center_axis',(0.,0.,-1.)); #399855=DIRECTION('ref_axis',(1.,0.,0.)); #399856=DIRECTION('center_axis',(0.,0.,1.)); #399857=DIRECTION('ref_axis',(1.,0.,0.)); #399858=DIRECTION('center_axis',(0.,0.,1.)); #399859=DIRECTION('ref_axis',(1.,0.,0.)); #399860=DIRECTION('',(0.,0.,1.)); #399861=DIRECTION('center_axis',(0.,0.,-1.)); #399862=DIRECTION('ref_axis',(1.,0.,0.)); #399863=DIRECTION('center_axis',(0.,1.,0.)); #399864=DIRECTION('ref_axis',(-1.,0.,0.)); #399865=DIRECTION('',(-1.,0.,0.)); #399866=DIRECTION('',(0.,0.,1.)); #399867=DIRECTION('',(-1.,0.,0.)); #399868=DIRECTION('',(0.,0.,1.)); #399869=DIRECTION('center_axis',(-0.707106781186512,0.707106781186583,0.)); #399870=DIRECTION('ref_axis',(-0.707106781186583,-0.707106781186512,0.)); #399871=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #399872=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #399873=DIRECTION('',(0.,0.,1.)); #399874=DIRECTION('center_axis',(-1.,0.,0.)); #399875=DIRECTION('ref_axis',(0.,-1.,0.)); #399876=DIRECTION('',(0.,-1.,0.)); #399877=DIRECTION('',(0.,-1.,0.)); #399878=DIRECTION('',(0.,0.,1.)); #399879=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #399880=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #399881=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #399882=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #399883=DIRECTION('',(0.,0.,1.)); #399884=DIRECTION('center_axis',(0.,-1.,0.)); #399885=DIRECTION('ref_axis',(1.,0.,0.)); #399886=DIRECTION('',(1.,0.,0.)); #399887=DIRECTION('',(1.,0.,0.)); #399888=DIRECTION('',(0.,0.,1.)); #399889=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #399890=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #399891=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #399892=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #399893=DIRECTION('',(0.,0.,1.)); #399894=DIRECTION('center_axis',(1.,0.,0.)); #399895=DIRECTION('ref_axis',(0.,1.,0.)); #399896=DIRECTION('',(0.,1.,0.)); #399897=DIRECTION('',(0.,1.,0.)); #399898=DIRECTION('',(0.,0.,1.)); #399899=DIRECTION('center_axis',(0.707106781186512,0.707106781186583,0.)); #399900=DIRECTION('ref_axis',(-0.707106781186583,0.707106781186512,0.)); #399901=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #399902=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #399903=DIRECTION('center_axis',(0.,1.,0.)); #399904=DIRECTION('ref_axis',(-1.,0.,0.)); #399905=DIRECTION('',(-1.,0.,0.)); #399906=DIRECTION('',(0.,0.,1.)); #399907=DIRECTION('',(-1.,0.,0.)); #399908=DIRECTION('',(0.,0.,1.)); #399909=DIRECTION('center_axis',(-0.382685866754958,0.92387852415023,0.)); #399910=DIRECTION('ref_axis',(-0.92387852415023,-0.382685866754958,0.)); #399911=DIRECTION('',(-0.92387852415023,-0.382685866754958,0.)); #399912=DIRECTION('',(-0.92387852415023,-0.382685866754958,0.)); #399913=DIRECTION('',(0.,0.,1.)); #399914=DIRECTION('center_axis',(-0.70710797544717,0.707105586923908,0.)); #399915=DIRECTION('ref_axis',(-0.707105586923908,-0.70710797544717,0.)); #399916=DIRECTION('',(-0.707105586923908,-0.70710797544717,0.)); #399917=DIRECTION('',(-0.707105586923908,-0.70710797544717,0.)); #399918=DIRECTION('',(0.,0.,1.)); #399919=DIRECTION('center_axis',(-0.923878524150219,0.382685866754985,0.)); #399920=DIRECTION('ref_axis',(-0.382685866754985,-0.923878524150219,0.)); #399921=DIRECTION('',(-0.382685866754985,-0.923878524150219,0.)); #399922=DIRECTION('',(-0.382685866754985,-0.923878524150219,0.)); #399923=DIRECTION('',(0.,0.,1.)); #399924=DIRECTION('center_axis',(-1.,0.,0.)); #399925=DIRECTION('ref_axis',(0.,-1.,0.)); #399926=DIRECTION('',(0.,-1.,0.)); #399927=DIRECTION('',(0.,-1.,0.)); #399928=DIRECTION('',(0.,0.,1.)); #399929=DIRECTION('center_axis',(-0.923878524150215,-0.382685866754993, 0.)); #399930=DIRECTION('ref_axis',(0.382685866754993,-0.923878524150215,0.)); #399931=DIRECTION('',(0.382685866754993,-0.923878524150215,0.)); #399932=DIRECTION('',(0.382685866754993,-0.923878524150215,0.)); #399933=DIRECTION('',(0.,0.,1.)); #399934=DIRECTION('center_axis',(-0.707106781186553,-0.707106781186542, 0.)); #399935=DIRECTION('ref_axis',(0.707106781186542,-0.707106781186553,0.)); #399936=DIRECTION('',(0.707106781186542,-0.707106781186553,0.)); #399937=DIRECTION('',(0.707106781186542,-0.707106781186553,0.)); #399938=DIRECTION('',(0.,0.,1.)); #399939=DIRECTION('center_axis',(-0.382685866754976,-0.923878524150222, 0.)); #399940=DIRECTION('ref_axis',(0.923878524150222,-0.382685866754976,0.)); #399941=DIRECTION('',(0.923878524150222,-0.382685866754976,0.)); #399942=DIRECTION('',(0.923878524150222,-0.382685866754976,0.)); #399943=DIRECTION('',(0.,0.,1.)); #399944=DIRECTION('center_axis',(0.,-1.,0.)); #399945=DIRECTION('ref_axis',(1.,0.,0.)); #399946=DIRECTION('',(1.,0.,0.)); #399947=DIRECTION('',(1.,0.,0.)); #399948=DIRECTION('',(0.,0.,1.)); #399949=DIRECTION('center_axis',(0.382685022283815,-0.923878873943785,0.)); #399950=DIRECTION('ref_axis',(0.923878873943785,0.382685022283815,0.)); #399951=DIRECTION('',(0.923878873943785,0.382685022283815,0.)); #399952=DIRECTION('',(0.923878873943785,0.382685022283815,0.)); #399953=DIRECTION('',(0.,0.,1.)); #399954=DIRECTION('center_axis',(0.707106781186542,-0.707106781186553,0.)); #399955=DIRECTION('ref_axis',(0.707106781186553,0.707106781186542,0.)); #399956=DIRECTION('',(0.707106781186553,0.707106781186542,0.)); #399957=DIRECTION('',(0.707106781186553,0.707106781186542,0.)); #399958=DIRECTION('',(0.,0.,1.)); #399959=DIRECTION('center_axis',(0.923878524150223,-0.382685866754975,0.)); #399960=DIRECTION('ref_axis',(0.382685866754975,0.923878524150223,0.)); #399961=DIRECTION('',(0.382685866754975,0.923878524150223,0.)); #399962=DIRECTION('',(0.382685866754975,0.923878524150223,0.)); #399963=DIRECTION('',(0.,0.,1.)); #399964=DIRECTION('center_axis',(1.,0.,0.)); #399965=DIRECTION('ref_axis',(0.,1.,0.)); #399966=DIRECTION('',(0.,1.,0.)); #399967=DIRECTION('',(0.,1.,0.)); #399968=DIRECTION('',(0.,0.,1.)); #399969=DIRECTION('center_axis',(0.923878524150226,0.382685866754967,0.)); #399970=DIRECTION('ref_axis',(-0.382685866754967,0.923878524150226,0.)); #399971=DIRECTION('',(-0.382685866754967,0.923878524150226,0.)); #399972=DIRECTION('',(-0.382685866754967,0.923878524150226,0.)); #399973=DIRECTION('',(0.,0.,1.)); #399974=DIRECTION('center_axis',(0.707107975447159,0.707105586923919,0.)); #399975=DIRECTION('ref_axis',(-0.707105586923919,0.707107975447159,0.)); #399976=DIRECTION('',(-0.707105586923919,0.707107975447159,0.)); #399977=DIRECTION('',(-0.707105586923919,0.707107975447159,0.)); #399978=DIRECTION('',(0.,0.,1.)); #399979=DIRECTION('center_axis',(0.382685022283797,0.923878873943793,0.)); #399980=DIRECTION('ref_axis',(-0.923878873943792,0.382685022283797,0.)); #399981=DIRECTION('',(-0.923878873943792,0.382685022283797,0.)); #399982=DIRECTION('',(-0.923878873943792,0.382685022283797,0.)); #399983=DIRECTION('center_axis',(0.,1.,0.)); #399984=DIRECTION('ref_axis',(-1.,0.,0.)); #399985=DIRECTION('',(-1.,0.,0.)); #399986=DIRECTION('',(0.,0.,1.)); #399987=DIRECTION('',(-1.,0.,0.)); #399988=DIRECTION('',(0.,0.,1.)); #399989=DIRECTION('center_axis',(-0.382685022283793,0.923878873943794,0.)); #399990=DIRECTION('ref_axis',(-0.923878873943794,-0.382685022283793,0.)); #399991=DIRECTION('',(-0.923878873943794,-0.382685022283793,0.)); #399992=DIRECTION('',(-0.923878873943794,-0.382685022283793,0.)); #399993=DIRECTION('',(0.,0.,1.)); #399994=DIRECTION('center_axis',(-0.70710797544717,0.707105586923908,0.)); #399995=DIRECTION('ref_axis',(-0.707105586923908,-0.70710797544717,0.)); #399996=DIRECTION('',(-0.707105586923908,-0.70710797544717,0.)); #399997=DIRECTION('',(-0.707105586923908,-0.70710797544717,0.)); #399998=DIRECTION('',(0.,0.,1.)); #399999=DIRECTION('center_axis',(-0.923878524150219,0.382685866754985,0.)); #400000=DIRECTION('ref_axis',(-0.382685866754985,-0.923878524150219,0.)); #400001=DIRECTION('',(-0.382685866754985,-0.923878524150219,0.)); #400002=DIRECTION('',(-0.382685866754985,-0.923878524150219,0.)); #400003=DIRECTION('',(0.,0.,1.)); #400004=DIRECTION('center_axis',(-1.,0.,0.)); #400005=DIRECTION('ref_axis',(0.,-1.,0.)); #400006=DIRECTION('',(0.,-1.,0.)); #400007=DIRECTION('',(0.,-1.,0.)); #400008=DIRECTION('',(0.,0.,1.)); #400009=DIRECTION('center_axis',(-0.923878524150215,-0.382685866754993, 0.)); #400010=DIRECTION('ref_axis',(0.382685866754993,-0.923878524150215,0.)); #400011=DIRECTION('',(0.382685866754993,-0.923878524150215,0.)); #400012=DIRECTION('',(0.382685866754993,-0.923878524150215,0.)); #400013=DIRECTION('',(0.,0.,1.)); #400014=DIRECTION('center_axis',(-0.707106781186553,-0.707106781186542, 0.)); #400015=DIRECTION('ref_axis',(0.707106781186542,-0.707106781186553,0.)); #400016=DIRECTION('',(0.707106781186542,-0.707106781186553,0.)); #400017=DIRECTION('',(0.707106781186542,-0.707106781186553,0.)); #400018=DIRECTION('',(0.,0.,1.)); #400019=DIRECTION('center_axis',(-0.382685022283811,-0.923878873943787, 0.)); #400020=DIRECTION('ref_axis',(0.923878873943787,-0.382685022283811,0.)); #400021=DIRECTION('',(0.923878873943787,-0.382685022283811,0.)); #400022=DIRECTION('',(0.923878873943787,-0.382685022283811,0.)); #400023=DIRECTION('',(0.,0.,1.)); #400024=DIRECTION('center_axis',(0.,-1.,0.)); #400025=DIRECTION('ref_axis',(1.,0.,0.)); #400026=DIRECTION('',(1.,0.,0.)); #400027=DIRECTION('',(1.,0.,0.)); #400028=DIRECTION('',(0.,0.,1.)); #400029=DIRECTION('center_axis',(0.382685866754976,-0.923878524150222,0.)); #400030=DIRECTION('ref_axis',(0.923878524150222,0.382685866754976,0.)); #400031=DIRECTION('',(0.923878524150222,0.382685866754976,0.)); #400032=DIRECTION('',(0.923878524150222,0.382685866754976,0.)); #400033=DIRECTION('',(0.,0.,1.)); #400034=DIRECTION('center_axis',(0.707106781186553,-0.707106781186542,0.)); #400035=DIRECTION('ref_axis',(0.707106781186542,0.707106781186553,0.)); #400036=DIRECTION('',(0.707106781186542,0.707106781186553,0.)); #400037=DIRECTION('',(0.707106781186542,0.707106781186553,0.)); #400038=DIRECTION('',(0.,0.,1.)); #400039=DIRECTION('center_axis',(0.923878524150215,-0.382685866754993,0.)); #400040=DIRECTION('ref_axis',(0.382685866754993,0.923878524150215,0.)); #400041=DIRECTION('',(0.382685866754993,0.923878524150215,0.)); #400042=DIRECTION('',(0.382685866754993,0.923878524150215,0.)); #400043=DIRECTION('',(0.,0.,1.)); #400044=DIRECTION('center_axis',(1.,0.,0.)); #400045=DIRECTION('ref_axis',(0.,1.,0.)); #400046=DIRECTION('',(0.,1.,0.)); #400047=DIRECTION('',(0.,1.,0.)); #400048=DIRECTION('',(0.,0.,1.)); #400049=DIRECTION('center_axis',(0.923878524150219,0.382685866754985,0.)); #400050=DIRECTION('ref_axis',(-0.382685866754985,0.923878524150219,0.)); #400051=DIRECTION('',(-0.382685866754985,0.923878524150219,0.)); #400052=DIRECTION('',(-0.382685866754985,0.923878524150219,0.)); #400053=DIRECTION('',(0.,0.,1.)); #400054=DIRECTION('center_axis',(0.70710797544717,0.707105586923908,0.)); #400055=DIRECTION('ref_axis',(-0.707105586923908,0.70710797544717,0.)); #400056=DIRECTION('',(-0.707105586923908,0.70710797544717,0.)); #400057=DIRECTION('',(-0.707105586923908,0.70710797544717,0.)); #400058=DIRECTION('',(0.,0.,1.)); #400059=DIRECTION('center_axis',(0.382685866754958,0.92387852415023,0.)); #400060=DIRECTION('ref_axis',(-0.92387852415023,0.382685866754958,0.)); #400061=DIRECTION('',(-0.92387852415023,0.382685866754958,0.)); #400062=DIRECTION('',(-0.92387852415023,0.382685866754958,0.)); #400063=DIRECTION('center_axis',(0.,1.,0.)); #400064=DIRECTION('ref_axis',(-1.,0.,0.)); #400065=DIRECTION('',(-1.,0.,0.)); #400066=DIRECTION('',(0.,0.,1.)); #400067=DIRECTION('',(-1.,0.,0.)); #400068=DIRECTION('',(0.,0.,1.)); #400069=DIRECTION('center_axis',(-0.707106781186512,0.707106781186583,0.)); #400070=DIRECTION('ref_axis',(-0.707106781186583,-0.707106781186512,0.)); #400071=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #400072=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #400073=DIRECTION('',(0.,0.,1.)); #400074=DIRECTION('center_axis',(-1.,0.,0.)); #400075=DIRECTION('ref_axis',(0.,-1.,0.)); #400076=DIRECTION('',(0.,-1.,0.)); #400077=DIRECTION('',(0.,-1.,0.)); #400078=DIRECTION('',(0.,0.,1.)); #400079=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #400080=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #400081=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #400082=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #400083=DIRECTION('',(0.,0.,1.)); #400084=DIRECTION('center_axis',(0.,-1.,0.)); #400085=DIRECTION('ref_axis',(1.,0.,0.)); #400086=DIRECTION('',(1.,0.,0.)); #400087=DIRECTION('',(1.,0.,0.)); #400088=DIRECTION('',(0.,0.,1.)); #400089=DIRECTION('center_axis',(-0.183305290257137,-0.983056036329439, 0.)); #400090=DIRECTION('ref_axis',(0.983056036329439,-0.183305290257137,0.)); #400091=DIRECTION('',(0.983056036329439,-0.183305290257137,0.)); #400092=DIRECTION('',(0.983056036329439,-0.183305290257137,0.)); #400093=DIRECTION('',(0.,0.,1.)); #400094=DIRECTION('center_axis',(-0.538911466745989,-0.842362410729306, 0.)); #400095=DIRECTION('ref_axis',(0.842362410729306,-0.538911466745989,0.)); #400096=DIRECTION('',(0.842362410729306,-0.538911466745989,0.)); #400097=DIRECTION('',(0.842362410729306,-0.538911466745989,0.)); #400098=DIRECTION('',(0.,0.,1.)); #400099=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #400100=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #400101=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #400102=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #400103=DIRECTION('',(0.,0.,1.)); #400104=DIRECTION('center_axis',(-0.980008419561313,-0.19895601923274,0.)); #400105=DIRECTION('ref_axis',(0.19895601923274,-0.980008419561313,0.)); #400106=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #400107=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #400108=DIRECTION('',(0.,0.,1.)); #400109=DIRECTION('center_axis',(-1.,0.,0.)); #400110=DIRECTION('ref_axis',(0.,-1.,0.)); #400111=DIRECTION('',(0.,-1.,0.)); #400112=DIRECTION('',(0.,-1.,0.)); #400113=DIRECTION('',(0.,0.,1.)); #400114=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #400115=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #400116=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #400117=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #400118=DIRECTION('',(0.,0.,1.)); #400119=DIRECTION('center_axis',(-0.842362410729263,0.538911466746055,0.)); #400120=DIRECTION('ref_axis',(-0.538911466746055,-0.842362410729263,0.)); #400121=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #400122=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #400123=DIRECTION('',(0.,0.,1.)); #400124=DIRECTION('center_axis',(-0.565504004715031,0.82474554903392,0.)); #400125=DIRECTION('ref_axis',(-0.82474554903392,-0.565504004715031,0.)); #400126=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #400127=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #400128=DIRECTION('',(0.,0.,1.)); #400129=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #400130=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #400131=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #400132=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #400133=DIRECTION('',(0.,0.,1.)); #400134=DIRECTION('center_axis',(0.,1.,0.)); #400135=DIRECTION('ref_axis',(-1.,0.,0.)); #400136=DIRECTION('',(-1.,0.,0.)); #400137=DIRECTION('',(-1.,0.,0.)); #400138=DIRECTION('',(0.,0.,1.)); #400139=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #400140=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #400141=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #400142=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #400143=DIRECTION('',(0.,0.,1.)); #400144=DIRECTION('center_axis',(-1.,0.,0.)); #400145=DIRECTION('ref_axis',(0.,-1.,0.)); #400146=DIRECTION('',(0.,-1.,0.)); #400147=DIRECTION('',(0.,-1.,0.)); #400148=DIRECTION('',(0.,0.,1.)); #400149=DIRECTION('center_axis',(-0.707106781186512,-0.707106781186583, 0.)); #400150=DIRECTION('ref_axis',(0.707106781186583,-0.707106781186512,0.)); #400151=DIRECTION('',(0.707106781186583,-0.707106781186512,0.)); #400152=DIRECTION('',(0.707106781186583,-0.707106781186512,0.)); #400153=DIRECTION('',(0.,0.,1.)); #400154=DIRECTION('center_axis',(0.,-1.,0.)); #400155=DIRECTION('ref_axis',(1.,0.,0.)); #400156=DIRECTION('',(1.,0.,0.)); #400157=DIRECTION('',(1.,0.,0.)); #400158=DIRECTION('',(0.,0.,1.)); #400159=DIRECTION('center_axis',(0.70710678118653,-0.707106781186565,0.)); #400160=DIRECTION('ref_axis',(0.707106781186565,0.70710678118653,0.)); #400161=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #400162=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #400163=DIRECTION('',(0.,0.,1.)); #400164=DIRECTION('center_axis',(1.,0.,0.)); #400165=DIRECTION('ref_axis',(0.,1.,0.)); #400166=DIRECTION('',(0.,1.,0.)); #400167=DIRECTION('',(0.,1.,0.)); #400168=DIRECTION('',(0.,0.,1.)); #400169=DIRECTION('center_axis',(0.707106781186565,0.70710678118653,0.)); #400170=DIRECTION('ref_axis',(-0.70710678118653,0.707106781186565,0.)); #400171=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #400172=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #400173=DIRECTION('',(0.,0.,1.)); #400174=DIRECTION('center_axis',(0.,1.,0.)); #400175=DIRECTION('ref_axis',(-1.,0.,0.)); #400176=DIRECTION('',(-1.,0.,0.)); #400177=DIRECTION('',(-1.,0.,0.)); #400178=DIRECTION('',(0.,0.,1.)); #400179=DIRECTION('center_axis',(0.183305290257387,0.983056036329392,0.)); #400180=DIRECTION('ref_axis',(-0.983056036329392,0.183305290257387,0.)); #400181=DIRECTION('',(-0.983056036329392,0.183305290257387,0.)); #400182=DIRECTION('',(-0.983056036329392,0.183305290257387,0.)); #400183=DIRECTION('',(0.,0.,1.)); #400184=DIRECTION('center_axis',(0.538911466746122,0.84236241072922,0.)); #400185=DIRECTION('ref_axis',(-0.84236241072922,0.538911466746122,0.)); #400186=DIRECTION('',(-0.84236241072922,0.538911466746122,0.)); #400187=DIRECTION('',(-0.84236241072922,0.538911466746122,0.)); #400188=DIRECTION('',(0.,0.,1.)); #400189=DIRECTION('center_axis',(0.824745549033873,0.565504004715099,0.)); #400190=DIRECTION('ref_axis',(-0.565504004715099,0.824745549033873,0.)); #400191=DIRECTION('',(-0.565504004715099,0.824745549033873,0.)); #400192=DIRECTION('',(-0.565504004715099,0.824745549033873,0.)); #400193=DIRECTION('',(0.,0.,1.)); #400194=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #400195=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #400196=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #400197=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #400198=DIRECTION('',(0.,0.,1.)); #400199=DIRECTION('center_axis',(1.,0.,0.)); #400200=DIRECTION('ref_axis',(0.,1.,0.)); #400201=DIRECTION('',(0.,1.,0.)); #400202=DIRECTION('',(0.,1.,0.)); #400203=DIRECTION('',(0.,0.,1.)); #400204=DIRECTION('center_axis',(0.983056036329376,-0.183305290257473,0.)); #400205=DIRECTION('ref_axis',(0.183305290257473,0.983056036329376,0.)); #400206=DIRECTION('',(0.183305290257473,0.983056036329376,0.)); #400207=DIRECTION('',(0.183305290257473,0.983056036329376,0.)); #400208=DIRECTION('',(0.,0.,1.)); #400209=DIRECTION('center_axis',(0.842362410729396,-0.538911466745847,0.)); #400210=DIRECTION('ref_axis',(0.538911466745847,0.842362410729396,0.)); #400211=DIRECTION('',(0.538911466745847,0.842362410729396,0.)); #400212=DIRECTION('',(0.538911466745847,0.842362410729396,0.)); #400213=DIRECTION('',(0.,0.,1.)); #400214=DIRECTION('center_axis',(0.565504004715094,-0.824745549033877,0.)); #400215=DIRECTION('ref_axis',(0.824745549033877,0.565504004715094,0.)); #400216=DIRECTION('',(0.824745549033877,0.565504004715094,0.)); #400217=DIRECTION('',(0.824745549033877,0.565504004715094,0.)); #400218=DIRECTION('',(0.,0.,1.)); #400219=DIRECTION('center_axis',(0.19895601923243,-0.980008419561376,0.)); #400220=DIRECTION('ref_axis',(0.980008419561375,0.19895601923243,0.)); #400221=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #400222=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #400223=DIRECTION('',(0.,0.,1.)); #400224=DIRECTION('center_axis',(0.,-1.,0.)); #400225=DIRECTION('ref_axis',(1.,0.,0.)); #400226=DIRECTION('',(1.,0.,0.)); #400227=DIRECTION('',(1.,0.,0.)); #400228=DIRECTION('',(0.,0.,1.)); #400229=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #400230=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #400231=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #400232=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #400233=DIRECTION('',(0.,0.,1.)); #400234=DIRECTION('center_axis',(1.,0.,0.)); #400235=DIRECTION('ref_axis',(0.,1.,0.)); #400236=DIRECTION('',(0.,1.,0.)); #400237=DIRECTION('',(0.,1.,0.)); #400238=DIRECTION('',(0.,0.,1.)); #400239=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #400240=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #400241=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #400242=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #400243=DIRECTION('center_axis',(0.,1.,0.)); #400244=DIRECTION('ref_axis',(-1.,0.,0.)); #400245=DIRECTION('',(-1.,0.,0.)); #400246=DIRECTION('',(0.,0.,1.)); #400247=DIRECTION('',(-1.,0.,0.)); #400248=DIRECTION('',(0.,0.,1.)); #400249=DIRECTION('center_axis',(-0.707106781186512,0.707106781186583,0.)); #400250=DIRECTION('ref_axis',(-0.707106781186583,-0.707106781186512,0.)); #400251=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #400252=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #400253=DIRECTION('',(0.,0.,1.)); #400254=DIRECTION('center_axis',(-1.,0.,0.)); #400255=DIRECTION('ref_axis',(0.,-1.,0.)); #400256=DIRECTION('',(0.,-1.,0.)); #400257=DIRECTION('',(0.,-1.,0.)); #400258=DIRECTION('',(0.,0.,1.)); #400259=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #400260=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #400261=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #400262=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #400263=DIRECTION('',(0.,0.,1.)); #400264=DIRECTION('center_axis',(0.,-1.,0.)); #400265=DIRECTION('ref_axis',(1.,0.,0.)); #400266=DIRECTION('',(1.,0.,0.)); #400267=DIRECTION('',(1.,0.,0.)); #400268=DIRECTION('',(0.,0.,1.)); #400269=DIRECTION('center_axis',(-0.183305290257108,-0.983056036329444, 0.)); #400270=DIRECTION('ref_axis',(0.983056036329444,-0.183305290257108,0.)); #400271=DIRECTION('',(0.983056036329444,-0.183305290257108,0.)); #400272=DIRECTION('',(0.983056036329444,-0.183305290257108,0.)); #400273=DIRECTION('',(0.,0.,1.)); #400274=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #400275=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #400276=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #400277=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #400278=DIRECTION('',(0.,0.,1.)); #400279=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #400280=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #400281=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #400282=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #400283=DIRECTION('',(0.,0.,1.)); #400284=DIRECTION('center_axis',(-0.980008419561313,-0.19895601923274,0.)); #400285=DIRECTION('ref_axis',(0.19895601923274,-0.980008419561313,0.)); #400286=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #400287=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #400288=DIRECTION('',(0.,0.,1.)); #400289=DIRECTION('center_axis',(-1.,0.,0.)); #400290=DIRECTION('ref_axis',(0.,-1.,0.)); #400291=DIRECTION('',(0.,-1.,0.)); #400292=DIRECTION('',(0.,-1.,0.)); #400293=DIRECTION('',(0.,0.,1.)); #400294=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #400295=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #400296=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #400297=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #400298=DIRECTION('',(0.,0.,1.)); #400299=DIRECTION('center_axis',(-0.842362410729329,0.538911466745951,0.)); #400300=DIRECTION('ref_axis',(-0.538911466745951,-0.842362410729329,0.)); #400301=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #400302=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #400303=DIRECTION('',(0.,0.,1.)); #400304=DIRECTION('center_axis',(-0.565504004714963,0.824745549033967,0.)); #400305=DIRECTION('ref_axis',(-0.824745549033967,-0.565504004714963,0.)); #400306=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #400307=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #400308=DIRECTION('',(0.,0.,1.)); #400309=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #400310=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #400311=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #400312=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #400313=DIRECTION('',(0.,0.,1.)); #400314=DIRECTION('center_axis',(0.,1.,0.)); #400315=DIRECTION('ref_axis',(-1.,0.,0.)); #400316=DIRECTION('',(-1.,0.,0.)); #400317=DIRECTION('',(-1.,0.,0.)); #400318=DIRECTION('',(0.,0.,1.)); #400319=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #400320=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #400321=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #400322=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #400323=DIRECTION('',(0.,0.,1.)); #400324=DIRECTION('center_axis',(-1.,0.,0.)); #400325=DIRECTION('ref_axis',(0.,-1.,0.)); #400326=DIRECTION('',(0.,-1.,0.)); #400327=DIRECTION('',(0.,-1.,0.)); #400328=DIRECTION('',(0.,0.,1.)); #400329=DIRECTION('center_axis',(-0.707106781186512,-0.707106781186583, 0.)); #400330=DIRECTION('ref_axis',(0.707106781186583,-0.707106781186512,0.)); #400331=DIRECTION('',(0.707106781186583,-0.707106781186512,0.)); #400332=DIRECTION('',(0.707106781186583,-0.707106781186512,0.)); #400333=DIRECTION('',(0.,0.,1.)); #400334=DIRECTION('center_axis',(0.,-1.,0.)); #400335=DIRECTION('ref_axis',(1.,0.,0.)); #400336=DIRECTION('',(1.,0.,0.)); #400337=DIRECTION('',(1.,0.,0.)); #400338=DIRECTION('',(0.,0.,1.)); #400339=DIRECTION('center_axis',(0.70710678118653,-0.707106781186565,0.)); #400340=DIRECTION('ref_axis',(0.707106781186565,0.70710678118653,0.)); #400341=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #400342=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #400343=DIRECTION('',(0.,0.,1.)); #400344=DIRECTION('center_axis',(1.,0.,0.)); #400345=DIRECTION('ref_axis',(0.,1.,0.)); #400346=DIRECTION('',(0.,1.,0.)); #400347=DIRECTION('',(0.,1.,0.)); #400348=DIRECTION('',(0.,0.,1.)); #400349=DIRECTION('center_axis',(0.707106781186565,0.70710678118653,0.)); #400350=DIRECTION('ref_axis',(-0.70710678118653,0.707106781186565,0.)); #400351=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #400352=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #400353=DIRECTION('',(0.,0.,1.)); #400354=DIRECTION('center_axis',(0.,1.,0.)); #400355=DIRECTION('ref_axis',(-1.,0.,0.)); #400356=DIRECTION('',(-1.,0.,0.)); #400357=DIRECTION('',(-1.,0.,0.)); #400358=DIRECTION('',(0.,0.,1.)); #400359=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #400360=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #400361=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #400362=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #400363=DIRECTION('',(0.,0.,1.)); #400364=DIRECTION('center_axis',(0.538911466746055,0.842362410729263,0.)); #400365=DIRECTION('ref_axis',(-0.842362410729263,0.538911466746055,0.)); #400366=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #400367=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #400368=DIRECTION('',(0.,0.,1.)); #400369=DIRECTION('center_axis',(0.824745549033873,0.565504004715099,0.)); #400370=DIRECTION('ref_axis',(-0.565504004715099,0.824745549033873,0.)); #400371=DIRECTION('',(-0.565504004715099,0.824745549033873,0.)); #400372=DIRECTION('',(-0.565504004715099,0.824745549033873,0.)); #400373=DIRECTION('',(0.,0.,1.)); #400374=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #400375=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #400376=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #400377=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #400378=DIRECTION('',(0.,0.,1.)); #400379=DIRECTION('center_axis',(1.,0.,0.)); #400380=DIRECTION('ref_axis',(0.,1.,0.)); #400381=DIRECTION('',(0.,1.,0.)); #400382=DIRECTION('',(0.,1.,0.)); #400383=DIRECTION('',(0.,0.,1.)); #400384=DIRECTION('center_axis',(0.983056036329376,-0.183305290257473,0.)); #400385=DIRECTION('ref_axis',(0.183305290257473,0.983056036329376,0.)); #400386=DIRECTION('',(0.183305290257473,0.983056036329376,0.)); #400387=DIRECTION('',(0.183305290257473,0.983056036329376,0.)); #400388=DIRECTION('',(0.,0.,1.)); #400389=DIRECTION('center_axis',(0.842362410729329,-0.538911466745951,0.)); #400390=DIRECTION('ref_axis',(0.538911466745951,0.842362410729329,0.)); #400391=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #400392=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #400393=DIRECTION('',(0.,0.,1.)); #400394=DIRECTION('center_axis',(0.565504004715162,-0.82474554903383,0.)); #400395=DIRECTION('ref_axis',(0.82474554903383,0.565504004715162,0.)); #400396=DIRECTION('',(0.82474554903383,0.565504004715162,0.)); #400397=DIRECTION('',(0.82474554903383,0.565504004715162,0.)); #400398=DIRECTION('',(0.,0.,1.)); #400399=DIRECTION('center_axis',(0.19895601923243,-0.980008419561376,0.)); #400400=DIRECTION('ref_axis',(0.980008419561375,0.19895601923243,0.)); #400401=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #400402=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #400403=DIRECTION('',(0.,0.,1.)); #400404=DIRECTION('center_axis',(0.,-1.,0.)); #400405=DIRECTION('ref_axis',(1.,0.,0.)); #400406=DIRECTION('',(1.,0.,0.)); #400407=DIRECTION('',(1.,0.,0.)); #400408=DIRECTION('',(0.,0.,1.)); #400409=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #400410=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #400411=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #400412=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #400413=DIRECTION('',(0.,0.,1.)); #400414=DIRECTION('center_axis',(1.,0.,0.)); #400415=DIRECTION('ref_axis',(0.,1.,0.)); #400416=DIRECTION('',(0.,1.,0.)); #400417=DIRECTION('',(0.,1.,0.)); #400418=DIRECTION('',(0.,0.,1.)); #400419=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #400420=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #400421=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #400422=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #400423=DIRECTION('center_axis',(0.,1.,0.)); #400424=DIRECTION('ref_axis',(-1.,0.,0.)); #400425=DIRECTION('',(-1.,0.,0.)); #400426=DIRECTION('',(0.,0.,1.)); #400427=DIRECTION('',(-1.,0.,0.)); #400428=DIRECTION('',(0.,0.,1.)); #400429=DIRECTION('center_axis',(-0.105885061288526,0.994378375567332,0.)); #400430=DIRECTION('ref_axis',(-0.994378375567332,-0.105885061288526,0.)); #400431=DIRECTION('',(-0.994378375567332,-0.105885061288526,0.)); #400432=DIRECTION('',(-0.994378375567332,-0.105885061288526,0.)); #400433=DIRECTION('',(0.,0.,1.)); #400434=DIRECTION('center_axis',(-0.312934735821318,0.949774631750313,0.)); #400435=DIRECTION('ref_axis',(-0.949774631750313,-0.312934735821318,0.)); #400436=DIRECTION('',(-0.949774631750313,-0.312934735821318,0.)); #400437=DIRECTION('',(-0.949774631750313,-0.312934735821318,0.)); #400438=DIRECTION('',(0.,0.,1.)); #400439=DIRECTION('center_axis',(-0.506098478960508,0.862475697972911,0.)); #400440=DIRECTION('ref_axis',(-0.862475697972911,-0.506098478960508,0.)); #400441=DIRECTION('',(-0.862475697972911,-0.506098478960508,0.)); #400442=DIRECTION('',(-0.862475697972911,-0.506098478960508,0.)); #400443=DIRECTION('',(0.,0.,1.)); #400444=DIRECTION('center_axis',(-0.652519128376063,0.757772252793241,0.)); #400445=DIRECTION('ref_axis',(-0.757772252793241,-0.652519128376063,0.)); #400446=DIRECTION('',(-0.757772252793241,-0.652519128376063,0.)); #400447=DIRECTION('',(-0.757772252793241,-0.652519128376063,0.)); #400448=DIRECTION('',(0.,0.,1.)); #400449=DIRECTION('center_axis',(-0.707107040518299,0.707106521854701,0.)); #400450=DIRECTION('ref_axis',(-0.707106521854701,-0.707107040518299,0.)); #400451=DIRECTION('',(-0.707106521854701,-0.707107040518299,0.)); #400452=DIRECTION('',(-0.707106521854701,-0.707107040518299,0.)); #400453=DIRECTION('',(0.,0.,1.)); #400454=DIRECTION('center_axis',(-0.562160501783157,0.827028155648228,0.)); #400455=DIRECTION('ref_axis',(-0.827028155648228,-0.562160501783157,0.)); #400456=DIRECTION('',(-0.827028155648228,-0.562160501783157,0.)); #400457=DIRECTION('',(-0.827028155648228,-0.562160501783157,0.)); #400458=DIRECTION('',(0.,0.,1.)); #400459=DIRECTION('center_axis',(-0.206824927726423,0.97837796851266,0.)); #400460=DIRECTION('ref_axis',(-0.97837796851266,-0.206824927726423,0.)); #400461=DIRECTION('',(-0.97837796851266,-0.206824927726423,0.)); #400462=DIRECTION('',(-0.97837796851266,-0.206824927726423,0.)); #400463=DIRECTION('',(0.,0.,1.)); #400464=DIRECTION('center_axis',(0.191139179453654,0.981562944531722,0.)); #400465=DIRECTION('ref_axis',(-0.981562944531722,0.191139179453654,0.)); #400466=DIRECTION('',(-0.981562944531722,0.191139179453654,0.)); #400467=DIRECTION('',(-0.981562944531722,0.191139179453654,0.)); #400468=DIRECTION('',(0.,0.,1.)); #400469=DIRECTION('center_axis',(0.382676531743422,0.923882390812178,0.)); #400470=DIRECTION('ref_axis',(-0.923882390812178,0.382676531743422,0.)); #400471=DIRECTION('',(-0.923882390812178,0.382676531743422,0.)); #400472=DIRECTION('',(-0.923882390812178,0.382676531743422,0.)); #400473=DIRECTION('',(0.,0.,1.)); #400474=DIRECTION('center_axis',(0.,1.,0.)); #400475=DIRECTION('ref_axis',(-1.,0.,0.)); #400476=DIRECTION('',(-1.,0.,0.)); #400477=DIRECTION('',(-1.,0.,0.)); #400478=DIRECTION('',(0.,0.,1.)); #400479=DIRECTION('center_axis',(-0.382684030240894,0.923879284862793,0.)); #400480=DIRECTION('ref_axis',(-0.923879284862793,-0.382684030240894,0.)); #400481=DIRECTION('',(-0.923879284862793,-0.382684030240894,0.)); #400482=DIRECTION('',(-0.923879284862793,-0.382684030240894,0.)); #400483=DIRECTION('',(0.,0.,1.)); #400484=DIRECTION('center_axis',(-0.707105976813813,0.707107585558367,0.)); #400485=DIRECTION('ref_axis',(-0.707107585558367,-0.707105976813813,0.)); #400486=DIRECTION('',(-0.707107585558367,-0.707105976813813,0.)); #400487=DIRECTION('',(-0.707107585558367,-0.707105976813813,0.)); #400488=DIRECTION('',(0.,0.,1.)); #400489=DIRECTION('center_axis',(-0.923879579359888,0.382683319262543,0.)); #400490=DIRECTION('ref_axis',(-0.382683319262543,-0.923879579359888,0.)); #400491=DIRECTION('',(-0.382683319262543,-0.923879579359888,0.)); #400492=DIRECTION('',(-0.382683319262543,-0.923879579359888,0.)); #400493=DIRECTION('',(0.,0.,1.)); #400494=DIRECTION('center_axis',(-1.,0.,0.)); #400495=DIRECTION('ref_axis',(0.,-1.,0.)); #400496=DIRECTION('',(0.,-1.,0.)); #400497=DIRECTION('',(0.,-1.,0.)); #400498=DIRECTION('',(0.,0.,1.)); #400499=DIRECTION('center_axis',(-0.923879579359888,-0.382683319262543, 0.)); #400500=DIRECTION('ref_axis',(0.382683319262543,-0.923879579359888,0.)); #400501=DIRECTION('',(0.382683319262543,-0.923879579359888,0.)); #400502=DIRECTION('',(0.382683319262543,-0.923879579359888,0.)); #400503=DIRECTION('',(0.,0.,1.)); #400504=DIRECTION('center_axis',(-0.707105976813813,-0.707107585558367, 0.)); #400505=DIRECTION('ref_axis',(0.707107585558367,-0.707105976813813,0.)); #400506=DIRECTION('',(0.707107585558367,-0.707105976813813,0.)); #400507=DIRECTION('',(0.707107585558367,-0.707105976813813,0.)); #400508=DIRECTION('',(0.,0.,1.)); #400509=DIRECTION('center_axis',(-0.38268403024089,-0.923879284862795,0.)); #400510=DIRECTION('ref_axis',(0.923879284862795,-0.38268403024089,0.)); #400511=DIRECTION('',(0.923879284862795,-0.38268403024089,0.)); #400512=DIRECTION('',(0.923879284862795,-0.38268403024089,0.)); #400513=DIRECTION('',(0.,0.,1.)); #400514=DIRECTION('center_axis',(0.,-1.,0.)); #400515=DIRECTION('ref_axis',(1.,0.,0.)); #400516=DIRECTION('',(1.,0.,0.)); #400517=DIRECTION('',(1.,0.,0.)); #400518=DIRECTION('',(0.,0.,1.)); #400519=DIRECTION('center_axis',(-0.183301831720629,-0.983056681218261, 0.)); #400520=DIRECTION('ref_axis',(0.983056681218261,-0.183301831720629,0.)); #400521=DIRECTION('',(0.983056681218261,-0.183301831720629,0.)); #400522=DIRECTION('',(0.983056681218261,-0.183301831720629,0.)); #400523=DIRECTION('',(0.,0.,1.)); #400524=DIRECTION('center_axis',(-0.538904447640605,-0.842366901245042, 0.)); #400525=DIRECTION('ref_axis',(0.842366901245042,-0.538904447640605,0.)); #400526=DIRECTION('',(0.842366901245042,-0.538904447640605,0.)); #400527=DIRECTION('',(0.842366901245042,-0.538904447640605,0.)); #400528=DIRECTION('',(0.,0.,1.)); #400529=DIRECTION('center_axis',(-0.824750821382048,-0.565496315310398, 0.)); #400530=DIRECTION('ref_axis',(0.565496315310398,-0.824750821382048,0.)); #400531=DIRECTION('',(0.565496315310398,-0.824750821382048,0.)); #400532=DIRECTION('',(0.565496315310398,-0.824750821382048,0.)); #400533=DIRECTION('',(0.,0.,1.)); #400534=DIRECTION('center_axis',(-0.980008289044031,-0.19895666212769,0.)); #400535=DIRECTION('ref_axis',(0.19895666212769,-0.980008289044031,0.)); #400536=DIRECTION('',(0.19895666212769,-0.980008289044031,0.)); #400537=DIRECTION('',(0.19895666212769,-0.980008289044031,0.)); #400538=DIRECTION('',(0.,0.,1.)); #400539=DIRECTION('center_axis',(-1.,0.,0.)); #400540=DIRECTION('ref_axis',(0.,-1.,0.)); #400541=DIRECTION('',(0.,-1.,0.)); #400542=DIRECTION('',(0.,-1.,0.)); #400543=DIRECTION('',(0.,0.,1.)); #400544=DIRECTION('center_axis',(-0.983055795826661,0.183306580055407,0.)); #400545=DIRECTION('ref_axis',(-0.183306580055407,-0.983055795826661,0.)); #400546=DIRECTION('',(-0.183306580055407,-0.983055795826661,0.)); #400547=DIRECTION('',(-0.183306580055407,-0.983055795826661,0.)); #400548=DIRECTION('',(0.,0.,1.)); #400549=DIRECTION('center_axis',(-0.842360495470155,0.538914460439944,0.)); #400550=DIRECTION('ref_axis',(-0.538914460439944,-0.842360495470155,0.)); #400551=DIRECTION('',(-0.538914460439943,-0.842360495470155,0.)); #400552=DIRECTION('',(-0.538914460439943,-0.842360495470155,0.)); #400553=DIRECTION('',(0.,0.,1.)); #400554=DIRECTION('center_axis',(-0.565504004715031,0.82474554903392,0.)); #400555=DIRECTION('ref_axis',(-0.82474554903392,-0.565504004715031,0.)); #400556=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #400557=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #400558=DIRECTION('',(0.,0.,1.)); #400559=DIRECTION('center_axis',(-0.198968686113148,0.980005847914393,0.)); #400560=DIRECTION('ref_axis',(-0.980005847914393,-0.198968686113148,0.)); #400561=DIRECTION('',(-0.980005847914393,-0.198968686113148,0.)); #400562=DIRECTION('',(-0.980005847914393,-0.198968686113148,0.)); #400563=DIRECTION('',(0.,0.,1.)); #400564=DIRECTION('center_axis',(0.,1.,0.)); #400565=DIRECTION('ref_axis',(-1.,0.,0.)); #400566=DIRECTION('',(-1.,0.,0.)); #400567=DIRECTION('',(-1.,0.,0.)); #400568=DIRECTION('',(0.,0.,1.)); #400569=DIRECTION('center_axis',(-0.105885061288508,0.994378375567334,0.)); #400570=DIRECTION('ref_axis',(-0.994378375567334,-0.105885061288508,0.)); #400571=DIRECTION('',(-0.994378375567334,-0.105885061288508,0.)); #400572=DIRECTION('',(-0.994378375567334,-0.105885061288508,0.)); #400573=DIRECTION('',(0.,0.,1.)); #400574=DIRECTION('center_axis',(-0.31293473582138,0.949774631750292,0.)); #400575=DIRECTION('ref_axis',(-0.949774631750292,-0.31293473582138,0.)); #400576=DIRECTION('',(-0.949774631750292,-0.31293473582138,0.)); #400577=DIRECTION('',(-0.949774631750292,-0.31293473582138,0.)); #400578=DIRECTION('',(0.,0.,1.)); #400579=DIRECTION('center_axis',(-0.506098478960527,0.8624756979729,0.)); #400580=DIRECTION('ref_axis',(-0.8624756979729,-0.506098478960527,0.)); #400581=DIRECTION('',(-0.8624756979729,-0.506098478960527,0.)); #400582=DIRECTION('',(-0.8624756979729,-0.506098478960527,0.)); #400583=DIRECTION('',(0.,0.,1.)); #400584=DIRECTION('center_axis',(-0.652519128376063,0.757772252793241,0.)); #400585=DIRECTION('ref_axis',(-0.757772252793241,-0.652519128376063,0.)); #400586=DIRECTION('',(-0.757772252793241,-0.652519128376063,0.)); #400587=DIRECTION('',(-0.757772252793241,-0.652519128376063,0.)); #400588=DIRECTION('',(0.,0.,1.)); #400589=DIRECTION('center_axis',(-0.707106939765109,0.707106622607951,0.)); #400590=DIRECTION('ref_axis',(-0.707106622607951,-0.707106939765109,0.)); #400591=DIRECTION('',(-0.707106622607951,-0.707106939765109,0.)); #400592=DIRECTION('',(-0.707106622607951,-0.707106939765109,0.)); #400593=DIRECTION('',(0.,0.,1.)); #400594=DIRECTION('center_axis',(-0.55892981188434,0.829214969345668,0.)); #400595=DIRECTION('ref_axis',(-0.829214969345668,-0.55892981188434,0.)); #400596=DIRECTION('',(-0.829214969345668,-0.55892981188434,0.)); #400597=DIRECTION('',(-0.829214969345668,-0.55892981188434,0.)); #400598=DIRECTION('',(0.,0.,1.)); #400599=DIRECTION('center_axis',(-0.198968686113154,0.980005847914391,0.)); #400600=DIRECTION('ref_axis',(-0.980005847914391,-0.198968686113154,0.)); #400601=DIRECTION('',(-0.980005847914391,-0.198968686113154,0.)); #400602=DIRECTION('',(-0.980005847914391,-0.198968686113154,0.)); #400603=DIRECTION('',(0.,0.,1.)); #400604=DIRECTION('center_axis',(0.,1.,0.)); #400605=DIRECTION('ref_axis',(-1.,0.,0.)); #400606=DIRECTION('',(-1.,0.,0.)); #400607=DIRECTION('',(-1.,0.,0.)); #400608=DIRECTION('',(0.,0.,1.)); #400609=DIRECTION('center_axis',(-0.105885061288483,0.994378375567336,0.)); #400610=DIRECTION('ref_axis',(-0.994378375567336,-0.105885061288483,0.)); #400611=DIRECTION('',(-0.994378375567336,-0.105885061288483,0.)); #400612=DIRECTION('',(-0.994378375567336,-0.105885061288483,0.)); #400613=DIRECTION('',(0.,0.,1.)); #400614=DIRECTION('center_axis',(-0.312934735821426,0.949774631750277,0.)); #400615=DIRECTION('ref_axis',(-0.949774631750277,-0.312934735821426,0.)); #400616=DIRECTION('',(-0.949774631750277,-0.312934735821426,0.)); #400617=DIRECTION('',(-0.949774631750277,-0.312934735821426,0.)); #400618=DIRECTION('',(0.,0.,1.)); #400619=DIRECTION('center_axis',(-0.506098478960332,0.862475697973015,0.)); #400620=DIRECTION('ref_axis',(-0.862475697973015,-0.506098478960332,0.)); #400621=DIRECTION('',(-0.862475697973015,-0.506098478960332,0.)); #400622=DIRECTION('',(-0.862475697973015,-0.506098478960332,0.)); #400623=DIRECTION('',(0.,0.,1.)); #400624=DIRECTION('center_axis',(-0.652519128376101,0.757772252793208,0.)); #400625=DIRECTION('ref_axis',(-0.757772252793208,-0.652519128376101,0.)); #400626=DIRECTION('',(-0.757772252793208,-0.652519128376101,0.)); #400627=DIRECTION('',(-0.757772252793208,-0.652519128376101,0.)); #400628=DIRECTION('',(0.,0.,1.)); #400629=DIRECTION('center_axis',(-0.707106922915761,0.707106639457305,0.)); #400630=DIRECTION('ref_axis',(-0.707106639457305,-0.707106922915761,0.)); #400631=DIRECTION('',(-0.707106639457305,-0.707106922915761,0.)); #400632=DIRECTION('',(-0.707106639457305,-0.707106922915761,0.)); #400633=DIRECTION('',(0.,0.,1.)); #400634=DIRECTION('center_axis',(-0.778048811381514,0.628203826084985,0.)); #400635=DIRECTION('ref_axis',(-0.628203826084984,-0.778048811381514,0.)); #400636=DIRECTION('',(-0.628203826084984,-0.778048811381514,0.)); #400637=DIRECTION('',(-0.628203826084984,-0.778048811381514,0.)); #400638=DIRECTION('',(0.,0.,1.)); #400639=DIRECTION('center_axis',(-0.892938781493025,0.450178111979861,0.)); #400640=DIRECTION('ref_axis',(-0.45017811197986,-0.892938781493025,0.)); #400641=DIRECTION('',(-0.45017811197986,-0.892938781493025,0.)); #400642=DIRECTION('',(-0.45017811197986,-0.892938781493025,0.)); #400643=DIRECTION('',(0.,0.,1.)); #400644=DIRECTION('center_axis',(-0.967662278078034,0.252249312357498,0.)); #400645=DIRECTION('ref_axis',(-0.252249312357498,-0.967662278078034,0.)); #400646=DIRECTION('',(-0.252249312357498,-0.967662278078034,0.)); #400647=DIRECTION('',(-0.252249312357498,-0.967662278078034,0.)); #400648=DIRECTION('',(0.,0.,1.)); #400649=DIRECTION('center_axis',(-0.997238118320005,0.0742706898417872, 0.)); #400650=DIRECTION('ref_axis',(-0.0742706898417872,-0.997238118320005,0.)); #400651=DIRECTION('',(-0.0742706898417872,-0.997238118320005,0.)); #400652=DIRECTION('',(-0.0742706898417872,-0.997238118320005,0.)); #400653=DIRECTION('',(0.,0.,1.)); #400654=DIRECTION('center_axis',(-1.,0.,0.)); #400655=DIRECTION('ref_axis',(0.,-1.,0.)); #400656=DIRECTION('',(0.,-1.,0.)); #400657=DIRECTION('',(0.,-1.,0.)); #400658=DIRECTION('',(0.,0.,1.)); #400659=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #400660=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #400661=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #400662=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #400663=DIRECTION('',(0.,0.,1.)); #400664=DIRECTION('center_axis',(-0.842362410729242,0.538911466746088,0.)); #400665=DIRECTION('ref_axis',(-0.538911466746088,-0.842362410729242,0.)); #400666=DIRECTION('',(-0.538911466746088,-0.842362410729242,0.)); #400667=DIRECTION('',(-0.538911466746088,-0.842362410729242,0.)); #400668=DIRECTION('',(0.,0.,1.)); #400669=DIRECTION('center_axis',(-0.565504004715149,0.824745549033839,0.)); #400670=DIRECTION('ref_axis',(-0.824745549033839,-0.565504004715149,0.)); #400671=DIRECTION('',(-0.824745549033839,-0.565504004715149,0.)); #400672=DIRECTION('',(-0.824745549033839,-0.565504004715149,0.)); #400673=DIRECTION('',(0.,0.,1.)); #400674=DIRECTION('center_axis',(-0.198956019232613,0.980008419561338,0.)); #400675=DIRECTION('ref_axis',(-0.980008419561339,-0.198956019232613,0.)); #400676=DIRECTION('',(-0.980008419561338,-0.198956019232613,0.)); #400677=DIRECTION('',(-0.980008419561338,-0.198956019232613,0.)); #400678=DIRECTION('',(0.,0.,1.)); #400679=DIRECTION('center_axis',(0.,1.,0.)); #400680=DIRECTION('ref_axis',(-1.,0.,0.)); #400681=DIRECTION('',(-1.,0.,0.)); #400682=DIRECTION('',(-1.,0.,0.)); #400683=DIRECTION('',(0.,0.,1.)); #400684=DIRECTION('center_axis',(-0.105885061288489,0.994378375567336,0.)); #400685=DIRECTION('ref_axis',(-0.994378375567335,-0.105885061288489,0.)); #400686=DIRECTION('',(-0.994378375567335,-0.105885061288489,0.)); #400687=DIRECTION('',(-0.994378375567335,-0.105885061288489,0.)); #400688=DIRECTION('',(0.,0.,1.)); #400689=DIRECTION('center_axis',(-0.312922107778911,0.949778792384418,0.)); #400690=DIRECTION('ref_axis',(-0.949778792384418,-0.312922107778911,0.)); #400691=DIRECTION('',(-0.949778792384418,-0.312922107778911,0.)); #400692=DIRECTION('',(-0.949778792384418,-0.312922107778911,0.)); #400693=DIRECTION('',(0.,0.,1.)); #400694=DIRECTION('center_axis',(-0.506114900434732,0.862466061684714,0.)); #400695=DIRECTION('ref_axis',(-0.862466061684714,-0.506114900434732,0.)); #400696=DIRECTION('',(-0.862466061684714,-0.506114900434732,0.)); #400697=DIRECTION('',(-0.862466061684714,-0.506114900434732,0.)); #400698=DIRECTION('',(0.,0.,1.)); #400699=DIRECTION('center_axis',(-0.652508824452228,0.757781125399657,0.)); #400700=DIRECTION('ref_axis',(-0.757781125399657,-0.652508824452228,0.)); #400701=DIRECTION('',(-0.757781125399657,-0.652508824452228,0.)); #400702=DIRECTION('',(-0.757781125399657,-0.652508824452228,0.)); #400703=DIRECTION('',(0.,0.,1.)); #400704=DIRECTION('center_axis',(-0.707106869683021,0.707106692690063,0.)); #400705=DIRECTION('ref_axis',(-0.707106692690063,-0.707106869683021,0.)); #400706=DIRECTION('',(-0.707106692690063,-0.707106869683021,0.)); #400707=DIRECTION('',(-0.707106692690063,-0.707106869683021,0.)); #400708=DIRECTION('',(0.,0.,1.)); #400709=DIRECTION('center_axis',(-0.77804881138165,0.628203826084816,0.)); #400710=DIRECTION('ref_axis',(-0.628203826084816,-0.77804881138165,0.)); #400711=DIRECTION('',(-0.628203826084816,-0.77804881138165,0.)); #400712=DIRECTION('',(-0.628203826084816,-0.77804881138165,0.)); #400713=DIRECTION('',(0.,0.,1.)); #400714=DIRECTION('center_axis',(-0.892938781492879,0.450178111980149,0.)); #400715=DIRECTION('ref_axis',(-0.450178111980149,-0.89293878149288,0.)); #400716=DIRECTION('',(-0.450178111980149,-0.89293878149288,0.)); #400717=DIRECTION('',(-0.450178111980149,-0.89293878149288,0.)); #400718=DIRECTION('',(0.,0.,1.)); #400719=DIRECTION('center_axis',(-0.967662278078034,0.252249312357498,0.)); #400720=DIRECTION('ref_axis',(-0.252249312357498,-0.967662278078034,0.)); #400721=DIRECTION('',(-0.252249312357498,-0.967662278078034,0.)); #400722=DIRECTION('',(-0.252249312357498,-0.967662278078034,0.)); #400723=DIRECTION('',(0.,0.,1.)); #400724=DIRECTION('center_axis',(-0.997238118320005,0.0742706898417872, 0.)); #400725=DIRECTION('ref_axis',(-0.0742706898417872,-0.997238118320005,0.)); #400726=DIRECTION('',(-0.0742706898417872,-0.997238118320005,0.)); #400727=DIRECTION('',(-0.0742706898417872,-0.997238118320005,0.)); #400728=DIRECTION('',(0.,0.,1.)); #400729=DIRECTION('center_axis',(-1.,0.,0.)); #400730=DIRECTION('ref_axis',(0.,-1.,0.)); #400731=DIRECTION('',(0.,-1.,0.)); #400732=DIRECTION('',(0.,-1.,0.)); #400733=DIRECTION('',(0.,0.,1.)); #400734=DIRECTION('center_axis',(-0.981560865252911,0.191149856928945,0.)); #400735=DIRECTION('ref_axis',(-0.191149856928945,-0.981560865252911,0.)); #400736=DIRECTION('',(-0.191149856928945,-0.981560865252911,0.)); #400737=DIRECTION('',(-0.191149856928945,-0.981560865252911,0.)); #400738=DIRECTION('',(0.,0.,1.)); #400739=DIRECTION('center_axis',(-0.833659859749867,0.552278225391724,0.)); #400740=DIRECTION('ref_axis',(-0.552278225391724,-0.833659859749867,0.)); #400741=DIRECTION('',(-0.552278225391724,-0.833659859749867,0.)); #400742=DIRECTION('',(-0.552278225391724,-0.833659859749867,0.)); #400743=DIRECTION('',(0.,0.,1.)); #400744=DIRECTION('center_axis',(-0.707106876869274,0.707106685503809,0.)); #400745=DIRECTION('ref_axis',(-0.707106685503809,-0.707106876869274,0.)); #400746=DIRECTION('',(-0.707106685503809,-0.707106876869274,0.)); #400747=DIRECTION('',(-0.707106685503809,-0.707106876869274,0.)); #400748=DIRECTION('',(0.,0.,1.)); #400749=DIRECTION('center_axis',(-0.778048811381718,0.628203826084731,0.)); #400750=DIRECTION('ref_axis',(-0.628203826084731,-0.778048811381718,0.)); #400751=DIRECTION('',(-0.628203826084731,-0.778048811381718,0.)); #400752=DIRECTION('',(-0.628203826084731,-0.778048811381718,0.)); #400753=DIRECTION('',(0.,0.,1.)); #400754=DIRECTION('center_axis',(-0.892938781492816,0.450178111980274,0.)); #400755=DIRECTION('ref_axis',(-0.450178111980274,-0.892938781492816,0.)); #400756=DIRECTION('',(-0.450178111980274,-0.892938781492816,0.)); #400757=DIRECTION('',(-0.450178111980274,-0.892938781492816,0.)); #400758=DIRECTION('',(0.,0.,1.)); #400759=DIRECTION('center_axis',(-0.967662278078046,0.25224931235745,0.)); #400760=DIRECTION('ref_axis',(-0.25224931235745,-0.967662278078046,0.)); #400761=DIRECTION('',(-0.25224931235745,-0.967662278078046,0.)); #400762=DIRECTION('',(-0.25224931235745,-0.967662278078046,0.)); #400763=DIRECTION('',(0.,0.,1.)); #400764=DIRECTION('center_axis',(-0.997238118320006,0.0742706898417773, 0.)); #400765=DIRECTION('ref_axis',(-0.0742706898417773,-0.997238118320006,0.)); #400766=DIRECTION('',(-0.0742706898417773,-0.997238118320006,0.)); #400767=DIRECTION('',(-0.0742706898417773,-0.997238118320006,0.)); #400768=DIRECTION('',(0.,0.,1.)); #400769=DIRECTION('center_axis',(-1.,0.,0.)); #400770=DIRECTION('ref_axis',(0.,-1.,0.)); #400771=DIRECTION('',(0.,-1.,0.)); #400772=DIRECTION('',(0.,-1.,0.)); #400773=DIRECTION('',(0.,0.,1.)); #400774=DIRECTION('center_axis',(-0.99437837556735,-0.105885061288354,0.)); #400775=DIRECTION('ref_axis',(0.105885061288354,-0.99437837556735,0.)); #400776=DIRECTION('',(0.105885061288354,-0.99437837556735,0.)); #400777=DIRECTION('',(0.105885061288354,-0.99437837556735,0.)); #400778=DIRECTION('',(0.,0.,1.)); #400779=DIRECTION('center_axis',(-0.949766016990435,-0.312960880894282, 0.)); #400780=DIRECTION('ref_axis',(0.312960880894282,-0.949766016990435,0.)); #400781=DIRECTION('',(0.312960880894282,-0.949766016990435,0.)); #400782=DIRECTION('',(0.312960880894282,-0.949766016990435,0.)); #400783=DIRECTION('',(0.,0.,1.)); #400784=DIRECTION('center_axis',(-0.837174075245197,-0.546936529898442, 0.)); #400785=DIRECTION('ref_axis',(0.546936529898442,-0.837174075245197,0.)); #400786=DIRECTION('',(0.546936529898442,-0.837174075245197,0.)); #400787=DIRECTION('',(0.546936529898442,-0.837174075245197,0.)); #400788=DIRECTION('',(0.,0.,1.)); #400789=DIRECTION('center_axis',(-0.74329456719604,-0.668964263901183,0.)); #400790=DIRECTION('ref_axis',(0.668964263901183,-0.74329456719604,0.)); #400791=DIRECTION('',(0.668964263901183,-0.74329456719604,0.)); #400792=DIRECTION('',(0.668964263901183,-0.74329456719604,0.)); #400793=DIRECTION('',(0.,0.,1.)); #400794=DIRECTION('center_axis',(-0.668310465897522,-0.743882464621823, 0.)); #400795=DIRECTION('ref_axis',(0.743882464621823,-0.668310465897522,0.)); #400796=DIRECTION('',(0.743882464621823,-0.668310465897522,0.)); #400797=DIRECTION('',(0.743882464621823,-0.668310465897522,0.)); #400798=DIRECTION('',(0.,0.,1.)); #400799=DIRECTION('center_axis',(-0.496576102786833,-0.867993187842532, 0.)); #400800=DIRECTION('ref_axis',(0.867993187842532,-0.496576102786833,0.)); #400801=DIRECTION('',(0.867993187842532,-0.496576102786833,0.)); #400802=DIRECTION('',(0.867993187842532,-0.496576102786833,0.)); #400803=DIRECTION('',(0.,0.,1.)); #400804=DIRECTION('center_axis',(-0.30251116059194,-0.953145842836928,0.)); #400805=DIRECTION('ref_axis',(0.953145842836928,-0.30251116059194,0.)); #400806=DIRECTION('',(0.953145842836928,-0.30251116059194,0.)); #400807=DIRECTION('',(0.953145842836928,-0.30251116059194,0.)); #400808=DIRECTION('',(0.,0.,1.)); #400809=DIRECTION('center_axis',(-0.100530782529678,-0.994933948442795, 0.)); #400810=DIRECTION('ref_axis',(0.994933948442795,-0.100530782529678,0.)); #400811=DIRECTION('',(0.994933948442795,-0.100530782529678,0.)); #400812=DIRECTION('',(0.994933948442795,-0.100530782529678,0.)); #400813=DIRECTION('',(0.,0.,1.)); #400814=DIRECTION('center_axis',(0.,-1.,0.)); #400815=DIRECTION('ref_axis',(1.,0.,0.)); #400816=DIRECTION('',(1.,0.,0.)); #400817=DIRECTION('',(1.,0.,0.)); #400818=DIRECTION('',(0.,0.,1.)); #400819=DIRECTION('center_axis',(0.105885061288662,-0.994378375567317,0.)); #400820=DIRECTION('ref_axis',(0.994378375567317,0.105885061288662,0.)); #400821=DIRECTION('',(0.994378375567317,0.105885061288662,0.)); #400822=DIRECTION('',(0.994378375567317,0.105885061288662,0.)); #400823=DIRECTION('',(0.,0.,1.)); #400824=DIRECTION('center_axis',(0.312935976921229,-0.949774222827908,0.)); #400825=DIRECTION('ref_axis',(0.949774222827908,0.312935976921229,0.)); #400826=DIRECTION('',(0.949774222827908,0.312935976921229,0.)); #400827=DIRECTION('',(0.949774222827908,0.312935976921229,0.)); #400828=DIRECTION('',(0.,0.,1.)); #400829=DIRECTION('center_axis',(0.506057198050737,-0.86249992017451,0.)); #400830=DIRECTION('ref_axis',(0.86249992017451,0.506057198050737,0.)); #400831=DIRECTION('',(0.86249992017451,0.506057198050737,0.)); #400832=DIRECTION('',(0.86249992017451,0.506057198050737,0.)); #400833=DIRECTION('',(0.,0.,1.)); #400834=DIRECTION('center_axis',(0.676309465188481,-0.736617612670557,0.)); #400835=DIRECTION('ref_axis',(0.736617612670557,0.676309465188481,0.)); #400836=DIRECTION('',(0.736617612670557,0.676309465188481,0.)); #400837=DIRECTION('',(0.736617612670557,0.676309465188481,0.)); #400838=DIRECTION('',(0.,0.,1.)); #400839=DIRECTION('center_axis',(0.816318974247621,-0.57760136104697,0.)); #400840=DIRECTION('ref_axis',(0.57760136104697,0.816318974247621,0.)); #400841=DIRECTION('',(0.57760136104697,0.816318974247621,0.)); #400842=DIRECTION('',(0.57760136104697,0.816318974247621,0.)); #400843=DIRECTION('',(0.,0.,1.)); #400844=DIRECTION('center_axis',(0.919633143145632,-0.392778413393717,0.)); #400845=DIRECTION('ref_axis',(0.392778413393717,0.919633143145632,0.)); #400846=DIRECTION('',(0.392778413393717,0.919633143145632,0.)); #400847=DIRECTION('',(0.392778413393717,0.919633143145632,0.)); #400848=DIRECTION('',(0.,0.,1.)); #400849=DIRECTION('center_axis',(0.988961531561705,-0.148172497755578,0.)); #400850=DIRECTION('ref_axis',(0.148172497755578,0.988961531561705,0.)); #400851=DIRECTION('',(0.148172497755578,0.988961531561705,0.)); #400852=DIRECTION('',(0.148172497755578,0.988961531561705,0.)); #400853=DIRECTION('',(0.,0.,1.)); #400854=DIRECTION('center_axis',(1.,0.,0.)); #400855=DIRECTION('ref_axis',(0.,1.,0.)); #400856=DIRECTION('',(0.,1.,0.)); #400857=DIRECTION('',(0.,1.,0.)); #400858=DIRECTION('',(0.,0.,1.)); #400859=DIRECTION('center_axis',(0.983056036329398,-0.183305290257358,0.)); #400860=DIRECTION('ref_axis',(0.183305290257358,0.983056036329397,0.)); #400861=DIRECTION('',(0.183305290257358,0.983056036329397,0.)); #400862=DIRECTION('',(0.183305290257358,0.983056036329397,0.)); #400863=DIRECTION('',(0.,0.,1.)); #400864=DIRECTION('center_axis',(0.842362410729178,-0.538911466746188,0.)); #400865=DIRECTION('ref_axis',(0.538911466746188,0.842362410729178,0.)); #400866=DIRECTION('',(0.538911466746188,0.842362410729178,0.)); #400867=DIRECTION('',(0.538911466746188,0.842362410729178,0.)); #400868=DIRECTION('',(0.,0.,1.)); #400869=DIRECTION('center_axis',(0.565504004714963,-0.824745549033967,0.)); #400870=DIRECTION('ref_axis',(0.824745549033967,0.565504004714963,0.)); #400871=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #400872=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #400873=DIRECTION('',(0.,0.,1.)); #400874=DIRECTION('center_axis',(0.19895601923274,-0.980008419561313,0.)); #400875=DIRECTION('ref_axis',(0.980008419561313,0.19895601923274,0.)); #400876=DIRECTION('',(0.980008419561313,0.19895601923274,0.)); #400877=DIRECTION('',(0.980008419561313,0.19895601923274,0.)); #400878=DIRECTION('',(0.,0.,1.)); #400879=DIRECTION('center_axis',(0.,-1.,0.)); #400880=DIRECTION('ref_axis',(1.,0.,0.)); #400881=DIRECTION('',(1.,0.,0.)); #400882=DIRECTION('',(1.,0.,0.)); #400883=DIRECTION('',(0.,0.,1.)); #400884=DIRECTION('center_axis',(-0.183305290257473,-0.983056036329376, 0.)); #400885=DIRECTION('ref_axis',(0.983056036329376,-0.183305290257473,0.)); #400886=DIRECTION('',(0.983056036329376,-0.183305290257473,0.)); #400887=DIRECTION('',(0.983056036329376,-0.183305290257473,0.)); #400888=DIRECTION('',(0.,0.,1.)); #400889=DIRECTION('center_axis',(-0.538911466745847,-0.842362410729396, 0.)); #400890=DIRECTION('ref_axis',(0.842362410729396,-0.538911466745847,0.)); #400891=DIRECTION('',(0.842362410729396,-0.538911466745847,0.)); #400892=DIRECTION('',(0.842362410729396,-0.538911466745847,0.)); #400893=DIRECTION('',(0.,0.,1.)); #400894=DIRECTION('center_axis',(-0.82474554903383,-0.565504004715162,0.)); #400895=DIRECTION('ref_axis',(0.565504004715162,-0.82474554903383,0.)); #400896=DIRECTION('',(0.565504004715162,-0.82474554903383,0.)); #400897=DIRECTION('',(0.565504004715162,-0.82474554903383,0.)); #400898=DIRECTION('',(0.,0.,1.)); #400899=DIRECTION('center_axis',(-0.980008419561381,-0.198956019232402, 0.)); #400900=DIRECTION('ref_axis',(0.198956019232402,-0.980008419561381,0.)); #400901=DIRECTION('',(0.198956019232402,-0.980008419561381,0.)); #400902=DIRECTION('',(0.198956019232402,-0.980008419561381,0.)); #400903=DIRECTION('',(0.,0.,1.)); #400904=DIRECTION('center_axis',(-1.,0.,0.)); #400905=DIRECTION('ref_axis',(0.,-1.,0.)); #400906=DIRECTION('',(0.,-1.,0.)); #400907=DIRECTION('',(0.,-1.,0.)); #400908=DIRECTION('',(0.,0.,1.)); #400909=DIRECTION('center_axis',(-0.994378375567313,-0.105885061288698, 0.)); #400910=DIRECTION('ref_axis',(0.105885061288698,-0.994378375567313,0.)); #400911=DIRECTION('',(0.105885061288698,-0.994378375567313,0.)); #400912=DIRECTION('',(0.105885061288698,-0.994378375567313,0.)); #400913=DIRECTION('',(0.,0.,1.)); #400914=DIRECTION('center_axis',(-0.94977879238451,-0.312922107778631,0.)); #400915=DIRECTION('ref_axis',(0.312922107778631,-0.94977879238451,0.)); #400916=DIRECTION('',(0.312922107778631,-0.94977879238451,0.)); #400917=DIRECTION('',(0.312922107778631,-0.94977879238451,0.)); #400918=DIRECTION('',(0.,0.,1.)); #400919=DIRECTION('center_axis',(-0.86248796831363,-0.506077567685258,0.)); #400920=DIRECTION('ref_axis',(0.506077567685258,-0.86248796831363,0.)); #400921=DIRECTION('',(0.506077567685258,-0.86248796831363,0.)); #400922=DIRECTION('',(0.506077567685258,-0.86248796831363,0.)); #400923=DIRECTION('',(0.,0.,1.)); #400924=DIRECTION('center_axis',(-0.73663894537961,-0.676286229454671,0.)); #400925=DIRECTION('ref_axis',(0.676286229454671,-0.73663894537961,0.)); #400926=DIRECTION('',(0.676286229454671,-0.73663894537961,0.)); #400927=DIRECTION('',(0.676286229454671,-0.73663894537961,0.)); #400928=DIRECTION('',(0.,0.,1.)); #400929=DIRECTION('center_axis',(-0.577570241664856,-0.816340992443231, 0.)); #400930=DIRECTION('ref_axis',(0.816340992443231,-0.577570241664856,0.)); #400931=DIRECTION('',(0.816340992443231,-0.577570241664856,0.)); #400932=DIRECTION('',(0.816340992443231,-0.577570241664856,0.)); #400933=DIRECTION('',(0.,0.,1.)); #400934=DIRECTION('center_axis',(-0.392778413393338,-0.919633143145794, 0.)); #400935=DIRECTION('ref_axis',(0.919633143145794,-0.392778413393338,0.)); #400936=DIRECTION('',(0.919633143145794,-0.392778413393338,0.)); #400937=DIRECTION('',(0.919633143145794,-0.392778413393338,0.)); #400938=DIRECTION('',(0.,0.,1.)); #400939=DIRECTION('center_axis',(-0.148172497755812,-0.98896153156167,0.)); #400940=DIRECTION('ref_axis',(0.98896153156167,-0.148172497755812,0.)); #400941=DIRECTION('',(0.98896153156167,-0.148172497755812,0.)); #400942=DIRECTION('',(0.98896153156167,-0.148172497755812,0.)); #400943=DIRECTION('',(0.,0.,1.)); #400944=DIRECTION('center_axis',(0.,-1.,0.)); #400945=DIRECTION('ref_axis',(1.,0.,0.)); #400946=DIRECTION('',(1.,0.,0.)); #400947=DIRECTION('',(1.,0.,0.)); #400948=DIRECTION('',(0.,0.,1.)); #400949=DIRECTION('center_axis',(-0.183305290257108,-0.983056036329444, 0.)); #400950=DIRECTION('ref_axis',(0.983056036329444,-0.183305290257108,0.)); #400951=DIRECTION('',(0.983056036329444,-0.183305290257108,0.)); #400952=DIRECTION('',(0.983056036329444,-0.183305290257108,0.)); #400953=DIRECTION('',(0.,0.,1.)); #400954=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #400955=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #400956=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #400957=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #400958=DIRECTION('',(0.,0.,1.)); #400959=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #400960=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #400961=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #400962=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #400963=DIRECTION('',(0.,0.,1.)); #400964=DIRECTION('center_axis',(-0.980008419561313,-0.19895601923274,0.)); #400965=DIRECTION('ref_axis',(0.19895601923274,-0.980008419561313,0.)); #400966=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #400967=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #400968=DIRECTION('',(0.,0.,1.)); #400969=DIRECTION('center_axis',(-1.,0.,0.)); #400970=DIRECTION('ref_axis',(0.,-1.,0.)); #400971=DIRECTION('',(0.,-1.,0.)); #400972=DIRECTION('',(0.,-1.,0.)); #400973=DIRECTION('',(0.,0.,1.)); #400974=DIRECTION('center_axis',(-0.99437837556735,-0.105885061288354,0.)); #400975=DIRECTION('ref_axis',(0.105885061288354,-0.99437837556735,0.)); #400976=DIRECTION('',(0.105885061288354,-0.99437837556735,0.)); #400977=DIRECTION('',(0.105885061288354,-0.99437837556735,0.)); #400978=DIRECTION('',(0.,0.,1.)); #400979=DIRECTION('center_axis',(-0.949778792384428,-0.312922107778882, 0.)); #400980=DIRECTION('ref_axis',(0.312922107778882,-0.949778792384428,0.)); #400981=DIRECTION('',(0.312922107778882,-0.949778792384428,0.)); #400982=DIRECTION('',(0.312922107778882,-0.949778792384428,0.)); #400983=DIRECTION('',(0.,0.,1.)); #400984=DIRECTION('center_axis',(-0.862466061684628,-0.506114900434878, 0.)); #400985=DIRECTION('ref_axis',(0.506114900434878,-0.862466061684628,0.)); #400986=DIRECTION('',(0.506114900434878,-0.862466061684628,0.)); #400987=DIRECTION('',(0.506114900434878,-0.862466061684628,0.)); #400988=DIRECTION('',(0.,0.,1.)); #400989=DIRECTION('center_axis',(-0.757781125399854,-0.652508824452,0.)); #400990=DIRECTION('ref_axis',(0.652508824452,-0.757781125399854,0.)); #400991=DIRECTION('',(0.652508824452,-0.757781125399854,0.)); #400992=DIRECTION('',(0.652508824452,-0.757781125399854,0.)); #400993=DIRECTION('',(0.,0.,1.)); #400994=DIRECTION('center_axis',(-0.707107551522362,-0.707106010849894, 0.)); #400995=DIRECTION('ref_axis',(0.707106010849894,-0.707107551522362,0.)); #400996=DIRECTION('',(0.707106010849894,-0.707107551522362,0.)); #400997=DIRECTION('',(0.707106010849894,-0.707107551522362,0.)); #400998=DIRECTION('',(0.,0.,1.)); #400999=DIRECTION('center_axis',(-0.824789890119759,-0.565439331101256, 0.)); #401000=DIRECTION('ref_axis',(0.565439331101256,-0.824789890119759,0.)); #401001=DIRECTION('',(0.565439331101256,-0.824789890119759,0.)); #401002=DIRECTION('',(0.565439331101256,-0.824789890119759,0.)); #401003=DIRECTION('',(0.,0.,1.)); #401004=DIRECTION('center_axis',(-0.976687210469078,-0.214667400660024, 0.)); #401005=DIRECTION('ref_axis',(0.214667400660024,-0.976687210469078,0.)); #401006=DIRECTION('',(0.214667400660024,-0.976687210469078,0.)); #401007=DIRECTION('',(0.214667400660024,-0.976687210469078,0.)); #401008=DIRECTION('',(0.,0.,1.)); #401009=DIRECTION('center_axis',(-0.983049421519731,0.183340761560876,0.)); #401010=DIRECTION('ref_axis',(-0.183340761560876,-0.983049421519731,0.)); #401011=DIRECTION('',(-0.183340761560876,-0.983049421519731,0.)); #401012=DIRECTION('',(-0.183340761560876,-0.983049421519731,0.)); #401013=DIRECTION('',(0.,0.,1.)); #401014=DIRECTION('center_axis',(-0.833659859749868,0.552278225391723,0.)); #401015=DIRECTION('ref_axis',(-0.552278225391723,-0.833659859749868,0.)); #401016=DIRECTION('',(-0.552278225391723,-0.833659859749868,0.)); #401017=DIRECTION('',(-0.552278225391723,-0.833659859749868,0.)); #401018=DIRECTION('',(0.,0.,1.)); #401019=DIRECTION('center_axis',(-0.707131237125211,0.707082324402024,0.)); #401020=DIRECTION('ref_axis',(-0.707082324402024,-0.707131237125211,0.)); #401021=DIRECTION('',(-0.707082324402024,-0.707131237125211,0.)); #401022=DIRECTION('',(-0.707082324402024,-0.707131237125211,0.)); #401023=DIRECTION('',(0.,0.,1.)); #401024=DIRECTION('center_axis',(-1.,0.,0.)); #401025=DIRECTION('ref_axis',(0.,-1.,0.)); #401026=DIRECTION('',(0.,-1.,0.)); #401027=DIRECTION('',(0.,-1.,0.)); #401028=DIRECTION('',(0.,0.,1.)); #401029=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #401030=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #401031=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #401032=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #401033=DIRECTION('',(0.,0.,1.)); #401034=DIRECTION('center_axis',(0.,-1.,0.)); #401035=DIRECTION('ref_axis',(1.,0.,0.)); #401036=DIRECTION('',(1.,0.,0.)); #401037=DIRECTION('',(1.,0.,0.)); #401038=DIRECTION('',(0.,0.,1.)); #401039=DIRECTION('center_axis',(0.707104777838364,-0.707108784529055,0.)); #401040=DIRECTION('ref_axis',(0.707108784529055,0.707104777838364,0.)); #401041=DIRECTION('',(0.707108784529055,0.707104777838364,0.)); #401042=DIRECTION('',(0.707108784529055,0.707104777838364,0.)); #401043=DIRECTION('',(0.,0.,1.)); #401044=DIRECTION('center_axis',(0.558946331948723,-0.829203833807507,0.)); #401045=DIRECTION('ref_axis',(0.829203833807507,0.558946331948723,0.)); #401046=DIRECTION('',(0.829203833807507,0.558946331948723,0.)); #401047=DIRECTION('',(0.829203833807507,0.558946331948723,0.)); #401048=DIRECTION('',(0.,0.,1.)); #401049=DIRECTION('center_axis',(0.19895601923274,-0.980008419561313,0.)); #401050=DIRECTION('ref_axis',(0.980008419561313,0.19895601923274,0.)); #401051=DIRECTION('',(0.980008419561313,0.19895601923274,0.)); #401052=DIRECTION('',(0.980008419561313,0.19895601923274,0.)); #401053=DIRECTION('',(0.,0.,1.)); #401054=DIRECTION('center_axis',(0.,-1.,0.)); #401055=DIRECTION('ref_axis',(1.,0.,0.)); #401056=DIRECTION('',(1.,0.,0.)); #401057=DIRECTION('',(1.,0.,0.)); #401058=DIRECTION('',(0.,0.,1.)); #401059=DIRECTION('center_axis',(0.707106781186551,-0.707106781186544,0.)); #401060=DIRECTION('ref_axis',(0.707106781186544,0.707106781186551,0.)); #401061=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #401062=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #401063=DIRECTION('',(0.,0.,1.)); #401064=DIRECTION('center_axis',(1.,0.,0.)); #401065=DIRECTION('ref_axis',(0.,1.,0.)); #401066=DIRECTION('',(0.,1.,0.)); #401067=DIRECTION('',(0.,1.,0.)); #401068=DIRECTION('',(0.,0.,1.)); #401069=DIRECTION('center_axis',(0.984479063846087,-0.175502059386013,0.)); #401070=DIRECTION('ref_axis',(0.175502059386013,0.984479063846087,0.)); #401071=DIRECTION('',(0.175502059386013,0.984479063846087,0.)); #401072=DIRECTION('',(0.175502059386013,0.984479063846087,0.)); #401073=DIRECTION('',(0.,0.,1.)); #401074=DIRECTION('center_axis',(0.850871695642028,-0.525373540973715,0.)); #401075=DIRECTION('ref_axis',(0.525373540973715,0.850871695642028,0.)); #401076=DIRECTION('',(0.525373540973715,0.850871695642028,0.)); #401077=DIRECTION('',(0.525373540973715,0.850871695642028,0.)); #401078=DIRECTION('',(0.,0.,1.)); #401079=DIRECTION('center_axis',(0.578483828224723,-0.81569385217891,0.)); #401080=DIRECTION('ref_axis',(0.81569385217891,0.578483828224723,0.)); #401081=DIRECTION('',(0.81569385217891,0.578483828224723,0.)); #401082=DIRECTION('',(0.81569385217891,0.578483828224723,0.)); #401083=DIRECTION('',(0.,0.,1.)); #401084=DIRECTION('center_axis',(0.214642857802378,-0.976692604453637,0.)); #401085=DIRECTION('ref_axis',(0.976692604453637,0.214642857802378,0.)); #401086=DIRECTION('',(0.976692604453637,0.214642857802378,0.)); #401087=DIRECTION('',(0.976692604453637,0.214642857802378,0.)); #401088=DIRECTION('',(0.,0.,1.)); #401089=DIRECTION('center_axis',(-0.183302952703505,-0.983056472197898, 0.)); #401090=DIRECTION('ref_axis',(0.983056472197898,-0.183302952703505,0.)); #401091=DIRECTION('',(0.983056472197898,-0.183302952703505,0.)); #401092=DIRECTION('',(0.983056472197898,-0.183302952703505,0.)); #401093=DIRECTION('',(0.,0.,1.)); #401094=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #401095=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #401096=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #401097=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #401098=DIRECTION('',(0.,0.,1.)); #401099=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #401100=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #401101=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #401102=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #401103=DIRECTION('',(0.,0.,1.)); #401104=DIRECTION('center_axis',(0.,-1.,0.)); #401105=DIRECTION('ref_axis',(1.,0.,0.)); #401106=DIRECTION('',(1.,0.,0.)); #401107=DIRECTION('',(1.,0.,0.)); #401108=DIRECTION('',(0.,0.,1.)); #401109=DIRECTION('center_axis',(-0.183305290257387,-0.983056036329392, 0.)); #401110=DIRECTION('ref_axis',(0.983056036329392,-0.183305290257387,0.)); #401111=DIRECTION('',(0.983056036329392,-0.183305290257387,0.)); #401112=DIRECTION('',(0.983056036329392,-0.183305290257387,0.)); #401113=DIRECTION('',(0.,0.,1.)); #401114=DIRECTION('center_axis',(-0.53891146674598,-0.842362410729311,0.)); #401115=DIRECTION('ref_axis',(0.842362410729311,-0.53891146674598,0.)); #401116=DIRECTION('',(0.842362410729311,-0.53891146674598,0.)); #401117=DIRECTION('',(0.842362410729311,-0.53891146674598,0.)); #401118=DIRECTION('',(0.,0.,1.)); #401119=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #401120=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #401121=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #401122=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #401123=DIRECTION('',(0.,0.,1.)); #401124=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #401125=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #401126=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #401127=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #401128=DIRECTION('',(0.,0.,1.)); #401129=DIRECTION('center_axis',(-1.,0.,0.)); #401130=DIRECTION('ref_axis',(0.,-1.,0.)); #401131=DIRECTION('',(0.,-1.,0.)); #401132=DIRECTION('',(0.,-1.,0.)); #401133=DIRECTION('',(0.,0.,1.)); #401134=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #401135=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #401136=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #401137=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #401138=DIRECTION('',(0.,0.,1.)); #401139=DIRECTION('center_axis',(0.,-1.,0.)); #401140=DIRECTION('ref_axis',(1.,0.,0.)); #401141=DIRECTION('',(1.,0.,0.)); #401142=DIRECTION('',(1.,0.,0.)); #401143=DIRECTION('',(0.,0.,1.)); #401144=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #401145=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #401146=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #401147=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #401148=DIRECTION('',(0.,0.,1.)); #401149=DIRECTION('center_axis',(1.,0.,0.)); #401150=DIRECTION('ref_axis',(0.,1.,0.)); #401151=DIRECTION('',(0.,1.,0.)); #401152=DIRECTION('',(0.,1.,0.)); #401153=DIRECTION('',(0.,0.,1.)); #401154=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #401155=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #401156=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #401157=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #401158=DIRECTION('',(0.,0.,1.)); #401159=DIRECTION('center_axis',(0.,1.,0.)); #401160=DIRECTION('ref_axis',(-1.,0.,0.)); #401161=DIRECTION('',(-1.,0.,0.)); #401162=DIRECTION('',(-1.,0.,0.)); #401163=DIRECTION('',(0.,0.,1.)); #401164=DIRECTION('center_axis',(-0.707106781186512,0.707106781186583,0.)); #401165=DIRECTION('ref_axis',(-0.707106781186583,-0.707106781186512,0.)); #401166=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #401167=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #401168=DIRECTION('',(0.,0.,1.)); #401169=DIRECTION('center_axis',(-1.,0.,0.)); #401170=DIRECTION('ref_axis',(0.,-1.,0.)); #401171=DIRECTION('',(0.,-1.,0.)); #401172=DIRECTION('',(0.,-1.,0.)); #401173=DIRECTION('',(0.,0.,1.)); #401174=DIRECTION('center_axis',(-0.983056036329426,0.183305290257204,0.)); #401175=DIRECTION('ref_axis',(-0.183305290257204,-0.983056036329426,0.)); #401176=DIRECTION('',(-0.183305290257204,-0.983056036329426,0.)); #401177=DIRECTION('',(-0.183305290257204,-0.983056036329426,0.)); #401178=DIRECTION('',(0.,0.,1.)); #401179=DIRECTION('center_axis',(-0.842362410729244,0.538911466746084,0.)); #401180=DIRECTION('ref_axis',(-0.538911466746084,-0.842362410729244,0.)); #401181=DIRECTION('',(-0.538911466746084,-0.842362410729244,0.)); #401182=DIRECTION('',(-0.538911466746084,-0.842362410729244,0.)); #401183=DIRECTION('',(0.,0.,1.)); #401184=DIRECTION('center_axis',(-0.565504004714963,0.824745549033967,0.)); #401185=DIRECTION('ref_axis',(-0.824745549033967,-0.565504004714963,0.)); #401186=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #401187=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #401188=DIRECTION('',(0.,0.,1.)); #401189=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #401190=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #401191=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #401192=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #401193=DIRECTION('',(0.,0.,1.)); #401194=DIRECTION('center_axis',(0.,1.,0.)); #401195=DIRECTION('ref_axis',(-1.,0.,0.)); #401196=DIRECTION('',(-1.,0.,0.)); #401197=DIRECTION('',(-1.,0.,0.)); #401198=DIRECTION('',(0.,0.,1.)); #401199=DIRECTION('center_axis',(0.191149856928974,0.981560865252906,0.)); #401200=DIRECTION('ref_axis',(-0.981560865252906,0.191149856928974,0.)); #401201=DIRECTION('',(-0.981560865252906,0.191149856928974,0.)); #401202=DIRECTION('',(-0.981560865252906,0.191149856928974,0.)); #401203=DIRECTION('',(0.,0.,1.)); #401204=DIRECTION('center_axis',(0.552278225391792,0.833659859749822,0.)); #401205=DIRECTION('ref_axis',(-0.833659859749822,0.552278225391792,0.)); #401206=DIRECTION('',(-0.833659859749822,0.552278225391792,0.)); #401207=DIRECTION('',(-0.833659859749822,0.552278225391792,0.)); #401208=DIRECTION('',(0.,0.,1.)); #401209=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #401210=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #401211=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #401212=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #401213=DIRECTION('',(0.,0.,1.)); #401214=DIRECTION('center_axis',(0.829203833807412,0.558946331948864,0.)); #401215=DIRECTION('ref_axis',(-0.558946331948864,0.829203833807412,0.)); #401216=DIRECTION('',(-0.558946331948864,0.829203833807412,0.)); #401217=DIRECTION('',(-0.558946331948864,0.829203833807412,0.)); #401218=DIRECTION('',(0.,0.,1.)); #401219=DIRECTION('center_axis',(0.980008419561313,0.19895601923274,0.)); #401220=DIRECTION('ref_axis',(-0.19895601923274,0.980008419561313,0.)); #401221=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #401222=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #401223=DIRECTION('',(0.,0.,1.)); #401224=DIRECTION('center_axis',(1.,0.,0.)); #401225=DIRECTION('ref_axis',(0.,1.,0.)); #401226=DIRECTION('',(0.,1.,0.)); #401227=DIRECTION('',(0.,1.,0.)); #401228=DIRECTION('',(0.,0.,1.)); #401229=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #401230=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #401231=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #401232=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #401233=DIRECTION('',(0.,0.,1.)); #401234=DIRECTION('center_axis',(0.833659859749822,-0.552278225391792,0.)); #401235=DIRECTION('ref_axis',(0.552278225391792,0.833659859749822,0.)); #401236=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #401237=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #401238=DIRECTION('',(0.,0.,1.)); #401239=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #401240=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #401241=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #401242=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #401243=DIRECTION('',(0.,0.,1.)); #401244=DIRECTION('center_axis',(0.558946331949003,-0.829203833807318,0.)); #401245=DIRECTION('ref_axis',(0.829203833807318,0.558946331949003,0.)); #401246=DIRECTION('',(0.829203833807318,0.558946331949003,0.)); #401247=DIRECTION('',(0.829203833807318,0.558946331949003,0.)); #401248=DIRECTION('',(0.,0.,1.)); #401249=DIRECTION('center_axis',(0.198956019232571,-0.980008419561347,0.)); #401250=DIRECTION('ref_axis',(0.980008419561347,0.198956019232571,0.)); #401251=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #401252=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #401253=DIRECTION('',(0.,0.,1.)); #401254=DIRECTION('center_axis',(0.,-1.,0.)); #401255=DIRECTION('ref_axis',(1.,0.,0.)); #401256=DIRECTION('',(1.,0.,0.)); #401257=DIRECTION('',(1.,0.,0.)); #401258=DIRECTION('',(0.,0.,1.)); #401259=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #401260=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #401261=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #401262=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #401263=DIRECTION('',(0.,0.,1.)); #401264=DIRECTION('center_axis',(1.,0.,0.)); #401265=DIRECTION('ref_axis',(0.,1.,0.)); #401266=DIRECTION('',(0.,1.,0.)); #401267=DIRECTION('',(0.,1.,0.)); #401268=DIRECTION('',(0.,0.,1.)); #401269=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #401270=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #401271=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #401272=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #401273=DIRECTION('',(0.,0.,1.)); #401274=DIRECTION('center_axis',(0.,1.,0.)); #401275=DIRECTION('ref_axis',(-1.,0.,0.)); #401276=DIRECTION('',(-1.,0.,0.)); #401277=DIRECTION('',(-1.,0.,0.)); #401278=DIRECTION('',(0.,0.,1.)); #401279=DIRECTION('center_axis',(0.191149856928945,0.981560865252911,0.)); #401280=DIRECTION('ref_axis',(-0.981560865252911,0.191149856928945,0.)); #401281=DIRECTION('',(-0.981560865252911,0.191149856928945,0.)); #401282=DIRECTION('',(-0.981560865252911,0.191149856928945,0.)); #401283=DIRECTION('',(0.,0.,1.)); #401284=DIRECTION('center_axis',(0.552278225391826,0.8336598597498,0.)); #401285=DIRECTION('ref_axis',(-0.8336598597498,0.552278225391826,0.)); #401286=DIRECTION('',(-0.8336598597498,0.552278225391826,0.)); #401287=DIRECTION('',(-0.8336598597498,0.552278225391826,0.)); #401288=DIRECTION('',(0.,0.,1.)); #401289=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #401290=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #401291=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #401292=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #401293=DIRECTION('',(0.,0.,1.)); #401294=DIRECTION('center_axis',(0.829203833807364,0.558946331948935,0.)); #401295=DIRECTION('ref_axis',(-0.558946331948935,0.829203833807364,0.)); #401296=DIRECTION('',(-0.558946331948935,0.829203833807364,0.)); #401297=DIRECTION('',(-0.558946331948935,0.829203833807364,0.)); #401298=DIRECTION('',(0.,0.,1.)); #401299=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #401300=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #401301=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #401302=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #401303=DIRECTION('',(0.,0.,1.)); #401304=DIRECTION('center_axis',(1.,0.,0.)); #401305=DIRECTION('ref_axis',(0.,1.,0.)); #401306=DIRECTION('',(0.,1.,0.)); #401307=DIRECTION('',(0.,1.,0.)); #401308=DIRECTION('',(0.,0.,1.)); #401309=DIRECTION('center_axis',(0.984479063846077,-0.17550205938607,0.)); #401310=DIRECTION('ref_axis',(0.17550205938607,0.984479063846077,0.)); #401311=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #401312=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #401313=DIRECTION('',(0.,0.,1.)); #401314=DIRECTION('center_axis',(0.850880796063565,-0.52535880204888,0.)); #401315=DIRECTION('ref_axis',(0.52535880204888,0.850880796063565,0.)); #401316=DIRECTION('',(0.52535880204888,0.850880796063565,0.)); #401317=DIRECTION('',(0.52535880204888,0.850880796063565,0.)); #401318=DIRECTION('',(0.,0.,1.)); #401319=DIRECTION('center_axis',(0.578461888589193,-0.815709411156831,0.)); #401320=DIRECTION('ref_axis',(0.815709411156831,0.578461888589193,0.)); #401321=DIRECTION('',(0.815709411156831,0.578461888589193,0.)); #401322=DIRECTION('',(0.815709411156831,0.578461888589193,0.)); #401323=DIRECTION('',(0.,0.,1.)); #401324=DIRECTION('center_axis',(0.21467431451661,-0.976685690837551,0.)); #401325=DIRECTION('ref_axis',(0.976685690837551,0.21467431451661,0.)); #401326=DIRECTION('',(0.976685690837551,0.21467431451661,0.)); #401327=DIRECTION('',(0.976685690837551,0.21467431451661,0.)); #401328=DIRECTION('',(0.,0.,1.)); #401329=DIRECTION('center_axis',(-0.183334818573505,-0.983050529880647, 0.)); #401330=DIRECTION('ref_axis',(0.983050529880647,-0.183334818573505,0.)); #401331=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #401332=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #401333=DIRECTION('',(0.,0.,1.)); #401334=DIRECTION('center_axis',(-0.552278225391961,-0.83365985974971,0.)); #401335=DIRECTION('ref_axis',(0.83365985974971,-0.552278225391961,0.)); #401336=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #401337=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #401338=DIRECTION('',(0.,0.,1.)); #401339=DIRECTION('center_axis',(-0.707102206471886,-0.707111355871613, 0.)); #401340=DIRECTION('ref_axis',(0.707111355871613,-0.707102206471886,0.)); #401341=DIRECTION('',(0.707111355871612,-0.707102206471886,0.)); #401342=DIRECTION('',(0.707111355871612,-0.707102206471886,0.)); #401343=DIRECTION('',(0.,0.,1.)); #401344=DIRECTION('center_axis',(0.,-1.,0.)); #401345=DIRECTION('ref_axis',(1.,0.,0.)); #401346=DIRECTION('',(1.,0.,0.)); #401347=DIRECTION('',(1.,0.,0.)); #401348=DIRECTION('',(0.,0.,1.)); #401349=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #401350=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #401351=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #401352=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #401353=DIRECTION('',(0.,0.,1.)); #401354=DIRECTION('center_axis',(1.,0.,0.)); #401355=DIRECTION('ref_axis',(0.,1.,0.)); #401356=DIRECTION('',(0.,1.,0.)); #401357=DIRECTION('',(0.,1.,0.)); #401358=DIRECTION('',(0.,0.,1.)); #401359=DIRECTION('center_axis',(0.98156086525294,-0.191149856928798,0.)); #401360=DIRECTION('ref_axis',(0.191149856928798,0.98156086525294,0.)); #401361=DIRECTION('',(0.191149856928798,0.98156086525294,0.)); #401362=DIRECTION('',(0.191149856928798,0.98156086525294,0.)); #401363=DIRECTION('',(0.,0.,1.)); #401364=DIRECTION('center_axis',(0.833659859749643,-0.552278225392063,0.)); #401365=DIRECTION('ref_axis',(0.552278225392063,0.833659859749643,0.)); #401366=DIRECTION('',(0.552278225392063,0.833659859749643,0.)); #401367=DIRECTION('',(0.552278225392063,0.833659859749643,0.)); #401368=DIRECTION('',(0.,0.,1.)); #401369=DIRECTION('center_axis',(0.707106781186549,-0.707106781186546,0.)); #401370=DIRECTION('ref_axis',(0.707106781186546,0.707106781186549,0.)); #401371=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #401372=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #401373=DIRECTION('',(0.,0.,1.)); #401374=DIRECTION('center_axis',(1.,0.,0.)); #401375=DIRECTION('ref_axis',(0.,1.,0.)); #401376=DIRECTION('',(0.,1.,0.)); #401377=DIRECTION('',(0.,1.,0.)); #401378=DIRECTION('',(0.,0.,1.)); #401379=DIRECTION('center_axis',(0.984479063846077,-0.17550205938607,0.)); #401380=DIRECTION('ref_axis',(0.17550205938607,0.984479063846077,0.)); #401381=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #401382=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #401383=DIRECTION('',(0.,0.,1.)); #401384=DIRECTION('center_axis',(0.850880796063565,-0.52535880204888,0.)); #401385=DIRECTION('ref_axis',(0.52535880204888,0.850880796063565,0.)); #401386=DIRECTION('',(0.52535880204888,0.850880796063565,0.)); #401387=DIRECTION('',(0.52535880204888,0.850880796063565,0.)); #401388=DIRECTION('',(0.,0.,1.)); #401389=DIRECTION('center_axis',(0.578461888589359,-0.815709411156713,0.)); #401390=DIRECTION('ref_axis',(0.815709411156713,0.578461888589359,0.)); #401391=DIRECTION('',(0.815709411156713,0.578461888589359,0.)); #401392=DIRECTION('',(0.815709411156713,0.578461888589359,0.)); #401393=DIRECTION('',(0.,0.,1.)); #401394=DIRECTION('center_axis',(0.214674314516579,-0.976685690837557,0.)); #401395=DIRECTION('ref_axis',(0.976685690837557,0.214674314516579,0.)); #401396=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #401397=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #401398=DIRECTION('',(0.,0.,1.)); #401399=DIRECTION('center_axis',(-0.183334818573673,-0.983050529880615, 0.)); #401400=DIRECTION('ref_axis',(0.983050529880615,-0.183334818573673,0.)); #401401=DIRECTION('',(0.983050529880615,-0.183334818573673,0.)); #401402=DIRECTION('',(0.983050529880615,-0.183334818573673,0.)); #401403=DIRECTION('',(0.,0.,1.)); #401404=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #401405=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #401406=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #401407=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #401408=DIRECTION('',(0.,0.,1.)); #401409=DIRECTION('center_axis',(-0.707106781186556,-0.707106781186539, 0.)); #401410=DIRECTION('ref_axis',(0.707106781186539,-0.707106781186556,0.)); #401411=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #401412=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #401413=DIRECTION('',(0.,0.,1.)); #401414=DIRECTION('center_axis',(-0.382688667805833,-0.923877363903347, 0.)); #401415=DIRECTION('ref_axis',(0.923877363903347,-0.382688667805833,0.)); #401416=DIRECTION('',(0.923877363903347,-0.382688667805833,0.)); #401417=DIRECTION('',(0.923877363903347,-0.382688667805833,0.)); #401418=DIRECTION('',(0.,0.,1.)); #401419=DIRECTION('center_axis',(0.,-1.,0.)); #401420=DIRECTION('ref_axis',(1.,0.,0.)); #401421=DIRECTION('',(1.,0.,0.)); #401422=DIRECTION('',(1.,0.,0.)); #401423=DIRECTION('',(0.,0.,1.)); #401424=DIRECTION('center_axis',(0.382688667805837,-0.923877363903345,0.)); #401425=DIRECTION('ref_axis',(0.923877363903345,0.382688667805837,0.)); #401426=DIRECTION('',(0.923877363903345,0.382688667805837,0.)); #401427=DIRECTION('',(0.923877363903345,0.382688667805837,0.)); #401428=DIRECTION('',(0.,0.,1.)); #401429=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #401430=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #401431=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #401432=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #401433=DIRECTION('',(0.,0.,1.)); #401434=DIRECTION('center_axis',(0.572058771549325,-0.820212632122596,0.)); #401435=DIRECTION('ref_axis',(0.820212632122596,0.572058771549325,0.)); #401436=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #401437=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #401438=DIRECTION('',(0.,0.,1.)); #401439=DIRECTION('center_axis',(0.230196907019351,-0.973144071552987,0.)); #401440=DIRECTION('ref_axis',(0.973144071552987,0.230196907019351,0.)); #401441=DIRECTION('',(0.973144071552987,0.230196907019351,0.)); #401442=DIRECTION('',(0.973144071552987,0.230196907019351,0.)); #401443=DIRECTION('',(0.,0.,1.)); #401444=DIRECTION('center_axis',(-0.167675934908011,-0.985842168327529, 0.)); #401445=DIRECTION('ref_axis',(0.985842168327529,-0.167675934908011,0.)); #401446=DIRECTION('',(0.985842168327529,-0.167675934908011,0.)); #401447=DIRECTION('',(0.985842168327529,-0.167675934908011,0.)); #401448=DIRECTION('',(0.,0.,1.)); #401449=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #401450=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #401451=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #401452=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #401453=DIRECTION('',(0.,0.,1.)); #401454=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #401455=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #401456=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #401457=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #401458=DIRECTION('',(0.,0.,1.)); #401459=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #401460=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #401461=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #401462=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #401463=DIRECTION('',(0.,0.,1.)); #401464=DIRECTION('center_axis',(-1.,0.,0.)); #401465=DIRECTION('ref_axis',(0.,-1.,0.)); #401466=DIRECTION('',(0.,-1.,0.)); #401467=DIRECTION('',(0.,-1.,0.)); #401468=DIRECTION('',(0.,0.,1.)); #401469=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546, 0.)); #401470=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.)); #401471=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #401472=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #401473=DIRECTION('',(0.,0.,1.)); #401474=DIRECTION('center_axis',(-0.829203833807294,-0.55894633194904,0.)); #401475=DIRECTION('ref_axis',(0.55894633194904,-0.829203833807294,0.)); #401476=DIRECTION('',(0.55894633194904,-0.829203833807294,0.)); #401477=DIRECTION('',(0.55894633194904,-0.829203833807294,0.)); #401478=DIRECTION('',(0.,0.,1.)); #401479=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #401480=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #401481=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #401482=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #401483=DIRECTION('',(0.,0.,1.)); #401484=DIRECTION('center_axis',(-1.,0.,0.)); #401485=DIRECTION('ref_axis',(0.,-1.,0.)); #401486=DIRECTION('',(0.,-1.,0.)); #401487=DIRECTION('',(0.,-1.,0.)); #401488=DIRECTION('',(0.,0.,1.)); #401489=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #401490=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #401491=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #401492=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #401493=DIRECTION('',(0.,0.,1.)); #401494=DIRECTION('center_axis',(0.,-1.,0.)); #401495=DIRECTION('ref_axis',(1.,0.,0.)); #401496=DIRECTION('',(1.,0.,0.)); #401497=DIRECTION('',(1.,0.,0.)); #401498=DIRECTION('',(0.,0.,1.)); #401499=DIRECTION('center_axis',(0.707102206471886,-0.707111355871613,0.)); #401500=DIRECTION('ref_axis',(0.707111355871613,0.707102206471886,0.)); #401501=DIRECTION('',(0.707111355871612,0.707102206471886,0.)); #401502=DIRECTION('',(0.707111355871612,0.707102206471886,0.)); #401503=DIRECTION('',(0.,0.,1.)); #401504=DIRECTION('center_axis',(0.572058771549358,-0.820212632122573,0.)); #401505=DIRECTION('ref_axis',(0.820212632122573,0.572058771549358,0.)); #401506=DIRECTION('',(0.820212632122573,0.572058771549358,0.)); #401507=DIRECTION('',(0.820212632122573,0.572058771549358,0.)); #401508=DIRECTION('',(0.,0.,1.)); #401509=DIRECTION('center_axis',(0.23019690701918,-0.973144071553027,0.)); #401510=DIRECTION('ref_axis',(0.973144071553027,0.23019690701918,0.)); #401511=DIRECTION('',(0.973144071553027,0.23019690701918,0.)); #401512=DIRECTION('',(0.973144071553027,0.23019690701918,0.)); #401513=DIRECTION('',(0.,0.,1.)); #401514=DIRECTION('center_axis',(-0.167675934907917,-0.985842168327545, 0.)); #401515=DIRECTION('ref_axis',(0.985842168327545,-0.167675934907917,0.)); #401516=DIRECTION('',(0.985842168327545,-0.167675934907917,0.)); #401517=DIRECTION('',(0.985842168327545,-0.167675934907917,0.)); #401518=DIRECTION('',(0.,0.,1.)); #401519=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #401520=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #401521=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #401522=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #401523=DIRECTION('',(0.,0.,1.)); #401524=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #401525=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #401526=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #401527=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #401528=DIRECTION('',(0.,0.,1.)); #401529=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #401530=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #401531=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #401532=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #401533=DIRECTION('',(0.,0.,1.)); #401534=DIRECTION('center_axis',(-1.,0.,0.)); #401535=DIRECTION('ref_axis',(0.,-1.,0.)); #401536=DIRECTION('',(0.,-1.,0.)); #401537=DIRECTION('',(0.,-1.,0.)); #401538=DIRECTION('',(0.,0.,1.)); #401539=DIRECTION('center_axis',(-0.98156086525294,0.191149856928798,0.)); #401540=DIRECTION('ref_axis',(-0.191149856928798,-0.98156086525294,0.)); #401541=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #401542=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #401543=DIRECTION('',(0.,0.,1.)); #401544=DIRECTION('center_axis',(-0.83365985974971,0.552278225391961,0.)); #401545=DIRECTION('ref_axis',(-0.552278225391961,-0.83365985974971,0.)); #401546=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #401547=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #401548=DIRECTION('',(0.,0.,1.)); #401549=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #401550=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #401551=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #401552=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #401553=DIRECTION('',(0.,0.,1.)); #401554=DIRECTION('center_axis',(-0.558946331948969,0.829203833807341,0.)); #401555=DIRECTION('ref_axis',(-0.829203833807341,-0.558946331948969,0.)); #401556=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #401557=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #401558=DIRECTION('',(0.,0.,1.)); #401559=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #401560=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #401561=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #401562=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #401563=DIRECTION('',(0.,0.,1.)); #401564=DIRECTION('center_axis',(0.,1.,0.)); #401565=DIRECTION('ref_axis',(-1.,0.,0.)); #401566=DIRECTION('',(-1.,0.,0.)); #401567=DIRECTION('',(-1.,0.,0.)); #401568=DIRECTION('',(0.,0.,1.)); #401569=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #401570=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #401571=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #401572=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #401573=DIRECTION('',(0.,0.,1.)); #401574=DIRECTION('center_axis',(-1.,0.,0.)); #401575=DIRECTION('ref_axis',(0.,-1.,0.)); #401576=DIRECTION('',(0.,-1.,0.)); #401577=DIRECTION('',(0.,-1.,0.)); #401578=DIRECTION('',(0.,0.,1.)); #401579=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #401580=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #401581=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #401582=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #401583=DIRECTION('',(0.,0.,1.)); #401584=DIRECTION('center_axis',(0.,-1.,0.)); #401585=DIRECTION('ref_axis',(1.,0.,0.)); #401586=DIRECTION('',(1.,0.,0.)); #401587=DIRECTION('',(1.,0.,0.)); #401588=DIRECTION('',(0.,0.,1.)); #401589=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #401590=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #401591=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #401592=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #401593=DIRECTION('',(0.,0.,1.)); #401594=DIRECTION('center_axis',(-0.552278225392063,-0.833659859749643, 0.)); #401595=DIRECTION('ref_axis',(0.833659859749643,-0.552278225392063,0.)); #401596=DIRECTION('',(0.833659859749643,-0.552278225392063,0.)); #401597=DIRECTION('',(0.833659859749643,-0.552278225392063,0.)); #401598=DIRECTION('',(0.,0.,1.)); #401599=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #401600=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #401601=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #401602=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #401603=DIRECTION('',(0.,0.,1.)); #401604=DIRECTION('center_axis',(-0.829203833807341,-0.558946331948969, 0.)); #401605=DIRECTION('ref_axis',(0.558946331948969,-0.829203833807341,0.)); #401606=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #401607=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #401608=DIRECTION('',(0.,0.,1.)); #401609=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #401610=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #401611=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #401612=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #401613=DIRECTION('',(0.,0.,1.)); #401614=DIRECTION('center_axis',(-1.,0.,0.)); #401615=DIRECTION('ref_axis',(0.,-1.,0.)); #401616=DIRECTION('',(0.,-1.,0.)); #401617=DIRECTION('',(0.,-1.,0.)); #401618=DIRECTION('',(0.,0.,1.)); #401619=DIRECTION('center_axis',(-0.981560865252934,0.191149856928827,0.)); #401620=DIRECTION('ref_axis',(-0.191149856928827,-0.981560865252934,0.)); #401621=DIRECTION('',(-0.191149856928827,-0.981560865252934,0.)); #401622=DIRECTION('',(-0.191149856928827,-0.981560865252934,0.)); #401623=DIRECTION('',(0.,0.,1.)); #401624=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #401625=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #401626=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #401627=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #401628=DIRECTION('',(0.,0.,1.)); #401629=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #401630=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #401631=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #401632=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #401633=DIRECTION('',(0.,0.,1.)); #401634=DIRECTION('center_axis',(-0.558946331948864,0.829203833807412,0.)); #401635=DIRECTION('ref_axis',(-0.829203833807412,-0.558946331948864,0.)); #401636=DIRECTION('',(-0.829203833807412,-0.558946331948864,0.)); #401637=DIRECTION('',(-0.829203833807412,-0.558946331948864,0.)); #401638=DIRECTION('',(0.,0.,1.)); #401639=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #401640=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #401641=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #401642=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #401643=DIRECTION('',(0.,0.,1.)); #401644=DIRECTION('center_axis',(0.,1.,0.)); #401645=DIRECTION('ref_axis',(-1.,0.,0.)); #401646=DIRECTION('',(-1.,0.,0.)); #401647=DIRECTION('',(-1.,0.,0.)); #401648=DIRECTION('',(0.,0.,1.)); #401649=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #401650=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #401651=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #401652=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #401653=DIRECTION('',(0.,0.,1.)); #401654=DIRECTION('center_axis',(0.538911466745847,0.842362410729396,0.)); #401655=DIRECTION('ref_axis',(-0.842362410729396,0.538911466745847,0.)); #401656=DIRECTION('',(-0.842362410729396,0.538911466745847,0.)); #401657=DIRECTION('',(-0.842362410729396,0.538911466745847,0.)); #401658=DIRECTION('',(0.,0.,1.)); #401659=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #401660=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #401661=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #401662=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #401663=DIRECTION('',(0.,0.,1.)); #401664=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #401665=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #401666=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #401667=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #401668=DIRECTION('',(0.,0.,1.)); #401669=DIRECTION('center_axis',(1.,0.,0.)); #401670=DIRECTION('ref_axis',(0.,1.,0.)); #401671=DIRECTION('',(0.,1.,0.)); #401672=DIRECTION('',(0.,1.,0.)); #401673=DIRECTION('',(0.,0.,1.)); #401674=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #401675=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #401676=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #401677=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #401678=DIRECTION('',(0.,0.,1.)); #401679=DIRECTION('center_axis',(0.,1.,0.)); #401680=DIRECTION('ref_axis',(-1.,0.,0.)); #401681=DIRECTION('',(-1.,0.,0.)); #401682=DIRECTION('',(-1.,0.,0.)); #401683=DIRECTION('',(0.,0.,1.)); #401684=DIRECTION('center_axis',(-0.707106781186512,0.707106781186583,0.)); #401685=DIRECTION('ref_axis',(-0.707106781186583,-0.707106781186512,0.)); #401686=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #401687=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #401688=DIRECTION('',(0.,0.,1.)); #401689=DIRECTION('center_axis',(-1.,0.,0.)); #401690=DIRECTION('ref_axis',(0.,-1.,0.)); #401691=DIRECTION('',(0.,-1.,0.)); #401692=DIRECTION('',(0.,-1.,0.)); #401693=DIRECTION('',(0.,0.,1.)); #401694=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #401695=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #401696=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #401697=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #401698=DIRECTION('',(0.,0.,1.)); #401699=DIRECTION('center_axis',(0.,-1.,0.)); #401700=DIRECTION('ref_axis',(1.,0.,0.)); #401701=DIRECTION('',(1.,0.,0.)); #401702=DIRECTION('',(1.,0.,0.)); #401703=DIRECTION('',(0.,0.,1.)); #401704=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #401705=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #401706=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #401707=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #401708=DIRECTION('',(0.,0.,1.)); #401709=DIRECTION('center_axis',(1.,0.,0.)); #401710=DIRECTION('ref_axis',(0.,1.,0.)); #401711=DIRECTION('',(0.,1.,0.)); #401712=DIRECTION('',(0.,1.,0.)); #401713=DIRECTION('',(0.,0.,1.)); #401714=DIRECTION('center_axis',(0.983056036329398,-0.183305290257358,0.)); #401715=DIRECTION('ref_axis',(0.183305290257358,0.983056036329397,0.)); #401716=DIRECTION('',(0.183305290257358,0.983056036329397,0.)); #401717=DIRECTION('',(0.183305290257358,0.983056036329397,0.)); #401718=DIRECTION('',(0.,0.,1.)); #401719=DIRECTION('center_axis',(0.842362410729244,-0.538911466746084,0.)); #401720=DIRECTION('ref_axis',(0.538911466746084,0.842362410729244,0.)); #401721=DIRECTION('',(0.538911466746084,0.842362410729244,0.)); #401722=DIRECTION('',(0.538911466746084,0.842362410729244,0.)); #401723=DIRECTION('',(0.,0.,1.)); #401724=DIRECTION('center_axis',(0.565504004714963,-0.824745549033967,0.)); #401725=DIRECTION('ref_axis',(0.824745549033967,0.565504004714963,0.)); #401726=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #401727=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #401728=DIRECTION('',(0.,0.,1.)); #401729=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #401730=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #401731=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #401732=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #401733=DIRECTION('',(0.,0.,1.)); #401734=DIRECTION('center_axis',(0.,-1.,0.)); #401735=DIRECTION('ref_axis',(1.,0.,0.)); #401736=DIRECTION('',(1.,0.,0.)); #401737=DIRECTION('',(1.,0.,0.)); #401738=DIRECTION('',(0.,0.,1.)); #401739=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #401740=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #401741=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #401742=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #401743=DIRECTION('',(0.,0.,1.)); #401744=DIRECTION('center_axis',(0.572058771549247,-0.820212632122651,0.)); #401745=DIRECTION('ref_axis',(0.820212632122651,0.572058771549247,0.)); #401746=DIRECTION('',(0.820212632122651,0.572058771549247,0.)); #401747=DIRECTION('',(0.820212632122651,0.572058771549247,0.)); #401748=DIRECTION('',(0.,0.,1.)); #401749=DIRECTION('center_axis',(0.230165683714756,-0.973151456886398,0.)); #401750=DIRECTION('ref_axis',(0.973151456886398,0.230165683714756,0.)); #401751=DIRECTION('',(0.973151456886398,0.230165683714756,0.)); #401752=DIRECTION('',(0.973151456886398,0.230165683714756,0.)); #401753=DIRECTION('',(0.,0.,1.)); #401754=DIRECTION('center_axis',(-0.167643887112495,-0.985847618607365, 0.)); #401755=DIRECTION('ref_axis',(0.985847618607365,-0.167643887112495,0.)); #401756=DIRECTION('',(0.985847618607365,-0.167643887112495,0.)); #401757=DIRECTION('',(0.985847618607365,-0.167643887112495,0.)); #401758=DIRECTION('',(0.,0.,1.)); #401759=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #401760=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #401761=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #401762=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #401763=DIRECTION('',(0.,0.,1.)); #401764=DIRECTION('center_axis',(-0.824745549033873,-0.565504004715099, 0.)); #401765=DIRECTION('ref_axis',(0.565504004715099,-0.824745549033873,0.)); #401766=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #401767=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #401768=DIRECTION('',(0.,0.,1.)); #401769=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #401770=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #401771=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #401772=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #401773=DIRECTION('',(0.,0.,1.)); #401774=DIRECTION('center_axis',(-1.,0.,0.)); #401775=DIRECTION('ref_axis',(0.,-1.,0.)); #401776=DIRECTION('',(0.,-1.,0.)); #401777=DIRECTION('',(0.,-1.,0.)); #401778=DIRECTION('',(0.,0.,1.)); #401779=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546, 0.)); #401780=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.)); #401781=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #401782=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #401783=DIRECTION('',(0.,0.,1.)); #401784=DIRECTION('center_axis',(0.,-1.,0.)); #401785=DIRECTION('ref_axis',(1.,0.,0.)); #401786=DIRECTION('',(1.,0.,0.)); #401787=DIRECTION('',(1.,0.,0.)); #401788=DIRECTION('',(0.,0.,1.)); #401789=DIRECTION('center_axis',(-0.191149856928917,-0.981560865252917, 0.)); #401790=DIRECTION('ref_axis',(0.981560865252917,-0.191149856928917,0.)); #401791=DIRECTION('',(0.981560865252917,-0.191149856928917,0.)); #401792=DIRECTION('',(0.981560865252917,-0.191149856928917,0.)); #401793=DIRECTION('',(0.,0.,1.)); #401794=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #401795=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #401796=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #401797=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #401798=DIRECTION('',(0.,0.,1.)); #401799=DIRECTION('center_axis',(-0.707104777838364,-0.707108784529055, 0.)); #401800=DIRECTION('ref_axis',(0.707108784529055,-0.707104777838364,0.)); #401801=DIRECTION('',(0.707108784529055,-0.707104777838364,0.)); #401802=DIRECTION('',(0.707108784529055,-0.707104777838364,0.)); #401803=DIRECTION('',(0.,0.,1.)); #401804=DIRECTION('center_axis',(0.,-1.,0.)); #401805=DIRECTION('ref_axis',(1.,0.,0.)); #401806=DIRECTION('',(1.,0.,0.)); #401807=DIRECTION('',(1.,0.,0.)); #401808=DIRECTION('',(0.,0.,1.)); #401809=DIRECTION('center_axis',(0.707106781186554,-0.707106781186541,0.)); #401810=DIRECTION('ref_axis',(0.707106781186541,0.707106781186554,0.)); #401811=DIRECTION('',(0.707106781186541,0.707106781186554,0.)); #401812=DIRECTION('',(0.707106781186541,0.707106781186554,0.)); #401813=DIRECTION('',(0.,0.,1.)); #401814=DIRECTION('center_axis',(0.983052942270862,-0.183321882743445,0.)); #401815=DIRECTION('ref_axis',(0.183321882743445,0.983052942270862,0.)); #401816=DIRECTION('',(0.183321882743445,0.983052942270862,0.)); #401817=DIRECTION('',(0.183321882743445,0.983052942270862,0.)); #401818=DIRECTION('',(0.,0.,1.)); #401819=DIRECTION('center_axis',(0.842362410729329,-0.538911466745951,0.)); #401820=DIRECTION('ref_axis',(0.538911466745951,0.842362410729329,0.)); #401821=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #401822=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #401823=DIRECTION('',(0.,0.,1.)); #401824=DIRECTION('center_axis',(0.565504004714963,-0.824745549033967,0.)); #401825=DIRECTION('ref_axis',(0.824745549033967,0.565504004714963,0.)); #401826=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #401827=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #401828=DIRECTION('',(0.,0.,1.)); #401829=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #401830=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #401831=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #401832=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #401833=DIRECTION('',(0.,0.,1.)); #401834=DIRECTION('center_axis',(0.,-1.,0.)); #401835=DIRECTION('ref_axis',(1.,0.,0.)); #401836=DIRECTION('',(1.,0.,0.)); #401837=DIRECTION('',(1.,0.,0.)); #401838=DIRECTION('',(0.,0.,1.)); #401839=DIRECTION('center_axis',(0.105885061288354,-0.99437837556735,0.)); #401840=DIRECTION('ref_axis',(0.99437837556735,0.105885061288354,0.)); #401841=DIRECTION('',(0.99437837556735,0.105885061288354,0.)); #401842=DIRECTION('',(0.99437837556735,0.105885061288354,0.)); #401843=DIRECTION('',(0.,0.,1.)); #401844=DIRECTION('center_axis',(0.312935976921665,-0.949774222827764,0.)); #401845=DIRECTION('ref_axis',(0.949774222827764,0.312935976921665,0.)); #401846=DIRECTION('',(0.949774222827764,0.312935976921665,0.)); #401847=DIRECTION('',(0.949774222827764,0.312935976921665,0.)); #401848=DIRECTION('',(0.,0.,1.)); #401849=DIRECTION('center_axis',(0.506095628368247,-0.862477370686646,0.)); #401850=DIRECTION('ref_axis',(0.862477370686645,0.506095628368247,0.)); #401851=DIRECTION('',(0.862477370686645,0.506095628368247,0.)); #401852=DIRECTION('',(0.862477370686645,0.506095628368247,0.)); #401853=DIRECTION('',(0.,0.,1.)); #401854=DIRECTION('center_axis',(0.652508824452436,-0.757781125399478,0.)); #401855=DIRECTION('ref_axis',(0.757781125399478,0.652508824452436,0.)); #401856=DIRECTION('',(0.757781125399478,0.652508824452436,0.)); #401857=DIRECTION('',(0.757781125399478,0.652508824452436,0.)); #401858=DIRECTION('',(0.,0.,1.)); #401859=DIRECTION('center_axis',(0.707106983782849,-0.707106578590188,0.)); #401860=DIRECTION('ref_axis',(0.707106578590188,0.707106983782849,0.)); #401861=DIRECTION('',(0.707106578590188,0.707106983782849,0.)); #401862=DIRECTION('',(0.707106578590188,0.707106983782849,0.)); #401863=DIRECTION('',(0.,0.,1.)); #401864=DIRECTION('center_axis',(0.778048811381676,-0.628203826084784,0.)); #401865=DIRECTION('ref_axis',(0.628203826084784,0.778048811381676,0.)); #401866=DIRECTION('',(0.628203826084784,0.778048811381676,0.)); #401867=DIRECTION('',(0.628203826084784,0.778048811381676,0.)); #401868=DIRECTION('',(0.,0.,1.)); #401869=DIRECTION('center_axis',(0.892938781493109,-0.450178111979695,0.)); #401870=DIRECTION('ref_axis',(0.450178111979695,0.892938781493109,0.)); #401871=DIRECTION('',(0.450178111979694,0.892938781493109,0.)); #401872=DIRECTION('',(0.450178111979694,0.892938781493109,0.)); #401873=DIRECTION('',(0.,0.,1.)); #401874=DIRECTION('center_axis',(0.967662278077949,-0.252249312357822,0.)); #401875=DIRECTION('ref_axis',(0.252249312357821,0.967662278077949,0.)); #401876=DIRECTION('',(0.252249312357821,0.967662278077949,0.)); #401877=DIRECTION('',(0.252249312357821,0.967662278077949,0.)); #401878=DIRECTION('',(0.,0.,1.)); #401879=DIRECTION('center_axis',(0.997238118319996,-0.0742706898419095, 0.)); #401880=DIRECTION('ref_axis',(0.0742706898419095,0.997238118319996,0.)); #401881=DIRECTION('',(0.0742706898419095,0.997238118319996,0.)); #401882=DIRECTION('',(0.0742706898419095,0.997238118319996,0.)); #401883=DIRECTION('',(0.,0.,1.)); #401884=DIRECTION('center_axis',(1.,0.,0.)); #401885=DIRECTION('ref_axis',(0.,1.,0.)); #401886=DIRECTION('',(0.,1.,0.)); #401887=DIRECTION('',(0.,1.,0.)); #401888=DIRECTION('',(0.,0.,1.)); #401889=DIRECTION('center_axis',(0.983056036329391,-0.183305290257396,0.)); #401890=DIRECTION('ref_axis',(0.183305290257396,0.983056036329391,0.)); #401891=DIRECTION('',(0.183305290257396,0.983056036329391,0.)); #401892=DIRECTION('',(0.183305290257396,0.983056036329391,0.)); #401893=DIRECTION('',(0.,0.,1.)); #401894=DIRECTION('center_axis',(0.842362410729296,-0.538911466746003,0.)); #401895=DIRECTION('ref_axis',(0.538911466746003,0.842362410729296,0.)); #401896=DIRECTION('',(0.538911466746003,0.842362410729296,0.)); #401897=DIRECTION('',(0.538911466746003,0.842362410729296,0.)); #401898=DIRECTION('',(0.,0.,1.)); #401899=DIRECTION('center_axis',(0.565504004715196,-0.824745549033807,0.)); #401900=DIRECTION('ref_axis',(0.824745549033807,0.565504004715196,0.)); #401901=DIRECTION('',(0.824745549033807,0.565504004715196,0.)); #401902=DIRECTION('',(0.824745549033807,0.565504004715196,0.)); #401903=DIRECTION('',(0.,0.,1.)); #401904=DIRECTION('center_axis',(0.19895601923243,-0.980008419561376,0.)); #401905=DIRECTION('ref_axis',(0.980008419561375,0.19895601923243,0.)); #401906=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #401907=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #401908=DIRECTION('',(0.,0.,1.)); #401909=DIRECTION('center_axis',(0.,-1.,0.)); #401910=DIRECTION('ref_axis',(1.,0.,0.)); #401911=DIRECTION('',(1.,0.,0.)); #401912=DIRECTION('',(1.,0.,0.)); #401913=DIRECTION('',(0.,0.,1.)); #401914=DIRECTION('center_axis',(0.105885061288698,-0.994378375567313,0.)); #401915=DIRECTION('ref_axis',(0.994378375567313,0.105885061288698,0.)); #401916=DIRECTION('',(0.994378375567313,0.105885061288698,0.)); #401917=DIRECTION('',(0.994378375567313,0.105885061288698,0.)); #401918=DIRECTION('',(0.,0.,1.)); #401919=DIRECTION('center_axis',(0.312935976921229,-0.949774222827908,0.)); #401920=DIRECTION('ref_axis',(0.949774222827908,0.312935976921229,0.)); #401921=DIRECTION('',(0.949774222827908,0.312935976921229,0.)); #401922=DIRECTION('',(0.949774222827908,0.312935976921229,0.)); #401923=DIRECTION('',(0.,0.,1.)); #401924=DIRECTION('center_axis',(0.506057198050692,-0.862499920174537,0.)); #401925=DIRECTION('ref_axis',(0.862499920174537,0.506057198050692,0.)); #401926=DIRECTION('',(0.862499920174537,0.506057198050692,0.)); #401927=DIRECTION('',(0.862499920174537,0.506057198050692,0.)); #401928=DIRECTION('',(0.,0.,1.)); #401929=DIRECTION('center_axis',(0.676309465188533,-0.73661761267051,0.)); #401930=DIRECTION('ref_axis',(0.736617612670509,0.676309465188533,0.)); #401931=DIRECTION('',(0.736617612670509,0.676309465188533,0.)); #401932=DIRECTION('',(0.736617612670509,0.676309465188533,0.)); #401933=DIRECTION('',(0.,0.,1.)); #401934=DIRECTION('center_axis',(0.816318974247523,-0.577601361047108,0.)); #401935=DIRECTION('ref_axis',(0.577601361047108,0.816318974247523,0.)); #401936=DIRECTION('',(0.577601361047108,0.816318974247523,0.)); #401937=DIRECTION('',(0.577601361047108,0.816318974247523,0.)); #401938=DIRECTION('',(0.,0.,1.)); #401939=DIRECTION('center_axis',(0.919633143145591,-0.392778413393812,0.)); #401940=DIRECTION('ref_axis',(0.392778413393812,0.919633143145591,0.)); #401941=DIRECTION('',(0.392778413393812,0.919633143145591,0.)); #401942=DIRECTION('',(0.392778413393812,0.919633143145591,0.)); #401943=DIRECTION('',(0.,0.,1.)); #401944=DIRECTION('center_axis',(0.988961531561696,-0.148172497755637,0.)); #401945=DIRECTION('ref_axis',(0.148172497755637,0.988961531561696,0.)); #401946=DIRECTION('',(0.148172497755637,0.988961531561696,0.)); #401947=DIRECTION('',(0.148172497755637,0.988961531561696,0.)); #401948=DIRECTION('',(0.,0.,1.)); #401949=DIRECTION('center_axis',(1.,0.,0.)); #401950=DIRECTION('ref_axis',(0.,1.,0.)); #401951=DIRECTION('',(0.,1.,0.)); #401952=DIRECTION('',(0.,1.,0.)); #401953=DIRECTION('',(0.,0.,1.)); #401954=DIRECTION('center_axis',(0.983056036329398,-0.183305290257358,0.)); #401955=DIRECTION('ref_axis',(0.183305290257358,0.983056036329397,0.)); #401956=DIRECTION('',(0.183305290257358,0.983056036329397,0.)); #401957=DIRECTION('',(0.183305290257358,0.983056036329397,0.)); #401958=DIRECTION('',(0.,0.,1.)); #401959=DIRECTION('center_axis',(0.842362410729244,-0.538911466746084,0.)); #401960=DIRECTION('ref_axis',(0.538911466746084,0.842362410729244,0.)); #401961=DIRECTION('',(0.538911466746084,0.842362410729244,0.)); #401962=DIRECTION('',(0.538911466746084,0.842362410729244,0.)); #401963=DIRECTION('',(0.,0.,1.)); #401964=DIRECTION('center_axis',(0.565504004714997,-0.824745549033943,0.)); #401965=DIRECTION('ref_axis',(0.824745549033943,0.565504004714997,0.)); #401966=DIRECTION('',(0.824745549033943,0.565504004714997,0.)); #401967=DIRECTION('',(0.824745549033943,0.565504004714997,0.)); #401968=DIRECTION('',(0.,0.,1.)); #401969=DIRECTION('center_axis',(0.198956019232697,-0.980008419561321,0.)); #401970=DIRECTION('ref_axis',(0.980008419561321,0.198956019232697,0.)); #401971=DIRECTION('',(0.980008419561321,0.198956019232697,0.)); #401972=DIRECTION('',(0.980008419561321,0.198956019232697,0.)); #401973=DIRECTION('',(0.,0.,1.)); #401974=DIRECTION('center_axis',(0.,-1.,0.)); #401975=DIRECTION('ref_axis',(1.,0.,0.)); #401976=DIRECTION('',(1.,0.,0.)); #401977=DIRECTION('',(1.,0.,0.)); #401978=DIRECTION('',(0.,0.,1.)); #401979=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #401980=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #401981=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #401982=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #401983=DIRECTION('',(0.,0.,1.)); #401984=DIRECTION('center_axis',(-0.538911466745881,-0.842362410729375, 0.)); #401985=DIRECTION('ref_axis',(0.842362410729375,-0.538911466745881,0.)); #401986=DIRECTION('',(0.842362410729375,-0.538911466745881,0.)); #401987=DIRECTION('',(0.842362410729375,-0.538911466745881,0.)); #401988=DIRECTION('',(0.,0.,1.)); #401989=DIRECTION('center_axis',(-0.824745549033933,-0.565504004715012, 0.)); #401990=DIRECTION('ref_axis',(0.565504004715012,-0.824745549033933,0.)); #401991=DIRECTION('',(0.565504004715012,-0.824745549033933,0.)); #401992=DIRECTION('',(0.565504004715012,-0.824745549033933,0.)); #401993=DIRECTION('',(0.,0.,1.)); #401994=DIRECTION('center_axis',(-0.980008419561338,-0.198956019232613, 0.)); #401995=DIRECTION('ref_axis',(0.198956019232613,-0.980008419561339,0.)); #401996=DIRECTION('',(0.198956019232613,-0.980008419561338,0.)); #401997=DIRECTION('',(0.198956019232613,-0.980008419561338,0.)); #401998=DIRECTION('',(0.,0.,1.)); #401999=DIRECTION('center_axis',(-1.,0.,0.)); #402000=DIRECTION('ref_axis',(0.,-1.,0.)); #402001=DIRECTION('',(0.,-1.,0.)); #402002=DIRECTION('',(0.,-1.,0.)); #402003=DIRECTION('',(0.,0.,1.)); #402004=DIRECTION('center_axis',(-0.994378375567341,-0.10588506128844,0.)); #402005=DIRECTION('ref_axis',(0.10588506128844,-0.994378375567341,0.)); #402006=DIRECTION('',(0.10588506128844,-0.994378375567341,0.)); #402007=DIRECTION('',(0.10588506128844,-0.994378375567341,0.)); #402008=DIRECTION('',(0.,0.,1.)); #402009=DIRECTION('center_axis',(-0.949778792384387,-0.312922107779005, 0.)); #402010=DIRECTION('ref_axis',(0.312922107779005,-0.949778792384387,0.)); #402011=DIRECTION('',(0.312922107779005,-0.949778792384387,0.)); #402012=DIRECTION('',(0.312922107779005,-0.949778792384387,0.)); #402013=DIRECTION('',(0.,0.,1.)); #402014=DIRECTION('center_axis',(-0.86248796831363,-0.506077567685258,0.)); #402015=DIRECTION('ref_axis',(0.506077567685258,-0.86248796831363,0.)); #402016=DIRECTION('',(0.506077567685258,-0.86248796831363,0.)); #402017=DIRECTION('',(0.506077567685258,-0.86248796831363,0.)); #402018=DIRECTION('',(0.,0.,1.)); #402019=DIRECTION('center_axis',(-0.736638945379662,-0.676286229454615, 0.)); #402020=DIRECTION('ref_axis',(0.676286229454615,-0.736638945379662,0.)); #402021=DIRECTION('',(0.676286229454615,-0.736638945379662,0.)); #402022=DIRECTION('',(0.676286229454615,-0.736638945379662,0.)); #402023=DIRECTION('',(0.,0.,1.)); #402024=DIRECTION('center_axis',(-0.577570241664954,-0.816340992443162, 0.)); #402025=DIRECTION('ref_axis',(0.816340992443162,-0.577570241664954,0.)); #402026=DIRECTION('',(0.816340992443162,-0.577570241664954,0.)); #402027=DIRECTION('',(0.816340992443162,-0.577570241664954,0.)); #402028=DIRECTION('',(0.,0.,1.)); #402029=DIRECTION('center_axis',(-0.392778413393378,-0.919633143145777, 0.)); #402030=DIRECTION('ref_axis',(0.919633143145777,-0.392778413393378,0.)); #402031=DIRECTION('',(0.919633143145777,-0.392778413393378,0.)); #402032=DIRECTION('',(0.919633143145777,-0.392778413393378,0.)); #402033=DIRECTION('',(0.,0.,1.)); #402034=DIRECTION('center_axis',(-0.148172497755812,-0.98896153156167,0.)); #402035=DIRECTION('ref_axis',(0.98896153156167,-0.148172497755812,0.)); #402036=DIRECTION('',(0.98896153156167,-0.148172497755812,0.)); #402037=DIRECTION('',(0.98896153156167,-0.148172497755812,0.)); #402038=DIRECTION('',(0.,0.,1.)); #402039=DIRECTION('center_axis',(0.,-1.,0.)); #402040=DIRECTION('ref_axis',(1.,0.,0.)); #402041=DIRECTION('',(1.,0.,0.)); #402042=DIRECTION('',(1.,0.,0.)); #402043=DIRECTION('',(0.,0.,1.)); #402044=DIRECTION('center_axis',(0.105885061288689,-0.994378375567314,0.)); #402045=DIRECTION('ref_axis',(0.994378375567314,0.105885061288689,0.)); #402046=DIRECTION('',(0.994378375567314,0.105885061288689,0.)); #402047=DIRECTION('',(0.994378375567314,0.105885061288689,0.)); #402048=DIRECTION('',(0.,0.,1.)); #402049=DIRECTION('center_axis',(0.31293597692126,-0.949774222827898,0.)); #402050=DIRECTION('ref_axis',(0.949774222827897,0.31293597692126,0.)); #402051=DIRECTION('',(0.949774222827897,0.31293597692126,0.)); #402052=DIRECTION('',(0.949774222827897,0.31293597692126,0.)); #402053=DIRECTION('',(0.,0.,1.)); #402054=DIRECTION('center_axis',(0.506095628368624,-0.862477370686424,0.)); #402055=DIRECTION('ref_axis',(0.862477370686424,0.506095628368624,0.)); #402056=DIRECTION('',(0.862477370686424,0.506095628368624,0.)); #402057=DIRECTION('',(0.862477370686424,0.506095628368624,0.)); #402058=DIRECTION('',(0.,0.,1.)); #402059=DIRECTION('center_axis',(0.652508824452,-0.757781125399854,0.)); #402060=DIRECTION('ref_axis',(0.757781125399854,0.652508824452,0.)); #402061=DIRECTION('',(0.757781125399854,0.652508824452,0.)); #402062=DIRECTION('',(0.757781125399854,0.652508824452,0.)); #402063=DIRECTION('',(0.,0.,1.)); #402064=DIRECTION('center_axis',(0.707107039233482,-0.707106523139519,0.)); #402065=DIRECTION('ref_axis',(0.707106523139519,0.707107039233482,0.)); #402066=DIRECTION('',(0.707106523139519,0.707107039233482,0.)); #402067=DIRECTION('',(0.707106523139519,0.707107039233482,0.)); #402068=DIRECTION('',(0.,0.,1.)); #402069=DIRECTION('center_axis',(0.778048811381676,-0.628203826084784,0.)); #402070=DIRECTION('ref_axis',(0.628203826084784,0.778048811381676,0.)); #402071=DIRECTION('',(0.628203826084784,0.778048811381676,0.)); #402072=DIRECTION('',(0.628203826084784,0.778048811381676,0.)); #402073=DIRECTION('',(0.,0.,1.)); #402074=DIRECTION('center_axis',(0.892938781492941,-0.450178111980026,0.)); #402075=DIRECTION('ref_axis',(0.450178111980026,0.892938781492941,0.)); #402076=DIRECTION('',(0.450178111980026,0.892938781492941,0.)); #402077=DIRECTION('',(0.450178111980026,0.892938781492941,0.)); #402078=DIRECTION('',(0.,0.,1.)); #402079=DIRECTION('center_axis',(0.967662278077973,-0.25224931235773,0.)); #402080=DIRECTION('ref_axis',(0.25224931235773,0.967662278077973,0.)); #402081=DIRECTION('',(0.25224931235773,0.967662278077973,0.)); #402082=DIRECTION('',(0.25224931235773,0.967662278077973,0.)); #402083=DIRECTION('',(0.,0.,1.)); #402084=DIRECTION('center_axis',(0.997238118320006,-0.0742706898417773, 0.)); #402085=DIRECTION('ref_axis',(0.0742706898417773,0.997238118320006,0.)); #402086=DIRECTION('',(0.0742706898417773,0.997238118320006,0.)); #402087=DIRECTION('',(0.0742706898417773,0.997238118320006,0.)); #402088=DIRECTION('',(0.,0.,1.)); #402089=DIRECTION('center_axis',(1.,0.,0.)); #402090=DIRECTION('ref_axis',(0.,1.,0.)); #402091=DIRECTION('',(0.,1.,0.)); #402092=DIRECTION('',(0.,1.,0.)); #402093=DIRECTION('',(0.,0.,1.)); #402094=DIRECTION('center_axis',(0.994378375567341,0.10588506128844,0.)); #402095=DIRECTION('ref_axis',(-0.10588506128844,0.994378375567341,0.)); #402096=DIRECTION('',(-0.10588506128844,0.994378375567341,0.)); #402097=DIRECTION('',(-0.10588506128844,0.994378375567341,0.)); #402098=DIRECTION('',(0.,0.,1.)); #402099=DIRECTION('center_axis',(0.949761034136728,0.312976002331701,0.)); #402100=DIRECTION('ref_axis',(-0.312976002331701,0.949761034136728,0.)); #402101=DIRECTION('',(-0.312976002331701,0.949761034136728,0.)); #402102=DIRECTION('',(-0.312976002331701,0.949761034136728,0.)); #402103=DIRECTION('',(0.,0.,1.)); #402104=DIRECTION('center_axis',(0.847486929710934,0.530816261967486,0.)); #402105=DIRECTION('ref_axis',(-0.530816261967486,0.847486929710934,0.)); #402106=DIRECTION('',(-0.530816261967486,0.847486929710934,0.)); #402107=DIRECTION('',(-0.530816261967486,0.847486929710934,0.)); #402108=DIRECTION('',(0.,0.,1.)); #402109=DIRECTION('center_axis',(0.768221251274941,0.6401844336514,0.)); #402110=DIRECTION('ref_axis',(-0.6401844336514,0.768221251274941,0.)); #402111=DIRECTION('',(-0.6401844336514,0.768221251274941,0.)); #402112=DIRECTION('',(-0.6401844336514,0.768221251274941,0.)); #402113=DIRECTION('',(0.,0.,1.)); #402114=DIRECTION('center_axis',(0.853663582771797,0.520824814548251,0.)); #402115=DIRECTION('ref_axis',(-0.520824814548251,0.853663582771797,0.)); #402116=DIRECTION('',(-0.520824814548251,0.853663582771797,0.)); #402117=DIRECTION('',(-0.520824814548251,0.853663582771797,0.)); #402118=DIRECTION('',(0.,0.,1.)); #402119=DIRECTION('center_axis',(0.980008419561338,0.198956019232613,0.)); #402120=DIRECTION('ref_axis',(-0.198956019232613,0.980008419561339,0.)); #402121=DIRECTION('',(-0.198956019232613,0.980008419561338,0.)); #402122=DIRECTION('',(-0.198956019232613,0.980008419561338,0.)); #402123=DIRECTION('',(0.,0.,1.)); #402124=DIRECTION('center_axis',(1.,0.,0.)); #402125=DIRECTION('ref_axis',(0.,1.,0.)); #402126=DIRECTION('',(0.,1.,0.)); #402127=DIRECTION('',(0.,1.,0.)); #402128=DIRECTION('',(0.,0.,1.)); #402129=DIRECTION('center_axis',(0.994378375567341,0.10588506128844,0.)); #402130=DIRECTION('ref_axis',(-0.10588506128844,0.994378375567341,0.)); #402131=DIRECTION('',(-0.10588506128844,0.994378375567341,0.)); #402132=DIRECTION('',(-0.10588506128844,0.994378375567341,0.)); #402133=DIRECTION('',(0.,0.,1.)); #402134=DIRECTION('center_axis',(0.949774222827774,0.312935976921634,0.)); #402135=DIRECTION('ref_axis',(-0.312935976921634,0.949774222827774,0.)); #402136=DIRECTION('',(-0.312935976921634,0.949774222827774,0.)); #402137=DIRECTION('',(-0.312935976921634,0.949774222827774,0.)); #402138=DIRECTION('',(0.,0.,1.)); #402139=DIRECTION('center_axis',(0.862477370686535,0.506095628368436,0.)); #402140=DIRECTION('ref_axis',(-0.506095628368436,0.862477370686535,0.)); #402141=DIRECTION('',(-0.506095628368436,0.862477370686535,0.)); #402142=DIRECTION('',(-0.506095628368436,0.862477370686535,0.)); #402143=DIRECTION('',(0.,0.,1.)); #402144=DIRECTION('center_axis',(0.757781125399666,0.652508824452218,0.)); #402145=DIRECTION('ref_axis',(-0.652508824452218,0.757781125399666,0.)); #402146=DIRECTION('',(-0.652508824452218,0.757781125399666,0.)); #402147=DIRECTION('',(-0.652508824452218,0.757781125399666,0.)); #402148=DIRECTION('',(0.,0.,1.)); #402149=DIRECTION('center_axis',(0.707105338772347,0.707108223597805,0.)); #402150=DIRECTION('ref_axis',(-0.707108223597805,0.707105338772347,0.)); #402151=DIRECTION('',(-0.707108223597805,0.707105338772347,0.)); #402152=DIRECTION('',(-0.707108223597805,0.707105338772347,0.)); #402153=DIRECTION('',(0.,0.,1.)); #402154=DIRECTION('center_axis',(0.628203826084774,0.778048811381684,0.)); #402155=DIRECTION('ref_axis',(-0.778048811381684,0.628203826084774,0.)); #402156=DIRECTION('',(-0.778048811381684,0.628203826084774,0.)); #402157=DIRECTION('',(-0.778048811381684,0.628203826084774,0.)); #402158=DIRECTION('',(0.,0.,1.)); #402159=DIRECTION('center_axis',(0.450178111979861,0.892938781493025,0.)); #402160=DIRECTION('ref_axis',(-0.892938781493025,0.45017811197986,0.)); #402161=DIRECTION('',(-0.892938781493025,0.45017811197986,0.)); #402162=DIRECTION('',(-0.892938781493025,0.45017811197986,0.)); #402163=DIRECTION('',(0.,0.,1.)); #402164=DIRECTION('center_axis',(0.252249312357774,0.967662278077962,0.)); #402165=DIRECTION('ref_axis',(-0.967662278077962,0.252249312357774,0.)); #402166=DIRECTION('',(-0.967662278077962,0.252249312357774,0.)); #402167=DIRECTION('',(-0.967662278077962,0.252249312357774,0.)); #402168=DIRECTION('',(0.,0.,1.)); #402169=DIRECTION('center_axis',(0.0742706898419193,0.997238118319996,0.)); #402170=DIRECTION('ref_axis',(-0.997238118319996,0.0742706898419193,0.)); #402171=DIRECTION('',(-0.997238118319996,0.0742706898419193,0.)); #402172=DIRECTION('',(-0.997238118319996,0.0742706898419193,0.)); #402173=DIRECTION('',(0.,0.,1.)); #402174=DIRECTION('center_axis',(0.,1.,0.)); #402175=DIRECTION('ref_axis',(-1.,0.,0.)); #402176=DIRECTION('',(-1.,0.,0.)); #402177=DIRECTION('',(-1.,0.,0.)); #402178=DIRECTION('',(0.,0.,1.)); #402179=DIRECTION('center_axis',(-0.105885061288612,0.994378375567322,0.)); #402180=DIRECTION('ref_axis',(-0.994378375567322,-0.105885061288612,0.)); #402181=DIRECTION('',(-0.994378375567322,-0.105885061288612,0.)); #402182=DIRECTION('',(-0.994378375567322,-0.105885061288612,0.)); #402183=DIRECTION('',(0.,0.,1.)); #402184=DIRECTION('center_axis',(-0.31292210777885,0.949778792384438,0.)); #402185=DIRECTION('ref_axis',(-0.949778792384438,-0.31292210777885,0.)); #402186=DIRECTION('',(-0.949778792384438,-0.31292210777885,0.)); #402187=DIRECTION('',(-0.949778792384438,-0.31292210777885,0.)); #402188=DIRECTION('',(0.,0.,1.)); #402189=DIRECTION('center_axis',(-0.506114900434647,0.862466061684764,0.)); #402190=DIRECTION('ref_axis',(-0.862466061684764,-0.506114900434647,0.)); #402191=DIRECTION('',(-0.862466061684764,-0.506114900434647,0.)); #402192=DIRECTION('',(-0.862466061684764,-0.506114900434647,0.)); #402193=DIRECTION('',(0.,0.,1.)); #402194=DIRECTION('center_axis',(-0.65250882445237,0.757781125399535,0.)); #402195=DIRECTION('ref_axis',(-0.757781125399535,-0.65250882445237,0.)); #402196=DIRECTION('',(-0.757781125399535,-0.65250882445237,0.)); #402197=DIRECTION('',(-0.757781125399535,-0.65250882445237,0.)); #402198=DIRECTION('',(0.,0.,1.)); #402199=DIRECTION('center_axis',(-0.707107065139459,0.707106497233522,0.)); #402200=DIRECTION('ref_axis',(-0.707106497233522,-0.707107065139459,0.)); #402201=DIRECTION('',(-0.707106497233522,-0.707107065139459,0.)); #402202=DIRECTION('',(-0.707106497233522,-0.707107065139459,0.)); #402203=DIRECTION('',(0.,0.,1.)); #402204=DIRECTION('center_axis',(-0.778048811381607,0.628203826084869,0.)); #402205=DIRECTION('ref_axis',(-0.628203826084869,-0.778048811381607,0.)); #402206=DIRECTION('',(-0.628203826084869,-0.778048811381607,0.)); #402207=DIRECTION('',(-0.628203826084869,-0.778048811381607,0.)); #402208=DIRECTION('',(0.,0.,1.)); #402209=DIRECTION('center_axis',(-0.892938781493067,0.450178111979778,0.)); #402210=DIRECTION('ref_axis',(-0.450178111979778,-0.892938781493066,0.)); #402211=DIRECTION('',(-0.450178111979778,-0.892938781493066,0.)); #402212=DIRECTION('',(-0.450178111979778,-0.892938781493066,0.)); #402213=DIRECTION('',(0.,0.,1.)); #402214=DIRECTION('center_axis',(-0.967662278077938,0.252249312357865,0.)); #402215=DIRECTION('ref_axis',(-0.252249312357865,-0.967662278077938,0.)); #402216=DIRECTION('',(-0.252249312357865,-0.967662278077938,0.)); #402217=DIRECTION('',(-0.252249312357865,-0.967662278077938,0.)); #402218=DIRECTION('',(0.,0.,1.)); #402219=DIRECTION('center_axis',(-0.997238118320006,0.0742706898417773, 0.)); #402220=DIRECTION('ref_axis',(-0.0742706898417773,-0.997238118320006,0.)); #402221=DIRECTION('',(-0.0742706898417773,-0.997238118320006,0.)); #402222=DIRECTION('',(-0.0742706898417773,-0.997238118320006,0.)); #402223=DIRECTION('',(0.,0.,1.)); #402224=DIRECTION('center_axis',(-1.,0.,0.)); #402225=DIRECTION('ref_axis',(0.,-1.,0.)); #402226=DIRECTION('',(0.,-1.,0.)); #402227=DIRECTION('',(0.,-1.,0.)); #402228=DIRECTION('',(0.,0.,1.)); #402229=DIRECTION('center_axis',(-0.981560865252946,0.19114985692877,0.)); #402230=DIRECTION('ref_axis',(-0.19114985692877,-0.981560865252945,0.)); #402231=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #402232=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #402233=DIRECTION('',(0.,0.,1.)); #402234=DIRECTION('center_axis',(-0.83365985974971,0.552278225391961,0.)); #402235=DIRECTION('ref_axis',(-0.552278225391961,-0.83365985974971,0.)); #402236=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #402237=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #402238=DIRECTION('',(0.,0.,1.)); #402239=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #402240=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #402241=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #402242=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #402243=DIRECTION('',(0.,0.,1.)); #402244=DIRECTION('center_axis',(-0.558946331948898,0.829203833807389,0.)); #402245=DIRECTION('ref_axis',(-0.829203833807389,-0.558946331948898,0.)); #402246=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #402247=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #402248=DIRECTION('',(0.,0.,1.)); #402249=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #402250=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #402251=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #402252=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #402253=DIRECTION('',(0.,0.,1.)); #402254=DIRECTION('center_axis',(0.,1.,0.)); #402255=DIRECTION('ref_axis',(-1.,0.,0.)); #402256=DIRECTION('',(-1.,0.,0.)); #402257=DIRECTION('',(-1.,0.,0.)); #402258=DIRECTION('',(0.,0.,1.)); #402259=DIRECTION('center_axis',(0.191149856928623,0.981560865252974,0.)); #402260=DIRECTION('ref_axis',(-0.981560865252974,0.191149856928623,0.)); #402261=DIRECTION('',(-0.981560865252974,0.191149856928623,0.)); #402262=DIRECTION('',(-0.981560865252974,0.191149856928623,0.)); #402263=DIRECTION('',(0.,0.,1.)); #402264=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #402265=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #402266=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #402267=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #402268=DIRECTION('',(0.,0.,1.)); #402269=DIRECTION('center_axis',(0.707106781186543,0.707106781186552,0.)); #402270=DIRECTION('ref_axis',(-0.707106781186552,0.707106781186543,0.)); #402271=DIRECTION('',(-0.707106781186552,0.707106781186543,0.)); #402272=DIRECTION('',(-0.707106781186552,0.707106781186543,0.)); #402273=DIRECTION('',(0.,0.,1.)); #402274=DIRECTION('center_axis',(0.,1.,0.)); #402275=DIRECTION('ref_axis',(-1.,0.,0.)); #402276=DIRECTION('',(-1.,0.,0.)); #402277=DIRECTION('',(-1.,0.,0.)); #402278=DIRECTION('',(0.,0.,1.)); #402279=DIRECTION('center_axis',(0.191149856928917,0.981560865252917,0.)); #402280=DIRECTION('ref_axis',(-0.981560865252917,0.191149856928917,0.)); #402281=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #402282=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #402283=DIRECTION('',(0.,0.,1.)); #402284=DIRECTION('center_axis',(0.552278225391859,0.833659859749778,0.)); #402285=DIRECTION('ref_axis',(-0.833659859749778,0.552278225391859,0.)); #402286=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #402287=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #402288=DIRECTION('',(0.,0.,1.)); #402289=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #402290=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #402291=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #402292=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #402293=DIRECTION('',(0.,0.,1.)); #402294=DIRECTION('center_axis',(0.820212632122573,0.572058771549358,0.)); #402295=DIRECTION('ref_axis',(-0.572058771549358,0.820212632122573,0.)); #402296=DIRECTION('',(-0.572058771549358,0.820212632122573,0.)); #402297=DIRECTION('',(-0.572058771549358,0.820212632122573,0.)); #402298=DIRECTION('',(0.,0.,1.)); #402299=DIRECTION('center_axis',(0.973151456886431,0.230165683714617,0.)); #402300=DIRECTION('ref_axis',(-0.230165683714617,0.973151456886431,0.)); #402301=DIRECTION('',(-0.230165683714617,0.973151456886431,0.)); #402302=DIRECTION('',(-0.230165683714617,0.973151456886431,0.)); #402303=DIRECTION('',(0.,0.,1.)); #402304=DIRECTION('center_axis',(0.985847618607394,-0.167643887112329,0.)); #402305=DIRECTION('ref_axis',(0.167643887112329,0.985847618607393,0.)); #402306=DIRECTION('',(0.167643887112329,0.985847618607393,0.)); #402307=DIRECTION('',(0.167643887112329,0.985847618607393,0.)); #402308=DIRECTION('',(0.,0.,1.)); #402309=DIRECTION('center_axis',(0.84236241072922,-0.538911466746122,0.)); #402310=DIRECTION('ref_axis',(0.538911466746122,0.84236241072922,0.)); #402311=DIRECTION('',(0.538911466746122,0.84236241072922,0.)); #402312=DIRECTION('',(0.538911466746122,0.84236241072922,0.)); #402313=DIRECTION('',(0.,0.,1.)); #402314=DIRECTION('center_axis',(0.565504004715131,-0.824745549033852,0.)); #402315=DIRECTION('ref_axis',(0.824745549033852,0.565504004715131,0.)); #402316=DIRECTION('',(0.824745549033852,0.565504004715131,0.)); #402317=DIRECTION('',(0.824745549033852,0.565504004715131,0.)); #402318=DIRECTION('',(0.,0.,1.)); #402319=DIRECTION('center_axis',(0.198956019232571,-0.980008419561347,0.)); #402320=DIRECTION('ref_axis',(0.980008419561347,0.198956019232571,0.)); #402321=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #402322=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #402323=DIRECTION('',(0.,0.,1.)); #402324=DIRECTION('center_axis',(0.,-1.,0.)); #402325=DIRECTION('ref_axis',(1.,0.,0.)); #402326=DIRECTION('',(1.,0.,0.)); #402327=DIRECTION('',(1.,0.,0.)); #402328=DIRECTION('',(0.,0.,1.)); #402329=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #402330=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #402331=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #402332=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #402333=DIRECTION('',(0.,0.,1.)); #402334=DIRECTION('center_axis',(1.,0.,0.)); #402335=DIRECTION('ref_axis',(0.,1.,0.)); #402336=DIRECTION('',(0.,1.,0.)); #402337=DIRECTION('',(0.,1.,0.)); #402338=DIRECTION('',(0.,0.,1.)); #402339=DIRECTION('center_axis',(0.707108784529055,0.707104777838364,0.)); #402340=DIRECTION('ref_axis',(-0.707104777838364,0.707108784529055,0.)); #402341=DIRECTION('',(-0.707104777838364,0.707108784529055,0.)); #402342=DIRECTION('',(-0.707104777838364,0.707108784529055,0.)); #402343=DIRECTION('',(0.,0.,1.)); #402344=DIRECTION('center_axis',(0.829203833807341,0.558946331948969,0.)); #402345=DIRECTION('ref_axis',(-0.558946331948969,0.829203833807341,0.)); #402346=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #402347=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #402348=DIRECTION('',(0.,0.,1.)); #402349=DIRECTION('center_axis',(0.980008419561353,0.198956019232542,0.)); #402350=DIRECTION('ref_axis',(-0.198956019232542,0.980008419561353,0.)); #402351=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #402352=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #402353=DIRECTION('',(0.,0.,1.)); #402354=DIRECTION('center_axis',(1.,0.,0.)); #402355=DIRECTION('ref_axis',(0.,1.,0.)); #402356=DIRECTION('',(0.,1.,0.)); #402357=DIRECTION('',(0.,1.,0.)); #402358=DIRECTION('',(0.,0.,1.)); #402359=DIRECTION('center_axis',(0.984479063846082,-0.175502059386041,0.)); #402360=DIRECTION('ref_axis',(0.175502059386041,0.984479063846082,0.)); #402361=DIRECTION('',(0.175502059386041,0.984479063846082,0.)); #402362=DIRECTION('',(0.175502059386041,0.984479063846082,0.)); #402363=DIRECTION('',(0.,0.,1.)); #402364=DIRECTION('center_axis',(0.850871695642224,-0.525373540973398,0.)); #402365=DIRECTION('ref_axis',(0.525373540973398,0.850871695642224,0.)); #402366=DIRECTION('',(0.525373540973397,0.850871695642224,0.)); #402367=DIRECTION('',(0.525373540973397,0.850871695642224,0.)); #402368=DIRECTION('',(0.,0.,1.)); #402369=DIRECTION('center_axis',(0.578483828224848,-0.815693852178821,0.)); #402370=DIRECTION('ref_axis',(0.815693852178821,0.578483828224848,0.)); #402371=DIRECTION('',(0.815693852178821,0.578483828224848,0.)); #402372=DIRECTION('',(0.815693852178821,0.578483828224848,0.)); #402373=DIRECTION('',(0.,0.,1.)); #402374=DIRECTION('center_axis',(0.214642857802207,-0.976692604453674,0.)); #402375=DIRECTION('ref_axis',(0.976692604453674,0.214642857802207,0.)); #402376=DIRECTION('',(0.976692604453674,0.214642857802207,0.)); #402377=DIRECTION('',(0.976692604453674,0.214642857802207,0.)); #402378=DIRECTION('',(0.,0.,1.)); #402379=DIRECTION('center_axis',(-0.183302952703363,-0.983056472197924, 0.)); #402380=DIRECTION('ref_axis',(0.983056472197924,-0.183302952703363,0.)); #402381=DIRECTION('',(0.983056472197924,-0.183302952703363,0.)); #402382=DIRECTION('',(0.983056472197924,-0.183302952703363,0.)); #402383=DIRECTION('',(0.,0.,1.)); #402384=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #402385=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #402386=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #402387=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #402388=DIRECTION('',(0.,0.,1.)); #402389=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #402390=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #402391=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #402392=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #402393=DIRECTION('',(0.,0.,1.)); #402394=DIRECTION('center_axis',(-0.82920383380746,-0.558946331948794,0.)); #402395=DIRECTION('ref_axis',(0.558946331948794,-0.82920383380746,0.)); #402396=DIRECTION('',(0.558946331948794,-0.82920383380746,0.)); #402397=DIRECTION('',(0.558946331948794,-0.82920383380746,0.)); #402398=DIRECTION('',(0.,0.,1.)); #402399=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #402400=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #402401=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #402402=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #402403=DIRECTION('',(0.,0.,1.)); #402404=DIRECTION('center_axis',(-1.,0.,0.)); #402405=DIRECTION('ref_axis',(0.,-1.,0.)); #402406=DIRECTION('',(0.,-1.,0.)); #402407=DIRECTION('',(0.,-1.,0.)); #402408=DIRECTION('',(0.,0.,1.)); #402409=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #402410=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #402411=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #402412=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #402413=DIRECTION('',(0.,0.,1.)); #402414=DIRECTION('center_axis',(0.,-1.,0.)); #402415=DIRECTION('ref_axis',(1.,0.,0.)); #402416=DIRECTION('',(1.,0.,0.)); #402417=DIRECTION('',(1.,0.,0.)); #402418=DIRECTION('',(0.,0.,1.)); #402419=DIRECTION('center_axis',(0.70710678118653,-0.707106781186565,0.)); #402420=DIRECTION('ref_axis',(0.707106781186565,0.70710678118653,0.)); #402421=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #402422=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #402423=DIRECTION('',(0.,0.,1.)); #402424=DIRECTION('center_axis',(1.,0.,0.)); #402425=DIRECTION('ref_axis',(0.,1.,0.)); #402426=DIRECTION('',(0.,1.,0.)); #402427=DIRECTION('',(0.,1.,0.)); #402428=DIRECTION('',(0.,0.,1.)); #402429=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #402430=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #402431=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #402432=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #402433=DIRECTION('',(0.,0.,1.)); #402434=DIRECTION('center_axis',(0.842362410729329,-0.538911466745951,0.)); #402435=DIRECTION('ref_axis',(0.538911466745951,0.842362410729329,0.)); #402436=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #402437=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #402438=DIRECTION('',(0.,0.,1.)); #402439=DIRECTION('center_axis',(0.565504004714963,-0.824745549033967,0.)); #402440=DIRECTION('ref_axis',(0.824745549033967,0.565504004714963,0.)); #402441=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #402442=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #402443=DIRECTION('',(0.,0.,1.)); #402444=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #402445=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #402446=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #402447=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #402448=DIRECTION('',(0.,0.,1.)); #402449=DIRECTION('center_axis',(0.,-1.,0.)); #402450=DIRECTION('ref_axis',(1.,0.,0.)); #402451=DIRECTION('',(1.,0.,0.)); #402452=DIRECTION('',(1.,0.,0.)); #402453=DIRECTION('',(0.,0.,1.)); #402454=DIRECTION('center_axis',(-0.191149856928917,-0.981560865252917, 0.)); #402455=DIRECTION('ref_axis',(0.981560865252917,-0.191149856928917,0.)); #402456=DIRECTION('',(0.981560865252917,-0.191149856928917,0.)); #402457=DIRECTION('',(0.981560865252917,-0.191149856928917,0.)); #402458=DIRECTION('',(0.,0.,1.)); #402459=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #402460=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #402461=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #402462=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #402463=DIRECTION('',(0.,0.,1.)); #402464=DIRECTION('center_axis',(-0.707106781186554,-0.707106781186541, 0.)); #402465=DIRECTION('ref_axis',(0.707106781186541,-0.707106781186554,0.)); #402466=DIRECTION('',(0.707106781186541,-0.707106781186554,0.)); #402467=DIRECTION('',(0.707106781186541,-0.707106781186554,0.)); #402468=DIRECTION('',(0.,0.,1.)); #402469=DIRECTION('center_axis',(-0.829203833807412,-0.558946331948864, 0.)); #402470=DIRECTION('ref_axis',(0.558946331948864,-0.829203833807412,0.)); #402471=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #402472=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #402473=DIRECTION('',(0.,0.,1.)); #402474=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #402475=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #402476=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #402477=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #402478=DIRECTION('',(0.,0.,1.)); #402479=DIRECTION('center_axis',(-1.,0.,0.)); #402480=DIRECTION('ref_axis',(0.,-1.,0.)); #402481=DIRECTION('',(0.,-1.,0.)); #402482=DIRECTION('',(0.,-1.,0.)); #402483=DIRECTION('',(0.,0.,1.)); #402484=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #402485=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #402486=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #402487=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #402488=DIRECTION('',(0.,0.,1.)); #402489=DIRECTION('center_axis',(0.,-1.,0.)); #402490=DIRECTION('ref_axis',(1.,0.,0.)); #402491=DIRECTION('',(1.,0.,0.)); #402492=DIRECTION('',(1.,0.,0.)); #402493=DIRECTION('',(0.,0.,1.)); #402494=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #402495=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #402496=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #402497=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #402498=DIRECTION('',(0.,0.,1.)); #402499=DIRECTION('center_axis',(1.,0.,0.)); #402500=DIRECTION('ref_axis',(0.,1.,0.)); #402501=DIRECTION('',(0.,1.,0.)); #402502=DIRECTION('',(0.,1.,0.)); #402503=DIRECTION('',(0.,0.,1.)); #402504=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #402505=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #402506=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #402507=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #402508=DIRECTION('',(0.,0.,1.)); #402509=DIRECTION('center_axis',(0.,1.,0.)); #402510=DIRECTION('ref_axis',(-1.,0.,0.)); #402511=DIRECTION('',(-1.,0.,0.)); #402512=DIRECTION('',(-1.,0.,0.)); #402513=DIRECTION('',(0.,0.,1.)); #402514=DIRECTION('center_axis',(0.19114985692877,0.981560865252946,0.)); #402515=DIRECTION('ref_axis',(-0.981560865252945,0.19114985692877,0.)); #402516=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #402517=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #402518=DIRECTION('',(0.,0.,1.)); #402519=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #402520=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #402521=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #402522=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #402523=DIRECTION('',(0.,0.,1.)); #402524=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #402525=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #402526=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #402527=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #402528=DIRECTION('',(0.,0.,1.)); #402529=DIRECTION('center_axis',(0.,1.,0.)); #402530=DIRECTION('ref_axis',(-1.,0.,0.)); #402531=DIRECTION('',(-1.,0.,0.)); #402532=DIRECTION('',(-1.,0.,0.)); #402533=DIRECTION('',(0.,0.,1.)); #402534=DIRECTION('center_axis',(0.191149856928945,0.981560865252911,0.)); #402535=DIRECTION('ref_axis',(-0.981560865252911,0.191149856928945,0.)); #402536=DIRECTION('',(-0.981560865252911,0.191149856928945,0.)); #402537=DIRECTION('',(-0.981560865252911,0.191149856928945,0.)); #402538=DIRECTION('',(0.,0.,1.)); #402539=DIRECTION('center_axis',(0.55227822539191,0.833659859749744,0.)); #402540=DIRECTION('ref_axis',(-0.833659859749744,0.55227822539191,0.)); #402541=DIRECTION('',(-0.833659859749744,0.55227822539191,0.)); #402542=DIRECTION('',(-0.833659859749744,0.55227822539191,0.)); #402543=DIRECTION('',(0.,0.,1.)); #402544=DIRECTION('center_axis',(0.707106781186546,0.707106781186549,0.)); #402545=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #402546=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #402547=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #402548=DIRECTION('',(0.,0.,1.)); #402549=DIRECTION('center_axis',(0.829203833807388,0.558946331948899,0.)); #402550=DIRECTION('ref_axis',(-0.558946331948899,0.829203833807388,0.)); #402551=DIRECTION('',(-0.558946331948899,0.829203833807388,0.)); #402552=DIRECTION('',(-0.558946331948899,0.829203833807388,0.)); #402553=DIRECTION('',(0.,0.,1.)); #402554=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #402555=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #402556=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #402557=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #402558=DIRECTION('',(0.,0.,1.)); #402559=DIRECTION('center_axis',(1.,0.,0.)); #402560=DIRECTION('ref_axis',(0.,1.,0.)); #402561=DIRECTION('',(0.,1.,0.)); #402562=DIRECTION('',(0.,1.,0.)); #402563=DIRECTION('',(0.,0.,1.)); #402564=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #402565=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #402566=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #402567=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #402568=DIRECTION('',(0.,0.,1.)); #402569=DIRECTION('center_axis',(0.842362410729351,-0.538911466745918,0.)); #402570=DIRECTION('ref_axis',(0.538911466745918,0.842362410729351,0.)); #402571=DIRECTION('',(0.538911466745918,0.842362410729351,0.)); #402572=DIRECTION('',(0.538911466745918,0.842362410729351,0.)); #402573=DIRECTION('',(0.,0.,1.)); #402574=DIRECTION('center_axis',(0.565504004714913,-0.824745549034001,0.)); #402575=DIRECTION('ref_axis',(0.824745549034001,0.565504004714913,0.)); #402576=DIRECTION('',(0.824745549034001,0.565504004714913,0.)); #402577=DIRECTION('',(0.824745549034001,0.565504004714913,0.)); #402578=DIRECTION('',(0.,0.,1.)); #402579=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #402580=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #402581=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #402582=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #402583=DIRECTION('',(0.,0.,1.)); #402584=DIRECTION('center_axis',(0.,-1.,0.)); #402585=DIRECTION('ref_axis',(1.,0.,0.)); #402586=DIRECTION('',(1.,0.,0.)); #402587=DIRECTION('',(1.,0.,0.)); #402588=DIRECTION('',(0.,0.,1.)); #402589=DIRECTION('center_axis',(0.707106781186539,-0.707106781186556,0.)); #402590=DIRECTION('ref_axis',(0.707106781186556,0.707106781186539,0.)); #402591=DIRECTION('',(0.707106781186556,0.707106781186539,0.)); #402592=DIRECTION('',(0.707106781186556,0.707106781186539,0.)); #402593=DIRECTION('',(0.,0.,1.)); #402594=DIRECTION('center_axis',(1.,0.,0.)); #402595=DIRECTION('ref_axis',(0.,1.,0.)); #402596=DIRECTION('',(0.,1.,0.)); #402597=DIRECTION('',(0.,1.,0.)); #402598=DIRECTION('',(0.,0.,1.)); #402599=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #402600=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #402601=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #402602=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #402603=DIRECTION('',(0.,0.,1.)); #402604=DIRECTION('center_axis',(0.842362410729308,-0.538911466745984,0.)); #402605=DIRECTION('ref_axis',(0.538911466745984,0.842362410729308,0.)); #402606=DIRECTION('',(0.538911466745984,0.842362410729308,0.)); #402607=DIRECTION('',(0.538911466745984,0.842362410729308,0.)); #402608=DIRECTION('',(0.,0.,1.)); #402609=DIRECTION('center_axis',(0.565504004715012,-0.824745549033933,0.)); #402610=DIRECTION('ref_axis',(0.824745549033933,0.565504004715012,0.)); #402611=DIRECTION('',(0.824745549033933,0.565504004715012,0.)); #402612=DIRECTION('',(0.824745549033933,0.565504004715012,0.)); #402613=DIRECTION('',(0.,0.,1.)); #402614=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #402615=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #402616=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #402617=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #402618=DIRECTION('',(0.,0.,1.)); #402619=DIRECTION('center_axis',(0.,-1.,0.)); #402620=DIRECTION('ref_axis',(1.,0.,0.)); #402621=DIRECTION('',(1.,0.,0.)); #402622=DIRECTION('',(1.,0.,0.)); #402623=DIRECTION('',(0.,0.,1.)); #402624=DIRECTION('center_axis',(-0.191149856928843,-0.981560865252931, 0.)); #402625=DIRECTION('ref_axis',(0.981560865252931,-0.191149856928843,0.)); #402626=DIRECTION('',(0.981560865252931,-0.191149856928843,0.)); #402627=DIRECTION('',(0.981560865252931,-0.191149856928843,0.)); #402628=DIRECTION('',(0.,0.,1.)); #402629=DIRECTION('center_axis',(-0.552278225391843,-0.833659859749789, 0.)); #402630=DIRECTION('ref_axis',(0.833659859749789,-0.552278225391843,0.)); #402631=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #402632=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #402633=DIRECTION('',(0.,0.,1.)); #402634=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #402635=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #402636=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #402637=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #402638=DIRECTION('',(0.,0.,1.)); #402639=DIRECTION('center_axis',(-0.829203833807388,-0.558946331948899, 0.)); #402640=DIRECTION('ref_axis',(0.558946331948899,-0.829203833807388,0.)); #402641=DIRECTION('',(0.558946331948899,-0.829203833807388,0.)); #402642=DIRECTION('',(0.558946331948899,-0.829203833807388,0.)); #402643=DIRECTION('',(0.,0.,1.)); #402644=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #402645=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #402646=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #402647=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #402648=DIRECTION('',(0.,0.,1.)); #402649=DIRECTION('center_axis',(-1.,0.,0.)); #402650=DIRECTION('ref_axis',(0.,-1.,0.)); #402651=DIRECTION('',(0.,-1.,0.)); #402652=DIRECTION('',(0.,-1.,0.)); #402653=DIRECTION('',(0.,0.,1.)); #402654=DIRECTION('center_axis',(-0.707106781186556,-0.707106781186539, 0.)); #402655=DIRECTION('ref_axis',(0.707106781186539,-0.707106781186556,0.)); #402656=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #402657=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #402658=DIRECTION('',(0.,0.,1.)); #402659=DIRECTION('center_axis',(0.,-1.,0.)); #402660=DIRECTION('ref_axis',(1.,0.,0.)); #402661=DIRECTION('',(1.,0.,0.)); #402662=DIRECTION('',(1.,0.,0.)); #402663=DIRECTION('',(0.,0.,1.)); #402664=DIRECTION('center_axis',(0.707106781186556,-0.707106781186539,0.)); #402665=DIRECTION('ref_axis',(0.707106781186539,0.707106781186556,0.)); #402666=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #402667=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #402668=DIRECTION('',(0.,0.,1.)); #402669=DIRECTION('center_axis',(1.,0.,0.)); #402670=DIRECTION('ref_axis',(0.,1.,0.)); #402671=DIRECTION('',(0.,1.,0.)); #402672=DIRECTION('',(0.,1.,0.)); #402673=DIRECTION('',(0.,0.,1.)); #402674=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #402675=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #402676=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #402677=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #402678=DIRECTION('',(0.,0.,1.)); #402679=DIRECTION('center_axis',(0.,1.,0.)); #402680=DIRECTION('ref_axis',(-1.,0.,0.)); #402681=DIRECTION('',(-1.,0.,0.)); #402682=DIRECTION('',(-1.,0.,0.)); #402683=DIRECTION('',(0.,0.,1.)); #402684=DIRECTION('center_axis',(0.19114985692877,0.981560865252946,0.)); #402685=DIRECTION('ref_axis',(-0.981560865252945,0.19114985692877,0.)); #402686=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #402687=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #402688=DIRECTION('',(0.,0.,1.)); #402689=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #402690=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #402691=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #402692=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #402693=DIRECTION('',(0.,0.,1.)); #402694=DIRECTION('center_axis',(0.707106781186552,0.707106781186543,0.)); #402695=DIRECTION('ref_axis',(-0.707106781186543,0.707106781186552,0.)); #402696=DIRECTION('',(-0.707106781186543,0.707106781186552,0.)); #402697=DIRECTION('',(-0.707106781186543,0.707106781186552,0.)); #402698=DIRECTION('',(0.,0.,1.)); #402699=DIRECTION('center_axis',(0.,1.,0.)); #402700=DIRECTION('ref_axis',(-1.,0.,0.)); #402701=DIRECTION('',(-1.,0.,0.)); #402702=DIRECTION('',(-1.,0.,0.)); #402703=DIRECTION('',(0.,0.,1.)); #402704=DIRECTION('center_axis',(0.191149856928843,0.981560865252931,0.)); #402705=DIRECTION('ref_axis',(-0.981560865252931,0.191149856928843,0.)); #402706=DIRECTION('',(-0.981560865252931,0.191149856928843,0.)); #402707=DIRECTION('',(-0.981560865252931,0.191149856928843,0.)); #402708=DIRECTION('',(0.,0.,1.)); #402709=DIRECTION('center_axis',(0.55227822539191,0.833659859749744,0.)); #402710=DIRECTION('ref_axis',(-0.833659859749744,0.55227822539191,0.)); #402711=DIRECTION('',(-0.833659859749744,0.55227822539191,0.)); #402712=DIRECTION('',(-0.833659859749744,0.55227822539191,0.)); #402713=DIRECTION('',(0.,0.,1.)); #402714=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #402715=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #402716=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #402717=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #402718=DIRECTION('',(0.,0.,1.)); #402719=DIRECTION('center_axis',(0.,1.,0.)); #402720=DIRECTION('ref_axis',(-1.,0.,0.)); #402721=DIRECTION('',(-1.,0.,0.)); #402722=DIRECTION('',(-1.,0.,0.)); #402723=DIRECTION('',(0.,0.,1.)); #402724=DIRECTION('center_axis',(0.191149856928872,0.981560865252926,0.)); #402725=DIRECTION('ref_axis',(-0.981560865252926,0.191149856928872,0.)); #402726=DIRECTION('',(-0.981560865252926,0.191149856928872,0.)); #402727=DIRECTION('',(-0.981560865252926,0.191149856928872,0.)); #402728=DIRECTION('',(0.,0.,1.)); #402729=DIRECTION('center_axis',(0.552263045083179,0.833669916115158,0.)); #402730=DIRECTION('ref_axis',(-0.833669916115158,0.552263045083179,0.)); #402731=DIRECTION('',(-0.833669916115158,0.552263045083179,0.)); #402732=DIRECTION('',(-0.833669916115158,0.552263045083179,0.)); #402733=DIRECTION('',(0.,0.,1.)); #402734=DIRECTION('center_axis',(0.707106781186527,0.707106781186568,0.)); #402735=DIRECTION('ref_axis',(-0.707106781186568,0.707106781186527,0.)); #402736=DIRECTION('',(-0.707106781186568,0.707106781186527,0.)); #402737=DIRECTION('',(-0.707106781186568,0.707106781186527,0.)); #402738=DIRECTION('',(0.,0.,1.)); #402739=DIRECTION('center_axis',(0.,1.,0.)); #402740=DIRECTION('ref_axis',(-1.,0.,0.)); #402741=DIRECTION('',(-1.,0.,0.)); #402742=DIRECTION('',(-1.,0.,0.)); #402743=DIRECTION('',(0.,0.,1.)); #402744=DIRECTION('center_axis',(0.183305290257339,0.983056036329401,0.)); #402745=DIRECTION('ref_axis',(-0.983056036329401,0.183305290257339,0.)); #402746=DIRECTION('',(-0.983056036329401,0.183305290257339,0.)); #402747=DIRECTION('',(-0.983056036329401,0.183305290257339,0.)); #402748=DIRECTION('',(0.,0.,1.)); #402749=DIRECTION('center_axis',(0.53891146674607,0.842362410729254,0.)); #402750=DIRECTION('ref_axis',(-0.842362410729254,0.53891146674607,0.)); #402751=DIRECTION('',(-0.842362410729254,0.53891146674607,0.)); #402752=DIRECTION('',(-0.842362410729254,0.53891146674607,0.)); #402753=DIRECTION('',(0.,0.,1.)); #402754=DIRECTION('center_axis',(0.824745549033875,0.565504004715097,0.)); #402755=DIRECTION('ref_axis',(-0.565504004715097,0.824745549033875,0.)); #402756=DIRECTION('',(-0.565504004715097,0.824745549033875,0.)); #402757=DIRECTION('',(-0.565504004715097,0.824745549033875,0.)); #402758=DIRECTION('',(0.,0.,1.)); #402759=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #402760=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #402761=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #402762=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #402763=DIRECTION('',(0.,0.,1.)); #402764=DIRECTION('center_axis',(1.,0.,0.)); #402765=DIRECTION('ref_axis',(0.,1.,0.)); #402766=DIRECTION('',(0.,1.,0.)); #402767=DIRECTION('',(0.,1.,0.)); #402768=DIRECTION('',(0.,0.,1.)); #402769=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #402770=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #402771=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #402772=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #402773=DIRECTION('',(0.,0.,1.)); #402774=DIRECTION('center_axis',(0.842362410729263,-0.538911466746055,0.)); #402775=DIRECTION('ref_axis',(0.538911466746055,0.842362410729263,0.)); #402776=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #402777=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #402778=DIRECTION('',(0.,0.,1.)); #402779=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #402780=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #402781=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #402782=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #402783=DIRECTION('',(0.,0.,1.)); #402784=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #402785=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #402786=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #402787=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #402788=DIRECTION('',(0.,0.,1.)); #402789=DIRECTION('center_axis',(0.,-1.,0.)); #402790=DIRECTION('ref_axis',(1.,0.,0.)); #402791=DIRECTION('',(1.,0.,0.)); #402792=DIRECTION('',(1.,0.,0.)); #402793=DIRECTION('',(0.,0.,1.)); #402794=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #402795=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #402796=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #402797=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #402798=DIRECTION('',(0.,0.,1.)); #402799=DIRECTION('center_axis',(1.,0.,0.)); #402800=DIRECTION('ref_axis',(0.,1.,0.)); #402801=DIRECTION('',(0.,1.,0.)); #402802=DIRECTION('',(0.,1.,0.)); #402803=DIRECTION('',(0.,0.,1.)); #402804=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #402805=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #402806=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #402807=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #402808=DIRECTION('',(0.,0.,1.)); #402809=DIRECTION('center_axis',(0.,1.,0.)); #402810=DIRECTION('ref_axis',(-1.,0.,0.)); #402811=DIRECTION('',(-1.,0.,0.)); #402812=DIRECTION('',(-1.,0.,0.)); #402813=DIRECTION('',(0.,0.,1.)); #402814=DIRECTION('center_axis',(-0.707105131259446,0.7071084311098,0.)); #402815=DIRECTION('ref_axis',(-0.7071084311098,-0.707105131259446,0.)); #402816=DIRECTION('',(-0.7071084311098,-0.707105131259446,0.)); #402817=DIRECTION('',(-0.7071084311098,-0.707105131259446,0.)); #402818=DIRECTION('',(0.,0.,1.)); #402819=DIRECTION('center_axis',(-0.572058771549303,0.820212632122612,0.)); #402820=DIRECTION('ref_axis',(-0.820212632122612,-0.572058771549303,0.)); #402821=DIRECTION('',(-0.820212632122612,-0.572058771549303,0.)); #402822=DIRECTION('',(-0.820212632122612,-0.572058771549303,0.)); #402823=DIRECTION('',(0.,0.,1.)); #402824=DIRECTION('center_axis',(-0.230196907019318,0.973144071552994,0.)); #402825=DIRECTION('ref_axis',(-0.973144071552994,-0.230196907019318,0.)); #402826=DIRECTION('',(-0.973144071552994,-0.230196907019318,0.)); #402827=DIRECTION('',(-0.973144071552994,-0.230196907019318,0.)); #402828=DIRECTION('',(0.,0.,1.)); #402829=DIRECTION('center_axis',(0.16767593490806,0.985842168327521,0.)); #402830=DIRECTION('ref_axis',(-0.985842168327521,0.16767593490806,0.)); #402831=DIRECTION('',(-0.985842168327521,0.167675934908059,0.)); #402832=DIRECTION('',(-0.985842168327521,0.167675934908059,0.)); #402833=DIRECTION('',(0.,0.,1.)); #402834=DIRECTION('center_axis',(0.538888069291876,0.84237737907358,0.)); #402835=DIRECTION('ref_axis',(-0.84237737907358,0.538888069291876,0.)); #402836=DIRECTION('',(-0.84237737907358,0.538888069291876,0.)); #402837=DIRECTION('',(-0.84237737907358,0.538888069291876,0.)); #402838=DIRECTION('',(0.,0.,1.)); #402839=DIRECTION('center_axis',(0.824756093515062,0.56548862606579,0.)); #402840=DIRECTION('ref_axis',(-0.56548862606579,0.824756093515062,0.)); #402841=DIRECTION('',(-0.56548862606579,0.824756093515062,0.)); #402842=DIRECTION('',(-0.56548862606579,0.824756093515062,0.)); #402843=DIRECTION('',(0.,0.,1.)); #402844=DIRECTION('center_axis',(0.980008419561321,0.198956019232697,0.)); #402845=DIRECTION('ref_axis',(-0.198956019232697,0.980008419561321,0.)); #402846=DIRECTION('',(-0.198956019232697,0.980008419561321,0.)); #402847=DIRECTION('',(-0.198956019232697,0.980008419561321,0.)); #402848=DIRECTION('',(0.,0.,1.)); #402849=DIRECTION('center_axis',(1.,0.,0.)); #402850=DIRECTION('ref_axis',(0.,1.,0.)); #402851=DIRECTION('',(0.,1.,0.)); #402852=DIRECTION('',(0.,1.,0.)); #402853=DIRECTION('',(0.,0.,1.)); #402854=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #402855=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #402856=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #402857=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #402858=DIRECTION('',(0.,0.,1.)); #402859=DIRECTION('center_axis',(0.842362410729308,-0.538911466745984,0.)); #402860=DIRECTION('ref_axis',(0.538911466745984,0.842362410729308,0.)); #402861=DIRECTION('',(0.538911466745984,0.842362410729308,0.)); #402862=DIRECTION('',(0.538911466745984,0.842362410729308,0.)); #402863=DIRECTION('',(0.,0.,1.)); #402864=DIRECTION('center_axis',(0.565504004715081,-0.824745549033886,0.)); #402865=DIRECTION('ref_axis',(0.824745549033886,0.565504004715081,0.)); #402866=DIRECTION('',(0.824745549033886,0.565504004715081,0.)); #402867=DIRECTION('',(0.824745549033886,0.565504004715081,0.)); #402868=DIRECTION('',(0.,0.,1.)); #402869=DIRECTION('center_axis',(0.198956019232613,-0.980008419561338,0.)); #402870=DIRECTION('ref_axis',(0.980008419561339,0.198956019232613,0.)); #402871=DIRECTION('',(0.980008419561338,0.198956019232613,0.)); #402872=DIRECTION('',(0.980008419561338,0.198956019232613,0.)); #402873=DIRECTION('',(0.,0.,1.)); #402874=DIRECTION('center_axis',(0.,-1.,0.)); #402875=DIRECTION('ref_axis',(1.,0.,0.)); #402876=DIRECTION('',(1.,0.,0.)); #402877=DIRECTION('',(1.,0.,0.)); #402878=DIRECTION('',(0.,0.,1.)); #402879=DIRECTION('center_axis',(-0.183305290257339,-0.983056036329401, 0.)); #402880=DIRECTION('ref_axis',(0.983056036329401,-0.183305290257339,0.)); #402881=DIRECTION('',(0.983056036329401,-0.183305290257339,0.)); #402882=DIRECTION('',(0.983056036329401,-0.183305290257339,0.)); #402883=DIRECTION('',(0.,0.,1.)); #402884=DIRECTION('center_axis',(-0.538911466746003,-0.842362410729296, 0.)); #402885=DIRECTION('ref_axis',(0.842362410729296,-0.538911466746003,0.)); #402886=DIRECTION('',(0.842362410729296,-0.538911466746003,0.)); #402887=DIRECTION('',(0.842362410729296,-0.538911466746003,0.)); #402888=DIRECTION('',(0.,0.,1.)); #402889=DIRECTION('center_axis',(-0.824745549033943,-0.565504004714997, 0.)); #402890=DIRECTION('ref_axis',(0.565504004714997,-0.824745549033943,0.)); #402891=DIRECTION('',(0.565504004714997,-0.824745549033943,0.)); #402892=DIRECTION('',(0.565504004714997,-0.824745549033943,0.)); #402893=DIRECTION('',(0.,0.,1.)); #402894=DIRECTION('center_axis',(-0.98000382879404,-0.198978630885383,0.)); #402895=DIRECTION('ref_axis',(0.198978630885383,-0.98000382879404,0.)); #402896=DIRECTION('',(0.198978630885383,-0.98000382879404,0.)); #402897=DIRECTION('',(0.198978630885383,-0.98000382879404,0.)); #402898=DIRECTION('',(0.,0.,1.)); #402899=DIRECTION('center_axis',(-0.707105370129516,-0.707108192240763, 0.)); #402900=DIRECTION('ref_axis',(0.707108192240763,-0.707105370129516,0.)); #402901=DIRECTION('',(0.707108192240763,-0.707105370129516,0.)); #402902=DIRECTION('',(0.707108192240763,-0.707105370129516,0.)); #402903=DIRECTION('',(0.,0.,1.)); #402904=DIRECTION('center_axis',(0.,-1.,0.)); #402905=DIRECTION('ref_axis',(1.,0.,0.)); #402906=DIRECTION('',(1.,0.,0.)); #402907=DIRECTION('',(1.,0.,0.)); #402908=DIRECTION('',(0.,0.,1.)); #402909=DIRECTION('center_axis',(0.707104777838369,-0.707108784529051,0.)); #402910=DIRECTION('ref_axis',(0.707108784529051,0.707104777838369,0.)); #402911=DIRECTION('',(0.707108784529051,0.707104777838369,0.)); #402912=DIRECTION('',(0.707108784529051,0.707104777838369,0.)); #402913=DIRECTION('',(0.,0.,1.)); #402914=DIRECTION('center_axis',(0.558946331948846,-0.829203833807424,0.)); #402915=DIRECTION('ref_axis',(0.829203833807424,0.558946331948846,0.)); #402916=DIRECTION('',(0.829203833807424,0.558946331948846,0.)); #402917=DIRECTION('',(0.829203833807424,0.558946331948846,0.)); #402918=DIRECTION('',(0.,0.,1.)); #402919=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #402920=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #402921=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #402922=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #402923=DIRECTION('',(0.,0.,1.)); #402924=DIRECTION('center_axis',(0.,-1.,0.)); #402925=DIRECTION('ref_axis',(1.,0.,0.)); #402926=DIRECTION('',(1.,0.,0.)); #402927=DIRECTION('',(1.,0.,0.)); #402928=DIRECTION('',(0.,0.,1.)); #402929=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #402930=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #402931=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #402932=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #402933=DIRECTION('',(0.,0.,1.)); #402934=DIRECTION('center_axis',(-0.55226304508323,-0.833669916115124,0.)); #402935=DIRECTION('ref_axis',(0.833669916115124,-0.55226304508323,0.)); #402936=DIRECTION('',(0.833669916115124,-0.55226304508323,0.)); #402937=DIRECTION('',(0.833669916115124,-0.55226304508323,0.)); #402938=DIRECTION('',(0.,0.,1.)); #402939=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #402940=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #402941=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #402942=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #402943=DIRECTION('',(0.,0.,1.)); #402944=DIRECTION('center_axis',(-0.829214556504279,-0.558930424365512, 0.)); #402945=DIRECTION('ref_axis',(0.558930424365512,-0.829214556504279,0.)); #402946=DIRECTION('',(0.558930424365512,-0.829214556504279,0.)); #402947=DIRECTION('',(0.558930424365512,-0.829214556504279,0.)); #402948=DIRECTION('',(0.,0.,1.)); #402949=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #402950=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #402951=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #402952=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #402953=DIRECTION('',(0.,0.,1.)); #402954=DIRECTION('center_axis',(-1.,0.,0.)); #402955=DIRECTION('ref_axis',(0.,-1.,0.)); #402956=DIRECTION('',(0.,-1.,0.)); #402957=DIRECTION('',(0.,-1.,0.)); #402958=DIRECTION('',(0.,0.,1.)); #402959=DIRECTION('center_axis',(-0.707106781186539,-0.707106781186556, 0.)); #402960=DIRECTION('ref_axis',(0.707106781186556,-0.707106781186539,0.)); #402961=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #402962=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #402963=DIRECTION('',(0.,0.,1.)); #402964=DIRECTION('center_axis',(0.,-1.,0.)); #402965=DIRECTION('ref_axis',(1.,0.,0.)); #402966=DIRECTION('',(1.,0.,0.)); #402967=DIRECTION('',(1.,0.,0.)); #402968=DIRECTION('',(0.,0.,1.)); #402969=DIRECTION('center_axis',(0.707106781186521,-0.707106781186574,0.)); #402970=DIRECTION('ref_axis',(0.707106781186574,0.707106781186521,0.)); #402971=DIRECTION('',(0.707106781186574,0.707106781186521,0.)); #402972=DIRECTION('',(0.707106781186574,0.707106781186521,0.)); #402973=DIRECTION('',(0.,0.,1.)); #402974=DIRECTION('center_axis',(1.,0.,0.)); #402975=DIRECTION('ref_axis',(0.,1.,0.)); #402976=DIRECTION('',(0.,1.,0.)); #402977=DIRECTION('',(0.,1.,0.)); #402978=DIRECTION('',(0.,0.,1.)); #402979=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #402980=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #402981=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #402982=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #402983=DIRECTION('',(0.,0.,1.)); #402984=DIRECTION('center_axis',(0.842362410729308,-0.538911466745984,0.)); #402985=DIRECTION('ref_axis',(0.538911466745984,0.842362410729308,0.)); #402986=DIRECTION('',(0.538911466745984,0.842362410729308,0.)); #402987=DIRECTION('',(0.538911466745984,0.842362410729308,0.)); #402988=DIRECTION('',(0.,0.,1.)); #402989=DIRECTION('center_axis',(0.565504004715012,-0.824745549033933,0.)); #402990=DIRECTION('ref_axis',(0.824745549033933,0.565504004715012,0.)); #402991=DIRECTION('',(0.824745549033933,0.565504004715012,0.)); #402992=DIRECTION('',(0.824745549033933,0.565504004715012,0.)); #402993=DIRECTION('',(0.,0.,1.)); #402994=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #402995=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #402996=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #402997=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #402998=DIRECTION('',(0.,0.,1.)); #402999=DIRECTION('center_axis',(0.,-1.,0.)); #403000=DIRECTION('ref_axis',(1.,0.,0.)); #403001=DIRECTION('',(1.,0.,0.)); #403002=DIRECTION('',(1.,0.,0.)); #403003=DIRECTION('',(0.,0.,1.)); #403004=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #403005=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #403006=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #403007=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #403008=DIRECTION('',(0.,0.,1.)); #403009=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #403010=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #403011=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #403012=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #403013=DIRECTION('',(0.,0.,1.)); #403014=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #403015=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #403016=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #403017=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #403018=DIRECTION('',(0.,0.,1.)); #403019=DIRECTION('center_axis',(-0.829203833807412,-0.558946331948864, 0.)); #403020=DIRECTION('ref_axis',(0.558946331948864,-0.829203833807412,0.)); #403021=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #403022=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #403023=DIRECTION('',(0.,0.,1.)); #403024=DIRECTION('center_axis',(-0.980008419561321,-0.198956019232697, 0.)); #403025=DIRECTION('ref_axis',(0.198956019232697,-0.980008419561321,0.)); #403026=DIRECTION('',(0.198956019232697,-0.980008419561321,0.)); #403027=DIRECTION('',(0.198956019232697,-0.980008419561321,0.)); #403028=DIRECTION('',(0.,0.,1.)); #403029=DIRECTION('center_axis',(-1.,0.,0.)); #403030=DIRECTION('ref_axis',(0.,-1.,0.)); #403031=DIRECTION('',(0.,-1.,0.)); #403032=DIRECTION('',(0.,-1.,0.)); #403033=DIRECTION('',(0.,0.,1.)); #403034=DIRECTION('center_axis',(-0.707106781186539,-0.707106781186556, 0.)); #403035=DIRECTION('ref_axis',(0.707106781186556,-0.707106781186539,0.)); #403036=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #403037=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #403038=DIRECTION('',(0.,0.,1.)); #403039=DIRECTION('center_axis',(0.,-1.,0.)); #403040=DIRECTION('ref_axis',(1.,0.,0.)); #403041=DIRECTION('',(1.,0.,0.)); #403042=DIRECTION('',(1.,0.,0.)); #403043=DIRECTION('',(0.,0.,1.)); #403044=DIRECTION('center_axis',(0.707106781186539,-0.707106781186556,0.)); #403045=DIRECTION('ref_axis',(0.707106781186556,0.707106781186539,0.)); #403046=DIRECTION('',(0.707106781186556,0.707106781186539,0.)); #403047=DIRECTION('',(0.707106781186556,0.707106781186539,0.)); #403048=DIRECTION('',(0.,0.,1.)); #403049=DIRECTION('center_axis',(1.,0.,0.)); #403050=DIRECTION('ref_axis',(0.,1.,0.)); #403051=DIRECTION('',(0.,1.,0.)); #403052=DIRECTION('',(0.,1.,0.)); #403053=DIRECTION('',(0.,0.,1.)); #403054=DIRECTION('center_axis',(0.707106781186539,0.707106781186556,0.)); #403055=DIRECTION('ref_axis',(-0.707106781186556,0.707106781186539,0.)); #403056=DIRECTION('',(-0.707106781186556,0.707106781186539,0.)); #403057=DIRECTION('',(-0.707106781186556,0.707106781186539,0.)); #403058=DIRECTION('',(0.,0.,1.)); #403059=DIRECTION('center_axis',(0.,1.,0.)); #403060=DIRECTION('ref_axis',(-1.,0.,0.)); #403061=DIRECTION('',(-1.,0.,0.)); #403062=DIRECTION('',(-1.,0.,0.)); #403063=DIRECTION('',(0.,0.,1.)); #403064=DIRECTION('center_axis',(0.191149856928843,0.981560865252931,0.)); #403065=DIRECTION('ref_axis',(-0.981560865252931,0.191149856928843,0.)); #403066=DIRECTION('',(-0.981560865252931,0.191149856928843,0.)); #403067=DIRECTION('',(-0.981560865252931,0.191149856928843,0.)); #403068=DIRECTION('',(0.,0.,1.)); #403069=DIRECTION('center_axis',(0.552278225391843,0.833659859749789,0.)); #403070=DIRECTION('ref_axis',(-0.833659859749789,0.552278225391843,0.)); #403071=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #403072=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #403073=DIRECTION('',(0.,0.,1.)); #403074=DIRECTION('center_axis',(0.707106781186552,0.707106781186543,0.)); #403075=DIRECTION('ref_axis',(-0.707106781186543,0.707106781186552,0.)); #403076=DIRECTION('',(-0.707106781186543,0.707106781186552,0.)); #403077=DIRECTION('',(-0.707106781186543,0.707106781186552,0.)); #403078=DIRECTION('',(0.,0.,1.)); #403079=DIRECTION('center_axis',(0.,1.,0.)); #403080=DIRECTION('ref_axis',(-1.,0.,0.)); #403081=DIRECTION('',(-1.,0.,0.)); #403082=DIRECTION('',(-1.,0.,0.)); #403083=DIRECTION('',(0.,0.,1.)); #403084=DIRECTION('center_axis',(0.191149856928872,0.981560865252926,0.)); #403085=DIRECTION('ref_axis',(-0.981560865252926,0.191149856928872,0.)); #403086=DIRECTION('',(-0.981560865252926,0.191149856928872,0.)); #403087=DIRECTION('',(-0.981560865252926,0.191149856928872,0.)); #403088=DIRECTION('',(0.,0.,1.)); #403089=DIRECTION('center_axis',(0.552278225391843,0.833659859749789,0.)); #403090=DIRECTION('ref_axis',(-0.833659859749789,0.552278225391843,0.)); #403091=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #403092=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #403093=DIRECTION('',(0.,0.,1.)); #403094=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #403095=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #403096=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #403097=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #403098=DIRECTION('',(0.,0.,1.)); #403099=DIRECTION('center_axis',(0.,1.,0.)); #403100=DIRECTION('ref_axis',(-1.,0.,0.)); #403101=DIRECTION('',(-1.,0.,0.)); #403102=DIRECTION('',(-1.,0.,0.)); #403103=DIRECTION('',(0.,0.,1.)); #403104=DIRECTION('center_axis',(0.183305290257339,0.983056036329401,0.)); #403105=DIRECTION('ref_axis',(-0.983056036329401,0.183305290257339,0.)); #403106=DIRECTION('',(-0.983056036329401,0.183305290257339,0.)); #403107=DIRECTION('',(-0.983056036329401,0.183305290257339,0.)); #403108=DIRECTION('',(0.,0.,1.)); #403109=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #403110=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #403111=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #403112=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #403113=DIRECTION('',(0.,0.,1.)); #403114=DIRECTION('center_axis',(0.824745549033943,0.565504004714997,0.)); #403115=DIRECTION('ref_axis',(-0.565504004714997,0.824745549033943,0.)); #403116=DIRECTION('',(-0.565504004714997,0.824745549033943,0.)); #403117=DIRECTION('',(-0.565504004714997,0.824745549033943,0.)); #403118=DIRECTION('',(0.,0.,1.)); #403119=DIRECTION('center_axis',(0.98000841956135,0.198956019232557,0.)); #403120=DIRECTION('ref_axis',(-0.198956019232557,0.98000841956135,0.)); #403121=DIRECTION('',(-0.198956019232557,0.98000841956135,0.)); #403122=DIRECTION('',(-0.198956019232557,0.98000841956135,0.)); #403123=DIRECTION('',(0.,0.,1.)); #403124=DIRECTION('center_axis',(1.,0.,0.)); #403125=DIRECTION('ref_axis',(0.,1.,0.)); #403126=DIRECTION('',(0.,1.,0.)); #403127=DIRECTION('',(0.,1.,0.)); #403128=DIRECTION('',(0.,0.,1.)); #403129=DIRECTION('center_axis',(0.994378507470112,0.105883822567536,0.)); #403130=DIRECTION('ref_axis',(-0.105883822567536,0.994378507470111,0.)); #403131=DIRECTION('',(-0.105883822567536,0.994378507470111,0.)); #403132=DIRECTION('',(-0.105883822567536,0.994378507470111,0.)); #403133=DIRECTION('',(0.,0.,1.)); #403134=DIRECTION('center_axis',(0.949774679810776,0.312934589955054,0.)); #403135=DIRECTION('ref_axis',(-0.312934589955054,0.949774679810776,0.)); #403136=DIRECTION('',(-0.312934589955054,0.949774679810776,0.)); #403137=DIRECTION('',(-0.312934589955054,0.949774679810776,0.)); #403138=DIRECTION('',(0.,0.,1.)); #403139=DIRECTION('center_axis',(0.86247058544051,0.506107191462346,0.)); #403140=DIRECTION('ref_axis',(-0.506107191462346,0.86247058544051,0.)); #403141=DIRECTION('',(-0.506107191462346,0.86247058544051,0.)); #403142=DIRECTION('',(-0.506107191462346,0.86247058544051,0.)); #403143=DIRECTION('',(0.,0.,1.)); #403144=DIRECTION('center_axis',(0.75777348520638,0.652517697168572,0.)); #403145=DIRECTION('ref_axis',(-0.652517697168572,0.75777348520638,0.)); #403146=DIRECTION('',(-0.652517697168572,0.75777348520638,0.)); #403147=DIRECTION('',(-0.652517697168572,0.75777348520638,0.)); #403148=DIRECTION('',(0.,0.,1.)); #403149=DIRECTION('center_axis',(0.707106424143148,0.707107138229766,0.)); #403150=DIRECTION('ref_axis',(-0.707107138229766,0.707106424143148,0.)); #403151=DIRECTION('',(-0.707107138229766,0.707106424143148,0.)); #403152=DIRECTION('',(-0.707107138229766,0.707106424143148,0.)); #403153=DIRECTION('',(0.,0.,1.)); #403154=DIRECTION('center_axis',(0.628199078070024,0.778052644948895,0.)); #403155=DIRECTION('ref_axis',(-0.778052644948895,0.628199078070024,0.)); #403156=DIRECTION('',(-0.778052644948895,0.628199078070024,0.)); #403157=DIRECTION('',(-0.778052644948895,0.628199078070024,0.)); #403158=DIRECTION('',(0.,0.,1.)); #403159=DIRECTION('center_axis',(0.450178111979777,0.892938781493067,0.)); #403160=DIRECTION('ref_axis',(-0.892938781493067,0.450178111979777,0.)); #403161=DIRECTION('',(-0.892938781493067,0.450178111979777,0.)); #403162=DIRECTION('',(-0.892938781493067,0.450178111979777,0.)); #403163=DIRECTION('',(0.,0.,1.)); #403164=DIRECTION('center_axis',(0.252269987846792,0.967656888174615,0.)); #403165=DIRECTION('ref_axis',(-0.967656888174615,0.252269987846792,0.)); #403166=DIRECTION('',(-0.967656888174615,0.252269987846792,0.)); #403167=DIRECTION('',(-0.967656888174615,0.252269987846792,0.)); #403168=DIRECTION('',(0.,0.,1.)); #403169=DIRECTION('center_axis',(0.0742468828476369,0.997239891093116,0.)); #403170=DIRECTION('ref_axis',(-0.997239891093116,0.0742468828476369,0.)); #403171=DIRECTION('',(-0.997239891093116,0.0742468828476369,0.)); #403172=DIRECTION('',(-0.997239891093116,0.0742468828476369,0.)); #403173=DIRECTION('',(0.,0.,1.)); #403174=DIRECTION('center_axis',(0.,1.,0.)); #403175=DIRECTION('ref_axis',(-1.,0.,0.)); #403176=DIRECTION('',(-1.,0.,0.)); #403177=DIRECTION('',(-1.,0.,0.)); #403178=DIRECTION('',(0.,0.,1.)); #403179=DIRECTION('center_axis',(0.183301831720523,0.983056681218281,0.)); #403180=DIRECTION('ref_axis',(-0.983056681218281,0.183301831720523,0.)); #403181=DIRECTION('',(-0.983056681218281,0.183301831720523,0.)); #403182=DIRECTION('',(-0.983056681218281,0.183301831720523,0.)); #403183=DIRECTION('',(0.,0.,1.)); #403184=DIRECTION('center_axis',(0.538904447640657,0.842366901245009,0.)); #403185=DIRECTION('ref_axis',(-0.842366901245009,0.538904447640657,0.)); #403186=DIRECTION('',(-0.842366901245009,0.538904447640657,0.)); #403187=DIRECTION('',(-0.842366901245009,0.538904447640657,0.)); #403188=DIRECTION('',(0.,0.,1.)); #403189=DIRECTION('center_axis',(0.824750821382105,0.565496315310316,0.)); #403190=DIRECTION('ref_axis',(-0.565496315310316,0.824750821382105,0.)); #403191=DIRECTION('',(-0.565496315310316,0.824750821382105,0.)); #403192=DIRECTION('',(-0.565496315310316,0.824750821382105,0.)); #403193=DIRECTION('',(0.,0.,1.)); #403194=DIRECTION('center_axis',(0.980008289044031,0.19895666212769,0.)); #403195=DIRECTION('ref_axis',(-0.19895666212769,0.980008289044031,0.)); #403196=DIRECTION('',(-0.19895666212769,0.980008289044031,0.)); #403197=DIRECTION('',(-0.19895666212769,0.980008289044031,0.)); #403198=DIRECTION('',(0.,0.,1.)); #403199=DIRECTION('center_axis',(1.,0.,0.)); #403200=DIRECTION('ref_axis',(0.,1.,0.)); #403201=DIRECTION('',(0.,1.,0.)); #403202=DIRECTION('',(0.,1.,0.)); #403203=DIRECTION('',(0.,0.,1.)); #403204=DIRECTION('center_axis',(0.983055675573396,-0.183307224961088,0.)); #403205=DIRECTION('ref_axis',(0.183307224961088,0.983055675573396,0.)); #403206=DIRECTION('',(0.183307224961088,0.983055675573396,0.)); #403207=DIRECTION('',(0.183307224961088,0.983055675573396,0.)); #403208=DIRECTION('',(0.,0.,1.)); #403209=DIRECTION('center_axis',(0.842361453103755,-0.538912963589605,0.)); #403210=DIRECTION('ref_axis',(0.538912963589605,0.842361453103755,0.)); #403211=DIRECTION('',(0.538912963589605,0.842361453103755,0.)); #403212=DIRECTION('',(0.538912963589605,0.842361453103755,0.)); #403213=DIRECTION('',(0.,0.,1.)); #403214=DIRECTION('center_axis',(0.565504004715056,-0.824745549033903,0.)); #403215=DIRECTION('ref_axis',(0.824745549033903,0.565504004715056,0.)); #403216=DIRECTION('',(0.824745549033903,0.565504004715056,0.)); #403217=DIRECTION('',(0.824745549033903,0.565504004715056,0.)); #403218=DIRECTION('',(0.,0.,1.)); #403219=DIRECTION('center_axis',(0.198968686113119,-0.980005847914398,0.)); #403220=DIRECTION('ref_axis',(0.980005847914398,0.198968686113119,0.)); #403221=DIRECTION('',(0.980005847914398,0.198968686113119,0.)); #403222=DIRECTION('',(0.980005847914398,0.198968686113119,0.)); #403223=DIRECTION('',(0.,0.,1.)); #403224=DIRECTION('center_axis',(0.,-1.,0.)); #403225=DIRECTION('ref_axis',(1.,0.,0.)); #403226=DIRECTION('',(1.,0.,0.)); #403227=DIRECTION('',(1.,0.,0.)); #403228=DIRECTION('',(0.,0.,1.)); #403229=DIRECTION('center_axis',(0.38268403024089,-0.923879284862795,0.)); #403230=DIRECTION('ref_axis',(0.923879284862795,0.38268403024089,0.)); #403231=DIRECTION('',(0.923879284862795,0.38268403024089,0.)); #403232=DIRECTION('',(0.923879284862795,0.38268403024089,0.)); #403233=DIRECTION('',(0.,0.,1.)); #403234=DIRECTION('center_axis',(0.707105976813804,-0.707107585558376,0.)); #403235=DIRECTION('ref_axis',(0.707107585558376,0.707105976813804,0.)); #403236=DIRECTION('',(0.707107585558376,0.707105976813804,0.)); #403237=DIRECTION('',(0.707107585558376,0.707105976813804,0.)); #403238=DIRECTION('',(0.,0.,1.)); #403239=DIRECTION('center_axis',(0.923879579359894,-0.382683319262528,0.)); #403240=DIRECTION('ref_axis',(0.382683319262528,0.923879579359894,0.)); #403241=DIRECTION('',(0.382683319262528,0.923879579359894,0.)); #403242=DIRECTION('',(0.382683319262528,0.923879579359894,0.)); #403243=DIRECTION('',(0.,0.,1.)); #403244=DIRECTION('center_axis',(1.,0.,0.)); #403245=DIRECTION('ref_axis',(0.,1.,0.)); #403246=DIRECTION('',(0.,1.,0.)); #403247=DIRECTION('',(0.,1.,0.)); #403248=DIRECTION('',(0.,0.,1.)); #403249=DIRECTION('center_axis',(0.923879579359894,0.382683319262528,0.)); #403250=DIRECTION('ref_axis',(-0.382683319262528,0.923879579359894,0.)); #403251=DIRECTION('',(-0.382683319262528,0.923879579359894,0.)); #403252=DIRECTION('',(-0.382683319262528,0.923879579359894,0.)); #403253=DIRECTION('',(0.,0.,1.)); #403254=DIRECTION('center_axis',(0.707105976813804,0.707107585558376,0.)); #403255=DIRECTION('ref_axis',(-0.707107585558376,0.707105976813804,0.)); #403256=DIRECTION('',(-0.707107585558376,0.707105976813804,0.)); #403257=DIRECTION('',(-0.707107585558376,0.707105976813804,0.)); #403258=DIRECTION('',(0.,0.,1.)); #403259=DIRECTION('center_axis',(0.382684030240894,0.923879284862793,0.)); #403260=DIRECTION('ref_axis',(-0.923879284862793,0.382684030240894,0.)); #403261=DIRECTION('',(-0.923879284862793,0.382684030240894,0.)); #403262=DIRECTION('',(-0.923879284862793,0.382684030240894,0.)); #403263=DIRECTION('',(0.,0.,1.)); #403264=DIRECTION('center_axis',(0.,1.,0.)); #403265=DIRECTION('ref_axis',(-1.,0.,0.)); #403266=DIRECTION('',(-1.,0.,0.)); #403267=DIRECTION('',(-1.,0.,0.)); #403268=DIRECTION('',(0.,0.,1.)); #403269=DIRECTION('center_axis',(-0.382676531743422,0.923882390812178,0.)); #403270=DIRECTION('ref_axis',(-0.923882390812178,-0.382676531743422,0.)); #403271=DIRECTION('',(-0.923882390812178,-0.382676531743422,0.)); #403272=DIRECTION('',(-0.923882390812178,-0.382676531743422,0.)); #403273=DIRECTION('',(0.,0.,1.)); #403274=DIRECTION('center_axis',(-0.202910802099752,0.97919722548179,0.)); #403275=DIRECTION('ref_axis',(-0.97919722548179,-0.202910802099752,0.)); #403276=DIRECTION('',(-0.97919722548179,-0.202910802099752,0.)); #403277=DIRECTION('',(-0.97919722548179,-0.202910802099752,0.)); #403278=DIRECTION('',(0.,0.,1.)); #403279=DIRECTION('center_axis',(0.183315699120884,0.983054095386323,0.)); #403280=DIRECTION('ref_axis',(-0.983054095386323,0.183315699120884,0.)); #403281=DIRECTION('',(-0.983054095386323,0.183315699120884,0.)); #403282=DIRECTION('',(-0.983054095386323,0.183315699120884,0.)); #403283=DIRECTION('',(0.,0.,1.)); #403284=DIRECTION('center_axis',(0.552278225391868,0.833659859749772,0.)); #403285=DIRECTION('ref_axis',(-0.833659859749772,0.552278225391868,0.)); #403286=DIRECTION('',(-0.833659859749772,0.552278225391868,0.)); #403287=DIRECTION('',(-0.833659859749772,0.552278225391868,0.)); #403288=DIRECTION('',(0.,0.,1.)); #403289=DIRECTION('center_axis',(0.707106625394695,0.707106936978365,0.)); #403290=DIRECTION('ref_axis',(-0.707106936978365,0.707106625394695,0.)); #403291=DIRECTION('',(-0.707106936978365,0.707106625394695,0.)); #403292=DIRECTION('',(-0.707106936978365,0.707106625394695,0.)); #403293=DIRECTION('',(0.,0.,1.)); #403294=DIRECTION('center_axis',(0.628199078069939,0.778052644948964,0.)); #403295=DIRECTION('ref_axis',(-0.778052644948964,0.628199078069939,0.)); #403296=DIRECTION('',(-0.778052644948964,0.628199078069939,0.)); #403297=DIRECTION('',(-0.778052644948964,0.628199078069939,0.)); #403298=DIRECTION('',(0.,0.,1.)); #403299=DIRECTION('center_axis',(0.450178111979881,0.892938781493015,0.)); #403300=DIRECTION('ref_axis',(-0.892938781493015,0.450178111979881,0.)); #403301=DIRECTION('',(-0.892938781493015,0.450178111979881,0.)); #403302=DIRECTION('',(-0.892938781493015,0.450178111979881,0.)); #403303=DIRECTION('',(0.,0.,1.)); #403304=DIRECTION('center_axis',(0.252269987846769,0.967656888174621,0.)); #403305=DIRECTION('ref_axis',(-0.967656888174621,0.252269987846769,0.)); #403306=DIRECTION('',(-0.967656888174621,0.252269987846769,0.)); #403307=DIRECTION('',(-0.967656888174621,0.252269987846769,0.)); #403308=DIRECTION('',(0.,0.,1.)); #403309=DIRECTION('center_axis',(0.0742468828476173,0.997239891093117,0.)); #403310=DIRECTION('ref_axis',(-0.997239891093117,0.0742468828476173,0.)); #403311=DIRECTION('',(-0.997239891093117,0.0742468828476173,0.)); #403312=DIRECTION('',(-0.997239891093117,0.0742468828476173,0.)); #403313=DIRECTION('center_axis',(0.,1.,0.)); #403314=DIRECTION('ref_axis',(-1.,0.,0.)); #403315=DIRECTION('',(-1.,0.,0.)); #403316=DIRECTION('',(0.,0.,1.)); #403317=DIRECTION('',(-1.,0.,0.)); #403318=DIRECTION('',(0.,0.,1.)); #403319=DIRECTION('center_axis',(-0.707104327390292,0.707109234974288,0.)); #403320=DIRECTION('ref_axis',(-0.707109234974288,-0.707104327390292,0.)); #403321=DIRECTION('',(-0.707109234974288,-0.707104327390292,0.)); #403322=DIRECTION('',(-0.707109234974288,-0.707104327390292,0.)); #403323=DIRECTION('',(0.,0.,1.)); #403324=DIRECTION('center_axis',(-0.565439331101256,0.824789890119759,0.)); #403325=DIRECTION('ref_axis',(-0.824789890119759,-0.565439331101256,0.)); #403326=DIRECTION('',(-0.824789890119759,-0.565439331101256,0.)); #403327=DIRECTION('',(-0.824789890119759,-0.565439331101256,0.)); #403328=DIRECTION('',(0.,0.,1.)); #403329=DIRECTION('center_axis',(-0.214674314516719,0.976685690837527,0.)); #403330=DIRECTION('ref_axis',(-0.976685690837527,-0.214674314516719,0.)); #403331=DIRECTION('',(-0.976685690837527,-0.214674314516719,0.)); #403332=DIRECTION('',(-0.976685690837527,-0.214674314516719,0.)); #403333=DIRECTION('',(0.,0.,1.)); #403334=DIRECTION('center_axis',(0.183334818573531,0.983050529880642,0.)); #403335=DIRECTION('ref_axis',(-0.983050529880642,0.183334818573531,0.)); #403336=DIRECTION('',(-0.983050529880642,0.183334818573531,0.)); #403337=DIRECTION('',(-0.983050529880642,0.183334818573531,0.)); #403338=DIRECTION('',(0.,0.,1.)); #403339=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #403340=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #403341=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #403342=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #403343=DIRECTION('',(0.,0.,1.)); #403344=DIRECTION('center_axis',(0.707104327390303,0.707109234974277,0.)); #403345=DIRECTION('ref_axis',(-0.707109234974277,0.707104327390303,0.)); #403346=DIRECTION('',(-0.707109234974277,0.707104327390303,0.)); #403347=DIRECTION('',(-0.707109234974277,0.707104327390303,0.)); #403348=DIRECTION('',(0.,0.,1.)); #403349=DIRECTION('center_axis',(0.,1.,0.)); #403350=DIRECTION('ref_axis',(-1.,0.,0.)); #403351=DIRECTION('',(-1.,0.,0.)); #403352=DIRECTION('',(-1.,0.,0.)); #403353=DIRECTION('',(0.,0.,1.)); #403354=DIRECTION('center_axis',(-0.707104327390292,0.707109234974288,0.)); #403355=DIRECTION('ref_axis',(-0.707109234974288,-0.707104327390292,0.)); #403356=DIRECTION('',(-0.707109234974288,-0.707104327390292,0.)); #403357=DIRECTION('',(-0.707109234974288,-0.707104327390292,0.)); #403358=DIRECTION('',(0.,0.,1.)); #403359=DIRECTION('center_axis',(-0.565439331101256,0.824789890119759,0.)); #403360=DIRECTION('ref_axis',(-0.824789890119759,-0.565439331101256,0.)); #403361=DIRECTION('',(-0.824789890119759,-0.565439331101256,0.)); #403362=DIRECTION('',(-0.824789890119759,-0.565439331101256,0.)); #403363=DIRECTION('',(0.,0.,1.)); #403364=DIRECTION('center_axis',(-0.21467431451675,0.97668569083752,0.)); #403365=DIRECTION('ref_axis',(-0.97668569083752,-0.21467431451675,0.)); #403366=DIRECTION('',(-0.97668569083752,-0.21467431451675,0.)); #403367=DIRECTION('',(-0.97668569083752,-0.21467431451675,0.)); #403368=DIRECTION('',(0.,0.,1.)); #403369=DIRECTION('center_axis',(0.183334818573505,0.983050529880647,0.)); #403370=DIRECTION('ref_axis',(-0.983050529880647,0.183334818573505,0.)); #403371=DIRECTION('',(-0.983050529880647,0.183334818573505,0.)); #403372=DIRECTION('',(-0.983050529880647,0.183334818573505,0.)); #403373=DIRECTION('',(0.,0.,1.)); #403374=DIRECTION('center_axis',(0.552278225392063,0.833659859749643,0.)); #403375=DIRECTION('ref_axis',(-0.833659859749643,0.552278225392063,0.)); #403376=DIRECTION('',(-0.833659859749643,0.552278225392063,0.)); #403377=DIRECTION('',(-0.833659859749643,0.552278225392063,0.)); #403378=DIRECTION('',(0.,0.,1.)); #403379=DIRECTION('center_axis',(0.707104327390292,0.707109234974288,0.)); #403380=DIRECTION('ref_axis',(-0.707109234974288,0.707104327390292,0.)); #403381=DIRECTION('',(-0.707109234974288,0.707104327390292,0.)); #403382=DIRECTION('',(-0.707109234974288,0.707104327390292,0.)); #403383=DIRECTION('',(0.,0.,1.)); #403384=DIRECTION('center_axis',(0.,1.,0.)); #403385=DIRECTION('ref_axis',(-1.,0.,0.)); #403386=DIRECTION('',(-1.,0.,0.)); #403387=DIRECTION('',(-1.,0.,0.)); #403388=DIRECTION('',(0.,0.,1.)); #403389=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #403390=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #403391=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #403392=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #403393=DIRECTION('',(0.,0.,1.)); #403394=DIRECTION('center_axis',(-1.,0.,0.)); #403395=DIRECTION('ref_axis',(0.,-1.,0.)); #403396=DIRECTION('',(0.,-1.,0.)); #403397=DIRECTION('',(0.,-1.,0.)); #403398=DIRECTION('',(0.,0.,1.)); #403399=DIRECTION('center_axis',(-0.707108784529064,-0.707104777838355, 0.)); #403400=DIRECTION('ref_axis',(0.707104777838355,-0.707108784529064,0.)); #403401=DIRECTION('',(0.707104777838355,-0.707108784529064,0.)); #403402=DIRECTION('',(0.707104777838355,-0.707108784529064,0.)); #403403=DIRECTION('',(0.,0.,1.)); #403404=DIRECTION('center_axis',(-0.829203833807437,-0.558946331948828, 0.)); #403405=DIRECTION('ref_axis',(0.558946331948828,-0.829203833807437,0.)); #403406=DIRECTION('',(0.558946331948828,-0.829203833807437,0.)); #403407=DIRECTION('',(0.558946331948828,-0.829203833807437,0.)); #403408=DIRECTION('',(0.,0.,1.)); #403409=DIRECTION('center_axis',(-0.980008419561313,-0.19895601923274,0.)); #403410=DIRECTION('ref_axis',(0.19895601923274,-0.980008419561313,0.)); #403411=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #403412=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #403413=DIRECTION('',(0.,0.,1.)); #403414=DIRECTION('center_axis',(-1.,0.,0.)); #403415=DIRECTION('ref_axis',(0.,-1.,0.)); #403416=DIRECTION('',(0.,-1.,0.)); #403417=DIRECTION('',(0.,-1.,0.)); #403418=DIRECTION('',(0.,0.,1.)); #403419=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #403420=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #403421=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #403422=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #403423=DIRECTION('',(0.,0.,1.)); #403424=DIRECTION('center_axis',(-0.829203833807341,-0.558946331948969, 0.)); #403425=DIRECTION('ref_axis',(0.558946331948969,-0.829203833807341,0.)); #403426=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #403427=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #403428=DIRECTION('',(0.,0.,1.)); #403429=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #403430=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #403431=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #403432=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #403433=DIRECTION('',(0.,0.,1.)); #403434=DIRECTION('center_axis',(-1.,0.,0.)); #403435=DIRECTION('ref_axis',(0.,-1.,0.)); #403436=DIRECTION('',(0.,-1.,0.)); #403437=DIRECTION('',(0.,-1.,0.)); #403438=DIRECTION('',(0.,0.,1.)); #403439=DIRECTION('center_axis',(-0.981560865252906,0.191149856928974,0.)); #403440=DIRECTION('ref_axis',(-0.191149856928974,-0.981560865252906,0.)); #403441=DIRECTION('',(-0.191149856928974,-0.981560865252906,0.)); #403442=DIRECTION('',(-0.191149856928974,-0.981560865252906,0.)); #403443=DIRECTION('',(0.,0.,1.)); #403444=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #403445=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #403446=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #403447=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #403448=DIRECTION('',(0.,0.,1.)); #403449=DIRECTION('center_axis',(-0.707106781186545,0.70710678118655,0.)); #403450=DIRECTION('ref_axis',(-0.70710678118655,-0.707106781186545,0.)); #403451=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #403452=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #403453=DIRECTION('',(0.,0.,1.)); #403454=DIRECTION('center_axis',(-0.558946331949144,0.829203833807223,0.)); #403455=DIRECTION('ref_axis',(-0.829203833807223,-0.558946331949144,0.)); #403456=DIRECTION('',(-0.829203833807223,-0.558946331949144,0.)); #403457=DIRECTION('',(-0.829203833807223,-0.558946331949144,0.)); #403458=DIRECTION('',(0.,0.,1.)); #403459=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #403460=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #403461=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #403462=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #403463=DIRECTION('',(0.,0.,1.)); #403464=DIRECTION('center_axis',(0.,1.,0.)); #403465=DIRECTION('ref_axis',(-1.,0.,0.)); #403466=DIRECTION('',(-1.,0.,0.)); #403467=DIRECTION('',(-1.,0.,0.)); #403468=DIRECTION('',(0.,0.,1.)); #403469=DIRECTION('center_axis',(-0.707106781186543,0.707106781186552,0.)); #403470=DIRECTION('ref_axis',(-0.707106781186552,-0.707106781186543,0.)); #403471=DIRECTION('',(-0.707106781186552,-0.707106781186543,0.)); #403472=DIRECTION('',(-0.707106781186552,-0.707106781186543,0.)); #403473=DIRECTION('',(0.,0.,1.)); #403474=DIRECTION('center_axis',(-0.558946331948935,0.829203833807364,0.)); #403475=DIRECTION('ref_axis',(-0.829203833807364,-0.558946331948935,0.)); #403476=DIRECTION('',(-0.829203833807364,-0.558946331948935,0.)); #403477=DIRECTION('',(-0.829203833807364,-0.558946331948935,0.)); #403478=DIRECTION('',(0.,0.,1.)); #403479=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #403480=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #403481=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #403482=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #403483=DIRECTION('',(0.,0.,1.)); #403484=DIRECTION('center_axis',(0.,1.,0.)); #403485=DIRECTION('ref_axis',(-1.,0.,0.)); #403486=DIRECTION('',(-1.,0.,0.)); #403487=DIRECTION('',(-1.,0.,0.)); #403488=DIRECTION('',(0.,0.,1.)); #403489=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #403490=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #403491=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #403492=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #403493=DIRECTION('',(0.,0.,1.)); #403494=DIRECTION('center_axis',(-1.,0.,0.)); #403495=DIRECTION('ref_axis',(0.,-1.,0.)); #403496=DIRECTION('',(0.,-1.,0.)); #403497=DIRECTION('',(0.,-1.,0.)); #403498=DIRECTION('',(0.,0.,1.)); #403499=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #403500=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #403501=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #403502=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #403503=DIRECTION('',(0.,0.,1.)); #403504=DIRECTION('center_axis',(0.,-1.,0.)); #403505=DIRECTION('ref_axis',(1.,0.,0.)); #403506=DIRECTION('',(1.,0.,0.)); #403507=DIRECTION('',(1.,0.,0.)); #403508=DIRECTION('',(0.,0.,1.)); #403509=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #403510=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #403511=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #403512=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #403513=DIRECTION('',(0.,0.,1.)); #403514=DIRECTION('center_axis',(1.,0.,0.)); #403515=DIRECTION('ref_axis',(0.,1.,0.)); #403516=DIRECTION('',(0.,1.,0.)); #403517=DIRECTION('',(0.,1.,0.)); #403518=DIRECTION('',(0.,0.,1.)); #403519=DIRECTION('center_axis',(0.981560865252906,-0.191149856928974,0.)); #403520=DIRECTION('ref_axis',(0.191149856928974,0.981560865252906,0.)); #403521=DIRECTION('',(0.191149856928974,0.981560865252906,0.)); #403522=DIRECTION('',(0.191149856928974,0.981560865252906,0.)); #403523=DIRECTION('',(0.,0.,1.)); #403524=DIRECTION('center_axis',(0.83365985974989,-0.55227822539169,0.)); #403525=DIRECTION('ref_axis',(0.55227822539169,0.83365985974989,0.)); #403526=DIRECTION('',(0.55227822539169,0.83365985974989,0.)); #403527=DIRECTION('',(0.55227822539169,0.83365985974989,0.)); #403528=DIRECTION('',(0.,0.,1.)); #403529=DIRECTION('center_axis',(0.707106781186534,-0.707106781186561,0.)); #403530=DIRECTION('ref_axis',(0.707106781186561,0.707106781186534,0.)); #403531=DIRECTION('',(0.707106781186561,0.707106781186534,0.)); #403532=DIRECTION('',(0.707106781186561,0.707106781186534,0.)); #403533=DIRECTION('',(0.,0.,1.)); #403534=DIRECTION('center_axis',(0.558946331949074,-0.829203833807271,0.)); #403535=DIRECTION('ref_axis',(0.829203833807271,0.558946331949074,0.)); #403536=DIRECTION('',(0.829203833807271,0.558946331949074,0.)); #403537=DIRECTION('',(0.829203833807271,0.558946331949074,0.)); #403538=DIRECTION('',(0.,0.,1.)); #403539=DIRECTION('center_axis',(0.19895601923243,-0.980008419561376,0.)); #403540=DIRECTION('ref_axis',(0.980008419561375,0.19895601923243,0.)); #403541=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #403542=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #403543=DIRECTION('',(0.,0.,1.)); #403544=DIRECTION('center_axis',(0.,-1.,0.)); #403545=DIRECTION('ref_axis',(1.,0.,0.)); #403546=DIRECTION('',(1.,0.,0.)); #403547=DIRECTION('',(1.,0.,0.)); #403548=DIRECTION('',(0.,0.,1.)); #403549=DIRECTION('center_axis',(-0.18330529025708,-0.983056036329449,0.)); #403550=DIRECTION('ref_axis',(0.983056036329449,-0.18330529025708,0.)); #403551=DIRECTION('',(0.983056036329449,-0.18330529025708,0.)); #403552=DIRECTION('',(0.983056036329449,-0.18330529025708,0.)); #403553=DIRECTION('',(0.,0.,1.)); #403554=DIRECTION('center_axis',(-0.538911466746188,-0.842362410729178, 0.)); #403555=DIRECTION('ref_axis',(0.842362410729178,-0.538911466746188,0.)); #403556=DIRECTION('',(0.842362410729178,-0.538911466746188,0.)); #403557=DIRECTION('',(0.842362410729178,-0.538911466746188,0.)); #403558=DIRECTION('',(0.,0.,1.)); #403559=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #403560=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #403561=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #403562=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #403563=DIRECTION('',(0.,0.,1.)); #403564=DIRECTION('center_axis',(-0.980008419561341,-0.1989560192326,0.)); #403565=DIRECTION('ref_axis',(0.1989560192326,-0.980008419561341,0.)); #403566=DIRECTION('',(0.198956019232599,-0.980008419561341,0.)); #403567=DIRECTION('',(0.198956019232599,-0.980008419561341,0.)); #403568=DIRECTION('',(0.,0.,1.)); #403569=DIRECTION('center_axis',(-1.,0.,0.)); #403570=DIRECTION('ref_axis',(0.,-1.,0.)); #403571=DIRECTION('',(0.,-1.,0.)); #403572=DIRECTION('',(0.,-1.,0.)); #403573=DIRECTION('',(0.,0.,1.)); #403574=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #403575=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #403576=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #403577=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #403578=DIRECTION('',(0.,0.,1.)); #403579=DIRECTION('center_axis',(0.,-1.,0.)); #403580=DIRECTION('ref_axis',(1.,0.,0.)); #403581=DIRECTION('',(1.,0.,0.)); #403582=DIRECTION('',(1.,0.,0.)); #403583=DIRECTION('',(0.,0.,1.)); #403584=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #403585=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #403586=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #403587=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #403588=DIRECTION('',(0.,0.,1.)); #403589=DIRECTION('center_axis',(1.,0.,0.)); #403590=DIRECTION('ref_axis',(0.,1.,0.)); #403591=DIRECTION('',(0.,1.,0.)); #403592=DIRECTION('',(0.,1.,0.)); #403593=DIRECTION('',(0.,0.,1.)); #403594=DIRECTION('center_axis',(0.984479063846077,-0.17550205938607,0.)); #403595=DIRECTION('ref_axis',(0.17550205938607,0.984479063846077,0.)); #403596=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #403597=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #403598=DIRECTION('',(0.,0.,1.)); #403599=DIRECTION('center_axis',(0.850871695642093,-0.525373540973609,0.)); #403600=DIRECTION('ref_axis',(0.525373540973609,0.850871695642093,0.)); #403601=DIRECTION('',(0.525373540973609,0.850871695642093,0.)); #403602=DIRECTION('',(0.525373540973609,0.850871695642093,0.)); #403603=DIRECTION('',(0.,0.,1.)); #403604=DIRECTION('center_axis',(0.578483828224917,-0.815693852178772,0.)); #403605=DIRECTION('ref_axis',(0.815693852178772,0.578483828224917,0.)); #403606=DIRECTION('',(0.815693852178772,0.578483828224917,0.)); #403607=DIRECTION('',(0.815693852178772,0.578483828224917,0.)); #403608=DIRECTION('',(0.,0.,1.)); #403609=DIRECTION('center_axis',(0.214642857802347,-0.976692604453644,0.)); #403610=DIRECTION('ref_axis',(0.976692604453643,0.214642857802347,0.)); #403611=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #403612=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #403613=DIRECTION('',(0.,0.,1.)); #403614=DIRECTION('center_axis',(-0.183302952703531,-0.983056472197893, 0.)); #403615=DIRECTION('ref_axis',(0.983056472197893,-0.183302952703531,0.)); #403616=DIRECTION('',(0.983056472197893,-0.183302952703531,0.)); #403617=DIRECTION('',(0.983056472197893,-0.183302952703531,0.)); #403618=DIRECTION('',(0.,0.,1.)); #403619=DIRECTION('center_axis',(-0.552278225391995,-0.833659859749687, 0.)); #403620=DIRECTION('ref_axis',(0.833659859749687,-0.552278225391995,0.)); #403621=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #403622=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #403623=DIRECTION('',(0.,0.,1.)); #403624=DIRECTION('center_axis',(-0.707106781186541,-0.707106781186554, 0.)); #403625=DIRECTION('ref_axis',(0.707106781186554,-0.707106781186541,0.)); #403626=DIRECTION('',(0.707106781186554,-0.707106781186541,0.)); #403627=DIRECTION('',(0.707106781186554,-0.707106781186541,0.)); #403628=DIRECTION('',(0.,0.,1.)); #403629=DIRECTION('center_axis',(-0.829203833807341,-0.558946331948969, 0.)); #403630=DIRECTION('ref_axis',(0.558946331948969,-0.829203833807341,0.)); #403631=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #403632=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #403633=DIRECTION('',(0.,0.,1.)); #403634=DIRECTION('center_axis',(-0.980008419561341,-0.1989560192326,0.)); #403635=DIRECTION('ref_axis',(0.1989560192326,-0.980008419561341,0.)); #403636=DIRECTION('',(0.198956019232599,-0.980008419561341,0.)); #403637=DIRECTION('',(0.198956019232599,-0.980008419561341,0.)); #403638=DIRECTION('',(0.,0.,1.)); #403639=DIRECTION('center_axis',(-1.,0.,0.)); #403640=DIRECTION('ref_axis',(0.,-1.,0.)); #403641=DIRECTION('',(0.,-1.,0.)); #403642=DIRECTION('',(0.,-1.,0.)); #403643=DIRECTION('',(0.,0.,1.)); #403644=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #403645=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #403646=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #403647=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #403648=DIRECTION('',(0.,0.,1.)); #403649=DIRECTION('center_axis',(0.,-1.,0.)); #403650=DIRECTION('ref_axis',(1.,0.,0.)); #403651=DIRECTION('',(1.,0.,0.)); #403652=DIRECTION('',(1.,0.,0.)); #403653=DIRECTION('',(0.,0.,1.)); #403654=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #403655=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #403656=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #403657=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #403658=DIRECTION('',(0.,0.,1.)); #403659=DIRECTION('center_axis',(1.,0.,0.)); #403660=DIRECTION('ref_axis',(0.,1.,0.)); #403661=DIRECTION('',(0.,1.,0.)); #403662=DIRECTION('',(0.,1.,0.)); #403663=DIRECTION('',(0.,0.,1.)); #403664=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #403665=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #403666=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #403667=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #403668=DIRECTION('',(0.,0.,1.)); #403669=DIRECTION('center_axis',(0.842362410729311,-0.53891146674598,0.)); #403670=DIRECTION('ref_axis',(0.53891146674598,0.842362410729311,0.)); #403671=DIRECTION('',(0.53891146674598,0.842362410729311,0.)); #403672=DIRECTION('',(0.53891146674598,0.842362410729311,0.)); #403673=DIRECTION('',(0.,0.,1.)); #403674=DIRECTION('center_axis',(0.565504004715094,-0.824745549033877,0.)); #403675=DIRECTION('ref_axis',(0.824745549033877,0.565504004715094,0.)); #403676=DIRECTION('',(0.824745549033877,0.565504004715094,0.)); #403677=DIRECTION('',(0.824745549033877,0.565504004715094,0.)); #403678=DIRECTION('',(0.,0.,1.)); #403679=DIRECTION('center_axis',(0.19895601923243,-0.980008419561376,0.)); #403680=DIRECTION('ref_axis',(0.980008419561375,0.19895601923243,0.)); #403681=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #403682=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #403683=DIRECTION('',(0.,0.,1.)); #403684=DIRECTION('center_axis',(0.,-1.,0.)); #403685=DIRECTION('ref_axis',(1.,0.,0.)); #403686=DIRECTION('',(1.,0.,0.)); #403687=DIRECTION('',(1.,0.,0.)); #403688=DIRECTION('',(0.,0.,1.)); #403689=DIRECTION('center_axis',(-0.183305290257108,-0.983056036329444, 0.)); #403690=DIRECTION('ref_axis',(0.983056036329444,-0.183305290257108,0.)); #403691=DIRECTION('',(0.983056036329444,-0.183305290257108,0.)); #403692=DIRECTION('',(0.983056036329444,-0.183305290257108,0.)); #403693=DIRECTION('',(0.,0.,1.)); #403694=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #403695=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #403696=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #403697=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #403698=DIRECTION('',(0.,0.,1.)); #403699=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #403700=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #403701=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #403702=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #403703=DIRECTION('',(0.,0.,1.)); #403704=DIRECTION('center_axis',(-0.980008419561313,-0.19895601923274,0.)); #403705=DIRECTION('ref_axis',(0.19895601923274,-0.980008419561313,0.)); #403706=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #403707=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #403708=DIRECTION('',(0.,0.,1.)); #403709=DIRECTION('center_axis',(-1.,0.,0.)); #403710=DIRECTION('ref_axis',(0.,-1.,0.)); #403711=DIRECTION('',(0.,-1.,0.)); #403712=DIRECTION('',(0.,-1.,0.)); #403713=DIRECTION('',(0.,0.,1.)); #403714=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #403715=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #403716=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #403717=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #403718=DIRECTION('',(0.,0.,1.)); #403719=DIRECTION('center_axis',(0.,-1.,0.)); #403720=DIRECTION('ref_axis',(1.,0.,0.)); #403721=DIRECTION('',(1.,0.,0.)); #403722=DIRECTION('',(1.,0.,0.)); #403723=DIRECTION('',(0.,0.,1.)); #403724=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #403725=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #403726=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #403727=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #403728=DIRECTION('',(0.,0.,1.)); #403729=DIRECTION('center_axis',(1.,0.,0.)); #403730=DIRECTION('ref_axis',(0.,1.,0.)); #403731=DIRECTION('',(0.,1.,0.)); #403732=DIRECTION('',(0.,1.,0.)); #403733=DIRECTION('',(0.,0.,1.)); #403734=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #403735=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #403736=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #403737=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #403738=DIRECTION('',(0.,0.,1.)); #403739=DIRECTION('center_axis',(0.842362410729244,-0.538911466746084,0.)); #403740=DIRECTION('ref_axis',(0.538911466746084,0.842362410729244,0.)); #403741=DIRECTION('',(0.538911466746084,0.842362410729244,0.)); #403742=DIRECTION('',(0.538911466746084,0.842362410729244,0.)); #403743=DIRECTION('',(0.,0.,1.)); #403744=DIRECTION('center_axis',(0.565504004715162,-0.82474554903383,0.)); #403745=DIRECTION('ref_axis',(0.82474554903383,0.565504004715162,0.)); #403746=DIRECTION('',(0.82474554903383,0.565504004715162,0.)); #403747=DIRECTION('',(0.82474554903383,0.565504004715162,0.)); #403748=DIRECTION('',(0.,0.,1.)); #403749=DIRECTION('center_axis',(0.19895601923243,-0.980008419561376,0.)); #403750=DIRECTION('ref_axis',(0.980008419561375,0.19895601923243,0.)); #403751=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #403752=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #403753=DIRECTION('',(0.,0.,1.)); #403754=DIRECTION('center_axis',(-0.190847644948435,-0.981619669942303, 0.)); #403755=DIRECTION('ref_axis',(0.981619669942303,-0.190847644948435,0.)); #403756=DIRECTION('',(0.981619669942303,-0.190847644948435,0.)); #403757=DIRECTION('',(0.981619669942303,-0.190847644948435,0.)); #403758=DIRECTION('',(0.,0.,1.)); #403759=DIRECTION('center_axis',(-0.552278225391995,-0.833659859749687, 0.)); #403760=DIRECTION('ref_axis',(0.833659859749687,-0.552278225391995,0.)); #403761=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #403762=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #403763=DIRECTION('',(0.,0.,1.)); #403764=DIRECTION('center_axis',(-0.707106781186541,-0.707106781186554, 0.)); #403765=DIRECTION('ref_axis',(0.707106781186554,-0.707106781186541,0.)); #403766=DIRECTION('',(0.707106781186554,-0.707106781186541,0.)); #403767=DIRECTION('',(0.707106781186554,-0.707106781186541,0.)); #403768=DIRECTION('',(0.,0.,1.)); #403769=DIRECTION('center_axis',(-0.829203833807412,-0.558946331948864, 0.)); #403770=DIRECTION('ref_axis',(0.558946331948864,-0.829203833807412,0.)); #403771=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #403772=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #403773=DIRECTION('',(0.,0.,1.)); #403774=DIRECTION('center_axis',(-0.980008419561313,-0.19895601923274,0.)); #403775=DIRECTION('ref_axis',(0.19895601923274,-0.980008419561313,0.)); #403776=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #403777=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #403778=DIRECTION('',(0.,0.,1.)); #403779=DIRECTION('center_axis',(-1.,0.,0.)); #403780=DIRECTION('ref_axis',(0.,-1.,0.)); #403781=DIRECTION('',(0.,-1.,0.)); #403782=DIRECTION('',(0.,-1.,0.)); #403783=DIRECTION('',(0.,0.,1.)); #403784=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #403785=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #403786=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #403787=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #403788=DIRECTION('',(0.,0.,1.)); #403789=DIRECTION('center_axis',(0.,-1.,0.)); #403790=DIRECTION('ref_axis',(1.,0.,0.)); #403791=DIRECTION('',(1.,0.,0.)); #403792=DIRECTION('',(1.,0.,0.)); #403793=DIRECTION('',(0.,0.,1.)); #403794=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #403795=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #403796=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #403797=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #403798=DIRECTION('',(0.,0.,1.)); #403799=DIRECTION('center_axis',(1.,0.,0.)); #403800=DIRECTION('ref_axis',(0.,1.,0.)); #403801=DIRECTION('',(0.,1.,0.)); #403802=DIRECTION('',(0.,1.,0.)); #403803=DIRECTION('',(0.,0.,1.)); #403804=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #403805=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #403806=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #403807=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #403808=DIRECTION('',(0.,0.,1.)); #403809=DIRECTION('center_axis',(0.,1.,0.)); #403810=DIRECTION('ref_axis',(-1.,0.,0.)); #403811=DIRECTION('',(-1.,0.,0.)); #403812=DIRECTION('',(-1.,0.,0.)); #403813=DIRECTION('',(0.,0.,1.)); #403814=DIRECTION('center_axis',(0.191149856928917,0.981560865252917,0.)); #403815=DIRECTION('ref_axis',(-0.981560865252917,0.191149856928917,0.)); #403816=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #403817=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #403818=DIRECTION('',(0.,0.,1.)); #403819=DIRECTION('center_axis',(0.552278225391859,0.833659859749778,0.)); #403820=DIRECTION('ref_axis',(-0.833659859749778,0.552278225391859,0.)); #403821=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #403822=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #403823=DIRECTION('',(0.,0.,1.)); #403824=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #403825=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #403826=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #403827=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #403828=DIRECTION('',(0.,0.,1.)); #403829=DIRECTION('center_axis',(0.,1.,0.)); #403830=DIRECTION('ref_axis',(-1.,0.,0.)); #403831=DIRECTION('',(-1.,0.,0.)); #403832=DIRECTION('',(-1.,0.,0.)); #403833=DIRECTION('',(0.,0.,1.)); #403834=DIRECTION('center_axis',(0.191149856928945,0.981560865252911,0.)); #403835=DIRECTION('ref_axis',(-0.981560865252911,0.191149856928945,0.)); #403836=DIRECTION('',(-0.981560865252911,0.191149856928945,0.)); #403837=DIRECTION('',(-0.981560865252911,0.191149856928945,0.)); #403838=DIRECTION('',(0.,0.,1.)); #403839=DIRECTION('center_axis',(0.552278225392063,0.833659859749643,0.)); #403840=DIRECTION('ref_axis',(-0.833659859749643,0.552278225392063,0.)); #403841=DIRECTION('',(-0.833659859749643,0.552278225392063,0.)); #403842=DIRECTION('',(-0.833659859749643,0.552278225392063,0.)); #403843=DIRECTION('',(0.,0.,1.)); #403844=DIRECTION('center_axis',(0.707106781186545,0.70710678118655,0.)); #403845=DIRECTION('ref_axis',(-0.70710678118655,0.707106781186545,0.)); #403846=DIRECTION('',(-0.70710678118655,0.707106781186545,0.)); #403847=DIRECTION('',(-0.70710678118655,0.707106781186545,0.)); #403848=DIRECTION('',(0.,0.,1.)); #403849=DIRECTION('center_axis',(0.829203833807341,0.558946331948969,0.)); #403850=DIRECTION('ref_axis',(-0.558946331948969,0.829203833807341,0.)); #403851=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #403852=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #403853=DIRECTION('',(0.,0.,1.)); #403854=DIRECTION('center_axis',(0.980008419561313,0.19895601923274,0.)); #403855=DIRECTION('ref_axis',(-0.19895601923274,0.980008419561313,0.)); #403856=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #403857=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #403858=DIRECTION('',(0.,0.,1.)); #403859=DIRECTION('center_axis',(1.,0.,0.)); #403860=DIRECTION('ref_axis',(0.,1.,0.)); #403861=DIRECTION('',(0.,1.,0.)); #403862=DIRECTION('',(0.,1.,0.)); #403863=DIRECTION('',(0.,0.,1.)); #403864=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #403865=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #403866=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #403867=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #403868=DIRECTION('',(0.,0.,1.)); #403869=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #403870=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #403871=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #403872=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #403873=DIRECTION('',(0.,0.,1.)); #403874=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #403875=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #403876=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #403877=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #403878=DIRECTION('',(0.,0.,1.)); #403879=DIRECTION('center_axis',(1.,0.,0.)); #403880=DIRECTION('ref_axis',(0.,1.,0.)); #403881=DIRECTION('',(0.,1.,0.)); #403882=DIRECTION('',(0.,1.,0.)); #403883=DIRECTION('',(0.,0.,1.)); #403884=DIRECTION('center_axis',(0.981560865252946,-0.19114985692877,0.)); #403885=DIRECTION('ref_axis',(0.19114985692877,0.981560865252945,0.)); #403886=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #403887=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #403888=DIRECTION('',(0.,0.,1.)); #403889=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #403890=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #403891=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #403892=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #403893=DIRECTION('',(0.,0.,1.)); #403894=DIRECTION('center_axis',(0.707108784529064,-0.707104777838355,0.)); #403895=DIRECTION('ref_axis',(0.707104777838355,0.707108784529064,0.)); #403896=DIRECTION('',(0.707104777838355,0.707108784529064,0.)); #403897=DIRECTION('',(0.707104777838355,0.707108784529064,0.)); #403898=DIRECTION('',(0.,0.,1.)); #403899=DIRECTION('center_axis',(1.,0.,0.)); #403900=DIRECTION('ref_axis',(0.,1.,0.)); #403901=DIRECTION('',(0.,1.,0.)); #403902=DIRECTION('',(0.,1.,0.)); #403903=DIRECTION('',(0.,0.,1.)); #403904=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #403905=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #403906=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #403907=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #403908=DIRECTION('center_axis',(0.,1.,0.)); #403909=DIRECTION('ref_axis',(-1.,0.,0.)); #403910=DIRECTION('',(-1.,0.,0.)); #403911=DIRECTION('',(0.,0.,1.)); #403912=DIRECTION('',(-1.,0.,0.)); #403913=DIRECTION('',(0.,0.,1.)); #403914=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #403915=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #403916=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #403917=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #403918=DIRECTION('',(0.,0.,1.)); #403919=DIRECTION('center_axis',(-1.,0.,0.)); #403920=DIRECTION('ref_axis',(0.,-1.,0.)); #403921=DIRECTION('',(0.,-1.,0.)); #403922=DIRECTION('',(0.,-1.,0.)); #403923=DIRECTION('',(0.,0.,1.)); #403924=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #403925=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #403926=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #403927=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #403928=DIRECTION('',(0.,0.,1.)); #403929=DIRECTION('center_axis',(0.,-1.,0.)); #403930=DIRECTION('ref_axis',(1.,0.,0.)); #403931=DIRECTION('',(1.,0.,0.)); #403932=DIRECTION('',(1.,0.,0.)); #403933=DIRECTION('',(0.,0.,1.)); #403934=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #403935=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #403936=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #403937=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #403938=DIRECTION('',(0.,0.,1.)); #403939=DIRECTION('center_axis',(1.,0.,0.)); #403940=DIRECTION('ref_axis',(0.,1.,0.)); #403941=DIRECTION('',(0.,1.,0.)); #403942=DIRECTION('',(0.,1.,0.)); #403943=DIRECTION('',(0.,0.,1.)); #403944=DIRECTION('center_axis',(0.707106781186565,0.70710678118653,0.)); #403945=DIRECTION('ref_axis',(-0.70710678118653,0.707106781186565,0.)); #403946=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #403947=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #403948=DIRECTION('center_axis',(0.0523362156363776,-0.998629521160306, 0.)); #403949=DIRECTION('ref_axis',(0.998629521160306,0.0523362156363776,0.)); #403950=DIRECTION('',(0.998629521160306,0.0523362156363776,0.)); #403951=DIRECTION('',(0.,0.,1.)); #403952=DIRECTION('',(0.998629521160306,0.0523362156363776,0.)); #403953=DIRECTION('',(0.,0.,1.)); #403954=DIRECTION('center_axis',(0.,-1.,0.)); #403955=DIRECTION('ref_axis',(1.,0.,0.)); #403956=DIRECTION('',(1.,0.,0.)); #403957=DIRECTION('',(1.,0.,0.)); #403958=DIRECTION('',(0.,0.,1.)); #403959=DIRECTION('center_axis',(-0.0523362156363776,-0.998629521160306, 0.)); #403960=DIRECTION('ref_axis',(0.998629521160306,-0.0523362156363776,0.)); #403961=DIRECTION('',(0.998629521160306,-0.0523362156363776,0.)); #403962=DIRECTION('',(0.998629521160306,-0.0523362156363776,0.)); #403963=DIRECTION('',(0.,0.,1.)); #403964=DIRECTION('center_axis',(-0.104588344609304,-0.994515599762963, 0.)); #403965=DIRECTION('ref_axis',(0.994515599762963,-0.104588344609304,0.)); #403966=DIRECTION('',(0.994515599762963,-0.104588344609304,0.)); #403967=DIRECTION('',(0.994515599762963,-0.104588344609304,0.)); #403968=DIRECTION('',(0.,0.,1.)); #403969=DIRECTION('center_axis',(-0.156434606552449,-0.987688318181794, 0.)); #403970=DIRECTION('ref_axis',(0.987688318181794,-0.156434606552449,0.)); #403971=DIRECTION('',(0.987688318181794,-0.156434606552449,0.)); #403972=DIRECTION('',(0.987688318181794,-0.156434606552449,0.)); #403973=DIRECTION('',(0.,0.,1.)); #403974=DIRECTION('center_axis',(-0.208086713847857,-0.978110382073516, 0.)); #403975=DIRECTION('ref_axis',(0.978110382073516,-0.208086713847857,0.)); #403976=DIRECTION('',(0.978110382073516,-0.208086713847857,0.)); #403977=DIRECTION('',(0.978110382073516,-0.208086713847857,0.)); #403978=DIRECTION('',(0.,0.,1.)); #403979=DIRECTION('center_axis',(-0.258818923728286,-0.965925858811188, 0.)); #403980=DIRECTION('ref_axis',(0.965925858811188,-0.258818923728286,0.)); #403981=DIRECTION('',(0.965925858811188,-0.258818923728286,0.)); #403982=DIRECTION('',(0.965925858811188,-0.258818923728286,0.)); #403983=DIRECTION('',(0.,0.,1.)); #403984=DIRECTION('center_axis',(-0.309176804171019,-0.951004576099713, 0.)); #403985=DIRECTION('ref_axis',(0.951004576099713,-0.309176804171019,0.)); #403986=DIRECTION('',(0.951004576099713,-0.309176804171019,0.)); #403987=DIRECTION('',(0.951004576099713,-0.309176804171019,0.)); #403988=DIRECTION('',(0.,0.,1.)); #403989=DIRECTION('center_axis',(-0.358367996333272,-0.933580408536981, 0.)); #403990=DIRECTION('ref_axis',(0.933580408536981,-0.358367996333272,0.)); #403991=DIRECTION('',(0.933580408536981,-0.358367996333272,0.)); #403992=DIRECTION('',(0.933580408536981,-0.358367996333272,0.)); #403993=DIRECTION('',(0.,0.,1.)); #403994=DIRECTION('center_axis',(-0.4066653437369,-0.913577198819754,0.)); #403995=DIRECTION('ref_axis',(0.913577198819754,-0.4066653437369,0.)); #403996=DIRECTION('',(0.913577198819754,-0.4066653437369,0.)); #403997=DIRECTION('',(0.913577198819754,-0.4066653437369,0.)); #403998=DIRECTION('',(0.,0.,1.)); #403999=DIRECTION('center_axis',(-0.453989804771014,-0.891006878292181, 0.)); #404000=DIRECTION('ref_axis',(0.891006878292181,-0.453989804771014,0.)); #404001=DIRECTION('',(0.891006878292181,-0.453989804771014,0.)); #404002=DIRECTION('',(0.891006878292181,-0.453989804771014,0.)); #404003=DIRECTION('',(0.,0.,1.)); #404004=DIRECTION('center_axis',(-0.500030005353914,-0.866008079492198, 0.)); #404005=DIRECTION('ref_axis',(0.866008079492198,-0.500030005353914,0.)); #404006=DIRECTION('',(0.866008079492198,-0.500030005353914,0.)); #404007=DIRECTION('',(0.866008079492198,-0.500030005353914,0.)); #404008=DIRECTION('',(0.,0.,1.)); #404009=DIRECTION('center_axis',(-0.544639401283104,-0.83867033008804,0.)); #404010=DIRECTION('ref_axis',(0.83867033008804,-0.544639401283104,0.)); #404011=DIRECTION('',(0.83867033008804,-0.544639401283104,0.)); #404012=DIRECTION('',(0.83867033008804,-0.544639401283104,0.)); #404013=DIRECTION('',(0.,0.,1.)); #404014=DIRECTION('center_axis',(-0.58771312338739,-0.809069394179658,0.)); #404015=DIRECTION('ref_axis',(0.809069394179658,-0.58771312338739,0.)); #404016=DIRECTION('',(0.809069394179658,-0.58771312338739,0.)); #404017=DIRECTION('',(0.809069394179658,-0.58771312338739,0.)); #404018=DIRECTION('',(0.,0.,1.)); #404019=DIRECTION('center_axis',(-0.629320434163395,-0.777145926544299, 0.)); #404020=DIRECTION('ref_axis',(0.777145926544299,-0.629320434163395,0.)); #404021=DIRECTION('',(0.777145926544299,-0.629320434163395,0.)); #404022=DIRECTION('',(0.777145926544299,-0.629320434163395,0.)); #404023=DIRECTION('',(0.,0.,1.)); #404024=DIRECTION('center_axis',(-0.669130940435059,-0.743144524673696, 0.)); #404025=DIRECTION('ref_axis',(0.743144524673696,-0.669130940435059,0.)); #404026=DIRECTION('',(0.743144524673696,-0.669130940435059,0.)); #404027=DIRECTION('',(0.743144524673696,-0.669130940435059,0.)); #404028=DIRECTION('',(0.,0.,1.)); #404029=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #404030=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #404031=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #404032=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #404033=DIRECTION('',(0.,0.,1.)); #404034=DIRECTION('center_axis',(-0.743144524673696,-0.669130940435059, 0.)); #404035=DIRECTION('ref_axis',(0.669130940435059,-0.743144524673696,0.)); #404036=DIRECTION('',(0.669130940435059,-0.743144524673696,0.)); #404037=DIRECTION('',(0.669130940435059,-0.743144524673696,0.)); #404038=DIRECTION('',(0.,0.,1.)); #404039=DIRECTION('center_axis',(-0.777145926544299,-0.629320434163395, 0.)); #404040=DIRECTION('ref_axis',(0.629320434163395,-0.777145926544299,0.)); #404041=DIRECTION('',(0.629320434163395,-0.777145926544299,0.)); #404042=DIRECTION('',(0.629320434163395,-0.777145926544299,0.)); #404043=DIRECTION('',(0.,0.,1.)); #404044=DIRECTION('center_axis',(-0.809069394179658,-0.58771312338739,0.)); #404045=DIRECTION('ref_axis',(0.58771312338739,-0.809069394179658,0.)); #404046=DIRECTION('',(0.58771312338739,-0.809069394179658,0.)); #404047=DIRECTION('',(0.58771312338739,-0.809069394179658,0.)); #404048=DIRECTION('',(0.,0.,1.)); #404049=DIRECTION('center_axis',(-0.83867033008804,-0.544639401283104,0.)); #404050=DIRECTION('ref_axis',(0.544639401283104,-0.83867033008804,0.)); #404051=DIRECTION('',(0.544639401283104,-0.83867033008804,0.)); #404052=DIRECTION('',(0.544639401283104,-0.83867033008804,0.)); #404053=DIRECTION('',(0.,0.,1.)); #404054=DIRECTION('center_axis',(-0.866008079492198,-0.500030005353914, 0.)); #404055=DIRECTION('ref_axis',(0.500030005353914,-0.866008079492198,0.)); #404056=DIRECTION('',(0.500030005353914,-0.866008079492198,0.)); #404057=DIRECTION('',(0.500030005353914,-0.866008079492198,0.)); #404058=DIRECTION('',(0.,0.,1.)); #404059=DIRECTION('center_axis',(-0.891006878292181,-0.453989804771014, 0.)); #404060=DIRECTION('ref_axis',(0.453989804771014,-0.891006878292181,0.)); #404061=DIRECTION('',(0.453989804771014,-0.891006878292181,0.)); #404062=DIRECTION('',(0.453989804771014,-0.891006878292181,0.)); #404063=DIRECTION('',(0.,0.,1.)); #404064=DIRECTION('center_axis',(-0.913577198819754,-0.4066653437369,0.)); #404065=DIRECTION('ref_axis',(0.4066653437369,-0.913577198819754,0.)); #404066=DIRECTION('',(0.4066653437369,-0.913577198819754,0.)); #404067=DIRECTION('',(0.4066653437369,-0.913577198819754,0.)); #404068=DIRECTION('',(0.,0.,1.)); #404069=DIRECTION('center_axis',(-0.933580408536981,-0.358367996333272, 0.)); #404070=DIRECTION('ref_axis',(0.358367996333272,-0.933580408536981,0.)); #404071=DIRECTION('',(0.358367996333272,-0.933580408536981,0.)); #404072=DIRECTION('',(0.358367996333272,-0.933580408536981,0.)); #404073=DIRECTION('',(0.,0.,1.)); #404074=DIRECTION('center_axis',(-0.951004576099713,-0.309176804171019, 0.)); #404075=DIRECTION('ref_axis',(0.309176804171019,-0.951004576099713,0.)); #404076=DIRECTION('',(0.309176804171019,-0.951004576099713,0.)); #404077=DIRECTION('',(0.309176804171019,-0.951004576099713,0.)); #404078=DIRECTION('',(0.,0.,1.)); #404079=DIRECTION('center_axis',(-0.965925858811188,-0.258818923728286, 0.)); #404080=DIRECTION('ref_axis',(0.258818923728286,-0.965925858811188,0.)); #404081=DIRECTION('',(0.258818923728286,-0.965925858811188,0.)); #404082=DIRECTION('',(0.258818923728286,-0.965925858811188,0.)); #404083=DIRECTION('',(0.,0.,1.)); #404084=DIRECTION('center_axis',(-0.978110382073516,-0.208086713847857, 0.)); #404085=DIRECTION('ref_axis',(0.208086713847857,-0.978110382073516,0.)); #404086=DIRECTION('',(0.208086713847857,-0.978110382073516,0.)); #404087=DIRECTION('',(0.208086713847857,-0.978110382073516,0.)); #404088=DIRECTION('',(0.,0.,1.)); #404089=DIRECTION('center_axis',(-0.987688318181794,-0.156434606552449, 0.)); #404090=DIRECTION('ref_axis',(0.156434606552449,-0.987688318181794,0.)); #404091=DIRECTION('',(0.156434606552449,-0.987688318181794,0.)); #404092=DIRECTION('',(0.156434606552449,-0.987688318181794,0.)); #404093=DIRECTION('',(0.,0.,1.)); #404094=DIRECTION('center_axis',(-0.994515599762963,-0.104588344609304, 0.)); #404095=DIRECTION('ref_axis',(0.104588344609304,-0.994515599762963,0.)); #404096=DIRECTION('',(0.104588344609304,-0.994515599762963,0.)); #404097=DIRECTION('',(0.104588344609304,-0.994515599762963,0.)); #404098=DIRECTION('',(0.,0.,1.)); #404099=DIRECTION('center_axis',(-0.998629521160306,-0.0523362156363776, 0.)); #404100=DIRECTION('ref_axis',(0.0523362156363776,-0.998629521160306,0.)); #404101=DIRECTION('',(0.0523362156363776,-0.998629521160306,0.)); #404102=DIRECTION('',(0.0523362156363776,-0.998629521160306,0.)); #404103=DIRECTION('',(0.,0.,1.)); #404104=DIRECTION('center_axis',(-1.,0.,0.)); #404105=DIRECTION('ref_axis',(0.,-1.,0.)); #404106=DIRECTION('',(0.,-1.,0.)); #404107=DIRECTION('',(0.,-1.,0.)); #404108=DIRECTION('',(0.,0.,1.)); #404109=DIRECTION('center_axis',(-0.998629521160306,0.0523362156363776, 0.)); #404110=DIRECTION('ref_axis',(-0.0523362156363776,-0.998629521160306,0.)); #404111=DIRECTION('',(-0.0523362156363776,-0.998629521160306,0.)); #404112=DIRECTION('',(-0.0523362156363776,-0.998629521160306,0.)); #404113=DIRECTION('',(0.,0.,1.)); #404114=DIRECTION('center_axis',(-0.994515599762963,0.104588344609304,0.)); #404115=DIRECTION('ref_axis',(-0.104588344609304,-0.994515599762963,0.)); #404116=DIRECTION('',(-0.104588344609304,-0.994515599762963,0.)); #404117=DIRECTION('',(-0.104588344609304,-0.994515599762963,0.)); #404118=DIRECTION('',(0.,0.,1.)); #404119=DIRECTION('center_axis',(-0.987688318181794,0.156434606552449,0.)); #404120=DIRECTION('ref_axis',(-0.156434606552449,-0.987688318181794,0.)); #404121=DIRECTION('',(-0.156434606552449,-0.987688318181794,0.)); #404122=DIRECTION('',(-0.156434606552449,-0.987688318181794,0.)); #404123=DIRECTION('',(0.,0.,1.)); #404124=DIRECTION('center_axis',(-0.978110382073516,0.208086713847857,0.)); #404125=DIRECTION('ref_axis',(-0.208086713847857,-0.978110382073516,0.)); #404126=DIRECTION('',(-0.208086713847857,-0.978110382073516,0.)); #404127=DIRECTION('',(-0.208086713847857,-0.978110382073516,0.)); #404128=DIRECTION('',(0.,0.,1.)); #404129=DIRECTION('center_axis',(-0.965925858811188,0.258818923728287,0.)); #404130=DIRECTION('ref_axis',(-0.258818923728287,-0.965925858811188,0.)); #404131=DIRECTION('',(-0.258818923728287,-0.965925858811188,0.)); #404132=DIRECTION('',(-0.258818923728287,-0.965925858811188,0.)); #404133=DIRECTION('',(0.,0.,1.)); #404134=DIRECTION('center_axis',(-0.95100457609982,0.309176804170691,0.)); #404135=DIRECTION('ref_axis',(-0.309176804170691,-0.95100457609982,0.)); #404136=DIRECTION('',(-0.309176804170691,-0.95100457609982,0.)); #404137=DIRECTION('',(-0.309176804170691,-0.95100457609982,0.)); #404138=DIRECTION('',(0.,0.,1.)); #404139=DIRECTION('center_axis',(-0.933580408536981,0.358367996333272,0.)); #404140=DIRECTION('ref_axis',(-0.358367996333272,-0.933580408536981,0.)); #404141=DIRECTION('',(-0.358367996333272,-0.933580408536981,0.)); #404142=DIRECTION('',(-0.358367996333272,-0.933580408536981,0.)); #404143=DIRECTION('',(0.,0.,1.)); #404144=DIRECTION('center_axis',(-0.913577198819662,0.406665343737107,0.)); #404145=DIRECTION('ref_axis',(-0.406665343737107,-0.913577198819662,0.)); #404146=DIRECTION('',(-0.406665343737107,-0.913577198819662,0.)); #404147=DIRECTION('',(-0.406665343737107,-0.913577198819662,0.)); #404148=DIRECTION('',(0.,0.,1.)); #404149=DIRECTION('center_axis',(-0.891006878292181,0.453989804771014,0.)); #404150=DIRECTION('ref_axis',(-0.453989804771014,-0.891006878292181,0.)); #404151=DIRECTION('',(-0.453989804771013,-0.891006878292181,0.)); #404152=DIRECTION('',(-0.453989804771013,-0.891006878292181,0.)); #404153=DIRECTION('',(0.,0.,1.)); #404154=DIRECTION('center_axis',(-0.866008079492268,0.500030005353793,0.)); #404155=DIRECTION('ref_axis',(-0.500030005353793,-0.866008079492268,0.)); #404156=DIRECTION('',(-0.500030005353793,-0.866008079492267,0.)); #404157=DIRECTION('',(-0.500030005353793,-0.866008079492267,0.)); #404158=DIRECTION('',(0.,0.,1.)); #404159=DIRECTION('center_axis',(-0.838670330088039,0.544639401283105,0.)); #404160=DIRECTION('ref_axis',(-0.544639401283105,-0.838670330088039,0.)); #404161=DIRECTION('',(-0.544639401283105,-0.838670330088039,0.)); #404162=DIRECTION('',(-0.544639401283105,-0.838670330088039,0.)); #404163=DIRECTION('',(0.,0.,1.)); #404164=DIRECTION('center_axis',(-0.809112694270273,0.587653510132204,0.)); #404165=DIRECTION('ref_axis',(-0.587653510132204,-0.809112694270273,0.)); #404166=DIRECTION('',(-0.587653510132204,-0.809112694270273,0.)); #404167=DIRECTION('',(-0.587653510132204,-0.809112694270273,0.)); #404168=DIRECTION('',(0.,0.,1.)); #404169=DIRECTION('center_axis',(-0.777145813759066,0.629320573441517,0.)); #404170=DIRECTION('ref_axis',(-0.629320573441517,-0.777145813759066,0.)); #404171=DIRECTION('',(-0.629320573441517,-0.777145813759066,0.)); #404172=DIRECTION('',(-0.629320573441517,-0.777145813759066,0.)); #404173=DIRECTION('',(0.,0.,1.)); #404174=DIRECTION('center_axis',(-0.74314452467407,0.669130940434644,0.)); #404175=DIRECTION('ref_axis',(-0.669130940434644,-0.74314452467407,0.)); #404176=DIRECTION('',(-0.669130940434644,-0.74314452467407,0.)); #404177=DIRECTION('',(-0.669130940434644,-0.74314452467407,0.)); #404178=DIRECTION('',(0.,0.,1.)); #404179=DIRECTION('center_axis',(-0.707106752708626,0.707106809664468,0.)); #404180=DIRECTION('ref_axis',(-0.707106809664468,-0.707106752708626,0.)); #404181=DIRECTION('',(-0.707106809664468,-0.707106752708626,0.)); #404182=DIRECTION('',(-0.707106809664468,-0.707106752708626,0.)); #404183=DIRECTION('',(0.,0.,1.)); #404184=DIRECTION('center_axis',(-0.669158632145954,0.743119589988419,0.)); #404185=DIRECTION('ref_axis',(-0.743119589988419,-0.669158632145954,0.)); #404186=DIRECTION('',(-0.743119589988419,-0.669158632145954,0.)); #404187=DIRECTION('',(-0.743119589988419,-0.669158632145954,0.)); #404188=DIRECTION('',(0.,0.,1.)); #404189=DIRECTION('center_axis',(-0.629320416963983,0.777145940472109,0.)); #404190=DIRECTION('ref_axis',(-0.777145940472109,-0.629320416963983,0.)); #404191=DIRECTION('',(-0.777145940472109,-0.629320416963983,0.)); #404192=DIRECTION('',(-0.777145940472109,-0.629320416963983,0.)); #404193=DIRECTION('',(0.,0.,1.)); #404194=DIRECTION('center_axis',(-0.587672085059942,0.809099203090264,0.)); #404195=DIRECTION('ref_axis',(-0.809099203090264,-0.587672085059942,0.)); #404196=DIRECTION('',(-0.809099203090264,-0.587672085059942,0.)); #404197=DIRECTION('',(-0.809099203090264,-0.587672085059942,0.)); #404198=DIRECTION('',(0.,0.,1.)); #404199=DIRECTION('center_axis',(-0.54463944134402,0.838670304072151,0.)); #404200=DIRECTION('ref_axis',(-0.838670304072151,-0.54463944134402,0.)); #404201=DIRECTION('',(-0.838670304072151,-0.54463944134402,0.)); #404202=DIRECTION('',(-0.838670304072151,-0.54463944134402,0.)); #404203=DIRECTION('',(0.,0.,1.)); #404204=DIRECTION('center_axis',(-0.500077026025872,0.8659809282202,0.)); #404205=DIRECTION('ref_axis',(-0.8659809282202,-0.500077026025872,0.)); #404206=DIRECTION('',(-0.8659809282202,-0.500077026025872,0.)); #404207=DIRECTION('',(-0.8659809282202,-0.500077026025872,0.)); #404208=DIRECTION('',(0.,0.,1.)); #404209=DIRECTION('center_axis',(-0.453989736945704,0.891006912850832,0.)); #404210=DIRECTION('ref_axis',(-0.891006912850832,-0.453989736945704,0.)); #404211=DIRECTION('',(-0.891006912850832,-0.453989736945704,0.)); #404212=DIRECTION('',(-0.891006912850832,-0.453989736945704,0.)); #404213=DIRECTION('',(0.,0.,1.)); #404214=DIRECTION('center_axis',(-0.406696734240247,0.913563225156485,0.)); #404215=DIRECTION('ref_axis',(-0.913563225156485,-0.406696734240247,0.)); #404216=DIRECTION('',(-0.913563225156485,-0.406696734240247,0.)); #404217=DIRECTION('',(-0.913563225156485,-0.406696734240247,0.)); #404218=DIRECTION('',(0.,0.,1.)); #404219=DIRECTION('center_axis',(-0.358367921871551,0.933580437120158,0.)); #404220=DIRECTION('ref_axis',(-0.933580437120158,-0.358367921871551,0.)); #404221=DIRECTION('',(-0.933580437120158,-0.358367921871551,0.)); #404222=DIRECTION('',(-0.933580437120158,-0.358367921871551,0.)); #404223=DIRECTION('',(0.,0.,1.)); #404224=DIRECTION('center_axis',(-0.309222166921588,0.950989827224412,0.)); #404225=DIRECTION('ref_axis',(-0.950989827224412,-0.309222166921588,0.)); #404226=DIRECTION('',(-0.950989827224412,-0.309222166921588,0.)); #404227=DIRECTION('',(-0.950989827224412,-0.309222166921588,0.)); #404228=DIRECTION('',(0.,0.,1.)); #404229=DIRECTION('center_axis',(-0.258818764306683,0.965925901528043,0.)); #404230=DIRECTION('ref_axis',(-0.965925901528042,-0.258818764306683,0.)); #404231=DIRECTION('',(-0.965925901528042,-0.258818764306683,0.)); #404232=DIRECTION('',(-0.965925901528042,-0.258818764306683,0.)); #404233=DIRECTION('',(0.,0.,1.)); #404234=DIRECTION('center_axis',(-0.208158693551523,0.978095066084541,0.)); #404235=DIRECTION('ref_axis',(-0.978095066084541,-0.208158693551523,0.)); #404236=DIRECTION('',(-0.978095066084541,-0.208158693551523,0.)); #404237=DIRECTION('',(-0.978095066084541,-0.208158693551523,0.)); #404238=DIRECTION('',(0.,0.,1.)); #404239=DIRECTION('center_axis',(-0.156434392638562,0.987688352062433,0.)); #404240=DIRECTION('ref_axis',(-0.987688352062433,-0.156434392638562,0.)); #404241=DIRECTION('',(-0.987688352062433,-0.156434392638562,0.)); #404242=DIRECTION('',(-0.987688352062433,-0.156434392638562,0.)); #404243=DIRECTION('',(0.,0.,1.)); #404244=DIRECTION('center_axis',(-0.104665209675673,0.994507513236349,0.)); #404245=DIRECTION('ref_axis',(-0.994507513236349,-0.104665209675673,0.)); #404246=DIRECTION('',(-0.994507513236349,-0.104665209675673,0.)); #404247=DIRECTION('',(-0.994507513236349,-0.104665209675673,0.)); #404248=DIRECTION('',(0.,0.,1.)); #404249=DIRECTION('center_axis',(-0.0523361815564572,0.998629522946368, 0.)); #404250=DIRECTION('ref_axis',(-0.998629522946368,-0.0523361815564572,0.)); #404251=DIRECTION('',(-0.998629522946368,-0.0523361815564572,0.)); #404252=DIRECTION('',(-0.998629522946368,-0.0523361815564572,0.)); #404253=DIRECTION('',(0.,0.,1.)); #404254=DIRECTION('center_axis',(0.,1.,0.)); #404255=DIRECTION('ref_axis',(-1.,0.,0.)); #404256=DIRECTION('',(-1.,0.,0.)); #404257=DIRECTION('',(-1.,0.,0.)); #404258=DIRECTION('',(0.,0.,1.)); #404259=DIRECTION('center_axis',(0.0523361815564572,0.998629522946368,0.)); #404260=DIRECTION('ref_axis',(-0.998629522946368,0.0523361815564572,0.)); #404261=DIRECTION('',(-0.998629522946368,0.0523361815564572,0.)); #404262=DIRECTION('',(-0.998629522946368,0.0523361815564572,0.)); #404263=DIRECTION('',(0.,0.,1.)); #404264=DIRECTION('center_axis',(0.104665209675673,0.994507513236349,0.)); #404265=DIRECTION('ref_axis',(-0.994507513236349,0.104665209675673,0.)); #404266=DIRECTION('',(-0.994507513236349,0.104665209675673,0.)); #404267=DIRECTION('',(-0.994507513236349,0.104665209675673,0.)); #404268=DIRECTION('',(0.,0.,1.)); #404269=DIRECTION('center_axis',(0.156434392638562,0.987688352062433,0.)); #404270=DIRECTION('ref_axis',(-0.987688352062433,0.156434392638562,0.)); #404271=DIRECTION('',(-0.987688352062433,0.156434392638562,0.)); #404272=DIRECTION('',(-0.987688352062433,0.156434392638562,0.)); #404273=DIRECTION('',(0.,0.,1.)); #404274=DIRECTION('center_axis',(0.208158693551523,0.978095066084541,0.)); #404275=DIRECTION('ref_axis',(-0.978095066084541,0.208158693551523,0.)); #404276=DIRECTION('',(-0.978095066084541,0.208158693551523,0.)); #404277=DIRECTION('',(-0.978095066084541,0.208158693551523,0.)); #404278=DIRECTION('',(0.,0.,1.)); #404279=DIRECTION('center_axis',(0.258818764306684,0.965925901528042,0.)); #404280=DIRECTION('ref_axis',(-0.965925901528042,0.258818764306684,0.)); #404281=DIRECTION('',(-0.965925901528042,0.258818764306684,0.)); #404282=DIRECTION('',(-0.965925901528042,0.258818764306684,0.)); #404283=DIRECTION('',(0.,0.,1.)); #404284=DIRECTION('center_axis',(0.30922216692126,0.950989827224519,0.)); #404285=DIRECTION('ref_axis',(-0.950989827224519,0.30922216692126,0.)); #404286=DIRECTION('',(-0.950989827224519,0.30922216692126,0.)); #404287=DIRECTION('',(-0.950989827224519,0.30922216692126,0.)); #404288=DIRECTION('',(0.,0.,1.)); #404289=DIRECTION('center_axis',(0.358367921871551,0.933580437120158,0.)); #404290=DIRECTION('ref_axis',(-0.933580437120158,0.358367921871551,0.)); #404291=DIRECTION('',(-0.933580437120158,0.358367921871551,0.)); #404292=DIRECTION('',(-0.933580437120158,0.358367921871551,0.)); #404293=DIRECTION('',(0.,0.,1.)); #404294=DIRECTION('center_axis',(0.406696734240453,0.913563225156393,0.)); #404295=DIRECTION('ref_axis',(-0.913563225156393,0.406696734240453,0.)); #404296=DIRECTION('',(-0.913563225156393,0.406696734240453,0.)); #404297=DIRECTION('',(-0.913563225156393,0.406696734240453,0.)); #404298=DIRECTION('',(0.,0.,1.)); #404299=DIRECTION('center_axis',(0.453989736945704,0.891006912850832,0.)); #404300=DIRECTION('ref_axis',(-0.891006912850832,0.453989736945704,0.)); #404301=DIRECTION('',(-0.891006912850832,0.453989736945704,0.)); #404302=DIRECTION('',(-0.891006912850832,0.453989736945704,0.)); #404303=DIRECTION('',(0.,0.,1.)); #404304=DIRECTION('center_axis',(0.500077026025751,0.86598092822027,0.)); #404305=DIRECTION('ref_axis',(-0.86598092822027,0.500077026025751,0.)); #404306=DIRECTION('',(-0.86598092822027,0.500077026025751,0.)); #404307=DIRECTION('',(-0.86598092822027,0.500077026025751,0.)); #404308=DIRECTION('',(0.,0.,1.)); #404309=DIRECTION('center_axis',(0.544639441344021,0.83867030407215,0.)); #404310=DIRECTION('ref_axis',(-0.83867030407215,0.544639441344021,0.)); #404311=DIRECTION('',(-0.83867030407215,0.544639441344021,0.)); #404312=DIRECTION('',(-0.83867030407215,0.544639441344021,0.)); #404313=DIRECTION('',(0.,0.,1.)); #404314=DIRECTION('center_axis',(0.587612471575557,0.809142498728664,0.)); #404315=DIRECTION('ref_axis',(-0.809142498728664,0.587612471575556,0.)); #404316=DIRECTION('',(-0.809142498728664,0.587612471575556,0.)); #404317=DIRECTION('',(-0.809142498728664,0.587612471575556,0.)); #404318=DIRECTION('',(0.,0.,1.)); #404319=DIRECTION('center_axis',(0.629320556242106,0.77714582768688,0.)); #404320=DIRECTION('ref_axis',(-0.77714582768688,0.629320556242106,0.)); #404321=DIRECTION('',(-0.77714582768688,0.629320556242106,0.)); #404322=DIRECTION('',(-0.77714582768688,0.629320556242106,0.)); #404323=DIRECTION('',(0.,0.,1.)); #404324=DIRECTION('center_axis',(0.669158632145538,0.743119589988793,0.)); #404325=DIRECTION('ref_axis',(-0.743119589988793,0.669158632145538,0.)); #404326=DIRECTION('',(-0.743119589988793,0.669158632145538,0.)); #404327=DIRECTION('',(-0.743119589988793,0.669158632145538,0.)); #404328=DIRECTION('',(0.,0.,1.)); #404329=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #404330=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #404331=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #404332=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #404333=DIRECTION('',(0.,0.,1.)); #404334=DIRECTION('center_axis',(0.743119589988793,0.669158632145538,0.)); #404335=DIRECTION('ref_axis',(-0.669158632145538,0.743119589988793,0.)); #404336=DIRECTION('',(-0.669158632145538,0.743119589988793,0.)); #404337=DIRECTION('',(-0.669158632145538,0.743119589988793,0.)); #404338=DIRECTION('',(0.,0.,1.)); #404339=DIRECTION('center_axis',(0.77714582768688,0.629320556242106,0.)); #404340=DIRECTION('ref_axis',(-0.629320556242106,0.77714582768688,0.)); #404341=DIRECTION('',(-0.629320556242106,0.77714582768688,0.)); #404342=DIRECTION('',(-0.629320556242106,0.77714582768688,0.)); #404343=DIRECTION('',(0.,0.,1.)); #404344=DIRECTION('center_axis',(0.809142498728664,0.587612471575557,0.)); #404345=DIRECTION('ref_axis',(-0.587612471575556,0.809142498728664,0.)); #404346=DIRECTION('',(-0.587612471575556,0.809142498728664,0.)); #404347=DIRECTION('',(-0.587612471575556,0.809142498728664,0.)); #404348=DIRECTION('',(0.,0.,1.)); #404349=DIRECTION('center_axis',(0.83867030407215,0.544639441344021,0.)); #404350=DIRECTION('ref_axis',(-0.544639441344021,0.83867030407215,0.)); #404351=DIRECTION('',(-0.544639441344021,0.83867030407215,0.)); #404352=DIRECTION('',(-0.544639441344021,0.83867030407215,0.)); #404353=DIRECTION('',(0.,0.,1.)); #404354=DIRECTION('center_axis',(0.86598092822027,0.500077026025751,0.)); #404355=DIRECTION('ref_axis',(-0.500077026025751,0.86598092822027,0.)); #404356=DIRECTION('',(-0.500077026025751,0.86598092822027,0.)); #404357=DIRECTION('',(-0.500077026025751,0.86598092822027,0.)); #404358=DIRECTION('',(0.,0.,1.)); #404359=DIRECTION('center_axis',(0.891006912850832,0.453989736945704,0.)); #404360=DIRECTION('ref_axis',(-0.453989736945704,0.891006912850832,0.)); #404361=DIRECTION('',(-0.453989736945704,0.891006912850832,0.)); #404362=DIRECTION('',(-0.453989736945704,0.891006912850832,0.)); #404363=DIRECTION('',(0.,0.,1.)); #404364=DIRECTION('center_axis',(0.913563225156393,0.406696734240453,0.)); #404365=DIRECTION('ref_axis',(-0.406696734240453,0.913563225156393,0.)); #404366=DIRECTION('',(-0.406696734240453,0.913563225156393,0.)); #404367=DIRECTION('',(-0.406696734240453,0.913563225156393,0.)); #404368=DIRECTION('',(0.,0.,1.)); #404369=DIRECTION('center_axis',(0.933580437120158,0.358367921871551,0.)); #404370=DIRECTION('ref_axis',(-0.358367921871551,0.933580437120158,0.)); #404371=DIRECTION('',(-0.358367921871551,0.933580437120158,0.)); #404372=DIRECTION('',(-0.358367921871551,0.933580437120158,0.)); #404373=DIRECTION('',(0.,0.,1.)); #404374=DIRECTION('center_axis',(0.950989827224519,0.30922216692126,0.)); #404375=DIRECTION('ref_axis',(-0.30922216692126,0.950989827224519,0.)); #404376=DIRECTION('',(-0.30922216692126,0.950989827224519,0.)); #404377=DIRECTION('',(-0.30922216692126,0.950989827224519,0.)); #404378=DIRECTION('',(0.,0.,1.)); #404379=DIRECTION('center_axis',(0.965925901528042,0.258818764306684,0.)); #404380=DIRECTION('ref_axis',(-0.258818764306684,0.965925901528042,0.)); #404381=DIRECTION('',(-0.258818764306684,0.965925901528042,0.)); #404382=DIRECTION('',(-0.258818764306684,0.965925901528042,0.)); #404383=DIRECTION('',(0.,0.,1.)); #404384=DIRECTION('center_axis',(0.978095066084541,0.208158693551523,0.)); #404385=DIRECTION('ref_axis',(-0.208158693551523,0.978095066084541,0.)); #404386=DIRECTION('',(-0.208158693551523,0.978095066084541,0.)); #404387=DIRECTION('',(-0.208158693551523,0.978095066084541,0.)); #404388=DIRECTION('',(0.,0.,1.)); #404389=DIRECTION('center_axis',(0.987688352062433,0.156434392638562,0.)); #404390=DIRECTION('ref_axis',(-0.156434392638562,0.987688352062433,0.)); #404391=DIRECTION('',(-0.156434392638562,0.987688352062433,0.)); #404392=DIRECTION('',(-0.156434392638562,0.987688352062433,0.)); #404393=DIRECTION('',(0.,0.,1.)); #404394=DIRECTION('center_axis',(0.994507513236349,0.104665209675673,0.)); #404395=DIRECTION('ref_axis',(-0.104665209675673,0.994507513236349,0.)); #404396=DIRECTION('',(-0.104665209675673,0.994507513236349,0.)); #404397=DIRECTION('',(-0.104665209675673,0.994507513236349,0.)); #404398=DIRECTION('',(0.,0.,1.)); #404399=DIRECTION('center_axis',(0.998629522946368,0.0523361815564572,0.)); #404400=DIRECTION('ref_axis',(-0.0523361815564572,0.998629522946368,0.)); #404401=DIRECTION('',(-0.0523361815564572,0.998629522946368,0.)); #404402=DIRECTION('',(-0.0523361815564572,0.998629522946368,0.)); #404403=DIRECTION('',(0.,0.,1.)); #404404=DIRECTION('center_axis',(1.,0.,0.)); #404405=DIRECTION('ref_axis',(0.,1.,0.)); #404406=DIRECTION('',(0.,1.,0.)); #404407=DIRECTION('',(0.,1.,0.)); #404408=DIRECTION('',(0.,0.,1.)); #404409=DIRECTION('center_axis',(0.998629522946368,-0.0523361815564572, 0.)); #404410=DIRECTION('ref_axis',(0.0523361815564572,0.998629522946368,0.)); #404411=DIRECTION('',(0.0523361815564572,0.998629522946368,0.)); #404412=DIRECTION('',(0.0523361815564572,0.998629522946368,0.)); #404413=DIRECTION('',(0.,0.,1.)); #404414=DIRECTION('center_axis',(0.994507513236349,-0.104665209675673,0.)); #404415=DIRECTION('ref_axis',(0.104665209675673,0.994507513236349,0.)); #404416=DIRECTION('',(0.104665209675673,0.994507513236349,0.)); #404417=DIRECTION('',(0.104665209675673,0.994507513236349,0.)); #404418=DIRECTION('',(0.,0.,1.)); #404419=DIRECTION('center_axis',(0.987688352062433,-0.156434392638562,0.)); #404420=DIRECTION('ref_axis',(0.156434392638562,0.987688352062433,0.)); #404421=DIRECTION('',(0.156434392638562,0.987688352062433,0.)); #404422=DIRECTION('',(0.156434392638562,0.987688352062433,0.)); #404423=DIRECTION('',(0.,0.,1.)); #404424=DIRECTION('center_axis',(0.978095066084541,-0.208158693551523,0.)); #404425=DIRECTION('ref_axis',(0.208158693551523,0.978095066084541,0.)); #404426=DIRECTION('',(0.208158693551523,0.978095066084541,0.)); #404427=DIRECTION('',(0.208158693551523,0.978095066084541,0.)); #404428=DIRECTION('',(0.,0.,1.)); #404429=DIRECTION('center_axis',(0.965925901528043,-0.258818764306683,0.)); #404430=DIRECTION('ref_axis',(0.258818764306683,0.965925901528042,0.)); #404431=DIRECTION('',(0.258818764306683,0.965925901528042,0.)); #404432=DIRECTION('',(0.258818764306683,0.965925901528042,0.)); #404433=DIRECTION('',(0.,0.,1.)); #404434=DIRECTION('center_axis',(0.950989827224412,-0.309222166921588,0.)); #404435=DIRECTION('ref_axis',(0.309222166921588,0.950989827224412,0.)); #404436=DIRECTION('',(0.309222166921588,0.950989827224412,0.)); #404437=DIRECTION('',(0.309222166921588,0.950989827224412,0.)); #404438=DIRECTION('',(0.,0.,1.)); #404439=DIRECTION('center_axis',(0.933580437120158,-0.358367921871551,0.)); #404440=DIRECTION('ref_axis',(0.358367921871551,0.933580437120158,0.)); #404441=DIRECTION('',(0.358367921871551,0.933580437120158,0.)); #404442=DIRECTION('',(0.358367921871551,0.933580437120158,0.)); #404443=DIRECTION('',(0.,0.,1.)); #404444=DIRECTION('center_axis',(0.913563225156485,-0.406696734240247,0.)); #404445=DIRECTION('ref_axis',(0.406696734240247,0.913563225156485,0.)); #404446=DIRECTION('',(0.406696734240247,0.913563225156485,0.)); #404447=DIRECTION('',(0.406696734240247,0.913563225156485,0.)); #404448=DIRECTION('',(0.,0.,1.)); #404449=DIRECTION('center_axis',(0.891006912850832,-0.453989736945704,0.)); #404450=DIRECTION('ref_axis',(0.453989736945704,0.891006912850832,0.)); #404451=DIRECTION('',(0.453989736945704,0.891006912850832,0.)); #404452=DIRECTION('',(0.453989736945704,0.891006912850832,0.)); #404453=DIRECTION('',(0.,0.,1.)); #404454=DIRECTION('center_axis',(0.8659809282202,-0.500077026025872,0.)); #404455=DIRECTION('ref_axis',(0.500077026025872,0.8659809282202,0.)); #404456=DIRECTION('',(0.500077026025872,0.8659809282202,0.)); #404457=DIRECTION('',(0.500077026025872,0.8659809282202,0.)); #404458=DIRECTION('',(0.,0.,1.)); #404459=DIRECTION('center_axis',(0.838670304072151,-0.54463944134402,0.)); #404460=DIRECTION('ref_axis',(0.54463944134402,0.838670304072151,0.)); #404461=DIRECTION('',(0.54463944134402,0.838670304072151,0.)); #404462=DIRECTION('',(0.54463944134402,0.838670304072151,0.)); #404463=DIRECTION('',(0.,0.,1.)); #404464=DIRECTION('center_axis',(0.809099203090264,-0.587672085059942,0.)); #404465=DIRECTION('ref_axis',(0.587672085059942,0.809099203090264,0.)); #404466=DIRECTION('',(0.587672085059942,0.809099203090264,0.)); #404467=DIRECTION('',(0.587672085059942,0.809099203090264,0.)); #404468=DIRECTION('',(0.,0.,1.)); #404469=DIRECTION('center_axis',(0.777145940472109,-0.629320416963983,0.)); #404470=DIRECTION('ref_axis',(0.629320416963983,0.777145940472109,0.)); #404471=DIRECTION('',(0.629320416963983,0.777145940472109,0.)); #404472=DIRECTION('',(0.629320416963983,0.777145940472109,0.)); #404473=DIRECTION('',(0.,0.,1.)); #404474=DIRECTION('center_axis',(0.743119589988419,-0.669158632145954,0.)); #404475=DIRECTION('ref_axis',(0.669158632145954,0.743119589988419,0.)); #404476=DIRECTION('',(0.669158632145954,0.743119589988419,0.)); #404477=DIRECTION('',(0.669158632145954,0.743119589988419,0.)); #404478=DIRECTION('',(0.,0.,1.)); #404479=DIRECTION('center_axis',(0.707106809664468,-0.707106752708626,0.)); #404480=DIRECTION('ref_axis',(0.707106752708626,0.707106809664468,0.)); #404481=DIRECTION('',(0.707106752708626,0.707106809664468,0.)); #404482=DIRECTION('',(0.707106752708626,0.707106809664468,0.)); #404483=DIRECTION('',(0.,0.,1.)); #404484=DIRECTION('center_axis',(0.669130940434644,-0.74314452467407,0.)); #404485=DIRECTION('ref_axis',(0.74314452467407,0.669130940434644,0.)); #404486=DIRECTION('',(0.74314452467407,0.669130940434644,0.)); #404487=DIRECTION('',(0.74314452467407,0.669130940434644,0.)); #404488=DIRECTION('',(0.,0.,1.)); #404489=DIRECTION('center_axis',(0.629320573441517,-0.777145813759066,0.)); #404490=DIRECTION('ref_axis',(0.777145813759066,0.629320573441517,0.)); #404491=DIRECTION('',(0.777145813759066,0.629320573441517,0.)); #404492=DIRECTION('',(0.777145813759066,0.629320573441517,0.)); #404493=DIRECTION('',(0.,0.,1.)); #404494=DIRECTION('center_axis',(0.587653510132204,-0.809112694270273,0.)); #404495=DIRECTION('ref_axis',(0.809112694270273,0.587653510132204,0.)); #404496=DIRECTION('',(0.809112694270273,0.587653510132204,0.)); #404497=DIRECTION('',(0.809112694270273,0.587653510132204,0.)); #404498=DIRECTION('',(0.,0.,1.)); #404499=DIRECTION('center_axis',(0.544639401283105,-0.838670330088039,0.)); #404500=DIRECTION('ref_axis',(0.838670330088039,0.544639401283105,0.)); #404501=DIRECTION('',(0.838670330088039,0.544639401283105,0.)); #404502=DIRECTION('',(0.838670330088039,0.544639401283105,0.)); #404503=DIRECTION('',(0.,0.,1.)); #404504=DIRECTION('center_axis',(0.500030005353793,-0.866008079492268,0.)); #404505=DIRECTION('ref_axis',(0.866008079492268,0.500030005353793,0.)); #404506=DIRECTION('',(0.866008079492267,0.500030005353793,0.)); #404507=DIRECTION('',(0.866008079492267,0.500030005353793,0.)); #404508=DIRECTION('',(0.,0.,1.)); #404509=DIRECTION('center_axis',(0.453989804771014,-0.891006878292181,0.)); #404510=DIRECTION('ref_axis',(0.891006878292181,0.453989804771014,0.)); #404511=DIRECTION('',(0.891006878292181,0.453989804771013,0.)); #404512=DIRECTION('',(0.891006878292181,0.453989804771013,0.)); #404513=DIRECTION('',(0.,0.,1.)); #404514=DIRECTION('center_axis',(0.406665343737107,-0.913577198819662,0.)); #404515=DIRECTION('ref_axis',(0.913577198819662,0.406665343737107,0.)); #404516=DIRECTION('',(0.913577198819662,0.406665343737107,0.)); #404517=DIRECTION('',(0.913577198819662,0.406665343737107,0.)); #404518=DIRECTION('',(0.,0.,1.)); #404519=DIRECTION('center_axis',(0.358367996333272,-0.933580408536981,0.)); #404520=DIRECTION('ref_axis',(0.933580408536981,0.358367996333272,0.)); #404521=DIRECTION('',(0.933580408536981,0.358367996333272,0.)); #404522=DIRECTION('',(0.933580408536981,0.358367996333272,0.)); #404523=DIRECTION('',(0.,0.,1.)); #404524=DIRECTION('center_axis',(0.309176804170691,-0.95100457609982,0.)); #404525=DIRECTION('ref_axis',(0.95100457609982,0.309176804170691,0.)); #404526=DIRECTION('',(0.95100457609982,0.309176804170691,0.)); #404527=DIRECTION('',(0.95100457609982,0.309176804170691,0.)); #404528=DIRECTION('',(0.,0.,1.)); #404529=DIRECTION('center_axis',(0.258818923728287,-0.965925858811188,0.)); #404530=DIRECTION('ref_axis',(0.965925858811188,0.258818923728287,0.)); #404531=DIRECTION('',(0.965925858811188,0.258818923728287,0.)); #404532=DIRECTION('',(0.965925858811188,0.258818923728287,0.)); #404533=DIRECTION('',(0.,0.,1.)); #404534=DIRECTION('center_axis',(0.208086713847857,-0.978110382073516,0.)); #404535=DIRECTION('ref_axis',(0.978110382073516,0.208086713847857,0.)); #404536=DIRECTION('',(0.978110382073516,0.208086713847857,0.)); #404537=DIRECTION('',(0.978110382073516,0.208086713847857,0.)); #404538=DIRECTION('',(0.,0.,1.)); #404539=DIRECTION('center_axis',(0.156434606552449,-0.987688318181794,0.)); #404540=DIRECTION('ref_axis',(0.987688318181794,0.156434606552449,0.)); #404541=DIRECTION('',(0.987688318181794,0.156434606552449,0.)); #404542=DIRECTION('',(0.987688318181794,0.156434606552449,0.)); #404543=DIRECTION('',(0.,0.,1.)); #404544=DIRECTION('center_axis',(0.104588344609304,-0.994515599762963,0.)); #404545=DIRECTION('ref_axis',(0.994515599762963,0.104588344609304,0.)); #404546=DIRECTION('',(0.994515599762963,0.104588344609304,0.)); #404547=DIRECTION('',(0.994515599762963,0.104588344609304,0.)); #404548=DIRECTION('center_axis',(0.,0.,1.)); #404549=DIRECTION('ref_axis',(1.,0.,0.)); #404550=DIRECTION('center_axis',(0.,0.,1.)); #404551=DIRECTION('ref_axis',(1.,0.,0.)); #404552=DIRECTION('center_axis',(0.214674314516719,-0.976685690837527,0.)); #404553=DIRECTION('ref_axis',(0.976685690837527,0.214674314516719,0.)); #404554=DIRECTION('',(0.976685690837527,0.214674314516719,0.)); #404555=DIRECTION('',(0.,0.,1.)); #404556=DIRECTION('',(0.976685690837527,0.214674314516719,0.)); #404557=DIRECTION('',(0.,0.,1.)); #404558=DIRECTION('center_axis',(-0.183334818573531,-0.983050529880642, 0.)); #404559=DIRECTION('ref_axis',(0.983050529880642,-0.183334818573531,0.)); #404560=DIRECTION('',(0.983050529880642,-0.183334818573531,0.)); #404561=DIRECTION('',(0.983050529880642,-0.183334818573531,0.)); #404562=DIRECTION('',(0.,0.,1.)); #404563=DIRECTION('center_axis',(-0.552278225392063,-0.833659859749643, 0.)); #404564=DIRECTION('ref_axis',(0.833659859749643,-0.552278225392063,0.)); #404565=DIRECTION('',(0.833659859749643,-0.552278225392063,0.)); #404566=DIRECTION('',(0.833659859749643,-0.552278225392063,0.)); #404567=DIRECTION('',(0.,0.,1.)); #404568=DIRECTION('center_axis',(-0.707106781186525,-0.70710678118657,0.)); #404569=DIRECTION('ref_axis',(0.70710678118657,-0.707106781186525,0.)); #404570=DIRECTION('',(0.70710678118657,-0.707106781186525,0.)); #404571=DIRECTION('',(0.70710678118657,-0.707106781186525,0.)); #404572=DIRECTION('',(0.,0.,1.)); #404573=DIRECTION('center_axis',(-0.829203833807437,-0.558946331948828, 0.)); #404574=DIRECTION('ref_axis',(0.558946331948828,-0.829203833807437,0.)); #404575=DIRECTION('',(0.558946331948828,-0.829203833807437,0.)); #404576=DIRECTION('',(0.558946331948828,-0.829203833807437,0.)); #404577=DIRECTION('',(0.,0.,1.)); #404578=DIRECTION('center_axis',(-0.980008419561313,-0.19895601923274,0.)); #404579=DIRECTION('ref_axis',(0.19895601923274,-0.980008419561313,0.)); #404580=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #404581=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #404582=DIRECTION('',(0.,0.,1.)); #404583=DIRECTION('center_axis',(-1.,0.,0.)); #404584=DIRECTION('ref_axis',(0.,-1.,0.)); #404585=DIRECTION('',(0.,-1.,0.)); #404586=DIRECTION('',(0.,-1.,0.)); #404587=DIRECTION('',(0.,0.,1.)); #404588=DIRECTION('center_axis',(-0.984479063846077,0.17550205938607,0.)); #404589=DIRECTION('ref_axis',(-0.17550205938607,-0.984479063846077,0.)); #404590=DIRECTION('',(-0.17550205938607,-0.984479063846077,0.)); #404591=DIRECTION('',(-0.17550205938607,-0.984479063846077,0.)); #404592=DIRECTION('',(0.,0.,1.)); #404593=DIRECTION('center_axis',(-0.850871695642093,0.525373540973609,0.)); #404594=DIRECTION('ref_axis',(-0.525373540973609,-0.850871695642093,0.)); #404595=DIRECTION('',(-0.525373540973609,-0.850871695642093,0.)); #404596=DIRECTION('',(-0.525373540973609,-0.850871695642093,0.)); #404597=DIRECTION('',(0.,0.,1.)); #404598=DIRECTION('center_axis',(-0.578483828224723,0.81569385217891,0.)); #404599=DIRECTION('ref_axis',(-0.81569385217891,-0.578483828224723,0.)); #404600=DIRECTION('',(-0.81569385217891,-0.578483828224723,0.)); #404601=DIRECTION('',(-0.81569385217891,-0.578483828224723,0.)); #404602=DIRECTION('',(0.,0.,1.)); #404603=DIRECTION('center_axis',(-0.214674314516999,0.976685690837465,0.)); #404604=DIRECTION('ref_axis',(-0.976685690837465,-0.214674314516999,0.)); #404605=DIRECTION('',(-0.976685690837465,-0.214674314516999,0.)); #404606=DIRECTION('',(-0.976685690837465,-0.214674314516999,0.)); #404607=DIRECTION('',(0.,0.,1.)); #404608=DIRECTION('center_axis',(0.183334818573814,0.983050529880589,0.)); #404609=DIRECTION('ref_axis',(-0.983050529880589,0.183334818573814,0.)); #404610=DIRECTION('',(-0.983050529880589,0.183334818573814,0.)); #404611=DIRECTION('',(-0.983050529880589,0.183334818573814,0.)); #404612=DIRECTION('',(0.,0.,1.)); #404613=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #404614=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #404615=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #404616=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #404617=DIRECTION('',(0.,0.,1.)); #404618=DIRECTION('center_axis',(0.707106781186536,0.707106781186559,0.)); #404619=DIRECTION('ref_axis',(-0.707106781186559,0.707106781186536,0.)); #404620=DIRECTION('',(-0.707106781186559,0.707106781186536,0.)); #404621=DIRECTION('',(-0.707106781186559,0.707106781186536,0.)); #404622=DIRECTION('',(0.,0.,1.)); #404623=DIRECTION('center_axis',(0.829203833807341,0.558946331948969,0.)); #404624=DIRECTION('ref_axis',(-0.558946331948969,0.829203833807341,0.)); #404625=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #404626=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #404627=DIRECTION('',(0.,0.,1.)); #404628=DIRECTION('center_axis',(0.980008419561353,0.198956019232542,0.)); #404629=DIRECTION('ref_axis',(-0.198956019232542,0.980008419561353,0.)); #404630=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #404631=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #404632=DIRECTION('',(0.,0.,1.)); #404633=DIRECTION('center_axis',(1.,0.,0.)); #404634=DIRECTION('ref_axis',(0.,1.,0.)); #404635=DIRECTION('',(0.,1.,0.)); #404636=DIRECTION('',(0.,1.,0.)); #404637=DIRECTION('',(0.,0.,1.)); #404638=DIRECTION('center_axis',(0.981560865252946,-0.19114985692877,0.)); #404639=DIRECTION('ref_axis',(0.19114985692877,0.981560865252945,0.)); #404640=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #404641=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #404642=DIRECTION('',(0.,0.,1.)); #404643=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #404644=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #404645=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #404646=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #404647=DIRECTION('',(0.,0.,1.)); #404648=DIRECTION('center_axis',(0.707106781186525,-0.70710678118657,0.)); #404649=DIRECTION('ref_axis',(0.70710678118657,0.707106781186525,0.)); #404650=DIRECTION('',(0.70710678118657,0.707106781186525,0.)); #404651=DIRECTION('',(0.70710678118657,0.707106781186525,0.)); #404652=DIRECTION('',(0.,0.,1.)); #404653=DIRECTION('center_axis',(0.565439331101256,-0.824789890119759,0.)); #404654=DIRECTION('ref_axis',(0.824789890119759,0.565439331101256,0.)); #404655=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #404656=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #404657=DIRECTION('center_axis',(0.,0.,1.)); #404658=DIRECTION('ref_axis',(1.,0.,0.)); #404659=DIRECTION('center_axis',(0.,0.,1.)); #404660=DIRECTION('ref_axis',(1.,0.,0.)); #404661=DIRECTION('center_axis',(0.230165683714788,-0.97315145688639,0.)); #404662=DIRECTION('ref_axis',(0.97315145688639,0.230165683714788,0.)); #404663=DIRECTION('',(0.97315145688639,0.230165683714788,0.)); #404664=DIRECTION('',(0.,0.,1.)); #404665=DIRECTION('',(0.97315145688639,0.230165683714788,0.)); #404666=DIRECTION('',(0.,0.,1.)); #404667=DIRECTION('center_axis',(-0.167643887112471,-0.985847618607369, 0.)); #404668=DIRECTION('ref_axis',(0.985847618607369,-0.167643887112471,0.)); #404669=DIRECTION('',(0.985847618607369,-0.167643887112471,0.)); #404670=DIRECTION('',(0.985847618607369,-0.167643887112471,0.)); #404671=DIRECTION('',(0.,0.,1.)); #404672=DIRECTION('center_axis',(-0.538911466745951,-0.842362410729329, 0.)); #404673=DIRECTION('ref_axis',(0.842362410729329,-0.538911466745951,0.)); #404674=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #404675=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #404676=DIRECTION('',(0.,0.,1.)); #404677=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #404678=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #404679=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #404680=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #404681=DIRECTION('',(0.,0.,1.)); #404682=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #404683=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #404684=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #404685=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #404686=DIRECTION('',(0.,0.,1.)); #404687=DIRECTION('center_axis',(-1.,0.,0.)); #404688=DIRECTION('ref_axis',(0.,-1.,0.)); #404689=DIRECTION('',(0.,-1.,0.)); #404690=DIRECTION('',(0.,-1.,0.)); #404691=DIRECTION('',(0.,0.,1.)); #404692=DIRECTION('center_axis',(-0.707108784529055,-0.707104777838364, 0.)); #404693=DIRECTION('ref_axis',(0.707104777838364,-0.707108784529055,0.)); #404694=DIRECTION('',(0.707104777838364,-0.707108784529055,0.)); #404695=DIRECTION('',(0.707104777838364,-0.707108784529055,0.)); #404696=DIRECTION('',(0.,0.,1.)); #404697=DIRECTION('center_axis',(-0.829203833807389,-0.558946331948898, 0.)); #404698=DIRECTION('ref_axis',(0.558946331948898,-0.829203833807389,0.)); #404699=DIRECTION('',(0.558946331948898,-0.829203833807389,0.)); #404700=DIRECTION('',(0.558946331948898,-0.829203833807389,0.)); #404701=DIRECTION('',(0.,0.,1.)); #404702=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #404703=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #404704=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #404705=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #404706=DIRECTION('',(0.,0.,1.)); #404707=DIRECTION('center_axis',(-1.,0.,0.)); #404708=DIRECTION('ref_axis',(0.,-1.,0.)); #404709=DIRECTION('',(0.,-1.,0.)); #404710=DIRECTION('',(0.,-1.,0.)); #404711=DIRECTION('',(0.,0.,1.)); #404712=DIRECTION('center_axis',(-0.982311566963627,0.18725379945268,0.)); #404713=DIRECTION('ref_axis',(-0.18725379945268,-0.982311566963627,0.)); #404714=DIRECTION('',(-0.18725379945268,-0.982311566963627,0.)); #404715=DIRECTION('',(-0.18725379945268,-0.982311566963627,0.)); #404716=DIRECTION('',(0.,0.,1.)); #404717=DIRECTION('center_axis',(-0.838042613670296,0.54560478157056,0.)); #404718=DIRECTION('ref_axis',(-0.54560478157056,-0.838042613670296,0.)); #404719=DIRECTION('',(-0.54560478157056,-0.838042613670296,0.)); #404720=DIRECTION('',(-0.54560478157056,-0.838042613670296,0.)); #404721=DIRECTION('',(0.,0.,1.)); #404722=DIRECTION('center_axis',(-0.558878107898662,0.829249817914729,0.)); #404723=DIRECTION('ref_axis',(-0.829249817914729,-0.558878107898662,0.)); #404724=DIRECTION('',(-0.829249817914729,-0.558878107898662,0.)); #404725=DIRECTION('',(-0.829249817914729,-0.558878107898662,0.)); #404726=DIRECTION('',(0.,0.,1.)); #404727=DIRECTION('center_axis',(-0.382683794236524,0.923879382619148,0.)); #404728=DIRECTION('ref_axis',(-0.923879382619148,-0.382683794236524,0.)); #404729=DIRECTION('',(-0.923879382619148,-0.382683794236524,0.)); #404730=DIRECTION('',(-0.923879382619148,-0.382683794236524,0.)); #404731=DIRECTION('',(0.,0.,1.)); #404732=DIRECTION('center_axis',(-0.707106781186556,0.707106781186539,0.)); #404733=DIRECTION('ref_axis',(-0.707106781186539,-0.707106781186556,0.)); #404734=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #404735=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #404736=DIRECTION('',(0.,0.,1.)); #404737=DIRECTION('center_axis',(-0.572058771549325,0.820212632122596,0.)); #404738=DIRECTION('ref_axis',(-0.820212632122596,-0.572058771549325,0.)); #404739=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #404740=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #404741=DIRECTION('',(0.,0.,1.)); #404742=DIRECTION('center_axis',(-0.230196907019318,0.973144071552994,0.)); #404743=DIRECTION('ref_axis',(-0.973144071552994,-0.230196907019318,0.)); #404744=DIRECTION('',(-0.973144071552994,-0.230196907019318,0.)); #404745=DIRECTION('',(-0.973144071552994,-0.230196907019318,0.)); #404746=DIRECTION('',(0.,0.,1.)); #404747=DIRECTION('center_axis',(0.167675934908011,0.985842168327529,0.)); #404748=DIRECTION('ref_axis',(-0.985842168327529,0.167675934908011,0.)); #404749=DIRECTION('',(-0.985842168327529,0.167675934908011,0.)); #404750=DIRECTION('',(-0.985842168327529,0.167675934908011,0.)); #404751=DIRECTION('',(0.,0.,1.)); #404752=DIRECTION('center_axis',(0.538888069291905,0.842377379073562,0.)); #404753=DIRECTION('ref_axis',(-0.842377379073562,0.538888069291905,0.)); #404754=DIRECTION('',(-0.842377379073562,0.538888069291905,0.)); #404755=DIRECTION('',(-0.842377379073562,0.538888069291905,0.)); #404756=DIRECTION('',(0.,0.,1.)); #404757=DIRECTION('center_axis',(0.824756093515064,0.565488626065787,0.)); #404758=DIRECTION('ref_axis',(-0.565488626065787,0.824756093515064,0.)); #404759=DIRECTION('',(-0.565488626065787,0.824756093515064,0.)); #404760=DIRECTION('',(-0.565488626065787,0.824756093515064,0.)); #404761=DIRECTION('',(0.,0.,1.)); #404762=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #404763=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #404764=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #404765=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #404766=DIRECTION('',(0.,0.,1.)); #404767=DIRECTION('center_axis',(1.,0.,0.)); #404768=DIRECTION('ref_axis',(0.,1.,0.)); #404769=DIRECTION('',(0.,1.,0.)); #404770=DIRECTION('',(0.,1.,0.)); #404771=DIRECTION('',(0.,0.,1.)); #404772=DIRECTION('center_axis',(0.981560865252946,-0.19114985692877,0.)); #404773=DIRECTION('ref_axis',(0.19114985692877,0.981560865252945,0.)); #404774=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #404775=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #404776=DIRECTION('',(0.,0.,1.)); #404777=DIRECTION('center_axis',(0.83365985974971,-0.552278225391961,0.)); #404778=DIRECTION('ref_axis',(0.552278225391961,0.83365985974971,0.)); #404779=DIRECTION('',(0.552278225391961,0.83365985974971,0.)); #404780=DIRECTION('',(0.552278225391961,0.83365985974971,0.)); #404781=DIRECTION('',(0.,0.,1.)); #404782=DIRECTION('center_axis',(0.70710678118656,-0.707106781186535,0.)); #404783=DIRECTION('ref_axis',(0.707106781186535,0.70710678118656,0.)); #404784=DIRECTION('',(0.707106781186535,0.70710678118656,0.)); #404785=DIRECTION('',(0.707106781186535,0.70710678118656,0.)); #404786=DIRECTION('',(0.,0.,1.)); #404787=DIRECTION('center_axis',(0.572058771549169,-0.820212632122705,0.)); #404788=DIRECTION('ref_axis',(0.820212632122705,0.572058771549169,0.)); #404789=DIRECTION('',(0.820212632122705,0.572058771549169,0.)); #404790=DIRECTION('',(0.820212632122705,0.572058771549169,0.)); #404791=DIRECTION('center_axis',(0.,0.,1.)); #404792=DIRECTION('ref_axis',(1.,0.,0.)); #404793=DIRECTION('center_axis',(0.,0.,1.)); #404794=DIRECTION('ref_axis',(1.,0.,0.)); #404795=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #404796=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #404797=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #404798=DIRECTION('',(0.,0.,1.)); #404799=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #404800=DIRECTION('',(0.,0.,1.)); #404801=DIRECTION('center_axis',(0.,-1.,0.)); #404802=DIRECTION('ref_axis',(1.,0.,0.)); #404803=DIRECTION('',(1.,0.,0.)); #404804=DIRECTION('',(1.,0.,0.)); #404805=DIRECTION('',(0.,0.,1.)); #404806=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #404807=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #404808=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #404809=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #404810=DIRECTION('',(0.,0.,1.)); #404811=DIRECTION('center_axis',(-0.538911466745914,-0.842362410729353, 0.)); #404812=DIRECTION('ref_axis',(0.842362410729353,-0.538911466745914,0.)); #404813=DIRECTION('',(0.842362410729353,-0.538911466745914,0.)); #404814=DIRECTION('',(0.842362410729353,-0.538911466745914,0.)); #404815=DIRECTION('',(0.,0.,1.)); #404816=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #404817=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #404818=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #404819=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #404820=DIRECTION('',(0.,0.,1.)); #404821=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #404822=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #404823=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #404824=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #404825=DIRECTION('',(0.,0.,1.)); #404826=DIRECTION('center_axis',(-1.,0.,0.)); #404827=DIRECTION('ref_axis',(0.,-1.,0.)); #404828=DIRECTION('',(0.,-1.,0.)); #404829=DIRECTION('',(0.,-1.,0.)); #404830=DIRECTION('',(0.,0.,1.)); #404831=DIRECTION('center_axis',(-0.98156086525294,0.191149856928798,0.)); #404832=DIRECTION('ref_axis',(-0.191149856928798,-0.98156086525294,0.)); #404833=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #404834=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #404835=DIRECTION('',(0.,0.,1.)); #404836=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #404837=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #404838=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #404839=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #404840=DIRECTION('',(0.,0.,1.)); #404841=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #404842=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #404843=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #404844=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #404845=DIRECTION('',(0.,0.,1.)); #404846=DIRECTION('center_axis',(-0.572058771549281,0.820212632122627,0.)); #404847=DIRECTION('ref_axis',(-0.820212632122627,-0.572058771549281,0.)); #404848=DIRECTION('',(-0.820212632122627,-0.572058771549281,0.)); #404849=DIRECTION('',(-0.820212632122627,-0.572058771549281,0.)); #404850=DIRECTION('',(0.,0.,1.)); #404851=DIRECTION('center_axis',(-0.230165683714683,0.973151456886415,0.)); #404852=DIRECTION('ref_axis',(-0.973151456886415,-0.230165683714683,0.)); #404853=DIRECTION('',(-0.973151456886415,-0.230165683714683,0.)); #404854=DIRECTION('',(-0.973151456886415,-0.230165683714683,0.)); #404855=DIRECTION('',(0.,0.,1.)); #404856=DIRECTION('center_axis',(0.167643887112304,0.985847618607398,0.)); #404857=DIRECTION('ref_axis',(-0.985847618607398,0.167643887112304,0.)); #404858=DIRECTION('',(-0.985847618607398,0.167643887112304,0.)); #404859=DIRECTION('',(-0.985847618607398,0.167643887112304,0.)); #404860=DIRECTION('',(0.,0.,1.)); #404861=DIRECTION('center_axis',(0.538911466746188,0.842362410729178,0.)); #404862=DIRECTION('ref_axis',(-0.842362410729178,0.538911466746188,0.)); #404863=DIRECTION('',(-0.842362410729178,0.538911466746188,0.)); #404864=DIRECTION('',(-0.842362410729178,0.538911466746188,0.)); #404865=DIRECTION('',(0.,0.,1.)); #404866=DIRECTION('center_axis',(0.824745549033805,0.565504004715199,0.)); #404867=DIRECTION('ref_axis',(-0.565504004715199,0.824745549033805,0.)); #404868=DIRECTION('',(-0.565504004715199,0.824745549033805,0.)); #404869=DIRECTION('',(-0.565504004715199,0.824745549033805,0.)); #404870=DIRECTION('',(0.,0.,1.)); #404871=DIRECTION('center_axis',(0.980008419561353,0.198956019232542,0.)); #404872=DIRECTION('ref_axis',(-0.198956019232542,0.980008419561353,0.)); #404873=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #404874=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #404875=DIRECTION('',(0.,0.,1.)); #404876=DIRECTION('center_axis',(1.,0.,0.)); #404877=DIRECTION('ref_axis',(0.,1.,0.)); #404878=DIRECTION('',(0.,1.,0.)); #404879=DIRECTION('',(0.,1.,0.)); #404880=DIRECTION('',(0.,0.,1.)); #404881=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #404882=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #404883=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #404884=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #404885=DIRECTION('',(0.,0.,1.)); #404886=DIRECTION('center_axis',(0.842362410729372,-0.538911466745885,0.)); #404887=DIRECTION('ref_axis',(0.538911466745885,0.842362410729372,0.)); #404888=DIRECTION('',(0.538911466745885,0.842362410729372,0.)); #404889=DIRECTION('',(0.538911466745885,0.842362410729372,0.)); #404890=DIRECTION('',(0.,0.,1.)); #404891=DIRECTION('center_axis',(0.565504004714863,-0.824745549034035,0.)); #404892=DIRECTION('ref_axis',(0.824745549034035,0.565504004714863,0.)); #404893=DIRECTION('',(0.824745549034035,0.565504004714863,0.)); #404894=DIRECTION('',(0.824745549034035,0.565504004714863,0.)); #404895=DIRECTION('center_axis',(0.,0.,1.)); #404896=DIRECTION('ref_axis',(1.,0.,0.)); #404897=DIRECTION('center_axis',(0.,0.,1.)); #404898=DIRECTION('ref_axis',(1.,0.,0.)); #404899=DIRECTION('center_axis',(0.23016568371465,-0.973151456886423,0.)); #404900=DIRECTION('ref_axis',(0.973151456886423,0.23016568371465,0.)); #404901=DIRECTION('',(0.973151456886423,0.23016568371465,0.)); #404902=DIRECTION('',(0.,0.,1.)); #404903=DIRECTION('',(0.973151456886423,0.23016568371465,0.)); #404904=DIRECTION('',(0.,0.,1.)); #404905=DIRECTION('center_axis',(-0.167643887112304,-0.985847618607398, 0.)); #404906=DIRECTION('ref_axis',(0.985847618607398,-0.167643887112304,0.)); #404907=DIRECTION('',(0.985847618607398,-0.167643887112304,0.)); #404908=DIRECTION('',(0.985847618607398,-0.167643887112304,0.)); #404909=DIRECTION('',(0.,0.,1.)); #404910=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #404911=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #404912=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #404913=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #404914=DIRECTION('',(0.,0.,1.)); #404915=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #404916=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #404917=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #404918=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #404919=DIRECTION('',(0.,0.,1.)); #404920=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #404921=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #404922=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #404923=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #404924=DIRECTION('',(0.,0.,1.)); #404925=DIRECTION('center_axis',(-1.,0.,0.)); #404926=DIRECTION('ref_axis',(0.,-1.,0.)); #404927=DIRECTION('',(0.,-1.,0.)); #404928=DIRECTION('',(0.,-1.,0.)); #404929=DIRECTION('',(0.,0.,1.)); #404930=DIRECTION('center_axis',(-0.984479063846082,0.175502059386041,0.)); #404931=DIRECTION('ref_axis',(-0.175502059386041,-0.984479063846082,0.)); #404932=DIRECTION('',(-0.175502059386041,-0.984479063846082,0.)); #404933=DIRECTION('',(-0.175502059386041,-0.984479063846082,0.)); #404934=DIRECTION('',(0.,0.,1.)); #404935=DIRECTION('center_axis',(-0.850880796063525,0.525358802048945,0.)); #404936=DIRECTION('ref_axis',(-0.525358802048945,-0.850880796063525,0.)); #404937=DIRECTION('',(-0.525358802048945,-0.850880796063525,0.)); #404938=DIRECTION('',(-0.525358802048945,-0.850880796063525,0.)); #404939=DIRECTION('',(0.,0.,1.)); #404940=DIRECTION('center_axis',(-0.57846188858929,0.815709411156762,0.)); #404941=DIRECTION('ref_axis',(-0.815709411156762,-0.57846188858929,0.)); #404942=DIRECTION('',(-0.815709411156762,-0.57846188858929,0.)); #404943=DIRECTION('',(-0.815709411156762,-0.57846188858929,0.)); #404944=DIRECTION('',(0.,0.,1.)); #404945=DIRECTION('center_axis',(-0.21467431451661,0.976685690837551,0.)); #404946=DIRECTION('ref_axis',(-0.976685690837551,-0.21467431451661,0.)); #404947=DIRECTION('',(-0.976685690837551,-0.21467431451661,0.)); #404948=DIRECTION('',(-0.976685690837551,-0.21467431451661,0.)); #404949=DIRECTION('',(0.,0.,1.)); #404950=DIRECTION('center_axis',(0.183334818573646,0.98305052988062,0.)); #404951=DIRECTION('ref_axis',(-0.98305052988062,0.183334818573646,0.)); #404952=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #404953=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #404954=DIRECTION('',(0.,0.,1.)); #404955=DIRECTION('center_axis',(0.552278225391859,0.833659859749778,0.)); #404956=DIRECTION('ref_axis',(-0.833659859749778,0.552278225391859,0.)); #404957=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #404958=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #404959=DIRECTION('',(0.,0.,1.)); #404960=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #404961=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #404962=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #404963=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #404964=DIRECTION('',(0.,0.,1.)); #404965=DIRECTION('center_axis',(0.382688667805837,0.923877363903345,0.)); #404966=DIRECTION('ref_axis',(-0.923877363903345,0.382688667805837,0.)); #404967=DIRECTION('',(-0.923877363903345,0.382688667805837,0.)); #404968=DIRECTION('',(-0.923877363903345,0.382688667805837,0.)); #404969=DIRECTION('',(0.,0.,1.)); #404970=DIRECTION('center_axis',(0.,1.,0.)); #404971=DIRECTION('ref_axis',(-1.,0.,0.)); #404972=DIRECTION('',(-1.,0.,0.)); #404973=DIRECTION('',(-1.,0.,0.)); #404974=DIRECTION('',(0.,0.,1.)); #404975=DIRECTION('center_axis',(0.175502059386041,0.984479063846082,0.)); #404976=DIRECTION('ref_axis',(-0.984479063846082,0.175502059386041,0.)); #404977=DIRECTION('',(-0.984479063846082,0.175502059386041,0.)); #404978=DIRECTION('',(-0.984479063846082,0.175502059386041,0.)); #404979=DIRECTION('',(0.,0.,1.)); #404980=DIRECTION('center_axis',(0.525373540973438,0.850871695642199,0.)); #404981=DIRECTION('ref_axis',(-0.850871695642199,0.525373540973438,0.)); #404982=DIRECTION('',(-0.850871695642199,0.525373540973438,0.)); #404983=DIRECTION('',(-0.850871695642199,0.525373540973438,0.)); #404984=DIRECTION('',(0.,0.,1.)); #404985=DIRECTION('center_axis',(0.81569385217889,0.578483828224751,0.)); #404986=DIRECTION('ref_axis',(-0.578483828224751,0.81569385217889,0.)); #404987=DIRECTION('',(-0.578483828224751,0.81569385217889,0.)); #404988=DIRECTION('',(-0.578483828224751,0.81569385217889,0.)); #404989=DIRECTION('',(0.,0.,1.)); #404990=DIRECTION('center_axis',(0.976685690837557,0.214674314516579,0.)); #404991=DIRECTION('ref_axis',(-0.214674314516579,0.976685690837557,0.)); #404992=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #404993=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #404994=DIRECTION('',(0.,0.,1.)); #404995=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #404996=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #404997=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #404998=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #404999=DIRECTION('',(0.,0.,1.)); #405000=DIRECTION('center_axis',(0.83365985974971,-0.552278225391961,0.)); #405001=DIRECTION('ref_axis',(0.552278225391961,0.83365985974971,0.)); #405002=DIRECTION('',(0.552278225391961,0.83365985974971,0.)); #405003=DIRECTION('',(0.552278225391961,0.83365985974971,0.)); #405004=DIRECTION('',(0.,0.,1.)); #405005=DIRECTION('center_axis',(0.707106781186546,-0.707106781186549,0.)); #405006=DIRECTION('ref_axis',(0.707106781186549,0.707106781186546,0.)); #405007=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #405008=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #405009=DIRECTION('',(0.,0.,1.)); #405010=DIRECTION('center_axis',(0.572058771549436,-0.820212632122518,0.)); #405011=DIRECTION('ref_axis',(0.820212632122518,0.572058771549436,0.)); #405012=DIRECTION('',(0.820212632122518,0.572058771549436,0.)); #405013=DIRECTION('',(0.820212632122518,0.572058771549436,0.)); #405014=DIRECTION('center_axis',(0.,0.,1.)); #405015=DIRECTION('ref_axis',(1.,0.,0.)); #405016=DIRECTION('center_axis',(0.,0.,1.)); #405017=DIRECTION('ref_axis',(1.,0.,0.)); #405018=DIRECTION('center_axis',(0.214642857802347,-0.976692604453644,0.)); #405019=DIRECTION('ref_axis',(0.976692604453643,0.214642857802347,0.)); #405020=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #405021=DIRECTION('',(0.,0.,1.)); #405022=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #405023=DIRECTION('',(0.,0.,1.)); #405024=DIRECTION('center_axis',(-0.183302952703363,-0.983056472197924, 0.)); #405025=DIRECTION('ref_axis',(0.983056472197924,-0.183302952703363,0.)); #405026=DIRECTION('',(0.983056472197924,-0.183302952703363,0.)); #405027=DIRECTION('',(0.983056472197924,-0.183302952703363,0.)); #405028=DIRECTION('',(0.,0.,1.)); #405029=DIRECTION('center_axis',(-0.552278225391961,-0.83365985974971,0.)); #405030=DIRECTION('ref_axis',(0.83365985974971,-0.552278225391961,0.)); #405031=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #405032=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #405033=DIRECTION('',(0.,0.,1.)); #405034=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #405035=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #405036=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #405037=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #405038=DIRECTION('',(0.,0.,1.)); #405039=DIRECTION('center_axis',(-0.829203833807364,-0.558946331948935, 0.)); #405040=DIRECTION('ref_axis',(0.558946331948935,-0.829203833807364,0.)); #405041=DIRECTION('',(0.558946331948935,-0.829203833807364,0.)); #405042=DIRECTION('',(0.558946331948935,-0.829203833807364,0.)); #405043=DIRECTION('',(0.,0.,1.)); #405044=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #405045=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #405046=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #405047=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #405048=DIRECTION('',(0.,0.,1.)); #405049=DIRECTION('center_axis',(-1.,0.,0.)); #405050=DIRECTION('ref_axis',(0.,-1.,0.)); #405051=DIRECTION('',(0.,-1.,0.)); #405052=DIRECTION('',(0.,-1.,0.)); #405053=DIRECTION('',(0.,0.,1.)); #405054=DIRECTION('center_axis',(-0.984479063846077,0.17550205938607,0.)); #405055=DIRECTION('ref_axis',(-0.17550205938607,-0.984479063846077,0.)); #405056=DIRECTION('',(-0.17550205938607,-0.984479063846077,0.)); #405057=DIRECTION('',(-0.17550205938607,-0.984479063846077,0.)); #405058=DIRECTION('',(0.,0.,1.)); #405059=DIRECTION('center_axis',(-0.850871695642118,0.525373540973569,0.)); #405060=DIRECTION('ref_axis',(-0.525373540973569,-0.850871695642118,0.)); #405061=DIRECTION('',(-0.525373540973569,-0.850871695642118,0.)); #405062=DIRECTION('',(-0.525373540973569,-0.850871695642118,0.)); #405063=DIRECTION('',(0.,0.,1.)); #405064=DIRECTION('center_axis',(-0.578483828224848,0.815693852178821,0.)); #405065=DIRECTION('ref_axis',(-0.815693852178821,-0.578483828224848,0.)); #405066=DIRECTION('',(-0.815693852178821,-0.578483828224848,0.)); #405067=DIRECTION('',(-0.815693852178821,-0.578483828224848,0.)); #405068=DIRECTION('',(0.,0.,1.)); #405069=DIRECTION('center_axis',(-0.214674314516719,0.976685690837527,0.)); #405070=DIRECTION('ref_axis',(-0.976685690837527,-0.214674314516719,0.)); #405071=DIRECTION('',(-0.976685690837527,-0.214674314516719,0.)); #405072=DIRECTION('',(-0.976685690837527,-0.214674314516719,0.)); #405073=DIRECTION('',(0.,0.,1.)); #405074=DIRECTION('center_axis',(0.183334818573646,0.98305052988062,0.)); #405075=DIRECTION('ref_axis',(-0.98305052988062,0.183334818573646,0.)); #405076=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #405077=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #405078=DIRECTION('',(0.,0.,1.)); #405079=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #405080=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #405081=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #405082=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #405083=DIRECTION('',(0.,0.,1.)); #405084=DIRECTION('center_axis',(0.707106781186554,0.707106781186541,0.)); #405085=DIRECTION('ref_axis',(-0.707106781186541,0.707106781186554,0.)); #405086=DIRECTION('',(-0.707106781186541,0.707106781186554,0.)); #405087=DIRECTION('',(-0.707106781186541,0.707106781186554,0.)); #405088=DIRECTION('',(0.,0.,1.)); #405089=DIRECTION('center_axis',(0.829203833807341,0.558946331948969,0.)); #405090=DIRECTION('ref_axis',(-0.558946331948969,0.829203833807341,0.)); #405091=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #405092=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #405093=DIRECTION('',(0.,0.,1.)); #405094=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #405095=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #405096=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #405097=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #405098=DIRECTION('',(0.,0.,1.)); #405099=DIRECTION('center_axis',(1.,0.,0.)); #405100=DIRECTION('ref_axis',(0.,1.,0.)); #405101=DIRECTION('',(0.,1.,0.)); #405102=DIRECTION('',(0.,1.,0.)); #405103=DIRECTION('',(0.,0.,1.)); #405104=DIRECTION('center_axis',(0.981560865252946,-0.19114985692877,0.)); #405105=DIRECTION('ref_axis',(0.19114985692877,0.981560865252945,0.)); #405106=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #405107=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #405108=DIRECTION('',(0.,0.,1.)); #405109=DIRECTION('center_axis',(0.83365985974971,-0.552278225391961,0.)); #405110=DIRECTION('ref_axis',(0.552278225391961,0.83365985974971,0.)); #405111=DIRECTION('',(0.552278225391961,0.83365985974971,0.)); #405112=DIRECTION('',(0.552278225391961,0.83365985974971,0.)); #405113=DIRECTION('',(0.,0.,1.)); #405114=DIRECTION('center_axis',(0.707106781186561,-0.707106781186534,0.)); #405115=DIRECTION('ref_axis',(0.707106781186534,0.707106781186561,0.)); #405116=DIRECTION('',(0.707106781186534,0.707106781186561,0.)); #405117=DIRECTION('',(0.707106781186534,0.707106781186561,0.)); #405118=DIRECTION('',(0.,0.,1.)); #405119=DIRECTION('center_axis',(0.565439331101182,-0.82478989011981,0.)); #405120=DIRECTION('ref_axis',(0.82478989011981,0.565439331101182,0.)); #405121=DIRECTION('',(0.82478989011981,0.565439331101182,0.)); #405122=DIRECTION('',(0.82478989011981,0.565439331101182,0.)); #405123=DIRECTION('center_axis',(0.,0.,1.)); #405124=DIRECTION('ref_axis',(1.,0.,0.)); #405125=DIRECTION('center_axis',(0.,0.,1.)); #405126=DIRECTION('ref_axis',(1.,0.,0.)); #405127=DIRECTION('center_axis',(0.21467431451644,-0.976685690837588,0.)); #405128=DIRECTION('ref_axis',(0.976685690837588,0.21467431451644,0.)); #405129=DIRECTION('',(0.976685690837588,0.21467431451644,0.)); #405130=DIRECTION('',(0.,0.,1.)); #405131=DIRECTION('',(0.976685690837588,0.21467431451644,0.)); #405132=DIRECTION('',(0.,0.,1.)); #405133=DIRECTION('center_axis',(-0.183334818573531,-0.983050529880642, 0.)); #405134=DIRECTION('ref_axis',(0.983050529880642,-0.183334818573531,0.)); #405135=DIRECTION('',(0.983050529880642,-0.183334818573531,0.)); #405136=DIRECTION('',(0.983050529880642,-0.183334818573531,0.)); #405137=DIRECTION('',(0.,0.,1.)); #405138=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #405139=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #405140=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #405141=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #405142=DIRECTION('',(0.,0.,1.)); #405143=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #405144=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #405145=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #405146=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #405147=DIRECTION('',(0.,0.,1.)); #405148=DIRECTION('center_axis',(-0.829203833807364,-0.558946331948935, 0.)); #405149=DIRECTION('ref_axis',(0.558946331948935,-0.829203833807364,0.)); #405150=DIRECTION('',(0.558946331948935,-0.829203833807364,0.)); #405151=DIRECTION('',(0.558946331948935,-0.829203833807364,0.)); #405152=DIRECTION('',(0.,0.,1.)); #405153=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #405154=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #405155=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #405156=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #405157=DIRECTION('',(0.,0.,1.)); #405158=DIRECTION('center_axis',(-1.,0.,0.)); #405159=DIRECTION('ref_axis',(0.,-1.,0.)); #405160=DIRECTION('',(0.,-1.,0.)); #405161=DIRECTION('',(0.,-1.,0.)); #405162=DIRECTION('',(0.,0.,1.)); #405163=DIRECTION('center_axis',(-0.985169907360766,0.17158162381438,0.)); #405164=DIRECTION('ref_axis',(-0.17158162381438,-0.985169907360766,0.)); #405165=DIRECTION('',(-0.17158162381438,-0.985169907360766,0.)); #405166=DIRECTION('',(-0.17158162381438,-0.985169907360766,0.)); #405167=DIRECTION('',(0.,0.,1.)); #405168=DIRECTION('center_axis',(-0.854977625343709,0.518664882329267,0.)); #405169=DIRECTION('ref_axis',(-0.518664882329267,-0.854977625343709,0.)); #405170=DIRECTION('',(-0.518664882329267,-0.854977625343709,0.)); #405171=DIRECTION('',(-0.518664882329267,-0.854977625343709,0.)); #405172=DIRECTION('',(0.,0.,1.)); #405173=DIRECTION('center_axis',(-0.585108834823648,0.810954777661069,0.)); #405174=DIRECTION('ref_axis',(-0.810954777661069,-0.585108834823648,0.)); #405175=DIRECTION('',(-0.810954777661069,-0.585108834823648,0.)); #405176=DIRECTION('',(-0.810954777661069,-0.585108834823648,0.)); #405177=DIRECTION('',(0.,0.,1.)); #405178=DIRECTION('center_axis',(-0.222366385256163,0.974963174026644,0.)); #405179=DIRECTION('ref_axis',(-0.974963174026644,-0.222366385256163,0.)); #405180=DIRECTION('',(-0.974963174026644,-0.222366385256163,0.)); #405181=DIRECTION('',(-0.974963174026644,-0.222366385256163,0.)); #405182=DIRECTION('',(0.,0.,1.)); #405183=DIRECTION('center_axis',(0.175489337071246,0.9844813317551,0.)); #405184=DIRECTION('ref_axis',(-0.9844813317551,0.175489337071246,0.)); #405185=DIRECTION('',(-0.9844813317551,0.175489337071246,0.)); #405186=DIRECTION('',(-0.9844813317551,0.175489337071246,0.)); #405187=DIRECTION('',(0.,0.,1.)); #405188=DIRECTION('center_axis',(0.545604781570663,0.838042613670229,0.)); #405189=DIRECTION('ref_axis',(-0.838042613670229,0.545604781570663,0.)); #405190=DIRECTION('',(-0.838042613670229,0.545604781570663,0.)); #405191=DIRECTION('',(-0.838042613670229,0.545604781570663,0.)); #405192=DIRECTION('',(0.,0.,1.)); #405193=DIRECTION('center_axis',(0.829249817914684,0.55887810789873,0.)); #405194=DIRECTION('ref_axis',(-0.55887810789873,0.829249817914683,0.)); #405195=DIRECTION('',(-0.55887810789873,0.829249817914683,0.)); #405196=DIRECTION('',(-0.55887810789873,0.829249817914683,0.)); #405197=DIRECTION('',(0.,0.,1.)); #405198=DIRECTION('center_axis',(0.923877479452201,0.382688388850574,0.)); #405199=DIRECTION('ref_axis',(-0.382688388850574,0.923877479452201,0.)); #405200=DIRECTION('',(-0.382688388850574,0.923877479452201,0.)); #405201=DIRECTION('',(-0.382688388850574,0.923877479452201,0.)); #405202=DIRECTION('',(0.,0.,1.)); #405203=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #405204=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #405205=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #405206=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #405207=DIRECTION('',(0.,0.,1.)); #405208=DIRECTION('center_axis',(0.382688667805841,0.923877363903343,0.)); #405209=DIRECTION('ref_axis',(-0.923877363903343,0.382688667805841,0.)); #405210=DIRECTION('',(-0.923877363903343,0.382688667805841,0.)); #405211=DIRECTION('',(-0.923877363903343,0.382688667805841,0.)); #405212=DIRECTION('',(0.,0.,1.)); #405213=DIRECTION('center_axis',(0.,1.,0.)); #405214=DIRECTION('ref_axis',(-1.,0.,0.)); #405215=DIRECTION('',(-1.,0.,0.)); #405216=DIRECTION('',(-1.,0.,0.)); #405217=DIRECTION('',(0.,0.,1.)); #405218=DIRECTION('center_axis',(-0.382688667805837,0.923877363903345,0.)); #405219=DIRECTION('ref_axis',(-0.923877363903345,-0.382688667805837,0.)); #405220=DIRECTION('',(-0.923877363903345,-0.382688667805837,0.)); #405221=DIRECTION('',(-0.923877363903345,-0.382688667805837,0.)); #405222=DIRECTION('',(0.,0.,1.)); #405223=DIRECTION('center_axis',(-0.707106781186556,0.707106781186539,0.)); #405224=DIRECTION('ref_axis',(-0.707106781186539,-0.707106781186556,0.)); #405225=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #405226=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #405227=DIRECTION('',(0.,0.,1.)); #405228=DIRECTION('center_axis',(-0.572058771549325,0.820212632122596,0.)); #405229=DIRECTION('ref_axis',(-0.820212632122596,-0.572058771549325,0.)); #405230=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #405231=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #405232=DIRECTION('',(0.,0.,1.)); #405233=DIRECTION('center_axis',(-0.230196907019318,0.973144071552994,0.)); #405234=DIRECTION('ref_axis',(-0.973144071552994,-0.230196907019318,0.)); #405235=DIRECTION('',(-0.973144071552994,-0.230196907019318,0.)); #405236=DIRECTION('',(-0.973144071552994,-0.230196907019318,0.)); #405237=DIRECTION('',(0.,0.,1.)); #405238=DIRECTION('center_axis',(0.167675934908011,0.985842168327529,0.)); #405239=DIRECTION('ref_axis',(-0.985842168327529,0.167675934908011,0.)); #405240=DIRECTION('',(-0.985842168327529,0.167675934908011,0.)); #405241=DIRECTION('',(-0.985842168327529,0.167675934908011,0.)); #405242=DIRECTION('',(0.,0.,1.)); #405243=DIRECTION('center_axis',(0.538888069291905,0.842377379073562,0.)); #405244=DIRECTION('ref_axis',(-0.842377379073562,0.538888069291905,0.)); #405245=DIRECTION('',(-0.842377379073562,0.538888069291905,0.)); #405246=DIRECTION('',(-0.842377379073562,0.538888069291905,0.)); #405247=DIRECTION('',(0.,0.,1.)); #405248=DIRECTION('center_axis',(0.824756093515064,0.565488626065787,0.)); #405249=DIRECTION('ref_axis',(-0.565488626065787,0.824756093515064,0.)); #405250=DIRECTION('',(-0.565488626065787,0.824756093515064,0.)); #405251=DIRECTION('',(-0.565488626065787,0.824756093515064,0.)); #405252=DIRECTION('',(0.,0.,1.)); #405253=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #405254=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #405255=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #405256=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #405257=DIRECTION('',(0.,0.,1.)); #405258=DIRECTION('center_axis',(1.,0.,0.)); #405259=DIRECTION('ref_axis',(0.,1.,0.)); #405260=DIRECTION('',(0.,1.,0.)); #405261=DIRECTION('',(0.,1.,0.)); #405262=DIRECTION('',(0.,0.,1.)); #405263=DIRECTION('center_axis',(0.984479063846077,-0.17550205938607,0.)); #405264=DIRECTION('ref_axis',(0.17550205938607,0.984479063846077,0.)); #405265=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #405266=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #405267=DIRECTION('',(0.,0.,1.)); #405268=DIRECTION('center_axis',(0.850871695642159,-0.525373540973504,0.)); #405269=DIRECTION('ref_axis',(0.525373540973504,0.850871695642159,0.)); #405270=DIRECTION('',(0.525373540973504,0.850871695642159,0.)); #405271=DIRECTION('',(0.525373540973504,0.850871695642159,0.)); #405272=DIRECTION('',(0.,0.,1.)); #405273=DIRECTION('center_axis',(0.578483828224917,-0.815693852178772,0.)); #405274=DIRECTION('ref_axis',(0.815693852178772,0.578483828224917,0.)); #405275=DIRECTION('',(0.815693852178772,0.578483828224917,0.)); #405276=DIRECTION('',(0.815693852178772,0.578483828224917,0.)); #405277=DIRECTION('center_axis',(0.,0.,1.)); #405278=DIRECTION('ref_axis',(1.,0.,0.)); #405279=DIRECTION('center_axis',(0.,0.,1.)); #405280=DIRECTION('ref_axis',(1.,0.,0.)); #405281=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #405282=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #405283=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #405284=DIRECTION('',(0.,0.,1.)); #405285=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #405286=DIRECTION('',(0.,0.,1.)); #405287=DIRECTION('center_axis',(0.,-1.,0.)); #405288=DIRECTION('ref_axis',(1.,0.,0.)); #405289=DIRECTION('',(1.,0.,0.)); #405290=DIRECTION('',(1.,0.,0.)); #405291=DIRECTION('',(0.,0.,1.)); #405292=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #405293=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #405294=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #405295=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #405296=DIRECTION('',(0.,0.,1.)); #405297=DIRECTION('center_axis',(-0.538911466745914,-0.842362410729353, 0.)); #405298=DIRECTION('ref_axis',(0.842362410729353,-0.538911466745914,0.)); #405299=DIRECTION('',(0.842362410729353,-0.538911466745914,0.)); #405300=DIRECTION('',(0.842362410729353,-0.538911466745914,0.)); #405301=DIRECTION('',(0.,0.,1.)); #405302=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #405303=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #405304=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #405305=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #405306=DIRECTION('',(0.,0.,1.)); #405307=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #405308=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #405309=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #405310=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #405311=DIRECTION('',(0.,0.,1.)); #405312=DIRECTION('center_axis',(-1.,0.,0.)); #405313=DIRECTION('ref_axis',(0.,-1.,0.)); #405314=DIRECTION('',(0.,-1.,0.)); #405315=DIRECTION('',(0.,-1.,0.)); #405316=DIRECTION('',(0.,0.,1.)); #405317=DIRECTION('center_axis',(-0.984479063846082,0.175502059386041,0.)); #405318=DIRECTION('ref_axis',(-0.175502059386041,-0.984479063846082,0.)); #405319=DIRECTION('',(-0.175502059386041,-0.984479063846082,0.)); #405320=DIRECTION('',(-0.175502059386041,-0.984479063846082,0.)); #405321=DIRECTION('',(0.,0.,1.)); #405322=DIRECTION('center_axis',(-0.850871695642265,0.525373540973332,0.)); #405323=DIRECTION('ref_axis',(-0.525373540973332,-0.850871695642265,0.)); #405324=DIRECTION('',(-0.525373540973332,-0.850871695642265,0.)); #405325=DIRECTION('',(-0.525373540973332,-0.850871695642265,0.)); #405326=DIRECTION('',(0.,0.,1.)); #405327=DIRECTION('center_axis',(-0.578483828224751,0.81569385217889,0.)); #405328=DIRECTION('ref_axis',(-0.81569385217889,-0.578483828224751,0.)); #405329=DIRECTION('',(-0.81569385217889,-0.578483828224751,0.)); #405330=DIRECTION('',(-0.81569385217889,-0.578483828224751,0.)); #405331=DIRECTION('',(0.,0.,1.)); #405332=DIRECTION('center_axis',(-0.214674314516579,0.976685690837557,0.)); #405333=DIRECTION('ref_axis',(-0.976685690837557,-0.214674314516579,0.)); #405334=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #405335=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #405336=DIRECTION('',(0.,0.,1.)); #405337=DIRECTION('center_axis',(0.183334818573788,0.983050529880594,0.)); #405338=DIRECTION('ref_axis',(-0.983050529880594,0.183334818573788,0.)); #405339=DIRECTION('',(-0.983050529880594,0.183334818573788,0.)); #405340=DIRECTION('',(-0.983050529880594,0.183334818573788,0.)); #405341=DIRECTION('',(0.,0.,1.)); #405342=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #405343=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #405344=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #405345=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #405346=DIRECTION('',(0.,0.,1.)); #405347=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #405348=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #405349=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #405350=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #405351=DIRECTION('',(0.,0.,1.)); #405352=DIRECTION('center_axis',(0.829203833807341,0.558946331948969,0.)); #405353=DIRECTION('ref_axis',(-0.558946331948969,0.829203833807341,0.)); #405354=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #405355=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #405356=DIRECTION('',(0.,0.,1.)); #405357=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #405358=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #405359=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #405360=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #405361=DIRECTION('',(0.,0.,1.)); #405362=DIRECTION('center_axis',(1.,0.,0.)); #405363=DIRECTION('ref_axis',(0.,1.,0.)); #405364=DIRECTION('',(0.,1.,0.)); #405365=DIRECTION('',(0.,1.,0.)); #405366=DIRECTION('',(0.,0.,1.)); #405367=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #405368=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #405369=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #405370=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #405371=DIRECTION('',(0.,0.,1.)); #405372=DIRECTION('center_axis',(0.842362410729372,-0.538911466745885,0.)); #405373=DIRECTION('ref_axis',(0.538911466745885,0.842362410729372,0.)); #405374=DIRECTION('',(0.538911466745885,0.842362410729372,0.)); #405375=DIRECTION('',(0.538911466745885,0.842362410729372,0.)); #405376=DIRECTION('',(0.,0.,1.)); #405377=DIRECTION('center_axis',(0.565504004714863,-0.824745549034035,0.)); #405378=DIRECTION('ref_axis',(0.824745549034035,0.565504004714863,0.)); #405379=DIRECTION('',(0.824745549034035,0.565504004714863,0.)); #405380=DIRECTION('',(0.824745549034035,0.565504004714863,0.)); #405381=DIRECTION('center_axis',(0.,0.,1.)); #405382=DIRECTION('ref_axis',(1.,0.,0.)); #405383=DIRECTION('center_axis',(0.,0.,1.)); #405384=DIRECTION('ref_axis',(1.,0.,0.)); #405385=DIRECTION('center_axis',(0.214674314516579,-0.976685690837557,0.)); #405386=DIRECTION('ref_axis',(0.976685690837557,0.214674314516579,0.)); #405387=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #405388=DIRECTION('',(0.,0.,1.)); #405389=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #405390=DIRECTION('',(0.,0.,1.)); #405391=DIRECTION('center_axis',(-0.183334818573673,-0.983050529880615, 0.)); #405392=DIRECTION('ref_axis',(0.983050529880615,-0.183334818573673,0.)); #405393=DIRECTION('',(0.983050529880615,-0.183334818573673,0.)); #405394=DIRECTION('',(0.983050529880615,-0.183334818573673,0.)); #405395=DIRECTION('',(0.,0.,1.)); #405396=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #405397=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #405398=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #405399=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #405400=DIRECTION('',(0.,0.,1.)); #405401=DIRECTION('center_axis',(-0.707106781186554,-0.707106781186541, 0.)); #405402=DIRECTION('ref_axis',(0.707106781186541,-0.707106781186554,0.)); #405403=DIRECTION('',(0.707106781186541,-0.707106781186554,0.)); #405404=DIRECTION('',(0.707106781186541,-0.707106781186554,0.)); #405405=DIRECTION('',(0.,0.,1.)); #405406=DIRECTION('center_axis',(-0.829203833807271,-0.558946331949074, 0.)); #405407=DIRECTION('ref_axis',(0.558946331949074,-0.829203833807271,0.)); #405408=DIRECTION('',(0.558946331949074,-0.829203833807271,0.)); #405409=DIRECTION('',(0.558946331949074,-0.829203833807271,0.)); #405410=DIRECTION('',(0.,0.,1.)); #405411=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #405412=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #405413=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #405414=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #405415=DIRECTION('',(0.,0.,1.)); #405416=DIRECTION('center_axis',(-1.,0.,0.)); #405417=DIRECTION('ref_axis',(0.,-1.,0.)); #405418=DIRECTION('',(0.,-1.,0.)); #405419=DIRECTION('',(0.,-1.,0.)); #405420=DIRECTION('',(0.,0.,1.)); #405421=DIRECTION('center_axis',(-0.984479063846082,0.175502059386041,0.)); #405422=DIRECTION('ref_axis',(-0.175502059386041,-0.984479063846082,0.)); #405423=DIRECTION('',(-0.175502059386041,-0.984479063846082,0.)); #405424=DIRECTION('',(-0.175502059386041,-0.984479063846082,0.)); #405425=DIRECTION('',(0.,0.,1.)); #405426=DIRECTION('center_axis',(-0.850880796063525,0.525358802048945,0.)); #405427=DIRECTION('ref_axis',(-0.525358802048945,-0.850880796063525,0.)); #405428=DIRECTION('',(-0.525358802048945,-0.850880796063525,0.)); #405429=DIRECTION('',(-0.525358802048945,-0.850880796063525,0.)); #405430=DIRECTION('',(0.,0.,1.)); #405431=DIRECTION('center_axis',(-0.57846188858929,0.815709411156762,0.)); #405432=DIRECTION('ref_axis',(-0.815709411156762,-0.57846188858929,0.)); #405433=DIRECTION('',(-0.815709411156762,-0.57846188858929,0.)); #405434=DIRECTION('',(-0.815709411156762,-0.57846188858929,0.)); #405435=DIRECTION('',(0.,0.,1.)); #405436=DIRECTION('center_axis',(-0.21467431451661,0.976685690837551,0.)); #405437=DIRECTION('ref_axis',(-0.976685690837551,-0.21467431451661,0.)); #405438=DIRECTION('',(-0.976685690837551,-0.21467431451661,0.)); #405439=DIRECTION('',(-0.976685690837551,-0.21467431451661,0.)); #405440=DIRECTION('',(0.,0.,1.)); #405441=DIRECTION('center_axis',(0.183334818573646,0.98305052988062,0.)); #405442=DIRECTION('ref_axis',(-0.98305052988062,0.183334818573646,0.)); #405443=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #405444=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #405445=DIRECTION('',(0.,0.,1.)); #405446=DIRECTION('center_axis',(0.552278225391859,0.833659859749778,0.)); #405447=DIRECTION('ref_axis',(-0.833659859749778,0.552278225391859,0.)); #405448=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #405449=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #405450=DIRECTION('',(0.,0.,1.)); #405451=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #405452=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #405453=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #405454=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #405455=DIRECTION('',(0.,0.,1.)); #405456=DIRECTION('center_axis',(0.38268379423653,0.923879382619145,0.)); #405457=DIRECTION('ref_axis',(-0.923879382619145,0.38268379423653,0.)); #405458=DIRECTION('',(-0.923879382619145,0.38268379423653,0.)); #405459=DIRECTION('',(-0.923879382619145,0.38268379423653,0.)); #405460=DIRECTION('',(0.,0.,1.)); #405461=DIRECTION('center_axis',(0.548897495819296,0.835889669204797,0.)); #405462=DIRECTION('ref_axis',(-0.835889669204797,0.548897495819296,0.)); #405463=DIRECTION('',(-0.835889669204797,0.548897495819296,0.)); #405464=DIRECTION('',(-0.835889669204797,0.548897495819296,0.)); #405465=DIRECTION('',(0.,0.,1.)); #405466=DIRECTION('center_axis',(0.82474554903392,0.565504004715031,0.)); #405467=DIRECTION('ref_axis',(-0.565504004715031,0.82474554903392,0.)); #405468=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #405469=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #405470=DIRECTION('',(0.,0.,1.)); #405471=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #405472=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #405473=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #405474=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #405475=DIRECTION('',(0.,0.,1.)); #405476=DIRECTION('center_axis',(1.,0.,0.)); #405477=DIRECTION('ref_axis',(0.,1.,0.)); #405478=DIRECTION('',(0.,1.,0.)); #405479=DIRECTION('',(0.,1.,0.)); #405480=DIRECTION('',(0.,0.,1.)); #405481=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #405482=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #405483=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #405484=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #405485=DIRECTION('',(0.,0.,1.)); #405486=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #405487=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #405488=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #405489=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #405490=DIRECTION('',(0.,0.,1.)); #405491=DIRECTION('center_axis',(0.707108784529055,-0.707104777838364,0.)); #405492=DIRECTION('ref_axis',(0.707104777838364,0.707108784529055,0.)); #405493=DIRECTION('',(0.707104777838364,0.707108784529055,0.)); #405494=DIRECTION('',(0.707104777838364,0.707108784529055,0.)); #405495=DIRECTION('',(0.,0.,1.)); #405496=DIRECTION('center_axis',(1.,0.,0.)); #405497=DIRECTION('ref_axis',(0.,1.,0.)); #405498=DIRECTION('',(0.,1.,0.)); #405499=DIRECTION('',(0.,1.,0.)); #405500=DIRECTION('',(0.,0.,1.)); #405501=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #405502=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #405503=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #405504=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #405505=DIRECTION('',(0.,0.,1.)); #405506=DIRECTION('center_axis',(0.,1.,0.)); #405507=DIRECTION('ref_axis',(-1.,0.,0.)); #405508=DIRECTION('',(-1.,0.,0.)); #405509=DIRECTION('',(-1.,0.,0.)); #405510=DIRECTION('',(0.,0.,1.)); #405511=DIRECTION('center_axis',(0.17550205938607,0.984479063846077,0.)); #405512=DIRECTION('ref_axis',(-0.984479063846077,0.17550205938607,0.)); #405513=DIRECTION('',(-0.984479063846077,0.17550205938607,0.)); #405514=DIRECTION('',(-0.984479063846077,0.17550205938607,0.)); #405515=DIRECTION('',(0.,0.,1.)); #405516=DIRECTION('center_axis',(0.525373540973569,0.850871695642118,0.)); #405517=DIRECTION('ref_axis',(-0.850871695642118,0.525373540973569,0.)); #405518=DIRECTION('',(-0.850871695642118,0.525373540973569,0.)); #405519=DIRECTION('',(-0.850871695642118,0.525373540973569,0.)); #405520=DIRECTION('',(0.,0.,1.)); #405521=DIRECTION('center_axis',(0.815693852178821,0.578483828224848,0.)); #405522=DIRECTION('ref_axis',(-0.578483828224848,0.815693852178821,0.)); #405523=DIRECTION('',(-0.578483828224848,0.815693852178821,0.)); #405524=DIRECTION('',(-0.578483828224848,0.815693852178821,0.)); #405525=DIRECTION('',(0.,0.,1.)); #405526=DIRECTION('center_axis',(0.976692604453644,0.214642857802347,0.)); #405527=DIRECTION('ref_axis',(-0.214642857802347,0.976692604453643,0.)); #405528=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #405529=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #405530=DIRECTION('',(0.,0.,1.)); #405531=DIRECTION('center_axis',(0.983056472197924,-0.183302952703363,0.)); #405532=DIRECTION('ref_axis',(0.183302952703363,0.983056472197924,0.)); #405533=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #405534=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #405535=DIRECTION('',(0.,0.,1.)); #405536=DIRECTION('center_axis',(0.833659859749643,-0.552278225392063,0.)); #405537=DIRECTION('ref_axis',(0.552278225392063,0.833659859749643,0.)); #405538=DIRECTION('',(0.552278225392063,0.833659859749643,0.)); #405539=DIRECTION('',(0.552278225392063,0.833659859749643,0.)); #405540=DIRECTION('',(0.,0.,1.)); #405541=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #405542=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #405543=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #405544=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #405545=DIRECTION('',(0.,0.,1.)); #405546=DIRECTION('center_axis',(0.565439331101364,-0.824789890119685,0.)); #405547=DIRECTION('ref_axis',(0.824789890119685,0.565439331101364,0.)); #405548=DIRECTION('',(0.824789890119685,0.565439331101364,0.)); #405549=DIRECTION('',(0.824789890119685,0.565439331101364,0.)); #405550=DIRECTION('center_axis',(0.,0.,1.)); #405551=DIRECTION('ref_axis',(1.,0.,0.)); #405552=DIRECTION('center_axis',(0.,0.,1.)); #405553=DIRECTION('ref_axis',(1.,0.,0.)); #405554=DIRECTION('center_axis',(0.,0.,1.)); #405555=DIRECTION('ref_axis',(1.,0.,0.)); #405556=DIRECTION('center_axis',(0.,0.,1.)); #405557=DIRECTION('ref_axis',(1.,0.,0.)); #405558=DIRECTION('',(0.,0.,1.)); #405559=DIRECTION('center_axis',(0.,0.,-1.)); #405560=DIRECTION('ref_axis',(1.,0.,0.)); #405561=DIRECTION('center_axis',(0.,0.,1.)); #405562=DIRECTION('ref_axis',(1.,0.,0.)); #405563=DIRECTION('center_axis',(0.,0.,1.)); #405564=DIRECTION('ref_axis',(1.,0.,0.)); #405565=DIRECTION('',(0.,0.,1.)); #405566=DIRECTION('center_axis',(0.,0.,-1.)); #405567=DIRECTION('ref_axis',(1.,0.,0.)); #405568=DIRECTION('center_axis',(0.,0.,1.)); #405569=DIRECTION('ref_axis',(1.,0.,0.)); #405570=DIRECTION('center_axis',(0.,0.,1.)); #405571=DIRECTION('ref_axis',(1.,0.,0.)); #405572=DIRECTION('',(0.,0.,1.)); #405573=DIRECTION('center_axis',(0.,0.,-1.)); #405574=DIRECTION('ref_axis',(1.,0.,0.)); #405575=DIRECTION('center_axis',(0.198956019232571,-0.980008419561347,0.)); #405576=DIRECTION('ref_axis',(0.980008419561347,0.198956019232571,0.)); #405577=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #405578=DIRECTION('',(0.,0.,1.)); #405579=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #405580=DIRECTION('',(0.,0.,1.)); #405581=DIRECTION('center_axis',(0.,-1.,0.)); #405582=DIRECTION('ref_axis',(1.,0.,0.)); #405583=DIRECTION('',(1.,0.,0.)); #405584=DIRECTION('',(1.,0.,0.)); #405585=DIRECTION('',(0.,0.,1.)); #405586=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #405587=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #405588=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #405589=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #405590=DIRECTION('',(0.,0.,1.)); #405591=DIRECTION('center_axis',(-0.55226304508323,-0.833669916115124,0.)); #405592=DIRECTION('ref_axis',(0.833669916115124,-0.55226304508323,0.)); #405593=DIRECTION('',(0.833669916115124,-0.55226304508323,0.)); #405594=DIRECTION('',(0.833669916115124,-0.55226304508323,0.)); #405595=DIRECTION('',(0.,0.,1.)); #405596=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #405597=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #405598=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #405599=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #405600=DIRECTION('',(0.,0.,1.)); #405601=DIRECTION('center_axis',(-0.829214556504256,-0.558930424365547, 0.)); #405602=DIRECTION('ref_axis',(0.558930424365547,-0.829214556504256,0.)); #405603=DIRECTION('',(0.558930424365547,-0.829214556504256,0.)); #405604=DIRECTION('',(0.558930424365547,-0.829214556504256,0.)); #405605=DIRECTION('',(0.,0.,1.)); #405606=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #405607=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #405608=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #405609=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #405610=DIRECTION('',(0.,0.,1.)); #405611=DIRECTION('center_axis',(-1.,0.,0.)); #405612=DIRECTION('ref_axis',(0.,-1.,0.)); #405613=DIRECTION('',(0.,-1.,0.)); #405614=DIRECTION('',(0.,-1.,0.)); #405615=DIRECTION('',(0.,0.,1.)); #405616=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #405617=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #405618=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #405619=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #405620=DIRECTION('',(0.,0.,1.)); #405621=DIRECTION('center_axis',(0.,-1.,0.)); #405622=DIRECTION('ref_axis',(1.,0.,0.)); #405623=DIRECTION('',(1.,0.,0.)); #405624=DIRECTION('',(1.,0.,0.)); #405625=DIRECTION('',(0.,0.,1.)); #405626=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #405627=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #405628=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #405629=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #405630=DIRECTION('',(0.,0.,1.)); #405631=DIRECTION('center_axis',(1.,0.,0.)); #405632=DIRECTION('ref_axis',(0.,1.,0.)); #405633=DIRECTION('',(0.,1.,0.)); #405634=DIRECTION('',(0.,1.,0.)); #405635=DIRECTION('',(0.,0.,1.)); #405636=DIRECTION('center_axis',(0.983056036329382,-0.183305290257444,0.)); #405637=DIRECTION('ref_axis',(0.183305290257444,0.983056036329381,0.)); #405638=DIRECTION('',(0.183305290257444,0.983056036329381,0.)); #405639=DIRECTION('',(0.183305290257444,0.983056036329381,0.)); #405640=DIRECTION('',(0.,0.,1.)); #405641=DIRECTION('center_axis',(0.842362410729372,-0.538911466745885,0.)); #405642=DIRECTION('ref_axis',(0.538911466745885,0.842362410729372,0.)); #405643=DIRECTION('',(0.538911466745885,0.842362410729372,0.)); #405644=DIRECTION('',(0.538911466745885,0.842362410729372,0.)); #405645=DIRECTION('',(0.,0.,1.)); #405646=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #405647=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #405648=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #405649=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #405650=DIRECTION('',(0.,0.,1.)); #405651=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #405652=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #405653=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #405654=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #405655=DIRECTION('',(0.,0.,1.)); #405656=DIRECTION('center_axis',(0.,-1.,0.)); #405657=DIRECTION('ref_axis',(1.,0.,0.)); #405658=DIRECTION('',(1.,0.,0.)); #405659=DIRECTION('',(1.,0.,0.)); #405660=DIRECTION('',(0.,0.,1.)); #405661=DIRECTION('center_axis',(-0.191149856928945,-0.981560865252911, 0.)); #405662=DIRECTION('ref_axis',(0.981560865252911,-0.191149856928945,0.)); #405663=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #405664=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #405665=DIRECTION('',(0.,0.,1.)); #405666=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #405667=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #405668=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #405669=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #405670=DIRECTION('',(0.,0.,1.)); #405671=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #405672=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #405673=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #405674=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #405675=DIRECTION('',(0.,0.,1.)); #405676=DIRECTION('center_axis',(-0.829203833807412,-0.558946331948864, 0.)); #405677=DIRECTION('ref_axis',(0.558946331948864,-0.829203833807412,0.)); #405678=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #405679=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #405680=DIRECTION('',(0.,0.,1.)); #405681=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #405682=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #405683=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #405684=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #405685=DIRECTION('',(0.,0.,1.)); #405686=DIRECTION('center_axis',(-1.,0.,0.)); #405687=DIRECTION('ref_axis',(0.,-1.,0.)); #405688=DIRECTION('',(0.,-1.,0.)); #405689=DIRECTION('',(0.,-1.,0.)); #405690=DIRECTION('',(0.,0.,1.)); #405691=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #405692=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #405693=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #405694=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #405695=DIRECTION('',(0.,0.,1.)); #405696=DIRECTION('center_axis',(0.,-1.,0.)); #405697=DIRECTION('ref_axis',(1.,0.,0.)); #405698=DIRECTION('',(1.,0.,0.)); #405699=DIRECTION('',(1.,0.,0.)); #405700=DIRECTION('',(0.,0.,1.)); #405701=DIRECTION('center_axis',(-0.183305290257108,-0.983056036329444, 0.)); #405702=DIRECTION('ref_axis',(0.983056036329444,-0.183305290257108,0.)); #405703=DIRECTION('',(0.983056036329444,-0.183305290257108,0.)); #405704=DIRECTION('',(0.983056036329444,-0.183305290257108,0.)); #405705=DIRECTION('',(0.,0.,1.)); #405706=DIRECTION('center_axis',(-0.538911466746088,-0.842362410729242, 0.)); #405707=DIRECTION('ref_axis',(0.842362410729242,-0.538911466746088,0.)); #405708=DIRECTION('',(0.842362410729242,-0.538911466746088,0.)); #405709=DIRECTION('',(0.842362410729242,-0.538911466746088,0.)); #405710=DIRECTION('',(0.,0.,1.)); #405711=DIRECTION('center_axis',(-0.824745549033933,-0.565504004715012, 0.)); #405712=DIRECTION('ref_axis',(0.565504004715012,-0.824745549033933,0.)); #405713=DIRECTION('',(0.565504004715012,-0.824745549033933,0.)); #405714=DIRECTION('',(0.565504004715012,-0.824745549033933,0.)); #405715=DIRECTION('',(0.,0.,1.)); #405716=DIRECTION('center_axis',(-0.980008419561327,-0.19895601923267,0.)); #405717=DIRECTION('ref_axis',(0.19895601923267,-0.980008419561327,0.)); #405718=DIRECTION('',(0.19895601923267,-0.980008419561327,0.)); #405719=DIRECTION('',(0.19895601923267,-0.980008419561327,0.)); #405720=DIRECTION('',(0.,0.,1.)); #405721=DIRECTION('center_axis',(-1.,0.,0.)); #405722=DIRECTION('ref_axis',(0.,-1.,0.)); #405723=DIRECTION('',(0.,-1.,0.)); #405724=DIRECTION('',(0.,-1.,0.)); #405725=DIRECTION('',(0.,0.,1.)); #405726=DIRECTION('center_axis',(-0.983056036329391,0.183305290257396,0.)); #405727=DIRECTION('ref_axis',(-0.183305290257396,-0.983056036329391,0.)); #405728=DIRECTION('',(-0.183305290257396,-0.983056036329391,0.)); #405729=DIRECTION('',(-0.183305290257396,-0.983056036329391,0.)); #405730=DIRECTION('',(0.,0.,1.)); #405731=DIRECTION('center_axis',(-0.842362410729415,0.538911466745818,0.)); #405732=DIRECTION('ref_axis',(-0.538911466745818,-0.842362410729414,0.)); #405733=DIRECTION('',(-0.538911466745818,-0.842362410729415,0.)); #405734=DIRECTION('',(-0.538911466745818,-0.842362410729415,0.)); #405735=DIRECTION('',(0.,0.,1.)); #405736=DIRECTION('center_axis',(-0.565504004714963,0.824745549033967,0.)); #405737=DIRECTION('ref_axis',(-0.824745549033967,-0.565504004714963,0.)); #405738=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #405739=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #405740=DIRECTION('',(0.,0.,1.)); #405741=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #405742=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #405743=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #405744=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #405745=DIRECTION('',(0.,0.,1.)); #405746=DIRECTION('center_axis',(0.,1.,0.)); #405747=DIRECTION('ref_axis',(-1.,0.,0.)); #405748=DIRECTION('',(-1.,0.,0.)); #405749=DIRECTION('',(-1.,0.,0.)); #405750=DIRECTION('',(0.,0.,1.)); #405751=DIRECTION('center_axis',(-0.70710678118653,0.707106781186565,0.)); #405752=DIRECTION('ref_axis',(-0.707106781186565,-0.70710678118653,0.)); #405753=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #405754=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #405755=DIRECTION('',(0.,0.,1.)); #405756=DIRECTION('center_axis',(-1.,0.,0.)); #405757=DIRECTION('ref_axis',(0.,-1.,0.)); #405758=DIRECTION('',(0.,-1.,0.)); #405759=DIRECTION('',(0.,-1.,0.)); #405760=DIRECTION('',(0.,0.,1.)); #405761=DIRECTION('center_axis',(-0.981560865252946,0.19114985692877,0.)); #405762=DIRECTION('ref_axis',(-0.19114985692877,-0.981560865252945,0.)); #405763=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #405764=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #405765=DIRECTION('',(0.,0.,1.)); #405766=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #405767=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #405768=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #405769=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #405770=DIRECTION('',(0.,0.,1.)); #405771=DIRECTION('center_axis',(-0.707106781186532,0.707106781186563,0.)); #405772=DIRECTION('ref_axis',(-0.707106781186563,-0.707106781186532,0.)); #405773=DIRECTION('',(-0.707106781186563,-0.707106781186532,0.)); #405774=DIRECTION('',(-0.707106781186563,-0.707106781186532,0.)); #405775=DIRECTION('',(0.,0.,1.)); #405776=DIRECTION('center_axis',(-0.558946331949074,0.829203833807271,0.)); #405777=DIRECTION('ref_axis',(-0.829203833807271,-0.558946331949074,0.)); #405778=DIRECTION('',(-0.829203833807271,-0.558946331949074,0.)); #405779=DIRECTION('',(-0.829203833807271,-0.558946331949074,0.)); #405780=DIRECTION('',(0.,0.,1.)); #405781=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #405782=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #405783=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #405784=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #405785=DIRECTION('',(0.,0.,1.)); #405786=DIRECTION('center_axis',(0.,1.,0.)); #405787=DIRECTION('ref_axis',(-1.,0.,0.)); #405788=DIRECTION('',(-1.,0.,0.)); #405789=DIRECTION('',(-1.,0.,0.)); #405790=DIRECTION('',(0.,0.,1.)); #405791=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #405792=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #405793=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #405794=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #405795=DIRECTION('',(0.,0.,1.)); #405796=DIRECTION('center_axis',(0.538911466745847,0.842362410729396,0.)); #405797=DIRECTION('ref_axis',(-0.842362410729396,0.538911466745847,0.)); #405798=DIRECTION('',(-0.842362410729396,0.538911466745847,0.)); #405799=DIRECTION('',(-0.842362410729396,0.538911466745847,0.)); #405800=DIRECTION('',(0.,0.,1.)); #405801=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #405802=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #405803=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #405804=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #405805=DIRECTION('',(0.,0.,1.)); #405806=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #405807=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #405808=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #405809=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #405810=DIRECTION('',(0.,0.,1.)); #405811=DIRECTION('center_axis',(1.,0.,0.)); #405812=DIRECTION('ref_axis',(0.,1.,0.)); #405813=DIRECTION('',(0.,1.,0.)); #405814=DIRECTION('',(0.,1.,0.)); #405815=DIRECTION('',(0.,0.,1.)); #405816=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #405817=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #405818=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #405819=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #405820=DIRECTION('',(0.,0.,1.)); #405821=DIRECTION('center_axis',(0.,1.,0.)); #405822=DIRECTION('ref_axis',(-1.,0.,0.)); #405823=DIRECTION('',(-1.,0.,0.)); #405824=DIRECTION('',(-1.,0.,0.)); #405825=DIRECTION('',(0.,0.,1.)); #405826=DIRECTION('center_axis',(-0.70710678118653,0.707106781186565,0.)); #405827=DIRECTION('ref_axis',(-0.707106781186565,-0.70710678118653,0.)); #405828=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #405829=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #405830=DIRECTION('',(0.,0.,1.)); #405831=DIRECTION('center_axis',(-1.,0.,0.)); #405832=DIRECTION('ref_axis',(0.,-1.,0.)); #405833=DIRECTION('',(0.,-1.,0.)); #405834=DIRECTION('',(0.,-1.,0.)); #405835=DIRECTION('',(0.,0.,1.)); #405836=DIRECTION('center_axis',(-0.981560865252934,0.191149856928827,0.)); #405837=DIRECTION('ref_axis',(-0.191149856928827,-0.981560865252934,0.)); #405838=DIRECTION('',(-0.191149856928827,-0.981560865252934,0.)); #405839=DIRECTION('',(-0.191149856928827,-0.981560865252934,0.)); #405840=DIRECTION('',(0.,0.,1.)); #405841=DIRECTION('center_axis',(-0.833669916115169,0.552263045083163,0.)); #405842=DIRECTION('ref_axis',(-0.552263045083163,-0.833669916115169,0.)); #405843=DIRECTION('',(-0.552263045083163,-0.833669916115169,0.)); #405844=DIRECTION('',(-0.552263045083163,-0.833669916115169,0.)); #405845=DIRECTION('',(0.,0.,1.)); #405846=DIRECTION('center_axis',(-0.707106364293931,0.707107198078918,0.)); #405847=DIRECTION('ref_axis',(-0.707107198078918,-0.707106364293931,0.)); #405848=DIRECTION('',(-0.707107198078918,-0.707106364293931,0.)); #405849=DIRECTION('',(-0.707107198078918,-0.707106364293931,0.)); #405850=DIRECTION('',(0.,0.,1.)); #405851=DIRECTION('center_axis',(-0.565456021552409,0.824778447639196,0.)); #405852=DIRECTION('ref_axis',(-0.824778447639196,-0.565456021552409,0.)); #405853=DIRECTION('',(-0.824778447639196,-0.565456021552409,0.)); #405854=DIRECTION('',(-0.824778447639196,-0.565456021552409,0.)); #405855=DIRECTION('',(0.,0.,1.)); #405856=DIRECTION('center_axis',(-0.214667400660583,0.976687210468955,0.)); #405857=DIRECTION('ref_axis',(-0.976687210468955,-0.214667400660583,0.)); #405858=DIRECTION('',(-0.976687210468955,-0.214667400660583,0.)); #405859=DIRECTION('',(-0.976687210468955,-0.214667400660583,0.)); #405860=DIRECTION('',(0.,0.,1.)); #405861=DIRECTION('center_axis',(0.183334818573788,0.983050529880594,0.)); #405862=DIRECTION('ref_axis',(-0.983050529880594,0.183334818573788,0.)); #405863=DIRECTION('',(-0.983050529880594,0.183334818573788,0.)); #405864=DIRECTION('',(-0.983050529880594,0.183334818573788,0.)); #405865=DIRECTION('',(0.,0.,1.)); #405866=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #405867=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #405868=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #405869=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #405870=DIRECTION('',(0.,0.,1.)); #405871=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #405872=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #405873=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #405874=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #405875=DIRECTION('',(0.,0.,1.)); #405876=DIRECTION('center_axis',(0.820212632122627,0.572058771549281,0.)); #405877=DIRECTION('ref_axis',(-0.572058771549281,0.820212632122627,0.)); #405878=DIRECTION('',(-0.572058771549281,0.820212632122627,0.)); #405879=DIRECTION('',(-0.572058771549281,0.820212632122627,0.)); #405880=DIRECTION('',(0.,0.,1.)); #405881=DIRECTION('center_axis',(0.973151456886423,0.23016568371465,0.)); #405882=DIRECTION('ref_axis',(-0.23016568371465,0.973151456886423,0.)); #405883=DIRECTION('',(-0.23016568371465,0.973151456886423,0.)); #405884=DIRECTION('',(-0.23016568371465,0.973151456886423,0.)); #405885=DIRECTION('',(0.,0.,1.)); #405886=DIRECTION('center_axis',(0.985847618607394,-0.167643887112329,0.)); #405887=DIRECTION('ref_axis',(0.167643887112329,0.985847618607393,0.)); #405888=DIRECTION('',(0.167643887112329,0.985847618607393,0.)); #405889=DIRECTION('',(0.167643887112329,0.985847618607393,0.)); #405890=DIRECTION('',(0.,0.,1.)); #405891=DIRECTION('center_axis',(0.842362410729263,-0.538911466746055,0.)); #405892=DIRECTION('ref_axis',(0.538911466746055,0.842362410729263,0.)); #405893=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #405894=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #405895=DIRECTION('',(0.,0.,1.)); #405896=DIRECTION('center_axis',(0.565504004715131,-0.824745549033852,0.)); #405897=DIRECTION('ref_axis',(0.824745549033852,0.565504004715131,0.)); #405898=DIRECTION('',(0.824745549033852,0.565504004715131,0.)); #405899=DIRECTION('',(0.824745549033852,0.565504004715131,0.)); #405900=DIRECTION('',(0.,0.,1.)); #405901=DIRECTION('center_axis',(0.198956019232571,-0.980008419561347,0.)); #405902=DIRECTION('ref_axis',(0.980008419561347,0.198956019232571,0.)); #405903=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #405904=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #405905=DIRECTION('',(0.,0.,1.)); #405906=DIRECTION('center_axis',(0.,-1.,0.)); #405907=DIRECTION('ref_axis',(1.,0.,0.)); #405908=DIRECTION('',(1.,0.,0.)); #405909=DIRECTION('',(1.,0.,0.)); #405910=DIRECTION('',(0.,0.,1.)); #405911=DIRECTION('center_axis',(0.70710678118653,-0.707106781186565,0.)); #405912=DIRECTION('ref_axis',(0.707106781186565,0.70710678118653,0.)); #405913=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #405914=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #405915=DIRECTION('',(0.,0.,1.)); #405916=DIRECTION('center_axis',(1.,0.,0.)); #405917=DIRECTION('ref_axis',(0.,1.,0.)); #405918=DIRECTION('',(0.,1.,0.)); #405919=DIRECTION('',(0.,1.,0.)); #405920=DIRECTION('',(0.,0.,1.)); #405921=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #405922=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #405923=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #405924=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #405925=DIRECTION('',(0.,0.,1.)); #405926=DIRECTION('center_axis',(0.,1.,0.)); #405927=DIRECTION('ref_axis',(-1.,0.,0.)); #405928=DIRECTION('',(-1.,0.,0.)); #405929=DIRECTION('',(-1.,0.,0.)); #405930=DIRECTION('',(0.,0.,1.)); #405931=DIRECTION('center_axis',(0.191149856928917,0.981560865252917,0.)); #405932=DIRECTION('ref_axis',(-0.981560865252917,0.191149856928917,0.)); #405933=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #405934=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #405935=DIRECTION('',(0.,0.,1.)); #405936=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #405937=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #405938=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #405939=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #405940=DIRECTION('',(0.,0.,1.)); #405941=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #405942=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #405943=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #405944=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #405945=DIRECTION('',(0.,0.,1.)); #405946=DIRECTION('center_axis',(0.829203833807341,0.558946331948969,0.)); #405947=DIRECTION('ref_axis',(-0.558946331948969,0.829203833807341,0.)); #405948=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #405949=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #405950=DIRECTION('',(0.,0.,1.)); #405951=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #405952=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #405953=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #405954=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #405955=DIRECTION('',(0.,0.,1.)); #405956=DIRECTION('center_axis',(1.,0.,0.)); #405957=DIRECTION('ref_axis',(0.,1.,0.)); #405958=DIRECTION('',(0.,1.,0.)); #405959=DIRECTION('',(0.,1.,0.)); #405960=DIRECTION('',(0.,0.,1.)); #405961=DIRECTION('center_axis',(0.984479063846087,-0.175502059386013,0.)); #405962=DIRECTION('ref_axis',(0.175502059386013,0.984479063846087,0.)); #405963=DIRECTION('',(0.175502059386013,0.984479063846087,0.)); #405964=DIRECTION('',(0.175502059386013,0.984479063846087,0.)); #405965=DIRECTION('',(0.,0.,1.)); #405966=DIRECTION('center_axis',(0.85088079606363,-0.525358802048774,0.)); #405967=DIRECTION('ref_axis',(0.525358802048774,0.85088079606363,0.)); #405968=DIRECTION('',(0.525358802048774,0.85088079606363,0.)); #405969=DIRECTION('',(0.525358802048774,0.85088079606363,0.)); #405970=DIRECTION('',(0.,0.,1.)); #405971=DIRECTION('center_axis',(0.578461888589124,-0.81570941115688,0.)); #405972=DIRECTION('ref_axis',(0.81570941115688,0.578461888589123,0.)); #405973=DIRECTION('',(0.81570941115688,0.578461888589123,0.)); #405974=DIRECTION('',(0.81570941115688,0.578461888589123,0.)); #405975=DIRECTION('',(0.,0.,1.)); #405976=DIRECTION('center_axis',(0.21467431451661,-0.976685690837551,0.)); #405977=DIRECTION('ref_axis',(0.976685690837551,0.21467431451661,0.)); #405978=DIRECTION('',(0.976685690837551,0.21467431451661,0.)); #405979=DIRECTION('',(0.976685690837551,0.21467431451661,0.)); #405980=DIRECTION('',(0.,0.,1.)); #405981=DIRECTION('center_axis',(-0.183334818573505,-0.983050529880647, 0.)); #405982=DIRECTION('ref_axis',(0.983050529880647,-0.183334818573505,0.)); #405983=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #405984=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #405985=DIRECTION('',(0.,0.,1.)); #405986=DIRECTION('center_axis',(-0.552278225391995,-0.833659859749687, 0.)); #405987=DIRECTION('ref_axis',(0.833659859749687,-0.552278225391995,0.)); #405988=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #405989=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #405990=DIRECTION('',(0.,0.,1.)); #405991=DIRECTION('center_axis',(-0.707093581138329,-0.707119980988356, 0.)); #405992=DIRECTION('ref_axis',(0.707119980988356,-0.707093581138329,0.)); #405993=DIRECTION('',(0.707119980988356,-0.707093581138329,0.)); #405994=DIRECTION('',(0.707119980988356,-0.707093581138329,0.)); #405995=DIRECTION('',(0.,0.,1.)); #405996=DIRECTION('center_axis',(0.,-1.,0.)); #405997=DIRECTION('ref_axis',(1.,0.,0.)); #405998=DIRECTION('',(1.,0.,0.)); #405999=DIRECTION('',(1.,0.,0.)); #406000=DIRECTION('',(0.,0.,1.)); #406001=DIRECTION('center_axis',(0.707104777838364,-0.707108784529055,0.)); #406002=DIRECTION('ref_axis',(0.707108784529055,0.707104777838364,0.)); #406003=DIRECTION('',(0.707108784529055,0.707104777838364,0.)); #406004=DIRECTION('',(0.707108784529055,0.707104777838364,0.)); #406005=DIRECTION('',(0.,0.,1.)); #406006=DIRECTION('center_axis',(0.558946331948898,-0.829203833807389,0.)); #406007=DIRECTION('ref_axis',(0.829203833807389,0.558946331948898,0.)); #406008=DIRECTION('',(0.829203833807389,0.558946331948898,0.)); #406009=DIRECTION('',(0.829203833807389,0.558946331948898,0.)); #406010=DIRECTION('center_axis',(0.,0.,1.)); #406011=DIRECTION('ref_axis',(1.,0.,0.)); #406012=DIRECTION('center_axis',(0.,0.,1.)); #406013=DIRECTION('ref_axis',(1.,0.,0.)); #406014=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #406015=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #406016=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #406017=DIRECTION('',(0.,0.,1.)); #406018=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #406019=DIRECTION('',(0.,0.,1.)); #406020=DIRECTION('center_axis',(0.,-1.,0.)); #406021=DIRECTION('ref_axis',(1.,0.,0.)); #406022=DIRECTION('',(1.,0.,0.)); #406023=DIRECTION('',(1.,0.,0.)); #406024=DIRECTION('',(0.,0.,1.)); #406025=DIRECTION('center_axis',(-0.191149856928872,-0.981560865252926, 0.)); #406026=DIRECTION('ref_axis',(0.981560865252926,-0.191149856928872,0.)); #406027=DIRECTION('',(0.981560865252926,-0.191149856928872,0.)); #406028=DIRECTION('',(0.981560865252926,-0.191149856928872,0.)); #406029=DIRECTION('',(0.,0.,1.)); #406030=DIRECTION('center_axis',(-0.552278225391843,-0.833659859749789, 0.)); #406031=DIRECTION('ref_axis',(0.833659859749789,-0.552278225391843,0.)); #406032=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #406033=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #406034=DIRECTION('',(0.,0.,1.)); #406035=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #406036=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #406037=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #406038=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #406039=DIRECTION('',(0.,0.,1.)); #406040=DIRECTION('center_axis',(-0.829203833807412,-0.558946331948864, 0.)); #406041=DIRECTION('ref_axis',(0.558946331948864,-0.829203833807412,0.)); #406042=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #406043=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #406044=DIRECTION('',(0.,0.,1.)); #406045=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #406046=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #406047=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #406048=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #406049=DIRECTION('',(0.,0.,1.)); #406050=DIRECTION('center_axis',(-1.,0.,0.)); #406051=DIRECTION('ref_axis',(0.,-1.,0.)); #406052=DIRECTION('',(0.,-1.,0.)); #406053=DIRECTION('',(0.,-1.,0.)); #406054=DIRECTION('',(0.,0.,1.)); #406055=DIRECTION('center_axis',(-0.984479063846077,0.17550205938607,0.)); #406056=DIRECTION('ref_axis',(-0.17550205938607,-0.984479063846077,0.)); #406057=DIRECTION('',(-0.17550205938607,-0.984479063846077,0.)); #406058=DIRECTION('',(-0.17550205938607,-0.984479063846077,0.)); #406059=DIRECTION('',(0.,0.,1.)); #406060=DIRECTION('center_axis',(-0.850871695642159,0.525373540973504,0.)); #406061=DIRECTION('ref_axis',(-0.525373540973504,-0.850871695642159,0.)); #406062=DIRECTION('',(-0.525373540973504,-0.850871695642159,0.)); #406063=DIRECTION('',(-0.525373540973504,-0.850871695642159,0.)); #406064=DIRECTION('',(0.,0.,1.)); #406065=DIRECTION('center_axis',(-0.578483828224848,0.815693852178821,0.)); #406066=DIRECTION('ref_axis',(-0.815693852178821,-0.578483828224848,0.)); #406067=DIRECTION('',(-0.815693852178821,-0.578483828224848,0.)); #406068=DIRECTION('',(-0.815693852178821,-0.578483828224848,0.)); #406069=DIRECTION('',(0.,0.,1.)); #406070=DIRECTION('center_axis',(-0.214674314516579,0.976685690837557,0.)); #406071=DIRECTION('ref_axis',(-0.976685690837557,-0.214674314516579,0.)); #406072=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #406073=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #406074=DIRECTION('',(0.,0.,1.)); #406075=DIRECTION('center_axis',(0.183334818573646,0.98305052988062,0.)); #406076=DIRECTION('ref_axis',(-0.98305052988062,0.183334818573646,0.)); #406077=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #406078=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #406079=DIRECTION('',(0.,0.,1.)); #406080=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #406081=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #406082=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #406083=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #406084=DIRECTION('',(0.,0.,1.)); #406085=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #406086=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #406087=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #406088=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #406089=DIRECTION('',(0.,0.,1.)); #406090=DIRECTION('center_axis',(0.829203833807364,0.558946331948935,0.)); #406091=DIRECTION('ref_axis',(-0.558946331948935,0.829203833807364,0.)); #406092=DIRECTION('',(-0.558946331948935,0.829203833807364,0.)); #406093=DIRECTION('',(-0.558946331948935,0.829203833807364,0.)); #406094=DIRECTION('',(0.,0.,1.)); #406095=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #406096=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #406097=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #406098=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #406099=DIRECTION('',(0.,0.,1.)); #406100=DIRECTION('center_axis',(1.,0.,0.)); #406101=DIRECTION('ref_axis',(0.,1.,0.)); #406102=DIRECTION('',(0.,1.,0.)); #406103=DIRECTION('',(0.,1.,0.)); #406104=DIRECTION('',(0.,0.,1.)); #406105=DIRECTION('center_axis',(0.981560865252911,-0.191149856928945,0.)); #406106=DIRECTION('ref_axis',(0.191149856928945,0.981560865252911,0.)); #406107=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #406108=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #406109=DIRECTION('',(0.,0.,1.)); #406110=DIRECTION('center_axis',(0.833669916115192,-0.552263045083128,0.)); #406111=DIRECTION('ref_axis',(0.552263045083128,0.833669916115192,0.)); #406112=DIRECTION('',(0.552263045083128,0.833669916115192,0.)); #406113=DIRECTION('',(0.552263045083128,0.833669916115192,0.)); #406114=DIRECTION('',(0.,0.,1.)); #406115=DIRECTION('center_axis',(0.707106781186507,-0.707106781186588,0.)); #406116=DIRECTION('ref_axis',(0.707106781186588,0.707106781186507,0.)); #406117=DIRECTION('',(0.707106781186588,0.707106781186507,0.)); #406118=DIRECTION('',(0.707106781186588,0.707106781186507,0.)); #406119=DIRECTION('',(0.,0.,1.)); #406120=DIRECTION('center_axis',(1.,0.,0.)); #406121=DIRECTION('ref_axis',(0.,1.,0.)); #406122=DIRECTION('',(0.,1.,0.)); #406123=DIRECTION('',(0.,1.,0.)); #406124=DIRECTION('',(0.,0.,1.)); #406125=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #406126=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #406127=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #406128=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #406129=DIRECTION('',(0.,0.,1.)); #406130=DIRECTION('center_axis',(0.842362410729263,-0.538911466746055,0.)); #406131=DIRECTION('ref_axis',(0.538911466746055,0.842362410729263,0.)); #406132=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #406133=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #406134=DIRECTION('',(0.,0.,1.)); #406135=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #406136=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #406137=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #406138=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #406139=DIRECTION('',(0.,0.,1.)); #406140=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #406141=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #406142=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #406143=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #406144=DIRECTION('',(0.,0.,1.)); #406145=DIRECTION('center_axis',(0.,-1.,0.)); #406146=DIRECTION('ref_axis',(1.,0.,0.)); #406147=DIRECTION('',(1.,0.,0.)); #406148=DIRECTION('',(1.,0.,0.)); #406149=DIRECTION('',(0.,0.,1.)); #406150=DIRECTION('center_axis',(0.707106781186507,-0.707106781186588,0.)); #406151=DIRECTION('ref_axis',(0.707106781186588,0.707106781186507,0.)); #406152=DIRECTION('',(0.707106781186588,0.707106781186507,0.)); #406153=DIRECTION('',(0.707106781186588,0.707106781186507,0.)); #406154=DIRECTION('',(0.,0.,1.)); #406155=DIRECTION('center_axis',(0.5589304243656,-0.82921455650422,0.)); #406156=DIRECTION('ref_axis',(0.82921455650422,0.5589304243656,0.)); #406157=DIRECTION('',(0.82921455650422,0.5589304243656,0.)); #406158=DIRECTION('',(0.82921455650422,0.5589304243656,0.)); #406159=DIRECTION('center_axis',(0.,0.,1.)); #406160=DIRECTION('ref_axis',(1.,0.,0.)); #406161=DIRECTION('center_axis',(0.,0.,1.)); #406162=DIRECTION('ref_axis',(1.,0.,0.)); #406163=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #406164=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #406165=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #406166=DIRECTION('',(0.,0.,1.)); #406167=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #406168=DIRECTION('',(0.,0.,1.)); #406169=DIRECTION('center_axis',(0.,-1.,0.)); #406170=DIRECTION('ref_axis',(1.,0.,0.)); #406171=DIRECTION('',(1.,0.,0.)); #406172=DIRECTION('',(1.,0.,0.)); #406173=DIRECTION('',(0.,0.,1.)); #406174=DIRECTION('center_axis',(-0.175502059385909,-0.984479063846106, 0.)); #406175=DIRECTION('ref_axis',(0.984479063846106,-0.175502059385909,0.)); #406176=DIRECTION('',(0.984479063846106,-0.175502059385909,0.)); #406177=DIRECTION('',(0.984479063846106,-0.175502059385909,0.)); #406178=DIRECTION('',(0.,0.,1.)); #406179=DIRECTION('center_axis',(-0.525373540973544,-0.850871695642134, 0.)); #406180=DIRECTION('ref_axis',(0.850871695642134,-0.525373540973544,0.)); #406181=DIRECTION('',(0.850871695642134,-0.525373540973544,0.)); #406182=DIRECTION('',(0.850871695642134,-0.525373540973544,0.)); #406183=DIRECTION('',(0.,0.,1.)); #406184=DIRECTION('center_axis',(-0.815693852178841,-0.57848382822482,0.)); #406185=DIRECTION('ref_axis',(0.57848382822482,-0.815693852178841,0.)); #406186=DIRECTION('',(0.57848382822482,-0.815693852178841,0.)); #406187=DIRECTION('',(0.57848382822482,-0.815693852178841,0.)); #406188=DIRECTION('',(0.,0.,1.)); #406189=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #406190=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #406191=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #406192=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #406193=DIRECTION('',(0.,0.,1.)); #406194=DIRECTION('center_axis',(-0.983056472197898,0.183302952703505,0.)); #406195=DIRECTION('ref_axis',(-0.183302952703505,-0.983056472197898,0.)); #406196=DIRECTION('',(-0.183302952703505,-0.983056472197898,0.)); #406197=DIRECTION('',(-0.183302952703505,-0.983056472197898,0.)); #406198=DIRECTION('',(0.,0.,1.)); #406199=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #406200=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #406201=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #406202=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #406203=DIRECTION('',(0.,0.,1.)); #406204=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #406205=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #406206=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #406207=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #406208=DIRECTION('',(0.,0.,1.)); #406209=DIRECTION('center_axis',(-0.572058771549281,0.820212632122627,0.)); #406210=DIRECTION('ref_axis',(-0.820212632122627,-0.572058771549281,0.)); #406211=DIRECTION('',(-0.820212632122627,-0.572058771549281,0.)); #406212=DIRECTION('',(-0.820212632122627,-0.572058771549281,0.)); #406213=DIRECTION('',(0.,0.,1.)); #406214=DIRECTION('center_axis',(-0.230165683714683,0.973151456886415,0.)); #406215=DIRECTION('ref_axis',(-0.973151456886415,-0.230165683714683,0.)); #406216=DIRECTION('',(-0.973151456886415,-0.230165683714683,0.)); #406217=DIRECTION('',(-0.973151456886415,-0.230165683714683,0.)); #406218=DIRECTION('',(0.,0.,1.)); #406219=DIRECTION('center_axis',(0.167643887112304,0.985847618607398,0.)); #406220=DIRECTION('ref_axis',(-0.985847618607398,0.167643887112304,0.)); #406221=DIRECTION('',(-0.985847618607398,0.167643887112304,0.)); #406222=DIRECTION('',(-0.985847618607398,0.167643887112304,0.)); #406223=DIRECTION('',(0.,0.,1.)); #406224=DIRECTION('center_axis',(0.538911466746122,0.84236241072922,0.)); #406225=DIRECTION('ref_axis',(-0.84236241072922,0.538911466746122,0.)); #406226=DIRECTION('',(-0.84236241072922,0.538911466746122,0.)); #406227=DIRECTION('',(-0.84236241072922,0.538911466746122,0.)); #406228=DIRECTION('',(0.,0.,1.)); #406229=DIRECTION('center_axis',(0.824745549033852,0.565504004715131,0.)); #406230=DIRECTION('ref_axis',(-0.565504004715131,0.824745549033852,0.)); #406231=DIRECTION('',(-0.565504004715131,0.824745549033852,0.)); #406232=DIRECTION('',(-0.565504004715131,0.824745549033852,0.)); #406233=DIRECTION('',(0.,0.,1.)); #406234=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #406235=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #406236=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #406237=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #406238=DIRECTION('',(0.,0.,1.)); #406239=DIRECTION('center_axis',(1.,0.,0.)); #406240=DIRECTION('ref_axis',(0.,1.,0.)); #406241=DIRECTION('',(0.,1.,0.)); #406242=DIRECTION('',(0.,1.,0.)); #406243=DIRECTION('',(0.,0.,1.)); #406244=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #406245=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #406246=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #406247=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #406248=DIRECTION('',(0.,0.,1.)); #406249=DIRECTION('center_axis',(0.842362410729306,-0.538911466745989,0.)); #406250=DIRECTION('ref_axis',(0.538911466745989,0.842362410729306,0.)); #406251=DIRECTION('',(0.538911466745989,0.842362410729306,0.)); #406252=DIRECTION('',(0.538911466745989,0.842362410729306,0.)); #406253=DIRECTION('',(0.,0.,1.)); #406254=DIRECTION('center_axis',(0.565504004714931,-0.824745549033988,0.)); #406255=DIRECTION('ref_axis',(0.824745549033988,0.565504004714931,0.)); #406256=DIRECTION('',(0.824745549033988,0.565504004714931,0.)); #406257=DIRECTION('',(0.824745549033988,0.565504004714931,0.)); #406258=DIRECTION('center_axis',(0.,0.,1.)); #406259=DIRECTION('ref_axis',(1.,0.,0.)); #406260=DIRECTION('center_axis',(0.,0.,1.)); #406261=DIRECTION('ref_axis',(1.,0.,0.)); #406262=DIRECTION('center_axis',(0.,0.,1.)); #406263=DIRECTION('ref_axis',(1.,0.,0.)); #406264=DIRECTION('center_axis',(0.,0.,1.)); #406265=DIRECTION('ref_axis',(1.,0.,0.)); #406266=DIRECTION('',(0.,0.,1.)); #406267=DIRECTION('center_axis',(0.,0.,-1.)); #406268=DIRECTION('ref_axis',(1.,0.,0.)); #406269=DIRECTION('center_axis',(0.,0.,1.)); #406270=DIRECTION('ref_axis',(1.,0.,0.)); #406271=DIRECTION('center_axis',(0.,0.,1.)); #406272=DIRECTION('ref_axis',(1.,0.,0.)); #406273=DIRECTION('',(0.,0.,1.)); #406274=DIRECTION('center_axis',(0.,0.,-1.)); #406275=DIRECTION('ref_axis',(1.,0.,0.)); #406276=DIRECTION('center_axis',(0.,0.,1.)); #406277=DIRECTION('ref_axis',(1.,0.,0.)); #406278=DIRECTION('center_axis',(0.,0.,1.)); #406279=DIRECTION('ref_axis',(1.,0.,0.)); #406280=DIRECTION('',(0.,0.,1.)); #406281=DIRECTION('center_axis',(0.,0.,-1.)); #406282=DIRECTION('ref_axis',(1.,0.,0.)); #406283=DIRECTION('center_axis',(0.,0.,1.)); #406284=DIRECTION('ref_axis',(1.,0.,0.)); #406285=DIRECTION('center_axis',(0.,0.,1.)); #406286=DIRECTION('ref_axis',(1.,0.,0.)); #406287=DIRECTION('',(0.,0.,1.)); #406288=DIRECTION('center_axis',(0.,0.,-1.)); #406289=DIRECTION('ref_axis',(1.,0.,0.)); #406290=DIRECTION('center_axis',(0.,0.,1.)); #406291=DIRECTION('ref_axis',(1.,0.,0.)); #406292=DIRECTION('center_axis',(0.,0.,1.)); #406293=DIRECTION('ref_axis',(1.,0.,0.)); #406294=DIRECTION('',(0.,0.,1.)); #406295=DIRECTION('center_axis',(0.,0.,-1.)); #406296=DIRECTION('ref_axis',(1.,0.,0.)); #406297=DIRECTION('center_axis',(0.,0.,1.)); #406298=DIRECTION('ref_axis',(1.,0.,0.)); #406299=DIRECTION('center_axis',(0.,0.,1.)); #406300=DIRECTION('ref_axis',(1.,0.,0.)); #406301=DIRECTION('',(0.,0.,1.)); #406302=DIRECTION('center_axis',(0.,0.,-1.)); #406303=DIRECTION('ref_axis',(1.,0.,0.)); #406304=DIRECTION('center_axis',(0.,0.,1.)); #406305=DIRECTION('ref_axis',(1.,0.,0.)); #406306=DIRECTION('center_axis',(0.,0.,1.)); #406307=DIRECTION('ref_axis',(1.,0.,0.)); #406308=DIRECTION('',(0.,0.,1.)); #406309=DIRECTION('center_axis',(0.,0.,-1.)); #406310=DIRECTION('ref_axis',(1.,0.,0.)); #406311=DIRECTION('center_axis',(0.,0.,1.)); #406312=DIRECTION('ref_axis',(1.,0.,0.)); #406313=DIRECTION('center_axis',(0.,0.,1.)); #406314=DIRECTION('ref_axis',(1.,0.,0.)); #406315=DIRECTION('',(0.,0.,1.)); #406316=DIRECTION('center_axis',(0.,0.,-1.)); #406317=DIRECTION('ref_axis',(1.,0.,0.)); #406318=DIRECTION('center_axis',(0.,0.,1.)); #406319=DIRECTION('ref_axis',(1.,0.,0.)); #406320=DIRECTION('center_axis',(0.,0.,1.)); #406321=DIRECTION('ref_axis',(1.,0.,0.)); #406322=DIRECTION('',(0.,0.,1.)); #406323=DIRECTION('center_axis',(0.,0.,-1.)); #406324=DIRECTION('ref_axis',(1.,0.,0.)); #406325=DIRECTION('center_axis',(0.,0.,1.)); #406326=DIRECTION('ref_axis',(1.,0.,0.)); #406327=DIRECTION('center_axis',(0.,0.,1.)); #406328=DIRECTION('ref_axis',(1.,0.,0.)); #406329=DIRECTION('',(0.,0.,1.)); #406330=DIRECTION('center_axis',(0.,0.,-1.)); #406331=DIRECTION('ref_axis',(1.,0.,0.)); #406332=DIRECTION('center_axis',(0.,0.,1.)); #406333=DIRECTION('ref_axis',(1.,0.,0.)); #406334=DIRECTION('center_axis',(0.,0.,1.)); #406335=DIRECTION('ref_axis',(1.,0.,0.)); #406336=DIRECTION('',(0.,0.,1.)); #406337=DIRECTION('center_axis',(0.,0.,-1.)); #406338=DIRECTION('ref_axis',(1.,0.,0.)); #406339=DIRECTION('center_axis',(0.,0.,1.)); #406340=DIRECTION('ref_axis',(1.,0.,0.)); #406341=DIRECTION('center_axis',(0.,0.,1.)); #406342=DIRECTION('ref_axis',(1.,0.,0.)); #406343=DIRECTION('',(0.,0.,1.)); #406344=DIRECTION('center_axis',(0.,0.,-1.)); #406345=DIRECTION('ref_axis',(1.,0.,0.)); #406346=DIRECTION('center_axis',(0.,0.,1.)); #406347=DIRECTION('ref_axis',(1.,0.,0.)); #406348=DIRECTION('center_axis',(0.,0.,1.)); #406349=DIRECTION('ref_axis',(1.,0.,0.)); #406350=DIRECTION('',(0.,0.,1.)); #406351=DIRECTION('center_axis',(0.,0.,-1.)); #406352=DIRECTION('ref_axis',(1.,0.,0.)); #406353=DIRECTION('center_axis',(0.,0.,1.)); #406354=DIRECTION('ref_axis',(1.,0.,0.)); #406355=DIRECTION('center_axis',(0.,0.,1.)); #406356=DIRECTION('ref_axis',(1.,0.,0.)); #406357=DIRECTION('',(0.,0.,1.)); #406358=DIRECTION('center_axis',(0.,0.,-1.)); #406359=DIRECTION('ref_axis',(1.,0.,0.)); #406360=DIRECTION('center_axis',(0.,0.,1.)); #406361=DIRECTION('ref_axis',(1.,0.,0.)); #406362=DIRECTION('center_axis',(0.,0.,1.)); #406363=DIRECTION('ref_axis',(1.,0.,0.)); #406364=DIRECTION('',(0.,0.,1.)); #406365=DIRECTION('center_axis',(0.,0.,-1.)); #406366=DIRECTION('ref_axis',(1.,0.,0.)); #406367=DIRECTION('center_axis',(0.,0.,1.)); #406368=DIRECTION('ref_axis',(1.,0.,0.)); #406369=DIRECTION('center_axis',(0.,0.,1.)); #406370=DIRECTION('ref_axis',(1.,0.,0.)); #406371=DIRECTION('',(0.,0.,1.)); #406372=DIRECTION('center_axis',(0.,0.,-1.)); #406373=DIRECTION('ref_axis',(1.,0.,0.)); #406374=DIRECTION('center_axis',(0.,0.,1.)); #406375=DIRECTION('ref_axis',(1.,0.,0.)); #406376=DIRECTION('center_axis',(0.,0.,1.)); #406377=DIRECTION('ref_axis',(1.,0.,0.)); #406378=DIRECTION('',(0.,0.,1.)); #406379=DIRECTION('center_axis',(0.,0.,-1.)); #406380=DIRECTION('ref_axis',(1.,0.,0.)); #406381=DIRECTION('center_axis',(0.,0.,1.)); #406382=DIRECTION('ref_axis',(1.,0.,0.)); #406383=DIRECTION('center_axis',(0.,0.,1.)); #406384=DIRECTION('ref_axis',(1.,0.,0.)); #406385=DIRECTION('',(0.,0.,1.)); #406386=DIRECTION('center_axis',(0.,0.,-1.)); #406387=DIRECTION('ref_axis',(1.,0.,0.)); #406388=DIRECTION('center_axis',(0.,0.,1.)); #406389=DIRECTION('ref_axis',(1.,0.,0.)); #406390=DIRECTION('center_axis',(0.,0.,1.)); #406391=DIRECTION('ref_axis',(1.,0.,0.)); #406392=DIRECTION('',(0.,0.,1.)); #406393=DIRECTION('center_axis',(0.,0.,-1.)); #406394=DIRECTION('ref_axis',(1.,0.,0.)); #406395=DIRECTION('center_axis',(0.,0.,1.)); #406396=DIRECTION('ref_axis',(1.,0.,0.)); #406397=DIRECTION('center_axis',(0.,0.,1.)); #406398=DIRECTION('ref_axis',(1.,0.,0.)); #406399=DIRECTION('',(0.,0.,1.)); #406400=DIRECTION('center_axis',(0.,0.,-1.)); #406401=DIRECTION('ref_axis',(1.,0.,0.)); #406402=DIRECTION('center_axis',(0.,0.,1.)); #406403=DIRECTION('ref_axis',(1.,0.,0.)); #406404=DIRECTION('center_axis',(0.,0.,1.)); #406405=DIRECTION('ref_axis',(1.,0.,0.)); #406406=DIRECTION('',(0.,0.,1.)); #406407=DIRECTION('center_axis',(0.,0.,-1.)); #406408=DIRECTION('ref_axis',(1.,0.,0.)); #406409=DIRECTION('center_axis',(0.,0.,1.)); #406410=DIRECTION('ref_axis',(1.,0.,0.)); #406411=DIRECTION('center_axis',(0.,0.,1.)); #406412=DIRECTION('ref_axis',(1.,0.,0.)); #406413=DIRECTION('',(0.,0.,1.)); #406414=DIRECTION('center_axis',(0.,0.,-1.)); #406415=DIRECTION('ref_axis',(1.,0.,0.)); #406416=DIRECTION('center_axis',(0.,0.,1.)); #406417=DIRECTION('ref_axis',(1.,0.,0.)); #406418=DIRECTION('center_axis',(0.,0.,1.)); #406419=DIRECTION('ref_axis',(1.,0.,0.)); #406420=DIRECTION('',(0.,0.,1.)); #406421=DIRECTION('center_axis',(0.,0.,-1.)); #406422=DIRECTION('ref_axis',(1.,0.,0.)); #406423=DIRECTION('center_axis',(0.,0.,1.)); #406424=DIRECTION('ref_axis',(1.,0.,0.)); #406425=DIRECTION('center_axis',(0.,0.,1.)); #406426=DIRECTION('ref_axis',(1.,0.,0.)); #406427=DIRECTION('',(0.,0.,1.)); #406428=DIRECTION('center_axis',(0.,0.,-1.)); #406429=DIRECTION('ref_axis',(1.,0.,0.)); #406430=DIRECTION('center_axis',(0.,0.,1.)); #406431=DIRECTION('ref_axis',(1.,0.,0.)); #406432=DIRECTION('center_axis',(0.,0.,1.)); #406433=DIRECTION('ref_axis',(1.,0.,0.)); #406434=DIRECTION('',(0.,0.,1.)); #406435=DIRECTION('center_axis',(0.,0.,-1.)); #406436=DIRECTION('ref_axis',(1.,0.,0.)); #406437=DIRECTION('center_axis',(0.,0.,1.)); #406438=DIRECTION('ref_axis',(1.,0.,0.)); #406439=DIRECTION('center_axis',(0.,0.,1.)); #406440=DIRECTION('ref_axis',(1.,0.,0.)); #406441=DIRECTION('',(0.,0.,1.)); #406442=DIRECTION('center_axis',(0.,0.,-1.)); #406443=DIRECTION('ref_axis',(1.,0.,0.)); #406444=DIRECTION('center_axis',(0.,0.,1.)); #406445=DIRECTION('ref_axis',(1.,0.,0.)); #406446=DIRECTION('center_axis',(0.,0.,1.)); #406447=DIRECTION('ref_axis',(1.,0.,0.)); #406448=DIRECTION('',(0.,0.,1.)); #406449=DIRECTION('center_axis',(0.,0.,-1.)); #406450=DIRECTION('ref_axis',(1.,0.,0.)); #406451=DIRECTION('center_axis',(0.,0.,1.)); #406452=DIRECTION('ref_axis',(1.,0.,0.)); #406453=DIRECTION('center_axis',(0.,0.,1.)); #406454=DIRECTION('ref_axis',(1.,0.,0.)); #406455=DIRECTION('',(0.,0.,1.)); #406456=DIRECTION('center_axis',(0.,0.,-1.)); #406457=DIRECTION('ref_axis',(1.,0.,0.)); #406458=DIRECTION('center_axis',(0.,0.,1.)); #406459=DIRECTION('ref_axis',(1.,0.,0.)); #406460=DIRECTION('center_axis',(0.,0.,1.)); #406461=DIRECTION('ref_axis',(1.,0.,0.)); #406462=DIRECTION('',(0.,0.,1.)); #406463=DIRECTION('center_axis',(0.,0.,-1.)); #406464=DIRECTION('ref_axis',(1.,0.,0.)); #406465=DIRECTION('center_axis',(0.,0.,1.)); #406466=DIRECTION('ref_axis',(1.,0.,0.)); #406467=DIRECTION('center_axis',(0.,0.,1.)); #406468=DIRECTION('ref_axis',(1.,0.,0.)); #406469=DIRECTION('',(0.,0.,1.)); #406470=DIRECTION('center_axis',(0.,0.,-1.)); #406471=DIRECTION('ref_axis',(1.,0.,0.)); #406472=DIRECTION('center_axis',(0.,0.,1.)); #406473=DIRECTION('ref_axis',(1.,0.,0.)); #406474=DIRECTION('center_axis',(0.,0.,1.)); #406475=DIRECTION('ref_axis',(1.,0.,0.)); #406476=DIRECTION('',(0.,0.,1.)); #406477=DIRECTION('center_axis',(0.,0.,-1.)); #406478=DIRECTION('ref_axis',(1.,0.,0.)); #406479=DIRECTION('center_axis',(0.,0.,1.)); #406480=DIRECTION('ref_axis',(1.,0.,0.)); #406481=DIRECTION('center_axis',(0.,0.,1.)); #406482=DIRECTION('ref_axis',(1.,0.,0.)); #406483=DIRECTION('',(0.,0.,1.)); #406484=DIRECTION('center_axis',(0.,0.,-1.)); #406485=DIRECTION('ref_axis',(1.,0.,0.)); #406486=DIRECTION('center_axis',(0.,0.,1.)); #406487=DIRECTION('ref_axis',(1.,0.,0.)); #406488=DIRECTION('center_axis',(0.,0.,1.)); #406489=DIRECTION('ref_axis',(1.,0.,0.)); #406490=DIRECTION('',(0.,0.,1.)); #406491=DIRECTION('center_axis',(0.,0.,-1.)); #406492=DIRECTION('ref_axis',(1.,0.,0.)); #406493=DIRECTION('center_axis',(0.,0.,1.)); #406494=DIRECTION('ref_axis',(1.,0.,0.)); #406495=DIRECTION('center_axis',(0.,0.,1.)); #406496=DIRECTION('ref_axis',(1.,0.,0.)); #406497=DIRECTION('',(0.,0.,1.)); #406498=DIRECTION('center_axis',(0.,0.,-1.)); #406499=DIRECTION('ref_axis',(1.,0.,0.)); #406500=DIRECTION('center_axis',(0.,0.,1.)); #406501=DIRECTION('ref_axis',(1.,0.,0.)); #406502=DIRECTION('center_axis',(0.,0.,1.)); #406503=DIRECTION('ref_axis',(1.,0.,0.)); #406504=DIRECTION('',(0.,0.,1.)); #406505=DIRECTION('center_axis',(0.,0.,-1.)); #406506=DIRECTION('ref_axis',(1.,0.,0.)); #406507=DIRECTION('center_axis',(0.,0.,1.)); #406508=DIRECTION('ref_axis',(1.,0.,0.)); #406509=DIRECTION('center_axis',(0.,0.,1.)); #406510=DIRECTION('ref_axis',(1.,0.,0.)); #406511=DIRECTION('',(0.,0.,1.)); #406512=DIRECTION('center_axis',(0.,0.,-1.)); #406513=DIRECTION('ref_axis',(1.,0.,0.)); #406514=DIRECTION('center_axis',(0.,0.,1.)); #406515=DIRECTION('ref_axis',(1.,0.,0.)); #406516=DIRECTION('center_axis',(0.,0.,1.)); #406517=DIRECTION('ref_axis',(1.,0.,0.)); #406518=DIRECTION('',(0.,0.,1.)); #406519=DIRECTION('center_axis',(0.,0.,-1.)); #406520=DIRECTION('ref_axis',(1.,0.,0.)); #406521=DIRECTION('center_axis',(0.,0.,1.)); #406522=DIRECTION('ref_axis',(1.,0.,0.)); #406523=DIRECTION('center_axis',(0.,0.,1.)); #406524=DIRECTION('ref_axis',(1.,0.,0.)); #406525=DIRECTION('',(0.,0.,1.)); #406526=DIRECTION('center_axis',(0.,0.,-1.)); #406527=DIRECTION('ref_axis',(1.,0.,0.)); #406528=DIRECTION('center_axis',(0.,0.,1.)); #406529=DIRECTION('ref_axis',(1.,0.,0.)); #406530=DIRECTION('center_axis',(0.,0.,1.)); #406531=DIRECTION('ref_axis',(1.,0.,0.)); #406532=DIRECTION('',(0.,0.,1.)); #406533=DIRECTION('center_axis',(0.,0.,-1.)); #406534=DIRECTION('ref_axis',(1.,0.,0.)); #406535=DIRECTION('center_axis',(0.,0.,1.)); #406536=DIRECTION('ref_axis',(1.,0.,0.)); #406537=DIRECTION('center_axis',(0.,0.,1.)); #406538=DIRECTION('ref_axis',(1.,0.,0.)); #406539=DIRECTION('',(0.,0.,1.)); #406540=DIRECTION('center_axis',(0.,0.,-1.)); #406541=DIRECTION('ref_axis',(1.,0.,0.)); #406542=DIRECTION('center_axis',(0.,0.,1.)); #406543=DIRECTION('ref_axis',(1.,0.,0.)); #406544=DIRECTION('center_axis',(0.,0.,1.)); #406545=DIRECTION('ref_axis',(1.,0.,0.)); #406546=DIRECTION('',(0.,0.,1.)); #406547=DIRECTION('center_axis',(0.,0.,-1.)); #406548=DIRECTION('ref_axis',(1.,0.,0.)); #406549=DIRECTION('center_axis',(0.,0.,1.)); #406550=DIRECTION('ref_axis',(1.,0.,0.)); #406551=DIRECTION('center_axis',(0.,0.,1.)); #406552=DIRECTION('ref_axis',(1.,0.,0.)); #406553=DIRECTION('',(0.,0.,1.)); #406554=DIRECTION('center_axis',(0.,0.,-1.)); #406555=DIRECTION('ref_axis',(1.,0.,0.)); #406556=DIRECTION('center_axis',(0.,0.,1.)); #406557=DIRECTION('ref_axis',(1.,0.,0.)); #406558=DIRECTION('center_axis',(0.,0.,1.)); #406559=DIRECTION('ref_axis',(1.,0.,0.)); #406560=DIRECTION('',(0.,0.,1.)); #406561=DIRECTION('center_axis',(0.,0.,-1.)); #406562=DIRECTION('ref_axis',(1.,0.,0.)); #406563=DIRECTION('center_axis',(0.,0.,1.)); #406564=DIRECTION('ref_axis',(1.,0.,0.)); #406565=DIRECTION('center_axis',(0.,0.,1.)); #406566=DIRECTION('ref_axis',(1.,0.,0.)); #406567=DIRECTION('',(0.,0.,1.)); #406568=DIRECTION('center_axis',(0.,0.,-1.)); #406569=DIRECTION('ref_axis',(1.,0.,0.)); #406570=DIRECTION('center_axis',(0.,0.,1.)); #406571=DIRECTION('ref_axis',(1.,0.,0.)); #406572=DIRECTION('center_axis',(0.,0.,1.)); #406573=DIRECTION('ref_axis',(1.,0.,0.)); #406574=DIRECTION('',(0.,0.,1.)); #406575=DIRECTION('center_axis',(0.,0.,-1.)); #406576=DIRECTION('ref_axis',(1.,0.,0.)); #406577=DIRECTION('center_axis',(0.,0.,1.)); #406578=DIRECTION('ref_axis',(1.,0.,0.)); #406579=DIRECTION('center_axis',(0.,0.,1.)); #406580=DIRECTION('ref_axis',(1.,0.,0.)); #406581=DIRECTION('',(0.,0.,1.)); #406582=DIRECTION('center_axis',(0.,0.,-1.)); #406583=DIRECTION('ref_axis',(1.,0.,0.)); #406584=DIRECTION('center_axis',(0.,0.,1.)); #406585=DIRECTION('ref_axis',(1.,0.,0.)); #406586=DIRECTION('center_axis',(0.,0.,1.)); #406587=DIRECTION('ref_axis',(1.,0.,0.)); #406588=DIRECTION('',(0.,0.,1.)); #406589=DIRECTION('center_axis',(0.,0.,-1.)); #406590=DIRECTION('ref_axis',(1.,0.,0.)); #406591=DIRECTION('center_axis',(0.,0.,1.)); #406592=DIRECTION('ref_axis',(1.,0.,0.)); #406593=DIRECTION('center_axis',(0.,0.,1.)); #406594=DIRECTION('ref_axis',(1.,0.,0.)); #406595=DIRECTION('',(0.,0.,1.)); #406596=DIRECTION('center_axis',(0.,0.,-1.)); #406597=DIRECTION('ref_axis',(1.,0.,0.)); #406598=DIRECTION('center_axis',(0.,0.,1.)); #406599=DIRECTION('ref_axis',(1.,0.,0.)); #406600=DIRECTION('center_axis',(0.,0.,1.)); #406601=DIRECTION('ref_axis',(1.,0.,0.)); #406602=DIRECTION('',(0.,0.,1.)); #406603=DIRECTION('center_axis',(0.,0.,-1.)); #406604=DIRECTION('ref_axis',(1.,0.,0.)); #406605=DIRECTION('center_axis',(0.214642857802308,-0.976692604453652,0.)); #406606=DIRECTION('ref_axis',(0.976692604453652,0.214642857802308,0.)); #406607=DIRECTION('',(0.976692604453652,0.214642857802308,0.)); #406608=DIRECTION('',(0.,0.,1.)); #406609=DIRECTION('',(0.976692604453652,0.214642857802308,0.)); #406610=DIRECTION('',(0.,0.,1.)); #406611=DIRECTION('center_axis',(-0.183302952703434,-0.983056472197911, 0.)); #406612=DIRECTION('ref_axis',(0.983056472197911,-0.183302952703434,0.)); #406613=DIRECTION('',(0.983056472197911,-0.183302952703434,0.)); #406614=DIRECTION('',(0.983056472197911,-0.183302952703434,0.)); #406615=DIRECTION('',(0.,0.,1.)); #406616=DIRECTION('center_axis',(-0.552271955266247,-0.833664013512876, 0.)); #406617=DIRECTION('ref_axis',(0.833664013512876,-0.552271955266247,0.)); #406618=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #406619=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #406620=DIRECTION('',(0.,0.,1.)); #406621=DIRECTION('center_axis',(0.,-1.,0.)); #406622=DIRECTION('ref_axis',(1.,0.,0.)); #406623=DIRECTION('',(1.,0.,0.)); #406624=DIRECTION('',(1.,0.,0.)); #406625=DIRECTION('',(0.,0.,1.)); #406626=DIRECTION('center_axis',(0.565459253003187,-0.824776232194574,0.)); #406627=DIRECTION('ref_axis',(0.824776232194574,0.565459253003187,0.)); #406628=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #406629=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #406630=DIRECTION('',(0.,0.,1.)); #406631=DIRECTION('center_axis',(0.214642857802277,-0.976692604453659,0.)); #406632=DIRECTION('ref_axis',(0.976692604453659,0.214642857802277,0.)); #406633=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #406634=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #406635=DIRECTION('',(0.,0.,1.)); #406636=DIRECTION('center_axis',(-0.183302952703461,-0.983056472197906, 0.)); #406637=DIRECTION('ref_axis',(0.983056472197906,-0.183302952703461,0.)); #406638=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #406639=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #406640=DIRECTION('',(0.,0.,1.)); #406641=DIRECTION('center_axis',(-0.552271955266247,-0.833664013512876, 0.)); #406642=DIRECTION('ref_axis',(0.833664013512876,-0.552271955266247,0.)); #406643=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #406644=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #406645=DIRECTION('',(0.,0.,1.)); #406646=DIRECTION('center_axis',(0.,-1.,0.)); #406647=DIRECTION('ref_axis',(1.,0.,0.)); #406648=DIRECTION('',(1.,0.,0.)); #406649=DIRECTION('',(1.,0.,0.)); #406650=DIRECTION('',(0.,0.,1.)); #406651=DIRECTION('center_axis',(0.565459253003187,-0.824776232194574,0.)); #406652=DIRECTION('ref_axis',(0.824776232194574,0.565459253003187,0.)); #406653=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #406654=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #406655=DIRECTION('',(0.,0.,1.)); #406656=DIRECTION('center_axis',(0.214642857802277,-0.976692604453659,0.)); #406657=DIRECTION('ref_axis',(0.976692604453659,0.214642857802277,0.)); #406658=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #406659=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #406660=DIRECTION('',(0.,0.,1.)); #406661=DIRECTION('center_axis',(-0.183302952703461,-0.983056472197906, 0.)); #406662=DIRECTION('ref_axis',(0.983056472197906,-0.183302952703461,0.)); #406663=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #406664=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #406665=DIRECTION('',(0.,0.,1.)); #406666=DIRECTION('center_axis',(-0.552271955266247,-0.833664013512876, 0.)); #406667=DIRECTION('ref_axis',(0.833664013512876,-0.552271955266247,0.)); #406668=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #406669=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #406670=DIRECTION('',(0.,0.,1.)); #406671=DIRECTION('center_axis',(0.,-1.,0.)); #406672=DIRECTION('ref_axis',(1.,0.,0.)); #406673=DIRECTION('',(1.,0.,0.)); #406674=DIRECTION('',(1.,0.,0.)); #406675=DIRECTION('',(0.,0.,1.)); #406676=DIRECTION('center_axis',(0.565459253003083,-0.824776232194645,0.)); #406677=DIRECTION('ref_axis',(0.824776232194645,0.565459253003083,0.)); #406678=DIRECTION('',(0.824776232194645,0.565459253003083,0.)); #406679=DIRECTION('',(0.824776232194645,0.565459253003083,0.)); #406680=DIRECTION('',(0.,0.,1.)); #406681=DIRECTION('center_axis',(0.214642857802277,-0.976692604453659,0.)); #406682=DIRECTION('ref_axis',(0.976692604453659,0.214642857802277,0.)); #406683=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #406684=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #406685=DIRECTION('',(0.,0.,1.)); #406686=DIRECTION('center_axis',(-0.183302952703461,-0.983056472197906, 0.)); #406687=DIRECTION('ref_axis',(0.983056472197906,-0.183302952703461,0.)); #406688=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #406689=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #406690=DIRECTION('',(0.,0.,1.)); #406691=DIRECTION('center_axis',(-0.552271955266247,-0.833664013512876, 0.)); #406692=DIRECTION('ref_axis',(0.833664013512876,-0.552271955266247,0.)); #406693=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #406694=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #406695=DIRECTION('',(0.,0.,1.)); #406696=DIRECTION('center_axis',(0.,-1.,0.)); #406697=DIRECTION('ref_axis',(1.,0.,0.)); #406698=DIRECTION('',(1.,0.,0.)); #406699=DIRECTION('',(1.,0.,0.)); #406700=DIRECTION('',(0.,0.,1.)); #406701=DIRECTION('center_axis',(0.565459253003187,-0.824776232194574,0.)); #406702=DIRECTION('ref_axis',(0.824776232194574,0.565459253003187,0.)); #406703=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #406704=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #406705=DIRECTION('',(0.,0.,1.)); #406706=DIRECTION('center_axis',(0.214642857802277,-0.976692604453659,0.)); #406707=DIRECTION('ref_axis',(0.976692604453659,0.214642857802277,0.)); #406708=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #406709=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #406710=DIRECTION('',(0.,0.,1.)); #406711=DIRECTION('center_axis',(-0.183302952703461,-0.983056472197906, 0.)); #406712=DIRECTION('ref_axis',(0.983056472197906,-0.183302952703461,0.)); #406713=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #406714=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #406715=DIRECTION('',(0.,0.,1.)); #406716=DIRECTION('center_axis',(-0.552271955266247,-0.833664013512876, 0.)); #406717=DIRECTION('ref_axis',(0.833664013512876,-0.552271955266247,0.)); #406718=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #406719=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #406720=DIRECTION('',(0.,0.,1.)); #406721=DIRECTION('center_axis',(0.,-1.,0.)); #406722=DIRECTION('ref_axis',(1.,0.,0.)); #406723=DIRECTION('',(1.,0.,0.)); #406724=DIRECTION('',(1.,0.,0.)); #406725=DIRECTION('',(0.,0.,1.)); #406726=DIRECTION('center_axis',(0.565459253003083,-0.824776232194645,0.)); #406727=DIRECTION('ref_axis',(0.824776232194645,0.565459253003083,0.)); #406728=DIRECTION('',(0.824776232194645,0.565459253003083,0.)); #406729=DIRECTION('',(0.824776232194645,0.565459253003083,0.)); #406730=DIRECTION('',(0.,0.,1.)); #406731=DIRECTION('center_axis',(0.214642857802308,-0.976692604453652,0.)); #406732=DIRECTION('ref_axis',(0.976692604453652,0.214642857802308,0.)); #406733=DIRECTION('',(0.976692604453652,0.214642857802308,0.)); #406734=DIRECTION('',(0.976692604453652,0.214642857802308,0.)); #406735=DIRECTION('',(0.,0.,1.)); #406736=DIRECTION('center_axis',(-0.183302952703434,-0.983056472197911, 0.)); #406737=DIRECTION('ref_axis',(0.983056472197911,-0.183302952703434,0.)); #406738=DIRECTION('',(0.983056472197911,-0.183302952703434,0.)); #406739=DIRECTION('',(0.983056472197911,-0.183302952703434,0.)); #406740=DIRECTION('',(0.,0.,1.)); #406741=DIRECTION('center_axis',(-0.552271955266247,-0.833664013512876, 0.)); #406742=DIRECTION('ref_axis',(0.833664013512876,-0.552271955266247,0.)); #406743=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #406744=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #406745=DIRECTION('',(0.,0.,1.)); #406746=DIRECTION('center_axis',(0.,-1.,0.)); #406747=DIRECTION('ref_axis',(1.,0.,0.)); #406748=DIRECTION('',(1.,0.,0.)); #406749=DIRECTION('',(1.,0.,0.)); #406750=DIRECTION('',(0.,0.,1.)); #406751=DIRECTION('center_axis',(0.565459253003187,-0.824776232194574,0.)); #406752=DIRECTION('ref_axis',(0.824776232194574,0.565459253003187,0.)); #406753=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #406754=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #406755=DIRECTION('',(0.,0.,1.)); #406756=DIRECTION('center_axis',(0.214642857802277,-0.976692604453659,0.)); #406757=DIRECTION('ref_axis',(0.976692604453659,0.214642857802277,0.)); #406758=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #406759=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #406760=DIRECTION('',(0.,0.,1.)); #406761=DIRECTION('center_axis',(-0.183302952703461,-0.983056472197906, 0.)); #406762=DIRECTION('ref_axis',(0.983056472197906,-0.183302952703461,0.)); #406763=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #406764=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #406765=DIRECTION('',(0.,0.,1.)); #406766=DIRECTION('center_axis',(-0.552271955266247,-0.833664013512876, 0.)); #406767=DIRECTION('ref_axis',(0.833664013512876,-0.552271955266247,0.)); #406768=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #406769=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #406770=DIRECTION('',(0.,0.,1.)); #406771=DIRECTION('center_axis',(0.,-1.,0.)); #406772=DIRECTION('ref_axis',(1.,0.,0.)); #406773=DIRECTION('',(1.,0.,0.)); #406774=DIRECTION('',(1.,0.,0.)); #406775=DIRECTION('',(0.,0.,1.)); #406776=DIRECTION('center_axis',(0.565459253003187,-0.824776232194574,0.)); #406777=DIRECTION('ref_axis',(0.824776232194574,0.565459253003187,0.)); #406778=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #406779=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #406780=DIRECTION('',(0.,0.,1.)); #406781=DIRECTION('center_axis',(0.214642857802277,-0.976692604453659,0.)); #406782=DIRECTION('ref_axis',(0.976692604453659,0.214642857802277,0.)); #406783=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #406784=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #406785=DIRECTION('',(0.,0.,1.)); #406786=DIRECTION('center_axis',(-0.183302952703461,-0.983056472197906, 0.)); #406787=DIRECTION('ref_axis',(0.983056472197906,-0.183302952703461,0.)); #406788=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #406789=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #406790=DIRECTION('',(0.,0.,1.)); #406791=DIRECTION('center_axis',(-0.552271955266247,-0.833664013512876, 0.)); #406792=DIRECTION('ref_axis',(0.833664013512876,-0.552271955266247,0.)); #406793=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #406794=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #406795=DIRECTION('',(0.,0.,1.)); #406796=DIRECTION('center_axis',(0.,-1.,0.)); #406797=DIRECTION('ref_axis',(1.,0.,0.)); #406798=DIRECTION('',(1.,0.,0.)); #406799=DIRECTION('',(1.,0.,0.)); #406800=DIRECTION('',(0.,0.,1.)); #406801=DIRECTION('center_axis',(0.565459253003083,-0.824776232194645,0.)); #406802=DIRECTION('ref_axis',(0.824776232194645,0.565459253003083,0.)); #406803=DIRECTION('',(0.824776232194645,0.565459253003083,0.)); #406804=DIRECTION('',(0.824776232194645,0.565459253003083,0.)); #406805=DIRECTION('',(0.,0.,1.)); #406806=DIRECTION('center_axis',(0.214642857802277,-0.976692604453659,0.)); #406807=DIRECTION('ref_axis',(0.976692604453659,0.214642857802277,0.)); #406808=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #406809=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #406810=DIRECTION('',(0.,0.,1.)); #406811=DIRECTION('center_axis',(-0.183302952703461,-0.983056472197906, 0.)); #406812=DIRECTION('ref_axis',(0.983056472197906,-0.183302952703461,0.)); #406813=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #406814=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #406815=DIRECTION('',(0.,0.,1.)); #406816=DIRECTION('center_axis',(-0.552271955266247,-0.833664013512876, 0.)); #406817=DIRECTION('ref_axis',(0.833664013512876,-0.552271955266247,0.)); #406818=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #406819=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #406820=DIRECTION('',(0.,0.,1.)); #406821=DIRECTION('center_axis',(0.,-1.,0.)); #406822=DIRECTION('ref_axis',(1.,0.,0.)); #406823=DIRECTION('',(1.,0.,0.)); #406824=DIRECTION('',(1.,0.,0.)); #406825=DIRECTION('',(0.,0.,1.)); #406826=DIRECTION('center_axis',(0.565459253003187,-0.824776232194574,0.)); #406827=DIRECTION('ref_axis',(0.824776232194574,0.565459253003187,0.)); #406828=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #406829=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #406830=DIRECTION('',(0.,0.,1.)); #406831=DIRECTION('center_axis',(0.214642857802277,-0.976692604453659,0.)); #406832=DIRECTION('ref_axis',(0.976692604453659,0.214642857802277,0.)); #406833=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #406834=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #406835=DIRECTION('',(0.,0.,1.)); #406836=DIRECTION('center_axis',(-0.183302952703434,-0.983056472197911, 0.)); #406837=DIRECTION('ref_axis',(0.983056472197911,-0.183302952703434,0.)); #406838=DIRECTION('',(0.983056472197911,-0.183302952703434,0.)); #406839=DIRECTION('',(0.983056472197911,-0.183302952703434,0.)); #406840=DIRECTION('',(0.,0.,1.)); #406841=DIRECTION('center_axis',(-0.55227195526634,-0.833664013512815,0.)); #406842=DIRECTION('ref_axis',(0.833664013512814,-0.55227195526634,0.)); #406843=DIRECTION('',(0.833664013512814,-0.55227195526634,0.)); #406844=DIRECTION('',(0.833664013512814,-0.55227195526634,0.)); #406845=DIRECTION('',(0.,0.,1.)); #406846=DIRECTION('center_axis',(0.,-1.,0.)); #406847=DIRECTION('ref_axis',(1.,0.,0.)); #406848=DIRECTION('',(1.,0.,0.)); #406849=DIRECTION('',(1.,0.,0.)); #406850=DIRECTION('',(0.,0.,1.)); #406851=DIRECTION('center_axis',(0.565459253003083,-0.824776232194645,0.)); #406852=DIRECTION('ref_axis',(0.824776232194645,0.565459253003083,0.)); #406853=DIRECTION('',(0.824776232194645,0.565459253003083,0.)); #406854=DIRECTION('',(0.824776232194645,0.565459253003083,0.)); #406855=DIRECTION('',(0.,0.,1.)); #406856=DIRECTION('center_axis',(0.214642857802308,-0.976692604453652,0.)); #406857=DIRECTION('ref_axis',(0.976692604453652,0.214642857802308,0.)); #406858=DIRECTION('',(0.976692604453652,0.214642857802308,0.)); #406859=DIRECTION('',(0.976692604453652,0.214642857802308,0.)); #406860=DIRECTION('',(0.,0.,1.)); #406861=DIRECTION('center_axis',(-0.183302952703434,-0.983056472197911, 0.)); #406862=DIRECTION('ref_axis',(0.983056472197911,-0.183302952703434,0.)); #406863=DIRECTION('',(0.983056472197911,-0.183302952703434,0.)); #406864=DIRECTION('',(0.983056472197911,-0.183302952703434,0.)); #406865=DIRECTION('',(0.,0.,1.)); #406866=DIRECTION('center_axis',(-0.552271955266247,-0.833664013512876, 0.)); #406867=DIRECTION('ref_axis',(0.833664013512876,-0.552271955266247,0.)); #406868=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #406869=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #406870=DIRECTION('',(0.,0.,1.)); #406871=DIRECTION('center_axis',(0.,-1.,0.)); #406872=DIRECTION('ref_axis',(1.,0.,0.)); #406873=DIRECTION('',(1.,0.,0.)); #406874=DIRECTION('',(1.,0.,0.)); #406875=DIRECTION('',(0.,0.,1.)); #406876=DIRECTION('center_axis',(-0.183305290257339,-0.983056036329401, 0.)); #406877=DIRECTION('ref_axis',(0.983056036329401,-0.183305290257339,0.)); #406878=DIRECTION('',(0.983056036329401,-0.183305290257339,0.)); #406879=DIRECTION('',(0.983056036329401,-0.183305290257339,0.)); #406880=DIRECTION('',(0.,0.,1.)); #406881=DIRECTION('center_axis',(-0.538911466746003,-0.842362410729296, 0.)); #406882=DIRECTION('ref_axis',(0.842362410729296,-0.538911466746003,0.)); #406883=DIRECTION('',(0.842362410729296,-0.538911466746003,0.)); #406884=DIRECTION('',(0.842362410729296,-0.538911466746003,0.)); #406885=DIRECTION('',(0.,0.,1.)); #406886=DIRECTION('center_axis',(-0.824745549033943,-0.565504004714997, 0.)); #406887=DIRECTION('ref_axis',(0.565504004714997,-0.824745549033943,0.)); #406888=DIRECTION('',(0.565504004714997,-0.824745549033943,0.)); #406889=DIRECTION('',(0.565504004714997,-0.824745549033943,0.)); #406890=DIRECTION('',(0.,0.,1.)); #406891=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #406892=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #406893=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #406894=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #406895=DIRECTION('',(0.,0.,1.)); #406896=DIRECTION('center_axis',(-1.,0.,0.)); #406897=DIRECTION('ref_axis',(0.,-1.,0.)); #406898=DIRECTION('',(0.,-1.,0.)); #406899=DIRECTION('',(0.,-1.,0.)); #406900=DIRECTION('',(0.,0.,1.)); #406901=DIRECTION('center_axis',(-0.824776232194574,-0.565459253003187, 0.)); #406902=DIRECTION('ref_axis',(0.565459253003187,-0.824776232194574,0.)); #406903=DIRECTION('',(0.565459253003187,-0.824776232194574,0.)); #406904=DIRECTION('',(0.565459253003187,-0.824776232194574,0.)); #406905=DIRECTION('',(0.,0.,1.)); #406906=DIRECTION('center_axis',(-0.976692604453647,-0.214642857802332, 0.)); #406907=DIRECTION('ref_axis',(0.214642857802332,-0.976692604453647,0.)); #406908=DIRECTION('',(0.214642857802332,-0.976692604453647,0.)); #406909=DIRECTION('',(0.214642857802332,-0.976692604453647,0.)); #406910=DIRECTION('',(0.,0.,1.)); #406911=DIRECTION('center_axis',(-0.983056472197922,0.183302952703377,0.)); #406912=DIRECTION('ref_axis',(-0.183302952703377,-0.983056472197922,0.)); #406913=DIRECTION('',(-0.183302952703377,-0.983056472197922,0.)); #406914=DIRECTION('',(-0.183302952703377,-0.983056472197922,0.)); #406915=DIRECTION('',(0.,0.,1.)); #406916=DIRECTION('center_axis',(-0.833664013512753,0.552271955266433,0.)); #406917=DIRECTION('ref_axis',(-0.552271955266433,-0.833664013512753,0.)); #406918=DIRECTION('',(-0.552271955266433,-0.833664013512753,0.)); #406919=DIRECTION('',(-0.552271955266433,-0.833664013512753,0.)); #406920=DIRECTION('',(0.,0.,1.)); #406921=DIRECTION('center_axis',(-1.,0.,0.)); #406922=DIRECTION('ref_axis',(0.,-1.,0.)); #406923=DIRECTION('',(0.,-1.,0.)); #406924=DIRECTION('',(0.,-1.,0.)); #406925=DIRECTION('',(0.,0.,1.)); #406926=DIRECTION('center_axis',(-0.824776232194574,-0.565459253003187, 0.)); #406927=DIRECTION('ref_axis',(0.565459253003187,-0.824776232194574,0.)); #406928=DIRECTION('',(0.565459253003187,-0.824776232194574,0.)); #406929=DIRECTION('',(0.565459253003187,-0.824776232194574,0.)); #406930=DIRECTION('',(0.,0.,1.)); #406931=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #406932=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #406933=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #406934=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #406935=DIRECTION('',(0.,0.,1.)); #406936=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #406937=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #406938=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #406939=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #406940=DIRECTION('',(0.,0.,1.)); #406941=DIRECTION('center_axis',(-0.833664013512753,0.552271955266433,0.)); #406942=DIRECTION('ref_axis',(-0.552271955266433,-0.833664013512753,0.)); #406943=DIRECTION('',(-0.552271955266433,-0.833664013512753,0.)); #406944=DIRECTION('',(-0.552271955266433,-0.833664013512753,0.)); #406945=DIRECTION('',(0.,0.,1.)); #406946=DIRECTION('center_axis',(-1.,0.,0.)); #406947=DIRECTION('ref_axis',(0.,-1.,0.)); #406948=DIRECTION('',(0.,-1.,0.)); #406949=DIRECTION('',(0.,-1.,0.)); #406950=DIRECTION('',(0.,0.,1.)); #406951=DIRECTION('center_axis',(-0.824776232194574,-0.565459253003187, 0.)); #406952=DIRECTION('ref_axis',(0.565459253003187,-0.824776232194574,0.)); #406953=DIRECTION('',(0.565459253003187,-0.824776232194574,0.)); #406954=DIRECTION('',(0.565459253003187,-0.824776232194574,0.)); #406955=DIRECTION('',(0.,0.,1.)); #406956=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #406957=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #406958=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #406959=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #406960=DIRECTION('',(0.,0.,1.)); #406961=DIRECTION('center_axis',(-0.983056472197922,0.183302952703377,0.)); #406962=DIRECTION('ref_axis',(-0.183302952703377,-0.983056472197922,0.)); #406963=DIRECTION('',(-0.183302952703377,-0.983056472197922,0.)); #406964=DIRECTION('',(-0.183302952703377,-0.983056472197922,0.)); #406965=DIRECTION('',(0.,0.,1.)); #406966=DIRECTION('center_axis',(-0.833664013512784,0.552271955266387,0.)); #406967=DIRECTION('ref_axis',(-0.552271955266387,-0.833664013512783,0.)); #406968=DIRECTION('',(-0.552271955266387,-0.833664013512783,0.)); #406969=DIRECTION('',(-0.552271955266387,-0.833664013512783,0.)); #406970=DIRECTION('',(0.,0.,1.)); #406971=DIRECTION('center_axis',(-1.,0.,0.)); #406972=DIRECTION('ref_axis',(0.,-1.,0.)); #406973=DIRECTION('',(0.,-1.,0.)); #406974=DIRECTION('',(0.,-1.,0.)); #406975=DIRECTION('',(0.,0.,1.)); #406976=DIRECTION('center_axis',(-0.824776232194574,-0.565459253003187, 0.)); #406977=DIRECTION('ref_axis',(0.565459253003187,-0.824776232194574,0.)); #406978=DIRECTION('',(0.565459253003187,-0.824776232194574,0.)); #406979=DIRECTION('',(0.565459253003187,-0.824776232194574,0.)); #406980=DIRECTION('',(0.,0.,1.)); #406981=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #406982=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #406983=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #406984=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #406985=DIRECTION('',(0.,0.,1.)); #406986=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #406987=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #406988=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #406989=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #406990=DIRECTION('',(0.,0.,1.)); #406991=DIRECTION('center_axis',(-0.833664013512722,0.552271955266479,0.)); #406992=DIRECTION('ref_axis',(-0.552271955266479,-0.833664013512722,0.)); #406993=DIRECTION('',(-0.552271955266479,-0.833664013512722,0.)); #406994=DIRECTION('',(-0.552271955266479,-0.833664013512722,0.)); #406995=DIRECTION('',(0.,0.,1.)); #406996=DIRECTION('center_axis',(-1.,0.,0.)); #406997=DIRECTION('ref_axis',(0.,-1.,0.)); #406998=DIRECTION('',(0.,-1.,0.)); #406999=DIRECTION('',(0.,-1.,0.)); #407000=DIRECTION('',(0.,0.,1.)); #407001=DIRECTION('center_axis',(-0.82477623219461,-0.565459253003135,0.)); #407002=DIRECTION('ref_axis',(0.565459253003135,-0.82477623219461,0.)); #407003=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #407004=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #407005=DIRECTION('',(0.,0.,1.)); #407006=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #407007=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #407008=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #407009=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #407010=DIRECTION('',(0.,0.,1.)); #407011=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #407012=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #407013=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #407014=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #407015=DIRECTION('',(0.,0.,1.)); #407016=DIRECTION('center_axis',(-0.833664013512722,0.552271955266479,0.)); #407017=DIRECTION('ref_axis',(-0.552271955266479,-0.833664013512722,0.)); #407018=DIRECTION('',(-0.552271955266479,-0.833664013512722,0.)); #407019=DIRECTION('',(-0.552271955266479,-0.833664013512722,0.)); #407020=DIRECTION('',(0.,0.,1.)); #407021=DIRECTION('center_axis',(-1.,0.,0.)); #407022=DIRECTION('ref_axis',(0.,-1.,0.)); #407023=DIRECTION('',(0.,-1.,0.)); #407024=DIRECTION('',(0.,-1.,0.)); #407025=DIRECTION('',(0.,0.,1.)); #407026=DIRECTION('center_axis',(-0.707106781186556,-0.707106781186539, 0.)); #407027=DIRECTION('ref_axis',(0.707106781186539,-0.707106781186556,0.)); #407028=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #407029=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #407030=DIRECTION('',(0.,0.,1.)); #407031=DIRECTION('center_axis',(0.,-1.,0.)); #407032=DIRECTION('ref_axis',(1.,0.,0.)); #407033=DIRECTION('',(1.,0.,0.)); #407034=DIRECTION('',(1.,0.,0.)); #407035=DIRECTION('',(0.,0.,1.)); #407036=DIRECTION('center_axis',(0.707106781186556,-0.707106781186539,0.)); #407037=DIRECTION('ref_axis',(0.707106781186539,0.707106781186556,0.)); #407038=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #407039=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #407040=DIRECTION('',(0.,0.,1.)); #407041=DIRECTION('center_axis',(1.,0.,0.)); #407042=DIRECTION('ref_axis',(0.,1.,0.)); #407043=DIRECTION('',(0.,1.,0.)); #407044=DIRECTION('',(0.,1.,0.)); #407045=DIRECTION('',(0.,0.,1.)); #407046=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #407047=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #407048=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #407049=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #407050=DIRECTION('',(0.,0.,1.)); #407051=DIRECTION('center_axis',(0.842362410729308,-0.538911466745984,0.)); #407052=DIRECTION('ref_axis',(0.538911466745984,0.842362410729308,0.)); #407053=DIRECTION('',(0.538911466745984,0.842362410729308,0.)); #407054=DIRECTION('',(0.538911466745984,0.842362410729308,0.)); #407055=DIRECTION('',(0.,0.,1.)); #407056=DIRECTION('center_axis',(0.565504004715012,-0.824745549033933,0.)); #407057=DIRECTION('ref_axis',(0.824745549033933,0.565504004715012,0.)); #407058=DIRECTION('',(0.824745549033933,0.565504004715012,0.)); #407059=DIRECTION('',(0.824745549033933,0.565504004715012,0.)); #407060=DIRECTION('',(0.,0.,1.)); #407061=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #407062=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #407063=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #407064=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #407065=DIRECTION('',(0.,0.,1.)); #407066=DIRECTION('center_axis',(0.,-1.,0.)); #407067=DIRECTION('ref_axis',(1.,0.,0.)); #407068=DIRECTION('',(1.,0.,0.)); #407069=DIRECTION('',(1.,0.,0.)); #407070=DIRECTION('',(0.,0.,1.)); #407071=DIRECTION('center_axis',(-0.191149856928872,-0.981560865252926, 0.)); #407072=DIRECTION('ref_axis',(0.981560865252926,-0.191149856928872,0.)); #407073=DIRECTION('',(0.981560865252926,-0.191149856928872,0.)); #407074=DIRECTION('',(0.981560865252926,-0.191149856928872,0.)); #407075=DIRECTION('',(0.,0.,1.)); #407076=DIRECTION('center_axis',(-0.552278225391843,-0.833659859749789, 0.)); #407077=DIRECTION('ref_axis',(0.833659859749789,-0.552278225391843,0.)); #407078=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #407079=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #407080=DIRECTION('',(0.,0.,1.)); #407081=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #407082=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #407083=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #407084=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #407085=DIRECTION('',(0.,0.,1.)); #407086=DIRECTION('center_axis',(-0.829203833807388,-0.558946331948899, 0.)); #407087=DIRECTION('ref_axis',(0.558946331948899,-0.829203833807388,0.)); #407088=DIRECTION('',(0.558946331948899,-0.829203833807388,0.)); #407089=DIRECTION('',(0.558946331948899,-0.829203833807388,0.)); #407090=DIRECTION('',(0.,0.,1.)); #407091=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #407092=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #407093=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #407094=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #407095=DIRECTION('',(0.,0.,1.)); #407096=DIRECTION('center_axis',(-1.,0.,0.)); #407097=DIRECTION('ref_axis',(0.,-1.,0.)); #407098=DIRECTION('',(0.,-1.,0.)); #407099=DIRECTION('',(0.,-1.,0.)); #407100=DIRECTION('',(0.,0.,1.)); #407101=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #407102=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #407103=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #407104=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #407105=DIRECTION('',(0.,0.,1.)); #407106=DIRECTION('center_axis',(-0.842362410729308,0.538911466745984,0.)); #407107=DIRECTION('ref_axis',(-0.538911466745984,-0.842362410729308,0.)); #407108=DIRECTION('',(-0.538911466745984,-0.842362410729308,0.)); #407109=DIRECTION('',(-0.538911466745984,-0.842362410729308,0.)); #407110=DIRECTION('',(0.,0.,1.)); #407111=DIRECTION('center_axis',(-0.565504004715081,0.824745549033886,0.)); #407112=DIRECTION('ref_axis',(-0.824745549033886,-0.565504004715081,0.)); #407113=DIRECTION('',(-0.824745549033886,-0.565504004715081,0.)); #407114=DIRECTION('',(-0.824745549033886,-0.565504004715081,0.)); #407115=DIRECTION('',(0.,0.,1.)); #407116=DIRECTION('center_axis',(-0.198956019232613,0.980008419561338,0.)); #407117=DIRECTION('ref_axis',(-0.980008419561339,-0.198956019232613,0.)); #407118=DIRECTION('',(-0.980008419561338,-0.198956019232613,0.)); #407119=DIRECTION('',(-0.980008419561338,-0.198956019232613,0.)); #407120=DIRECTION('',(0.,0.,1.)); #407121=DIRECTION('center_axis',(0.,1.,0.)); #407122=DIRECTION('ref_axis',(-1.,0.,0.)); #407123=DIRECTION('',(-1.,0.,0.)); #407124=DIRECTION('',(-1.,0.,0.)); #407125=DIRECTION('',(0.,0.,1.)); #407126=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #407127=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #407128=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #407129=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #407130=DIRECTION('',(0.,0.,1.)); #407131=DIRECTION('center_axis',(-1.,0.,0.)); #407132=DIRECTION('ref_axis',(0.,-1.,0.)); #407133=DIRECTION('',(0.,-1.,0.)); #407134=DIRECTION('',(0.,-1.,0.)); #407135=DIRECTION('',(0.,0.,1.)); #407136=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #407137=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #407138=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #407139=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #407140=DIRECTION('',(0.,0.,1.)); #407141=DIRECTION('center_axis',(0.,-1.,0.)); #407142=DIRECTION('ref_axis',(1.,0.,0.)); #407143=DIRECTION('',(1.,0.,0.)); #407144=DIRECTION('',(1.,0.,0.)); #407145=DIRECTION('',(0.,0.,1.)); #407146=DIRECTION('center_axis',(-0.183305290257339,-0.983056036329401, 0.)); #407147=DIRECTION('ref_axis',(0.983056036329401,-0.183305290257339,0.)); #407148=DIRECTION('',(0.983056036329401,-0.183305290257339,0.)); #407149=DIRECTION('',(0.983056036329401,-0.183305290257339,0.)); #407150=DIRECTION('',(0.,0.,1.)); #407151=DIRECTION('center_axis',(-0.538911466746003,-0.842362410729296, 0.)); #407152=DIRECTION('ref_axis',(0.842362410729296,-0.538911466746003,0.)); #407153=DIRECTION('',(0.842362410729296,-0.538911466746003,0.)); #407154=DIRECTION('',(0.842362410729296,-0.538911466746003,0.)); #407155=DIRECTION('',(0.,0.,1.)); #407156=DIRECTION('center_axis',(-0.824745549033943,-0.565504004714997, 0.)); #407157=DIRECTION('ref_axis',(0.565504004714997,-0.824745549033943,0.)); #407158=DIRECTION('',(0.565504004714997,-0.824745549033943,0.)); #407159=DIRECTION('',(0.565504004714997,-0.824745549033943,0.)); #407160=DIRECTION('',(0.,0.,1.)); #407161=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #407162=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #407163=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #407164=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #407165=DIRECTION('',(0.,0.,1.)); #407166=DIRECTION('center_axis',(-1.,0.,0.)); #407167=DIRECTION('ref_axis',(0.,-1.,0.)); #407168=DIRECTION('',(0.,-1.,0.)); #407169=DIRECTION('',(0.,-1.,0.)); #407170=DIRECTION('',(0.,0.,1.)); #407171=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #407172=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #407173=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #407174=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #407175=DIRECTION('',(0.,0.,1.)); #407176=DIRECTION('center_axis',(-0.833659859749822,0.552278225391792,0.)); #407177=DIRECTION('ref_axis',(-0.552278225391792,-0.833659859749822,0.)); #407178=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #407179=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #407180=DIRECTION('',(0.,0.,1.)); #407181=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #407182=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #407183=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #407184=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #407185=DIRECTION('',(0.,0.,1.)); #407186=DIRECTION('center_axis',(-0.572058771549247,0.820212632122651,0.)); #407187=DIRECTION('ref_axis',(-0.820212632122651,-0.572058771549247,0.)); #407188=DIRECTION('',(-0.820212632122651,-0.572058771549247,0.)); #407189=DIRECTION('',(-0.820212632122651,-0.572058771549247,0.)); #407190=DIRECTION('',(0.,0.,1.)); #407191=DIRECTION('center_axis',(-0.230196907019457,0.973144071552962,0.)); #407192=DIRECTION('ref_axis',(-0.973144071552962,-0.230196907019457,0.)); #407193=DIRECTION('',(-0.973144071552962,-0.230196907019457,0.)); #407194=DIRECTION('',(-0.973144071552962,-0.230196907019457,0.)); #407195=DIRECTION('',(0.,0.,1.)); #407196=DIRECTION('center_axis',(0.167675934908202,0.985842168327497,0.)); #407197=DIRECTION('ref_axis',(-0.985842168327497,0.167675934908202,0.)); #407198=DIRECTION('',(-0.985842168327497,0.167675934908202,0.)); #407199=DIRECTION('',(-0.985842168327497,0.167675934908202,0.)); #407200=DIRECTION('',(0.,0.,1.)); #407201=DIRECTION('center_axis',(0.538888069291772,0.842377379073647,0.)); #407202=DIRECTION('ref_axis',(-0.842377379073647,0.538888069291772,0.)); #407203=DIRECTION('',(-0.842377379073647,0.538888069291772,0.)); #407204=DIRECTION('',(-0.842377379073647,0.538888069291772,0.)); #407205=DIRECTION('',(0.,0.,1.)); #407206=DIRECTION('center_axis',(0.824756093515085,0.565488626065756,0.)); #407207=DIRECTION('ref_axis',(-0.565488626065756,0.824756093515085,0.)); #407208=DIRECTION('',(-0.565488626065756,0.824756093515085,0.)); #407209=DIRECTION('',(-0.565488626065756,0.824756093515085,0.)); #407210=DIRECTION('',(0.,0.,1.)); #407211=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #407212=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #407213=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #407214=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #407215=DIRECTION('',(0.,0.,1.)); #407216=DIRECTION('center_axis',(1.,0.,0.)); #407217=DIRECTION('ref_axis',(0.,1.,0.)); #407218=DIRECTION('',(0.,1.,0.)); #407219=DIRECTION('',(0.,1.,0.)); #407220=DIRECTION('',(0.,0.,1.)); #407221=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #407222=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #407223=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #407224=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #407225=DIRECTION('',(0.,0.,1.)); #407226=DIRECTION('center_axis',(0.,1.,0.)); #407227=DIRECTION('ref_axis',(-1.,0.,0.)); #407228=DIRECTION('',(-1.,0.,0.)); #407229=DIRECTION('',(-1.,0.,0.)); #407230=DIRECTION('',(0.,0.,1.)); #407231=DIRECTION('center_axis',(0.191149856928872,0.981560865252926,0.)); #407232=DIRECTION('ref_axis',(-0.981560865252926,0.191149856928872,0.)); #407233=DIRECTION('',(-0.981560865252926,0.191149856928872,0.)); #407234=DIRECTION('',(-0.981560865252926,0.191149856928872,0.)); #407235=DIRECTION('',(0.,0.,1.)); #407236=DIRECTION('center_axis',(0.552263045083179,0.833669916115158,0.)); #407237=DIRECTION('ref_axis',(-0.833669916115158,0.552263045083179,0.)); #407238=DIRECTION('',(-0.833669916115158,0.552263045083179,0.)); #407239=DIRECTION('',(-0.833669916115158,0.552263045083179,0.)); #407240=DIRECTION('',(0.,0.,1.)); #407241=DIRECTION('center_axis',(0.707106781186527,0.707106781186568,0.)); #407242=DIRECTION('ref_axis',(-0.707106781186568,0.707106781186527,0.)); #407243=DIRECTION('',(-0.707106781186568,0.707106781186527,0.)); #407244=DIRECTION('',(-0.707106781186568,0.707106781186527,0.)); #407245=DIRECTION('',(0.,0.,1.)); #407246=DIRECTION('center_axis',(0.,1.,0.)); #407247=DIRECTION('ref_axis',(-1.,0.,0.)); #407248=DIRECTION('',(-1.,0.,0.)); #407249=DIRECTION('',(-1.,0.,0.)); #407250=DIRECTION('',(0.,0.,1.)); #407251=DIRECTION('center_axis',(-0.707106781186556,0.707106781186539,0.)); #407252=DIRECTION('ref_axis',(-0.707106781186539,-0.707106781186556,0.)); #407253=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #407254=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #407255=DIRECTION('',(0.,0.,1.)); #407256=DIRECTION('center_axis',(-1.,0.,0.)); #407257=DIRECTION('ref_axis',(0.,-1.,0.)); #407258=DIRECTION('',(0.,-1.,0.)); #407259=DIRECTION('',(0.,-1.,0.)); #407260=DIRECTION('',(0.,0.,1.)); #407261=DIRECTION('center_axis',(-0.82477623219461,-0.565459253003135,0.)); #407262=DIRECTION('ref_axis',(0.565459253003135,-0.82477623219461,0.)); #407263=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #407264=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #407265=DIRECTION('',(0.,0.,1.)); #407266=DIRECTION('center_axis',(-0.97669260445364,-0.214642857802362,0.)); #407267=DIRECTION('ref_axis',(0.214642857802362,-0.97669260445364,0.)); #407268=DIRECTION('',(0.214642857802362,-0.97669260445364,0.)); #407269=DIRECTION('',(0.214642857802362,-0.97669260445364,0.)); #407270=DIRECTION('',(0.,0.,1.)); #407271=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #407272=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #407273=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #407274=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #407275=DIRECTION('',(0.,0.,1.)); #407276=DIRECTION('center_axis',(-0.833664013512753,0.552271955266433,0.)); #407277=DIRECTION('ref_axis',(-0.552271955266433,-0.833664013512753,0.)); #407278=DIRECTION('',(-0.552271955266433,-0.833664013512753,0.)); #407279=DIRECTION('',(-0.552271955266433,-0.833664013512753,0.)); #407280=DIRECTION('',(0.,0.,1.)); #407281=DIRECTION('center_axis',(-1.,0.,0.)); #407282=DIRECTION('ref_axis',(0.,-1.,0.)); #407283=DIRECTION('',(0.,-1.,0.)); #407284=DIRECTION('',(0.,-1.,0.)); #407285=DIRECTION('',(0.,0.,1.)); #407286=DIRECTION('center_axis',(-0.824776232194574,-0.565459253003187, 0.)); #407287=DIRECTION('ref_axis',(0.565459253003187,-0.824776232194574,0.)); #407288=DIRECTION('',(0.565459253003187,-0.824776232194574,0.)); #407289=DIRECTION('',(0.565459253003187,-0.824776232194574,0.)); #407290=DIRECTION('',(0.,0.,1.)); #407291=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #407292=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #407293=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #407294=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #407295=DIRECTION('',(0.,0.,1.)); #407296=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #407297=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #407298=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #407299=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #407300=DIRECTION('',(0.,0.,1.)); #407301=DIRECTION('center_axis',(-0.833664013512722,0.552271955266479,0.)); #407302=DIRECTION('ref_axis',(-0.552271955266479,-0.833664013512722,0.)); #407303=DIRECTION('',(-0.552271955266479,-0.833664013512722,0.)); #407304=DIRECTION('',(-0.552271955266479,-0.833664013512722,0.)); #407305=DIRECTION('',(0.,0.,1.)); #407306=DIRECTION('center_axis',(-1.,0.,0.)); #407307=DIRECTION('ref_axis',(0.,-1.,0.)); #407308=DIRECTION('',(0.,-1.,0.)); #407309=DIRECTION('',(0.,-1.,0.)); #407310=DIRECTION('',(0.,0.,1.)); #407311=DIRECTION('center_axis',(-0.82477623219461,-0.565459253003135,0.)); #407312=DIRECTION('ref_axis',(0.565459253003135,-0.82477623219461,0.)); #407313=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #407314=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #407315=DIRECTION('',(0.,0.,1.)); #407316=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #407317=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #407318=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #407319=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #407320=DIRECTION('',(0.,0.,1.)); #407321=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #407322=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #407323=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #407324=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #407325=DIRECTION('',(0.,0.,1.)); #407326=DIRECTION('center_axis',(-0.833664013512722,0.552271955266479,0.)); #407327=DIRECTION('ref_axis',(-0.552271955266479,-0.833664013512722,0.)); #407328=DIRECTION('',(-0.552271955266479,-0.833664013512722,0.)); #407329=DIRECTION('',(-0.552271955266479,-0.833664013512722,0.)); #407330=DIRECTION('',(0.,0.,1.)); #407331=DIRECTION('center_axis',(-1.,0.,0.)); #407332=DIRECTION('ref_axis',(0.,-1.,0.)); #407333=DIRECTION('',(0.,-1.,0.)); #407334=DIRECTION('',(0.,-1.,0.)); #407335=DIRECTION('',(0.,0.,1.)); #407336=DIRECTION('center_axis',(-0.82477623219461,-0.565459253003135,0.)); #407337=DIRECTION('ref_axis',(0.565459253003135,-0.82477623219461,0.)); #407338=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #407339=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #407340=DIRECTION('',(0.,0.,1.)); #407341=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #407342=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #407343=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #407344=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #407345=DIRECTION('',(0.,0.,1.)); #407346=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #407347=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #407348=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #407349=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #407350=DIRECTION('',(0.,0.,1.)); #407351=DIRECTION('center_axis',(-0.833664013512722,0.552271955266479,0.)); #407352=DIRECTION('ref_axis',(-0.552271955266479,-0.833664013512722,0.)); #407353=DIRECTION('',(-0.552271955266479,-0.833664013512722,0.)); #407354=DIRECTION('',(-0.552271955266479,-0.833664013512722,0.)); #407355=DIRECTION('',(0.,0.,1.)); #407356=DIRECTION('center_axis',(-1.,0.,0.)); #407357=DIRECTION('ref_axis',(0.,-1.,0.)); #407358=DIRECTION('',(0.,-1.,0.)); #407359=DIRECTION('',(0.,-1.,0.)); #407360=DIRECTION('',(0.,0.,1.)); #407361=DIRECTION('center_axis',(-0.983056036329416,0.183305290257262,0.)); #407362=DIRECTION('ref_axis',(-0.183305290257262,-0.983056036329416,0.)); #407363=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #407364=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #407365=DIRECTION('',(0.,0.,1.)); #407366=DIRECTION('center_axis',(-0.842362410729284,0.538911466746022,0.)); #407367=DIRECTION('ref_axis',(-0.538911466746022,-0.842362410729284,0.)); #407368=DIRECTION('',(-0.538911466746022,-0.842362410729284,0.)); #407369=DIRECTION('',(-0.538911466746022,-0.842362410729284,0.)); #407370=DIRECTION('',(0.,0.,1.)); #407371=DIRECTION('center_axis',(-0.56550400471505,0.824745549033907,0.)); #407372=DIRECTION('ref_axis',(-0.824745549033907,-0.565504004715049,0.)); #407373=DIRECTION('',(-0.824745549033907,-0.565504004715049,0.)); #407374=DIRECTION('',(-0.824745549033907,-0.565504004715049,0.)); #407375=DIRECTION('',(0.,0.,1.)); #407376=DIRECTION('center_axis',(-0.198956019232613,0.980008419561338,0.)); #407377=DIRECTION('ref_axis',(-0.980008419561339,-0.198956019232613,0.)); #407378=DIRECTION('',(-0.980008419561338,-0.198956019232613,0.)); #407379=DIRECTION('',(-0.980008419561338,-0.198956019232613,0.)); #407380=DIRECTION('',(0.,0.,1.)); #407381=DIRECTION('center_axis',(0.,1.,0.)); #407382=DIRECTION('ref_axis',(-1.,0.,0.)); #407383=DIRECTION('',(-1.,0.,0.)); #407384=DIRECTION('',(-1.,0.,0.)); #407385=DIRECTION('',(0.,0.,1.)); #407386=DIRECTION('center_axis',(-0.565459253003083,0.824776232194645,0.)); #407387=DIRECTION('ref_axis',(-0.824776232194645,-0.565459253003083,0.)); #407388=DIRECTION('',(-0.824776232194645,-0.565459253003083,0.)); #407389=DIRECTION('',(-0.824776232194645,-0.565459253003083,0.)); #407390=DIRECTION('',(0.,0.,1.)); #407391=DIRECTION('center_axis',(-0.214642857802347,0.976692604453644,0.)); #407392=DIRECTION('ref_axis',(-0.976692604453643,-0.214642857802347,0.)); #407393=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #407394=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #407395=DIRECTION('',(0.,0.,1.)); #407396=DIRECTION('center_axis',(0.183302952703363,0.983056472197924,0.)); #407397=DIRECTION('ref_axis',(-0.983056472197924,0.183302952703363,0.)); #407398=DIRECTION('',(-0.983056472197924,0.183302952703363,0.)); #407399=DIRECTION('',(-0.983056472197924,0.183302952703363,0.)); #407400=DIRECTION('',(0.,0.,1.)); #407401=DIRECTION('center_axis',(0.552271955266479,0.833664013512722,0.)); #407402=DIRECTION('ref_axis',(-0.833664013512722,0.552271955266479,0.)); #407403=DIRECTION('',(-0.833664013512722,0.552271955266479,0.)); #407404=DIRECTION('',(-0.833664013512722,0.552271955266479,0.)); #407405=DIRECTION('',(0.,0.,1.)); #407406=DIRECTION('center_axis',(0.,1.,0.)); #407407=DIRECTION('ref_axis',(-1.,0.,0.)); #407408=DIRECTION('',(-1.,0.,0.)); #407409=DIRECTION('',(-1.,0.,0.)); #407410=DIRECTION('',(0.,0.,1.)); #407411=DIRECTION('center_axis',(-0.565459253003187,0.824776232194574,0.)); #407412=DIRECTION('ref_axis',(-0.824776232194574,-0.565459253003187,0.)); #407413=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #407414=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #407415=DIRECTION('',(0.,0.,1.)); #407416=DIRECTION('center_axis',(-0.214642857802347,0.976692604453644,0.)); #407417=DIRECTION('ref_axis',(-0.976692604453643,-0.214642857802347,0.)); #407418=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #407419=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #407420=DIRECTION('',(0.,0.,1.)); #407421=DIRECTION('center_axis',(0.18330295270339,0.983056472197919,0.)); #407422=DIRECTION('ref_axis',(-0.98305647219792,0.18330295270339,0.)); #407423=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #407424=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #407425=DIRECTION('',(0.,0.,1.)); #407426=DIRECTION('center_axis',(0.552271955266387,0.833664013512784,0.)); #407427=DIRECTION('ref_axis',(-0.833664013512783,0.552271955266387,0.)); #407428=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #407429=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #407430=DIRECTION('',(0.,0.,1.)); #407431=DIRECTION('center_axis',(0.,1.,0.)); #407432=DIRECTION('ref_axis',(-1.,0.,0.)); #407433=DIRECTION('',(-1.,0.,0.)); #407434=DIRECTION('',(-1.,0.,0.)); #407435=DIRECTION('',(0.,0.,1.)); #407436=DIRECTION('center_axis',(-0.565459253003083,0.824776232194645,0.)); #407437=DIRECTION('ref_axis',(-0.824776232194645,-0.565459253003083,0.)); #407438=DIRECTION('',(-0.824776232194645,-0.565459253003083,0.)); #407439=DIRECTION('',(-0.824776232194645,-0.565459253003083,0.)); #407440=DIRECTION('',(0.,0.,1.)); #407441=DIRECTION('center_axis',(-0.214642857802378,0.976692604453637,0.)); #407442=DIRECTION('ref_axis',(-0.976692604453637,-0.214642857802378,0.)); #407443=DIRECTION('',(-0.976692604453637,-0.214642857802378,0.)); #407444=DIRECTION('',(-0.976692604453637,-0.214642857802378,0.)); #407445=DIRECTION('',(0.,0.,1.)); #407446=DIRECTION('center_axis',(0.183302952703363,0.983056472197924,0.)); #407447=DIRECTION('ref_axis',(-0.983056472197924,0.183302952703363,0.)); #407448=DIRECTION('',(-0.983056472197924,0.183302952703363,0.)); #407449=DIRECTION('',(-0.983056472197924,0.183302952703363,0.)); #407450=DIRECTION('',(0.,0.,1.)); #407451=DIRECTION('center_axis',(0.552271955266387,0.833664013512784,0.)); #407452=DIRECTION('ref_axis',(-0.833664013512783,0.552271955266387,0.)); #407453=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #407454=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #407455=DIRECTION('',(0.,0.,1.)); #407456=DIRECTION('center_axis',(0.,1.,0.)); #407457=DIRECTION('ref_axis',(-1.,0.,0.)); #407458=DIRECTION('',(-1.,0.,0.)); #407459=DIRECTION('',(-1.,0.,0.)); #407460=DIRECTION('',(0.,0.,1.)); #407461=DIRECTION('center_axis',(-0.565459253003187,0.824776232194574,0.)); #407462=DIRECTION('ref_axis',(-0.824776232194574,-0.565459253003187,0.)); #407463=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #407464=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #407465=DIRECTION('',(0.,0.,1.)); #407466=DIRECTION('center_axis',(-0.214642857802347,0.976692604453644,0.)); #407467=DIRECTION('ref_axis',(-0.976692604453643,-0.214642857802347,0.)); #407468=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #407469=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #407470=DIRECTION('',(0.,0.,1.)); #407471=DIRECTION('center_axis',(0.18330295270339,0.983056472197919,0.)); #407472=DIRECTION('ref_axis',(-0.98305647219792,0.18330295270339,0.)); #407473=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #407474=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #407475=DIRECTION('',(0.,0.,1.)); #407476=DIRECTION('center_axis',(0.552271955266387,0.833664013512784,0.)); #407477=DIRECTION('ref_axis',(-0.833664013512783,0.552271955266387,0.)); #407478=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #407479=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #407480=DIRECTION('',(0.,0.,1.)); #407481=DIRECTION('center_axis',(0.,1.,0.)); #407482=DIRECTION('ref_axis',(-1.,0.,0.)); #407483=DIRECTION('',(-1.,0.,0.)); #407484=DIRECTION('',(-1.,0.,0.)); #407485=DIRECTION('',(0.,0.,1.)); #407486=DIRECTION('center_axis',(-0.565459253003187,0.824776232194574,0.)); #407487=DIRECTION('ref_axis',(-0.824776232194574,-0.565459253003187,0.)); #407488=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #407489=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #407490=DIRECTION('',(0.,0.,1.)); #407491=DIRECTION('center_axis',(-0.214642857802347,0.976692604453644,0.)); #407492=DIRECTION('ref_axis',(-0.976692604453643,-0.214642857802347,0.)); #407493=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #407494=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #407495=DIRECTION('',(0.,0.,1.)); #407496=DIRECTION('center_axis',(0.18330295270339,0.983056472197919,0.)); #407497=DIRECTION('ref_axis',(-0.98305647219792,0.18330295270339,0.)); #407498=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #407499=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #407500=DIRECTION('',(0.,0.,1.)); #407501=DIRECTION('center_axis',(0.552271955266387,0.833664013512784,0.)); #407502=DIRECTION('ref_axis',(-0.833664013512783,0.552271955266387,0.)); #407503=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #407504=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #407505=DIRECTION('',(0.,0.,1.)); #407506=DIRECTION('center_axis',(0.,1.,0.)); #407507=DIRECTION('ref_axis',(-1.,0.,0.)); #407508=DIRECTION('',(-1.,0.,0.)); #407509=DIRECTION('',(-1.,0.,0.)); #407510=DIRECTION('',(0.,0.,1.)); #407511=DIRECTION('center_axis',(-0.565459253003083,0.824776232194645,0.)); #407512=DIRECTION('ref_axis',(-0.824776232194645,-0.565459253003083,0.)); #407513=DIRECTION('',(-0.824776232194645,-0.565459253003083,0.)); #407514=DIRECTION('',(-0.824776232194645,-0.565459253003083,0.)); #407515=DIRECTION('',(0.,0.,1.)); #407516=DIRECTION('center_axis',(-0.214642857802347,0.976692604453644,0.)); #407517=DIRECTION('ref_axis',(-0.976692604453643,-0.214642857802347,0.)); #407518=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #407519=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #407520=DIRECTION('',(0.,0.,1.)); #407521=DIRECTION('center_axis',(0.18330295270339,0.983056472197919,0.)); #407522=DIRECTION('ref_axis',(-0.98305647219792,0.18330295270339,0.)); #407523=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #407524=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #407525=DIRECTION('',(0.,0.,1.)); #407526=DIRECTION('center_axis',(0.552271955266387,0.833664013512784,0.)); #407527=DIRECTION('ref_axis',(-0.833664013512783,0.552271955266387,0.)); #407528=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #407529=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #407530=DIRECTION('',(0.,0.,1.)); #407531=DIRECTION('center_axis',(0.,1.,0.)); #407532=DIRECTION('ref_axis',(-1.,0.,0.)); #407533=DIRECTION('',(-1.,0.,0.)); #407534=DIRECTION('',(-1.,0.,0.)); #407535=DIRECTION('',(0.,0.,1.)); #407536=DIRECTION('center_axis',(-0.565459253003187,0.824776232194574,0.)); #407537=DIRECTION('ref_axis',(-0.824776232194574,-0.565459253003187,0.)); #407538=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #407539=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #407540=DIRECTION('',(0.,0.,1.)); #407541=DIRECTION('center_axis',(-0.214642857802347,0.976692604453644,0.)); #407542=DIRECTION('ref_axis',(-0.976692604453643,-0.214642857802347,0.)); #407543=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #407544=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #407545=DIRECTION('',(0.,0.,1.)); #407546=DIRECTION('center_axis',(0.18330295270339,0.983056472197919,0.)); #407547=DIRECTION('ref_axis',(-0.98305647219792,0.18330295270339,0.)); #407548=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #407549=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #407550=DIRECTION('',(0.,0.,1.)); #407551=DIRECTION('center_axis',(0.552271955266387,0.833664013512784,0.)); #407552=DIRECTION('ref_axis',(-0.833664013512783,0.552271955266387,0.)); #407553=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #407554=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #407555=DIRECTION('',(0.,0.,1.)); #407556=DIRECTION('center_axis',(0.,1.,0.)); #407557=DIRECTION('ref_axis',(-1.,0.,0.)); #407558=DIRECTION('',(-1.,0.,0.)); #407559=DIRECTION('',(-1.,0.,0.)); #407560=DIRECTION('',(0.,0.,1.)); #407561=DIRECTION('center_axis',(-0.565459253003083,0.824776232194645,0.)); #407562=DIRECTION('ref_axis',(-0.824776232194645,-0.565459253003083,0.)); #407563=DIRECTION('',(-0.824776232194645,-0.565459253003083,0.)); #407564=DIRECTION('',(-0.824776232194645,-0.565459253003083,0.)); #407565=DIRECTION('',(0.,0.,1.)); #407566=DIRECTION('center_axis',(-0.214642857802378,0.976692604453637,0.)); #407567=DIRECTION('ref_axis',(-0.976692604453637,-0.214642857802378,0.)); #407568=DIRECTION('',(-0.976692604453637,-0.214642857802378,0.)); #407569=DIRECTION('',(-0.976692604453637,-0.214642857802378,0.)); #407570=DIRECTION('',(0.,0.,1.)); #407571=DIRECTION('center_axis',(0.183302952703363,0.983056472197924,0.)); #407572=DIRECTION('ref_axis',(-0.983056472197924,0.183302952703363,0.)); #407573=DIRECTION('',(-0.983056472197924,0.183302952703363,0.)); #407574=DIRECTION('',(-0.983056472197924,0.183302952703363,0.)); #407575=DIRECTION('',(0.,0.,1.)); #407576=DIRECTION('center_axis',(0.552271955266387,0.833664013512784,0.)); #407577=DIRECTION('ref_axis',(-0.833664013512783,0.552271955266387,0.)); #407578=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #407579=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #407580=DIRECTION('',(0.,0.,1.)); #407581=DIRECTION('center_axis',(0.,1.,0.)); #407582=DIRECTION('ref_axis',(-1.,0.,0.)); #407583=DIRECTION('',(-1.,0.,0.)); #407584=DIRECTION('',(-1.,0.,0.)); #407585=DIRECTION('',(0.,0.,1.)); #407586=DIRECTION('center_axis',(-0.565459253003187,0.824776232194574,0.)); #407587=DIRECTION('ref_axis',(-0.824776232194574,-0.565459253003187,0.)); #407588=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #407589=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #407590=DIRECTION('',(0.,0.,1.)); #407591=DIRECTION('center_axis',(-0.214642857802347,0.976692604453644,0.)); #407592=DIRECTION('ref_axis',(-0.976692604453643,-0.214642857802347,0.)); #407593=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #407594=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #407595=DIRECTION('',(0.,0.,1.)); #407596=DIRECTION('center_axis',(0.18330295270339,0.983056472197919,0.)); #407597=DIRECTION('ref_axis',(-0.98305647219792,0.18330295270339,0.)); #407598=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #407599=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #407600=DIRECTION('',(0.,0.,1.)); #407601=DIRECTION('center_axis',(0.552271955266387,0.833664013512784,0.)); #407602=DIRECTION('ref_axis',(-0.833664013512783,0.552271955266387,0.)); #407603=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #407604=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #407605=DIRECTION('',(0.,0.,1.)); #407606=DIRECTION('center_axis',(0.,1.,0.)); #407607=DIRECTION('ref_axis',(-1.,0.,0.)); #407608=DIRECTION('',(-1.,0.,0.)); #407609=DIRECTION('',(-1.,0.,0.)); #407610=DIRECTION('',(0.,0.,1.)); #407611=DIRECTION('center_axis',(-0.565459253003187,0.824776232194574,0.)); #407612=DIRECTION('ref_axis',(-0.824776232194574,-0.565459253003187,0.)); #407613=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #407614=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #407615=DIRECTION('',(0.,0.,1.)); #407616=DIRECTION('center_axis',(-0.214642857802347,0.976692604453644,0.)); #407617=DIRECTION('ref_axis',(-0.976692604453643,-0.214642857802347,0.)); #407618=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #407619=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #407620=DIRECTION('',(0.,0.,1.)); #407621=DIRECTION('center_axis',(0.18330295270339,0.983056472197919,0.)); #407622=DIRECTION('ref_axis',(-0.98305647219792,0.18330295270339,0.)); #407623=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #407624=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #407625=DIRECTION('',(0.,0.,1.)); #407626=DIRECTION('center_axis',(0.552271955266387,0.833664013512784,0.)); #407627=DIRECTION('ref_axis',(-0.833664013512783,0.552271955266387,0.)); #407628=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #407629=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #407630=DIRECTION('',(0.,0.,1.)); #407631=DIRECTION('center_axis',(0.,1.,0.)); #407632=DIRECTION('ref_axis',(-1.,0.,0.)); #407633=DIRECTION('',(-1.,0.,0.)); #407634=DIRECTION('',(-1.,0.,0.)); #407635=DIRECTION('',(0.,0.,1.)); #407636=DIRECTION('center_axis',(-0.565459253003083,0.824776232194645,0.)); #407637=DIRECTION('ref_axis',(-0.824776232194645,-0.565459253003083,0.)); #407638=DIRECTION('',(-0.824776232194645,-0.565459253003083,0.)); #407639=DIRECTION('',(-0.824776232194645,-0.565459253003083,0.)); #407640=DIRECTION('',(0.,0.,1.)); #407641=DIRECTION('center_axis',(-0.214642857802347,0.976692604453644,0.)); #407642=DIRECTION('ref_axis',(-0.976692604453643,-0.214642857802347,0.)); #407643=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #407644=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #407645=DIRECTION('',(0.,0.,1.)); #407646=DIRECTION('center_axis',(0.18330295270339,0.983056472197919,0.)); #407647=DIRECTION('ref_axis',(-0.98305647219792,0.18330295270339,0.)); #407648=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #407649=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #407650=DIRECTION('',(0.,0.,1.)); #407651=DIRECTION('center_axis',(0.552271955266387,0.833664013512784,0.)); #407652=DIRECTION('ref_axis',(-0.833664013512783,0.552271955266387,0.)); #407653=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #407654=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #407655=DIRECTION('',(0.,0.,1.)); #407656=DIRECTION('center_axis',(0.,1.,0.)); #407657=DIRECTION('ref_axis',(-1.,0.,0.)); #407658=DIRECTION('',(-1.,0.,0.)); #407659=DIRECTION('',(-1.,0.,0.)); #407660=DIRECTION('',(0.,0.,1.)); #407661=DIRECTION('center_axis',(0.18330529025731,0.983056036329407,0.)); #407662=DIRECTION('ref_axis',(-0.983056036329407,0.18330529025731,0.)); #407663=DIRECTION('',(-0.983056036329407,0.18330529025731,0.)); #407664=DIRECTION('',(-0.983056036329407,0.18330529025731,0.)); #407665=DIRECTION('',(0.,0.,1.)); #407666=DIRECTION('center_axis',(0.538911466746084,0.842362410729244,0.)); #407667=DIRECTION('ref_axis',(-0.842362410729244,0.538911466746084,0.)); #407668=DIRECTION('',(-0.842362410729244,0.538911466746084,0.)); #407669=DIRECTION('',(-0.842362410729244,0.538911466746084,0.)); #407670=DIRECTION('',(0.,0.,1.)); #407671=DIRECTION('center_axis',(0.824745549033852,0.565504004715131,0.)); #407672=DIRECTION('ref_axis',(-0.565504004715131,0.824745549033852,0.)); #407673=DIRECTION('',(-0.565504004715131,0.824745549033852,0.)); #407674=DIRECTION('',(-0.565504004715131,0.824745549033852,0.)); #407675=DIRECTION('',(0.,0.,1.)); #407676=DIRECTION('center_axis',(0.980008419561353,0.198956019232542,0.)); #407677=DIRECTION('ref_axis',(-0.198956019232542,0.980008419561353,0.)); #407678=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #407679=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #407680=DIRECTION('',(0.,0.,1.)); #407681=DIRECTION('center_axis',(1.,0.,0.)); #407682=DIRECTION('ref_axis',(0.,1.,0.)); #407683=DIRECTION('',(0.,1.,0.)); #407684=DIRECTION('',(0.,1.,0.)); #407685=DIRECTION('',(0.,0.,1.)); #407686=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #407687=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #407688=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #407689=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #407690=DIRECTION('',(0.,0.,1.)); #407691=DIRECTION('center_axis',(0.,1.,0.)); #407692=DIRECTION('ref_axis',(-1.,0.,0.)); #407693=DIRECTION('',(-1.,0.,0.)); #407694=DIRECTION('',(-1.,0.,0.)); #407695=DIRECTION('',(0.,0.,1.)); #407696=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #407697=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #407698=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #407699=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #407700=DIRECTION('',(0.,0.,1.)); #407701=DIRECTION('center_axis',(-0.558930424365529,0.829214556504268,0.)); #407702=DIRECTION('ref_axis',(-0.829214556504268,-0.558930424365529,0.)); #407703=DIRECTION('',(-0.829214556504268,-0.558930424365529,0.)); #407704=DIRECTION('',(-0.829214556504268,-0.558930424365529,0.)); #407705=DIRECTION('',(0.,0.,1.)); #407706=DIRECTION('center_axis',(-0.198956019232641,0.980008419561333,0.)); #407707=DIRECTION('ref_axis',(-0.980008419561333,-0.198956019232641,0.)); #407708=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #407709=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #407710=DIRECTION('',(0.,0.,1.)); #407711=DIRECTION('center_axis',(0.,1.,0.)); #407712=DIRECTION('ref_axis',(-1.,0.,0.)); #407713=DIRECTION('',(-1.,0.,0.)); #407714=DIRECTION('',(-1.,0.,0.)); #407715=DIRECTION('',(0.,0.,1.)); #407716=DIRECTION('center_axis',(0.175502059386013,0.984479063846087,0.)); #407717=DIRECTION('ref_axis',(-0.984479063846087,0.175502059386013,0.)); #407718=DIRECTION('',(-0.984479063846087,0.175502059386013,0.)); #407719=DIRECTION('',(-0.984479063846087,0.175502059386013,0.)); #407720=DIRECTION('',(0.,0.,1.)); #407721=DIRECTION('center_axis',(0.525358802048827,0.850880796063598,0.)); #407722=DIRECTION('ref_axis',(-0.850880796063598,0.525358802048827,0.)); #407723=DIRECTION('',(-0.850880796063598,0.525358802048827,0.)); #407724=DIRECTION('',(-0.850880796063598,0.525358802048827,0.)); #407725=DIRECTION('',(0.,0.,1.)); #407726=DIRECTION('center_axis',(0.815709411156831,0.578461888589193,0.)); #407727=DIRECTION('ref_axis',(-0.578461888589193,0.815709411156831,0.)); #407728=DIRECTION('',(-0.578461888589193,0.815709411156831,0.)); #407729=DIRECTION('',(-0.578461888589193,0.815709411156831,0.)); #407730=DIRECTION('',(0.,0.,1.)); #407731=DIRECTION('center_axis',(0.976685690837557,0.214674314516579,0.)); #407732=DIRECTION('ref_axis',(-0.214674314516579,0.976685690837557,0.)); #407733=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #407734=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #407735=DIRECTION('',(0.,0.,1.)); #407736=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #407737=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #407738=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #407739=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #407740=DIRECTION('',(0.,0.,1.)); #407741=DIRECTION('center_axis',(0.833659859749665,-0.552278225392029,0.)); #407742=DIRECTION('ref_axis',(0.552278225392029,0.833659859749665,0.)); #407743=DIRECTION('',(0.552278225392029,0.833659859749665,0.)); #407744=DIRECTION('',(0.552278225392029,0.833659859749665,0.)); #407745=DIRECTION('',(0.,0.,1.)); #407746=DIRECTION('center_axis',(0.707106781186559,-0.707106781186536,0.)); #407747=DIRECTION('ref_axis',(0.707106781186536,0.707106781186559,0.)); #407748=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #407749=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #407750=DIRECTION('',(0.,0.,1.)); #407751=DIRECTION('center_axis',(0.558946331948723,-0.829203833807507,0.)); #407752=DIRECTION('ref_axis',(0.829203833807507,0.558946331948723,0.)); #407753=DIRECTION('',(0.829203833807507,0.558946331948723,0.)); #407754=DIRECTION('',(0.829203833807507,0.558946331948723,0.)); #407755=DIRECTION('',(0.,0.,1.)); #407756=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #407757=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #407758=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #407759=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #407760=DIRECTION('',(0.,0.,1.)); #407761=DIRECTION('center_axis',(0.,-1.,0.)); #407762=DIRECTION('ref_axis',(1.,0.,0.)); #407763=DIRECTION('',(1.,0.,0.)); #407764=DIRECTION('',(1.,0.,0.)); #407765=DIRECTION('',(0.,0.,1.)); #407766=DIRECTION('center_axis',(0.707106781186541,-0.707106781186554,0.)); #407767=DIRECTION('ref_axis',(0.707106781186554,0.707106781186541,0.)); #407768=DIRECTION('',(0.707106781186554,0.707106781186541,0.)); #407769=DIRECTION('',(0.707106781186554,0.707106781186541,0.)); #407770=DIRECTION('',(0.,0.,1.)); #407771=DIRECTION('center_axis',(0.558946331948917,-0.829203833807377,0.)); #407772=DIRECTION('ref_axis',(0.829203833807377,0.558946331948917,0.)); #407773=DIRECTION('',(0.829203833807377,0.558946331948917,0.)); #407774=DIRECTION('',(0.829203833807377,0.558946331948917,0.)); #407775=DIRECTION('',(0.,0.,1.)); #407776=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #407777=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #407778=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #407779=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #407780=DIRECTION('',(0.,0.,1.)); #407781=DIRECTION('center_axis',(0.,-1.,0.)); #407782=DIRECTION('ref_axis',(1.,0.,0.)); #407783=DIRECTION('',(1.,0.,0.)); #407784=DIRECTION('',(1.,0.,0.)); #407785=DIRECTION('',(0.,0.,1.)); #407786=DIRECTION('center_axis',(-0.191149856928872,-0.981560865252926, 0.)); #407787=DIRECTION('ref_axis',(0.981560865252926,-0.191149856928872,0.)); #407788=DIRECTION('',(0.981560865252926,-0.191149856928872,0.)); #407789=DIRECTION('',(0.981560865252926,-0.191149856928872,0.)); #407790=DIRECTION('',(0.,0.,1.)); #407791=DIRECTION('center_axis',(-0.552263045083112,-0.833669916115203, 0.)); #407792=DIRECTION('ref_axis',(0.833669916115202,-0.552263045083112,0.)); #407793=DIRECTION('',(0.833669916115202,-0.552263045083112,0.)); #407794=DIRECTION('',(0.833669916115202,-0.552263045083112,0.)); #407795=DIRECTION('',(0.,0.,1.)); #407796=DIRECTION('center_axis',(-0.707106781186568,-0.707106781186527, 0.)); #407797=DIRECTION('ref_axis',(0.707106781186527,-0.707106781186568,0.)); #407798=DIRECTION('',(0.707106781186527,-0.707106781186568,0.)); #407799=DIRECTION('',(0.707106781186527,-0.707106781186568,0.)); #407800=DIRECTION('',(0.,0.,1.)); #407801=DIRECTION('center_axis',(0.,-1.,0.)); #407802=DIRECTION('ref_axis',(1.,0.,0.)); #407803=DIRECTION('',(1.,0.,0.)); #407804=DIRECTION('',(1.,0.,0.)); #407805=DIRECTION('',(0.,0.,1.)); #407806=DIRECTION('center_axis',(0.707106781186539,-0.707106781186556,0.)); #407807=DIRECTION('ref_axis',(0.707106781186556,0.707106781186539,0.)); #407808=DIRECTION('',(0.707106781186556,0.707106781186539,0.)); #407809=DIRECTION('',(0.707106781186556,0.707106781186539,0.)); #407810=DIRECTION('',(0.,0.,1.)); #407811=DIRECTION('center_axis',(1.,0.,0.)); #407812=DIRECTION('ref_axis',(0.,1.,0.)); #407813=DIRECTION('',(0.,1.,0.)); #407814=DIRECTION('',(0.,1.,0.)); #407815=DIRECTION('',(0.,0.,1.)); #407816=DIRECTION('center_axis',(0.824776232194574,0.565459253003187,0.)); #407817=DIRECTION('ref_axis',(-0.565459253003187,0.824776232194574,0.)); #407818=DIRECTION('',(-0.565459253003187,0.824776232194574,0.)); #407819=DIRECTION('',(-0.565459253003187,0.824776232194574,0.)); #407820=DIRECTION('',(0.,0.,1.)); #407821=DIRECTION('center_axis',(0.976692604453644,0.214642857802347,0.)); #407822=DIRECTION('ref_axis',(-0.214642857802347,0.976692604453643,0.)); #407823=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #407824=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #407825=DIRECTION('',(0.,0.,1.)); #407826=DIRECTION('center_axis',(0.983056472197924,-0.183302952703363,0.)); #407827=DIRECTION('ref_axis',(0.183302952703363,0.983056472197924,0.)); #407828=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #407829=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #407830=DIRECTION('',(0.,0.,1.)); #407831=DIRECTION('center_axis',(0.833664013512722,-0.552271955266479,0.)); #407832=DIRECTION('ref_axis',(0.552271955266479,0.833664013512722,0.)); #407833=DIRECTION('',(0.552271955266479,0.833664013512722,0.)); #407834=DIRECTION('',(0.552271955266479,0.833664013512722,0.)); #407835=DIRECTION('',(0.,0.,1.)); #407836=DIRECTION('center_axis',(1.,0.,0.)); #407837=DIRECTION('ref_axis',(0.,1.,0.)); #407838=DIRECTION('',(0.,1.,0.)); #407839=DIRECTION('',(0.,1.,0.)); #407840=DIRECTION('',(0.,0.,1.)); #407841=DIRECTION('center_axis',(0.82477623219461,0.565459253003135,0.)); #407842=DIRECTION('ref_axis',(-0.565459253003135,0.82477623219461,0.)); #407843=DIRECTION('',(-0.565459253003135,0.82477623219461,0.)); #407844=DIRECTION('',(-0.565459253003135,0.82477623219461,0.)); #407845=DIRECTION('',(0.,0.,1.)); #407846=DIRECTION('center_axis',(0.976692604453644,0.214642857802347,0.)); #407847=DIRECTION('ref_axis',(-0.214642857802347,0.976692604453643,0.)); #407848=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #407849=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #407850=DIRECTION('',(0.,0.,1.)); #407851=DIRECTION('center_axis',(0.983056472197924,-0.183302952703363,0.)); #407852=DIRECTION('ref_axis',(0.183302952703363,0.983056472197924,0.)); #407853=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #407854=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #407855=DIRECTION('',(0.,0.,1.)); #407856=DIRECTION('center_axis',(0.833664013512722,-0.552271955266479,0.)); #407857=DIRECTION('ref_axis',(0.552271955266479,0.833664013512722,0.)); #407858=DIRECTION('',(0.552271955266479,0.833664013512722,0.)); #407859=DIRECTION('',(0.552271955266479,0.833664013512722,0.)); #407860=DIRECTION('',(0.,0.,1.)); #407861=DIRECTION('center_axis',(1.,0.,0.)); #407862=DIRECTION('ref_axis',(0.,1.,0.)); #407863=DIRECTION('',(0.,1.,0.)); #407864=DIRECTION('',(0.,1.,0.)); #407865=DIRECTION('',(0.,0.,1.)); #407866=DIRECTION('center_axis',(0.82477623219461,0.565459253003135,0.)); #407867=DIRECTION('ref_axis',(-0.565459253003135,0.82477623219461,0.)); #407868=DIRECTION('',(-0.565459253003135,0.82477623219461,0.)); #407869=DIRECTION('',(-0.565459253003135,0.82477623219461,0.)); #407870=DIRECTION('',(0.,0.,1.)); #407871=DIRECTION('center_axis',(0.976692604453644,0.214642857802347,0.)); #407872=DIRECTION('ref_axis',(-0.214642857802347,0.976692604453643,0.)); #407873=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #407874=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #407875=DIRECTION('',(0.,0.,1.)); #407876=DIRECTION('center_axis',(0.983056472197924,-0.183302952703363,0.)); #407877=DIRECTION('ref_axis',(0.183302952703363,0.983056472197924,0.)); #407878=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #407879=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #407880=DIRECTION('',(0.,0.,1.)); #407881=DIRECTION('center_axis',(0.833664013512722,-0.552271955266479,0.)); #407882=DIRECTION('ref_axis',(0.552271955266479,0.833664013512722,0.)); #407883=DIRECTION('',(0.552271955266479,0.833664013512722,0.)); #407884=DIRECTION('',(0.552271955266479,0.833664013512722,0.)); #407885=DIRECTION('',(0.,0.,1.)); #407886=DIRECTION('center_axis',(1.,0.,0.)); #407887=DIRECTION('ref_axis',(0.,1.,0.)); #407888=DIRECTION('',(0.,1.,0.)); #407889=DIRECTION('',(0.,1.,0.)); #407890=DIRECTION('',(0.,0.,1.)); #407891=DIRECTION('center_axis',(0.82477623219461,0.565459253003135,0.)); #407892=DIRECTION('ref_axis',(-0.565459253003135,0.82477623219461,0.)); #407893=DIRECTION('',(-0.565459253003135,0.82477623219461,0.)); #407894=DIRECTION('',(-0.565459253003135,0.82477623219461,0.)); #407895=DIRECTION('',(0.,0.,1.)); #407896=DIRECTION('center_axis',(0.97669260445364,0.214642857802362,0.)); #407897=DIRECTION('ref_axis',(-0.214642857802362,0.97669260445364,0.)); #407898=DIRECTION('',(-0.214642857802362,0.97669260445364,0.)); #407899=DIRECTION('',(-0.214642857802362,0.97669260445364,0.)); #407900=DIRECTION('',(0.,0.,1.)); #407901=DIRECTION('center_axis',(0.983056472197924,-0.183302952703363,0.)); #407902=DIRECTION('ref_axis',(0.183302952703363,0.983056472197924,0.)); #407903=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #407904=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #407905=DIRECTION('',(0.,0.,1.)); #407906=DIRECTION('center_axis',(0.833664013512753,-0.552271955266433,0.)); #407907=DIRECTION('ref_axis',(0.552271955266433,0.833664013512753,0.)); #407908=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #407909=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #407910=DIRECTION('',(0.,0.,1.)); #407911=DIRECTION('center_axis',(1.,0.,0.)); #407912=DIRECTION('ref_axis',(0.,1.,0.)); #407913=DIRECTION('',(0.,1.,0.)); #407914=DIRECTION('',(0.,1.,0.)); #407915=DIRECTION('',(0.,0.,1.)); #407916=DIRECTION('center_axis',(0.82477623219461,0.565459253003135,0.)); #407917=DIRECTION('ref_axis',(-0.565459253003135,0.82477623219461,0.)); #407918=DIRECTION('',(-0.565459253003135,0.82477623219461,0.)); #407919=DIRECTION('',(-0.565459253003135,0.82477623219461,0.)); #407920=DIRECTION('',(0.,0.,1.)); #407921=DIRECTION('center_axis',(0.97669260445364,0.214642857802362,0.)); #407922=DIRECTION('ref_axis',(-0.214642857802362,0.97669260445364,0.)); #407923=DIRECTION('',(-0.214642857802362,0.97669260445364,0.)); #407924=DIRECTION('',(-0.214642857802362,0.97669260445364,0.)); #407925=DIRECTION('',(0.,0.,1.)); #407926=DIRECTION('center_axis',(0.983056472197924,-0.183302952703363,0.)); #407927=DIRECTION('ref_axis',(0.183302952703363,0.983056472197924,0.)); #407928=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #407929=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #407930=DIRECTION('',(0.,0.,1.)); #407931=DIRECTION('center_axis',(0.833664013512753,-0.552271955266433,0.)); #407932=DIRECTION('ref_axis',(0.552271955266433,0.833664013512753,0.)); #407933=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #407934=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #407935=DIRECTION('',(0.,0.,1.)); #407936=DIRECTION('center_axis',(1.,0.,0.)); #407937=DIRECTION('ref_axis',(0.,1.,0.)); #407938=DIRECTION('',(0.,1.,0.)); #407939=DIRECTION('',(0.,1.,0.)); #407940=DIRECTION('',(0.,0.,1.)); #407941=DIRECTION('center_axis',(0.824776232194574,0.565459253003187,0.)); #407942=DIRECTION('ref_axis',(-0.565459253003187,0.824776232194574,0.)); #407943=DIRECTION('',(-0.565459253003187,0.824776232194574,0.)); #407944=DIRECTION('',(-0.565459253003187,0.824776232194574,0.)); #407945=DIRECTION('',(0.,0.,1.)); #407946=DIRECTION('center_axis',(0.976692604453644,0.214642857802347,0.)); #407947=DIRECTION('ref_axis',(-0.214642857802347,0.976692604453643,0.)); #407948=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #407949=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #407950=DIRECTION('',(0.,0.,1.)); #407951=DIRECTION('center_axis',(0.983056472197922,-0.183302952703377,0.)); #407952=DIRECTION('ref_axis',(0.183302952703377,0.983056472197922,0.)); #407953=DIRECTION('',(0.183302952703377,0.983056472197922,0.)); #407954=DIRECTION('',(0.183302952703377,0.983056472197922,0.)); #407955=DIRECTION('',(0.,0.,1.)); #407956=DIRECTION('center_axis',(0.833664013512753,-0.552271955266433,0.)); #407957=DIRECTION('ref_axis',(0.552271955266433,0.833664013512753,0.)); #407958=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #407959=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #407960=DIRECTION('',(0.,0.,1.)); #407961=DIRECTION('center_axis',(1.,0.,0.)); #407962=DIRECTION('ref_axis',(0.,1.,0.)); #407963=DIRECTION('',(0.,1.,0.)); #407964=DIRECTION('',(0.,1.,0.)); #407965=DIRECTION('',(0.,0.,1.)); #407966=DIRECTION('center_axis',(0.824776232194574,0.565459253003187,0.)); #407967=DIRECTION('ref_axis',(-0.565459253003187,0.824776232194574,0.)); #407968=DIRECTION('',(-0.565459253003187,0.824776232194574,0.)); #407969=DIRECTION('',(-0.565459253003187,0.824776232194574,0.)); #407970=DIRECTION('',(0.,0.,1.)); #407971=DIRECTION('center_axis',(0.976692604453647,0.214642857802332,0.)); #407972=DIRECTION('ref_axis',(-0.214642857802332,0.976692604453647,0.)); #407973=DIRECTION('',(-0.214642857802332,0.976692604453647,0.)); #407974=DIRECTION('',(-0.214642857802332,0.976692604453647,0.)); #407975=DIRECTION('',(0.,0.,1.)); #407976=DIRECTION('center_axis',(0.983056472197922,-0.183302952703377,0.)); #407977=DIRECTION('ref_axis',(0.183302952703377,0.983056472197922,0.)); #407978=DIRECTION('',(0.183302952703377,0.983056472197922,0.)); #407979=DIRECTION('',(0.183302952703377,0.983056472197922,0.)); #407980=DIRECTION('',(0.,0.,1.)); #407981=DIRECTION('center_axis',(0.833664013512753,-0.552271955266433,0.)); #407982=DIRECTION('ref_axis',(0.552271955266433,0.833664013512753,0.)); #407983=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #407984=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #407985=DIRECTION('',(0.,0.,1.)); #407986=DIRECTION('center_axis',(1.,0.,0.)); #407987=DIRECTION('ref_axis',(0.,1.,0.)); #407988=DIRECTION('',(0.,1.,0.)); #407989=DIRECTION('',(0.,1.,0.)); #407990=DIRECTION('',(0.,0.,1.)); #407991=DIRECTION('center_axis',(0.824776232194574,0.565459253003187,0.)); #407992=DIRECTION('ref_axis',(-0.565459253003187,0.824776232194574,0.)); #407993=DIRECTION('',(-0.565459253003187,0.824776232194574,0.)); #407994=DIRECTION('',(-0.565459253003187,0.824776232194574,0.)); #407995=DIRECTION('',(0.,0.,1.)); #407996=DIRECTION('center_axis',(0.976692604453647,0.214642857802332,0.)); #407997=DIRECTION('ref_axis',(-0.214642857802332,0.976692604453647,0.)); #407998=DIRECTION('',(-0.214642857802332,0.976692604453647,0.)); #407999=DIRECTION('',(-0.214642857802332,0.976692604453647,0.)); #408000=DIRECTION('',(0.,0.,1.)); #408001=DIRECTION('center_axis',(0.983056472197922,-0.183302952703377,0.)); #408002=DIRECTION('ref_axis',(0.183302952703377,0.983056472197922,0.)); #408003=DIRECTION('',(0.183302952703377,0.983056472197922,0.)); #408004=DIRECTION('',(0.183302952703377,0.983056472197922,0.)); #408005=DIRECTION('',(0.,0.,1.)); #408006=DIRECTION('center_axis',(0.833664013512753,-0.552271955266433,0.)); #408007=DIRECTION('ref_axis',(0.552271955266433,0.833664013512753,0.)); #408008=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #408009=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #408010=DIRECTION('',(0.,0.,1.)); #408011=DIRECTION('center_axis',(1.,0.,0.)); #408012=DIRECTION('ref_axis',(0.,1.,0.)); #408013=DIRECTION('',(0.,1.,0.)); #408014=DIRECTION('',(0.,1.,0.)); #408015=DIRECTION('',(0.,0.,1.)); #408016=DIRECTION('center_axis',(0.824776232194574,0.565459253003187,0.)); #408017=DIRECTION('ref_axis',(-0.565459253003187,0.824776232194574,0.)); #408018=DIRECTION('',(-0.565459253003187,0.824776232194574,0.)); #408019=DIRECTION('',(-0.565459253003187,0.824776232194574,0.)); #408020=DIRECTION('',(0.,0.,1.)); #408021=DIRECTION('center_axis',(0.976692604453644,0.214642857802347,0.)); #408022=DIRECTION('ref_axis',(-0.214642857802347,0.976692604453643,0.)); #408023=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #408024=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #408025=DIRECTION('',(0.,0.,1.)); #408026=DIRECTION('center_axis',(0.983056472197924,-0.183302952703363,0.)); #408027=DIRECTION('ref_axis',(0.183302952703363,0.983056472197924,0.)); #408028=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #408029=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #408030=DIRECTION('',(0.,0.,1.)); #408031=DIRECTION('center_axis',(0.833664013512753,-0.552271955266433,0.)); #408032=DIRECTION('ref_axis',(0.552271955266433,0.833664013512753,0.)); #408033=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #408034=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #408035=DIRECTION('',(0.,0.,1.)); #408036=DIRECTION('center_axis',(1.,0.,0.)); #408037=DIRECTION('ref_axis',(0.,1.,0.)); #408038=DIRECTION('',(0.,1.,0.)); #408039=DIRECTION('',(0.,1.,0.)); #408040=DIRECTION('',(0.,0.,1.)); #408041=DIRECTION('center_axis',(0.994222572580556,-0.107338139406743,0.)); #408042=DIRECTION('ref_axis',(0.107338139406743,0.994222572580556,0.)); #408043=DIRECTION('',(0.107338139406743,0.994222572580556,0.)); #408044=DIRECTION('',(0.107338139406743,0.994222572580556,0.)); #408045=DIRECTION('',(0.,0.,1.)); #408046=DIRECTION('center_axis',(0.9149217411843,-0.40363127667252,0.)); #408047=DIRECTION('ref_axis',(0.40363127667252,0.9149217411843,0.)); #408048=DIRECTION('',(0.40363127667252,0.9149217411843,0.)); #408049=DIRECTION('',(0.40363127667252,0.9149217411843,0.)); #408050=DIRECTION('',(0.,0.,1.)); #408051=DIRECTION('center_axis',(0.816341541946411,-0.577569464993221,0.)); #408052=DIRECTION('ref_axis',(0.577569464993221,0.816341541946411,0.)); #408053=DIRECTION('',(0.577569464993221,0.816341541946411,0.)); #408054=DIRECTION('',(0.577569464993221,0.816341541946411,0.)); #408055=DIRECTION('',(0.,0.,1.)); #408056=DIRECTION('center_axis',(0.919559317647945,-0.39295122003481,0.)); #408057=DIRECTION('ref_axis',(0.39295122003481,0.919559317647945,0.)); #408058=DIRECTION('',(0.39295122003481,0.919559317647945,0.)); #408059=DIRECTION('',(0.39295122003481,0.919559317647945,0.)); #408060=DIRECTION('',(0.,0.,1.)); #408061=DIRECTION('center_axis',(0.832914872222971,-0.553401134467388,0.)); #408062=DIRECTION('ref_axis',(0.553401134467388,0.832914872222971,0.)); #408063=DIRECTION('',(0.553401134467388,0.832914872222971,0.)); #408064=DIRECTION('',(0.553401134467388,0.832914872222971,0.)); #408065=DIRECTION('',(0.,0.,1.)); #408066=DIRECTION('center_axis',(0.565504004715012,-0.824745549033933,0.)); #408067=DIRECTION('ref_axis',(0.824745549033933,0.565504004715012,0.)); #408068=DIRECTION('',(0.824745549033933,0.565504004715012,0.)); #408069=DIRECTION('',(0.824745549033933,0.565504004715012,0.)); #408070=DIRECTION('',(0.,0.,1.)); #408071=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #408072=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #408073=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #408074=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #408075=DIRECTION('',(0.,0.,1.)); #408076=DIRECTION('center_axis',(0.,-1.,0.)); #408077=DIRECTION('ref_axis',(1.,0.,0.)); #408078=DIRECTION('',(1.,0.,0.)); #408079=DIRECTION('',(1.,0.,0.)); #408080=DIRECTION('',(0.,0.,1.)); #408081=DIRECTION('center_axis',(0.565459253003083,-0.824776232194645,0.)); #408082=DIRECTION('ref_axis',(0.824776232194645,0.565459253003083,0.)); #408083=DIRECTION('',(0.824776232194645,0.565459253003083,0.)); #408084=DIRECTION('',(0.824776232194645,0.565459253003083,0.)); #408085=DIRECTION('center_axis',(0.,0.,1.)); #408086=DIRECTION('ref_axis',(1.,0.,0.)); #408087=DIRECTION('center_axis',(0.,0.,1.)); #408088=DIRECTION('ref_axis',(1.,0.,0.)); #408089=DIRECTION('center_axis',(0.214642857802347,-0.976692604453644,0.)); #408090=DIRECTION('ref_axis',(0.976692604453643,0.214642857802347,0.)); #408091=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #408092=DIRECTION('',(0.,0.,1.)); #408093=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #408094=DIRECTION('',(0.,0.,1.)); #408095=DIRECTION('center_axis',(-0.18330295270339,-0.983056472197919,0.)); #408096=DIRECTION('ref_axis',(0.98305647219792,-0.18330295270339,0.)); #408097=DIRECTION('',(0.98305647219792,-0.18330295270339,0.)); #408098=DIRECTION('',(0.98305647219792,-0.18330295270339,0.)); #408099=DIRECTION('',(0.,0.,1.)); #408100=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #408101=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #408102=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #408103=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #408104=DIRECTION('',(0.,0.,1.)); #408105=DIRECTION('center_axis',(-0.707106781186561,-0.707106781186534, 0.)); #408106=DIRECTION('ref_axis',(0.707106781186534,-0.707106781186561,0.)); #408107=DIRECTION('',(0.707106781186534,-0.707106781186561,0.)); #408108=DIRECTION('',(0.707106781186534,-0.707106781186561,0.)); #408109=DIRECTION('',(0.,0.,1.)); #408110=DIRECTION('center_axis',(-0.829203833807223,-0.558946331949144, 0.)); #408111=DIRECTION('ref_axis',(0.558946331949144,-0.829203833807223,0.)); #408112=DIRECTION('',(0.558946331949144,-0.829203833807223,0.)); #408113=DIRECTION('',(0.558946331949144,-0.829203833807223,0.)); #408114=DIRECTION('',(0.,0.,1.)); #408115=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #408116=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #408117=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #408118=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #408119=DIRECTION('',(0.,0.,1.)); #408120=DIRECTION('center_axis',(-1.,0.,0.)); #408121=DIRECTION('ref_axis',(0.,-1.,0.)); #408122=DIRECTION('',(0.,-1.,0.)); #408123=DIRECTION('',(0.,-1.,0.)); #408124=DIRECTION('',(0.,0.,1.)); #408125=DIRECTION('center_axis',(-0.98156086525294,0.191149856928798,0.)); #408126=DIRECTION('ref_axis',(-0.191149856928798,-0.98156086525294,0.)); #408127=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #408128=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #408129=DIRECTION('',(0.,0.,1.)); #408130=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #408131=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #408132=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #408133=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #408134=DIRECTION('',(0.,0.,1.)); #408135=DIRECTION('center_axis',(-0.707106781186554,0.707106781186541,0.)); #408136=DIRECTION('ref_axis',(-0.707106781186541,-0.707106781186554,0.)); #408137=DIRECTION('',(-0.707106781186541,-0.707106781186554,0.)); #408138=DIRECTION('',(-0.707106781186541,-0.707106781186554,0.)); #408139=DIRECTION('',(0.,0.,1.)); #408140=DIRECTION('center_axis',(-0.572058771549436,0.820212632122518,0.)); #408141=DIRECTION('ref_axis',(-0.820212632122518,-0.572058771549436,0.)); #408142=DIRECTION('',(-0.820212632122518,-0.572058771549436,0.)); #408143=DIRECTION('',(-0.820212632122518,-0.572058771549436,0.)); #408144=DIRECTION('',(0.,0.,1.)); #408145=DIRECTION('center_axis',(-0.230165683714617,0.973151456886431,0.)); #408146=DIRECTION('ref_axis',(-0.973151456886431,-0.230165683714617,0.)); #408147=DIRECTION('',(-0.973151456886431,-0.230165683714617,0.)); #408148=DIRECTION('',(-0.973151456886431,-0.230165683714617,0.)); #408149=DIRECTION('',(0.,0.,1.)); #408150=DIRECTION('center_axis',(0.167643887112353,0.985847618607389,0.)); #408151=DIRECTION('ref_axis',(-0.985847618607389,0.167643887112353,0.)); #408152=DIRECTION('',(-0.985847618607389,0.167643887112353,0.)); #408153=DIRECTION('',(-0.985847618607389,0.167643887112353,0.)); #408154=DIRECTION('',(0.,0.,1.)); #408155=DIRECTION('center_axis',(0.538911466745989,0.842362410729306,0.)); #408156=DIRECTION('ref_axis',(-0.842362410729306,0.538911466745989,0.)); #408157=DIRECTION('',(-0.842362410729306,0.538911466745989,0.)); #408158=DIRECTION('',(-0.842362410729306,0.538911466745989,0.)); #408159=DIRECTION('',(0.,0.,1.)); #408160=DIRECTION('center_axis',(0.82474554903392,0.565504004715031,0.)); #408161=DIRECTION('ref_axis',(-0.565504004715031,0.82474554903392,0.)); #408162=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #408163=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #408164=DIRECTION('',(0.,0.,1.)); #408165=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #408166=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #408167=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #408168=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #408169=DIRECTION('',(0.,0.,1.)); #408170=DIRECTION('center_axis',(1.,0.,0.)); #408171=DIRECTION('ref_axis',(0.,1.,0.)); #408172=DIRECTION('',(0.,1.,0.)); #408173=DIRECTION('',(0.,1.,0.)); #408174=DIRECTION('',(0.,0.,1.)); #408175=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #408176=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #408177=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #408178=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #408179=DIRECTION('',(0.,0.,1.)); #408180=DIRECTION('center_axis',(0.83365985974971,-0.552278225391961,0.)); #408181=DIRECTION('ref_axis',(0.552278225391961,0.83365985974971,0.)); #408182=DIRECTION('',(0.552278225391961,0.83365985974971,0.)); #408183=DIRECTION('',(0.552278225391961,0.83365985974971,0.)); #408184=DIRECTION('',(0.,0.,1.)); #408185=DIRECTION('center_axis',(0.707106781186561,-0.707106781186534,0.)); #408186=DIRECTION('ref_axis',(0.707106781186534,0.707106781186561,0.)); #408187=DIRECTION('',(0.707106781186534,0.707106781186561,0.)); #408188=DIRECTION('',(0.707106781186534,0.707106781186561,0.)); #408189=DIRECTION('',(0.,0.,1.)); #408190=DIRECTION('center_axis',(0.565439331101256,-0.824789890119759,0.)); #408191=DIRECTION('ref_axis',(0.824789890119759,0.565439331101256,0.)); #408192=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #408193=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #408194=DIRECTION('center_axis',(0.,0.,1.)); #408195=DIRECTION('ref_axis',(1.,0.,0.)); #408196=DIRECTION('center_axis',(0.,0.,1.)); #408197=DIRECTION('ref_axis',(1.,0.,0.)); #408198=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #408199=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #408200=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #408201=DIRECTION('',(0.,0.,1.)); #408202=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #408203=DIRECTION('',(0.,0.,1.)); #408204=DIRECTION('center_axis',(0.,-1.,0.)); #408205=DIRECTION('ref_axis',(1.,0.,0.)); #408206=DIRECTION('',(1.,0.,0.)); #408207=DIRECTION('',(1.,0.,0.)); #408208=DIRECTION('',(0.,0.,1.)); #408209=DIRECTION('center_axis',(-0.17550205938607,-0.984479063846077,0.)); #408210=DIRECTION('ref_axis',(0.984479063846077,-0.17550205938607,0.)); #408211=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #408212=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #408213=DIRECTION('',(0.,0.,1.)); #408214=DIRECTION('center_axis',(-0.525373540973385,-0.850871695642232, 0.)); #408215=DIRECTION('ref_axis',(0.850871695642232,-0.525373540973385,0.)); #408216=DIRECTION('',(0.850871695642232,-0.525373540973385,0.)); #408217=DIRECTION('',(0.850871695642232,-0.525373540973385,0.)); #408218=DIRECTION('',(0.,0.,1.)); #408219=DIRECTION('center_axis',(-0.815693852178984,-0.578483828224619, 0.)); #408220=DIRECTION('ref_axis',(0.578483828224619,-0.815693852178984,0.)); #408221=DIRECTION('',(0.578483828224619,-0.815693852178984,0.)); #408222=DIRECTION('',(0.578483828224619,-0.815693852178984,0.)); #408223=DIRECTION('',(0.,0.,1.)); #408224=DIRECTION('center_axis',(-0.97669260445364,-0.214642857802362,0.)); #408225=DIRECTION('ref_axis',(0.214642857802362,-0.97669260445364,0.)); #408226=DIRECTION('',(0.214642857802362,-0.97669260445364,0.)); #408227=DIRECTION('',(0.214642857802362,-0.97669260445364,0.)); #408228=DIRECTION('',(0.,0.,1.)); #408229=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #408230=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #408231=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #408232=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #408233=DIRECTION('',(0.,0.,1.)); #408234=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #408235=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #408236=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #408237=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #408238=DIRECTION('',(0.,0.,1.)); #408239=DIRECTION('center_axis',(-0.707106781186553,0.707106781186542,0.)); #408240=DIRECTION('ref_axis',(-0.707106781186542,-0.707106781186553,0.)); #408241=DIRECTION('',(-0.707106781186542,-0.707106781186553,0.)); #408242=DIRECTION('',(-0.707106781186542,-0.707106781186553,0.)); #408243=DIRECTION('',(0.,0.,1.)); #408244=DIRECTION('center_axis',(-0.558946331948917,0.829203833807377,0.)); #408245=DIRECTION('ref_axis',(-0.829203833807377,-0.558946331948917,0.)); #408246=DIRECTION('',(-0.829203833807377,-0.558946331948917,0.)); #408247=DIRECTION('',(-0.829203833807377,-0.558946331948917,0.)); #408248=DIRECTION('',(0.,0.,1.)); #408249=DIRECTION('center_axis',(-0.198956019232641,0.980008419561333,0.)); #408250=DIRECTION('ref_axis',(-0.980008419561333,-0.198956019232641,0.)); #408251=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #408252=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #408253=DIRECTION('',(0.,0.,1.)); #408254=DIRECTION('center_axis',(0.,1.,0.)); #408255=DIRECTION('ref_axis',(-1.,0.,0.)); #408256=DIRECTION('',(-1.,0.,0.)); #408257=DIRECTION('',(-1.,0.,0.)); #408258=DIRECTION('',(0.,0.,1.)); #408259=DIRECTION('center_axis',(0.191149856928815,0.981560865252937,0.)); #408260=DIRECTION('ref_axis',(-0.981560865252937,0.191149856928815,0.)); #408261=DIRECTION('',(-0.981560865252937,0.191149856928815,0.)); #408262=DIRECTION('',(-0.981560865252937,0.191149856928815,0.)); #408263=DIRECTION('',(0.,0.,1.)); #408264=DIRECTION('center_axis',(0.552278225391843,0.833659859749789,0.)); #408265=DIRECTION('ref_axis',(-0.833659859749789,0.552278225391843,0.)); #408266=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #408267=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #408268=DIRECTION('',(0.,0.,1.)); #408269=DIRECTION('center_axis',(0.707106781186559,0.707106781186536,0.)); #408270=DIRECTION('ref_axis',(-0.707106781186536,0.707106781186559,0.)); #408271=DIRECTION('',(-0.707106781186536,0.707106781186559,0.)); #408272=DIRECTION('',(-0.707106781186536,0.707106781186559,0.)); #408273=DIRECTION('',(0.,0.,1.)); #408274=DIRECTION('center_axis',(0.824789890119785,0.565439331101219,0.)); #408275=DIRECTION('ref_axis',(-0.565439331101219,0.824789890119785,0.)); #408276=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #408277=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #408278=DIRECTION('',(0.,0.,1.)); #408279=DIRECTION('center_axis',(0.976685690837557,0.214674314516579,0.)); #408280=DIRECTION('ref_axis',(-0.214674314516579,0.976685690837557,0.)); #408281=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #408282=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #408283=DIRECTION('',(0.,0.,1.)); #408284=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #408285=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #408286=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #408287=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #408288=DIRECTION('',(0.,0.,1.)); #408289=DIRECTION('center_axis',(0.833659859749733,-0.552278225391927,0.)); #408290=DIRECTION('ref_axis',(0.552278225391927,0.833659859749732,0.)); #408291=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #408292=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #408293=DIRECTION('',(0.,0.,1.)); #408294=DIRECTION('center_axis',(0.707106781186559,-0.707106781186536,0.)); #408295=DIRECTION('ref_axis',(0.707106781186536,0.707106781186559,0.)); #408296=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #408297=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #408298=DIRECTION('',(0.,0.,1.)); #408299=DIRECTION('center_axis',(0.558946331948775,-0.829203833807472,0.)); #408300=DIRECTION('ref_axis',(0.829203833807472,0.558946331948775,0.)); #408301=DIRECTION('',(0.829203833807472,0.558946331948775,0.)); #408302=DIRECTION('',(0.829203833807472,0.558946331948775,0.)); #408303=DIRECTION('center_axis',(0.,0.,1.)); #408304=DIRECTION('ref_axis',(1.,0.,0.)); #408305=DIRECTION('center_axis',(0.,0.,1.)); #408306=DIRECTION('ref_axis',(1.,0.,0.)); #408307=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #408308=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #408309=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #408310=DIRECTION('',(0.,0.,1.)); #408311=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #408312=DIRECTION('',(0.,0.,1.)); #408313=DIRECTION('center_axis',(0.,-1.,0.)); #408314=DIRECTION('ref_axis',(1.,0.,0.)); #408315=DIRECTION('',(1.,0.,0.)); #408316=DIRECTION('',(1.,0.,0.)); #408317=DIRECTION('',(0.,0.,1.)); #408318=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #408319=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #408320=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #408321=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #408322=DIRECTION('',(0.,0.,1.)); #408323=DIRECTION('center_axis',(-0.538911466745847,-0.842362410729396, 0.)); #408324=DIRECTION('ref_axis',(0.842362410729396,-0.538911466745847,0.)); #408325=DIRECTION('',(0.842362410729396,-0.538911466745847,0.)); #408326=DIRECTION('',(0.842362410729396,-0.538911466745847,0.)); #408327=DIRECTION('',(0.,0.,1.)); #408328=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #408329=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #408330=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #408331=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #408332=DIRECTION('',(0.,0.,1.)); #408333=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #408334=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #408335=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #408336=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #408337=DIRECTION('',(0.,0.,1.)); #408338=DIRECTION('center_axis',(-1.,0.,0.)); #408339=DIRECTION('ref_axis',(0.,-1.,0.)); #408340=DIRECTION('',(0.,-1.,0.)); #408341=DIRECTION('',(0.,-1.,0.)); #408342=DIRECTION('',(0.,0.,1.)); #408343=DIRECTION('center_axis',(-0.984479063846077,0.17550205938607,0.)); #408344=DIRECTION('ref_axis',(-0.17550205938607,-0.984479063846077,0.)); #408345=DIRECTION('',(-0.17550205938607,-0.984479063846077,0.)); #408346=DIRECTION('',(-0.17550205938607,-0.984479063846077,0.)); #408347=DIRECTION('',(0.,0.,1.)); #408348=DIRECTION('center_axis',(-0.850880796063565,0.52535880204888,0.)); #408349=DIRECTION('ref_axis',(-0.52535880204888,-0.850880796063565,0.)); #408350=DIRECTION('',(-0.52535880204888,-0.850880796063565,0.)); #408351=DIRECTION('',(-0.52535880204888,-0.850880796063565,0.)); #408352=DIRECTION('',(0.,0.,1.)); #408353=DIRECTION('center_axis',(-0.57846188858929,0.815709411156762,0.)); #408354=DIRECTION('ref_axis',(-0.815709411156762,-0.57846188858929,0.)); #408355=DIRECTION('',(-0.815709411156762,-0.57846188858929,0.)); #408356=DIRECTION('',(-0.815709411156762,-0.57846188858929,0.)); #408357=DIRECTION('',(0.,0.,1.)); #408358=DIRECTION('center_axis',(-0.214674314516579,0.976685690837557,0.)); #408359=DIRECTION('ref_axis',(-0.976685690837557,-0.214674314516579,0.)); #408360=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #408361=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #408362=DIRECTION('',(0.,0.,1.)); #408363=DIRECTION('center_axis',(0.183334818573673,0.983050529880615,0.)); #408364=DIRECTION('ref_axis',(-0.983050529880615,0.183334818573673,0.)); #408365=DIRECTION('',(-0.983050529880615,0.183334818573673,0.)); #408366=DIRECTION('',(-0.983050529880615,0.183334818573673,0.)); #408367=DIRECTION('',(0.,0.,1.)); #408368=DIRECTION('center_axis',(0.552278225391826,0.8336598597498,0.)); #408369=DIRECTION('ref_axis',(-0.8336598597498,0.552278225391826,0.)); #408370=DIRECTION('',(-0.8336598597498,0.552278225391826,0.)); #408371=DIRECTION('',(-0.8336598597498,0.552278225391826,0.)); #408372=DIRECTION('',(0.,0.,1.)); #408373=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #408374=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #408375=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #408376=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #408377=DIRECTION('',(0.,0.,1.)); #408378=DIRECTION('center_axis',(0.820212632122729,0.572058771549135,0.)); #408379=DIRECTION('ref_axis',(-0.572058771549135,0.820212632122729,0.)); #408380=DIRECTION('',(-0.572058771549135,0.820212632122729,0.)); #408381=DIRECTION('',(-0.572058771549135,0.820212632122729,0.)); #408382=DIRECTION('',(0.,0.,1.)); #408383=DIRECTION('center_axis',(0.973144071552962,0.230196907019457,0.)); #408384=DIRECTION('ref_axis',(-0.230196907019457,0.973144071552962,0.)); #408385=DIRECTION('',(-0.230196907019457,0.973144071552962,0.)); #408386=DIRECTION('',(-0.230196907019457,0.973144071552962,0.)); #408387=DIRECTION('',(0.,0.,1.)); #408388=DIRECTION('center_axis',(0.985842168327497,-0.167675934908202,0.)); #408389=DIRECTION('ref_axis',(0.167675934908202,0.985842168327497,0.)); #408390=DIRECTION('',(0.167675934908202,0.985842168327497,0.)); #408391=DIRECTION('',(0.167675934908202,0.985842168327497,0.)); #408392=DIRECTION('',(0.,0.,1.)); #408393=DIRECTION('center_axis',(0.842362410729438,-0.538911466745781,0.)); #408394=DIRECTION('ref_axis',(0.538911466745781,0.842362410729438,0.)); #408395=DIRECTION('',(0.538911466745781,0.842362410729438,0.)); #408396=DIRECTION('',(0.538911466745781,0.842362410729438,0.)); #408397=DIRECTION('',(0.,0.,1.)); #408398=DIRECTION('center_axis',(0.565504004714863,-0.824745549034035,0.)); #408399=DIRECTION('ref_axis',(0.824745549034035,0.565504004714863,0.)); #408400=DIRECTION('',(0.824745549034035,0.565504004714863,0.)); #408401=DIRECTION('',(0.824745549034035,0.565504004714863,0.)); #408402=DIRECTION('center_axis',(0.,0.,1.)); #408403=DIRECTION('ref_axis',(1.,0.,0.)); #408404=DIRECTION('center_axis',(0.,0.,1.)); #408405=DIRECTION('ref_axis',(1.,0.,0.)); #408406=DIRECTION('center_axis',(0.214642857802347,-0.976692604453644,0.)); #408407=DIRECTION('ref_axis',(0.976692604453643,0.214642857802347,0.)); #408408=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #408409=DIRECTION('',(0.,0.,1.)); #408410=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #408411=DIRECTION('',(0.,0.,1.)); #408412=DIRECTION('center_axis',(-0.183302952703363,-0.983056472197924, 0.)); #408413=DIRECTION('ref_axis',(0.983056472197924,-0.183302952703363,0.)); #408414=DIRECTION('',(0.983056472197924,-0.183302952703363,0.)); #408415=DIRECTION('',(0.983056472197924,-0.183302952703363,0.)); #408416=DIRECTION('',(0.,0.,1.)); #408417=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #408418=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #408419=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #408420=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #408421=DIRECTION('',(0.,0.,1.)); #408422=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #408423=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #408424=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #408425=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #408426=DIRECTION('',(0.,0.,1.)); #408427=DIRECTION('center_axis',(-0.820212632122705,-0.572058771549169, 0.)); #408428=DIRECTION('ref_axis',(0.572058771549169,-0.820212632122705,0.)); #408429=DIRECTION('',(0.572058771549169,-0.820212632122705,0.)); #408430=DIRECTION('',(0.572058771549169,-0.820212632122705,0.)); #408431=DIRECTION('',(0.,0.,1.)); #408432=DIRECTION('center_axis',(-0.973151456886361,-0.230165683714911, 0.)); #408433=DIRECTION('ref_axis',(0.230165683714911,-0.973151456886362,0.)); #408434=DIRECTION('',(0.230165683714911,-0.973151456886362,0.)); #408435=DIRECTION('',(0.230165683714911,-0.973151456886362,0.)); #408436=DIRECTION('',(0.,0.,1.)); #408437=DIRECTION('center_axis',(-0.985842168327499,0.16767593490819,0.)); #408438=DIRECTION('ref_axis',(-0.16767593490819,-0.985842168327499,0.)); #408439=DIRECTION('',(-0.16767593490819,-0.985842168327499,0.)); #408440=DIRECTION('',(-0.16767593490819,-0.985842168327499,0.)); #408441=DIRECTION('',(0.,0.,1.)); #408442=DIRECTION('center_axis',(-0.842377379073625,0.538888069291805,0.)); #408443=DIRECTION('ref_axis',(-0.538888069291805,-0.842377379073625,0.)); #408444=DIRECTION('',(-0.538888069291805,-0.842377379073625,0.)); #408445=DIRECTION('',(-0.538888069291805,-0.842377379073625,0.)); #408446=DIRECTION('',(0.,0.,1.)); #408447=DIRECTION('center_axis',(-0.565488626065806,0.824756093515051,0.)); #408448=DIRECTION('ref_axis',(-0.824756093515051,-0.565488626065806,0.)); #408449=DIRECTION('',(-0.824756093515051,-0.565488626065806,0.)); #408450=DIRECTION('',(-0.824756093515051,-0.565488626065806,0.)); #408451=DIRECTION('',(0.,0.,1.)); #408452=DIRECTION('center_axis',(-0.198956019232613,0.980008419561338,0.)); #408453=DIRECTION('ref_axis',(-0.980008419561339,-0.198956019232613,0.)); #408454=DIRECTION('',(-0.980008419561338,-0.198956019232613,0.)); #408455=DIRECTION('',(-0.980008419561338,-0.198956019232613,0.)); #408456=DIRECTION('',(0.,0.,1.)); #408457=DIRECTION('center_axis',(0.,1.,0.)); #408458=DIRECTION('ref_axis',(-1.,0.,0.)); #408459=DIRECTION('',(-1.,0.,0.)); #408460=DIRECTION('',(-1.,0.,0.)); #408461=DIRECTION('',(0.,0.,1.)); #408462=DIRECTION('center_axis',(0.183305290257339,0.983056036329401,0.)); #408463=DIRECTION('ref_axis',(-0.983056036329401,0.183305290257339,0.)); #408464=DIRECTION('',(-0.983056036329401,0.183305290257339,0.)); #408465=DIRECTION('',(-0.983056036329401,0.183305290257339,0.)); #408466=DIRECTION('',(0.,0.,1.)); #408467=DIRECTION('center_axis',(0.53891146674607,0.842362410729254,0.)); #408468=DIRECTION('ref_axis',(-0.842362410729254,0.53891146674607,0.)); #408469=DIRECTION('',(-0.842362410729254,0.53891146674607,0.)); #408470=DIRECTION('',(-0.842362410729254,0.53891146674607,0.)); #408471=DIRECTION('',(0.,0.,1.)); #408472=DIRECTION('center_axis',(0.824745549033875,0.565504004715097,0.)); #408473=DIRECTION('ref_axis',(-0.565504004715097,0.824745549033875,0.)); #408474=DIRECTION('',(-0.565504004715097,0.824745549033875,0.)); #408475=DIRECTION('',(-0.565504004715097,0.824745549033875,0.)); #408476=DIRECTION('',(0.,0.,1.)); #408477=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #408478=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #408479=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #408480=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #408481=DIRECTION('',(0.,0.,1.)); #408482=DIRECTION('center_axis',(1.,0.,0.)); #408483=DIRECTION('ref_axis',(0.,1.,0.)); #408484=DIRECTION('',(0.,1.,0.)); #408485=DIRECTION('',(0.,1.,0.)); #408486=DIRECTION('',(0.,0.,1.)); #408487=DIRECTION('center_axis',(0.984480215387564,-0.175495599689726,0.)); #408488=DIRECTION('ref_axis',(0.175495599689726,0.984480215387564,0.)); #408489=DIRECTION('',(0.175495599689726,0.984480215387564,0.)); #408490=DIRECTION('',(0.175495599689726,0.984480215387564,0.)); #408491=DIRECTION('',(0.,0.,1.)); #408492=DIRECTION('center_axis',(0.850871695642199,-0.525373540973438,0.)); #408493=DIRECTION('ref_axis',(0.525373540973438,0.850871695642199,0.)); #408494=DIRECTION('',(0.525373540973438,0.850871695642199,0.)); #408495=DIRECTION('',(0.525373540973438,0.850871695642199,0.)); #408496=DIRECTION('',(0.,0.,1.)); #408497=DIRECTION('center_axis',(0.578483828224771,-0.815693852178876,0.)); #408498=DIRECTION('ref_axis',(0.815693852178876,0.578483828224771,0.)); #408499=DIRECTION('',(0.815693852178876,0.578483828224771,0.)); #408500=DIRECTION('',(0.815693852178876,0.578483828224771,0.)); #408501=DIRECTION('center_axis',(0.,0.,1.)); #408502=DIRECTION('ref_axis',(1.,0.,0.)); #408503=DIRECTION('center_axis',(0.,0.,1.)); #408504=DIRECTION('ref_axis',(1.,0.,0.)); #408505=DIRECTION('center_axis',(0.230165683714756,-0.973151456886398,0.)); #408506=DIRECTION('ref_axis',(0.973151456886398,0.230165683714756,0.)); #408507=DIRECTION('',(0.973151456886398,0.230165683714756,0.)); #408508=DIRECTION('',(0.,0.,1.)); #408509=DIRECTION('',(0.973151456886398,0.230165683714756,0.)); #408510=DIRECTION('',(0.,0.,1.)); #408511=DIRECTION('center_axis',(-0.167643887112447,-0.985847618607373, 0.)); #408512=DIRECTION('ref_axis',(0.985847618607373,-0.167643887112447,0.)); #408513=DIRECTION('',(0.985847618607373,-0.167643887112447,0.)); #408514=DIRECTION('',(0.985847618607373,-0.167643887112447,0.)); #408515=DIRECTION('',(0.,0.,1.)); #408516=DIRECTION('center_axis',(-0.538911466746122,-0.84236241072922,0.)); #408517=DIRECTION('ref_axis',(0.84236241072922,-0.538911466746122,0.)); #408518=DIRECTION('',(0.84236241072922,-0.538911466746122,0.)); #408519=DIRECTION('',(0.84236241072922,-0.538911466746122,0.)); #408520=DIRECTION('',(0.,0.,1.)); #408521=DIRECTION('center_axis',(-0.824745549033873,-0.565504004715099, 0.)); #408522=DIRECTION('ref_axis',(0.565504004715099,-0.824745549033873,0.)); #408523=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #408524=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #408525=DIRECTION('',(0.,0.,1.)); #408526=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #408527=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #408528=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #408529=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #408530=DIRECTION('',(0.,0.,1.)); #408531=DIRECTION('center_axis',(-1.,0.,0.)); #408532=DIRECTION('ref_axis',(0.,-1.,0.)); #408533=DIRECTION('',(0.,-1.,0.)); #408534=DIRECTION('',(0.,-1.,0.)); #408535=DIRECTION('',(0.,0.,1.)); #408536=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #408537=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #408538=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #408539=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #408540=DIRECTION('',(0.,0.,1.)); #408541=DIRECTION('center_axis',(-0.842362410729396,0.538911466745847,0.)); #408542=DIRECTION('ref_axis',(-0.538911466745847,-0.842362410729396,0.)); #408543=DIRECTION('',(-0.538911466745847,-0.842362410729396,0.)); #408544=DIRECTION('',(-0.538911466745847,-0.842362410729396,0.)); #408545=DIRECTION('',(0.,0.,1.)); #408546=DIRECTION('center_axis',(-0.565504004714894,0.824745549034014,0.)); #408547=DIRECTION('ref_axis',(-0.824745549034014,-0.565504004714894,0.)); #408548=DIRECTION('',(-0.824745549034014,-0.565504004714894,0.)); #408549=DIRECTION('',(-0.824745549034014,-0.565504004714894,0.)); #408550=DIRECTION('',(0.,0.,1.)); #408551=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #408552=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #408553=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #408554=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #408555=DIRECTION('',(0.,0.,1.)); #408556=DIRECTION('center_axis',(0.,1.,0.)); #408557=DIRECTION('ref_axis',(-1.,0.,0.)); #408558=DIRECTION('',(-1.,0.,0.)); #408559=DIRECTION('',(-1.,0.,0.)); #408560=DIRECTION('',(0.,0.,1.)); #408561=DIRECTION('center_axis',(0.175502059386041,0.984479063846082,0.)); #408562=DIRECTION('ref_axis',(-0.984479063846082,0.175502059386041,0.)); #408563=DIRECTION('',(-0.984479063846082,0.175502059386041,0.)); #408564=DIRECTION('',(-0.984479063846082,0.175502059386041,0.)); #408565=DIRECTION('',(0.,0.,1.)); #408566=DIRECTION('center_axis',(0.525373540973569,0.850871695642118,0.)); #408567=DIRECTION('ref_axis',(-0.850871695642118,0.525373540973569,0.)); #408568=DIRECTION('',(-0.850871695642118,0.525373540973569,0.)); #408569=DIRECTION('',(-0.850871695642118,0.525373540973569,0.)); #408570=DIRECTION('',(0.,0.,1.)); #408571=DIRECTION('center_axis',(0.815693852178841,0.57848382822482,0.)); #408572=DIRECTION('ref_axis',(-0.57848382822482,0.815693852178841,0.)); #408573=DIRECTION('',(-0.57848382822482,0.815693852178841,0.)); #408574=DIRECTION('',(-0.57848382822482,0.815693852178841,0.)); #408575=DIRECTION('',(0.,0.,1.)); #408576=DIRECTION('center_axis',(0.97669260445365,0.214642857802316,0.)); #408577=DIRECTION('ref_axis',(-0.214642857802316,0.97669260445365,0.)); #408578=DIRECTION('',(-0.214642857802316,0.97669260445365,0.)); #408579=DIRECTION('',(-0.214642857802316,0.97669260445365,0.)); #408580=DIRECTION('',(0.,0.,1.)); #408581=DIRECTION('center_axis',(0.983056472197924,-0.183302952703363,0.)); #408582=DIRECTION('ref_axis',(0.183302952703363,0.983056472197924,0.)); #408583=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #408584=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #408585=DIRECTION('',(0.,0.,1.)); #408586=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #408587=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #408588=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #408589=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #408590=DIRECTION('',(0.,0.,1.)); #408591=DIRECTION('center_axis',(0.707106781186545,-0.70710678118655,0.)); #408592=DIRECTION('ref_axis',(0.70710678118655,0.707106781186545,0.)); #408593=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #408594=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #408595=DIRECTION('',(0.,0.,1.)); #408596=DIRECTION('center_axis',(0.572058771549325,-0.820212632122596,0.)); #408597=DIRECTION('ref_axis',(0.820212632122596,0.572058771549325,0.)); #408598=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #408599=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #408600=DIRECTION('center_axis',(0.,0.,1.)); #408601=DIRECTION('ref_axis',(1.,0.,0.)); #408602=DIRECTION('center_axis',(0.,0.,1.)); #408603=DIRECTION('ref_axis',(1.,0.,0.)); #408604=DIRECTION('center_axis',(0.214642857802347,-0.976692604453644,0.)); #408605=DIRECTION('ref_axis',(0.976692604453643,0.214642857802347,0.)); #408606=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #408607=DIRECTION('',(0.,0.,1.)); #408608=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #408609=DIRECTION('',(0.,0.,1.)); #408610=DIRECTION('center_axis',(-0.183302952703505,-0.983056472197898, 0.)); #408611=DIRECTION('ref_axis',(0.983056472197898,-0.183302952703505,0.)); #408612=DIRECTION('',(0.983056472197898,-0.183302952703505,0.)); #408613=DIRECTION('',(0.983056472197898,-0.183302952703505,0.)); #408614=DIRECTION('',(0.,0.,1.)); #408615=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #408616=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #408617=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #408618=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #408619=DIRECTION('',(0.,0.,1.)); #408620=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #408621=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #408622=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #408623=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #408624=DIRECTION('',(0.,0.,1.)); #408625=DIRECTION('center_axis',(0.,-1.,0.)); #408626=DIRECTION('ref_axis',(1.,0.,0.)); #408627=DIRECTION('',(1.,0.,0.)); #408628=DIRECTION('',(1.,0.,0.)); #408629=DIRECTION('',(0.,0.,1.)); #408630=DIRECTION('center_axis',(-0.17550205938607,-0.984479063846077,0.)); #408631=DIRECTION('ref_axis',(0.984479063846077,-0.17550205938607,0.)); #408632=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #408633=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #408634=DIRECTION('',(0.,0.,1.)); #408635=DIRECTION('center_axis',(-0.52535880204888,-0.850880796063565,0.)); #408636=DIRECTION('ref_axis',(0.850880796063565,-0.52535880204888,0.)); #408637=DIRECTION('',(0.850880796063565,-0.52535880204888,0.)); #408638=DIRECTION('',(0.850880796063565,-0.52535880204888,0.)); #408639=DIRECTION('',(0.,0.,1.)); #408640=DIRECTION('center_axis',(-0.815709411156762,-0.57846188858929,0.)); #408641=DIRECTION('ref_axis',(0.57846188858929,-0.815709411156762,0.)); #408642=DIRECTION('',(0.57846188858929,-0.815709411156762,0.)); #408643=DIRECTION('',(0.57846188858929,-0.815709411156762,0.)); #408644=DIRECTION('',(0.,0.,1.)); #408645=DIRECTION('center_axis',(-0.976685690837527,-0.214674314516719, 0.)); #408646=DIRECTION('ref_axis',(0.214674314516719,-0.976685690837527,0.)); #408647=DIRECTION('',(0.214674314516719,-0.976685690837527,0.)); #408648=DIRECTION('',(0.214674314516719,-0.976685690837527,0.)); #408649=DIRECTION('',(0.,0.,1.)); #408650=DIRECTION('center_axis',(-0.983050529880594,0.183334818573788,0.)); #408651=DIRECTION('ref_axis',(-0.183334818573788,-0.983050529880594,0.)); #408652=DIRECTION('',(-0.183334818573788,-0.983050529880594,0.)); #408653=DIRECTION('',(-0.183334818573788,-0.983050529880594,0.)); #408654=DIRECTION('',(0.,0.,1.)); #408655=DIRECTION('center_axis',(-0.833659859749778,0.552278225391859,0.)); #408656=DIRECTION('ref_axis',(-0.552278225391859,-0.833659859749778,0.)); #408657=DIRECTION('',(-0.552278225391859,-0.833659859749778,0.)); #408658=DIRECTION('',(-0.552278225391859,-0.833659859749778,0.)); #408659=DIRECTION('',(0.,0.,1.)); #408660=DIRECTION('center_axis',(-0.707110741027282,0.707102821323638,0.)); #408661=DIRECTION('ref_axis',(-0.707102821323638,-0.707110741027282,0.)); #408662=DIRECTION('',(-0.707102821323638,-0.707110741027282,0.)); #408663=DIRECTION('',(-0.707102821323638,-0.707110741027282,0.)); #408664=DIRECTION('',(0.,0.,1.)); #408665=DIRECTION('center_axis',(-1.,0.,0.)); #408666=DIRECTION('ref_axis',(0.,-1.,0.)); #408667=DIRECTION('',(0.,-1.,0.)); #408668=DIRECTION('',(0.,-1.,0.)); #408669=DIRECTION('',(0.,0.,1.)); #408670=DIRECTION('center_axis',(-0.707108784529046,-0.707104777838373, 0.)); #408671=DIRECTION('ref_axis',(0.707104777838373,-0.707108784529046,0.)); #408672=DIRECTION('',(0.707104777838373,-0.707108784529046,0.)); #408673=DIRECTION('',(0.707104777838373,-0.707108784529046,0.)); #408674=DIRECTION('',(0.,0.,1.)); #408675=DIRECTION('center_axis',(-0.82920383380746,-0.558946331948794,0.)); #408676=DIRECTION('ref_axis',(0.558946331948794,-0.82920383380746,0.)); #408677=DIRECTION('',(0.558946331948794,-0.82920383380746,0.)); #408678=DIRECTION('',(0.558946331948794,-0.82920383380746,0.)); #408679=DIRECTION('',(0.,0.,1.)); #408680=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #408681=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #408682=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #408683=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #408684=DIRECTION('',(0.,0.,1.)); #408685=DIRECTION('center_axis',(-1.,0.,0.)); #408686=DIRECTION('ref_axis',(0.,-1.,0.)); #408687=DIRECTION('',(0.,-1.,0.)); #408688=DIRECTION('',(0.,-1.,0.)); #408689=DIRECTION('',(0.,0.,1.)); #408690=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #408691=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #408692=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #408693=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #408694=DIRECTION('',(0.,0.,1.)); #408695=DIRECTION('center_axis',(-0.842362410729329,0.538911466745951,0.)); #408696=DIRECTION('ref_axis',(-0.538911466745951,-0.842362410729329,0.)); #408697=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #408698=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #408699=DIRECTION('',(0.,0.,1.)); #408700=DIRECTION('center_axis',(-0.565504004714963,0.824745549033967,0.)); #408701=DIRECTION('ref_axis',(-0.824745549033967,-0.565504004714963,0.)); #408702=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #408703=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #408704=DIRECTION('',(0.,0.,1.)); #408705=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #408706=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #408707=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #408708=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #408709=DIRECTION('',(0.,0.,1.)); #408710=DIRECTION('center_axis',(0.,1.,0.)); #408711=DIRECTION('ref_axis',(-1.,0.,0.)); #408712=DIRECTION('',(-1.,0.,0.)); #408713=DIRECTION('',(-1.,0.,0.)); #408714=DIRECTION('',(0.,0.,1.)); #408715=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #408716=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #408717=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #408718=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #408719=DIRECTION('',(0.,0.,1.)); #408720=DIRECTION('center_axis',(-1.,0.,0.)); #408721=DIRECTION('ref_axis',(0.,-1.,0.)); #408722=DIRECTION('',(0.,-1.,0.)); #408723=DIRECTION('',(0.,-1.,0.)); #408724=DIRECTION('',(0.,0.,1.)); #408725=DIRECTION('center_axis',(-0.984479063846111,0.17550205938588,0.)); #408726=DIRECTION('ref_axis',(-0.17550205938588,-0.984479063846111,0.)); #408727=DIRECTION('',(-0.17550205938588,-0.984479063846111,0.)); #408728=DIRECTION('',(-0.17550205938588,-0.984479063846111,0.)); #408729=DIRECTION('',(0.,0.,1.)); #408730=DIRECTION('center_axis',(-0.850871695642134,0.525373540973544,0.)); #408731=DIRECTION('ref_axis',(-0.525373540973544,-0.850871695642134,0.)); #408732=DIRECTION('',(-0.525373540973544,-0.850871695642134,0.)); #408733=DIRECTION('',(-0.525373540973544,-0.850871695642134,0.)); #408734=DIRECTION('',(0.,0.,1.)); #408735=DIRECTION('center_axis',(-0.578483828224723,0.81569385217891,0.)); #408736=DIRECTION('ref_axis',(-0.81569385217891,-0.578483828224723,0.)); #408737=DIRECTION('',(-0.81569385217891,-0.578483828224723,0.)); #408738=DIRECTION('',(-0.81569385217891,-0.578483828224723,0.)); #408739=DIRECTION('',(0.,0.,1.)); #408740=DIRECTION('center_axis',(-0.214674314516719,0.976685690837527,0.)); #408741=DIRECTION('ref_axis',(-0.976685690837527,-0.214674314516719,0.)); #408742=DIRECTION('',(-0.976685690837527,-0.214674314516719,0.)); #408743=DIRECTION('',(-0.976685690837527,-0.214674314516719,0.)); #408744=DIRECTION('',(0.,0.,1.)); #408745=DIRECTION('center_axis',(0.183334818573788,0.983050529880594,0.)); #408746=DIRECTION('ref_axis',(-0.983050529880594,0.183334818573788,0.)); #408747=DIRECTION('',(-0.983050529880594,0.183334818573788,0.)); #408748=DIRECTION('',(-0.983050529880594,0.183334818573788,0.)); #408749=DIRECTION('',(0.,0.,1.)); #408750=DIRECTION('center_axis',(0.552278225391792,0.833659859749822,0.)); #408751=DIRECTION('ref_axis',(-0.833659859749822,0.552278225391792,0.)); #408752=DIRECTION('',(-0.833659859749822,0.552278225391792,0.)); #408753=DIRECTION('',(-0.833659859749822,0.552278225391792,0.)); #408754=DIRECTION('',(0.,0.,1.)); #408755=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #408756=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #408757=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #408758=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #408759=DIRECTION('',(0.,0.,1.)); #408760=DIRECTION('center_axis',(0.829203833807412,0.558946331948864,0.)); #408761=DIRECTION('ref_axis',(-0.558946331948864,0.829203833807412,0.)); #408762=DIRECTION('',(-0.558946331948864,0.829203833807412,0.)); #408763=DIRECTION('',(-0.558946331948864,0.829203833807412,0.)); #408764=DIRECTION('',(0.,0.,1.)); #408765=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #408766=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #408767=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #408768=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #408769=DIRECTION('',(0.,0.,1.)); #408770=DIRECTION('center_axis',(1.,0.,0.)); #408771=DIRECTION('ref_axis',(0.,1.,0.)); #408772=DIRECTION('',(0.,1.,0.)); #408773=DIRECTION('',(0.,1.,0.)); #408774=DIRECTION('',(0.,0.,1.)); #408775=DIRECTION('center_axis',(0.984480215387564,-0.175495599689726,0.)); #408776=DIRECTION('ref_axis',(0.175495599689726,0.984480215387564,0.)); #408777=DIRECTION('',(0.175495599689726,0.984480215387564,0.)); #408778=DIRECTION('',(0.175495599689726,0.984480215387564,0.)); #408779=DIRECTION('',(0.,0.,1.)); #408780=DIRECTION('center_axis',(0.850871695642159,-0.525373540973504,0.)); #408781=DIRECTION('ref_axis',(0.525373540973504,0.850871695642159,0.)); #408782=DIRECTION('',(0.525373540973504,0.850871695642159,0.)); #408783=DIRECTION('',(0.525373540973504,0.850871695642159,0.)); #408784=DIRECTION('',(0.,0.,1.)); #408785=DIRECTION('center_axis',(0.578483828224751,-0.81569385217889,0.)); #408786=DIRECTION('ref_axis',(0.81569385217889,0.578483828224751,0.)); #408787=DIRECTION('',(0.81569385217889,0.578483828224751,0.)); #408788=DIRECTION('',(0.81569385217889,0.578483828224751,0.)); #408789=DIRECTION('center_axis',(0.,0.,1.)); #408790=DIRECTION('ref_axis',(1.,0.,0.)); #408791=DIRECTION('center_axis',(0.,0.,1.)); #408792=DIRECTION('ref_axis',(1.,0.,0.)); #408793=DIRECTION('center_axis',(0.214674314516579,-0.976685690837557,0.)); #408794=DIRECTION('ref_axis',(0.976685690837557,0.214674314516579,0.)); #408795=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #408796=DIRECTION('',(0.,0.,1.)); #408797=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #408798=DIRECTION('',(0.,0.,1.)); #408799=DIRECTION('center_axis',(-0.183334818573505,-0.983050529880647, 0.)); #408800=DIRECTION('ref_axis',(0.983050529880647,-0.183334818573505,0.)); #408801=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #408802=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #408803=DIRECTION('',(0.,0.,1.)); #408804=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #408805=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #408806=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #408807=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #408808=DIRECTION('',(0.,0.,1.)); #408809=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #408810=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #408811=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #408812=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #408813=DIRECTION('',(0.,0.,1.)); #408814=DIRECTION('center_axis',(-0.829203833807507,-0.558946331948723, 0.)); #408815=DIRECTION('ref_axis',(0.558946331948723,-0.829203833807507,0.)); #408816=DIRECTION('',(0.558946331948723,-0.829203833807507,0.)); #408817=DIRECTION('',(0.558946331948723,-0.829203833807507,0.)); #408818=DIRECTION('',(0.,0.,1.)); #408819=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #408820=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #408821=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #408822=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #408823=DIRECTION('',(0.,0.,1.)); #408824=DIRECTION('center_axis',(-1.,0.,0.)); #408825=DIRECTION('ref_axis',(0.,-1.,0.)); #408826=DIRECTION('',(0.,-1.,0.)); #408827=DIRECTION('',(0.,-1.,0.)); #408828=DIRECTION('',(0.,0.,1.)); #408829=DIRECTION('center_axis',(-0.984480215387569,0.175495599689697,0.)); #408830=DIRECTION('ref_axis',(-0.175495599689697,-0.984480215387569,0.)); #408831=DIRECTION('',(-0.175495599689697,-0.984480215387569,0.)); #408832=DIRECTION('',(-0.175495599689697,-0.984480215387569,0.)); #408833=DIRECTION('',(0.,0.,1.)); #408834=DIRECTION('center_axis',(-0.850871695642118,0.525373540973569,0.)); #408835=DIRECTION('ref_axis',(-0.525373540973569,-0.850871695642118,0.)); #408836=DIRECTION('',(-0.525373540973569,-0.850871695642118,0.)); #408837=DIRECTION('',(-0.525373540973569,-0.850871695642118,0.)); #408838=DIRECTION('',(0.,0.,1.)); #408839=DIRECTION('center_axis',(-0.578483828224848,0.815693852178821,0.)); #408840=DIRECTION('ref_axis',(-0.815693852178821,-0.578483828224848,0.)); #408841=DIRECTION('',(-0.815693852178821,-0.578483828224848,0.)); #408842=DIRECTION('',(-0.815693852178821,-0.578483828224848,0.)); #408843=DIRECTION('',(0.,0.,1.)); #408844=DIRECTION('center_axis',(-0.214642857802347,0.976692604453644,0.)); #408845=DIRECTION('ref_axis',(-0.976692604453643,-0.214642857802347,0.)); #408846=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #408847=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #408848=DIRECTION('',(0.,0.,1.)); #408849=DIRECTION('center_axis',(0.183302952703505,0.983056472197898,0.)); #408850=DIRECTION('ref_axis',(-0.983056472197898,0.183302952703505,0.)); #408851=DIRECTION('',(-0.983056472197898,0.183302952703505,0.)); #408852=DIRECTION('',(-0.983056472197898,0.183302952703505,0.)); #408853=DIRECTION('',(0.,0.,1.)); #408854=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #408855=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #408856=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #408857=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #408858=DIRECTION('',(0.,0.,1.)); #408859=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #408860=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #408861=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #408862=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #408863=DIRECTION('',(0.,0.,1.)); #408864=DIRECTION('center_axis',(0.824789890119685,0.565439331101364,0.)); #408865=DIRECTION('ref_axis',(-0.565439331101364,0.824789890119685,0.)); #408866=DIRECTION('',(-0.565439331101364,0.824789890119685,0.)); #408867=DIRECTION('',(-0.565439331101364,0.824789890119685,0.)); #408868=DIRECTION('',(0.,0.,1.)); #408869=DIRECTION('center_axis',(0.976694123650575,0.214635944861141,0.)); #408870=DIRECTION('ref_axis',(-0.214635944861141,0.976694123650575,0.)); #408871=DIRECTION('',(-0.214635944861141,0.976694123650575,0.)); #408872=DIRECTION('',(-0.214635944861141,0.976694123650575,0.)); #408873=DIRECTION('',(0.,0.,1.)); #408874=DIRECTION('center_axis',(0.983055364215593,-0.183308894729493,0.)); #408875=DIRECTION('ref_axis',(0.183308894729493,0.983055364215593,0.)); #408876=DIRECTION('',(0.183308894729493,0.983055364215593,0.)); #408877=DIRECTION('',(0.183308894729493,0.983055364215593,0.)); #408878=DIRECTION('',(0.,0.,1.)); #408879=DIRECTION('center_axis',(0.83366991611508,-0.552263045083297,0.)); #408880=DIRECTION('ref_axis',(0.552263045083297,0.83366991611508,0.)); #408881=DIRECTION('',(0.552263045083297,0.83366991611508,0.)); #408882=DIRECTION('',(0.552263045083297,0.83366991611508,0.)); #408883=DIRECTION('',(0.,0.,1.)); #408884=DIRECTION('center_axis',(0.707061847003295,-0.70715171251457,0.)); #408885=DIRECTION('ref_axis',(0.70715171251457,0.707061847003295,0.)); #408886=DIRECTION('',(0.70715171251457,0.707061847003295,0.)); #408887=DIRECTION('',(0.70715171251457,0.707061847003295,0.)); #408888=DIRECTION('',(0.,0.,1.)); #408889=DIRECTION('center_axis',(0.565439331101182,-0.82478989011981,0.)); #408890=DIRECTION('ref_axis',(0.82478989011981,0.565439331101182,0.)); #408891=DIRECTION('',(0.82478989011981,0.565439331101182,0.)); #408892=DIRECTION('',(0.82478989011981,0.565439331101182,0.)); #408893=DIRECTION('center_axis',(0.,0.,1.)); #408894=DIRECTION('ref_axis',(1.,0.,0.)); #408895=DIRECTION('center_axis',(0.,0.,1.)); #408896=DIRECTION('ref_axis',(1.,0.,0.)); #408897=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #408898=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #408899=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #408900=DIRECTION('',(0.,0.,1.)); #408901=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #408902=DIRECTION('',(0.,0.,1.)); #408903=DIRECTION('center_axis',(0.,-1.,0.)); #408904=DIRECTION('ref_axis',(1.,0.,0.)); #408905=DIRECTION('',(1.,0.,0.)); #408906=DIRECTION('',(1.,0.,0.)); #408907=DIRECTION('',(0.,0.,1.)); #408908=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #408909=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #408910=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #408911=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #408912=DIRECTION('',(0.,0.,1.)); #408913=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #408914=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #408915=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #408916=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #408917=DIRECTION('',(0.,0.,1.)); #408918=DIRECTION('center_axis',(-0.824745549033852,-0.565504004715131, 0.)); #408919=DIRECTION('ref_axis',(0.565504004715131,-0.824745549033852,0.)); #408920=DIRECTION('',(0.565504004715131,-0.824745549033852,0.)); #408921=DIRECTION('',(0.565504004715131,-0.824745549033852,0.)); #408922=DIRECTION('',(0.,0.,1.)); #408923=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #408924=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #408925=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #408926=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #408927=DIRECTION('',(0.,0.,1.)); #408928=DIRECTION('center_axis',(-1.,0.,0.)); #408929=DIRECTION('ref_axis',(0.,-1.,0.)); #408930=DIRECTION('',(0.,-1.,0.)); #408931=DIRECTION('',(0.,-1.,0.)); #408932=DIRECTION('',(0.,0.,1.)); #408933=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #408934=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #408935=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #408936=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #408937=DIRECTION('',(0.,0.,1.)); #408938=DIRECTION('center_axis',(0.,-1.,0.)); #408939=DIRECTION('ref_axis',(1.,0.,0.)); #408940=DIRECTION('',(1.,0.,0.)); #408941=DIRECTION('',(1.,0.,0.)); #408942=DIRECTION('',(0.,0.,1.)); #408943=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #408944=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #408945=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #408946=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #408947=DIRECTION('',(0.,0.,1.)); #408948=DIRECTION('center_axis',(-0.538911466745951,-0.842362410729329, 0.)); #408949=DIRECTION('ref_axis',(0.842362410729329,-0.538911466745951,0.)); #408950=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #408951=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #408952=DIRECTION('',(0.,0.,1.)); #408953=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #408954=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #408955=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #408956=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #408957=DIRECTION('',(0.,0.,1.)); #408958=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #408959=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #408960=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #408961=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #408962=DIRECTION('',(0.,0.,1.)); #408963=DIRECTION('center_axis',(-1.,0.,0.)); #408964=DIRECTION('ref_axis',(0.,-1.,0.)); #408965=DIRECTION('',(0.,-1.,0.)); #408966=DIRECTION('',(0.,-1.,0.)); #408967=DIRECTION('',(0.,0.,1.)); #408968=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #408969=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #408970=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #408971=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #408972=DIRECTION('',(0.,0.,1.)); #408973=DIRECTION('center_axis',(0.,-1.,0.)); #408974=DIRECTION('ref_axis',(1.,0.,0.)); #408975=DIRECTION('',(1.,0.,0.)); #408976=DIRECTION('',(1.,0.,0.)); #408977=DIRECTION('',(0.,0.,1.)); #408978=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #408979=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #408980=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #408981=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #408982=DIRECTION('',(0.,0.,1.)); #408983=DIRECTION('center_axis',(-0.538911466745951,-0.842362410729329, 0.)); #408984=DIRECTION('ref_axis',(0.842362410729329,-0.538911466745951,0.)); #408985=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #408986=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #408987=DIRECTION('',(0.,0.,1.)); #408988=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #408989=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #408990=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #408991=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #408992=DIRECTION('',(0.,0.,1.)); #408993=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #408994=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #408995=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #408996=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #408997=DIRECTION('',(0.,0.,1.)); #408998=DIRECTION('center_axis',(-1.,0.,0.)); #408999=DIRECTION('ref_axis',(0.,-1.,0.)); #409000=DIRECTION('',(0.,-1.,0.)); #409001=DIRECTION('',(0.,-1.,0.)); #409002=DIRECTION('',(0.,0.,1.)); #409003=DIRECTION('center_axis',(-0.983056036329416,0.183305290257262,0.)); #409004=DIRECTION('ref_axis',(-0.183305290257262,-0.983056036329416,0.)); #409005=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #409006=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #409007=DIRECTION('',(0.,0.,1.)); #409008=DIRECTION('center_axis',(-0.842362410729263,0.538911466746055,0.)); #409009=DIRECTION('ref_axis',(-0.538911466746055,-0.842362410729263,0.)); #409010=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #409011=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #409012=DIRECTION('',(0.,0.,1.)); #409013=DIRECTION('center_axis',(-0.565504004715099,0.824745549033873,0.)); #409014=DIRECTION('ref_axis',(-0.824745549033873,-0.565504004715099,0.)); #409015=DIRECTION('',(-0.824745549033873,-0.565504004715099,0.)); #409016=DIRECTION('',(-0.824745549033873,-0.565504004715099,0.)); #409017=DIRECTION('',(0.,0.,1.)); #409018=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #409019=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #409020=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #409021=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #409022=DIRECTION('',(0.,0.,1.)); #409023=DIRECTION('center_axis',(0.,1.,0.)); #409024=DIRECTION('ref_axis',(-1.,0.,0.)); #409025=DIRECTION('',(-1.,0.,0.)); #409026=DIRECTION('',(-1.,0.,0.)); #409027=DIRECTION('',(0.,0.,1.)); #409028=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #409029=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #409030=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #409031=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #409032=DIRECTION('',(0.,0.,1.)); #409033=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #409034=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #409035=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #409036=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #409037=DIRECTION('',(0.,0.,1.)); #409038=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #409039=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #409040=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #409041=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #409042=DIRECTION('',(0.,0.,1.)); #409043=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #409044=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #409045=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #409046=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #409047=DIRECTION('',(0.,0.,1.)); #409048=DIRECTION('center_axis',(1.,0.,0.)); #409049=DIRECTION('ref_axis',(0.,1.,0.)); #409050=DIRECTION('',(0.,1.,0.)); #409051=DIRECTION('',(0.,1.,0.)); #409052=DIRECTION('',(0.,0.,1.)); #409053=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #409054=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #409055=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #409056=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #409057=DIRECTION('',(0.,0.,1.)); #409058=DIRECTION('center_axis',(0.,1.,0.)); #409059=DIRECTION('ref_axis',(-1.,0.,0.)); #409060=DIRECTION('',(-1.,0.,0.)); #409061=DIRECTION('',(-1.,0.,0.)); #409062=DIRECTION('',(0.,0.,1.)); #409063=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #409064=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #409065=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #409066=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #409067=DIRECTION('',(0.,0.,1.)); #409068=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #409069=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #409070=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #409071=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #409072=DIRECTION('',(0.,0.,1.)); #409073=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #409074=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #409075=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #409076=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #409077=DIRECTION('',(0.,0.,1.)); #409078=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #409079=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #409080=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #409081=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #409082=DIRECTION('',(0.,0.,1.)); #409083=DIRECTION('center_axis',(1.,0.,0.)); #409084=DIRECTION('ref_axis',(0.,1.,0.)); #409085=DIRECTION('',(0.,1.,0.)); #409086=DIRECTION('',(0.,1.,0.)); #409087=DIRECTION('',(0.,0.,1.)); #409088=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #409089=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #409090=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #409091=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #409092=DIRECTION('',(0.,0.,1.)); #409093=DIRECTION('center_axis',(0.833659859749822,-0.552278225391792,0.)); #409094=DIRECTION('ref_axis',(0.552278225391792,0.833659859749822,0.)); #409095=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #409096=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #409097=DIRECTION('',(0.,0.,1.)); #409098=DIRECTION('center_axis',(0.707108784529046,-0.707104777838373,0.)); #409099=DIRECTION('ref_axis',(0.707104777838373,0.707108784529046,0.)); #409100=DIRECTION('',(0.707104777838373,0.707108784529046,0.)); #409101=DIRECTION('',(0.707104777838373,0.707108784529046,0.)); #409102=DIRECTION('',(0.,0.,1.)); #409103=DIRECTION('center_axis',(1.,0.,0.)); #409104=DIRECTION('ref_axis',(0.,1.,0.)); #409105=DIRECTION('',(0.,1.,0.)); #409106=DIRECTION('',(0.,1.,0.)); #409107=DIRECTION('',(0.,0.,1.)); #409108=DIRECTION('center_axis',(0.707110741027299,0.70710282132362,0.)); #409109=DIRECTION('ref_axis',(-0.70710282132362,0.707110741027299,0.)); #409110=DIRECTION('',(-0.70710282132362,0.707110741027299,0.)); #409111=DIRECTION('',(-0.70710282132362,0.707110741027299,0.)); #409112=DIRECTION('',(0.,0.,1.)); #409113=DIRECTION('center_axis',(0.820212632122573,0.572058771549358,0.)); #409114=DIRECTION('ref_axis',(-0.572058771549358,0.820212632122573,0.)); #409115=DIRECTION('',(-0.572058771549358,0.820212632122573,0.)); #409116=DIRECTION('',(-0.572058771549358,0.820212632122573,0.)); #409117=DIRECTION('',(0.,0.,1.)); #409118=DIRECTION('center_axis',(0.973144071552994,0.230196907019318,0.)); #409119=DIRECTION('ref_axis',(-0.230196907019318,0.973144071552994,0.)); #409120=DIRECTION('',(-0.230196907019318,0.973144071552994,0.)); #409121=DIRECTION('',(-0.230196907019318,0.973144071552994,0.)); #409122=DIRECTION('',(0.,0.,1.)); #409123=DIRECTION('center_axis',(0.985842168327521,-0.16767593490806,0.)); #409124=DIRECTION('ref_axis',(0.16767593490806,0.985842168327521,0.)); #409125=DIRECTION('',(0.167675934908059,0.985842168327521,0.)); #409126=DIRECTION('',(0.167675934908059,0.985842168327521,0.)); #409127=DIRECTION('',(0.,0.,1.)); #409128=DIRECTION('center_axis',(0.84237737907358,-0.538888069291876,0.)); #409129=DIRECTION('ref_axis',(0.538888069291876,0.84237737907358,0.)); #409130=DIRECTION('',(0.538888069291876,0.84237737907358,0.)); #409131=DIRECTION('',(0.538888069291876,0.84237737907358,0.)); #409132=DIRECTION('',(0.,0.,1.)); #409133=DIRECTION('center_axis',(0.565488626065756,-0.824756093515085,0.)); #409134=DIRECTION('ref_axis',(0.824756093515085,0.565488626065756,0.)); #409135=DIRECTION('',(0.824756093515085,0.565488626065756,0.)); #409136=DIRECTION('',(0.824756093515085,0.565488626065756,0.)); #409137=DIRECTION('center_axis',(0.,0.,1.)); #409138=DIRECTION('ref_axis',(1.,0.,0.)); #409139=DIRECTION('center_axis',(0.,0.,1.)); #409140=DIRECTION('ref_axis',(1.,0.,0.)); #409141=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #409142=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #409143=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #409144=DIRECTION('',(0.,0.,1.)); #409145=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #409146=DIRECTION('',(0.,0.,1.)); #409147=DIRECTION('center_axis',(0.,-1.,0.)); #409148=DIRECTION('ref_axis',(1.,0.,0.)); #409149=DIRECTION('',(1.,0.,0.)); #409150=DIRECTION('',(1.,0.,0.)); #409151=DIRECTION('',(0.,0.,1.)); #409152=DIRECTION('center_axis',(-0.191149856928931,-0.981560865252914, 0.)); #409153=DIRECTION('ref_axis',(0.981560865252914,-0.191149856928931,0.)); #409154=DIRECTION('',(0.981560865252914,-0.191149856928931,0.)); #409155=DIRECTION('',(0.981560865252914,-0.191149856928931,0.)); #409156=DIRECTION('',(0.,0.,1.)); #409157=DIRECTION('center_axis',(-0.552278225391927,-0.833659859749733, 0.)); #409158=DIRECTION('ref_axis',(0.833659859749732,-0.552278225391927,0.)); #409159=DIRECTION('',(0.833659859749732,-0.552278225391927,0.)); #409160=DIRECTION('',(0.833659859749732,-0.552278225391927,0.)); #409161=DIRECTION('',(0.,0.,1.)); #409162=DIRECTION('center_axis',(-0.707106781186543,-0.707106781186552, 0.)); #409163=DIRECTION('ref_axis',(0.707106781186552,-0.707106781186543,0.)); #409164=DIRECTION('',(0.707106781186552,-0.707106781186543,0.)); #409165=DIRECTION('',(0.707106781186552,-0.707106781186543,0.)); #409166=DIRECTION('',(0.,0.,1.)); #409167=DIRECTION('center_axis',(-0.820212632122612,-0.572058771549303, 0.)); #409168=DIRECTION('ref_axis',(0.572058771549303,-0.820212632122612,0.)); #409169=DIRECTION('',(0.572058771549303,-0.820212632122612,0.)); #409170=DIRECTION('',(0.572058771549303,-0.820212632122612,0.)); #409171=DIRECTION('',(0.,0.,1.)); #409172=DIRECTION('center_axis',(-0.973151456886399,-0.230165683714752, 0.)); #409173=DIRECTION('ref_axis',(0.230165683714752,-0.973151456886399,0.)); #409174=DIRECTION('',(0.230165683714752,-0.973151456886399,0.)); #409175=DIRECTION('',(0.230165683714752,-0.973151456886399,0.)); #409176=DIRECTION('',(0.,0.,1.)); #409177=DIRECTION('center_axis',(-0.985847618607386,0.167643887112376,0.)); #409178=DIRECTION('ref_axis',(-0.167643887112376,-0.985847618607385,0.)); #409179=DIRECTION('',(-0.167643887112376,-0.985847618607385,0.)); #409180=DIRECTION('',(-0.167643887112376,-0.985847618607385,0.)); #409181=DIRECTION('',(0.,0.,1.)); #409182=DIRECTION('center_axis',(-0.842362410729287,0.538911466746018,0.)); #409183=DIRECTION('ref_axis',(-0.538911466746018,-0.842362410729287,0.)); #409184=DIRECTION('',(-0.538911466746018,-0.842362410729287,0.)); #409185=DIRECTION('',(-0.538911466746018,-0.842362410729287,0.)); #409186=DIRECTION('',(0.,0.,1.)); #409187=DIRECTION('center_axis',(-0.565504004715097,0.824745549033875,0.)); #409188=DIRECTION('ref_axis',(-0.824745549033875,-0.565504004715097,0.)); #409189=DIRECTION('',(-0.824745549033875,-0.565504004715097,0.)); #409190=DIRECTION('',(-0.824745549033875,-0.565504004715097,0.)); #409191=DIRECTION('',(0.,0.,1.)); #409192=DIRECTION('center_axis',(-0.198956019232557,0.98000841956135,0.)); #409193=DIRECTION('ref_axis',(-0.98000841956135,-0.198956019232557,0.)); #409194=DIRECTION('',(-0.98000841956135,-0.198956019232557,0.)); #409195=DIRECTION('',(-0.98000841956135,-0.198956019232557,0.)); #409196=DIRECTION('',(0.,0.,1.)); #409197=DIRECTION('center_axis',(0.,1.,0.)); #409198=DIRECTION('ref_axis',(-1.,0.,0.)); #409199=DIRECTION('',(-1.,0.,0.)); #409200=DIRECTION('',(-1.,0.,0.)); #409201=DIRECTION('',(0.,0.,1.)); #409202=DIRECTION('center_axis',(0.19114985692877,0.981560865252946,0.)); #409203=DIRECTION('ref_axis',(-0.981560865252945,0.19114985692877,0.)); #409204=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #409205=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #409206=DIRECTION('',(0.,0.,1.)); #409207=DIRECTION('center_axis',(0.552278225391859,0.833659859749778,0.)); #409208=DIRECTION('ref_axis',(-0.833659859749778,0.552278225391859,0.)); #409209=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #409210=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #409211=DIRECTION('',(0.,0.,1.)); #409212=DIRECTION('center_axis',(0.707106781186557,0.707106781186538,0.)); #409213=DIRECTION('ref_axis',(-0.707106781186538,0.707106781186557,0.)); #409214=DIRECTION('',(-0.707106781186538,0.707106781186557,0.)); #409215=DIRECTION('',(-0.707106781186538,0.707106781186557,0.)); #409216=DIRECTION('',(0.,0.,1.)); #409217=DIRECTION('center_axis',(0.820212632122596,0.572058771549325,0.)); #409218=DIRECTION('ref_axis',(-0.572058771549325,0.820212632122596,0.)); #409219=DIRECTION('',(-0.572058771549325,0.820212632122596,0.)); #409220=DIRECTION('',(-0.572058771549325,0.820212632122596,0.)); #409221=DIRECTION('',(0.,0.,1.)); #409222=DIRECTION('center_axis',(0.97315145688639,0.230165683714788,0.)); #409223=DIRECTION('ref_axis',(-0.230165683714788,0.97315145688639,0.)); #409224=DIRECTION('',(-0.230165683714788,0.97315145688639,0.)); #409225=DIRECTION('',(-0.230165683714788,0.97315145688639,0.)); #409226=DIRECTION('',(0.,0.,1.)); #409227=DIRECTION('center_axis',(0.985847618607373,-0.167643887112447,0.)); #409228=DIRECTION('ref_axis',(0.167643887112447,0.985847618607373,0.)); #409229=DIRECTION('',(0.167643887112447,0.985847618607373,0.)); #409230=DIRECTION('',(0.167643887112447,0.985847618607373,0.)); #409231=DIRECTION('',(0.,0.,1.)); #409232=DIRECTION('center_axis',(0.842362410729287,-0.538911466746018,0.)); #409233=DIRECTION('ref_axis',(0.538911466746018,0.842362410729287,0.)); #409234=DIRECTION('',(0.538911466746018,0.842362410729287,0.)); #409235=DIRECTION('',(0.538911466746018,0.842362410729287,0.)); #409236=DIRECTION('',(0.,0.,1.)); #409237=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #409238=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #409239=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #409240=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #409241=DIRECTION('center_axis',(0.,0.,1.)); #409242=DIRECTION('ref_axis',(1.,0.,0.)); #409243=DIRECTION('center_axis',(0.,0.,1.)); #409244=DIRECTION('ref_axis',(1.,0.,0.)); #409245=DIRECTION('center_axis',(0.222335042818087,-0.974970321976561,0.)); #409246=DIRECTION('ref_axis',(0.974970321976561,0.222335042818087,0.)); #409247=DIRECTION('',(0.974970321976561,0.222335042818087,0.)); #409248=DIRECTION('',(0.,0.,1.)); #409249=DIRECTION('',(0.974970321976561,0.222335042818087,0.)); #409250=DIRECTION('',(0.,0.,1.)); #409251=DIRECTION('center_axis',(-0.175457377966587,-0.984487028110117, 0.)); #409252=DIRECTION('ref_axis',(0.984487028110117,-0.175457377966587,0.)); #409253=DIRECTION('',(0.984487028110117,-0.175457377966587,0.)); #409254=DIRECTION('',(0.984487028110117,-0.175457377966587,0.)); #409255=DIRECTION('',(0.,0.,1.)); #409256=DIRECTION('center_axis',(-0.54560478157073,-0.838042613670186,0.)); #409257=DIRECTION('ref_axis',(0.838042613670186,-0.54560478157073,0.)); #409258=DIRECTION('',(0.838042613670186,-0.54560478157073,0.)); #409259=DIRECTION('',(0.838042613670186,-0.54560478157073,0.)); #409260=DIRECTION('',(0.,0.,1.)); #409261=DIRECTION('center_axis',(-0.829249817914661,-0.558878107898763, 0.)); #409262=DIRECTION('ref_axis',(0.558878107898763,-0.829249817914661,0.)); #409263=DIRECTION('',(0.558878107898763,-0.829249817914661,0.)); #409264=DIRECTION('',(0.558878107898763,-0.829249817914661,0.)); #409265=DIRECTION('',(0.,0.,1.)); #409266=DIRECTION('center_axis',(-0.923877479452204,-0.382688388850566, 0.)); #409267=DIRECTION('ref_axis',(0.382688388850566,-0.923877479452204,0.)); #409268=DIRECTION('',(0.382688388850566,-0.923877479452204,0.)); #409269=DIRECTION('',(0.382688388850566,-0.923877479452204,0.)); #409270=DIRECTION('',(0.,0.,1.)); #409271=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #409272=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #409273=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #409274=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #409275=DIRECTION('',(0.,0.,1.)); #409276=DIRECTION('center_axis',(-0.382688667805833,-0.923877363903347, 0.)); #409277=DIRECTION('ref_axis',(0.923877363903347,-0.382688667805833,0.)); #409278=DIRECTION('',(0.923877363903347,-0.382688667805833,0.)); #409279=DIRECTION('',(0.923877363903347,-0.382688667805833,0.)); #409280=DIRECTION('',(0.,0.,1.)); #409281=DIRECTION('center_axis',(0.,-1.,0.)); #409282=DIRECTION('ref_axis',(1.,0.,0.)); #409283=DIRECTION('',(1.,0.,0.)); #409284=DIRECTION('',(1.,0.,0.)); #409285=DIRECTION('',(0.,0.,1.)); #409286=DIRECTION('center_axis',(0.382688667805837,-0.923877363903345,0.)); #409287=DIRECTION('ref_axis',(0.923877363903345,0.382688667805837,0.)); #409288=DIRECTION('',(0.923877363903345,0.382688667805837,0.)); #409289=DIRECTION('',(0.923877363903345,0.382688667805837,0.)); #409290=DIRECTION('',(0.,0.,1.)); #409291=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #409292=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #409293=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #409294=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #409295=DIRECTION('',(0.,0.,1.)); #409296=DIRECTION('center_axis',(0.572058771549325,-0.820212632122596,0.)); #409297=DIRECTION('ref_axis',(0.820212632122596,0.572058771549325,0.)); #409298=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #409299=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #409300=DIRECTION('',(0.,0.,1.)); #409301=DIRECTION('center_axis',(0.230196907019351,-0.973144071552987,0.)); #409302=DIRECTION('ref_axis',(0.973144071552987,0.230196907019351,0.)); #409303=DIRECTION('',(0.973144071552987,0.230196907019351,0.)); #409304=DIRECTION('',(0.973144071552987,0.230196907019351,0.)); #409305=DIRECTION('',(0.,0.,1.)); #409306=DIRECTION('center_axis',(-0.167675934908011,-0.985842168327529, 0.)); #409307=DIRECTION('ref_axis',(0.985842168327529,-0.167675934908011,0.)); #409308=DIRECTION('',(0.985842168327529,-0.167675934908011,0.)); #409309=DIRECTION('',(0.985842168327529,-0.167675934908011,0.)); #409310=DIRECTION('',(0.,0.,1.)); #409311=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #409312=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #409313=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #409314=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #409315=DIRECTION('',(0.,0.,1.)); #409316=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #409317=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #409318=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #409319=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #409320=DIRECTION('',(0.,0.,1.)); #409321=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #409322=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #409323=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #409324=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #409325=DIRECTION('',(0.,0.,1.)); #409326=DIRECTION('center_axis',(-1.,0.,0.)); #409327=DIRECTION('ref_axis',(0.,-1.,0.)); #409328=DIRECTION('',(0.,-1.,0.)); #409329=DIRECTION('',(0.,-1.,0.)); #409330=DIRECTION('',(0.,0.,1.)); #409331=DIRECTION('center_axis',(-0.983056036329416,0.183305290257262,0.)); #409332=DIRECTION('ref_axis',(-0.183305290257262,-0.983056036329416,0.)); #409333=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #409334=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #409335=DIRECTION('',(0.,0.,1.)); #409336=DIRECTION('center_axis',(-0.842362410729329,0.538911466745951,0.)); #409337=DIRECTION('ref_axis',(-0.538911466745951,-0.842362410729329,0.)); #409338=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #409339=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #409340=DIRECTION('',(0.,0.,1.)); #409341=DIRECTION('center_axis',(-0.565504004714963,0.824745549033967,0.)); #409342=DIRECTION('ref_axis',(-0.824745549033967,-0.565504004714963,0.)); #409343=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #409344=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #409345=DIRECTION('',(0.,0.,1.)); #409346=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #409347=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #409348=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #409349=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #409350=DIRECTION('',(0.,0.,1.)); #409351=DIRECTION('center_axis',(0.,1.,0.)); #409352=DIRECTION('ref_axis',(-1.,0.,0.)); #409353=DIRECTION('',(-1.,0.,0.)); #409354=DIRECTION('',(-1.,0.,0.)); #409355=DIRECTION('',(0.,0.,1.)); #409356=DIRECTION('center_axis',(0.19114985692877,0.981560865252946,0.)); #409357=DIRECTION('ref_axis',(-0.981560865252945,0.19114985692877,0.)); #409358=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #409359=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #409360=DIRECTION('',(0.,0.,1.)); #409361=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #409362=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #409363=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #409364=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #409365=DIRECTION('',(0.,0.,1.)); #409366=DIRECTION('center_axis',(0.70710678118655,0.707106781186545,0.)); #409367=DIRECTION('ref_axis',(-0.707106781186545,0.70710678118655,0.)); #409368=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #409369=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #409370=DIRECTION('',(0.,0.,1.)); #409371=DIRECTION('center_axis',(0.829203833807341,0.558946331948969,0.)); #409372=DIRECTION('ref_axis',(-0.558946331948969,0.829203833807341,0.)); #409373=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #409374=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #409375=DIRECTION('',(0.,0.,1.)); #409376=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #409377=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #409378=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #409379=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #409380=DIRECTION('',(0.,0.,1.)); #409381=DIRECTION('center_axis',(1.,0.,0.)); #409382=DIRECTION('ref_axis',(0.,1.,0.)); #409383=DIRECTION('',(0.,1.,0.)); #409384=DIRECTION('',(0.,1.,0.)); #409385=DIRECTION('',(0.,0.,1.)); #409386=DIRECTION('center_axis',(0.985169907360795,-0.171581623814215,0.)); #409387=DIRECTION('ref_axis',(0.171581623814215,0.985169907360795,0.)); #409388=DIRECTION('',(0.171581623814215,0.985169907360795,0.)); #409389=DIRECTION('',(0.171581623814215,0.985169907360795,0.)); #409390=DIRECTION('',(0.,0.,1.)); #409391=DIRECTION('center_axis',(0.854977625343709,-0.518664882329267,0.)); #409392=DIRECTION('ref_axis',(0.518664882329267,0.854977625343709,0.)); #409393=DIRECTION('',(0.518664882329267,0.854977625343709,0.)); #409394=DIRECTION('',(0.518664882329267,0.854977625343709,0.)); #409395=DIRECTION('',(0.,0.,1.)); #409396=DIRECTION('center_axis',(0.585108834823578,-0.810954777661119,0.)); #409397=DIRECTION('ref_axis',(0.810954777661119,0.585108834823578,0.)); #409398=DIRECTION('',(0.810954777661119,0.585108834823578,0.)); #409399=DIRECTION('',(0.810954777661119,0.585108834823578,0.)); #409400=DIRECTION('center_axis',(0.,0.,1.)); #409401=DIRECTION('ref_axis',(1.,0.,0.)); #409402=DIRECTION('center_axis',(0.,0.,1.)); #409403=DIRECTION('ref_axis',(1.,0.,0.)); #409404=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #409405=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #409406=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #409407=DIRECTION('',(0.,0.,1.)); #409408=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #409409=DIRECTION('',(0.,0.,1.)); #409410=DIRECTION('center_axis',(0.,-1.,0.)); #409411=DIRECTION('ref_axis',(1.,0.,0.)); #409412=DIRECTION('',(1.,0.,0.)); #409413=DIRECTION('',(1.,0.,0.)); #409414=DIRECTION('',(0.,0.,1.)); #409415=DIRECTION('center_axis',(-0.175502059386013,-0.984479063846087, 0.)); #409416=DIRECTION('ref_axis',(0.984479063846087,-0.175502059386013,0.)); #409417=DIRECTION('',(0.984479063846087,-0.175502059386013,0.)); #409418=DIRECTION('',(0.984479063846087,-0.175502059386013,0.)); #409419=DIRECTION('',(0.,0.,1.)); #409420=DIRECTION('center_axis',(-0.525358802048945,-0.850880796063525, 0.)); #409421=DIRECTION('ref_axis',(0.850880796063525,-0.525358802048945,0.)); #409422=DIRECTION('',(0.850880796063525,-0.525358802048945,0.)); #409423=DIRECTION('',(0.850880796063525,-0.525358802048945,0.)); #409424=DIRECTION('',(0.,0.,1.)); #409425=DIRECTION('center_axis',(-0.815709411156762,-0.57846188858929,0.)); #409426=DIRECTION('ref_axis',(0.57846188858929,-0.815709411156762,0.)); #409427=DIRECTION('',(0.57846188858929,-0.815709411156762,0.)); #409428=DIRECTION('',(0.57846188858929,-0.815709411156762,0.)); #409429=DIRECTION('',(0.,0.,1.)); #409430=DIRECTION('center_axis',(-0.976685690837588,-0.21467431451644,0.)); #409431=DIRECTION('ref_axis',(0.21467431451644,-0.976685690837588,0.)); #409432=DIRECTION('',(0.21467431451644,-0.976685690837588,0.)); #409433=DIRECTION('',(0.21467431451644,-0.976685690837588,0.)); #409434=DIRECTION('',(0.,0.,1.)); #409435=DIRECTION('center_axis',(-0.983050529880642,0.183334818573531,0.)); #409436=DIRECTION('ref_axis',(-0.183334818573531,-0.983050529880642,0.)); #409437=DIRECTION('',(-0.183334818573531,-0.983050529880642,0.)); #409438=DIRECTION('',(-0.183334818573531,-0.983050529880642,0.)); #409439=DIRECTION('',(0.,0.,1.)); #409440=DIRECTION('center_axis',(-0.83365985974971,0.552278225391961,0.)); #409441=DIRECTION('ref_axis',(-0.552278225391961,-0.83365985974971,0.)); #409442=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #409443=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #409444=DIRECTION('',(0.,0.,1.)); #409445=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #409446=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #409447=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #409448=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #409449=DIRECTION('',(0.,0.,1.)); #409450=DIRECTION('center_axis',(-0.558946331948898,0.829203833807389,0.)); #409451=DIRECTION('ref_axis',(-0.829203833807389,-0.558946331948898,0.)); #409452=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #409453=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #409454=DIRECTION('',(0.,0.,1.)); #409455=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #409456=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #409457=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #409458=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #409459=DIRECTION('',(0.,0.,1.)); #409460=DIRECTION('center_axis',(0.,1.,0.)); #409461=DIRECTION('ref_axis',(-1.,0.,0.)); #409462=DIRECTION('',(-1.,0.,0.)); #409463=DIRECTION('',(-1.,0.,0.)); #409464=DIRECTION('',(0.,0.,1.)); #409465=DIRECTION('center_axis',(0.175502059386013,0.984479063846087,0.)); #409466=DIRECTION('ref_axis',(-0.984479063846087,0.175502059386013,0.)); #409467=DIRECTION('',(-0.984479063846087,0.175502059386013,0.)); #409468=DIRECTION('',(-0.984479063846087,0.175502059386013,0.)); #409469=DIRECTION('',(0.,0.,1.)); #409470=DIRECTION('center_axis',(0.525373540973504,0.850871695642159,0.)); #409471=DIRECTION('ref_axis',(-0.850871695642159,0.525373540973504,0.)); #409472=DIRECTION('',(-0.850871695642159,0.525373540973504,0.)); #409473=DIRECTION('',(-0.850871695642159,0.525373540973504,0.)); #409474=DIRECTION('',(0.,0.,1.)); #409475=DIRECTION('center_axis',(0.815693852178821,0.578483828224848,0.)); #409476=DIRECTION('ref_axis',(-0.578483828224848,0.815693852178821,0.)); #409477=DIRECTION('',(-0.578483828224848,0.815693852178821,0.)); #409478=DIRECTION('',(-0.578483828224848,0.815693852178821,0.)); #409479=DIRECTION('',(0.,0.,1.)); #409480=DIRECTION('center_axis',(0.976685690837588,0.21467431451644,0.)); #409481=DIRECTION('ref_axis',(-0.21467431451644,0.976685690837588,0.)); #409482=DIRECTION('',(-0.21467431451644,0.976685690837588,0.)); #409483=DIRECTION('',(-0.21467431451644,0.976685690837588,0.)); #409484=DIRECTION('',(0.,0.,1.)); #409485=DIRECTION('center_axis',(0.983050529880642,-0.183334818573531,0.)); #409486=DIRECTION('ref_axis',(0.183334818573531,0.983050529880642,0.)); #409487=DIRECTION('',(0.183334818573531,0.983050529880642,0.)); #409488=DIRECTION('',(0.183334818573531,0.983050529880642,0.)); #409489=DIRECTION('',(0.,0.,1.)); #409490=DIRECTION('center_axis',(0.833659859749778,-0.552278225391859,0.)); #409491=DIRECTION('ref_axis',(0.552278225391859,0.833659859749778,0.)); #409492=DIRECTION('',(0.552278225391859,0.833659859749778,0.)); #409493=DIRECTION('',(0.552278225391859,0.833659859749778,0.)); #409494=DIRECTION('',(0.,0.,1.)); #409495=DIRECTION('center_axis',(0.707106781186536,-0.707106781186559,0.)); #409496=DIRECTION('ref_axis',(0.707106781186559,0.707106781186536,0.)); #409497=DIRECTION('',(0.707106781186559,0.707106781186536,0.)); #409498=DIRECTION('',(0.707106781186559,0.707106781186536,0.)); #409499=DIRECTION('',(0.,0.,1.)); #409500=DIRECTION('center_axis',(0.558946331948969,-0.829203833807341,0.)); #409501=DIRECTION('ref_axis',(0.829203833807341,0.558946331948969,0.)); #409502=DIRECTION('',(0.829203833807341,0.558946331948969,0.)); #409503=DIRECTION('',(0.829203833807341,0.558946331948969,0.)); #409504=DIRECTION('center_axis',(0.,0.,1.)); #409505=DIRECTION('ref_axis',(1.,0.,0.)); #409506=DIRECTION('center_axis',(0.,0.,1.)); #409507=DIRECTION('ref_axis',(1.,0.,0.)); #409508=DIRECTION('center_axis',(0.230165683714617,-0.973151456886431,0.)); #409509=DIRECTION('ref_axis',(0.973151456886431,0.230165683714617,0.)); #409510=DIRECTION('',(0.973151456886431,0.230165683714617,0.)); #409511=DIRECTION('',(0.,0.,1.)); #409512=DIRECTION('',(0.973151456886431,0.230165683714617,0.)); #409513=DIRECTION('',(0.,0.,1.)); #409514=DIRECTION('center_axis',(-0.167675934907917,-0.985842168327545, 0.)); #409515=DIRECTION('ref_axis',(0.985842168327545,-0.167675934907917,0.)); #409516=DIRECTION('',(0.985842168327545,-0.167675934907917,0.)); #409517=DIRECTION('',(0.985842168327545,-0.167675934907917,0.)); #409518=DIRECTION('',(0.,0.,1.)); #409519=DIRECTION('center_axis',(-0.538888069291876,-0.84237737907358,0.)); #409520=DIRECTION('ref_axis',(0.84237737907358,-0.538888069291876,0.)); #409521=DIRECTION('',(0.84237737907358,-0.538888069291876,0.)); #409522=DIRECTION('',(0.84237737907358,-0.538888069291876,0.)); #409523=DIRECTION('',(0.,0.,1.)); #409524=DIRECTION('center_axis',(-0.824756093515085,-0.565488626065756, 0.)); #409525=DIRECTION('ref_axis',(0.565488626065756,-0.824756093515085,0.)); #409526=DIRECTION('',(0.565488626065756,-0.824756093515085,0.)); #409527=DIRECTION('',(0.565488626065756,-0.824756093515085,0.)); #409528=DIRECTION('',(0.,0.,1.)); #409529=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #409530=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #409531=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #409532=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #409533=DIRECTION('',(0.,0.,1.)); #409534=DIRECTION('center_axis',(-1.,0.,0.)); #409535=DIRECTION('ref_axis',(0.,-1.,0.)); #409536=DIRECTION('',(0.,-1.,0.)); #409537=DIRECTION('',(0.,-1.,0.)); #409538=DIRECTION('',(0.,0.,1.)); #409539=DIRECTION('center_axis',(-0.981560865252911,0.191149856928945,0.)); #409540=DIRECTION('ref_axis',(-0.191149856928945,-0.981560865252911,0.)); #409541=DIRECTION('',(-0.191149856928945,-0.981560865252911,0.)); #409542=DIRECTION('',(-0.191149856928945,-0.981560865252911,0.)); #409543=DIRECTION('',(0.,0.,1.)); #409544=DIRECTION('center_axis',(-0.833659859749822,0.552278225391792,0.)); #409545=DIRECTION('ref_axis',(-0.552278225391792,-0.833659859749822,0.)); #409546=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #409547=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #409548=DIRECTION('',(0.,0.,1.)); #409549=DIRECTION('center_axis',(-0.707106781186545,0.70710678118655,0.)); #409550=DIRECTION('ref_axis',(-0.70710678118655,-0.707106781186545,0.)); #409551=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #409552=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #409553=DIRECTION('',(0.,0.,1.)); #409554=DIRECTION('center_axis',(-0.558946331948969,0.829203833807341,0.)); #409555=DIRECTION('ref_axis',(-0.829203833807341,-0.558946331948969,0.)); #409556=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #409557=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #409558=DIRECTION('',(0.,0.,1.)); #409559=DIRECTION('center_axis',(-0.198956019232542,0.980008419561353,0.)); #409560=DIRECTION('ref_axis',(-0.980008419561353,-0.198956019232542,0.)); #409561=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #409562=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #409563=DIRECTION('',(0.,0.,1.)); #409564=DIRECTION('center_axis',(0.,1.,0.)); #409565=DIRECTION('ref_axis',(-1.,0.,0.)); #409566=DIRECTION('',(-1.,0.,0.)); #409567=DIRECTION('',(-1.,0.,0.)); #409568=DIRECTION('',(0.,0.,1.)); #409569=DIRECTION('center_axis',(0.183305290257233,0.983056036329421,0.)); #409570=DIRECTION('ref_axis',(-0.983056036329421,0.183305290257233,0.)); #409571=DIRECTION('',(-0.983056036329421,0.183305290257233,0.)); #409572=DIRECTION('',(-0.983056036329421,0.183305290257233,0.)); #409573=DIRECTION('',(0.,0.,1.)); #409574=DIRECTION('center_axis',(0.538911466746084,0.842362410729244,0.)); #409575=DIRECTION('ref_axis',(-0.842362410729244,0.538911466746084,0.)); #409576=DIRECTION('',(-0.842362410729244,0.538911466746084,0.)); #409577=DIRECTION('',(-0.842362410729244,0.538911466746084,0.)); #409578=DIRECTION('',(0.,0.,1.)); #409579=DIRECTION('center_axis',(0.824745549033852,0.565504004715131,0.)); #409580=DIRECTION('ref_axis',(-0.565504004715131,0.824745549033852,0.)); #409581=DIRECTION('',(-0.565504004715131,0.824745549033852,0.)); #409582=DIRECTION('',(-0.565504004715131,0.824745549033852,0.)); #409583=DIRECTION('',(0.,0.,1.)); #409584=DIRECTION('center_axis',(0.980008419561353,0.198956019232542,0.)); #409585=DIRECTION('ref_axis',(-0.198956019232542,0.980008419561353,0.)); #409586=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #409587=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #409588=DIRECTION('',(0.,0.,1.)); #409589=DIRECTION('center_axis',(1.,0.,0.)); #409590=DIRECTION('ref_axis',(0.,1.,0.)); #409591=DIRECTION('',(0.,1.,0.)); #409592=DIRECTION('',(0.,1.,0.)); #409593=DIRECTION('',(0.,0.,1.)); #409594=DIRECTION('center_axis',(0.984479063846077,-0.17550205938607,0.)); #409595=DIRECTION('ref_axis',(0.17550205938607,0.984479063846077,0.)); #409596=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #409597=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #409598=DIRECTION('',(0.,0.,1.)); #409599=DIRECTION('center_axis',(0.850880796063565,-0.52535880204888,0.)); #409600=DIRECTION('ref_axis',(0.52535880204888,0.850880796063565,0.)); #409601=DIRECTION('',(0.52535880204888,0.850880796063565,0.)); #409602=DIRECTION('',(0.52535880204888,0.850880796063565,0.)); #409603=DIRECTION('',(0.,0.,1.)); #409604=DIRECTION('center_axis',(0.578461888589359,-0.815709411156713,0.)); #409605=DIRECTION('ref_axis',(0.815709411156713,0.578461888589359,0.)); #409606=DIRECTION('',(0.815709411156713,0.578461888589359,0.)); #409607=DIRECTION('',(0.815709411156713,0.578461888589359,0.)); #409608=DIRECTION('',(0.,0.,1.)); #409609=DIRECTION('center_axis',(0.214674314516579,-0.976685690837557,0.)); #409610=DIRECTION('ref_axis',(0.976685690837557,0.214674314516579,0.)); #409611=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #409612=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #409613=DIRECTION('',(0.,0.,1.)); #409614=DIRECTION('center_axis',(-0.183334818573673,-0.983050529880615, 0.)); #409615=DIRECTION('ref_axis',(0.983050529880615,-0.183334818573673,0.)); #409616=DIRECTION('',(0.983050529880615,-0.183334818573673,0.)); #409617=DIRECTION('',(0.983050529880615,-0.183334818573673,0.)); #409618=DIRECTION('',(0.,0.,1.)); #409619=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #409620=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #409621=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #409622=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #409623=DIRECTION('',(0.,0.,1.)); #409624=DIRECTION('center_axis',(-0.707106781186556,-0.707106781186539, 0.)); #409625=DIRECTION('ref_axis',(0.707106781186539,-0.707106781186556,0.)); #409626=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #409627=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #409628=DIRECTION('',(0.,0.,1.)); #409629=DIRECTION('center_axis',(-0.382688667805837,-0.923877363903345, 0.)); #409630=DIRECTION('ref_axis',(0.923877363903345,-0.382688667805837,0.)); #409631=DIRECTION('',(0.923877363903345,-0.382688667805837,0.)); #409632=DIRECTION('',(0.923877363903345,-0.382688667805837,0.)); #409633=DIRECTION('',(0.,0.,1.)); #409634=DIRECTION('center_axis',(0.,-1.,0.)); #409635=DIRECTION('ref_axis',(1.,0.,0.)); #409636=DIRECTION('',(1.,0.,0.)); #409637=DIRECTION('',(1.,0.,0.)); #409638=DIRECTION('',(0.,0.,1.)); #409639=DIRECTION('center_axis',(0.382688667805841,-0.923877363903343,0.)); #409640=DIRECTION('ref_axis',(0.923877363903343,0.382688667805841,0.)); #409641=DIRECTION('',(0.923877363903343,0.382688667805841,0.)); #409642=DIRECTION('',(0.923877363903343,0.382688667805841,0.)); #409643=DIRECTION('',(0.,0.,1.)); #409644=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #409645=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #409646=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #409647=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #409648=DIRECTION('',(0.,0.,1.)); #409649=DIRECTION('center_axis',(0.923877479452199,-0.382688388850579,0.)); #409650=DIRECTION('ref_axis',(0.382688388850579,0.923877479452199,0.)); #409651=DIRECTION('',(0.382688388850579,0.923877479452199,0.)); #409652=DIRECTION('',(0.382688388850579,0.923877479452199,0.)); #409653=DIRECTION('',(0.,0.,1.)); #409654=DIRECTION('center_axis',(0.844534310044677,-0.535501446456834,0.)); #409655=DIRECTION('ref_axis',(0.535501446456834,0.844534310044677,0.)); #409656=DIRECTION('',(0.535501446456834,0.844534310044677,0.)); #409657=DIRECTION('',(0.535501446456834,0.844534310044677,0.)); #409658=DIRECTION('',(0.,0.,1.)); #409659=DIRECTION('center_axis',(0.591488023935535,-0.806313783548834,0.)); #409660=DIRECTION('ref_axis',(0.806313783548834,0.591488023935535,0.)); #409661=DIRECTION('',(0.806313783548834,0.591488023935535,0.)); #409662=DIRECTION('',(0.806313783548834,0.591488023935535,0.)); #409663=DIRECTION('center_axis',(0.,0.,1.)); #409664=DIRECTION('ref_axis',(1.,0.,0.)); #409665=DIRECTION('center_axis',(0.,0.,1.)); #409666=DIRECTION('ref_axis',(1.,0.,0.)); #409667=DIRECTION('center_axis',(0.214674314516719,-0.976685690837527,0.)); #409668=DIRECTION('ref_axis',(0.976685690837527,0.214674314516719,0.)); #409669=DIRECTION('',(0.976685690837527,0.214674314516719,0.)); #409670=DIRECTION('',(0.,0.,1.)); #409671=DIRECTION('',(0.976685690837527,0.214674314516719,0.)); #409672=DIRECTION('',(0.,0.,1.)); #409673=DIRECTION('center_axis',(-0.183334818573531,-0.983050529880642, 0.)); #409674=DIRECTION('ref_axis',(0.983050529880642,-0.183334818573531,0.)); #409675=DIRECTION('',(0.983050529880642,-0.183334818573531,0.)); #409676=DIRECTION('',(0.983050529880642,-0.183334818573531,0.)); #409677=DIRECTION('',(0.,0.,1.)); #409678=DIRECTION('center_axis',(-0.552278225391995,-0.833659859749687, 0.)); #409679=DIRECTION('ref_axis',(0.833659859749687,-0.552278225391995,0.)); #409680=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #409681=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #409682=DIRECTION('',(0.,0.,1.)); #409683=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #409684=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #409685=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #409686=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #409687=DIRECTION('',(0.,0.,1.)); #409688=DIRECTION('center_axis',(-0.829203833807366,-0.558946331948933, 0.)); #409689=DIRECTION('ref_axis',(0.558946331948932,-0.829203833807366,0.)); #409690=DIRECTION('',(0.558946331948932,-0.829203833807366,0.)); #409691=DIRECTION('',(0.558946331948932,-0.829203833807366,0.)); #409692=DIRECTION('',(0.,0.,1.)); #409693=DIRECTION('center_axis',(-0.980008419561341,-0.1989560192326,0.)); #409694=DIRECTION('ref_axis',(0.1989560192326,-0.980008419561341,0.)); #409695=DIRECTION('',(0.198956019232599,-0.980008419561341,0.)); #409696=DIRECTION('',(0.198956019232599,-0.980008419561341,0.)); #409697=DIRECTION('',(0.,0.,1.)); #409698=DIRECTION('center_axis',(-1.,0.,0.)); #409699=DIRECTION('ref_axis',(0.,-1.,0.)); #409700=DIRECTION('',(0.,-1.,0.)); #409701=DIRECTION('',(0.,-1.,0.)); #409702=DIRECTION('',(0.,0.,1.)); #409703=DIRECTION('center_axis',(-0.981560865252946,0.19114985692877,0.)); #409704=DIRECTION('ref_axis',(-0.19114985692877,-0.981560865252945,0.)); #409705=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #409706=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #409707=DIRECTION('',(0.,0.,1.)); #409708=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #409709=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #409710=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #409711=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #409712=DIRECTION('',(0.,0.,1.)); #409713=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #409714=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #409715=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #409716=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #409717=DIRECTION('',(0.,0.,1.)); #409718=DIRECTION('center_axis',(-0.572058771549548,0.820212632122441,0.)); #409719=DIRECTION('ref_axis',(-0.820212632122441,-0.572058771549548,0.)); #409720=DIRECTION('',(-0.820212632122441,-0.572058771549548,0.)); #409721=DIRECTION('',(-0.820212632122441,-0.572058771549548,0.)); #409722=DIRECTION('',(0.,0.,1.)); #409723=DIRECTION('center_axis',(-0.230165683714478,0.973151456886464,0.)); #409724=DIRECTION('ref_axis',(-0.973151456886464,-0.230165683714478,0.)); #409725=DIRECTION('',(-0.973151456886464,-0.230165683714478,0.)); #409726=DIRECTION('',(-0.973151456886464,-0.230165683714478,0.)); #409727=DIRECTION('',(0.,0.,1.)); #409728=DIRECTION('center_axis',(0.167643887112162,0.985847618607422,0.)); #409729=DIRECTION('ref_axis',(-0.985847618607422,0.167643887112162,0.)); #409730=DIRECTION('',(-0.985847618607422,0.167643887112162,0.)); #409731=DIRECTION('',(-0.985847618607422,0.167643887112162,0.)); #409732=DIRECTION('',(0.,0.,1.)); #409733=DIRECTION('center_axis',(0.538911466746122,0.84236241072922,0.)); #409734=DIRECTION('ref_axis',(-0.84236241072922,0.538911466746122,0.)); #409735=DIRECTION('',(-0.84236241072922,0.538911466746122,0.)); #409736=DIRECTION('',(-0.84236241072922,0.538911466746122,0.)); #409737=DIRECTION('',(0.,0.,1.)); #409738=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #409739=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #409740=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #409741=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #409742=DIRECTION('',(0.,0.,1.)); #409743=DIRECTION('center_axis',(0.980008419561313,0.19895601923274,0.)); #409744=DIRECTION('ref_axis',(-0.19895601923274,0.980008419561313,0.)); #409745=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #409746=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #409747=DIRECTION('',(0.,0.,1.)); #409748=DIRECTION('center_axis',(1.,0.,0.)); #409749=DIRECTION('ref_axis',(0.,1.,0.)); #409750=DIRECTION('',(0.,1.,0.)); #409751=DIRECTION('',(0.,1.,0.)); #409752=DIRECTION('',(0.,0.,1.)); #409753=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #409754=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #409755=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #409756=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #409757=DIRECTION('',(0.,0.,1.)); #409758=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #409759=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #409760=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #409761=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #409762=DIRECTION('',(0.,0.,1.)); #409763=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #409764=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #409765=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #409766=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #409767=DIRECTION('',(0.,0.,1.)); #409768=DIRECTION('center_axis',(0.565439331101256,-0.824789890119759,0.)); #409769=DIRECTION('ref_axis',(0.824789890119759,0.565439331101256,0.)); #409770=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #409771=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #409772=DIRECTION('center_axis',(0.,0.,1.)); #409773=DIRECTION('ref_axis',(1.,0.,0.)); #409774=DIRECTION('center_axis',(0.,0.,1.)); #409775=DIRECTION('ref_axis',(1.,0.,0.)); #409776=DIRECTION('center_axis',(0.230165683714617,-0.973151456886431,0.)); #409777=DIRECTION('ref_axis',(0.973151456886431,0.230165683714617,0.)); #409778=DIRECTION('',(0.973151456886431,0.230165683714617,0.)); #409779=DIRECTION('',(0.,0.,1.)); #409780=DIRECTION('',(0.973151456886431,0.230165683714617,0.)); #409781=DIRECTION('',(0.,0.,1.)); #409782=DIRECTION('center_axis',(-0.167675934907917,-0.985842168327545, 0.)); #409783=DIRECTION('ref_axis',(0.985842168327545,-0.167675934907917,0.)); #409784=DIRECTION('',(0.985842168327545,-0.167675934907917,0.)); #409785=DIRECTION('',(0.985842168327545,-0.167675934907917,0.)); #409786=DIRECTION('',(0.,0.,1.)); #409787=DIRECTION('center_axis',(-0.538888069291876,-0.84237737907358,0.)); #409788=DIRECTION('ref_axis',(0.84237737907358,-0.538888069291876,0.)); #409789=DIRECTION('',(0.84237737907358,-0.538888069291876,0.)); #409790=DIRECTION('',(0.84237737907358,-0.538888069291876,0.)); #409791=DIRECTION('',(0.,0.,1.)); #409792=DIRECTION('center_axis',(-0.824756093515085,-0.565488626065756, 0.)); #409793=DIRECTION('ref_axis',(0.565488626065756,-0.824756093515085,0.)); #409794=DIRECTION('',(0.565488626065756,-0.824756093515085,0.)); #409795=DIRECTION('',(0.565488626065756,-0.824756093515085,0.)); #409796=DIRECTION('',(0.,0.,1.)); #409797=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #409798=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #409799=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #409800=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #409801=DIRECTION('',(0.,0.,1.)); #409802=DIRECTION('center_axis',(-1.,0.,0.)); #409803=DIRECTION('ref_axis',(0.,-1.,0.)); #409804=DIRECTION('',(0.,-1.,0.)); #409805=DIRECTION('',(0.,-1.,0.)); #409806=DIRECTION('',(0.,0.,1.)); #409807=DIRECTION('center_axis',(-0.985169907360766,0.17158162381438,0.)); #409808=DIRECTION('ref_axis',(-0.17158162381438,-0.985169907360766,0.)); #409809=DIRECTION('',(-0.17158162381438,-0.985169907360766,0.)); #409810=DIRECTION('',(-0.17158162381438,-0.985169907360766,0.)); #409811=DIRECTION('',(0.,0.,1.)); #409812=DIRECTION('center_axis',(-0.854977625343709,0.518664882329267,0.)); #409813=DIRECTION('ref_axis',(-0.518664882329267,-0.854977625343709,0.)); #409814=DIRECTION('',(-0.518664882329267,-0.854977625343709,0.)); #409815=DIRECTION('',(-0.518664882329267,-0.854977625343709,0.)); #409816=DIRECTION('',(0.,0.,1.)); #409817=DIRECTION('center_axis',(-0.585108834823648,0.810954777661069,0.)); #409818=DIRECTION('ref_axis',(-0.810954777661069,-0.585108834823648,0.)); #409819=DIRECTION('',(-0.810954777661069,-0.585108834823648,0.)); #409820=DIRECTION('',(-0.810954777661069,-0.585108834823648,0.)); #409821=DIRECTION('',(0.,0.,1.)); #409822=DIRECTION('center_axis',(-0.222366385256163,0.974963174026644,0.)); #409823=DIRECTION('ref_axis',(-0.974963174026644,-0.222366385256163,0.)); #409824=DIRECTION('',(-0.974963174026644,-0.222366385256163,0.)); #409825=DIRECTION('',(-0.974963174026644,-0.222366385256163,0.)); #409826=DIRECTION('',(0.,0.,1.)); #409827=DIRECTION('center_axis',(0.175489337071246,0.9844813317551,0.)); #409828=DIRECTION('ref_axis',(-0.9844813317551,0.175489337071246,0.)); #409829=DIRECTION('',(-0.9844813317551,0.175489337071246,0.)); #409830=DIRECTION('',(-0.9844813317551,0.175489337071246,0.)); #409831=DIRECTION('',(0.,0.,1.)); #409832=DIRECTION('center_axis',(0.545604781570663,0.838042613670229,0.)); #409833=DIRECTION('ref_axis',(-0.838042613670229,0.545604781570663,0.)); #409834=DIRECTION('',(-0.838042613670229,0.545604781570663,0.)); #409835=DIRECTION('',(-0.838042613670229,0.545604781570663,0.)); #409836=DIRECTION('',(0.,0.,1.)); #409837=DIRECTION('center_axis',(0.829249817914684,0.55887810789873,0.)); #409838=DIRECTION('ref_axis',(-0.55887810789873,0.829249817914683,0.)); #409839=DIRECTION('',(-0.55887810789873,0.829249817914683,0.)); #409840=DIRECTION('',(-0.55887810789873,0.829249817914683,0.)); #409841=DIRECTION('',(0.,0.,1.)); #409842=DIRECTION('center_axis',(0.923877479452201,0.382688388850574,0.)); #409843=DIRECTION('ref_axis',(-0.382688388850574,0.923877479452201,0.)); #409844=DIRECTION('',(-0.382688388850574,0.923877479452201,0.)); #409845=DIRECTION('',(-0.382688388850574,0.923877479452201,0.)); #409846=DIRECTION('',(0.,0.,1.)); #409847=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #409848=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #409849=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #409850=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #409851=DIRECTION('',(0.,0.,1.)); #409852=DIRECTION('center_axis',(0.382688667805841,0.923877363903343,0.)); #409853=DIRECTION('ref_axis',(-0.923877363903343,0.382688667805841,0.)); #409854=DIRECTION('',(-0.923877363903343,0.382688667805841,0.)); #409855=DIRECTION('',(-0.923877363903343,0.382688667805841,0.)); #409856=DIRECTION('',(0.,0.,1.)); #409857=DIRECTION('center_axis',(0.,1.,0.)); #409858=DIRECTION('ref_axis',(-1.,0.,0.)); #409859=DIRECTION('',(-1.,0.,0.)); #409860=DIRECTION('',(-1.,0.,0.)); #409861=DIRECTION('',(0.,0.,1.)); #409862=DIRECTION('center_axis',(-0.382688667805837,0.923877363903345,0.)); #409863=DIRECTION('ref_axis',(-0.923877363903345,-0.382688667805837,0.)); #409864=DIRECTION('',(-0.923877363903345,-0.382688667805837,0.)); #409865=DIRECTION('',(-0.923877363903345,-0.382688667805837,0.)); #409866=DIRECTION('',(0.,0.,1.)); #409867=DIRECTION('center_axis',(-0.707106781186556,0.707106781186539,0.)); #409868=DIRECTION('ref_axis',(-0.707106781186539,-0.707106781186556,0.)); #409869=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #409870=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #409871=DIRECTION('',(0.,0.,1.)); #409872=DIRECTION('center_axis',(-0.572058771549325,0.820212632122596,0.)); #409873=DIRECTION('ref_axis',(-0.820212632122596,-0.572058771549325,0.)); #409874=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #409875=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #409876=DIRECTION('',(0.,0.,1.)); #409877=DIRECTION('center_axis',(-0.230196907019318,0.973144071552994,0.)); #409878=DIRECTION('ref_axis',(-0.973144071552994,-0.230196907019318,0.)); #409879=DIRECTION('',(-0.973144071552994,-0.230196907019318,0.)); #409880=DIRECTION('',(-0.973144071552994,-0.230196907019318,0.)); #409881=DIRECTION('',(0.,0.,1.)); #409882=DIRECTION('center_axis',(0.167675934908011,0.985842168327529,0.)); #409883=DIRECTION('ref_axis',(-0.985842168327529,0.167675934908011,0.)); #409884=DIRECTION('',(-0.985842168327529,0.167675934908011,0.)); #409885=DIRECTION('',(-0.985842168327529,0.167675934908011,0.)); #409886=DIRECTION('',(0.,0.,1.)); #409887=DIRECTION('center_axis',(0.538888069291905,0.842377379073562,0.)); #409888=DIRECTION('ref_axis',(-0.842377379073562,0.538888069291905,0.)); #409889=DIRECTION('',(-0.842377379073562,0.538888069291905,0.)); #409890=DIRECTION('',(-0.842377379073562,0.538888069291905,0.)); #409891=DIRECTION('',(0.,0.,1.)); #409892=DIRECTION('center_axis',(0.824756093515064,0.565488626065787,0.)); #409893=DIRECTION('ref_axis',(-0.565488626065787,0.824756093515064,0.)); #409894=DIRECTION('',(-0.565488626065787,0.824756093515064,0.)); #409895=DIRECTION('',(-0.565488626065787,0.824756093515064,0.)); #409896=DIRECTION('',(0.,0.,1.)); #409897=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #409898=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #409899=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #409900=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #409901=DIRECTION('',(0.,0.,1.)); #409902=DIRECTION('center_axis',(1.,0.,0.)); #409903=DIRECTION('ref_axis',(0.,1.,0.)); #409904=DIRECTION('',(0.,1.,0.)); #409905=DIRECTION('',(0.,1.,0.)); #409906=DIRECTION('',(0.,0.,1.)); #409907=DIRECTION('center_axis',(0.984479063846077,-0.17550205938607,0.)); #409908=DIRECTION('ref_axis',(0.17550205938607,0.984479063846077,0.)); #409909=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #409910=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #409911=DIRECTION('',(0.,0.,1.)); #409912=DIRECTION('center_axis',(0.850880796063565,-0.52535880204888,0.)); #409913=DIRECTION('ref_axis',(0.52535880204888,0.850880796063565,0.)); #409914=DIRECTION('',(0.52535880204888,0.850880796063565,0.)); #409915=DIRECTION('',(0.52535880204888,0.850880796063565,0.)); #409916=DIRECTION('',(0.,0.,1.)); #409917=DIRECTION('center_axis',(0.578461888589359,-0.815709411156713,0.)); #409918=DIRECTION('ref_axis',(0.815709411156713,0.578461888589359,0.)); #409919=DIRECTION('',(0.815709411156713,0.578461888589359,0.)); #409920=DIRECTION('',(0.815709411156713,0.578461888589359,0.)); #409921=DIRECTION('',(0.,0.,1.)); #409922=DIRECTION('center_axis',(0.214674314516579,-0.976685690837557,0.)); #409923=DIRECTION('ref_axis',(0.976685690837557,0.214674314516579,0.)); #409924=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #409925=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #409926=DIRECTION('',(0.,0.,1.)); #409927=DIRECTION('center_axis',(-0.183334818573673,-0.983050529880615, 0.)); #409928=DIRECTION('ref_axis',(0.983050529880615,-0.183334818573673,0.)); #409929=DIRECTION('',(0.983050529880615,-0.183334818573673,0.)); #409930=DIRECTION('',(0.983050529880615,-0.183334818573673,0.)); #409931=DIRECTION('',(0.,0.,1.)); #409932=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #409933=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #409934=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #409935=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #409936=DIRECTION('',(0.,0.,1.)); #409937=DIRECTION('center_axis',(-0.707106781186556,-0.707106781186539, 0.)); #409938=DIRECTION('ref_axis',(0.707106781186539,-0.707106781186556,0.)); #409939=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #409940=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #409941=DIRECTION('',(0.,0.,1.)); #409942=DIRECTION('center_axis',(-0.382688667805837,-0.923877363903345, 0.)); #409943=DIRECTION('ref_axis',(0.923877363903345,-0.382688667805837,0.)); #409944=DIRECTION('',(0.923877363903345,-0.382688667805837,0.)); #409945=DIRECTION('',(0.923877363903345,-0.382688667805837,0.)); #409946=DIRECTION('',(0.,0.,1.)); #409947=DIRECTION('center_axis',(0.,-1.,0.)); #409948=DIRECTION('ref_axis',(1.,0.,0.)); #409949=DIRECTION('',(1.,0.,0.)); #409950=DIRECTION('',(1.,0.,0.)); #409951=DIRECTION('',(0.,0.,1.)); #409952=DIRECTION('center_axis',(0.382688667805841,-0.923877363903343,0.)); #409953=DIRECTION('ref_axis',(0.923877363903343,0.382688667805841,0.)); #409954=DIRECTION('',(0.923877363903343,0.382688667805841,0.)); #409955=DIRECTION('',(0.923877363903343,0.382688667805841,0.)); #409956=DIRECTION('',(0.,0.,1.)); #409957=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #409958=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #409959=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #409960=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #409961=DIRECTION('',(0.,0.,1.)); #409962=DIRECTION('center_axis',(0.923877479452199,-0.382688388850579,0.)); #409963=DIRECTION('ref_axis',(0.382688388850579,0.923877479452199,0.)); #409964=DIRECTION('',(0.382688388850579,0.923877479452199,0.)); #409965=DIRECTION('',(0.382688388850579,0.923877479452199,0.)); #409966=DIRECTION('',(0.,0.,1.)); #409967=DIRECTION('center_axis',(0.844534310044677,-0.535501446456834,0.)); #409968=DIRECTION('ref_axis',(0.535501446456834,0.844534310044677,0.)); #409969=DIRECTION('',(0.535501446456834,0.844534310044677,0.)); #409970=DIRECTION('',(0.535501446456834,0.844534310044677,0.)); #409971=DIRECTION('',(0.,0.,1.)); #409972=DIRECTION('center_axis',(0.591488023935535,-0.806313783548834,0.)); #409973=DIRECTION('ref_axis',(0.806313783548834,0.591488023935535,0.)); #409974=DIRECTION('',(0.806313783548834,0.591488023935535,0.)); #409975=DIRECTION('',(0.806313783548834,0.591488023935535,0.)); #409976=DIRECTION('center_axis',(0.,0.,1.)); #409977=DIRECTION('ref_axis',(1.,0.,0.)); #409978=DIRECTION('center_axis',(0.,0.,1.)); #409979=DIRECTION('ref_axis',(1.,0.,0.)); #409980=DIRECTION('center_axis',(0.21467431451661,-0.976685690837551,0.)); #409981=DIRECTION('ref_axis',(0.976685690837551,0.21467431451661,0.)); #409982=DIRECTION('',(0.976685690837551,0.21467431451661,0.)); #409983=DIRECTION('',(0.,0.,1.)); #409984=DIRECTION('',(0.976685690837551,0.21467431451661,0.)); #409985=DIRECTION('',(0.,0.,1.)); #409986=DIRECTION('center_axis',(-0.183334818573505,-0.983050529880647, 0.)); #409987=DIRECTION('ref_axis',(0.983050529880647,-0.183334818573505,0.)); #409988=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #409989=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #409990=DIRECTION('',(0.,0.,1.)); #409991=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #409992=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #409993=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #409994=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #409995=DIRECTION('',(0.,0.,1.)); #409996=DIRECTION('center_axis',(-0.707061847002896,-0.707151712514969, 0.)); #409997=DIRECTION('ref_axis',(0.707151712514969,-0.707061847002896,0.)); #409998=DIRECTION('',(0.707151712514969,-0.707061847002896,0.)); #409999=DIRECTION('',(0.707151712514969,-0.707061847002896,0.)); #410000=DIRECTION('',(0.,0.,1.)); #410001=DIRECTION('center_axis',(-0.824789890119759,-0.565439331101256, 0.)); #410002=DIRECTION('ref_axis',(0.565439331101256,-0.824789890119759,0.)); #410003=DIRECTION('',(0.565439331101256,-0.824789890119759,0.)); #410004=DIRECTION('',(0.565439331101256,-0.824789890119759,0.)); #410005=DIRECTION('',(0.,0.,1.)); #410006=DIRECTION('center_axis',(-0.976694123650575,-0.214635944861141, 0.)); #410007=DIRECTION('ref_axis',(0.214635944861141,-0.976694123650575,0.)); #410008=DIRECTION('',(0.214635944861141,-0.976694123650575,0.)); #410009=DIRECTION('',(0.214635944861141,-0.976694123650575,0.)); #410010=DIRECTION('',(0.,0.,1.)); #410011=DIRECTION('center_axis',(-0.983056472197893,0.183302952703531,0.)); #410012=DIRECTION('ref_axis',(-0.183302952703531,-0.983056472197893,0.)); #410013=DIRECTION('',(-0.183302952703531,-0.983056472197893,0.)); #410014=DIRECTION('',(-0.183302952703531,-0.983056472197893,0.)); #410015=DIRECTION('',(0.,0.,1.)); #410016=DIRECTION('center_axis',(-0.833659859749822,0.552278225391792,0.)); #410017=DIRECTION('ref_axis',(-0.552278225391792,-0.833659859749822,0.)); #410018=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #410019=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #410020=DIRECTION('',(0.,0.,1.)); #410021=DIRECTION('center_axis',(-0.707106781186527,0.707106781186568,0.)); #410022=DIRECTION('ref_axis',(-0.707106781186568,-0.707106781186527,0.)); #410023=DIRECTION('',(-0.707106781186568,-0.707106781186527,0.)); #410024=DIRECTION('',(-0.707106781186568,-0.707106781186527,0.)); #410025=DIRECTION('',(0.,0.,1.)); #410026=DIRECTION('center_axis',(-0.572058771549436,0.820212632122518,0.)); #410027=DIRECTION('ref_axis',(-0.820212632122518,-0.572058771549436,0.)); #410028=DIRECTION('',(-0.820212632122518,-0.572058771549436,0.)); #410029=DIRECTION('',(-0.820212632122518,-0.572058771549436,0.)); #410030=DIRECTION('',(0.,0.,1.)); #410031=DIRECTION('center_axis',(-0.230165683714617,0.973151456886431,0.)); #410032=DIRECTION('ref_axis',(-0.973151456886431,-0.230165683714617,0.)); #410033=DIRECTION('',(-0.973151456886431,-0.230165683714617,0.)); #410034=DIRECTION('',(-0.973151456886431,-0.230165683714617,0.)); #410035=DIRECTION('',(0.,0.,1.)); #410036=DIRECTION('center_axis',(0.167643887112304,0.985847618607398,0.)); #410037=DIRECTION('ref_axis',(-0.985847618607398,0.167643887112304,0.)); #410038=DIRECTION('',(-0.985847618607398,0.167643887112304,0.)); #410039=DIRECTION('',(-0.985847618607398,0.167643887112304,0.)); #410040=DIRECTION('',(0.,0.,1.)); #410041=DIRECTION('center_axis',(0.538911466746055,0.842362410729263,0.)); #410042=DIRECTION('ref_axis',(-0.842362410729263,0.538911466746055,0.)); #410043=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #410044=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #410045=DIRECTION('',(0.,0.,1.)); #410046=DIRECTION('center_axis',(0.824756093515085,0.565488626065756,0.)); #410047=DIRECTION('ref_axis',(-0.565488626065756,0.824756093515085,0.)); #410048=DIRECTION('',(-0.565488626065756,0.824756093515085,0.)); #410049=DIRECTION('',(-0.565488626065756,0.824756093515085,0.)); #410050=DIRECTION('',(0.,0.,1.)); #410051=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #410052=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #410053=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #410054=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #410055=DIRECTION('',(0.,0.,1.)); #410056=DIRECTION('center_axis',(1.,0.,0.)); #410057=DIRECTION('ref_axis',(0.,1.,0.)); #410058=DIRECTION('',(0.,1.,0.)); #410059=DIRECTION('',(0.,1.,0.)); #410060=DIRECTION('',(0.,0.,1.)); #410061=DIRECTION('center_axis',(0.981560865252922,-0.191149856928888,0.)); #410062=DIRECTION('ref_axis',(0.191149856928888,0.981560865252922,0.)); #410063=DIRECTION('',(0.191149856928888,0.981560865252922,0.)); #410064=DIRECTION('',(0.191149856928888,0.981560865252922,0.)); #410065=DIRECTION('',(0.,0.,1.)); #410066=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #410067=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #410068=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #410069=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #410070=DIRECTION('',(0.,0.,1.)); #410071=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #410072=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #410073=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #410074=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #410075=DIRECTION('',(0.,0.,1.)); #410076=DIRECTION('center_axis',(0.565439331101256,-0.824789890119759,0.)); #410077=DIRECTION('ref_axis',(0.824789890119759,0.565439331101256,0.)); #410078=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #410079=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #410080=DIRECTION('center_axis',(0.,0.,1.)); #410081=DIRECTION('ref_axis',(1.,0.,0.)); #410082=DIRECTION('center_axis',(0.,0.,1.)); #410083=DIRECTION('ref_axis',(1.,0.,0.)); #410084=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #410085=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #410086=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #410087=DIRECTION('',(0.,0.,1.)); #410088=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #410089=DIRECTION('',(0.,0.,1.)); #410090=DIRECTION('center_axis',(0.,-1.,0.)); #410091=DIRECTION('ref_axis',(1.,0.,0.)); #410092=DIRECTION('',(1.,0.,0.)); #410093=DIRECTION('',(1.,0.,0.)); #410094=DIRECTION('',(0.,0.,1.)); #410095=DIRECTION('center_axis',(-0.175495599689726,-0.984480215387564, 0.)); #410096=DIRECTION('ref_axis',(0.984480215387564,-0.175495599689726,0.)); #410097=DIRECTION('',(0.984480215387564,-0.175495599689726,0.)); #410098=DIRECTION('',(0.984480215387564,-0.175495599689726,0.)); #410099=DIRECTION('',(0.,0.,1.)); #410100=DIRECTION('center_axis',(-0.525373540973609,-0.850871695642093, 0.)); #410101=DIRECTION('ref_axis',(0.850871695642093,-0.525373540973609,0.)); #410102=DIRECTION('',(0.850871695642093,-0.525373540973609,0.)); #410103=DIRECTION('',(0.850871695642093,-0.525373540973609,0.)); #410104=DIRECTION('',(0.,0.,1.)); #410105=DIRECTION('center_axis',(-0.815693852178772,-0.578483828224917, 0.)); #410106=DIRECTION('ref_axis',(0.578483828224917,-0.815693852178772,0.)); #410107=DIRECTION('',(0.578483828224917,-0.815693852178772,0.)); #410108=DIRECTION('',(0.578483828224917,-0.815693852178772,0.)); #410109=DIRECTION('',(0.,0.,1.)); #410110=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #410111=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #410112=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #410113=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #410114=DIRECTION('',(0.,0.,1.)); #410115=DIRECTION('center_axis',(-0.983056472197898,0.183302952703505,0.)); #410116=DIRECTION('ref_axis',(-0.183302952703505,-0.983056472197898,0.)); #410117=DIRECTION('',(-0.183302952703505,-0.983056472197898,0.)); #410118=DIRECTION('',(-0.183302952703505,-0.983056472197898,0.)); #410119=DIRECTION('',(0.,0.,1.)); #410120=DIRECTION('center_axis',(-0.833659859749643,0.552278225392063,0.)); #410121=DIRECTION('ref_axis',(-0.552278225392063,-0.833659859749643,0.)); #410122=DIRECTION('',(-0.552278225392063,-0.833659859749643,0.)); #410123=DIRECTION('',(-0.552278225392063,-0.833659859749643,0.)); #410124=DIRECTION('',(0.,0.,1.)); #410125=DIRECTION('center_axis',(-0.70710678118655,0.707106781186545,0.)); #410126=DIRECTION('ref_axis',(-0.707106781186545,-0.70710678118655,0.)); #410127=DIRECTION('',(-0.707106781186545,-0.70710678118655,0.)); #410128=DIRECTION('',(-0.707106781186545,-0.70710678118655,0.)); #410129=DIRECTION('',(0.,0.,1.)); #410130=DIRECTION('center_axis',(-0.572058771549169,0.820212632122705,0.)); #410131=DIRECTION('ref_axis',(-0.820212632122705,-0.572058771549169,0.)); #410132=DIRECTION('',(-0.820212632122705,-0.572058771549169,0.)); #410133=DIRECTION('',(-0.820212632122705,-0.572058771549169,0.)); #410134=DIRECTION('',(0.,0.,1.)); #410135=DIRECTION('center_axis',(-0.230165683714756,0.973151456886398,0.)); #410136=DIRECTION('ref_axis',(-0.973151456886398,-0.230165683714756,0.)); #410137=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #410138=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #410139=DIRECTION('',(0.,0.,1.)); #410140=DIRECTION('center_axis',(0.167643887112495,0.985847618607365,0.)); #410141=DIRECTION('ref_axis',(-0.985847618607365,0.167643887112495,0.)); #410142=DIRECTION('',(-0.985847618607365,0.167643887112495,0.)); #410143=DIRECTION('',(-0.985847618607365,0.167643887112495,0.)); #410144=DIRECTION('',(0.,0.,1.)); #410145=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #410146=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #410147=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #410148=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #410149=DIRECTION('',(0.,0.,1.)); #410150=DIRECTION('center_axis',(0.824756093515038,0.565488626065824,0.)); #410151=DIRECTION('ref_axis',(-0.565488626065824,0.824756093515038,0.)); #410152=DIRECTION('',(-0.565488626065824,0.824756093515038,0.)); #410153=DIRECTION('',(-0.565488626065824,0.824756093515038,0.)); #410154=DIRECTION('',(0.,0.,1.)); #410155=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #410156=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #410157=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #410158=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #410159=DIRECTION('',(0.,0.,1.)); #410160=DIRECTION('center_axis',(1.,0.,0.)); #410161=DIRECTION('ref_axis',(0.,1.,0.)); #410162=DIRECTION('',(0.,1.,0.)); #410163=DIRECTION('',(0.,1.,0.)); #410164=DIRECTION('',(0.,0.,1.)); #410165=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #410166=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #410167=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #410168=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #410169=DIRECTION('',(0.,0.,1.)); #410170=DIRECTION('center_axis',(0.833659859749822,-0.552278225391792,0.)); #410171=DIRECTION('ref_axis',(0.552278225391792,0.833659859749822,0.)); #410172=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #410173=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #410174=DIRECTION('',(0.,0.,1.)); #410175=DIRECTION('center_axis',(0.707106781186546,-0.707106781186549,0.)); #410176=DIRECTION('ref_axis',(0.707106781186549,0.707106781186546,0.)); #410177=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #410178=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #410179=DIRECTION('',(0.,0.,1.)); #410180=DIRECTION('center_axis',(0.558946331948969,-0.829203833807341,0.)); #410181=DIRECTION('ref_axis',(0.829203833807341,0.558946331948969,0.)); #410182=DIRECTION('',(0.829203833807341,0.558946331948969,0.)); #410183=DIRECTION('',(0.829203833807341,0.558946331948969,0.)); #410184=DIRECTION('center_axis',(0.,0.,1.)); #410185=DIRECTION('ref_axis',(1.,0.,0.)); #410186=DIRECTION('center_axis',(0.,0.,1.)); #410187=DIRECTION('ref_axis',(1.,0.,0.)); #410188=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #410189=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #410190=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #410191=DIRECTION('',(0.,0.,1.)); #410192=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #410193=DIRECTION('',(0.,0.,1.)); #410194=DIRECTION('center_axis',(0.,-1.,0.)); #410195=DIRECTION('ref_axis',(1.,0.,0.)); #410196=DIRECTION('',(1.,0.,0.)); #410197=DIRECTION('',(1.,0.,0.)); #410198=DIRECTION('',(0.,0.,1.)); #410199=DIRECTION('center_axis',(-0.17550205938607,-0.984479063846077,0.)); #410200=DIRECTION('ref_axis',(0.984479063846077,-0.17550205938607,0.)); #410201=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #410202=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #410203=DIRECTION('',(0.,0.,1.)); #410204=DIRECTION('center_axis',(-0.525373540973373,-0.85087169564224,0.)); #410205=DIRECTION('ref_axis',(0.85087169564224,-0.525373540973373,0.)); #410206=DIRECTION('',(0.85087169564224,-0.525373540973373,0.)); #410207=DIRECTION('',(0.85087169564224,-0.525373540973373,0.)); #410208=DIRECTION('',(0.,0.,1.)); #410209=DIRECTION('center_axis',(-0.815693852178959,-0.578483828224653, 0.)); #410210=DIRECTION('ref_axis',(0.578483828224653,-0.815693852178959,0.)); #410211=DIRECTION('',(0.578483828224653,-0.815693852178959,0.)); #410212=DIRECTION('',(0.578483828224653,-0.815693852178959,0.)); #410213=DIRECTION('',(0.,0.,1.)); #410214=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #410215=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #410216=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #410217=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #410218=DIRECTION('',(0.,0.,1.)); #410219=DIRECTION('center_axis',(-0.983056472197893,0.183302952703531,0.)); #410220=DIRECTION('ref_axis',(-0.183302952703531,-0.983056472197893,0.)); #410221=DIRECTION('',(-0.183302952703531,-0.983056472197893,0.)); #410222=DIRECTION('',(-0.183302952703531,-0.983056472197893,0.)); #410223=DIRECTION('',(0.,0.,1.)); #410224=DIRECTION('center_axis',(-0.833659859749778,0.552278225391859,0.)); #410225=DIRECTION('ref_axis',(-0.552278225391859,-0.833659859749778,0.)); #410226=DIRECTION('',(-0.552278225391859,-0.833659859749778,0.)); #410227=DIRECTION('',(-0.552278225391859,-0.833659859749778,0.)); #410228=DIRECTION('',(0.,0.,1.)); #410229=DIRECTION('center_axis',(-0.707106781186567,0.707106781186528,0.)); #410230=DIRECTION('ref_axis',(-0.707106781186528,-0.707106781186567,0.)); #410231=DIRECTION('',(-0.707106781186528,-0.707106781186567,0.)); #410232=DIRECTION('',(-0.707106781186528,-0.707106781186567,0.)); #410233=DIRECTION('',(0.,0.,1.)); #410234=DIRECTION('center_axis',(-0.558946331948864,0.829203833807412,0.)); #410235=DIRECTION('ref_axis',(-0.829203833807412,-0.558946331948864,0.)); #410236=DIRECTION('',(-0.829203833807412,-0.558946331948864,0.)); #410237=DIRECTION('',(-0.829203833807412,-0.558946331948864,0.)); #410238=DIRECTION('',(0.,0.,1.)); #410239=DIRECTION('center_axis',(-0.198956019232542,0.980008419561353,0.)); #410240=DIRECTION('ref_axis',(-0.980008419561353,-0.198956019232542,0.)); #410241=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #410242=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #410243=DIRECTION('',(0.,0.,1.)); #410244=DIRECTION('center_axis',(0.,1.,0.)); #410245=DIRECTION('ref_axis',(-1.,0.,0.)); #410246=DIRECTION('',(-1.,0.,0.)); #410247=DIRECTION('',(-1.,0.,0.)); #410248=DIRECTION('',(0.,0.,1.)); #410249=DIRECTION('center_axis',(0.17550205938607,0.984479063846077,0.)); #410250=DIRECTION('ref_axis',(-0.984479063846077,0.17550205938607,0.)); #410251=DIRECTION('',(-0.984479063846077,0.17550205938607,0.)); #410252=DIRECTION('',(-0.984479063846077,0.17550205938607,0.)); #410253=DIRECTION('',(0.,0.,1.)); #410254=DIRECTION('center_axis',(0.525373540973373,0.85087169564224,0.)); #410255=DIRECTION('ref_axis',(-0.85087169564224,0.525373540973373,0.)); #410256=DIRECTION('',(-0.85087169564224,0.525373540973373,0.)); #410257=DIRECTION('',(-0.85087169564224,0.525373540973373,0.)); #410258=DIRECTION('',(0.,0.,1.)); #410259=DIRECTION('center_axis',(0.815693852178959,0.578483828224653,0.)); #410260=DIRECTION('ref_axis',(-0.578483828224653,0.815693852178959,0.)); #410261=DIRECTION('',(-0.578483828224653,0.815693852178959,0.)); #410262=DIRECTION('',(-0.578483828224653,0.815693852178959,0.)); #410263=DIRECTION('',(0.,0.,1.)); #410264=DIRECTION('center_axis',(0.97668569083752,0.21467431451675,0.)); #410265=DIRECTION('ref_axis',(-0.21467431451675,0.97668569083752,0.)); #410266=DIRECTION('',(-0.21467431451675,0.97668569083752,0.)); #410267=DIRECTION('',(-0.21467431451675,0.97668569083752,0.)); #410268=DIRECTION('',(0.,0.,1.)); #410269=DIRECTION('center_axis',(0.983050529880594,-0.183334818573788,0.)); #410270=DIRECTION('ref_axis',(0.183334818573788,0.983050529880594,0.)); #410271=DIRECTION('',(0.183334818573788,0.983050529880594,0.)); #410272=DIRECTION('',(0.183334818573788,0.983050529880594,0.)); #410273=DIRECTION('',(0.,0.,1.)); #410274=DIRECTION('center_axis',(0.833659859749643,-0.552278225392063,0.)); #410275=DIRECTION('ref_axis',(0.552278225392063,0.833659859749643,0.)); #410276=DIRECTION('',(0.552278225392063,0.833659859749643,0.)); #410277=DIRECTION('',(0.552278225392063,0.833659859749643,0.)); #410278=DIRECTION('',(0.,0.,1.)); #410279=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #410280=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #410281=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #410282=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #410283=DIRECTION('',(0.,0.,1.)); #410284=DIRECTION('center_axis',(0.55894633194911,-0.829203833807246,0.)); #410285=DIRECTION('ref_axis',(0.829203833807246,0.55894633194911,0.)); #410286=DIRECTION('',(0.829203833807246,0.55894633194911,0.)); #410287=DIRECTION('',(0.829203833807246,0.55894633194911,0.)); #410288=DIRECTION('center_axis',(0.,0.,1.)); #410289=DIRECTION('ref_axis',(1.,0.,0.)); #410290=DIRECTION('center_axis',(0.,0.,1.)); #410291=DIRECTION('ref_axis',(1.,0.,0.)); #410292=DIRECTION('center_axis',(0.222335042818087,-0.974970321976561,0.)); #410293=DIRECTION('ref_axis',(0.974970321976561,0.222335042818087,0.)); #410294=DIRECTION('',(0.974970321976561,0.222335042818087,0.)); #410295=DIRECTION('',(0.,0.,1.)); #410296=DIRECTION('',(0.974970321976561,0.222335042818087,0.)); #410297=DIRECTION('',(0.,0.,1.)); #410298=DIRECTION('center_axis',(-0.175457377966587,-0.984487028110117, 0.)); #410299=DIRECTION('ref_axis',(0.984487028110117,-0.175457377966587,0.)); #410300=DIRECTION('',(0.984487028110117,-0.175457377966587,0.)); #410301=DIRECTION('',(0.984487028110117,-0.175457377966587,0.)); #410302=DIRECTION('',(0.,0.,1.)); #410303=DIRECTION('center_axis',(-0.54560478157073,-0.838042613670186,0.)); #410304=DIRECTION('ref_axis',(0.838042613670186,-0.54560478157073,0.)); #410305=DIRECTION('',(0.838042613670186,-0.54560478157073,0.)); #410306=DIRECTION('',(0.838042613670186,-0.54560478157073,0.)); #410307=DIRECTION('',(0.,0.,1.)); #410308=DIRECTION('center_axis',(-0.829249817914661,-0.558878107898763, 0.)); #410309=DIRECTION('ref_axis',(0.558878107898763,-0.829249817914661,0.)); #410310=DIRECTION('',(0.558878107898763,-0.829249817914661,0.)); #410311=DIRECTION('',(0.558878107898763,-0.829249817914661,0.)); #410312=DIRECTION('',(0.,0.,1.)); #410313=DIRECTION('center_axis',(-0.923877479452204,-0.382688388850566, 0.)); #410314=DIRECTION('ref_axis',(0.382688388850566,-0.923877479452204,0.)); #410315=DIRECTION('',(0.382688388850566,-0.923877479452204,0.)); #410316=DIRECTION('',(0.382688388850566,-0.923877479452204,0.)); #410317=DIRECTION('',(0.,0.,1.)); #410318=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #410319=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #410320=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #410321=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #410322=DIRECTION('',(0.,0.,1.)); #410323=DIRECTION('center_axis',(-0.382688667805833,-0.923877363903347, 0.)); #410324=DIRECTION('ref_axis',(0.923877363903347,-0.382688667805833,0.)); #410325=DIRECTION('',(0.923877363903347,-0.382688667805833,0.)); #410326=DIRECTION('',(0.923877363903347,-0.382688667805833,0.)); #410327=DIRECTION('',(0.,0.,1.)); #410328=DIRECTION('center_axis',(0.,-1.,0.)); #410329=DIRECTION('ref_axis',(1.,0.,0.)); #410330=DIRECTION('',(1.,0.,0.)); #410331=DIRECTION('',(1.,0.,0.)); #410332=DIRECTION('',(0.,0.,1.)); #410333=DIRECTION('center_axis',(0.382688667805837,-0.923877363903345,0.)); #410334=DIRECTION('ref_axis',(0.923877363903345,0.382688667805837,0.)); #410335=DIRECTION('',(0.923877363903345,0.382688667805837,0.)); #410336=DIRECTION('',(0.923877363903345,0.382688667805837,0.)); #410337=DIRECTION('',(0.,0.,1.)); #410338=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #410339=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #410340=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #410341=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #410342=DIRECTION('',(0.,0.,1.)); #410343=DIRECTION('center_axis',(0.572058771549325,-0.820212632122596,0.)); #410344=DIRECTION('ref_axis',(0.820212632122596,0.572058771549325,0.)); #410345=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #410346=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #410347=DIRECTION('',(0.,0.,1.)); #410348=DIRECTION('center_axis',(0.230196907019351,-0.973144071552987,0.)); #410349=DIRECTION('ref_axis',(0.973144071552987,0.230196907019351,0.)); #410350=DIRECTION('',(0.973144071552987,0.230196907019351,0.)); #410351=DIRECTION('',(0.973144071552987,0.230196907019351,0.)); #410352=DIRECTION('',(0.,0.,1.)); #410353=DIRECTION('center_axis',(-0.167675934908011,-0.985842168327529, 0.)); #410354=DIRECTION('ref_axis',(0.985842168327529,-0.167675934908011,0.)); #410355=DIRECTION('',(0.985842168327529,-0.167675934908011,0.)); #410356=DIRECTION('',(0.985842168327529,-0.167675934908011,0.)); #410357=DIRECTION('',(0.,0.,1.)); #410358=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #410359=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #410360=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #410361=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #410362=DIRECTION('',(0.,0.,1.)); #410363=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #410364=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #410365=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #410366=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #410367=DIRECTION('',(0.,0.,1.)); #410368=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #410369=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #410370=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #410371=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #410372=DIRECTION('',(0.,0.,1.)); #410373=DIRECTION('center_axis',(-1.,0.,0.)); #410374=DIRECTION('ref_axis',(0.,-1.,0.)); #410375=DIRECTION('',(0.,-1.,0.)); #410376=DIRECTION('',(0.,-1.,0.)); #410377=DIRECTION('',(0.,0.,1.)); #410378=DIRECTION('center_axis',(-0.984479063846082,0.175502059386041,0.)); #410379=DIRECTION('ref_axis',(-0.175502059386041,-0.984479063846082,0.)); #410380=DIRECTION('',(-0.175502059386041,-0.984479063846082,0.)); #410381=DIRECTION('',(-0.175502059386041,-0.984479063846082,0.)); #410382=DIRECTION('',(0.,0.,1.)); #410383=DIRECTION('center_axis',(-0.850880796063525,0.525358802048945,0.)); #410384=DIRECTION('ref_axis',(-0.525358802048945,-0.850880796063525,0.)); #410385=DIRECTION('',(-0.525358802048945,-0.850880796063525,0.)); #410386=DIRECTION('',(-0.525358802048945,-0.850880796063525,0.)); #410387=DIRECTION('',(0.,0.,1.)); #410388=DIRECTION('center_axis',(-0.57846188858929,0.815709411156762,0.)); #410389=DIRECTION('ref_axis',(-0.815709411156762,-0.57846188858929,0.)); #410390=DIRECTION('',(-0.815709411156762,-0.57846188858929,0.)); #410391=DIRECTION('',(-0.815709411156762,-0.57846188858929,0.)); #410392=DIRECTION('',(0.,0.,1.)); #410393=DIRECTION('center_axis',(-0.21467431451661,0.976685690837551,0.)); #410394=DIRECTION('ref_axis',(-0.976685690837551,-0.21467431451661,0.)); #410395=DIRECTION('',(-0.976685690837551,-0.21467431451661,0.)); #410396=DIRECTION('',(-0.976685690837551,-0.21467431451661,0.)); #410397=DIRECTION('',(0.,0.,1.)); #410398=DIRECTION('center_axis',(0.183334818573646,0.98305052988062,0.)); #410399=DIRECTION('ref_axis',(-0.98305052988062,0.183334818573646,0.)); #410400=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #410401=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #410402=DIRECTION('',(0.,0.,1.)); #410403=DIRECTION('center_axis',(0.552278225391859,0.833659859749778,0.)); #410404=DIRECTION('ref_axis',(-0.833659859749778,0.552278225391859,0.)); #410405=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #410406=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #410407=DIRECTION('',(0.,0.,1.)); #410408=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #410409=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #410410=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #410411=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #410412=DIRECTION('',(0.,0.,1.)); #410413=DIRECTION('center_axis',(0.382688667805837,0.923877363903345,0.)); #410414=DIRECTION('ref_axis',(-0.923877363903345,0.382688667805837,0.)); #410415=DIRECTION('',(-0.923877363903345,0.382688667805837,0.)); #410416=DIRECTION('',(-0.923877363903345,0.382688667805837,0.)); #410417=DIRECTION('',(0.,0.,1.)); #410418=DIRECTION('center_axis',(0.,1.,0.)); #410419=DIRECTION('ref_axis',(-1.,0.,0.)); #410420=DIRECTION('',(-1.,0.,0.)); #410421=DIRECTION('',(-1.,0.,0.)); #410422=DIRECTION('',(0.,0.,1.)); #410423=DIRECTION('center_axis',(-0.382688667805833,0.923877363903347,0.)); #410424=DIRECTION('ref_axis',(-0.923877363903347,-0.382688667805833,0.)); #410425=DIRECTION('',(-0.923877363903347,-0.382688667805833,0.)); #410426=DIRECTION('',(-0.923877363903347,-0.382688667805833,0.)); #410427=DIRECTION('',(0.,0.,1.)); #410428=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #410429=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #410430=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #410431=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #410432=DIRECTION('',(0.,0.,1.)); #410433=DIRECTION('center_axis',(-0.923877479452207,0.382688388850561,0.)); #410434=DIRECTION('ref_axis',(-0.382688388850561,-0.923877479452207,0.)); #410435=DIRECTION('',(-0.38268838885056,-0.923877479452207,0.)); #410436=DIRECTION('',(-0.38268838885056,-0.923877479452207,0.)); #410437=DIRECTION('',(0.,0.,1.)); #410438=DIRECTION('center_axis',(-0.844534310044551,0.535501446457032,0.)); #410439=DIRECTION('ref_axis',(-0.535501446457032,-0.844534310044551,0.)); #410440=DIRECTION('',(-0.535501446457032,-0.844534310044551,0.)); #410441=DIRECTION('',(-0.535501446457032,-0.844534310044551,0.)); #410442=DIRECTION('',(0.,0.,1.)); #410443=DIRECTION('center_axis',(-0.591488023935674,0.806313783548732,0.)); #410444=DIRECTION('ref_axis',(-0.806313783548732,-0.591488023935674,0.)); #410445=DIRECTION('',(-0.806313783548732,-0.591488023935674,0.)); #410446=DIRECTION('',(-0.806313783548732,-0.591488023935674,0.)); #410447=DIRECTION('',(0.,0.,1.)); #410448=DIRECTION('center_axis',(-0.230165683714756,0.973151456886398,0.)); #410449=DIRECTION('ref_axis',(-0.973151456886398,-0.230165683714756,0.)); #410450=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #410451=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #410452=DIRECTION('',(0.,0.,1.)); #410453=DIRECTION('center_axis',(0.167643887112304,0.985847618607398,0.)); #410454=DIRECTION('ref_axis',(-0.985847618607398,0.167643887112304,0.)); #410455=DIRECTION('',(-0.985847618607398,0.167643887112304,0.)); #410456=DIRECTION('',(-0.985847618607398,0.167643887112304,0.)); #410457=DIRECTION('',(0.,0.,1.)); #410458=DIRECTION('center_axis',(0.538911466746018,0.842362410729287,0.)); #410459=DIRECTION('ref_axis',(-0.842362410729287,0.538911466746018,0.)); #410460=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #410461=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #410462=DIRECTION('',(0.,0.,1.)); #410463=DIRECTION('center_axis',(0.824756093515132,0.565488626065688,0.)); #410464=DIRECTION('ref_axis',(-0.565488626065688,0.824756093515132,0.)); #410465=DIRECTION('',(-0.565488626065688,0.824756093515132,0.)); #410466=DIRECTION('',(-0.565488626065688,0.824756093515132,0.)); #410467=DIRECTION('',(0.,0.,1.)); #410468=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #410469=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #410470=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #410471=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #410472=DIRECTION('',(0.,0.,1.)); #410473=DIRECTION('center_axis',(1.,0.,0.)); #410474=DIRECTION('ref_axis',(0.,1.,0.)); #410475=DIRECTION('',(0.,1.,0.)); #410476=DIRECTION('',(0.,1.,0.)); #410477=DIRECTION('',(0.,0.,1.)); #410478=DIRECTION('center_axis',(0.985169907360795,-0.171581623814215,0.)); #410479=DIRECTION('ref_axis',(0.171581623814215,0.985169907360795,0.)); #410480=DIRECTION('',(0.171581623814215,0.985169907360795,0.)); #410481=DIRECTION('',(0.171581623814215,0.985169907360795,0.)); #410482=DIRECTION('',(0.,0.,1.)); #410483=DIRECTION('center_axis',(0.854977625343709,-0.518664882329267,0.)); #410484=DIRECTION('ref_axis',(0.518664882329267,0.854977625343709,0.)); #410485=DIRECTION('',(0.518664882329267,0.854977625343709,0.)); #410486=DIRECTION('',(0.518664882329267,0.854977625343709,0.)); #410487=DIRECTION('',(0.,0.,1.)); #410488=DIRECTION('center_axis',(0.585108834823578,-0.810954777661119,0.)); #410489=DIRECTION('ref_axis',(0.810954777661119,0.585108834823578,0.)); #410490=DIRECTION('',(0.810954777661119,0.585108834823578,0.)); #410491=DIRECTION('',(0.810954777661119,0.585108834823578,0.)); #410492=DIRECTION('center_axis',(0.,0.,1.)); #410493=DIRECTION('ref_axis',(1.,0.,0.)); #410494=DIRECTION('center_axis',(0.,0.,1.)); #410495=DIRECTION('ref_axis',(1.,0.,0.)); #410496=DIRECTION('center_axis',(0.,0.,1.)); #410497=DIRECTION('ref_axis',(1.,0.,0.)); #410498=DIRECTION('center_axis',(0.,0.,1.)); #410499=DIRECTION('ref_axis',(1.,0.,0.)); #410500=DIRECTION('',(0.,0.,1.)); #410501=DIRECTION('center_axis',(0.,0.,-1.)); #410502=DIRECTION('ref_axis',(1.,0.,0.)); #410503=DIRECTION('center_axis',(0.,1.,0.)); #410504=DIRECTION('ref_axis',(-1.,0.,0.)); #410505=DIRECTION('',(1.,0.,0.)); #410506=DIRECTION('',(0.,0.,1.)); #410507=DIRECTION('',(-1.,0.,0.)); #410508=DIRECTION('',(0.,0.,1.)); #410509=DIRECTION('center_axis',(0.,0.,1.)); #410510=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #410511=DIRECTION('center_axis',(0.,0.,-1.)); #410512=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #410513=DIRECTION('center_axis',(0.,0.,1.)); #410514=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #410515=DIRECTION('',(0.,0.,1.)); #410516=DIRECTION('center_axis',(0.,-1.,0.)); #410517=DIRECTION('ref_axis',(1.,0.,0.)); #410518=DIRECTION('',(-1.,0.,0.)); #410519=DIRECTION('',(1.,0.,0.)); #410520=DIRECTION('',(0.,0.,1.)); #410521=DIRECTION('center_axis',(0.,0.,1.)); #410522=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #410523=DIRECTION('center_axis',(0.,0.,-1.)); #410524=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #410525=DIRECTION('center_axis',(0.,0.,1.)); #410526=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #410527=DIRECTION('center_axis',(0.214642857802347,-0.976692604453644,0.)); #410528=DIRECTION('ref_axis',(0.976692604453643,0.214642857802347,0.)); #410529=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #410530=DIRECTION('',(0.,0.,1.)); #410531=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #410532=DIRECTION('',(0.,0.,1.)); #410533=DIRECTION('center_axis',(-0.183302952703505,-0.983056472197898, 0.)); #410534=DIRECTION('ref_axis',(0.983056472197898,-0.183302952703505,0.)); #410535=DIRECTION('',(0.983056472197898,-0.183302952703505,0.)); #410536=DIRECTION('',(0.983056472197898,-0.183302952703505,0.)); #410537=DIRECTION('',(0.,0.,1.)); #410538=DIRECTION('center_axis',(-0.552278225391961,-0.83365985974971,0.)); #410539=DIRECTION('ref_axis',(0.83365985974971,-0.552278225391961,0.)); #410540=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #410541=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #410542=DIRECTION('',(0.,0.,1.)); #410543=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #410544=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #410545=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #410546=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #410547=DIRECTION('',(0.,0.,1.)); #410548=DIRECTION('center_axis',(-0.829203833807341,-0.558946331948969, 0.)); #410549=DIRECTION('ref_axis',(0.558946331948969,-0.829203833807341,0.)); #410550=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #410551=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #410552=DIRECTION('',(0.,0.,1.)); #410553=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #410554=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #410555=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #410556=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #410557=DIRECTION('',(0.,0.,1.)); #410558=DIRECTION('center_axis',(-1.,0.,0.)); #410559=DIRECTION('ref_axis',(0.,-1.,0.)); #410560=DIRECTION('',(0.,-1.,0.)); #410561=DIRECTION('',(0.,-1.,0.)); #410562=DIRECTION('',(0.,0.,1.)); #410563=DIRECTION('center_axis',(-0.981560865252946,0.19114985692877,0.)); #410564=DIRECTION('ref_axis',(-0.19114985692877,-0.981560865252945,0.)); #410565=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #410566=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #410567=DIRECTION('',(0.,0.,1.)); #410568=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #410569=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #410570=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #410571=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #410572=DIRECTION('',(0.,0.,1.)); #410573=DIRECTION('center_axis',(-0.707108784529055,0.707104777838364,0.)); #410574=DIRECTION('ref_axis',(-0.707104777838364,-0.707108784529055,0.)); #410575=DIRECTION('',(-0.707104777838364,-0.707108784529055,0.)); #410576=DIRECTION('',(-0.707104777838364,-0.707108784529055,0.)); #410577=DIRECTION('',(0.,0.,1.)); #410578=DIRECTION('center_axis',(-1.,0.,0.)); #410579=DIRECTION('ref_axis',(0.,-1.,0.)); #410580=DIRECTION('',(0.,-1.,0.)); #410581=DIRECTION('',(0.,-1.,0.)); #410582=DIRECTION('',(0.,0.,1.)); #410583=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #410584=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #410585=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #410586=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #410587=DIRECTION('',(0.,0.,1.)); #410588=DIRECTION('center_axis',(0.,-1.,0.)); #410589=DIRECTION('ref_axis',(1.,0.,0.)); #410590=DIRECTION('',(1.,0.,0.)); #410591=DIRECTION('',(1.,0.,0.)); #410592=DIRECTION('',(0.,0.,1.)); #410593=DIRECTION('center_axis',(0.707104327390303,-0.707109234974277,0.)); #410594=DIRECTION('ref_axis',(0.707109234974277,0.707104327390303,0.)); #410595=DIRECTION('',(0.707109234974277,0.707104327390303,0.)); #410596=DIRECTION('',(0.707109234974277,0.707104327390303,0.)); #410597=DIRECTION('',(0.,0.,1.)); #410598=DIRECTION('center_axis',(0.565439331101182,-0.82478989011981,0.)); #410599=DIRECTION('ref_axis',(0.82478989011981,0.565439331101182,0.)); #410600=DIRECTION('',(0.82478989011981,0.565439331101182,0.)); #410601=DIRECTION('',(0.82478989011981,0.565439331101182,0.)); #410602=DIRECTION('',(0.,0.,1.)); #410603=DIRECTION('center_axis',(0.214674314516719,-0.976685690837527,0.)); #410604=DIRECTION('ref_axis',(0.976685690837527,0.214674314516719,0.)); #410605=DIRECTION('',(0.976685690837527,0.214674314516719,0.)); #410606=DIRECTION('',(0.976685690837527,0.214674314516719,0.)); #410607=DIRECTION('',(0.,0.,1.)); #410608=DIRECTION('center_axis',(-0.183334818573788,-0.983050529880594, 0.)); #410609=DIRECTION('ref_axis',(0.983050529880594,-0.183334818573788,0.)); #410610=DIRECTION('',(0.983050529880594,-0.183334818573788,0.)); #410611=DIRECTION('',(0.983050529880594,-0.183334818573788,0.)); #410612=DIRECTION('',(0.,0.,1.)); #410613=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #410614=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #410615=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #410616=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #410617=DIRECTION('',(0.,0.,1.)); #410618=DIRECTION('center_axis',(-0.707104327390303,-0.707109234974277, 0.)); #410619=DIRECTION('ref_axis',(0.707109234974277,-0.707104327390303,0.)); #410620=DIRECTION('',(0.707109234974277,-0.707104327390303,0.)); #410621=DIRECTION('',(0.707109234974277,-0.707104327390303,0.)); #410622=DIRECTION('',(0.,0.,1.)); #410623=DIRECTION('center_axis',(0.,-1.,0.)); #410624=DIRECTION('ref_axis',(1.,0.,0.)); #410625=DIRECTION('',(1.,0.,0.)); #410626=DIRECTION('',(1.,0.,0.)); #410627=DIRECTION('',(0.,0.,1.)); #410628=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #410629=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #410630=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #410631=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #410632=DIRECTION('',(0.,0.,1.)); #410633=DIRECTION('center_axis',(1.,0.,0.)); #410634=DIRECTION('ref_axis',(0.,1.,0.)); #410635=DIRECTION('',(0.,1.,0.)); #410636=DIRECTION('',(0.,1.,0.)); #410637=DIRECTION('',(0.,0.,1.)); #410638=DIRECTION('center_axis',(0.707108784529055,0.707104777838364,0.)); #410639=DIRECTION('ref_axis',(-0.707104777838364,0.707108784529055,0.)); #410640=DIRECTION('',(-0.707104777838364,0.707108784529055,0.)); #410641=DIRECTION('',(-0.707104777838364,0.707108784529055,0.)); #410642=DIRECTION('',(0.,0.,1.)); #410643=DIRECTION('center_axis',(0.829203833807341,0.558946331948969,0.)); #410644=DIRECTION('ref_axis',(-0.558946331948969,0.829203833807341,0.)); #410645=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #410646=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #410647=DIRECTION('',(0.,0.,1.)); #410648=DIRECTION('center_axis',(0.980008419561353,0.198956019232542,0.)); #410649=DIRECTION('ref_axis',(-0.198956019232542,0.980008419561353,0.)); #410650=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #410651=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #410652=DIRECTION('',(0.,0.,1.)); #410653=DIRECTION('center_axis',(1.,0.,0.)); #410654=DIRECTION('ref_axis',(0.,1.,0.)); #410655=DIRECTION('',(0.,1.,0.)); #410656=DIRECTION('',(0.,1.,0.)); #410657=DIRECTION('',(0.,0.,1.)); #410658=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #410659=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #410660=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #410661=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #410662=DIRECTION('',(0.,0.,1.)); #410663=DIRECTION('center_axis',(0.842362410729329,-0.538911466745951,0.)); #410664=DIRECTION('ref_axis',(0.538911466745951,0.842362410729329,0.)); #410665=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #410666=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #410667=DIRECTION('',(0.,0.,1.)); #410668=DIRECTION('center_axis',(0.565504004714963,-0.824745549033967,0.)); #410669=DIRECTION('ref_axis',(0.824745549033967,0.565504004714963,0.)); #410670=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #410671=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #410672=DIRECTION('',(0.,0.,1.)); #410673=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #410674=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #410675=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #410676=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #410677=DIRECTION('',(0.,0.,1.)); #410678=DIRECTION('center_axis',(0.,-1.,0.)); #410679=DIRECTION('ref_axis',(1.,0.,0.)); #410680=DIRECTION('',(1.,0.,0.)); #410681=DIRECTION('',(1.,0.,0.)); #410682=DIRECTION('',(0.,0.,1.)); #410683=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #410684=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #410685=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #410686=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #410687=DIRECTION('',(0.,0.,1.)); #410688=DIRECTION('center_axis',(-0.538911466745951,-0.842362410729329, 0.)); #410689=DIRECTION('ref_axis',(0.842362410729329,-0.538911466745951,0.)); #410690=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #410691=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #410692=DIRECTION('',(0.,0.,1.)); #410693=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #410694=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #410695=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #410696=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #410697=DIRECTION('',(0.,0.,1.)); #410698=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #410699=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #410700=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #410701=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #410702=DIRECTION('',(0.,0.,1.)); #410703=DIRECTION('center_axis',(-1.,0.,0.)); #410704=DIRECTION('ref_axis',(0.,-1.,0.)); #410705=DIRECTION('',(0.,-1.,0.)); #410706=DIRECTION('',(0.,-1.,0.)); #410707=DIRECTION('',(0.,0.,1.)); #410708=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #410709=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #410710=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #410711=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #410712=DIRECTION('',(0.,0.,1.)); #410713=DIRECTION('center_axis',(-0.842362410729372,0.538911466745885,0.)); #410714=DIRECTION('ref_axis',(-0.538911466745885,-0.842362410729372,0.)); #410715=DIRECTION('',(-0.538911466745885,-0.842362410729372,0.)); #410716=DIRECTION('',(-0.538911466745885,-0.842362410729372,0.)); #410717=DIRECTION('',(0.,0.,1.)); #410718=DIRECTION('center_axis',(-0.565504004714863,0.824745549034035,0.)); #410719=DIRECTION('ref_axis',(-0.824745549034035,-0.565504004714863,0.)); #410720=DIRECTION('',(-0.824745549034035,-0.565504004714863,0.)); #410721=DIRECTION('',(-0.824745549034035,-0.565504004714863,0.)); #410722=DIRECTION('',(0.,0.,1.)); #410723=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #410724=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #410725=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #410726=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #410727=DIRECTION('',(0.,0.,1.)); #410728=DIRECTION('center_axis',(0.,1.,0.)); #410729=DIRECTION('ref_axis',(-1.,0.,0.)); #410730=DIRECTION('',(-1.,0.,0.)); #410731=DIRECTION('',(-1.,0.,0.)); #410732=DIRECTION('',(0.,0.,1.)); #410733=DIRECTION('center_axis',(-0.105885061288526,0.994378375567332,0.)); #410734=DIRECTION('ref_axis',(-0.994378375567332,-0.105885061288526,0.)); #410735=DIRECTION('',(-0.994378375567332,-0.105885061288526,0.)); #410736=DIRECTION('',(-0.994378375567332,-0.105885061288526,0.)); #410737=DIRECTION('',(0.,0.,1.)); #410738=DIRECTION('center_axis',(-0.312922107778943,0.949778792384408,0.)); #410739=DIRECTION('ref_axis',(-0.949778792384408,-0.312922107778943,0.)); #410740=DIRECTION('',(-0.949778792384408,-0.312922107778943,0.)); #410741=DIRECTION('',(-0.949778792384408,-0.312922107778943,0.)); #410742=DIRECTION('',(0.,0.,1.)); #410743=DIRECTION('center_axis',(-0.506114900434647,0.862466061684764,0.)); #410744=DIRECTION('ref_axis',(-0.862466061684764,-0.506114900434647,0.)); #410745=DIRECTION('',(-0.862466061684764,-0.506114900434647,0.)); #410746=DIRECTION('',(-0.862466061684764,-0.506114900434647,0.)); #410747=DIRECTION('',(0.,0.,1.)); #410748=DIRECTION('center_axis',(-0.652508824452284,0.75778112539961,0.)); #410749=DIRECTION('ref_axis',(-0.75778112539961,-0.652508824452284,0.)); #410750=DIRECTION('',(-0.75778112539961,-0.652508824452284,0.)); #410751=DIRECTION('',(-0.75778112539961,-0.652508824452284,0.)); #410752=DIRECTION('',(0.,0.,1.)); #410753=DIRECTION('center_axis',(-0.707107039233478,0.707106523139523,0.)); #410754=DIRECTION('ref_axis',(-0.707106523139523,-0.707107039233478,0.)); #410755=DIRECTION('',(-0.707106523139522,-0.707107039233478,0.)); #410756=DIRECTION('',(-0.707106523139522,-0.707107039233478,0.)); #410757=DIRECTION('',(0.,0.,1.)); #410758=DIRECTION('center_axis',(-0.77804881138165,0.628203826084816,0.)); #410759=DIRECTION('ref_axis',(-0.628203826084816,-0.77804881138165,0.)); #410760=DIRECTION('',(-0.628203826084816,-0.77804881138165,0.)); #410761=DIRECTION('',(-0.628203826084816,-0.77804881138165,0.)); #410762=DIRECTION('',(0.,0.,1.)); #410763=DIRECTION('center_axis',(-0.892938781493025,0.450178111979861,0.)); #410764=DIRECTION('ref_axis',(-0.45017811197986,-0.892938781493025,0.)); #410765=DIRECTION('',(-0.45017811197986,-0.892938781493025,0.)); #410766=DIRECTION('',(-0.45017811197986,-0.892938781493025,0.)); #410767=DIRECTION('',(0.,0.,1.)); #410768=DIRECTION('center_axis',(-0.96766227807795,0.252249312357818,0.)); #410769=DIRECTION('ref_axis',(-0.252249312357818,-0.96766227807795,0.)); #410770=DIRECTION('',(-0.252249312357818,-0.96766227807795,0.)); #410771=DIRECTION('',(-0.252249312357818,-0.96766227807795,0.)); #410772=DIRECTION('',(0.,0.,1.)); #410773=DIRECTION('center_axis',(-0.997238118320005,0.074270689841797,0.)); #410774=DIRECTION('ref_axis',(-0.074270689841797,-0.997238118320005,0.)); #410775=DIRECTION('',(-0.074270689841797,-0.997238118320005,0.)); #410776=DIRECTION('',(-0.074270689841797,-0.997238118320005,0.)); #410777=DIRECTION('',(0.,0.,1.)); #410778=DIRECTION('center_axis',(-1.,0.,0.)); #410779=DIRECTION('ref_axis',(0.,-1.,0.)); #410780=DIRECTION('',(0.,-1.,0.)); #410781=DIRECTION('',(0.,-1.,0.)); #410782=DIRECTION('',(0.,0.,1.)); #410783=DIRECTION('center_axis',(-0.981560865252946,0.19114985692877,0.)); #410784=DIRECTION('ref_axis',(-0.19114985692877,-0.981560865252945,0.)); #410785=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #410786=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #410787=DIRECTION('',(0.,0.,1.)); #410788=DIRECTION('center_axis',(-0.8336598597498,0.552278225391826,0.)); #410789=DIRECTION('ref_axis',(-0.552278225391826,-0.8336598597498,0.)); #410790=DIRECTION('',(-0.552278225391826,-0.8336598597498,0.)); #410791=DIRECTION('',(-0.552278225391826,-0.8336598597498,0.)); #410792=DIRECTION('',(0.,0.,1.)); #410793=DIRECTION('center_axis',(-0.707106781186546,0.707106781186549,0.)); #410794=DIRECTION('ref_axis',(-0.707106781186549,-0.707106781186546,0.)); #410795=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #410796=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #410797=DIRECTION('',(0.,0.,1.)); #410798=DIRECTION('center_axis',(-0.558946331948969,0.829203833807341,0.)); #410799=DIRECTION('ref_axis',(-0.829203833807341,-0.558946331948969,0.)); #410800=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #410801=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #410802=DIRECTION('',(0.,0.,1.)); #410803=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #410804=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #410805=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #410806=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #410807=DIRECTION('',(0.,0.,1.)); #410808=DIRECTION('center_axis',(0.,1.,0.)); #410809=DIRECTION('ref_axis',(-1.,0.,0.)); #410810=DIRECTION('',(-1.,0.,0.)); #410811=DIRECTION('',(-1.,0.,0.)); #410812=DIRECTION('',(0.,0.,1.)); #410813=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #410814=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #410815=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #410816=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #410817=DIRECTION('',(0.,0.,1.)); #410818=DIRECTION('center_axis',(0.538911466746055,0.842362410729263,0.)); #410819=DIRECTION('ref_axis',(-0.842362410729263,0.538911466746055,0.)); #410820=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #410821=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #410822=DIRECTION('',(0.,0.,1.)); #410823=DIRECTION('center_axis',(0.82474554903392,0.565504004715031,0.)); #410824=DIRECTION('ref_axis',(-0.565504004715031,0.82474554903392,0.)); #410825=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #410826=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #410827=DIRECTION('',(0.,0.,1.)); #410828=DIRECTION('center_axis',(0.980003828794046,0.198978630885354,0.)); #410829=DIRECTION('ref_axis',(-0.198978630885354,0.980003828794046,0.)); #410830=DIRECTION('',(-0.198978630885354,0.980003828794046,0.)); #410831=DIRECTION('',(-0.198978630885354,0.980003828794046,0.)); #410832=DIRECTION('',(0.,0.,1.)); #410833=DIRECTION('center_axis',(0.707105370129509,0.70710819224077,0.)); #410834=DIRECTION('ref_axis',(-0.70710819224077,0.707105370129509,0.)); #410835=DIRECTION('',(-0.70710819224077,0.707105370129509,0.)); #410836=DIRECTION('',(-0.70710819224077,0.707105370129509,0.)); #410837=DIRECTION('',(0.,0.,1.)); #410838=DIRECTION('center_axis',(0.,1.,0.)); #410839=DIRECTION('ref_axis',(-1.,0.,0.)); #410840=DIRECTION('',(-1.,0.,0.)); #410841=DIRECTION('',(-1.,0.,0.)); #410842=DIRECTION('',(0.,0.,1.)); #410843=DIRECTION('center_axis',(-0.707104327390303,0.707109234974277,0.)); #410844=DIRECTION('ref_axis',(-0.707109234974277,-0.707104327390303,0.)); #410845=DIRECTION('',(-0.707109234974277,-0.707104327390303,0.)); #410846=DIRECTION('',(-0.707109234974277,-0.707104327390303,0.)); #410847=DIRECTION('',(0.,0.,1.)); #410848=DIRECTION('center_axis',(-0.56543933110129,0.824789890119736,0.)); #410849=DIRECTION('ref_axis',(-0.824789890119736,-0.56543933110129,0.)); #410850=DIRECTION('',(-0.824789890119736,-0.56543933110129,0.)); #410851=DIRECTION('',(-0.824789890119736,-0.56543933110129,0.)); #410852=DIRECTION('',(0.,0.,1.)); #410853=DIRECTION('center_axis',(-0.214674314516579,0.976685690837557,0.)); #410854=DIRECTION('ref_axis',(-0.976685690837557,-0.214674314516579,0.)); #410855=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #410856=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #410857=DIRECTION('',(0.,0.,1.)); #410858=DIRECTION('center_axis',(0.183334818573505,0.983050529880647,0.)); #410859=DIRECTION('ref_axis',(-0.983050529880647,0.183334818573505,0.)); #410860=DIRECTION('',(-0.983050529880647,0.183334818573505,0.)); #410861=DIRECTION('',(-0.983050529880647,0.183334818573505,0.)); #410862=DIRECTION('',(0.,0.,1.)); #410863=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #410864=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #410865=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #410866=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #410867=DIRECTION('',(0.,0.,1.)); #410868=DIRECTION('center_axis',(0.707104327390303,0.707109234974277,0.)); #410869=DIRECTION('ref_axis',(-0.707109234974277,0.707104327390303,0.)); #410870=DIRECTION('',(-0.707109234974277,0.707104327390303,0.)); #410871=DIRECTION('',(-0.707109234974277,0.707104327390303,0.)); #410872=DIRECTION('',(0.,0.,1.)); #410873=DIRECTION('center_axis',(0.,1.,0.)); #410874=DIRECTION('ref_axis',(-1.,0.,0.)); #410875=DIRECTION('',(-1.,0.,0.)); #410876=DIRECTION('',(-1.,0.,0.)); #410877=DIRECTION('',(0.,0.,1.)); #410878=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #410879=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #410880=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #410881=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #410882=DIRECTION('',(0.,0.,1.)); #410883=DIRECTION('center_axis',(-1.,0.,0.)); #410884=DIRECTION('ref_axis',(0.,-1.,0.)); #410885=DIRECTION('',(0.,-1.,0.)); #410886=DIRECTION('',(0.,-1.,0.)); #410887=DIRECTION('',(0.,0.,1.)); #410888=DIRECTION('center_axis',(-0.984479063846077,0.17550205938607,0.)); #410889=DIRECTION('ref_axis',(-0.17550205938607,-0.984479063846077,0.)); #410890=DIRECTION('',(-0.17550205938607,-0.984479063846077,0.)); #410891=DIRECTION('',(-0.17550205938607,-0.984479063846077,0.)); #410892=DIRECTION('',(0.,0.,1.)); #410893=DIRECTION('center_axis',(-0.850871695642199,0.525373540973438,0.)); #410894=DIRECTION('ref_axis',(-0.525373540973438,-0.850871695642199,0.)); #410895=DIRECTION('',(-0.525373540973438,-0.850871695642199,0.)); #410896=DIRECTION('',(-0.525373540973438,-0.850871695642199,0.)); #410897=DIRECTION('',(0.,0.,1.)); #410898=DIRECTION('center_axis',(-0.578483828224848,0.815693852178821,0.)); #410899=DIRECTION('ref_axis',(-0.815693852178821,-0.578483828224848,0.)); #410900=DIRECTION('',(-0.815693852178821,-0.578483828224848,0.)); #410901=DIRECTION('',(-0.815693852178821,-0.578483828224848,0.)); #410902=DIRECTION('',(0.,0.,1.)); #410903=DIRECTION('center_axis',(-0.21467431451644,0.976685690837588,0.)); #410904=DIRECTION('ref_axis',(-0.976685690837588,-0.21467431451644,0.)); #410905=DIRECTION('',(-0.976685690837588,-0.21467431451644,0.)); #410906=DIRECTION('',(-0.976685690837588,-0.21467431451644,0.)); #410907=DIRECTION('',(0.,0.,1.)); #410908=DIRECTION('center_axis',(0.183334818573646,0.98305052988062,0.)); #410909=DIRECTION('ref_axis',(-0.98305052988062,0.183334818573646,0.)); #410910=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #410911=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #410912=DIRECTION('',(0.,0.,1.)); #410913=DIRECTION('center_axis',(0.552278225391859,0.833659859749778,0.)); #410914=DIRECTION('ref_axis',(-0.833659859749778,0.552278225391859,0.)); #410915=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #410916=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #410917=DIRECTION('',(0.,0.,1.)); #410918=DIRECTION('center_axis',(0.707106781186553,0.707106781186542,0.)); #410919=DIRECTION('ref_axis',(-0.707106781186542,0.707106781186553,0.)); #410920=DIRECTION('',(-0.707106781186542,0.707106781186553,0.)); #410921=DIRECTION('',(-0.707106781186542,0.707106781186553,0.)); #410922=DIRECTION('',(0.,0.,1.)); #410923=DIRECTION('center_axis',(0.,1.,0.)); #410924=DIRECTION('ref_axis',(-1.,0.,0.)); #410925=DIRECTION('',(-1.,0.,0.)); #410926=DIRECTION('',(-1.,0.,0.)); #410927=DIRECTION('',(0.,0.,1.)); #410928=DIRECTION('center_axis',(0.19114985692877,0.981560865252946,0.)); #410929=DIRECTION('ref_axis',(-0.981560865252945,0.19114985692877,0.)); #410930=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #410931=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #410932=DIRECTION('',(0.,0.,1.)); #410933=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #410934=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #410935=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #410936=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #410937=DIRECTION('',(0.,0.,1.)); #410938=DIRECTION('center_axis',(0.707106781186546,0.707106781186549,0.)); #410939=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #410940=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #410941=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #410942=DIRECTION('',(0.,0.,1.)); #410943=DIRECTION('center_axis',(0.82920383380746,0.558946331948794,0.)); #410944=DIRECTION('ref_axis',(-0.558946331948794,0.82920383380746,0.)); #410945=DIRECTION('',(-0.558946331948794,0.82920383380746,0.)); #410946=DIRECTION('',(-0.558946331948794,0.82920383380746,0.)); #410947=DIRECTION('',(0.,0.,1.)); #410948=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #410949=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #410950=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #410951=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #410952=DIRECTION('',(0.,0.,1.)); #410953=DIRECTION('center_axis',(1.,0.,0.)); #410954=DIRECTION('ref_axis',(0.,1.,0.)); #410955=DIRECTION('',(0.,1.,0.)); #410956=DIRECTION('',(0.,1.,0.)); #410957=DIRECTION('',(0.,0.,1.)); #410958=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #410959=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #410960=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #410961=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #410962=DIRECTION('',(0.,0.,1.)); #410963=DIRECTION('center_axis',(0.833659859749778,-0.552278225391859,0.)); #410964=DIRECTION('ref_axis',(0.552278225391859,0.833659859749778,0.)); #410965=DIRECTION('',(0.552278225391859,0.833659859749778,0.)); #410966=DIRECTION('',(0.552278225391859,0.833659859749778,0.)); #410967=DIRECTION('',(0.,0.,1.)); #410968=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #410969=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #410970=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #410971=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #410972=DIRECTION('',(0.,0.,1.)); #410973=DIRECTION('center_axis',(1.,0.,0.)); #410974=DIRECTION('ref_axis',(0.,1.,0.)); #410975=DIRECTION('',(0.,1.,0.)); #410976=DIRECTION('',(0.,1.,0.)); #410977=DIRECTION('',(0.,0.,1.)); #410978=DIRECTION('center_axis',(0.984479063846082,-0.175502059386041,0.)); #410979=DIRECTION('ref_axis',(0.175502059386041,0.984479063846082,0.)); #410980=DIRECTION('',(0.175502059386041,0.984479063846082,0.)); #410981=DIRECTION('',(0.175502059386041,0.984479063846082,0.)); #410982=DIRECTION('',(0.,0.,1.)); #410983=DIRECTION('center_axis',(0.850871695642159,-0.525373540973504,0.)); #410984=DIRECTION('ref_axis',(0.525373540973504,0.850871695642159,0.)); #410985=DIRECTION('',(0.525373540973504,0.850871695642159,0.)); #410986=DIRECTION('',(0.525373540973504,0.850871695642159,0.)); #410987=DIRECTION('',(0.,0.,1.)); #410988=DIRECTION('center_axis',(0.578483828224751,-0.81569385217889,0.)); #410989=DIRECTION('ref_axis',(0.81569385217889,0.578483828224751,0.)); #410990=DIRECTION('',(0.81569385217889,0.578483828224751,0.)); #410991=DIRECTION('',(0.81569385217889,0.578483828224751,0.)); #410992=DIRECTION('center_axis',(0.,0.,1.)); #410993=DIRECTION('ref_axis',(1.,0.,0.)); #410994=DIRECTION('center_axis',(0.,0.,1.)); #410995=DIRECTION('ref_axis',(1.,0.,0.)); #410996=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #410997=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #410998=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #410999=DIRECTION('',(0.,0.,1.)); #411000=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #411001=DIRECTION('',(0.,0.,1.)); #411002=DIRECTION('center_axis',(0.,-1.,0.)); #411003=DIRECTION('ref_axis',(1.,0.,0.)); #411004=DIRECTION('',(1.,0.,0.)); #411005=DIRECTION('',(1.,0.,0.)); #411006=DIRECTION('',(0.,0.,1.)); #411007=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #411008=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #411009=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #411010=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #411011=DIRECTION('',(0.,0.,1.)); #411012=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #411013=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #411014=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #411015=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #411016=DIRECTION('',(0.,0.,1.)); #411017=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #411018=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #411019=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #411020=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #411021=DIRECTION('',(0.,0.,1.)); #411022=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #411023=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #411024=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #411025=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #411026=DIRECTION('',(0.,0.,1.)); #411027=DIRECTION('center_axis',(-1.,0.,0.)); #411028=DIRECTION('ref_axis',(0.,-1.,0.)); #411029=DIRECTION('',(0.,-1.,0.)); #411030=DIRECTION('',(0.,-1.,0.)); #411031=DIRECTION('',(0.,0.,1.)); #411032=DIRECTION('center_axis',(-0.983056036329416,0.183305290257262,0.)); #411033=DIRECTION('ref_axis',(-0.183305290257262,-0.983056036329416,0.)); #411034=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #411035=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #411036=DIRECTION('',(0.,0.,1.)); #411037=DIRECTION('center_axis',(-0.84236241072922,0.538911466746122,0.)); #411038=DIRECTION('ref_axis',(-0.538911466746122,-0.84236241072922,0.)); #411039=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #411040=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #411041=DIRECTION('',(0.,0.,1.)); #411042=DIRECTION('center_axis',(-0.565504004715131,0.824745549033852,0.)); #411043=DIRECTION('ref_axis',(-0.824745549033852,-0.565504004715131,0.)); #411044=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #411045=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #411046=DIRECTION('',(0.,0.,1.)); #411047=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #411048=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #411049=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #411050=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #411051=DIRECTION('',(0.,0.,1.)); #411052=DIRECTION('center_axis',(0.,1.,0.)); #411053=DIRECTION('ref_axis',(-1.,0.,0.)); #411054=DIRECTION('',(-1.,0.,0.)); #411055=DIRECTION('',(-1.,0.,0.)); #411056=DIRECTION('',(0.,0.,1.)); #411057=DIRECTION('center_axis',(0.191149856928798,0.98156086525294,0.)); #411058=DIRECTION('ref_axis',(-0.98156086525294,0.191149856928798,0.)); #411059=DIRECTION('',(-0.98156086525294,0.191149856928798,0.)); #411060=DIRECTION('',(-0.98156086525294,0.191149856928798,0.)); #411061=DIRECTION('',(0.,0.,1.)); #411062=DIRECTION('center_axis',(0.55226304508323,0.833669916115124,0.)); #411063=DIRECTION('ref_axis',(-0.833669916115124,0.55226304508323,0.)); #411064=DIRECTION('',(-0.833669916115124,0.55226304508323,0.)); #411065=DIRECTION('',(-0.833669916115124,0.55226304508323,0.)); #411066=DIRECTION('',(0.,0.,1.)); #411067=DIRECTION('center_axis',(0.707106781186536,0.707106781186559,0.)); #411068=DIRECTION('ref_axis',(-0.707106781186559,0.707106781186536,0.)); #411069=DIRECTION('',(-0.707106781186559,0.707106781186536,0.)); #411070=DIRECTION('',(-0.707106781186559,0.707106781186536,0.)); #411071=DIRECTION('',(0.,0.,1.)); #411072=DIRECTION('center_axis',(0.820212632122627,0.572058771549281,0.)); #411073=DIRECTION('ref_axis',(-0.572058771549281,0.820212632122627,0.)); #411074=DIRECTION('',(-0.572058771549281,0.820212632122627,0.)); #411075=DIRECTION('',(-0.572058771549281,0.820212632122627,0.)); #411076=DIRECTION('',(0.,0.,1.)); #411077=DIRECTION('center_axis',(0.973151456886398,0.230165683714756,0.)); #411078=DIRECTION('ref_axis',(-0.230165683714756,0.973151456886398,0.)); #411079=DIRECTION('',(-0.230165683714756,0.973151456886398,0.)); #411080=DIRECTION('',(-0.230165683714756,0.973151456886398,0.)); #411081=DIRECTION('',(0.,0.,1.)); #411082=DIRECTION('center_axis',(0.985847618607365,-0.167643887112495,0.)); #411083=DIRECTION('ref_axis',(0.167643887112495,0.985847618607365,0.)); #411084=DIRECTION('',(0.167643887112495,0.985847618607365,0.)); #411085=DIRECTION('',(0.167643887112495,0.985847618607365,0.)); #411086=DIRECTION('',(0.,0.,1.)); #411087=DIRECTION('center_axis',(0.842362410729329,-0.538911466745951,0.)); #411088=DIRECTION('ref_axis',(0.538911466745951,0.842362410729329,0.)); #411089=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #411090=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #411091=DIRECTION('',(0.,0.,1.)); #411092=DIRECTION('center_axis',(0.565488626065756,-0.824756093515085,0.)); #411093=DIRECTION('ref_axis',(0.824756093515085,0.565488626065756,0.)); #411094=DIRECTION('',(0.824756093515085,0.565488626065756,0.)); #411095=DIRECTION('',(0.824756093515085,0.565488626065756,0.)); #411096=DIRECTION('center_axis',(0.,0.,1.)); #411097=DIRECTION('ref_axis',(1.,0.,0.)); #411098=DIRECTION('center_axis',(0.,0.,1.)); #411099=DIRECTION('ref_axis',(1.,0.,0.)); #411100=DIRECTION('center_axis',(0.,0.,1.)); #411101=DIRECTION('ref_axis',(1.,0.,0.)); #411102=DIRECTION('center_axis',(0.,0.,1.)); #411103=DIRECTION('ref_axis',(1.,0.,0.)); #411104=DIRECTION('',(0.,0.,1.)); #411105=DIRECTION('center_axis',(0.,0.,-1.)); #411106=DIRECTION('ref_axis',(1.,0.,0.)); #411107=DIRECTION('center_axis',(0.,0.,1.)); #411108=DIRECTION('ref_axis',(1.,0.,0.)); #411109=DIRECTION('center_axis',(0.,0.,1.)); #411110=DIRECTION('ref_axis',(1.,0.,0.)); #411111=DIRECTION('',(0.,0.,1.)); #411112=DIRECTION('center_axis',(0.,0.,-1.)); #411113=DIRECTION('ref_axis',(1.,0.,0.)); #411114=DIRECTION('center_axis',(0.,0.,1.)); #411115=DIRECTION('ref_axis',(1.,0.,0.)); #411116=DIRECTION('center_axis',(0.,0.,1.)); #411117=DIRECTION('ref_axis',(1.,0.,0.)); #411118=DIRECTION('',(0.,0.,1.)); #411119=DIRECTION('center_axis',(0.,0.,-1.)); #411120=DIRECTION('ref_axis',(1.,0.,0.)); #411121=DIRECTION('center_axis',(0.,0.,1.)); #411122=DIRECTION('ref_axis',(1.,0.,0.)); #411123=DIRECTION('center_axis',(0.,0.,1.)); #411124=DIRECTION('ref_axis',(1.,0.,0.)); #411125=DIRECTION('',(0.,0.,1.)); #411126=DIRECTION('center_axis',(0.,0.,-1.)); #411127=DIRECTION('ref_axis',(1.,0.,0.)); #411128=DIRECTION('center_axis',(0.,0.,1.)); #411129=DIRECTION('ref_axis',(1.,0.,0.)); #411130=DIRECTION('center_axis',(0.,0.,1.)); #411131=DIRECTION('ref_axis',(1.,0.,0.)); #411132=DIRECTION('',(0.,0.,1.)); #411133=DIRECTION('center_axis',(0.,0.,-1.)); #411134=DIRECTION('ref_axis',(1.,0.,0.)); #411135=DIRECTION('center_axis',(0.,0.,1.)); #411136=DIRECTION('ref_axis',(1.,0.,0.)); #411137=DIRECTION('center_axis',(0.,0.,1.)); #411138=DIRECTION('ref_axis',(1.,0.,0.)); #411139=DIRECTION('',(0.,0.,1.)); #411140=DIRECTION('center_axis',(0.,0.,-1.)); #411141=DIRECTION('ref_axis',(1.,0.,0.)); #411142=DIRECTION('center_axis',(0.,0.,1.)); #411143=DIRECTION('ref_axis',(1.,0.,0.)); #411144=DIRECTION('center_axis',(0.,0.,1.)); #411145=DIRECTION('ref_axis',(1.,0.,0.)); #411146=DIRECTION('',(0.,0.,1.)); #411147=DIRECTION('center_axis',(0.,0.,-1.)); #411148=DIRECTION('ref_axis',(1.,0.,0.)); #411149=DIRECTION('center_axis',(0.,0.,1.)); #411150=DIRECTION('ref_axis',(1.,0.,0.)); #411151=DIRECTION('center_axis',(0.,0.,1.)); #411152=DIRECTION('ref_axis',(1.,0.,0.)); #411153=DIRECTION('',(0.,0.,1.)); #411154=DIRECTION('center_axis',(0.,0.,-1.)); #411155=DIRECTION('ref_axis',(1.,0.,0.)); #411156=DIRECTION('center_axis',(0.,0.,1.)); #411157=DIRECTION('ref_axis',(1.,0.,0.)); #411158=DIRECTION('center_axis',(0.,0.,1.)); #411159=DIRECTION('ref_axis',(1.,0.,0.)); #411160=DIRECTION('',(0.,0.,1.)); #411161=DIRECTION('center_axis',(0.,0.,-1.)); #411162=DIRECTION('ref_axis',(1.,0.,0.)); #411163=DIRECTION('center_axis',(0.,0.,1.)); #411164=DIRECTION('ref_axis',(1.,0.,0.)); #411165=DIRECTION('center_axis',(0.,0.,1.)); #411166=DIRECTION('ref_axis',(1.,0.,0.)); #411167=DIRECTION('',(0.,0.,1.)); #411168=DIRECTION('center_axis',(0.,0.,-1.)); #411169=DIRECTION('ref_axis',(1.,0.,0.)); #411170=DIRECTION('center_axis',(0.,0.,1.)); #411171=DIRECTION('ref_axis',(1.,0.,0.)); #411172=DIRECTION('center_axis',(0.,0.,1.)); #411173=DIRECTION('ref_axis',(1.,0.,0.)); #411174=DIRECTION('',(0.,0.,1.)); #411175=DIRECTION('center_axis',(0.,0.,-1.)); #411176=DIRECTION('ref_axis',(1.,0.,0.)); #411177=DIRECTION('center_axis',(0.,0.,1.)); #411178=DIRECTION('ref_axis',(1.,0.,0.)); #411179=DIRECTION('center_axis',(0.,0.,1.)); #411180=DIRECTION('ref_axis',(1.,0.,0.)); #411181=DIRECTION('',(0.,0.,1.)); #411182=DIRECTION('center_axis',(0.,0.,-1.)); #411183=DIRECTION('ref_axis',(1.,0.,0.)); #411184=DIRECTION('center_axis',(0.,0.,1.)); #411185=DIRECTION('ref_axis',(1.,0.,0.)); #411186=DIRECTION('center_axis',(0.,0.,1.)); #411187=DIRECTION('ref_axis',(1.,0.,0.)); #411188=DIRECTION('',(0.,0.,1.)); #411189=DIRECTION('center_axis',(0.,0.,-1.)); #411190=DIRECTION('ref_axis',(1.,0.,0.)); #411191=DIRECTION('center_axis',(0.,0.,1.)); #411192=DIRECTION('ref_axis',(1.,0.,0.)); #411193=DIRECTION('center_axis',(0.,0.,1.)); #411194=DIRECTION('ref_axis',(1.,0.,0.)); #411195=DIRECTION('',(0.,0.,1.)); #411196=DIRECTION('center_axis',(0.,0.,-1.)); #411197=DIRECTION('ref_axis',(1.,0.,0.)); #411198=DIRECTION('center_axis',(0.,0.,1.)); #411199=DIRECTION('ref_axis',(1.,0.,0.)); #411200=DIRECTION('center_axis',(0.,0.,1.)); #411201=DIRECTION('ref_axis',(1.,0.,0.)); #411202=DIRECTION('',(0.,0.,1.)); #411203=DIRECTION('center_axis',(0.,0.,-1.)); #411204=DIRECTION('ref_axis',(1.,0.,0.)); #411205=DIRECTION('center_axis',(0.23011981702834,-0.973162303940532,0.)); #411206=DIRECTION('ref_axis',(0.973162303940531,0.23011981702834,0.)); #411207=DIRECTION('',(0.973162303940531,0.23011981702834,0.)); #411208=DIRECTION('',(0.,0.,1.)); #411209=DIRECTION('',(0.973162303940531,0.23011981702834,0.)); #411210=DIRECTION('',(0.,0.,1.)); #411211=DIRECTION('center_axis',(-0.167619338301134,-0.985851792830692, 0.)); #411212=DIRECTION('ref_axis',(0.985851792830692,-0.167619338301134,0.)); #411213=DIRECTION('',(0.985851792830692,-0.167619338301134,0.)); #411214=DIRECTION('',(0.985851792830692,-0.167619338301134,0.)); #411215=DIRECTION('',(0.,0.,1.)); #411216=DIRECTION('center_axis',(-0.538928498327708,-0.842351514327743, 0.)); #411217=DIRECTION('ref_axis',(0.842351514327743,-0.538928498327708,0.)); #411218=DIRECTION('',(0.842351514327743,-0.538928498327708,0.)); #411219=DIRECTION('',(0.842351514327743,-0.538928498327708,0.)); #411220=DIRECTION('',(0.,0.,1.)); #411221=DIRECTION('center_axis',(-0.824759961060292,-0.565482985271728, 0.)); #411222=DIRECTION('ref_axis',(0.565482985271728,-0.824759961060293,0.)); #411223=DIRECTION('',(0.565482985271728,-0.824759961060293,0.)); #411224=DIRECTION('',(0.565482985271728,-0.824759961060293,0.)); #411225=DIRECTION('',(0.,0.,1.)); #411226=DIRECTION('center_axis',(-0.979998112340228,-0.199006783325569, 0.)); #411227=DIRECTION('ref_axis',(0.199006783325569,-0.979998112340228,0.)); #411228=DIRECTION('',(0.199006783325569,-0.979998112340228,0.)); #411229=DIRECTION('',(0.199006783325569,-0.979998112340228,0.)); #411230=DIRECTION('',(0.,0.,1.)); #411231=DIRECTION('center_axis',(-1.,0.,0.)); #411232=DIRECTION('ref_axis',(0.,-1.,0.)); #411233=DIRECTION('',(0.,-1.,0.)); #411234=DIRECTION('',(0.,-1.,0.)); #411235=DIRECTION('',(0.,0.,1.)); #411236=DIRECTION('center_axis',(-0.981552851945467,0.191191000932891,0.)); #411237=DIRECTION('ref_axis',(-0.191191000932891,-0.981552851945467,0.)); #411238=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #411239=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #411240=DIRECTION('',(0.,0.,1.)); #411241=DIRECTION('center_axis',(-0.833693256958937,0.552227809242888,0.)); #411242=DIRECTION('ref_axis',(-0.552227809242888,-0.833693256958937,0.)); #411243=DIRECTION('',(-0.552227809242888,-0.833693256958937,0.)); #411244=DIRECTION('',(-0.552227809242888,-0.833693256958937,0.)); #411245=DIRECTION('',(0.,0.,1.)); #411246=DIRECTION('center_axis',(-0.707106781186552,0.707106781186543,0.)); #411247=DIRECTION('ref_axis',(-0.707106781186543,-0.707106781186552,0.)); #411248=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #411249=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #411250=DIRECTION('',(0.,0.,1.)); #411251=DIRECTION('center_axis',(-0.558865776601855,0.829258128536106,0.)); #411252=DIRECTION('ref_axis',(-0.829258128536106,-0.558865776601855,0.)); #411253=DIRECTION('',(-0.829258128536106,-0.558865776601855,0.)); #411254=DIRECTION('',(-0.829258128536106,-0.558865776601855,0.)); #411255=DIRECTION('',(0.,0.,1.)); #411256=DIRECTION('center_axis',(-0.199006783325535,0.979998112340235,0.)); #411257=DIRECTION('ref_axis',(-0.979998112340235,-0.199006783325535,0.)); #411258=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #411259=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #411260=DIRECTION('',(0.,0.,1.)); #411261=DIRECTION('center_axis',(0.,1.,0.)); #411262=DIRECTION('ref_axis',(-1.,0.,0.)); #411263=DIRECTION('',(-1.,0.,0.)); #411264=DIRECTION('',(-1.,0.,0.)); #411265=DIRECTION('',(0.,0.,1.)); #411266=DIRECTION('center_axis',(0.191191000932891,0.981552851945467,0.)); #411267=DIRECTION('ref_axis',(-0.981552851945467,0.191191000932891,0.)); #411268=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #411269=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #411270=DIRECTION('',(0.,0.,1.)); #411271=DIRECTION('center_axis',(0.552218701081209,0.833699290017799,0.)); #411272=DIRECTION('ref_axis',(-0.833699290017799,0.552218701081209,0.)); #411273=DIRECTION('',(-0.833699290017799,0.552218701081209,0.)); #411274=DIRECTION('',(-0.833699290017799,0.552218701081209,0.)); #411275=DIRECTION('',(0.,0.,1.)); #411276=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #411277=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #411278=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #411279=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #411280=DIRECTION('',(0.,0.,1.)); #411281=DIRECTION('center_axis',(0.,1.,0.)); #411282=DIRECTION('ref_axis',(-1.,0.,0.)); #411283=DIRECTION('',(-1.,0.,0.)); #411284=DIRECTION('',(-1.,0.,0.)); #411285=DIRECTION('',(0.,0.,1.)); #411286=DIRECTION('center_axis',(-0.707089434194021,0.707124127753522,0.)); #411287=DIRECTION('ref_axis',(-0.707124127753522,-0.707089434194021,0.)); #411288=DIRECTION('',(-0.707124127753522,-0.707089434194021,0.)); #411289=DIRECTION('',(-0.707124127753522,-0.707089434194021,0.)); #411290=DIRECTION('',(0.,0.,1.)); #411291=DIRECTION('center_axis',(-0.56546033767927,0.824775488549245,0.)); #411292=DIRECTION('ref_axis',(-0.824775488549245,-0.56546033767927,0.)); #411293=DIRECTION('',(-0.824775488549245,-0.56546033767927,0.)); #411294=DIRECTION('',(-0.824775488549245,-0.56546033767927,0.)); #411295=DIRECTION('',(0.,0.,1.)); #411296=DIRECTION('center_axis',(-0.214606632624321,0.976700564775945,0.)); #411297=DIRECTION('ref_axis',(-0.976700564775945,-0.214606632624321,0.)); #411298=DIRECTION('',(-0.976700564775945,-0.214606632624321,0.)); #411299=DIRECTION('',(-0.976700564775945,-0.214606632624321,0.)); #411300=DIRECTION('',(0.,0.,1.)); #411301=DIRECTION('center_axis',(0.183373485867123,0.983043317804938,0.)); #411302=DIRECTION('ref_axis',(-0.983043317804938,0.183373485867123,0.)); #411303=DIRECTION('',(-0.983043317804938,0.183373485867123,0.)); #411304=DIRECTION('',(-0.983043317804938,0.183373485867123,0.)); #411305=DIRECTION('',(0.,0.,1.)); #411306=DIRECTION('center_axis',(0.552246026269759,0.83368118994569,0.)); #411307=DIRECTION('ref_axis',(-0.83368118994569,0.552246026269759,0.)); #411308=DIRECTION('',(-0.83368118994569,0.552246026269759,0.)); #411309=DIRECTION('',(-0.83368118994569,0.552246026269759,0.)); #411310=DIRECTION('',(0.,0.,1.)); #411311=DIRECTION('center_axis',(0.707107593465437,0.707105968906725,0.)); #411312=DIRECTION('ref_axis',(-0.707105968906725,0.707107593465437,0.)); #411313=DIRECTION('',(-0.707105968906725,0.707107593465437,0.)); #411314=DIRECTION('',(-0.707105968906725,0.707107593465437,0.)); #411315=DIRECTION('',(0.,0.,1.)); #411316=DIRECTION('center_axis',(0.829264560351648,0.558856232804814,0.)); #411317=DIRECTION('ref_axis',(-0.558856232804814,0.829264560351648,0.)); #411318=DIRECTION('',(-0.558856232804814,0.829264560351648,0.)); #411319=DIRECTION('',(-0.558856232804814,0.829264560351648,0.)); #411320=DIRECTION('',(0.,0.,1.)); #411321=DIRECTION('center_axis',(0.97999732879971,0.199010641789413,0.)); #411322=DIRECTION('ref_axis',(-0.199010641789413,0.97999732879971,0.)); #411323=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #411324=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #411325=DIRECTION('',(0.,0.,1.)); #411326=DIRECTION('center_axis',(1.,0.,0.)); #411327=DIRECTION('ref_axis',(0.,1.,0.)); #411328=DIRECTION('',(0.,1.,0.)); #411329=DIRECTION('',(0.,1.,0.)); #411330=DIRECTION('',(0.,0.,1.)); #411331=DIRECTION('center_axis',(0.969317265597633,-0.245813015551107,0.)); #411332=DIRECTION('ref_axis',(0.245813015551107,0.969317265597633,0.)); #411333=DIRECTION('',(0.245813015551107,0.969317265597633,0.)); #411334=DIRECTION('',(0.245813015551107,0.969317265597633,0.)); #411335=DIRECTION('',(0.,0.,1.)); #411336=DIRECTION('center_axis',(0.766764693244331,-0.641928271066112,0.)); #411337=DIRECTION('ref_axis',(0.641928271066112,0.766764693244331,0.)); #411338=DIRECTION('',(0.641928271066112,0.766764693244331,0.)); #411339=DIRECTION('',(0.641928271066112,0.766764693244331,0.)); #411340=DIRECTION('',(0.,0.,1.)); #411341=DIRECTION('center_axis',(0.623671669116095,-0.781686413558496,0.)); #411342=DIRECTION('ref_axis',(0.781686413558496,0.623671669116095,0.)); #411343=DIRECTION('',(0.781686413558496,0.623671669116095,0.)); #411344=DIRECTION('',(0.781686413558496,0.623671669116095,0.)); #411345=DIRECTION('',(0.,0.,1.)); #411346=DIRECTION('center_axis',(0.707106456213335,-0.707107106159611,0.)); #411347=DIRECTION('ref_axis',(0.707107106159611,0.707106456213335,0.)); #411348=DIRECTION('',(0.707107106159611,0.707106456213335,0.)); #411349=DIRECTION('',(0.707107106159611,0.707106456213335,0.)); #411350=DIRECTION('',(0.,0.,1.)); #411351=DIRECTION('center_axis',(0.565490380840369,-0.824754890362594,0.)); #411352=DIRECTION('ref_axis',(0.824754890362594,0.565490380840369,0.)); #411353=DIRECTION('',(0.824754890362594,0.565490380840369,0.)); #411354=DIRECTION('',(0.824754890362594,0.565490380840369,0.)); #411355=DIRECTION('',(0.,0.,1.)); #411356=DIRECTION('center_axis',(0.214594192212104,-0.97670329817649,0.)); #411357=DIRECTION('ref_axis',(0.97670329817649,0.214594192212104,0.)); #411358=DIRECTION('',(0.97670329817649,0.214594192212104,0.)); #411359=DIRECTION('',(0.97670329817649,0.214594192212104,0.)); #411360=DIRECTION('',(0.,0.,1.)); #411361=DIRECTION('center_axis',(-0.183384185256736,-0.983041321917712, 0.)); #411362=DIRECTION('ref_axis',(0.983041321917712,-0.183384185256736,0.)); #411363=DIRECTION('',(0.983041321917712,-0.183384185256736,0.)); #411364=DIRECTION('',(0.983041321917712,-0.183384185256736,0.)); #411365=DIRECTION('',(0.,0.,1.)); #411366=DIRECTION('center_axis',(-0.55221870108125,-0.833699290017772,0.)); #411367=DIRECTION('ref_axis',(0.833699290017772,-0.55221870108125,0.)); #411368=DIRECTION('',(0.833699290017772,-0.55221870108125,0.)); #411369=DIRECTION('',(0.833699290017772,-0.55221870108125,0.)); #411370=DIRECTION('',(0.,0.,1.)); #411371=DIRECTION('center_axis',(-0.707099658048594,-0.707113904252746, 0.)); #411372=DIRECTION('ref_axis',(0.707113904252746,-0.707099658048594,0.)); #411373=DIRECTION('',(0.707113904252746,-0.707099658048594,0.)); #411374=DIRECTION('',(0.707113904252746,-0.707099658048594,0.)); #411375=DIRECTION('',(0.,0.,1.)); #411376=DIRECTION('center_axis',(0.,-1.,0.)); #411377=DIRECTION('ref_axis',(1.,0.,0.)); #411378=DIRECTION('',(1.,0.,0.)); #411379=DIRECTION('',(1.,0.,0.)); #411380=DIRECTION('',(0.,0.,1.)); #411381=DIRECTION('center_axis',(0.707106781186528,-0.707106781186567,0.)); #411382=DIRECTION('ref_axis',(0.707106781186567,0.707106781186528,0.)); #411383=DIRECTION('',(0.707106781186567,0.707106781186528,0.)); #411384=DIRECTION('',(0.707106781186567,0.707106781186528,0.)); #411385=DIRECTION('',(0.,0.,1.)); #411386=DIRECTION('center_axis',(0.55885623280494,-0.829264560351563,0.)); #411387=DIRECTION('ref_axis',(0.829264560351563,0.55885623280494,0.)); #411388=DIRECTION('',(0.829264560351563,0.55885623280494,0.)); #411389=DIRECTION('',(0.829264560351563,0.55885623280494,0.)); #411390=DIRECTION('',(0.,0.,1.)); #411391=DIRECTION('center_axis',(0.199006783325569,-0.979998112340228,0.)); #411392=DIRECTION('ref_axis',(0.979998112340228,0.199006783325569,0.)); #411393=DIRECTION('',(0.979998112340228,0.199006783325569,0.)); #411394=DIRECTION('',(0.979998112340228,0.199006783325569,0.)); #411395=DIRECTION('',(0.,0.,1.)); #411396=DIRECTION('center_axis',(0.,-1.,0.)); #411397=DIRECTION('ref_axis',(1.,0.,0.)); #411398=DIRECTION('',(1.,0.,0.)); #411399=DIRECTION('',(1.,0.,0.)); #411400=DIRECTION('',(0.,0.,1.)); #411401=DIRECTION('center_axis',(0.707105725517313,-0.707107836854206,0.)); #411402=DIRECTION('ref_axis',(0.707107836854206,0.707105725517313,0.)); #411403=DIRECTION('',(0.707107836854206,0.707105725517313,0.)); #411404=DIRECTION('',(0.707107836854206,0.707105725517313,0.)); #411405=DIRECTION('',(0.,0.,1.)); #411406=DIRECTION('center_axis',(0.572023686171414,-0.820237101366957,0.)); #411407=DIRECTION('ref_axis',(0.820237101366957,0.572023686171414,0.)); #411408=DIRECTION('',(0.820237101366957,0.572023686171414,0.)); #411409=DIRECTION('',(0.820237101366957,0.572023686171414,0.)); #411410=DIRECTION('center_axis',(0.,0.,1.)); #411411=DIRECTION('ref_axis',(1.,0.,0.)); #411412=DIRECTION('center_axis',(0.,0.,1.)); #411413=DIRECTION('ref_axis',(1.,0.,0.)); #411414=DIRECTION('center_axis',(0.,0.,1.)); #411415=DIRECTION('ref_axis',(1.,0.,0.)); #411416=DIRECTION('center_axis',(0.,0.,1.)); #411417=DIRECTION('ref_axis',(1.,0.,0.)); #411418=DIRECTION('',(0.,0.,1.)); #411419=DIRECTION('center_axis',(0.,0.,-1.)); #411420=DIRECTION('ref_axis',(1.,0.,0.)); #411421=DIRECTION('center_axis',(1.,0.,0.)); #411422=DIRECTION('ref_axis',(0.,1.,0.)); #411423=DIRECTION('',(0.,1.,0.)); #411424=DIRECTION('',(0.,0.,1.)); #411425=DIRECTION('',(0.,1.,0.)); #411426=DIRECTION('',(0.,0.,1.)); #411427=DIRECTION('center_axis',(0.,-1.,0.)); #411428=DIRECTION('ref_axis',(1.,0.,0.)); #411429=DIRECTION('',(1.,0.,0.)); #411430=DIRECTION('',(1.,0.,0.)); #411431=DIRECTION('',(0.,0.,1.)); #411432=DIRECTION('center_axis',(-1.,0.,0.)); #411433=DIRECTION('ref_axis',(0.,-1.,0.)); #411434=DIRECTION('',(0.,-1.,0.)); #411435=DIRECTION('',(0.,-1.,0.)); #411436=DIRECTION('',(0.,0.,1.)); #411437=DIRECTION('center_axis',(0.,1.,0.)); #411438=DIRECTION('ref_axis',(-1.,0.,0.)); #411439=DIRECTION('',(-1.,0.,0.)); #411440=DIRECTION('',(-1.,0.,0.)); #411441=DIRECTION('',(0.,0.,1.)); #411442=DIRECTION('center_axis',(-1.,0.,0.)); #411443=DIRECTION('ref_axis',(0.,-1.,0.)); #411444=DIRECTION('',(0.,-1.,0.)); #411445=DIRECTION('',(0.,-1.,0.)); #411446=DIRECTION('',(0.,0.,1.)); #411447=DIRECTION('center_axis',(0.,0.,1.)); #411448=DIRECTION('ref_axis',(-0.707110948194325,0.707102614154214,0.)); #411449=DIRECTION('center_axis',(0.,0.,1.)); #411450=DIRECTION('ref_axis',(-0.707110948194325,0.707102614154214,0.)); #411451=DIRECTION('center_axis',(0.,0.,1.)); #411452=DIRECTION('ref_axis',(-0.707110948194325,0.707102614154214,0.)); #411453=DIRECTION('',(0.,0.,1.)); #411454=DIRECTION('center_axis',(-0.707106781186546,0.707106781186549,0.)); #411455=DIRECTION('ref_axis',(-0.707106781186549,-0.707106781186546,0.)); #411456=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #411457=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #411458=DIRECTION('',(0.,0.,1.)); #411459=DIRECTION('center_axis',(-1.,0.,0.)); #411460=DIRECTION('ref_axis',(0.,-1.,0.)); #411461=DIRECTION('',(0.,-1.,0.)); #411462=DIRECTION('',(0.,-1.,0.)); #411463=DIRECTION('',(0.,0.,1.)); #411464=DIRECTION('center_axis',(0.,0.,1.)); #411465=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #411466=DIRECTION('center_axis',(0.,0.,1.)); #411467=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #411468=DIRECTION('center_axis',(0.,0.,1.)); #411469=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #411470=DIRECTION('',(0.,0.,1.)); #411471=DIRECTION('center_axis',(-0.707106781186547,0.707106781186548,0.)); #411472=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,0.)); #411473=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #411474=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #411475=DIRECTION('',(0.,0.,1.)); #411476=DIRECTION('center_axis',(-1.,0.,0.)); #411477=DIRECTION('ref_axis',(0.,-1.,0.)); #411478=DIRECTION('',(0.,-1.,0.)); #411479=DIRECTION('',(0.,-1.,0.)); #411480=DIRECTION('',(0.,0.,1.)); #411481=DIRECTION('center_axis',(-0.707106781186538,-0.707106781186557, 0.)); #411482=DIRECTION('ref_axis',(0.707106781186557,-0.707106781186538,0.)); #411483=DIRECTION('',(0.707106781186557,-0.707106781186538,0.)); #411484=DIRECTION('',(0.707106781186557,-0.707106781186538,0.)); #411485=DIRECTION('',(0.,0.,1.)); #411486=DIRECTION('center_axis',(0.,-1.,0.)); #411487=DIRECTION('ref_axis',(1.,0.,0.)); #411488=DIRECTION('',(1.,0.,0.)); #411489=DIRECTION('',(1.,0.,0.)); #411490=DIRECTION('',(0.,0.,1.)); #411491=DIRECTION('center_axis',(-1.,0.,0.)); #411492=DIRECTION('ref_axis',(0.,-1.,0.)); #411493=DIRECTION('',(0.,-1.,0.)); #411494=DIRECTION('',(0.,-1.,0.)); #411495=DIRECTION('',(0.,0.,1.)); #411496=DIRECTION('center_axis',(0.,1.,0.)); #411497=DIRECTION('ref_axis',(-1.,0.,0.)); #411498=DIRECTION('',(-1.,0.,0.)); #411499=DIRECTION('',(-1.,0.,0.)); #411500=DIRECTION('',(0.,0.,1.)); #411501=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #411502=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #411503=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #411504=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #411505=DIRECTION('',(0.,0.,1.)); #411506=DIRECTION('center_axis',(-1.,0.,0.)); #411507=DIRECTION('ref_axis',(0.,-1.,0.)); #411508=DIRECTION('',(0.,-1.,0.)); #411509=DIRECTION('',(0.,-1.,0.)); #411510=DIRECTION('',(0.,0.,1.)); #411511=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #411512=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #411513=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #411514=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #411515=DIRECTION('',(0.,0.,1.)); #411516=DIRECTION('center_axis',(0.,-1.,0.)); #411517=DIRECTION('ref_axis',(1.,0.,0.)); #411518=DIRECTION('',(1.,0.,0.)); #411519=DIRECTION('',(1.,0.,0.)); #411520=DIRECTION('',(0.,0.,1.)); #411521=DIRECTION('center_axis',(1.,0.,0.)); #411522=DIRECTION('ref_axis',(0.,1.,0.)); #411523=DIRECTION('',(0.,1.,0.)); #411524=DIRECTION('',(0.,1.,0.)); #411525=DIRECTION('',(0.,0.,1.)); #411526=DIRECTION('center_axis',(0.,-1.,0.)); #411527=DIRECTION('ref_axis',(1.,0.,0.)); #411528=DIRECTION('',(1.,0.,0.)); #411529=DIRECTION('',(1.,0.,0.)); #411530=DIRECTION('',(0.,0.,1.)); #411531=DIRECTION('center_axis',(-1.,0.,0.)); #411532=DIRECTION('ref_axis',(0.,-1.,0.)); #411533=DIRECTION('',(0.,-1.,0.)); #411534=DIRECTION('',(0.,-1.,0.)); #411535=DIRECTION('',(0.,0.,1.)); #411536=DIRECTION('center_axis',(0.,1.,0.)); #411537=DIRECTION('ref_axis',(-1.,0.,0.)); #411538=DIRECTION('',(-1.,0.,0.)); #411539=DIRECTION('',(-1.,0.,0.)); #411540=DIRECTION('',(0.,0.,1.)); #411541=DIRECTION('center_axis',(1.,0.,0.)); #411542=DIRECTION('ref_axis',(0.,1.,0.)); #411543=DIRECTION('',(0.,1.,0.)); #411544=DIRECTION('',(0.,1.,0.)); #411545=DIRECTION('',(0.,0.,1.)); #411546=DIRECTION('center_axis',(0.,1.,0.)); #411547=DIRECTION('ref_axis',(-1.,0.,0.)); #411548=DIRECTION('',(-1.,0.,0.)); #411549=DIRECTION('',(-1.,0.,0.)); #411550=DIRECTION('',(0.,0.,1.)); #411551=DIRECTION('center_axis',(0.,0.,1.)); #411552=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #411553=DIRECTION('center_axis',(0.,0.,1.)); #411554=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #411555=DIRECTION('center_axis',(0.,0.,1.)); #411556=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #411557=DIRECTION('',(0.,0.,1.)); #411558=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #411559=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #411560=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #411561=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #411562=DIRECTION('',(0.,0.,1.)); #411563=DIRECTION('center_axis',(0.,0.,1.)); #411564=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192551E-6,0.)); #411565=DIRECTION('center_axis',(0.,0.,1.)); #411566=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192551E-6,0.)); #411567=DIRECTION('center_axis',(0.,0.,1.)); #411568=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192551E-6,0.)); #411569=DIRECTION('',(0.,0.,1.)); #411570=DIRECTION('center_axis',(1.,0.,0.)); #411571=DIRECTION('ref_axis',(0.,1.,0.)); #411572=DIRECTION('',(0.,1.,0.)); #411573=DIRECTION('',(0.,1.,0.)); #411574=DIRECTION('',(0.,0.,1.)); #411575=DIRECTION('center_axis',(0.,0.,1.)); #411576=DIRECTION('ref_axis',(0.407010715057731,-0.913423383666192,0.)); #411577=DIRECTION('center_axis',(0.,0.,1.)); #411578=DIRECTION('ref_axis',(0.407010715057731,-0.913423383666192,0.)); #411579=DIRECTION('center_axis',(0.,0.,1.)); #411580=DIRECTION('ref_axis',(0.407010715057731,-0.913423383666192,0.)); #411581=DIRECTION('',(0.,0.,1.)); #411582=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #411583=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #411584=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #411585=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #411586=DIRECTION('',(0.,0.,1.)); #411587=DIRECTION('center_axis',(1.,0.,0.)); #411588=DIRECTION('ref_axis',(0.,1.,0.)); #411589=DIRECTION('',(0.,1.,0.)); #411590=DIRECTION('',(0.,1.,0.)); #411591=DIRECTION('',(0.,0.,1.)); #411592=DIRECTION('center_axis',(0.707106781186541,0.707106781186554,0.)); #411593=DIRECTION('ref_axis',(-0.707106781186554,0.707106781186541,0.)); #411594=DIRECTION('',(-0.707106781186554,0.707106781186541,0.)); #411595=DIRECTION('',(-0.707106781186554,0.707106781186541,0.)); #411596=DIRECTION('',(0.,0.,1.)); #411597=DIRECTION('center_axis',(0.,0.,1.)); #411598=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #411599=DIRECTION('center_axis',(0.,0.,1.)); #411600=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #411601=DIRECTION('center_axis',(0.,0.,1.)); #411602=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #411603=DIRECTION('',(0.,0.,1.)); #411604=DIRECTION('center_axis',(1.,0.,0.)); #411605=DIRECTION('ref_axis',(0.,1.,0.)); #411606=DIRECTION('',(0.,1.,0.)); #411607=DIRECTION('',(0.,1.,0.)); #411608=DIRECTION('',(0.,0.,1.)); #411609=DIRECTION('center_axis',(0.,0.,1.)); #411610=DIRECTION('ref_axis',(0.707110948194273,-0.707102614154266,0.)); #411611=DIRECTION('center_axis',(0.,0.,1.)); #411612=DIRECTION('ref_axis',(0.707110948194273,-0.707102614154266,0.)); #411613=DIRECTION('center_axis',(0.,0.,1.)); #411614=DIRECTION('ref_axis',(0.707110948194273,-0.707102614154266,0.)); #411615=DIRECTION('',(0.,0.,1.)); #411616=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #411617=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #411618=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #411619=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #411620=DIRECTION('',(0.,0.,1.)); #411621=DIRECTION('center_axis',(1.,0.,0.)); #411622=DIRECTION('ref_axis',(0.,1.,0.)); #411623=DIRECTION('',(0.,1.,0.)); #411624=DIRECTION('',(0.,1.,0.)); #411625=DIRECTION('',(0.,0.,1.)); #411626=DIRECTION('center_axis',(0.,0.,1.)); #411627=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #411628=DIRECTION('center_axis',(0.,0.,1.)); #411629=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #411630=DIRECTION('center_axis',(0.,0.,1.)); #411631=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #411632=DIRECTION('',(0.,0.,1.)); #411633=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #411634=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #411635=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #411636=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #411637=DIRECTION('',(0.,0.,1.)); #411638=DIRECTION('center_axis',(1.,0.,0.)); #411639=DIRECTION('ref_axis',(0.,1.,0.)); #411640=DIRECTION('',(0.,1.,0.)); #411641=DIRECTION('',(0.,1.,0.)); #411642=DIRECTION('',(0.,0.,1.)); #411643=DIRECTION('center_axis',(0.,1.,0.)); #411644=DIRECTION('ref_axis',(-1.,0.,0.)); #411645=DIRECTION('',(-1.,0.,0.)); #411646=DIRECTION('',(-1.,0.,0.)); #411647=DIRECTION('center_axis',(0.,0.,1.)); #411648=DIRECTION('ref_axis',(1.,0.,0.)); #411649=DIRECTION('center_axis',(0.,0.,1.)); #411650=DIRECTION('ref_axis',(1.,0.,0.)); #411651=DIRECTION('center_axis',(0.,0.,1.)); #411652=DIRECTION('ref_axis',(1.,0.,0.)); #411653=DIRECTION('center_axis',(0.,0.,1.)); #411654=DIRECTION('ref_axis',(1.,0.,0.)); #411655=DIRECTION('',(0.,0.,1.)); #411656=DIRECTION('center_axis',(0.,0.,-1.)); #411657=DIRECTION('ref_axis',(1.,0.,0.)); #411658=DIRECTION('center_axis',(0.,0.,1.)); #411659=DIRECTION('ref_axis',(1.,0.,0.)); #411660=DIRECTION('center_axis',(0.,0.,1.)); #411661=DIRECTION('ref_axis',(1.,0.,0.)); #411662=DIRECTION('',(0.,0.,1.)); #411663=DIRECTION('center_axis',(0.,0.,-1.)); #411664=DIRECTION('ref_axis',(1.,0.,0.)); #411665=DIRECTION('center_axis',(0.,0.,1.)); #411666=DIRECTION('ref_axis',(1.,0.,0.)); #411667=DIRECTION('center_axis',(0.,0.,1.)); #411668=DIRECTION('ref_axis',(1.,0.,0.)); #411669=DIRECTION('',(0.,0.,1.)); #411670=DIRECTION('center_axis',(0.,0.,-1.)); #411671=DIRECTION('ref_axis',(1.,0.,0.)); #411672=DIRECTION('center_axis',(0.,0.,1.)); #411673=DIRECTION('ref_axis',(1.,0.,0.)); #411674=DIRECTION('center_axis',(0.,0.,1.)); #411675=DIRECTION('ref_axis',(1.,0.,0.)); #411676=DIRECTION('',(0.,0.,1.)); #411677=DIRECTION('center_axis',(0.,0.,-1.)); #411678=DIRECTION('ref_axis',(1.,0.,0.)); #411679=DIRECTION('center_axis',(0.,0.,1.)); #411680=DIRECTION('ref_axis',(1.,0.,0.)); #411681=DIRECTION('center_axis',(0.,0.,1.)); #411682=DIRECTION('ref_axis',(1.,0.,0.)); #411683=DIRECTION('',(0.,0.,1.)); #411684=DIRECTION('center_axis',(0.,0.,-1.)); #411685=DIRECTION('ref_axis',(1.,0.,0.)); #411686=DIRECTION('center_axis',(0.,0.,1.)); #411687=DIRECTION('ref_axis',(1.,0.,0.)); #411688=DIRECTION('center_axis',(0.,0.,1.)); #411689=DIRECTION('ref_axis',(1.,0.,0.)); #411690=DIRECTION('',(0.,0.,1.)); #411691=DIRECTION('center_axis',(0.,0.,-1.)); #411692=DIRECTION('ref_axis',(1.,0.,0.)); #411693=DIRECTION('center_axis',(0.,0.,1.)); #411694=DIRECTION('ref_axis',(1.,0.,0.)); #411695=DIRECTION('center_axis',(0.,0.,1.)); #411696=DIRECTION('ref_axis',(1.,0.,0.)); #411697=DIRECTION('',(0.,0.,1.)); #411698=DIRECTION('center_axis',(0.,0.,-1.)); #411699=DIRECTION('ref_axis',(1.,0.,0.)); #411700=DIRECTION('center_axis',(0.,0.,1.)); #411701=DIRECTION('ref_axis',(1.,0.,0.)); #411702=DIRECTION('center_axis',(0.,0.,1.)); #411703=DIRECTION('ref_axis',(1.,0.,0.)); #411704=DIRECTION('',(0.,0.,1.)); #411705=DIRECTION('center_axis',(0.,0.,-1.)); #411706=DIRECTION('ref_axis',(1.,0.,0.)); #411707=DIRECTION('center_axis',(0.,0.,1.)); #411708=DIRECTION('ref_axis',(1.,0.,0.)); #411709=DIRECTION('center_axis',(0.,0.,1.)); #411710=DIRECTION('ref_axis',(1.,0.,0.)); #411711=DIRECTION('',(0.,0.,1.)); #411712=DIRECTION('center_axis',(0.,0.,-1.)); #411713=DIRECTION('ref_axis',(1.,0.,0.)); #411714=DIRECTION('center_axis',(0.,0.,1.)); #411715=DIRECTION('ref_axis',(1.,0.,0.)); #411716=DIRECTION('center_axis',(0.,0.,1.)); #411717=DIRECTION('ref_axis',(1.,0.,0.)); #411718=DIRECTION('',(0.,0.,1.)); #411719=DIRECTION('center_axis',(0.,0.,-1.)); #411720=DIRECTION('ref_axis',(1.,0.,0.)); #411721=DIRECTION('center_axis',(0.,0.,1.)); #411722=DIRECTION('ref_axis',(1.,0.,0.)); #411723=DIRECTION('center_axis',(0.,0.,1.)); #411724=DIRECTION('ref_axis',(1.,0.,0.)); #411725=DIRECTION('',(0.,0.,1.)); #411726=DIRECTION('center_axis',(0.,0.,-1.)); #411727=DIRECTION('ref_axis',(1.,0.,0.)); #411728=DIRECTION('center_axis',(0.,0.,1.)); #411729=DIRECTION('ref_axis',(1.,0.,0.)); #411730=DIRECTION('center_axis',(0.,0.,1.)); #411731=DIRECTION('ref_axis',(1.,0.,0.)); #411732=DIRECTION('',(0.,0.,1.)); #411733=DIRECTION('center_axis',(0.,0.,-1.)); #411734=DIRECTION('ref_axis',(1.,0.,0.)); #411735=DIRECTION('center_axis',(0.,0.,1.)); #411736=DIRECTION('ref_axis',(1.,0.,0.)); #411737=DIRECTION('center_axis',(0.,0.,1.)); #411738=DIRECTION('ref_axis',(1.,0.,0.)); #411739=DIRECTION('',(0.,0.,1.)); #411740=DIRECTION('center_axis',(0.,0.,-1.)); #411741=DIRECTION('ref_axis',(1.,0.,0.)); #411742=DIRECTION('center_axis',(0.,0.,1.)); #411743=DIRECTION('ref_axis',(1.,0.,0.)); #411744=DIRECTION('center_axis',(0.,0.,1.)); #411745=DIRECTION('ref_axis',(1.,0.,0.)); #411746=DIRECTION('',(0.,0.,1.)); #411747=DIRECTION('center_axis',(0.,0.,-1.)); #411748=DIRECTION('ref_axis',(1.,0.,0.)); #411749=DIRECTION('center_axis',(0.,0.,1.)); #411750=DIRECTION('ref_axis',(1.,0.,0.)); #411751=DIRECTION('center_axis',(0.,0.,1.)); #411752=DIRECTION('ref_axis',(1.,0.,0.)); #411753=DIRECTION('',(0.,0.,1.)); #411754=DIRECTION('center_axis',(0.,0.,-1.)); #411755=DIRECTION('ref_axis',(1.,0.,0.)); #411756=DIRECTION('center_axis',(0.214594192212086,-0.976703298176495,0.)); #411757=DIRECTION('ref_axis',(0.976703298176494,0.214594192212086,0.)); #411758=DIRECTION('',(0.976703298176494,0.214594192212086,0.)); #411759=DIRECTION('',(0.,0.,1.)); #411760=DIRECTION('',(0.976703298176494,0.214594192212086,0.)); #411761=DIRECTION('',(0.,0.,1.)); #411762=DIRECTION('center_axis',(-0.183380618657178,-0.983041987252279, 0.)); #411763=DIRECTION('ref_axis',(0.983041987252279,-0.183380618657178,0.)); #411764=DIRECTION('',(0.983041987252279,-0.183380618657178,0.)); #411765=DIRECTION('',(0.983041987252279,-0.183380618657178,0.)); #411766=DIRECTION('',(0.,0.,1.)); #411767=DIRECTION('center_axis',(-0.552227809242766,-0.833693256959018, 0.)); #411768=DIRECTION('ref_axis',(0.833693256959018,-0.552227809242766,0.)); #411769=DIRECTION('',(0.833693256959018,-0.552227809242766,0.)); #411770=DIRECTION('',(0.833693256959018,-0.552227809242766,0.)); #411771=DIRECTION('',(0.,0.,1.)); #411772=DIRECTION('center_axis',(-0.707106781186552,-0.707106781186543, 0.)); #411773=DIRECTION('ref_axis',(0.707106781186543,-0.707106781186552,0.)); #411774=DIRECTION('',(0.707106781186543,-0.707106781186552,0.)); #411775=DIRECTION('',(0.707106781186543,-0.707106781186552,0.)); #411776=DIRECTION('',(0.,0.,1.)); #411777=DIRECTION('center_axis',(0.,-1.,0.)); #411778=DIRECTION('ref_axis',(1.,0.,0.)); #411779=DIRECTION('',(1.,0.,0.)); #411780=DIRECTION('',(1.,0.,0.)); #411781=DIRECTION('',(0.,0.,1.)); #411782=DIRECTION('center_axis',(-0.191191000932891,-0.981552851945467, 0.)); #411783=DIRECTION('ref_axis',(0.981552851945467,-0.191191000932891,0.)); #411784=DIRECTION('',(0.981552851945467,-0.191191000932891,0.)); #411785=DIRECTION('',(0.981552851945467,-0.191191000932891,0.)); #411786=DIRECTION('',(0.,0.,1.)); #411787=DIRECTION('center_axis',(-0.552227809242888,-0.833693256958937, 0.)); #411788=DIRECTION('ref_axis',(0.833693256958937,-0.552227809242888,0.)); #411789=DIRECTION('',(0.833693256958937,-0.552227809242888,0.)); #411790=DIRECTION('',(0.833693256958937,-0.552227809242888,0.)); #411791=DIRECTION('',(0.,0.,1.)); #411792=DIRECTION('center_axis',(-0.707104593400144,-0.707108968966182, 0.)); #411793=DIRECTION('ref_axis',(0.707108968966182,-0.707104593400144,0.)); #411794=DIRECTION('',(0.707108968966182,-0.707104593400144,0.)); #411795=DIRECTION('',(0.707108968966182,-0.707104593400144,0.)); #411796=DIRECTION('',(0.,0.,1.)); #411797=DIRECTION('center_axis',(0.,-1.,0.)); #411798=DIRECTION('ref_axis',(1.,0.,0.)); #411799=DIRECTION('',(1.,0.,0.)); #411800=DIRECTION('',(1.,0.,0.)); #411801=DIRECTION('',(0.,0.,1.)); #411802=DIRECTION('center_axis',(0.707106781186542,-0.707106781186553,0.)); #411803=DIRECTION('ref_axis',(0.707106781186553,0.707106781186542,0.)); #411804=DIRECTION('',(0.707106781186553,0.707106781186542,0.)); #411805=DIRECTION('',(0.707106781186553,0.707106781186542,0.)); #411806=DIRECTION('',(0.,0.,1.)); #411807=DIRECTION('center_axis',(0.842341965840975,-0.538943422432412,0.)); #411808=DIRECTION('ref_axis',(0.538943422432413,0.842341965840975,0.)); #411809=DIRECTION('',(0.538943422432413,0.842341965840975,0.)); #411810=DIRECTION('',(0.538943422432413,0.842341965840975,0.)); #411811=DIRECTION('',(0.,0.,1.)); #411812=DIRECTION('center_axis',(0.565473758099792,-0.82476628744178,0.)); #411813=DIRECTION('ref_axis',(0.82476628744178,0.565473758099792,0.)); #411814=DIRECTION('',(0.82476628744178,0.565473758099792,0.)); #411815=DIRECTION('',(0.82476628744178,0.565473758099792,0.)); #411816=DIRECTION('',(0.,0.,1.)); #411817=DIRECTION('center_axis',(0.199010641789396,-0.979997328799713,0.)); #411818=DIRECTION('ref_axis',(0.979997328799713,0.199010641789396,0.)); #411819=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #411820=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #411821=DIRECTION('',(0.,0.,1.)); #411822=DIRECTION('center_axis',(0.,-1.,0.)); #411823=DIRECTION('ref_axis',(1.,0.,0.)); #411824=DIRECTION('',(1.,0.,0.)); #411825=DIRECTION('',(1.,0.,0.)); #411826=DIRECTION('',(0.,0.,1.)); #411827=DIRECTION('center_axis',(-0.191191000932715,-0.981552851945501, 0.)); #411828=DIRECTION('ref_axis',(0.981552851945501,-0.191191000932715,0.)); #411829=DIRECTION('',(0.981552851945501,-0.191191000932715,0.)); #411830=DIRECTION('',(0.981552851945501,-0.191191000932715,0.)); #411831=DIRECTION('',(0.,0.,1.)); #411832=DIRECTION('center_axis',(-0.552218701081291,-0.833699290017745, 0.)); #411833=DIRECTION('ref_axis',(0.833699290017745,-0.552218701081291,0.)); #411834=DIRECTION('',(0.833699290017745,-0.552218701081291,0.)); #411835=DIRECTION('',(0.833699290017745,-0.552218701081291,0.)); #411836=DIRECTION('',(0.,0.,1.)); #411837=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #411838=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #411839=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #411840=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #411841=DIRECTION('',(0.,0.,1.)); #411842=DIRECTION('center_axis',(-0.637992511441951,-0.770042567228586, 0.)); #411843=DIRECTION('ref_axis',(0.770042567228586,-0.637992511441951,0.)); #411844=DIRECTION('',(0.770042567228586,-0.637992511441951,0.)); #411845=DIRECTION('',(0.770042567228586,-0.637992511441951,0.)); #411846=DIRECTION('',(0.,0.,1.)); #411847=DIRECTION('center_axis',(-0.770213822251693,0.637785754005558,0.)); #411848=DIRECTION('ref_axis',(-0.637785754005558,-0.770213822251693,0.)); #411849=DIRECTION('',(-0.637785754005558,-0.770213822251693,0.)); #411850=DIRECTION('',(-0.637785754005558,-0.770213822251693,0.)); #411851=DIRECTION('',(0.,0.,1.)); #411852=DIRECTION('center_axis',(-0.59483098052112,-0.803850797481897,0.)); #411853=DIRECTION('ref_axis',(0.803850797481897,-0.59483098052112,0.)); #411854=DIRECTION('',(0.803850797481897,-0.59483098052112,0.)); #411855=DIRECTION('',(0.803850797481897,-0.59483098052112,0.)); #411856=DIRECTION('',(0.,0.,1.)); #411857=DIRECTION('center_axis',(-0.824759961060277,-0.56548298527175,0.)); #411858=DIRECTION('ref_axis',(0.56548298527175,-0.824759961060277,0.)); #411859=DIRECTION('',(0.56548298527175,-0.824759961060277,0.)); #411860=DIRECTION('',(0.56548298527175,-0.824759961060277,0.)); #411861=DIRECTION('',(0.,0.,1.)); #411862=DIRECTION('center_axis',(-0.979998112340244,-0.199006783325492, 0.)); #411863=DIRECTION('ref_axis',(0.199006783325492,-0.979998112340244,0.)); #411864=DIRECTION('',(0.199006783325492,-0.979998112340244,0.)); #411865=DIRECTION('',(0.199006783325492,-0.979998112340244,0.)); #411866=DIRECTION('',(0.,0.,1.)); #411867=DIRECTION('center_axis',(-1.,0.,0.)); #411868=DIRECTION('ref_axis',(0.,-1.,0.)); #411869=DIRECTION('',(0.,-1.,0.)); #411870=DIRECTION('',(0.,-1.,0.)); #411871=DIRECTION('',(0.,0.,1.)); #411872=DIRECTION('center_axis',(-0.981552099144144,0.19119486568871,0.)); #411873=DIRECTION('ref_axis',(-0.19119486568871,-0.981552099144144,0.)); #411874=DIRECTION('',(-0.19119486568871,-0.981552099144144,0.)); #411875=DIRECTION('',(-0.19119486568871,-0.981552099144144,0.)); #411876=DIRECTION('',(0.,0.,1.)); #411877=DIRECTION('center_axis',(-0.833699290017812,0.552218701081189,0.)); #411878=DIRECTION('ref_axis',(-0.552218701081189,-0.833699290017812,0.)); #411879=DIRECTION('',(-0.552218701081189,-0.833699290017812,0.)); #411880=DIRECTION('',(-0.552218701081189,-0.833699290017812,0.)); #411881=DIRECTION('',(0.,0.,1.)); #411882=DIRECTION('center_axis',(-0.707106781186547,0.707106781186548,0.)); #411883=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,0.)); #411884=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #411885=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #411886=DIRECTION('',(0.,0.,1.)); #411887=DIRECTION('center_axis',(-0.558856232804982,0.829264560351534,0.)); #411888=DIRECTION('ref_axis',(-0.829264560351534,-0.558856232804982,0.)); #411889=DIRECTION('',(-0.829264560351534,-0.558856232804982,0.)); #411890=DIRECTION('',(-0.829264560351534,-0.558856232804982,0.)); #411891=DIRECTION('',(0.,0.,1.)); #411892=DIRECTION('center_axis',(-0.199010641789227,0.979997328799747,0.)); #411893=DIRECTION('ref_axis',(-0.979997328799747,-0.199010641789227,0.)); #411894=DIRECTION('',(-0.979997328799747,-0.199010641789227,0.)); #411895=DIRECTION('',(-0.979997328799747,-0.199010641789227,0.)); #411896=DIRECTION('',(0.,0.,1.)); #411897=DIRECTION('center_axis',(0.,1.,0.)); #411898=DIRECTION('ref_axis',(-1.,0.,0.)); #411899=DIRECTION('',(-1.,0.,0.)); #411900=DIRECTION('',(-1.,0.,0.)); #411901=DIRECTION('',(0.,0.,1.)); #411902=DIRECTION('center_axis',(0.183314787014809,0.983054265471502,0.)); #411903=DIRECTION('ref_axis',(-0.983054265471502,0.183314787014809,0.)); #411904=DIRECTION('',(-0.983054265471502,0.183314787014809,0.)); #411905=DIRECTION('',(-0.983054265471502,0.183314787014809,0.)); #411906=DIRECTION('',(0.,0.,1.)); #411907=DIRECTION('center_axis',(0.538928498327793,0.842351514327689,0.)); #411908=DIRECTION('ref_axis',(-0.842351514327689,0.538928498327792,0.)); #411909=DIRECTION('',(-0.842351514327689,0.538928498327792,0.)); #411910=DIRECTION('',(-0.842351514327689,0.538928498327792,0.)); #411911=DIRECTION('',(0.,0.,1.)); #411912=DIRECTION('center_axis',(0.82476628744185,0.565473758099692,0.)); #411913=DIRECTION('ref_axis',(-0.565473758099692,0.82476628744185,0.)); #411914=DIRECTION('',(-0.565473758099692,0.82476628744185,0.)); #411915=DIRECTION('',(-0.565473758099692,0.82476628744185,0.)); #411916=DIRECTION('',(0.,0.,1.)); #411917=DIRECTION('center_axis',(0.97999732879971,0.199010641789413,0.)); #411918=DIRECTION('ref_axis',(-0.199010641789413,0.97999732879971,0.)); #411919=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #411920=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #411921=DIRECTION('',(0.,0.,1.)); #411922=DIRECTION('center_axis',(1.,0.,0.)); #411923=DIRECTION('ref_axis',(0.,1.,0.)); #411924=DIRECTION('',(0.,1.,0.)); #411925=DIRECTION('',(0.,1.,0.)); #411926=DIRECTION('',(0.,0.,1.)); #411927=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #411928=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #411929=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #411930=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #411931=DIRECTION('',(0.,0.,1.)); #411932=DIRECTION('center_axis',(0.,1.,0.)); #411933=DIRECTION('ref_axis',(-1.,0.,0.)); #411934=DIRECTION('',(-1.,0.,0.)); #411935=DIRECTION('',(-1.,0.,0.)); #411936=DIRECTION('',(0.,0.,1.)); #411937=DIRECTION('center_axis',(-0.707104593400163,0.707108968966163,0.)); #411938=DIRECTION('ref_axis',(-0.707108968966163,-0.707104593400163,0.)); #411939=DIRECTION('',(-0.707108968966163,-0.707104593400163,0.)); #411940=DIRECTION('',(-0.707108968966163,-0.707104593400163,0.)); #411941=DIRECTION('',(0.,0.,1.)); #411942=DIRECTION('center_axis',(-0.55886577660194,0.829258128536049,0.)); #411943=DIRECTION('ref_axis',(-0.829258128536049,-0.55886577660194,0.)); #411944=DIRECTION('',(-0.829258128536049,-0.55886577660194,0.)); #411945=DIRECTION('',(-0.829258128536049,-0.55886577660194,0.)); #411946=DIRECTION('',(0.,0.,1.)); #411947=DIRECTION('center_axis',(-0.199006783325535,0.979998112340235,0.)); #411948=DIRECTION('ref_axis',(-0.979998112340235,-0.199006783325535,0.)); #411949=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #411950=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #411951=DIRECTION('',(0.,0.,1.)); #411952=DIRECTION('center_axis',(0.,1.,0.)); #411953=DIRECTION('ref_axis',(-1.,0.,0.)); #411954=DIRECTION('',(-1.,0.,0.)); #411955=DIRECTION('',(-1.,0.,0.)); #411956=DIRECTION('',(0.,0.,1.)); #411957=DIRECTION('center_axis',(0.191191000932891,0.981552851945467,0.)); #411958=DIRECTION('ref_axis',(-0.981552851945467,0.191191000932891,0.)); #411959=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #411960=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #411961=DIRECTION('',(0.,0.,1.)); #411962=DIRECTION('center_axis',(0.552227809242806,0.833693256958992,0.)); #411963=DIRECTION('ref_axis',(-0.833693256958992,0.552227809242806,0.)); #411964=DIRECTION('',(-0.833693256958992,0.552227809242806,0.)); #411965=DIRECTION('',(-0.833693256958992,0.552227809242806,0.)); #411966=DIRECTION('',(0.,0.,1.)); #411967=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #411968=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #411969=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #411970=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #411971=DIRECTION('',(0.,0.,1.)); #411972=DIRECTION('center_axis',(0.829258128536007,0.558865776602003,0.)); #411973=DIRECTION('ref_axis',(-0.558865776602003,0.829258128536007,0.)); #411974=DIRECTION('',(-0.558865776602003,0.829258128536007,0.)); #411975=DIRECTION('',(-0.558865776602003,0.829258128536007,0.)); #411976=DIRECTION('',(0.,0.,1.)); #411977=DIRECTION('center_axis',(0.979998112340252,0.19900678332545,0.)); #411978=DIRECTION('ref_axis',(-0.19900678332545,0.979998112340252,0.)); #411979=DIRECTION('',(-0.19900678332545,0.979998112340252,0.)); #411980=DIRECTION('',(-0.19900678332545,0.979998112340252,0.)); #411981=DIRECTION('',(0.,0.,1.)); #411982=DIRECTION('center_axis',(1.,0.,0.)); #411983=DIRECTION('ref_axis',(0.,1.,0.)); #411984=DIRECTION('',(0.,1.,0.)); #411985=DIRECTION('',(0.,1.,0.)); #411986=DIRECTION('',(0.,0.,1.)); #411987=DIRECTION('center_axis',(0.984474676816787,-0.175526666653484,0.)); #411988=DIRECTION('ref_axis',(0.175526666653484,0.984474676816787,0.)); #411989=DIRECTION('',(0.175526666653484,0.984474676816787,0.)); #411990=DIRECTION('',(0.175526666653484,0.984474676816787,0.)); #411991=DIRECTION('',(0.,0.,1.)); #411992=DIRECTION('center_axis',(0.850855747839139,-0.525399368451372,0.)); #411993=DIRECTION('ref_axis',(0.525399368451372,0.850855747839139,0.)); #411994=DIRECTION('',(0.525399368451372,0.850855747839139,0.)); #411995=DIRECTION('',(0.525399368451372,0.850855747839139,0.)); #411996=DIRECTION('',(0.,0.,1.)); #411997=DIRECTION('center_axis',(0.578583157949432,-0.815623399209012,0.)); #411998=DIRECTION('ref_axis',(0.815623399209012,0.578583157949432,0.)); #411999=DIRECTION('',(0.815623399209012,0.578583157949432,0.)); #412000=DIRECTION('',(0.815623399209012,0.578583157949432,0.)); #412001=DIRECTION('center_axis',(0.,0.,1.)); #412002=DIRECTION('ref_axis',(1.,0.,0.)); #412003=DIRECTION('center_axis',(0.,0.,1.)); #412004=DIRECTION('ref_axis',(1.,0.,0.)); #412005=DIRECTION('center_axis',(0.,0.,1.)); #412006=DIRECTION('ref_axis',(1.,0.,0.)); #412007=DIRECTION('center_axis',(0.,0.,1.)); #412008=DIRECTION('ref_axis',(1.,0.,0.)); #412009=DIRECTION('',(0.,0.,1.)); #412010=DIRECTION('center_axis',(0.,0.,-1.)); #412011=DIRECTION('ref_axis',(1.,0.,0.)); #412012=DIRECTION('center_axis',(1.,0.,0.)); #412013=DIRECTION('ref_axis',(0.,1.,0.)); #412014=DIRECTION('',(0.,1.,0.)); #412015=DIRECTION('',(0.,0.,1.)); #412016=DIRECTION('',(0.,1.,0.)); #412017=DIRECTION('',(0.,0.,1.)); #412018=DIRECTION('center_axis',(0.,-1.,0.)); #412019=DIRECTION('ref_axis',(1.,0.,0.)); #412020=DIRECTION('',(1.,0.,0.)); #412021=DIRECTION('',(1.,0.,0.)); #412022=DIRECTION('',(0.,0.,1.)); #412023=DIRECTION('center_axis',(-1.,0.,0.)); #412024=DIRECTION('ref_axis',(0.,-1.,0.)); #412025=DIRECTION('',(0.,-1.,0.)); #412026=DIRECTION('',(0.,-1.,0.)); #412027=DIRECTION('',(0.,0.,1.)); #412028=DIRECTION('center_axis',(0.,1.,0.)); #412029=DIRECTION('ref_axis',(-1.,0.,0.)); #412030=DIRECTION('',(-1.,0.,0.)); #412031=DIRECTION('',(-1.,0.,0.)); #412032=DIRECTION('',(0.,0.,1.)); #412033=DIRECTION('center_axis',(-1.,0.,0.)); #412034=DIRECTION('ref_axis',(0.,-1.,0.)); #412035=DIRECTION('',(0.,-1.,0.)); #412036=DIRECTION('',(0.,-1.,0.)); #412037=DIRECTION('',(0.,0.,1.)); #412038=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #412039=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #412040=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #412041=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #412042=DIRECTION('',(0.,0.,1.)); #412043=DIRECTION('center_axis',(0.,0.,1.)); #412044=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #412045=DIRECTION('center_axis',(0.,0.,1.)); #412046=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #412047=DIRECTION('center_axis',(0.,0.,1.)); #412048=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #412049=DIRECTION('',(0.,0.,1.)); #412050=DIRECTION('center_axis',(-1.,0.,0.)); #412051=DIRECTION('ref_axis',(0.,-1.,0.)); #412052=DIRECTION('',(0.,-1.,0.)); #412053=DIRECTION('',(0.,-1.,0.)); #412054=DIRECTION('',(0.,0.,1.)); #412055=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #412056=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #412057=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #412058=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #412059=DIRECTION('',(0.,0.,1.)); #412060=DIRECTION('center_axis',(0.,0.,1.)); #412061=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695794E-6,0.)); #412062=DIRECTION('center_axis',(0.,0.,1.)); #412063=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695794E-6,0.)); #412064=DIRECTION('center_axis',(0.,0.,1.)); #412065=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695794E-6,0.)); #412066=DIRECTION('',(0.,0.,1.)); #412067=DIRECTION('center_axis',(-1.,0.,0.)); #412068=DIRECTION('ref_axis',(0.,-1.,0.)); #412069=DIRECTION('',(0.,-1.,0.)); #412070=DIRECTION('',(0.,-1.,0.)); #412071=DIRECTION('',(0.,0.,1.)); #412072=DIRECTION('center_axis',(0.,0.,1.)); #412073=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #412074=DIRECTION('center_axis',(0.,0.,1.)); #412075=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #412076=DIRECTION('center_axis',(0.,0.,1.)); #412077=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #412078=DIRECTION('',(0.,0.,1.)); #412079=DIRECTION('center_axis',(-0.707106781186541,0.707106781186554,0.)); #412080=DIRECTION('ref_axis',(-0.707106781186554,-0.707106781186541,0.)); #412081=DIRECTION('',(-0.707106781186554,-0.707106781186541,0.)); #412082=DIRECTION('',(-0.707106781186554,-0.707106781186541,0.)); #412083=DIRECTION('',(0.,0.,1.)); #412084=DIRECTION('center_axis',(-1.,0.,0.)); #412085=DIRECTION('ref_axis',(0.,-1.,0.)); #412086=DIRECTION('',(0.,-1.,0.)); #412087=DIRECTION('',(0.,-1.,0.)); #412088=DIRECTION('',(0.,0.,1.)); #412089=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #412090=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #412091=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #412092=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #412093=DIRECTION('',(0.,0.,1.)); #412094=DIRECTION('center_axis',(0.,0.,1.)); #412095=DIRECTION('ref_axis',(-0.999999999982576,5.90313875186003E-6,0.)); #412096=DIRECTION('center_axis',(0.,0.,1.)); #412097=DIRECTION('ref_axis',(-0.999999999982576,5.90313875186003E-6,0.)); #412098=DIRECTION('center_axis',(0.,0.,1.)); #412099=DIRECTION('ref_axis',(-0.999999999982576,5.90313875186003E-6,0.)); #412100=DIRECTION('',(0.,0.,1.)); #412101=DIRECTION('center_axis',(-1.,0.,0.)); #412102=DIRECTION('ref_axis',(0.,-1.,0.)); #412103=DIRECTION('',(0.,-1.,0.)); #412104=DIRECTION('',(0.,-1.,0.)); #412105=DIRECTION('',(0.,0.,1.)); #412106=DIRECTION('center_axis',(0.,0.,1.)); #412107=DIRECTION('ref_axis',(-0.707110948194308,0.707102614154231,0.)); #412108=DIRECTION('center_axis',(0.,0.,1.)); #412109=DIRECTION('ref_axis',(-0.707110948194308,0.707102614154231,0.)); #412110=DIRECTION('center_axis',(0.,0.,1.)); #412111=DIRECTION('ref_axis',(-0.707110948194308,0.707102614154231,0.)); #412112=DIRECTION('',(0.,0.,1.)); #412113=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #412114=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #412115=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #412116=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #412117=DIRECTION('',(0.,0.,1.)); #412118=DIRECTION('center_axis',(0.,0.,1.)); #412119=DIRECTION('ref_axis',(5.90313871689308E-6,0.999999999982576,0.)); #412120=DIRECTION('center_axis',(0.,0.,1.)); #412121=DIRECTION('ref_axis',(5.90313871689308E-6,0.999999999982576,0.)); #412122=DIRECTION('center_axis',(0.,0.,1.)); #412123=DIRECTION('ref_axis',(5.90313871689308E-6,0.999999999982576,0.)); #412124=DIRECTION('',(0.,0.,1.)); #412125=DIRECTION('center_axis',(0.,1.,0.)); #412126=DIRECTION('ref_axis',(-1.,0.,0.)); #412127=DIRECTION('',(-1.,0.,0.)); #412128=DIRECTION('',(-1.,0.,0.)); #412129=DIRECTION('',(0.,0.,1.)); #412130=DIRECTION('center_axis',(-1.,0.,0.)); #412131=DIRECTION('ref_axis',(0.,-1.,0.)); #412132=DIRECTION('',(0.,-1.,0.)); #412133=DIRECTION('',(0.,-1.,0.)); #412134=DIRECTION('',(0.,0.,1.)); #412135=DIRECTION('center_axis',(0.,1.,0.)); #412136=DIRECTION('ref_axis',(-1.,0.,0.)); #412137=DIRECTION('',(-1.,0.,0.)); #412138=DIRECTION('',(-1.,0.,0.)); #412139=DIRECTION('',(0.,0.,1.)); #412140=DIRECTION('center_axis',(1.,0.,0.)); #412141=DIRECTION('ref_axis',(0.,1.,0.)); #412142=DIRECTION('',(0.,1.,0.)); #412143=DIRECTION('',(0.,1.,0.)); #412144=DIRECTION('',(0.,0.,1.)); #412145=DIRECTION('center_axis',(0.,-1.,0.)); #412146=DIRECTION('ref_axis',(1.,0.,0.)); #412147=DIRECTION('',(1.,0.,0.)); #412148=DIRECTION('',(1.,0.,0.)); #412149=DIRECTION('',(0.,0.,1.)); #412150=DIRECTION('center_axis',(-1.,0.,0.)); #412151=DIRECTION('ref_axis',(0.,-1.,0.)); #412152=DIRECTION('',(0.,-1.,0.)); #412153=DIRECTION('',(0.,-1.,0.)); #412154=DIRECTION('',(0.,0.,1.)); #412155=DIRECTION('center_axis',(0.,-1.,0.)); #412156=DIRECTION('ref_axis',(1.,0.,0.)); #412157=DIRECTION('',(1.,0.,0.)); #412158=DIRECTION('',(1.,0.,0.)); #412159=DIRECTION('',(0.,0.,1.)); #412160=DIRECTION('center_axis',(0.707106781186544,-0.707106781186552,0.)); #412161=DIRECTION('ref_axis',(0.707106781186552,0.707106781186544,0.)); #412162=DIRECTION('',(0.707106781186552,0.707106781186544,0.)); #412163=DIRECTION('',(0.707106781186552,0.707106781186544,0.)); #412164=DIRECTION('',(0.,0.,1.)); #412165=DIRECTION('center_axis',(1.,0.,0.)); #412166=DIRECTION('ref_axis',(0.,1.,0.)); #412167=DIRECTION('',(0.,1.,0.)); #412168=DIRECTION('',(0.,1.,0.)); #412169=DIRECTION('',(0.,0.,1.)); #412170=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #412171=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #412172=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #412173=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #412174=DIRECTION('',(0.,0.,1.)); #412175=DIRECTION('center_axis',(0.,1.,0.)); #412176=DIRECTION('ref_axis',(-1.,0.,0.)); #412177=DIRECTION('',(-1.,0.,0.)); #412178=DIRECTION('',(-1.,0.,0.)); #412179=DIRECTION('',(0.,0.,1.)); #412180=DIRECTION('center_axis',(1.,0.,0.)); #412181=DIRECTION('ref_axis',(0.,1.,0.)); #412182=DIRECTION('',(0.,1.,0.)); #412183=DIRECTION('',(0.,1.,0.)); #412184=DIRECTION('',(0.,0.,1.)); #412185=DIRECTION('center_axis',(0.,-1.,0.)); #412186=DIRECTION('ref_axis',(1.,0.,0.)); #412187=DIRECTION('',(1.,0.,0.)); #412188=DIRECTION('',(1.,0.,0.)); #412189=DIRECTION('',(0.,0.,1.)); #412190=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #412191=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #412192=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #412193=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #412194=DIRECTION('',(0.,0.,1.)); #412195=DIRECTION('center_axis',(1.,0.,0.)); #412196=DIRECTION('ref_axis',(0.,1.,0.)); #412197=DIRECTION('',(0.,1.,0.)); #412198=DIRECTION('',(0.,1.,0.)); #412199=DIRECTION('',(0.,0.,1.)); #412200=DIRECTION('center_axis',(0.707106781186546,0.707106781186549,0.)); #412201=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #412202=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #412203=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #412204=DIRECTION('',(0.,0.,1.)); #412205=DIRECTION('center_axis',(0.,0.,1.)); #412206=DIRECTION('ref_axis',(0.999999999982576,-5.90313871689288E-6,0.)); #412207=DIRECTION('center_axis',(0.,0.,1.)); #412208=DIRECTION('ref_axis',(0.999999999982576,-5.90313871689288E-6,0.)); #412209=DIRECTION('center_axis',(0.,0.,1.)); #412210=DIRECTION('ref_axis',(0.999999999982576,-5.90313871689288E-6,0.)); #412211=DIRECTION('',(0.,0.,1.)); #412212=DIRECTION('center_axis',(1.,0.,0.)); #412213=DIRECTION('ref_axis',(0.,1.,0.)); #412214=DIRECTION('',(0.,1.,0.)); #412215=DIRECTION('',(0.,1.,0.)); #412216=DIRECTION('',(0.,0.,1.)); #412217=DIRECTION('center_axis',(0.707106781186537,0.707106781186558,0.)); #412218=DIRECTION('ref_axis',(-0.707106781186558,0.707106781186537,0.)); #412219=DIRECTION('',(-0.707106781186558,0.707106781186537,0.)); #412220=DIRECTION('',(-0.707106781186558,0.707106781186537,0.)); #412221=DIRECTION('',(0.,0.,1.)); #412222=DIRECTION('center_axis',(0.,0.,1.)); #412223=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #412224=DIRECTION('center_axis',(0.,0.,1.)); #412225=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #412226=DIRECTION('center_axis',(0.,0.,1.)); #412227=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #412228=DIRECTION('',(0.,0.,1.)); #412229=DIRECTION('center_axis',(1.,0.,0.)); #412230=DIRECTION('ref_axis',(0.,1.,0.)); #412231=DIRECTION('',(0.,1.,0.)); #412232=DIRECTION('',(0.,1.,0.)); #412233=DIRECTION('',(0.,0.,1.)); #412234=DIRECTION('center_axis',(0.,1.,0.)); #412235=DIRECTION('ref_axis',(-1.,0.,0.)); #412236=DIRECTION('',(-1.,0.,0.)); #412237=DIRECTION('',(-1.,0.,0.)); #412238=DIRECTION('center_axis',(0.,0.,1.)); #412239=DIRECTION('ref_axis',(1.,0.,0.)); #412240=DIRECTION('center_axis',(0.,0.,1.)); #412241=DIRECTION('ref_axis',(1.,0.,0.)); #412242=DIRECTION('center_axis',(0.,0.,1.)); #412243=DIRECTION('ref_axis',(1.,0.,0.)); #412244=DIRECTION('center_axis',(0.,0.,1.)); #412245=DIRECTION('ref_axis',(1.,0.,0.)); #412246=DIRECTION('',(0.,0.,1.)); #412247=DIRECTION('center_axis',(0.,0.,-1.)); #412248=DIRECTION('ref_axis',(1.,0.,0.)); #412249=DIRECTION('center_axis',(0.,0.,1.)); #412250=DIRECTION('ref_axis',(1.,0.,0.)); #412251=DIRECTION('center_axis',(0.,0.,1.)); #412252=DIRECTION('ref_axis',(1.,0.,0.)); #412253=DIRECTION('',(0.,0.,1.)); #412254=DIRECTION('center_axis',(0.,0.,-1.)); #412255=DIRECTION('ref_axis',(1.,0.,0.)); #412256=DIRECTION('center_axis',(0.,0.,1.)); #412257=DIRECTION('ref_axis',(1.,0.,0.)); #412258=DIRECTION('center_axis',(0.,0.,1.)); #412259=DIRECTION('ref_axis',(1.,0.,0.)); #412260=DIRECTION('',(0.,0.,1.)); #412261=DIRECTION('center_axis',(0.,0.,-1.)); #412262=DIRECTION('ref_axis',(1.,0.,0.)); #412263=DIRECTION('center_axis',(0.,0.,1.)); #412264=DIRECTION('ref_axis',(1.,0.,0.)); #412265=DIRECTION('center_axis',(0.,0.,1.)); #412266=DIRECTION('ref_axis',(1.,0.,0.)); #412267=DIRECTION('',(0.,0.,1.)); #412268=DIRECTION('center_axis',(0.,0.,-1.)); #412269=DIRECTION('ref_axis',(1.,0.,0.)); #412270=DIRECTION('center_axis',(0.,0.,1.)); #412271=DIRECTION('ref_axis',(1.,0.,0.)); #412272=DIRECTION('center_axis',(0.,0.,1.)); #412273=DIRECTION('ref_axis',(1.,0.,0.)); #412274=DIRECTION('',(0.,0.,1.)); #412275=DIRECTION('center_axis',(0.,0.,-1.)); #412276=DIRECTION('ref_axis',(1.,0.,0.)); #412277=DIRECTION('center_axis',(0.,0.,1.)); #412278=DIRECTION('ref_axis',(1.,0.,0.)); #412279=DIRECTION('center_axis',(0.,0.,1.)); #412280=DIRECTION('ref_axis',(1.,0.,0.)); #412281=DIRECTION('',(0.,0.,1.)); #412282=DIRECTION('center_axis',(0.,0.,-1.)); #412283=DIRECTION('ref_axis',(1.,0.,0.)); #412284=DIRECTION('center_axis',(0.,0.,1.)); #412285=DIRECTION('ref_axis',(1.,0.,0.)); #412286=DIRECTION('center_axis',(0.,0.,1.)); #412287=DIRECTION('ref_axis',(1.,0.,0.)); #412288=DIRECTION('',(0.,0.,1.)); #412289=DIRECTION('center_axis',(0.,0.,-1.)); #412290=DIRECTION('ref_axis',(1.,0.,0.)); #412291=DIRECTION('center_axis',(0.,0.,1.)); #412292=DIRECTION('ref_axis',(1.,0.,0.)); #412293=DIRECTION('center_axis',(0.,0.,1.)); #412294=DIRECTION('ref_axis',(1.,0.,0.)); #412295=DIRECTION('',(0.,0.,1.)); #412296=DIRECTION('center_axis',(0.,0.,-1.)); #412297=DIRECTION('ref_axis',(1.,0.,0.)); #412298=DIRECTION('center_axis',(0.,0.,1.)); #412299=DIRECTION('ref_axis',(1.,0.,0.)); #412300=DIRECTION('center_axis',(0.,0.,1.)); #412301=DIRECTION('ref_axis',(1.,0.,0.)); #412302=DIRECTION('',(0.,0.,1.)); #412303=DIRECTION('center_axis',(0.,0.,-1.)); #412304=DIRECTION('ref_axis',(1.,0.,0.)); #412305=DIRECTION('center_axis',(0.,0.,1.)); #412306=DIRECTION('ref_axis',(1.,0.,0.)); #412307=DIRECTION('center_axis',(0.,0.,1.)); #412308=DIRECTION('ref_axis',(1.,0.,0.)); #412309=DIRECTION('',(0.,0.,1.)); #412310=DIRECTION('center_axis',(0.,0.,-1.)); #412311=DIRECTION('ref_axis',(1.,0.,0.)); #412312=DIRECTION('center_axis',(0.,0.,1.)); #412313=DIRECTION('ref_axis',(1.,0.,0.)); #412314=DIRECTION('center_axis',(0.,0.,1.)); #412315=DIRECTION('ref_axis',(1.,0.,0.)); #412316=DIRECTION('',(0.,0.,1.)); #412317=DIRECTION('center_axis',(0.,0.,-1.)); #412318=DIRECTION('ref_axis',(1.,0.,0.)); #412319=DIRECTION('center_axis',(0.,0.,1.)); #412320=DIRECTION('ref_axis',(1.,0.,0.)); #412321=DIRECTION('center_axis',(0.,0.,1.)); #412322=DIRECTION('ref_axis',(1.,0.,0.)); #412323=DIRECTION('',(0.,0.,1.)); #412324=DIRECTION('center_axis',(0.,0.,-1.)); #412325=DIRECTION('ref_axis',(1.,0.,0.)); #412326=DIRECTION('center_axis',(0.,0.,1.)); #412327=DIRECTION('ref_axis',(1.,0.,0.)); #412328=DIRECTION('center_axis',(0.,0.,1.)); #412329=DIRECTION('ref_axis',(1.,0.,0.)); #412330=DIRECTION('',(0.,0.,1.)); #412331=DIRECTION('center_axis',(0.,0.,-1.)); #412332=DIRECTION('ref_axis',(1.,0.,0.)); #412333=DIRECTION('center_axis',(0.,0.,1.)); #412334=DIRECTION('ref_axis',(1.,0.,0.)); #412335=DIRECTION('center_axis',(0.,0.,1.)); #412336=DIRECTION('ref_axis',(1.,0.,0.)); #412337=DIRECTION('',(0.,0.,1.)); #412338=DIRECTION('center_axis',(0.,0.,-1.)); #412339=DIRECTION('ref_axis',(1.,0.,0.)); #412340=DIRECTION('center_axis',(0.,0.,1.)); #412341=DIRECTION('ref_axis',(1.,0.,0.)); #412342=DIRECTION('center_axis',(0.,0.,1.)); #412343=DIRECTION('ref_axis',(1.,0.,0.)); #412344=DIRECTION('',(0.,0.,1.)); #412345=DIRECTION('center_axis',(0.,0.,-1.)); #412346=DIRECTION('ref_axis',(1.,0.,0.)); #412347=DIRECTION('center_axis',(0.,0.,1.)); #412348=DIRECTION('ref_axis',(1.,0.,0.)); #412349=DIRECTION('center_axis',(0.,0.,1.)); #412350=DIRECTION('ref_axis',(1.,0.,0.)); #412351=DIRECTION('',(0.,0.,1.)); #412352=DIRECTION('center_axis',(0.,0.,-1.)); #412353=DIRECTION('ref_axis',(1.,0.,0.)); #412354=DIRECTION('center_axis',(0.,0.,1.)); #412355=DIRECTION('ref_axis',(1.,0.,0.)); #412356=DIRECTION('center_axis',(0.,0.,1.)); #412357=DIRECTION('ref_axis',(1.,0.,0.)); #412358=DIRECTION('',(0.,0.,1.)); #412359=DIRECTION('center_axis',(0.,0.,-1.)); #412360=DIRECTION('ref_axis',(1.,0.,0.)); #412361=DIRECTION('center_axis',(0.,0.,1.)); #412362=DIRECTION('ref_axis',(1.,0.,0.)); #412363=DIRECTION('center_axis',(0.,0.,1.)); #412364=DIRECTION('ref_axis',(1.,0.,0.)); #412365=DIRECTION('',(0.,0.,1.)); #412366=DIRECTION('center_axis',(0.,0.,-1.)); #412367=DIRECTION('ref_axis',(1.,0.,0.)); #412368=DIRECTION('center_axis',(0.,0.,1.)); #412369=DIRECTION('ref_axis',(1.,0.,0.)); #412370=DIRECTION('center_axis',(0.,0.,1.)); #412371=DIRECTION('ref_axis',(1.,0.,0.)); #412372=DIRECTION('',(0.,0.,1.)); #412373=DIRECTION('center_axis',(0.,0.,-1.)); #412374=DIRECTION('ref_axis',(1.,0.,0.)); #412375=DIRECTION('center_axis',(0.,0.,1.)); #412376=DIRECTION('ref_axis',(1.,0.,0.)); #412377=DIRECTION('center_axis',(0.,0.,1.)); #412378=DIRECTION('ref_axis',(1.,0.,0.)); #412379=DIRECTION('',(0.,0.,1.)); #412380=DIRECTION('center_axis',(0.,0.,-1.)); #412381=DIRECTION('ref_axis',(1.,0.,0.)); #412382=DIRECTION('center_axis',(0.,0.,1.)); #412383=DIRECTION('ref_axis',(1.,0.,0.)); #412384=DIRECTION('center_axis',(0.,0.,1.)); #412385=DIRECTION('ref_axis',(1.,0.,0.)); #412386=DIRECTION('',(0.,0.,1.)); #412387=DIRECTION('center_axis',(0.,0.,-1.)); #412388=DIRECTION('ref_axis',(1.,0.,0.)); #412389=DIRECTION('center_axis',(0.,0.,1.)); #412390=DIRECTION('ref_axis',(1.,0.,0.)); #412391=DIRECTION('center_axis',(0.,0.,1.)); #412392=DIRECTION('ref_axis',(1.,0.,0.)); #412393=DIRECTION('',(0.,0.,1.)); #412394=DIRECTION('center_axis',(0.,0.,-1.)); #412395=DIRECTION('ref_axis',(1.,0.,0.)); #412396=DIRECTION('center_axis',(0.,0.,1.)); #412397=DIRECTION('ref_axis',(1.,0.,0.)); #412398=DIRECTION('center_axis',(0.,0.,1.)); #412399=DIRECTION('ref_axis',(1.,0.,0.)); #412400=DIRECTION('',(0.,0.,1.)); #412401=DIRECTION('center_axis',(0.,0.,-1.)); #412402=DIRECTION('ref_axis',(1.,0.,0.)); #412403=DIRECTION('center_axis',(0.,0.,1.)); #412404=DIRECTION('ref_axis',(1.,0.,0.)); #412405=DIRECTION('center_axis',(0.,0.,1.)); #412406=DIRECTION('ref_axis',(1.,0.,0.)); #412407=DIRECTION('',(0.,0.,1.)); #412408=DIRECTION('center_axis',(0.,0.,-1.)); #412409=DIRECTION('ref_axis',(1.,0.,0.)); #412410=DIRECTION('center_axis',(0.,0.,1.)); #412411=DIRECTION('ref_axis',(1.,0.,0.)); #412412=DIRECTION('center_axis',(0.,0.,1.)); #412413=DIRECTION('ref_axis',(1.,0.,0.)); #412414=DIRECTION('',(0.,0.,1.)); #412415=DIRECTION('center_axis',(0.,0.,-1.)); #412416=DIRECTION('ref_axis',(1.,0.,0.)); #412417=DIRECTION('center_axis',(0.,0.,1.)); #412418=DIRECTION('ref_axis',(1.,0.,0.)); #412419=DIRECTION('center_axis',(0.,0.,1.)); #412420=DIRECTION('ref_axis',(1.,0.,0.)); #412421=DIRECTION('',(0.,0.,1.)); #412422=DIRECTION('center_axis',(0.,0.,-1.)); #412423=DIRECTION('ref_axis',(1.,0.,0.)); #412424=DIRECTION('center_axis',(0.,0.,1.)); #412425=DIRECTION('ref_axis',(1.,0.,0.)); #412426=DIRECTION('center_axis',(0.,0.,1.)); #412427=DIRECTION('ref_axis',(1.,0.,0.)); #412428=DIRECTION('',(0.,0.,1.)); #412429=DIRECTION('center_axis',(0.,0.,-1.)); #412430=DIRECTION('ref_axis',(1.,0.,0.)); #412431=DIRECTION('center_axis',(0.,0.,1.)); #412432=DIRECTION('ref_axis',(1.,0.,0.)); #412433=DIRECTION('center_axis',(0.,0.,1.)); #412434=DIRECTION('ref_axis',(1.,0.,0.)); #412435=DIRECTION('',(0.,0.,1.)); #412436=DIRECTION('center_axis',(0.,0.,-1.)); #412437=DIRECTION('ref_axis',(1.,0.,0.)); #412438=DIRECTION('center_axis',(0.,0.,1.)); #412439=DIRECTION('ref_axis',(1.,0.,0.)); #412440=DIRECTION('center_axis',(0.,0.,1.)); #412441=DIRECTION('ref_axis',(1.,0.,0.)); #412442=DIRECTION('',(0.,0.,1.)); #412443=DIRECTION('center_axis',(0.,0.,-1.)); #412444=DIRECTION('ref_axis',(1.,0.,0.)); #412445=DIRECTION('center_axis',(0.,0.,1.)); #412446=DIRECTION('ref_axis',(1.,0.,0.)); #412447=DIRECTION('center_axis',(0.,0.,1.)); #412448=DIRECTION('ref_axis',(1.,0.,0.)); #412449=DIRECTION('',(0.,0.,1.)); #412450=DIRECTION('center_axis',(0.,0.,-1.)); #412451=DIRECTION('ref_axis',(1.,0.,0.)); #412452=DIRECTION('center_axis',(0.,0.,1.)); #412453=DIRECTION('ref_axis',(1.,0.,0.)); #412454=DIRECTION('center_axis',(0.,0.,1.)); #412455=DIRECTION('ref_axis',(1.,0.,0.)); #412456=DIRECTION('',(0.,0.,1.)); #412457=DIRECTION('center_axis',(0.,0.,-1.)); #412458=DIRECTION('ref_axis',(1.,0.,0.)); #412459=DIRECTION('center_axis',(0.156447762252232,-0.987686234431902,0.)); #412460=DIRECTION('ref_axis',(0.987686234431902,0.156447762252232,0.)); #412461=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #412462=DIRECTION('',(0.,0.,1.)); #412463=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #412464=DIRECTION('',(0.,0.,1.)); #412465=DIRECTION('center_axis',(0.,0.,1.)); #412466=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #412467=DIRECTION('center_axis',(0.,0.,1.)); #412468=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #412469=DIRECTION('center_axis',(0.,0.,1.)); #412470=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #412471=DIRECTION('',(0.,0.,1.)); #412472=DIRECTION('center_axis',(-1.,0.,0.)); #412473=DIRECTION('ref_axis',(0.,-1.,0.)); #412474=DIRECTION('',(0.,-1.,0.)); #412475=DIRECTION('',(0.,-1.,0.)); #412476=DIRECTION('',(0.,0.,1.)); #412477=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #412478=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #412479=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #412480=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #412481=DIRECTION('',(0.,0.,1.)); #412482=DIRECTION('center_axis',(0.,-1.,0.)); #412483=DIRECTION('ref_axis',(1.,0.,0.)); #412484=DIRECTION('',(1.,0.,0.)); #412485=DIRECTION('',(1.,0.,0.)); #412486=DIRECTION('',(0.,0.,1.)); #412487=DIRECTION('center_axis',(0.,0.,1.)); #412488=DIRECTION('ref_axis',(-0.707102614154257,-0.707110948194281,0.)); #412489=DIRECTION('center_axis',(0.,0.,1.)); #412490=DIRECTION('ref_axis',(-0.707102614154257,-0.707110948194281,0.)); #412491=DIRECTION('center_axis',(0.,0.,1.)); #412492=DIRECTION('ref_axis',(-0.707102614154257,-0.707110948194281,0.)); #412493=DIRECTION('',(0.,0.,1.)); #412494=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #412495=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #412496=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #412497=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #412498=DIRECTION('',(0.,0.,1.)); #412499=DIRECTION('center_axis',(0.,0.,1.)); #412500=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #412501=DIRECTION('center_axis',(0.,0.,1.)); #412502=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #412503=DIRECTION('center_axis',(0.,0.,1.)); #412504=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #412505=DIRECTION('',(0.,0.,1.)); #412506=DIRECTION('center_axis',(-1.,0.,0.)); #412507=DIRECTION('ref_axis',(0.,-1.,0.)); #412508=DIRECTION('',(0.,-1.,0.)); #412509=DIRECTION('',(0.,-1.,0.)); #412510=DIRECTION('',(0.,0.,1.)); #412511=DIRECTION('center_axis',(-0.829232066016633,-0.558904446833076, 0.)); #412512=DIRECTION('ref_axis',(0.558904446833076,-0.829232066016633,0.)); #412513=DIRECTION('',(0.558904446833076,-0.829232066016633,0.)); #412514=DIRECTION('',(0.558904446833076,-0.829232066016633,0.)); #412515=DIRECTION('',(0.,0.,1.)); #412516=DIRECTION('center_axis',(-0.979997328799711,-0.199010641789405, 0.)); #412517=DIRECTION('ref_axis',(0.199010641789405,-0.979997328799711,0.)); #412518=DIRECTION('',(0.199010641789405,-0.979997328799711,0.)); #412519=DIRECTION('',(0.199010641789405,-0.979997328799711,0.)); #412520=DIRECTION('',(0.,0.,1.)); #412521=DIRECTION('center_axis',(-1.,0.,0.)); #412522=DIRECTION('ref_axis',(0.,-1.,0.)); #412523=DIRECTION('',(0.,-1.,0.)); #412524=DIRECTION('',(0.,-1.,0.)); #412525=DIRECTION('',(0.,0.,1.)); #412526=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #412527=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #412528=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #412529=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #412530=DIRECTION('',(0.,0.,1.)); #412531=DIRECTION('center_axis',(0.,-1.,0.)); #412532=DIRECTION('ref_axis',(1.,0.,0.)); #412533=DIRECTION('',(1.,0.,0.)); #412534=DIRECTION('',(1.,0.,0.)); #412535=DIRECTION('',(0.,0.,1.)); #412536=DIRECTION('center_axis',(-0.191191000932891,-0.981552851945467, 0.)); #412537=DIRECTION('ref_axis',(0.981552851945467,-0.191191000932891,0.)); #412538=DIRECTION('',(0.981552851945467,-0.191191000932891,0.)); #412539=DIRECTION('',(0.981552851945467,-0.191191000932891,0.)); #412540=DIRECTION('',(0.,0.,1.)); #412541=DIRECTION('center_axis',(-0.552227809242786,-0.833693256959005, 0.)); #412542=DIRECTION('ref_axis',(0.833693256959005,-0.552227809242786,0.)); #412543=DIRECTION('',(0.833693256959005,-0.552227809242786,0.)); #412544=DIRECTION('',(0.833693256959005,-0.552227809242786,0.)); #412545=DIRECTION('',(0.,0.,1.)); #412546=DIRECTION('center_axis',(-0.707106781186545,-0.70710678118655,0.)); #412547=DIRECTION('ref_axis',(0.70710678118655,-0.707106781186545,0.)); #412548=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #412549=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #412550=DIRECTION('',(0.,0.,1.)); #412551=DIRECTION('center_axis',(-0.829258128536064,-0.558865776601918, 0.)); #412552=DIRECTION('ref_axis',(0.558865776601918,-0.829258128536064,0.)); #412553=DIRECTION('',(0.558865776601918,-0.829258128536064,0.)); #412554=DIRECTION('',(0.558865776601918,-0.829258128536064,0.)); #412555=DIRECTION('',(0.,0.,1.)); #412556=DIRECTION('center_axis',(-0.979998112340235,-0.199006783325535, 0.)); #412557=DIRECTION('ref_axis',(0.199006783325535,-0.979998112340235,0.)); #412558=DIRECTION('',(0.199006783325535,-0.979998112340235,0.)); #412559=DIRECTION('',(0.199006783325535,-0.979998112340235,0.)); #412560=DIRECTION('',(0.,0.,1.)); #412561=DIRECTION('center_axis',(-1.,0.,0.)); #412562=DIRECTION('ref_axis',(0.,-1.,0.)); #412563=DIRECTION('',(0.,-1.,0.)); #412564=DIRECTION('',(0.,-1.,0.)); #412565=DIRECTION('',(0.,0.,1.)); #412566=DIRECTION('center_axis',(-0.981552851945484,0.191191000932803,0.)); #412567=DIRECTION('ref_axis',(-0.191191000932803,-0.981552851945484,0.)); #412568=DIRECTION('',(-0.191191000932803,-0.981552851945484,0.)); #412569=DIRECTION('',(-0.191191000932803,-0.981552851945484,0.)); #412570=DIRECTION('',(0.,0.,1.)); #412571=DIRECTION('center_axis',(-0.833693256958937,0.552227809242888,0.)); #412572=DIRECTION('ref_axis',(-0.552227809242888,-0.833693256958937,0.)); #412573=DIRECTION('',(-0.552227809242888,-0.833693256958937,0.)); #412574=DIRECTION('',(-0.552227809242888,-0.833693256958937,0.)); #412575=DIRECTION('',(0.,0.,1.)); #412576=DIRECTION('center_axis',(-0.707106781186566,0.707106781186529,0.)); #412577=DIRECTION('ref_axis',(-0.707106781186529,-0.707106781186566,0.)); #412578=DIRECTION('',(-0.707106781186529,-0.707106781186566,0.)); #412579=DIRECTION('',(-0.707106781186529,-0.707106781186566,0.)); #412580=DIRECTION('',(0.,0.,1.)); #412581=DIRECTION('center_axis',(-0.558865776601961,0.829258128536035,0.)); #412582=DIRECTION('ref_axis',(-0.829258128536035,-0.558865776601961,0.)); #412583=DIRECTION('',(-0.829258128536035,-0.558865776601961,0.)); #412584=DIRECTION('',(-0.829258128536035,-0.558865776601961,0.)); #412585=DIRECTION('',(0.,0.,1.)); #412586=DIRECTION('center_axis',(-0.199006783325535,0.979998112340235,0.)); #412587=DIRECTION('ref_axis',(-0.979998112340235,-0.199006783325535,0.)); #412588=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #412589=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #412590=DIRECTION('',(0.,0.,1.)); #412591=DIRECTION('center_axis',(0.,1.,0.)); #412592=DIRECTION('ref_axis',(-1.,0.,0.)); #412593=DIRECTION('',(-1.,0.,0.)); #412594=DIRECTION('',(-1.,0.,0.)); #412595=DIRECTION('',(0.,0.,1.)); #412596=DIRECTION('center_axis',(0.183314787014818,0.983054265471501,0.)); #412597=DIRECTION('ref_axis',(-0.983054265471501,0.183314787014818,0.)); #412598=DIRECTION('',(-0.983054265471501,0.183314787014818,0.)); #412599=DIRECTION('',(-0.983054265471501,0.183314787014818,0.)); #412600=DIRECTION('',(0.,0.,1.)); #412601=DIRECTION('center_axis',(0.538928498327793,0.842351514327689,0.)); #412602=DIRECTION('ref_axis',(-0.842351514327689,0.538928498327792,0.)); #412603=DIRECTION('',(-0.842351514327689,0.538928498327792,0.)); #412604=DIRECTION('',(-0.842351514327689,0.538928498327792,0.)); #412605=DIRECTION('',(0.,0.,1.)); #412606=DIRECTION('center_axis',(0.82476628744185,0.565473758099692,0.)); #412607=DIRECTION('ref_axis',(-0.565473758099692,0.82476628744185,0.)); #412608=DIRECTION('',(-0.565473758099692,0.82476628744185,0.)); #412609=DIRECTION('',(-0.565473758099692,0.82476628744185,0.)); #412610=DIRECTION('',(0.,0.,1.)); #412611=DIRECTION('center_axis',(0.97999732879971,0.199010641789413,0.)); #412612=DIRECTION('ref_axis',(-0.199010641789413,0.97999732879971,0.)); #412613=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #412614=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #412615=DIRECTION('',(0.,0.,1.)); #412616=DIRECTION('center_axis',(1.,0.,0.)); #412617=DIRECTION('ref_axis',(0.,1.,0.)); #412618=DIRECTION('',(0.,1.,0.)); #412619=DIRECTION('',(0.,1.,0.)); #412620=DIRECTION('',(0.,0.,1.)); #412621=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #412622=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #412623=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #412624=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #412625=DIRECTION('',(0.,0.,1.)); #412626=DIRECTION('center_axis',(0.,1.,0.)); #412627=DIRECTION('ref_axis',(-1.,0.,0.)); #412628=DIRECTION('',(-1.,0.,0.)); #412629=DIRECTION('',(-1.,0.,0.)); #412630=DIRECTION('',(0.,0.,1.)); #412631=DIRECTION('center_axis',(-0.707106781186521,0.707106781186574,0.)); #412632=DIRECTION('ref_axis',(-0.707106781186574,-0.707106781186521,0.)); #412633=DIRECTION('',(-0.707106781186574,-0.707106781186521,0.)); #412634=DIRECTION('',(-0.707106781186574,-0.707106781186521,0.)); #412635=DIRECTION('',(0.,0.,1.)); #412636=DIRECTION('center_axis',(-1.,0.,0.)); #412637=DIRECTION('ref_axis',(0.,-1.,0.)); #412638=DIRECTION('',(0.,-1.,0.)); #412639=DIRECTION('',(0.,-1.,0.)); #412640=DIRECTION('',(0.,0.,1.)); #412641=DIRECTION('center_axis',(-0.983054265471488,0.183314787014884,0.)); #412642=DIRECTION('ref_axis',(-0.183314787014884,-0.983054265471488,0.)); #412643=DIRECTION('',(-0.183314787014884,-0.983054265471488,0.)); #412644=DIRECTION('',(-0.183314787014884,-0.983054265471488,0.)); #412645=DIRECTION('',(0.,0.,1.)); #412646=DIRECTION('center_axis',(-0.842351514327663,0.538928498327832,0.)); #412647=DIRECTION('ref_axis',(-0.538928498327832,-0.842351514327663,0.)); #412648=DIRECTION('',(-0.538928498327832,-0.842351514327663,0.)); #412649=DIRECTION('',(-0.538928498327832,-0.842351514327663,0.)); #412650=DIRECTION('',(0.,0.,1.)); #412651=DIRECTION('center_axis',(-0.565473758099792,0.82476628744178,0.)); #412652=DIRECTION('ref_axis',(-0.82476628744178,-0.565473758099792,0.)); #412653=DIRECTION('',(-0.82476628744178,-0.565473758099792,0.)); #412654=DIRECTION('',(-0.82476628744178,-0.565473758099792,0.)); #412655=DIRECTION('',(0.,0.,1.)); #412656=DIRECTION('center_axis',(-0.199010641789413,0.97999732879971,0.)); #412657=DIRECTION('ref_axis',(-0.97999732879971,-0.199010641789413,0.)); #412658=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #412659=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #412660=DIRECTION('',(0.,0.,1.)); #412661=DIRECTION('center_axis',(0.,1.,0.)); #412662=DIRECTION('ref_axis',(-1.,0.,0.)); #412663=DIRECTION('',(-1.,0.,0.)); #412664=DIRECTION('',(-1.,0.,0.)); #412665=DIRECTION('',(0.,0.,1.)); #412666=DIRECTION('center_axis',(0.191194865688728,0.981552099144141,0.)); #412667=DIRECTION('ref_axis',(-0.981552099144141,0.191194865688728,0.)); #412668=DIRECTION('',(-0.981552099144141,0.191194865688728,0.)); #412669=DIRECTION('',(-0.981552099144141,0.191194865688728,0.)); #412670=DIRECTION('',(0.,0.,1.)); #412671=DIRECTION('center_axis',(0.552218701081331,0.833699290017718,0.)); #412672=DIRECTION('ref_axis',(-0.833699290017718,0.552218701081331,0.)); #412673=DIRECTION('',(-0.833699290017718,0.552218701081331,0.)); #412674=DIRECTION('',(-0.833699290017718,0.552218701081331,0.)); #412675=DIRECTION('',(0.,0.,1.)); #412676=DIRECTION('center_axis',(0.707106781186546,0.707106781186549,0.)); #412677=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #412678=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #412679=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #412680=DIRECTION('',(0.,0.,1.)); #412681=DIRECTION('center_axis',(0.600315735780842,0.799763100782917,0.)); #412682=DIRECTION('ref_axis',(-0.799763100782917,0.600315735780842,0.)); #412683=DIRECTION('',(-0.799763100782917,0.600315735780842,0.)); #412684=DIRECTION('',(-0.799763100782917,0.600315735780842,0.)); #412685=DIRECTION('',(0.,0.,1.)); #412686=DIRECTION('center_axis',(0.125280629073855,0.992121345390199,0.)); #412687=DIRECTION('ref_axis',(-0.992121345390199,0.125280629073855,0.)); #412688=DIRECTION('',(-0.992121345390199,0.125280629073855,0.)); #412689=DIRECTION('',(-0.992121345390199,0.125280629073855,0.)); #412690=DIRECTION('',(0.,0.,1.)); #412691=DIRECTION('center_axis',(0.,1.,0.)); #412692=DIRECTION('ref_axis',(-1.,0.,0.)); #412693=DIRECTION('',(-1.,0.,0.)); #412694=DIRECTION('',(-1.,0.,0.)); #412695=DIRECTION('',(0.,0.,1.)); #412696=DIRECTION('center_axis',(0.183314787014809,0.983054265471502,0.)); #412697=DIRECTION('ref_axis',(-0.983054265471502,0.183314787014809,0.)); #412698=DIRECTION('',(-0.983054265471502,0.183314787014809,0.)); #412699=DIRECTION('',(-0.983054265471502,0.183314787014809,0.)); #412700=DIRECTION('',(0.,0.,1.)); #412701=DIRECTION('center_axis',(0.538928498327917,0.842351514327609,0.)); #412702=DIRECTION('ref_axis',(-0.842351514327609,0.538928498327917,0.)); #412703=DIRECTION('',(-0.842351514327609,0.538928498327917,0.)); #412704=DIRECTION('',(-0.842351514327609,0.538928498327917,0.)); #412705=DIRECTION('',(0.,0.,1.)); #412706=DIRECTION('center_axis',(0.824766287441793,0.565473758099774,0.)); #412707=DIRECTION('ref_axis',(-0.565473758099774,0.824766287441793,0.)); #412708=DIRECTION('',(-0.565473758099774,0.824766287441793,0.)); #412709=DIRECTION('',(-0.565473758099774,0.824766287441793,0.)); #412710=DIRECTION('',(0.,0.,1.)); #412711=DIRECTION('center_axis',(0.979997328799717,0.199010641789378,0.)); #412712=DIRECTION('ref_axis',(-0.199010641789378,0.979997328799717,0.)); #412713=DIRECTION('',(-0.199010641789378,0.979997328799717,0.)); #412714=DIRECTION('',(-0.199010641789378,0.979997328799717,0.)); #412715=DIRECTION('',(0.,0.,1.)); #412716=DIRECTION('center_axis',(1.,0.,0.)); #412717=DIRECTION('ref_axis',(0.,1.,0.)); #412718=DIRECTION('',(0.,1.,0.)); #412719=DIRECTION('',(0.,1.,0.)); #412720=DIRECTION('',(0.,0.,1.)); #412721=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #412722=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #412723=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #412724=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #412725=DIRECTION('',(0.,0.,1.)); #412726=DIRECTION('center_axis',(0.,1.,0.)); #412727=DIRECTION('ref_axis',(-1.,0.,0.)); #412728=DIRECTION('',(-1.,0.,0.)); #412729=DIRECTION('',(-1.,0.,0.)); #412730=DIRECTION('',(0.,0.,1.)); #412731=DIRECTION('center_axis',(-0.707104593400163,0.707108968966163,0.)); #412732=DIRECTION('ref_axis',(-0.707108968966163,-0.707104593400163,0.)); #412733=DIRECTION('',(-0.707108968966163,-0.707104593400163,0.)); #412734=DIRECTION('',(-0.707108968966163,-0.707104593400163,0.)); #412735=DIRECTION('',(0.,0.,1.)); #412736=DIRECTION('center_axis',(-0.558865776602066,0.829258128535964,0.)); #412737=DIRECTION('ref_axis',(-0.829258128535964,-0.558865776602066,0.)); #412738=DIRECTION('',(-0.829258128535964,-0.558865776602066,0.)); #412739=DIRECTION('',(-0.829258128535964,-0.558865776602066,0.)); #412740=DIRECTION('',(0.,0.,1.)); #412741=DIRECTION('center_axis',(-0.199006783325366,0.979998112340269,0.)); #412742=DIRECTION('ref_axis',(-0.979998112340269,-0.199006783325366,0.)); #412743=DIRECTION('',(-0.979998112340269,-0.199006783325366,0.)); #412744=DIRECTION('',(-0.979998112340269,-0.199006783325366,0.)); #412745=DIRECTION('',(0.,0.,1.)); #412746=DIRECTION('center_axis',(0.,1.,0.)); #412747=DIRECTION('ref_axis',(-1.,0.,0.)); #412748=DIRECTION('',(-1.,0.,0.)); #412749=DIRECTION('',(-1.,0.,0.)); #412750=DIRECTION('',(0.,0.,1.)); #412751=DIRECTION('center_axis',(0.191191000932715,0.981552851945501,0.)); #412752=DIRECTION('ref_axis',(-0.981552851945501,0.191191000932715,0.)); #412753=DIRECTION('',(-0.981552851945501,0.191191000932715,0.)); #412754=DIRECTION('',(-0.981552851945501,0.191191000932715,0.)); #412755=DIRECTION('',(0.,0.,1.)); #412756=DIRECTION('center_axis',(0.552227809242928,0.833693256958911,0.)); #412757=DIRECTION('ref_axis',(-0.833693256958911,0.552227809242928,0.)); #412758=DIRECTION('',(-0.833693256958911,0.552227809242928,0.)); #412759=DIRECTION('',(-0.833693256958911,0.552227809242928,0.)); #412760=DIRECTION('',(0.,0.,1.)); #412761=DIRECTION('center_axis',(0.707106781186542,0.707106781186553,0.)); #412762=DIRECTION('ref_axis',(-0.707106781186553,0.707106781186542,0.)); #412763=DIRECTION('',(-0.707106781186553,0.707106781186542,0.)); #412764=DIRECTION('',(-0.707106781186553,0.707106781186542,0.)); #412765=DIRECTION('',(0.,0.,1.)); #412766=DIRECTION('center_axis',(0.829258128536064,0.558865776601918,0.)); #412767=DIRECTION('ref_axis',(-0.558865776601918,0.829258128536064,0.)); #412768=DIRECTION('',(-0.558865776601918,0.829258128536064,0.)); #412769=DIRECTION('',(-0.558865776601918,0.829258128536064,0.)); #412770=DIRECTION('',(0.,0.,1.)); #412771=DIRECTION('center_axis',(0.979998112340228,0.199006783325569,0.)); #412772=DIRECTION('ref_axis',(-0.199006783325569,0.979998112340228,0.)); #412773=DIRECTION('',(-0.199006783325569,0.979998112340228,0.)); #412774=DIRECTION('',(-0.199006783325569,0.979998112340228,0.)); #412775=DIRECTION('',(0.,0.,1.)); #412776=DIRECTION('center_axis',(1.,0.,0.)); #412777=DIRECTION('ref_axis',(0.,1.,0.)); #412778=DIRECTION('',(0.,1.,0.)); #412779=DIRECTION('',(0.,1.,0.)); #412780=DIRECTION('',(0.,0.,1.)); #412781=DIRECTION('center_axis',(0.981552851945467,-0.191191000932891,0.)); #412782=DIRECTION('ref_axis',(0.191191000932891,0.981552851945467,0.)); #412783=DIRECTION('',(0.191191000932891,0.981552851945467,0.)); #412784=DIRECTION('',(0.191191000932891,0.981552851945467,0.)); #412785=DIRECTION('',(0.,0.,1.)); #412786=DIRECTION('center_axis',(0.833693256958978,-0.552227809242827,0.)); #412787=DIRECTION('ref_axis',(0.552227809242827,0.833693256958978,0.)); #412788=DIRECTION('',(0.552227809242827,0.833693256958978,0.)); #412789=DIRECTION('',(0.552227809242827,0.833693256958978,0.)); #412790=DIRECTION('',(0.,0.,1.)); #412791=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #412792=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #412793=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #412794=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #412795=DIRECTION('',(0.,0.,1.)); #412796=DIRECTION('center_axis',(0.558865776602066,-0.829258128535964,0.)); #412797=DIRECTION('ref_axis',(0.829258128535964,0.558865776602066,0.)); #412798=DIRECTION('',(0.829258128535964,0.558865776602066,0.)); #412799=DIRECTION('',(0.829258128535964,0.558865776602066,0.)); #412800=DIRECTION('',(0.,0.,1.)); #412801=DIRECTION('center_axis',(0.199006783325383,-0.979998112340266,0.)); #412802=DIRECTION('ref_axis',(0.979998112340266,0.199006783325383,0.)); #412803=DIRECTION('',(0.979998112340266,0.199006783325383,0.)); #412804=DIRECTION('',(0.979998112340266,0.199006783325383,0.)); #412805=DIRECTION('',(0.,0.,1.)); #412806=DIRECTION('center_axis',(0.,-1.,0.)); #412807=DIRECTION('ref_axis',(1.,0.,0.)); #412808=DIRECTION('',(1.,0.,0.)); #412809=DIRECTION('',(1.,0.,0.)); #412810=DIRECTION('',(0.,0.,1.)); #412811=DIRECTION('center_axis',(0.707105793340279,-0.707107769031436,0.)); #412812=DIRECTION('ref_axis',(0.707107769031436,0.707105793340279,0.)); #412813=DIRECTION('',(0.707107769031436,0.707105793340279,0.)); #412814=DIRECTION('',(0.707107769031436,0.707105793340279,0.)); #412815=DIRECTION('',(0.,0.,1.)); #412816=DIRECTION('center_axis',(0.558865776602108,-0.829258128535936,0.)); #412817=DIRECTION('ref_axis',(0.829258128535936,0.558865776602108,0.)); #412818=DIRECTION('',(0.829258128535936,0.558865776602108,0.)); #412819=DIRECTION('',(0.829258128535936,0.558865776602108,0.)); #412820=DIRECTION('',(0.,0.,1.)); #412821=DIRECTION('center_axis',(0.199006783325366,-0.979998112340269,0.)); #412822=DIRECTION('ref_axis',(0.979998112340269,0.199006783325366,0.)); #412823=DIRECTION('',(0.979998112340269,0.199006783325366,0.)); #412824=DIRECTION('',(0.979998112340269,0.199006783325366,0.)); #412825=DIRECTION('',(0.,0.,1.)); #412826=DIRECTION('center_axis',(0.,-1.,0.)); #412827=DIRECTION('ref_axis',(1.,0.,0.)); #412828=DIRECTION('',(1.,0.,0.)); #412829=DIRECTION('',(1.,0.,0.)); #412830=DIRECTION('',(0.,0.,1.)); #412831=DIRECTION('center_axis',(0.70710678118667,-0.707106781186425,0.)); #412832=DIRECTION('ref_axis',(0.707106781186425,0.70710678118667,0.)); #412833=DIRECTION('',(0.707106781186425,0.70710678118667,0.)); #412834=DIRECTION('',(0.707106781186425,0.70710678118667,0.)); #412835=DIRECTION('',(0.,0.,1.)); #412836=DIRECTION('center_axis',(0.57202368617132,-0.820237101367023,0.)); #412837=DIRECTION('ref_axis',(0.820237101367023,0.57202368617132,0.)); #412838=DIRECTION('',(0.820237101367023,0.57202368617132,0.)); #412839=DIRECTION('',(0.820237101367023,0.57202368617132,0.)); #412840=DIRECTION('',(0.,0.,1.)); #412841=DIRECTION('center_axis',(0.230101081189212,-0.973166734139405,0.)); #412842=DIRECTION('ref_axis',(0.973166734139405,0.230101081189211,0.)); #412843=DIRECTION('',(0.973166734139405,0.230101081189212,0.)); #412844=DIRECTION('',(0.973166734139405,0.230101081189212,0.)); #412845=DIRECTION('',(0.,0.,1.)); #412846=DIRECTION('center_axis',(-0.167361088023315,-0.985895667003184, 0.)); #412847=DIRECTION('ref_axis',(0.985895667003184,-0.167361088023315,0.)); #412848=DIRECTION('',(0.985895667003184,-0.167361088023315,0.)); #412849=DIRECTION('',(0.985895667003184,-0.167361088023315,0.)); #412850=DIRECTION('',(0.,0.,1.)); #412851=DIRECTION('center_axis',(1.,0.,0.)); #412852=DIRECTION('ref_axis',(0.,1.,0.)); #412853=DIRECTION('',(0.,1.,0.)); #412854=DIRECTION('',(0.,1.,0.)); #412855=DIRECTION('',(0.,0.,1.)); #412856=DIRECTION('center_axis',(0.707106781186542,0.707106781186553,0.)); #412857=DIRECTION('ref_axis',(-0.707106781186553,0.707106781186542,0.)); #412858=DIRECTION('',(-0.707106781186553,0.707106781186542,0.)); #412859=DIRECTION('',(-0.707106781186553,0.707106781186542,0.)); #412860=DIRECTION('',(0.,0.,1.)); #412861=DIRECTION('center_axis',(0.,1.,0.)); #412862=DIRECTION('ref_axis',(-1.,0.,0.)); #412863=DIRECTION('',(-1.,0.,0.)); #412864=DIRECTION('',(-1.,0.,0.)); #412865=DIRECTION('',(0.,0.,1.)); #412866=DIRECTION('center_axis',(0.,0.,1.)); #412867=DIRECTION('ref_axis',(0.707102614154214,0.707110948194325,0.)); #412868=DIRECTION('center_axis',(0.,0.,1.)); #412869=DIRECTION('ref_axis',(0.707102614154214,0.707110948194325,0.)); #412870=DIRECTION('center_axis',(0.,0.,1.)); #412871=DIRECTION('ref_axis',(0.707102614154214,0.707110948194325,0.)); #412872=DIRECTION('',(0.,0.,1.)); #412873=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,0.)); #412874=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.)); #412875=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #412876=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #412877=DIRECTION('',(0.,0.,1.)); #412878=DIRECTION('center_axis',(0.,0.,1.)); #412879=DIRECTION('ref_axis',(0.999999999982576,-5.90313861199058E-6,0.)); #412880=DIRECTION('center_axis',(0.,0.,1.)); #412881=DIRECTION('ref_axis',(0.999999999982576,-5.90313861199058E-6,0.)); #412882=DIRECTION('center_axis',(0.,0.,1.)); #412883=DIRECTION('ref_axis',(0.999999999982576,-5.90313861199058E-6,0.)); #412884=DIRECTION('',(0.,0.,1.)); #412885=DIRECTION('center_axis',(1.,0.,0.)); #412886=DIRECTION('ref_axis',(0.,1.,0.)); #412887=DIRECTION('',(0.,1.,0.)); #412888=DIRECTION('',(0.,1.,0.)); #412889=DIRECTION('',(0.,0.,1.)); #412890=DIRECTION('center_axis',(0.,0.,1.)); #412891=DIRECTION('ref_axis',(0.234004121468812,-0.972235604745892,0.)); #412892=DIRECTION('center_axis',(0.,0.,1.)); #412893=DIRECTION('ref_axis',(0.234004121468812,-0.972235604745892,0.)); #412894=DIRECTION('center_axis',(0.,0.,1.)); #412895=DIRECTION('ref_axis',(0.234004121468812,-0.972235604745892,0.)); #412896=DIRECTION('center_axis',(0.,0.,1.)); #412897=DIRECTION('ref_axis',(1.,0.,0.)); #412898=DIRECTION('center_axis',(0.,0.,1.)); #412899=DIRECTION('ref_axis',(1.,0.,0.)); #412900=DIRECTION('center_axis',(0.,0.,1.)); #412901=DIRECTION('ref_axis',(1.,0.,0.)); #412902=DIRECTION('center_axis',(0.,0.,1.)); #412903=DIRECTION('ref_axis',(1.,0.,0.)); #412904=DIRECTION('',(0.,0.,1.)); #412905=DIRECTION('center_axis',(0.,0.,-1.)); #412906=DIRECTION('ref_axis',(1.,0.,0.)); #412907=DIRECTION('center_axis',(1.,0.,0.)); #412908=DIRECTION('ref_axis',(0.,1.,0.)); #412909=DIRECTION('',(0.,1.,0.)); #412910=DIRECTION('',(0.,0.,1.)); #412911=DIRECTION('',(0.,1.,0.)); #412912=DIRECTION('',(0.,0.,1.)); #412913=DIRECTION('center_axis',(0.,-1.,0.)); #412914=DIRECTION('ref_axis',(1.,0.,0.)); #412915=DIRECTION('',(1.,0.,0.)); #412916=DIRECTION('',(1.,0.,0.)); #412917=DIRECTION('',(0.,0.,1.)); #412918=DIRECTION('center_axis',(-1.,0.,0.)); #412919=DIRECTION('ref_axis',(0.,-1.,0.)); #412920=DIRECTION('',(0.,-1.,0.)); #412921=DIRECTION('',(0.,-1.,0.)); #412922=DIRECTION('',(0.,0.,1.)); #412923=DIRECTION('center_axis',(0.,1.,0.)); #412924=DIRECTION('ref_axis',(-1.,0.,0.)); #412925=DIRECTION('',(-1.,0.,0.)); #412926=DIRECTION('',(-1.,0.,0.)); #412927=DIRECTION('',(0.,0.,1.)); #412928=DIRECTION('center_axis',(-1.,0.,0.)); #412929=DIRECTION('ref_axis',(0.,-1.,0.)); #412930=DIRECTION('',(0.,-1.,0.)); #412931=DIRECTION('',(0.,-1.,0.)); #412932=DIRECTION('',(0.,0.,1.)); #412933=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #412934=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #412935=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #412936=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #412937=DIRECTION('',(0.,0.,1.)); #412938=DIRECTION('center_axis',(0.,0.,1.)); #412939=DIRECTION('ref_axis',(-0.999999999982576,5.90313871689288E-6,0.)); #412940=DIRECTION('center_axis',(0.,0.,1.)); #412941=DIRECTION('ref_axis',(-0.999999999982576,5.90313871689288E-6,0.)); #412942=DIRECTION('center_axis',(0.,0.,1.)); #412943=DIRECTION('ref_axis',(-0.999999999982576,5.90313871689288E-6,0.)); #412944=DIRECTION('',(0.,0.,1.)); #412945=DIRECTION('center_axis',(-1.,0.,0.)); #412946=DIRECTION('ref_axis',(0.,-1.,0.)); #412947=DIRECTION('',(0.,-1.,0.)); #412948=DIRECTION('',(0.,-1.,0.)); #412949=DIRECTION('',(0.,0.,1.)); #412950=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #412951=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #412952=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #412953=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #412954=DIRECTION('',(0.,0.,1.)); #412955=DIRECTION('center_axis',(0.,0.,1.)); #412956=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #412957=DIRECTION('center_axis',(0.,0.,1.)); #412958=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #412959=DIRECTION('center_axis',(0.,0.,1.)); #412960=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #412961=DIRECTION('',(0.,0.,1.)); #412962=DIRECTION('center_axis',(-1.,0.,0.)); #412963=DIRECTION('ref_axis',(0.,-1.,0.)); #412964=DIRECTION('',(0.,-1.,0.)); #412965=DIRECTION('',(0.,-1.,0.)); #412966=DIRECTION('',(0.,0.,1.)); #412967=DIRECTION('center_axis',(0.,0.,1.)); #412968=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #412969=DIRECTION('center_axis',(0.,0.,1.)); #412970=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #412971=DIRECTION('center_axis',(0.,0.,1.)); #412972=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #412973=DIRECTION('',(0.,0.,1.)); #412974=DIRECTION('center_axis',(0.,0.,1.)); #412975=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #412976=DIRECTION('center_axis',(0.,0.,1.)); #412977=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #412978=DIRECTION('center_axis',(0.,0.,1.)); #412979=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #412980=DIRECTION('',(0.,0.,1.)); #412981=DIRECTION('center_axis',(1.,0.,0.)); #412982=DIRECTION('ref_axis',(0.,1.,0.)); #412983=DIRECTION('',(0.,1.,0.)); #412984=DIRECTION('',(0.,1.,0.)); #412985=DIRECTION('',(0.,0.,1.)); #412986=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #412987=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #412988=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #412989=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #412990=DIRECTION('',(0.,0.,1.)); #412991=DIRECTION('center_axis',(0.,0.,1.)); #412992=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192572E-6,0.)); #412993=DIRECTION('center_axis',(0.,0.,1.)); #412994=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192572E-6,0.)); #412995=DIRECTION('center_axis',(0.,0.,1.)); #412996=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192572E-6,0.)); #412997=DIRECTION('',(0.,0.,1.)); #412998=DIRECTION('center_axis',(1.,0.,0.)); #412999=DIRECTION('ref_axis',(0.,1.,0.)); #413000=DIRECTION('',(0.,1.,0.)); #413001=DIRECTION('',(0.,1.,0.)); #413002=DIRECTION('',(0.,0.,1.)); #413003=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #413004=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #413005=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #413006=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #413007=DIRECTION('',(0.,0.,1.)); #413008=DIRECTION('center_axis',(0.,0.,1.)); #413009=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #413010=DIRECTION('center_axis',(0.,0.,1.)); #413011=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #413012=DIRECTION('center_axis',(0.,0.,1.)); #413013=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #413014=DIRECTION('',(0.,0.,1.)); #413015=DIRECTION('center_axis',(1.,0.,0.)); #413016=DIRECTION('ref_axis',(0.,1.,0.)); #413017=DIRECTION('',(0.,1.,0.)); #413018=DIRECTION('',(0.,1.,0.)); #413019=DIRECTION('',(0.,0.,1.)); #413020=DIRECTION('center_axis',(0.,1.,0.)); #413021=DIRECTION('ref_axis',(-1.,0.,0.)); #413022=DIRECTION('',(-1.,0.,0.)); #413023=DIRECTION('',(-1.,0.,0.)); #413024=DIRECTION('center_axis',(0.,0.,1.)); #413025=DIRECTION('ref_axis',(1.,0.,0.)); #413026=DIRECTION('center_axis',(0.,0.,1.)); #413027=DIRECTION('ref_axis',(1.,0.,0.)); #413028=DIRECTION('center_axis',(0.,0.,1.)); #413029=DIRECTION('ref_axis',(1.,0.,0.)); #413030=DIRECTION('center_axis',(0.,0.,1.)); #413031=DIRECTION('ref_axis',(1.,0.,0.)); #413032=DIRECTION('',(0.,0.,1.)); #413033=DIRECTION('center_axis',(0.,0.,-1.)); #413034=DIRECTION('ref_axis',(1.,0.,0.)); #413035=DIRECTION('center_axis',(0.,0.,1.)); #413036=DIRECTION('ref_axis',(1.,0.,0.)); #413037=DIRECTION('center_axis',(0.,0.,1.)); #413038=DIRECTION('ref_axis',(1.,0.,0.)); #413039=DIRECTION('',(0.,0.,1.)); #413040=DIRECTION('center_axis',(0.,0.,-1.)); #413041=DIRECTION('ref_axis',(1.,0.,0.)); #413042=DIRECTION('center_axis',(0.,0.,1.)); #413043=DIRECTION('ref_axis',(1.,0.,0.)); #413044=DIRECTION('center_axis',(0.,0.,1.)); #413045=DIRECTION('ref_axis',(1.,0.,0.)); #413046=DIRECTION('',(0.,0.,1.)); #413047=DIRECTION('center_axis',(0.,0.,-1.)); #413048=DIRECTION('ref_axis',(1.,0.,0.)); #413049=DIRECTION('center_axis',(0.,0.,1.)); #413050=DIRECTION('ref_axis',(1.,0.,0.)); #413051=DIRECTION('center_axis',(0.,0.,1.)); #413052=DIRECTION('ref_axis',(1.,0.,0.)); #413053=DIRECTION('',(0.,0.,1.)); #413054=DIRECTION('center_axis',(0.,0.,-1.)); #413055=DIRECTION('ref_axis',(1.,0.,0.)); #413056=DIRECTION('center_axis',(0.,0.,1.)); #413057=DIRECTION('ref_axis',(1.,0.,0.)); #413058=DIRECTION('center_axis',(0.,0.,1.)); #413059=DIRECTION('ref_axis',(1.,0.,0.)); #413060=DIRECTION('',(0.,0.,1.)); #413061=DIRECTION('center_axis',(0.,0.,-1.)); #413062=DIRECTION('ref_axis',(1.,0.,0.)); #413063=DIRECTION('center_axis',(0.,0.,1.)); #413064=DIRECTION('ref_axis',(1.,0.,0.)); #413065=DIRECTION('center_axis',(0.,0.,1.)); #413066=DIRECTION('ref_axis',(1.,0.,0.)); #413067=DIRECTION('',(0.,0.,1.)); #413068=DIRECTION('center_axis',(0.,0.,-1.)); #413069=DIRECTION('ref_axis',(1.,0.,0.)); #413070=DIRECTION('center_axis',(0.,0.,1.)); #413071=DIRECTION('ref_axis',(1.,0.,0.)); #413072=DIRECTION('center_axis',(0.,0.,1.)); #413073=DIRECTION('ref_axis',(1.,0.,0.)); #413074=DIRECTION('',(0.,0.,1.)); #413075=DIRECTION('center_axis',(0.,0.,-1.)); #413076=DIRECTION('ref_axis',(1.,0.,0.)); #413077=DIRECTION('center_axis',(0.,0.,1.)); #413078=DIRECTION('ref_axis',(1.,0.,0.)); #413079=DIRECTION('center_axis',(0.,0.,1.)); #413080=DIRECTION('ref_axis',(1.,0.,0.)); #413081=DIRECTION('',(0.,0.,1.)); #413082=DIRECTION('center_axis',(0.,0.,-1.)); #413083=DIRECTION('ref_axis',(1.,0.,0.)); #413084=DIRECTION('center_axis',(0.,0.,1.)); #413085=DIRECTION('ref_axis',(1.,0.,0.)); #413086=DIRECTION('center_axis',(0.,0.,1.)); #413087=DIRECTION('ref_axis',(1.,0.,0.)); #413088=DIRECTION('',(0.,0.,1.)); #413089=DIRECTION('center_axis',(0.,0.,-1.)); #413090=DIRECTION('ref_axis',(1.,0.,0.)); #413091=DIRECTION('center_axis',(0.,0.,1.)); #413092=DIRECTION('ref_axis',(1.,0.,0.)); #413093=DIRECTION('center_axis',(0.,0.,1.)); #413094=DIRECTION('ref_axis',(1.,0.,0.)); #413095=DIRECTION('',(0.,0.,1.)); #413096=DIRECTION('center_axis',(0.,0.,-1.)); #413097=DIRECTION('ref_axis',(1.,0.,0.)); #413098=DIRECTION('center_axis',(0.,0.,1.)); #413099=DIRECTION('ref_axis',(1.,0.,0.)); #413100=DIRECTION('center_axis',(0.,0.,1.)); #413101=DIRECTION('ref_axis',(1.,0.,0.)); #413102=DIRECTION('',(0.,0.,1.)); #413103=DIRECTION('center_axis',(0.,0.,-1.)); #413104=DIRECTION('ref_axis',(1.,0.,0.)); #413105=DIRECTION('center_axis',(0.199010641789413,-0.97999732879971,0.)); #413106=DIRECTION('ref_axis',(0.97999732879971,0.199010641789413,0.)); #413107=DIRECTION('',(0.97999732879971,0.199010641789413,0.)); #413108=DIRECTION('',(0.,0.,1.)); #413109=DIRECTION('',(0.97999732879971,0.199010641789413,0.)); #413110=DIRECTION('',(0.,0.,1.)); #413111=DIRECTION('center_axis',(0.,-1.,0.)); #413112=DIRECTION('ref_axis',(1.,0.,0.)); #413113=DIRECTION('',(1.,0.,0.)); #413114=DIRECTION('',(1.,0.,0.)); #413115=DIRECTION('',(0.,0.,1.)); #413116=DIRECTION('center_axis',(-0.183335538282804,-0.983050395657595, 0.)); #413117=DIRECTION('ref_axis',(0.983050395657595,-0.183335538282804,0.)); #413118=DIRECTION('',(0.983050395657595,-0.183335538282804,0.)); #413119=DIRECTION('',(0.983050395657595,-0.183335538282804,0.)); #413120=DIRECTION('',(0.,0.,1.)); #413121=DIRECTION('center_axis',(-0.538914460439928,-0.842360495470165, 0.)); #413122=DIRECTION('ref_axis',(0.842360495470165,-0.538914460439928,0.)); #413123=DIRECTION('',(0.842360495470165,-0.538914460439928,0.)); #413124=DIRECTION('',(0.842360495470165,-0.538914460439928,0.)); #413125=DIRECTION('',(0.,0.,1.)); #413126=DIRECTION('center_axis',(-0.824766287441766,-0.565473758099813, 0.)); #413127=DIRECTION('ref_axis',(0.565473758099813,-0.824766287441766,0.)); #413128=DIRECTION('',(0.565473758099813,-0.824766287441766,0.)); #413129=DIRECTION('',(0.565473758099813,-0.824766287441766,0.)); #413130=DIRECTION('',(0.,0.,1.)); #413131=DIRECTION('center_axis',(-0.979997328799679,-0.199010641789564, 0.)); #413132=DIRECTION('ref_axis',(0.199010641789564,-0.979997328799679,0.)); #413133=DIRECTION('',(0.199010641789564,-0.979997328799679,0.)); #413134=DIRECTION('',(0.199010641789564,-0.979997328799679,0.)); #413135=DIRECTION('',(0.,0.,1.)); #413136=DIRECTION('center_axis',(-1.,0.,0.)); #413137=DIRECTION('ref_axis',(0.,-1.,0.)); #413138=DIRECTION('',(0.,-1.,0.)); #413139=DIRECTION('',(0.,-1.,0.)); #413140=DIRECTION('',(0.,0.,1.)); #413141=DIRECTION('center_axis',(-0.995621238776244,-0.0934791361623409, 0.)); #413142=DIRECTION('ref_axis',(0.0934791361623409,-0.995621238776244,0.)); #413143=DIRECTION('',(0.0934791361623409,-0.995621238776244,0.)); #413144=DIRECTION('',(0.0934791361623409,-0.995621238776244,0.)); #413145=DIRECTION('',(0.,0.,1.)); #413146=DIRECTION('center_axis',(-0.960863719718224,-0.277021501203894, 0.)); #413147=DIRECTION('ref_axis',(0.277021501203894,-0.960863719718224,0.)); #413148=DIRECTION('',(0.277021501203894,-0.960863719718224,0.)); #413149=DIRECTION('',(0.277021501203894,-0.960863719718224,0.)); #413150=DIRECTION('',(0.,0.,1.)); #413151=DIRECTION('center_axis',(-0.892532404086875,-0.450983267599702, 0.)); #413152=DIRECTION('ref_axis',(0.450983267599702,-0.892532404086875,0.)); #413153=DIRECTION('',(0.450983267599702,-0.892532404086875,0.)); #413154=DIRECTION('',(0.450983267599702,-0.892532404086875,0.)); #413155=DIRECTION('',(0.,0.,1.)); #413156=DIRECTION('center_axis',(-0.793097730527882,-0.609094401412066, 0.)); #413157=DIRECTION('ref_axis',(0.609094401412066,-0.793097730527882,0.)); #413158=DIRECTION('',(0.609094401412066,-0.793097730527882,0.)); #413159=DIRECTION('',(0.609094401412066,-0.793097730527882,0.)); #413160=DIRECTION('',(0.,0.,1.)); #413161=DIRECTION('center_axis',(-0.665917848415929,-0.746025079445121, 0.)); #413162=DIRECTION('ref_axis',(0.746025079445121,-0.665917848415929,0.)); #413163=DIRECTION('',(0.746025079445121,-0.665917848415929,0.)); #413164=DIRECTION('',(0.746025079445121,-0.665917848415929,0.)); #413165=DIRECTION('',(0.,0.,1.)); #413166=DIRECTION('center_axis',(-0.515344405546105,-0.856983164170879, 0.)); #413167=DIRECTION('ref_axis',(0.856983164170879,-0.515344405546105,0.)); #413168=DIRECTION('',(0.856983164170879,-0.515344405546105,0.)); #413169=DIRECTION('',(0.856983164170879,-0.515344405546105,0.)); #413170=DIRECTION('',(0.,0.,1.)); #413171=DIRECTION('center_axis',(-0.347052026072811,-0.937845878169093, 0.)); #413172=DIRECTION('ref_axis',(0.937845878169093,-0.347052026072811,0.)); #413173=DIRECTION('',(0.937845878169093,-0.347052026072811,0.)); #413174=DIRECTION('',(0.937845878169093,-0.347052026072811,0.)); #413175=DIRECTION('',(0.,0.,1.)); #413176=DIRECTION('center_axis',(-0.130062439437506,-0.99150580525157,0.)); #413177=DIRECTION('ref_axis',(0.99150580525157,-0.130062439437506,0.)); #413178=DIRECTION('',(0.99150580525157,-0.130062439437506,0.)); #413179=DIRECTION('',(0.99150580525157,-0.130062439437506,0.)); #413180=DIRECTION('',(0.,0.,1.)); #413181=DIRECTION('center_axis',(0.,-1.,0.)); #413182=DIRECTION('ref_axis',(1.,0.,0.)); #413183=DIRECTION('',(1.,0.,0.)); #413184=DIRECTION('',(1.,0.,0.)); #413185=DIRECTION('',(0.,0.,1.)); #413186=DIRECTION('center_axis',(-0.183335538282758,-0.983050395657604, 0.)); #413187=DIRECTION('ref_axis',(0.983050395657604,-0.183335538282758,0.)); #413188=DIRECTION('',(0.983050395657604,-0.183335538282758,0.)); #413189=DIRECTION('',(0.983050395657604,-0.183335538282758,0.)); #413190=DIRECTION('',(0.,0.,1.)); #413191=DIRECTION('center_axis',(-0.538914460439817,-0.842360495470236, 0.)); #413192=DIRECTION('ref_axis',(0.842360495470236,-0.538914460439817,0.)); #413193=DIRECTION('',(0.842360495470236,-0.538914460439817,0.)); #413194=DIRECTION('',(0.842360495470236,-0.538914460439817,0.)); #413195=DIRECTION('',(0.,0.,1.)); #413196=DIRECTION('center_axis',(-0.8246744274587,-0.565607716262487,0.)); #413197=DIRECTION('ref_axis',(0.565607716262487,-0.8246744274587,0.)); #413198=DIRECTION('',(0.565607716262487,-0.8246744274587,0.)); #413199=DIRECTION('',(0.565607716262487,-0.8246744274587,0.)); #413200=DIRECTION('',(0.,0.,1.)); #413201=DIRECTION('center_axis',(-0.707106781186542,-0.707106781186553, 0.)); #413202=DIRECTION('ref_axis',(0.707106781186553,-0.707106781186542,0.)); #413203=DIRECTION('',(0.707106781186553,-0.707106781186542,0.)); #413204=DIRECTION('',(0.707106781186553,-0.707106781186542,0.)); #413205=DIRECTION('',(0.,0.,1.)); #413206=DIRECTION('center_axis',(0.,-1.,0.)); #413207=DIRECTION('ref_axis',(1.,0.,0.)); #413208=DIRECTION('',(1.,0.,0.)); #413209=DIRECTION('',(1.,0.,0.)); #413210=DIRECTION('',(0.,0.,1.)); #413211=DIRECTION('center_axis',(-0.183335538282816,-0.983050395657593, 0.)); #413212=DIRECTION('ref_axis',(0.983050395657593,-0.183335538282816,0.)); #413213=DIRECTION('',(0.983050395657593,-0.183335538282816,0.)); #413214=DIRECTION('',(0.983050395657593,-0.183335538282816,0.)); #413215=DIRECTION('',(0.,0.,1.)); #413216=DIRECTION('center_axis',(-0.538914460439865,-0.842360495470205, 0.)); #413217=DIRECTION('ref_axis',(0.842360495470205,-0.538914460439865,0.)); #413218=DIRECTION('',(0.842360495470205,-0.538914460439865,0.)); #413219=DIRECTION('',(0.842360495470205,-0.538914460439865,0.)); #413220=DIRECTION('',(0.,0.,1.)); #413221=DIRECTION('center_axis',(-0.824838804062798,-0.565367975138541, 0.)); #413222=DIRECTION('ref_axis',(0.565367975138541,-0.824838804062798,0.)); #413223=DIRECTION('',(0.565367975138541,-0.824838804062798,0.)); #413224=DIRECTION('',(0.565367975138541,-0.824838804062798,0.)); #413225=DIRECTION('',(0.,0.,1.)); #413226=DIRECTION('center_axis',(0.,0.,1.)); #413227=DIRECTION('ref_axis',(-0.887377267399284,-0.461044016665416,0.)); #413228=DIRECTION('center_axis',(0.,0.,1.)); #413229=DIRECTION('ref_axis',(-0.887377267399284,-0.461044016665416,0.)); #413230=DIRECTION('center_axis',(0.,0.,1.)); #413231=DIRECTION('ref_axis',(-0.887377267399284,-0.461044016665416,0.)); #413232=DIRECTION('',(0.,0.,1.)); #413233=DIRECTION('center_axis',(0.,-1.,0.)); #413234=DIRECTION('ref_axis',(1.,0.,0.)); #413235=DIRECTION('',(1.,0.,0.)); #413236=DIRECTION('',(1.,0.,0.)); #413237=DIRECTION('',(0.,0.,1.)); #413238=DIRECTION('center_axis',(0.707106781186559,-0.707106781186536,0.)); #413239=DIRECTION('ref_axis',(0.707106781186536,0.707106781186559,0.)); #413240=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #413241=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #413242=DIRECTION('',(0.,0.,1.)); #413243=DIRECTION('center_axis',(0.,0.,1.)); #413244=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #413245=DIRECTION('center_axis',(0.,0.,1.)); #413246=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #413247=DIRECTION('center_axis',(0.,0.,1.)); #413248=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #413249=DIRECTION('',(0.,0.,1.)); #413250=DIRECTION('center_axis',(0.,-1.,0.)); #413251=DIRECTION('ref_axis',(1.,0.,0.)); #413252=DIRECTION('',(1.,0.,0.)); #413253=DIRECTION('',(1.,0.,0.)); #413254=DIRECTION('',(0.,0.,1.)); #413255=DIRECTION('center_axis',(1.,0.,0.)); #413256=DIRECTION('ref_axis',(0.,1.,0.)); #413257=DIRECTION('',(0.,1.,0.)); #413258=DIRECTION('',(0.,1.,0.)); #413259=DIRECTION('',(0.,0.,1.)); #413260=DIRECTION('center_axis',(0.,-1.,0.)); #413261=DIRECTION('ref_axis',(1.,0.,0.)); #413262=DIRECTION('',(1.,0.,0.)); #413263=DIRECTION('',(1.,0.,0.)); #413264=DIRECTION('',(0.,0.,1.)); #413265=DIRECTION('center_axis',(-1.,0.,0.)); #413266=DIRECTION('ref_axis',(0.,-1.,0.)); #413267=DIRECTION('',(0.,-1.,0.)); #413268=DIRECTION('',(0.,-1.,0.)); #413269=DIRECTION('',(0.,0.,1.)); #413270=DIRECTION('center_axis',(0.,1.,0.)); #413271=DIRECTION('ref_axis',(-1.,0.,0.)); #413272=DIRECTION('',(-1.,0.,0.)); #413273=DIRECTION('',(-1.,0.,0.)); #413274=DIRECTION('',(0.,0.,1.)); #413275=DIRECTION('center_axis',(1.,0.,0.)); #413276=DIRECTION('ref_axis',(0.,1.,0.)); #413277=DIRECTION('',(0.,1.,0.)); #413278=DIRECTION('',(0.,1.,0.)); #413279=DIRECTION('',(0.,0.,1.)); #413280=DIRECTION('center_axis',(0.,1.,0.)); #413281=DIRECTION('ref_axis',(-1.,0.,0.)); #413282=DIRECTION('',(-1.,0.,0.)); #413283=DIRECTION('',(-1.,0.,0.)); #413284=DIRECTION('',(0.,0.,1.)); #413285=DIRECTION('center_axis',(-0.707106781186559,0.707106781186536,0.)); #413286=DIRECTION('ref_axis',(-0.707106781186536,-0.707106781186559,0.)); #413287=DIRECTION('',(-0.707106781186536,-0.707106781186559,0.)); #413288=DIRECTION('',(-0.707106781186536,-0.707106781186559,0.)); #413289=DIRECTION('',(0.,0.,1.)); #413290=DIRECTION('center_axis',(0.,0.,1.)); #413291=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #413292=DIRECTION('center_axis',(0.,0.,1.)); #413293=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #413294=DIRECTION('center_axis',(0.,0.,1.)); #413295=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #413296=DIRECTION('',(0.,0.,1.)); #413297=DIRECTION('center_axis',(0.,1.,0.)); #413298=DIRECTION('ref_axis',(-1.,0.,0.)); #413299=DIRECTION('',(-1.,0.,0.)); #413300=DIRECTION('',(-1.,0.,0.)); #413301=DIRECTION('',(0.,0.,1.)); #413302=DIRECTION('center_axis',(0.,0.,1.)); #413303=DIRECTION('ref_axis',(2.66249975407799E-5,0.999999999645555,0.)); #413304=DIRECTION('center_axis',(0.,0.,1.)); #413305=DIRECTION('ref_axis',(2.66249975407799E-5,0.999999999645555,0.)); #413306=DIRECTION('center_axis',(0.,0.,1.)); #413307=DIRECTION('ref_axis',(2.66249975407799E-5,0.999999999645555,0.)); #413308=DIRECTION('',(0.,0.,1.)); #413309=DIRECTION('center_axis',(-1.,0.,0.)); #413310=DIRECTION('ref_axis',(0.,-1.,0.)); #413311=DIRECTION('',(0.,-1.,0.)); #413312=DIRECTION('',(0.,-1.,0.)); #413313=DIRECTION('',(0.,0.,1.)); #413314=DIRECTION('center_axis',(0.,-1.,0.)); #413315=DIRECTION('ref_axis',(1.,0.,0.)); #413316=DIRECTION('',(1.,0.,0.)); #413317=DIRECTION('',(1.,0.,0.)); #413318=DIRECTION('',(0.,0.,1.)); #413319=DIRECTION('center_axis',(1.,0.,0.)); #413320=DIRECTION('ref_axis',(0.,1.,0.)); #413321=DIRECTION('',(0.,1.,0.)); #413322=DIRECTION('',(0.,1.,0.)); #413323=DIRECTION('',(0.,0.,1.)); #413324=DIRECTION('center_axis',(0.,-1.,0.)); #413325=DIRECTION('ref_axis',(1.,0.,0.)); #413326=DIRECTION('',(1.,0.,0.)); #413327=DIRECTION('',(1.,0.,0.)); #413328=DIRECTION('',(0.,0.,1.)); #413329=DIRECTION('center_axis',(-1.,0.,0.)); #413330=DIRECTION('ref_axis',(0.,-1.,0.)); #413331=DIRECTION('',(0.,-1.,0.)); #413332=DIRECTION('',(0.,-1.,0.)); #413333=DIRECTION('',(0.,0.,1.)); #413334=DIRECTION('center_axis',(0.,1.,0.)); #413335=DIRECTION('ref_axis',(-1.,0.,0.)); #413336=DIRECTION('',(-1.,0.,0.)); #413337=DIRECTION('',(-1.,0.,0.)); #413338=DIRECTION('',(0.,0.,1.)); #413339=DIRECTION('center_axis',(-1.,0.,0.)); #413340=DIRECTION('ref_axis',(0.,-1.,0.)); #413341=DIRECTION('',(0.,-1.,0.)); #413342=DIRECTION('',(0.,-1.,0.)); #413343=DIRECTION('',(0.,0.,1.)); #413344=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #413345=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #413346=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #413347=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #413348=DIRECTION('',(0.,0.,1.)); #413349=DIRECTION('center_axis',(0.,-1.,0.)); #413350=DIRECTION('ref_axis',(1.,0.,0.)); #413351=DIRECTION('',(1.,0.,0.)); #413352=DIRECTION('',(1.,0.,0.)); #413353=DIRECTION('',(0.,0.,1.)); #413354=DIRECTION('center_axis',(1.,0.,0.)); #413355=DIRECTION('ref_axis',(0.,1.,0.)); #413356=DIRECTION('',(0.,1.,0.)); #413357=DIRECTION('',(0.,1.,0.)); #413358=DIRECTION('',(0.,0.,1.)); #413359=DIRECTION('center_axis',(0.,-1.,0.)); #413360=DIRECTION('ref_axis',(1.,0.,0.)); #413361=DIRECTION('',(1.,0.,0.)); #413362=DIRECTION('',(1.,0.,0.)); #413363=DIRECTION('',(0.,0.,1.)); #413364=DIRECTION('center_axis',(-1.,0.,0.)); #413365=DIRECTION('ref_axis',(0.,-1.,0.)); #413366=DIRECTION('',(0.,-1.,0.)); #413367=DIRECTION('',(0.,-1.,0.)); #413368=DIRECTION('',(0.,0.,1.)); #413369=DIRECTION('center_axis',(0.,1.,0.)); #413370=DIRECTION('ref_axis',(-1.,0.,0.)); #413371=DIRECTION('',(-1.,0.,0.)); #413372=DIRECTION('',(-1.,0.,0.)); #413373=DIRECTION('',(0.,0.,1.)); #413374=DIRECTION('center_axis',(1.,0.,0.)); #413375=DIRECTION('ref_axis',(0.,1.,0.)); #413376=DIRECTION('',(0.,1.,0.)); #413377=DIRECTION('',(0.,1.,0.)); #413378=DIRECTION('',(0.,0.,1.)); #413379=DIRECTION('center_axis',(0.,1.,0.)); #413380=DIRECTION('ref_axis',(-1.,0.,0.)); #413381=DIRECTION('',(-1.,0.,0.)); #413382=DIRECTION('',(-1.,0.,0.)); #413383=DIRECTION('',(0.,0.,1.)); #413384=DIRECTION('center_axis',(0.,0.,1.)); #413385=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #413386=DIRECTION('center_axis',(0.,0.,1.)); #413387=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #413388=DIRECTION('center_axis',(0.,0.,1.)); #413389=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #413390=DIRECTION('',(0.,0.,1.)); #413391=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #413392=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #413393=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #413394=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #413395=DIRECTION('',(0.,0.,1.)); #413396=DIRECTION('center_axis',(0.,0.,1.)); #413397=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #413398=DIRECTION('center_axis',(0.,0.,1.)); #413399=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #413400=DIRECTION('center_axis',(0.,0.,1.)); #413401=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #413402=DIRECTION('',(0.,0.,1.)); #413403=DIRECTION('center_axis',(1.,0.,0.)); #413404=DIRECTION('ref_axis',(0.,1.,0.)); #413405=DIRECTION('',(0.,1.,0.)); #413406=DIRECTION('',(0.,1.,0.)); #413407=DIRECTION('',(0.,0.,1.)); #413408=DIRECTION('center_axis',(0.,1.,0.)); #413409=DIRECTION('ref_axis',(-1.,0.,0.)); #413410=DIRECTION('',(-1.,0.,0.)); #413411=DIRECTION('',(-1.,0.,0.)); #413412=DIRECTION('',(0.,0.,1.)); #413413=DIRECTION('center_axis',(1.,0.,0.)); #413414=DIRECTION('ref_axis',(0.,1.,0.)); #413415=DIRECTION('',(0.,1.,0.)); #413416=DIRECTION('',(0.,1.,0.)); #413417=DIRECTION('',(0.,0.,1.)); #413418=DIRECTION('center_axis',(0.,1.,0.)); #413419=DIRECTION('ref_axis',(-1.,0.,0.)); #413420=DIRECTION('',(-1.,0.,0.)); #413421=DIRECTION('',(-1.,0.,0.)); #413422=DIRECTION('',(0.,0.,1.)); #413423=DIRECTION('center_axis',(-1.,0.,0.)); #413424=DIRECTION('ref_axis',(0.,-1.,0.)); #413425=DIRECTION('',(0.,-1.,0.)); #413426=DIRECTION('',(0.,-1.,0.)); #413427=DIRECTION('',(0.,0.,1.)); #413428=DIRECTION('center_axis',(-0.981552099144156,0.191194865688648,0.)); #413429=DIRECTION('ref_axis',(-0.191194865688648,-0.981552099144156,0.)); #413430=DIRECTION('',(-0.191194865688648,-0.981552099144156,0.)); #413431=DIRECTION('',(-0.191194865688648,-0.981552099144156,0.)); #413432=DIRECTION('',(0.,0.,1.)); #413433=DIRECTION('center_axis',(-0.833699290017745,0.552218701081291,0.)); #413434=DIRECTION('ref_axis',(-0.552218701081291,-0.833699290017745,0.)); #413435=DIRECTION('',(-0.552218701081291,-0.833699290017745,0.)); #413436=DIRECTION('',(-0.552218701081291,-0.833699290017745,0.)); #413437=DIRECTION('',(0.,0.,1.)); #413438=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #413439=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #413440=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #413441=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #413442=DIRECTION('',(0.,0.,1.)); #413443=DIRECTION('center_axis',(-0.558856232804877,0.829264560351605,0.)); #413444=DIRECTION('ref_axis',(-0.829264560351605,-0.558856232804877,0.)); #413445=DIRECTION('',(-0.829264560351605,-0.558856232804877,0.)); #413446=DIRECTION('',(-0.829264560351605,-0.558856232804877,0.)); #413447=DIRECTION('',(0.,0.,1.)); #413448=DIRECTION('center_axis',(-0.199010641789447,0.979997328799703,0.)); #413449=DIRECTION('ref_axis',(-0.979997328799703,-0.199010641789447,0.)); #413450=DIRECTION('',(-0.979997328799703,-0.199010641789447,0.)); #413451=DIRECTION('',(-0.979997328799703,-0.199010641789447,0.)); #413452=DIRECTION('',(0.,0.,1.)); #413453=DIRECTION('center_axis',(0.,1.,0.)); #413454=DIRECTION('ref_axis',(-1.,0.,0.)); #413455=DIRECTION('',(-1.,0.,0.)); #413456=DIRECTION('',(-1.,0.,0.)); #413457=DIRECTION('',(0.,0.,1.)); #413458=DIRECTION('center_axis',(-0.140944937191828,0.990017436553514,0.)); #413459=DIRECTION('ref_axis',(-0.990017436553514,-0.140944937191828,0.)); #413460=DIRECTION('',(-0.990017436553514,-0.140944937191828,0.)); #413461=DIRECTION('',(-0.990017436553514,-0.140944937191828,0.)); #413462=DIRECTION('',(0.,0.,1.)); #413463=DIRECTION('center_axis',(-0.613211584810861,0.7899186997747,0.)); #413464=DIRECTION('ref_axis',(-0.7899186997747,-0.613211584810861,0.)); #413465=DIRECTION('',(-0.7899186997747,-0.613211584810861,0.)); #413466=DIRECTION('',(-0.7899186997747,-0.613211584810861,0.)); #413467=DIRECTION('',(0.,0.,1.)); #413468=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #413469=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #413470=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #413471=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #413472=DIRECTION('',(0.,0.,1.)); #413473=DIRECTION('center_axis',(-0.799763100783004,0.600315735780726,0.)); #413474=DIRECTION('ref_axis',(-0.600315735780726,-0.799763100783004,0.)); #413475=DIRECTION('',(-0.600315735780726,-0.799763100783004,0.)); #413476=DIRECTION('',(-0.600315735780726,-0.799763100783004,0.)); #413477=DIRECTION('',(0.,0.,1.)); #413478=DIRECTION('center_axis',(-0.99212134539019,0.125280629073933,0.)); #413479=DIRECTION('ref_axis',(-0.125280629073933,-0.99212134539019,0.)); #413480=DIRECTION('',(-0.125280629073933,-0.99212134539019,0.)); #413481=DIRECTION('',(-0.125280629073933,-0.99212134539019,0.)); #413482=DIRECTION('',(0.,0.,1.)); #413483=DIRECTION('center_axis',(-1.,0.,0.)); #413484=DIRECTION('ref_axis',(0.,-1.,0.)); #413485=DIRECTION('',(0.,-1.,0.)); #413486=DIRECTION('',(0.,-1.,0.)); #413487=DIRECTION('',(0.,0.,1.)); #413488=DIRECTION('center_axis',(-0.98305039565761,0.183335538282724,0.)); #413489=DIRECTION('ref_axis',(-0.183335538282724,-0.98305039565761,0.)); #413490=DIRECTION('',(-0.183335538282724,-0.98305039565761,0.)); #413491=DIRECTION('',(-0.183335538282724,-0.98305039565761,0.)); #413492=DIRECTION('',(0.,0.,1.)); #413493=DIRECTION('center_axis',(-0.84236049547023,0.538914460439825,0.)); #413494=DIRECTION('ref_axis',(-0.538914460439825,-0.84236049547023,0.)); #413495=DIRECTION('',(-0.538914460439825,-0.84236049547023,0.)); #413496=DIRECTION('',(-0.538914460439825,-0.84236049547023,0.)); #413497=DIRECTION('',(0.,0.,1.)); #413498=DIRECTION('center_axis',(-0.565473758099692,0.82476628744185,0.)); #413499=DIRECTION('ref_axis',(-0.82476628744185,-0.565473758099692,0.)); #413500=DIRECTION('',(-0.82476628744185,-0.565473758099692,0.)); #413501=DIRECTION('',(-0.82476628744185,-0.565473758099692,0.)); #413502=DIRECTION('',(0.,0.,1.)); #413503=DIRECTION('center_axis',(-0.19901492196467,0.979996459603501,0.)); #413504=DIRECTION('ref_axis',(-0.979996459603501,-0.19901492196467,0.)); #413505=DIRECTION('',(-0.979996459603501,-0.19901492196467,0.)); #413506=DIRECTION('',(-0.979996459603501,-0.19901492196467,0.)); #413507=DIRECTION('',(0.,0.,1.)); #413508=DIRECTION('center_axis',(-0.707106781186545,0.70710678118655,0.)); #413509=DIRECTION('ref_axis',(-0.70710678118655,-0.707106781186545,0.)); #413510=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #413511=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #413512=DIRECTION('',(0.,0.,1.)); #413513=DIRECTION('center_axis',(-1.,0.,0.)); #413514=DIRECTION('ref_axis',(0.,-1.,0.)); #413515=DIRECTION('',(0.,-1.,0.)); #413516=DIRECTION('',(0.,-1.,0.)); #413517=DIRECTION('',(0.,0.,1.)); #413518=DIRECTION('center_axis',(-0.981552851945467,0.191191000932891,0.)); #413519=DIRECTION('ref_axis',(-0.191191000932891,-0.981552851945467,0.)); #413520=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #413521=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #413522=DIRECTION('',(0.,0.,1.)); #413523=DIRECTION('center_axis',(-0.833693256958937,0.552227809242888,0.)); #413524=DIRECTION('ref_axis',(-0.552227809242888,-0.833693256958937,0.)); #413525=DIRECTION('',(-0.552227809242888,-0.833693256958937,0.)); #413526=DIRECTION('',(-0.552227809242888,-0.833693256958937,0.)); #413527=DIRECTION('',(0.,0.,1.)); #413528=DIRECTION('center_axis',(-0.707106781186552,0.707106781186543,0.)); #413529=DIRECTION('ref_axis',(-0.707106781186543,-0.707106781186552,0.)); #413530=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #413531=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #413532=DIRECTION('',(0.,0.,1.)); #413533=DIRECTION('center_axis',(-0.558865776601855,0.829258128536106,0.)); #413534=DIRECTION('ref_axis',(-0.829258128536106,-0.558865776601855,0.)); #413535=DIRECTION('',(-0.829258128536106,-0.558865776601855,0.)); #413536=DIRECTION('',(-0.829258128536106,-0.558865776601855,0.)); #413537=DIRECTION('',(0.,0.,1.)); #413538=DIRECTION('center_axis',(-0.199006783325569,0.979998112340228,0.)); #413539=DIRECTION('ref_axis',(-0.979998112340228,-0.199006783325569,0.)); #413540=DIRECTION('',(-0.979998112340228,-0.199006783325569,0.)); #413541=DIRECTION('',(-0.979998112340228,-0.199006783325569,0.)); #413542=DIRECTION('',(0.,0.,1.)); #413543=DIRECTION('center_axis',(0.,1.,0.)); #413544=DIRECTION('ref_axis',(-1.,0.,0.)); #413545=DIRECTION('',(-1.,0.,0.)); #413546=DIRECTION('',(-1.,0.,0.)); #413547=DIRECTION('',(0.,0.,1.)); #413548=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #413549=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #413550=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #413551=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #413552=DIRECTION('',(0.,0.,1.)); #413553=DIRECTION('center_axis',(-1.,0.,0.)); #413554=DIRECTION('ref_axis',(0.,-1.,0.)); #413555=DIRECTION('',(0.,-1.,0.)); #413556=DIRECTION('',(0.,-1.,0.)); #413557=DIRECTION('',(0.,0.,1.)); #413558=DIRECTION('center_axis',(-0.981552851945467,0.191191000932891,0.)); #413559=DIRECTION('ref_axis',(-0.191191000932891,-0.981552851945467,0.)); #413560=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #413561=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #413562=DIRECTION('',(0.,0.,1.)); #413563=DIRECTION('center_axis',(-0.833693256959018,0.552227809242766,0.)); #413564=DIRECTION('ref_axis',(-0.552227809242766,-0.833693256959018,0.)); #413565=DIRECTION('',(-0.552227809242766,-0.833693256959018,0.)); #413566=DIRECTION('',(-0.552227809242766,-0.833693256959018,0.)); #413567=DIRECTION('',(0.,0.,1.)); #413568=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #413569=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #413570=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #413571=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #413572=DIRECTION('',(0.,0.,1.)); #413573=DIRECTION('center_axis',(-0.55886577660194,0.829258128536049,0.)); #413574=DIRECTION('ref_axis',(-0.829258128536049,-0.55886577660194,0.)); #413575=DIRECTION('',(-0.829258128536049,-0.55886577660194,0.)); #413576=DIRECTION('',(-0.829258128536049,-0.55886577660194,0.)); #413577=DIRECTION('',(0.,0.,1.)); #413578=DIRECTION('center_axis',(-0.199006783325535,0.979998112340235,0.)); #413579=DIRECTION('ref_axis',(-0.979998112340235,-0.199006783325535,0.)); #413580=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #413581=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #413582=DIRECTION('',(0.,0.,1.)); #413583=DIRECTION('center_axis',(0.,1.,0.)); #413584=DIRECTION('ref_axis',(-1.,0.,0.)); #413585=DIRECTION('',(-1.,0.,0.)); #413586=DIRECTION('',(-1.,0.,0.)); #413587=DIRECTION('',(0.,0.,1.)); #413588=DIRECTION('center_axis',(0.183314787014792,0.983054265471506,0.)); #413589=DIRECTION('ref_axis',(-0.983054265471506,0.183314787014792,0.)); #413590=DIRECTION('',(-0.983054265471506,0.183314787014792,0.)); #413591=DIRECTION('',(-0.983054265471506,0.183314787014792,0.)); #413592=DIRECTION('',(0.,0.,1.)); #413593=DIRECTION('center_axis',(0.538928498327832,0.842351514327663,0.)); #413594=DIRECTION('ref_axis',(-0.842351514327663,0.538928498327832,0.)); #413595=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #413596=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #413597=DIRECTION('',(0.,0.,1.)); #413598=DIRECTION('center_axis',(0.824766287441809,0.565473758099751,0.)); #413599=DIRECTION('ref_axis',(-0.565473758099751,0.824766287441808,0.)); #413600=DIRECTION('',(-0.565473758099751,0.824766287441808,0.)); #413601=DIRECTION('',(-0.565473758099751,0.824766287441808,0.)); #413602=DIRECTION('',(0.,0.,1.)); #413603=DIRECTION('center_axis',(0.979997328799744,0.199010641789244,0.)); #413604=DIRECTION('ref_axis',(-0.199010641789244,0.979997328799744,0.)); #413605=DIRECTION('',(-0.199010641789244,0.979997328799744,0.)); #413606=DIRECTION('',(-0.199010641789244,0.979997328799744,0.)); #413607=DIRECTION('',(0.,0.,1.)); #413608=DIRECTION('center_axis',(1.,0.,0.)); #413609=DIRECTION('ref_axis',(0.,1.,0.)); #413610=DIRECTION('',(0.,1.,0.)); #413611=DIRECTION('',(0.,1.,0.)); #413612=DIRECTION('',(0.,0.,1.)); #413613=DIRECTION('center_axis',(0.707106781186512,0.707106781186583,0.)); #413614=DIRECTION('ref_axis',(-0.707106781186583,0.707106781186512,0.)); #413615=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #413616=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #413617=DIRECTION('',(0.,0.,1.)); #413618=DIRECTION('center_axis',(0.,1.,0.)); #413619=DIRECTION('ref_axis',(-1.,0.,0.)); #413620=DIRECTION('',(-1.,0.,0.)); #413621=DIRECTION('',(-1.,0.,0.)); #413622=DIRECTION('',(0.,0.,1.)); #413623=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #413624=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #413625=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #413626=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #413627=DIRECTION('',(0.,0.,1.)); #413628=DIRECTION('center_axis',(-1.,0.,0.)); #413629=DIRECTION('ref_axis',(0.,-1.,0.)); #413630=DIRECTION('',(0.,-1.,0.)); #413631=DIRECTION('',(0.,-1.,0.)); #413632=DIRECTION('',(0.,0.,1.)); #413633=DIRECTION('center_axis',(-0.983050395657576,0.183335538282908,0.)); #413634=DIRECTION('ref_axis',(-0.183335538282908,-0.983050395657576,0.)); #413635=DIRECTION('',(-0.183335538282908,-0.983050395657576,0.)); #413636=DIRECTION('',(-0.183335538282908,-0.983050395657576,0.)); #413637=DIRECTION('',(0.,0.,1.)); #413638=DIRECTION('center_axis',(-0.842360495470205,0.538914460439865,0.)); #413639=DIRECTION('ref_axis',(-0.538914460439865,-0.842360495470205,0.)); #413640=DIRECTION('',(-0.538914460439865,-0.842360495470205,0.)); #413641=DIRECTION('',(-0.538914460439865,-0.842360495470205,0.)); #413642=DIRECTION('',(0.,0.,1.)); #413643=DIRECTION('center_axis',(-0.565473758099751,0.824766287441809,0.)); #413644=DIRECTION('ref_axis',(-0.824766287441808,-0.565473758099751,0.)); #413645=DIRECTION('',(-0.824766287441808,-0.565473758099751,0.)); #413646=DIRECTION('',(-0.824766287441808,-0.565473758099751,0.)); #413647=DIRECTION('',(0.,0.,1.)); #413648=DIRECTION('center_axis',(-0.199010641789413,0.97999732879971,0.)); #413649=DIRECTION('ref_axis',(-0.97999732879971,-0.199010641789413,0.)); #413650=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #413651=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #413652=DIRECTION('',(0.,0.,1.)); #413653=DIRECTION('center_axis',(0.,1.,0.)); #413654=DIRECTION('ref_axis',(-1.,0.,0.)); #413655=DIRECTION('',(-1.,0.,0.)); #413656=DIRECTION('',(-1.,0.,0.)); #413657=DIRECTION('',(0.,0.,1.)); #413658=DIRECTION('center_axis',(0.216895865380999,0.976194746749145,0.)); #413659=DIRECTION('ref_axis',(-0.976194746749145,0.216895865380999,0.)); #413660=DIRECTION('',(-0.976194746749145,0.216895865380999,0.)); #413661=DIRECTION('',(-0.976194746749145,0.216895865380999,0.)); #413662=DIRECTION('',(0.,0.,1.)); #413663=DIRECTION('center_axis',(0.595320754033768,0.803488145411409,0.)); #413664=DIRECTION('ref_axis',(-0.803488145411409,0.595320754033768,0.)); #413665=DIRECTION('',(-0.803488145411409,0.595320754033768,0.)); #413666=DIRECTION('',(-0.803488145411409,0.595320754033768,0.)); #413667=DIRECTION('',(0.,0.,1.)); #413668=DIRECTION('center_axis',(0.743294562183035,0.668964269471196,0.)); #413669=DIRECTION('ref_axis',(-0.668964269471196,0.743294562183034,0.)); #413670=DIRECTION('',(-0.668964269471196,0.743294562183034,0.)); #413671=DIRECTION('',(-0.668964269471196,0.743294562183034,0.)); #413672=DIRECTION('',(0.,0.,1.)); #413673=DIRECTION('center_axis',(0.843652661993583,0.536889360959166,0.)); #413674=DIRECTION('ref_axis',(-0.536889360959166,0.843652661993583,0.)); #413675=DIRECTION('',(-0.536889360959166,0.843652661993583,0.)); #413676=DIRECTION('',(-0.536889360959166,0.843652661993583,0.)); #413677=DIRECTION('',(0.,0.,1.)); #413678=DIRECTION('center_axis',(0.97999732879971,0.199010641789413,0.)); #413679=DIRECTION('ref_axis',(-0.199010641789413,0.97999732879971,0.)); #413680=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #413681=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #413682=DIRECTION('',(0.,0.,1.)); #413683=DIRECTION('center_axis',(1.,0.,0.)); #413684=DIRECTION('ref_axis',(0.,1.,0.)); #413685=DIRECTION('',(0.,1.,0.)); #413686=DIRECTION('',(0.,1.,0.)); #413687=DIRECTION('',(0.,0.,1.)); #413688=DIRECTION('center_axis',(0.981552099144129,-0.191194865688788,0.)); #413689=DIRECTION('ref_axis',(0.191194865688788,0.981552099144129,0.)); #413690=DIRECTION('',(0.191194865688788,0.981552099144129,0.)); #413691=DIRECTION('',(0.191194865688788,0.981552099144129,0.)); #413692=DIRECTION('',(0.,0.,1.)); #413693=DIRECTION('center_axis',(0.833699290017853,-0.552218701081128,0.)); #413694=DIRECTION('ref_axis',(0.552218701081128,0.833699290017853,0.)); #413695=DIRECTION('',(0.552218701081128,0.833699290017853,0.)); #413696=DIRECTION('',(0.552218701081128,0.833699290017853,0.)); #413697=DIRECTION('',(0.,0.,1.)); #413698=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #413699=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,0.)); #413700=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #413701=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #413702=DIRECTION('',(0.,0.,1.)); #413703=DIRECTION('center_axis',(0.79976310078318,-0.600315735780492,0.)); #413704=DIRECTION('ref_axis',(0.600315735780492,0.79976310078318,0.)); #413705=DIRECTION('',(0.600315735780492,0.79976310078318,0.)); #413706=DIRECTION('',(0.600315735780492,0.79976310078318,0.)); #413707=DIRECTION('',(0.,0.,1.)); #413708=DIRECTION('center_axis',(0.99212134539019,-0.125280629073933,0.)); #413709=DIRECTION('ref_axis',(0.125280629073933,0.99212134539019,0.)); #413710=DIRECTION('',(0.125280629073933,0.99212134539019,0.)); #413711=DIRECTION('',(0.125280629073933,0.99212134539019,0.)); #413712=DIRECTION('',(0.,0.,1.)); #413713=DIRECTION('center_axis',(1.,0.,0.)); #413714=DIRECTION('ref_axis',(0.,1.,0.)); #413715=DIRECTION('',(0.,1.,0.)); #413716=DIRECTION('',(0.,1.,0.)); #413717=DIRECTION('',(0.,0.,1.)); #413718=DIRECTION('center_axis',(0.981552099144139,-0.191194865688736,0.)); #413719=DIRECTION('ref_axis',(0.191194865688736,0.981552099144139,0.)); #413720=DIRECTION('',(0.191194865688736,0.981552099144139,0.)); #413721=DIRECTION('',(0.191194865688736,0.981552099144139,0.)); #413722=DIRECTION('',(0.,0.,1.)); #413723=DIRECTION('center_axis',(0.833699290017812,-0.552218701081189,0.)); #413724=DIRECTION('ref_axis',(0.552218701081189,0.833699290017812,0.)); #413725=DIRECTION('',(0.552218701081189,0.833699290017812,0.)); #413726=DIRECTION('',(0.552218701081189,0.833699290017812,0.)); #413727=DIRECTION('',(0.,0.,1.)); #413728=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #413729=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,0.)); #413730=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #413731=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #413732=DIRECTION('',(0.,0.,1.)); #413733=DIRECTION('center_axis',(0.558856232804908,-0.829264560351584,0.)); #413734=DIRECTION('ref_axis',(0.829264560351584,0.558856232804908,0.)); #413735=DIRECTION('',(0.829264560351584,0.558856232804908,0.)); #413736=DIRECTION('',(0.829264560351584,0.558856232804908,0.)); #413737=DIRECTION('center_axis',(0.,0.,1.)); #413738=DIRECTION('ref_axis',(1.,0.,0.)); #413739=DIRECTION('center_axis',(0.,0.,1.)); #413740=DIRECTION('ref_axis',(1.,0.,0.)); #413741=DIRECTION('center_axis',(0.,0.,1.)); #413742=DIRECTION('ref_axis',(1.,0.,0.)); #413743=DIRECTION('center_axis',(0.,0.,1.)); #413744=DIRECTION('ref_axis',(1.,0.,0.)); #413745=DIRECTION('',(0.,0.,1.)); #413746=DIRECTION('center_axis',(0.,0.,-1.)); #413747=DIRECTION('ref_axis',(1.,0.,0.)); #413748=DIRECTION('center_axis',(0.,0.,1.)); #413749=DIRECTION('ref_axis',(1.,0.,0.)); #413750=DIRECTION('center_axis',(0.,0.,1.)); #413751=DIRECTION('ref_axis',(1.,0.,0.)); #413752=DIRECTION('',(0.,0.,1.)); #413753=DIRECTION('center_axis',(0.,0.,-1.)); #413754=DIRECTION('ref_axis',(1.,0.,0.)); #413755=DIRECTION('center_axis',(0.,0.,1.)); #413756=DIRECTION('ref_axis',(1.,0.,0.)); #413757=DIRECTION('center_axis',(0.,0.,1.)); #413758=DIRECTION('ref_axis',(1.,0.,0.)); #413759=DIRECTION('',(0.,0.,1.)); #413760=DIRECTION('center_axis',(0.,0.,-1.)); #413761=DIRECTION('ref_axis',(1.,0.,0.)); #413762=DIRECTION('center_axis',(0.,0.,1.)); #413763=DIRECTION('ref_axis',(1.,0.,0.)); #413764=DIRECTION('center_axis',(0.,0.,1.)); #413765=DIRECTION('ref_axis',(1.,0.,0.)); #413766=DIRECTION('',(0.,0.,1.)); #413767=DIRECTION('center_axis',(0.,0.,-1.)); #413768=DIRECTION('ref_axis',(1.,0.,0.)); #413769=DIRECTION('center_axis',(0.,0.,1.)); #413770=DIRECTION('ref_axis',(1.,0.,0.)); #413771=DIRECTION('center_axis',(0.,0.,1.)); #413772=DIRECTION('ref_axis',(1.,0.,0.)); #413773=DIRECTION('',(0.,0.,1.)); #413774=DIRECTION('center_axis',(0.,0.,-1.)); #413775=DIRECTION('ref_axis',(1.,0.,0.)); #413776=DIRECTION('center_axis',(0.,0.,1.)); #413777=DIRECTION('ref_axis',(1.,0.,0.)); #413778=DIRECTION('center_axis',(0.,0.,1.)); #413779=DIRECTION('ref_axis',(1.,0.,0.)); #413780=DIRECTION('',(0.,0.,1.)); #413781=DIRECTION('center_axis',(0.,0.,-1.)); #413782=DIRECTION('ref_axis',(1.,0.,0.)); #413783=DIRECTION('center_axis',(0.,0.,1.)); #413784=DIRECTION('ref_axis',(1.,0.,0.)); #413785=DIRECTION('center_axis',(0.,0.,1.)); #413786=DIRECTION('ref_axis',(1.,0.,0.)); #413787=DIRECTION('',(0.,0.,1.)); #413788=DIRECTION('center_axis',(0.,0.,-1.)); #413789=DIRECTION('ref_axis',(1.,0.,0.)); #413790=DIRECTION('center_axis',(0.,0.,1.)); #413791=DIRECTION('ref_axis',(1.,0.,0.)); #413792=DIRECTION('center_axis',(0.,0.,1.)); #413793=DIRECTION('ref_axis',(1.,0.,0.)); #413794=DIRECTION('',(0.,0.,1.)); #413795=DIRECTION('center_axis',(0.,0.,-1.)); #413796=DIRECTION('ref_axis',(1.,0.,0.)); #413797=DIRECTION('center_axis',(0.,0.,1.)); #413798=DIRECTION('ref_axis',(1.,0.,0.)); #413799=DIRECTION('center_axis',(0.,0.,1.)); #413800=DIRECTION('ref_axis',(1.,0.,0.)); #413801=DIRECTION('',(0.,0.,1.)); #413802=DIRECTION('center_axis',(0.,0.,-1.)); #413803=DIRECTION('ref_axis',(1.,0.,0.)); #413804=DIRECTION('center_axis',(0.,0.,1.)); #413805=DIRECTION('ref_axis',(1.,0.,0.)); #413806=DIRECTION('center_axis',(0.,0.,1.)); #413807=DIRECTION('ref_axis',(1.,0.,0.)); #413808=DIRECTION('',(0.,0.,1.)); #413809=DIRECTION('center_axis',(0.,0.,-1.)); #413810=DIRECTION('ref_axis',(1.,0.,0.)); #413811=DIRECTION('center_axis',(0.,0.,1.)); #413812=DIRECTION('ref_axis',(1.,0.,0.)); #413813=DIRECTION('center_axis',(0.,0.,1.)); #413814=DIRECTION('ref_axis',(1.,0.,0.)); #413815=DIRECTION('',(0.,0.,1.)); #413816=DIRECTION('center_axis',(0.,0.,-1.)); #413817=DIRECTION('ref_axis',(1.,0.,0.)); #413818=DIRECTION('center_axis',(0.,0.,1.)); #413819=DIRECTION('ref_axis',(1.,0.,0.)); #413820=DIRECTION('center_axis',(0.,0.,1.)); #413821=DIRECTION('ref_axis',(1.,0.,0.)); #413822=DIRECTION('',(0.,0.,1.)); #413823=DIRECTION('center_axis',(0.,0.,-1.)); #413824=DIRECTION('ref_axis',(1.,0.,0.)); #413825=DIRECTION('center_axis',(0.,0.,1.)); #413826=DIRECTION('ref_axis',(1.,0.,0.)); #413827=DIRECTION('center_axis',(0.,0.,1.)); #413828=DIRECTION('ref_axis',(1.,0.,0.)); #413829=DIRECTION('',(0.,0.,1.)); #413830=DIRECTION('center_axis',(0.,0.,-1.)); #413831=DIRECTION('ref_axis',(1.,0.,0.)); #413832=DIRECTION('center_axis',(0.,0.,1.)); #413833=DIRECTION('ref_axis',(1.,0.,0.)); #413834=DIRECTION('center_axis',(0.,0.,1.)); #413835=DIRECTION('ref_axis',(1.,0.,0.)); #413836=DIRECTION('',(0.,0.,1.)); #413837=DIRECTION('center_axis',(0.,0.,-1.)); #413838=DIRECTION('ref_axis',(1.,0.,0.)); #413839=DIRECTION('center_axis',(0.,0.,1.)); #413840=DIRECTION('ref_axis',(1.,0.,0.)); #413841=DIRECTION('center_axis',(0.,0.,1.)); #413842=DIRECTION('ref_axis',(1.,0.,0.)); #413843=DIRECTION('',(0.,0.,1.)); #413844=DIRECTION('center_axis',(0.,0.,-1.)); #413845=DIRECTION('ref_axis',(1.,0.,0.)); #413846=DIRECTION('center_axis',(0.,0.,1.)); #413847=DIRECTION('ref_axis',(1.,0.,0.)); #413848=DIRECTION('center_axis',(0.,0.,1.)); #413849=DIRECTION('ref_axis',(1.,0.,0.)); #413850=DIRECTION('',(0.,0.,1.)); #413851=DIRECTION('center_axis',(0.,0.,-1.)); #413852=DIRECTION('ref_axis',(1.,0.,0.)); #413853=DIRECTION('center_axis',(0.,0.,1.)); #413854=DIRECTION('ref_axis',(1.,0.,0.)); #413855=DIRECTION('center_axis',(0.,0.,1.)); #413856=DIRECTION('ref_axis',(1.,0.,0.)); #413857=DIRECTION('',(0.,0.,1.)); #413858=DIRECTION('center_axis',(0.,0.,-1.)); #413859=DIRECTION('ref_axis',(1.,0.,0.)); #413860=DIRECTION('center_axis',(0.,0.,1.)); #413861=DIRECTION('ref_axis',(1.,0.,0.)); #413862=DIRECTION('center_axis',(0.,0.,1.)); #413863=DIRECTION('ref_axis',(1.,0.,0.)); #413864=DIRECTION('',(0.,0.,1.)); #413865=DIRECTION('center_axis',(0.,0.,-1.)); #413866=DIRECTION('ref_axis',(1.,0.,0.)); #413867=DIRECTION('center_axis',(0.,0.,1.)); #413868=DIRECTION('ref_axis',(1.,0.,0.)); #413869=DIRECTION('center_axis',(0.,0.,1.)); #413870=DIRECTION('ref_axis',(1.,0.,0.)); #413871=DIRECTION('',(0.,0.,1.)); #413872=DIRECTION('center_axis',(0.,0.,-1.)); #413873=DIRECTION('ref_axis',(1.,0.,0.)); #413874=DIRECTION('center_axis',(0.,0.,1.)); #413875=DIRECTION('ref_axis',(1.,0.,0.)); #413876=DIRECTION('center_axis',(0.,0.,1.)); #413877=DIRECTION('ref_axis',(1.,0.,0.)); #413878=DIRECTION('',(0.,0.,1.)); #413879=DIRECTION('center_axis',(0.,0.,-1.)); #413880=DIRECTION('ref_axis',(1.,0.,0.)); #413881=DIRECTION('center_axis',(0.,0.,1.)); #413882=DIRECTION('ref_axis',(1.,0.,0.)); #413883=DIRECTION('center_axis',(0.,0.,1.)); #413884=DIRECTION('ref_axis',(1.,0.,0.)); #413885=DIRECTION('',(0.,0.,1.)); #413886=DIRECTION('center_axis',(0.,0.,-1.)); #413887=DIRECTION('ref_axis',(1.,0.,0.)); #413888=DIRECTION('center_axis',(0.,0.,1.)); #413889=DIRECTION('ref_axis',(1.,0.,0.)); #413890=DIRECTION('center_axis',(0.,0.,1.)); #413891=DIRECTION('ref_axis',(1.,0.,0.)); #413892=DIRECTION('',(0.,0.,1.)); #413893=DIRECTION('center_axis',(0.,0.,-1.)); #413894=DIRECTION('ref_axis',(1.,0.,0.)); #413895=DIRECTION('center_axis',(0.,0.,1.)); #413896=DIRECTION('ref_axis',(1.,0.,0.)); #413897=DIRECTION('center_axis',(0.,0.,1.)); #413898=DIRECTION('ref_axis',(1.,0.,0.)); #413899=DIRECTION('',(0.,0.,1.)); #413900=DIRECTION('center_axis',(0.,0.,-1.)); #413901=DIRECTION('ref_axis',(1.,0.,0.)); #413902=DIRECTION('center_axis',(0.,0.,1.)); #413903=DIRECTION('ref_axis',(1.,0.,0.)); #413904=DIRECTION('center_axis',(0.,0.,1.)); #413905=DIRECTION('ref_axis',(1.,0.,0.)); #413906=DIRECTION('',(0.,0.,1.)); #413907=DIRECTION('center_axis',(0.,0.,-1.)); #413908=DIRECTION('ref_axis',(1.,0.,0.)); #413909=DIRECTION('center_axis',(0.,0.,1.)); #413910=DIRECTION('ref_axis',(1.,0.,0.)); #413911=DIRECTION('center_axis',(0.,0.,1.)); #413912=DIRECTION('ref_axis',(1.,0.,0.)); #413913=DIRECTION('',(0.,0.,1.)); #413914=DIRECTION('center_axis',(0.,0.,-1.)); #413915=DIRECTION('ref_axis',(1.,0.,0.)); #413916=DIRECTION('center_axis',(0.,0.,1.)); #413917=DIRECTION('ref_axis',(1.,0.,0.)); #413918=DIRECTION('center_axis',(0.,0.,1.)); #413919=DIRECTION('ref_axis',(1.,0.,0.)); #413920=DIRECTION('',(0.,0.,1.)); #413921=DIRECTION('center_axis',(0.,0.,-1.)); #413922=DIRECTION('ref_axis',(1.,0.,0.)); #413923=DIRECTION('center_axis',(0.,0.,1.)); #413924=DIRECTION('ref_axis',(1.,0.,0.)); #413925=DIRECTION('center_axis',(0.,0.,1.)); #413926=DIRECTION('ref_axis',(1.,0.,0.)); #413927=DIRECTION('',(0.,0.,1.)); #413928=DIRECTION('center_axis',(0.,0.,-1.)); #413929=DIRECTION('ref_axis',(1.,0.,0.)); #413930=DIRECTION('center_axis',(0.,0.,1.)); #413931=DIRECTION('ref_axis',(1.,0.,0.)); #413932=DIRECTION('center_axis',(0.,0.,1.)); #413933=DIRECTION('ref_axis',(1.,0.,0.)); #413934=DIRECTION('',(0.,0.,1.)); #413935=DIRECTION('center_axis',(0.,0.,-1.)); #413936=DIRECTION('ref_axis',(1.,0.,0.)); #413937=DIRECTION('center_axis',(0.,0.,1.)); #413938=DIRECTION('ref_axis',(1.,0.,0.)); #413939=DIRECTION('center_axis',(0.,0.,1.)); #413940=DIRECTION('ref_axis',(1.,0.,0.)); #413941=DIRECTION('',(0.,0.,1.)); #413942=DIRECTION('center_axis',(0.,0.,-1.)); #413943=DIRECTION('ref_axis',(1.,0.,0.)); #413944=DIRECTION('center_axis',(0.,0.,1.)); #413945=DIRECTION('ref_axis',(1.,0.,0.)); #413946=DIRECTION('center_axis',(0.,0.,1.)); #413947=DIRECTION('ref_axis',(1.,0.,0.)); #413948=DIRECTION('',(0.,0.,1.)); #413949=DIRECTION('center_axis',(0.,0.,-1.)); #413950=DIRECTION('ref_axis',(1.,0.,0.)); #413951=DIRECTION('center_axis',(0.,0.,1.)); #413952=DIRECTION('ref_axis',(1.,0.,0.)); #413953=DIRECTION('center_axis',(0.,0.,1.)); #413954=DIRECTION('ref_axis',(1.,0.,0.)); #413955=DIRECTION('',(0.,0.,1.)); #413956=DIRECTION('center_axis',(0.,0.,-1.)); #413957=DIRECTION('ref_axis',(1.,0.,0.)); #413958=DIRECTION('center_axis',(0.156447762252248,-0.987686234431899,0.)); #413959=DIRECTION('ref_axis',(0.987686234431899,0.156447762252248,0.)); #413960=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #413961=DIRECTION('',(0.,0.,1.)); #413962=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #413963=DIRECTION('',(0.,0.,1.)); #413964=DIRECTION('center_axis',(0.,0.,1.)); #413965=DIRECTION('ref_axis',(-0.461527865934846,0.887125712041776,0.)); #413966=DIRECTION('center_axis',(0.,0.,1.)); #413967=DIRECTION('ref_axis',(-0.461527865934846,0.887125712041776,0.)); #413968=DIRECTION('center_axis',(0.,0.,1.)); #413969=DIRECTION('ref_axis',(-0.461527865934846,0.887125712041776,0.)); #413970=DIRECTION('',(0.,0.,1.)); #413971=DIRECTION('center_axis',(-1.,0.,0.)); #413972=DIRECTION('ref_axis',(0.,-1.,0.)); #413973=DIRECTION('',(0.,-1.,0.)); #413974=DIRECTION('',(0.,-1.,0.)); #413975=DIRECTION('',(0.,0.,1.)); #413976=DIRECTION('center_axis',(0.,0.,1.)); #413977=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154249,0.)); #413978=DIRECTION('center_axis',(0.,0.,1.)); #413979=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154249,0.)); #413980=DIRECTION('center_axis',(0.,0.,1.)); #413981=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154249,0.)); #413982=DIRECTION('',(0.,0.,1.)); #413983=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #413984=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #413985=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #413986=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #413987=DIRECTION('',(0.,0.,1.)); #413988=DIRECTION('center_axis',(0.,0.,1.)); #413989=DIRECTION('ref_axis',(9.84004343396653E-6,0.999999999951587,0.)); #413990=DIRECTION('center_axis',(0.,0.,1.)); #413991=DIRECTION('ref_axis',(9.84004343396653E-6,0.999999999951587,0.)); #413992=DIRECTION('center_axis',(0.,0.,1.)); #413993=DIRECTION('ref_axis',(9.84004343396653E-6,0.999999999951587,0.)); #413994=DIRECTION('',(0.,0.,1.)); #413995=DIRECTION('center_axis',(0.,1.,0.)); #413996=DIRECTION('ref_axis',(-1.,0.,0.)); #413997=DIRECTION('',(-1.,0.,0.)); #413998=DIRECTION('',(-1.,0.,0.)); #413999=DIRECTION('',(0.,0.,1.)); #414000=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #414001=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #414002=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #414003=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #414004=DIRECTION('',(0.,0.,1.)); #414005=DIRECTION('center_axis',(-1.,0.,0.)); #414006=DIRECTION('ref_axis',(0.,-1.,0.)); #414007=DIRECTION('',(0.,-1.,0.)); #414008=DIRECTION('',(0.,-1.,0.)); #414009=DIRECTION('',(0.,0.,1.)); #414010=DIRECTION('center_axis',(-0.183379387167296,-0.983042216978573, 0.)); #414011=DIRECTION('ref_axis',(0.983042216978573,-0.183379387167296,0.)); #414012=DIRECTION('',(0.983042216978573,-0.183379387167296,0.)); #414013=DIRECTION('',(0.983042216978573,-0.183379387167296,0.)); #414014=DIRECTION('',(0.,0.,1.)); #414015=DIRECTION('center_axis',(-0.552204950198475,-0.833708398048322, 0.)); #414016=DIRECTION('ref_axis',(0.833708398048322,-0.552204950198475,0.)); #414017=DIRECTION('',(0.833708398048322,-0.552204950198475,0.)); #414018=DIRECTION('',(0.833708398048322,-0.552204950198475,0.)); #414019=DIRECTION('',(0.,0.,1.)); #414020=DIRECTION('center_axis',(-0.70710678118667,-0.707106781186425,0.)); #414021=DIRECTION('ref_axis',(0.707106781186425,-0.70710678118667,0.)); #414022=DIRECTION('',(0.707106781186425,-0.70710678118667,0.)); #414023=DIRECTION('',(0.707106781186425,-0.70710678118667,0.)); #414024=DIRECTION('',(0.,0.,1.)); #414025=DIRECTION('center_axis',(0.,-1.,0.)); #414026=DIRECTION('ref_axis',(1.,0.,0.)); #414027=DIRECTION('',(1.,0.,0.)); #414028=DIRECTION('',(1.,0.,0.)); #414029=DIRECTION('',(0.,0.,1.)); #414030=DIRECTION('center_axis',(-0.191191000932715,-0.981552851945501, 0.)); #414031=DIRECTION('ref_axis',(0.981552851945501,-0.191191000932715,0.)); #414032=DIRECTION('',(0.981552851945501,-0.191191000932715,0.)); #414033=DIRECTION('',(0.981552851945501,-0.191191000932715,0.)); #414034=DIRECTION('',(0.,0.,1.)); #414035=DIRECTION('center_axis',(-0.552227809242888,-0.833693256958937, 0.)); #414036=DIRECTION('ref_axis',(0.833693256958937,-0.552227809242888,0.)); #414037=DIRECTION('',(0.833693256958937,-0.552227809242888,0.)); #414038=DIRECTION('',(0.833693256958937,-0.552227809242888,0.)); #414039=DIRECTION('',(0.,0.,1.)); #414040=DIRECTION('center_axis',(-0.707105793340283,-0.707107769031432, 0.)); #414041=DIRECTION('ref_axis',(0.707107769031432,-0.707105793340283,0.)); #414042=DIRECTION('',(0.707107769031432,-0.707105793340283,0.)); #414043=DIRECTION('',(0.707107769031432,-0.707105793340283,0.)); #414044=DIRECTION('',(0.,0.,1.)); #414045=DIRECTION('center_axis',(0.,-1.,0.)); #414046=DIRECTION('ref_axis',(1.,0.,0.)); #414047=DIRECTION('',(1.,0.,0.)); #414048=DIRECTION('',(1.,0.,0.)); #414049=DIRECTION('',(0.,0.,1.)); #414050=DIRECTION('center_axis',(-0.191191000932715,-0.981552851945501, 0.)); #414051=DIRECTION('ref_axis',(0.981552851945501,-0.191191000932715,0.)); #414052=DIRECTION('',(0.981552851945501,-0.191191000932715,0.)); #414053=DIRECTION('',(0.981552851945501,-0.191191000932715,0.)); #414054=DIRECTION('',(0.,0.,1.)); #414055=DIRECTION('center_axis',(-0.552227809242888,-0.833693256958937, 0.)); #414056=DIRECTION('ref_axis',(0.833693256958937,-0.552227809242888,0.)); #414057=DIRECTION('',(0.833693256958937,-0.552227809242888,0.)); #414058=DIRECTION('',(0.833693256958937,-0.552227809242888,0.)); #414059=DIRECTION('',(0.,0.,1.)); #414060=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #414061=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #414062=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #414063=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #414064=DIRECTION('',(0.,0.,1.)); #414065=DIRECTION('center_axis',(-0.829258128536049,-0.55886577660194,0.)); #414066=DIRECTION('ref_axis',(0.55886577660194,-0.829258128536049,0.)); #414067=DIRECTION('',(0.55886577660194,-0.829258128536049,0.)); #414068=DIRECTION('',(0.55886577660194,-0.829258128536049,0.)); #414069=DIRECTION('',(0.,0.,1.)); #414070=DIRECTION('center_axis',(-0.979998112340235,-0.199006783325535, 0.)); #414071=DIRECTION('ref_axis',(0.199006783325535,-0.979998112340235,0.)); #414072=DIRECTION('',(0.199006783325535,-0.979998112340235,0.)); #414073=DIRECTION('',(0.199006783325535,-0.979998112340235,0.)); #414074=DIRECTION('',(0.,0.,1.)); #414075=DIRECTION('center_axis',(-1.,0.,0.)); #414076=DIRECTION('ref_axis',(0.,-1.,0.)); #414077=DIRECTION('',(0.,-1.,0.)); #414078=DIRECTION('',(0.,-1.,0.)); #414079=DIRECTION('',(0.,0.,1.)); #414080=DIRECTION('center_axis',(-0.981552851945467,0.191191000932891,0.)); #414081=DIRECTION('ref_axis',(-0.191191000932891,-0.981552851945467,0.)); #414082=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #414083=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #414084=DIRECTION('',(0.,0.,1.)); #414085=DIRECTION('center_axis',(-0.833693256959018,0.552227809242766,0.)); #414086=DIRECTION('ref_axis',(-0.552227809242766,-0.833693256959018,0.)); #414087=DIRECTION('',(-0.552227809242766,-0.833693256959018,0.)); #414088=DIRECTION('',(-0.552227809242766,-0.833693256959018,0.)); #414089=DIRECTION('',(0.,0.,1.)); #414090=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #414091=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #414092=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #414093=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #414094=DIRECTION('',(0.,0.,1.)); #414095=DIRECTION('center_axis',(-0.558865776601981,0.829258128536022,0.)); #414096=DIRECTION('ref_axis',(-0.829258128536021,-0.558865776601981,0.)); #414097=DIRECTION('',(-0.829258128536021,-0.558865776601981,0.)); #414098=DIRECTION('',(-0.829258128536021,-0.558865776601981,0.)); #414099=DIRECTION('',(0.,0.,1.)); #414100=DIRECTION('center_axis',(-0.199006783325366,0.979998112340269,0.)); #414101=DIRECTION('ref_axis',(-0.979998112340269,-0.199006783325366,0.)); #414102=DIRECTION('',(-0.979998112340269,-0.199006783325366,0.)); #414103=DIRECTION('',(-0.979998112340269,-0.199006783325366,0.)); #414104=DIRECTION('',(0.,0.,1.)); #414105=DIRECTION('center_axis',(0.,1.,0.)); #414106=DIRECTION('ref_axis',(-1.,0.,0.)); #414107=DIRECTION('',(-1.,0.,0.)); #414108=DIRECTION('',(-1.,0.,0.)); #414109=DIRECTION('',(0.,0.,1.)); #414110=DIRECTION('center_axis',(0.191191000932715,0.981552851945501,0.)); #414111=DIRECTION('ref_axis',(-0.981552851945501,0.191191000932715,0.)); #414112=DIRECTION('',(-0.981552851945501,0.191191000932715,0.)); #414113=DIRECTION('',(-0.981552851945501,0.191191000932715,0.)); #414114=DIRECTION('',(0.,0.,1.)); #414115=DIRECTION('center_axis',(0.552218701081331,0.833699290017718,0.)); #414116=DIRECTION('ref_axis',(-0.833699290017718,0.552218701081331,0.)); #414117=DIRECTION('',(-0.833699290017718,0.552218701081331,0.)); #414118=DIRECTION('',(-0.833699290017718,0.552218701081331,0.)); #414119=DIRECTION('',(0.,0.,1.)); #414120=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #414121=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #414122=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #414123=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #414124=DIRECTION('',(0.,0.,1.)); #414125=DIRECTION('center_axis',(0.,1.,0.)); #414126=DIRECTION('ref_axis',(-1.,0.,0.)); #414127=DIRECTION('',(-1.,0.,0.)); #414128=DIRECTION('',(-1.,0.,0.)); #414129=DIRECTION('',(0.,0.,1.)); #414130=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #414131=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #414132=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #414133=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #414134=DIRECTION('',(0.,0.,1.)); #414135=DIRECTION('center_axis',(-1.,0.,0.)); #414136=DIRECTION('ref_axis',(0.,-1.,0.)); #414137=DIRECTION('',(0.,-1.,0.)); #414138=DIRECTION('',(0.,-1.,0.)); #414139=DIRECTION('',(0.,0.,1.)); #414140=DIRECTION('center_axis',(-0.967598887509711,0.252492362042832,0.)); #414141=DIRECTION('ref_axis',(-0.252492362042832,-0.967598887509711,0.)); #414142=DIRECTION('',(-0.252492362042832,-0.967598887509711,0.)); #414143=DIRECTION('',(-0.252492362042832,-0.967598887509711,0.)); #414144=DIRECTION('',(0.,0.,1.)); #414145=DIRECTION('center_axis',(-0.757857751583445,0.652419825238998,0.)); #414146=DIRECTION('ref_axis',(-0.652419825238998,-0.757857751583445,0.)); #414147=DIRECTION('',(-0.652419825238998,-0.757857751583445,0.)); #414148=DIRECTION('',(-0.652419825238998,-0.757857751583445,0.)); #414149=DIRECTION('',(0.,0.,1.)); #414150=DIRECTION('center_axis',(-0.706504732320894,-0.707708317888226, 0.)); #414151=DIRECTION('ref_axis',(0.707708317888226,-0.706504732320894,0.)); #414152=DIRECTION('',(0.707708317888226,-0.706504732320894,0.)); #414153=DIRECTION('',(0.707708317888226,-0.706504732320894,0.)); #414154=DIRECTION('',(0.,0.,1.)); #414155=DIRECTION('center_axis',(-0.707106781186545,0.70710678118655,0.)); #414156=DIRECTION('ref_axis',(-0.70710678118655,-0.707106781186545,0.)); #414157=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #414158=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #414159=DIRECTION('',(0.,0.,1.)); #414160=DIRECTION('center_axis',(-0.558856232805025,0.829264560351506,0.)); #414161=DIRECTION('ref_axis',(-0.829264560351506,-0.558856232805025,0.)); #414162=DIRECTION('',(-0.829264560351506,-0.558856232805025,0.)); #414163=DIRECTION('',(-0.829264560351506,-0.558856232805025,0.)); #414164=DIRECTION('',(0.,0.,1.)); #414165=DIRECTION('center_axis',(-0.199010641789413,0.97999732879971,0.)); #414166=DIRECTION('ref_axis',(-0.97999732879971,-0.199010641789413,0.)); #414167=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #414168=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #414169=DIRECTION('',(0.,0.,1.)); #414170=DIRECTION('center_axis',(0.,1.,0.)); #414171=DIRECTION('ref_axis',(-1.,0.,0.)); #414172=DIRECTION('',(-1.,0.,0.)); #414173=DIRECTION('',(-1.,0.,0.)); #414174=DIRECTION('',(0.,0.,1.)); #414175=DIRECTION('center_axis',(0.183314787014792,0.983054265471506,0.)); #414176=DIRECTION('ref_axis',(-0.983054265471506,0.183314787014792,0.)); #414177=DIRECTION('',(-0.983054265471506,0.183314787014792,0.)); #414178=DIRECTION('',(-0.983054265471506,0.183314787014792,0.)); #414179=DIRECTION('',(0.,0.,1.)); #414180=DIRECTION('center_axis',(0.538928498327957,0.842351514327583,0.)); #414181=DIRECTION('ref_axis',(-0.842351514327584,0.538928498327957,0.)); #414182=DIRECTION('',(-0.842351514327584,0.538928498327957,0.)); #414183=DIRECTION('',(-0.842351514327584,0.538928498327957,0.)); #414184=DIRECTION('',(0.,0.,1.)); #414185=DIRECTION('center_axis',(0.824766287441752,0.565473758099833,0.)); #414186=DIRECTION('ref_axis',(-0.565473758099833,0.824766287441752,0.)); #414187=DIRECTION('',(-0.565473758099833,0.824766287441752,0.)); #414188=DIRECTION('',(-0.565473758099833,0.824766287441752,0.)); #414189=DIRECTION('',(0.,0.,1.)); #414190=DIRECTION('center_axis',(0.979997328799717,0.199010641789378,0.)); #414191=DIRECTION('ref_axis',(-0.199010641789378,0.979997328799717,0.)); #414192=DIRECTION('',(-0.199010641789378,0.979997328799717,0.)); #414193=DIRECTION('',(-0.199010641789378,0.979997328799717,0.)); #414194=DIRECTION('',(0.,0.,1.)); #414195=DIRECTION('center_axis',(1.,0.,0.)); #414196=DIRECTION('ref_axis',(0.,1.,0.)); #414197=DIRECTION('',(0.,1.,0.)); #414198=DIRECTION('',(0.,1.,0.)); #414199=DIRECTION('',(0.,0.,1.)); #414200=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #414201=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #414202=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #414203=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #414204=DIRECTION('',(0.,0.,1.)); #414205=DIRECTION('center_axis',(0.,1.,0.)); #414206=DIRECTION('ref_axis',(-1.,0.,0.)); #414207=DIRECTION('',(-1.,0.,0.)); #414208=DIRECTION('',(-1.,0.,0.)); #414209=DIRECTION('',(0.,0.,1.)); #414210=DIRECTION('center_axis',(-0.707106781186512,0.707106781186583,0.)); #414211=DIRECTION('ref_axis',(-0.707106781186583,-0.707106781186512,0.)); #414212=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #414213=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #414214=DIRECTION('',(0.,0.,1.)); #414215=DIRECTION('center_axis',(-1.,0.,0.)); #414216=DIRECTION('ref_axis',(0.,-1.,0.)); #414217=DIRECTION('',(0.,-1.,0.)); #414218=DIRECTION('',(0.,-1.,0.)); #414219=DIRECTION('',(0.,0.,1.)); #414220=DIRECTION('center_axis',(-0.983050395657604,0.183335538282758,0.)); #414221=DIRECTION('ref_axis',(-0.183335538282758,-0.983050395657604,0.)); #414222=DIRECTION('',(-0.183335538282758,-0.983050395657604,0.)); #414223=DIRECTION('',(-0.183335538282758,-0.983050395657604,0.)); #414224=DIRECTION('',(0.,0.,1.)); #414225=DIRECTION('center_axis',(-0.842360495470256,0.538914460439786,0.)); #414226=DIRECTION('ref_axis',(-0.538914460439786,-0.842360495470256,0.)); #414227=DIRECTION('',(-0.538914460439786,-0.842360495470256,0.)); #414228=DIRECTION('',(-0.538914460439786,-0.842360495470256,0.)); #414229=DIRECTION('',(0.,0.,1.)); #414230=DIRECTION('center_axis',(-0.565473758099632,0.824766287441891,0.)); #414231=DIRECTION('ref_axis',(-0.824766287441891,-0.565473758099632,0.)); #414232=DIRECTION('',(-0.824766287441891,-0.565473758099632,0.)); #414233=DIRECTION('',(-0.824766287441891,-0.565473758099632,0.)); #414234=DIRECTION('',(0.,0.,1.)); #414235=DIRECTION('center_axis',(-0.199010641789413,0.97999732879971,0.)); #414236=DIRECTION('ref_axis',(-0.97999732879971,-0.199010641789413,0.)); #414237=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #414238=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #414239=DIRECTION('',(0.,0.,1.)); #414240=DIRECTION('center_axis',(0.,1.,0.)); #414241=DIRECTION('ref_axis',(-1.,0.,0.)); #414242=DIRECTION('',(-1.,0.,0.)); #414243=DIRECTION('',(-1.,0.,0.)); #414244=DIRECTION('',(0.,0.,1.)); #414245=DIRECTION('center_axis',(0.191191000932891,0.981552851945467,0.)); #414246=DIRECTION('ref_axis',(-0.981552851945467,0.191191000932891,0.)); #414247=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #414248=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #414249=DIRECTION('',(0.,0.,1.)); #414250=DIRECTION('center_axis',(0.552227809242766,0.833693256959018,0.)); #414251=DIRECTION('ref_axis',(-0.833693256959018,0.552227809242766,0.)); #414252=DIRECTION('',(-0.833693256959018,0.552227809242766,0.)); #414253=DIRECTION('',(-0.833693256959018,0.552227809242766,0.)); #414254=DIRECTION('',(0.,0.,1.)); #414255=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #414256=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #414257=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #414258=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #414259=DIRECTION('',(0.,0.,1.)); #414260=DIRECTION('center_axis',(0.829258128536049,0.55886577660194,0.)); #414261=DIRECTION('ref_axis',(-0.55886577660194,0.829258128536049,0.)); #414262=DIRECTION('',(-0.55886577660194,0.829258128536049,0.)); #414263=DIRECTION('',(-0.55886577660194,0.829258128536049,0.)); #414264=DIRECTION('',(0.,0.,1.)); #414265=DIRECTION('center_axis',(0.979998112340235,0.199006783325535,0.)); #414266=DIRECTION('ref_axis',(-0.199006783325535,0.979998112340235,0.)); #414267=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #414268=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #414269=DIRECTION('',(0.,0.,1.)); #414270=DIRECTION('center_axis',(1.,0.,0.)); #414271=DIRECTION('ref_axis',(0.,1.,0.)); #414272=DIRECTION('',(0.,1.,0.)); #414273=DIRECTION('',(0.,1.,0.)); #414274=DIRECTION('',(0.,0.,1.)); #414275=DIRECTION('center_axis',(0.981552851945467,-0.191191000932891,0.)); #414276=DIRECTION('ref_axis',(0.191191000932891,0.981552851945467,0.)); #414277=DIRECTION('',(0.191191000932891,0.981552851945467,0.)); #414278=DIRECTION('',(0.191191000932891,0.981552851945467,0.)); #414279=DIRECTION('',(0.,0.,1.)); #414280=DIRECTION('center_axis',(0.833693256959072,-0.552227809242685,0.)); #414281=DIRECTION('ref_axis',(0.552227809242685,0.833693256959072,0.)); #414282=DIRECTION('',(0.552227809242685,0.833693256959072,0.)); #414283=DIRECTION('',(0.552227809242685,0.833693256959072,0.)); #414284=DIRECTION('',(0.,0.,1.)); #414285=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #414286=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #414287=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #414288=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #414289=DIRECTION('',(0.,0.,1.)); #414290=DIRECTION('center_axis',(0.558865776601855,-0.829258128536106,0.)); #414291=DIRECTION('ref_axis',(0.829258128536106,0.558865776601855,0.)); #414292=DIRECTION('',(0.829258128536106,0.558865776601855,0.)); #414293=DIRECTION('',(0.829258128536106,0.558865776601855,0.)); #414294=DIRECTION('',(0.,0.,1.)); #414295=DIRECTION('center_axis',(0.199006783325535,-0.979998112340235,0.)); #414296=DIRECTION('ref_axis',(0.979998112340235,0.199006783325535,0.)); #414297=DIRECTION('',(0.979998112340235,0.199006783325535,0.)); #414298=DIRECTION('',(0.979998112340235,0.199006783325535,0.)); #414299=DIRECTION('',(0.,0.,1.)); #414300=DIRECTION('center_axis',(0.,-1.,0.)); #414301=DIRECTION('ref_axis',(1.,0.,0.)); #414302=DIRECTION('',(1.,0.,0.)); #414303=DIRECTION('',(1.,0.,0.)); #414304=DIRECTION('',(0.,0.,1.)); #414305=DIRECTION('center_axis',(0.707106781186544,-0.707106781186551,0.)); #414306=DIRECTION('ref_axis',(0.707106781186551,0.707106781186544,0.)); #414307=DIRECTION('',(0.707106781186551,0.707106781186544,0.)); #414308=DIRECTION('',(0.707106781186551,0.707106781186544,0.)); #414309=DIRECTION('',(0.,0.,1.)); #414310=DIRECTION('center_axis',(1.,0.,0.)); #414311=DIRECTION('ref_axis',(0.,1.,0.)); #414312=DIRECTION('',(0.,1.,0.)); #414313=DIRECTION('',(0.,1.,0.)); #414314=DIRECTION('',(0.,0.,1.)); #414315=DIRECTION('center_axis',(0.981552099144164,-0.191194865688612,0.)); #414316=DIRECTION('ref_axis',(0.191194865688612,0.981552099144164,0.)); #414317=DIRECTION('',(0.191194865688612,0.981552099144164,0.)); #414318=DIRECTION('',(0.191194865688612,0.981552099144164,0.)); #414319=DIRECTION('',(0.,0.,1.)); #414320=DIRECTION('center_axis',(0.833692717809995,-0.552228623190236,0.)); #414321=DIRECTION('ref_axis',(0.552228623190236,0.833692717809995,0.)); #414322=DIRECTION('',(0.552228623190236,0.833692717809995,0.)); #414323=DIRECTION('',(0.552228623190236,0.833692717809995,0.)); #414324=DIRECTION('',(0.,0.,1.)); #414325=DIRECTION('center_axis',(1.,0.,0.)); #414326=DIRECTION('ref_axis',(0.,1.,0.)); #414327=DIRECTION('',(0.,1.,0.)); #414328=DIRECTION('',(0.,1.,0.)); #414329=DIRECTION('',(0.,0.,1.)); #414330=DIRECTION('center_axis',(0.,0.,1.)); #414331=DIRECTION('ref_axis',(0.707110948194308,-0.707102614154231,0.)); #414332=DIRECTION('center_axis',(0.,0.,1.)); #414333=DIRECTION('ref_axis',(0.707110948194308,-0.707102614154231,0.)); #414334=DIRECTION('center_axis',(0.,0.,1.)); #414335=DIRECTION('ref_axis',(0.707110948194308,-0.707102614154231,0.)); #414336=DIRECTION('',(0.,0.,1.)); #414337=DIRECTION('center_axis',(0.707106781186543,-0.707106781186552,0.)); #414338=DIRECTION('ref_axis',(0.707106781186552,0.707106781186543,0.)); #414339=DIRECTION('',(0.707106781186552,0.707106781186543,0.)); #414340=DIRECTION('',(0.707106781186552,0.707106781186543,0.)); #414341=DIRECTION('',(0.,0.,1.)); #414342=DIRECTION('center_axis',(0.,0.,1.)); #414343=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #414344=DIRECTION('center_axis',(0.,0.,1.)); #414345=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #414346=DIRECTION('center_axis',(0.,0.,1.)); #414347=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #414348=DIRECTION('',(0.,0.,1.)); #414349=DIRECTION('center_axis',(0.,-1.,0.)); #414350=DIRECTION('ref_axis',(1.,0.,0.)); #414351=DIRECTION('',(1.,0.,0.)); #414352=DIRECTION('',(1.,0.,0.)); #414353=DIRECTION('',(0.,0.,1.)); #414354=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,0.)); #414355=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.)); #414356=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #414357=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #414358=DIRECTION('',(0.,0.,1.)); #414359=DIRECTION('center_axis',(1.,0.,0.)); #414360=DIRECTION('ref_axis',(0.,1.,0.)); #414361=DIRECTION('',(0.,1.,0.)); #414362=DIRECTION('',(0.,1.,0.)); #414363=DIRECTION('',(0.,0.,1.)); #414364=DIRECTION('center_axis',(0.,0.,1.)); #414365=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #414366=DIRECTION('center_axis',(0.,0.,1.)); #414367=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #414368=DIRECTION('center_axis',(0.,0.,1.)); #414369=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #414370=DIRECTION('center_axis',(0.,0.,1.)); #414371=DIRECTION('ref_axis',(1.,0.,0.)); #414372=DIRECTION('center_axis',(0.,0.,1.)); #414373=DIRECTION('ref_axis',(1.,0.,0.)); #414374=DIRECTION('center_axis',(0.,0.,1.)); #414375=DIRECTION('ref_axis',(1.,0.,0.)); #414376=DIRECTION('center_axis',(0.,0.,1.)); #414377=DIRECTION('ref_axis',(1.,0.,0.)); #414378=DIRECTION('',(0.,0.,1.)); #414379=DIRECTION('center_axis',(0.,0.,-1.)); #414380=DIRECTION('ref_axis',(1.,0.,0.)); #414381=DIRECTION('center_axis',(1.,0.,0.)); #414382=DIRECTION('ref_axis',(0.,1.,0.)); #414383=DIRECTION('',(0.,1.,0.)); #414384=DIRECTION('',(0.,0.,1.)); #414385=DIRECTION('',(0.,1.,0.)); #414386=DIRECTION('',(0.,0.,1.)); #414387=DIRECTION('center_axis',(0.,-1.,0.)); #414388=DIRECTION('ref_axis',(1.,0.,0.)); #414389=DIRECTION('',(1.,0.,0.)); #414390=DIRECTION('',(1.,0.,0.)); #414391=DIRECTION('',(0.,0.,1.)); #414392=DIRECTION('center_axis',(-1.,0.,0.)); #414393=DIRECTION('ref_axis',(0.,-1.,0.)); #414394=DIRECTION('',(0.,-1.,0.)); #414395=DIRECTION('',(0.,-1.,0.)); #414396=DIRECTION('',(0.,0.,1.)); #414397=DIRECTION('center_axis',(0.,1.,0.)); #414398=DIRECTION('ref_axis',(-1.,0.,0.)); #414399=DIRECTION('',(-1.,0.,0.)); #414400=DIRECTION('',(-1.,0.,0.)); #414401=DIRECTION('',(0.,0.,1.)); #414402=DIRECTION('center_axis',(-1.,0.,0.)); #414403=DIRECTION('ref_axis',(0.,-1.,0.)); #414404=DIRECTION('',(0.,-1.,0.)); #414405=DIRECTION('',(0.,-1.,0.)); #414406=DIRECTION('',(0.,0.,1.)); #414407=DIRECTION('center_axis',(0.,0.,1.)); #414408=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #414409=DIRECTION('center_axis',(0.,0.,1.)); #414410=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #414411=DIRECTION('center_axis',(0.,0.,1.)); #414412=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #414413=DIRECTION('',(0.,0.,1.)); #414414=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,0.)); #414415=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,0.)); #414416=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #414417=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #414418=DIRECTION('',(0.,0.,1.)); #414419=DIRECTION('center_axis',(-1.,0.,0.)); #414420=DIRECTION('ref_axis',(0.,-1.,0.)); #414421=DIRECTION('',(0.,-1.,0.)); #414422=DIRECTION('',(0.,-1.,0.)); #414423=DIRECTION('',(0.,0.,1.)); #414424=DIRECTION('center_axis',(0.,0.,1.)); #414425=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #414426=DIRECTION('center_axis',(0.,0.,1.)); #414427=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #414428=DIRECTION('center_axis',(0.,0.,1.)); #414429=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #414430=DIRECTION('',(0.,0.,1.)); #414431=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #414432=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #414433=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #414434=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #414435=DIRECTION('',(0.,0.,1.)); #414436=DIRECTION('center_axis',(-1.,0.,0.)); #414437=DIRECTION('ref_axis',(0.,-1.,0.)); #414438=DIRECTION('',(0.,-1.,0.)); #414439=DIRECTION('',(0.,-1.,0.)); #414440=DIRECTION('',(0.,0.,1.)); #414441=DIRECTION('center_axis',(0.,0.,1.)); #414442=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #414443=DIRECTION('center_axis',(0.,0.,1.)); #414444=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #414445=DIRECTION('center_axis',(0.,0.,1.)); #414446=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #414447=DIRECTION('',(0.,0.,1.)); #414448=DIRECTION('center_axis',(0.,0.,1.)); #414449=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #414450=DIRECTION('center_axis',(0.,0.,1.)); #414451=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #414452=DIRECTION('center_axis',(0.,0.,1.)); #414453=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #414454=DIRECTION('',(0.,0.,1.)); #414455=DIRECTION('center_axis',(1.,0.,0.)); #414456=DIRECTION('ref_axis',(0.,1.,0.)); #414457=DIRECTION('',(0.,1.,0.)); #414458=DIRECTION('',(0.,1.,0.)); #414459=DIRECTION('',(0.,0.,1.)); #414460=DIRECTION('center_axis',(0.,0.,1.)); #414461=DIRECTION('ref_axis',(0.707110948194255,-0.707102614154283,0.)); #414462=DIRECTION('center_axis',(0.,0.,1.)); #414463=DIRECTION('ref_axis',(0.707110948194255,-0.707102614154283,0.)); #414464=DIRECTION('center_axis',(0.,0.,1.)); #414465=DIRECTION('ref_axis',(0.707110948194255,-0.707102614154283,0.)); #414466=DIRECTION('',(0.,0.,1.)); #414467=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #414468=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #414469=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #414470=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #414471=DIRECTION('',(0.,0.,1.)); #414472=DIRECTION('center_axis',(1.,0.,0.)); #414473=DIRECTION('ref_axis',(0.,1.,0.)); #414474=DIRECTION('',(0.,1.,0.)); #414475=DIRECTION('',(0.,1.,0.)); #414476=DIRECTION('',(0.,0.,1.)); #414477=DIRECTION('center_axis',(0.,0.,1.)); #414478=DIRECTION('ref_axis',(0.707110948194325,-0.707102614154214,0.)); #414479=DIRECTION('center_axis',(0.,0.,1.)); #414480=DIRECTION('ref_axis',(0.707110948194325,-0.707102614154214,0.)); #414481=DIRECTION('center_axis',(0.,0.,1.)); #414482=DIRECTION('ref_axis',(0.707110948194325,-0.707102614154214,0.)); #414483=DIRECTION('',(0.,0.,1.)); #414484=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #414485=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,0.)); #414486=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #414487=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #414488=DIRECTION('',(0.,0.,1.)); #414489=DIRECTION('center_axis',(1.,0.,0.)); #414490=DIRECTION('ref_axis',(0.,1.,0.)); #414491=DIRECTION('',(0.,1.,0.)); #414492=DIRECTION('',(0.,1.,0.)); #414493=DIRECTION('',(0.,0.,1.)); #414494=DIRECTION('center_axis',(0.,1.,0.)); #414495=DIRECTION('ref_axis',(-1.,0.,0.)); #414496=DIRECTION('',(-1.,0.,0.)); #414497=DIRECTION('',(-1.,0.,0.)); #414498=DIRECTION('center_axis',(0.,0.,1.)); #414499=DIRECTION('ref_axis',(1.,0.,0.)); #414500=DIRECTION('center_axis',(0.,0.,1.)); #414501=DIRECTION('ref_axis',(1.,0.,0.)); #414502=DIRECTION('center_axis',(0.,0.,1.)); #414503=DIRECTION('ref_axis',(1.,0.,0.)); #414504=DIRECTION('center_axis',(0.,0.,1.)); #414505=DIRECTION('ref_axis',(1.,0.,0.)); #414506=DIRECTION('',(0.,0.,1.)); #414507=DIRECTION('center_axis',(0.,0.,-1.)); #414508=DIRECTION('ref_axis',(1.,0.,0.)); #414509=DIRECTION('center_axis',(0.,0.,1.)); #414510=DIRECTION('ref_axis',(1.,0.,0.)); #414511=DIRECTION('center_axis',(0.,0.,1.)); #414512=DIRECTION('ref_axis',(1.,0.,0.)); #414513=DIRECTION('',(0.,0.,1.)); #414514=DIRECTION('center_axis',(0.,0.,-1.)); #414515=DIRECTION('ref_axis',(1.,0.,0.)); #414516=DIRECTION('center_axis',(0.,0.,1.)); #414517=DIRECTION('ref_axis',(1.,0.,0.)); #414518=DIRECTION('center_axis',(0.,0.,1.)); #414519=DIRECTION('ref_axis',(1.,0.,0.)); #414520=DIRECTION('',(0.,0.,1.)); #414521=DIRECTION('center_axis',(0.,0.,-1.)); #414522=DIRECTION('ref_axis',(1.,0.,0.)); #414523=DIRECTION('center_axis',(0.,0.,1.)); #414524=DIRECTION('ref_axis',(1.,0.,0.)); #414525=DIRECTION('center_axis',(0.,0.,1.)); #414526=DIRECTION('ref_axis',(1.,0.,0.)); #414527=DIRECTION('',(0.,0.,1.)); #414528=DIRECTION('center_axis',(0.,0.,-1.)); #414529=DIRECTION('ref_axis',(1.,0.,0.)); #414530=DIRECTION('center_axis',(0.,0.,1.)); #414531=DIRECTION('ref_axis',(1.,0.,0.)); #414532=DIRECTION('center_axis',(0.,0.,1.)); #414533=DIRECTION('ref_axis',(1.,0.,0.)); #414534=DIRECTION('',(0.,0.,1.)); #414535=DIRECTION('center_axis',(0.,0.,-1.)); #414536=DIRECTION('ref_axis',(1.,0.,0.)); #414537=DIRECTION('center_axis',(0.,0.,1.)); #414538=DIRECTION('ref_axis',(1.,0.,0.)); #414539=DIRECTION('center_axis',(0.,0.,1.)); #414540=DIRECTION('ref_axis',(1.,0.,0.)); #414541=DIRECTION('',(0.,0.,1.)); #414542=DIRECTION('center_axis',(0.,0.,-1.)); #414543=DIRECTION('ref_axis',(1.,0.,0.)); #414544=DIRECTION('center_axis',(0.,0.,1.)); #414545=DIRECTION('ref_axis',(1.,0.,0.)); #414546=DIRECTION('center_axis',(0.,0.,1.)); #414547=DIRECTION('ref_axis',(1.,0.,0.)); #414548=DIRECTION('',(0.,0.,1.)); #414549=DIRECTION('center_axis',(0.,0.,-1.)); #414550=DIRECTION('ref_axis',(1.,0.,0.)); #414551=DIRECTION('center_axis',(0.,0.,1.)); #414552=DIRECTION('ref_axis',(1.,0.,0.)); #414553=DIRECTION('center_axis',(0.,0.,1.)); #414554=DIRECTION('ref_axis',(1.,0.,0.)); #414555=DIRECTION('',(0.,0.,1.)); #414556=DIRECTION('center_axis',(0.,0.,-1.)); #414557=DIRECTION('ref_axis',(1.,0.,0.)); #414558=DIRECTION('center_axis',(0.,0.,1.)); #414559=DIRECTION('ref_axis',(1.,0.,0.)); #414560=DIRECTION('center_axis',(0.,0.,1.)); #414561=DIRECTION('ref_axis',(1.,0.,0.)); #414562=DIRECTION('',(0.,0.,1.)); #414563=DIRECTION('center_axis',(0.,0.,-1.)); #414564=DIRECTION('ref_axis',(1.,0.,0.)); #414565=DIRECTION('center_axis',(0.,0.,1.)); #414566=DIRECTION('ref_axis',(1.,0.,0.)); #414567=DIRECTION('center_axis',(0.,0.,1.)); #414568=DIRECTION('ref_axis',(1.,0.,0.)); #414569=DIRECTION('',(0.,0.,1.)); #414570=DIRECTION('center_axis',(0.,0.,-1.)); #414571=DIRECTION('ref_axis',(1.,0.,0.)); #414572=DIRECTION('center_axis',(0.,0.,1.)); #414573=DIRECTION('ref_axis',(1.,0.,0.)); #414574=DIRECTION('center_axis',(0.,0.,1.)); #414575=DIRECTION('ref_axis',(1.,0.,0.)); #414576=DIRECTION('',(0.,0.,1.)); #414577=DIRECTION('center_axis',(0.,0.,-1.)); #414578=DIRECTION('ref_axis',(1.,0.,0.)); #414579=DIRECTION('center_axis',(0.,0.,1.)); #414580=DIRECTION('ref_axis',(1.,0.,0.)); #414581=DIRECTION('center_axis',(0.,0.,1.)); #414582=DIRECTION('ref_axis',(1.,0.,0.)); #414583=DIRECTION('',(0.,0.,1.)); #414584=DIRECTION('center_axis',(0.,0.,-1.)); #414585=DIRECTION('ref_axis',(1.,0.,0.)); #414586=DIRECTION('center_axis',(0.,0.,1.)); #414587=DIRECTION('ref_axis',(1.,0.,0.)); #414588=DIRECTION('center_axis',(0.,0.,1.)); #414589=DIRECTION('ref_axis',(1.,0.,0.)); #414590=DIRECTION('',(0.,0.,1.)); #414591=DIRECTION('center_axis',(0.,0.,-1.)); #414592=DIRECTION('ref_axis',(1.,0.,0.)); #414593=DIRECTION('center_axis',(0.,0.,1.)); #414594=DIRECTION('ref_axis',(1.,0.,0.)); #414595=DIRECTION('center_axis',(0.,0.,1.)); #414596=DIRECTION('ref_axis',(1.,0.,0.)); #414597=DIRECTION('',(0.,0.,1.)); #414598=DIRECTION('center_axis',(0.,0.,-1.)); #414599=DIRECTION('ref_axis',(1.,0.,0.)); #414600=DIRECTION('center_axis',(0.,0.,1.)); #414601=DIRECTION('ref_axis',(1.,0.,0.)); #414602=DIRECTION('center_axis',(0.,0.,1.)); #414603=DIRECTION('ref_axis',(1.,0.,0.)); #414604=DIRECTION('',(0.,0.,1.)); #414605=DIRECTION('center_axis',(0.,0.,-1.)); #414606=DIRECTION('ref_axis',(1.,0.,0.)); #414607=DIRECTION('center_axis',(0.,0.,1.)); #414608=DIRECTION('ref_axis',(1.,0.,0.)); #414609=DIRECTION('center_axis',(0.,0.,1.)); #414610=DIRECTION('ref_axis',(1.,0.,0.)); #414611=DIRECTION('',(0.,0.,1.)); #414612=DIRECTION('center_axis',(0.,0.,-1.)); #414613=DIRECTION('ref_axis',(1.,0.,0.)); #414614=DIRECTION('center_axis',(0.,1.,0.)); #414615=DIRECTION('ref_axis',(-1.,0.,0.)); #414616=DIRECTION('',(-1.,0.,0.)); #414617=DIRECTION('',(0.,0.,1.)); #414618=DIRECTION('',(-1.,0.,0.)); #414619=DIRECTION('',(0.,0.,1.)); #414620=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #414621=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #414622=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #414623=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #414624=DIRECTION('',(0.,0.,1.)); #414625=DIRECTION('center_axis',(-1.,0.,0.)); #414626=DIRECTION('ref_axis',(0.,-1.,0.)); #414627=DIRECTION('',(0.,-1.,0.)); #414628=DIRECTION('',(0.,-1.,0.)); #414629=DIRECTION('',(0.,0.,1.)); #414630=DIRECTION('center_axis',(-0.981552851945467,0.191191000932891,0.)); #414631=DIRECTION('ref_axis',(-0.191191000932891,-0.981552851945467,0.)); #414632=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #414633=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #414634=DIRECTION('',(0.,0.,1.)); #414635=DIRECTION('center_axis',(-0.833693256959005,0.552227809242786,0.)); #414636=DIRECTION('ref_axis',(-0.552227809242786,-0.833693256959005,0.)); #414637=DIRECTION('',(-0.552227809242786,-0.833693256959005,0.)); #414638=DIRECTION('',(-0.552227809242786,-0.833693256959005,0.)); #414639=DIRECTION('',(0.,0.,1.)); #414640=DIRECTION('center_axis',(-0.707106781186543,0.707106781186552,0.)); #414641=DIRECTION('ref_axis',(-0.707106781186552,-0.707106781186543,0.)); #414642=DIRECTION('',(-0.707106781186552,-0.707106781186543,0.)); #414643=DIRECTION('',(-0.707106781186552,-0.707106781186543,0.)); #414644=DIRECTION('',(0.,0.,1.)); #414645=DIRECTION('center_axis',(-0.558865776602088,0.82925812853595,0.)); #414646=DIRECTION('ref_axis',(-0.82925812853595,-0.558865776602088,0.)); #414647=DIRECTION('',(-0.82925812853595,-0.558865776602088,0.)); #414648=DIRECTION('',(-0.82925812853595,-0.558865776602088,0.)); #414649=DIRECTION('',(0.,0.,1.)); #414650=DIRECTION('center_axis',(-0.199006783325535,0.979998112340235,0.)); #414651=DIRECTION('ref_axis',(-0.979998112340235,-0.199006783325535,0.)); #414652=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #414653=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #414654=DIRECTION('',(0.,0.,1.)); #414655=DIRECTION('center_axis',(0.,1.,0.)); #414656=DIRECTION('ref_axis',(-1.,0.,0.)); #414657=DIRECTION('',(-1.,0.,0.)); #414658=DIRECTION('',(-1.,0.,0.)); #414659=DIRECTION('',(0.,0.,1.)); #414660=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #414661=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #414662=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #414663=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #414664=DIRECTION('',(0.,0.,1.)); #414665=DIRECTION('center_axis',(-1.,0.,0.)); #414666=DIRECTION('ref_axis',(0.,-1.,0.)); #414667=DIRECTION('',(0.,-1.,0.)); #414668=DIRECTION('',(0.,-1.,0.)); #414669=DIRECTION('',(0.,0.,1.)); #414670=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #414671=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #414672=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #414673=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #414674=DIRECTION('',(0.,0.,1.)); #414675=DIRECTION('center_axis',(0.,-1.,0.)); #414676=DIRECTION('ref_axis',(1.,0.,0.)); #414677=DIRECTION('',(1.,0.,0.)); #414678=DIRECTION('',(1.,0.,0.)); #414679=DIRECTION('',(0.,0.,1.)); #414680=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #414681=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #414682=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #414683=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #414684=DIRECTION('',(0.,0.,1.)); #414685=DIRECTION('center_axis',(1.,0.,0.)); #414686=DIRECTION('ref_axis',(0.,1.,0.)); #414687=DIRECTION('',(0.,1.,0.)); #414688=DIRECTION('',(0.,1.,0.)); #414689=DIRECTION('',(0.,0.,1.)); #414690=DIRECTION('center_axis',(0.981552851945467,-0.191191000932891,0.)); #414691=DIRECTION('ref_axis',(0.191191000932891,0.981552851945467,0.)); #414692=DIRECTION('',(0.191191000932891,0.981552851945467,0.)); #414693=DIRECTION('',(0.191191000932891,0.981552851945467,0.)); #414694=DIRECTION('',(0.,0.,1.)); #414695=DIRECTION('center_axis',(0.833693256958924,-0.552227809242908,0.)); #414696=DIRECTION('ref_axis',(0.552227809242908,0.833693256958924,0.)); #414697=DIRECTION('',(0.552227809242908,0.833693256958924,0.)); #414698=DIRECTION('',(0.552227809242908,0.833693256958924,0.)); #414699=DIRECTION('',(0.,0.,1.)); #414700=DIRECTION('center_axis',(0.707106781186549,-0.707106781186546,0.)); #414701=DIRECTION('ref_axis',(0.707106781186546,0.707106781186549,0.)); #414702=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #414703=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #414704=DIRECTION('',(0.,0.,1.)); #414705=DIRECTION('center_axis',(0.558865776602003,-0.829258128536007,0.)); #414706=DIRECTION('ref_axis',(0.829258128536007,0.558865776602003,0.)); #414707=DIRECTION('',(0.829258128536007,0.558865776602003,0.)); #414708=DIRECTION('',(0.829258128536007,0.558865776602003,0.)); #414709=DIRECTION('',(0.,0.,1.)); #414710=DIRECTION('center_axis',(0.199006783325535,-0.979998112340235,0.)); #414711=DIRECTION('ref_axis',(0.979998112340235,0.199006783325535,0.)); #414712=DIRECTION('',(0.979998112340235,0.199006783325535,0.)); #414713=DIRECTION('',(0.979998112340235,0.199006783325535,0.)); #414714=DIRECTION('',(0.,0.,1.)); #414715=DIRECTION('center_axis',(0.,-1.,0.)); #414716=DIRECTION('ref_axis',(1.,0.,0.)); #414717=DIRECTION('',(1.,0.,0.)); #414718=DIRECTION('',(1.,0.,0.)); #414719=DIRECTION('',(0.,0.,1.)); #414720=DIRECTION('center_axis',(0.707106781186551,-0.707106781186544,0.)); #414721=DIRECTION('ref_axis',(0.707106781186544,0.707106781186551,0.)); #414722=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #414723=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #414724=DIRECTION('',(0.,0.,1.)); #414725=DIRECTION('center_axis',(1.,0.,0.)); #414726=DIRECTION('ref_axis',(0.,1.,0.)); #414727=DIRECTION('',(0.,1.,0.)); #414728=DIRECTION('',(0.,1.,0.)); #414729=DIRECTION('',(0.,0.,1.)); #414730=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #414731=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #414732=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #414733=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #414734=DIRECTION('center_axis',(0.199018242080805,-0.979995785357808,0.)); #414735=DIRECTION('ref_axis',(0.979995785357808,0.199018242080805,0.)); #414736=DIRECTION('',(0.979995785357808,0.199018242080805,0.)); #414737=DIRECTION('',(0.,0.,1.)); #414738=DIRECTION('',(0.979995785357808,0.199018242080805,0.)); #414739=DIRECTION('',(0.,0.,1.)); #414740=DIRECTION('center_axis',(0.,-1.,0.)); #414741=DIRECTION('ref_axis',(1.,0.,0.)); #414742=DIRECTION('',(1.,0.,0.)); #414743=DIRECTION('',(1.,0.,0.)); #414744=DIRECTION('',(0.,0.,1.)); #414745=DIRECTION('center_axis',(-0.191191207895148,-0.981552811632464, 0.)); #414746=DIRECTION('ref_axis',(0.981552811632464,-0.191191207895148,0.)); #414747=DIRECTION('',(0.981552811632464,-0.191191207895148,0.)); #414748=DIRECTION('',(0.981552811632464,-0.191191207895148,0.)); #414749=DIRECTION('',(0.,0.,1.)); #414750=DIRECTION('center_axis',(-0.552239150843043,-0.833685744316259, 0.)); #414751=DIRECTION('ref_axis',(0.833685744316259,-0.552239150843043,0.)); #414752=DIRECTION('',(0.833685744316259,-0.552239150843043,0.)); #414753=DIRECTION('',(0.833685744316259,-0.552239150843043,0.)); #414754=DIRECTION('',(0.,0.,1.)); #414755=DIRECTION('center_axis',(-0.707108814963959,-0.707104747403286, 0.)); #414756=DIRECTION('ref_axis',(0.707104747403286,-0.707108814963959,0.)); #414757=DIRECTION('',(0.707104747403286,-0.707108814963959,0.)); #414758=DIRECTION('',(0.707104747403286,-0.707108814963959,0.)); #414759=DIRECTION('',(0.,0.,1.)); #414760=DIRECTION('center_axis',(-0.824766965033867,-0.56547276980313,0.)); #414761=DIRECTION('ref_axis',(0.56547276980313,-0.824766965033867,0.)); #414762=DIRECTION('',(0.56547276980313,-0.824766965033867,0.)); #414763=DIRECTION('',(0.56547276980313,-0.824766965033867,0.)); #414764=DIRECTION('',(0.,0.,1.)); #414765=DIRECTION('center_axis',(-0.976703115964562,-0.214595021529193, 0.)); #414766=DIRECTION('ref_axis',(0.214595021529193,-0.976703115964562,0.)); #414767=DIRECTION('',(0.214595021529193,-0.976703115964562,0.)); #414768=DIRECTION('',(0.214595021529193,-0.976703115964562,0.)); #414769=DIRECTION('',(0.,0.,1.)); #414770=DIRECTION('center_axis',(-0.983045221016405,0.18336328268442,0.)); #414771=DIRECTION('ref_axis',(-0.18336328268442,-0.983045221016405,0.)); #414772=DIRECTION('',(-0.18336328268442,-0.983045221016405,0.)); #414773=DIRECTION('',(-0.18336328268442,-0.983045221016405,0.)); #414774=DIRECTION('',(0.,0.,1.)); #414775=DIRECTION('center_axis',(-0.833696876830075,0.552222344317738,0.)); #414776=DIRECTION('ref_axis',(-0.552222344317738,-0.833696876830075,0.)); #414777=DIRECTION('',(-0.552222344317738,-0.833696876830075,0.)); #414778=DIRECTION('',(-0.552222344317738,-0.833696876830075,0.)); #414779=DIRECTION('',(0.,0.,1.)); #414780=DIRECTION('center_axis',(-0.707108734188369,0.707104828179332,0.)); #414781=DIRECTION('ref_axis',(-0.707104828179332,-0.707108734188369,0.)); #414782=DIRECTION('',(-0.707104828179332,-0.707108734188369,0.)); #414783=DIRECTION('',(-0.707104828179332,-0.707108734188369,0.)); #414784=DIRECTION('',(0.,0.,1.)); #414785=DIRECTION('center_axis',(-0.923883289882689,0.382674361142133,0.)); #414786=DIRECTION('ref_axis',(-0.382674361142133,-0.923883289882689,0.)); #414787=DIRECTION('',(-0.382674361142133,-0.923883289882689,0.)); #414788=DIRECTION('',(-0.382674361142133,-0.923883289882689,0.)); #414789=DIRECTION('',(0.,0.,1.)); #414790=DIRECTION('center_axis',(-1.,0.,0.)); #414791=DIRECTION('ref_axis',(0.,-1.,0.)); #414792=DIRECTION('',(0.,-1.,0.)); #414793=DIRECTION('',(0.,-1.,0.)); #414794=DIRECTION('',(0.,0.,1.)); #414795=DIRECTION('center_axis',(-0.923883289882689,-0.382674361142132, 0.)); #414796=DIRECTION('ref_axis',(0.382674361142132,-0.923883289882689,0.)); #414797=DIRECTION('',(0.382674361142132,-0.923883289882689,0.)); #414798=DIRECTION('',(0.382674361142132,-0.923883289882689,0.)); #414799=DIRECTION('',(0.,0.,1.)); #414800=DIRECTION('center_axis',(-0.707106177917541,-0.707107384455039, 0.)); #414801=DIRECTION('ref_axis',(0.707107384455039,-0.707106177917541,0.)); #414802=DIRECTION('',(0.707107384455039,-0.707106177917541,0.)); #414803=DIRECTION('',(0.707107384455039,-0.707106177917541,0.)); #414804=DIRECTION('',(0.,0.,1.)); #414805=DIRECTION('center_axis',(-0.382689829785808,-0.923876882586911, 0.)); #414806=DIRECTION('ref_axis',(0.923876882586911,-0.382689829785808,0.)); #414807=DIRECTION('',(0.923876882586911,-0.382689829785808,0.)); #414808=DIRECTION('',(0.923876882586911,-0.382689829785808,0.)); #414809=DIRECTION('',(0.,0.,1.)); #414810=DIRECTION('center_axis',(-0.548914404741951,-0.835878565502663, 0.)); #414811=DIRECTION('ref_axis',(0.835878565502663,-0.548914404741951,0.)); #414812=DIRECTION('',(0.835878565502663,-0.548914404741951,0.)); #414813=DIRECTION('',(0.835878565502663,-0.548914404741951,0.)); #414814=DIRECTION('',(0.,0.,1.)); #414815=DIRECTION('center_axis',(-0.824763124289761,-0.565478371656947, 0.)); #414816=DIRECTION('ref_axis',(0.565478371656947,-0.824763124289761,0.)); #414817=DIRECTION('',(0.565478371656947,-0.824763124289761,0.)); #414818=DIRECTION('',(0.565478371656947,-0.824763124289761,0.)); #414819=DIRECTION('',(0.,0.,1.)); #414820=DIRECTION('center_axis',(-0.979997563866654,-0.199009484234856, 0.)); #414821=DIRECTION('ref_axis',(0.199009484234856,-0.979997563866654,0.)); #414822=DIRECTION('',(0.199009484234856,-0.979997563866654,0.)); #414823=DIRECTION('',(0.199009484234856,-0.979997563866654,0.)); #414824=DIRECTION('',(0.,0.,1.)); #414825=DIRECTION('center_axis',(-1.,0.,0.)); #414826=DIRECTION('ref_axis',(0.,-1.,0.)); #414827=DIRECTION('',(0.,-1.,0.)); #414828=DIRECTION('',(0.,-1.,0.)); #414829=DIRECTION('',(0.,0.,1.)); #414830=DIRECTION('center_axis',(-0.987649248560494,-0.156681083152665, 0.)); #414831=DIRECTION('ref_axis',(0.156681083152665,-0.987649248560494,0.)); #414832=DIRECTION('',(0.156681083152665,-0.987649248560494,0.)); #414833=DIRECTION('',(0.156681083152665,-0.987649248560494,0.)); #414834=DIRECTION('',(0.,0.,1.)); #414835=DIRECTION('center_axis',(-0.125438113889326,-0.992101446216005, 0.)); #414836=DIRECTION('ref_axis',(0.992101446216005,-0.125438113889326,0.)); #414837=DIRECTION('',(0.992101446216005,-0.125438113889326,0.)); #414838=DIRECTION('',(0.992101446216005,-0.125438113889326,0.)); #414839=DIRECTION('',(0.,0.,1.)); #414840=DIRECTION('center_axis',(0.,-1.,0.)); #414841=DIRECTION('ref_axis',(1.,0.,0.)); #414842=DIRECTION('',(1.,0.,0.)); #414843=DIRECTION('',(1.,0.,0.)); #414844=DIRECTION('',(0.,0.,1.)); #414845=DIRECTION('center_axis',(-0.191195889874734,-0.981551899644134, 0.)); #414846=DIRECTION('ref_axis',(0.981551899644134,-0.191195889874734,0.)); #414847=DIRECTION('',(0.981551899644134,-0.191195889874734,0.)); #414848=DIRECTION('',(0.981551899644134,-0.191195889874734,0.)); #414849=DIRECTION('',(0.,0.,1.)); #414850=DIRECTION('center_axis',(0.,-1.,0.)); #414851=DIRECTION('ref_axis',(1.,0.,0.)); #414852=DIRECTION('',(1.,0.,0.)); #414853=DIRECTION('',(1.,0.,0.)); #414854=DIRECTION('',(0.,0.,1.)); #414855=DIRECTION('center_axis',(-0.191202802147605,-0.981550553181498, 0.)); #414856=DIRECTION('ref_axis',(0.981550553181498,-0.191202802147605,0.)); #414857=DIRECTION('',(0.981550553181498,-0.191202802147605,0.)); #414858=DIRECTION('',(0.981550553181498,-0.191202802147605,0.)); #414859=DIRECTION('',(0.,0.,1.)); #414860=DIRECTION('center_axis',(-0.552211825696243,-0.833703844036491, 0.)); #414861=DIRECTION('ref_axis',(0.833703844036491,-0.552211825696243,0.)); #414862=DIRECTION('',(0.833703844036491,-0.552211825696243,0.)); #414863=DIRECTION('',(0.833703844036491,-0.552211825696243,0.)); #414864=DIRECTION('',(0.,0.,1.)); #414865=DIRECTION('center_axis',(-0.707106948269843,-0.707106614103213, 0.)); #414866=DIRECTION('ref_axis',(0.707106614103213,-0.707106948269843,0.)); #414867=DIRECTION('',(0.707106614103213,-0.707106948269843,0.)); #414868=DIRECTION('',(0.707106614103213,-0.707106948269843,0.)); #414869=DIRECTION('',(0.,0.,1.)); #414870=DIRECTION('center_axis',(-0.829263274014829,-0.558858141544172, 0.)); #414871=DIRECTION('ref_axis',(0.558858141544172,-0.829263274014829,0.)); #414872=DIRECTION('',(0.558858141544172,-0.829263274014829,0.)); #414873=DIRECTION('',(0.558858141544172,-0.829263274014829,0.)); #414874=DIRECTION('',(0.,0.,1.)); #414875=DIRECTION('center_axis',(-0.979997642221407,-0.199009098386189, 0.)); #414876=DIRECTION('ref_axis',(0.199009098386189,-0.979997642221407,0.)); #414877=DIRECTION('',(0.199009098386189,-0.979997642221407,0.)); #414878=DIRECTION('',(0.199009098386189,-0.979997642221407,0.)); #414879=DIRECTION('',(0.,0.,1.)); #414880=DIRECTION('center_axis',(-1.,0.,0.)); #414881=DIRECTION('ref_axis',(0.,-1.,0.)); #414882=DIRECTION('',(0.,-1.,0.)); #414883=DIRECTION('',(0.,-1.,0.)); #414884=DIRECTION('',(0.,0.,1.)); #414885=DIRECTION('center_axis',(-0.983050540005704,0.183334764282431,0.)); #414886=DIRECTION('ref_axis',(-0.183334764282431,-0.983050540005703,0.)); #414887=DIRECTION('',(-0.183334764282431,-0.983050540005703,0.)); #414888=DIRECTION('',(-0.183334764282431,-0.983050540005703,0.)); #414889=DIRECTION('',(0.,0.,1.)); #414890=DIRECTION('center_axis',(-0.842359346299405,0.538916256668919,0.)); #414891=DIRECTION('ref_axis',(-0.538916256668919,-0.842359346299405,0.)); #414892=DIRECTION('',(-0.538916256668919,-0.842359346299405,0.)); #414893=DIRECTION('',(-0.538916256668919,-0.842359346299405,0.)); #414894=DIRECTION('',(0.,0.,1.)); #414895=DIRECTION('center_axis',(-0.565473758099763,0.824766287441801,0.)); #414896=DIRECTION('ref_axis',(-0.824766287441801,-0.565473758099762,0.)); #414897=DIRECTION('',(-0.824766287441801,-0.565473758099762,0.)); #414898=DIRECTION('',(-0.824766287441801,-0.565473758099762,0.)); #414899=DIRECTION('',(0.,0.,1.)); #414900=DIRECTION('center_axis',(-0.199010641789413,0.97999732879971,0.)); #414901=DIRECTION('ref_axis',(-0.97999732879971,-0.199010641789413,0.)); #414902=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #414903=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #414904=DIRECTION('',(0.,0.,1.)); #414905=DIRECTION('center_axis',(0.,1.,0.)); #414906=DIRECTION('ref_axis',(-1.,0.,0.)); #414907=DIRECTION('',(-1.,0.,0.)); #414908=DIRECTION('',(-1.,0.,0.)); #414909=DIRECTION('',(0.,0.,1.)); #414910=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #414911=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #414912=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #414913=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #414914=DIRECTION('',(0.,0.,1.)); #414915=DIRECTION('center_axis',(-1.,0.,0.)); #414916=DIRECTION('ref_axis',(0.,-1.,0.)); #414917=DIRECTION('',(0.,-1.,0.)); #414918=DIRECTION('',(0.,-1.,0.)); #414919=DIRECTION('',(0.,0.,1.)); #414920=DIRECTION('center_axis',(-0.707106781186555,-0.70710678118654,0.)); #414921=DIRECTION('ref_axis',(0.70710678118654,-0.707106781186555,0.)); #414922=DIRECTION('',(0.70710678118654,-0.707106781186555,0.)); #414923=DIRECTION('',(0.70710678118654,-0.707106781186555,0.)); #414924=DIRECTION('',(0.,0.,1.)); #414925=DIRECTION('center_axis',(-0.824764905148841,-0.565475774224523, 0.)); #414926=DIRECTION('ref_axis',(0.565475774224523,-0.82476490514884,0.)); #414927=DIRECTION('',(0.565475774224523,-0.824764905148841,0.)); #414928=DIRECTION('',(0.565475774224523,-0.824764905148841,0.)); #414929=DIRECTION('',(0.,0.,1.)); #414930=DIRECTION('center_axis',(-0.976703298176492,-0.214594192212095, 0.)); #414931=DIRECTION('ref_axis',(0.214594192212095,-0.976703298176492,0.)); #414932=DIRECTION('',(0.214594192212095,-0.976703298176492,0.)); #414933=DIRECTION('',(0.214594192212095,-0.976703298176492,0.)); #414934=DIRECTION('',(0.,0.,1.)); #414935=DIRECTION('center_axis',(-0.983041987252281,0.18338061865717,0.)); #414936=DIRECTION('ref_axis',(-0.18338061865717,-0.983041987252281,0.)); #414937=DIRECTION('',(-0.18338061865717,-0.983041987252281,0.)); #414938=DIRECTION('',(-0.18338061865717,-0.983041987252281,0.)); #414939=DIRECTION('',(0.,0.,1.)); #414940=DIRECTION('center_axis',(-0.8337023652239,0.552214058332524,0.)); #414941=DIRECTION('ref_axis',(-0.552214058332524,-0.8337023652239,0.)); #414942=DIRECTION('',(-0.552214058332524,-0.8337023652239,0.)); #414943=DIRECTION('',(-0.552214058332524,-0.8337023652239,0.)); #414944=DIRECTION('',(0.,0.,1.)); #414945=DIRECTION('center_axis',(-0.707106781186555,0.70710678118654,0.)); #414946=DIRECTION('ref_axis',(-0.70710678118654,-0.707106781186555,0.)); #414947=DIRECTION('',(-0.70710678118654,-0.707106781186555,0.)); #414948=DIRECTION('',(-0.70710678118654,-0.707106781186555,0.)); #414949=DIRECTION('',(0.,0.,1.)); #414950=DIRECTION('center_axis',(-1.,0.,0.)); #414951=DIRECTION('ref_axis',(0.,-1.,0.)); #414952=DIRECTION('',(0.,-1.,0.)); #414953=DIRECTION('',(0.,-1.,0.)); #414954=DIRECTION('',(0.,0.,1.)); #414955=DIRECTION('center_axis',(-0.983050395657587,0.18333553828285,0.)); #414956=DIRECTION('ref_axis',(-0.18333553828285,-0.983050395657587,0.)); #414957=DIRECTION('',(-0.18333553828285,-0.983050395657587,0.)); #414958=DIRECTION('',(-0.18333553828285,-0.983050395657587,0.)); #414959=DIRECTION('',(0.,0.,1.)); #414960=DIRECTION('center_axis',(-0.842360495470243,0.538914460439806,0.)); #414961=DIRECTION('ref_axis',(-0.538914460439806,-0.842360495470243,0.)); #414962=DIRECTION('',(-0.538914460439806,-0.842360495470243,0.)); #414963=DIRECTION('',(-0.538914460439806,-0.842360495470243,0.)); #414964=DIRECTION('',(0.,0.,1.)); #414965=DIRECTION('center_axis',(-0.565473758099733,0.824766287441821,0.)); #414966=DIRECTION('ref_axis',(-0.824766287441821,-0.565473758099733,0.)); #414967=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #414968=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #414969=DIRECTION('',(0.,0.,1.)); #414970=DIRECTION('center_axis',(-0.199010641789396,0.979997328799713,0.)); #414971=DIRECTION('ref_axis',(-0.979997328799713,-0.199010641789396,0.)); #414972=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #414973=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #414974=DIRECTION('',(0.,0.,1.)); #414975=DIRECTION('center_axis',(0.,1.,0.)); #414976=DIRECTION('ref_axis',(-1.,0.,0.)); #414977=DIRECTION('',(-1.,0.,0.)); #414978=DIRECTION('',(-1.,0.,0.)); #414979=DIRECTION('',(0.,0.,1.)); #414980=DIRECTION('center_axis',(0.191194865688648,0.981552099144156,0.)); #414981=DIRECTION('ref_axis',(-0.981552099144156,0.191194865688648,0.)); #414982=DIRECTION('',(-0.981552099144156,0.191194865688648,0.)); #414983=DIRECTION('',(-0.981552099144156,0.191194865688648,0.)); #414984=DIRECTION('',(0.,0.,1.)); #414985=DIRECTION('center_axis',(0.552218701081291,0.833699290017745,0.)); #414986=DIRECTION('ref_axis',(-0.833699290017745,0.552218701081291,0.)); #414987=DIRECTION('',(-0.833699290017745,0.552218701081291,0.)); #414988=DIRECTION('',(-0.833699290017745,0.552218701081291,0.)); #414989=DIRECTION('',(0.,0.,1.)); #414990=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #414991=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #414992=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #414993=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #414994=DIRECTION('',(0.,0.,1.)); #414995=DIRECTION('center_axis',(0.829264560351577,0.558856232804919,0.)); #414996=DIRECTION('ref_axis',(-0.558856232804919,0.829264560351577,0.)); #414997=DIRECTION('',(-0.558856232804919,0.829264560351577,0.)); #414998=DIRECTION('',(-0.558856232804919,0.829264560351577,0.)); #414999=DIRECTION('',(0.,0.,1.)); #415000=DIRECTION('center_axis',(0.979997328799711,0.199010641789404,0.)); #415001=DIRECTION('ref_axis',(-0.199010641789404,0.979997328799711,0.)); #415002=DIRECTION('',(-0.199010641789404,0.979997328799711,0.)); #415003=DIRECTION('',(-0.199010641789404,0.979997328799711,0.)); #415004=DIRECTION('',(0.,0.,1.)); #415005=DIRECTION('center_axis',(1.,0.,0.)); #415006=DIRECTION('ref_axis',(0.,1.,0.)); #415007=DIRECTION('',(0.,1.,0.)); #415008=DIRECTION('',(0.,1.,0.)); #415009=DIRECTION('',(0.,0.,1.)); #415010=DIRECTION('center_axis',(0.981552475550509,-0.191192933291658,0.)); #415011=DIRECTION('ref_axis',(0.191192933291658,0.981552475550508,0.)); #415012=DIRECTION('',(0.191192933291658,0.981552475550508,0.)); #415013=DIRECTION('',(0.191192933291658,0.981552475550508,0.)); #415014=DIRECTION('',(0.,0.,1.)); #415015=DIRECTION('center_axis',(0.833696876830075,-0.552222344317738,0.)); #415016=DIRECTION('ref_axis',(0.552222344317738,0.833696876830075,0.)); #415017=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #415018=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #415019=DIRECTION('',(0.,0.,1.)); #415020=DIRECTION('center_axis',(0.707106999965422,-0.707106562407605,0.)); #415021=DIRECTION('ref_axis',(0.707106562407605,0.707106999965422,0.)); #415022=DIRECTION('',(0.707106562407605,0.707106999965422,0.)); #415023=DIRECTION('',(0.707106562407605,0.707106999965422,0.)); #415024=DIRECTION('',(0.,0.,1.)); #415025=DIRECTION('center_axis',(1.,0.,0.)); #415026=DIRECTION('ref_axis',(0.,1.,0.)); #415027=DIRECTION('',(0.,1.,0.)); #415028=DIRECTION('',(0.,1.,0.)); #415029=DIRECTION('',(0.,0.,1.)); #415030=DIRECTION('center_axis',(0.707106216327399,0.707107346045245,0.)); #415031=DIRECTION('ref_axis',(-0.707107346045245,0.707106216327399,0.)); #415032=DIRECTION('',(-0.707107346045245,0.707106216327399,0.)); #415033=DIRECTION('',(-0.707107346045245,0.707106216327399,0.)); #415034=DIRECTION('',(0.,0.,1.)); #415035=DIRECTION('center_axis',(0.,1.,0.)); #415036=DIRECTION('ref_axis',(-1.,0.,0.)); #415037=DIRECTION('',(-1.,0.,0.)); #415038=DIRECTION('',(-1.,0.,0.)); #415039=DIRECTION('',(0.,0.,1.)); #415040=DIRECTION('center_axis',(-0.707105395383339,0.70710816698704,0.)); #415041=DIRECTION('ref_axis',(-0.70710816698704,-0.707105395383339,0.)); #415042=DIRECTION('',(-0.70710816698704,-0.707105395383339,0.)); #415043=DIRECTION('',(-0.70710816698704,-0.707105395383339,0.)); #415044=DIRECTION('',(0.,0.,1.)); #415045=DIRECTION('center_axis',(-0.572013161193149,0.820244441262372,0.)); #415046=DIRECTION('ref_axis',(-0.820244441262372,-0.572013161193149,0.)); #415047=DIRECTION('',(-0.820244441262372,-0.572013161193149,0.)); #415048=DIRECTION('',(-0.820244441262372,-0.572013161193149,0.)); #415049=DIRECTION('',(0.,0.,1.)); #415050=DIRECTION('center_axis',(-0.230111132185464,0.973164357569842,0.)); #415051=DIRECTION('ref_axis',(-0.973164357569842,-0.230111132185464,0.)); #415052=DIRECTION('',(-0.973164357569842,-0.230111132185464,0.)); #415053=DIRECTION('',(-0.973164357569842,-0.230111132185464,0.)); #415054=DIRECTION('',(0.,0.,1.)); #415055=DIRECTION('center_axis',(0.167617415379047,0.985852119773371,0.)); #415056=DIRECTION('ref_axis',(-0.985852119773371,0.167617415379047,0.)); #415057=DIRECTION('',(-0.985852119773371,0.167617415379047,0.)); #415058=DIRECTION('',(-0.985852119773371,0.167617415379047,0.)); #415059=DIRECTION('',(0.,0.,1.)); #415060=DIRECTION('center_axis',(0.538922883226506,0.842355106789785,0.)); #415061=DIRECTION('ref_axis',(-0.842355106789785,0.538922883226506,0.)); #415062=DIRECTION('',(-0.842355106789785,0.538922883226506,0.)); #415063=DIRECTION('',(-0.842355106789785,0.538922883226506,0.)); #415064=DIRECTION('',(0.,0.,1.)); #415065=DIRECTION('center_axis',(0.824763124289754,0.565478371656958,0.)); #415066=DIRECTION('ref_axis',(-0.565478371656958,0.824763124289754,0.)); #415067=DIRECTION('',(-0.565478371656958,0.824763124289754,0.)); #415068=DIRECTION('',(-0.565478371656958,0.824763124289754,0.)); #415069=DIRECTION('',(0.,0.,1.)); #415070=DIRECTION('center_axis',(0.979990414878419,0.199044685300622,0.)); #415071=DIRECTION('ref_axis',(-0.199044685300622,0.979990414878419,0.)); #415072=DIRECTION('',(-0.199044685300622,0.979990414878419,0.)); #415073=DIRECTION('',(-0.199044685300622,0.979990414878419,0.)); #415074=DIRECTION('',(0.,0.,1.)); #415075=DIRECTION('center_axis',(0.707106622194624,0.707106940178435,0.)); #415076=DIRECTION('ref_axis',(-0.707106940178435,0.707106622194624,0.)); #415077=DIRECTION('',(-0.707106940178435,0.707106622194624,0.)); #415078=DIRECTION('',(-0.707106940178435,0.707106622194624,0.)); #415079=DIRECTION('',(0.,0.,1.)); #415080=DIRECTION('center_axis',(0.829263274014829,0.558858141544172,0.)); #415081=DIRECTION('ref_axis',(-0.558858141544172,0.829263274014829,0.)); #415082=DIRECTION('',(-0.558858141544172,0.829263274014829,0.)); #415083=DIRECTION('',(-0.558858141544172,0.829263274014829,0.)); #415084=DIRECTION('',(0.,0.,1.)); #415085=DIRECTION('center_axis',(0.979997563866654,0.199009484234856,0.)); #415086=DIRECTION('ref_axis',(-0.199009484234856,0.979997563866654,0.)); #415087=DIRECTION('',(-0.199009484234856,0.979997563866654,0.)); #415088=DIRECTION('',(-0.199009484234856,0.979997563866654,0.)); #415089=DIRECTION('',(0.,0.,1.)); #415090=DIRECTION('center_axis',(1.,0.,0.)); #415091=DIRECTION('ref_axis',(0.,1.,0.)); #415092=DIRECTION('',(0.,1.,0.)); #415093=DIRECTION('',(0.,1.,0.)); #415094=DIRECTION('',(0.,0.,1.)); #415095=DIRECTION('center_axis',(0.981552475550509,-0.191192933291654,0.)); #415096=DIRECTION('ref_axis',(0.191192933291654,0.981552475550509,0.)); #415097=DIRECTION('',(0.191192933291654,0.981552475550509,0.)); #415098=DIRECTION('',(0.191192933291654,0.981552475550509,0.)); #415099=DIRECTION('',(0.,0.,1.)); #415100=DIRECTION('center_axis',(0.833696876830075,-0.552222344317738,0.)); #415101=DIRECTION('ref_axis',(0.552222344317738,0.833696876830075,0.)); #415102=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #415103=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #415104=DIRECTION('',(0.,0.,1.)); #415105=DIRECTION('center_axis',(0.707106999965422,-0.707106562407605,0.)); #415106=DIRECTION('ref_axis',(0.707106562407605,0.707106999965422,0.)); #415107=DIRECTION('',(0.707106562407605,0.707106999965422,0.)); #415108=DIRECTION('',(0.707106562407605,0.707106999965422,0.)); #415109=DIRECTION('',(0.,0.,1.)); #415110=DIRECTION('center_axis',(1.,0.,0.)); #415111=DIRECTION('ref_axis',(0.,1.,0.)); #415112=DIRECTION('',(0.,1.,0.)); #415113=DIRECTION('',(0.,1.,0.)); #415114=DIRECTION('',(0.,0.,1.)); #415115=DIRECTION('center_axis',(0.707106216327399,0.707107346045245,0.)); #415116=DIRECTION('ref_axis',(-0.707107346045245,0.707106216327399,0.)); #415117=DIRECTION('',(-0.707107346045245,0.707106216327399,0.)); #415118=DIRECTION('',(-0.707107346045245,0.707106216327399,0.)); #415119=DIRECTION('',(0.,0.,1.)); #415120=DIRECTION('center_axis',(0.,1.,0.)); #415121=DIRECTION('ref_axis',(-1.,0.,0.)); #415122=DIRECTION('',(-1.,0.,0.)); #415123=DIRECTION('',(-1.,0.,0.)); #415124=DIRECTION('',(0.,0.,1.)); #415125=DIRECTION('center_axis',(-0.707106216327399,0.707107346045245,0.)); #415126=DIRECTION('ref_axis',(-0.707107346045245,-0.707106216327399,0.)); #415127=DIRECTION('',(-0.707107346045245,-0.707106216327399,0.)); #415128=DIRECTION('',(-0.707107346045245,-0.707106216327399,0.)); #415129=DIRECTION('',(0.,0.,1.)); #415130=DIRECTION('center_axis',(-1.,0.,0.)); #415131=DIRECTION('ref_axis',(0.,-1.,0.)); #415132=DIRECTION('',(0.,-1.,0.)); #415133=DIRECTION('',(0.,-1.,0.)); #415134=DIRECTION('',(0.,0.,1.)); #415135=DIRECTION('center_axis',(-0.707106999965422,-0.707106562407605, 0.)); #415136=DIRECTION('ref_axis',(0.707106562407605,-0.707106999965422,0.)); #415137=DIRECTION('',(0.707106562407605,-0.707106999965422,0.)); #415138=DIRECTION('',(0.707106562407605,-0.707106999965422,0.)); #415139=DIRECTION('',(0.,0.,1.)); #415140=DIRECTION('center_axis',(-0.829263274014822,-0.558858141544183, 0.)); #415141=DIRECTION('ref_axis',(0.558858141544183,-0.829263274014822,0.)); #415142=DIRECTION('',(0.558858141544183,-0.829263274014822,0.)); #415143=DIRECTION('',(0.558858141544183,-0.829263274014822,0.)); #415144=DIRECTION('',(0.,0.,1.)); #415145=DIRECTION('center_axis',(-0.979997563866654,-0.199009484234856, 0.)); #415146=DIRECTION('ref_axis',(0.199009484234856,-0.979997563866654,0.)); #415147=DIRECTION('',(0.199009484234856,-0.979997563866654,0.)); #415148=DIRECTION('',(0.199009484234856,-0.979997563866654,0.)); #415149=DIRECTION('',(0.,0.,1.)); #415150=DIRECTION('center_axis',(-1.,0.,0.)); #415151=DIRECTION('ref_axis',(0.,-1.,0.)); #415152=DIRECTION('',(0.,-1.,0.)); #415153=DIRECTION('',(0.,-1.,0.)); #415154=DIRECTION('',(0.,0.,1.)); #415155=DIRECTION('center_axis',(-0.983050612179051,0.183334377284768,0.)); #415156=DIRECTION('ref_axis',(-0.183334377284768,-0.983050612179051,0.)); #415157=DIRECTION('',(-0.183334377284768,-0.983050612179051,0.)); #415158=DIRECTION('',(-0.183334377284768,-0.983050612179051,0.)); #415159=DIRECTION('',(0.,0.,1.)); #415160=DIRECTION('center_axis',(-0.842361070051314,0.538913562328881,0.)); #415161=DIRECTION('ref_axis',(-0.538913562328881,-0.842361070051314,0.)); #415162=DIRECTION('',(-0.538913562328881,-0.842361070051314,0.)); #415163=DIRECTION('',(-0.538913562328881,-0.842361070051314,0.)); #415164=DIRECTION('',(0.,0.,1.)); #415165=DIRECTION('center_axis',(-0.565462991527455,0.824773669083114,0.)); #415166=DIRECTION('ref_axis',(-0.824773669083114,-0.565462991527455,0.)); #415167=DIRECTION('',(-0.824773669083114,-0.565462991527455,0.)); #415168=DIRECTION('',(-0.824773669083114,-0.565462991527455,0.)); #415169=DIRECTION('',(0.,0.,1.)); #415170=DIRECTION('center_axis',(-0.199018242080767,0.979995785357815,0.)); #415171=DIRECTION('ref_axis',(-0.979995785357815,-0.199018242080767,0.)); #415172=DIRECTION('',(-0.979995785357815,-0.199018242080767,0.)); #415173=DIRECTION('',(-0.979995785357815,-0.199018242080767,0.)); #415174=DIRECTION('',(0.,0.,1.)); #415175=DIRECTION('center_axis',(0.,1.,0.)); #415176=DIRECTION('ref_axis',(-1.,0.,0.)); #415177=DIRECTION('',(-1.,0.,0.)); #415178=DIRECTION('',(-1.,0.,0.)); #415179=DIRECTION('',(0.,0.,1.)); #415180=DIRECTION('center_axis',(-0.707105395383327,0.707108166987052,0.)); #415181=DIRECTION('ref_axis',(-0.707108166987052,-0.707105395383327,0.)); #415182=DIRECTION('',(-0.707108166987052,-0.707105395383327,0.)); #415183=DIRECTION('',(-0.707108166987052,-0.707105395383327,0.)); #415184=DIRECTION('',(0.,0.,1.)); #415185=DIRECTION('center_axis',(-0.572013161193196,0.820244441262339,0.)); #415186=DIRECTION('ref_axis',(-0.820244441262339,-0.572013161193195,0.)); #415187=DIRECTION('',(-0.820244441262339,-0.572013161193196,0.)); #415188=DIRECTION('',(-0.820244441262339,-0.572013161193196,0.)); #415189=DIRECTION('',(0.,0.,1.)); #415190=DIRECTION('center_axis',(-0.230111132185464,0.973164357569842,0.)); #415191=DIRECTION('ref_axis',(-0.973164357569842,-0.230111132185464,0.)); #415192=DIRECTION('',(-0.973164357569842,-0.230111132185464,0.)); #415193=DIRECTION('',(-0.973164357569842,-0.230111132185464,0.)); #415194=DIRECTION('',(0.,0.,1.)); #415195=DIRECTION('center_axis',(0.167617415379047,0.985852119773371,0.)); #415196=DIRECTION('ref_axis',(-0.985852119773371,0.167617415379047,0.)); #415197=DIRECTION('',(-0.985852119773371,0.167617415379047,0.)); #415198=DIRECTION('',(-0.985852119773371,0.167617415379047,0.)); #415199=DIRECTION('',(0.,0.,1.)); #415200=DIRECTION('center_axis',(0.538922883226506,0.842355106789785,0.)); #415201=DIRECTION('ref_axis',(-0.842355106789785,0.538922883226506,0.)); #415202=DIRECTION('',(-0.842355106789785,0.538922883226506,0.)); #415203=DIRECTION('',(-0.842355106789785,0.538922883226506,0.)); #415204=DIRECTION('',(0.,0.,1.)); #415205=DIRECTION('center_axis',(0.824762824798868,0.56547880847101,0.)); #415206=DIRECTION('ref_axis',(-0.56547880847101,0.824762824798868,0.)); #415207=DIRECTION('',(-0.56547880847101,0.824762824798868,0.)); #415208=DIRECTION('',(-0.56547880847101,0.824762824798868,0.)); #415209=DIRECTION('',(0.,0.,1.)); #415210=DIRECTION('center_axis',(0.707107383468298,0.707106178904284,0.)); #415211=DIRECTION('ref_axis',(-0.707106178904284,0.707107383468298,0.)); #415212=DIRECTION('',(-0.707106178904284,0.707107383468298,0.)); #415213=DIRECTION('',(-0.707106178904284,0.707107383468298,0.)); #415214=DIRECTION('',(0.,0.,1.)); #415215=DIRECTION('center_axis',(0.829263274014829,0.558858141544172,0.)); #415216=DIRECTION('ref_axis',(-0.558858141544172,0.829263274014829,0.)); #415217=DIRECTION('',(-0.558858141544172,0.829263274014829,0.)); #415218=DIRECTION('',(-0.558858141544172,0.829263274014829,0.)); #415219=DIRECTION('',(0.,0.,1.)); #415220=DIRECTION('center_axis',(0.979997563866653,0.199009484234861,0.)); #415221=DIRECTION('ref_axis',(-0.199009484234861,0.979997563866653,0.)); #415222=DIRECTION('',(-0.199009484234861,0.979997563866653,0.)); #415223=DIRECTION('',(-0.199009484234861,0.979997563866653,0.)); #415224=DIRECTION('',(0.,0.,1.)); #415225=DIRECTION('center_axis',(1.,0.,0.)); #415226=DIRECTION('ref_axis',(0.,1.,0.)); #415227=DIRECTION('',(0.,1.,0.)); #415228=DIRECTION('',(0.,1.,0.)); #415229=DIRECTION('',(0.,0.,1.)); #415230=DIRECTION('center_axis',(0.981552475550509,-0.191192933291654,0.)); #415231=DIRECTION('ref_axis',(0.191192933291654,0.981552475550509,0.)); #415232=DIRECTION('',(0.191192933291654,0.981552475550509,0.)); #415233=DIRECTION('',(0.191192933291654,0.981552475550509,0.)); #415234=DIRECTION('',(0.,0.,1.)); #415235=DIRECTION('center_axis',(0.833696876830075,-0.552222344317738,0.)); #415236=DIRECTION('ref_axis',(0.552222344317738,0.833696876830075,0.)); #415237=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #415238=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #415239=DIRECTION('',(0.,0.,1.)); #415240=DIRECTION('center_axis',(0.707106999965422,-0.707106562407605,0.)); #415241=DIRECTION('ref_axis',(0.707106562407605,0.707106999965422,0.)); #415242=DIRECTION('',(0.707106562407605,0.707106999965422,0.)); #415243=DIRECTION('',(0.707106562407605,0.707106999965422,0.)); #415244=DIRECTION('',(0.,0.,1.)); #415245=DIRECTION('center_axis',(1.,0.,0.)); #415246=DIRECTION('ref_axis',(0.,1.,0.)); #415247=DIRECTION('',(0.,1.,0.)); #415248=DIRECTION('',(0.,1.,0.)); #415249=DIRECTION('',(0.,0.,1.)); #415250=DIRECTION('center_axis',(0.707106216327397,0.707107346045247,0.)); #415251=DIRECTION('ref_axis',(-0.707107346045247,0.707106216327397,0.)); #415252=DIRECTION('',(-0.707107346045247,0.707106216327397,0.)); #415253=DIRECTION('',(-0.707107346045247,0.707106216327397,0.)); #415254=DIRECTION('',(0.,0.,1.)); #415255=DIRECTION('center_axis',(0.,1.,0.)); #415256=DIRECTION('ref_axis',(-1.,0.,0.)); #415257=DIRECTION('',(-1.,0.,0.)); #415258=DIRECTION('',(-1.,0.,0.)); #415259=DIRECTION('',(0.,0.,1.)); #415260=DIRECTION('center_axis',(-0.707106216327397,0.707107346045247,0.)); #415261=DIRECTION('ref_axis',(-0.707107346045247,-0.707106216327397,0.)); #415262=DIRECTION('',(-0.707107346045247,-0.707106216327397,0.)); #415263=DIRECTION('',(-0.707107346045247,-0.707106216327397,0.)); #415264=DIRECTION('',(0.,0.,1.)); #415265=DIRECTION('center_axis',(-1.,0.,0.)); #415266=DIRECTION('ref_axis',(0.,-1.,0.)); #415267=DIRECTION('',(0.,-1.,0.)); #415268=DIRECTION('',(0.,-1.,0.)); #415269=DIRECTION('',(0.,0.,1.)); #415270=DIRECTION('center_axis',(-0.707106999965422,-0.707106562407605, 0.)); #415271=DIRECTION('ref_axis',(0.707106562407605,-0.707106999965422,0.)); #415272=DIRECTION('',(0.707106562407605,-0.707106999965422,0.)); #415273=DIRECTION('',(0.707106562407605,-0.707106999965422,0.)); #415274=DIRECTION('',(0.,0.,1.)); #415275=DIRECTION('center_axis',(-0.829263274014822,-0.558858141544183, 0.)); #415276=DIRECTION('ref_axis',(0.558858141544183,-0.829263274014822,0.)); #415277=DIRECTION('',(0.558858141544183,-0.829263274014822,0.)); #415278=DIRECTION('',(0.558858141544183,-0.829263274014822,0.)); #415279=DIRECTION('',(0.,0.,1.)); #415280=DIRECTION('center_axis',(-0.979997563866654,-0.199009484234856, 0.)); #415281=DIRECTION('ref_axis',(0.199009484234856,-0.979997563866654,0.)); #415282=DIRECTION('',(0.199009484234856,-0.979997563866654,0.)); #415283=DIRECTION('',(0.199009484234856,-0.979997563866654,0.)); #415284=DIRECTION('',(0.,0.,1.)); #415285=DIRECTION('center_axis',(-1.,0.,0.)); #415286=DIRECTION('ref_axis',(0.,-1.,0.)); #415287=DIRECTION('',(0.,-1.,0.)); #415288=DIRECTION('',(0.,-1.,0.)); #415289=DIRECTION('',(0.,0.,1.)); #415290=DIRECTION('center_axis',(-0.707106947327658,-0.707106615045398, 0.)); #415291=DIRECTION('ref_axis',(0.707106615045398,-0.707106947327658,0.)); #415292=DIRECTION('',(0.707106615045398,-0.707106947327658,0.)); #415293=DIRECTION('',(0.707106615045398,-0.707106947327658,0.)); #415294=DIRECTION('',(0.,0.,1.)); #415295=DIRECTION('center_axis',(-0.829263274014822,-0.558858141544183, 0.)); #415296=DIRECTION('ref_axis',(0.558858141544183,-0.829263274014822,0.)); #415297=DIRECTION('',(0.558858141544183,-0.829263274014822,0.)); #415298=DIRECTION('',(0.558858141544183,-0.829263274014822,0.)); #415299=DIRECTION('',(0.,0.,1.)); #415300=DIRECTION('center_axis',(-0.97999764222139,-0.19900909838627,0.)); #415301=DIRECTION('ref_axis',(0.19900909838627,-0.97999764222139,0.)); #415302=DIRECTION('',(0.19900909838627,-0.97999764222139,0.)); #415303=DIRECTION('',(0.19900909838627,-0.97999764222139,0.)); #415304=DIRECTION('',(0.,0.,1.)); #415305=DIRECTION('center_axis',(-1.,0.,0.)); #415306=DIRECTION('ref_axis',(0.,-1.,0.)); #415307=DIRECTION('',(0.,-1.,0.)); #415308=DIRECTION('',(0.,-1.,0.)); #415309=DIRECTION('',(0.,0.,1.)); #415310=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546, 0.)); #415311=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.)); #415312=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #415313=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #415314=DIRECTION('',(0.,0.,1.)); #415315=DIRECTION('center_axis',(-0.820244441262304,-0.572013161193246, 0.)); #415316=DIRECTION('ref_axis',(0.572013161193246,-0.820244441262304,0.)); #415317=DIRECTION('',(0.572013161193246,-0.820244441262304,0.)); #415318=DIRECTION('',(0.572013161193246,-0.820244441262304,0.)); #415319=DIRECTION('',(0.,0.,1.)); #415320=DIRECTION('center_axis',(-0.97316125628094,-0.23012424747015,0.)); #415321=DIRECTION('ref_axis',(0.23012424747015,-0.97316125628094,0.)); #415322=DIRECTION('',(0.23012424747015,-0.97316125628094,0.)); #415323=DIRECTION('',(0.23012424747015,-0.97316125628094,0.)); #415324=DIRECTION('',(0.,0.,1.)); #415325=DIRECTION('center_axis',(-0.985851792830733,0.167619338300892,0.)); #415326=DIRECTION('ref_axis',(-0.167619338300892,-0.985851792830733,0.)); #415327=DIRECTION('',(-0.167619338300892,-0.985851792830733,0.)); #415328=DIRECTION('',(-0.167619338300892,-0.985851792830733,0.)); #415329=DIRECTION('',(0.,0.,1.)); #415330=DIRECTION('center_axis',(-0.842351514327676,0.538928498327812,0.)); #415331=DIRECTION('ref_axis',(-0.538928498327812,-0.842351514327676,0.)); #415332=DIRECTION('',(-0.538928498327812,-0.842351514327676,0.)); #415333=DIRECTION('',(-0.538928498327812,-0.842351514327676,0.)); #415334=DIRECTION('',(0.,0.,1.)); #415335=DIRECTION('center_axis',(-0.56548298527178,0.824759961060257,0.)); #415336=DIRECTION('ref_axis',(-0.824759961060257,-0.56548298527178,0.)); #415337=DIRECTION('',(-0.824759961060257,-0.56548298527178,0.)); #415338=DIRECTION('',(-0.824759961060257,-0.56548298527178,0.)); #415339=DIRECTION('',(0.,0.,1.)); #415340=DIRECTION('center_axis',(-0.199006783325535,0.979998112340235,0.)); #415341=DIRECTION('ref_axis',(-0.979998112340235,-0.199006783325535,0.)); #415342=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #415343=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #415344=DIRECTION('',(0.,0.,1.)); #415345=DIRECTION('center_axis',(0.,1.,0.)); #415346=DIRECTION('ref_axis',(-1.,0.,0.)); #415347=DIRECTION('',(-1.,0.,0.)); #415348=DIRECTION('',(-1.,0.,0.)); #415349=DIRECTION('',(0.,0.,1.)); #415350=DIRECTION('center_axis',(-0.707106781186552,0.707106781186543,0.)); #415351=DIRECTION('ref_axis',(-0.707106781186543,-0.707106781186552,0.)); #415352=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #415353=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #415354=DIRECTION('',(0.,0.,1.)); #415355=DIRECTION('center_axis',(-0.565475774224491,0.824764905148863,0.)); #415356=DIRECTION('ref_axis',(-0.824764905148863,-0.565475774224491,0.)); #415357=DIRECTION('',(-0.824764905148863,-0.565475774224491,0.)); #415358=DIRECTION('',(-0.824764905148863,-0.565475774224491,0.)); #415359=DIRECTION('',(0.,0.,1.)); #415360=DIRECTION('center_axis',(-0.214594192212146,0.976703298176481,0.)); #415361=DIRECTION('ref_axis',(-0.976703298176481,-0.214594192212146,0.)); #415362=DIRECTION('',(-0.976703298176481,-0.214594192212146,0.)); #415363=DIRECTION('',(-0.976703298176481,-0.214594192212146,0.)); #415364=DIRECTION('',(0.,0.,1.)); #415365=DIRECTION('center_axis',(0.183380618657247,0.983041987252267,0.)); #415366=DIRECTION('ref_axis',(-0.983041987252266,0.183380618657247,0.)); #415367=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #415368=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #415369=DIRECTION('',(0.,0.,1.)); #415370=DIRECTION('center_axis',(0.552214058332442,0.833702365223953,0.)); #415371=DIRECTION('ref_axis',(-0.833702365223953,0.552214058332442,0.)); #415372=DIRECTION('',(-0.833702365223953,0.552214058332442,0.)); #415373=DIRECTION('',(-0.833702365223953,0.552214058332442,0.)); #415374=DIRECTION('',(0.,0.,1.)); #415375=DIRECTION('center_axis',(0.707106781186552,0.707106781186543,0.)); #415376=DIRECTION('ref_axis',(-0.707106781186543,0.707106781186552,0.)); #415377=DIRECTION('',(-0.707106781186543,0.707106781186552,0.)); #415378=DIRECTION('',(-0.707106781186543,0.707106781186552,0.)); #415379=DIRECTION('',(0.,0.,1.)); #415380=DIRECTION('center_axis',(0.,1.,0.)); #415381=DIRECTION('ref_axis',(-1.,0.,0.)); #415382=DIRECTION('',(-1.,0.,0.)); #415383=DIRECTION('',(-1.,0.,0.)); #415384=DIRECTION('',(0.,0.,1.)); #415385=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #415386=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #415387=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #415388=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #415389=DIRECTION('',(0.,0.,1.)); #415390=DIRECTION('center_axis',(-0.572013161193165,0.82024444126236,0.)); #415391=DIRECTION('ref_axis',(-0.82024444126236,-0.572013161193165,0.)); #415392=DIRECTION('',(-0.82024444126236,-0.572013161193165,0.)); #415393=DIRECTION('',(-0.82024444126236,-0.572013161193165,0.)); #415394=DIRECTION('',(0.,0.,1.)); #415395=DIRECTION('center_axis',(-0.230105511310725,0.973165686645614,0.)); #415396=DIRECTION('ref_axis',(-0.973165686645614,-0.230105511310725,0.)); #415397=DIRECTION('',(-0.973165686645614,-0.230105511310725,0.)); #415398=DIRECTION('',(-0.973165686645614,-0.230105511310725,0.)); #415399=DIRECTION('',(0.,0.,1.)); #415400=DIRECTION('center_axis',(0.167619338300963,0.985851792830721,0.)); #415401=DIRECTION('ref_axis',(-0.985851792830721,0.167619338300963,0.)); #415402=DIRECTION('',(-0.985851792830721,0.167619338300963,0.)); #415403=DIRECTION('',(-0.985851792830721,0.167619338300963,0.)); #415404=DIRECTION('',(0.,0.,1.)); #415405=DIRECTION('center_axis',(0.538928498327832,0.842351514327663,0.)); #415406=DIRECTION('ref_axis',(-0.842351514327663,0.538928498327832,0.)); #415407=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #415408=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #415409=DIRECTION('',(0.,0.,1.)); #415410=DIRECTION('center_axis',(0.824759961060236,0.56548298527181,0.)); #415411=DIRECTION('ref_axis',(-0.56548298527181,0.824759961060236,0.)); #415412=DIRECTION('',(-0.56548298527181,0.824759961060236,0.)); #415413=DIRECTION('',(-0.56548298527181,0.824759961060236,0.)); #415414=DIRECTION('',(0.,0.,1.)); #415415=DIRECTION('center_axis',(0.97999811234025,0.199006783325459,0.)); #415416=DIRECTION('ref_axis',(-0.199006783325459,0.97999811234025,0.)); #415417=DIRECTION('',(-0.199006783325459,0.97999811234025,0.)); #415418=DIRECTION('',(-0.199006783325459,0.97999811234025,0.)); #415419=DIRECTION('',(0.,0.,1.)); #415420=DIRECTION('center_axis',(1.,0.,0.)); #415421=DIRECTION('ref_axis',(0.,1.,0.)); #415422=DIRECTION('',(0.,1.,0.)); #415423=DIRECTION('',(0.,1.,0.)); #415424=DIRECTION('',(0.,0.,1.)); #415425=DIRECTION('center_axis',(0.981552851945484,-0.191191000932803,0.)); #415426=DIRECTION('ref_axis',(0.191191000932803,0.981552851945484,0.)); #415427=DIRECTION('',(0.191191000932803,0.981552851945484,0.)); #415428=DIRECTION('',(0.191191000932803,0.981552851945484,0.)); #415429=DIRECTION('',(0.,0.,1.)); #415430=DIRECTION('center_axis',(0.833693256958924,-0.552227809242908,0.)); #415431=DIRECTION('ref_axis',(0.552227809242908,0.833693256958924,0.)); #415432=DIRECTION('',(0.552227809242908,0.833693256958924,0.)); #415433=DIRECTION('',(0.552227809242908,0.833693256958924,0.)); #415434=DIRECTION('',(0.,0.,1.)); #415435=DIRECTION('center_axis',(0.707108968966173,-0.707104593400154,0.)); #415436=DIRECTION('ref_axis',(0.707104593400154,0.707108968966173,0.)); #415437=DIRECTION('',(0.707104593400154,0.707108968966173,0.)); #415438=DIRECTION('',(0.707104593400154,0.707108968966173,0.)); #415439=DIRECTION('',(0.,0.,1.)); #415440=DIRECTION('center_axis',(1.,0.,0.)); #415441=DIRECTION('ref_axis',(0.,1.,0.)); #415442=DIRECTION('',(0.,1.,0.)); #415443=DIRECTION('',(0.,1.,0.)); #415444=DIRECTION('',(0.,0.,1.)); #415445=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #415446=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #415447=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #415448=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #415449=DIRECTION('',(0.,0.,1.)); #415450=DIRECTION('center_axis',(0.,1.,0.)); #415451=DIRECTION('ref_axis',(-1.,0.,0.)); #415452=DIRECTION('',(-1.,0.,0.)); #415453=DIRECTION('',(-1.,0.,0.)); #415454=DIRECTION('',(0.,0.,1.)); #415455=DIRECTION('center_axis',(0.183314787014918,0.983054265471482,0.)); #415456=DIRECTION('ref_axis',(-0.983054265471482,0.183314787014918,0.)); #415457=DIRECTION('',(-0.983054265471482,0.183314787014918,0.)); #415458=DIRECTION('',(-0.983054265471482,0.183314787014918,0.)); #415459=DIRECTION('',(0.,0.,1.)); #415460=DIRECTION('center_axis',(0.538928498327713,0.84235151432774,0.)); #415461=DIRECTION('ref_axis',(-0.84235151432774,0.538928498327713,0.)); #415462=DIRECTION('',(-0.84235151432774,0.538928498327713,0.)); #415463=DIRECTION('',(-0.84235151432774,0.538928498327713,0.)); #415464=DIRECTION('',(0.,0.,1.)); #415465=DIRECTION('center_axis',(0.824766287441821,0.565473758099733,0.)); #415466=DIRECTION('ref_axis',(-0.565473758099733,0.824766287441821,0.)); #415467=DIRECTION('',(-0.565473758099733,0.824766287441821,0.)); #415468=DIRECTION('',(-0.565473758099733,0.824766287441821,0.)); #415469=DIRECTION('',(0.,0.,1.)); #415470=DIRECTION('center_axis',(0.979997328799728,0.19901064178932,0.)); #415471=DIRECTION('ref_axis',(-0.19901064178932,0.979997328799728,0.)); #415472=DIRECTION('',(-0.19901064178932,0.979997328799728,0.)); #415473=DIRECTION('',(-0.19901064178932,0.979997328799728,0.)); #415474=DIRECTION('',(0.,0.,1.)); #415475=DIRECTION('center_axis',(1.,0.,0.)); #415476=DIRECTION('ref_axis',(0.,1.,0.)); #415477=DIRECTION('',(0.,1.,0.)); #415478=DIRECTION('',(0.,1.,0.)); #415479=DIRECTION('',(0.,0.,1.)); #415480=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #415481=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #415482=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #415483=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #415484=DIRECTION('',(0.,0.,1.)); #415485=DIRECTION('center_axis',(0.,1.,0.)); #415486=DIRECTION('ref_axis',(-1.,0.,0.)); #415487=DIRECTION('',(-1.,0.,0.)); #415488=DIRECTION('',(-1.,0.,0.)); #415489=DIRECTION('',(0.,0.,1.)); #415490=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #415491=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #415492=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #415493=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #415494=DIRECTION('',(0.,0.,1.)); #415495=DIRECTION('center_axis',(-1.,0.,0.)); #415496=DIRECTION('ref_axis',(0.,-1.,0.)); #415497=DIRECTION('',(0.,-1.,0.)); #415498=DIRECTION('',(0.,-1.,0.)); #415499=DIRECTION('',(0.,0.,1.)); #415500=DIRECTION('center_axis',(-0.707108968966163,-0.707104593400163, 0.)); #415501=DIRECTION('ref_axis',(0.707104593400163,-0.707108968966163,0.)); #415502=DIRECTION('',(0.707104593400163,-0.707108968966163,0.)); #415503=DIRECTION('',(0.707104593400163,-0.707108968966163,0.)); #415504=DIRECTION('',(0.,0.,1.)); #415505=DIRECTION('center_axis',(-0.829258128536007,-0.558865776602003, 0.)); #415506=DIRECTION('ref_axis',(0.558865776602003,-0.829258128536007,0.)); #415507=DIRECTION('',(0.558865776602003,-0.829258128536007,0.)); #415508=DIRECTION('',(0.558865776602003,-0.829258128536007,0.)); #415509=DIRECTION('',(0.,0.,1.)); #415510=DIRECTION('center_axis',(-0.979998112340233,-0.199006783325543, 0.)); #415511=DIRECTION('ref_axis',(0.199006783325543,-0.979998112340233,0.)); #415512=DIRECTION('',(0.199006783325543,-0.979998112340233,0.)); #415513=DIRECTION('',(0.199006783325543,-0.979998112340233,0.)); #415514=DIRECTION('',(0.,0.,1.)); #415515=DIRECTION('center_axis',(-1.,0.,0.)); #415516=DIRECTION('ref_axis',(0.,-1.,0.)); #415517=DIRECTION('',(0.,-1.,0.)); #415518=DIRECTION('',(0.,-1.,0.)); #415519=DIRECTION('',(0.,0.,1.)); #415520=DIRECTION('center_axis',(-0.707106781186569,-0.707106781186526, 0.)); #415521=DIRECTION('ref_axis',(0.707106781186526,-0.707106781186569,0.)); #415522=DIRECTION('',(0.707106781186526,-0.707106781186569,0.)); #415523=DIRECTION('',(0.707106781186526,-0.707106781186569,0.)); #415524=DIRECTION('',(0.,0.,1.)); #415525=DIRECTION('center_axis',(-0.820244441262368,-0.572013161193155, 0.)); #415526=DIRECTION('ref_axis',(0.572013161193155,-0.820244441262367,0.)); #415527=DIRECTION('',(0.572013161193155,-0.820244441262367,0.)); #415528=DIRECTION('',(0.572013161193155,-0.820244441262367,0.)); #415529=DIRECTION('',(0.,0.,1.)); #415530=DIRECTION('center_axis',(-0.97316125628092,-0.230124247470233,0.)); #415531=DIRECTION('ref_axis',(0.230124247470233,-0.97316125628092,0.)); #415532=DIRECTION('',(0.230124247470233,-0.97316125628092,0.)); #415533=DIRECTION('',(0.230124247470233,-0.97316125628092,0.)); #415534=DIRECTION('',(0.,0.,1.)); #415535=DIRECTION('center_axis',(-0.985851792830718,0.167619338300985,0.)); #415536=DIRECTION('ref_axis',(-0.167619338300985,-0.985851792830718,0.)); #415537=DIRECTION('',(-0.167619338300985,-0.985851792830718,0.)); #415538=DIRECTION('',(-0.167619338300985,-0.985851792830718,0.)); #415539=DIRECTION('',(0.,0.,1.)); #415540=DIRECTION('center_axis',(-0.842351514327729,0.53892849832773,0.)); #415541=DIRECTION('ref_axis',(-0.53892849832773,-0.842351514327729,0.)); #415542=DIRECTION('',(-0.53892849832773,-0.842351514327729,0.)); #415543=DIRECTION('',(-0.53892849832773,-0.842351514327729,0.)); #415544=DIRECTION('',(0.,0.,1.)); #415545=DIRECTION('center_axis',(-0.56548298527175,0.824759961060277,0.)); #415546=DIRECTION('ref_axis',(-0.824759961060277,-0.56548298527175,0.)); #415547=DIRECTION('',(-0.824759961060277,-0.56548298527175,0.)); #415548=DIRECTION('',(-0.824759961060277,-0.56548298527175,0.)); #415549=DIRECTION('',(0.,0.,1.)); #415550=DIRECTION('center_axis',(-0.199006783325535,0.979998112340235,0.)); #415551=DIRECTION('ref_axis',(-0.979998112340235,-0.199006783325535,0.)); #415552=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #415553=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #415554=DIRECTION('',(0.,0.,1.)); #415555=DIRECTION('center_axis',(0.,1.,0.)); #415556=DIRECTION('ref_axis',(-1.,0.,0.)); #415557=DIRECTION('',(-1.,0.,0.)); #415558=DIRECTION('',(-1.,0.,0.)); #415559=DIRECTION('',(0.,0.,1.)); #415560=DIRECTION('center_axis',(-0.707106781186556,0.707106781186539,0.)); #415561=DIRECTION('ref_axis',(-0.707106781186539,-0.707106781186556,0.)); #415562=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #415563=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #415564=DIRECTION('',(0.,0.,1.)); #415565=DIRECTION('center_axis',(-1.,0.,0.)); #415566=DIRECTION('ref_axis',(0.,-1.,0.)); #415567=DIRECTION('',(0.,-1.,0.)); #415568=DIRECTION('',(0.,-1.,0.)); #415569=DIRECTION('',(0.,0.,1.)); #415570=DIRECTION('center_axis',(-0.983054265471501,0.183314787014818,0.)); #415571=DIRECTION('ref_axis',(-0.183314787014818,-0.983054265471501,0.)); #415572=DIRECTION('',(-0.183314787014818,-0.983054265471501,0.)); #415573=DIRECTION('',(-0.183314787014818,-0.983054265471501,0.)); #415574=DIRECTION('',(0.,0.,1.)); #415575=DIRECTION('center_axis',(-0.842351514327649,0.538928498327855,0.)); #415576=DIRECTION('ref_axis',(-0.538928498327855,-0.842351514327649,0.)); #415577=DIRECTION('',(-0.538928498327855,-0.842351514327649,0.)); #415578=DIRECTION('',(-0.538928498327855,-0.842351514327649,0.)); #415579=DIRECTION('',(0.,0.,1.)); #415580=DIRECTION('center_axis',(-0.565473758099803,0.824766287441773,0.)); #415581=DIRECTION('ref_axis',(-0.824766287441773,-0.565473758099803,0.)); #415582=DIRECTION('',(-0.824766287441773,-0.565473758099803,0.)); #415583=DIRECTION('',(-0.824766287441773,-0.565473758099803,0.)); #415584=DIRECTION('',(0.,0.,1.)); #415585=DIRECTION('center_axis',(-0.199010641789413,0.97999732879971,0.)); #415586=DIRECTION('ref_axis',(-0.97999732879971,-0.199010641789413,0.)); #415587=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #415588=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #415589=DIRECTION('',(0.,0.,1.)); #415590=DIRECTION('center_axis',(0.,1.,0.)); #415591=DIRECTION('ref_axis',(-1.,0.,0.)); #415592=DIRECTION('',(-1.,0.,0.)); #415593=DIRECTION('',(-1.,0.,0.)); #415594=DIRECTION('',(0.,0.,1.)); #415595=DIRECTION('center_axis',(-0.707106781186539,0.707106781186556,0.)); #415596=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #415597=DIRECTION('',(-0.707106781186556,-0.707106781186539,0.)); #415598=DIRECTION('',(-0.707106781186556,-0.707106781186539,0.)); #415599=DIRECTION('',(0.,0.,1.)); #415600=DIRECTION('center_axis',(-1.,0.,0.)); #415601=DIRECTION('ref_axis',(0.,-1.,0.)); #415602=DIRECTION('',(0.,-1.,0.)); #415603=DIRECTION('',(0.,-1.,0.)); #415604=DIRECTION('',(0.,0.,1.)); #415605=DIRECTION('center_axis',(-0.98305039565759,0.183335538282833,0.)); #415606=DIRECTION('ref_axis',(-0.183335538282833,-0.98305039565759,0.)); #415607=DIRECTION('',(-0.183335538282833,-0.98305039565759,0.)); #415608=DIRECTION('',(-0.183335538282833,-0.98305039565759,0.)); #415609=DIRECTION('',(0.,0.,1.)); #415610=DIRECTION('center_axis',(-0.84236049547023,0.538914460439825,0.)); #415611=DIRECTION('ref_axis',(-0.538914460439825,-0.84236049547023,0.)); #415612=DIRECTION('',(-0.538914460439825,-0.84236049547023,0.)); #415613=DIRECTION('',(-0.538914460439825,-0.84236049547023,0.)); #415614=DIRECTION('',(0.,0.,1.)); #415615=DIRECTION('center_axis',(-0.565473758099733,0.824766287441821,0.)); #415616=DIRECTION('ref_axis',(-0.824766287441821,-0.565473758099733,0.)); #415617=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #415618=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #415619=DIRECTION('',(0.,0.,1.)); #415620=DIRECTION('center_axis',(-0.199010641789396,0.979997328799713,0.)); #415621=DIRECTION('ref_axis',(-0.979997328799713,-0.199010641789396,0.)); #415622=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #415623=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #415624=DIRECTION('',(0.,0.,1.)); #415625=DIRECTION('center_axis',(0.,1.,0.)); #415626=DIRECTION('ref_axis',(-1.,0.,0.)); #415627=DIRECTION('',(-1.,0.,0.)); #415628=DIRECTION('',(-1.,0.,0.)); #415629=DIRECTION('',(0.,0.,1.)); #415630=DIRECTION('center_axis',(0.191191000932803,0.981552851945484,0.)); #415631=DIRECTION('ref_axis',(-0.981552851945484,0.191191000932803,0.)); #415632=DIRECTION('',(-0.981552851945484,0.191191000932803,0.)); #415633=DIRECTION('',(-0.981552851945484,0.191191000932803,0.)); #415634=DIRECTION('',(0.,0.,1.)); #415635=DIRECTION('center_axis',(0.552218701081291,0.833699290017745,0.)); #415636=DIRECTION('ref_axis',(-0.833699290017745,0.552218701081291,0.)); #415637=DIRECTION('',(-0.833699290017745,0.552218701081291,0.)); #415638=DIRECTION('',(-0.833699290017745,0.552218701081291,0.)); #415639=DIRECTION('',(0.,0.,1.)); #415640=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #415641=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #415642=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #415643=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #415644=DIRECTION('',(0.,0.,1.)); #415645=DIRECTION('center_axis',(0.,1.,0.)); #415646=DIRECTION('ref_axis',(-1.,0.,0.)); #415647=DIRECTION('',(-1.,0.,0.)); #415648=DIRECTION('',(-1.,0.,0.)); #415649=DIRECTION('',(0.,0.,1.)); #415650=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #415651=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #415652=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #415653=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #415654=DIRECTION('',(0.,0.,1.)); #415655=DIRECTION('center_axis',(-1.,0.,0.)); #415656=DIRECTION('ref_axis',(0.,-1.,0.)); #415657=DIRECTION('',(0.,-1.,0.)); #415658=DIRECTION('',(0.,-1.,0.)); #415659=DIRECTION('',(0.,0.,1.)); #415660=DIRECTION('center_axis',(-0.707106781186555,-0.70710678118654,0.)); #415661=DIRECTION('ref_axis',(0.70710678118654,-0.707106781186555,0.)); #415662=DIRECTION('',(0.70710678118654,-0.707106781186555,0.)); #415663=DIRECTION('',(0.70710678118654,-0.707106781186555,0.)); #415664=DIRECTION('',(0.,0.,1.)); #415665=DIRECTION('center_axis',(-0.824764905148841,-0.565475774224523, 0.)); #415666=DIRECTION('ref_axis',(0.565475774224523,-0.82476490514884,0.)); #415667=DIRECTION('',(0.565475774224523,-0.824764905148841,0.)); #415668=DIRECTION('',(0.565475774224523,-0.824764905148841,0.)); #415669=DIRECTION('',(0.,0.,1.)); #415670=DIRECTION('center_axis',(-0.976703298176474,-0.214594192212179, 0.)); #415671=DIRECTION('ref_axis',(0.214594192212179,-0.976703298176474,0.)); #415672=DIRECTION('',(0.214594192212179,-0.976703298176474,0.)); #415673=DIRECTION('',(0.214594192212179,-0.976703298176474,0.)); #415674=DIRECTION('',(0.,0.,1.)); #415675=DIRECTION('center_axis',(-0.983041987252264,0.183380618657263,0.)); #415676=DIRECTION('ref_axis',(-0.183380618657263,-0.983041987252264,0.)); #415677=DIRECTION('',(-0.183380618657263,-0.983041987252264,0.)); #415678=DIRECTION('',(-0.183380618657263,-0.983041987252264,0.)); #415679=DIRECTION('',(0.,0.,1.)); #415680=DIRECTION('center_axis',(-0.8337023652239,0.552214058332524,0.)); #415681=DIRECTION('ref_axis',(-0.552214058332524,-0.8337023652239,0.)); #415682=DIRECTION('',(-0.552214058332524,-0.8337023652239,0.)); #415683=DIRECTION('',(-0.552214058332524,-0.8337023652239,0.)); #415684=DIRECTION('',(0.,0.,1.)); #415685=DIRECTION('center_axis',(-0.707106781186562,0.707106781186533,0.)); #415686=DIRECTION('ref_axis',(-0.707106781186533,-0.707106781186562,0.)); #415687=DIRECTION('',(-0.707106781186533,-0.707106781186562,0.)); #415688=DIRECTION('',(-0.707106781186533,-0.707106781186562,0.)); #415689=DIRECTION('',(0.,0.,1.)); #415690=DIRECTION('center_axis',(-1.,0.,0.)); #415691=DIRECTION('ref_axis',(0.,-1.,0.)); #415692=DIRECTION('',(0.,-1.,0.)); #415693=DIRECTION('',(0.,-1.,0.)); #415694=DIRECTION('',(0.,0.,1.)); #415695=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #415696=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #415697=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #415698=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #415699=DIRECTION('',(0.,0.,1.)); #415700=DIRECTION('center_axis',(0.,-1.,0.)); #415701=DIRECTION('ref_axis',(1.,0.,0.)); #415702=DIRECTION('',(1.,0.,0.)); #415703=DIRECTION('',(1.,0.,0.)); #415704=DIRECTION('',(0.,0.,1.)); #415705=DIRECTION('center_axis',(-0.183335538282816,-0.983050395657593, 0.)); #415706=DIRECTION('ref_axis',(0.983050395657593,-0.183335538282816,0.)); #415707=DIRECTION('',(0.983050395657593,-0.183335538282816,0.)); #415708=DIRECTION('',(0.983050395657593,-0.183335538282816,0.)); #415709=DIRECTION('',(0.,0.,1.)); #415710=DIRECTION('center_axis',(-0.538914460439897,-0.842360495470185, 0.)); #415711=DIRECTION('ref_axis',(0.842360495470185,-0.538914460439896,0.)); #415712=DIRECTION('',(0.842360495470185,-0.538914460439896,0.)); #415713=DIRECTION('',(0.842360495470185,-0.538914460439896,0.)); #415714=DIRECTION('',(0.,0.,1.)); #415715=DIRECTION('center_axis',(-0.82476628744178,-0.565473758099792,0.)); #415716=DIRECTION('ref_axis',(0.565473758099792,-0.82476628744178,0.)); #415717=DIRECTION('',(0.565473758099792,-0.82476628744178,0.)); #415718=DIRECTION('',(0.565473758099792,-0.82476628744178,0.)); #415719=DIRECTION('',(0.,0.,1.)); #415720=DIRECTION('center_axis',(-0.97999732879973,-0.199010641789311,0.)); #415721=DIRECTION('ref_axis',(0.199010641789311,-0.97999732879973,0.)); #415722=DIRECTION('',(0.199010641789311,-0.97999732879973,0.)); #415723=DIRECTION('',(0.199010641789311,-0.97999732879973,0.)); #415724=DIRECTION('',(0.,0.,1.)); #415725=DIRECTION('center_axis',(-1.,0.,0.)); #415726=DIRECTION('ref_axis',(0.,-1.,0.)); #415727=DIRECTION('',(0.,-1.,0.)); #415728=DIRECTION('',(0.,-1.,0.)); #415729=DIRECTION('',(0.,0.,1.)); #415730=DIRECTION('center_axis',(0.,0.,1.)); #415731=DIRECTION('ref_axis',(-0.886970149509798,-0.461826757430281,0.)); #415732=DIRECTION('center_axis',(0.,0.,1.)); #415733=DIRECTION('ref_axis',(-0.886970149509798,-0.461826757430281,0.)); #415734=DIRECTION('center_axis',(0.,0.,1.)); #415735=DIRECTION('ref_axis',(-0.886970149509798,-0.461826757430281,0.)); #415736=DIRECTION('',(0.,0.,1.)); #415737=DIRECTION('center_axis',(0.,-1.,0.)); #415738=DIRECTION('ref_axis',(1.,0.,0.)); #415739=DIRECTION('',(1.,0.,0.)); #415740=DIRECTION('',(1.,0.,0.)); #415741=DIRECTION('',(0.,0.,1.)); #415742=DIRECTION('center_axis',(0.,0.,1.)); #415743=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #415744=DIRECTION('center_axis',(0.,0.,1.)); #415745=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #415746=DIRECTION('center_axis',(0.,0.,1.)); #415747=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #415748=DIRECTION('',(0.,0.,1.)); #415749=DIRECTION('center_axis',(-0.707106781186559,-0.707106781186536, 0.)); #415750=DIRECTION('ref_axis',(0.707106781186536,-0.707106781186559,0.)); #415751=DIRECTION('',(0.707106781186536,-0.707106781186559,0.)); #415752=DIRECTION('',(0.707106781186536,-0.707106781186559,0.)); #415753=DIRECTION('',(0.,0.,1.)); #415754=DIRECTION('center_axis',(0.,-1.,0.)); #415755=DIRECTION('ref_axis',(1.,0.,0.)); #415756=DIRECTION('',(1.,0.,0.)); #415757=DIRECTION('',(1.,0.,0.)); #415758=DIRECTION('',(0.,0.,1.)); #415759=DIRECTION('center_axis',(1.,0.,0.)); #415760=DIRECTION('ref_axis',(0.,1.,0.)); #415761=DIRECTION('',(0.,1.,0.)); #415762=DIRECTION('',(0.,1.,0.)); #415763=DIRECTION('',(0.,0.,1.)); #415764=DIRECTION('center_axis',(0.,-1.,0.)); #415765=DIRECTION('ref_axis',(1.,0.,0.)); #415766=DIRECTION('',(1.,0.,0.)); #415767=DIRECTION('',(1.,0.,0.)); #415768=DIRECTION('',(0.,0.,1.)); #415769=DIRECTION('center_axis',(-1.,0.,0.)); #415770=DIRECTION('ref_axis',(0.,-1.,0.)); #415771=DIRECTION('',(0.,-1.,0.)); #415772=DIRECTION('',(0.,-1.,0.)); #415773=DIRECTION('',(0.,0.,1.)); #415774=DIRECTION('center_axis',(0.,1.,0.)); #415775=DIRECTION('ref_axis',(-1.,0.,0.)); #415776=DIRECTION('',(-1.,0.,0.)); #415777=DIRECTION('',(-1.,0.,0.)); #415778=DIRECTION('',(0.,0.,1.)); #415779=DIRECTION('center_axis',(1.,0.,0.)); #415780=DIRECTION('ref_axis',(0.,1.,0.)); #415781=DIRECTION('',(0.,1.,0.)); #415782=DIRECTION('',(0.,1.,0.)); #415783=DIRECTION('',(0.,0.,1.)); #415784=DIRECTION('center_axis',(0.,1.,0.)); #415785=DIRECTION('ref_axis',(-1.,0.,0.)); #415786=DIRECTION('',(-1.,0.,0.)); #415787=DIRECTION('',(-1.,0.,0.)); #415788=DIRECTION('',(0.,0.,1.)); #415789=DIRECTION('center_axis',(0.,0.,1.)); #415790=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #415791=DIRECTION('center_axis',(0.,0.,1.)); #415792=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #415793=DIRECTION('center_axis',(0.,0.,1.)); #415794=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #415795=DIRECTION('',(0.,0.,1.)); #415796=DIRECTION('center_axis',(0.707106781186559,0.707106781186536,0.)); #415797=DIRECTION('ref_axis',(-0.707106781186536,0.707106781186559,0.)); #415798=DIRECTION('',(-0.707106781186536,0.707106781186559,0.)); #415799=DIRECTION('',(-0.707106781186536,0.707106781186559,0.)); #415800=DIRECTION('',(0.,0.,1.)); #415801=DIRECTION('center_axis',(0.,1.,0.)); #415802=DIRECTION('ref_axis',(-1.,0.,0.)); #415803=DIRECTION('',(-1.,0.,0.)); #415804=DIRECTION('',(-1.,0.,0.)); #415805=DIRECTION('',(0.,0.,1.)); #415806=DIRECTION('center_axis',(0.,0.,1.)); #415807=DIRECTION('ref_axis',(0.105400626625707,0.994429840615671,0.)); #415808=DIRECTION('center_axis',(0.,0.,1.)); #415809=DIRECTION('ref_axis',(0.105400626625707,0.994429840615671,0.)); #415810=DIRECTION('center_axis',(0.,0.,1.)); #415811=DIRECTION('ref_axis',(0.105400626625707,0.994429840615671,0.)); #415812=DIRECTION('',(0.,0.,1.)); #415813=DIRECTION('center_axis',(-0.842328566644566,0.538964364141556,0.)); #415814=DIRECTION('ref_axis',(-0.538964364141556,-0.842328566644566,0.)); #415815=DIRECTION('',(-0.538964364141556,-0.842328566644566,0.)); #415816=DIRECTION('',(-0.538964364141556,-0.842328566644566,0.)); #415817=DIRECTION('',(0.,0.,1.)); #415818=DIRECTION('center_axis',(-0.565473758099733,0.824766287441821,0.)); #415819=DIRECTION('ref_axis',(-0.824766287441821,-0.565473758099733,0.)); #415820=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #415821=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #415822=DIRECTION('',(0.,0.,1.)); #415823=DIRECTION('center_axis',(-0.199010641789438,0.979997328799704,0.)); #415824=DIRECTION('ref_axis',(-0.979997328799704,-0.199010641789438,0.)); #415825=DIRECTION('',(-0.979997328799704,-0.199010641789438,0.)); #415826=DIRECTION('',(-0.979997328799704,-0.199010641789438,0.)); #415827=DIRECTION('',(0.,0.,1.)); #415828=DIRECTION('center_axis',(0.,1.,0.)); #415829=DIRECTION('ref_axis',(-1.,0.,0.)); #415830=DIRECTION('',(-1.,0.,0.)); #415831=DIRECTION('',(-1.,0.,0.)); #415832=DIRECTION('',(0.,0.,1.)); #415833=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #415834=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #415835=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #415836=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #415837=DIRECTION('',(0.,0.,1.)); #415838=DIRECTION('center_axis',(-1.,0.,0.)); #415839=DIRECTION('ref_axis',(0.,-1.,0.)); #415840=DIRECTION('',(0.,-1.,0.)); #415841=DIRECTION('',(0.,-1.,0.)); #415842=DIRECTION('',(0.,0.,1.)); #415843=DIRECTION('center_axis',(-0.983050395657587,0.18333553828285,0.)); #415844=DIRECTION('ref_axis',(-0.18333553828285,-0.983050395657587,0.)); #415845=DIRECTION('',(-0.18333553828285,-0.983050395657587,0.)); #415846=DIRECTION('',(-0.18333553828285,-0.983050395657587,0.)); #415847=DIRECTION('',(0.,0.,1.)); #415848=DIRECTION('center_axis',(-0.842360495470243,0.538914460439806,0.)); #415849=DIRECTION('ref_axis',(-0.538914460439806,-0.842360495470243,0.)); #415850=DIRECTION('',(-0.538914460439806,-0.842360495470243,0.)); #415851=DIRECTION('',(-0.538914460439806,-0.842360495470243,0.)); #415852=DIRECTION('',(0.,0.,1.)); #415853=DIRECTION('center_axis',(-0.565473758099733,0.824766287441821,0.)); #415854=DIRECTION('ref_axis',(-0.824766287441821,-0.565473758099733,0.)); #415855=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #415856=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #415857=DIRECTION('',(0.,0.,1.)); #415858=DIRECTION('center_axis',(-0.199010641789396,0.979997328799713,0.)); #415859=DIRECTION('ref_axis',(-0.979997328799713,-0.199010641789396,0.)); #415860=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #415861=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #415862=DIRECTION('',(0.,0.,1.)); #415863=DIRECTION('center_axis',(0.,1.,0.)); #415864=DIRECTION('ref_axis',(-1.,0.,0.)); #415865=DIRECTION('',(-1.,0.,0.)); #415866=DIRECTION('',(-1.,0.,0.)); #415867=DIRECTION('',(0.,0.,1.)); #415868=DIRECTION('center_axis',(0.18331478701493,0.98305426547148,0.)); #415869=DIRECTION('ref_axis',(-0.98305426547148,0.18331478701493,0.)); #415870=DIRECTION('',(-0.98305426547148,0.18331478701493,0.)); #415871=DIRECTION('',(-0.98305426547148,0.18331478701493,0.)); #415872=DIRECTION('',(0.,0.,1.)); #415873=DIRECTION('center_axis',(0.538928498327832,0.842351514327663,0.)); #415874=DIRECTION('ref_axis',(-0.842351514327663,0.538928498327832,0.)); #415875=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #415876=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #415877=DIRECTION('',(0.,0.,1.)); #415878=DIRECTION('center_axis',(0.82476628744178,0.565473758099792,0.)); #415879=DIRECTION('ref_axis',(-0.565473758099792,0.82476628744178,0.)); #415880=DIRECTION('',(-0.565473758099792,0.82476628744178,0.)); #415881=DIRECTION('',(-0.565473758099792,0.82476628744178,0.)); #415882=DIRECTION('',(0.,0.,1.)); #415883=DIRECTION('center_axis',(0.97999732879971,0.199010641789413,0.)); #415884=DIRECTION('ref_axis',(-0.199010641789413,0.97999732879971,0.)); #415885=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #415886=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #415887=DIRECTION('',(0.,0.,1.)); #415888=DIRECTION('center_axis',(1.,0.,0.)); #415889=DIRECTION('ref_axis',(0.,1.,0.)); #415890=DIRECTION('',(0.,1.,0.)); #415891=DIRECTION('',(0.,1.,0.)); #415892=DIRECTION('',(0.,0.,1.)); #415893=DIRECTION('center_axis',(0.983054265471468,-0.183314787014993,0.)); #415894=DIRECTION('ref_axis',(0.183314787014993,0.983054265471468,0.)); #415895=DIRECTION('',(0.183314787014993,0.983054265471468,0.)); #415896=DIRECTION('',(0.183314787014993,0.983054265471468,0.)); #415897=DIRECTION('',(0.,0.,1.)); #415898=DIRECTION('center_axis',(0.842351514327676,-0.538928498327812,0.)); #415899=DIRECTION('ref_axis',(0.538928498327812,0.842351514327676,0.)); #415900=DIRECTION('',(0.538928498327812,0.842351514327676,0.)); #415901=DIRECTION('',(0.538928498327812,0.842351514327676,0.)); #415902=DIRECTION('',(0.,0.,1.)); #415903=DIRECTION('center_axis',(0.565473758099721,-0.824766287441829,0.)); #415904=DIRECTION('ref_axis',(0.824766287441829,0.565473758099721,0.)); #415905=DIRECTION('',(0.824766287441829,0.565473758099721,0.)); #415906=DIRECTION('',(0.824766287441829,0.565473758099721,0.)); #415907=DIRECTION('',(0.,0.,1.)); #415908=DIRECTION('center_axis',(0.19901064178937,-0.979997328799718,0.)); #415909=DIRECTION('ref_axis',(0.979997328799718,0.19901064178937,0.)); #415910=DIRECTION('',(0.979997328799718,0.19901064178937,0.)); #415911=DIRECTION('',(0.979997328799718,0.19901064178937,0.)); #415912=DIRECTION('',(0.,0.,1.)); #415913=DIRECTION('center_axis',(0.,-1.,0.)); #415914=DIRECTION('ref_axis',(1.,0.,0.)); #415915=DIRECTION('',(1.,0.,0.)); #415916=DIRECTION('',(1.,0.,0.)); #415917=DIRECTION('',(0.,0.,1.)); #415918=DIRECTION('center_axis',(0.707106781186535,-0.70710678118656,0.)); #415919=DIRECTION('ref_axis',(0.70710678118656,0.707106781186535,0.)); #415920=DIRECTION('',(0.70710678118656,0.707106781186535,0.)); #415921=DIRECTION('',(0.70710678118656,0.707106781186535,0.)); #415922=DIRECTION('',(0.,0.,1.)); #415923=DIRECTION('center_axis',(0.565475774224491,-0.824764905148863,0.)); #415924=DIRECTION('ref_axis',(0.824764905148863,0.565475774224491,0.)); #415925=DIRECTION('',(0.824764905148863,0.565475774224491,0.)); #415926=DIRECTION('',(0.824764905148863,0.565475774224491,0.)); #415927=DIRECTION('',(0.,0.,1.)); #415928=DIRECTION('center_axis',(0.214594192212104,-0.97670329817649,0.)); #415929=DIRECTION('ref_axis',(0.97670329817649,0.214594192212104,0.)); #415930=DIRECTION('',(0.97670329817649,0.214594192212104,0.)); #415931=DIRECTION('',(0.97670329817649,0.214594192212104,0.)); #415932=DIRECTION('',(0.,0.,1.)); #415933=DIRECTION('center_axis',(-0.183384185256821,-0.983041321917696, 0.)); #415934=DIRECTION('ref_axis',(0.983041321917696,-0.183384185256821,0.)); #415935=DIRECTION('',(0.983041321917696,-0.183384185256821,0.)); #415936=DIRECTION('',(0.983041321917696,-0.183384185256821,0.)); #415937=DIRECTION('',(0.,0.,1.)); #415938=DIRECTION('center_axis',(-0.552204950198567,-0.833708398048261, 0.)); #415939=DIRECTION('ref_axis',(0.833708398048261,-0.552204950198567,0.)); #415940=DIRECTION('',(0.833708398048261,-0.552204950198567,0.)); #415941=DIRECTION('',(0.833708398048261,-0.552204950198567,0.)); #415942=DIRECTION('',(0.,0.,1.)); #415943=DIRECTION('center_axis',(-0.707097567408623,-0.707115994844416, 0.)); #415944=DIRECTION('ref_axis',(0.707115994844416,-0.707097567408623,0.)); #415945=DIRECTION('',(0.707115994844416,-0.707097567408623,0.)); #415946=DIRECTION('',(0.707115994844416,-0.707097567408623,0.)); #415947=DIRECTION('',(0.,0.,1.)); #415948=DIRECTION('center_axis',(0.,-1.,0.)); #415949=DIRECTION('ref_axis',(1.,0.,0.)); #415950=DIRECTION('',(1.,0.,0.)); #415951=DIRECTION('',(1.,0.,0.)); #415952=DIRECTION('',(0.,0.,1.)); #415953=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #415954=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #415955=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #415956=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #415957=DIRECTION('',(0.,0.,1.)); #415958=DIRECTION('center_axis',(1.,0.,0.)); #415959=DIRECTION('ref_axis',(0.,1.,0.)); #415960=DIRECTION('',(0.,1.,0.)); #415961=DIRECTION('',(0.,1.,0.)); #415962=DIRECTION('',(0.,0.,1.)); #415963=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #415964=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #415965=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #415966=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #415967=DIRECTION('',(0.,0.,1.)); #415968=DIRECTION('center_axis',(0.,1.,0.)); #415969=DIRECTION('ref_axis',(-1.,0.,0.)); #415970=DIRECTION('',(-1.,0.,0.)); #415971=DIRECTION('',(-1.,0.,0.)); #415972=DIRECTION('',(0.,0.,1.)); #415973=DIRECTION('center_axis',(-0.707106781186553,0.707106781186542,0.)); #415974=DIRECTION('ref_axis',(-0.707106781186542,-0.707106781186553,0.)); #415975=DIRECTION('',(-0.707106781186542,-0.707106781186553,0.)); #415976=DIRECTION('',(-0.707106781186542,-0.707106781186553,0.)); #415977=DIRECTION('',(0.,0.,1.)); #415978=DIRECTION('center_axis',(-0.565435717281154,0.824792367582743,0.)); #415979=DIRECTION('ref_axis',(-0.824792367582743,-0.565435717281154,0.)); #415980=DIRECTION('',(-0.824792367582743,-0.565435717281154,0.)); #415981=DIRECTION('',(-0.824792367582743,-0.565435717281154,0.)); #415982=DIRECTION('',(0.,0.,1.)); #415983=DIRECTION('center_axis',(-0.214598338859784,0.976702387095793,0.)); #415984=DIRECTION('ref_axis',(-0.976702387095793,-0.214598338859784,0.)); #415985=DIRECTION('',(-0.976702387095793,-0.214598338859784,0.)); #415986=DIRECTION('',(-0.976702387095793,-0.214598338859784,0.)); #415987=DIRECTION('',(0.,0.,1.)); #415988=DIRECTION('center_axis',(0.183380618657247,0.983041987252267,0.)); #415989=DIRECTION('ref_axis',(-0.983041987252266,0.183380618657247,0.)); #415990=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #415991=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #415992=DIRECTION('',(0.,0.,1.)); #415993=DIRECTION('center_axis',(0.552282635770302,0.833656937970655,0.)); #415994=DIRECTION('ref_axis',(-0.833656937970655,0.552282635770302,0.)); #415995=DIRECTION('',(-0.833656937970655,0.552282635770302,0.)); #415996=DIRECTION('',(-0.833656937970655,0.552282635770302,0.)); #415997=DIRECTION('',(0.,0.,1.)); #415998=DIRECTION('center_axis',(0.707133221509551,0.707080339874844,0.)); #415999=DIRECTION('ref_axis',(-0.707080339874844,0.707133221509551,0.)); #416000=DIRECTION('',(-0.707080339874844,0.707133221509551,0.)); #416001=DIRECTION('',(-0.707080339874844,0.707133221509551,0.)); #416002=DIRECTION('',(0.,0.,1.)); #416003=DIRECTION('center_axis',(0.,1.,0.)); #416004=DIRECTION('ref_axis',(-1.,0.,0.)); #416005=DIRECTION('',(-1.,0.,0.)); #416006=DIRECTION('',(-1.,0.,0.)); #416007=DIRECTION('',(0.,0.,1.)); #416008=DIRECTION('center_axis',(0.183314787014884,0.983054265471488,0.)); #416009=DIRECTION('ref_axis',(-0.983054265471488,0.183314787014884,0.)); #416010=DIRECTION('',(-0.983054265471488,0.183314787014884,0.)); #416011=DIRECTION('',(-0.983054265471488,0.183314787014884,0.)); #416012=DIRECTION('',(0.,0.,1.)); #416013=DIRECTION('center_axis',(0.538928498327832,0.842351514327663,0.)); #416014=DIRECTION('ref_axis',(-0.842351514327663,0.538928498327832,0.)); #416015=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #416016=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #416017=DIRECTION('',(0.,0.,1.)); #416018=DIRECTION('center_axis',(0.82476628744178,0.565473758099792,0.)); #416019=DIRECTION('ref_axis',(-0.565473758099792,0.82476628744178,0.)); #416020=DIRECTION('',(-0.565473758099792,0.82476628744178,0.)); #416021=DIRECTION('',(-0.565473758099792,0.82476628744178,0.)); #416022=DIRECTION('',(0.,0.,1.)); #416023=DIRECTION('center_axis',(0.979997328799711,0.199010641789404,0.)); #416024=DIRECTION('ref_axis',(-0.199010641789404,0.979997328799711,0.)); #416025=DIRECTION('',(-0.199010641789404,0.979997328799711,0.)); #416026=DIRECTION('',(-0.199010641789404,0.979997328799711,0.)); #416027=DIRECTION('',(0.,0.,1.)); #416028=DIRECTION('center_axis',(1.,0.,0.)); #416029=DIRECTION('ref_axis',(0.,1.,0.)); #416030=DIRECTION('',(0.,1.,0.)); #416031=DIRECTION('',(0.,1.,0.)); #416032=DIRECTION('',(0.,0.,1.)); #416033=DIRECTION('center_axis',(0.987649512186036,0.156679421363127,0.)); #416034=DIRECTION('ref_axis',(-0.156679421363127,0.987649512186036,0.)); #416035=DIRECTION('',(-0.156679421363127,0.987649512186036,0.)); #416036=DIRECTION('',(-0.156679421363127,0.987649512186036,0.)); #416037=DIRECTION('',(0.,0.,1.)); #416038=DIRECTION('center_axis',(0.125438113889326,0.992101446216005,0.)); #416039=DIRECTION('ref_axis',(-0.992101446216005,0.125438113889326,0.)); #416040=DIRECTION('',(-0.992101446216005,0.125438113889326,0.)); #416041=DIRECTION('',(-0.992101446216005,0.125438113889326,0.)); #416042=DIRECTION('',(0.,0.,1.)); #416043=DIRECTION('center_axis',(0.,1.,0.)); #416044=DIRECTION('ref_axis',(-1.,0.,0.)); #416045=DIRECTION('',(-1.,0.,0.)); #416046=DIRECTION('',(-1.,0.,0.)); #416047=DIRECTION('',(0.,0.,1.)); #416048=DIRECTION('center_axis',(0.183314787014918,0.983054265471482,0.)); #416049=DIRECTION('ref_axis',(-0.983054265471482,0.183314787014918,0.)); #416050=DIRECTION('',(-0.983054265471482,0.183314787014918,0.)); #416051=DIRECTION('',(-0.983054265471482,0.183314787014918,0.)); #416052=DIRECTION('',(0.,0.,1.)); #416053=DIRECTION('center_axis',(0.538928498327753,0.842351514327714,0.)); #416054=DIRECTION('ref_axis',(-0.842351514327714,0.538928498327753,0.)); #416055=DIRECTION('',(-0.842351514327714,0.538928498327753,0.)); #416056=DIRECTION('',(-0.842351514327714,0.538928498327753,0.)); #416057=DIRECTION('',(0.,0.,1.)); #416058=DIRECTION('center_axis',(0.824766287441862,0.565473758099673,0.)); #416059=DIRECTION('ref_axis',(-0.565473758099673,0.824766287441862,0.)); #416060=DIRECTION('',(-0.565473758099673,0.824766287441862,0.)); #416061=DIRECTION('',(-0.565473758099673,0.824766287441862,0.)); #416062=DIRECTION('',(0.,0.,1.)); #416063=DIRECTION('center_axis',(0.979997328799713,0.199010641789396,0.)); #416064=DIRECTION('ref_axis',(-0.199010641789396,0.979997328799713,0.)); #416065=DIRECTION('',(-0.199010641789396,0.979997328799713,0.)); #416066=DIRECTION('',(-0.199010641789396,0.979997328799713,0.)); #416067=DIRECTION('',(0.,0.,1.)); #416068=DIRECTION('center_axis',(1.,0.,0.)); #416069=DIRECTION('ref_axis',(0.,1.,0.)); #416070=DIRECTION('',(0.,1.,0.)); #416071=DIRECTION('',(0.,1.,0.)); #416072=DIRECTION('',(0.,0.,1.)); #416073=DIRECTION('center_axis',(0.981552099144139,-0.191194865688736,0.)); #416074=DIRECTION('ref_axis',(0.191194865688736,0.981552099144139,0.)); #416075=DIRECTION('',(0.191194865688736,0.981552099144139,0.)); #416076=DIRECTION('',(0.191194865688736,0.981552099144139,0.)); #416077=DIRECTION('',(0.,0.,1.)); #416078=DIRECTION('center_axis',(0.833699290017826,-0.552218701081169,0.)); #416079=DIRECTION('ref_axis',(0.552218701081169,0.833699290017826,0.)); #416080=DIRECTION('',(0.552218701081169,0.833699290017826,0.)); #416081=DIRECTION('',(0.552218701081169,0.833699290017826,0.)); #416082=DIRECTION('',(0.,0.,1.)); #416083=DIRECTION('center_axis',(0.707106797437347,-0.707106764935748,0.)); #416084=DIRECTION('ref_axis',(0.707106764935748,0.707106797437347,0.)); #416085=DIRECTION('',(0.707106764935748,0.707106797437347,0.)); #416086=DIRECTION('',(0.707106764935748,0.707106797437347,0.)); #416087=DIRECTION('',(0.,0.,1.)); #416088=DIRECTION('center_axis',(0.558849152006563,-0.82926933218439,0.)); #416089=DIRECTION('ref_axis',(0.82926933218439,0.558849152006563,0.)); #416090=DIRECTION('',(0.82926933218439,0.558849152006563,0.)); #416091=DIRECTION('',(0.82926933218439,0.558849152006563,0.)); #416092=DIRECTION('',(0.,0.,1.)); #416093=DIRECTION('center_axis',(0.19901824208078,-0.979995785357813,0.)); #416094=DIRECTION('ref_axis',(0.979995785357813,0.19901824208078,0.)); #416095=DIRECTION('',(0.979995785357813,0.19901824208078,0.)); #416096=DIRECTION('',(0.979995785357813,0.19901824208078,0.)); #416097=DIRECTION('',(0.,0.,1.)); #416098=DIRECTION('center_axis',(0.,-1.,0.)); #416099=DIRECTION('ref_axis',(1.,0.,0.)); #416100=DIRECTION('',(1.,0.,0.)); #416101=DIRECTION('',(1.,0.,0.)); #416102=DIRECTION('',(0.,0.,1.)); #416103=DIRECTION('center_axis',(0.140987972617697,-0.990011308812759,0.)); #416104=DIRECTION('ref_axis',(0.990011308812759,0.140987972617697,0.)); #416105=DIRECTION('',(0.990011308812759,0.140987972617697,0.)); #416106=DIRECTION('',(0.990011308812759,0.140987972617697,0.)); #416107=DIRECTION('',(0.,0.,1.)); #416108=DIRECTION('center_axis',(0.613185310509894,-0.789939095737693,0.)); #416109=DIRECTION('ref_axis',(0.789939095737693,0.613185310509894,0.)); #416110=DIRECTION('',(0.789939095737693,0.613185310509894,0.)); #416111=DIRECTION('',(0.789939095737693,0.613185310509894,0.)); #416112=DIRECTION('',(0.,0.,1.)); #416113=DIRECTION('center_axis',(0.70710678118655,-0.707106781186545,0.)); #416114=DIRECTION('ref_axis',(0.707106781186545,0.70710678118655,0.)); #416115=DIRECTION('',(0.707106781186545,0.70710678118655,0.)); #416116=DIRECTION('',(0.707106781186545,0.70710678118655,0.)); #416117=DIRECTION('',(0.,0.,1.)); #416118=DIRECTION('center_axis',(0.558849152006563,-0.82926933218439,0.)); #416119=DIRECTION('ref_axis',(0.82926933218439,0.558849152006563,0.)); #416120=DIRECTION('',(0.82926933218439,0.558849152006563,0.)); #416121=DIRECTION('',(0.82926933218439,0.558849152006563,0.)); #416122=DIRECTION('',(0.,0.,1.)); #416123=DIRECTION('center_axis',(0.199018242080728,-0.979995785357823,0.)); #416124=DIRECTION('ref_axis',(0.979995785357823,0.199018242080728,0.)); #416125=DIRECTION('',(0.979995785357823,0.199018242080728,0.)); #416126=DIRECTION('',(0.979995785357823,0.199018242080728,0.)); #416127=DIRECTION('',(0.,0.,1.)); #416128=DIRECTION('center_axis',(0.,-1.,0.)); #416129=DIRECTION('ref_axis',(1.,0.,0.)); #416130=DIRECTION('',(1.,0.,0.)); #416131=DIRECTION('',(1.,0.,0.)); #416132=DIRECTION('',(0.,0.,1.)); #416133=DIRECTION('center_axis',(0.199017616334687,-0.979995912434567,0.)); #416134=DIRECTION('ref_axis',(0.979995912434567,0.199017616334687,0.)); #416135=DIRECTION('',(0.979995912434567,0.199017616334687,0.)); #416136=DIRECTION('',(0.979995912434567,0.199017616334687,0.)); #416137=DIRECTION('',(0.,0.,1.)); #416138=DIRECTION('center_axis',(0.,-1.,0.)); #416139=DIRECTION('ref_axis',(1.,0.,0.)); #416140=DIRECTION('',(1.,0.,0.)); #416141=DIRECTION('',(1.,0.,0.)); #416142=DIRECTION('',(0.,0.,1.)); #416143=DIRECTION('center_axis',(0.156721321745304,-0.987642864253271,0.)); #416144=DIRECTION('ref_axis',(0.987642864253271,0.156721321745304,0.)); #416145=DIRECTION('',(0.987642864253271,0.156721321745304,0.)); #416146=DIRECTION('',(0.987642864253271,0.156721321745304,0.)); #416147=DIRECTION('',(0.,0.,1.)); #416148=DIRECTION('center_axis',(0.992101446216,-0.125438113889368,0.)); #416149=DIRECTION('ref_axis',(0.125438113889368,0.992101446216,0.)); #416150=DIRECTION('',(0.125438113889368,0.992101446216,0.)); #416151=DIRECTION('',(0.125438113889368,0.992101446216,0.)); #416152=DIRECTION('',(0.,0.,1.)); #416153=DIRECTION('center_axis',(1.,0.,0.)); #416154=DIRECTION('ref_axis',(0.,1.,0.)); #416155=DIRECTION('',(0.,1.,0.)); #416156=DIRECTION('',(0.,1.,0.)); #416157=DIRECTION('',(0.,0.,1.)); #416158=DIRECTION('center_axis',(0.982315373407559,-0.187233830188798,0.)); #416159=DIRECTION('ref_axis',(0.187233830188798,0.982315373407559,0.)); #416160=DIRECTION('',(0.187233830188798,0.982315373407559,0.)); #416161=DIRECTION('',(0.187233830188798,0.982315373407559,0.)); #416162=DIRECTION('',(0.,0.,1.)); #416163=DIRECTION('center_axis',(0.838041506433313,-0.545606482269944,0.)); #416164=DIRECTION('ref_axis',(0.545606482269944,0.838041506433313,0.)); #416165=DIRECTION('',(0.545606482269944,0.838041506433313,0.)); #416166=DIRECTION('',(0.545606482269944,0.838041506433313,0.)); #416167=DIRECTION('',(0.,0.,1.)); #416168=DIRECTION('center_axis',(0.558842045442049,-0.829274121292921,0.)); #416169=DIRECTION('ref_axis',(0.829274121292921,0.558842045442049,0.)); #416170=DIRECTION('',(0.829274121292921,0.558842045442049,0.)); #416171=DIRECTION('',(0.829274121292921,0.558842045442049,0.)); #416172=DIRECTION('',(0.,0.,1.)); #416173=DIRECTION('center_axis',(0.382689829785819,-0.923876882586907,0.)); #416174=DIRECTION('ref_axis',(0.923876882586907,0.382689829785819,0.)); #416175=DIRECTION('',(0.923876882586907,0.382689829785819,0.)); #416176=DIRECTION('',(0.923876882586907,0.382689829785819,0.)); #416177=DIRECTION('',(0.,0.,1.)); #416178=DIRECTION('center_axis',(0.707106177917541,-0.707107384455039,0.)); #416179=DIRECTION('ref_axis',(0.707107384455039,0.707106177917541,0.)); #416180=DIRECTION('',(0.707107384455039,0.707106177917541,0.)); #416181=DIRECTION('',(0.707107384455039,0.707106177917541,0.)); #416182=DIRECTION('',(0.,0.,1.)); #416183=DIRECTION('center_axis',(0.923883289882689,-0.382674361142132,0.)); #416184=DIRECTION('ref_axis',(0.382674361142132,0.923883289882689,0.)); #416185=DIRECTION('',(0.382674361142132,0.923883289882689,0.)); #416186=DIRECTION('',(0.382674361142132,0.923883289882689,0.)); #416187=DIRECTION('',(0.,0.,1.)); #416188=DIRECTION('center_axis',(1.,0.,0.)); #416189=DIRECTION('ref_axis',(0.,1.,0.)); #416190=DIRECTION('',(0.,1.,0.)); #416191=DIRECTION('',(0.,1.,0.)); #416192=DIRECTION('',(0.,0.,1.)); #416193=DIRECTION('center_axis',(0.923883289882689,0.382674361142133,0.)); #416194=DIRECTION('ref_axis',(-0.382674361142133,0.923883289882689,0.)); #416195=DIRECTION('',(-0.382674361142133,0.923883289882689,0.)); #416196=DIRECTION('',(-0.382674361142133,0.923883289882689,0.)); #416197=DIRECTION('',(0.,0.,1.)); #416198=DIRECTION('center_axis',(0.707048183605379,0.707165373912166,0.)); #416199=DIRECTION('ref_axis',(-0.707165373912166,0.707048183605379,0.)); #416200=DIRECTION('',(-0.707165373912166,0.707048183605379,0.)); #416201=DIRECTION('',(-0.707165373912166,0.707048183605379,0.)); #416202=DIRECTION('',(0.,0.,1.)); #416203=DIRECTION('center_axis',(0.824748879658343,0.565499147216251,0.)); #416204=DIRECTION('ref_axis',(-0.565499147216251,0.824748879658343,0.)); #416205=DIRECTION('',(-0.565499147216251,0.824748879658343,0.)); #416206=DIRECTION('',(-0.565499147216251,0.824748879658343,0.)); #416207=DIRECTION('',(0.,0.,1.)); #416208=DIRECTION('center_axis',(0.976703024857833,0.21459543618996,0.)); #416209=DIRECTION('ref_axis',(-0.21459543618996,0.976703024857833,0.)); #416210=DIRECTION('',(-0.21459543618996,0.976703024857833,0.)); #416211=DIRECTION('',(-0.21459543618996,0.976703024857833,0.)); #416212=DIRECTION('',(0.,0.,1.)); #416213=DIRECTION('center_axis',(0.983041654589817,-0.183382401940083,0.)); #416214=DIRECTION('ref_axis',(0.183382401940083,0.983041654589817,0.)); #416215=DIRECTION('',(0.183382401940083,0.983041654589817,0.)); #416216=DIRECTION('',(0.183382401940083,0.983041654589817,0.)); #416217=DIRECTION('',(0.,0.,1.)); #416218=DIRECTION('center_axis',(0.833696876830075,-0.552222344317738,0.)); #416219=DIRECTION('ref_axis',(0.552222344317738,0.833696876830075,0.)); #416220=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #416221=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #416222=DIRECTION('',(0.,0.,1.)); #416223=DIRECTION('center_axis',(0.707107020454175,-0.707106541918839,0.)); #416224=DIRECTION('ref_axis',(0.707106541918839,0.707107020454175,0.)); #416225=DIRECTION('',(0.707106541918839,0.707107020454175,0.)); #416226=DIRECTION('',(0.707106541918839,0.707107020454175,0.)); #416227=DIRECTION('',(0.,0.,1.)); #416228=DIRECTION('center_axis',(0.558849152006532,-0.829269332184412,0.)); #416229=DIRECTION('ref_axis',(0.829269332184412,0.558849152006532,0.)); #416230=DIRECTION('',(0.829269332184412,0.558849152006532,0.)); #416231=DIRECTION('',(0.829269332184412,0.558849152006532,0.)); #416232=DIRECTION('center_axis',(0.,0.,1.)); #416233=DIRECTION('ref_axis',(1.,0.,0.)); #416234=DIRECTION('center_axis',(0.,0.,1.)); #416235=DIRECTION('ref_axis',(1.,0.,0.)); #416236=DIRECTION('center_axis',(0.230104828377436,-0.973165848125278,0.)); #416237=DIRECTION('ref_axis',(0.973165848125278,0.230104828377436,0.)); #416238=DIRECTION('',(0.973165848125277,0.230104828377436,0.)); #416239=DIRECTION('',(0.,0.,1.)); #416240=DIRECTION('',(0.973165848125277,0.230104828377436,0.)); #416241=DIRECTION('',(0.,0.,1.)); #416242=DIRECTION('center_axis',(-0.167615492455217,-0.985852446712486, 0.)); #416243=DIRECTION('ref_axis',(0.985852446712486,-0.167615492455217,0.)); #416244=DIRECTION('',(0.985852446712486,-0.167615492455217,0.)); #416245=DIRECTION('',(0.985852446712486,-0.167615492455217,0.)); #416246=DIRECTION('',(0.,0.,1.)); #416247=DIRECTION('center_axis',(-0.53892288322649,-0.842355106789795,0.)); #416248=DIRECTION('ref_axis',(0.842355106789795,-0.53892288322649,0.)); #416249=DIRECTION('',(0.842355106789795,-0.53892288322649,0.)); #416250=DIRECTION('',(0.842355106789795,-0.53892288322649,0.)); #416251=DIRECTION('',(0.,0.,1.)); #416252=DIRECTION('center_axis',(-0.824763124289754,-0.565478371656958, 0.)); #416253=DIRECTION('ref_axis',(0.565478371656958,-0.824763124289754,0.)); #416254=DIRECTION('',(0.565478371656958,-0.824763124289754,0.)); #416255=DIRECTION('',(0.565478371656958,-0.824763124289754,0.)); #416256=DIRECTION('',(0.,0.,1.)); #416257=DIRECTION('center_axis',(-0.97999764222139,-0.19900909838627,0.)); #416258=DIRECTION('ref_axis',(0.19900909838627,-0.97999764222139,0.)); #416259=DIRECTION('',(0.19900909838627,-0.97999764222139,0.)); #416260=DIRECTION('',(0.19900909838627,-0.97999764222139,0.)); #416261=DIRECTION('',(0.,0.,1.)); #416262=DIRECTION('center_axis',(-1.,0.,0.)); #416263=DIRECTION('ref_axis',(0.,-1.,0.)); #416264=DIRECTION('',(0.,-1.,0.)); #416265=DIRECTION('',(0.,-1.,0.)); #416266=DIRECTION('',(0.,0.,1.)); #416267=DIRECTION('center_axis',(-0.984473985687406,0.175530542939833,0.)); #416268=DIRECTION('ref_axis',(-0.175530542939833,-0.984473985687406,0.)); #416269=DIRECTION('',(-0.175530542939833,-0.984473985687406,0.)); #416270=DIRECTION('',(-0.175530542939833,-0.984473985687406,0.)); #416271=DIRECTION('',(0.,0.,1.)); #416272=DIRECTION('center_axis',(-0.850855747839111,0.525399368451416,0.)); #416273=DIRECTION('ref_axis',(-0.525399368451416,-0.850855747839111,0.)); #416274=DIRECTION('',(-0.525399368451416,-0.850855747839111,0.)); #416275=DIRECTION('',(-0.525399368451416,-0.850855747839111,0.)); #416276=DIRECTION('',(0.,0.,1.)); #416277=DIRECTION('center_axis',(-0.57858315794949,0.81562339920897,0.)); #416278=DIRECTION('ref_axis',(-0.81562339920897,-0.57858315794949,0.)); #416279=DIRECTION('',(-0.81562339920897,-0.57858315794949,0.)); #416280=DIRECTION('',(-0.81562339920897,-0.57858315794949,0.)); #416281=DIRECTION('',(0.,0.,1.)); #416282=DIRECTION('center_axis',(-0.214594192212146,0.976703298176481,0.)); #416283=DIRECTION('ref_axis',(-0.976703298176481,-0.214594192212146,0.)); #416284=DIRECTION('',(-0.976703298176481,-0.214594192212146,0.)); #416285=DIRECTION('',(-0.976703298176481,-0.214594192212146,0.)); #416286=DIRECTION('',(0.,0.,1.)); #416287=DIRECTION('center_axis',(0.183380618657247,0.983041987252267,0.)); #416288=DIRECTION('ref_axis',(-0.983041987252266,0.183380618657247,0.)); #416289=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #416290=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #416291=DIRECTION('',(0.,0.,1.)); #416292=DIRECTION('center_axis',(0.552214058332483,0.833702365223927,0.)); #416293=DIRECTION('ref_axis',(-0.833702365223927,0.552214058332483,0.)); #416294=DIRECTION('',(-0.833702365223927,0.552214058332483,0.)); #416295=DIRECTION('',(-0.833702365223927,0.552214058332483,0.)); #416296=DIRECTION('',(0.,0.,1.)); #416297=DIRECTION('center_axis',(0.707106781186527,0.707106781186568,0.)); #416298=DIRECTION('ref_axis',(-0.707106781186568,0.707106781186527,0.)); #416299=DIRECTION('',(-0.707106781186568,0.707106781186527,0.)); #416300=DIRECTION('',(-0.707106781186568,0.707106781186527,0.)); #416301=DIRECTION('',(0.,0.,1.)); #416302=DIRECTION('center_axis',(0.829264560351591,0.558856232804898,0.)); #416303=DIRECTION('ref_axis',(-0.558856232804898,0.829264560351591,0.)); #416304=DIRECTION('',(-0.558856232804898,0.829264560351591,0.)); #416305=DIRECTION('',(-0.558856232804898,0.829264560351591,0.)); #416306=DIRECTION('',(0.,0.,1.)); #416307=DIRECTION('center_axis',(0.979997328799711,0.199010641789404,0.)); #416308=DIRECTION('ref_axis',(-0.199010641789404,0.979997328799711,0.)); #416309=DIRECTION('',(-0.199010641789404,0.979997328799711,0.)); #416310=DIRECTION('',(-0.199010641789404,0.979997328799711,0.)); #416311=DIRECTION('',(0.,0.,1.)); #416312=DIRECTION('center_axis',(1.,0.,0.)); #416313=DIRECTION('ref_axis',(0.,1.,0.)); #416314=DIRECTION('',(0.,1.,0.)); #416315=DIRECTION('',(0.,1.,0.)); #416316=DIRECTION('',(0.,0.,1.)); #416317=DIRECTION('center_axis',(0.981552475550509,-0.191192933291658,0.)); #416318=DIRECTION('ref_axis',(0.191192933291658,0.981552475550508,0.)); #416319=DIRECTION('',(0.191192933291658,0.981552475550508,0.)); #416320=DIRECTION('',(0.191192933291658,0.981552475550508,0.)); #416321=DIRECTION('',(0.,0.,1.)); #416322=DIRECTION('center_axis',(0.833696876830075,-0.552222344317738,0.)); #416323=DIRECTION('ref_axis',(0.552222344317738,0.833696876830075,0.)); #416324=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #416325=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #416326=DIRECTION('',(0.,0.,1.)); #416327=DIRECTION('center_axis',(0.707106781186537,-0.707106781186558,0.)); #416328=DIRECTION('ref_axis',(0.707106781186558,0.707106781186537,0.)); #416329=DIRECTION('',(0.707106781186558,0.707106781186537,0.)); #416330=DIRECTION('',(0.707106781186558,0.707106781186537,0.)); #416331=DIRECTION('',(0.,0.,1.)); #416332=DIRECTION('center_axis',(0.572023686171484,-0.820237101366908,0.)); #416333=DIRECTION('ref_axis',(0.820237101366908,0.572023686171484,0.)); #416334=DIRECTION('',(0.820237101366908,0.572023686171484,0.)); #416335=DIRECTION('',(0.820237101366908,0.572023686171484,0.)); #416336=DIRECTION('center_axis',(0.,0.,1.)); #416337=DIRECTION('ref_axis',(1.,0.,0.)); #416338=DIRECTION('center_axis',(0.,0.,1.)); #416339=DIRECTION('ref_axis',(1.,0.,0.)); #416340=DIRECTION('center_axis',(0.214594192212104,-0.97670329817649,0.)); #416341=DIRECTION('ref_axis',(0.97670329817649,0.214594192212104,0.)); #416342=DIRECTION('',(0.97670329817649,0.214594192212104,0.)); #416343=DIRECTION('',(0.,0.,1.)); #416344=DIRECTION('',(0.97670329817649,0.214594192212104,0.)); #416345=DIRECTION('',(0.,0.,1.)); #416346=DIRECTION('center_axis',(-0.183384185256779,-0.983041321917704, 0.)); #416347=DIRECTION('ref_axis',(0.983041321917704,-0.183384185256779,0.)); #416348=DIRECTION('',(0.983041321917704,-0.183384185256779,0.)); #416349=DIRECTION('',(0.983041321917704,-0.183384185256779,0.)); #416350=DIRECTION('',(0.,0.,1.)); #416351=DIRECTION('center_axis',(-0.55221870108126,-0.833699290017765,0.)); #416352=DIRECTION('ref_axis',(0.833699290017765,-0.55221870108126,0.)); #416353=DIRECTION('',(0.833699290017765,-0.55221870108126,0.)); #416354=DIRECTION('',(0.833699290017765,-0.55221870108126,0.)); #416355=DIRECTION('',(0.,0.,1.)); #416356=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #416357=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #416358=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #416359=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #416360=DIRECTION('',(0.,0.,1.)); #416361=DIRECTION('center_axis',(-0.829258128535964,-0.558865776602066, 0.)); #416362=DIRECTION('ref_axis',(0.558865776602066,-0.829258128535964,0.)); #416363=DIRECTION('',(0.558865776602066,-0.829258128535964,0.)); #416364=DIRECTION('',(0.558865776602066,-0.829258128535964,0.)); #416365=DIRECTION('',(0.,0.,1.)); #416366=DIRECTION('center_axis',(-0.97999811234025,-0.199006783325459,0.)); #416367=DIRECTION('ref_axis',(0.199006783325459,-0.97999811234025,0.)); #416368=DIRECTION('',(0.199006783325459,-0.97999811234025,0.)); #416369=DIRECTION('',(0.199006783325459,-0.97999811234025,0.)); #416370=DIRECTION('',(0.,0.,1.)); #416371=DIRECTION('center_axis',(-1.,0.,0.)); #416372=DIRECTION('ref_axis',(0.,-1.,0.)); #416373=DIRECTION('',(0.,-1.,0.)); #416374=DIRECTION('',(0.,-1.,0.)); #416375=DIRECTION('',(0.,0.,1.)); #416376=DIRECTION('center_axis',(-0.984474676816787,0.175526666653484,0.)); #416377=DIRECTION('ref_axis',(-0.175526666653484,-0.984474676816787,0.)); #416378=DIRECTION('',(-0.175526666653484,-0.984474676816787,0.)); #416379=DIRECTION('',(-0.175526666653484,-0.984474676816787,0.)); #416380=DIRECTION('',(0.,0.,1.)); #416381=DIRECTION('center_axis',(-0.850855747839124,0.525399368451396,0.)); #416382=DIRECTION('ref_axis',(-0.525399368451396,-0.850855747839124,0.)); #416383=DIRECTION('',(-0.525399368451396,-0.850855747839124,0.)); #416384=DIRECTION('',(-0.525399368451396,-0.850855747839124,0.)); #416385=DIRECTION('',(0.,0.,1.)); #416386=DIRECTION('center_axis',(-0.57858315794949,0.81562339920897,0.)); #416387=DIRECTION('ref_axis',(-0.81562339920897,-0.57858315794949,0.)); #416388=DIRECTION('',(-0.81562339920897,-0.57858315794949,0.)); #416389=DIRECTION('',(-0.81562339920897,-0.57858315794949,0.)); #416390=DIRECTION('',(0.,0.,1.)); #416391=DIRECTION('center_axis',(-0.214594192212104,0.97670329817649,0.)); #416392=DIRECTION('ref_axis',(-0.97670329817649,-0.214594192212104,0.)); #416393=DIRECTION('',(-0.97670329817649,-0.214594192212104,0.)); #416394=DIRECTION('',(-0.97670329817649,-0.214594192212104,0.)); #416395=DIRECTION('',(0.,0.,1.)); #416396=DIRECTION('center_axis',(0.183380618657204,0.983041987252275,0.)); #416397=DIRECTION('ref_axis',(-0.983041987252274,0.183380618657204,0.)); #416398=DIRECTION('',(-0.983041987252274,0.183380618657204,0.)); #416399=DIRECTION('',(-0.983041987252274,0.183380618657204,0.)); #416400=DIRECTION('',(0.,0.,1.)); #416401=DIRECTION('center_axis',(0.552227809242928,0.833693256958911,0.)); #416402=DIRECTION('ref_axis',(-0.833693256958911,0.552227809242928,0.)); #416403=DIRECTION('',(-0.833693256958911,0.552227809242928,0.)); #416404=DIRECTION('',(-0.833693256958911,0.552227809242928,0.)); #416405=DIRECTION('',(0.,0.,1.)); #416406=DIRECTION('center_axis',(0.707106781186527,0.707106781186568,0.)); #416407=DIRECTION('ref_axis',(-0.707106781186568,0.707106781186527,0.)); #416408=DIRECTION('',(-0.707106781186568,0.707106781186527,0.)); #416409=DIRECTION('',(-0.707106781186568,0.707106781186527,0.)); #416410=DIRECTION('',(0.,0.,1.)); #416411=DIRECTION('center_axis',(0.829258128535992,0.558865776602024,0.)); #416412=DIRECTION('ref_axis',(-0.558865776602024,0.829258128535992,0.)); #416413=DIRECTION('',(-0.558865776602024,0.829258128535992,0.)); #416414=DIRECTION('',(-0.558865776602024,0.829258128535992,0.)); #416415=DIRECTION('',(0.,0.,1.)); #416416=DIRECTION('center_axis',(0.979998112340235,0.199006783325535,0.)); #416417=DIRECTION('ref_axis',(-0.199006783325535,0.979998112340235,0.)); #416418=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #416419=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #416420=DIRECTION('',(0.,0.,1.)); #416421=DIRECTION('center_axis',(1.,0.,0.)); #416422=DIRECTION('ref_axis',(0.,1.,0.)); #416423=DIRECTION('',(0.,1.,0.)); #416424=DIRECTION('',(0.,1.,0.)); #416425=DIRECTION('',(0.,0.,1.)); #416426=DIRECTION('center_axis',(0.984474676816787,-0.175526666653484,0.)); #416427=DIRECTION('ref_axis',(0.175526666653484,0.984474676816787,0.)); #416428=DIRECTION('',(0.175526666653484,0.984474676816787,0.)); #416429=DIRECTION('',(0.175526666653484,0.984474676816787,0.)); #416430=DIRECTION('',(0.,0.,1.)); #416431=DIRECTION('center_axis',(0.850855747839111,-0.525399368451416,0.)); #416432=DIRECTION('ref_axis',(0.525399368451416,0.850855747839111,0.)); #416433=DIRECTION('',(0.525399368451416,0.850855747839111,0.)); #416434=DIRECTION('',(0.525399368451416,0.850855747839111,0.)); #416435=DIRECTION('',(0.,0.,1.)); #416436=DIRECTION('center_axis',(0.57858315794952,-0.815623399208949,0.)); #416437=DIRECTION('ref_axis',(0.81562339920895,0.57858315794952,0.)); #416438=DIRECTION('',(0.81562339920895,0.57858315794952,0.)); #416439=DIRECTION('',(0.81562339920895,0.57858315794952,0.)); #416440=DIRECTION('center_axis',(0.,0.,1.)); #416441=DIRECTION('ref_axis',(1.,0.,0.)); #416442=DIRECTION('center_axis',(0.,0.,1.)); #416443=DIRECTION('ref_axis',(1.,0.,0.)); #416444=DIRECTION('center_axis',(0.214590417670813,-0.976704127483787,0.)); #416445=DIRECTION('ref_axis',(0.976704127483787,0.214590417670813,0.)); #416446=DIRECTION('',(0.976704127483787,0.214590417670813,0.)); #416447=DIRECTION('',(0.,0.,1.)); #416448=DIRECTION('',(0.976704127483787,0.214590417670813,0.)); #416449=DIRECTION('',(0.,0.,1.)); #416450=DIRECTION('center_axis',(-0.1833784494501,-0.983042391902443,0.)); #416451=DIRECTION('ref_axis',(0.983042391902443,-0.1833784494501,0.)); #416452=DIRECTION('',(0.983042391902443,-0.1833784494501,0.)); #416453=DIRECTION('',(0.983042391902443,-0.1833784494501,0.)); #416454=DIRECTION('',(0.,0.,1.)); #416455=DIRECTION('center_axis',(-0.552211825696274,-0.833703844036471, 0.)); #416456=DIRECTION('ref_axis',(0.833703844036471,-0.552211825696274,0.)); #416457=DIRECTION('',(0.833703844036471,-0.552211825696274,0.)); #416458=DIRECTION('',(0.833703844036471,-0.552211825696274,0.)); #416459=DIRECTION('',(0.,0.,1.)); #416460=DIRECTION('center_axis',(-0.707106781186537,-0.707106781186558, 0.)); #416461=DIRECTION('ref_axis',(0.707106781186558,-0.707106781186537,0.)); #416462=DIRECTION('',(0.707106781186558,-0.707106781186537,0.)); #416463=DIRECTION('',(0.707106781186558,-0.707106781186537,0.)); #416464=DIRECTION('',(0.,0.,1.)); #416465=DIRECTION('center_axis',(-0.829263274014822,-0.558858141544183, 0.)); #416466=DIRECTION('ref_axis',(0.558858141544183,-0.829263274014822,0.)); #416467=DIRECTION('',(0.558858141544183,-0.829263274014822,0.)); #416468=DIRECTION('',(0.558858141544183,-0.829263274014822,0.)); #416469=DIRECTION('',(0.,0.,1.)); #416470=DIRECTION('center_axis',(-0.979997563866653,-0.199009484234861, 0.)); #416471=DIRECTION('ref_axis',(0.199009484234861,-0.979997563866653,0.)); #416472=DIRECTION('',(0.199009484234861,-0.979997563866653,0.)); #416473=DIRECTION('',(0.199009484234861,-0.979997563866653,0.)); #416474=DIRECTION('',(0.,0.,1.)); #416475=DIRECTION('center_axis',(-1.,0.,0.)); #416476=DIRECTION('ref_axis',(0.,-1.,0.)); #416477=DIRECTION('',(0.,-1.,0.)); #416478=DIRECTION('',(0.,-1.,0.)); #416479=DIRECTION('',(0.,0.,1.)); #416480=DIRECTION('center_axis',(-0.984474676816787,0.175526666653484,0.)); #416481=DIRECTION('ref_axis',(-0.175526666653484,-0.984474676816787,0.)); #416482=DIRECTION('',(-0.175526666653484,-0.984474676816787,0.)); #416483=DIRECTION('',(-0.175526666653484,-0.984474676816787,0.)); #416484=DIRECTION('',(0.,0.,1.)); #416485=DIRECTION('center_axis',(-0.850855747839124,0.525399368451396,0.)); #416486=DIRECTION('ref_axis',(-0.525399368451396,-0.850855747839124,0.)); #416487=DIRECTION('',(-0.525399368451396,-0.850855747839124,0.)); #416488=DIRECTION('',(-0.525399368451396,-0.850855747839124,0.)); #416489=DIRECTION('',(0.,0.,1.)); #416490=DIRECTION('center_axis',(-0.57858315794949,0.81562339920897,0.)); #416491=DIRECTION('ref_axis',(-0.81562339920897,-0.57858315794949,0.)); #416492=DIRECTION('',(-0.81562339920897,-0.57858315794949,0.)); #416493=DIRECTION('',(-0.81562339920897,-0.57858315794949,0.)); #416494=DIRECTION('',(0.,0.,1.)); #416495=DIRECTION('center_axis',(-0.214594192212128,0.976703298176485,0.)); #416496=DIRECTION('ref_axis',(-0.976703298176485,-0.214594192212128,0.)); #416497=DIRECTION('',(-0.976703298176485,-0.214594192212128,0.)); #416498=DIRECTION('',(-0.976703298176485,-0.214594192212128,0.)); #416499=DIRECTION('',(0.,0.,1.)); #416500=DIRECTION('center_axis',(0.183380618657247,0.983041987252267,0.)); #416501=DIRECTION('ref_axis',(-0.983041987252266,0.183380618657247,0.)); #416502=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #416503=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #416504=DIRECTION('',(0.,0.,1.)); #416505=DIRECTION('center_axis',(0.552227809242938,0.833693256958904,0.)); #416506=DIRECTION('ref_axis',(-0.833693256958904,0.552227809242938,0.)); #416507=DIRECTION('',(-0.833693256958904,0.552227809242938,0.)); #416508=DIRECTION('',(-0.833693256958904,0.552227809242938,0.)); #416509=DIRECTION('',(0.,0.,1.)); #416510=DIRECTION('center_axis',(0.707106781186507,0.707106781186588,0.)); #416511=DIRECTION('ref_axis',(-0.707106781186588,0.707106781186507,0.)); #416512=DIRECTION('',(-0.707106781186588,0.707106781186507,0.)); #416513=DIRECTION('',(-0.707106781186588,0.707106781186507,0.)); #416514=DIRECTION('',(0.,0.,1.)); #416515=DIRECTION('center_axis',(0.829258128535992,0.558865776602024,0.)); #416516=DIRECTION('ref_axis',(-0.558865776602024,0.829258128535992,0.)); #416517=DIRECTION('',(-0.558865776602024,0.829258128535992,0.)); #416518=DIRECTION('',(-0.558865776602024,0.829258128535992,0.)); #416519=DIRECTION('',(0.,0.,1.)); #416520=DIRECTION('center_axis',(0.979998112340252,0.19900678332545,0.)); #416521=DIRECTION('ref_axis',(-0.19900678332545,0.979998112340252,0.)); #416522=DIRECTION('',(-0.19900678332545,0.979998112340252,0.)); #416523=DIRECTION('',(-0.19900678332545,0.979998112340252,0.)); #416524=DIRECTION('',(0.,0.,1.)); #416525=DIRECTION('center_axis',(1.,0.,0.)); #416526=DIRECTION('ref_axis',(0.,1.,0.)); #416527=DIRECTION('',(0.,1.,0.)); #416528=DIRECTION('',(0.,1.,0.)); #416529=DIRECTION('',(0.,0.,1.)); #416530=DIRECTION('center_axis',(0.984474331257819,-0.175528604775603,0.)); #416531=DIRECTION('ref_axis',(0.175528604775603,0.984474331257819,0.)); #416532=DIRECTION('',(0.175528604775603,0.984474331257819,0.)); #416533=DIRECTION('',(0.175528604775603,0.984474331257819,0.)); #416534=DIRECTION('',(0.,0.,1.)); #416535=DIRECTION('center_axis',(0.850856840118608,-0.525397599559969,0.)); #416536=DIRECTION('ref_axis',(0.525397599559969,0.850856840118608,0.)); #416537=DIRECTION('',(0.525397599559969,0.850856840118608,0.)); #416538=DIRECTION('',(0.525397599559969,0.850856840118608,0.)); #416539=DIRECTION('',(0.,0.,1.)); #416540=DIRECTION('center_axis',(0.578580525622476,-0.815625266510559,0.)); #416541=DIRECTION('ref_axis',(0.815625266510559,0.578580525622476,0.)); #416542=DIRECTION('',(0.815625266510559,0.578580525622476,0.)); #416543=DIRECTION('',(0.815625266510559,0.578580525622476,0.)); #416544=DIRECTION('center_axis',(0.,0.,1.)); #416545=DIRECTION('ref_axis',(1.,0.,0.)); #416546=DIRECTION('center_axis',(0.,0.,1.)); #416547=DIRECTION('ref_axis',(1.,0.,0.)); #416548=DIRECTION('center_axis',(0.214594192212146,-0.976703298176481,0.)); #416549=DIRECTION('ref_axis',(0.976703298176481,0.214594192212146,0.)); #416550=DIRECTION('',(0.976703298176481,0.214594192212146,0.)); #416551=DIRECTION('',(0.,0.,1.)); #416552=DIRECTION('',(0.976703298176481,0.214594192212146,0.)); #416553=DIRECTION('',(0.,0.,1.)); #416554=DIRECTION('center_axis',(-0.183384185256779,-0.983041321917704, 0.)); #416555=DIRECTION('ref_axis',(0.983041321917704,-0.183384185256779,0.)); #416556=DIRECTION('',(0.983041321917704,-0.183384185256779,0.)); #416557=DIRECTION('',(0.983041321917704,-0.183384185256779,0.)); #416558=DIRECTION('',(0.,0.,1.)); #416559=DIRECTION('center_axis',(-0.552218701081291,-0.833699290017745, 0.)); #416560=DIRECTION('ref_axis',(0.833699290017745,-0.552218701081291,0.)); #416561=DIRECTION('',(0.833699290017745,-0.552218701081291,0.)); #416562=DIRECTION('',(0.833699290017745,-0.552218701081291,0.)); #416563=DIRECTION('',(0.,0.,1.)); #416564=DIRECTION('center_axis',(-0.707104920988687,-0.707108641379514, 0.)); #416565=DIRECTION('ref_axis',(0.707108641379514,-0.707104920988687,0.)); #416566=DIRECTION('',(0.707108641379514,-0.707104920988687,0.)); #416567=DIRECTION('',(0.707108641379514,-0.707104920988687,0.)); #416568=DIRECTION('',(0.,0.,1.)); #416569=DIRECTION('center_axis',(0.,-1.,0.)); #416570=DIRECTION('ref_axis',(1.,0.,0.)); #416571=DIRECTION('',(1.,0.,0.)); #416572=DIRECTION('',(1.,0.,0.)); #416573=DIRECTION('',(0.,0.,1.)); #416574=DIRECTION('center_axis',(-0.191191000932847,-0.981552851945475, 0.)); #416575=DIRECTION('ref_axis',(0.981552851945475,-0.191191000932847,0.)); #416576=DIRECTION('',(0.981552851945475,-0.191191000932847,0.)); #416577=DIRECTION('',(0.981552851945475,-0.191191000932847,0.)); #416578=DIRECTION('',(0.,0.,1.)); #416579=DIRECTION('center_axis',(-0.552227809242898,-0.833693256958931, 0.)); #416580=DIRECTION('ref_axis',(0.833693256958931,-0.552227809242898,0.)); #416581=DIRECTION('',(0.833693256958931,-0.552227809242898,0.)); #416582=DIRECTION('',(0.833693256958931,-0.552227809242898,0.)); #416583=DIRECTION('',(0.,0.,1.)); #416584=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #416585=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #416586=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #416587=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #416588=DIRECTION('',(0.,0.,1.)); #416589=DIRECTION('center_axis',(-0.820244441262321,-0.572013161193222, 0.)); #416590=DIRECTION('ref_axis',(0.572013161193222,-0.820244441262321,0.)); #416591=DIRECTION('',(0.572013161193222,-0.820244441262321,0.)); #416592=DIRECTION('',(0.572013161193222,-0.820244441262321,0.)); #416593=DIRECTION('',(0.,0.,1.)); #416594=DIRECTION('center_axis',(-0.97316125628094,-0.23012424747015,0.)); #416595=DIRECTION('ref_axis',(0.23012424747015,-0.97316125628094,0.)); #416596=DIRECTION('',(0.23012424747015,-0.97316125628094,0.)); #416597=DIRECTION('',(0.23012424747015,-0.97316125628094,0.)); #416598=DIRECTION('',(0.,0.,1.)); #416599=DIRECTION('center_axis',(-0.985851792830732,0.1676193383009,0.)); #416600=DIRECTION('ref_axis',(-0.1676193383009,-0.985851792830732,0.)); #416601=DIRECTION('',(-0.1676193383009,-0.985851792830732,0.)); #416602=DIRECTION('',(-0.1676193383009,-0.985851792830732,0.)); #416603=DIRECTION('',(0.,0.,1.)); #416604=DIRECTION('center_axis',(-0.842351514327689,0.538928498327793,0.)); #416605=DIRECTION('ref_axis',(-0.538928498327792,-0.842351514327689,0.)); #416606=DIRECTION('',(-0.538928498327792,-0.842351514327689,0.)); #416607=DIRECTION('',(-0.538928498327792,-0.842351514327689,0.)); #416608=DIRECTION('',(0.,0.,1.)); #416609=DIRECTION('center_axis',(-0.56548298527175,0.824759961060277,0.)); #416610=DIRECTION('ref_axis',(-0.824759961060277,-0.56548298527175,0.)); #416611=DIRECTION('',(-0.824759961060277,-0.56548298527175,0.)); #416612=DIRECTION('',(-0.824759961060277,-0.56548298527175,0.)); #416613=DIRECTION('',(0.,0.,1.)); #416614=DIRECTION('center_axis',(-0.199006783325535,0.979998112340235,0.)); #416615=DIRECTION('ref_axis',(-0.979998112340235,-0.199006783325535,0.)); #416616=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #416617=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #416618=DIRECTION('',(0.,0.,1.)); #416619=DIRECTION('center_axis',(0.,1.,0.)); #416620=DIRECTION('ref_axis',(-1.,0.,0.)); #416621=DIRECTION('',(-1.,0.,0.)); #416622=DIRECTION('',(-1.,0.,0.)); #416623=DIRECTION('',(0.,0.,1.)); #416624=DIRECTION('center_axis',(0.183314787014838,0.983054265471497,0.)); #416625=DIRECTION('ref_axis',(-0.983054265471497,0.183314787014838,0.)); #416626=DIRECTION('',(-0.983054265471497,0.183314787014838,0.)); #416627=DIRECTION('',(-0.983054265471497,0.183314787014838,0.)); #416628=DIRECTION('',(0.,0.,1.)); #416629=DIRECTION('center_axis',(0.538928498327832,0.842351514327663,0.)); #416630=DIRECTION('ref_axis',(-0.842351514327663,0.538928498327832,0.)); #416631=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #416632=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #416633=DIRECTION('',(0.,0.,1.)); #416634=DIRECTION('center_axis',(0.824766287441794,0.565473758099772,0.)); #416635=DIRECTION('ref_axis',(-0.565473758099772,0.824766287441794,0.)); #416636=DIRECTION('',(-0.565473758099772,0.824766287441794,0.)); #416637=DIRECTION('',(-0.565473758099772,0.824766287441794,0.)); #416638=DIRECTION('',(0.,0.,1.)); #416639=DIRECTION('center_axis',(0.979997328799727,0.199010641789328,0.)); #416640=DIRECTION('ref_axis',(-0.199010641789328,0.979997328799727,0.)); #416641=DIRECTION('',(-0.199010641789328,0.979997328799727,0.)); #416642=DIRECTION('',(-0.199010641789328,0.979997328799727,0.)); #416643=DIRECTION('',(0.,0.,1.)); #416644=DIRECTION('center_axis',(1.,0.,0.)); #416645=DIRECTION('ref_axis',(0.,1.,0.)); #416646=DIRECTION('',(0.,1.,0.)); #416647=DIRECTION('',(0.,1.,0.)); #416648=DIRECTION('',(0.,0.,1.)); #416649=DIRECTION('center_axis',(0.984474676816785,-0.175526666653493,0.)); #416650=DIRECTION('ref_axis',(0.175526666653493,0.984474676816785,0.)); #416651=DIRECTION('',(0.175526666653493,0.984474676816785,0.)); #416652=DIRECTION('',(0.175526666653493,0.984474676816785,0.)); #416653=DIRECTION('',(0.,0.,1.)); #416654=DIRECTION('center_axis',(0.850855747839124,-0.525399368451396,0.)); #416655=DIRECTION('ref_axis',(0.525399368451396,0.850855747839124,0.)); #416656=DIRECTION('',(0.525399368451396,0.850855747839124,0.)); #416657=DIRECTION('',(0.525399368451396,0.850855747839124,0.)); #416658=DIRECTION('',(0.,0.,1.)); #416659=DIRECTION('center_axis',(0.57858315794949,-0.81562339920897,0.)); #416660=DIRECTION('ref_axis',(0.81562339920897,0.57858315794949,0.)); #416661=DIRECTION('',(0.81562339920897,0.57858315794949,0.)); #416662=DIRECTION('',(0.81562339920897,0.57858315794949,0.)); #416663=DIRECTION('center_axis',(0.,0.,1.)); #416664=DIRECTION('ref_axis',(1.,0.,0.)); #416665=DIRECTION('center_axis',(0.,0.,1.)); #416666=DIRECTION('ref_axis',(1.,0.,0.)); #416667=DIRECTION('center_axis',(0.214590417670813,-0.976704127483787,0.)); #416668=DIRECTION('ref_axis',(0.976704127483787,0.214590417670813,0.)); #416669=DIRECTION('',(0.976704127483787,0.214590417670813,0.)); #416670=DIRECTION('',(0.,0.,1.)); #416671=DIRECTION('',(0.976704127483787,0.214590417670813,0.)); #416672=DIRECTION('',(0.,0.,1.)); #416673=DIRECTION('center_axis',(-0.1833784494501,-0.983042391902443,0.)); #416674=DIRECTION('ref_axis',(0.983042391902443,-0.1833784494501,0.)); #416675=DIRECTION('',(0.983042391902443,-0.1833784494501,0.)); #416676=DIRECTION('',(0.983042391902443,-0.1833784494501,0.)); #416677=DIRECTION('',(0.,0.,1.)); #416678=DIRECTION('center_axis',(-0.552211825696314,-0.833703844036444, 0.)); #416679=DIRECTION('ref_axis',(0.833703844036444,-0.552211825696314,0.)); #416680=DIRECTION('',(0.833703844036444,-0.552211825696314,0.)); #416681=DIRECTION('',(0.833703844036444,-0.552211825696314,0.)); #416682=DIRECTION('',(0.,0.,1.)); #416683=DIRECTION('center_axis',(-0.707106781186497,-0.707106781186598, 0.)); #416684=DIRECTION('ref_axis',(0.707106781186598,-0.707106781186497,0.)); #416685=DIRECTION('',(0.707106781186598,-0.707106781186497,0.)); #416686=DIRECTION('',(0.707106781186598,-0.707106781186497,0.)); #416687=DIRECTION('',(0.,0.,1.)); #416688=DIRECTION('center_axis',(-0.829263274014822,-0.558858141544183, 0.)); #416689=DIRECTION('ref_axis',(0.558858141544183,-0.829263274014822,0.)); #416690=DIRECTION('',(0.558858141544183,-0.829263274014822,0.)); #416691=DIRECTION('',(0.558858141544183,-0.829263274014822,0.)); #416692=DIRECTION('',(0.,0.,1.)); #416693=DIRECTION('center_axis',(-0.97999756386667,-0.199009484234776,0.)); #416694=DIRECTION('ref_axis',(0.199009484234776,-0.97999756386667,0.)); #416695=DIRECTION('',(0.199009484234776,-0.97999756386667,0.)); #416696=DIRECTION('',(0.199009484234776,-0.97999756386667,0.)); #416697=DIRECTION('',(0.,0.,1.)); #416698=DIRECTION('center_axis',(-1.,0.,0.)); #416699=DIRECTION('ref_axis',(0.,-1.,0.)); #416700=DIRECTION('',(0.,-1.,0.)); #416701=DIRECTION('',(0.,-1.,0.)); #416702=DIRECTION('',(0.,0.,1.)); #416703=DIRECTION('center_axis',(-0.984474676816787,0.175526666653484,0.)); #416704=DIRECTION('ref_axis',(-0.175526666653484,-0.984474676816787,0.)); #416705=DIRECTION('',(-0.175526666653484,-0.984474676816787,0.)); #416706=DIRECTION('',(-0.175526666653484,-0.984474676816787,0.)); #416707=DIRECTION('',(0.,0.,1.)); #416708=DIRECTION('center_axis',(-0.850855747839124,0.525399368451396,0.)); #416709=DIRECTION('ref_axis',(-0.525399368451396,-0.850855747839124,0.)); #416710=DIRECTION('',(-0.525399368451396,-0.850855747839124,0.)); #416711=DIRECTION('',(-0.525399368451396,-0.850855747839124,0.)); #416712=DIRECTION('',(0.,0.,1.)); #416713=DIRECTION('center_axis',(-0.578583157949532,0.815623399208941,0.)); #416714=DIRECTION('ref_axis',(-0.815623399208941,-0.578583157949532,0.)); #416715=DIRECTION('',(-0.815623399208941,-0.578583157949532,0.)); #416716=DIRECTION('',(-0.815623399208941,-0.578583157949532,0.)); #416717=DIRECTION('',(0.,0.,1.)); #416718=DIRECTION('center_axis',(-0.214594192212086,0.976703298176495,0.)); #416719=DIRECTION('ref_axis',(-0.976703298176494,-0.214594192212086,0.)); #416720=DIRECTION('',(-0.976703298176494,-0.214594192212086,0.)); #416721=DIRECTION('',(-0.976703298176494,-0.214594192212086,0.)); #416722=DIRECTION('',(0.,0.,1.)); #416723=DIRECTION('center_axis',(0.183380618657247,0.983041987252267,0.)); #416724=DIRECTION('ref_axis',(-0.983041987252266,0.183380618657247,0.)); #416725=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #416726=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #416727=DIRECTION('',(0.,0.,1.)); #416728=DIRECTION('center_axis',(0.552227809242898,0.833693256958931,0.)); #416729=DIRECTION('ref_axis',(-0.833693256958931,0.552227809242898,0.)); #416730=DIRECTION('',(-0.833693256958931,0.552227809242898,0.)); #416731=DIRECTION('',(-0.833693256958931,0.552227809242898,0.)); #416732=DIRECTION('',(0.,0.,1.)); #416733=DIRECTION('center_axis',(0.707106781186527,0.707106781186568,0.)); #416734=DIRECTION('ref_axis',(-0.707106781186568,0.707106781186527,0.)); #416735=DIRECTION('',(-0.707106781186568,0.707106781186527,0.)); #416736=DIRECTION('',(-0.707106781186568,0.707106781186527,0.)); #416737=DIRECTION('',(0.,0.,1.)); #416738=DIRECTION('center_axis',(0.829258128536007,0.558865776602003,0.)); #416739=DIRECTION('ref_axis',(-0.558865776602003,0.829258128536007,0.)); #416740=DIRECTION('',(-0.558865776602003,0.829258128536007,0.)); #416741=DIRECTION('',(-0.558865776602003,0.829258128536007,0.)); #416742=DIRECTION('',(0.,0.,1.)); #416743=DIRECTION('center_axis',(0.979998112340235,0.199006783325535,0.)); #416744=DIRECTION('ref_axis',(-0.199006783325535,0.979998112340235,0.)); #416745=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #416746=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #416747=DIRECTION('',(0.,0.,1.)); #416748=DIRECTION('center_axis',(1.,0.,0.)); #416749=DIRECTION('ref_axis',(0.,1.,0.)); #416750=DIRECTION('',(0.,1.,0.)); #416751=DIRECTION('',(0.,1.,0.)); #416752=DIRECTION('',(0.,0.,1.)); #416753=DIRECTION('center_axis',(0.984474331257819,-0.175528604775603,0.)); #416754=DIRECTION('ref_axis',(0.175528604775603,0.984474331257819,0.)); #416755=DIRECTION('',(0.175528604775603,0.984474331257819,0.)); #416756=DIRECTION('',(0.175528604775603,0.984474331257819,0.)); #416757=DIRECTION('',(0.,0.,1.)); #416758=DIRECTION('center_axis',(0.850856840118608,-0.525397599559969,0.)); #416759=DIRECTION('ref_axis',(0.525397599559969,0.850856840118608,0.)); #416760=DIRECTION('',(0.525397599559969,0.850856840118608,0.)); #416761=DIRECTION('',(0.525397599559969,0.850856840118608,0.)); #416762=DIRECTION('',(0.,0.,1.)); #416763=DIRECTION('center_axis',(0.578580525622434,-0.815625266510588,0.)); #416764=DIRECTION('ref_axis',(0.815625266510588,0.578580525622434,0.)); #416765=DIRECTION('',(0.815625266510588,0.578580525622434,0.)); #416766=DIRECTION('',(0.815625266510588,0.578580525622434,0.)); #416767=DIRECTION('center_axis',(0.,0.,1.)); #416768=DIRECTION('ref_axis',(1.,0.,0.)); #416769=DIRECTION('center_axis',(0.,0.,1.)); #416770=DIRECTION('ref_axis',(1.,0.,0.)); #416771=DIRECTION('center_axis',(0.199006783325552,-0.979998112340232,0.)); #416772=DIRECTION('ref_axis',(0.979998112340231,0.199006783325552,0.)); #416773=DIRECTION('',(0.979998112340231,0.199006783325552,0.)); #416774=DIRECTION('',(0.,0.,1.)); #416775=DIRECTION('',(0.979998112340231,0.199006783325552,0.)); #416776=DIRECTION('',(0.,0.,1.)); #416777=DIRECTION('center_axis',(0.,-1.,0.)); #416778=DIRECTION('ref_axis',(1.,0.,0.)); #416779=DIRECTION('',(1.,0.,0.)); #416780=DIRECTION('',(1.,0.,0.)); #416781=DIRECTION('',(0.,0.,1.)); #416782=DIRECTION('center_axis',(-0.191191000932803,-0.981552851945484, 0.)); #416783=DIRECTION('ref_axis',(0.981552851945484,-0.191191000932803,0.)); #416784=DIRECTION('',(0.981552851945484,-0.191191000932803,0.)); #416785=DIRECTION('',(0.981552851945484,-0.191191000932803,0.)); #416786=DIRECTION('',(0.,0.,1.)); #416787=DIRECTION('center_axis',(-0.552227809242898,-0.833693256958931, 0.)); #416788=DIRECTION('ref_axis',(0.833693256958931,-0.552227809242898,0.)); #416789=DIRECTION('',(0.833693256958931,-0.552227809242898,0.)); #416790=DIRECTION('',(0.833693256958931,-0.552227809242898,0.)); #416791=DIRECTION('',(0.,0.,1.)); #416792=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #416793=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #416794=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #416795=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #416796=DIRECTION('',(0.,0.,1.)); #416797=DIRECTION('center_axis',(-0.820244441262368,-0.572013161193155, 0.)); #416798=DIRECTION('ref_axis',(0.572013161193155,-0.820244441262367,0.)); #416799=DIRECTION('',(0.572013161193155,-0.820244441262367,0.)); #416800=DIRECTION('',(0.572013161193155,-0.820244441262367,0.)); #416801=DIRECTION('',(0.,0.,1.)); #416802=DIRECTION('center_axis',(-0.973161256280918,-0.230124247470243, 0.)); #416803=DIRECTION('ref_axis',(0.230124247470243,-0.973161256280918,0.)); #416804=DIRECTION('',(0.230124247470243,-0.973161256280918,0.)); #416805=DIRECTION('',(0.230124247470243,-0.973161256280918,0.)); #416806=DIRECTION('',(0.,0.,1.)); #416807=DIRECTION('center_axis',(-0.98585179283072,0.16761933830097,0.)); #416808=DIRECTION('ref_axis',(-0.16761933830097,-0.98585179283072,0.)); #416809=DIRECTION('',(-0.16761933830097,-0.98585179283072,0.)); #416810=DIRECTION('',(-0.16761933830097,-0.98585179283072,0.)); #416811=DIRECTION('',(0.,0.,1.)); #416812=DIRECTION('center_axis',(-0.842351514327676,0.538928498327812,0.)); #416813=DIRECTION('ref_axis',(-0.538928498327812,-0.842351514327676,0.)); #416814=DIRECTION('',(-0.538928498327812,-0.842351514327676,0.)); #416815=DIRECTION('',(-0.538928498327812,-0.842351514327676,0.)); #416816=DIRECTION('',(0.,0.,1.)); #416817=DIRECTION('center_axis',(-0.56548298527178,0.824759961060257,0.)); #416818=DIRECTION('ref_axis',(-0.824759961060257,-0.56548298527178,0.)); #416819=DIRECTION('',(-0.824759961060257,-0.56548298527178,0.)); #416820=DIRECTION('',(-0.824759961060257,-0.56548298527178,0.)); #416821=DIRECTION('',(0.,0.,1.)); #416822=DIRECTION('center_axis',(-0.199006783325535,0.979998112340235,0.)); #416823=DIRECTION('ref_axis',(-0.979998112340235,-0.199006783325535,0.)); #416824=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #416825=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #416826=DIRECTION('',(0.,0.,1.)); #416827=DIRECTION('center_axis',(0.,1.,0.)); #416828=DIRECTION('ref_axis',(-1.,0.,0.)); #416829=DIRECTION('',(-1.,0.,0.)); #416830=DIRECTION('',(-1.,0.,0.)); #416831=DIRECTION('',(0.,0.,1.)); #416832=DIRECTION('center_axis',(0.191191000932847,0.981552851945475,0.)); #416833=DIRECTION('ref_axis',(-0.981552851945475,0.191191000932847,0.)); #416834=DIRECTION('',(-0.981552851945475,0.191191000932847,0.)); #416835=DIRECTION('',(-0.981552851945475,0.191191000932847,0.)); #416836=DIRECTION('',(0.,0.,1.)); #416837=DIRECTION('center_axis',(0.55221870108126,0.833699290017765,0.)); #416838=DIRECTION('ref_axis',(-0.833699290017765,0.55221870108126,0.)); #416839=DIRECTION('',(-0.833699290017765,0.55221870108126,0.)); #416840=DIRECTION('',(-0.833699290017765,0.55221870108126,0.)); #416841=DIRECTION('',(0.,0.,1.)); #416842=DIRECTION('center_axis',(0.707106781186588,0.707106781186507,0.)); #416843=DIRECTION('ref_axis',(-0.707106781186507,0.707106781186588,0.)); #416844=DIRECTION('',(-0.707106781186507,0.707106781186588,0.)); #416845=DIRECTION('',(-0.707106781186507,0.707106781186588,0.)); #416846=DIRECTION('',(0.,0.,1.)); #416847=DIRECTION('center_axis',(0.824764905148825,0.565475774224546,0.)); #416848=DIRECTION('ref_axis',(-0.565475774224546,0.824764905148825,0.)); #416849=DIRECTION('',(-0.565475774224546,0.824764905148825,0.)); #416850=DIRECTION('',(-0.565475774224546,0.824764905148825,0.)); #416851=DIRECTION('',(0.,0.,1.)); #416852=DIRECTION('center_axis',(0.976703298176495,0.214594192212086,0.)); #416853=DIRECTION('ref_axis',(-0.214594192212086,0.976703298176494,0.)); #416854=DIRECTION('',(-0.214594192212086,0.976703298176494,0.)); #416855=DIRECTION('',(-0.214594192212086,0.976703298176494,0.)); #416856=DIRECTION('',(0.,0.,1.)); #416857=DIRECTION('center_axis',(0.983041321917696,-0.183384185256821,0.)); #416858=DIRECTION('ref_axis',(0.183384185256821,0.983041321917696,0.)); #416859=DIRECTION('',(0.183384185256821,0.983041321917696,0.)); #416860=DIRECTION('',(0.183384185256821,0.983041321917696,0.)); #416861=DIRECTION('',(0.,0.,1.)); #416862=DIRECTION('center_axis',(0.833708398048215,-0.552204950198638,0.)); #416863=DIRECTION('ref_axis',(0.552204950198638,0.833708398048215,0.)); #416864=DIRECTION('',(0.552204950198638,0.833708398048215,0.)); #416865=DIRECTION('',(0.552204950198638,0.833708398048215,0.)); #416866=DIRECTION('',(0.,0.,1.)); #416867=DIRECTION('center_axis',(0.707106781186588,-0.707106781186507,0.)); #416868=DIRECTION('ref_axis',(0.707106781186507,0.707106781186588,0.)); #416869=DIRECTION('',(0.707106781186507,0.707106781186588,0.)); #416870=DIRECTION('',(0.707106781186507,0.707106781186588,0.)); #416871=DIRECTION('',(0.,0.,1.)); #416872=DIRECTION('center_axis',(0.558856232804846,-0.829264560351626,0.)); #416873=DIRECTION('ref_axis',(0.829264560351626,0.558856232804846,0.)); #416874=DIRECTION('',(0.829264560351626,0.558856232804846,0.)); #416875=DIRECTION('',(0.829264560351626,0.558856232804846,0.)); #416876=DIRECTION('center_axis',(0.,0.,1.)); #416877=DIRECTION('ref_axis',(1.,0.,0.)); #416878=DIRECTION('center_axis',(0.,0.,1.)); #416879=DIRECTION('ref_axis',(1.,0.,0.)); #416880=DIRECTION('center_axis',(0.,0.,1.)); #416881=DIRECTION('ref_axis',(1.,0.,0.)); #416882=DIRECTION('center_axis',(0.,0.,1.)); #416883=DIRECTION('ref_axis',(1.,0.,0.)); #416884=DIRECTION('',(0.,0.,1.)); #416885=DIRECTION('center_axis',(0.,0.,-1.)); #416886=DIRECTION('ref_axis',(1.,0.,0.)); #416887=DIRECTION('center_axis',(0.0871622148812039,-0.996194131832246, 0.)); #416888=DIRECTION('ref_axis',(0.996194131832246,0.0871622148812039,0.)); #416889=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #416890=DIRECTION('',(0.,0.,1.)); #416891=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #416892=DIRECTION('',(0.,0.,1.)); #416893=DIRECTION('center_axis',(0.,0.,1.)); #416894=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #416895=DIRECTION('center_axis',(0.,0.,1.)); #416896=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #416897=DIRECTION('center_axis',(0.,0.,1.)); #416898=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #416899=DIRECTION('',(0.,0.,1.)); #416900=DIRECTION('center_axis',(0.,0.,1.)); #416901=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #416902=DIRECTION('center_axis',(0.,0.,1.)); #416903=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #416904=DIRECTION('center_axis',(0.,0.,1.)); #416905=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #416906=DIRECTION('center_axis',(0.,0.,1.)); #416907=DIRECTION('ref_axis',(1.,0.,0.)); #416908=DIRECTION('center_axis',(0.,0.,1.)); #416909=DIRECTION('ref_axis',(1.,0.,0.)); #416910=DIRECTION('center_axis',(0.,0.,1.)); #416911=DIRECTION('ref_axis',(1.,0.,0.)); #416912=DIRECTION('center_axis',(0.,0.,1.)); #416913=DIRECTION('ref_axis',(1.,0.,0.)); #416914=DIRECTION('',(0.,0.,1.)); #416915=DIRECTION('center_axis',(0.,0.,-1.)); #416916=DIRECTION('ref_axis',(1.,0.,0.)); #416917=DIRECTION('center_axis',(0.0871622148812039,-0.996194131832246, 0.)); #416918=DIRECTION('ref_axis',(0.996194131832246,0.0871622148812039,0.)); #416919=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #416920=DIRECTION('',(0.,0.,1.)); #416921=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #416922=DIRECTION('',(0.,0.,1.)); #416923=DIRECTION('center_axis',(0.,0.,1.)); #416924=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #416925=DIRECTION('center_axis',(0.,0.,1.)); #416926=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #416927=DIRECTION('center_axis',(0.,0.,1.)); #416928=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #416929=DIRECTION('',(0.,0.,1.)); #416930=DIRECTION('center_axis',(0.,0.,1.)); #416931=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #416932=DIRECTION('center_axis',(0.,0.,1.)); #416933=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #416934=DIRECTION('center_axis',(0.,0.,1.)); #416935=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #416936=DIRECTION('center_axis',(0.,0.,1.)); #416937=DIRECTION('ref_axis',(1.,0.,0.)); #416938=DIRECTION('center_axis',(0.,0.,1.)); #416939=DIRECTION('ref_axis',(1.,0.,0.)); #416940=DIRECTION('center_axis',(0.,0.,1.)); #416941=DIRECTION('ref_axis',(1.,0.,0.)); #416942=DIRECTION('center_axis',(0.,0.,1.)); #416943=DIRECTION('ref_axis',(1.,0.,0.)); #416944=DIRECTION('',(0.,0.,1.)); #416945=DIRECTION('center_axis',(0.,0.,-1.)); #416946=DIRECTION('ref_axis',(1.,0.,0.)); #416947=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #416948=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #416949=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #416950=DIRECTION('',(0.,0.,1.)); #416951=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #416952=DIRECTION('',(0.,0.,1.)); #416953=DIRECTION('center_axis',(0.,0.,1.)); #416954=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #416955=DIRECTION('center_axis',(0.,0.,1.)); #416956=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #416957=DIRECTION('center_axis',(0.,0.,1.)); #416958=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #416959=DIRECTION('',(0.,0.,1.)); #416960=DIRECTION('center_axis',(0.,0.,1.)); #416961=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #416962=DIRECTION('center_axis',(0.,0.,1.)); #416963=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #416964=DIRECTION('center_axis',(0.,0.,1.)); #416965=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #416966=DIRECTION('center_axis',(0.,0.,1.)); #416967=DIRECTION('ref_axis',(1.,0.,0.)); #416968=DIRECTION('center_axis',(0.,0.,1.)); #416969=DIRECTION('ref_axis',(1.,0.,0.)); #416970=DIRECTION('center_axis',(0.,0.,1.)); #416971=DIRECTION('ref_axis',(1.,0.,0.)); #416972=DIRECTION('center_axis',(0.,0.,1.)); #416973=DIRECTION('ref_axis',(1.,0.,0.)); #416974=DIRECTION('',(0.,0.,1.)); #416975=DIRECTION('center_axis',(0.,0.,-1.)); #416976=DIRECTION('ref_axis',(1.,0.,0.)); #416977=DIRECTION('center_axis',(0.0979988322081603,-0.995186529694729, 0.)); #416978=DIRECTION('ref_axis',(0.995186529694729,0.0979988322081603,0.)); #416979=DIRECTION('',(0.995186529694729,0.0979988322081603,0.)); #416980=DIRECTION('',(0.,0.,1.)); #416981=DIRECTION('',(0.995186529694729,0.0979988322081603,0.)); #416982=DIRECTION('',(0.,0.,1.)); #416983=DIRECTION('center_axis',(0.,0.,1.)); #416984=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #416985=DIRECTION('center_axis',(0.,0.,1.)); #416986=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #416987=DIRECTION('center_axis',(0.,0.,1.)); #416988=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #416989=DIRECTION('',(0.,0.,1.)); #416990=DIRECTION('center_axis',(0.,0.,1.)); #416991=DIRECTION('ref_axis',(0.146731885739879,-0.989176300619469,0.)); #416992=DIRECTION('center_axis',(0.,0.,1.)); #416993=DIRECTION('ref_axis',(0.146731885739879,-0.989176300619469,0.)); #416994=DIRECTION('center_axis',(0.,0.,1.)); #416995=DIRECTION('ref_axis',(0.146731885739879,-0.989176300619469,0.)); #416996=DIRECTION('center_axis',(0.,0.,1.)); #416997=DIRECTION('ref_axis',(1.,0.,0.)); #416998=DIRECTION('center_axis',(0.,0.,1.)); #416999=DIRECTION('ref_axis',(1.,0.,0.)); #417000=DIRECTION('center_axis',(0.,0.,1.)); #417001=DIRECTION('ref_axis',(1.,0.,0.)); #417002=DIRECTION('center_axis',(0.,0.,1.)); #417003=DIRECTION('ref_axis',(1.,0.,0.)); #417004=DIRECTION('',(0.,0.,1.)); #417005=DIRECTION('center_axis',(0.,0.,-1.)); #417006=DIRECTION('ref_axis',(1.,0.,0.)); #417007=DIRECTION('center_axis',(1.,0.,0.)); #417008=DIRECTION('ref_axis',(0.,1.,0.)); #417009=DIRECTION('',(0.,1.,0.)); #417010=DIRECTION('',(0.,0.,1.)); #417011=DIRECTION('',(0.,1.,0.)); #417012=DIRECTION('',(0.,0.,1.)); #417013=DIRECTION('center_axis',(0.,-1.,0.)); #417014=DIRECTION('ref_axis',(1.,0.,0.)); #417015=DIRECTION('',(1.,0.,0.)); #417016=DIRECTION('',(1.,0.,0.)); #417017=DIRECTION('',(0.,0.,1.)); #417018=DIRECTION('center_axis',(-1.,0.,0.)); #417019=DIRECTION('ref_axis',(0.,-1.,0.)); #417020=DIRECTION('',(0.,-1.,0.)); #417021=DIRECTION('',(0.,-1.,0.)); #417022=DIRECTION('',(0.,0.,1.)); #417023=DIRECTION('center_axis',(0.,1.,0.)); #417024=DIRECTION('ref_axis',(-1.,0.,0.)); #417025=DIRECTION('',(-1.,0.,0.)); #417026=DIRECTION('',(-1.,0.,0.)); #417027=DIRECTION('center_axis',(0.,0.,1.)); #417028=DIRECTION('ref_axis',(1.,0.,0.)); #417029=DIRECTION('center_axis',(0.,0.,1.)); #417030=DIRECTION('ref_axis',(1.,0.,0.)); #417031=DIRECTION('center_axis',(0.,0.,1.)); #417032=DIRECTION('ref_axis',(1.,0.,0.)); #417033=DIRECTION('center_axis',(0.,0.,1.)); #417034=DIRECTION('ref_axis',(1.,0.,0.)); #417035=DIRECTION('',(0.,0.,1.)); #417036=DIRECTION('center_axis',(0.,0.,-1.)); #417037=DIRECTION('ref_axis',(1.,0.,0.)); #417038=DIRECTION('center_axis',(0.0980028398661646,-0.995186135041162, 0.)); #417039=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661646,0.)); #417040=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #417041=DIRECTION('',(0.,0.,1.)); #417042=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #417043=DIRECTION('',(0.,0.,1.)); #417044=DIRECTION('center_axis',(0.,0.,1.)); #417045=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #417046=DIRECTION('center_axis',(0.,0.,1.)); #417047=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #417048=DIRECTION('center_axis',(0.,0.,1.)); #417049=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #417050=DIRECTION('',(0.,0.,1.)); #417051=DIRECTION('center_axis',(0.,0.,1.)); #417052=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #417053=DIRECTION('center_axis',(0.,0.,1.)); #417054=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #417055=DIRECTION('center_axis',(0.,0.,1.)); #417056=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #417057=DIRECTION('center_axis',(0.,0.,1.)); #417058=DIRECTION('ref_axis',(1.,0.,0.)); #417059=DIRECTION('center_axis',(0.,0.,1.)); #417060=DIRECTION('ref_axis',(1.,0.,0.)); #417061=DIRECTION('center_axis',(0.,0.,1.)); #417062=DIRECTION('ref_axis',(1.,0.,0.)); #417063=DIRECTION('center_axis',(0.,0.,1.)); #417064=DIRECTION('ref_axis',(1.,0.,0.)); #417065=DIRECTION('',(0.,0.,1.)); #417066=DIRECTION('center_axis',(0.,0.,-1.)); #417067=DIRECTION('ref_axis',(1.,0.,0.)); #417068=DIRECTION('center_axis',(0.0980028398661675,-0.995186135041162, 0.)); #417069=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661675,0.)); #417070=DIRECTION('',(0.995186135041162,0.0980028398661675,0.)); #417071=DIRECTION('',(0.,0.,1.)); #417072=DIRECTION('',(0.995186135041162,0.0980028398661675,0.)); #417073=DIRECTION('',(0.,0.,1.)); #417074=DIRECTION('center_axis',(0.,0.,1.)); #417075=DIRECTION('ref_axis',(-0.14673120156606,0.989176402107824,0.)); #417076=DIRECTION('center_axis',(0.,0.,1.)); #417077=DIRECTION('ref_axis',(-0.14673120156606,0.989176402107824,0.)); #417078=DIRECTION('center_axis',(0.,0.,1.)); #417079=DIRECTION('ref_axis',(-0.14673120156606,0.989176402107824,0.)); #417080=DIRECTION('',(0.,0.,1.)); #417081=DIRECTION('center_axis',(0.,0.,1.)); #417082=DIRECTION('ref_axis',(0.146730854749293,-0.98917645355343,0.)); #417083=DIRECTION('center_axis',(0.,0.,1.)); #417084=DIRECTION('ref_axis',(0.146730854749293,-0.98917645355343,0.)); #417085=DIRECTION('center_axis',(0.,0.,1.)); #417086=DIRECTION('ref_axis',(0.146730854749293,-0.98917645355343,0.)); #417087=DIRECTION('center_axis',(0.,0.,1.)); #417088=DIRECTION('ref_axis',(1.,0.,0.)); #417089=DIRECTION('center_axis',(0.,0.,1.)); #417090=DIRECTION('ref_axis',(1.,0.,0.)); #417091=DIRECTION('center_axis',(0.,0.,1.)); #417092=DIRECTION('ref_axis',(1.,0.,0.)); #417093=DIRECTION('center_axis',(0.,0.,1.)); #417094=DIRECTION('ref_axis',(1.,0.,0.)); #417095=DIRECTION('',(0.,0.,1.)); #417096=DIRECTION('center_axis',(0.,0.,-1.)); #417097=DIRECTION('ref_axis',(1.,0.,0.)); #417098=DIRECTION('center_axis',(0.087162214881199,-0.996194131832247,0.)); #417099=DIRECTION('ref_axis',(0.996194131832247,0.087162214881199,0.)); #417100=DIRECTION('',(0.996194131832247,0.087162214881199,0.)); #417101=DIRECTION('',(0.,0.,1.)); #417102=DIRECTION('',(0.996194131832247,0.087162214881199,0.)); #417103=DIRECTION('',(0.,0.,1.)); #417104=DIRECTION('center_axis',(0.,0.,1.)); #417105=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #417106=DIRECTION('center_axis',(0.,0.,1.)); #417107=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #417108=DIRECTION('center_axis',(0.,0.,1.)); #417109=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #417110=DIRECTION('',(0.,0.,1.)); #417111=DIRECTION('center_axis',(0.,0.,1.)); #417112=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #417113=DIRECTION('center_axis',(0.,0.,1.)); #417114=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #417115=DIRECTION('center_axis',(0.,0.,1.)); #417116=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #417117=DIRECTION('center_axis',(0.,0.,1.)); #417118=DIRECTION('ref_axis',(1.,0.,0.)); #417119=DIRECTION('center_axis',(0.,0.,1.)); #417120=DIRECTION('ref_axis',(1.,0.,0.)); #417121=DIRECTION('center_axis',(0.,0.,1.)); #417122=DIRECTION('ref_axis',(1.,0.,0.)); #417123=DIRECTION('center_axis',(0.,0.,1.)); #417124=DIRECTION('ref_axis',(1.,0.,0.)); #417125=DIRECTION('',(0.,0.,1.)); #417126=DIRECTION('center_axis',(0.,0.,-1.)); #417127=DIRECTION('ref_axis',(1.,0.,0.)); #417128=DIRECTION('center_axis',(0.0980028398661646,-0.995186135041162, 0.)); #417129=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661646,0.)); #417130=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #417131=DIRECTION('',(0.,0.,1.)); #417132=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #417133=DIRECTION('',(0.,0.,1.)); #417134=DIRECTION('center_axis',(0.,0.,1.)); #417135=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #417136=DIRECTION('center_axis',(0.,0.,1.)); #417137=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #417138=DIRECTION('center_axis',(0.,0.,1.)); #417139=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #417140=DIRECTION('',(0.,0.,1.)); #417141=DIRECTION('center_axis',(0.,0.,1.)); #417142=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #417143=DIRECTION('center_axis',(0.,0.,1.)); #417144=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #417145=DIRECTION('center_axis',(0.,0.,1.)); #417146=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #417147=DIRECTION('center_axis',(0.,0.,1.)); #417148=DIRECTION('ref_axis',(1.,0.,0.)); #417149=DIRECTION('center_axis',(0.,0.,1.)); #417150=DIRECTION('ref_axis',(1.,0.,0.)); #417151=DIRECTION('center_axis',(1.,0.,0.)); #417152=DIRECTION('ref_axis',(0.,1.,0.)); #417153=DIRECTION('',(0.,-1.,0.)); #417154=DIRECTION('',(0.,0.,1.)); #417155=DIRECTION('',(0.,1.,0.)); #417156=DIRECTION('',(0.,0.,1.)); #417157=DIRECTION('center_axis',(0.,0.,1.)); #417158=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #417159=DIRECTION('center_axis',(0.,0.,-1.)); #417160=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #417161=DIRECTION('center_axis',(0.,0.,1.)); #417162=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #417163=DIRECTION('',(0.,0.,1.)); #417164=DIRECTION('center_axis',(-1.,0.,0.)); #417165=DIRECTION('ref_axis',(0.,-1.,0.)); #417166=DIRECTION('',(0.,1.,0.)); #417167=DIRECTION('',(0.,-1.,0.)); #417168=DIRECTION('',(0.,0.,1.)); #417169=DIRECTION('center_axis',(0.,0.,1.)); #417170=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #417171=DIRECTION('center_axis',(0.,0.,-1.)); #417172=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #417173=DIRECTION('center_axis',(0.,0.,1.)); #417174=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #417175=DIRECTION('center_axis',(0.111945831198929,-0.993714310492297,0.)); #417176=DIRECTION('ref_axis',(0.993714310492297,0.111945831198929,0.)); #417177=DIRECTION('',(0.993714310492297,0.111945831198929,0.)); #417178=DIRECTION('',(0.,0.,1.)); #417179=DIRECTION('',(0.993714310492297,0.111945831198929,0.)); #417180=DIRECTION('',(0.,0.,1.)); #417181=DIRECTION('center_axis',(0.,-1.,0.)); #417182=DIRECTION('ref_axis',(1.,0.,0.)); #417183=DIRECTION('',(1.,0.,0.)); #417184=DIRECTION('',(1.,0.,0.)); #417185=DIRECTION('',(0.,0.,1.)); #417186=DIRECTION('center_axis',(-0.111945831198937,-0.993714310492296, 0.)); #417187=DIRECTION('ref_axis',(0.993714310492296,-0.111945831198937,0.)); #417188=DIRECTION('',(0.993714310492296,-0.111945831198937,0.)); #417189=DIRECTION('',(0.993714310492296,-0.111945831198937,0.)); #417190=DIRECTION('',(0.,0.,1.)); #417191=DIRECTION('center_axis',(-0.222535347290555,-0.974924622320245, 0.)); #417192=DIRECTION('ref_axis',(0.974924622320245,-0.222535347290555,0.)); #417193=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #417194=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #417195=DIRECTION('',(0.,0.,1.)); #417196=DIRECTION('center_axis',(-0.330292737303257,-0.943878544985912, 0.)); #417197=DIRECTION('ref_axis',(0.943878544985912,-0.330292737303257,0.)); #417198=DIRECTION('',(0.943878544985912,-0.330292737303257,0.)); #417199=DIRECTION('',(0.943878544985912,-0.330292737303257,0.)); #417200=DIRECTION('',(0.,0.,1.)); #417201=DIRECTION('center_axis',(-0.43386608850586,-0.900977367776031,0.)); #417202=DIRECTION('ref_axis',(0.900977367776031,-0.43386608850586,0.)); #417203=DIRECTION('',(0.900977367776031,-0.43386608850586,0.)); #417204=DIRECTION('',(0.900977367776031,-0.43386608850586,0.)); #417205=DIRECTION('',(0.,0.,1.)); #417206=DIRECTION('center_axis',(-0.532028152553409,-0.846726664804296, 0.)); #417207=DIRECTION('ref_axis',(0.846726664804296,-0.532028152553409,0.)); #417208=DIRECTION('',(0.846726664804296,-0.532028152553409,0.)); #417209=DIRECTION('',(0.846726664804296,-0.532028152553409,0.)); #417210=DIRECTION('',(0.,0.,1.)); #417211=DIRECTION('center_axis',(-0.623490076319921,-0.781831263592457, 0.)); #417212=DIRECTION('ref_axis',(0.781831263592457,-0.623490076319921,0.)); #417213=DIRECTION('',(0.781831263592457,-0.623490076319921,0.)); #417214=DIRECTION('',(0.781831263592457,-0.623490076319921,0.)); #417215=DIRECTION('',(0.,0.,1.)); #417216=DIRECTION('center_axis',(-0.707109209369744,-0.707104352995013, 0.)); #417217=DIRECTION('ref_axis',(0.707104352995013,-0.707109209369744,0.)); #417218=DIRECTION('',(0.707104352995013,-0.707109209369744,0.)); #417219=DIRECTION('',(0.707104352995013,-0.707109209369744,0.)); #417220=DIRECTION('',(0.,0.,1.)); #417221=DIRECTION('center_axis',(-0.781826848571423,-0.623495612536991, 0.)); #417222=DIRECTION('ref_axis',(0.623495612536991,-0.781826848571423,0.)); #417223=DIRECTION('',(0.623495612536991,-0.781826848571423,0.)); #417224=DIRECTION('',(0.623495612536991,-0.781826848571423,0.)); #417225=DIRECTION('',(0.,0.,1.)); #417226=DIRECTION('center_axis',(-0.846733144041853,-0.532017840660441, 0.)); #417227=DIRECTION('ref_axis',(0.532017840660441,-0.846733144041853,0.)); #417228=DIRECTION('',(0.532017840660441,-0.846733144041853,0.)); #417229=DIRECTION('',(0.532017840660441,-0.846733144041853,0.)); #417230=DIRECTION('',(0.,0.,1.)); #417231=DIRECTION('center_axis',(-0.900976172282534,-0.433868571089349, 0.)); #417232=DIRECTION('ref_axis',(0.433868571089349,-0.900976172282534,0.)); #417233=DIRECTION('',(0.433868571089349,-0.900976172282534,0.)); #417234=DIRECTION('',(0.433868571089349,-0.900976172282534,0.)); #417235=DIRECTION('',(0.,0.,1.)); #417236=DIRECTION('center_axis',(-0.943879402871124,-0.330290285711902, 0.)); #417237=DIRECTION('ref_axis',(0.330290285711902,-0.943879402871124,0.)); #417238=DIRECTION('',(0.330290285711902,-0.943879402871124,0.)); #417239=DIRECTION('',(0.330290285711902,-0.943879402871124,0.)); #417240=DIRECTION('',(0.,0.,1.)); #417241=DIRECTION('center_axis',(-0.97492427111126,-0.222536885927206,0.)); #417242=DIRECTION('ref_axis',(0.222536885927206,-0.97492427111126,0.)); #417243=DIRECTION('',(0.222536885927206,-0.97492427111126,0.)); #417244=DIRECTION('',(0.222536885927206,-0.97492427111126,0.)); #417245=DIRECTION('',(0.,0.,1.)); #417246=DIRECTION('center_axis',(-0.993713488739879,-0.111953125442832, 0.)); #417247=DIRECTION('ref_axis',(0.111953125442832,-0.993713488739879,0.)); #417248=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #417249=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #417250=DIRECTION('',(0.,0.,1.)); #417251=DIRECTION('center_axis',(-1.,0.,0.)); #417252=DIRECTION('ref_axis',(0.,-1.,0.)); #417253=DIRECTION('',(0.,-1.,0.)); #417254=DIRECTION('',(0.,-1.,0.)); #417255=DIRECTION('',(0.,0.,1.)); #417256=DIRECTION('center_axis',(-0.993713488739879,0.111953125442832,0.)); #417257=DIRECTION('ref_axis',(-0.111953125442832,-0.993713488739879,0.)); #417258=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #417259=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #417260=DIRECTION('',(0.,0.,1.)); #417261=DIRECTION('center_axis',(-0.97492427111126,0.222536885927205,0.)); #417262=DIRECTION('ref_axis',(-0.222536885927205,-0.97492427111126,0.)); #417263=DIRECTION('',(-0.222536885927205,-0.97492427111126,0.)); #417264=DIRECTION('',(-0.222536885927205,-0.97492427111126,0.)); #417265=DIRECTION('',(0.,0.,1.)); #417266=DIRECTION('center_axis',(-0.943879402871124,0.330290285711902,0.)); #417267=DIRECTION('ref_axis',(-0.330290285711902,-0.943879402871124,0.)); #417268=DIRECTION('',(-0.330290285711902,-0.943879402871124,0.)); #417269=DIRECTION('',(-0.330290285711902,-0.943879402871124,0.)); #417270=DIRECTION('',(0.,0.,1.)); #417271=DIRECTION('center_axis',(-0.900976172282534,0.433868571089349,0.)); #417272=DIRECTION('ref_axis',(-0.433868571089349,-0.900976172282534,0.)); #417273=DIRECTION('',(-0.433868571089349,-0.900976172282534,0.)); #417274=DIRECTION('',(-0.433868571089349,-0.900976172282534,0.)); #417275=DIRECTION('',(0.,0.,1.)); #417276=DIRECTION('center_axis',(-0.846733144041855,0.532017840660439,0.)); #417277=DIRECTION('ref_axis',(-0.532017840660439,-0.846733144041855,0.)); #417278=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #417279=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #417280=DIRECTION('',(0.,0.,1.)); #417281=DIRECTION('center_axis',(-0.781826848571419,0.623495612536995,0.)); #417282=DIRECTION('ref_axis',(-0.623495612536995,-0.781826848571419,0.)); #417283=DIRECTION('',(-0.623495612536995,-0.781826848571419,0.)); #417284=DIRECTION('',(-0.623495612536995,-0.781826848571419,0.)); #417285=DIRECTION('',(0.,0.,1.)); #417286=DIRECTION('center_axis',(-0.707109209369744,0.707104352995013,0.)); #417287=DIRECTION('ref_axis',(-0.707104352995013,-0.707109209369744,0.)); #417288=DIRECTION('',(-0.707104352995013,-0.707109209369744,0.)); #417289=DIRECTION('',(-0.707104352995013,-0.707109209369744,0.)); #417290=DIRECTION('',(0.,0.,1.)); #417291=DIRECTION('center_axis',(-0.623490076319921,0.781831263592457,0.)); #417292=DIRECTION('ref_axis',(-0.781831263592457,-0.623490076319921,0.)); #417293=DIRECTION('',(-0.781831263592457,-0.623490076319921,0.)); #417294=DIRECTION('',(-0.781831263592457,-0.623490076319921,0.)); #417295=DIRECTION('',(0.,0.,1.)); #417296=DIRECTION('center_axis',(-0.532028152553415,0.846726664804292,0.)); #417297=DIRECTION('ref_axis',(-0.846726664804292,-0.532028152553415,0.)); #417298=DIRECTION('',(-0.846726664804292,-0.532028152553415,0.)); #417299=DIRECTION('',(-0.846726664804292,-0.532028152553415,0.)); #417300=DIRECTION('',(0.,0.,1.)); #417301=DIRECTION('center_axis',(-0.43386608850586,0.900977367776031,0.)); #417302=DIRECTION('ref_axis',(-0.900977367776031,-0.43386608850586,0.)); #417303=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #417304=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #417305=DIRECTION('',(0.,0.,1.)); #417306=DIRECTION('center_axis',(-0.330292737303257,0.943878544985912,0.)); #417307=DIRECTION('ref_axis',(-0.943878544985912,-0.330292737303257,0.)); #417308=DIRECTION('',(-0.943878544985912,-0.330292737303257,0.)); #417309=DIRECTION('',(-0.943878544985912,-0.330292737303257,0.)); #417310=DIRECTION('',(0.,0.,1.)); #417311=DIRECTION('center_axis',(-0.222535347290555,0.974924622320245,0.)); #417312=DIRECTION('ref_axis',(-0.974924622320245,-0.222535347290555,0.)); #417313=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #417314=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #417315=DIRECTION('',(0.,0.,1.)); #417316=DIRECTION('center_axis',(-0.111945831198937,0.993714310492296,0.)); #417317=DIRECTION('ref_axis',(-0.993714310492296,-0.111945831198937,0.)); #417318=DIRECTION('',(-0.993714310492296,-0.111945831198937,0.)); #417319=DIRECTION('',(-0.993714310492296,-0.111945831198937,0.)); #417320=DIRECTION('',(0.,0.,1.)); #417321=DIRECTION('center_axis',(0.,1.,0.)); #417322=DIRECTION('ref_axis',(-1.,0.,0.)); #417323=DIRECTION('',(-1.,0.,0.)); #417324=DIRECTION('',(-1.,0.,0.)); #417325=DIRECTION('',(0.,0.,1.)); #417326=DIRECTION('center_axis',(0.111945831198929,0.993714310492297,0.)); #417327=DIRECTION('ref_axis',(-0.993714310492297,0.111945831198929,0.)); #417328=DIRECTION('',(-0.993714310492297,0.111945831198929,0.)); #417329=DIRECTION('',(-0.993714310492297,0.111945831198929,0.)); #417330=DIRECTION('',(0.,0.,1.)); #417331=DIRECTION('center_axis',(0.222535347290587,0.974924622320238,0.)); #417332=DIRECTION('ref_axis',(-0.974924622320238,0.222535347290587,0.)); #417333=DIRECTION('',(-0.974924622320238,0.222535347290587,0.)); #417334=DIRECTION('',(-0.974924622320238,0.222535347290587,0.)); #417335=DIRECTION('',(0.,0.,1.)); #417336=DIRECTION('center_axis',(0.330292737303213,0.943878544985927,0.)); #417337=DIRECTION('ref_axis',(-0.943878544985927,0.330292737303213,0.)); #417338=DIRECTION('',(-0.943878544985927,0.330292737303213,0.)); #417339=DIRECTION('',(-0.943878544985927,0.330292737303213,0.)); #417340=DIRECTION('',(0.,0.,1.)); #417341=DIRECTION('center_axis',(0.433866088505888,0.900977367776018,0.)); #417342=DIRECTION('ref_axis',(-0.900977367776017,0.433866088505888,0.)); #417343=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #417344=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #417345=DIRECTION('',(0.,0.,1.)); #417346=DIRECTION('center_axis',(0.532028152553383,0.846726664804312,0.)); #417347=DIRECTION('ref_axis',(-0.846726664804312,0.532028152553383,0.)); #417348=DIRECTION('',(-0.846726664804312,0.532028152553383,0.)); #417349=DIRECTION('',(-0.846726664804312,0.532028152553383,0.)); #417350=DIRECTION('',(0.,0.,1.)); #417351=DIRECTION('center_axis',(0.623490076319921,0.781831263592457,0.)); #417352=DIRECTION('ref_axis',(-0.781831263592457,0.623490076319921,0.)); #417353=DIRECTION('',(-0.781831263592457,0.623490076319921,0.)); #417354=DIRECTION('',(-0.781831263592457,0.623490076319921,0.)); #417355=DIRECTION('',(0.,0.,1.)); #417356=DIRECTION('center_axis',(0.70710920936978,0.707104352994977,0.)); #417357=DIRECTION('ref_axis',(-0.707104352994977,0.70710920936978,0.)); #417358=DIRECTION('',(-0.707104352994977,0.70710920936978,0.)); #417359=DIRECTION('',(-0.707104352994977,0.70710920936978,0.)); #417360=DIRECTION('',(0.,0.,1.)); #417361=DIRECTION('center_axis',(0.781826848571384,0.623495612537039,0.)); #417362=DIRECTION('ref_axis',(-0.623495612537039,0.781826848571384,0.)); #417363=DIRECTION('',(-0.623495612537039,0.781826848571384,0.)); #417364=DIRECTION('',(-0.623495612537039,0.781826848571384,0.)); #417365=DIRECTION('',(0.,0.,1.)); #417366=DIRECTION('center_axis',(0.846733144041855,0.532017840660439,0.)); #417367=DIRECTION('ref_axis',(-0.532017840660439,0.846733144041855,0.)); #417368=DIRECTION('',(-0.532017840660439,0.846733144041855,0.)); #417369=DIRECTION('',(-0.532017840660439,0.846733144041855,0.)); #417370=DIRECTION('',(0.,0.,1.)); #417371=DIRECTION('center_axis',(0.90097617228259,0.433868571089232,0.)); #417372=DIRECTION('ref_axis',(-0.433868571089232,0.90097617228259,0.)); #417373=DIRECTION('',(-0.433868571089232,0.90097617228259,0.)); #417374=DIRECTION('',(-0.433868571089232,0.90097617228259,0.)); #417375=DIRECTION('',(0.,0.,1.)); #417376=DIRECTION('center_axis',(0.943874356011264,0.330304707905173,0.)); #417377=DIRECTION('ref_axis',(-0.330304707905173,0.943874356011264,0.)); #417378=DIRECTION('',(-0.330304707905173,0.943874356011264,0.)); #417379=DIRECTION('',(-0.330304707905173,0.943874356011264,0.)); #417380=DIRECTION('',(0.,0.,1.)); #417381=DIRECTION('center_axis',(0.974927783103231,0.222521499485823,0.)); #417382=DIRECTION('ref_axis',(-0.222521499485823,0.974927783103231,0.)); #417383=DIRECTION('',(-0.222521499485823,0.974927783103231,0.)); #417384=DIRECTION('',(-0.222521499485823,0.974927783103231,0.)); #417385=DIRECTION('',(0.,0.,1.)); #417386=DIRECTION('center_axis',(0.993713488739879,0.111953125442832,0.)); #417387=DIRECTION('ref_axis',(-0.111953125442832,0.993713488739879,0.)); #417388=DIRECTION('',(-0.111953125442832,0.993713488739879,0.)); #417389=DIRECTION('',(-0.111953125442832,0.993713488739879,0.)); #417390=DIRECTION('',(0.,0.,1.)); #417391=DIRECTION('center_axis',(1.,0.,0.)); #417392=DIRECTION('ref_axis',(0.,1.,0.)); #417393=DIRECTION('',(0.,1.,0.)); #417394=DIRECTION('',(0.,1.,0.)); #417395=DIRECTION('',(0.,0.,1.)); #417396=DIRECTION('center_axis',(0.993713488739879,-0.111953125442832,0.)); #417397=DIRECTION('ref_axis',(0.111953125442832,0.993713488739879,0.)); #417398=DIRECTION('',(0.111953125442832,0.993713488739879,0.)); #417399=DIRECTION('',(0.111953125442832,0.993713488739879,0.)); #417400=DIRECTION('',(0.,0.,1.)); #417401=DIRECTION('center_axis',(0.974927783103231,-0.222521499485824,0.)); #417402=DIRECTION('ref_axis',(0.222521499485824,0.974927783103231,0.)); #417403=DIRECTION('',(0.222521499485824,0.974927783103231,0.)); #417404=DIRECTION('',(0.222521499485824,0.974927783103231,0.)); #417405=DIRECTION('',(0.,0.,1.)); #417406=DIRECTION('center_axis',(0.943874356011264,-0.330304707905173,0.)); #417407=DIRECTION('ref_axis',(0.330304707905173,0.943874356011264,0.)); #417408=DIRECTION('',(0.330304707905173,0.943874356011264,0.)); #417409=DIRECTION('',(0.330304707905173,0.943874356011264,0.)); #417410=DIRECTION('',(0.,0.,1.)); #417411=DIRECTION('center_axis',(0.90097617228259,-0.433868571089232,0.)); #417412=DIRECTION('ref_axis',(0.433868571089232,0.90097617228259,0.)); #417413=DIRECTION('',(0.433868571089232,0.90097617228259,0.)); #417414=DIRECTION('',(0.433868571089232,0.90097617228259,0.)); #417415=DIRECTION('',(0.,0.,1.)); #417416=DIRECTION('center_axis',(0.846733144041853,-0.532017840660441,0.)); #417417=DIRECTION('ref_axis',(0.532017840660441,0.846733144041853,0.)); #417418=DIRECTION('',(0.532017840660441,0.846733144041853,0.)); #417419=DIRECTION('',(0.532017840660441,0.846733144041853,0.)); #417420=DIRECTION('',(0.,0.,1.)); #417421=DIRECTION('center_axis',(0.781826848571388,-0.623495612537035,0.)); #417422=DIRECTION('ref_axis',(0.623495612537035,0.781826848571388,0.)); #417423=DIRECTION('',(0.623495612537035,0.781826848571388,0.)); #417424=DIRECTION('',(0.623495612537035,0.781826848571388,0.)); #417425=DIRECTION('',(0.,0.,1.)); #417426=DIRECTION('center_axis',(0.70710920936978,-0.707104352994977,0.)); #417427=DIRECTION('ref_axis',(0.707104352994977,0.70710920936978,0.)); #417428=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #417429=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #417430=DIRECTION('',(0.,0.,1.)); #417431=DIRECTION('center_axis',(0.623490076319921,-0.781831263592457,0.)); #417432=DIRECTION('ref_axis',(0.781831263592457,0.623490076319921,0.)); #417433=DIRECTION('',(0.781831263592457,0.623490076319921,0.)); #417434=DIRECTION('',(0.781831263592457,0.623490076319921,0.)); #417435=DIRECTION('',(0.,0.,1.)); #417436=DIRECTION('center_axis',(0.532028152553376,-0.846726664804316,0.)); #417437=DIRECTION('ref_axis',(0.846726664804316,0.532028152553376,0.)); #417438=DIRECTION('',(0.846726664804316,0.532028152553376,0.)); #417439=DIRECTION('',(0.846726664804316,0.532028152553376,0.)); #417440=DIRECTION('',(0.,0.,1.)); #417441=DIRECTION('center_axis',(0.433866088505888,-0.900977367776018,0.)); #417442=DIRECTION('ref_axis',(0.900977367776017,0.433866088505888,0.)); #417443=DIRECTION('',(0.900977367776017,0.433866088505888,0.)); #417444=DIRECTION('',(0.900977367776017,0.433866088505888,0.)); #417445=DIRECTION('',(0.,0.,1.)); #417446=DIRECTION('center_axis',(0.330292737303213,-0.943878544985927,0.)); #417447=DIRECTION('ref_axis',(0.943878544985927,0.330292737303213,0.)); #417448=DIRECTION('',(0.943878544985927,0.330292737303213,0.)); #417449=DIRECTION('',(0.943878544985927,0.330292737303213,0.)); #417450=DIRECTION('',(0.,0.,1.)); #417451=DIRECTION('center_axis',(0.222535347290587,-0.974924622320238,0.)); #417452=DIRECTION('ref_axis',(0.974924622320238,0.222535347290587,0.)); #417453=DIRECTION('',(0.974924622320238,0.222535347290587,0.)); #417454=DIRECTION('',(0.974924622320238,0.222535347290587,0.)); #417455=DIRECTION('center_axis',(0.,0.,1.)); #417456=DIRECTION('ref_axis',(1.,0.,0.)); #417457=DIRECTION('center_axis',(0.,0.,1.)); #417458=DIRECTION('ref_axis',(1.,0.,0.)); #417459=DIRECTION('center_axis',(0.,1.,0.)); #417460=DIRECTION('ref_axis',(-1.,0.,0.)); #417461=DIRECTION('',(1.,0.,0.)); #417462=DIRECTION('',(0.,0.,1.)); #417463=DIRECTION('',(-1.,0.,0.)); #417464=DIRECTION('',(0.,0.,1.)); #417465=DIRECTION('center_axis',(0.,0.,1.)); #417466=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #417467=DIRECTION('center_axis',(0.,0.,-1.)); #417468=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #417469=DIRECTION('center_axis',(0.,0.,1.)); #417470=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #417471=DIRECTION('',(0.,0.,1.)); #417472=DIRECTION('center_axis',(0.,-1.,0.)); #417473=DIRECTION('ref_axis',(1.,0.,0.)); #417474=DIRECTION('',(-1.,0.,0.)); #417475=DIRECTION('',(1.,0.,0.)); #417476=DIRECTION('',(0.,0.,1.)); #417477=DIRECTION('center_axis',(0.,0.,1.)); #417478=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #417479=DIRECTION('center_axis',(0.,0.,-1.)); #417480=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #417481=DIRECTION('center_axis',(0.,0.,1.)); #417482=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #417483=DIRECTION('center_axis',(0.0871622148812039,-0.996194131832246, 0.)); #417484=DIRECTION('ref_axis',(0.996194131832246,0.0871622148812039,0.)); #417485=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #417486=DIRECTION('',(0.,0.,1.)); #417487=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #417488=DIRECTION('',(0.,0.,1.)); #417489=DIRECTION('center_axis',(0.,-1.,0.)); #417490=DIRECTION('ref_axis',(1.,0.,0.)); #417491=DIRECTION('',(1.,0.,0.)); #417492=DIRECTION('',(1.,0.,0.)); #417493=DIRECTION('',(0.,0.,1.)); #417494=DIRECTION('center_axis',(-0.087162214881199,-0.996194131832247, 0.)); #417495=DIRECTION('ref_axis',(0.996194131832247,-0.087162214881199,0.)); #417496=DIRECTION('',(0.996194131832247,-0.087162214881199,0.)); #417497=DIRECTION('',(0.996194131832247,-0.087162214881199,0.)); #417498=DIRECTION('',(0.,0.,1.)); #417499=DIRECTION('center_axis',(-0.173645964707188,-0.984808143214154, 0.)); #417500=DIRECTION('ref_axis',(0.984808143214154,-0.173645964707188,0.)); #417501=DIRECTION('',(0.984808143214154,-0.173645964707188,0.)); #417502=DIRECTION('',(0.984808143214154,-0.173645964707188,0.)); #417503=DIRECTION('',(0.,0.,1.)); #417504=DIRECTION('center_axis',(-0.258821098381128,-0.965925276112385, 0.)); #417505=DIRECTION('ref_axis',(0.965925276112385,-0.258821098381128,0.)); #417506=DIRECTION('',(0.965925276112385,-0.258821098381128,0.)); #417507=DIRECTION('',(0.965925276112385,-0.258821098381128,0.)); #417508=DIRECTION('',(0.,0.,1.)); #417509=DIRECTION('center_axis',(-0.342006639937231,-0.939697535507487, 0.)); #417510=DIRECTION('ref_axis',(0.939697535507487,-0.342006639937231,0.)); #417511=DIRECTION('',(0.939697535507487,-0.342006639937231,0.)); #417512=DIRECTION('',(0.939697535507487,-0.342006639937231,0.)); #417513=DIRECTION('',(0.,0.,1.)); #417514=DIRECTION('center_axis',(-0.422641642689159,-0.906296883954154, 0.)); #417515=DIRECTION('ref_axis',(0.906296883954154,-0.422641642689159,0.)); #417516=DIRECTION('',(0.906296883954154,-0.422641642689159,0.)); #417517=DIRECTION('',(0.906296883954154,-0.422641642689159,0.)); #417518=DIRECTION('',(0.,0.,1.)); #417519=DIRECTION('center_axis',(-0.499984597074501,-0.866034296484989, 0.)); #417520=DIRECTION('ref_axis',(0.866034296484989,-0.499984597074501,0.)); #417521=DIRECTION('',(0.866034296484989,-0.499984597074501,0.)); #417522=DIRECTION('',(0.866034296484989,-0.499984597074501,0.)); #417523=DIRECTION('',(0.,0.,1.)); #417524=DIRECTION('center_axis',(-0.573594705766918,-0.819139251602658, 0.)); #417525=DIRECTION('ref_axis',(0.819139251602658,-0.573594705766918,0.)); #417526=DIRECTION('',(0.819139251602658,-0.573594705766918,0.)); #417527=DIRECTION('',(0.819139251602658,-0.573594705766918,0.)); #417528=DIRECTION('',(0.,0.,1.)); #417529=DIRECTION('center_axis',(-0.642785256870282,-0.766046417360075, 0.)); #417530=DIRECTION('ref_axis',(0.766046417360075,-0.642785256870282,0.)); #417531=DIRECTION('',(0.766046417360075,-0.642785256870282,0.)); #417532=DIRECTION('',(0.766046417360075,-0.642785256870282,0.)); #417533=DIRECTION('',(0.,0.,1.)); #417534=DIRECTION('center_axis',(-0.707106781186519,-0.707106781186576, 0.)); #417535=DIRECTION('ref_axis',(0.707106781186576,-0.707106781186519,0.)); #417536=DIRECTION('',(0.707106781186576,-0.707106781186519,0.)); #417537=DIRECTION('',(0.707106781186576,-0.707106781186519,0.)); #417538=DIRECTION('',(0.,0.,1.)); #417539=DIRECTION('center_axis',(-0.766046417360079,-0.642785256870277, 0.)); #417540=DIRECTION('ref_axis',(0.642785256870277,-0.766046417360079,0.)); #417541=DIRECTION('',(0.642785256870277,-0.766046417360079,0.)); #417542=DIRECTION('',(0.642785256870277,-0.766046417360079,0.)); #417543=DIRECTION('',(0.,0.,1.)); #417544=DIRECTION('center_axis',(-0.819139251602677,-0.573594705766891, 0.)); #417545=DIRECTION('ref_axis',(0.573594705766891,-0.819139251602677,0.)); #417546=DIRECTION('',(0.573594705766891,-0.819139251602677,0.)); #417547=DIRECTION('',(0.573594705766891,-0.819139251602677,0.)); #417548=DIRECTION('',(0.,0.,1.)); #417549=DIRECTION('center_axis',(-0.866034296485013,-0.499984597074458, 0.)); #417550=DIRECTION('ref_axis',(0.499984597074458,-0.866034296485013,0.)); #417551=DIRECTION('',(0.499984597074458,-0.866034296485013,0.)); #417552=DIRECTION('',(0.499984597074458,-0.866034296485013,0.)); #417553=DIRECTION('',(0.,0.,1.)); #417554=DIRECTION('center_axis',(-0.906296883954154,-0.422641642689159, 0.)); #417555=DIRECTION('ref_axis',(0.422641642689159,-0.906296883954154,0.)); #417556=DIRECTION('',(0.422641642689159,-0.906296883954154,0.)); #417557=DIRECTION('',(0.422641642689159,-0.906296883954154,0.)); #417558=DIRECTION('',(0.,0.,1.)); #417559=DIRECTION('center_axis',(-0.939697535507451,-0.342006639937331, 0.)); #417560=DIRECTION('ref_axis',(0.342006639937331,-0.939697535507451,0.)); #417561=DIRECTION('',(0.342006639937331,-0.939697535507451,0.)); #417562=DIRECTION('',(0.342006639937331,-0.939697535507451,0.)); #417563=DIRECTION('',(0.,0.,1.)); #417564=DIRECTION('center_axis',(-0.965925276112417,-0.258821098381008, 0.)); #417565=DIRECTION('ref_axis',(0.258821098381008,-0.965925276112417,0.)); #417566=DIRECTION('',(0.258821098381008,-0.965925276112417,0.)); #417567=DIRECTION('',(0.258821098381008,-0.965925276112417,0.)); #417568=DIRECTION('',(0.,0.,1.)); #417569=DIRECTION('center_axis',(-0.984808143214143,-0.173645964707252, 0.)); #417570=DIRECTION('ref_axis',(0.173645964707252,-0.984808143214143,0.)); #417571=DIRECTION('',(0.173645964707252,-0.984808143214143,0.)); #417572=DIRECTION('',(0.173645964707252,-0.984808143214143,0.)); #417573=DIRECTION('',(0.,0.,1.)); #417574=DIRECTION('center_axis',(-0.996194131832242,-0.0871622148812551, 0.)); #417575=DIRECTION('ref_axis',(0.0871622148812551,-0.996194131832242,0.)); #417576=DIRECTION('',(0.087162214881255,-0.996194131832242,0.)); #417577=DIRECTION('',(0.087162214881255,-0.996194131832242,0.)); #417578=DIRECTION('',(0.,0.,1.)); #417579=DIRECTION('center_axis',(-1.,0.,0.)); #417580=DIRECTION('ref_axis',(0.,-1.,0.)); #417581=DIRECTION('',(0.,-1.,0.)); #417582=DIRECTION('',(0.,-1.,0.)); #417583=DIRECTION('',(0.,0.,1.)); #417584=DIRECTION('center_axis',(-0.996194131832242,0.0871622148812551, 0.)); #417585=DIRECTION('ref_axis',(-0.0871622148812551,-0.996194131832242,0.)); #417586=DIRECTION('',(-0.087162214881255,-0.996194131832242,0.)); #417587=DIRECTION('',(-0.087162214881255,-0.996194131832242,0.)); #417588=DIRECTION('',(0.,0.,1.)); #417589=DIRECTION('center_axis',(-0.984808143214145,0.173645964707243,0.)); #417590=DIRECTION('ref_axis',(-0.173645964707243,-0.984808143214144,0.)); #417591=DIRECTION('',(-0.173645964707243,-0.984808143214144,0.)); #417592=DIRECTION('',(-0.173645964707243,-0.984808143214144,0.)); #417593=DIRECTION('',(0.,0.,1.)); #417594=DIRECTION('center_axis',(-0.965925276112413,0.258821098381022,0.)); #417595=DIRECTION('ref_axis',(-0.258821098381022,-0.965925276112413,0.)); #417596=DIRECTION('',(-0.258821098381022,-0.965925276112413,0.)); #417597=DIRECTION('',(-0.258821098381022,-0.965925276112413,0.)); #417598=DIRECTION('',(0.,0.,1.)); #417599=DIRECTION('center_axis',(-0.939697535507451,0.342006639937331,0.)); #417600=DIRECTION('ref_axis',(-0.342006639937331,-0.939697535507451,0.)); #417601=DIRECTION('',(-0.342006639937331,-0.939697535507451,0.)); #417602=DIRECTION('',(-0.342006639937331,-0.939697535507451,0.)); #417603=DIRECTION('',(0.,0.,1.)); #417604=DIRECTION('center_axis',(-0.906296883954154,0.422641642689159,0.)); #417605=DIRECTION('ref_axis',(-0.422641642689159,-0.906296883954154,0.)); #417606=DIRECTION('',(-0.422641642689159,-0.906296883954154,0.)); #417607=DIRECTION('',(-0.422641642689159,-0.906296883954154,0.)); #417608=DIRECTION('',(0.,0.,1.)); #417609=DIRECTION('center_axis',(-0.866034296485013,0.499984597074458,0.)); #417610=DIRECTION('ref_axis',(-0.499984597074458,-0.866034296485013,0.)); #417611=DIRECTION('',(-0.499984597074458,-0.866034296485013,0.)); #417612=DIRECTION('',(-0.499984597074458,-0.866034296485013,0.)); #417613=DIRECTION('',(0.,0.,1.)); #417614=DIRECTION('center_axis',(-0.819139251602677,0.573594705766891,0.)); #417615=DIRECTION('ref_axis',(-0.573594705766891,-0.819139251602677,0.)); #417616=DIRECTION('',(-0.573594705766891,-0.819139251602677,0.)); #417617=DIRECTION('',(-0.573594705766891,-0.819139251602677,0.)); #417618=DIRECTION('',(0.,0.,1.)); #417619=DIRECTION('center_axis',(-0.766046417360079,0.642785256870277,0.)); #417620=DIRECTION('ref_axis',(-0.642785256870277,-0.766046417360079,0.)); #417621=DIRECTION('',(-0.642785256870277,-0.766046417360079,0.)); #417622=DIRECTION('',(-0.642785256870277,-0.766046417360079,0.)); #417623=DIRECTION('',(0.,0.,1.)); #417624=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #417625=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #417626=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #417627=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #417628=DIRECTION('',(0.,0.,1.)); #417629=DIRECTION('center_axis',(-0.642785256870249,0.766046417360103,0.)); #417630=DIRECTION('ref_axis',(-0.766046417360103,-0.642785256870249,0.)); #417631=DIRECTION('',(-0.766046417360103,-0.642785256870249,0.)); #417632=DIRECTION('',(-0.766046417360103,-0.642785256870249,0.)); #417633=DIRECTION('',(0.,0.,1.)); #417634=DIRECTION('center_axis',(-0.573594705766918,0.819139251602658,0.)); #417635=DIRECTION('ref_axis',(-0.819139251602658,-0.573594705766918,0.)); #417636=DIRECTION('',(-0.819139251602658,-0.573594705766918,0.)); #417637=DIRECTION('',(-0.819139251602658,-0.573594705766918,0.)); #417638=DIRECTION('',(0.,0.,1.)); #417639=DIRECTION('center_axis',(-0.499984597074501,0.866034296484989,0.)); #417640=DIRECTION('ref_axis',(-0.866034296484989,-0.499984597074501,0.)); #417641=DIRECTION('',(-0.866034296484989,-0.499984597074501,0.)); #417642=DIRECTION('',(-0.866034296484989,-0.499984597074501,0.)); #417643=DIRECTION('',(0.,0.,1.)); #417644=DIRECTION('center_axis',(-0.422641642689159,0.906296883954154,0.)); #417645=DIRECTION('ref_axis',(-0.906296883954154,-0.422641642689159,0.)); #417646=DIRECTION('',(-0.906296883954154,-0.422641642689159,0.)); #417647=DIRECTION('',(-0.906296883954154,-0.422641642689159,0.)); #417648=DIRECTION('',(0.,0.,1.)); #417649=DIRECTION('center_axis',(-0.342017875916574,0.93969344605223,0.)); #417650=DIRECTION('ref_axis',(-0.93969344605223,-0.342017875916574,0.)); #417651=DIRECTION('',(-0.93969344605223,-0.342017875916574,0.)); #417652=DIRECTION('',(-0.93969344605223,-0.342017875916574,0.)); #417653=DIRECTION('',(0.,0.,1.)); #417654=DIRECTION('center_axis',(-0.258809226580732,0.965928457100568,0.)); #417655=DIRECTION('ref_axis',(-0.965928457100568,-0.258809226580732,0.)); #417656=DIRECTION('',(-0.965928457100568,-0.258809226580732,0.)); #417657=DIRECTION('',(-0.965928457100568,-0.258809226580732,0.)); #417658=DIRECTION('',(0.,0.,1.)); #417659=DIRECTION('center_axis',(-0.173645964707188,0.984808143214154,0.)); #417660=DIRECTION('ref_axis',(-0.984808143214154,-0.173645964707188,0.)); #417661=DIRECTION('',(-0.984808143214154,-0.173645964707188,0.)); #417662=DIRECTION('',(-0.984808143214154,-0.173645964707188,0.)); #417663=DIRECTION('',(0.,0.,1.)); #417664=DIRECTION('center_axis',(-0.0871622148812551,0.996194131832242, 0.)); #417665=DIRECTION('ref_axis',(-0.996194131832242,-0.0871622148812551,0.)); #417666=DIRECTION('',(-0.996194131832242,-0.087162214881255,0.)); #417667=DIRECTION('',(-0.996194131832242,-0.087162214881255,0.)); #417668=DIRECTION('',(0.,0.,1.)); #417669=DIRECTION('center_axis',(0.,1.,0.)); #417670=DIRECTION('ref_axis',(-1.,0.,0.)); #417671=DIRECTION('',(-1.,0.,0.)); #417672=DIRECTION('',(-1.,0.,0.)); #417673=DIRECTION('',(0.,0.,1.)); #417674=DIRECTION('center_axis',(0.08716221488126,0.996194131832241,0.)); #417675=DIRECTION('ref_axis',(-0.996194131832241,0.08716221488126,0.)); #417676=DIRECTION('',(-0.996194131832241,0.08716221488126,0.)); #417677=DIRECTION('',(-0.996194131832241,0.08716221488126,0.)); #417678=DIRECTION('',(0.,0.,1.)); #417679=DIRECTION('center_axis',(0.173645964707188,0.984808143214154,0.)); #417680=DIRECTION('ref_axis',(-0.984808143214154,0.173645964707188,0.)); #417681=DIRECTION('',(-0.984808143214154,0.173645964707188,0.)); #417682=DIRECTION('',(-0.984808143214154,0.173645964707188,0.)); #417683=DIRECTION('',(0.,0.,1.)); #417684=DIRECTION('center_axis',(0.258809226580718,0.965928457100572,0.)); #417685=DIRECTION('ref_axis',(-0.965928457100572,0.258809226580718,0.)); #417686=DIRECTION('',(-0.965928457100572,0.258809226580718,0.)); #417687=DIRECTION('',(-0.965928457100572,0.258809226580718,0.)); #417688=DIRECTION('',(0.,0.,1.)); #417689=DIRECTION('center_axis',(0.342017875916574,0.93969344605223,0.)); #417690=DIRECTION('ref_axis',(-0.93969344605223,0.342017875916574,0.)); #417691=DIRECTION('',(-0.93969344605223,0.342017875916574,0.)); #417692=DIRECTION('',(-0.93969344605223,0.342017875916574,0.)); #417693=DIRECTION('',(0.,0.,1.)); #417694=DIRECTION('center_axis',(0.422641642689159,0.906296883954154,0.)); #417695=DIRECTION('ref_axis',(-0.906296883954154,0.422641642689159,0.)); #417696=DIRECTION('',(-0.906296883954154,0.422641642689159,0.)); #417697=DIRECTION('',(-0.906296883954154,0.422641642689159,0.)); #417698=DIRECTION('',(0.,0.,1.)); #417699=DIRECTION('center_axis',(0.499984597074525,0.866034296484975,0.)); #417700=DIRECTION('ref_axis',(-0.866034296484975,0.499984597074525,0.)); #417701=DIRECTION('',(-0.866034296484975,0.499984597074525,0.)); #417702=DIRECTION('',(-0.866034296484975,0.499984597074525,0.)); #417703=DIRECTION('',(0.,0.,1.)); #417704=DIRECTION('center_axis',(0.573594705766891,0.819139251602677,0.)); #417705=DIRECTION('ref_axis',(-0.819139251602677,0.573594705766891,0.)); #417706=DIRECTION('',(-0.819139251602677,0.573594705766891,0.)); #417707=DIRECTION('',(-0.819139251602677,0.573594705766891,0.)); #417708=DIRECTION('',(0.,0.,1.)); #417709=DIRECTION('center_axis',(0.642785256870277,0.766046417360079,0.)); #417710=DIRECTION('ref_axis',(-0.766046417360079,0.642785256870277,0.)); #417711=DIRECTION('',(-0.766046417360079,0.642785256870277,0.)); #417712=DIRECTION('',(-0.766046417360079,0.642785256870277,0.)); #417713=DIRECTION('',(0.,0.,1.)); #417714=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #417715=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #417716=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #417717=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #417718=DIRECTION('',(0.,0.,1.)); #417719=DIRECTION('center_axis',(0.766046417360079,0.642785256870277,0.)); #417720=DIRECTION('ref_axis',(-0.642785256870277,0.766046417360079,0.)); #417721=DIRECTION('',(-0.642785256870277,0.766046417360079,0.)); #417722=DIRECTION('',(-0.642785256870277,0.766046417360079,0.)); #417723=DIRECTION('',(0.,0.,1.)); #417724=DIRECTION('center_axis',(0.81913925160265,0.573594705766929,0.)); #417725=DIRECTION('ref_axis',(-0.573594705766929,0.81913925160265,0.)); #417726=DIRECTION('',(-0.573594705766929,0.81913925160265,0.)); #417727=DIRECTION('',(-0.573594705766929,0.81913925160265,0.)); #417728=DIRECTION('',(0.,0.,1.)); #417729=DIRECTION('center_axis',(0.866034296485038,0.499984597074416,0.)); #417730=DIRECTION('ref_axis',(-0.499984597074416,0.866034296485038,0.)); #417731=DIRECTION('',(-0.499984597074416,0.866034296485038,0.)); #417732=DIRECTION('',(-0.499984597074416,0.866034296485038,0.)); #417733=DIRECTION('',(0.,0.,1.)); #417734=DIRECTION('center_axis',(0.906296883954132,0.422641642689205,0.)); #417735=DIRECTION('ref_axis',(-0.422641642689205,0.906296883954132,0.)); #417736=DIRECTION('',(-0.422641642689205,0.906296883954132,0.)); #417737=DIRECTION('',(-0.422641642689205,0.906296883954132,0.)); #417738=DIRECTION('',(0.,0.,1.)); #417739=DIRECTION('center_axis',(0.93969344605223,0.342017875916574,0.)); #417740=DIRECTION('ref_axis',(-0.342017875916574,0.93969344605223,0.)); #417741=DIRECTION('',(-0.342017875916574,0.93969344605223,0.)); #417742=DIRECTION('',(-0.342017875916574,0.93969344605223,0.)); #417743=DIRECTION('',(0.,0.,1.)); #417744=DIRECTION('center_axis',(0.965928457100568,0.258809226580732,0.)); #417745=DIRECTION('ref_axis',(-0.258809226580732,0.965928457100568,0.)); #417746=DIRECTION('',(-0.258809226580732,0.965928457100568,0.)); #417747=DIRECTION('',(-0.258809226580732,0.965928457100568,0.)); #417748=DIRECTION('',(0.,0.,1.)); #417749=DIRECTION('center_axis',(0.984808143214145,0.173645964707243,0.)); #417750=DIRECTION('ref_axis',(-0.173645964707243,0.984808143214144,0.)); #417751=DIRECTION('',(-0.173645964707243,0.984808143214144,0.)); #417752=DIRECTION('',(-0.173645964707243,0.984808143214144,0.)); #417753=DIRECTION('',(0.,0.,1.)); #417754=DIRECTION('center_axis',(0.996194131832247,0.087162214881199,0.)); #417755=DIRECTION('ref_axis',(-0.087162214881199,0.996194131832247,0.)); #417756=DIRECTION('',(-0.087162214881199,0.996194131832247,0.)); #417757=DIRECTION('',(-0.087162214881199,0.996194131832247,0.)); #417758=DIRECTION('',(0.,0.,1.)); #417759=DIRECTION('center_axis',(1.,0.,0.)); #417760=DIRECTION('ref_axis',(0.,1.,0.)); #417761=DIRECTION('',(0.,1.,0.)); #417762=DIRECTION('',(0.,1.,0.)); #417763=DIRECTION('',(0.,0.,1.)); #417764=DIRECTION('center_axis',(0.996194131832247,-0.087162214881199,0.)); #417765=DIRECTION('ref_axis',(0.087162214881199,0.996194131832247,0.)); #417766=DIRECTION('',(0.087162214881199,0.996194131832247,0.)); #417767=DIRECTION('',(0.087162214881199,0.996194131832247,0.)); #417768=DIRECTION('',(0.,0.,1.)); #417769=DIRECTION('center_axis',(0.984808143214143,-0.173645964707252,0.)); #417770=DIRECTION('ref_axis',(0.173645964707252,0.984808143214143,0.)); #417771=DIRECTION('',(0.173645964707252,0.984808143214143,0.)); #417772=DIRECTION('',(0.173645964707252,0.984808143214143,0.)); #417773=DIRECTION('',(0.,0.,1.)); #417774=DIRECTION('center_axis',(0.965928457100572,-0.258809226580718,0.)); #417775=DIRECTION('ref_axis',(0.258809226580718,0.965928457100572,0.)); #417776=DIRECTION('',(0.258809226580718,0.965928457100572,0.)); #417777=DIRECTION('',(0.258809226580718,0.965928457100572,0.)); #417778=DIRECTION('',(0.,0.,1.)); #417779=DIRECTION('center_axis',(0.93969344605223,-0.342017875916574,0.)); #417780=DIRECTION('ref_axis',(0.342017875916574,0.93969344605223,0.)); #417781=DIRECTION('',(0.342017875916574,0.93969344605223,0.)); #417782=DIRECTION('',(0.342017875916574,0.93969344605223,0.)); #417783=DIRECTION('',(0.,0.,1.)); #417784=DIRECTION('center_axis',(0.906296883954132,-0.422641642689205,0.)); #417785=DIRECTION('ref_axis',(0.422641642689205,0.906296883954132,0.)); #417786=DIRECTION('',(0.422641642689205,0.906296883954132,0.)); #417787=DIRECTION('',(0.422641642689205,0.906296883954132,0.)); #417788=DIRECTION('',(0.,0.,1.)); #417789=DIRECTION('center_axis',(0.866034296485038,-0.499984597074416,0.)); #417790=DIRECTION('ref_axis',(0.499984597074416,0.866034296485038,0.)); #417791=DIRECTION('',(0.499984597074416,0.866034296485038,0.)); #417792=DIRECTION('',(0.499984597074416,0.866034296485038,0.)); #417793=DIRECTION('',(0.,0.,1.)); #417794=DIRECTION('center_axis',(0.81913925160265,-0.573594705766929,0.)); #417795=DIRECTION('ref_axis',(0.573594705766929,0.81913925160265,0.)); #417796=DIRECTION('',(0.573594705766929,0.81913925160265,0.)); #417797=DIRECTION('',(0.573594705766929,0.81913925160265,0.)); #417798=DIRECTION('',(0.,0.,1.)); #417799=DIRECTION('center_axis',(0.766046417360079,-0.642785256870277,0.)); #417800=DIRECTION('ref_axis',(0.642785256870277,0.766046417360079,0.)); #417801=DIRECTION('',(0.642785256870277,0.766046417360079,0.)); #417802=DIRECTION('',(0.642785256870277,0.766046417360079,0.)); #417803=DIRECTION('',(0.,0.,1.)); #417804=DIRECTION('center_axis',(0.707106781186519,-0.707106781186576,0.)); #417805=DIRECTION('ref_axis',(0.707106781186576,0.707106781186519,0.)); #417806=DIRECTION('',(0.707106781186576,0.707106781186519,0.)); #417807=DIRECTION('',(0.707106781186576,0.707106781186519,0.)); #417808=DIRECTION('',(0.,0.,1.)); #417809=DIRECTION('center_axis',(0.64278525687031,-0.766046417360051,0.)); #417810=DIRECTION('ref_axis',(0.766046417360051,0.64278525687031,0.)); #417811=DIRECTION('',(0.766046417360051,0.64278525687031,0.)); #417812=DIRECTION('',(0.766046417360051,0.64278525687031,0.)); #417813=DIRECTION('',(0.,0.,1.)); #417814=DIRECTION('center_axis',(0.573594705766891,-0.819139251602677,0.)); #417815=DIRECTION('ref_axis',(0.819139251602677,0.573594705766891,0.)); #417816=DIRECTION('',(0.819139251602677,0.573594705766891,0.)); #417817=DIRECTION('',(0.819139251602677,0.573594705766891,0.)); #417818=DIRECTION('',(0.,0.,1.)); #417819=DIRECTION('center_axis',(0.499984597074525,-0.866034296484975,0.)); #417820=DIRECTION('ref_axis',(0.866034296484975,0.499984597074525,0.)); #417821=DIRECTION('',(0.866034296484975,0.499984597074525,0.)); #417822=DIRECTION('',(0.866034296484975,0.499984597074525,0.)); #417823=DIRECTION('',(0.,0.,1.)); #417824=DIRECTION('center_axis',(0.422641642689159,-0.906296883954154,0.)); #417825=DIRECTION('ref_axis',(0.906296883954154,0.422641642689159,0.)); #417826=DIRECTION('',(0.906296883954154,0.422641642689159,0.)); #417827=DIRECTION('',(0.906296883954154,0.422641642689159,0.)); #417828=DIRECTION('',(0.,0.,1.)); #417829=DIRECTION('center_axis',(0.342006639937231,-0.939697535507487,0.)); #417830=DIRECTION('ref_axis',(0.939697535507487,0.342006639937231,0.)); #417831=DIRECTION('',(0.939697535507487,0.342006639937231,0.)); #417832=DIRECTION('',(0.939697535507487,0.342006639937231,0.)); #417833=DIRECTION('',(0.,0.,1.)); #417834=DIRECTION('center_axis',(0.258821098381114,-0.965925276112388,0.)); #417835=DIRECTION('ref_axis',(0.965925276112388,0.258821098381114,0.)); #417836=DIRECTION('',(0.965925276112388,0.258821098381114,0.)); #417837=DIRECTION('',(0.965925276112388,0.258821098381114,0.)); #417838=DIRECTION('',(0.,0.,1.)); #417839=DIRECTION('center_axis',(0.173645964707188,-0.984808143214154,0.)); #417840=DIRECTION('ref_axis',(0.984808143214154,0.173645964707188,0.)); #417841=DIRECTION('',(0.984808143214154,0.173645964707188,0.)); #417842=DIRECTION('',(0.984808143214154,0.173645964707188,0.)); #417843=DIRECTION('center_axis',(0.,0.,1.)); #417844=DIRECTION('ref_axis',(1.,0.,0.)); #417845=DIRECTION('center_axis',(0.,0.,1.)); #417846=DIRECTION('ref_axis',(1.,0.,0.)); #417847=DIRECTION('center_axis',(1.,0.,0.)); #417848=DIRECTION('ref_axis',(0.,1.,0.)); #417849=DIRECTION('',(0.,-1.,0.)); #417850=DIRECTION('',(0.,0.,1.)); #417851=DIRECTION('',(0.,1.,0.)); #417852=DIRECTION('',(0.,0.,1.)); #417853=DIRECTION('center_axis',(0.,0.,1.)); #417854=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #417855=DIRECTION('center_axis',(0.,0.,-1.)); #417856=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #417857=DIRECTION('center_axis',(0.,0.,1.)); #417858=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #417859=DIRECTION('',(0.,0.,1.)); #417860=DIRECTION('center_axis',(-1.,0.,0.)); #417861=DIRECTION('ref_axis',(0.,-1.,0.)); #417862=DIRECTION('',(0.,1.,0.)); #417863=DIRECTION('',(0.,-1.,0.)); #417864=DIRECTION('',(0.,0.,1.)); #417865=DIRECTION('center_axis',(0.,0.,1.)); #417866=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #417867=DIRECTION('center_axis',(0.,0.,-1.)); #417868=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #417869=DIRECTION('center_axis',(0.,0.,1.)); #417870=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #417871=DIRECTION('center_axis',(0.111947631953276,-0.993714107628574,0.)); #417872=DIRECTION('ref_axis',(0.993714107628574,0.111947631953276,0.)); #417873=DIRECTION('',(0.993714107628574,0.111947631953276,0.)); #417874=DIRECTION('',(0.,0.,1.)); #417875=DIRECTION('',(0.993714107628574,0.111947631953276,0.)); #417876=DIRECTION('',(0.,0.,1.)); #417877=DIRECTION('center_axis',(0.,-1.,0.)); #417878=DIRECTION('ref_axis',(1.,0.,0.)); #417879=DIRECTION('',(1.,0.,0.)); #417880=DIRECTION('',(1.,0.,0.)); #417881=DIRECTION('',(0.,0.,1.)); #417882=DIRECTION('center_axis',(-0.111945831198928,-0.993714310492297, 0.)); #417883=DIRECTION('ref_axis',(0.993714310492297,-0.111945831198928,0.)); #417884=DIRECTION('',(0.993714310492297,-0.111945831198928,0.)); #417885=DIRECTION('',(0.993714310492297,-0.111945831198928,0.)); #417886=DIRECTION('',(0.,0.,1.)); #417887=DIRECTION('center_axis',(-0.222535347290555,-0.974924622320245, 0.)); #417888=DIRECTION('ref_axis',(0.974924622320245,-0.222535347290555,0.)); #417889=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #417890=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #417891=DIRECTION('',(0.,0.,1.)); #417892=DIRECTION('center_axis',(-0.330292737303265,-0.943878544985909, 0.)); #417893=DIRECTION('ref_axis',(0.943878544985909,-0.330292737303265,0.)); #417894=DIRECTION('',(0.943878544985909,-0.330292737303265,0.)); #417895=DIRECTION('',(0.943878544985909,-0.330292737303265,0.)); #417896=DIRECTION('',(0.,0.,1.)); #417897=DIRECTION('center_axis',(-0.433867402513781,-0.900976735013699, 0.)); #417898=DIRECTION('ref_axis',(0.900976735013699,-0.433867402513781,0.)); #417899=DIRECTION('',(0.900976735013699,-0.433867402513781,0.)); #417900=DIRECTION('',(0.900976735013699,-0.433867402513781,0.)); #417901=DIRECTION('',(0.,0.,1.)); #417902=DIRECTION('center_axis',(-0.532026991963584,-0.846727394042605, 0.)); #417903=DIRECTION('ref_axis',(0.846727394042605,-0.532026991963584,0.)); #417904=DIRECTION('',(0.846727394042605,-0.532026991963583,0.)); #417905=DIRECTION('',(0.846727394042605,-0.532026991963583,0.)); #417906=DIRECTION('',(0.,0.,1.)); #417907=DIRECTION('center_axis',(-0.623490076319886,-0.781831263592485, 0.)); #417908=DIRECTION('ref_axis',(0.781831263592485,-0.623490076319886,0.)); #417909=DIRECTION('',(0.781831263592485,-0.623490076319886,0.)); #417910=DIRECTION('',(0.781831263592485,-0.623490076319886,0.)); #417911=DIRECTION('',(0.,0.,1.)); #417912=DIRECTION('center_axis',(-0.70710920936978,-0.707104352994977,0.)); #417913=DIRECTION('ref_axis',(0.707104352994977,-0.70710920936978,0.)); #417914=DIRECTION('',(0.707104352994977,-0.70710920936978,0.)); #417915=DIRECTION('',(0.707104352994977,-0.70710920936978,0.)); #417916=DIRECTION('',(0.,0.,1.)); #417917=DIRECTION('center_axis',(-0.781826848571419,-0.623495612536995, 0.)); #417918=DIRECTION('ref_axis',(0.623495612536995,-0.781826848571419,0.)); #417919=DIRECTION('',(0.623495612536995,-0.781826848571419,0.)); #417920=DIRECTION('',(0.623495612536995,-0.781826848571419,0.)); #417921=DIRECTION('',(0.,0.,1.)); #417922=DIRECTION('center_axis',(-0.846733144041855,-0.532017840660439, 0.)); #417923=DIRECTION('ref_axis',(0.532017840660439,-0.846733144041855,0.)); #417924=DIRECTION('',(0.532017840660439,-0.846733144041855,0.)); #417925=DIRECTION('',(0.532017840660439,-0.846733144041855,0.)); #417926=DIRECTION('',(0.,0.,1.)); #417927=DIRECTION('center_axis',(-0.900976172282562,-0.433868571089291, 0.)); #417928=DIRECTION('ref_axis',(0.433868571089291,-0.900976172282562,0.)); #417929=DIRECTION('',(0.433868571089291,-0.900976172282562,0.)); #417930=DIRECTION('',(0.433868571089291,-0.900976172282562,0.)); #417931=DIRECTION('',(0.,0.,1.)); #417932=DIRECTION('center_axis',(-0.943879402871104,-0.33029028571196,0.)); #417933=DIRECTION('ref_axis',(0.33029028571196,-0.943879402871104,0.)); #417934=DIRECTION('',(0.33029028571196,-0.943879402871104,0.)); #417935=DIRECTION('',(0.33029028571196,-0.943879402871104,0.)); #417936=DIRECTION('',(0.,0.,1.)); #417937=DIRECTION('center_axis',(-0.974924271111244,-0.222536885927277, 0.)); #417938=DIRECTION('ref_axis',(0.222536885927277,-0.974924271111244,0.)); #417939=DIRECTION('',(0.222536885927277,-0.974924271111244,0.)); #417940=DIRECTION('',(0.222536885927277,-0.974924271111244,0.)); #417941=DIRECTION('',(0.,0.,1.)); #417942=DIRECTION('center_axis',(-0.993713488739879,-0.111953125442832, 0.)); #417943=DIRECTION('ref_axis',(0.111953125442832,-0.993713488739879,0.)); #417944=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #417945=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #417946=DIRECTION('',(0.,0.,1.)); #417947=DIRECTION('center_axis',(-1.,0.,0.)); #417948=DIRECTION('ref_axis',(0.,-1.,0.)); #417949=DIRECTION('',(0.,-1.,0.)); #417950=DIRECTION('',(0.,-1.,0.)); #417951=DIRECTION('',(0.,0.,1.)); #417952=DIRECTION('center_axis',(-0.993713488739879,0.111953125442832,0.)); #417953=DIRECTION('ref_axis',(-0.111953125442832,-0.993713488739879,0.)); #417954=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #417955=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #417956=DIRECTION('',(0.,0.,1.)); #417957=DIRECTION('center_axis',(-0.974924271111245,0.222536885927273,0.)); #417958=DIRECTION('ref_axis',(-0.222536885927273,-0.974924271111245,0.)); #417959=DIRECTION('',(-0.222536885927273,-0.974924271111245,0.)); #417960=DIRECTION('',(-0.222536885927273,-0.974924271111245,0.)); #417961=DIRECTION('',(0.,0.,1.)); #417962=DIRECTION('center_axis',(-0.943879579471783,0.330289781035033,0.)); #417963=DIRECTION('ref_axis',(-0.330289781035033,-0.943879579471783,0.)); #417964=DIRECTION('',(-0.330289781035033,-0.943879579471783,0.)); #417965=DIRECTION('',(-0.330289781035033,-0.943879579471783,0.)); #417966=DIRECTION('',(0.,0.,1.)); #417967=DIRECTION('center_axis',(-0.900975867568767,0.433869203860688,0.)); #417968=DIRECTION('ref_axis',(-0.433869203860688,-0.900975867568767,0.)); #417969=DIRECTION('',(-0.433869203860688,-0.900975867568767,0.)); #417970=DIRECTION('',(-0.433869203860688,-0.900975867568767,0.)); #417971=DIRECTION('',(0.,0.,1.)); #417972=DIRECTION('center_axis',(-0.846733144041855,0.532017840660439,0.)); #417973=DIRECTION('ref_axis',(-0.532017840660439,-0.846733144041855,0.)); #417974=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #417975=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #417976=DIRECTION('',(0.,0.,1.)); #417977=DIRECTION('center_axis',(-0.781827477872105,0.623494823430109,0.)); #417978=DIRECTION('ref_axis',(-0.623494823430109,-0.781827477872105,0.)); #417979=DIRECTION('',(-0.623494823430109,-0.781827477872105,0.)); #417980=DIRECTION('',(-0.623494823430109,-0.781827477872105,0.)); #417981=DIRECTION('',(0.,0.,1.)); #417982=DIRECTION('center_axis',(-0.707108399978151,0.707105162391238,0.)); #417983=DIRECTION('ref_axis',(-0.707105162391238,-0.707108399978151,0.)); #417984=DIRECTION('',(-0.707105162391238,-0.707108399978151,0.)); #417985=DIRECTION('',(-0.707105162391238,-0.707108399978151,0.)); #417986=DIRECTION('',(0.,0.,1.)); #417987=DIRECTION('center_axis',(-0.623490076319886,0.781831263592485,0.)); #417988=DIRECTION('ref_axis',(-0.781831263592485,-0.623490076319886,0.)); #417989=DIRECTION('',(-0.781831263592485,-0.623490076319886,0.)); #417990=DIRECTION('',(-0.781831263592485,-0.623490076319886,0.)); #417991=DIRECTION('',(0.,0.,1.)); #417992=DIRECTION('center_axis',(-0.532028152553409,0.846726664804296,0.)); #417993=DIRECTION('ref_axis',(-0.846726664804296,-0.532028152553409,0.)); #417994=DIRECTION('',(-0.846726664804296,-0.532028152553409,0.)); #417995=DIRECTION('',(-0.846726664804296,-0.532028152553409,0.)); #417996=DIRECTION('',(0.,0.,1.)); #417997=DIRECTION('center_axis',(-0.43386608850586,0.900977367776031,0.)); #417998=DIRECTION('ref_axis',(-0.900977367776031,-0.43386608850586,0.)); #417999=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #418000=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #418001=DIRECTION('',(0.,0.,1.)); #418002=DIRECTION('center_axis',(-0.330292737303249,0.943878544985914,0.)); #418003=DIRECTION('ref_axis',(-0.943878544985914,-0.330292737303249,0.)); #418004=DIRECTION('',(-0.943878544985914,-0.330292737303249,0.)); #418005=DIRECTION('',(-0.943878544985914,-0.330292737303249,0.)); #418006=DIRECTION('',(0.,0.,1.)); #418007=DIRECTION('center_axis',(-0.222535347290555,0.974924622320245,0.)); #418008=DIRECTION('ref_axis',(-0.974924622320245,-0.222535347290555,0.)); #418009=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #418010=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #418011=DIRECTION('',(0.,0.,1.)); #418012=DIRECTION('center_axis',(-0.111945831198946,0.993714310492295,0.)); #418013=DIRECTION('ref_axis',(-0.993714310492295,-0.111945831198946,0.)); #418014=DIRECTION('',(-0.993714310492295,-0.111945831198946,0.)); #418015=DIRECTION('',(-0.993714310492295,-0.111945831198946,0.)); #418016=DIRECTION('',(0.,0.,1.)); #418017=DIRECTION('center_axis',(0.,1.,0.)); #418018=DIRECTION('ref_axis',(-1.,0.,0.)); #418019=DIRECTION('',(-1.,0.,0.)); #418020=DIRECTION('',(-1.,0.,0.)); #418021=DIRECTION('',(0.,0.,1.)); #418022=DIRECTION('center_axis',(0.111947631953293,0.993714107628572,0.)); #418023=DIRECTION('ref_axis',(-0.993714107628572,0.111947631953293,0.)); #418024=DIRECTION('',(-0.993714107628572,0.111947631953293,0.)); #418025=DIRECTION('',(-0.993714107628572,0.111947631953293,0.)); #418026=DIRECTION('',(0.,0.,1.)); #418027=DIRECTION('center_axis',(0.222535347290571,0.974924622320241,0.)); #418028=DIRECTION('ref_axis',(-0.974924622320241,0.222535347290571,0.)); #418029=DIRECTION('',(-0.974924622320241,0.222535347290571,0.)); #418030=DIRECTION('',(-0.974924622320241,0.222535347290571,0.)); #418031=DIRECTION('',(0.,0.,1.)); #418032=DIRECTION('center_axis',(0.330292737303205,0.94387854498593,0.)); #418033=DIRECTION('ref_axis',(-0.94387854498593,0.330292737303205,0.)); #418034=DIRECTION('',(-0.94387854498593,0.330292737303205,0.)); #418035=DIRECTION('',(-0.94387854498593,0.330292737303205,0.)); #418036=DIRECTION('',(0.,0.,1.)); #418037=DIRECTION('center_axis',(0.433866088505888,0.900977367776018,0.)); #418038=DIRECTION('ref_axis',(-0.900977367776017,0.433866088505888,0.)); #418039=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #418040=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #418041=DIRECTION('',(0.,0.,1.)); #418042=DIRECTION('center_axis',(0.532028152553409,0.846726664804296,0.)); #418043=DIRECTION('ref_axis',(-0.846726664804296,0.532028152553409,0.)); #418044=DIRECTION('',(-0.846726664804296,0.532028152553409,0.)); #418045=DIRECTION('',(-0.846726664804296,0.532028152553409,0.)); #418046=DIRECTION('',(0.,0.,1.)); #418047=DIRECTION('center_axis',(0.623490076319886,0.781831263592485,0.)); #418048=DIRECTION('ref_axis',(-0.781831263592485,0.623490076319886,0.)); #418049=DIRECTION('',(-0.781831263592485,0.623490076319886,0.)); #418050=DIRECTION('',(-0.781831263592485,0.623490076319886,0.)); #418051=DIRECTION('',(0.,0.,1.)); #418052=DIRECTION('center_axis',(0.707108399978151,0.707105162391238,0.)); #418053=DIRECTION('ref_axis',(-0.707105162391238,0.707108399978151,0.)); #418054=DIRECTION('',(-0.707105162391238,0.707108399978151,0.)); #418055=DIRECTION('',(-0.707105162391238,0.707108399978151,0.)); #418056=DIRECTION('',(0.,0.,1.)); #418057=DIRECTION('center_axis',(0.781827477872105,0.623494823430109,0.)); #418058=DIRECTION('ref_axis',(-0.623494823430109,0.781827477872105,0.)); #418059=DIRECTION('',(-0.623494823430109,0.781827477872105,0.)); #418060=DIRECTION('',(-0.623494823430109,0.781827477872105,0.)); #418061=DIRECTION('',(0.,0.,1.)); #418062=DIRECTION('center_axis',(0.846733144041887,0.532017840660387,0.)); #418063=DIRECTION('ref_axis',(-0.532017840660387,0.846733144041887,0.)); #418064=DIRECTION('',(-0.532017840660387,0.846733144041887,0.)); #418065=DIRECTION('',(-0.532017840660387,0.846733144041887,0.)); #418066=DIRECTION('',(0.,0.,1.)); #418067=DIRECTION('center_axis',(0.900975867568739,0.433869203860746,0.)); #418068=DIRECTION('ref_axis',(-0.433869203860746,0.900975867568739,0.)); #418069=DIRECTION('',(-0.433869203860746,0.900975867568739,0.)); #418070=DIRECTION('',(-0.433869203860746,0.900975867568739,0.)); #418071=DIRECTION('',(0.,0.,1.)); #418072=DIRECTION('center_axis',(0.943874532626469,0.330304203211472,0.)); #418073=DIRECTION('ref_axis',(-0.330304203211472,0.943874532626469,0.)); #418074=DIRECTION('',(-0.330304203211472,0.943874532626469,0.)); #418075=DIRECTION('',(-0.330304203211472,0.943874532626469,0.)); #418076=DIRECTION('',(0.,0.,1.)); #418077=DIRECTION('center_axis',(0.974927783103216,0.222521499485892,0.)); #418078=DIRECTION('ref_axis',(-0.222521499485892,0.974927783103215,0.)); #418079=DIRECTION('',(-0.222521499485892,0.974927783103215,0.)); #418080=DIRECTION('',(-0.222521499485892,0.974927783103215,0.)); #418081=DIRECTION('',(0.,0.,1.)); #418082=DIRECTION('center_axis',(0.993713488739871,0.111953125442903,0.)); #418083=DIRECTION('ref_axis',(-0.111953125442903,0.993713488739871,0.)); #418084=DIRECTION('',(-0.111953125442903,0.993713488739871,0.)); #418085=DIRECTION('',(-0.111953125442903,0.993713488739871,0.)); #418086=DIRECTION('',(0.,0.,1.)); #418087=DIRECTION('center_axis',(1.,0.,0.)); #418088=DIRECTION('ref_axis',(0.,1.,0.)); #418089=DIRECTION('',(0.,1.,0.)); #418090=DIRECTION('',(0.,1.,0.)); #418091=DIRECTION('',(0.,0.,1.)); #418092=DIRECTION('center_axis',(0.993713488739871,-0.111953125442903,0.)); #418093=DIRECTION('ref_axis',(0.111953125442903,0.993713488739871,0.)); #418094=DIRECTION('',(0.111953125442903,0.993713488739871,0.)); #418095=DIRECTION('',(0.111953125442903,0.993713488739871,0.)); #418096=DIRECTION('',(0.,0.,1.)); #418097=DIRECTION('center_axis',(0.974927783103215,-0.222521499485896,0.)); #418098=DIRECTION('ref_axis',(0.222521499485896,0.974927783103215,0.)); #418099=DIRECTION('',(0.222521499485896,0.974927783103215,0.)); #418100=DIRECTION('',(0.222521499485896,0.974927783103215,0.)); #418101=DIRECTION('',(0.,0.,1.)); #418102=DIRECTION('center_axis',(0.943874356011311,-0.330304707905039,0.)); #418103=DIRECTION('ref_axis',(0.330304707905039,0.943874356011311,0.)); #418104=DIRECTION('',(0.330304707905039,0.943874356011311,0.)); #418105=DIRECTION('',(0.330304707905039,0.943874356011311,0.)); #418106=DIRECTION('',(0.,0.,1.)); #418107=DIRECTION('center_axis',(0.900976172282534,-0.433868571089349,0.)); #418108=DIRECTION('ref_axis',(0.433868571089349,0.900976172282534,0.)); #418109=DIRECTION('',(0.433868571089349,0.900976172282534,0.)); #418110=DIRECTION('',(0.433868571089349,0.900976172282534,0.)); #418111=DIRECTION('',(0.,0.,1.)); #418112=DIRECTION('center_axis',(0.846733144041887,-0.532017840660387,0.)); #418113=DIRECTION('ref_axis',(0.532017840660387,0.846733144041887,0.)); #418114=DIRECTION('',(0.532017840660387,0.846733144041887,0.)); #418115=DIRECTION('',(0.532017840660387,0.846733144041887,0.)); #418116=DIRECTION('',(0.,0.,1.)); #418117=DIRECTION('center_axis',(0.781826848571419,-0.623495612536995,0.)); #418118=DIRECTION('ref_axis',(0.623495612536995,0.781826848571419,0.)); #418119=DIRECTION('',(0.623495612536995,0.781826848571419,0.)); #418120=DIRECTION('',(0.623495612536995,0.781826848571419,0.)); #418121=DIRECTION('',(0.,0.,1.)); #418122=DIRECTION('center_axis',(0.70710920936978,-0.707104352994977,0.)); #418123=DIRECTION('ref_axis',(0.707104352994977,0.70710920936978,0.)); #418124=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #418125=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #418126=DIRECTION('',(0.,0.,1.)); #418127=DIRECTION('center_axis',(0.623490076319886,-0.781831263592485,0.)); #418128=DIRECTION('ref_axis',(0.781831263592485,0.623490076319886,0.)); #418129=DIRECTION('',(0.781831263592485,0.623490076319886,0.)); #418130=DIRECTION('',(0.781831263592485,0.623490076319886,0.)); #418131=DIRECTION('',(0.,0.,1.)); #418132=DIRECTION('center_axis',(0.532026991963584,-0.846727394042605,0.)); #418133=DIRECTION('ref_axis',(0.846727394042605,0.532026991963584,0.)); #418134=DIRECTION('',(0.846727394042605,0.532026991963583,0.)); #418135=DIRECTION('',(0.846727394042605,0.532026991963583,0.)); #418136=DIRECTION('',(0.,0.,1.)); #418137=DIRECTION('center_axis',(0.433867402513809,-0.900976735013685,0.)); #418138=DIRECTION('ref_axis',(0.900976735013685,0.433867402513809,0.)); #418139=DIRECTION('',(0.900976735013685,0.433867402513809,0.)); #418140=DIRECTION('',(0.900976735013685,0.433867402513809,0.)); #418141=DIRECTION('',(0.,0.,1.)); #418142=DIRECTION('center_axis',(0.330292737303221,-0.943878544985924,0.)); #418143=DIRECTION('ref_axis',(0.943878544985924,0.330292737303221,0.)); #418144=DIRECTION('',(0.943878544985924,0.330292737303221,0.)); #418145=DIRECTION('',(0.943878544985924,0.330292737303221,0.)); #418146=DIRECTION('',(0.,0.,1.)); #418147=DIRECTION('center_axis',(0.222535347290571,-0.974924622320241,0.)); #418148=DIRECTION('ref_axis',(0.974924622320241,0.222535347290571,0.)); #418149=DIRECTION('',(0.974924622320241,0.222535347290571,0.)); #418150=DIRECTION('',(0.974924622320241,0.222535347290571,0.)); #418151=DIRECTION('center_axis',(0.,0.,1.)); #418152=DIRECTION('ref_axis',(1.,0.,0.)); #418153=DIRECTION('center_axis',(0.,0.,1.)); #418154=DIRECTION('ref_axis',(1.,0.,0.)); #418155=DIRECTION('center_axis',(0.,0.,1.)); #418156=DIRECTION('ref_axis',(1.,0.,0.)); #418157=DIRECTION('center_axis',(0.,0.,1.)); #418158=DIRECTION('ref_axis',(1.,0.,0.)); #418159=DIRECTION('',(0.,0.,1.)); #418160=DIRECTION('center_axis',(0.,0.,-1.)); #418161=DIRECTION('ref_axis',(1.,0.,0.)); #418162=DIRECTION('center_axis',(1.,0.,0.)); #418163=DIRECTION('ref_axis',(0.,1.,0.)); #418164=DIRECTION('',(0.,1.,0.)); #418165=DIRECTION('',(0.,0.,1.)); #418166=DIRECTION('',(0.,1.,0.)); #418167=DIRECTION('',(0.,0.,1.)); #418168=DIRECTION('center_axis',(0.,-1.,0.)); #418169=DIRECTION('ref_axis',(1.,0.,0.)); #418170=DIRECTION('',(1.,0.,0.)); #418171=DIRECTION('',(1.,0.,0.)); #418172=DIRECTION('',(0.,0.,1.)); #418173=DIRECTION('center_axis',(-1.,0.,0.)); #418174=DIRECTION('ref_axis',(0.,-1.,0.)); #418175=DIRECTION('',(0.,-1.,0.)); #418176=DIRECTION('',(0.,-1.,0.)); #418177=DIRECTION('',(0.,0.,1.)); #418178=DIRECTION('center_axis',(0.,1.,0.)); #418179=DIRECTION('ref_axis',(-1.,0.,0.)); #418180=DIRECTION('',(-1.,0.,0.)); #418181=DIRECTION('',(-1.,0.,0.)); #418182=DIRECTION('center_axis',(0.,0.,1.)); #418183=DIRECTION('ref_axis',(1.,0.,0.)); #418184=DIRECTION('center_axis',(0.,0.,1.)); #418185=DIRECTION('ref_axis',(1.,0.,0.)); #418186=DIRECTION('center_axis',(1.,0.,0.)); #418187=DIRECTION('ref_axis',(0.,1.,0.)); #418188=DIRECTION('',(0.,-1.,0.)); #418189=DIRECTION('',(0.,0.,1.)); #418190=DIRECTION('',(0.,1.,0.)); #418191=DIRECTION('',(0.,0.,1.)); #418192=DIRECTION('center_axis',(0.,0.,1.)); #418193=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #418194=DIRECTION('center_axis',(0.,0.,-1.)); #418195=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #418196=DIRECTION('center_axis',(0.,0.,1.)); #418197=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #418198=DIRECTION('',(0.,0.,1.)); #418199=DIRECTION('center_axis',(-1.,0.,0.)); #418200=DIRECTION('ref_axis',(0.,-1.,0.)); #418201=DIRECTION('',(0.,1.,0.)); #418202=DIRECTION('',(0.,-1.,0.)); #418203=DIRECTION('',(0.,0.,1.)); #418204=DIRECTION('center_axis',(0.,0.,1.)); #418205=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #418206=DIRECTION('center_axis',(0.,0.,-1.)); #418207=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #418208=DIRECTION('center_axis',(0.,0.,1.)); #418209=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #418210=DIRECTION('center_axis',(0.111947631953285,-0.993714107628573,0.)); #418211=DIRECTION('ref_axis',(0.993714107628573,0.111947631953285,0.)); #418212=DIRECTION('',(0.993714107628573,0.111947631953285,0.)); #418213=DIRECTION('',(0.,0.,1.)); #418214=DIRECTION('',(0.993714107628573,0.111947631953285,0.)); #418215=DIRECTION('',(0.,0.,1.)); #418216=DIRECTION('center_axis',(0.,-1.,0.)); #418217=DIRECTION('ref_axis',(1.,0.,0.)); #418218=DIRECTION('',(1.,0.,0.)); #418219=DIRECTION('',(1.,0.,0.)); #418220=DIRECTION('',(0.,0.,1.)); #418221=DIRECTION('center_axis',(-0.111945831198937,-0.993714310492296, 0.)); #418222=DIRECTION('ref_axis',(0.993714310492296,-0.111945831198937,0.)); #418223=DIRECTION('',(0.993714310492296,-0.111945831198937,0.)); #418224=DIRECTION('',(0.993714310492296,-0.111945831198937,0.)); #418225=DIRECTION('',(0.,0.,1.)); #418226=DIRECTION('center_axis',(-0.222535347290555,-0.974924622320245, 0.)); #418227=DIRECTION('ref_axis',(0.974924622320245,-0.222535347290555,0.)); #418228=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #418229=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #418230=DIRECTION('',(0.,0.,1.)); #418231=DIRECTION('center_axis',(-0.330292737303257,-0.943878544985912, 0.)); #418232=DIRECTION('ref_axis',(0.943878544985912,-0.330292737303257,0.)); #418233=DIRECTION('',(0.943878544985912,-0.330292737303257,0.)); #418234=DIRECTION('',(0.943878544985912,-0.330292737303257,0.)); #418235=DIRECTION('',(0.,0.,1.)); #418236=DIRECTION('center_axis',(-0.43386608850586,-0.900977367776031,0.)); #418237=DIRECTION('ref_axis',(0.900977367776031,-0.43386608850586,0.)); #418238=DIRECTION('',(0.900977367776031,-0.43386608850586,0.)); #418239=DIRECTION('',(0.900977367776031,-0.43386608850586,0.)); #418240=DIRECTION('',(0.,0.,1.)); #418241=DIRECTION('center_axis',(-0.532028152553409,-0.846726664804296, 0.)); #418242=DIRECTION('ref_axis',(0.846726664804296,-0.532028152553409,0.)); #418243=DIRECTION('',(0.846726664804296,-0.532028152553409,0.)); #418244=DIRECTION('',(0.846726664804296,-0.532028152553409,0.)); #418245=DIRECTION('',(0.,0.,1.)); #418246=DIRECTION('center_axis',(-0.623490076319886,-0.781831263592485, 0.)); #418247=DIRECTION('ref_axis',(0.781831263592485,-0.623490076319886,0.)); #418248=DIRECTION('',(0.781831263592485,-0.623490076319886,0.)); #418249=DIRECTION('',(0.781831263592485,-0.623490076319886,0.)); #418250=DIRECTION('',(0.,0.,1.)); #418251=DIRECTION('center_axis',(-0.70710920936978,-0.707104352994977,0.)); #418252=DIRECTION('ref_axis',(0.707104352994977,-0.70710920936978,0.)); #418253=DIRECTION('',(0.707104352994977,-0.70710920936978,0.)); #418254=DIRECTION('',(0.707104352994977,-0.70710920936978,0.)); #418255=DIRECTION('',(0.,0.,1.)); #418256=DIRECTION('center_axis',(-0.781826848571423,-0.623495612536991, 0.)); #418257=DIRECTION('ref_axis',(0.623495612536991,-0.781826848571423,0.)); #418258=DIRECTION('',(0.623495612536991,-0.781826848571423,0.)); #418259=DIRECTION('',(0.623495612536991,-0.781826848571423,0.)); #418260=DIRECTION('',(0.,0.,1.)); #418261=DIRECTION('center_axis',(-0.846733144041853,-0.532017840660441, 0.)); #418262=DIRECTION('ref_axis',(0.532017840660441,-0.846733144041853,0.)); #418263=DIRECTION('',(0.532017840660441,-0.846733144041853,0.)); #418264=DIRECTION('',(0.532017840660441,-0.846733144041853,0.)); #418265=DIRECTION('',(0.,0.,1.)); #418266=DIRECTION('center_axis',(-0.900976172282562,-0.433868571089291, 0.)); #418267=DIRECTION('ref_axis',(0.433868571089291,-0.900976172282562,0.)); #418268=DIRECTION('',(0.433868571089291,-0.900976172282562,0.)); #418269=DIRECTION('',(0.433868571089291,-0.900976172282562,0.)); #418270=DIRECTION('',(0.,0.,1.)); #418271=DIRECTION('center_axis',(-0.943879402871102,-0.330290285711966, 0.)); #418272=DIRECTION('ref_axis',(0.330290285711966,-0.943879402871102,0.)); #418273=DIRECTION('',(0.330290285711966,-0.943879402871102,0.)); #418274=DIRECTION('',(0.330290285711966,-0.943879402871102,0.)); #418275=DIRECTION('',(0.,0.,1.)); #418276=DIRECTION('center_axis',(-0.974924271111244,-0.222536885927274, 0.)); #418277=DIRECTION('ref_axis',(0.222536885927274,-0.974924271111244,0.)); #418278=DIRECTION('',(0.222536885927274,-0.974924271111244,0.)); #418279=DIRECTION('',(0.222536885927274,-0.974924271111244,0.)); #418280=DIRECTION('',(0.,0.,1.)); #418281=DIRECTION('center_axis',(-0.993713488739879,-0.111953125442832, 0.)); #418282=DIRECTION('ref_axis',(0.111953125442832,-0.993713488739879,0.)); #418283=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #418284=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #418285=DIRECTION('',(0.,0.,1.)); #418286=DIRECTION('center_axis',(-1.,0.,0.)); #418287=DIRECTION('ref_axis',(0.,-1.,0.)); #418288=DIRECTION('',(0.,-1.,0.)); #418289=DIRECTION('',(0.,-1.,0.)); #418290=DIRECTION('',(0.,0.,1.)); #418291=DIRECTION('center_axis',(-0.993713488739879,0.111953125442832,0.)); #418292=DIRECTION('ref_axis',(-0.111953125442832,-0.993713488739879,0.)); #418293=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #418294=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #418295=DIRECTION('',(0.,0.,1.)); #418296=DIRECTION('center_axis',(-0.974924271111245,0.222536885927273,0.)); #418297=DIRECTION('ref_axis',(-0.222536885927273,-0.974924271111245,0.)); #418298=DIRECTION('',(-0.222536885927273,-0.974924271111245,0.)); #418299=DIRECTION('',(-0.222536885927273,-0.974924271111245,0.)); #418300=DIRECTION('',(0.,0.,1.)); #418301=DIRECTION('center_axis',(-0.943879402871102,0.330290285711966,0.)); #418302=DIRECTION('ref_axis',(-0.330290285711966,-0.943879402871102,0.)); #418303=DIRECTION('',(-0.330290285711966,-0.943879402871102,0.)); #418304=DIRECTION('',(-0.330290285711966,-0.943879402871102,0.)); #418305=DIRECTION('',(0.,0.,1.)); #418306=DIRECTION('center_axis',(-0.900976172282562,0.433868571089291,0.)); #418307=DIRECTION('ref_axis',(-0.433868571089291,-0.900976172282562,0.)); #418308=DIRECTION('',(-0.433868571089291,-0.900976172282562,0.)); #418309=DIRECTION('',(-0.433868571089291,-0.900976172282562,0.)); #418310=DIRECTION('',(0.,0.,1.)); #418311=DIRECTION('center_axis',(-0.846733144041855,0.532017840660439,0.)); #418312=DIRECTION('ref_axis',(-0.532017840660439,-0.846733144041855,0.)); #418313=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #418314=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #418315=DIRECTION('',(0.,0.,1.)); #418316=DIRECTION('center_axis',(-0.781826848571419,0.623495612536995,0.)); #418317=DIRECTION('ref_axis',(-0.623495612536995,-0.781826848571419,0.)); #418318=DIRECTION('',(-0.623495612536995,-0.781826848571419,0.)); #418319=DIRECTION('',(-0.623495612536995,-0.781826848571419,0.)); #418320=DIRECTION('',(0.,0.,1.)); #418321=DIRECTION('center_axis',(-0.70710920936978,0.707104352994977,0.)); #418322=DIRECTION('ref_axis',(-0.707104352994977,-0.70710920936978,0.)); #418323=DIRECTION('',(-0.707104352994977,-0.70710920936978,0.)); #418324=DIRECTION('',(-0.707104352994977,-0.70710920936978,0.)); #418325=DIRECTION('',(0.,0.,1.)); #418326=DIRECTION('center_axis',(-0.623490076319886,0.781831263592485,0.)); #418327=DIRECTION('ref_axis',(-0.781831263592485,-0.623490076319886,0.)); #418328=DIRECTION('',(-0.781831263592485,-0.623490076319886,0.)); #418329=DIRECTION('',(-0.781831263592485,-0.623490076319886,0.)); #418330=DIRECTION('',(0.,0.,1.)); #418331=DIRECTION('center_axis',(-0.532028152553415,0.846726664804292,0.)); #418332=DIRECTION('ref_axis',(-0.846726664804292,-0.532028152553415,0.)); #418333=DIRECTION('',(-0.846726664804292,-0.532028152553415,0.)); #418334=DIRECTION('',(-0.846726664804292,-0.532028152553415,0.)); #418335=DIRECTION('',(0.,0.,1.)); #418336=DIRECTION('center_axis',(-0.43386608850586,0.900977367776031,0.)); #418337=DIRECTION('ref_axis',(-0.900977367776031,-0.43386608850586,0.)); #418338=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #418339=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #418340=DIRECTION('',(0.,0.,1.)); #418341=DIRECTION('center_axis',(-0.330292737303257,0.943878544985912,0.)); #418342=DIRECTION('ref_axis',(-0.943878544985912,-0.330292737303257,0.)); #418343=DIRECTION('',(-0.943878544985912,-0.330292737303257,0.)); #418344=DIRECTION('',(-0.943878544985912,-0.330292737303257,0.)); #418345=DIRECTION('',(0.,0.,1.)); #418346=DIRECTION('center_axis',(-0.222535347290555,0.974924622320245,0.)); #418347=DIRECTION('ref_axis',(-0.974924622320245,-0.222535347290555,0.)); #418348=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #418349=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #418350=DIRECTION('',(0.,0.,1.)); #418351=DIRECTION('center_axis',(-0.111945831198937,0.993714310492296,0.)); #418352=DIRECTION('ref_axis',(-0.993714310492296,-0.111945831198937,0.)); #418353=DIRECTION('',(-0.993714310492296,-0.111945831198937,0.)); #418354=DIRECTION('',(-0.993714310492296,-0.111945831198937,0.)); #418355=DIRECTION('',(0.,0.,1.)); #418356=DIRECTION('center_axis',(0.,1.,0.)); #418357=DIRECTION('ref_axis',(-1.,0.,0.)); #418358=DIRECTION('',(-1.,0.,0.)); #418359=DIRECTION('',(-1.,0.,0.)); #418360=DIRECTION('',(0.,0.,1.)); #418361=DIRECTION('center_axis',(0.111947631953285,0.993714107628573,0.)); #418362=DIRECTION('ref_axis',(-0.993714107628573,0.111947631953285,0.)); #418363=DIRECTION('',(-0.993714107628573,0.111947631953285,0.)); #418364=DIRECTION('',(-0.993714107628573,0.111947631953285,0.)); #418365=DIRECTION('',(0.,0.,1.)); #418366=DIRECTION('center_axis',(0.222535347290571,0.974924622320241,0.)); #418367=DIRECTION('ref_axis',(-0.974924622320241,0.222535347290571,0.)); #418368=DIRECTION('',(-0.974924622320241,0.222535347290571,0.)); #418369=DIRECTION('',(-0.974924622320241,0.222535347290571,0.)); #418370=DIRECTION('',(0.,0.,1.)); #418371=DIRECTION('center_axis',(0.330292737303213,0.943878544985927,0.)); #418372=DIRECTION('ref_axis',(-0.943878544985927,0.330292737303213,0.)); #418373=DIRECTION('',(-0.943878544985927,0.330292737303213,0.)); #418374=DIRECTION('',(-0.943878544985927,0.330292737303213,0.)); #418375=DIRECTION('',(0.,0.,1.)); #418376=DIRECTION('center_axis',(0.433866088505888,0.900977367776018,0.)); #418377=DIRECTION('ref_axis',(-0.900977367776017,0.433866088505888,0.)); #418378=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #418379=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #418380=DIRECTION('',(0.,0.,1.)); #418381=DIRECTION('center_axis',(0.532028152553415,0.846726664804292,0.)); #418382=DIRECTION('ref_axis',(-0.846726664804292,0.532028152553415,0.)); #418383=DIRECTION('',(-0.846726664804292,0.532028152553415,0.)); #418384=DIRECTION('',(-0.846726664804292,0.532028152553415,0.)); #418385=DIRECTION('',(0.,0.,1.)); #418386=DIRECTION('center_axis',(0.623490076319886,0.781831263592485,0.)); #418387=DIRECTION('ref_axis',(-0.781831263592485,0.623490076319886,0.)); #418388=DIRECTION('',(-0.781831263592485,0.623490076319886,0.)); #418389=DIRECTION('',(-0.781831263592485,0.623490076319886,0.)); #418390=DIRECTION('',(0.,0.,1.)); #418391=DIRECTION('center_axis',(0.70710920936978,0.707104352994977,0.)); #418392=DIRECTION('ref_axis',(-0.707104352994977,0.70710920936978,0.)); #418393=DIRECTION('',(-0.707104352994977,0.70710920936978,0.)); #418394=DIRECTION('',(-0.707104352994977,0.70710920936978,0.)); #418395=DIRECTION('',(0.,0.,1.)); #418396=DIRECTION('center_axis',(0.781826848571419,0.623495612536995,0.)); #418397=DIRECTION('ref_axis',(-0.623495612536995,0.781826848571419,0.)); #418398=DIRECTION('',(-0.623495612536995,0.781826848571419,0.)); #418399=DIRECTION('',(-0.623495612536995,0.781826848571419,0.)); #418400=DIRECTION('',(0.,0.,1.)); #418401=DIRECTION('center_axis',(0.846733144041887,0.532017840660387,0.)); #418402=DIRECTION('ref_axis',(-0.532017840660387,0.846733144041887,0.)); #418403=DIRECTION('',(-0.532017840660387,0.846733144041887,0.)); #418404=DIRECTION('',(-0.532017840660387,0.846733144041887,0.)); #418405=DIRECTION('',(0.,0.,1.)); #418406=DIRECTION('center_axis',(0.900976172282534,0.433868571089349,0.)); #418407=DIRECTION('ref_axis',(-0.433868571089349,0.900976172282534,0.)); #418408=DIRECTION('',(-0.433868571089349,0.900976172282534,0.)); #418409=DIRECTION('',(-0.433868571089349,0.900976172282534,0.)); #418410=DIRECTION('',(0.,0.,1.)); #418411=DIRECTION('center_axis',(0.943874356011309,0.330304707905045,0.)); #418412=DIRECTION('ref_axis',(-0.330304707905045,0.943874356011309,0.)); #418413=DIRECTION('',(-0.330304707905045,0.943874356011309,0.)); #418414=DIRECTION('',(-0.330304707905045,0.943874356011309,0.)); #418415=DIRECTION('',(0.,0.,1.)); #418416=DIRECTION('center_axis',(0.974927783103216,0.222521499485892,0.)); #418417=DIRECTION('ref_axis',(-0.222521499485892,0.974927783103215,0.)); #418418=DIRECTION('',(-0.222521499485892,0.974927783103215,0.)); #418419=DIRECTION('',(-0.222521499485892,0.974927783103215,0.)); #418420=DIRECTION('',(0.,0.,1.)); #418421=DIRECTION('center_axis',(0.993713488739871,0.111953125442903,0.)); #418422=DIRECTION('ref_axis',(-0.111953125442903,0.993713488739871,0.)); #418423=DIRECTION('',(-0.111953125442903,0.993713488739871,0.)); #418424=DIRECTION('',(-0.111953125442903,0.993713488739871,0.)); #418425=DIRECTION('',(0.,0.,1.)); #418426=DIRECTION('center_axis',(1.,0.,0.)); #418427=DIRECTION('ref_axis',(0.,1.,0.)); #418428=DIRECTION('',(0.,1.,0.)); #418429=DIRECTION('',(0.,1.,0.)); #418430=DIRECTION('',(0.,0.,1.)); #418431=DIRECTION('center_axis',(0.993713488739871,-0.111953125442903,0.)); #418432=DIRECTION('ref_axis',(0.111953125442903,0.993713488739871,0.)); #418433=DIRECTION('',(0.111953125442903,0.993713488739871,0.)); #418434=DIRECTION('',(0.111953125442903,0.993713488739871,0.)); #418435=DIRECTION('',(0.,0.,1.)); #418436=DIRECTION('center_axis',(0.974927783103215,-0.222521499485893,0.)); #418437=DIRECTION('ref_axis',(0.222521499485893,0.974927783103215,0.)); #418438=DIRECTION('',(0.222521499485893,0.974927783103215,0.)); #418439=DIRECTION('',(0.222521499485893,0.974927783103215,0.)); #418440=DIRECTION('',(0.,0.,1.)); #418441=DIRECTION('center_axis',(0.943874356011309,-0.330304707905045,0.)); #418442=DIRECTION('ref_axis',(0.330304707905045,0.943874356011309,0.)); #418443=DIRECTION('',(0.330304707905045,0.943874356011309,0.)); #418444=DIRECTION('',(0.330304707905045,0.943874356011309,0.)); #418445=DIRECTION('',(0.,0.,1.)); #418446=DIRECTION('center_axis',(0.900976172282534,-0.433868571089349,0.)); #418447=DIRECTION('ref_axis',(0.433868571089349,0.900976172282534,0.)); #418448=DIRECTION('',(0.433868571089349,0.900976172282534,0.)); #418449=DIRECTION('',(0.433868571089349,0.900976172282534,0.)); #418450=DIRECTION('',(0.,0.,1.)); #418451=DIRECTION('center_axis',(0.846733144041886,-0.532017840660389,0.)); #418452=DIRECTION('ref_axis',(0.532017840660389,0.846733144041886,0.)); #418453=DIRECTION('',(0.532017840660389,0.846733144041886,0.)); #418454=DIRECTION('',(0.532017840660389,0.846733144041886,0.)); #418455=DIRECTION('',(0.,0.,1.)); #418456=DIRECTION('center_axis',(0.781826848571423,-0.623495612536991,0.)); #418457=DIRECTION('ref_axis',(0.623495612536991,0.781826848571423,0.)); #418458=DIRECTION('',(0.623495612536991,0.781826848571423,0.)); #418459=DIRECTION('',(0.623495612536991,0.781826848571423,0.)); #418460=DIRECTION('',(0.,0.,1.)); #418461=DIRECTION('center_axis',(0.70710920936978,-0.707104352994977,0.)); #418462=DIRECTION('ref_axis',(0.707104352994977,0.70710920936978,0.)); #418463=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #418464=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #418465=DIRECTION('',(0.,0.,1.)); #418466=DIRECTION('center_axis',(0.623490076319886,-0.781831263592485,0.)); #418467=DIRECTION('ref_axis',(0.781831263592485,0.623490076319886,0.)); #418468=DIRECTION('',(0.781831263592485,0.623490076319886,0.)); #418469=DIRECTION('',(0.781831263592485,0.623490076319886,0.)); #418470=DIRECTION('',(0.,0.,1.)); #418471=DIRECTION('center_axis',(0.532028152553409,-0.846726664804296,0.)); #418472=DIRECTION('ref_axis',(0.846726664804296,0.532028152553409,0.)); #418473=DIRECTION('',(0.846726664804296,0.532028152553409,0.)); #418474=DIRECTION('',(0.846726664804296,0.532028152553409,0.)); #418475=DIRECTION('',(0.,0.,1.)); #418476=DIRECTION('center_axis',(0.433866088505888,-0.900977367776018,0.)); #418477=DIRECTION('ref_axis',(0.900977367776017,0.433866088505888,0.)); #418478=DIRECTION('',(0.900977367776017,0.433866088505888,0.)); #418479=DIRECTION('',(0.900977367776017,0.433866088505888,0.)); #418480=DIRECTION('',(0.,0.,1.)); #418481=DIRECTION('center_axis',(0.330292737303213,-0.943878544985927,0.)); #418482=DIRECTION('ref_axis',(0.943878544985927,0.330292737303213,0.)); #418483=DIRECTION('',(0.943878544985927,0.330292737303213,0.)); #418484=DIRECTION('',(0.943878544985927,0.330292737303213,0.)); #418485=DIRECTION('',(0.,0.,1.)); #418486=DIRECTION('center_axis',(0.222535347290571,-0.974924622320241,0.)); #418487=DIRECTION('ref_axis',(0.974924622320241,0.222535347290571,0.)); #418488=DIRECTION('',(0.974924622320241,0.222535347290571,0.)); #418489=DIRECTION('',(0.974924622320241,0.222535347290571,0.)); #418490=DIRECTION('center_axis',(0.,0.,1.)); #418491=DIRECTION('ref_axis',(1.,0.,0.)); #418492=DIRECTION('center_axis',(0.,0.,1.)); #418493=DIRECTION('ref_axis',(1.,0.,0.)); #418494=DIRECTION('center_axis',(0.,1.,0.)); #418495=DIRECTION('ref_axis',(-1.,0.,0.)); #418496=DIRECTION('',(1.,0.,0.)); #418497=DIRECTION('',(0.,0.,1.)); #418498=DIRECTION('',(-1.,0.,0.)); #418499=DIRECTION('',(0.,0.,1.)); #418500=DIRECTION('center_axis',(0.,0.,1.)); #418501=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #418502=DIRECTION('center_axis',(0.,0.,-1.)); #418503=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #418504=DIRECTION('center_axis',(0.,0.,1.)); #418505=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #418506=DIRECTION('',(0.,0.,1.)); #418507=DIRECTION('center_axis',(0.,-1.,0.)); #418508=DIRECTION('ref_axis',(1.,0.,0.)); #418509=DIRECTION('',(-1.,0.,0.)); #418510=DIRECTION('',(1.,0.,0.)); #418511=DIRECTION('',(0.,0.,1.)); #418512=DIRECTION('center_axis',(0.,0.,1.)); #418513=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #418514=DIRECTION('center_axis',(0.,0.,-1.)); #418515=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #418516=DIRECTION('center_axis',(0.,0.,1.)); #418517=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #418518=DIRECTION('center_axis',(0.0871622148811941,-0.996194131832247, 0.)); #418519=DIRECTION('ref_axis',(0.996194131832247,0.0871622148811941,0.)); #418520=DIRECTION('',(0.996194131832247,0.0871622148811941,0.)); #418521=DIRECTION('',(0.,0.,1.)); #418522=DIRECTION('',(0.996194131832247,0.0871622148811941,0.)); #418523=DIRECTION('',(0.,0.,1.)); #418524=DIRECTION('center_axis',(0.,-1.,0.)); #418525=DIRECTION('ref_axis',(1.,0.,0.)); #418526=DIRECTION('',(1.,0.,0.)); #418527=DIRECTION('',(1.,0.,0.)); #418528=DIRECTION('',(0.,0.,1.)); #418529=DIRECTION('center_axis',(-0.0871622148812088,-0.996194131832246, 0.)); #418530=DIRECTION('ref_axis',(0.996194131832246,-0.0871622148812088,0.)); #418531=DIRECTION('',(0.996194131832246,-0.0871622148812088,0.)); #418532=DIRECTION('',(0.996194131832246,-0.0871622148812088,0.)); #418533=DIRECTION('',(0.,0.,1.)); #418534=DIRECTION('center_axis',(-0.173645964707178,-0.984808143214156, 0.)); #418535=DIRECTION('ref_axis',(0.984808143214156,-0.173645964707178,0.)); #418536=DIRECTION('',(0.984808143214156,-0.173645964707178,0.)); #418537=DIRECTION('',(0.984808143214156,-0.173645964707178,0.)); #418538=DIRECTION('',(0.,0.,1.)); #418539=DIRECTION('center_axis',(-0.258821098381114,-0.965925276112388, 0.)); #418540=DIRECTION('ref_axis',(0.965925276112388,-0.258821098381114,0.)); #418541=DIRECTION('',(0.965925276112388,-0.258821098381114,0.)); #418542=DIRECTION('',(0.965925276112388,-0.258821098381114,0.)); #418543=DIRECTION('',(0.,0.,1.)); #418544=DIRECTION('center_axis',(-0.342006639937231,-0.939697535507487, 0.)); #418545=DIRECTION('ref_axis',(0.939697535507487,-0.342006639937231,0.)); #418546=DIRECTION('',(0.939697535507487,-0.342006639937231,0.)); #418547=DIRECTION('',(0.939697535507487,-0.342006639937231,0.)); #418548=DIRECTION('',(0.,0.,1.)); #418549=DIRECTION('center_axis',(-0.422641642689181,-0.906296883954144, 0.)); #418550=DIRECTION('ref_axis',(0.906296883954144,-0.422641642689181,0.)); #418551=DIRECTION('',(0.906296883954144,-0.42264164268918,0.)); #418552=DIRECTION('',(0.906296883954144,-0.42264164268918,0.)); #418553=DIRECTION('',(0.,0.,1.)); #418554=DIRECTION('center_axis',(-0.499984597074525,-0.866034296484975, 0.)); #418555=DIRECTION('ref_axis',(0.866034296484975,-0.499984597074525,0.)); #418556=DIRECTION('',(0.866034296484975,-0.499984597074525,0.)); #418557=DIRECTION('',(0.866034296484975,-0.499984597074525,0.)); #418558=DIRECTION('',(0.,0.,1.)); #418559=DIRECTION('center_axis',(-0.573594705766864,-0.819139251602696, 0.)); #418560=DIRECTION('ref_axis',(0.819139251602696,-0.573594705766864,0.)); #418561=DIRECTION('',(0.819139251602696,-0.573594705766864,0.)); #418562=DIRECTION('',(0.819139251602696,-0.573594705766864,0.)); #418563=DIRECTION('',(0.,0.,1.)); #418564=DIRECTION('center_axis',(-0.642785256870338,-0.766046417360028, 0.)); #418565=DIRECTION('ref_axis',(0.766046417360028,-0.642785256870337,0.)); #418566=DIRECTION('',(0.766046417360028,-0.642785256870337,0.)); #418567=DIRECTION('',(0.766046417360028,-0.642785256870337,0.)); #418568=DIRECTION('',(0.,0.,1.)); #418569=DIRECTION('center_axis',(-0.707106781186491,-0.707106781186604, 0.)); #418570=DIRECTION('ref_axis',(0.707106781186604,-0.707106781186491,0.)); #418571=DIRECTION('',(0.707106781186604,-0.707106781186491,0.)); #418572=DIRECTION('',(0.707106781186604,-0.707106781186491,0.)); #418573=DIRECTION('',(0.,0.,1.)); #418574=DIRECTION('center_axis',(-0.766046417360107,-0.642785256870243, 0.)); #418575=DIRECTION('ref_axis',(0.642785256870243,-0.766046417360107,0.)); #418576=DIRECTION('',(0.642785256870243,-0.766046417360107,0.)); #418577=DIRECTION('',(0.642785256870243,-0.766046417360107,0.)); #418578=DIRECTION('',(0.,0.,1.)); #418579=DIRECTION('center_axis',(-0.819139251602624,-0.573594705766967, 0.)); #418580=DIRECTION('ref_axis',(0.573594705766967,-0.819139251602624,0.)); #418581=DIRECTION('',(0.573594705766967,-0.819139251602624,0.)); #418582=DIRECTION('',(0.573594705766967,-0.819139251602624,0.)); #418583=DIRECTION('',(0.,0.,1.)); #418584=DIRECTION('center_axis',(-0.866034296485038,-0.499984597074416, 0.)); #418585=DIRECTION('ref_axis',(0.499984597074416,-0.866034296485038,0.)); #418586=DIRECTION('',(0.499984597074416,-0.866034296485038,0.)); #418587=DIRECTION('',(0.499984597074416,-0.866034296485038,0.)); #418588=DIRECTION('',(0.,0.,1.)); #418589=DIRECTION('center_axis',(-0.906296883954154,-0.422641642689159, 0.)); #418590=DIRECTION('ref_axis',(0.422641642689159,-0.906296883954154,0.)); #418591=DIRECTION('',(0.422641642689159,-0.906296883954154,0.)); #418592=DIRECTION('',(0.422641642689159,-0.906296883954154,0.)); #418593=DIRECTION('',(0.,0.,1.)); #418594=DIRECTION('center_axis',(-0.939697535507451,-0.342006639937331, 0.)); #418595=DIRECTION('ref_axis',(0.342006639937331,-0.939697535507451,0.)); #418596=DIRECTION('',(0.342006639937331,-0.939697535507451,0.)); #418597=DIRECTION('',(0.342006639937331,-0.939697535507451,0.)); #418598=DIRECTION('',(0.,0.,1.)); #418599=DIRECTION('center_axis',(-0.965925276112388,-0.258821098381114, 0.)); #418600=DIRECTION('ref_axis',(0.258821098381114,-0.965925276112388,0.)); #418601=DIRECTION('',(0.258821098381114,-0.965925276112388,0.)); #418602=DIRECTION('',(0.258821098381114,-0.965925276112388,0.)); #418603=DIRECTION('',(0.,0.,1.)); #418604=DIRECTION('center_axis',(-0.984808143214153,-0.173645964707197, 0.)); #418605=DIRECTION('ref_axis',(0.173645964707197,-0.984808143214152,0.)); #418606=DIRECTION('',(0.173645964707197,-0.984808143214152,0.)); #418607=DIRECTION('',(0.173645964707197,-0.984808143214152,0.)); #418608=DIRECTION('',(0.,0.,1.)); #418609=DIRECTION('center_axis',(-0.996194131832247,-0.087162214881199, 0.)); #418610=DIRECTION('ref_axis',(0.087162214881199,-0.996194131832247,0.)); #418611=DIRECTION('',(0.087162214881199,-0.996194131832247,0.)); #418612=DIRECTION('',(0.087162214881199,-0.996194131832247,0.)); #418613=DIRECTION('',(0.,0.,1.)); #418614=DIRECTION('center_axis',(-1.,0.,0.)); #418615=DIRECTION('ref_axis',(0.,-1.,0.)); #418616=DIRECTION('',(0.,-1.,0.)); #418617=DIRECTION('',(0.,-1.,0.)); #418618=DIRECTION('',(0.,0.,1.)); #418619=DIRECTION('center_axis',(-0.996194131832247,0.087162214881199,0.)); #418620=DIRECTION('ref_axis',(-0.087162214881199,-0.996194131832247,0.)); #418621=DIRECTION('',(-0.087162214881199,-0.996194131832247,0.)); #418622=DIRECTION('',(-0.087162214881199,-0.996194131832247,0.)); #418623=DIRECTION('',(0.,0.,1.)); #418624=DIRECTION('center_axis',(-0.984808143214154,0.173645964707188,0.)); #418625=DIRECTION('ref_axis',(-0.173645964707188,-0.984808143214154,0.)); #418626=DIRECTION('',(-0.173645964707188,-0.984808143214154,0.)); #418627=DIRECTION('',(-0.173645964707188,-0.984808143214154,0.)); #418628=DIRECTION('',(0.,0.,1.)); #418629=DIRECTION('center_axis',(-0.965925276112385,0.258821098381128,0.)); #418630=DIRECTION('ref_axis',(-0.258821098381128,-0.965925276112385,0.)); #418631=DIRECTION('',(-0.258821098381128,-0.965925276112385,0.)); #418632=DIRECTION('',(-0.258821098381128,-0.965925276112385,0.)); #418633=DIRECTION('',(0.,0.,1.)); #418634=DIRECTION('center_axis',(-0.939697535507451,0.342006639937331,0.)); #418635=DIRECTION('ref_axis',(-0.342006639937331,-0.939697535507451,0.)); #418636=DIRECTION('',(-0.342006639937331,-0.939697535507451,0.)); #418637=DIRECTION('',(-0.342006639937331,-0.939697535507451,0.)); #418638=DIRECTION('',(0.,0.,1.)); #418639=DIRECTION('center_axis',(-0.906296883954154,0.422641642689159,0.)); #418640=DIRECTION('ref_axis',(-0.422641642689159,-0.906296883954154,0.)); #418641=DIRECTION('',(-0.422641642689159,-0.906296883954154,0.)); #418642=DIRECTION('',(-0.422641642689159,-0.906296883954154,0.)); #418643=DIRECTION('',(0.,0.,1.)); #418644=DIRECTION('center_axis',(-0.866034296485038,0.499984597074416,0.)); #418645=DIRECTION('ref_axis',(-0.499984597074416,-0.866034296485038,0.)); #418646=DIRECTION('',(-0.499984597074416,-0.866034296485038,0.)); #418647=DIRECTION('',(-0.499984597074416,-0.866034296485038,0.)); #418648=DIRECTION('',(0.,0.,1.)); #418649=DIRECTION('center_axis',(-0.819139251602624,0.573594705766967,0.)); #418650=DIRECTION('ref_axis',(-0.573594705766967,-0.819139251602624,0.)); #418651=DIRECTION('',(-0.573594705766967,-0.819139251602624,0.)); #418652=DIRECTION('',(-0.573594705766967,-0.819139251602624,0.)); #418653=DIRECTION('',(0.,0.,1.)); #418654=DIRECTION('center_axis',(-0.766046417360107,0.642785256870243,0.)); #418655=DIRECTION('ref_axis',(-0.642785256870243,-0.766046417360107,0.)); #418656=DIRECTION('',(-0.642785256870243,-0.766046417360107,0.)); #418657=DIRECTION('',(-0.642785256870243,-0.766046417360107,0.)); #418658=DIRECTION('',(0.,0.,1.)); #418659=DIRECTION('center_axis',(-0.707106781186519,0.707106781186576,0.)); #418660=DIRECTION('ref_axis',(-0.707106781186576,-0.707106781186519,0.)); #418661=DIRECTION('',(-0.707106781186576,-0.707106781186519,0.)); #418662=DIRECTION('',(-0.707106781186576,-0.707106781186519,0.)); #418663=DIRECTION('',(0.,0.,1.)); #418664=DIRECTION('center_axis',(-0.642785256870304,0.766046417360056,0.)); #418665=DIRECTION('ref_axis',(-0.766046417360056,-0.642785256870304,0.)); #418666=DIRECTION('',(-0.766046417360056,-0.642785256870304,0.)); #418667=DIRECTION('',(-0.766046417360056,-0.642785256870304,0.)); #418668=DIRECTION('',(0.,0.,1.)); #418669=DIRECTION('center_axis',(-0.573594705766864,0.819139251602696,0.)); #418670=DIRECTION('ref_axis',(-0.819139251602696,-0.573594705766864,0.)); #418671=DIRECTION('',(-0.819139251602696,-0.573594705766864,0.)); #418672=DIRECTION('',(-0.819139251602696,-0.573594705766864,0.)); #418673=DIRECTION('',(0.,0.,1.)); #418674=DIRECTION('center_axis',(-0.499984597074525,0.866034296484975,0.)); #418675=DIRECTION('ref_axis',(-0.866034296484975,-0.499984597074525,0.)); #418676=DIRECTION('',(-0.866034296484975,-0.499984597074525,0.)); #418677=DIRECTION('',(-0.866034296484975,-0.499984597074525,0.)); #418678=DIRECTION('',(0.,0.,1.)); #418679=DIRECTION('center_axis',(-0.422641642689181,0.906296883954144,0.)); #418680=DIRECTION('ref_axis',(-0.906296883954144,-0.422641642689181,0.)); #418681=DIRECTION('',(-0.906296883954144,-0.42264164268918,0.)); #418682=DIRECTION('',(-0.906296883954144,-0.42264164268918,0.)); #418683=DIRECTION('',(0.,0.,1.)); #418684=DIRECTION('center_axis',(-0.342017875916574,0.93969344605223,0.)); #418685=DIRECTION('ref_axis',(-0.93969344605223,-0.342017875916574,0.)); #418686=DIRECTION('',(-0.93969344605223,-0.342017875916574,0.)); #418687=DIRECTION('',(-0.93969344605223,-0.342017875916574,0.)); #418688=DIRECTION('',(0.,0.,1.)); #418689=DIRECTION('center_axis',(-0.258809226580718,0.965928457100572,0.)); #418690=DIRECTION('ref_axis',(-0.965928457100572,-0.258809226580718,0.)); #418691=DIRECTION('',(-0.965928457100572,-0.258809226580718,0.)); #418692=DIRECTION('',(-0.965928457100572,-0.258809226580718,0.)); #418693=DIRECTION('',(0.,0.,1.)); #418694=DIRECTION('center_axis',(-0.173645964707178,0.984808143214156,0.)); #418695=DIRECTION('ref_axis',(-0.984808143214156,-0.173645964707178,0.)); #418696=DIRECTION('',(-0.984808143214156,-0.173645964707178,0.)); #418697=DIRECTION('',(-0.984808143214156,-0.173645964707178,0.)); #418698=DIRECTION('',(0.,0.,1.)); #418699=DIRECTION('center_axis',(-0.0871622148812649,0.996194131832241, 0.)); #418700=DIRECTION('ref_axis',(-0.996194131832241,-0.0871622148812649,0.)); #418701=DIRECTION('',(-0.996194131832241,-0.0871622148812649,0.)); #418702=DIRECTION('',(-0.996194131832241,-0.0871622148812649,0.)); #418703=DIRECTION('',(0.,0.,1.)); #418704=DIRECTION('center_axis',(0.,1.,0.)); #418705=DIRECTION('ref_axis',(-1.,0.,0.)); #418706=DIRECTION('',(-1.,0.,0.)); #418707=DIRECTION('',(-1.,0.,0.)); #418708=DIRECTION('',(0.,0.,1.)); #418709=DIRECTION('center_axis',(0.0871622148812502,0.996194131832242,0.)); #418710=DIRECTION('ref_axis',(-0.996194131832242,0.0871622148812502,0.)); #418711=DIRECTION('',(-0.996194131832242,0.0871622148812501,0.)); #418712=DIRECTION('',(-0.996194131832242,0.0871622148812501,0.)); #418713=DIRECTION('',(0.,0.,1.)); #418714=DIRECTION('center_axis',(0.173645964707197,0.984808143214153,0.)); #418715=DIRECTION('ref_axis',(-0.984808143214152,0.173645964707197,0.)); #418716=DIRECTION('',(-0.984808143214152,0.173645964707197,0.)); #418717=DIRECTION('',(-0.984808143214152,0.173645964707197,0.)); #418718=DIRECTION('',(0.,0.,1.)); #418719=DIRECTION('center_axis',(0.258809226580718,0.965928457100572,0.)); #418720=DIRECTION('ref_axis',(-0.965928457100572,0.258809226580718,0.)); #418721=DIRECTION('',(-0.965928457100572,0.258809226580718,0.)); #418722=DIRECTION('',(-0.965928457100572,0.258809226580718,0.)); #418723=DIRECTION('',(0.,0.,1.)); #418724=DIRECTION('center_axis',(0.342017875916592,0.939693446052223,0.)); #418725=DIRECTION('ref_axis',(-0.939693446052223,0.342017875916592,0.)); #418726=DIRECTION('',(-0.939693446052223,0.342017875916592,0.)); #418727=DIRECTION('',(-0.939693446052223,0.342017875916592,0.)); #418728=DIRECTION('',(0.,0.,1.)); #418729=DIRECTION('center_axis',(0.422641642689116,0.906296883954174,0.)); #418730=DIRECTION('ref_axis',(-0.906296883954174,0.422641642689116,0.)); #418731=DIRECTION('',(-0.906296883954174,0.422641642689116,0.)); #418732=DIRECTION('',(-0.906296883954174,0.422641642689116,0.)); #418733=DIRECTION('',(0.,0.,1.)); #418734=DIRECTION('center_axis',(0.499984597074525,0.866034296484975,0.)); #418735=DIRECTION('ref_axis',(-0.866034296484975,0.499984597074525,0.)); #418736=DIRECTION('',(-0.866034296484975,0.499984597074525,0.)); #418737=DIRECTION('',(-0.866034296484975,0.499984597074525,0.)); #418738=DIRECTION('',(0.,0.,1.)); #418739=DIRECTION('center_axis',(0.573594705766918,0.819139251602658,0.)); #418740=DIRECTION('ref_axis',(-0.819139251602658,0.573594705766918,0.)); #418741=DIRECTION('',(-0.819139251602658,0.573594705766918,0.)); #418742=DIRECTION('',(-0.819139251602658,0.573594705766918,0.)); #418743=DIRECTION('',(0.,0.,1.)); #418744=DIRECTION('center_axis',(0.642785256870249,0.766046417360103,0.)); #418745=DIRECTION('ref_axis',(-0.766046417360103,0.642785256870249,0.)); #418746=DIRECTION('',(-0.766046417360103,0.642785256870249,0.)); #418747=DIRECTION('',(-0.766046417360103,0.642785256870249,0.)); #418748=DIRECTION('',(0.,0.,1.)); #418749=DIRECTION('center_axis',(0.707106781186576,0.707106781186519,0.)); #418750=DIRECTION('ref_axis',(-0.707106781186519,0.707106781186576,0.)); #418751=DIRECTION('',(-0.707106781186519,0.707106781186576,0.)); #418752=DIRECTION('',(-0.707106781186519,0.707106781186576,0.)); #418753=DIRECTION('',(0.,0.,1.)); #418754=DIRECTION('center_axis',(0.766046417360051,0.64278525687031,0.)); #418755=DIRECTION('ref_axis',(-0.64278525687031,0.766046417360051,0.)); #418756=DIRECTION('',(-0.64278525687031,0.766046417360051,0.)); #418757=DIRECTION('',(-0.64278525687031,0.766046417360051,0.)); #418758=DIRECTION('',(0.,0.,1.)); #418759=DIRECTION('center_axis',(0.81913925160265,0.573594705766929,0.)); #418760=DIRECTION('ref_axis',(-0.573594705766929,0.81913925160265,0.)); #418761=DIRECTION('',(-0.573594705766929,0.81913925160265,0.)); #418762=DIRECTION('',(-0.573594705766929,0.81913925160265,0.)); #418763=DIRECTION('',(0.,0.,1.)); #418764=DIRECTION('center_axis',(0.866034296485038,0.499984597074416,0.)); #418765=DIRECTION('ref_axis',(-0.499984597074416,0.866034296485038,0.)); #418766=DIRECTION('',(-0.499984597074416,0.866034296485038,0.)); #418767=DIRECTION('',(-0.499984597074416,0.866034296485038,0.)); #418768=DIRECTION('',(0.,0.,1.)); #418769=DIRECTION('center_axis',(0.906296883954132,0.422641642689205,0.)); #418770=DIRECTION('ref_axis',(-0.422641642689205,0.906296883954132,0.)); #418771=DIRECTION('',(-0.422641642689205,0.906296883954132,0.)); #418772=DIRECTION('',(-0.422641642689205,0.906296883954132,0.)); #418773=DIRECTION('',(0.,0.,1.)); #418774=DIRECTION('center_axis',(0.939693446052248,0.342017875916524,0.)); #418775=DIRECTION('ref_axis',(-0.342017875916524,0.939693446052248,0.)); #418776=DIRECTION('',(-0.342017875916524,0.939693446052248,0.)); #418777=DIRECTION('',(-0.342017875916524,0.939693446052248,0.)); #418778=DIRECTION('',(0.,0.,1.)); #418779=DIRECTION('center_axis',(0.965928457100554,0.258809226580784,0.)); #418780=DIRECTION('ref_axis',(-0.258809226580784,0.965928457100554,0.)); #418781=DIRECTION('',(-0.258809226580784,0.965928457100554,0.)); #418782=DIRECTION('',(-0.258809226580784,0.965928457100554,0.)); #418783=DIRECTION('',(0.,0.,1.)); #418784=DIRECTION('center_axis',(0.984808143214154,0.173645964707188,0.)); #418785=DIRECTION('ref_axis',(-0.173645964707188,0.984808143214154,0.)); #418786=DIRECTION('',(-0.173645964707188,0.984808143214154,0.)); #418787=DIRECTION('',(-0.173645964707188,0.984808143214154,0.)); #418788=DIRECTION('',(0.,0.,1.)); #418789=DIRECTION('center_axis',(0.996194131832247,0.087162214881199,0.)); #418790=DIRECTION('ref_axis',(-0.087162214881199,0.996194131832247,0.)); #418791=DIRECTION('',(-0.087162214881199,0.996194131832247,0.)); #418792=DIRECTION('',(-0.087162214881199,0.996194131832247,0.)); #418793=DIRECTION('',(0.,0.,1.)); #418794=DIRECTION('center_axis',(1.,0.,0.)); #418795=DIRECTION('ref_axis',(0.,1.,0.)); #418796=DIRECTION('',(0.,1.,0.)); #418797=DIRECTION('',(0.,1.,0.)); #418798=DIRECTION('',(0.,0.,1.)); #418799=DIRECTION('center_axis',(0.996194131832247,-0.087162214881199,0.)); #418800=DIRECTION('ref_axis',(0.087162214881199,0.996194131832247,0.)); #418801=DIRECTION('',(0.087162214881199,0.996194131832247,0.)); #418802=DIRECTION('',(0.087162214881199,0.996194131832247,0.)); #418803=DIRECTION('',(0.,0.,1.)); #418804=DIRECTION('center_axis',(0.984808143214153,-0.173645964707197,0.)); #418805=DIRECTION('ref_axis',(0.173645964707197,0.984808143214152,0.)); #418806=DIRECTION('',(0.173645964707197,0.984808143214152,0.)); #418807=DIRECTION('',(0.173645964707197,0.984808143214152,0.)); #418808=DIRECTION('',(0.,0.,1.)); #418809=DIRECTION('center_axis',(0.965928457100558,-0.25880922658077,0.)); #418810=DIRECTION('ref_axis',(0.25880922658077,0.965928457100558,0.)); #418811=DIRECTION('',(0.25880922658077,0.965928457100558,0.)); #418812=DIRECTION('',(0.25880922658077,0.965928457100558,0.)); #418813=DIRECTION('',(0.,0.,1.)); #418814=DIRECTION('center_axis',(0.939693446052248,-0.342017875916524,0.)); #418815=DIRECTION('ref_axis',(0.342017875916524,0.939693446052248,0.)); #418816=DIRECTION('',(0.342017875916524,0.939693446052248,0.)); #418817=DIRECTION('',(0.342017875916524,0.939693446052248,0.)); #418818=DIRECTION('',(0.,0.,1.)); #418819=DIRECTION('center_axis',(0.906296883954132,-0.422641642689205,0.)); #418820=DIRECTION('ref_axis',(0.422641642689205,0.906296883954132,0.)); #418821=DIRECTION('',(0.422641642689205,0.906296883954132,0.)); #418822=DIRECTION('',(0.422641642689205,0.906296883954132,0.)); #418823=DIRECTION('',(0.,0.,1.)); #418824=DIRECTION('center_axis',(0.866034296485038,-0.499984597074416,0.)); #418825=DIRECTION('ref_axis',(0.499984597074416,0.866034296485038,0.)); #418826=DIRECTION('',(0.499984597074416,0.866034296485038,0.)); #418827=DIRECTION('',(0.499984597074416,0.866034296485038,0.)); #418828=DIRECTION('',(0.,0.,1.)); #418829=DIRECTION('center_axis',(0.81913925160265,-0.573594705766929,0.)); #418830=DIRECTION('ref_axis',(0.573594705766929,0.81913925160265,0.)); #418831=DIRECTION('',(0.573594705766929,0.81913925160265,0.)); #418832=DIRECTION('',(0.573594705766929,0.81913925160265,0.)); #418833=DIRECTION('',(0.,0.,1.)); #418834=DIRECTION('center_axis',(0.766046417360051,-0.64278525687031,0.)); #418835=DIRECTION('ref_axis',(0.64278525687031,0.766046417360051,0.)); #418836=DIRECTION('',(0.64278525687031,0.766046417360051,0.)); #418837=DIRECTION('',(0.64278525687031,0.766046417360051,0.)); #418838=DIRECTION('',(0.,0.,1.)); #418839=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #418840=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #418841=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #418842=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #418843=DIRECTION('',(0.,0.,1.)); #418844=DIRECTION('center_axis',(0.642785256870282,-0.766046417360075,0.)); #418845=DIRECTION('ref_axis',(0.766046417360075,0.642785256870282,0.)); #418846=DIRECTION('',(0.766046417360075,0.642785256870282,0.)); #418847=DIRECTION('',(0.766046417360075,0.642785256870282,0.)); #418848=DIRECTION('',(0.,0.,1.)); #418849=DIRECTION('center_axis',(0.573594705766918,-0.819139251602658,0.)); #418850=DIRECTION('ref_axis',(0.819139251602658,0.573594705766918,0.)); #418851=DIRECTION('',(0.819139251602658,0.573594705766918,0.)); #418852=DIRECTION('',(0.819139251602658,0.573594705766918,0.)); #418853=DIRECTION('',(0.,0.,1.)); #418854=DIRECTION('center_axis',(0.499984597074525,-0.866034296484975,0.)); #418855=DIRECTION('ref_axis',(0.866034296484975,0.499984597074525,0.)); #418856=DIRECTION('',(0.866034296484975,0.499984597074525,0.)); #418857=DIRECTION('',(0.866034296484975,0.499984597074525,0.)); #418858=DIRECTION('',(0.,0.,1.)); #418859=DIRECTION('center_axis',(0.422641642689116,-0.906296883954174,0.)); #418860=DIRECTION('ref_axis',(0.906296883954174,0.422641642689116,0.)); #418861=DIRECTION('',(0.906296883954174,0.422641642689116,0.)); #418862=DIRECTION('',(0.906296883954174,0.422641642689116,0.)); #418863=DIRECTION('',(0.,0.,1.)); #418864=DIRECTION('center_axis',(0.342006639937249,-0.939697535507481,0.)); #418865=DIRECTION('ref_axis',(0.939697535507481,0.342006639937249,0.)); #418866=DIRECTION('',(0.939697535507481,0.342006639937249,0.)); #418867=DIRECTION('',(0.939697535507481,0.342006639937249,0.)); #418868=DIRECTION('',(0.,0.,1.)); #418869=DIRECTION('center_axis',(0.258821098381114,-0.965925276112388,0.)); #418870=DIRECTION('ref_axis',(0.965925276112388,0.258821098381114,0.)); #418871=DIRECTION('',(0.965925276112388,0.258821098381114,0.)); #418872=DIRECTION('',(0.965925276112388,0.258821098381114,0.)); #418873=DIRECTION('',(0.,0.,1.)); #418874=DIRECTION('center_axis',(0.173645964707197,-0.984808143214153,0.)); #418875=DIRECTION('ref_axis',(0.984808143214152,0.173645964707197,0.)); #418876=DIRECTION('',(0.984808143214152,0.173645964707197,0.)); #418877=DIRECTION('',(0.984808143214152,0.173645964707197,0.)); #418878=DIRECTION('center_axis',(0.,0.,1.)); #418879=DIRECTION('ref_axis',(1.,0.,0.)); #418880=DIRECTION('center_axis',(0.,0.,1.)); #418881=DIRECTION('ref_axis',(1.,0.,0.)); #418882=DIRECTION('center_axis',(0.,0.,1.)); #418883=DIRECTION('ref_axis',(1.,0.,0.)); #418884=DIRECTION('center_axis',(0.,0.,1.)); #418885=DIRECTION('ref_axis',(1.,0.,0.)); #418886=DIRECTION('',(0.,0.,1.)); #418887=DIRECTION('center_axis',(0.,0.,-1.)); #418888=DIRECTION('ref_axis',(1.,0.,0.)); #418889=DIRECTION('center_axis',(0.0980028398661675,-0.995186135041162, 0.)); #418890=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661675,0.)); #418891=DIRECTION('',(0.995186135041162,0.0980028398661675,0.)); #418892=DIRECTION('',(0.,0.,1.)); #418893=DIRECTION('',(0.995186135041162,0.0980028398661675,0.)); #418894=DIRECTION('',(0.,0.,1.)); #418895=DIRECTION('center_axis',(0.,0.,1.)); #418896=DIRECTION('ref_axis',(-0.146731201566057,0.989176402107825,0.)); #418897=DIRECTION('center_axis',(0.,0.,1.)); #418898=DIRECTION('ref_axis',(-0.146731201566057,0.989176402107825,0.)); #418899=DIRECTION('center_axis',(0.,0.,1.)); #418900=DIRECTION('ref_axis',(-0.146731201566057,0.989176402107825,0.)); #418901=DIRECTION('',(0.,0.,1.)); #418902=DIRECTION('center_axis',(0.,0.,1.)); #418903=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #418904=DIRECTION('center_axis',(0.,0.,1.)); #418905=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #418906=DIRECTION('center_axis',(0.,0.,1.)); #418907=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #418908=DIRECTION('center_axis',(0.,0.,1.)); #418909=DIRECTION('ref_axis',(1.,0.,0.)); #418910=DIRECTION('center_axis',(0.,0.,1.)); #418911=DIRECTION('ref_axis',(1.,0.,0.)); #418912=DIRECTION('center_axis',(0.,0.,1.)); #418913=DIRECTION('ref_axis',(1.,0.,0.)); #418914=DIRECTION('center_axis',(0.,0.,1.)); #418915=DIRECTION('ref_axis',(1.,0.,0.)); #418916=DIRECTION('',(0.,0.,1.)); #418917=DIRECTION('center_axis',(0.,0.,-1.)); #418918=DIRECTION('ref_axis',(1.,0.,0.)); #418919=DIRECTION('center_axis',(0.0979988322081603,-0.995186529694729, 0.)); #418920=DIRECTION('ref_axis',(0.995186529694729,0.0979988322081603,0.)); #418921=DIRECTION('',(0.995186529694729,0.0979988322081603,0.)); #418922=DIRECTION('',(0.,0.,1.)); #418923=DIRECTION('',(0.995186529694729,0.0979988322081603,0.)); #418924=DIRECTION('',(0.,0.,1.)); #418925=DIRECTION('center_axis',(0.,0.,1.)); #418926=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #418927=DIRECTION('center_axis',(0.,0.,1.)); #418928=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #418929=DIRECTION('center_axis',(0.,0.,1.)); #418930=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #418931=DIRECTION('',(0.,0.,1.)); #418932=DIRECTION('center_axis',(0.,0.,1.)); #418933=DIRECTION('ref_axis',(0.146731885739874,-0.98917630061947,0.)); #418934=DIRECTION('center_axis',(0.,0.,1.)); #418935=DIRECTION('ref_axis',(0.146731885739874,-0.98917630061947,0.)); #418936=DIRECTION('center_axis',(0.,0.,1.)); #418937=DIRECTION('ref_axis',(0.146731885739874,-0.98917630061947,0.)); #418938=DIRECTION('center_axis',(0.,0.,1.)); #418939=DIRECTION('ref_axis',(1.,0.,0.)); #418940=DIRECTION('center_axis',(0.,0.,1.)); #418941=DIRECTION('ref_axis',(1.,0.,0.)); #418942=DIRECTION('center_axis',(1.,0.,0.)); #418943=DIRECTION('ref_axis',(0.,1.,0.)); #418944=DIRECTION('',(0.,-1.,0.)); #418945=DIRECTION('',(0.,0.,1.)); #418946=DIRECTION('',(0.,1.,0.)); #418947=DIRECTION('',(0.,0.,1.)); #418948=DIRECTION('center_axis',(0.,0.,1.)); #418949=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #418950=DIRECTION('center_axis',(0.,0.,-1.)); #418951=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #418952=DIRECTION('center_axis',(0.,0.,1.)); #418953=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #418954=DIRECTION('',(0.,0.,1.)); #418955=DIRECTION('center_axis',(-1.,0.,0.)); #418956=DIRECTION('ref_axis',(0.,-1.,0.)); #418957=DIRECTION('',(0.,1.,0.)); #418958=DIRECTION('',(0.,-1.,0.)); #418959=DIRECTION('',(0.,0.,1.)); #418960=DIRECTION('center_axis',(0.,0.,1.)); #418961=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #418962=DIRECTION('center_axis',(0.,0.,-1.)); #418963=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #418964=DIRECTION('center_axis',(0.,0.,1.)); #418965=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #418966=DIRECTION('center_axis',(0.11194583119892,-0.993714310492298,0.)); #418967=DIRECTION('ref_axis',(0.993714310492298,0.11194583119892,0.)); #418968=DIRECTION('',(0.993714310492298,0.11194583119892,0.)); #418969=DIRECTION('',(0.,0.,1.)); #418970=DIRECTION('',(0.993714310492298,0.11194583119892,0.)); #418971=DIRECTION('',(0.,0.,1.)); #418972=DIRECTION('center_axis',(0.,-1.,0.)); #418973=DIRECTION('ref_axis',(1.,0.,0.)); #418974=DIRECTION('',(1.,0.,0.)); #418975=DIRECTION('',(1.,0.,0.)); #418976=DIRECTION('',(0.,0.,1.)); #418977=DIRECTION('center_axis',(-0.111945831198928,-0.993714310492297, 0.)); #418978=DIRECTION('ref_axis',(0.993714310492297,-0.111945831198928,0.)); #418979=DIRECTION('',(0.993714310492297,-0.111945831198928,0.)); #418980=DIRECTION('',(0.993714310492297,-0.111945831198928,0.)); #418981=DIRECTION('',(0.,0.,1.)); #418982=DIRECTION('center_axis',(-0.222535347290555,-0.974924622320245, 0.)); #418983=DIRECTION('ref_axis',(0.974924622320245,-0.222535347290555,0.)); #418984=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #418985=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #418986=DIRECTION('',(0.,0.,1.)); #418987=DIRECTION('center_axis',(-0.330292737303265,-0.943878544985909, 0.)); #418988=DIRECTION('ref_axis',(0.943878544985909,-0.330292737303265,0.)); #418989=DIRECTION('',(0.943878544985909,-0.330292737303265,0.)); #418990=DIRECTION('',(0.943878544985909,-0.330292737303265,0.)); #418991=DIRECTION('',(0.,0.,1.)); #418992=DIRECTION('center_axis',(-0.433867402513781,-0.900976735013699, 0.)); #418993=DIRECTION('ref_axis',(0.900976735013699,-0.433867402513781,0.)); #418994=DIRECTION('',(0.900976735013699,-0.433867402513781,0.)); #418995=DIRECTION('',(0.900976735013699,-0.433867402513781,0.)); #418996=DIRECTION('',(0.,0.,1.)); #418997=DIRECTION('center_axis',(-0.532026991963584,-0.846727394042605, 0.)); #418998=DIRECTION('ref_axis',(0.846727394042605,-0.532026991963584,0.)); #418999=DIRECTION('',(0.846727394042605,-0.532026991963583,0.)); #419000=DIRECTION('',(0.846727394042605,-0.532026991963583,0.)); #419001=DIRECTION('',(0.,0.,1.)); #419002=DIRECTION('center_axis',(-0.623490076319921,-0.781831263592457, 0.)); #419003=DIRECTION('ref_axis',(0.781831263592457,-0.623490076319921,0.)); #419004=DIRECTION('',(0.781831263592457,-0.623490076319921,0.)); #419005=DIRECTION('',(0.781831263592457,-0.623490076319921,0.)); #419006=DIRECTION('',(0.,0.,1.)); #419007=DIRECTION('center_axis',(-0.707109209369744,-0.707104352995013, 0.)); #419008=DIRECTION('ref_axis',(0.707104352995013,-0.707109209369744,0.)); #419009=DIRECTION('',(0.707104352995013,-0.707109209369744,0.)); #419010=DIRECTION('',(0.707104352995013,-0.707109209369744,0.)); #419011=DIRECTION('',(0.,0.,1.)); #419012=DIRECTION('center_axis',(-0.781826848571419,-0.623495612536995, 0.)); #419013=DIRECTION('ref_axis',(0.623495612536995,-0.781826848571419,0.)); #419014=DIRECTION('',(0.623495612536995,-0.781826848571419,0.)); #419015=DIRECTION('',(0.623495612536995,-0.781826848571419,0.)); #419016=DIRECTION('',(0.,0.,1.)); #419017=DIRECTION('center_axis',(-0.846733144041855,-0.532017840660439, 0.)); #419018=DIRECTION('ref_axis',(0.532017840660439,-0.846733144041855,0.)); #419019=DIRECTION('',(0.532017840660439,-0.846733144041855,0.)); #419020=DIRECTION('',(0.532017840660439,-0.846733144041855,0.)); #419021=DIRECTION('',(0.,0.,1.)); #419022=DIRECTION('center_axis',(-0.900976172282534,-0.433868571089349, 0.)); #419023=DIRECTION('ref_axis',(0.433868571089349,-0.900976172282534,0.)); #419024=DIRECTION('',(0.433868571089349,-0.900976172282534,0.)); #419025=DIRECTION('',(0.433868571089349,-0.900976172282534,0.)); #419026=DIRECTION('',(0.,0.,1.)); #419027=DIRECTION('center_axis',(-0.943879402871126,-0.330290285711896, 0.)); #419028=DIRECTION('ref_axis',(0.330290285711896,-0.943879402871126,0.)); #419029=DIRECTION('',(0.330290285711896,-0.943879402871126,0.)); #419030=DIRECTION('',(0.330290285711896,-0.943879402871126,0.)); #419031=DIRECTION('',(0.,0.,1.)); #419032=DIRECTION('center_axis',(-0.974924271111259,-0.222536885927209, 0.)); #419033=DIRECTION('ref_axis',(0.222536885927209,-0.974924271111259,0.)); #419034=DIRECTION('',(0.222536885927209,-0.974924271111259,0.)); #419035=DIRECTION('',(0.222536885927209,-0.974924271111259,0.)); #419036=DIRECTION('',(0.,0.,1.)); #419037=DIRECTION('center_axis',(-0.993713488739879,-0.111953125442832, 0.)); #419038=DIRECTION('ref_axis',(0.111953125442832,-0.993713488739879,0.)); #419039=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #419040=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #419041=DIRECTION('',(0.,0.,1.)); #419042=DIRECTION('center_axis',(-1.,0.,0.)); #419043=DIRECTION('ref_axis',(0.,-1.,0.)); #419044=DIRECTION('',(0.,-1.,0.)); #419045=DIRECTION('',(0.,-1.,0.)); #419046=DIRECTION('',(0.,0.,1.)); #419047=DIRECTION('center_axis',(-0.993713488739879,0.111953125442832,0.)); #419048=DIRECTION('ref_axis',(-0.111953125442832,-0.993713488739879,0.)); #419049=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #419050=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #419051=DIRECTION('',(0.,0.,1.)); #419052=DIRECTION('center_axis',(-0.97492427111126,0.222536885927205,0.)); #419053=DIRECTION('ref_axis',(-0.222536885927205,-0.97492427111126,0.)); #419054=DIRECTION('',(-0.222536885927205,-0.97492427111126,0.)); #419055=DIRECTION('',(-0.222536885927205,-0.97492427111126,0.)); #419056=DIRECTION('',(0.,0.,1.)); #419057=DIRECTION('center_axis',(-0.943879579471806,0.330289781034968,0.)); #419058=DIRECTION('ref_axis',(-0.330289781034968,-0.943879579471806,0.)); #419059=DIRECTION('',(-0.330289781034968,-0.943879579471806,0.)); #419060=DIRECTION('',(-0.330289781034968,-0.943879579471806,0.)); #419061=DIRECTION('',(0.,0.,1.)); #419062=DIRECTION('center_axis',(-0.900975867568739,0.433869203860746,0.)); #419063=DIRECTION('ref_axis',(-0.433869203860746,-0.900975867568739,0.)); #419064=DIRECTION('',(-0.433869203860746,-0.900975867568739,0.)); #419065=DIRECTION('',(-0.433869203860746,-0.900975867568739,0.)); #419066=DIRECTION('',(0.,0.,1.)); #419067=DIRECTION('center_axis',(-0.846733144041855,0.532017840660439,0.)); #419068=DIRECTION('ref_axis',(-0.532017840660439,-0.846733144041855,0.)); #419069=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #419070=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #419071=DIRECTION('',(0.,0.,1.)); #419072=DIRECTION('center_axis',(-0.781827477872105,0.623494823430109,0.)); #419073=DIRECTION('ref_axis',(-0.623494823430109,-0.781827477872105,0.)); #419074=DIRECTION('',(-0.623494823430109,-0.781827477872105,0.)); #419075=DIRECTION('',(-0.623494823430109,-0.781827477872105,0.)); #419076=DIRECTION('',(0.,0.,1.)); #419077=DIRECTION('center_axis',(-0.707108399978115,0.707105162391274,0.)); #419078=DIRECTION('ref_axis',(-0.707105162391274,-0.707108399978115,0.)); #419079=DIRECTION('',(-0.707105162391274,-0.707108399978115,0.)); #419080=DIRECTION('',(-0.707105162391274,-0.707108399978115,0.)); #419081=DIRECTION('',(0.,0.,1.)); #419082=DIRECTION('center_axis',(-0.623490076319921,0.781831263592457,0.)); #419083=DIRECTION('ref_axis',(-0.781831263592457,-0.623490076319921,0.)); #419084=DIRECTION('',(-0.781831263592457,-0.623490076319921,0.)); #419085=DIRECTION('',(-0.781831263592457,-0.623490076319921,0.)); #419086=DIRECTION('',(0.,0.,1.)); #419087=DIRECTION('center_axis',(-0.532028152553409,0.846726664804296,0.)); #419088=DIRECTION('ref_axis',(-0.846726664804296,-0.532028152553409,0.)); #419089=DIRECTION('',(-0.846726664804296,-0.532028152553409,0.)); #419090=DIRECTION('',(-0.846726664804296,-0.532028152553409,0.)); #419091=DIRECTION('',(0.,0.,1.)); #419092=DIRECTION('center_axis',(-0.43386608850586,0.900977367776031,0.)); #419093=DIRECTION('ref_axis',(-0.900977367776031,-0.43386608850586,0.)); #419094=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #419095=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #419096=DIRECTION('',(0.,0.,1.)); #419097=DIRECTION('center_axis',(-0.330292737303249,0.943878544985914,0.)); #419098=DIRECTION('ref_axis',(-0.943878544985914,-0.330292737303249,0.)); #419099=DIRECTION('',(-0.943878544985914,-0.330292737303249,0.)); #419100=DIRECTION('',(-0.943878544985914,-0.330292737303249,0.)); #419101=DIRECTION('',(0.,0.,1.)); #419102=DIRECTION('center_axis',(-0.222535347290555,0.974924622320245,0.)); #419103=DIRECTION('ref_axis',(-0.974924622320245,-0.222535347290555,0.)); #419104=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #419105=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #419106=DIRECTION('',(0.,0.,1.)); #419107=DIRECTION('center_axis',(-0.111945831198946,0.993714310492295,0.)); #419108=DIRECTION('ref_axis',(-0.993714310492295,-0.111945831198946,0.)); #419109=DIRECTION('',(-0.993714310492295,-0.111945831198946,0.)); #419110=DIRECTION('',(-0.993714310492295,-0.111945831198946,0.)); #419111=DIRECTION('',(0.,0.,1.)); #419112=DIRECTION('center_axis',(0.,1.,0.)); #419113=DIRECTION('ref_axis',(-1.,0.,0.)); #419114=DIRECTION('',(-1.,0.,0.)); #419115=DIRECTION('',(-1.,0.,0.)); #419116=DIRECTION('',(0.,0.,1.)); #419117=DIRECTION('center_axis',(0.111945831198938,0.993714310492296,0.)); #419118=DIRECTION('ref_axis',(-0.993714310492296,0.111945831198938,0.)); #419119=DIRECTION('',(-0.993714310492296,0.111945831198938,0.)); #419120=DIRECTION('',(-0.993714310492296,0.111945831198938,0.)); #419121=DIRECTION('',(0.,0.,1.)); #419122=DIRECTION('center_axis',(0.222535347290587,0.974924622320238,0.)); #419123=DIRECTION('ref_axis',(-0.974924622320238,0.222535347290587,0.)); #419124=DIRECTION('',(-0.974924622320238,0.222535347290587,0.)); #419125=DIRECTION('',(-0.974924622320238,0.222535347290587,0.)); #419126=DIRECTION('',(0.,0.,1.)); #419127=DIRECTION('center_axis',(0.330292737303205,0.94387854498593,0.)); #419128=DIRECTION('ref_axis',(-0.94387854498593,0.330292737303205,0.)); #419129=DIRECTION('',(-0.94387854498593,0.330292737303205,0.)); #419130=DIRECTION('',(-0.94387854498593,0.330292737303205,0.)); #419131=DIRECTION('',(0.,0.,1.)); #419132=DIRECTION('center_axis',(0.433866088505888,0.900977367776018,0.)); #419133=DIRECTION('ref_axis',(-0.900977367776017,0.433866088505888,0.)); #419134=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #419135=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #419136=DIRECTION('',(0.,0.,1.)); #419137=DIRECTION('center_axis',(0.532028152553376,0.846726664804316,0.)); #419138=DIRECTION('ref_axis',(-0.846726664804316,0.532028152553376,0.)); #419139=DIRECTION('',(-0.846726664804316,0.532028152553376,0.)); #419140=DIRECTION('',(-0.846726664804316,0.532028152553376,0.)); #419141=DIRECTION('',(0.,0.,1.)); #419142=DIRECTION('center_axis',(0.623490076319921,0.781831263592457,0.)); #419143=DIRECTION('ref_axis',(-0.781831263592457,0.623490076319921,0.)); #419144=DIRECTION('',(-0.781831263592457,0.623490076319921,0.)); #419145=DIRECTION('',(-0.781831263592457,0.623490076319921,0.)); #419146=DIRECTION('',(0.,0.,1.)); #419147=DIRECTION('center_axis',(0.707108399978151,0.707105162391238,0.)); #419148=DIRECTION('ref_axis',(-0.707105162391238,0.707108399978151,0.)); #419149=DIRECTION('',(-0.707105162391238,0.707108399978151,0.)); #419150=DIRECTION('',(-0.707105162391238,0.707108399978151,0.)); #419151=DIRECTION('',(0.,0.,1.)); #419152=DIRECTION('center_axis',(0.78182747787207,0.623494823430153,0.)); #419153=DIRECTION('ref_axis',(-0.623494823430153,0.78182747787207,0.)); #419154=DIRECTION('',(-0.623494823430153,0.78182747787207,0.)); #419155=DIRECTION('',(-0.623494823430153,0.78182747787207,0.)); #419156=DIRECTION('',(0.,0.,1.)); #419157=DIRECTION('center_axis',(0.846733144041855,0.532017840660439,0.)); #419158=DIRECTION('ref_axis',(-0.532017840660439,0.846733144041855,0.)); #419159=DIRECTION('',(-0.532017840660439,0.846733144041855,0.)); #419160=DIRECTION('',(-0.532017840660439,0.846733144041855,0.)); #419161=DIRECTION('',(0.,0.,1.)); #419162=DIRECTION('center_axis',(0.900975867568796,0.433869203860629,0.)); #419163=DIRECTION('ref_axis',(-0.433869203860629,0.900975867568796,0.)); #419164=DIRECTION('',(-0.433869203860629,0.900975867568796,0.)); #419165=DIRECTION('',(-0.433869203860629,0.900975867568796,0.)); #419166=DIRECTION('',(0.,0.,1.)); #419167=DIRECTION('center_axis',(0.943874532626424,0.3303042032116,0.)); #419168=DIRECTION('ref_axis',(-0.3303042032116,0.943874532626424,0.)); #419169=DIRECTION('',(-0.3303042032116,0.943874532626424,0.)); #419170=DIRECTION('',(-0.3303042032116,0.943874532626424,0.)); #419171=DIRECTION('',(0.,0.,1.)); #419172=DIRECTION('center_axis',(0.974927783103231,0.222521499485823,0.)); #419173=DIRECTION('ref_axis',(-0.222521499485823,0.974927783103231,0.)); #419174=DIRECTION('',(-0.222521499485823,0.974927783103231,0.)); #419175=DIRECTION('',(-0.222521499485823,0.974927783103231,0.)); #419176=DIRECTION('',(0.,0.,1.)); #419177=DIRECTION('center_axis',(0.993713488739879,0.111953125442832,0.)); #419178=DIRECTION('ref_axis',(-0.111953125442832,0.993713488739879,0.)); #419179=DIRECTION('',(-0.111953125442832,0.993713488739879,0.)); #419180=DIRECTION('',(-0.111953125442832,0.993713488739879,0.)); #419181=DIRECTION('',(0.,0.,1.)); #419182=DIRECTION('center_axis',(1.,0.,0.)); #419183=DIRECTION('ref_axis',(0.,1.,0.)); #419184=DIRECTION('',(0.,1.,0.)); #419185=DIRECTION('',(0.,1.,0.)); #419186=DIRECTION('',(0.,0.,1.)); #419187=DIRECTION('center_axis',(0.993713488739879,-0.111953125442832,0.)); #419188=DIRECTION('ref_axis',(0.111953125442832,0.993713488739879,0.)); #419189=DIRECTION('',(0.111953125442832,0.993713488739879,0.)); #419190=DIRECTION('',(0.111953125442832,0.993713488739879,0.)); #419191=DIRECTION('',(0.,0.,1.)); #419192=DIRECTION('center_axis',(0.97492778310323,-0.222521499485827,0.)); #419193=DIRECTION('ref_axis',(0.222521499485827,0.97492778310323,0.)); #419194=DIRECTION('',(0.222521499485827,0.97492778310323,0.)); #419195=DIRECTION('',(0.222521499485827,0.97492778310323,0.)); #419196=DIRECTION('',(0.,0.,1.)); #419197=DIRECTION('center_axis',(0.943874356011266,-0.330304707905167,0.)); #419198=DIRECTION('ref_axis',(0.330304707905167,0.943874356011266,0.)); #419199=DIRECTION('',(0.330304707905167,0.943874356011266,0.)); #419200=DIRECTION('',(0.330304707905167,0.943874356011266,0.)); #419201=DIRECTION('',(0.,0.,1.)); #419202=DIRECTION('center_axis',(0.90097617228259,-0.433868571089232,0.)); #419203=DIRECTION('ref_axis',(0.433868571089232,0.90097617228259,0.)); #419204=DIRECTION('',(0.433868571089232,0.90097617228259,0.)); #419205=DIRECTION('',(0.433868571089232,0.90097617228259,0.)); #419206=DIRECTION('',(0.,0.,1.)); #419207=DIRECTION('center_axis',(0.846733144041855,-0.532017840660439,0.)); #419208=DIRECTION('ref_axis',(0.532017840660439,0.846733144041855,0.)); #419209=DIRECTION('',(0.532017840660439,0.846733144041855,0.)); #419210=DIRECTION('',(0.532017840660439,0.846733144041855,0.)); #419211=DIRECTION('',(0.,0.,1.)); #419212=DIRECTION('center_axis',(0.781826848571384,-0.623495612537039,0.)); #419213=DIRECTION('ref_axis',(0.623495612537039,0.781826848571384,0.)); #419214=DIRECTION('',(0.623495612537039,0.781826848571384,0.)); #419215=DIRECTION('',(0.623495612537039,0.781826848571384,0.)); #419216=DIRECTION('',(0.,0.,1.)); #419217=DIRECTION('center_axis',(0.70710920936978,-0.707104352994977,0.)); #419218=DIRECTION('ref_axis',(0.707104352994977,0.70710920936978,0.)); #419219=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #419220=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #419221=DIRECTION('',(0.,0.,1.)); #419222=DIRECTION('center_axis',(0.623490076319921,-0.781831263592457,0.)); #419223=DIRECTION('ref_axis',(0.781831263592457,0.623490076319921,0.)); #419224=DIRECTION('',(0.781831263592457,0.623490076319921,0.)); #419225=DIRECTION('',(0.781831263592457,0.623490076319921,0.)); #419226=DIRECTION('',(0.,0.,1.)); #419227=DIRECTION('center_axis',(0.532026991963551,-0.846727394042625,0.)); #419228=DIRECTION('ref_axis',(0.846727394042625,0.532026991963551,0.)); #419229=DIRECTION('',(0.846727394042625,0.532026991963551,0.)); #419230=DIRECTION('',(0.846727394042625,0.532026991963551,0.)); #419231=DIRECTION('',(0.,0.,1.)); #419232=DIRECTION('center_axis',(0.433867402513809,-0.900976735013685,0.)); #419233=DIRECTION('ref_axis',(0.900976735013685,0.433867402513809,0.)); #419234=DIRECTION('',(0.900976735013685,0.433867402513809,0.)); #419235=DIRECTION('',(0.900976735013685,0.433867402513809,0.)); #419236=DIRECTION('',(0.,0.,1.)); #419237=DIRECTION('center_axis',(0.330292737303221,-0.943878544985924,0.)); #419238=DIRECTION('ref_axis',(0.943878544985924,0.330292737303221,0.)); #419239=DIRECTION('',(0.943878544985924,0.330292737303221,0.)); #419240=DIRECTION('',(0.943878544985924,0.330292737303221,0.)); #419241=DIRECTION('',(0.,0.,1.)); #419242=DIRECTION('center_axis',(0.222535347290587,-0.974924622320238,0.)); #419243=DIRECTION('ref_axis',(0.974924622320238,0.222535347290587,0.)); #419244=DIRECTION('',(0.974924622320238,0.222535347290587,0.)); #419245=DIRECTION('',(0.974924622320238,0.222535347290587,0.)); #419246=DIRECTION('center_axis',(0.,0.,1.)); #419247=DIRECTION('ref_axis',(1.,0.,0.)); #419248=DIRECTION('center_axis',(0.,0.,1.)); #419249=DIRECTION('ref_axis',(1.,0.,0.)); #419250=DIRECTION('center_axis',(0.,0.,1.)); #419251=DIRECTION('ref_axis',(1.,0.,0.)); #419252=DIRECTION('center_axis',(0.,0.,1.)); #419253=DIRECTION('ref_axis',(1.,0.,0.)); #419254=DIRECTION('',(0.,0.,1.)); #419255=DIRECTION('center_axis',(0.,0.,-1.)); #419256=DIRECTION('ref_axis',(1.,0.,0.)); #419257=DIRECTION('center_axis',(0.0980028398661646,-0.995186135041162, 0.)); #419258=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661646,0.)); #419259=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #419260=DIRECTION('',(0.,0.,1.)); #419261=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #419262=DIRECTION('',(0.,0.,1.)); #419263=DIRECTION('center_axis',(0.,0.,1.)); #419264=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #419265=DIRECTION('center_axis',(0.,0.,1.)); #419266=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #419267=DIRECTION('center_axis',(0.,0.,1.)); #419268=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #419269=DIRECTION('',(0.,0.,1.)); #419270=DIRECTION('center_axis',(0.,0.,1.)); #419271=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #419272=DIRECTION('center_axis',(0.,0.,1.)); #419273=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #419274=DIRECTION('center_axis',(0.,0.,1.)); #419275=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #419276=DIRECTION('center_axis',(0.,0.,1.)); #419277=DIRECTION('ref_axis',(1.,0.,0.)); #419278=DIRECTION('center_axis',(0.,0.,1.)); #419279=DIRECTION('ref_axis',(1.,0.,0.)); #419280=DIRECTION('center_axis',(0.,0.,1.)); #419281=DIRECTION('ref_axis',(1.,0.,0.)); #419282=DIRECTION('center_axis',(0.,0.,1.)); #419283=DIRECTION('ref_axis',(1.,0.,0.)); #419284=DIRECTION('',(0.,0.,1.)); #419285=DIRECTION('center_axis',(0.,0.,-1.)); #419286=DIRECTION('ref_axis',(1.,0.,0.)); #419287=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #419288=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #419289=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #419290=DIRECTION('',(0.,0.,1.)); #419291=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #419292=DIRECTION('',(0.,0.,1.)); #419293=DIRECTION('center_axis',(0.,0.,1.)); #419294=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #419295=DIRECTION('center_axis',(0.,0.,1.)); #419296=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #419297=DIRECTION('center_axis',(0.,0.,1.)); #419298=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #419299=DIRECTION('',(0.,0.,1.)); #419300=DIRECTION('center_axis',(0.,0.,1.)); #419301=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #419302=DIRECTION('center_axis',(0.,0.,1.)); #419303=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #419304=DIRECTION('center_axis',(0.,0.,1.)); #419305=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #419306=DIRECTION('center_axis',(0.,0.,1.)); #419307=DIRECTION('ref_axis',(1.,0.,0.)); #419308=DIRECTION('center_axis',(0.,0.,1.)); #419309=DIRECTION('ref_axis',(1.,0.,0.)); #419310=DIRECTION('center_axis',(0.,0.,1.)); #419311=DIRECTION('ref_axis',(1.,0.,0.)); #419312=DIRECTION('center_axis',(0.,0.,1.)); #419313=DIRECTION('ref_axis',(1.,0.,0.)); #419314=DIRECTION('',(0.,0.,1.)); #419315=DIRECTION('center_axis',(0.,0.,-1.)); #419316=DIRECTION('ref_axis',(1.,0.,0.)); #419317=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #419318=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #419319=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #419320=DIRECTION('',(0.,0.,1.)); #419321=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #419322=DIRECTION('',(0.,0.,1.)); #419323=DIRECTION('center_axis',(0.,0.,1.)); #419324=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #419325=DIRECTION('center_axis',(0.,0.,1.)); #419326=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #419327=DIRECTION('center_axis',(0.,0.,1.)); #419328=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #419329=DIRECTION('',(0.,0.,1.)); #419330=DIRECTION('center_axis',(0.,0.,1.)); #419331=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #419332=DIRECTION('center_axis',(0.,0.,1.)); #419333=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #419334=DIRECTION('center_axis',(0.,0.,1.)); #419335=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #419336=DIRECTION('center_axis',(0.,0.,1.)); #419337=DIRECTION('ref_axis',(1.,0.,0.)); #419338=DIRECTION('center_axis',(0.,0.,1.)); #419339=DIRECTION('ref_axis',(1.,0.,0.)); #419340=DIRECTION('center_axis',(0.,0.,1.)); #419341=DIRECTION('ref_axis',(1.,0.,0.)); #419342=DIRECTION('center_axis',(0.,0.,1.)); #419343=DIRECTION('ref_axis',(1.,0.,0.)); #419344=DIRECTION('',(0.,0.,1.)); #419345=DIRECTION('center_axis',(0.,0.,-1.)); #419346=DIRECTION('ref_axis',(1.,0.,0.)); #419347=DIRECTION('center_axis',(1.,0.,0.)); #419348=DIRECTION('ref_axis',(0.,1.,0.)); #419349=DIRECTION('',(0.,1.,0.)); #419350=DIRECTION('',(0.,0.,1.)); #419351=DIRECTION('',(0.,1.,0.)); #419352=DIRECTION('',(0.,0.,1.)); #419353=DIRECTION('center_axis',(0.,-1.,0.)); #419354=DIRECTION('ref_axis',(1.,0.,0.)); #419355=DIRECTION('',(1.,0.,0.)); #419356=DIRECTION('',(1.,0.,0.)); #419357=DIRECTION('',(0.,0.,1.)); #419358=DIRECTION('center_axis',(-1.,0.,0.)); #419359=DIRECTION('ref_axis',(0.,-1.,0.)); #419360=DIRECTION('',(0.,-1.,0.)); #419361=DIRECTION('',(0.,-1.,0.)); #419362=DIRECTION('',(0.,0.,1.)); #419363=DIRECTION('center_axis',(0.,1.,0.)); #419364=DIRECTION('ref_axis',(-1.,0.,0.)); #419365=DIRECTION('',(-1.,0.,0.)); #419366=DIRECTION('',(-1.,0.,0.)); #419367=DIRECTION('center_axis',(0.,0.,1.)); #419368=DIRECTION('ref_axis',(1.,0.,0.)); #419369=DIRECTION('center_axis',(0.,0.,1.)); #419370=DIRECTION('ref_axis',(1.,0.,0.)); #419371=DIRECTION('center_axis',(0.,0.,1.)); #419372=DIRECTION('ref_axis',(1.,0.,0.)); #419373=DIRECTION('center_axis',(0.,0.,1.)); #419374=DIRECTION('ref_axis',(1.,0.,0.)); #419375=DIRECTION('',(0.,0.,1.)); #419376=DIRECTION('center_axis',(0.,0.,-1.)); #419377=DIRECTION('ref_axis',(1.,0.,0.)); #419378=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #419379=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #419380=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #419381=DIRECTION('',(0.,0.,1.)); #419382=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #419383=DIRECTION('',(0.,0.,1.)); #419384=DIRECTION('center_axis',(0.,0.,1.)); #419385=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #419386=DIRECTION('center_axis',(0.,0.,1.)); #419387=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #419388=DIRECTION('center_axis',(0.,0.,1.)); #419389=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #419390=DIRECTION('',(0.,0.,1.)); #419391=DIRECTION('center_axis',(0.,0.,1.)); #419392=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #419393=DIRECTION('center_axis',(0.,0.,1.)); #419394=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #419395=DIRECTION('center_axis',(0.,0.,1.)); #419396=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #419397=DIRECTION('center_axis',(0.,0.,1.)); #419398=DIRECTION('ref_axis',(1.,0.,0.)); #419399=DIRECTION('center_axis',(0.,0.,1.)); #419400=DIRECTION('ref_axis',(1.,0.,0.)); #419401=DIRECTION('center_axis',(1.,0.,0.)); #419402=DIRECTION('ref_axis',(0.,1.,0.)); #419403=DIRECTION('',(0.,1.,0.)); #419404=DIRECTION('',(0.,0.,1.)); #419405=DIRECTION('',(0.,1.,0.)); #419406=DIRECTION('',(0.,0.,1.)); #419407=DIRECTION('center_axis',(0.,-1.,0.)); #419408=DIRECTION('ref_axis',(1.,0.,0.)); #419409=DIRECTION('',(1.,0.,0.)); #419410=DIRECTION('',(1.,0.,0.)); #419411=DIRECTION('',(0.,0.,1.)); #419412=DIRECTION('center_axis',(-1.,0.,0.)); #419413=DIRECTION('ref_axis',(0.,-1.,0.)); #419414=DIRECTION('',(0.,-1.,0.)); #419415=DIRECTION('',(0.,-1.,0.)); #419416=DIRECTION('',(0.,0.,1.)); #419417=DIRECTION('center_axis',(0.,1.,0.)); #419418=DIRECTION('ref_axis',(-1.,0.,0.)); #419419=DIRECTION('',(-1.,0.,0.)); #419420=DIRECTION('',(-1.,0.,0.)); #419421=DIRECTION('center_axis',(0.,0.,1.)); #419422=DIRECTION('ref_axis',(1.,0.,0.)); #419423=DIRECTION('center_axis',(0.,0.,1.)); #419424=DIRECTION('ref_axis',(1.,0.,0.)); #419425=DIRECTION('center_axis',(1.,0.,0.)); #419426=DIRECTION('ref_axis',(0.,1.,0.)); #419427=DIRECTION('',(0.,1.,0.)); #419428=DIRECTION('',(0.,0.,1.)); #419429=DIRECTION('',(0.,1.,0.)); #419430=DIRECTION('',(0.,0.,1.)); #419431=DIRECTION('center_axis',(0.,-1.,0.)); #419432=DIRECTION('ref_axis',(1.,0.,0.)); #419433=DIRECTION('',(1.,0.,0.)); #419434=DIRECTION('',(1.,0.,0.)); #419435=DIRECTION('',(0.,0.,1.)); #419436=DIRECTION('center_axis',(-1.,0.,0.)); #419437=DIRECTION('ref_axis',(0.,-1.,0.)); #419438=DIRECTION('',(0.,-1.,0.)); #419439=DIRECTION('',(0.,-1.,0.)); #419440=DIRECTION('',(0.,0.,1.)); #419441=DIRECTION('center_axis',(0.,1.,0.)); #419442=DIRECTION('ref_axis',(-1.,0.,0.)); #419443=DIRECTION('',(-1.,0.,0.)); #419444=DIRECTION('',(-1.,0.,0.)); #419445=DIRECTION('center_axis',(0.,0.,1.)); #419446=DIRECTION('ref_axis',(1.,0.,0.)); #419447=DIRECTION('center_axis',(0.,0.,1.)); #419448=DIRECTION('ref_axis',(1.,0.,0.)); #419449=DIRECTION('center_axis',(1.,0.,0.)); #419450=DIRECTION('ref_axis',(0.,1.,0.)); #419451=DIRECTION('',(0.,1.,0.)); #419452=DIRECTION('',(0.,0.,1.)); #419453=DIRECTION('',(0.,1.,0.)); #419454=DIRECTION('',(0.,0.,1.)); #419455=DIRECTION('center_axis',(0.,-1.,0.)); #419456=DIRECTION('ref_axis',(1.,0.,0.)); #419457=DIRECTION('',(1.,0.,0.)); #419458=DIRECTION('',(1.,0.,0.)); #419459=DIRECTION('',(0.,0.,1.)); #419460=DIRECTION('center_axis',(-1.,0.,0.)); #419461=DIRECTION('ref_axis',(0.,-1.,0.)); #419462=DIRECTION('',(0.,-1.,0.)); #419463=DIRECTION('',(0.,-1.,0.)); #419464=DIRECTION('',(0.,0.,1.)); #419465=DIRECTION('center_axis',(0.,1.,0.)); #419466=DIRECTION('ref_axis',(-1.,0.,0.)); #419467=DIRECTION('',(-1.,0.,0.)); #419468=DIRECTION('',(-1.,0.,0.)); #419469=DIRECTION('center_axis',(0.,0.,1.)); #419470=DIRECTION('ref_axis',(1.,0.,0.)); #419471=DIRECTION('center_axis',(0.,0.,1.)); #419472=DIRECTION('ref_axis',(1.,0.,0.)); #419473=DIRECTION('center_axis',(1.,0.,0.)); #419474=DIRECTION('ref_axis',(0.,1.,0.)); #419475=DIRECTION('',(0.,1.,0.)); #419476=DIRECTION('',(0.,0.,1.)); #419477=DIRECTION('',(0.,1.,0.)); #419478=DIRECTION('',(0.,0.,1.)); #419479=DIRECTION('center_axis',(0.,-1.,0.)); #419480=DIRECTION('ref_axis',(1.,0.,0.)); #419481=DIRECTION('',(1.,0.,0.)); #419482=DIRECTION('',(1.,0.,0.)); #419483=DIRECTION('',(0.,0.,1.)); #419484=DIRECTION('center_axis',(-1.,0.,0.)); #419485=DIRECTION('ref_axis',(0.,-1.,0.)); #419486=DIRECTION('',(0.,-1.,0.)); #419487=DIRECTION('',(0.,-1.,0.)); #419488=DIRECTION('',(0.,0.,1.)); #419489=DIRECTION('center_axis',(0.,1.,0.)); #419490=DIRECTION('ref_axis',(-1.,0.,0.)); #419491=DIRECTION('',(-1.,0.,0.)); #419492=DIRECTION('',(-1.,0.,0.)); #419493=DIRECTION('center_axis',(0.,0.,1.)); #419494=DIRECTION('ref_axis',(1.,0.,0.)); #419495=DIRECTION('center_axis',(0.,0.,1.)); #419496=DIRECTION('ref_axis',(1.,0.,0.)); #419497=DIRECTION('center_axis',(1.,0.,0.)); #419498=DIRECTION('ref_axis',(0.,1.,0.)); #419499=DIRECTION('',(0.,1.,0.)); #419500=DIRECTION('',(0.,0.,1.)); #419501=DIRECTION('',(0.,1.,0.)); #419502=DIRECTION('',(0.,0.,1.)); #419503=DIRECTION('center_axis',(0.,-1.,0.)); #419504=DIRECTION('ref_axis',(1.,0.,0.)); #419505=DIRECTION('',(1.,0.,0.)); #419506=DIRECTION('',(1.,0.,0.)); #419507=DIRECTION('',(0.,0.,1.)); #419508=DIRECTION('center_axis',(-1.,0.,0.)); #419509=DIRECTION('ref_axis',(0.,-1.,0.)); #419510=DIRECTION('',(0.,-1.,0.)); #419511=DIRECTION('',(0.,-1.,0.)); #419512=DIRECTION('',(0.,0.,1.)); #419513=DIRECTION('center_axis',(0.,1.,0.)); #419514=DIRECTION('ref_axis',(-1.,0.,0.)); #419515=DIRECTION('',(-1.,0.,0.)); #419516=DIRECTION('',(-1.,0.,0.)); #419517=DIRECTION('center_axis',(0.,0.,1.)); #419518=DIRECTION('ref_axis',(1.,0.,0.)); #419519=DIRECTION('center_axis',(0.,0.,1.)); #419520=DIRECTION('ref_axis',(1.,0.,0.)); #419521=DIRECTION('center_axis',(1.,0.,0.)); #419522=DIRECTION('ref_axis',(0.,1.,0.)); #419523=DIRECTION('',(0.,1.,0.)); #419524=DIRECTION('',(0.,0.,1.)); #419525=DIRECTION('',(0.,1.,0.)); #419526=DIRECTION('',(0.,0.,1.)); #419527=DIRECTION('center_axis',(0.,-1.,0.)); #419528=DIRECTION('ref_axis',(1.,0.,0.)); #419529=DIRECTION('',(1.,0.,0.)); #419530=DIRECTION('',(1.,0.,0.)); #419531=DIRECTION('',(0.,0.,1.)); #419532=DIRECTION('center_axis',(-1.,0.,0.)); #419533=DIRECTION('ref_axis',(0.,-1.,0.)); #419534=DIRECTION('',(0.,-1.,0.)); #419535=DIRECTION('',(0.,-1.,0.)); #419536=DIRECTION('',(0.,0.,1.)); #419537=DIRECTION('center_axis',(0.,1.,0.)); #419538=DIRECTION('ref_axis',(-1.,0.,0.)); #419539=DIRECTION('',(-1.,0.,0.)); #419540=DIRECTION('',(-1.,0.,0.)); #419541=DIRECTION('center_axis',(0.,0.,1.)); #419542=DIRECTION('ref_axis',(1.,0.,0.)); #419543=DIRECTION('center_axis',(0.,0.,1.)); #419544=DIRECTION('ref_axis',(1.,0.,0.)); #419545=DIRECTION('center_axis',(1.,0.,0.)); #419546=DIRECTION('ref_axis',(0.,1.,0.)); #419547=DIRECTION('',(0.,1.,0.)); #419548=DIRECTION('',(0.,0.,1.)); #419549=DIRECTION('',(0.,1.,0.)); #419550=DIRECTION('',(0.,0.,1.)); #419551=DIRECTION('center_axis',(0.,-1.,0.)); #419552=DIRECTION('ref_axis',(1.,0.,0.)); #419553=DIRECTION('',(1.,0.,0.)); #419554=DIRECTION('',(1.,0.,0.)); #419555=DIRECTION('',(0.,0.,1.)); #419556=DIRECTION('center_axis',(-1.,0.,0.)); #419557=DIRECTION('ref_axis',(0.,-1.,0.)); #419558=DIRECTION('',(0.,-1.,0.)); #419559=DIRECTION('',(0.,-1.,0.)); #419560=DIRECTION('',(0.,0.,1.)); #419561=DIRECTION('center_axis',(0.,1.,0.)); #419562=DIRECTION('ref_axis',(-1.,0.,0.)); #419563=DIRECTION('',(-1.,0.,0.)); #419564=DIRECTION('',(-1.,0.,0.)); #419565=DIRECTION('center_axis',(0.,0.,1.)); #419566=DIRECTION('ref_axis',(1.,0.,0.)); #419567=DIRECTION('center_axis',(0.,0.,1.)); #419568=DIRECTION('ref_axis',(1.,0.,0.)); #419569=DIRECTION('center_axis',(1.,0.,0.)); #419570=DIRECTION('ref_axis',(0.,1.,0.)); #419571=DIRECTION('',(0.,1.,0.)); #419572=DIRECTION('',(0.,0.,1.)); #419573=DIRECTION('',(0.,1.,0.)); #419574=DIRECTION('',(0.,0.,1.)); #419575=DIRECTION('center_axis',(0.,-1.,0.)); #419576=DIRECTION('ref_axis',(1.,0.,0.)); #419577=DIRECTION('',(1.,0.,0.)); #419578=DIRECTION('',(1.,0.,0.)); #419579=DIRECTION('',(0.,0.,1.)); #419580=DIRECTION('center_axis',(-1.,0.,0.)); #419581=DIRECTION('ref_axis',(0.,-1.,0.)); #419582=DIRECTION('',(0.,-1.,0.)); #419583=DIRECTION('',(0.,-1.,0.)); #419584=DIRECTION('',(0.,0.,1.)); #419585=DIRECTION('center_axis',(0.,1.,0.)); #419586=DIRECTION('ref_axis',(-1.,0.,0.)); #419587=DIRECTION('',(-1.,0.,0.)); #419588=DIRECTION('',(-1.,0.,0.)); #419589=DIRECTION('center_axis',(0.,0.,1.)); #419590=DIRECTION('ref_axis',(1.,0.,0.)); #419591=DIRECTION('center_axis',(0.,0.,1.)); #419592=DIRECTION('ref_axis',(1.,0.,0.)); #419593=DIRECTION('center_axis',(1.,0.,0.)); #419594=DIRECTION('ref_axis',(0.,1.,0.)); #419595=DIRECTION('',(0.,1.,0.)); #419596=DIRECTION('',(0.,0.,1.)); #419597=DIRECTION('',(0.,1.,0.)); #419598=DIRECTION('',(0.,0.,1.)); #419599=DIRECTION('center_axis',(0.,-1.,0.)); #419600=DIRECTION('ref_axis',(1.,0.,0.)); #419601=DIRECTION('',(1.,0.,0.)); #419602=DIRECTION('',(1.,0.,0.)); #419603=DIRECTION('',(0.,0.,1.)); #419604=DIRECTION('center_axis',(-1.,0.,0.)); #419605=DIRECTION('ref_axis',(0.,-1.,0.)); #419606=DIRECTION('',(0.,-1.,0.)); #419607=DIRECTION('',(0.,-1.,0.)); #419608=DIRECTION('',(0.,0.,1.)); #419609=DIRECTION('center_axis',(0.,1.,0.)); #419610=DIRECTION('ref_axis',(-1.,0.,0.)); #419611=DIRECTION('',(-1.,0.,0.)); #419612=DIRECTION('',(-1.,0.,0.)); #419613=DIRECTION('center_axis',(0.,0.,1.)); #419614=DIRECTION('ref_axis',(1.,0.,0.)); #419615=DIRECTION('center_axis',(0.,0.,1.)); #419616=DIRECTION('ref_axis',(1.,0.,0.)); #419617=DIRECTION('center_axis',(1.,0.,0.)); #419618=DIRECTION('ref_axis',(0.,1.,0.)); #419619=DIRECTION('',(0.,1.,0.)); #419620=DIRECTION('',(0.,0.,1.)); #419621=DIRECTION('',(0.,1.,0.)); #419622=DIRECTION('',(0.,0.,1.)); #419623=DIRECTION('center_axis',(0.,-1.,0.)); #419624=DIRECTION('ref_axis',(1.,0.,0.)); #419625=DIRECTION('',(1.,0.,0.)); #419626=DIRECTION('',(1.,0.,0.)); #419627=DIRECTION('',(0.,0.,1.)); #419628=DIRECTION('center_axis',(-1.,0.,0.)); #419629=DIRECTION('ref_axis',(0.,-1.,0.)); #419630=DIRECTION('',(0.,-1.,0.)); #419631=DIRECTION('',(0.,-1.,0.)); #419632=DIRECTION('',(0.,0.,1.)); #419633=DIRECTION('center_axis',(0.,1.,0.)); #419634=DIRECTION('ref_axis',(-1.,0.,0.)); #419635=DIRECTION('',(-1.,0.,0.)); #419636=DIRECTION('',(-1.,0.,0.)); #419637=DIRECTION('center_axis',(0.,0.,1.)); #419638=DIRECTION('ref_axis',(1.,0.,0.)); #419639=DIRECTION('center_axis',(0.,0.,1.)); #419640=DIRECTION('ref_axis',(1.,0.,0.)); #419641=DIRECTION('center_axis',(1.,0.,0.)); #419642=DIRECTION('ref_axis',(0.,1.,0.)); #419643=DIRECTION('',(0.,1.,0.)); #419644=DIRECTION('',(0.,0.,1.)); #419645=DIRECTION('',(0.,1.,0.)); #419646=DIRECTION('',(0.,0.,1.)); #419647=DIRECTION('center_axis',(0.,-1.,0.)); #419648=DIRECTION('ref_axis',(1.,0.,0.)); #419649=DIRECTION('',(1.,0.,0.)); #419650=DIRECTION('',(1.,0.,0.)); #419651=DIRECTION('',(0.,0.,1.)); #419652=DIRECTION('center_axis',(-1.,0.,0.)); #419653=DIRECTION('ref_axis',(0.,-1.,0.)); #419654=DIRECTION('',(0.,-1.,0.)); #419655=DIRECTION('',(0.,-1.,0.)); #419656=DIRECTION('',(0.,0.,1.)); #419657=DIRECTION('center_axis',(0.,1.,0.)); #419658=DIRECTION('ref_axis',(-1.,0.,0.)); #419659=DIRECTION('',(-1.,0.,0.)); #419660=DIRECTION('',(-1.,0.,0.)); #419661=DIRECTION('center_axis',(0.,0.,1.)); #419662=DIRECTION('ref_axis',(1.,0.,0.)); #419663=DIRECTION('center_axis',(0.,0.,1.)); #419664=DIRECTION('ref_axis',(1.,0.,0.)); #419665=DIRECTION('center_axis',(1.,0.,0.)); #419666=DIRECTION('ref_axis',(0.,1.,0.)); #419667=DIRECTION('',(0.,1.,0.)); #419668=DIRECTION('',(0.,0.,1.)); #419669=DIRECTION('',(0.,1.,0.)); #419670=DIRECTION('',(0.,0.,1.)); #419671=DIRECTION('center_axis',(0.,-1.,0.)); #419672=DIRECTION('ref_axis',(1.,0.,0.)); #419673=DIRECTION('',(1.,0.,0.)); #419674=DIRECTION('',(1.,0.,0.)); #419675=DIRECTION('',(0.,0.,1.)); #419676=DIRECTION('center_axis',(-1.,0.,0.)); #419677=DIRECTION('ref_axis',(0.,-1.,0.)); #419678=DIRECTION('',(0.,-1.,0.)); #419679=DIRECTION('',(0.,-1.,0.)); #419680=DIRECTION('',(0.,0.,1.)); #419681=DIRECTION('center_axis',(0.,1.,0.)); #419682=DIRECTION('ref_axis',(-1.,0.,0.)); #419683=DIRECTION('',(-1.,0.,0.)); #419684=DIRECTION('',(-1.,0.,0.)); #419685=DIRECTION('center_axis',(0.,0.,1.)); #419686=DIRECTION('ref_axis',(1.,0.,0.)); #419687=DIRECTION('center_axis',(0.,0.,1.)); #419688=DIRECTION('ref_axis',(1.,0.,0.)); #419689=DIRECTION('center_axis',(1.,0.,0.)); #419690=DIRECTION('ref_axis',(0.,1.,0.)); #419691=DIRECTION('',(0.,1.,0.)); #419692=DIRECTION('',(0.,0.,1.)); #419693=DIRECTION('',(0.,1.,0.)); #419694=DIRECTION('',(0.,0.,1.)); #419695=DIRECTION('center_axis',(0.,-1.,0.)); #419696=DIRECTION('ref_axis',(1.,0.,0.)); #419697=DIRECTION('',(1.,0.,0.)); #419698=DIRECTION('',(1.,0.,0.)); #419699=DIRECTION('',(0.,0.,1.)); #419700=DIRECTION('center_axis',(-1.,0.,0.)); #419701=DIRECTION('ref_axis',(0.,-1.,0.)); #419702=DIRECTION('',(0.,-1.,0.)); #419703=DIRECTION('',(0.,-1.,0.)); #419704=DIRECTION('',(0.,0.,1.)); #419705=DIRECTION('center_axis',(0.,1.,0.)); #419706=DIRECTION('ref_axis',(-1.,0.,0.)); #419707=DIRECTION('',(-1.,0.,0.)); #419708=DIRECTION('',(-1.,0.,0.)); #419709=DIRECTION('center_axis',(0.,0.,1.)); #419710=DIRECTION('ref_axis',(1.,0.,0.)); #419711=DIRECTION('center_axis',(0.,0.,1.)); #419712=DIRECTION('ref_axis',(1.,0.,0.)); #419713=DIRECTION('center_axis',(0.,0.,1.)); #419714=DIRECTION('ref_axis',(1.,0.,0.)); #419715=DIRECTION('center_axis',(0.,0.,1.)); #419716=DIRECTION('ref_axis',(1.,0.,0.)); #419717=DIRECTION('',(0.,0.,1.)); #419718=DIRECTION('center_axis',(0.,0.,-1.)); #419719=DIRECTION('ref_axis',(1.,0.,0.)); #419720=DIRECTION('center_axis',(0.,0.,1.)); #419721=DIRECTION('ref_axis',(1.,0.,0.)); #419722=DIRECTION('center_axis',(0.,0.,1.)); #419723=DIRECTION('ref_axis',(1.,0.,0.)); #419724=DIRECTION('',(0.,0.,1.)); #419725=DIRECTION('center_axis',(0.,0.,-1.)); #419726=DIRECTION('ref_axis',(1.,0.,0.)); #419727=DIRECTION('center_axis',(0.,0.,1.)); #419728=DIRECTION('ref_axis',(1.,0.,0.)); #419729=DIRECTION('center_axis',(0.,0.,1.)); #419730=DIRECTION('ref_axis',(1.,0.,0.)); #419731=DIRECTION('',(0.,0.,1.)); #419732=DIRECTION('center_axis',(0.,0.,-1.)); #419733=DIRECTION('ref_axis',(1.,0.,0.)); #419734=DIRECTION('center_axis',(0.,0.,1.)); #419735=DIRECTION('ref_axis',(1.,0.,0.)); #419736=DIRECTION('center_axis',(0.,0.,1.)); #419737=DIRECTION('ref_axis',(1.,0.,0.)); #419738=DIRECTION('',(0.,0.,1.)); #419739=DIRECTION('center_axis',(0.,0.,-1.)); #419740=DIRECTION('ref_axis',(1.,0.,0.)); #419741=DIRECTION('center_axis',(0.,0.,1.)); #419742=DIRECTION('ref_axis',(1.,0.,0.)); #419743=DIRECTION('center_axis',(0.,0.,1.)); #419744=DIRECTION('ref_axis',(1.,0.,0.)); #419745=DIRECTION('',(0.,0.,1.)); #419746=DIRECTION('center_axis',(0.,0.,-1.)); #419747=DIRECTION('ref_axis',(1.,0.,0.)); #419748=DIRECTION('center_axis',(0.,0.,1.)); #419749=DIRECTION('ref_axis',(1.,0.,0.)); #419750=DIRECTION('center_axis',(0.,0.,1.)); #419751=DIRECTION('ref_axis',(1.,0.,0.)); #419752=DIRECTION('',(0.,0.,1.)); #419753=DIRECTION('center_axis',(0.,0.,-1.)); #419754=DIRECTION('ref_axis',(1.,0.,0.)); #419755=DIRECTION('center_axis',(1.,0.,0.)); #419756=DIRECTION('ref_axis',(0.,1.,0.)); #419757=DIRECTION('',(0.,1.,0.)); #419758=DIRECTION('',(0.,0.,1.)); #419759=DIRECTION('',(0.,1.,0.)); #419760=DIRECTION('',(0.,0.,1.)); #419761=DIRECTION('center_axis',(0.,-1.,0.)); #419762=DIRECTION('ref_axis',(1.,0.,0.)); #419763=DIRECTION('',(1.,0.,0.)); #419764=DIRECTION('',(1.,0.,0.)); #419765=DIRECTION('',(0.,0.,1.)); #419766=DIRECTION('center_axis',(-1.,0.,0.)); #419767=DIRECTION('ref_axis',(0.,-1.,0.)); #419768=DIRECTION('',(0.,-1.,0.)); #419769=DIRECTION('',(0.,-1.,0.)); #419770=DIRECTION('',(0.,0.,1.)); #419771=DIRECTION('center_axis',(0.,1.,0.)); #419772=DIRECTION('ref_axis',(-1.,0.,0.)); #419773=DIRECTION('',(-1.,0.,0.)); #419774=DIRECTION('',(-1.,0.,0.)); #419775=DIRECTION('center_axis',(0.,0.,1.)); #419776=DIRECTION('ref_axis',(1.,0.,0.)); #419777=DIRECTION('center_axis',(0.,0.,1.)); #419778=DIRECTION('ref_axis',(1.,0.,0.)); #419779=DIRECTION('center_axis',(1.,0.,0.)); #419780=DIRECTION('ref_axis',(0.,1.,0.)); #419781=DIRECTION('',(0.,1.,0.)); #419782=DIRECTION('',(0.,0.,1.)); #419783=DIRECTION('',(0.,1.,0.)); #419784=DIRECTION('',(0.,0.,1.)); #419785=DIRECTION('center_axis',(0.,-1.,0.)); #419786=DIRECTION('ref_axis',(1.,0.,0.)); #419787=DIRECTION('',(1.,0.,0.)); #419788=DIRECTION('',(1.,0.,0.)); #419789=DIRECTION('',(0.,0.,1.)); #419790=DIRECTION('center_axis',(-1.,0.,0.)); #419791=DIRECTION('ref_axis',(0.,-1.,0.)); #419792=DIRECTION('',(0.,-1.,0.)); #419793=DIRECTION('',(0.,-1.,0.)); #419794=DIRECTION('',(0.,0.,1.)); #419795=DIRECTION('center_axis',(0.,1.,0.)); #419796=DIRECTION('ref_axis',(-1.,0.,0.)); #419797=DIRECTION('',(-1.,0.,0.)); #419798=DIRECTION('',(-1.,0.,0.)); #419799=DIRECTION('center_axis',(0.,0.,1.)); #419800=DIRECTION('ref_axis',(1.,0.,0.)); #419801=DIRECTION('center_axis',(0.,0.,1.)); #419802=DIRECTION('ref_axis',(1.,0.,0.)); #419803=DIRECTION('center_axis',(1.,0.,0.)); #419804=DIRECTION('ref_axis',(0.,1.,0.)); #419805=DIRECTION('',(0.,1.,0.)); #419806=DIRECTION('',(0.,0.,1.)); #419807=DIRECTION('',(0.,1.,0.)); #419808=DIRECTION('',(0.,0.,1.)); #419809=DIRECTION('center_axis',(0.,-1.,0.)); #419810=DIRECTION('ref_axis',(1.,0.,0.)); #419811=DIRECTION('',(1.,0.,0.)); #419812=DIRECTION('',(1.,0.,0.)); #419813=DIRECTION('',(0.,0.,1.)); #419814=DIRECTION('center_axis',(-1.,0.,0.)); #419815=DIRECTION('ref_axis',(0.,-1.,0.)); #419816=DIRECTION('',(0.,-1.,0.)); #419817=DIRECTION('',(0.,-1.,0.)); #419818=DIRECTION('',(0.,0.,1.)); #419819=DIRECTION('center_axis',(0.,1.,0.)); #419820=DIRECTION('ref_axis',(-1.,0.,0.)); #419821=DIRECTION('',(-1.,0.,0.)); #419822=DIRECTION('',(-1.,0.,0.)); #419823=DIRECTION('center_axis',(0.,0.,1.)); #419824=DIRECTION('ref_axis',(1.,0.,0.)); #419825=DIRECTION('center_axis',(0.,0.,1.)); #419826=DIRECTION('ref_axis',(1.,0.,0.)); #419827=DIRECTION('center_axis',(1.,0.,0.)); #419828=DIRECTION('ref_axis',(0.,1.,0.)); #419829=DIRECTION('',(0.,1.,0.)); #419830=DIRECTION('',(0.,0.,1.)); #419831=DIRECTION('',(0.,1.,0.)); #419832=DIRECTION('',(0.,0.,1.)); #419833=DIRECTION('center_axis',(0.,-1.,0.)); #419834=DIRECTION('ref_axis',(1.,0.,0.)); #419835=DIRECTION('',(1.,0.,0.)); #419836=DIRECTION('',(1.,0.,0.)); #419837=DIRECTION('',(0.,0.,1.)); #419838=DIRECTION('center_axis',(-1.,0.,0.)); #419839=DIRECTION('ref_axis',(0.,-1.,0.)); #419840=DIRECTION('',(0.,-1.,0.)); #419841=DIRECTION('',(0.,-1.,0.)); #419842=DIRECTION('',(0.,0.,1.)); #419843=DIRECTION('center_axis',(0.,1.,0.)); #419844=DIRECTION('ref_axis',(-1.,0.,0.)); #419845=DIRECTION('',(-1.,0.,0.)); #419846=DIRECTION('',(-1.,0.,0.)); #419847=DIRECTION('center_axis',(0.,0.,1.)); #419848=DIRECTION('ref_axis',(1.,0.,0.)); #419849=DIRECTION('center_axis',(0.,0.,1.)); #419850=DIRECTION('ref_axis',(1.,0.,0.)); #419851=DIRECTION('center_axis',(1.,0.,0.)); #419852=DIRECTION('ref_axis',(0.,1.,0.)); #419853=DIRECTION('',(0.,1.,0.)); #419854=DIRECTION('',(0.,0.,1.)); #419855=DIRECTION('',(0.,1.,0.)); #419856=DIRECTION('',(0.,0.,1.)); #419857=DIRECTION('center_axis',(0.,-1.,0.)); #419858=DIRECTION('ref_axis',(1.,0.,0.)); #419859=DIRECTION('',(1.,0.,0.)); #419860=DIRECTION('',(1.,0.,0.)); #419861=DIRECTION('',(0.,0.,1.)); #419862=DIRECTION('center_axis',(-1.,0.,0.)); #419863=DIRECTION('ref_axis',(0.,-1.,0.)); #419864=DIRECTION('',(0.,-1.,0.)); #419865=DIRECTION('',(0.,-1.,0.)); #419866=DIRECTION('',(0.,0.,1.)); #419867=DIRECTION('center_axis',(0.,1.,0.)); #419868=DIRECTION('ref_axis',(-1.,0.,0.)); #419869=DIRECTION('',(-1.,0.,0.)); #419870=DIRECTION('',(-1.,0.,0.)); #419871=DIRECTION('center_axis',(0.,0.,1.)); #419872=DIRECTION('ref_axis',(1.,0.,0.)); #419873=DIRECTION('center_axis',(0.,0.,1.)); #419874=DIRECTION('ref_axis',(1.,0.,0.)); #419875=DIRECTION('center_axis',(1.,0.,0.)); #419876=DIRECTION('ref_axis',(0.,1.,0.)); #419877=DIRECTION('',(0.,1.,0.)); #419878=DIRECTION('',(0.,0.,1.)); #419879=DIRECTION('',(0.,1.,0.)); #419880=DIRECTION('',(0.,0.,1.)); #419881=DIRECTION('center_axis',(0.,-1.,0.)); #419882=DIRECTION('ref_axis',(1.,0.,0.)); #419883=DIRECTION('',(1.,0.,0.)); #419884=DIRECTION('',(1.,0.,0.)); #419885=DIRECTION('',(0.,0.,1.)); #419886=DIRECTION('center_axis',(-1.,0.,0.)); #419887=DIRECTION('ref_axis',(0.,-1.,0.)); #419888=DIRECTION('',(0.,-1.,0.)); #419889=DIRECTION('',(0.,-1.,0.)); #419890=DIRECTION('',(0.,0.,1.)); #419891=DIRECTION('center_axis',(0.,1.,0.)); #419892=DIRECTION('ref_axis',(-1.,0.,0.)); #419893=DIRECTION('',(-1.,0.,0.)); #419894=DIRECTION('',(-1.,0.,0.)); #419895=DIRECTION('center_axis',(0.,0.,1.)); #419896=DIRECTION('ref_axis',(1.,0.,0.)); #419897=DIRECTION('center_axis',(0.,0.,1.)); #419898=DIRECTION('ref_axis',(1.,0.,0.)); #419899=DIRECTION('center_axis',(1.,0.,0.)); #419900=DIRECTION('ref_axis',(0.,1.,0.)); #419901=DIRECTION('',(0.,1.,0.)); #419902=DIRECTION('',(0.,0.,1.)); #419903=DIRECTION('',(0.,1.,0.)); #419904=DIRECTION('',(0.,0.,1.)); #419905=DIRECTION('center_axis',(0.,-1.,0.)); #419906=DIRECTION('ref_axis',(1.,0.,0.)); #419907=DIRECTION('',(1.,0.,0.)); #419908=DIRECTION('',(1.,0.,0.)); #419909=DIRECTION('',(0.,0.,1.)); #419910=DIRECTION('center_axis',(-1.,0.,0.)); #419911=DIRECTION('ref_axis',(0.,-1.,0.)); #419912=DIRECTION('',(0.,-1.,0.)); #419913=DIRECTION('',(0.,-1.,0.)); #419914=DIRECTION('',(0.,0.,1.)); #419915=DIRECTION('center_axis',(0.,1.,0.)); #419916=DIRECTION('ref_axis',(-1.,0.,0.)); #419917=DIRECTION('',(-1.,0.,0.)); #419918=DIRECTION('',(-1.,0.,0.)); #419919=DIRECTION('center_axis',(0.,0.,1.)); #419920=DIRECTION('ref_axis',(1.,0.,0.)); #419921=DIRECTION('center_axis',(0.,0.,1.)); #419922=DIRECTION('ref_axis',(1.,0.,0.)); #419923=DIRECTION('center_axis',(1.,0.,0.)); #419924=DIRECTION('ref_axis',(0.,1.,0.)); #419925=DIRECTION('',(0.,1.,0.)); #419926=DIRECTION('',(0.,0.,1.)); #419927=DIRECTION('',(0.,1.,0.)); #419928=DIRECTION('',(0.,0.,1.)); #419929=DIRECTION('center_axis',(0.,-1.,0.)); #419930=DIRECTION('ref_axis',(1.,0.,0.)); #419931=DIRECTION('',(1.,0.,0.)); #419932=DIRECTION('',(1.,0.,0.)); #419933=DIRECTION('',(0.,0.,1.)); #419934=DIRECTION('center_axis',(-1.,0.,0.)); #419935=DIRECTION('ref_axis',(0.,-1.,0.)); #419936=DIRECTION('',(0.,-1.,0.)); #419937=DIRECTION('',(0.,-1.,0.)); #419938=DIRECTION('',(0.,0.,1.)); #419939=DIRECTION('center_axis',(0.,1.,0.)); #419940=DIRECTION('ref_axis',(-1.,0.,0.)); #419941=DIRECTION('',(-1.,0.,0.)); #419942=DIRECTION('',(-1.,0.,0.)); #419943=DIRECTION('center_axis',(0.,0.,1.)); #419944=DIRECTION('ref_axis',(1.,0.,0.)); #419945=DIRECTION('center_axis',(0.,0.,1.)); #419946=DIRECTION('ref_axis',(1.,0.,0.)); #419947=DIRECTION('center_axis',(1.,0.,0.)); #419948=DIRECTION('ref_axis',(0.,1.,0.)); #419949=DIRECTION('',(0.,1.,0.)); #419950=DIRECTION('',(0.,0.,1.)); #419951=DIRECTION('',(0.,1.,0.)); #419952=DIRECTION('',(0.,0.,1.)); #419953=DIRECTION('center_axis',(0.,-1.,0.)); #419954=DIRECTION('ref_axis',(1.,0.,0.)); #419955=DIRECTION('',(1.,0.,0.)); #419956=DIRECTION('',(1.,0.,0.)); #419957=DIRECTION('',(0.,0.,1.)); #419958=DIRECTION('center_axis',(-1.,0.,0.)); #419959=DIRECTION('ref_axis',(0.,-1.,0.)); #419960=DIRECTION('',(0.,-1.,0.)); #419961=DIRECTION('',(0.,-1.,0.)); #419962=DIRECTION('',(0.,0.,1.)); #419963=DIRECTION('center_axis',(0.,1.,0.)); #419964=DIRECTION('ref_axis',(-1.,0.,0.)); #419965=DIRECTION('',(-1.,0.,0.)); #419966=DIRECTION('',(-1.,0.,0.)); #419967=DIRECTION('center_axis',(0.,0.,1.)); #419968=DIRECTION('ref_axis',(1.,0.,0.)); #419969=DIRECTION('center_axis',(0.,0.,1.)); #419970=DIRECTION('ref_axis',(1.,0.,0.)); #419971=DIRECTION('center_axis',(1.,0.,0.)); #419972=DIRECTION('ref_axis',(0.,1.,0.)); #419973=DIRECTION('',(0.,1.,0.)); #419974=DIRECTION('',(0.,0.,1.)); #419975=DIRECTION('',(0.,1.,0.)); #419976=DIRECTION('',(0.,0.,1.)); #419977=DIRECTION('center_axis',(0.,-1.,0.)); #419978=DIRECTION('ref_axis',(1.,0.,0.)); #419979=DIRECTION('',(1.,0.,0.)); #419980=DIRECTION('',(1.,0.,0.)); #419981=DIRECTION('',(0.,0.,1.)); #419982=DIRECTION('center_axis',(-1.,0.,0.)); #419983=DIRECTION('ref_axis',(0.,-1.,0.)); #419984=DIRECTION('',(0.,-1.,0.)); #419985=DIRECTION('',(0.,-1.,0.)); #419986=DIRECTION('',(0.,0.,1.)); #419987=DIRECTION('center_axis',(0.,1.,0.)); #419988=DIRECTION('ref_axis',(-1.,0.,0.)); #419989=DIRECTION('',(-1.,0.,0.)); #419990=DIRECTION('',(-1.,0.,0.)); #419991=DIRECTION('center_axis',(0.,0.,1.)); #419992=DIRECTION('ref_axis',(1.,0.,0.)); #419993=DIRECTION('center_axis',(0.,0.,1.)); #419994=DIRECTION('ref_axis',(1.,0.,0.)); #419995=DIRECTION('center_axis',(1.,0.,0.)); #419996=DIRECTION('ref_axis',(0.,1.,0.)); #419997=DIRECTION('',(0.,1.,0.)); #419998=DIRECTION('',(0.,0.,1.)); #419999=DIRECTION('',(0.,1.,0.)); #420000=DIRECTION('',(0.,0.,1.)); #420001=DIRECTION('center_axis',(0.,-1.,0.)); #420002=DIRECTION('ref_axis',(1.,0.,0.)); #420003=DIRECTION('',(1.,0.,0.)); #420004=DIRECTION('',(1.,0.,0.)); #420005=DIRECTION('',(0.,0.,1.)); #420006=DIRECTION('center_axis',(-1.,0.,0.)); #420007=DIRECTION('ref_axis',(0.,-1.,0.)); #420008=DIRECTION('',(0.,-1.,0.)); #420009=DIRECTION('',(0.,-1.,0.)); #420010=DIRECTION('',(0.,0.,1.)); #420011=DIRECTION('center_axis',(0.,1.,0.)); #420012=DIRECTION('ref_axis',(-1.,0.,0.)); #420013=DIRECTION('',(-1.,0.,0.)); #420014=DIRECTION('',(-1.,0.,0.)); #420015=DIRECTION('center_axis',(0.,0.,1.)); #420016=DIRECTION('ref_axis',(1.,0.,0.)); #420017=DIRECTION('center_axis',(0.,0.,1.)); #420018=DIRECTION('ref_axis',(1.,0.,0.)); #420019=DIRECTION('center_axis',(1.,0.,0.)); #420020=DIRECTION('ref_axis',(0.,1.,0.)); #420021=DIRECTION('',(0.,1.,0.)); #420022=DIRECTION('',(0.,0.,1.)); #420023=DIRECTION('',(0.,1.,0.)); #420024=DIRECTION('',(0.,0.,1.)); #420025=DIRECTION('center_axis',(0.,-1.,0.)); #420026=DIRECTION('ref_axis',(1.,0.,0.)); #420027=DIRECTION('',(1.,0.,0.)); #420028=DIRECTION('',(1.,0.,0.)); #420029=DIRECTION('',(0.,0.,1.)); #420030=DIRECTION('center_axis',(-1.,0.,0.)); #420031=DIRECTION('ref_axis',(0.,-1.,0.)); #420032=DIRECTION('',(0.,-1.,0.)); #420033=DIRECTION('',(0.,-1.,0.)); #420034=DIRECTION('',(0.,0.,1.)); #420035=DIRECTION('center_axis',(0.,1.,0.)); #420036=DIRECTION('ref_axis',(-1.,0.,0.)); #420037=DIRECTION('',(-1.,0.,0.)); #420038=DIRECTION('',(-1.,0.,0.)); #420039=DIRECTION('center_axis',(0.,0.,1.)); #420040=DIRECTION('ref_axis',(1.,0.,0.)); #420041=DIRECTION('center_axis',(0.,0.,1.)); #420042=DIRECTION('ref_axis',(1.,0.,0.)); #420043=DIRECTION('center_axis',(1.,0.,0.)); #420044=DIRECTION('ref_axis',(0.,1.,0.)); #420045=DIRECTION('',(0.,1.,0.)); #420046=DIRECTION('',(0.,0.,1.)); #420047=DIRECTION('',(0.,1.,0.)); #420048=DIRECTION('',(0.,0.,1.)); #420049=DIRECTION('center_axis',(0.,-1.,0.)); #420050=DIRECTION('ref_axis',(1.,0.,0.)); #420051=DIRECTION('',(1.,0.,0.)); #420052=DIRECTION('',(1.,0.,0.)); #420053=DIRECTION('',(0.,0.,1.)); #420054=DIRECTION('center_axis',(-1.,0.,0.)); #420055=DIRECTION('ref_axis',(0.,-1.,0.)); #420056=DIRECTION('',(0.,-1.,0.)); #420057=DIRECTION('',(0.,-1.,0.)); #420058=DIRECTION('',(0.,0.,1.)); #420059=DIRECTION('center_axis',(0.,1.,0.)); #420060=DIRECTION('ref_axis',(-1.,0.,0.)); #420061=DIRECTION('',(-1.,0.,0.)); #420062=DIRECTION('',(-1.,0.,0.)); #420063=DIRECTION('center_axis',(0.,0.,1.)); #420064=DIRECTION('ref_axis',(1.,0.,0.)); #420065=DIRECTION('center_axis',(0.,0.,1.)); #420066=DIRECTION('ref_axis',(1.,0.,0.)); #420067=DIRECTION('center_axis',(1.,0.,0.)); #420068=DIRECTION('ref_axis',(0.,1.,0.)); #420069=DIRECTION('',(0.,1.,0.)); #420070=DIRECTION('',(0.,0.,1.)); #420071=DIRECTION('',(0.,1.,0.)); #420072=DIRECTION('',(0.,0.,1.)); #420073=DIRECTION('center_axis',(0.,-1.,0.)); #420074=DIRECTION('ref_axis',(1.,0.,0.)); #420075=DIRECTION('',(1.,0.,0.)); #420076=DIRECTION('',(1.,0.,0.)); #420077=DIRECTION('',(0.,0.,1.)); #420078=DIRECTION('center_axis',(-1.,0.,0.)); #420079=DIRECTION('ref_axis',(0.,-1.,0.)); #420080=DIRECTION('',(0.,-1.,0.)); #420081=DIRECTION('',(0.,-1.,0.)); #420082=DIRECTION('',(0.,0.,1.)); #420083=DIRECTION('center_axis',(0.,1.,0.)); #420084=DIRECTION('ref_axis',(-1.,0.,0.)); #420085=DIRECTION('',(-1.,0.,0.)); #420086=DIRECTION('',(-1.,0.,0.)); #420087=DIRECTION('center_axis',(0.,0.,1.)); #420088=DIRECTION('ref_axis',(1.,0.,0.)); #420089=DIRECTION('center_axis',(0.,0.,1.)); #420090=DIRECTION('ref_axis',(1.,0.,0.)); #420091=DIRECTION('center_axis',(1.,0.,0.)); #420092=DIRECTION('ref_axis',(0.,1.,0.)); #420093=DIRECTION('',(0.,1.,0.)); #420094=DIRECTION('',(0.,0.,1.)); #420095=DIRECTION('',(0.,1.,0.)); #420096=DIRECTION('',(0.,0.,1.)); #420097=DIRECTION('center_axis',(0.,-1.,0.)); #420098=DIRECTION('ref_axis',(1.,0.,0.)); #420099=DIRECTION('',(1.,0.,0.)); #420100=DIRECTION('',(1.,0.,0.)); #420101=DIRECTION('',(0.,0.,1.)); #420102=DIRECTION('center_axis',(-1.,0.,0.)); #420103=DIRECTION('ref_axis',(0.,-1.,0.)); #420104=DIRECTION('',(0.,-1.,0.)); #420105=DIRECTION('',(0.,-1.,0.)); #420106=DIRECTION('',(0.,0.,1.)); #420107=DIRECTION('center_axis',(0.,1.,0.)); #420108=DIRECTION('ref_axis',(-1.,0.,0.)); #420109=DIRECTION('',(-1.,0.,0.)); #420110=DIRECTION('',(-1.,0.,0.)); #420111=DIRECTION('center_axis',(0.,0.,1.)); #420112=DIRECTION('ref_axis',(1.,0.,0.)); #420113=DIRECTION('center_axis',(0.,0.,1.)); #420114=DIRECTION('ref_axis',(1.,0.,0.)); #420115=DIRECTION('center_axis',(1.,0.,0.)); #420116=DIRECTION('ref_axis',(0.,1.,0.)); #420117=DIRECTION('',(0.,1.,0.)); #420118=DIRECTION('',(0.,0.,1.)); #420119=DIRECTION('',(0.,1.,0.)); #420120=DIRECTION('',(0.,0.,1.)); #420121=DIRECTION('center_axis',(0.,-1.,0.)); #420122=DIRECTION('ref_axis',(1.,0.,0.)); #420123=DIRECTION('',(1.,0.,0.)); #420124=DIRECTION('',(1.,0.,0.)); #420125=DIRECTION('',(0.,0.,1.)); #420126=DIRECTION('center_axis',(-1.,0.,0.)); #420127=DIRECTION('ref_axis',(0.,-1.,0.)); #420128=DIRECTION('',(0.,-1.,0.)); #420129=DIRECTION('',(0.,-1.,0.)); #420130=DIRECTION('',(0.,0.,1.)); #420131=DIRECTION('center_axis',(0.,1.,0.)); #420132=DIRECTION('ref_axis',(-1.,0.,0.)); #420133=DIRECTION('',(-1.,0.,0.)); #420134=DIRECTION('',(-1.,0.,0.)); #420135=DIRECTION('center_axis',(0.,0.,1.)); #420136=DIRECTION('ref_axis',(1.,0.,0.)); #420137=DIRECTION('center_axis',(0.,0.,1.)); #420138=DIRECTION('ref_axis',(1.,0.,0.)); #420139=DIRECTION('center_axis',(1.,0.,0.)); #420140=DIRECTION('ref_axis',(0.,1.,0.)); #420141=DIRECTION('',(0.,1.,0.)); #420142=DIRECTION('',(0.,0.,1.)); #420143=DIRECTION('',(0.,1.,0.)); #420144=DIRECTION('',(0.,0.,1.)); #420145=DIRECTION('center_axis',(0.,-1.,0.)); #420146=DIRECTION('ref_axis',(1.,0.,0.)); #420147=DIRECTION('',(1.,0.,0.)); #420148=DIRECTION('',(1.,0.,0.)); #420149=DIRECTION('',(0.,0.,1.)); #420150=DIRECTION('center_axis',(-1.,0.,0.)); #420151=DIRECTION('ref_axis',(0.,-1.,0.)); #420152=DIRECTION('',(0.,-1.,0.)); #420153=DIRECTION('',(0.,-1.,0.)); #420154=DIRECTION('',(0.,0.,1.)); #420155=DIRECTION('center_axis',(0.,1.,0.)); #420156=DIRECTION('ref_axis',(-1.,0.,0.)); #420157=DIRECTION('',(-1.,0.,0.)); #420158=DIRECTION('',(-1.,0.,0.)); #420159=DIRECTION('center_axis',(0.,0.,1.)); #420160=DIRECTION('ref_axis',(1.,0.,0.)); #420161=DIRECTION('center_axis',(0.,0.,1.)); #420162=DIRECTION('ref_axis',(1.,0.,0.)); #420163=DIRECTION('center_axis',(1.,0.,0.)); #420164=DIRECTION('ref_axis',(0.,1.,0.)); #420165=DIRECTION('',(0.,1.,0.)); #420166=DIRECTION('',(0.,0.,1.)); #420167=DIRECTION('',(0.,1.,0.)); #420168=DIRECTION('',(0.,0.,1.)); #420169=DIRECTION('center_axis',(0.,-1.,0.)); #420170=DIRECTION('ref_axis',(1.,0.,0.)); #420171=DIRECTION('',(1.,0.,0.)); #420172=DIRECTION('',(1.,0.,0.)); #420173=DIRECTION('',(0.,0.,1.)); #420174=DIRECTION('center_axis',(-1.,0.,0.)); #420175=DIRECTION('ref_axis',(0.,-1.,0.)); #420176=DIRECTION('',(0.,-1.,0.)); #420177=DIRECTION('',(0.,-1.,0.)); #420178=DIRECTION('',(0.,0.,1.)); #420179=DIRECTION('center_axis',(0.,1.,0.)); #420180=DIRECTION('ref_axis',(-1.,0.,0.)); #420181=DIRECTION('',(-1.,0.,0.)); #420182=DIRECTION('',(-1.,0.,0.)); #420183=DIRECTION('center_axis',(0.,0.,1.)); #420184=DIRECTION('ref_axis',(1.,0.,0.)); #420185=DIRECTION('center_axis',(0.,0.,1.)); #420186=DIRECTION('ref_axis',(1.,0.,0.)); #420187=DIRECTION('center_axis',(1.,0.,0.)); #420188=DIRECTION('ref_axis',(0.,1.,0.)); #420189=DIRECTION('',(0.,1.,0.)); #420190=DIRECTION('',(0.,0.,1.)); #420191=DIRECTION('',(0.,1.,0.)); #420192=DIRECTION('',(0.,0.,1.)); #420193=DIRECTION('center_axis',(0.,-1.,0.)); #420194=DIRECTION('ref_axis',(1.,0.,0.)); #420195=DIRECTION('',(1.,0.,0.)); #420196=DIRECTION('',(1.,0.,0.)); #420197=DIRECTION('',(0.,0.,1.)); #420198=DIRECTION('center_axis',(-1.,0.,0.)); #420199=DIRECTION('ref_axis',(0.,-1.,0.)); #420200=DIRECTION('',(0.,-1.,0.)); #420201=DIRECTION('',(0.,-1.,0.)); #420202=DIRECTION('',(0.,0.,1.)); #420203=DIRECTION('center_axis',(0.,1.,0.)); #420204=DIRECTION('ref_axis',(-1.,0.,0.)); #420205=DIRECTION('',(-1.,0.,0.)); #420206=DIRECTION('',(-1.,0.,0.)); #420207=DIRECTION('center_axis',(0.,0.,1.)); #420208=DIRECTION('ref_axis',(1.,0.,0.)); #420209=DIRECTION('center_axis',(0.,0.,1.)); #420210=DIRECTION('ref_axis',(1.,0.,0.)); #420211=DIRECTION('center_axis',(1.,0.,0.)); #420212=DIRECTION('ref_axis',(0.,1.,0.)); #420213=DIRECTION('',(0.,1.,0.)); #420214=DIRECTION('',(0.,0.,1.)); #420215=DIRECTION('',(0.,1.,0.)); #420216=DIRECTION('',(0.,0.,1.)); #420217=DIRECTION('center_axis',(0.,-1.,0.)); #420218=DIRECTION('ref_axis',(1.,0.,0.)); #420219=DIRECTION('',(1.,0.,0.)); #420220=DIRECTION('',(1.,0.,0.)); #420221=DIRECTION('',(0.,0.,1.)); #420222=DIRECTION('center_axis',(-1.,0.,0.)); #420223=DIRECTION('ref_axis',(0.,-1.,0.)); #420224=DIRECTION('',(0.,-1.,0.)); #420225=DIRECTION('',(0.,-1.,0.)); #420226=DIRECTION('',(0.,0.,1.)); #420227=DIRECTION('center_axis',(0.,1.,0.)); #420228=DIRECTION('ref_axis',(-1.,0.,0.)); #420229=DIRECTION('',(-1.,0.,0.)); #420230=DIRECTION('',(-1.,0.,0.)); #420231=DIRECTION('center_axis',(0.,0.,1.)); #420232=DIRECTION('ref_axis',(1.,0.,0.)); #420233=DIRECTION('center_axis',(0.,0.,1.)); #420234=DIRECTION('ref_axis',(1.,0.,0.)); #420235=DIRECTION('center_axis',(1.,0.,0.)); #420236=DIRECTION('ref_axis',(0.,1.,0.)); #420237=DIRECTION('',(0.,1.,0.)); #420238=DIRECTION('',(0.,0.,1.)); #420239=DIRECTION('',(0.,1.,0.)); #420240=DIRECTION('',(0.,0.,1.)); #420241=DIRECTION('center_axis',(0.,-1.,0.)); #420242=DIRECTION('ref_axis',(1.,0.,0.)); #420243=DIRECTION('',(1.,0.,0.)); #420244=DIRECTION('',(1.,0.,0.)); #420245=DIRECTION('',(0.,0.,1.)); #420246=DIRECTION('center_axis',(-1.,0.,0.)); #420247=DIRECTION('ref_axis',(0.,-1.,0.)); #420248=DIRECTION('',(0.,-1.,0.)); #420249=DIRECTION('',(0.,-1.,0.)); #420250=DIRECTION('',(0.,0.,1.)); #420251=DIRECTION('center_axis',(0.,1.,0.)); #420252=DIRECTION('ref_axis',(-1.,0.,0.)); #420253=DIRECTION('',(-1.,0.,0.)); #420254=DIRECTION('',(-1.,0.,0.)); #420255=DIRECTION('center_axis',(0.,0.,1.)); #420256=DIRECTION('ref_axis',(1.,0.,0.)); #420257=DIRECTION('center_axis',(0.,0.,1.)); #420258=DIRECTION('ref_axis',(1.,0.,0.)); #420259=DIRECTION('center_axis',(1.,0.,0.)); #420260=DIRECTION('ref_axis',(0.,1.,0.)); #420261=DIRECTION('',(0.,1.,0.)); #420262=DIRECTION('',(0.,0.,1.)); #420263=DIRECTION('',(0.,1.,0.)); #420264=DIRECTION('',(0.,0.,1.)); #420265=DIRECTION('center_axis',(0.,-1.,0.)); #420266=DIRECTION('ref_axis',(1.,0.,0.)); #420267=DIRECTION('',(1.,0.,0.)); #420268=DIRECTION('',(1.,0.,0.)); #420269=DIRECTION('',(0.,0.,1.)); #420270=DIRECTION('center_axis',(-1.,0.,0.)); #420271=DIRECTION('ref_axis',(0.,-1.,0.)); #420272=DIRECTION('',(0.,-1.,0.)); #420273=DIRECTION('',(0.,-1.,0.)); #420274=DIRECTION('',(0.,0.,1.)); #420275=DIRECTION('center_axis',(0.,1.,0.)); #420276=DIRECTION('ref_axis',(-1.,0.,0.)); #420277=DIRECTION('',(-1.,0.,0.)); #420278=DIRECTION('',(-1.,0.,0.)); #420279=DIRECTION('center_axis',(0.,0.,1.)); #420280=DIRECTION('ref_axis',(1.,0.,0.)); #420281=DIRECTION('center_axis',(0.,0.,1.)); #420282=DIRECTION('ref_axis',(1.,0.,0.)); #420283=DIRECTION('center_axis',(1.,0.,0.)); #420284=DIRECTION('ref_axis',(0.,1.,0.)); #420285=DIRECTION('',(0.,1.,0.)); #420286=DIRECTION('',(0.,0.,1.)); #420287=DIRECTION('',(0.,1.,0.)); #420288=DIRECTION('',(0.,0.,1.)); #420289=DIRECTION('center_axis',(0.,-1.,0.)); #420290=DIRECTION('ref_axis',(1.,0.,0.)); #420291=DIRECTION('',(1.,0.,0.)); #420292=DIRECTION('',(1.,0.,0.)); #420293=DIRECTION('',(0.,0.,1.)); #420294=DIRECTION('center_axis',(-1.,0.,0.)); #420295=DIRECTION('ref_axis',(0.,-1.,0.)); #420296=DIRECTION('',(0.,-1.,0.)); #420297=DIRECTION('',(0.,-1.,0.)); #420298=DIRECTION('',(0.,0.,1.)); #420299=DIRECTION('center_axis',(0.,1.,0.)); #420300=DIRECTION('ref_axis',(-1.,0.,0.)); #420301=DIRECTION('',(-1.,0.,0.)); #420302=DIRECTION('',(-1.,0.,0.)); #420303=DIRECTION('center_axis',(0.,0.,1.)); #420304=DIRECTION('ref_axis',(1.,0.,0.)); #420305=DIRECTION('center_axis',(0.,0.,1.)); #420306=DIRECTION('ref_axis',(1.,0.,0.)); #420307=DIRECTION('center_axis',(1.,0.,0.)); #420308=DIRECTION('ref_axis',(0.,1.,0.)); #420309=DIRECTION('',(0.,1.,0.)); #420310=DIRECTION('',(0.,0.,1.)); #420311=DIRECTION('',(0.,1.,0.)); #420312=DIRECTION('',(0.,0.,1.)); #420313=DIRECTION('center_axis',(0.,-1.,0.)); #420314=DIRECTION('ref_axis',(1.,0.,0.)); #420315=DIRECTION('',(1.,0.,0.)); #420316=DIRECTION('',(1.,0.,0.)); #420317=DIRECTION('',(0.,0.,1.)); #420318=DIRECTION('center_axis',(-1.,0.,0.)); #420319=DIRECTION('ref_axis',(0.,-1.,0.)); #420320=DIRECTION('',(0.,-1.,0.)); #420321=DIRECTION('',(0.,-1.,0.)); #420322=DIRECTION('',(0.,0.,1.)); #420323=DIRECTION('center_axis',(0.,1.,0.)); #420324=DIRECTION('ref_axis',(-1.,0.,0.)); #420325=DIRECTION('',(-1.,0.,0.)); #420326=DIRECTION('',(-1.,0.,0.)); #420327=DIRECTION('center_axis',(0.,0.,1.)); #420328=DIRECTION('ref_axis',(1.,0.,0.)); #420329=DIRECTION('center_axis',(0.,0.,1.)); #420330=DIRECTION('ref_axis',(1.,0.,0.)); #420331=DIRECTION('center_axis',(1.,0.,0.)); #420332=DIRECTION('ref_axis',(0.,1.,0.)); #420333=DIRECTION('',(0.,1.,0.)); #420334=DIRECTION('',(0.,0.,1.)); #420335=DIRECTION('',(0.,1.,0.)); #420336=DIRECTION('',(0.,0.,1.)); #420337=DIRECTION('center_axis',(0.,-1.,0.)); #420338=DIRECTION('ref_axis',(1.,0.,0.)); #420339=DIRECTION('',(1.,0.,0.)); #420340=DIRECTION('',(1.,0.,0.)); #420341=DIRECTION('',(0.,0.,1.)); #420342=DIRECTION('center_axis',(-1.,0.,0.)); #420343=DIRECTION('ref_axis',(0.,-1.,0.)); #420344=DIRECTION('',(0.,-1.,0.)); #420345=DIRECTION('',(0.,-1.,0.)); #420346=DIRECTION('',(0.,0.,1.)); #420347=DIRECTION('center_axis',(0.,1.,0.)); #420348=DIRECTION('ref_axis',(-1.,0.,0.)); #420349=DIRECTION('',(-1.,0.,0.)); #420350=DIRECTION('',(-1.,0.,0.)); #420351=DIRECTION('center_axis',(0.,0.,1.)); #420352=DIRECTION('ref_axis',(1.,0.,0.)); #420353=DIRECTION('center_axis',(0.,0.,1.)); #420354=DIRECTION('ref_axis',(1.,0.,0.)); #420355=DIRECTION('center_axis',(1.,0.,0.)); #420356=DIRECTION('ref_axis',(0.,1.,0.)); #420357=DIRECTION('',(0.,1.,0.)); #420358=DIRECTION('',(0.,0.,1.)); #420359=DIRECTION('',(0.,1.,0.)); #420360=DIRECTION('',(0.,0.,1.)); #420361=DIRECTION('center_axis',(0.,-1.,0.)); #420362=DIRECTION('ref_axis',(1.,0.,0.)); #420363=DIRECTION('',(1.,0.,0.)); #420364=DIRECTION('',(1.,0.,0.)); #420365=DIRECTION('',(0.,0.,1.)); #420366=DIRECTION('center_axis',(-1.,0.,0.)); #420367=DIRECTION('ref_axis',(0.,-1.,0.)); #420368=DIRECTION('',(0.,-1.,0.)); #420369=DIRECTION('',(0.,-1.,0.)); #420370=DIRECTION('',(0.,0.,1.)); #420371=DIRECTION('center_axis',(0.,1.,0.)); #420372=DIRECTION('ref_axis',(-1.,0.,0.)); #420373=DIRECTION('',(-1.,0.,0.)); #420374=DIRECTION('',(-1.,0.,0.)); #420375=DIRECTION('center_axis',(0.,0.,1.)); #420376=DIRECTION('ref_axis',(1.,0.,0.)); #420377=DIRECTION('center_axis',(0.,0.,1.)); #420378=DIRECTION('ref_axis',(1.,0.,0.)); #420379=DIRECTION('center_axis',(1.,0.,0.)); #420380=DIRECTION('ref_axis',(0.,1.,0.)); #420381=DIRECTION('',(0.,1.,0.)); #420382=DIRECTION('',(0.,0.,1.)); #420383=DIRECTION('',(0.,1.,0.)); #420384=DIRECTION('',(0.,0.,1.)); #420385=DIRECTION('center_axis',(0.,-1.,0.)); #420386=DIRECTION('ref_axis',(1.,0.,0.)); #420387=DIRECTION('',(1.,0.,0.)); #420388=DIRECTION('',(1.,0.,0.)); #420389=DIRECTION('',(0.,0.,1.)); #420390=DIRECTION('center_axis',(-1.,0.,0.)); #420391=DIRECTION('ref_axis',(0.,-1.,0.)); #420392=DIRECTION('',(0.,-1.,0.)); #420393=DIRECTION('',(0.,-1.,0.)); #420394=DIRECTION('',(0.,0.,1.)); #420395=DIRECTION('center_axis',(0.,1.,0.)); #420396=DIRECTION('ref_axis',(-1.,0.,0.)); #420397=DIRECTION('',(-1.,0.,0.)); #420398=DIRECTION('',(-1.,0.,0.)); #420399=DIRECTION('center_axis',(0.,0.,1.)); #420400=DIRECTION('ref_axis',(1.,0.,0.)); #420401=DIRECTION('center_axis',(0.,0.,1.)); #420402=DIRECTION('ref_axis',(1.,0.,0.)); #420403=DIRECTION('center_axis',(0.,0.,1.)); #420404=DIRECTION('ref_axis',(1.,0.,0.)); #420405=DIRECTION('center_axis',(0.,0.,1.)); #420406=DIRECTION('ref_axis',(1.,0.,0.)); #420407=DIRECTION('',(0.,0.,1.)); #420408=DIRECTION('center_axis',(0.,0.,-1.)); #420409=DIRECTION('ref_axis',(1.,0.,0.)); #420410=DIRECTION('center_axis',(0.,0.,1.)); #420411=DIRECTION('ref_axis',(1.,0.,0.)); #420412=DIRECTION('center_axis',(0.,0.,1.)); #420413=DIRECTION('ref_axis',(1.,0.,0.)); #420414=DIRECTION('',(0.,0.,1.)); #420415=DIRECTION('center_axis',(0.,0.,-1.)); #420416=DIRECTION('ref_axis',(1.,0.,0.)); #420417=DIRECTION('center_axis',(0.,0.,1.)); #420418=DIRECTION('ref_axis',(1.,0.,0.)); #420419=DIRECTION('center_axis',(0.,0.,1.)); #420420=DIRECTION('ref_axis',(1.,0.,0.)); #420421=DIRECTION('',(0.,0.,1.)); #420422=DIRECTION('center_axis',(0.,0.,-1.)); #420423=DIRECTION('ref_axis',(1.,0.,0.)); #420424=DIRECTION('center_axis',(0.,0.,1.)); #420425=DIRECTION('ref_axis',(1.,0.,0.)); #420426=DIRECTION('center_axis',(0.,0.,1.)); #420427=DIRECTION('ref_axis',(1.,0.,0.)); #420428=DIRECTION('',(0.,0.,1.)); #420429=DIRECTION('center_axis',(0.,0.,-1.)); #420430=DIRECTION('ref_axis',(1.,0.,0.)); #420431=DIRECTION('center_axis',(0.,0.,1.)); #420432=DIRECTION('ref_axis',(1.,0.,0.)); #420433=DIRECTION('center_axis',(0.,0.,1.)); #420434=DIRECTION('ref_axis',(1.,0.,0.)); #420435=DIRECTION('',(0.,0.,1.)); #420436=DIRECTION('center_axis',(0.,0.,-1.)); #420437=DIRECTION('ref_axis',(1.,0.,0.)); #420438=DIRECTION('center_axis',(0.,0.,1.)); #420439=DIRECTION('ref_axis',(1.,0.,0.)); #420440=DIRECTION('center_axis',(0.,0.,1.)); #420441=DIRECTION('ref_axis',(1.,0.,0.)); #420442=DIRECTION('',(0.,0.,1.)); #420443=DIRECTION('center_axis',(0.,0.,-1.)); #420444=DIRECTION('ref_axis',(1.,0.,0.)); #420445=DIRECTION('center_axis',(1.,0.,0.)); #420446=DIRECTION('ref_axis',(0.,1.,0.)); #420447=DIRECTION('',(0.,1.,0.)); #420448=DIRECTION('',(0.,0.,1.)); #420449=DIRECTION('',(0.,1.,0.)); #420450=DIRECTION('',(0.,0.,1.)); #420451=DIRECTION('center_axis',(0.,-1.,0.)); #420452=DIRECTION('ref_axis',(1.,0.,0.)); #420453=DIRECTION('',(1.,0.,0.)); #420454=DIRECTION('',(1.,0.,0.)); #420455=DIRECTION('',(0.,0.,1.)); #420456=DIRECTION('center_axis',(-1.,0.,0.)); #420457=DIRECTION('ref_axis',(0.,-1.,0.)); #420458=DIRECTION('',(0.,-1.,0.)); #420459=DIRECTION('',(0.,-1.,0.)); #420460=DIRECTION('',(0.,0.,1.)); #420461=DIRECTION('center_axis',(0.,1.,0.)); #420462=DIRECTION('ref_axis',(-1.,0.,0.)); #420463=DIRECTION('',(-1.,0.,0.)); #420464=DIRECTION('',(-1.,0.,0.)); #420465=DIRECTION('center_axis',(0.,0.,1.)); #420466=DIRECTION('ref_axis',(1.,0.,0.)); #420467=DIRECTION('center_axis',(0.,0.,1.)); #420468=DIRECTION('ref_axis',(1.,0.,0.)); #420469=DIRECTION('center_axis',(1.,0.,0.)); #420470=DIRECTION('ref_axis',(0.,1.,0.)); #420471=DIRECTION('',(0.,1.,0.)); #420472=DIRECTION('',(0.,0.,1.)); #420473=DIRECTION('',(0.,1.,0.)); #420474=DIRECTION('',(0.,0.,1.)); #420475=DIRECTION('center_axis',(0.,-1.,0.)); #420476=DIRECTION('ref_axis',(1.,0.,0.)); #420477=DIRECTION('',(1.,0.,0.)); #420478=DIRECTION('',(1.,0.,0.)); #420479=DIRECTION('',(0.,0.,1.)); #420480=DIRECTION('center_axis',(-1.,0.,0.)); #420481=DIRECTION('ref_axis',(0.,-1.,0.)); #420482=DIRECTION('',(0.,-1.,0.)); #420483=DIRECTION('',(0.,-1.,0.)); #420484=DIRECTION('',(0.,0.,1.)); #420485=DIRECTION('center_axis',(0.,1.,0.)); #420486=DIRECTION('ref_axis',(-1.,0.,0.)); #420487=DIRECTION('',(-1.,0.,0.)); #420488=DIRECTION('',(-1.,0.,0.)); #420489=DIRECTION('center_axis',(0.,0.,1.)); #420490=DIRECTION('ref_axis',(1.,0.,0.)); #420491=DIRECTION('center_axis',(0.,0.,1.)); #420492=DIRECTION('ref_axis',(1.,0.,0.)); #420493=DIRECTION('center_axis',(1.,0.,0.)); #420494=DIRECTION('ref_axis',(0.,1.,0.)); #420495=DIRECTION('',(0.,1.,0.)); #420496=DIRECTION('',(0.,0.,1.)); #420497=DIRECTION('',(0.,1.,0.)); #420498=DIRECTION('',(0.,0.,1.)); #420499=DIRECTION('center_axis',(0.,-1.,0.)); #420500=DIRECTION('ref_axis',(1.,0.,0.)); #420501=DIRECTION('',(1.,0.,0.)); #420502=DIRECTION('',(1.,0.,0.)); #420503=DIRECTION('',(0.,0.,1.)); #420504=DIRECTION('center_axis',(-1.,0.,0.)); #420505=DIRECTION('ref_axis',(0.,-1.,0.)); #420506=DIRECTION('',(0.,-1.,0.)); #420507=DIRECTION('',(0.,-1.,0.)); #420508=DIRECTION('',(0.,0.,1.)); #420509=DIRECTION('center_axis',(0.,1.,0.)); #420510=DIRECTION('ref_axis',(-1.,0.,0.)); #420511=DIRECTION('',(-1.,0.,0.)); #420512=DIRECTION('',(-1.,0.,0.)); #420513=DIRECTION('center_axis',(0.,0.,1.)); #420514=DIRECTION('ref_axis',(1.,0.,0.)); #420515=DIRECTION('center_axis',(0.,0.,1.)); #420516=DIRECTION('ref_axis',(1.,0.,0.)); #420517=DIRECTION('center_axis',(1.,0.,0.)); #420518=DIRECTION('ref_axis',(0.,1.,0.)); #420519=DIRECTION('',(0.,1.,0.)); #420520=DIRECTION('',(0.,0.,1.)); #420521=DIRECTION('',(0.,1.,0.)); #420522=DIRECTION('',(0.,0.,1.)); #420523=DIRECTION('center_axis',(0.,-1.,0.)); #420524=DIRECTION('ref_axis',(1.,0.,0.)); #420525=DIRECTION('',(1.,0.,0.)); #420526=DIRECTION('',(1.,0.,0.)); #420527=DIRECTION('',(0.,0.,1.)); #420528=DIRECTION('center_axis',(-1.,0.,0.)); #420529=DIRECTION('ref_axis',(0.,-1.,0.)); #420530=DIRECTION('',(0.,-1.,0.)); #420531=DIRECTION('',(0.,-1.,0.)); #420532=DIRECTION('',(0.,0.,1.)); #420533=DIRECTION('center_axis',(0.,1.,0.)); #420534=DIRECTION('ref_axis',(-1.,0.,0.)); #420535=DIRECTION('',(-1.,0.,0.)); #420536=DIRECTION('',(-1.,0.,0.)); #420537=DIRECTION('center_axis',(0.,0.,1.)); #420538=DIRECTION('ref_axis',(1.,0.,0.)); #420539=DIRECTION('center_axis',(0.,0.,1.)); #420540=DIRECTION('ref_axis',(1.,0.,0.)); #420541=DIRECTION('center_axis',(1.,0.,0.)); #420542=DIRECTION('ref_axis',(0.,1.,0.)); #420543=DIRECTION('',(0.,1.,0.)); #420544=DIRECTION('',(0.,0.,1.)); #420545=DIRECTION('',(0.,1.,0.)); #420546=DIRECTION('',(0.,0.,1.)); #420547=DIRECTION('center_axis',(0.,-1.,0.)); #420548=DIRECTION('ref_axis',(1.,0.,0.)); #420549=DIRECTION('',(1.,0.,0.)); #420550=DIRECTION('',(1.,0.,0.)); #420551=DIRECTION('',(0.,0.,1.)); #420552=DIRECTION('center_axis',(-1.,0.,0.)); #420553=DIRECTION('ref_axis',(0.,-1.,0.)); #420554=DIRECTION('',(0.,-1.,0.)); #420555=DIRECTION('',(0.,-1.,0.)); #420556=DIRECTION('',(0.,0.,1.)); #420557=DIRECTION('center_axis',(0.,1.,0.)); #420558=DIRECTION('ref_axis',(-1.,0.,0.)); #420559=DIRECTION('',(-1.,0.,0.)); #420560=DIRECTION('',(-1.,0.,0.)); #420561=DIRECTION('center_axis',(0.,0.,1.)); #420562=DIRECTION('ref_axis',(1.,0.,0.)); #420563=DIRECTION('center_axis',(0.,0.,1.)); #420564=DIRECTION('ref_axis',(1.,0.,0.)); #420565=DIRECTION('center_axis',(1.,0.,0.)); #420566=DIRECTION('ref_axis',(0.,1.,0.)); #420567=DIRECTION('',(0.,1.,0.)); #420568=DIRECTION('',(0.,0.,1.)); #420569=DIRECTION('',(0.,1.,0.)); #420570=DIRECTION('',(0.,0.,1.)); #420571=DIRECTION('center_axis',(0.,-1.,0.)); #420572=DIRECTION('ref_axis',(1.,0.,0.)); #420573=DIRECTION('',(1.,0.,0.)); #420574=DIRECTION('',(1.,0.,0.)); #420575=DIRECTION('',(0.,0.,1.)); #420576=DIRECTION('center_axis',(-1.,0.,0.)); #420577=DIRECTION('ref_axis',(0.,-1.,0.)); #420578=DIRECTION('',(0.,-1.,0.)); #420579=DIRECTION('',(0.,-1.,0.)); #420580=DIRECTION('',(0.,0.,1.)); #420581=DIRECTION('center_axis',(0.,1.,0.)); #420582=DIRECTION('ref_axis',(-1.,0.,0.)); #420583=DIRECTION('',(-1.,0.,0.)); #420584=DIRECTION('',(-1.,0.,0.)); #420585=DIRECTION('center_axis',(0.,0.,1.)); #420586=DIRECTION('ref_axis',(1.,0.,0.)); #420587=DIRECTION('center_axis',(0.,0.,1.)); #420588=DIRECTION('ref_axis',(1.,0.,0.)); #420589=DIRECTION('center_axis',(1.,0.,0.)); #420590=DIRECTION('ref_axis',(0.,1.,0.)); #420591=DIRECTION('',(0.,1.,0.)); #420592=DIRECTION('',(0.,0.,1.)); #420593=DIRECTION('',(0.,1.,0.)); #420594=DIRECTION('',(0.,0.,1.)); #420595=DIRECTION('center_axis',(0.,-1.,0.)); #420596=DIRECTION('ref_axis',(1.,0.,0.)); #420597=DIRECTION('',(1.,0.,0.)); #420598=DIRECTION('',(1.,0.,0.)); #420599=DIRECTION('',(0.,0.,1.)); #420600=DIRECTION('center_axis',(-1.,0.,0.)); #420601=DIRECTION('ref_axis',(0.,-1.,0.)); #420602=DIRECTION('',(0.,-1.,0.)); #420603=DIRECTION('',(0.,-1.,0.)); #420604=DIRECTION('',(0.,0.,1.)); #420605=DIRECTION('center_axis',(0.,1.,0.)); #420606=DIRECTION('ref_axis',(-1.,0.,0.)); #420607=DIRECTION('',(-1.,0.,0.)); #420608=DIRECTION('',(-1.,0.,0.)); #420609=DIRECTION('center_axis',(0.,0.,1.)); #420610=DIRECTION('ref_axis',(1.,0.,0.)); #420611=DIRECTION('center_axis',(0.,0.,1.)); #420612=DIRECTION('ref_axis',(1.,0.,0.)); #420613=DIRECTION('center_axis',(0.,0.,1.)); #420614=DIRECTION('ref_axis',(1.,0.,0.)); #420615=DIRECTION('center_axis',(0.,0.,1.)); #420616=DIRECTION('ref_axis',(1.,0.,0.)); #420617=DIRECTION('',(0.,0.,1.)); #420618=DIRECTION('center_axis',(0.,0.,-1.)); #420619=DIRECTION('ref_axis',(1.,0.,0.)); #420620=DIRECTION('center_axis',(0.,0.,1.)); #420621=DIRECTION('ref_axis',(1.,0.,0.)); #420622=DIRECTION('center_axis',(0.,0.,1.)); #420623=DIRECTION('ref_axis',(1.,0.,0.)); #420624=DIRECTION('',(0.,0.,1.)); #420625=DIRECTION('center_axis',(0.,0.,-1.)); #420626=DIRECTION('ref_axis',(1.,0.,0.)); #420627=DIRECTION('center_axis',(0.,0.,1.)); #420628=DIRECTION('ref_axis',(1.,0.,0.)); #420629=DIRECTION('center_axis',(0.,0.,1.)); #420630=DIRECTION('ref_axis',(1.,0.,0.)); #420631=DIRECTION('',(0.,0.,1.)); #420632=DIRECTION('center_axis',(0.,0.,-1.)); #420633=DIRECTION('ref_axis',(1.,0.,0.)); #420634=DIRECTION('center_axis',(0.,0.,1.)); #420635=DIRECTION('ref_axis',(1.,0.,0.)); #420636=DIRECTION('center_axis',(0.,0.,1.)); #420637=DIRECTION('ref_axis',(1.,0.,0.)); #420638=DIRECTION('',(0.,0.,1.)); #420639=DIRECTION('center_axis',(0.,0.,-1.)); #420640=DIRECTION('ref_axis',(1.,0.,0.)); #420641=DIRECTION('center_axis',(0.,0.,1.)); #420642=DIRECTION('ref_axis',(1.,0.,0.)); #420643=DIRECTION('center_axis',(0.,0.,1.)); #420644=DIRECTION('ref_axis',(1.,0.,0.)); #420645=DIRECTION('',(0.,0.,1.)); #420646=DIRECTION('center_axis',(0.,0.,-1.)); #420647=DIRECTION('ref_axis',(1.,0.,0.)); #420648=DIRECTION('center_axis',(0.,0.,1.)); #420649=DIRECTION('ref_axis',(1.,0.,0.)); #420650=DIRECTION('center_axis',(0.,0.,1.)); #420651=DIRECTION('ref_axis',(1.,0.,0.)); #420652=DIRECTION('',(0.,0.,1.)); #420653=DIRECTION('center_axis',(0.,0.,-1.)); #420654=DIRECTION('ref_axis',(1.,0.,0.)); #420655=DIRECTION('center_axis',(1.,0.,0.)); #420656=DIRECTION('ref_axis',(0.,1.,0.)); #420657=DIRECTION('',(0.,1.,0.)); #420658=DIRECTION('',(0.,0.,1.)); #420659=DIRECTION('',(0.,1.,0.)); #420660=DIRECTION('',(0.,0.,1.)); #420661=DIRECTION('center_axis',(0.,-1.,0.)); #420662=DIRECTION('ref_axis',(1.,0.,0.)); #420663=DIRECTION('',(1.,0.,0.)); #420664=DIRECTION('',(1.,0.,0.)); #420665=DIRECTION('',(0.,0.,1.)); #420666=DIRECTION('center_axis',(-1.,0.,0.)); #420667=DIRECTION('ref_axis',(0.,-1.,0.)); #420668=DIRECTION('',(0.,-1.,0.)); #420669=DIRECTION('',(0.,-1.,0.)); #420670=DIRECTION('',(0.,0.,1.)); #420671=DIRECTION('center_axis',(0.,1.,0.)); #420672=DIRECTION('ref_axis',(-1.,0.,0.)); #420673=DIRECTION('',(-1.,0.,0.)); #420674=DIRECTION('',(-1.,0.,0.)); #420675=DIRECTION('center_axis',(0.,0.,1.)); #420676=DIRECTION('ref_axis',(1.,0.,0.)); #420677=DIRECTION('center_axis',(0.,0.,1.)); #420678=DIRECTION('ref_axis',(1.,0.,0.)); #420679=DIRECTION('center_axis',(1.,0.,0.)); #420680=DIRECTION('ref_axis',(0.,1.,0.)); #420681=DIRECTION('',(0.,1.,0.)); #420682=DIRECTION('',(0.,0.,1.)); #420683=DIRECTION('',(0.,1.,0.)); #420684=DIRECTION('',(0.,0.,1.)); #420685=DIRECTION('center_axis',(0.,-1.,0.)); #420686=DIRECTION('ref_axis',(1.,0.,0.)); #420687=DIRECTION('',(1.,0.,0.)); #420688=DIRECTION('',(1.,0.,0.)); #420689=DIRECTION('',(0.,0.,1.)); #420690=DIRECTION('center_axis',(-1.,0.,0.)); #420691=DIRECTION('ref_axis',(0.,-1.,0.)); #420692=DIRECTION('',(0.,-1.,0.)); #420693=DIRECTION('',(0.,-1.,0.)); #420694=DIRECTION('',(0.,0.,1.)); #420695=DIRECTION('center_axis',(0.,1.,0.)); #420696=DIRECTION('ref_axis',(-1.,0.,0.)); #420697=DIRECTION('',(-1.,0.,0.)); #420698=DIRECTION('',(-1.,0.,0.)); #420699=DIRECTION('center_axis',(0.,0.,1.)); #420700=DIRECTION('ref_axis',(1.,0.,0.)); #420701=DIRECTION('center_axis',(0.,0.,1.)); #420702=DIRECTION('ref_axis',(1.,0.,0.)); #420703=DIRECTION('center_axis',(1.,0.,0.)); #420704=DIRECTION('ref_axis',(0.,1.,0.)); #420705=DIRECTION('',(0.,1.,0.)); #420706=DIRECTION('',(0.,0.,1.)); #420707=DIRECTION('',(0.,1.,0.)); #420708=DIRECTION('',(0.,0.,1.)); #420709=DIRECTION('center_axis',(0.,-1.,0.)); #420710=DIRECTION('ref_axis',(1.,0.,0.)); #420711=DIRECTION('',(1.,0.,0.)); #420712=DIRECTION('',(1.,0.,0.)); #420713=DIRECTION('',(0.,0.,1.)); #420714=DIRECTION('center_axis',(-1.,0.,0.)); #420715=DIRECTION('ref_axis',(0.,-1.,0.)); #420716=DIRECTION('',(0.,-1.,0.)); #420717=DIRECTION('',(0.,-1.,0.)); #420718=DIRECTION('',(0.,0.,1.)); #420719=DIRECTION('center_axis',(0.,1.,0.)); #420720=DIRECTION('ref_axis',(-1.,0.,0.)); #420721=DIRECTION('',(-1.,0.,0.)); #420722=DIRECTION('',(-1.,0.,0.)); #420723=DIRECTION('center_axis',(0.,0.,1.)); #420724=DIRECTION('ref_axis',(1.,0.,0.)); #420725=DIRECTION('center_axis',(0.,0.,1.)); #420726=DIRECTION('ref_axis',(1.,0.,0.)); #420727=DIRECTION('center_axis',(0.,0.,1.)); #420728=DIRECTION('ref_axis',(1.,0.,0.)); #420729=DIRECTION('center_axis',(0.,0.,1.)); #420730=DIRECTION('ref_axis',(1.,0.,0.)); #420731=DIRECTION('',(0.,0.,1.)); #420732=DIRECTION('center_axis',(0.,0.,-1.)); #420733=DIRECTION('ref_axis',(1.,0.,0.)); #420734=DIRECTION('center_axis',(0.,0.,1.)); #420735=DIRECTION('ref_axis',(1.,0.,0.)); #420736=DIRECTION('center_axis',(0.,0.,1.)); #420737=DIRECTION('ref_axis',(1.,0.,0.)); #420738=DIRECTION('',(0.,0.,1.)); #420739=DIRECTION('center_axis',(0.,0.,-1.)); #420740=DIRECTION('ref_axis',(1.,0.,0.)); #420741=DIRECTION('center_axis',(0.,0.,1.)); #420742=DIRECTION('ref_axis',(1.,0.,0.)); #420743=DIRECTION('center_axis',(0.,0.,1.)); #420744=DIRECTION('ref_axis',(1.,0.,0.)); #420745=DIRECTION('',(0.,0.,1.)); #420746=DIRECTION('center_axis',(0.,0.,-1.)); #420747=DIRECTION('ref_axis',(1.,0.,0.)); #420748=DIRECTION('center_axis',(0.,0.,1.)); #420749=DIRECTION('ref_axis',(1.,0.,0.)); #420750=DIRECTION('center_axis',(0.,0.,1.)); #420751=DIRECTION('ref_axis',(1.,0.,0.)); #420752=DIRECTION('',(0.,0.,1.)); #420753=DIRECTION('center_axis',(0.,0.,-1.)); #420754=DIRECTION('ref_axis',(1.,0.,0.)); #420755=DIRECTION('center_axis',(0.,0.,1.)); #420756=DIRECTION('ref_axis',(1.,0.,0.)); #420757=DIRECTION('center_axis',(0.,0.,1.)); #420758=DIRECTION('ref_axis',(1.,0.,0.)); #420759=DIRECTION('',(0.,0.,1.)); #420760=DIRECTION('center_axis',(0.,0.,-1.)); #420761=DIRECTION('ref_axis',(1.,0.,0.)); #420762=DIRECTION('center_axis',(0.,0.,1.)); #420763=DIRECTION('ref_axis',(1.,0.,0.)); #420764=DIRECTION('center_axis',(0.,0.,1.)); #420765=DIRECTION('ref_axis',(1.,0.,0.)); #420766=DIRECTION('',(0.,0.,1.)); #420767=DIRECTION('center_axis',(0.,0.,-1.)); #420768=DIRECTION('ref_axis',(1.,0.,0.)); #420769=DIRECTION('center_axis',(0.,0.,1.)); #420770=DIRECTION('ref_axis',(1.,0.,0.)); #420771=DIRECTION('center_axis',(0.,0.,1.)); #420772=DIRECTION('ref_axis',(1.,0.,0.)); #420773=DIRECTION('',(0.,0.,1.)); #420774=DIRECTION('center_axis',(0.,0.,-1.)); #420775=DIRECTION('ref_axis',(1.,0.,0.)); #420776=DIRECTION('center_axis',(0.,0.,1.)); #420777=DIRECTION('ref_axis',(1.,0.,0.)); #420778=DIRECTION('center_axis',(0.,0.,1.)); #420779=DIRECTION('ref_axis',(1.,0.,0.)); #420780=DIRECTION('',(0.,0.,1.)); #420781=DIRECTION('center_axis',(0.,0.,-1.)); #420782=DIRECTION('ref_axis',(1.,0.,0.)); #420783=DIRECTION('center_axis',(0.,0.,1.)); #420784=DIRECTION('ref_axis',(1.,0.,0.)); #420785=DIRECTION('center_axis',(0.,0.,1.)); #420786=DIRECTION('ref_axis',(1.,0.,0.)); #420787=DIRECTION('',(0.,0.,1.)); #420788=DIRECTION('center_axis',(0.,0.,-1.)); #420789=DIRECTION('ref_axis',(1.,0.,0.)); #420790=DIRECTION('center_axis',(0.,0.,1.)); #420791=DIRECTION('ref_axis',(1.,0.,0.)); #420792=DIRECTION('center_axis',(0.,0.,1.)); #420793=DIRECTION('ref_axis',(1.,0.,0.)); #420794=DIRECTION('',(0.,0.,1.)); #420795=DIRECTION('center_axis',(0.,0.,-1.)); #420796=DIRECTION('ref_axis',(1.,0.,0.)); #420797=DIRECTION('center_axis',(0.,0.,1.)); #420798=DIRECTION('ref_axis',(1.,0.,0.)); #420799=DIRECTION('center_axis',(0.,0.,1.)); #420800=DIRECTION('ref_axis',(1.,0.,0.)); #420801=DIRECTION('',(0.,0.,1.)); #420802=DIRECTION('center_axis',(0.,0.,-1.)); #420803=DIRECTION('ref_axis',(1.,0.,0.)); #420804=DIRECTION('center_axis',(0.,0.,1.)); #420805=DIRECTION('ref_axis',(1.,0.,0.)); #420806=DIRECTION('center_axis',(0.,0.,1.)); #420807=DIRECTION('ref_axis',(1.,0.,0.)); #420808=DIRECTION('',(0.,0.,1.)); #420809=DIRECTION('center_axis',(0.,0.,-1.)); #420810=DIRECTION('ref_axis',(1.,0.,0.)); #420811=DIRECTION('center_axis',(0.,0.,1.)); #420812=DIRECTION('ref_axis',(1.,0.,0.)); #420813=DIRECTION('center_axis',(0.,0.,1.)); #420814=DIRECTION('ref_axis',(1.,0.,0.)); #420815=DIRECTION('',(0.,0.,1.)); #420816=DIRECTION('center_axis',(0.,0.,-1.)); #420817=DIRECTION('ref_axis',(1.,0.,0.)); #420818=DIRECTION('center_axis',(0.,0.,1.)); #420819=DIRECTION('ref_axis',(1.,0.,0.)); #420820=DIRECTION('center_axis',(0.,0.,1.)); #420821=DIRECTION('ref_axis',(1.,0.,0.)); #420822=DIRECTION('',(0.,0.,1.)); #420823=DIRECTION('center_axis',(0.,0.,-1.)); #420824=DIRECTION('ref_axis',(1.,0.,0.)); #420825=DIRECTION('center_axis',(0.,0.,1.)); #420826=DIRECTION('ref_axis',(1.,0.,0.)); #420827=DIRECTION('center_axis',(0.,0.,1.)); #420828=DIRECTION('ref_axis',(1.,0.,0.)); #420829=DIRECTION('',(0.,0.,1.)); #420830=DIRECTION('center_axis',(0.,0.,-1.)); #420831=DIRECTION('ref_axis',(1.,0.,0.)); #420832=DIRECTION('center_axis',(0.,0.,1.)); #420833=DIRECTION('ref_axis',(1.,0.,0.)); #420834=DIRECTION('center_axis',(0.,0.,1.)); #420835=DIRECTION('ref_axis',(1.,0.,0.)); #420836=DIRECTION('',(0.,0.,1.)); #420837=DIRECTION('center_axis',(0.,0.,-1.)); #420838=DIRECTION('ref_axis',(1.,0.,0.)); #420839=DIRECTION('center_axis',(0.,0.,1.)); #420840=DIRECTION('ref_axis',(1.,0.,0.)); #420841=DIRECTION('center_axis',(0.,0.,1.)); #420842=DIRECTION('ref_axis',(1.,0.,0.)); #420843=DIRECTION('',(0.,0.,1.)); #420844=DIRECTION('center_axis',(0.,0.,-1.)); #420845=DIRECTION('ref_axis',(1.,0.,0.)); #420846=DIRECTION('center_axis',(0.,0.,1.)); #420847=DIRECTION('ref_axis',(1.,0.,0.)); #420848=DIRECTION('center_axis',(0.,0.,1.)); #420849=DIRECTION('ref_axis',(1.,0.,0.)); #420850=DIRECTION('',(0.,0.,1.)); #420851=DIRECTION('center_axis',(0.,0.,-1.)); #420852=DIRECTION('ref_axis',(1.,0.,0.)); #420853=DIRECTION('center_axis',(0.,0.,1.)); #420854=DIRECTION('ref_axis',(1.,0.,0.)); #420855=DIRECTION('center_axis',(0.,0.,1.)); #420856=DIRECTION('ref_axis',(1.,0.,0.)); #420857=DIRECTION('',(0.,0.,1.)); #420858=DIRECTION('center_axis',(0.,0.,-1.)); #420859=DIRECTION('ref_axis',(1.,0.,0.)); #420860=DIRECTION('center_axis',(0.,0.,1.)); #420861=DIRECTION('ref_axis',(1.,0.,0.)); #420862=DIRECTION('center_axis',(0.,0.,1.)); #420863=DIRECTION('ref_axis',(1.,0.,0.)); #420864=DIRECTION('',(0.,0.,1.)); #420865=DIRECTION('center_axis',(0.,0.,-1.)); #420866=DIRECTION('ref_axis',(1.,0.,0.)); #420867=DIRECTION('center_axis',(0.,0.,1.)); #420868=DIRECTION('ref_axis',(1.,0.,0.)); #420869=DIRECTION('center_axis',(0.,0.,1.)); #420870=DIRECTION('ref_axis',(1.,0.,0.)); #420871=DIRECTION('',(0.,0.,1.)); #420872=DIRECTION('center_axis',(0.,0.,-1.)); #420873=DIRECTION('ref_axis',(1.,0.,0.)); #420874=DIRECTION('center_axis',(0.,0.,1.)); #420875=DIRECTION('ref_axis',(1.,0.,0.)); #420876=DIRECTION('center_axis',(0.,0.,1.)); #420877=DIRECTION('ref_axis',(1.,0.,0.)); #420878=DIRECTION('',(0.,0.,1.)); #420879=DIRECTION('center_axis',(0.,0.,-1.)); #420880=DIRECTION('ref_axis',(1.,0.,0.)); #420881=DIRECTION('center_axis',(0.,0.,1.)); #420882=DIRECTION('ref_axis',(1.,0.,0.)); #420883=DIRECTION('center_axis',(0.,0.,1.)); #420884=DIRECTION('ref_axis',(1.,0.,0.)); #420885=DIRECTION('',(0.,0.,1.)); #420886=DIRECTION('center_axis',(0.,0.,-1.)); #420887=DIRECTION('ref_axis',(1.,0.,0.)); #420888=DIRECTION('center_axis',(0.,0.,1.)); #420889=DIRECTION('ref_axis',(1.,0.,0.)); #420890=DIRECTION('center_axis',(0.,0.,1.)); #420891=DIRECTION('ref_axis',(1.,0.,0.)); #420892=DIRECTION('',(0.,0.,1.)); #420893=DIRECTION('center_axis',(0.,0.,-1.)); #420894=DIRECTION('ref_axis',(1.,0.,0.)); #420895=DIRECTION('center_axis',(0.,0.,1.)); #420896=DIRECTION('ref_axis',(1.,0.,0.)); #420897=DIRECTION('center_axis',(0.,0.,1.)); #420898=DIRECTION('ref_axis',(1.,0.,0.)); #420899=DIRECTION('',(0.,0.,1.)); #420900=DIRECTION('center_axis',(0.,0.,-1.)); #420901=DIRECTION('ref_axis',(1.,0.,0.)); #420902=DIRECTION('center_axis',(0.,0.,1.)); #420903=DIRECTION('ref_axis',(1.,0.,0.)); #420904=DIRECTION('center_axis',(0.,0.,1.)); #420905=DIRECTION('ref_axis',(1.,0.,0.)); #420906=DIRECTION('',(0.,0.,1.)); #420907=DIRECTION('center_axis',(0.,0.,-1.)); #420908=DIRECTION('ref_axis',(1.,0.,0.)); #420909=DIRECTION('center_axis',(0.,0.,1.)); #420910=DIRECTION('ref_axis',(1.,0.,0.)); #420911=DIRECTION('center_axis',(0.,0.,1.)); #420912=DIRECTION('ref_axis',(1.,0.,0.)); #420913=DIRECTION('',(0.,0.,1.)); #420914=DIRECTION('center_axis',(0.,0.,-1.)); #420915=DIRECTION('ref_axis',(1.,0.,0.)); #420916=DIRECTION('center_axis',(0.,0.,1.)); #420917=DIRECTION('ref_axis',(1.,0.,0.)); #420918=DIRECTION('center_axis',(0.,0.,1.)); #420919=DIRECTION('ref_axis',(1.,0.,0.)); #420920=DIRECTION('',(0.,0.,1.)); #420921=DIRECTION('center_axis',(0.,0.,-1.)); #420922=DIRECTION('ref_axis',(1.,0.,0.)); #420923=DIRECTION('center_axis',(0.,0.,1.)); #420924=DIRECTION('ref_axis',(1.,0.,0.)); #420925=DIRECTION('center_axis',(0.,0.,1.)); #420926=DIRECTION('ref_axis',(1.,0.,0.)); #420927=DIRECTION('',(0.,0.,1.)); #420928=DIRECTION('center_axis',(0.,0.,-1.)); #420929=DIRECTION('ref_axis',(1.,0.,0.)); #420930=DIRECTION('center_axis',(0.,0.,1.)); #420931=DIRECTION('ref_axis',(1.,0.,0.)); #420932=DIRECTION('center_axis',(0.,0.,1.)); #420933=DIRECTION('ref_axis',(1.,0.,0.)); #420934=DIRECTION('',(0.,0.,1.)); #420935=DIRECTION('center_axis',(0.,0.,-1.)); #420936=DIRECTION('ref_axis',(1.,0.,0.)); #420937=DIRECTION('center_axis',(0.,0.,1.)); #420938=DIRECTION('ref_axis',(1.,0.,0.)); #420939=DIRECTION('center_axis',(0.,0.,1.)); #420940=DIRECTION('ref_axis',(1.,0.,0.)); #420941=DIRECTION('',(0.,0.,1.)); #420942=DIRECTION('center_axis',(0.,0.,-1.)); #420943=DIRECTION('ref_axis',(1.,0.,0.)); #420944=DIRECTION('center_axis',(0.,0.,1.)); #420945=DIRECTION('ref_axis',(1.,0.,0.)); #420946=DIRECTION('center_axis',(0.,0.,1.)); #420947=DIRECTION('ref_axis',(1.,0.,0.)); #420948=DIRECTION('',(0.,0.,1.)); #420949=DIRECTION('center_axis',(0.,0.,-1.)); #420950=DIRECTION('ref_axis',(1.,0.,0.)); #420951=DIRECTION('center_axis',(0.,0.,1.)); #420952=DIRECTION('ref_axis',(1.,0.,0.)); #420953=DIRECTION('center_axis',(0.,0.,1.)); #420954=DIRECTION('ref_axis',(1.,0.,0.)); #420955=DIRECTION('',(0.,0.,1.)); #420956=DIRECTION('center_axis',(0.,0.,-1.)); #420957=DIRECTION('ref_axis',(1.,0.,0.)); #420958=DIRECTION('center_axis',(0.,0.,1.)); #420959=DIRECTION('ref_axis',(1.,0.,0.)); #420960=DIRECTION('center_axis',(0.,0.,1.)); #420961=DIRECTION('ref_axis',(1.,0.,0.)); #420962=DIRECTION('',(0.,0.,1.)); #420963=DIRECTION('center_axis',(0.,0.,-1.)); #420964=DIRECTION('ref_axis',(1.,0.,0.)); #420965=DIRECTION('center_axis',(0.,0.,1.)); #420966=DIRECTION('ref_axis',(1.,0.,0.)); #420967=DIRECTION('center_axis',(0.,0.,1.)); #420968=DIRECTION('ref_axis',(1.,0.,0.)); #420969=DIRECTION('',(0.,0.,1.)); #420970=DIRECTION('center_axis',(0.,0.,-1.)); #420971=DIRECTION('ref_axis',(1.,0.,0.)); #420972=DIRECTION('center_axis',(0.,0.,1.)); #420973=DIRECTION('ref_axis',(1.,0.,0.)); #420974=DIRECTION('center_axis',(0.,0.,1.)); #420975=DIRECTION('ref_axis',(1.,0.,0.)); #420976=DIRECTION('',(0.,0.,1.)); #420977=DIRECTION('center_axis',(0.,0.,-1.)); #420978=DIRECTION('ref_axis',(1.,0.,0.)); #420979=DIRECTION('center_axis',(0.,0.,1.)); #420980=DIRECTION('ref_axis',(1.,0.,0.)); #420981=DIRECTION('center_axis',(0.,0.,1.)); #420982=DIRECTION('ref_axis',(1.,0.,0.)); #420983=DIRECTION('',(0.,0.,1.)); #420984=DIRECTION('center_axis',(0.,0.,-1.)); #420985=DIRECTION('ref_axis',(1.,0.,0.)); #420986=DIRECTION('center_axis',(0.,0.,1.)); #420987=DIRECTION('ref_axis',(1.,0.,0.)); #420988=DIRECTION('center_axis',(0.,0.,1.)); #420989=DIRECTION('ref_axis',(1.,0.,0.)); #420990=DIRECTION('',(0.,0.,1.)); #420991=DIRECTION('center_axis',(0.,0.,-1.)); #420992=DIRECTION('ref_axis',(1.,0.,0.)); #420993=DIRECTION('center_axis',(0.,0.,1.)); #420994=DIRECTION('ref_axis',(1.,0.,0.)); #420995=DIRECTION('center_axis',(0.,0.,1.)); #420996=DIRECTION('ref_axis',(1.,0.,0.)); #420997=DIRECTION('',(0.,0.,1.)); #420998=DIRECTION('center_axis',(0.,0.,-1.)); #420999=DIRECTION('ref_axis',(1.,0.,0.)); #421000=DIRECTION('center_axis',(0.,0.,1.)); #421001=DIRECTION('ref_axis',(1.,0.,0.)); #421002=DIRECTION('center_axis',(0.,0.,1.)); #421003=DIRECTION('ref_axis',(1.,0.,0.)); #421004=DIRECTION('',(0.,0.,1.)); #421005=DIRECTION('center_axis',(0.,0.,-1.)); #421006=DIRECTION('ref_axis',(1.,0.,0.)); #421007=DIRECTION('center_axis',(0.,0.,1.)); #421008=DIRECTION('ref_axis',(1.,0.,0.)); #421009=DIRECTION('center_axis',(0.,0.,1.)); #421010=DIRECTION('ref_axis',(1.,0.,0.)); #421011=DIRECTION('',(0.,0.,1.)); #421012=DIRECTION('center_axis',(0.,0.,-1.)); #421013=DIRECTION('ref_axis',(1.,0.,0.)); #421014=DIRECTION('center_axis',(0.,0.,1.)); #421015=DIRECTION('ref_axis',(1.,0.,0.)); #421016=DIRECTION('center_axis',(0.,0.,1.)); #421017=DIRECTION('ref_axis',(1.,0.,0.)); #421018=DIRECTION('',(0.,0.,1.)); #421019=DIRECTION('center_axis',(0.,0.,-1.)); #421020=DIRECTION('ref_axis',(1.,0.,0.)); #421021=DIRECTION('center_axis',(0.,0.,1.)); #421022=DIRECTION('ref_axis',(1.,0.,0.)); #421023=DIRECTION('center_axis',(0.,0.,1.)); #421024=DIRECTION('ref_axis',(1.,0.,0.)); #421025=DIRECTION('',(0.,0.,1.)); #421026=DIRECTION('center_axis',(0.,0.,-1.)); #421027=DIRECTION('ref_axis',(1.,0.,0.)); #421028=DIRECTION('center_axis',(0.,0.,1.)); #421029=DIRECTION('ref_axis',(1.,0.,0.)); #421030=DIRECTION('center_axis',(0.,0.,1.)); #421031=DIRECTION('ref_axis',(1.,0.,0.)); #421032=DIRECTION('',(0.,0.,1.)); #421033=DIRECTION('center_axis',(0.,0.,-1.)); #421034=DIRECTION('ref_axis',(1.,0.,0.)); #421035=DIRECTION('center_axis',(0.,0.,1.)); #421036=DIRECTION('ref_axis',(1.,0.,0.)); #421037=DIRECTION('center_axis',(0.,0.,1.)); #421038=DIRECTION('ref_axis',(1.,0.,0.)); #421039=DIRECTION('',(0.,0.,1.)); #421040=DIRECTION('center_axis',(0.,0.,-1.)); #421041=DIRECTION('ref_axis',(1.,0.,0.)); #421042=DIRECTION('center_axis',(0.,0.,1.)); #421043=DIRECTION('ref_axis',(1.,0.,0.)); #421044=DIRECTION('center_axis',(0.,0.,1.)); #421045=DIRECTION('ref_axis',(1.,0.,0.)); #421046=DIRECTION('',(0.,0.,1.)); #421047=DIRECTION('center_axis',(0.,0.,-1.)); #421048=DIRECTION('ref_axis',(1.,0.,0.)); #421049=DIRECTION('center_axis',(0.,0.,1.)); #421050=DIRECTION('ref_axis',(1.,0.,0.)); #421051=DIRECTION('center_axis',(0.,0.,1.)); #421052=DIRECTION('ref_axis',(1.,0.,0.)); #421053=DIRECTION('',(0.,0.,1.)); #421054=DIRECTION('center_axis',(0.,0.,-1.)); #421055=DIRECTION('ref_axis',(1.,0.,0.)); #421056=DIRECTION('center_axis',(0.,0.,1.)); #421057=DIRECTION('ref_axis',(1.,0.,0.)); #421058=DIRECTION('center_axis',(0.,0.,1.)); #421059=DIRECTION('ref_axis',(1.,0.,0.)); #421060=DIRECTION('',(0.,0.,1.)); #421061=DIRECTION('center_axis',(0.,0.,-1.)); #421062=DIRECTION('ref_axis',(1.,0.,0.)); #421063=DIRECTION('center_axis',(0.,0.,1.)); #421064=DIRECTION('ref_axis',(1.,0.,0.)); #421065=DIRECTION('center_axis',(0.,0.,1.)); #421066=DIRECTION('ref_axis',(1.,0.,0.)); #421067=DIRECTION('',(0.,0.,1.)); #421068=DIRECTION('center_axis',(0.,0.,-1.)); #421069=DIRECTION('ref_axis',(1.,0.,0.)); #421070=DIRECTION('center_axis',(1.,0.,0.)); #421071=DIRECTION('ref_axis',(0.,1.,0.)); #421072=DIRECTION('',(0.,1.,0.)); #421073=DIRECTION('',(0.,0.,1.)); #421074=DIRECTION('',(0.,1.,0.)); #421075=DIRECTION('',(0.,0.,1.)); #421076=DIRECTION('center_axis',(0.,-1.,0.)); #421077=DIRECTION('ref_axis',(1.,0.,0.)); #421078=DIRECTION('',(1.,0.,0.)); #421079=DIRECTION('',(1.,0.,0.)); #421080=DIRECTION('',(0.,0.,1.)); #421081=DIRECTION('center_axis',(-1.,0.,0.)); #421082=DIRECTION('ref_axis',(0.,-1.,0.)); #421083=DIRECTION('',(0.,-1.,0.)); #421084=DIRECTION('',(0.,-1.,0.)); #421085=DIRECTION('',(0.,0.,1.)); #421086=DIRECTION('center_axis',(0.,1.,0.)); #421087=DIRECTION('ref_axis',(-1.,0.,0.)); #421088=DIRECTION('',(-1.,0.,0.)); #421089=DIRECTION('',(-1.,0.,0.)); #421090=DIRECTION('center_axis',(0.,0.,1.)); #421091=DIRECTION('ref_axis',(1.,0.,0.)); #421092=DIRECTION('center_axis',(0.,0.,1.)); #421093=DIRECTION('ref_axis',(1.,0.,0.)); #421094=DIRECTION('center_axis',(1.,0.,0.)); #421095=DIRECTION('ref_axis',(0.,1.,0.)); #421096=DIRECTION('',(0.,1.,0.)); #421097=DIRECTION('',(0.,0.,1.)); #421098=DIRECTION('',(0.,1.,0.)); #421099=DIRECTION('',(0.,0.,1.)); #421100=DIRECTION('center_axis',(0.,-1.,0.)); #421101=DIRECTION('ref_axis',(1.,0.,0.)); #421102=DIRECTION('',(1.,0.,0.)); #421103=DIRECTION('',(1.,0.,0.)); #421104=DIRECTION('',(0.,0.,1.)); #421105=DIRECTION('center_axis',(-1.,0.,0.)); #421106=DIRECTION('ref_axis',(0.,-1.,0.)); #421107=DIRECTION('',(0.,-1.,0.)); #421108=DIRECTION('',(0.,-1.,0.)); #421109=DIRECTION('',(0.,0.,1.)); #421110=DIRECTION('center_axis',(0.,1.,0.)); #421111=DIRECTION('ref_axis',(-1.,0.,0.)); #421112=DIRECTION('',(-1.,0.,0.)); #421113=DIRECTION('',(-1.,0.,0.)); #421114=DIRECTION('center_axis',(0.,0.,1.)); #421115=DIRECTION('ref_axis',(1.,0.,0.)); #421116=DIRECTION('center_axis',(0.,0.,1.)); #421117=DIRECTION('ref_axis',(1.,0.,0.)); #421118=DIRECTION('center_axis',(1.,0.,0.)); #421119=DIRECTION('ref_axis',(0.,1.,0.)); #421120=DIRECTION('',(0.,1.,0.)); #421121=DIRECTION('',(0.,0.,1.)); #421122=DIRECTION('',(0.,1.,0.)); #421123=DIRECTION('',(0.,0.,1.)); #421124=DIRECTION('center_axis',(0.,-1.,0.)); #421125=DIRECTION('ref_axis',(1.,0.,0.)); #421126=DIRECTION('',(1.,0.,0.)); #421127=DIRECTION('',(1.,0.,0.)); #421128=DIRECTION('',(0.,0.,1.)); #421129=DIRECTION('center_axis',(-1.,0.,0.)); #421130=DIRECTION('ref_axis',(0.,-1.,0.)); #421131=DIRECTION('',(0.,-1.,0.)); #421132=DIRECTION('',(0.,-1.,0.)); #421133=DIRECTION('',(0.,0.,1.)); #421134=DIRECTION('center_axis',(0.,1.,0.)); #421135=DIRECTION('ref_axis',(-1.,0.,0.)); #421136=DIRECTION('',(-1.,0.,0.)); #421137=DIRECTION('',(-1.,0.,0.)); #421138=DIRECTION('center_axis',(0.,0.,1.)); #421139=DIRECTION('ref_axis',(1.,0.,0.)); #421140=DIRECTION('center_axis',(0.,0.,1.)); #421141=DIRECTION('ref_axis',(1.,0.,0.)); #421142=DIRECTION('center_axis',(1.,0.,0.)); #421143=DIRECTION('ref_axis',(0.,1.,0.)); #421144=DIRECTION('',(0.,1.,0.)); #421145=DIRECTION('',(0.,0.,1.)); #421146=DIRECTION('',(0.,1.,0.)); #421147=DIRECTION('',(0.,0.,1.)); #421148=DIRECTION('center_axis',(0.,-1.,0.)); #421149=DIRECTION('ref_axis',(1.,0.,0.)); #421150=DIRECTION('',(1.,0.,0.)); #421151=DIRECTION('',(1.,0.,0.)); #421152=DIRECTION('',(0.,0.,1.)); #421153=DIRECTION('center_axis',(-1.,0.,0.)); #421154=DIRECTION('ref_axis',(0.,-1.,0.)); #421155=DIRECTION('',(0.,-1.,0.)); #421156=DIRECTION('',(0.,-1.,0.)); #421157=DIRECTION('',(0.,0.,1.)); #421158=DIRECTION('center_axis',(0.,1.,0.)); #421159=DIRECTION('ref_axis',(-1.,0.,0.)); #421160=DIRECTION('',(-1.,0.,0.)); #421161=DIRECTION('',(-1.,0.,0.)); #421162=DIRECTION('center_axis',(0.,0.,1.)); #421163=DIRECTION('ref_axis',(1.,0.,0.)); #421164=DIRECTION('center_axis',(0.,0.,1.)); #421165=DIRECTION('ref_axis',(1.,0.,0.)); #421166=DIRECTION('center_axis',(1.,0.,0.)); #421167=DIRECTION('ref_axis',(0.,1.,0.)); #421168=DIRECTION('',(0.,1.,0.)); #421169=DIRECTION('',(0.,0.,1.)); #421170=DIRECTION('',(0.,1.,0.)); #421171=DIRECTION('',(0.,0.,1.)); #421172=DIRECTION('center_axis',(0.,-1.,0.)); #421173=DIRECTION('ref_axis',(1.,0.,0.)); #421174=DIRECTION('',(1.,0.,0.)); #421175=DIRECTION('',(1.,0.,0.)); #421176=DIRECTION('',(0.,0.,1.)); #421177=DIRECTION('center_axis',(-1.,0.,0.)); #421178=DIRECTION('ref_axis',(0.,-1.,0.)); #421179=DIRECTION('',(0.,-1.,0.)); #421180=DIRECTION('',(0.,-1.,0.)); #421181=DIRECTION('',(0.,0.,1.)); #421182=DIRECTION('center_axis',(0.,1.,0.)); #421183=DIRECTION('ref_axis',(-1.,0.,0.)); #421184=DIRECTION('',(-1.,0.,0.)); #421185=DIRECTION('',(-1.,0.,0.)); #421186=DIRECTION('center_axis',(0.,0.,1.)); #421187=DIRECTION('ref_axis',(1.,0.,0.)); #421188=DIRECTION('center_axis',(0.,0.,1.)); #421189=DIRECTION('ref_axis',(1.,0.,0.)); #421190=DIRECTION('center_axis',(1.,0.,0.)); #421191=DIRECTION('ref_axis',(0.,1.,0.)); #421192=DIRECTION('',(0.,1.,0.)); #421193=DIRECTION('',(0.,0.,1.)); #421194=DIRECTION('',(0.,1.,0.)); #421195=DIRECTION('',(0.,0.,1.)); #421196=DIRECTION('center_axis',(0.,-1.,0.)); #421197=DIRECTION('ref_axis',(1.,0.,0.)); #421198=DIRECTION('',(1.,0.,0.)); #421199=DIRECTION('',(1.,0.,0.)); #421200=DIRECTION('',(0.,0.,1.)); #421201=DIRECTION('center_axis',(-1.,0.,0.)); #421202=DIRECTION('ref_axis',(0.,-1.,0.)); #421203=DIRECTION('',(0.,-1.,0.)); #421204=DIRECTION('',(0.,-1.,0.)); #421205=DIRECTION('',(0.,0.,1.)); #421206=DIRECTION('center_axis',(0.,1.,0.)); #421207=DIRECTION('ref_axis',(-1.,0.,0.)); #421208=DIRECTION('',(-1.,0.,0.)); #421209=DIRECTION('',(-1.,0.,0.)); #421210=DIRECTION('center_axis',(0.,0.,1.)); #421211=DIRECTION('ref_axis',(1.,0.,0.)); #421212=DIRECTION('center_axis',(0.,0.,1.)); #421213=DIRECTION('ref_axis',(1.,0.,0.)); #421214=DIRECTION('center_axis',(1.,0.,0.)); #421215=DIRECTION('ref_axis',(0.,1.,0.)); #421216=DIRECTION('',(0.,1.,0.)); #421217=DIRECTION('',(0.,0.,1.)); #421218=DIRECTION('',(0.,1.,0.)); #421219=DIRECTION('',(0.,0.,1.)); #421220=DIRECTION('center_axis',(0.,-1.,0.)); #421221=DIRECTION('ref_axis',(1.,0.,0.)); #421222=DIRECTION('',(1.,0.,0.)); #421223=DIRECTION('',(1.,0.,0.)); #421224=DIRECTION('',(0.,0.,1.)); #421225=DIRECTION('center_axis',(-1.,0.,0.)); #421226=DIRECTION('ref_axis',(0.,-1.,0.)); #421227=DIRECTION('',(0.,-1.,0.)); #421228=DIRECTION('',(0.,-1.,0.)); #421229=DIRECTION('',(0.,0.,1.)); #421230=DIRECTION('center_axis',(0.,1.,0.)); #421231=DIRECTION('ref_axis',(-1.,0.,0.)); #421232=DIRECTION('',(-1.,0.,0.)); #421233=DIRECTION('',(-1.,0.,0.)); #421234=DIRECTION('center_axis',(0.,0.,1.)); #421235=DIRECTION('ref_axis',(1.,0.,0.)); #421236=DIRECTION('center_axis',(0.,0.,1.)); #421237=DIRECTION('ref_axis',(1.,0.,0.)); #421238=DIRECTION('center_axis',(1.,0.,0.)); #421239=DIRECTION('ref_axis',(0.,1.,0.)); #421240=DIRECTION('',(0.,1.,0.)); #421241=DIRECTION('',(0.,0.,1.)); #421242=DIRECTION('',(0.,1.,0.)); #421243=DIRECTION('',(0.,0.,1.)); #421244=DIRECTION('center_axis',(0.,-1.,0.)); #421245=DIRECTION('ref_axis',(1.,0.,0.)); #421246=DIRECTION('',(1.,0.,0.)); #421247=DIRECTION('',(1.,0.,0.)); #421248=DIRECTION('',(0.,0.,1.)); #421249=DIRECTION('center_axis',(-1.,0.,0.)); #421250=DIRECTION('ref_axis',(0.,-1.,0.)); #421251=DIRECTION('',(0.,-1.,0.)); #421252=DIRECTION('',(0.,-1.,0.)); #421253=DIRECTION('',(0.,0.,1.)); #421254=DIRECTION('center_axis',(0.,1.,0.)); #421255=DIRECTION('ref_axis',(-1.,0.,0.)); #421256=DIRECTION('',(-1.,0.,0.)); #421257=DIRECTION('',(-1.,0.,0.)); #421258=DIRECTION('center_axis',(0.,0.,1.)); #421259=DIRECTION('ref_axis',(1.,0.,0.)); #421260=DIRECTION('center_axis',(0.,0.,1.)); #421261=DIRECTION('ref_axis',(1.,0.,0.)); #421262=DIRECTION('center_axis',(1.,0.,0.)); #421263=DIRECTION('ref_axis',(0.,1.,0.)); #421264=DIRECTION('',(0.,1.,0.)); #421265=DIRECTION('',(0.,0.,1.)); #421266=DIRECTION('',(0.,1.,0.)); #421267=DIRECTION('',(0.,0.,1.)); #421268=DIRECTION('center_axis',(0.,-1.,0.)); #421269=DIRECTION('ref_axis',(1.,0.,0.)); #421270=DIRECTION('',(1.,0.,0.)); #421271=DIRECTION('',(1.,0.,0.)); #421272=DIRECTION('',(0.,0.,1.)); #421273=DIRECTION('center_axis',(-1.,0.,0.)); #421274=DIRECTION('ref_axis',(0.,-1.,0.)); #421275=DIRECTION('',(0.,-1.,0.)); #421276=DIRECTION('',(0.,-1.,0.)); #421277=DIRECTION('',(0.,0.,1.)); #421278=DIRECTION('center_axis',(0.,1.,0.)); #421279=DIRECTION('ref_axis',(-1.,0.,0.)); #421280=DIRECTION('',(-1.,0.,0.)); #421281=DIRECTION('',(-1.,0.,0.)); #421282=DIRECTION('center_axis',(0.,0.,1.)); #421283=DIRECTION('ref_axis',(1.,0.,0.)); #421284=DIRECTION('center_axis',(0.,0.,1.)); #421285=DIRECTION('ref_axis',(1.,0.,0.)); #421286=DIRECTION('center_axis',(1.,0.,0.)); #421287=DIRECTION('ref_axis',(0.,1.,0.)); #421288=DIRECTION('',(0.,1.,0.)); #421289=DIRECTION('',(0.,0.,1.)); #421290=DIRECTION('',(0.,1.,0.)); #421291=DIRECTION('',(0.,0.,1.)); #421292=DIRECTION('center_axis',(0.,-1.,0.)); #421293=DIRECTION('ref_axis',(1.,0.,0.)); #421294=DIRECTION('',(1.,0.,0.)); #421295=DIRECTION('',(1.,0.,0.)); #421296=DIRECTION('',(0.,0.,1.)); #421297=DIRECTION('center_axis',(-1.,0.,0.)); #421298=DIRECTION('ref_axis',(0.,-1.,0.)); #421299=DIRECTION('',(0.,-1.,0.)); #421300=DIRECTION('',(0.,-1.,0.)); #421301=DIRECTION('',(0.,0.,1.)); #421302=DIRECTION('center_axis',(0.,1.,0.)); #421303=DIRECTION('ref_axis',(-1.,0.,0.)); #421304=DIRECTION('',(-1.,0.,0.)); #421305=DIRECTION('',(-1.,0.,0.)); #421306=DIRECTION('center_axis',(0.,0.,1.)); #421307=DIRECTION('ref_axis',(1.,0.,0.)); #421308=DIRECTION('center_axis',(0.,0.,1.)); #421309=DIRECTION('ref_axis',(1.,0.,0.)); #421310=DIRECTION('center_axis',(1.,0.,0.)); #421311=DIRECTION('ref_axis',(0.,1.,0.)); #421312=DIRECTION('',(0.,1.,0.)); #421313=DIRECTION('',(0.,0.,1.)); #421314=DIRECTION('',(0.,1.,0.)); #421315=DIRECTION('',(0.,0.,1.)); #421316=DIRECTION('center_axis',(0.,-1.,0.)); #421317=DIRECTION('ref_axis',(1.,0.,0.)); #421318=DIRECTION('',(1.,0.,0.)); #421319=DIRECTION('',(1.,0.,0.)); #421320=DIRECTION('',(0.,0.,1.)); #421321=DIRECTION('center_axis',(-1.,0.,0.)); #421322=DIRECTION('ref_axis',(0.,-1.,0.)); #421323=DIRECTION('',(0.,-1.,0.)); #421324=DIRECTION('',(0.,-1.,0.)); #421325=DIRECTION('',(0.,0.,1.)); #421326=DIRECTION('center_axis',(0.,1.,0.)); #421327=DIRECTION('ref_axis',(-1.,0.,0.)); #421328=DIRECTION('',(-1.,0.,0.)); #421329=DIRECTION('',(-1.,0.,0.)); #421330=DIRECTION('center_axis',(0.,0.,1.)); #421331=DIRECTION('ref_axis',(1.,0.,0.)); #421332=DIRECTION('center_axis',(0.,0.,1.)); #421333=DIRECTION('ref_axis',(1.,0.,0.)); #421334=DIRECTION('center_axis',(1.,0.,0.)); #421335=DIRECTION('ref_axis',(0.,1.,0.)); #421336=DIRECTION('',(0.,1.,0.)); #421337=DIRECTION('',(0.,0.,1.)); #421338=DIRECTION('',(0.,1.,0.)); #421339=DIRECTION('',(0.,0.,1.)); #421340=DIRECTION('center_axis',(0.,-1.,0.)); #421341=DIRECTION('ref_axis',(1.,0.,0.)); #421342=DIRECTION('',(1.,0.,0.)); #421343=DIRECTION('',(1.,0.,0.)); #421344=DIRECTION('',(0.,0.,1.)); #421345=DIRECTION('center_axis',(-1.,0.,0.)); #421346=DIRECTION('ref_axis',(0.,-1.,0.)); #421347=DIRECTION('',(0.,-1.,0.)); #421348=DIRECTION('',(0.,-1.,0.)); #421349=DIRECTION('',(0.,0.,1.)); #421350=DIRECTION('center_axis',(0.,1.,0.)); #421351=DIRECTION('ref_axis',(-1.,0.,0.)); #421352=DIRECTION('',(-1.,0.,0.)); #421353=DIRECTION('',(-1.,0.,0.)); #421354=DIRECTION('center_axis',(0.,0.,1.)); #421355=DIRECTION('ref_axis',(1.,0.,0.)); #421356=DIRECTION('center_axis',(0.,0.,1.)); #421357=DIRECTION('ref_axis',(1.,0.,0.)); #421358=DIRECTION('center_axis',(1.,0.,0.)); #421359=DIRECTION('ref_axis',(0.,1.,0.)); #421360=DIRECTION('',(0.,1.,0.)); #421361=DIRECTION('',(0.,0.,1.)); #421362=DIRECTION('',(0.,1.,0.)); #421363=DIRECTION('',(0.,0.,1.)); #421364=DIRECTION('center_axis',(0.,-1.,0.)); #421365=DIRECTION('ref_axis',(1.,0.,0.)); #421366=DIRECTION('',(1.,0.,0.)); #421367=DIRECTION('',(1.,0.,0.)); #421368=DIRECTION('',(0.,0.,1.)); #421369=DIRECTION('center_axis',(-1.,0.,0.)); #421370=DIRECTION('ref_axis',(0.,-1.,0.)); #421371=DIRECTION('',(0.,-1.,0.)); #421372=DIRECTION('',(0.,-1.,0.)); #421373=DIRECTION('',(0.,0.,1.)); #421374=DIRECTION('center_axis',(0.,1.,0.)); #421375=DIRECTION('ref_axis',(-1.,0.,0.)); #421376=DIRECTION('',(-1.,0.,0.)); #421377=DIRECTION('',(-1.,0.,0.)); #421378=DIRECTION('center_axis',(0.,0.,1.)); #421379=DIRECTION('ref_axis',(1.,0.,0.)); #421380=DIRECTION('center_axis',(0.,0.,1.)); #421381=DIRECTION('ref_axis',(1.,0.,0.)); #421382=DIRECTION('center_axis',(1.,0.,0.)); #421383=DIRECTION('ref_axis',(0.,1.,0.)); #421384=DIRECTION('',(0.,1.,0.)); #421385=DIRECTION('',(0.,0.,1.)); #421386=DIRECTION('',(0.,1.,0.)); #421387=DIRECTION('',(0.,0.,1.)); #421388=DIRECTION('center_axis',(0.,-1.,0.)); #421389=DIRECTION('ref_axis',(1.,0.,0.)); #421390=DIRECTION('',(1.,0.,0.)); #421391=DIRECTION('',(1.,0.,0.)); #421392=DIRECTION('',(0.,0.,1.)); #421393=DIRECTION('center_axis',(-1.,0.,0.)); #421394=DIRECTION('ref_axis',(0.,-1.,0.)); #421395=DIRECTION('',(0.,-1.,0.)); #421396=DIRECTION('',(0.,-1.,0.)); #421397=DIRECTION('',(0.,0.,1.)); #421398=DIRECTION('center_axis',(0.,1.,0.)); #421399=DIRECTION('ref_axis',(-1.,0.,0.)); #421400=DIRECTION('',(-1.,0.,0.)); #421401=DIRECTION('',(-1.,0.,0.)); #421402=DIRECTION('center_axis',(0.,0.,1.)); #421403=DIRECTION('ref_axis',(1.,0.,0.)); #421404=DIRECTION('center_axis',(0.,0.,1.)); #421405=DIRECTION('ref_axis',(1.,0.,0.)); #421406=DIRECTION('center_axis',(1.,0.,0.)); #421407=DIRECTION('ref_axis',(0.,1.,0.)); #421408=DIRECTION('',(0.,1.,0.)); #421409=DIRECTION('',(0.,0.,1.)); #421410=DIRECTION('',(0.,1.,0.)); #421411=DIRECTION('',(0.,0.,1.)); #421412=DIRECTION('center_axis',(0.,-1.,0.)); #421413=DIRECTION('ref_axis',(1.,0.,0.)); #421414=DIRECTION('',(1.,0.,0.)); #421415=DIRECTION('',(1.,0.,0.)); #421416=DIRECTION('',(0.,0.,1.)); #421417=DIRECTION('center_axis',(-1.,0.,0.)); #421418=DIRECTION('ref_axis',(0.,-1.,0.)); #421419=DIRECTION('',(0.,-1.,0.)); #421420=DIRECTION('',(0.,-1.,0.)); #421421=DIRECTION('',(0.,0.,1.)); #421422=DIRECTION('center_axis',(0.,1.,0.)); #421423=DIRECTION('ref_axis',(-1.,0.,0.)); #421424=DIRECTION('',(-1.,0.,0.)); #421425=DIRECTION('',(-1.,0.,0.)); #421426=DIRECTION('center_axis',(0.,0.,1.)); #421427=DIRECTION('ref_axis',(1.,0.,0.)); #421428=DIRECTION('center_axis',(0.,0.,1.)); #421429=DIRECTION('ref_axis',(1.,0.,0.)); #421430=DIRECTION('center_axis',(1.,0.,0.)); #421431=DIRECTION('ref_axis',(0.,1.,0.)); #421432=DIRECTION('',(0.,1.,0.)); #421433=DIRECTION('',(0.,0.,1.)); #421434=DIRECTION('',(0.,1.,0.)); #421435=DIRECTION('',(0.,0.,1.)); #421436=DIRECTION('center_axis',(0.,-1.,0.)); #421437=DIRECTION('ref_axis',(1.,0.,0.)); #421438=DIRECTION('',(1.,0.,0.)); #421439=DIRECTION('',(1.,0.,0.)); #421440=DIRECTION('',(0.,0.,1.)); #421441=DIRECTION('center_axis',(-1.,0.,0.)); #421442=DIRECTION('ref_axis',(0.,-1.,0.)); #421443=DIRECTION('',(0.,-1.,0.)); #421444=DIRECTION('',(0.,-1.,0.)); #421445=DIRECTION('',(0.,0.,1.)); #421446=DIRECTION('center_axis',(0.,1.,0.)); #421447=DIRECTION('ref_axis',(-1.,0.,0.)); #421448=DIRECTION('',(-1.,0.,0.)); #421449=DIRECTION('',(-1.,0.,0.)); #421450=DIRECTION('center_axis',(0.,0.,1.)); #421451=DIRECTION('ref_axis',(1.,0.,0.)); #421452=DIRECTION('center_axis',(0.,0.,1.)); #421453=DIRECTION('ref_axis',(1.,0.,0.)); #421454=DIRECTION('center_axis',(1.,0.,0.)); #421455=DIRECTION('ref_axis',(0.,1.,0.)); #421456=DIRECTION('',(0.,1.,0.)); #421457=DIRECTION('',(0.,0.,1.)); #421458=DIRECTION('',(0.,1.,0.)); #421459=DIRECTION('',(0.,0.,1.)); #421460=DIRECTION('center_axis',(0.,-1.,0.)); #421461=DIRECTION('ref_axis',(1.,0.,0.)); #421462=DIRECTION('',(1.,0.,0.)); #421463=DIRECTION('',(1.,0.,0.)); #421464=DIRECTION('',(0.,0.,1.)); #421465=DIRECTION('center_axis',(-1.,0.,0.)); #421466=DIRECTION('ref_axis',(0.,-1.,0.)); #421467=DIRECTION('',(0.,-1.,0.)); #421468=DIRECTION('',(0.,-1.,0.)); #421469=DIRECTION('',(0.,0.,1.)); #421470=DIRECTION('center_axis',(0.,1.,0.)); #421471=DIRECTION('ref_axis',(-1.,0.,0.)); #421472=DIRECTION('',(-1.,0.,0.)); #421473=DIRECTION('',(-1.,0.,0.)); #421474=DIRECTION('center_axis',(0.,0.,1.)); #421475=DIRECTION('ref_axis',(1.,0.,0.)); #421476=DIRECTION('center_axis',(0.,0.,1.)); #421477=DIRECTION('ref_axis',(1.,0.,0.)); #421478=DIRECTION('center_axis',(1.,0.,0.)); #421479=DIRECTION('ref_axis',(0.,1.,0.)); #421480=DIRECTION('',(0.,1.,0.)); #421481=DIRECTION('',(0.,0.,1.)); #421482=DIRECTION('',(0.,1.,0.)); #421483=DIRECTION('',(0.,0.,1.)); #421484=DIRECTION('center_axis',(0.,-1.,0.)); #421485=DIRECTION('ref_axis',(1.,0.,0.)); #421486=DIRECTION('',(1.,0.,0.)); #421487=DIRECTION('',(1.,0.,0.)); #421488=DIRECTION('',(0.,0.,1.)); #421489=DIRECTION('center_axis',(-1.,0.,0.)); #421490=DIRECTION('ref_axis',(0.,-1.,0.)); #421491=DIRECTION('',(0.,-1.,0.)); #421492=DIRECTION('',(0.,-1.,0.)); #421493=DIRECTION('',(0.,0.,1.)); #421494=DIRECTION('center_axis',(0.,1.,0.)); #421495=DIRECTION('ref_axis',(-1.,0.,0.)); #421496=DIRECTION('',(-1.,0.,0.)); #421497=DIRECTION('',(-1.,0.,0.)); #421498=DIRECTION('center_axis',(0.,0.,1.)); #421499=DIRECTION('ref_axis',(1.,0.,0.)); #421500=DIRECTION('center_axis',(0.,0.,1.)); #421501=DIRECTION('ref_axis',(1.,0.,0.)); #421502=DIRECTION('center_axis',(1.,0.,0.)); #421503=DIRECTION('ref_axis',(0.,1.,0.)); #421504=DIRECTION('',(0.,1.,0.)); #421505=DIRECTION('',(0.,0.,1.)); #421506=DIRECTION('',(0.,1.,0.)); #421507=DIRECTION('',(0.,0.,1.)); #421508=DIRECTION('center_axis',(0.,-1.,0.)); #421509=DIRECTION('ref_axis',(1.,0.,0.)); #421510=DIRECTION('',(1.,0.,0.)); #421511=DIRECTION('',(1.,0.,0.)); #421512=DIRECTION('',(0.,0.,1.)); #421513=DIRECTION('center_axis',(-1.,0.,0.)); #421514=DIRECTION('ref_axis',(0.,-1.,0.)); #421515=DIRECTION('',(0.,-1.,0.)); #421516=DIRECTION('',(0.,-1.,0.)); #421517=DIRECTION('',(0.,0.,1.)); #421518=DIRECTION('center_axis',(0.,1.,0.)); #421519=DIRECTION('ref_axis',(-1.,0.,0.)); #421520=DIRECTION('',(-1.,0.,0.)); #421521=DIRECTION('',(-1.,0.,0.)); #421522=DIRECTION('center_axis',(0.,0.,1.)); #421523=DIRECTION('ref_axis',(1.,0.,0.)); #421524=DIRECTION('center_axis',(0.,0.,1.)); #421525=DIRECTION('ref_axis',(1.,0.,0.)); #421526=DIRECTION('center_axis',(0.,0.,1.)); #421527=DIRECTION('ref_axis',(1.,0.,0.)); #421528=DIRECTION('center_axis',(0.,0.,1.)); #421529=DIRECTION('ref_axis',(1.,0.,0.)); #421530=DIRECTION('',(0.,0.,1.)); #421531=DIRECTION('center_axis',(0.,0.,-1.)); #421532=DIRECTION('ref_axis',(1.,0.,0.)); #421533=DIRECTION('center_axis',(1.,0.,0.)); #421534=DIRECTION('ref_axis',(0.,1.,0.)); #421535=DIRECTION('',(0.,1.,0.)); #421536=DIRECTION('',(0.,0.,1.)); #421537=DIRECTION('',(0.,1.,0.)); #421538=DIRECTION('',(0.,0.,1.)); #421539=DIRECTION('center_axis',(0.,-1.,0.)); #421540=DIRECTION('ref_axis',(1.,0.,0.)); #421541=DIRECTION('',(1.,0.,0.)); #421542=DIRECTION('',(1.,0.,0.)); #421543=DIRECTION('',(0.,0.,1.)); #421544=DIRECTION('center_axis',(-1.,0.,0.)); #421545=DIRECTION('ref_axis',(0.,-1.,0.)); #421546=DIRECTION('',(0.,-1.,0.)); #421547=DIRECTION('',(0.,-1.,0.)); #421548=DIRECTION('',(0.,0.,1.)); #421549=DIRECTION('center_axis',(0.,1.,0.)); #421550=DIRECTION('ref_axis',(-1.,0.,0.)); #421551=DIRECTION('',(-1.,0.,0.)); #421552=DIRECTION('',(-1.,0.,0.)); #421553=DIRECTION('center_axis',(0.,0.,1.)); #421554=DIRECTION('ref_axis',(1.,0.,0.)); #421555=DIRECTION('center_axis',(0.,0.,1.)); #421556=DIRECTION('ref_axis',(1.,0.,0.)); #421557=DIRECTION('center_axis',(1.,0.,0.)); #421558=DIRECTION('ref_axis',(0.,1.,0.)); #421559=DIRECTION('',(0.,1.,0.)); #421560=DIRECTION('',(0.,0.,1.)); #421561=DIRECTION('',(0.,1.,0.)); #421562=DIRECTION('',(0.,0.,1.)); #421563=DIRECTION('center_axis',(0.,-1.,0.)); #421564=DIRECTION('ref_axis',(1.,0.,0.)); #421565=DIRECTION('',(1.,0.,0.)); #421566=DIRECTION('',(1.,0.,0.)); #421567=DIRECTION('',(0.,0.,1.)); #421568=DIRECTION('center_axis',(-1.,0.,0.)); #421569=DIRECTION('ref_axis',(0.,-1.,0.)); #421570=DIRECTION('',(0.,-1.,0.)); #421571=DIRECTION('',(0.,-1.,0.)); #421572=DIRECTION('',(0.,0.,1.)); #421573=DIRECTION('center_axis',(0.,1.,0.)); #421574=DIRECTION('ref_axis',(-1.,0.,0.)); #421575=DIRECTION('',(-1.,0.,0.)); #421576=DIRECTION('',(-1.,0.,0.)); #421577=DIRECTION('center_axis',(0.,0.,1.)); #421578=DIRECTION('ref_axis',(1.,0.,0.)); #421579=DIRECTION('center_axis',(0.,0.,1.)); #421580=DIRECTION('ref_axis',(1.,0.,0.)); #421581=DIRECTION('center_axis',(1.,0.,0.)); #421582=DIRECTION('ref_axis',(0.,1.,0.)); #421583=DIRECTION('',(0.,1.,0.)); #421584=DIRECTION('',(0.,0.,1.)); #421585=DIRECTION('',(0.,1.,0.)); #421586=DIRECTION('',(0.,0.,1.)); #421587=DIRECTION('center_axis',(0.,-1.,0.)); #421588=DIRECTION('ref_axis',(1.,0.,0.)); #421589=DIRECTION('',(1.,0.,0.)); #421590=DIRECTION('',(1.,0.,0.)); #421591=DIRECTION('',(0.,0.,1.)); #421592=DIRECTION('center_axis',(-1.,0.,0.)); #421593=DIRECTION('ref_axis',(0.,-1.,0.)); #421594=DIRECTION('',(0.,-1.,0.)); #421595=DIRECTION('',(0.,-1.,0.)); #421596=DIRECTION('',(0.,0.,1.)); #421597=DIRECTION('center_axis',(0.,1.,0.)); #421598=DIRECTION('ref_axis',(-1.,0.,0.)); #421599=DIRECTION('',(-1.,0.,0.)); #421600=DIRECTION('',(-1.,0.,0.)); #421601=DIRECTION('center_axis',(0.,0.,1.)); #421602=DIRECTION('ref_axis',(1.,0.,0.)); #421603=DIRECTION('center_axis',(0.,0.,1.)); #421604=DIRECTION('ref_axis',(1.,0.,0.)); #421605=DIRECTION('center_axis',(0.,0.,1.)); #421606=DIRECTION('ref_axis',(1.,0.,0.)); #421607=DIRECTION('center_axis',(0.,0.,1.)); #421608=DIRECTION('ref_axis',(1.,0.,0.)); #421609=DIRECTION('',(0.,0.,1.)); #421610=DIRECTION('center_axis',(0.,0.,-1.)); #421611=DIRECTION('ref_axis',(1.,0.,0.)); #421612=DIRECTION('center_axis',(1.,0.,0.)); #421613=DIRECTION('ref_axis',(0.,1.,0.)); #421614=DIRECTION('',(0.,1.,0.)); #421615=DIRECTION('',(0.,0.,1.)); #421616=DIRECTION('',(0.,1.,0.)); #421617=DIRECTION('',(0.,0.,1.)); #421618=DIRECTION('center_axis',(0.,-1.,0.)); #421619=DIRECTION('ref_axis',(1.,0.,0.)); #421620=DIRECTION('',(1.,0.,0.)); #421621=DIRECTION('',(1.,0.,0.)); #421622=DIRECTION('',(0.,0.,1.)); #421623=DIRECTION('center_axis',(-1.,0.,0.)); #421624=DIRECTION('ref_axis',(0.,-1.,0.)); #421625=DIRECTION('',(0.,-1.,0.)); #421626=DIRECTION('',(0.,-1.,0.)); #421627=DIRECTION('',(0.,0.,1.)); #421628=DIRECTION('center_axis',(0.,1.,0.)); #421629=DIRECTION('ref_axis',(-1.,0.,0.)); #421630=DIRECTION('',(-1.,0.,0.)); #421631=DIRECTION('',(-1.,0.,0.)); #421632=DIRECTION('center_axis',(0.,0.,1.)); #421633=DIRECTION('ref_axis',(1.,0.,0.)); #421634=DIRECTION('center_axis',(0.,0.,1.)); #421635=DIRECTION('ref_axis',(1.,0.,0.)); #421636=DIRECTION('center_axis',(1.,0.,0.)); #421637=DIRECTION('ref_axis',(0.,1.,0.)); #421638=DIRECTION('',(0.,1.,0.)); #421639=DIRECTION('',(0.,0.,1.)); #421640=DIRECTION('',(0.,1.,0.)); #421641=DIRECTION('',(0.,0.,1.)); #421642=DIRECTION('center_axis',(0.,-1.,0.)); #421643=DIRECTION('ref_axis',(1.,0.,0.)); #421644=DIRECTION('',(1.,0.,0.)); #421645=DIRECTION('',(1.,0.,0.)); #421646=DIRECTION('',(0.,0.,1.)); #421647=DIRECTION('center_axis',(-1.,0.,0.)); #421648=DIRECTION('ref_axis',(0.,-1.,0.)); #421649=DIRECTION('',(0.,-1.,0.)); #421650=DIRECTION('',(0.,-1.,0.)); #421651=DIRECTION('',(0.,0.,1.)); #421652=DIRECTION('center_axis',(0.,1.,0.)); #421653=DIRECTION('ref_axis',(-1.,0.,0.)); #421654=DIRECTION('',(-1.,0.,0.)); #421655=DIRECTION('',(-1.,0.,0.)); #421656=DIRECTION('center_axis',(0.,0.,1.)); #421657=DIRECTION('ref_axis',(1.,0.,0.)); #421658=DIRECTION('center_axis',(0.,0.,1.)); #421659=DIRECTION('ref_axis',(1.,0.,0.)); #421660=DIRECTION('center_axis',(1.,0.,0.)); #421661=DIRECTION('ref_axis',(0.,1.,0.)); #421662=DIRECTION('',(0.,1.,0.)); #421663=DIRECTION('',(0.,0.,1.)); #421664=DIRECTION('',(0.,1.,0.)); #421665=DIRECTION('',(0.,0.,1.)); #421666=DIRECTION('center_axis',(0.,-1.,0.)); #421667=DIRECTION('ref_axis',(1.,0.,0.)); #421668=DIRECTION('',(1.,0.,0.)); #421669=DIRECTION('',(1.,0.,0.)); #421670=DIRECTION('',(0.,0.,1.)); #421671=DIRECTION('center_axis',(-1.,0.,0.)); #421672=DIRECTION('ref_axis',(0.,-1.,0.)); #421673=DIRECTION('',(0.,-1.,0.)); #421674=DIRECTION('',(0.,-1.,0.)); #421675=DIRECTION('',(0.,0.,1.)); #421676=DIRECTION('center_axis',(0.,1.,0.)); #421677=DIRECTION('ref_axis',(-1.,0.,0.)); #421678=DIRECTION('',(-1.,0.,0.)); #421679=DIRECTION('',(-1.,0.,0.)); #421680=DIRECTION('center_axis',(0.,0.,1.)); #421681=DIRECTION('ref_axis',(1.,0.,0.)); #421682=DIRECTION('center_axis',(0.,0.,1.)); #421683=DIRECTION('ref_axis',(1.,0.,0.)); #421684=DIRECTION('center_axis',(1.,0.,0.)); #421685=DIRECTION('ref_axis',(0.,1.,0.)); #421686=DIRECTION('',(0.,1.,0.)); #421687=DIRECTION('',(0.,0.,1.)); #421688=DIRECTION('',(0.,1.,0.)); #421689=DIRECTION('',(0.,0.,1.)); #421690=DIRECTION('center_axis',(0.,-1.,0.)); #421691=DIRECTION('ref_axis',(1.,0.,0.)); #421692=DIRECTION('',(1.,0.,0.)); #421693=DIRECTION('',(1.,0.,0.)); #421694=DIRECTION('',(0.,0.,1.)); #421695=DIRECTION('center_axis',(-1.,0.,0.)); #421696=DIRECTION('ref_axis',(0.,-1.,0.)); #421697=DIRECTION('',(0.,-1.,0.)); #421698=DIRECTION('',(0.,-1.,0.)); #421699=DIRECTION('',(0.,0.,1.)); #421700=DIRECTION('center_axis',(0.,1.,0.)); #421701=DIRECTION('ref_axis',(-1.,0.,0.)); #421702=DIRECTION('',(-1.,0.,0.)); #421703=DIRECTION('',(-1.,0.,0.)); #421704=DIRECTION('center_axis',(0.,0.,1.)); #421705=DIRECTION('ref_axis',(1.,0.,0.)); #421706=DIRECTION('center_axis',(0.,0.,1.)); #421707=DIRECTION('ref_axis',(1.,0.,0.)); #421708=DIRECTION('center_axis',(1.,0.,0.)); #421709=DIRECTION('ref_axis',(0.,1.,0.)); #421710=DIRECTION('',(0.,1.,0.)); #421711=DIRECTION('',(0.,0.,1.)); #421712=DIRECTION('',(0.,1.,0.)); #421713=DIRECTION('',(0.,0.,1.)); #421714=DIRECTION('center_axis',(0.,-1.,0.)); #421715=DIRECTION('ref_axis',(1.,0.,0.)); #421716=DIRECTION('',(1.,0.,0.)); #421717=DIRECTION('',(1.,0.,0.)); #421718=DIRECTION('',(0.,0.,1.)); #421719=DIRECTION('center_axis',(-1.,0.,0.)); #421720=DIRECTION('ref_axis',(0.,-1.,0.)); #421721=DIRECTION('',(0.,-1.,0.)); #421722=DIRECTION('',(0.,-1.,0.)); #421723=DIRECTION('',(0.,0.,1.)); #421724=DIRECTION('center_axis',(0.,1.,0.)); #421725=DIRECTION('ref_axis',(-1.,0.,0.)); #421726=DIRECTION('',(-1.,0.,0.)); #421727=DIRECTION('',(-1.,0.,0.)); #421728=DIRECTION('center_axis',(0.,0.,1.)); #421729=DIRECTION('ref_axis',(1.,0.,0.)); #421730=DIRECTION('center_axis',(0.,0.,1.)); #421731=DIRECTION('ref_axis',(1.,0.,0.)); #421732=DIRECTION('center_axis',(1.,0.,0.)); #421733=DIRECTION('ref_axis',(0.,1.,0.)); #421734=DIRECTION('',(0.,1.,0.)); #421735=DIRECTION('',(0.,0.,1.)); #421736=DIRECTION('',(0.,1.,0.)); #421737=DIRECTION('',(0.,0.,1.)); #421738=DIRECTION('center_axis',(0.,-1.,0.)); #421739=DIRECTION('ref_axis',(1.,0.,0.)); #421740=DIRECTION('',(1.,0.,0.)); #421741=DIRECTION('',(1.,0.,0.)); #421742=DIRECTION('',(0.,0.,1.)); #421743=DIRECTION('center_axis',(-1.,0.,0.)); #421744=DIRECTION('ref_axis',(0.,-1.,0.)); #421745=DIRECTION('',(0.,-1.,0.)); #421746=DIRECTION('',(0.,-1.,0.)); #421747=DIRECTION('',(0.,0.,1.)); #421748=DIRECTION('center_axis',(0.,1.,0.)); #421749=DIRECTION('ref_axis',(-1.,0.,0.)); #421750=DIRECTION('',(-1.,0.,0.)); #421751=DIRECTION('',(-1.,0.,0.)); #421752=DIRECTION('center_axis',(0.,0.,1.)); #421753=DIRECTION('ref_axis',(1.,0.,0.)); #421754=DIRECTION('center_axis',(0.,0.,1.)); #421755=DIRECTION('ref_axis',(1.,0.,0.)); #421756=DIRECTION('center_axis',(1.,0.,0.)); #421757=DIRECTION('ref_axis',(0.,1.,0.)); #421758=DIRECTION('',(0.,1.,0.)); #421759=DIRECTION('',(0.,0.,1.)); #421760=DIRECTION('',(0.,1.,0.)); #421761=DIRECTION('',(0.,0.,1.)); #421762=DIRECTION('center_axis',(0.,-1.,0.)); #421763=DIRECTION('ref_axis',(1.,0.,0.)); #421764=DIRECTION('',(1.,0.,0.)); #421765=DIRECTION('',(1.,0.,0.)); #421766=DIRECTION('',(0.,0.,1.)); #421767=DIRECTION('center_axis',(-1.,0.,0.)); #421768=DIRECTION('ref_axis',(0.,-1.,0.)); #421769=DIRECTION('',(0.,-1.,0.)); #421770=DIRECTION('',(0.,-1.,0.)); #421771=DIRECTION('',(0.,0.,1.)); #421772=DIRECTION('center_axis',(0.,1.,0.)); #421773=DIRECTION('ref_axis',(-1.,0.,0.)); #421774=DIRECTION('',(-1.,0.,0.)); #421775=DIRECTION('',(-1.,0.,0.)); #421776=DIRECTION('center_axis',(0.,0.,1.)); #421777=DIRECTION('ref_axis',(1.,0.,0.)); #421778=DIRECTION('center_axis',(0.,0.,1.)); #421779=DIRECTION('ref_axis',(1.,0.,0.)); #421780=DIRECTION('center_axis',(1.,0.,0.)); #421781=DIRECTION('ref_axis',(0.,1.,0.)); #421782=DIRECTION('',(0.,1.,0.)); #421783=DIRECTION('',(0.,0.,1.)); #421784=DIRECTION('',(0.,1.,0.)); #421785=DIRECTION('',(0.,0.,1.)); #421786=DIRECTION('center_axis',(0.,-1.,0.)); #421787=DIRECTION('ref_axis',(1.,0.,0.)); #421788=DIRECTION('',(1.,0.,0.)); #421789=DIRECTION('',(1.,0.,0.)); #421790=DIRECTION('',(0.,0.,1.)); #421791=DIRECTION('center_axis',(-1.,0.,0.)); #421792=DIRECTION('ref_axis',(0.,-1.,0.)); #421793=DIRECTION('',(0.,-1.,0.)); #421794=DIRECTION('',(0.,-1.,0.)); #421795=DIRECTION('',(0.,0.,1.)); #421796=DIRECTION('center_axis',(0.,1.,0.)); #421797=DIRECTION('ref_axis',(-1.,0.,0.)); #421798=DIRECTION('',(-1.,0.,0.)); #421799=DIRECTION('',(-1.,0.,0.)); #421800=DIRECTION('center_axis',(0.,0.,1.)); #421801=DIRECTION('ref_axis',(1.,0.,0.)); #421802=DIRECTION('center_axis',(0.,0.,1.)); #421803=DIRECTION('ref_axis',(1.,0.,0.)); #421804=DIRECTION('center_axis',(1.,0.,0.)); #421805=DIRECTION('ref_axis',(0.,1.,0.)); #421806=DIRECTION('',(0.,1.,0.)); #421807=DIRECTION('',(0.,0.,1.)); #421808=DIRECTION('',(0.,1.,0.)); #421809=DIRECTION('',(0.,0.,1.)); #421810=DIRECTION('center_axis',(0.,-1.,0.)); #421811=DIRECTION('ref_axis',(1.,0.,0.)); #421812=DIRECTION('',(1.,0.,0.)); #421813=DIRECTION('',(1.,0.,0.)); #421814=DIRECTION('',(0.,0.,1.)); #421815=DIRECTION('center_axis',(-1.,0.,0.)); #421816=DIRECTION('ref_axis',(0.,-1.,0.)); #421817=DIRECTION('',(0.,-1.,0.)); #421818=DIRECTION('',(0.,-1.,0.)); #421819=DIRECTION('',(0.,0.,1.)); #421820=DIRECTION('center_axis',(0.,1.,0.)); #421821=DIRECTION('ref_axis',(-1.,0.,0.)); #421822=DIRECTION('',(-1.,0.,0.)); #421823=DIRECTION('',(-1.,0.,0.)); #421824=DIRECTION('center_axis',(0.,0.,1.)); #421825=DIRECTION('ref_axis',(1.,0.,0.)); #421826=DIRECTION('center_axis',(0.,0.,1.)); #421827=DIRECTION('ref_axis',(1.,0.,0.)); #421828=DIRECTION('center_axis',(1.,0.,0.)); #421829=DIRECTION('ref_axis',(0.,1.,0.)); #421830=DIRECTION('',(0.,1.,0.)); #421831=DIRECTION('',(0.,0.,1.)); #421832=DIRECTION('',(0.,1.,0.)); #421833=DIRECTION('',(0.,0.,1.)); #421834=DIRECTION('center_axis',(0.,-1.,0.)); #421835=DIRECTION('ref_axis',(1.,0.,0.)); #421836=DIRECTION('',(1.,0.,0.)); #421837=DIRECTION('',(1.,0.,0.)); #421838=DIRECTION('',(0.,0.,1.)); #421839=DIRECTION('center_axis',(-1.,0.,0.)); #421840=DIRECTION('ref_axis',(0.,-1.,0.)); #421841=DIRECTION('',(0.,-1.,0.)); #421842=DIRECTION('',(0.,-1.,0.)); #421843=DIRECTION('',(0.,0.,1.)); #421844=DIRECTION('center_axis',(0.,1.,0.)); #421845=DIRECTION('ref_axis',(-1.,0.,0.)); #421846=DIRECTION('',(-1.,0.,0.)); #421847=DIRECTION('',(-1.,0.,0.)); #421848=DIRECTION('center_axis',(0.,0.,1.)); #421849=DIRECTION('ref_axis',(1.,0.,0.)); #421850=DIRECTION('center_axis',(0.,0.,1.)); #421851=DIRECTION('ref_axis',(1.,0.,0.)); #421852=DIRECTION('center_axis',(1.,0.,0.)); #421853=DIRECTION('ref_axis',(0.,1.,0.)); #421854=DIRECTION('',(0.,1.,0.)); #421855=DIRECTION('',(0.,0.,1.)); #421856=DIRECTION('',(0.,1.,0.)); #421857=DIRECTION('',(0.,0.,1.)); #421858=DIRECTION('center_axis',(0.,-1.,0.)); #421859=DIRECTION('ref_axis',(1.,0.,0.)); #421860=DIRECTION('',(1.,0.,0.)); #421861=DIRECTION('',(1.,0.,0.)); #421862=DIRECTION('',(0.,0.,1.)); #421863=DIRECTION('center_axis',(-1.,0.,0.)); #421864=DIRECTION('ref_axis',(0.,-1.,0.)); #421865=DIRECTION('',(0.,-1.,0.)); #421866=DIRECTION('',(0.,-1.,0.)); #421867=DIRECTION('',(0.,0.,1.)); #421868=DIRECTION('center_axis',(0.,1.,0.)); #421869=DIRECTION('ref_axis',(-1.,0.,0.)); #421870=DIRECTION('',(-1.,0.,0.)); #421871=DIRECTION('',(-1.,0.,0.)); #421872=DIRECTION('center_axis',(0.,0.,1.)); #421873=DIRECTION('ref_axis',(1.,0.,0.)); #421874=DIRECTION('center_axis',(0.,0.,1.)); #421875=DIRECTION('ref_axis',(1.,0.,0.)); #421876=DIRECTION('center_axis',(1.,0.,0.)); #421877=DIRECTION('ref_axis',(0.,1.,0.)); #421878=DIRECTION('',(0.,1.,0.)); #421879=DIRECTION('',(0.,0.,1.)); #421880=DIRECTION('',(0.,1.,0.)); #421881=DIRECTION('',(0.,0.,1.)); #421882=DIRECTION('center_axis',(0.,-1.,0.)); #421883=DIRECTION('ref_axis',(1.,0.,0.)); #421884=DIRECTION('',(1.,0.,0.)); #421885=DIRECTION('',(1.,0.,0.)); #421886=DIRECTION('',(0.,0.,1.)); #421887=DIRECTION('center_axis',(-1.,0.,0.)); #421888=DIRECTION('ref_axis',(0.,-1.,0.)); #421889=DIRECTION('',(0.,-1.,0.)); #421890=DIRECTION('',(0.,-1.,0.)); #421891=DIRECTION('',(0.,0.,1.)); #421892=DIRECTION('center_axis',(0.,1.,0.)); #421893=DIRECTION('ref_axis',(-1.,0.,0.)); #421894=DIRECTION('',(-1.,0.,0.)); #421895=DIRECTION('',(-1.,0.,0.)); #421896=DIRECTION('center_axis',(0.,0.,1.)); #421897=DIRECTION('ref_axis',(1.,0.,0.)); #421898=DIRECTION('center_axis',(0.,0.,1.)); #421899=DIRECTION('ref_axis',(1.,0.,0.)); #421900=DIRECTION('center_axis',(0.,0.,1.)); #421901=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,0.)); #421902=DIRECTION('',(0.,0.,1.)); #421903=DIRECTION('center_axis',(0.,0.,1.)); #421904=DIRECTION('ref_axis',(1.,0.,0.)); #421905=DIRECTION('',(0.,0.,-1.)); #421906=DIRECTION('center_axis',(0.,0.,-1.)); #421907=DIRECTION('ref_axis',(1.,0.,0.)); #421908=DIRECTION('center_axis',(0.,0.,1.)); #421909=DIRECTION('ref_axis',(1.,0.,0.)); #421910=DIRECTION('',(0.,0.,1.)); #421911=DIRECTION('center_axis',(0.,0.,1.)); #421912=DIRECTION('ref_axis',(1.,0.,0.)); #421913=DIRECTION('',(0.,0.,-1.)); #421914=DIRECTION('center_axis',(0.,0.,-1.)); #421915=DIRECTION('ref_axis',(1.,0.,0.)); #421916=DIRECTION('center_axis',(0.,0.,1.)); #421917=DIRECTION('ref_axis',(1.,0.,0.)); #421918=DIRECTION('center_axis',(0.,0.,1.)); #421919=DIRECTION('ref_axis',(1.,0.,0.)); #421920=DIRECTION('',(0.,0.,1.)); #421921=DIRECTION('center_axis',(0.,0.,-1.)); #421922=DIRECTION('ref_axis',(1.,0.,0.)); #421923=DIRECTION('center_axis',(0.,0.,1.)); #421924=DIRECTION('ref_axis',(-1.83697019872103E-16,1.,0.)); #421925=DIRECTION('',(0.,0.,1.)); #421926=DIRECTION('center_axis',(0.,0.,1.)); #421927=DIRECTION('ref_axis',(1.,0.,0.)); #421928=DIRECTION('',(0.,0.,-1.)); #421929=DIRECTION('center_axis',(0.,0.,-1.)); #421930=DIRECTION('ref_axis',(1.,0.,0.)); #421931=DIRECTION('center_axis',(0.,0.,1.)); #421932=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #421933=DIRECTION('',(0.,0.,1.)); #421934=DIRECTION('center_axis',(0.,0.,1.)); #421935=DIRECTION('ref_axis',(1.,0.,0.)); #421936=DIRECTION('',(0.,0.,-1.)); #421937=DIRECTION('center_axis',(0.,0.,-1.)); #421938=DIRECTION('ref_axis',(1.,0.,0.)); #421939=DIRECTION('center_axis',(0.,0.,1.)); #421940=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #421941=DIRECTION('',(0.,0.,1.)); #421942=DIRECTION('center_axis',(0.,0.,1.)); #421943=DIRECTION('ref_axis',(1.,0.,0.)); #421944=DIRECTION('',(0.,0.,-1.)); #421945=DIRECTION('center_axis',(0.,0.,-1.)); #421946=DIRECTION('ref_axis',(1.,0.,0.)); #421947=DIRECTION('center_axis',(0.,0.,1.)); #421948=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #421949=DIRECTION('',(0.,0.,1.)); #421950=DIRECTION('center_axis',(0.,0.,1.)); #421951=DIRECTION('ref_axis',(1.,0.,0.)); #421952=DIRECTION('',(0.,0.,-1.)); #421953=DIRECTION('center_axis',(0.,0.,-1.)); #421954=DIRECTION('ref_axis',(1.,0.,0.)); #421955=DIRECTION('center_axis',(0.,0.,1.)); #421956=DIRECTION('ref_axis',(1.,0.,0.)); #421957=DIRECTION('',(0.,0.,1.)); #421958=DIRECTION('center_axis',(0.,0.,1.)); #421959=DIRECTION('ref_axis',(1.,0.,0.)); #421960=DIRECTION('',(0.,0.,-1.)); #421961=DIRECTION('center_axis',(0.,0.,-1.)); #421962=DIRECTION('ref_axis',(1.,0.,0.)); #421963=DIRECTION('center_axis',(0.,0.,1.)); #421964=DIRECTION('ref_axis',(1.,0.,0.)); #421965=DIRECTION('',(0.,0.,-1.)); #421966=DIRECTION('center_axis',(0.,0.,-1.)); #421967=DIRECTION('ref_axis',(1.,0.,0.)); #421968=DIRECTION('',(0.,0.,1.)); #421969=DIRECTION('center_axis',(0.,0.,1.)); #421970=DIRECTION('ref_axis',(1.,0.,0.)); #421971=DIRECTION('center_axis',(0.,1.,0.)); #421972=DIRECTION('ref_axis',(-1.,0.,0.)); #421973=DIRECTION('',(-1.,0.,0.)); #421974=DIRECTION('',(0.,0.,1.)); #421975=DIRECTION('',(-1.,0.,0.)); #421976=DIRECTION('center_axis',(0.,1.,0.)); #421977=DIRECTION('ref_axis',(-1.,0.,0.)); #421978=DIRECTION('',(-1.,0.,0.)); #421979=DIRECTION('',(-1.,0.,0.)); #421980=DIRECTION('center_axis',(1.,0.,0.)); #421981=DIRECTION('ref_axis',(0.,1.,0.)); #421982=DIRECTION('',(0.,1.,0.)); #421983=DIRECTION('',(0.,0.,1.)); #421984=DIRECTION('',(0.,1.,0.)); #421985=DIRECTION('center_axis',(1.,0.,0.)); #421986=DIRECTION('ref_axis',(0.,1.,0.)); #421987=DIRECTION('',(0.,1.,0.)); #421988=DIRECTION('',(0.,1.,0.)); #421989=DIRECTION('center_axis',(1.,0.,0.)); #421990=DIRECTION('ref_axis',(0.,1.,0.)); #421991=DIRECTION('',(0.,1.,0.)); #421992=DIRECTION('',(0.,1.,0.)); #421993=DIRECTION('center_axis',(1.,0.,0.)); #421994=DIRECTION('ref_axis',(0.,1.,0.)); #421995=DIRECTION('',(0.,1.,0.)); #421996=DIRECTION('',(0.,1.,0.)); #421997=DIRECTION('center_axis',(0.,-1.,0.)); #421998=DIRECTION('ref_axis',(1.,0.,0.)); #421999=DIRECTION('',(1.,0.,0.)); #422000=DIRECTION('',(1.,0.,0.)); #422001=DIRECTION('center_axis',(0.,-1.,0.)); #422002=DIRECTION('ref_axis',(1.,0.,0.)); #422003=DIRECTION('',(1.,0.,0.)); #422004=DIRECTION('',(1.,0.,0.)); #422005=DIRECTION('center_axis',(-1.,0.,0.)); #422006=DIRECTION('ref_axis',(0.,-1.,0.)); #422007=DIRECTION('',(0.,-1.,0.)); #422008=DIRECTION('',(0.,-1.,0.)); #422009=DIRECTION('center_axis',(-1.,0.,0.)); #422010=DIRECTION('ref_axis',(0.,-1.,0.)); #422011=DIRECTION('',(0.,-1.,0.)); #422012=DIRECTION('',(0.,-1.,0.)); #422013=DIRECTION('center_axis',(0.,0.,1.)); #422014=DIRECTION('ref_axis',(1.,0.,0.)); #422015=DIRECTION('center_axis',(0.,0.,1.)); #422016=DIRECTION('ref_axis',(1.,0.,0.)); #422017=DIRECTION('center_axis',(0.,0.,1.)); #422018=DIRECTION('ref_axis',(1.,0.,0.)); #422019=DIRECTION('center_axis',(0.,0.,1.)); #422020=DIRECTION('ref_axis',(1.,0.,0.)); #422021=DIRECTION('',(0.,0.,1.)); #422022=DIRECTION('center_axis',(0.,0.,-1.)); #422023=DIRECTION('ref_axis',(1.,0.,0.)); #422024=DIRECTION('center_axis',(1.,0.,0.)); #422025=DIRECTION('ref_axis',(0.,1.,0.)); #422026=DIRECTION('',(0.,1.,0.)); #422027=DIRECTION('',(0.,0.,1.)); #422028=DIRECTION('',(0.,1.,0.)); #422029=DIRECTION('',(0.,0.,1.)); #422030=DIRECTION('center_axis',(0.,-1.,0.)); #422031=DIRECTION('ref_axis',(1.,0.,0.)); #422032=DIRECTION('',(1.,0.,0.)); #422033=DIRECTION('',(1.,0.,0.)); #422034=DIRECTION('',(0.,0.,1.)); #422035=DIRECTION('center_axis',(-1.,0.,0.)); #422036=DIRECTION('ref_axis',(0.,-1.,0.)); #422037=DIRECTION('',(0.,-1.,0.)); #422038=DIRECTION('',(0.,-1.,0.)); #422039=DIRECTION('',(0.,0.,1.)); #422040=DIRECTION('center_axis',(0.,1.,0.)); #422041=DIRECTION('ref_axis',(-1.,0.,0.)); #422042=DIRECTION('',(-1.,0.,0.)); #422043=DIRECTION('',(-1.,0.,0.)); #422044=DIRECTION('center_axis',(0.,0.,1.)); #422045=DIRECTION('ref_axis',(1.,0.,0.)); #422046=DIRECTION('center_axis',(0.,0.,1.)); #422047=DIRECTION('ref_axis',(1.,0.,0.)); #422048=DIRECTION('center_axis',(1.,0.,0.)); #422049=DIRECTION('ref_axis',(0.,1.,0.)); #422050=DIRECTION('',(0.,1.,0.)); #422051=DIRECTION('',(0.,0.,1.)); #422052=DIRECTION('',(0.,1.,0.)); #422053=DIRECTION('',(0.,0.,1.)); #422054=DIRECTION('center_axis',(0.,-1.,0.)); #422055=DIRECTION('ref_axis',(1.,0.,0.)); #422056=DIRECTION('',(1.,0.,0.)); #422057=DIRECTION('',(1.,0.,0.)); #422058=DIRECTION('',(0.,0.,1.)); #422059=DIRECTION('center_axis',(-1.,0.,0.)); #422060=DIRECTION('ref_axis',(0.,-1.,0.)); #422061=DIRECTION('',(0.,-1.,0.)); #422062=DIRECTION('',(0.,-1.,0.)); #422063=DIRECTION('',(0.,0.,1.)); #422064=DIRECTION('center_axis',(0.,1.,0.)); #422065=DIRECTION('ref_axis',(-1.,0.,0.)); #422066=DIRECTION('',(-1.,0.,0.)); #422067=DIRECTION('',(-1.,0.,0.)); #422068=DIRECTION('center_axis',(0.,0.,1.)); #422069=DIRECTION('ref_axis',(1.,0.,0.)); #422070=DIRECTION('center_axis',(0.,0.,1.)); #422071=DIRECTION('ref_axis',(1.,0.,0.)); #422072=DIRECTION('center_axis',(1.,0.,0.)); #422073=DIRECTION('ref_axis',(0.,1.,0.)); #422074=DIRECTION('',(0.,1.,0.)); #422075=DIRECTION('',(0.,0.,1.)); #422076=DIRECTION('',(0.,1.,0.)); #422077=DIRECTION('',(0.,0.,1.)); #422078=DIRECTION('center_axis',(0.,-1.,0.)); #422079=DIRECTION('ref_axis',(1.,0.,0.)); #422080=DIRECTION('',(1.,0.,0.)); #422081=DIRECTION('',(1.,0.,0.)); #422082=DIRECTION('',(0.,0.,1.)); #422083=DIRECTION('center_axis',(-1.,0.,0.)); #422084=DIRECTION('ref_axis',(0.,-1.,0.)); #422085=DIRECTION('',(0.,-1.,0.)); #422086=DIRECTION('',(0.,-1.,0.)); #422087=DIRECTION('',(0.,0.,1.)); #422088=DIRECTION('center_axis',(0.,1.,0.)); #422089=DIRECTION('ref_axis',(-1.,0.,0.)); #422090=DIRECTION('',(-1.,0.,0.)); #422091=DIRECTION('',(-1.,0.,0.)); #422092=DIRECTION('center_axis',(0.,0.,1.)); #422093=DIRECTION('ref_axis',(1.,0.,0.)); #422094=DIRECTION('center_axis',(0.,0.,1.)); #422095=DIRECTION('ref_axis',(1.,0.,0.)); #422096=DIRECTION('center_axis',(1.,0.,0.)); #422097=DIRECTION('ref_axis',(0.,1.,0.)); #422098=DIRECTION('',(0.,1.,0.)); #422099=DIRECTION('',(0.,0.,1.)); #422100=DIRECTION('',(0.,1.,0.)); #422101=DIRECTION('',(0.,0.,1.)); #422102=DIRECTION('center_axis',(0.,-1.,0.)); #422103=DIRECTION('ref_axis',(1.,0.,0.)); #422104=DIRECTION('',(1.,0.,0.)); #422105=DIRECTION('',(1.,0.,0.)); #422106=DIRECTION('',(0.,0.,1.)); #422107=DIRECTION('center_axis',(-1.,0.,0.)); #422108=DIRECTION('ref_axis',(0.,-1.,0.)); #422109=DIRECTION('',(0.,-1.,0.)); #422110=DIRECTION('',(0.,-1.,0.)); #422111=DIRECTION('',(0.,0.,1.)); #422112=DIRECTION('center_axis',(0.,1.,0.)); #422113=DIRECTION('ref_axis',(-1.,0.,0.)); #422114=DIRECTION('',(-1.,0.,0.)); #422115=DIRECTION('',(-1.,0.,0.)); #422116=DIRECTION('center_axis',(0.,0.,1.)); #422117=DIRECTION('ref_axis',(1.,0.,0.)); #422118=DIRECTION('center_axis',(0.,0.,1.)); #422119=DIRECTION('ref_axis',(1.,0.,0.)); #422120=DIRECTION('center_axis',(1.,0.,0.)); #422121=DIRECTION('ref_axis',(0.,1.,0.)); #422122=DIRECTION('',(0.,1.,0.)); #422123=DIRECTION('',(0.,0.,1.)); #422124=DIRECTION('',(0.,1.,0.)); #422125=DIRECTION('',(0.,0.,1.)); #422126=DIRECTION('center_axis',(0.,-1.,0.)); #422127=DIRECTION('ref_axis',(1.,0.,0.)); #422128=DIRECTION('',(1.,0.,0.)); #422129=DIRECTION('',(1.,0.,0.)); #422130=DIRECTION('',(0.,0.,1.)); #422131=DIRECTION('center_axis',(-1.,0.,0.)); #422132=DIRECTION('ref_axis',(0.,-1.,0.)); #422133=DIRECTION('',(0.,-1.,0.)); #422134=DIRECTION('',(0.,-1.,0.)); #422135=DIRECTION('',(0.,0.,1.)); #422136=DIRECTION('center_axis',(0.,1.,0.)); #422137=DIRECTION('ref_axis',(-1.,0.,0.)); #422138=DIRECTION('',(-1.,0.,0.)); #422139=DIRECTION('',(-1.,0.,0.)); #422140=DIRECTION('center_axis',(0.,0.,1.)); #422141=DIRECTION('ref_axis',(1.,0.,0.)); #422142=DIRECTION('center_axis',(0.,0.,1.)); #422143=DIRECTION('ref_axis',(1.,0.,0.)); #422144=DIRECTION('center_axis',(1.,0.,0.)); #422145=DIRECTION('ref_axis',(0.,1.,0.)); #422146=DIRECTION('',(0.,1.,0.)); #422147=DIRECTION('',(0.,0.,1.)); #422148=DIRECTION('',(0.,1.,0.)); #422149=DIRECTION('',(0.,0.,1.)); #422150=DIRECTION('center_axis',(0.,-1.,0.)); #422151=DIRECTION('ref_axis',(1.,0.,0.)); #422152=DIRECTION('',(1.,0.,0.)); #422153=DIRECTION('',(1.,0.,0.)); #422154=DIRECTION('',(0.,0.,1.)); #422155=DIRECTION('center_axis',(-1.,0.,0.)); #422156=DIRECTION('ref_axis',(0.,-1.,0.)); #422157=DIRECTION('',(0.,-1.,0.)); #422158=DIRECTION('',(0.,-1.,0.)); #422159=DIRECTION('',(0.,0.,1.)); #422160=DIRECTION('center_axis',(0.,1.,0.)); #422161=DIRECTION('ref_axis',(-1.,0.,0.)); #422162=DIRECTION('',(-1.,0.,0.)); #422163=DIRECTION('',(-1.,0.,0.)); #422164=DIRECTION('center_axis',(0.,0.,1.)); #422165=DIRECTION('ref_axis',(1.,0.,0.)); #422166=DIRECTION('center_axis',(0.,0.,1.)); #422167=DIRECTION('ref_axis',(1.,0.,0.)); #422168=DIRECTION('center_axis',(0.,0.,1.)); #422169=DIRECTION('ref_axis',(1.,0.,0.)); #422170=DIRECTION('center_axis',(0.,0.,1.)); #422171=DIRECTION('ref_axis',(1.,0.,0.)); #422172=DIRECTION('',(0.,0.,1.)); #422173=DIRECTION('center_axis',(0.,0.,-1.)); #422174=DIRECTION('ref_axis',(1.,0.,0.)); #422175=DIRECTION('center_axis',(1.,0.,0.)); #422176=DIRECTION('ref_axis',(0.,1.,0.)); #422177=DIRECTION('',(0.,1.,0.)); #422178=DIRECTION('',(0.,0.,1.)); #422179=DIRECTION('',(0.,1.,0.)); #422180=DIRECTION('',(0.,0.,1.)); #422181=DIRECTION('center_axis',(0.,-1.,0.)); #422182=DIRECTION('ref_axis',(1.,0.,0.)); #422183=DIRECTION('',(1.,0.,0.)); #422184=DIRECTION('',(1.,0.,0.)); #422185=DIRECTION('',(0.,0.,1.)); #422186=DIRECTION('center_axis',(-1.,0.,0.)); #422187=DIRECTION('ref_axis',(0.,-1.,0.)); #422188=DIRECTION('',(0.,-1.,0.)); #422189=DIRECTION('',(0.,-1.,0.)); #422190=DIRECTION('',(0.,0.,1.)); #422191=DIRECTION('center_axis',(0.,1.,0.)); #422192=DIRECTION('ref_axis',(-1.,0.,0.)); #422193=DIRECTION('',(-1.,0.,0.)); #422194=DIRECTION('',(-1.,0.,0.)); #422195=DIRECTION('center_axis',(0.,0.,1.)); #422196=DIRECTION('ref_axis',(1.,0.,0.)); #422197=DIRECTION('center_axis',(0.,0.,1.)); #422198=DIRECTION('ref_axis',(1.,0.,0.)); #422199=DIRECTION('center_axis',(0.,0.,1.)); #422200=DIRECTION('ref_axis',(1.,0.,0.)); #422201=DIRECTION('center_axis',(0.,0.,1.)); #422202=DIRECTION('ref_axis',(1.,0.,0.)); #422203=DIRECTION('',(0.,0.,1.)); #422204=DIRECTION('center_axis',(0.,0.,-1.)); #422205=DIRECTION('ref_axis',(1.,0.,0.)); #422206=DIRECTION('center_axis',(1.,0.,0.)); #422207=DIRECTION('ref_axis',(0.,1.,0.)); #422208=DIRECTION('',(0.,1.,0.)); #422209=DIRECTION('',(0.,0.,1.)); #422210=DIRECTION('',(0.,1.,0.)); #422211=DIRECTION('',(0.,0.,1.)); #422212=DIRECTION('center_axis',(0.,-1.,0.)); #422213=DIRECTION('ref_axis',(1.,0.,0.)); #422214=DIRECTION('',(1.,0.,0.)); #422215=DIRECTION('',(1.,0.,0.)); #422216=DIRECTION('',(0.,0.,1.)); #422217=DIRECTION('center_axis',(-1.,0.,0.)); #422218=DIRECTION('ref_axis',(0.,-1.,0.)); #422219=DIRECTION('',(0.,-1.,0.)); #422220=DIRECTION('',(0.,-1.,0.)); #422221=DIRECTION('',(0.,0.,1.)); #422222=DIRECTION('center_axis',(0.,1.,0.)); #422223=DIRECTION('ref_axis',(-1.,0.,0.)); #422224=DIRECTION('',(-1.,0.,0.)); #422225=DIRECTION('',(-1.,0.,0.)); #422226=DIRECTION('center_axis',(0.,0.,1.)); #422227=DIRECTION('ref_axis',(1.,0.,0.)); #422228=DIRECTION('center_axis',(0.,0.,1.)); #422229=DIRECTION('ref_axis',(1.,0.,0.)); #422230=DIRECTION('center_axis',(1.,0.,0.)); #422231=DIRECTION('ref_axis',(0.,1.,0.)); #422232=DIRECTION('',(0.,1.,0.)); #422233=DIRECTION('',(0.,0.,1.)); #422234=DIRECTION('',(0.,1.,0.)); #422235=DIRECTION('',(0.,0.,1.)); #422236=DIRECTION('center_axis',(0.,-1.,0.)); #422237=DIRECTION('ref_axis',(1.,0.,0.)); #422238=DIRECTION('',(1.,0.,0.)); #422239=DIRECTION('',(1.,0.,0.)); #422240=DIRECTION('',(0.,0.,1.)); #422241=DIRECTION('center_axis',(-1.,0.,0.)); #422242=DIRECTION('ref_axis',(0.,-1.,0.)); #422243=DIRECTION('',(0.,-1.,0.)); #422244=DIRECTION('',(0.,-1.,0.)); #422245=DIRECTION('',(0.,0.,1.)); #422246=DIRECTION('center_axis',(0.,1.,0.)); #422247=DIRECTION('ref_axis',(-1.,0.,0.)); #422248=DIRECTION('',(-1.,0.,0.)); #422249=DIRECTION('',(-1.,0.,0.)); #422250=DIRECTION('center_axis',(0.,0.,1.)); #422251=DIRECTION('ref_axis',(1.,0.,0.)); #422252=DIRECTION('center_axis',(0.,0.,1.)); #422253=DIRECTION('ref_axis',(1.,0.,0.)); #422254=DIRECTION('center_axis',(1.,0.,0.)); #422255=DIRECTION('ref_axis',(0.,1.,0.)); #422256=DIRECTION('',(0.,1.,0.)); #422257=DIRECTION('',(0.,0.,1.)); #422258=DIRECTION('',(0.,1.,0.)); #422259=DIRECTION('',(0.,0.,1.)); #422260=DIRECTION('center_axis',(0.,-1.,0.)); #422261=DIRECTION('ref_axis',(1.,0.,0.)); #422262=DIRECTION('',(1.,0.,0.)); #422263=DIRECTION('',(1.,0.,0.)); #422264=DIRECTION('',(0.,0.,1.)); #422265=DIRECTION('center_axis',(-1.,0.,0.)); #422266=DIRECTION('ref_axis',(0.,-1.,0.)); #422267=DIRECTION('',(0.,-1.,0.)); #422268=DIRECTION('',(0.,-1.,0.)); #422269=DIRECTION('',(0.,0.,1.)); #422270=DIRECTION('center_axis',(0.,1.,0.)); #422271=DIRECTION('ref_axis',(-1.,0.,0.)); #422272=DIRECTION('',(-1.,0.,0.)); #422273=DIRECTION('',(-1.,0.,0.)); #422274=DIRECTION('center_axis',(0.,0.,1.)); #422275=DIRECTION('ref_axis',(1.,0.,0.)); #422276=DIRECTION('center_axis',(0.,0.,1.)); #422277=DIRECTION('ref_axis',(1.,0.,0.)); #422278=DIRECTION('center_axis',(1.,0.,0.)); #422279=DIRECTION('ref_axis',(0.,1.,0.)); #422280=DIRECTION('',(0.,1.,0.)); #422281=DIRECTION('',(0.,0.,1.)); #422282=DIRECTION('',(0.,1.,0.)); #422283=DIRECTION('',(0.,0.,1.)); #422284=DIRECTION('center_axis',(0.,-1.,0.)); #422285=DIRECTION('ref_axis',(1.,0.,0.)); #422286=DIRECTION('',(1.,0.,0.)); #422287=DIRECTION('',(1.,0.,0.)); #422288=DIRECTION('',(0.,0.,1.)); #422289=DIRECTION('center_axis',(-1.,0.,0.)); #422290=DIRECTION('ref_axis',(0.,-1.,0.)); #422291=DIRECTION('',(0.,-1.,0.)); #422292=DIRECTION('',(0.,-1.,0.)); #422293=DIRECTION('',(0.,0.,1.)); #422294=DIRECTION('center_axis',(0.,1.,0.)); #422295=DIRECTION('ref_axis',(-1.,0.,0.)); #422296=DIRECTION('',(-1.,0.,0.)); #422297=DIRECTION('',(-1.,0.,0.)); #422298=DIRECTION('center_axis',(0.,0.,1.)); #422299=DIRECTION('ref_axis',(1.,0.,0.)); #422300=DIRECTION('center_axis',(0.,0.,1.)); #422301=DIRECTION('ref_axis',(1.,0.,0.)); #422302=DIRECTION('center_axis',(1.,0.,0.)); #422303=DIRECTION('ref_axis',(0.,1.,0.)); #422304=DIRECTION('',(0.,1.,0.)); #422305=DIRECTION('',(0.,0.,1.)); #422306=DIRECTION('',(0.,1.,0.)); #422307=DIRECTION('',(0.,0.,1.)); #422308=DIRECTION('center_axis',(0.,-1.,0.)); #422309=DIRECTION('ref_axis',(1.,0.,0.)); #422310=DIRECTION('',(1.,0.,0.)); #422311=DIRECTION('',(1.,0.,0.)); #422312=DIRECTION('',(0.,0.,1.)); #422313=DIRECTION('center_axis',(-1.,0.,0.)); #422314=DIRECTION('ref_axis',(0.,-1.,0.)); #422315=DIRECTION('',(0.,-1.,0.)); #422316=DIRECTION('',(0.,-1.,0.)); #422317=DIRECTION('',(0.,0.,1.)); #422318=DIRECTION('center_axis',(0.,1.,0.)); #422319=DIRECTION('ref_axis',(-1.,0.,0.)); #422320=DIRECTION('',(-1.,0.,0.)); #422321=DIRECTION('',(-1.,0.,0.)); #422322=DIRECTION('center_axis',(0.,0.,1.)); #422323=DIRECTION('ref_axis',(1.,0.,0.)); #422324=DIRECTION('center_axis',(0.,0.,1.)); #422325=DIRECTION('ref_axis',(1.,0.,0.)); #422326=DIRECTION('center_axis',(1.,0.,0.)); #422327=DIRECTION('ref_axis',(0.,1.,0.)); #422328=DIRECTION('',(0.,1.,0.)); #422329=DIRECTION('',(0.,0.,1.)); #422330=DIRECTION('',(0.,1.,0.)); #422331=DIRECTION('',(0.,0.,1.)); #422332=DIRECTION('center_axis',(0.,-1.,0.)); #422333=DIRECTION('ref_axis',(1.,0.,0.)); #422334=DIRECTION('',(1.,0.,0.)); #422335=DIRECTION('',(1.,0.,0.)); #422336=DIRECTION('',(0.,0.,1.)); #422337=DIRECTION('center_axis',(-1.,0.,0.)); #422338=DIRECTION('ref_axis',(0.,-1.,0.)); #422339=DIRECTION('',(0.,-1.,0.)); #422340=DIRECTION('',(0.,-1.,0.)); #422341=DIRECTION('',(0.,0.,1.)); #422342=DIRECTION('center_axis',(0.,1.,0.)); #422343=DIRECTION('ref_axis',(-1.,0.,0.)); #422344=DIRECTION('',(-1.,0.,0.)); #422345=DIRECTION('',(-1.,0.,0.)); #422346=DIRECTION('center_axis',(0.,0.,1.)); #422347=DIRECTION('ref_axis',(1.,0.,0.)); #422348=DIRECTION('center_axis',(0.,0.,1.)); #422349=DIRECTION('ref_axis',(1.,0.,0.)); #422350=DIRECTION('center_axis',(1.,0.,0.)); #422351=DIRECTION('ref_axis',(0.,1.,0.)); #422352=DIRECTION('',(0.,1.,0.)); #422353=DIRECTION('',(0.,0.,1.)); #422354=DIRECTION('',(0.,1.,0.)); #422355=DIRECTION('',(0.,0.,1.)); #422356=DIRECTION('center_axis',(0.,-1.,0.)); #422357=DIRECTION('ref_axis',(1.,0.,0.)); #422358=DIRECTION('',(1.,0.,0.)); #422359=DIRECTION('',(1.,0.,0.)); #422360=DIRECTION('',(0.,0.,1.)); #422361=DIRECTION('center_axis',(-1.,0.,0.)); #422362=DIRECTION('ref_axis',(0.,-1.,0.)); #422363=DIRECTION('',(0.,-1.,0.)); #422364=DIRECTION('',(0.,-1.,0.)); #422365=DIRECTION('',(0.,0.,1.)); #422366=DIRECTION('center_axis',(0.,1.,0.)); #422367=DIRECTION('ref_axis',(-1.,0.,0.)); #422368=DIRECTION('',(-1.,0.,0.)); #422369=DIRECTION('',(-1.,0.,0.)); #422370=DIRECTION('center_axis',(0.,0.,1.)); #422371=DIRECTION('ref_axis',(1.,0.,0.)); #422372=DIRECTION('center_axis',(0.,0.,1.)); #422373=DIRECTION('ref_axis',(1.,0.,0.)); #422374=DIRECTION('center_axis',(1.,0.,0.)); #422375=DIRECTION('ref_axis',(0.,1.,0.)); #422376=DIRECTION('',(0.,1.,0.)); #422377=DIRECTION('',(0.,0.,1.)); #422378=DIRECTION('',(0.,1.,0.)); #422379=DIRECTION('',(0.,0.,1.)); #422380=DIRECTION('center_axis',(0.,-1.,0.)); #422381=DIRECTION('ref_axis',(1.,0.,0.)); #422382=DIRECTION('',(1.,0.,0.)); #422383=DIRECTION('',(1.,0.,0.)); #422384=DIRECTION('',(0.,0.,1.)); #422385=DIRECTION('center_axis',(-1.,0.,0.)); #422386=DIRECTION('ref_axis',(0.,-1.,0.)); #422387=DIRECTION('',(0.,-1.,0.)); #422388=DIRECTION('',(0.,-1.,0.)); #422389=DIRECTION('',(0.,0.,1.)); #422390=DIRECTION('center_axis',(0.,1.,0.)); #422391=DIRECTION('ref_axis',(-1.,0.,0.)); #422392=DIRECTION('',(-1.,0.,0.)); #422393=DIRECTION('',(-1.,0.,0.)); #422394=DIRECTION('center_axis',(0.,0.,1.)); #422395=DIRECTION('ref_axis',(1.,0.,0.)); #422396=DIRECTION('center_axis',(0.,0.,1.)); #422397=DIRECTION('ref_axis',(1.,0.,0.)); #422398=DIRECTION('center_axis',(1.,0.,0.)); #422399=DIRECTION('ref_axis',(0.,1.,0.)); #422400=DIRECTION('',(0.,1.,0.)); #422401=DIRECTION('',(0.,0.,1.)); #422402=DIRECTION('',(0.,1.,0.)); #422403=DIRECTION('',(0.,0.,1.)); #422404=DIRECTION('center_axis',(0.,-1.,0.)); #422405=DIRECTION('ref_axis',(1.,0.,0.)); #422406=DIRECTION('',(1.,0.,0.)); #422407=DIRECTION('',(1.,0.,0.)); #422408=DIRECTION('',(0.,0.,1.)); #422409=DIRECTION('center_axis',(-1.,0.,0.)); #422410=DIRECTION('ref_axis',(0.,-1.,0.)); #422411=DIRECTION('',(0.,-1.,0.)); #422412=DIRECTION('',(0.,-1.,0.)); #422413=DIRECTION('',(0.,0.,1.)); #422414=DIRECTION('center_axis',(0.,1.,0.)); #422415=DIRECTION('ref_axis',(-1.,0.,0.)); #422416=DIRECTION('',(-1.,0.,0.)); #422417=DIRECTION('',(-1.,0.,0.)); #422418=DIRECTION('center_axis',(0.,0.,1.)); #422419=DIRECTION('ref_axis',(1.,0.,0.)); #422420=DIRECTION('center_axis',(0.,0.,1.)); #422421=DIRECTION('ref_axis',(1.,0.,0.)); #422422=DIRECTION('center_axis',(1.,0.,0.)); #422423=DIRECTION('ref_axis',(0.,1.,0.)); #422424=DIRECTION('',(0.,1.,0.)); #422425=DIRECTION('',(0.,0.,1.)); #422426=DIRECTION('',(0.,1.,0.)); #422427=DIRECTION('',(0.,0.,1.)); #422428=DIRECTION('center_axis',(0.,-1.,0.)); #422429=DIRECTION('ref_axis',(1.,0.,0.)); #422430=DIRECTION('',(1.,0.,0.)); #422431=DIRECTION('',(1.,0.,0.)); #422432=DIRECTION('',(0.,0.,1.)); #422433=DIRECTION('center_axis',(-1.,0.,0.)); #422434=DIRECTION('ref_axis',(0.,-1.,0.)); #422435=DIRECTION('',(0.,-1.,0.)); #422436=DIRECTION('',(0.,-1.,0.)); #422437=DIRECTION('',(0.,0.,1.)); #422438=DIRECTION('center_axis',(0.,1.,0.)); #422439=DIRECTION('ref_axis',(-1.,0.,0.)); #422440=DIRECTION('',(-1.,0.,0.)); #422441=DIRECTION('',(-1.,0.,0.)); #422442=DIRECTION('center_axis',(0.,0.,1.)); #422443=DIRECTION('ref_axis',(1.,0.,0.)); #422444=DIRECTION('center_axis',(0.,0.,1.)); #422445=DIRECTION('ref_axis',(1.,0.,0.)); #422446=DIRECTION('center_axis',(1.,0.,0.)); #422447=DIRECTION('ref_axis',(0.,1.,0.)); #422448=DIRECTION('',(0.,1.,0.)); #422449=DIRECTION('',(0.,0.,1.)); #422450=DIRECTION('',(0.,1.,0.)); #422451=DIRECTION('',(0.,0.,1.)); #422452=DIRECTION('center_axis',(0.,-1.,0.)); #422453=DIRECTION('ref_axis',(1.,0.,0.)); #422454=DIRECTION('',(1.,0.,0.)); #422455=DIRECTION('',(1.,0.,0.)); #422456=DIRECTION('',(0.,0.,1.)); #422457=DIRECTION('center_axis',(-1.,0.,0.)); #422458=DIRECTION('ref_axis',(0.,-1.,0.)); #422459=DIRECTION('',(0.,-1.,0.)); #422460=DIRECTION('',(0.,-1.,0.)); #422461=DIRECTION('',(0.,0.,1.)); #422462=DIRECTION('center_axis',(0.,1.,0.)); #422463=DIRECTION('ref_axis',(-1.,0.,0.)); #422464=DIRECTION('',(-1.,0.,0.)); #422465=DIRECTION('',(-1.,0.,0.)); #422466=DIRECTION('center_axis',(0.,0.,1.)); #422467=DIRECTION('ref_axis',(1.,0.,0.)); #422468=DIRECTION('center_axis',(0.,0.,1.)); #422469=DIRECTION('ref_axis',(1.,0.,0.)); #422470=DIRECTION('center_axis',(1.,0.,0.)); #422471=DIRECTION('ref_axis',(0.,1.,0.)); #422472=DIRECTION('',(0.,1.,0.)); #422473=DIRECTION('',(0.,0.,1.)); #422474=DIRECTION('',(0.,1.,0.)); #422475=DIRECTION('',(0.,0.,1.)); #422476=DIRECTION('center_axis',(0.,-1.,0.)); #422477=DIRECTION('ref_axis',(1.,0.,0.)); #422478=DIRECTION('',(1.,0.,0.)); #422479=DIRECTION('',(1.,0.,0.)); #422480=DIRECTION('',(0.,0.,1.)); #422481=DIRECTION('center_axis',(-1.,0.,0.)); #422482=DIRECTION('ref_axis',(0.,-1.,0.)); #422483=DIRECTION('',(0.,-1.,0.)); #422484=DIRECTION('',(0.,-1.,0.)); #422485=DIRECTION('',(0.,0.,1.)); #422486=DIRECTION('center_axis',(0.,1.,0.)); #422487=DIRECTION('ref_axis',(-1.,0.,0.)); #422488=DIRECTION('',(-1.,0.,0.)); #422489=DIRECTION('',(-1.,0.,0.)); #422490=DIRECTION('center_axis',(0.,0.,1.)); #422491=DIRECTION('ref_axis',(1.,0.,0.)); #422492=DIRECTION('center_axis',(0.,0.,1.)); #422493=DIRECTION('ref_axis',(1.,0.,0.)); #422494=DIRECTION('center_axis',(0.,0.,1.)); #422495=DIRECTION('ref_axis',(1.,0.,0.)); #422496=DIRECTION('center_axis',(0.,0.,1.)); #422497=DIRECTION('ref_axis',(1.,0.,0.)); #422498=DIRECTION('',(0.,0.,1.)); #422499=DIRECTION('center_axis',(0.,0.,-1.)); #422500=DIRECTION('ref_axis',(1.,0.,0.)); #422501=DIRECTION('center_axis',(0.,0.,1.)); #422502=DIRECTION('ref_axis',(1.,0.,0.)); #422503=DIRECTION('center_axis',(0.,0.,1.)); #422504=DIRECTION('ref_axis',(1.,0.,0.)); #422505=DIRECTION('',(0.,0.,1.)); #422506=DIRECTION('center_axis',(0.,0.,-1.)); #422507=DIRECTION('ref_axis',(1.,0.,0.)); #422508=DIRECTION('center_axis',(0.,0.,1.)); #422509=DIRECTION('ref_axis',(1.,0.,0.)); #422510=DIRECTION('center_axis',(0.,0.,1.)); #422511=DIRECTION('ref_axis',(1.,0.,0.)); #422512=DIRECTION('',(0.,0.,1.)); #422513=DIRECTION('center_axis',(0.,0.,-1.)); #422514=DIRECTION('ref_axis',(1.,0.,0.)); #422515=DIRECTION('center_axis',(0.,0.,1.)); #422516=DIRECTION('ref_axis',(1.,0.,0.)); #422517=DIRECTION('center_axis',(0.,0.,1.)); #422518=DIRECTION('ref_axis',(1.,0.,0.)); #422519=DIRECTION('',(0.,0.,1.)); #422520=DIRECTION('center_axis',(0.,0.,-1.)); #422521=DIRECTION('ref_axis',(1.,0.,0.)); #422522=DIRECTION('center_axis',(0.,0.,1.)); #422523=DIRECTION('ref_axis',(1.,0.,0.)); #422524=DIRECTION('center_axis',(0.,0.,1.)); #422525=DIRECTION('ref_axis',(1.,0.,0.)); #422526=DIRECTION('',(0.,0.,1.)); #422527=DIRECTION('center_axis',(0.,0.,-1.)); #422528=DIRECTION('ref_axis',(1.,0.,0.)); #422529=DIRECTION('center_axis',(0.,0.,1.)); #422530=DIRECTION('ref_axis',(1.,0.,0.)); #422531=DIRECTION('center_axis',(0.,0.,1.)); #422532=DIRECTION('ref_axis',(1.,0.,0.)); #422533=DIRECTION('',(0.,0.,1.)); #422534=DIRECTION('center_axis',(0.,0.,-1.)); #422535=DIRECTION('ref_axis',(1.,0.,0.)); #422536=DIRECTION('center_axis',(1.,0.,0.)); #422537=DIRECTION('ref_axis',(0.,1.,0.)); #422538=DIRECTION('',(0.,1.,0.)); #422539=DIRECTION('',(0.,0.,1.)); #422540=DIRECTION('',(0.,1.,0.)); #422541=DIRECTION('',(0.,0.,1.)); #422542=DIRECTION('center_axis',(0.,-1.,0.)); #422543=DIRECTION('ref_axis',(1.,0.,0.)); #422544=DIRECTION('',(1.,0.,0.)); #422545=DIRECTION('',(1.,0.,0.)); #422546=DIRECTION('',(0.,0.,1.)); #422547=DIRECTION('center_axis',(-1.,0.,0.)); #422548=DIRECTION('ref_axis',(0.,-1.,0.)); #422549=DIRECTION('',(0.,-1.,0.)); #422550=DIRECTION('',(0.,-1.,0.)); #422551=DIRECTION('',(0.,0.,1.)); #422552=DIRECTION('center_axis',(0.,1.,0.)); #422553=DIRECTION('ref_axis',(-1.,0.,0.)); #422554=DIRECTION('',(-1.,0.,0.)); #422555=DIRECTION('',(-1.,0.,0.)); #422556=DIRECTION('center_axis',(0.,0.,1.)); #422557=DIRECTION('ref_axis',(1.,0.,0.)); #422558=DIRECTION('center_axis',(0.,0.,1.)); #422559=DIRECTION('ref_axis',(1.,0.,0.)); #422560=DIRECTION('center_axis',(1.,0.,0.)); #422561=DIRECTION('ref_axis',(0.,1.,0.)); #422562=DIRECTION('',(0.,1.,0.)); #422563=DIRECTION('',(0.,0.,1.)); #422564=DIRECTION('',(0.,1.,0.)); #422565=DIRECTION('',(0.,0.,1.)); #422566=DIRECTION('center_axis',(0.,-1.,0.)); #422567=DIRECTION('ref_axis',(1.,0.,0.)); #422568=DIRECTION('',(1.,0.,0.)); #422569=DIRECTION('',(1.,0.,0.)); #422570=DIRECTION('',(0.,0.,1.)); #422571=DIRECTION('center_axis',(-1.,0.,0.)); #422572=DIRECTION('ref_axis',(0.,-1.,0.)); #422573=DIRECTION('',(0.,-1.,0.)); #422574=DIRECTION('',(0.,-1.,0.)); #422575=DIRECTION('',(0.,0.,1.)); #422576=DIRECTION('center_axis',(0.,1.,0.)); #422577=DIRECTION('ref_axis',(-1.,0.,0.)); #422578=DIRECTION('',(-1.,0.,0.)); #422579=DIRECTION('',(-1.,0.,0.)); #422580=DIRECTION('center_axis',(0.,0.,1.)); #422581=DIRECTION('ref_axis',(1.,0.,0.)); #422582=DIRECTION('center_axis',(0.,0.,1.)); #422583=DIRECTION('ref_axis',(1.,0.,0.)); #422584=DIRECTION('center_axis',(1.,0.,0.)); #422585=DIRECTION('ref_axis',(0.,1.,0.)); #422586=DIRECTION('',(0.,1.,0.)); #422587=DIRECTION('',(0.,0.,1.)); #422588=DIRECTION('',(0.,1.,0.)); #422589=DIRECTION('',(0.,0.,1.)); #422590=DIRECTION('center_axis',(0.,-1.,0.)); #422591=DIRECTION('ref_axis',(1.,0.,0.)); #422592=DIRECTION('',(1.,0.,0.)); #422593=DIRECTION('',(1.,0.,0.)); #422594=DIRECTION('',(0.,0.,1.)); #422595=DIRECTION('center_axis',(-1.,0.,0.)); #422596=DIRECTION('ref_axis',(0.,-1.,0.)); #422597=DIRECTION('',(0.,-1.,0.)); #422598=DIRECTION('',(0.,-1.,0.)); #422599=DIRECTION('',(0.,0.,1.)); #422600=DIRECTION('center_axis',(0.,1.,0.)); #422601=DIRECTION('ref_axis',(-1.,0.,0.)); #422602=DIRECTION('',(-1.,0.,0.)); #422603=DIRECTION('',(-1.,0.,0.)); #422604=DIRECTION('center_axis',(0.,0.,1.)); #422605=DIRECTION('ref_axis',(1.,0.,0.)); #422606=DIRECTION('center_axis',(0.,0.,1.)); #422607=DIRECTION('ref_axis',(1.,0.,0.)); #422608=DIRECTION('center_axis',(1.,0.,0.)); #422609=DIRECTION('ref_axis',(0.,1.,0.)); #422610=DIRECTION('',(0.,1.,0.)); #422611=DIRECTION('',(0.,0.,1.)); #422612=DIRECTION('',(0.,1.,0.)); #422613=DIRECTION('',(0.,0.,1.)); #422614=DIRECTION('center_axis',(0.,-1.,0.)); #422615=DIRECTION('ref_axis',(1.,0.,0.)); #422616=DIRECTION('',(1.,0.,0.)); #422617=DIRECTION('',(1.,0.,0.)); #422618=DIRECTION('',(0.,0.,1.)); #422619=DIRECTION('center_axis',(-1.,0.,0.)); #422620=DIRECTION('ref_axis',(0.,-1.,0.)); #422621=DIRECTION('',(0.,-1.,0.)); #422622=DIRECTION('',(0.,-1.,0.)); #422623=DIRECTION('',(0.,0.,1.)); #422624=DIRECTION('center_axis',(0.,1.,0.)); #422625=DIRECTION('ref_axis',(-1.,0.,0.)); #422626=DIRECTION('',(-1.,0.,0.)); #422627=DIRECTION('',(-1.,0.,0.)); #422628=DIRECTION('center_axis',(0.,0.,1.)); #422629=DIRECTION('ref_axis',(1.,0.,0.)); #422630=DIRECTION('center_axis',(0.,0.,1.)); #422631=DIRECTION('ref_axis',(1.,0.,0.)); #422632=DIRECTION('center_axis',(0.,0.,1.)); #422633=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,0.)); #422634=DIRECTION('',(0.,0.,1.)); #422635=DIRECTION('center_axis',(0.,0.,1.)); #422636=DIRECTION('ref_axis',(1.,0.,0.)); #422637=DIRECTION('',(0.,0.,-1.)); #422638=DIRECTION('center_axis',(0.,0.,-1.)); #422639=DIRECTION('ref_axis',(1.,0.,0.)); #422640=DIRECTION('center_axis',(0.,0.,1.)); #422641=DIRECTION('ref_axis',(1.,0.,0.)); #422642=DIRECTION('center_axis',(0.,0.,1.)); #422643=DIRECTION('ref_axis',(1.,0.,0.)); #422644=DIRECTION('',(0.,0.,1.)); #422645=DIRECTION('center_axis',(0.,0.,-1.)); #422646=DIRECTION('ref_axis',(1.,0.,0.)); #422647=DIRECTION('center_axis',(0.,0.,1.)); #422648=DIRECTION('ref_axis',(-1.83697019872103E-16,1.,0.)); #422649=DIRECTION('',(0.,0.,1.)); #422650=DIRECTION('center_axis',(0.,0.,1.)); #422651=DIRECTION('ref_axis',(1.,0.,0.)); #422652=DIRECTION('',(0.,0.,-1.)); #422653=DIRECTION('center_axis',(0.,0.,-1.)); #422654=DIRECTION('ref_axis',(1.,0.,0.)); #422655=DIRECTION('center_axis',(0.,0.,1.)); #422656=DIRECTION('ref_axis',(-1.83697019872103E-16,1.,0.)); #422657=DIRECTION('',(0.,0.,1.)); #422658=DIRECTION('center_axis',(0.,0.,1.)); #422659=DIRECTION('ref_axis',(1.,0.,0.)); #422660=DIRECTION('',(0.,0.,-1.)); #422661=DIRECTION('center_axis',(0.,0.,-1.)); #422662=DIRECTION('ref_axis',(1.,0.,0.)); #422663=DIRECTION('center_axis',(0.,0.,1.)); #422664=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,0.)); #422665=DIRECTION('',(0.,0.,1.)); #422666=DIRECTION('center_axis',(0.,0.,1.)); #422667=DIRECTION('ref_axis',(1.,0.,0.)); #422668=DIRECTION('',(0.,0.,-1.)); #422669=DIRECTION('center_axis',(0.,0.,-1.)); #422670=DIRECTION('ref_axis',(1.,0.,0.)); #422671=DIRECTION('center_axis',(0.,0.,1.)); #422672=DIRECTION('ref_axis',(1.,0.,0.)); #422673=DIRECTION('center_axis',(0.,0.,1.)); #422674=DIRECTION('ref_axis',(1.,0.,0.)); #422675=DIRECTION('',(0.,0.,1.)); #422676=DIRECTION('center_axis',(0.,0.,-1.)); #422677=DIRECTION('ref_axis',(1.,0.,0.)); #422678=DIRECTION('center_axis',(0.,-1.,0.)); #422679=DIRECTION('ref_axis',(1.,0.,0.)); #422680=DIRECTION('',(1.,0.,0.)); #422681=DIRECTION('',(0.,0.,1.)); #422682=DIRECTION('',(1.,0.,0.)); #422683=DIRECTION('center_axis',(0.,-1.,0.)); #422684=DIRECTION('ref_axis',(1.,0.,0.)); #422685=DIRECTION('',(1.,0.,0.)); #422686=DIRECTION('',(0.,0.,1.)); #422687=DIRECTION('',(1.,0.,0.)); #422688=DIRECTION('center_axis',(0.,-1.,0.)); #422689=DIRECTION('ref_axis',(1.,0.,0.)); #422690=DIRECTION('',(1.,0.,0.)); #422691=DIRECTION('',(1.,0.,0.)); #422692=DIRECTION('center_axis',(0.,1.,0.)); #422693=DIRECTION('ref_axis',(-1.,0.,0.)); #422694=DIRECTION('',(-1.,0.,0.)); #422695=DIRECTION('',(0.,0.,1.)); #422696=DIRECTION('',(-1.,0.,0.)); #422697=DIRECTION('center_axis',(0.,1.,0.)); #422698=DIRECTION('ref_axis',(-1.,0.,0.)); #422699=DIRECTION('',(-1.,0.,0.)); #422700=DIRECTION('',(0.,0.,1.)); #422701=DIRECTION('',(-1.,0.,0.)); #422702=DIRECTION('center_axis',(0.,1.,0.)); #422703=DIRECTION('ref_axis',(-1.,0.,0.)); #422704=DIRECTION('',(-1.,0.,0.)); #422705=DIRECTION('',(-1.,0.,0.)); #422706=DIRECTION('center_axis',(0.,0.,1.)); #422707=DIRECTION('ref_axis',(1.,0.,0.)); #422708=DIRECTION('',(0.,1.,0.)); #422709=DIRECTION('',(0.,-1.,0.)); #422710=DIRECTION('center_axis',(1.,0.,0.)); #422711=DIRECTION('ref_axis',(0.,1.,0.)); #422712=DIRECTION('',(0.,1.,0.)); #422713=DIRECTION('center_axis',(-1.,0.,0.)); #422714=DIRECTION('ref_axis',(0.,-1.,0.)); #422715=DIRECTION('',(0.,-1.,0.)); #422716=DIRECTION('center_axis',(0.,0.,1.)); #422717=DIRECTION('ref_axis',(1.,0.,0.)); #422718=DIRECTION('center_axis',(1.,0.,0.)); #422719=DIRECTION('ref_axis',(0.,1.,0.)); #422720=DIRECTION('',(0.,1.,0.)); #422721=DIRECTION('',(0.,0.,1.)); #422722=DIRECTION('',(0.,1.,0.)); #422723=DIRECTION('',(0.,0.,1.)); #422724=DIRECTION('center_axis',(0.,-1.,0.)); #422725=DIRECTION('ref_axis',(1.,0.,0.)); #422726=DIRECTION('',(1.,0.,0.)); #422727=DIRECTION('',(1.,0.,0.)); #422728=DIRECTION('',(0.,0.,1.)); #422729=DIRECTION('center_axis',(-1.,0.,0.)); #422730=DIRECTION('ref_axis',(0.,-1.,0.)); #422731=DIRECTION('',(0.,-1.,0.)); #422732=DIRECTION('',(0.,-1.,0.)); #422733=DIRECTION('',(0.,0.,1.)); #422734=DIRECTION('center_axis',(0.,1.,0.)); #422735=DIRECTION('ref_axis',(-1.,0.,0.)); #422736=DIRECTION('',(-1.,0.,0.)); #422737=DIRECTION('',(-1.,0.,0.)); #422738=DIRECTION('center_axis',(0.,0.,1.)); #422739=DIRECTION('ref_axis',(1.,0.,0.)); #422740=DIRECTION('center_axis',(0.,0.,1.)); #422741=DIRECTION('ref_axis',(1.,0.,0.)); #422742=DIRECTION('center_axis',(1.,0.,0.)); #422743=DIRECTION('ref_axis',(0.,1.,0.)); #422744=DIRECTION('',(0.,1.,0.)); #422745=DIRECTION('',(0.,0.,1.)); #422746=DIRECTION('',(0.,1.,0.)); #422747=DIRECTION('',(0.,0.,1.)); #422748=DIRECTION('center_axis',(0.,-1.,0.)); #422749=DIRECTION('ref_axis',(1.,0.,0.)); #422750=DIRECTION('',(1.,0.,0.)); #422751=DIRECTION('',(1.,0.,0.)); #422752=DIRECTION('',(0.,0.,1.)); #422753=DIRECTION('center_axis',(-1.,0.,0.)); #422754=DIRECTION('ref_axis',(0.,-1.,0.)); #422755=DIRECTION('',(0.,-1.,0.)); #422756=DIRECTION('',(0.,-1.,0.)); #422757=DIRECTION('',(0.,0.,1.)); #422758=DIRECTION('center_axis',(0.,1.,0.)); #422759=DIRECTION('ref_axis',(-1.,0.,0.)); #422760=DIRECTION('',(-1.,0.,0.)); #422761=DIRECTION('',(-1.,0.,0.)); #422762=DIRECTION('center_axis',(0.,0.,1.)); #422763=DIRECTION('ref_axis',(1.,0.,0.)); #422764=DIRECTION('center_axis',(0.,0.,1.)); #422765=DIRECTION('ref_axis',(1.,0.,0.)); #422766=DIRECTION('center_axis',(1.,0.,0.)); #422767=DIRECTION('ref_axis',(0.,1.,0.)); #422768=DIRECTION('',(0.,1.,0.)); #422769=DIRECTION('',(0.,0.,1.)); #422770=DIRECTION('',(0.,1.,0.)); #422771=DIRECTION('',(0.,0.,1.)); #422772=DIRECTION('center_axis',(0.,-1.,0.)); #422773=DIRECTION('ref_axis',(1.,0.,0.)); #422774=DIRECTION('',(1.,0.,0.)); #422775=DIRECTION('',(1.,0.,0.)); #422776=DIRECTION('',(0.,0.,1.)); #422777=DIRECTION('center_axis',(-1.,0.,0.)); #422778=DIRECTION('ref_axis',(0.,-1.,0.)); #422779=DIRECTION('',(0.,-1.,0.)); #422780=DIRECTION('',(0.,-1.,0.)); #422781=DIRECTION('',(0.,0.,1.)); #422782=DIRECTION('center_axis',(0.,1.,0.)); #422783=DIRECTION('ref_axis',(-1.,0.,0.)); #422784=DIRECTION('',(-1.,0.,0.)); #422785=DIRECTION('',(-1.,0.,0.)); #422786=DIRECTION('center_axis',(0.,0.,1.)); #422787=DIRECTION('ref_axis',(1.,0.,0.)); #422788=DIRECTION('center_axis',(0.,0.,1.)); #422789=DIRECTION('ref_axis',(1.,0.,0.)); #422790=DIRECTION('center_axis',(1.,0.,0.)); #422791=DIRECTION('ref_axis',(0.,1.,0.)); #422792=DIRECTION('',(0.,1.,0.)); #422793=DIRECTION('',(0.,0.,1.)); #422794=DIRECTION('',(0.,1.,0.)); #422795=DIRECTION('',(0.,0.,1.)); #422796=DIRECTION('center_axis',(0.,-1.,0.)); #422797=DIRECTION('ref_axis',(1.,0.,0.)); #422798=DIRECTION('',(1.,0.,0.)); #422799=DIRECTION('',(1.,0.,0.)); #422800=DIRECTION('',(0.,0.,1.)); #422801=DIRECTION('center_axis',(-1.,0.,0.)); #422802=DIRECTION('ref_axis',(0.,-1.,0.)); #422803=DIRECTION('',(0.,-1.,0.)); #422804=DIRECTION('',(0.,-1.,0.)); #422805=DIRECTION('',(0.,0.,1.)); #422806=DIRECTION('center_axis',(0.,1.,0.)); #422807=DIRECTION('ref_axis',(-1.,0.,0.)); #422808=DIRECTION('',(-1.,0.,0.)); #422809=DIRECTION('',(-1.,0.,0.)); #422810=DIRECTION('center_axis',(0.,0.,1.)); #422811=DIRECTION('ref_axis',(1.,0.,0.)); #422812=DIRECTION('center_axis',(0.,0.,1.)); #422813=DIRECTION('ref_axis',(1.,0.,0.)); #422814=DIRECTION('center_axis',(1.,0.,0.)); #422815=DIRECTION('ref_axis',(0.,1.,0.)); #422816=DIRECTION('',(0.,1.,0.)); #422817=DIRECTION('',(0.,0.,1.)); #422818=DIRECTION('',(0.,1.,0.)); #422819=DIRECTION('',(0.,0.,1.)); #422820=DIRECTION('center_axis',(0.,-1.,0.)); #422821=DIRECTION('ref_axis',(1.,0.,0.)); #422822=DIRECTION('',(1.,0.,0.)); #422823=DIRECTION('',(1.,0.,0.)); #422824=DIRECTION('',(0.,0.,1.)); #422825=DIRECTION('center_axis',(-1.,0.,0.)); #422826=DIRECTION('ref_axis',(0.,-1.,0.)); #422827=DIRECTION('',(0.,-1.,0.)); #422828=DIRECTION('',(0.,-1.,0.)); #422829=DIRECTION('',(0.,0.,1.)); #422830=DIRECTION('center_axis',(0.,1.,0.)); #422831=DIRECTION('ref_axis',(-1.,0.,0.)); #422832=DIRECTION('',(-1.,0.,0.)); #422833=DIRECTION('',(-1.,0.,0.)); #422834=DIRECTION('center_axis',(0.,0.,1.)); #422835=DIRECTION('ref_axis',(1.,0.,0.)); #422836=DIRECTION('center_axis',(0.,0.,1.)); #422837=DIRECTION('ref_axis',(1.,0.,0.)); #422838=DIRECTION('center_axis',(1.,0.,0.)); #422839=DIRECTION('ref_axis',(0.,1.,0.)); #422840=DIRECTION('',(0.,1.,0.)); #422841=DIRECTION('',(0.,0.,1.)); #422842=DIRECTION('',(0.,1.,0.)); #422843=DIRECTION('',(0.,0.,1.)); #422844=DIRECTION('center_axis',(0.,-1.,0.)); #422845=DIRECTION('ref_axis',(1.,0.,0.)); #422846=DIRECTION('',(1.,0.,0.)); #422847=DIRECTION('',(1.,0.,0.)); #422848=DIRECTION('',(0.,0.,1.)); #422849=DIRECTION('center_axis',(-1.,0.,0.)); #422850=DIRECTION('ref_axis',(0.,-1.,0.)); #422851=DIRECTION('',(0.,-1.,0.)); #422852=DIRECTION('',(0.,-1.,0.)); #422853=DIRECTION('',(0.,0.,1.)); #422854=DIRECTION('center_axis',(0.,1.,0.)); #422855=DIRECTION('ref_axis',(-1.,0.,0.)); #422856=DIRECTION('',(-1.,0.,0.)); #422857=DIRECTION('',(-1.,0.,0.)); #422858=DIRECTION('center_axis',(0.,0.,1.)); #422859=DIRECTION('ref_axis',(1.,0.,0.)); #422860=DIRECTION('center_axis',(0.,0.,1.)); #422861=DIRECTION('ref_axis',(1.,0.,0.)); #422862=DIRECTION('center_axis',(1.,0.,0.)); #422863=DIRECTION('ref_axis',(0.,1.,0.)); #422864=DIRECTION('',(0.,1.,0.)); #422865=DIRECTION('',(0.,0.,1.)); #422866=DIRECTION('',(0.,1.,0.)); #422867=DIRECTION('',(0.,0.,1.)); #422868=DIRECTION('center_axis',(0.,-1.,0.)); #422869=DIRECTION('ref_axis',(1.,0.,0.)); #422870=DIRECTION('',(1.,0.,0.)); #422871=DIRECTION('',(1.,0.,0.)); #422872=DIRECTION('',(0.,0.,1.)); #422873=DIRECTION('center_axis',(-1.,0.,0.)); #422874=DIRECTION('ref_axis',(0.,-1.,0.)); #422875=DIRECTION('',(0.,-1.,0.)); #422876=DIRECTION('',(0.,-1.,0.)); #422877=DIRECTION('',(0.,0.,1.)); #422878=DIRECTION('center_axis',(0.,1.,0.)); #422879=DIRECTION('ref_axis',(-1.,0.,0.)); #422880=DIRECTION('',(-1.,0.,0.)); #422881=DIRECTION('',(-1.,0.,0.)); #422882=DIRECTION('center_axis',(0.,0.,1.)); #422883=DIRECTION('ref_axis',(1.,0.,0.)); #422884=DIRECTION('center_axis',(0.,0.,1.)); #422885=DIRECTION('ref_axis',(1.,0.,0.)); #422886=DIRECTION('center_axis',(1.,0.,0.)); #422887=DIRECTION('ref_axis',(0.,1.,0.)); #422888=DIRECTION('',(0.,1.,0.)); #422889=DIRECTION('',(0.,0.,1.)); #422890=DIRECTION('',(0.,1.,0.)); #422891=DIRECTION('',(0.,0.,1.)); #422892=DIRECTION('center_axis',(0.,-1.,0.)); #422893=DIRECTION('ref_axis',(1.,0.,0.)); #422894=DIRECTION('',(1.,0.,0.)); #422895=DIRECTION('',(1.,0.,0.)); #422896=DIRECTION('',(0.,0.,1.)); #422897=DIRECTION('center_axis',(-1.,0.,0.)); #422898=DIRECTION('ref_axis',(0.,-1.,0.)); #422899=DIRECTION('',(0.,-1.,0.)); #422900=DIRECTION('',(0.,-1.,0.)); #422901=DIRECTION('',(0.,0.,1.)); #422902=DIRECTION('center_axis',(0.,1.,0.)); #422903=DIRECTION('ref_axis',(-1.,0.,0.)); #422904=DIRECTION('',(-1.,0.,0.)); #422905=DIRECTION('',(-1.,0.,0.)); #422906=DIRECTION('center_axis',(0.,0.,1.)); #422907=DIRECTION('ref_axis',(1.,0.,0.)); #422908=DIRECTION('center_axis',(0.,0.,1.)); #422909=DIRECTION('ref_axis',(1.,0.,0.)); #422910=DIRECTION('center_axis',(1.,0.,0.)); #422911=DIRECTION('ref_axis',(0.,1.,0.)); #422912=DIRECTION('',(0.,1.,0.)); #422913=DIRECTION('',(0.,0.,1.)); #422914=DIRECTION('',(0.,1.,0.)); #422915=DIRECTION('',(0.,0.,1.)); #422916=DIRECTION('center_axis',(0.,-1.,0.)); #422917=DIRECTION('ref_axis',(1.,0.,0.)); #422918=DIRECTION('',(1.,0.,0.)); #422919=DIRECTION('',(1.,0.,0.)); #422920=DIRECTION('',(0.,0.,1.)); #422921=DIRECTION('center_axis',(-1.,0.,0.)); #422922=DIRECTION('ref_axis',(0.,-1.,0.)); #422923=DIRECTION('',(0.,-1.,0.)); #422924=DIRECTION('',(0.,-1.,0.)); #422925=DIRECTION('',(0.,0.,1.)); #422926=DIRECTION('center_axis',(0.,1.,0.)); #422927=DIRECTION('ref_axis',(-1.,0.,0.)); #422928=DIRECTION('',(-1.,0.,0.)); #422929=DIRECTION('',(-1.,0.,0.)); #422930=DIRECTION('center_axis',(0.,0.,1.)); #422931=DIRECTION('ref_axis',(1.,0.,0.)); #422932=DIRECTION('center_axis',(0.,0.,1.)); #422933=DIRECTION('ref_axis',(1.,0.,0.)); #422934=DIRECTION('center_axis',(1.,0.,0.)); #422935=DIRECTION('ref_axis',(0.,1.,0.)); #422936=DIRECTION('',(0.,1.,0.)); #422937=DIRECTION('',(0.,0.,1.)); #422938=DIRECTION('',(0.,1.,0.)); #422939=DIRECTION('',(0.,0.,1.)); #422940=DIRECTION('center_axis',(0.,-1.,0.)); #422941=DIRECTION('ref_axis',(1.,0.,0.)); #422942=DIRECTION('',(1.,0.,0.)); #422943=DIRECTION('',(1.,0.,0.)); #422944=DIRECTION('',(0.,0.,1.)); #422945=DIRECTION('center_axis',(-1.,0.,0.)); #422946=DIRECTION('ref_axis',(0.,-1.,0.)); #422947=DIRECTION('',(0.,-1.,0.)); #422948=DIRECTION('',(0.,-1.,0.)); #422949=DIRECTION('',(0.,0.,1.)); #422950=DIRECTION('center_axis',(0.,1.,0.)); #422951=DIRECTION('ref_axis',(-1.,0.,0.)); #422952=DIRECTION('',(-1.,0.,0.)); #422953=DIRECTION('',(-1.,0.,0.)); #422954=DIRECTION('center_axis',(0.,0.,1.)); #422955=DIRECTION('ref_axis',(1.,0.,0.)); #422956=DIRECTION('center_axis',(0.,0.,1.)); #422957=DIRECTION('ref_axis',(1.,0.,0.)); #422958=DIRECTION('center_axis',(1.,0.,0.)); #422959=DIRECTION('ref_axis',(0.,1.,0.)); #422960=DIRECTION('',(0.,1.,0.)); #422961=DIRECTION('',(0.,0.,1.)); #422962=DIRECTION('',(0.,1.,0.)); #422963=DIRECTION('',(0.,0.,1.)); #422964=DIRECTION('center_axis',(0.,-1.,0.)); #422965=DIRECTION('ref_axis',(1.,0.,0.)); #422966=DIRECTION('',(1.,0.,0.)); #422967=DIRECTION('',(1.,0.,0.)); #422968=DIRECTION('',(0.,0.,1.)); #422969=DIRECTION('center_axis',(-1.,0.,0.)); #422970=DIRECTION('ref_axis',(0.,-1.,0.)); #422971=DIRECTION('',(0.,-1.,0.)); #422972=DIRECTION('',(0.,-1.,0.)); #422973=DIRECTION('',(0.,0.,1.)); #422974=DIRECTION('center_axis',(0.,1.,0.)); #422975=DIRECTION('ref_axis',(-1.,0.,0.)); #422976=DIRECTION('',(-1.,0.,0.)); #422977=DIRECTION('',(-1.,0.,0.)); #422978=DIRECTION('center_axis',(0.,0.,1.)); #422979=DIRECTION('ref_axis',(1.,0.,0.)); #422980=DIRECTION('center_axis',(0.,0.,1.)); #422981=DIRECTION('ref_axis',(1.,0.,0.)); #422982=DIRECTION('center_axis',(1.,0.,0.)); #422983=DIRECTION('ref_axis',(0.,1.,0.)); #422984=DIRECTION('',(0.,1.,0.)); #422985=DIRECTION('',(0.,0.,1.)); #422986=DIRECTION('',(0.,1.,0.)); #422987=DIRECTION('',(0.,0.,1.)); #422988=DIRECTION('center_axis',(0.,-1.,0.)); #422989=DIRECTION('ref_axis',(1.,0.,0.)); #422990=DIRECTION('',(1.,0.,0.)); #422991=DIRECTION('',(1.,0.,0.)); #422992=DIRECTION('',(0.,0.,1.)); #422993=DIRECTION('center_axis',(-1.,0.,0.)); #422994=DIRECTION('ref_axis',(0.,-1.,0.)); #422995=DIRECTION('',(0.,-1.,0.)); #422996=DIRECTION('',(0.,-1.,0.)); #422997=DIRECTION('',(0.,0.,1.)); #422998=DIRECTION('center_axis',(0.,1.,0.)); #422999=DIRECTION('ref_axis',(-1.,0.,0.)); #423000=DIRECTION('',(-1.,0.,0.)); #423001=DIRECTION('',(-1.,0.,0.)); #423002=DIRECTION('center_axis',(0.,0.,1.)); #423003=DIRECTION('ref_axis',(1.,0.,0.)); #423004=DIRECTION('center_axis',(0.,0.,1.)); #423005=DIRECTION('ref_axis',(1.,0.,0.)); #423006=DIRECTION('center_axis',(1.,0.,0.)); #423007=DIRECTION('ref_axis',(0.,1.,0.)); #423008=DIRECTION('',(0.,1.,0.)); #423009=DIRECTION('',(0.,0.,1.)); #423010=DIRECTION('',(0.,1.,0.)); #423011=DIRECTION('',(0.,0.,1.)); #423012=DIRECTION('center_axis',(0.,-1.,0.)); #423013=DIRECTION('ref_axis',(1.,0.,0.)); #423014=DIRECTION('',(1.,0.,0.)); #423015=DIRECTION('',(1.,0.,0.)); #423016=DIRECTION('',(0.,0.,1.)); #423017=DIRECTION('center_axis',(-1.,0.,0.)); #423018=DIRECTION('ref_axis',(0.,-1.,0.)); #423019=DIRECTION('',(0.,-1.,0.)); #423020=DIRECTION('',(0.,-1.,0.)); #423021=DIRECTION('',(0.,0.,1.)); #423022=DIRECTION('center_axis',(0.,1.,0.)); #423023=DIRECTION('ref_axis',(-1.,0.,0.)); #423024=DIRECTION('',(-1.,0.,0.)); #423025=DIRECTION('',(-1.,0.,0.)); #423026=DIRECTION('center_axis',(0.,0.,1.)); #423027=DIRECTION('ref_axis',(1.,0.,0.)); #423028=DIRECTION('center_axis',(0.,0.,1.)); #423029=DIRECTION('ref_axis',(1.,0.,0.)); #423030=DIRECTION('center_axis',(1.,0.,0.)); #423031=DIRECTION('ref_axis',(0.,1.,0.)); #423032=DIRECTION('',(0.,1.,0.)); #423033=DIRECTION('',(0.,0.,1.)); #423034=DIRECTION('',(0.,1.,0.)); #423035=DIRECTION('',(0.,0.,1.)); #423036=DIRECTION('center_axis',(0.,-1.,0.)); #423037=DIRECTION('ref_axis',(1.,0.,0.)); #423038=DIRECTION('',(1.,0.,0.)); #423039=DIRECTION('',(1.,0.,0.)); #423040=DIRECTION('',(0.,0.,1.)); #423041=DIRECTION('center_axis',(-1.,0.,0.)); #423042=DIRECTION('ref_axis',(0.,-1.,0.)); #423043=DIRECTION('',(0.,-1.,0.)); #423044=DIRECTION('',(0.,-1.,0.)); #423045=DIRECTION('',(0.,0.,1.)); #423046=DIRECTION('center_axis',(0.,1.,0.)); #423047=DIRECTION('ref_axis',(-1.,0.,0.)); #423048=DIRECTION('',(-1.,0.,0.)); #423049=DIRECTION('',(-1.,0.,0.)); #423050=DIRECTION('center_axis',(0.,0.,1.)); #423051=DIRECTION('ref_axis',(1.,0.,0.)); #423052=DIRECTION('center_axis',(0.,0.,1.)); #423053=DIRECTION('ref_axis',(1.,0.,0.)); #423054=DIRECTION('center_axis',(1.,0.,0.)); #423055=DIRECTION('ref_axis',(0.,1.,0.)); #423056=DIRECTION('',(0.,1.,0.)); #423057=DIRECTION('',(0.,0.,1.)); #423058=DIRECTION('',(0.,1.,0.)); #423059=DIRECTION('',(0.,0.,1.)); #423060=DIRECTION('center_axis',(0.,-1.,0.)); #423061=DIRECTION('ref_axis',(1.,0.,0.)); #423062=DIRECTION('',(1.,0.,0.)); #423063=DIRECTION('',(1.,0.,0.)); #423064=DIRECTION('',(0.,0.,1.)); #423065=DIRECTION('center_axis',(-1.,0.,0.)); #423066=DIRECTION('ref_axis',(0.,-1.,0.)); #423067=DIRECTION('',(0.,-1.,0.)); #423068=DIRECTION('',(0.,-1.,0.)); #423069=DIRECTION('',(0.,0.,1.)); #423070=DIRECTION('center_axis',(0.,1.,0.)); #423071=DIRECTION('ref_axis',(-1.,0.,0.)); #423072=DIRECTION('',(-1.,0.,0.)); #423073=DIRECTION('',(-1.,0.,0.)); #423074=DIRECTION('center_axis',(0.,0.,1.)); #423075=DIRECTION('ref_axis',(1.,0.,0.)); #423076=DIRECTION('center_axis',(0.,0.,1.)); #423077=DIRECTION('ref_axis',(1.,0.,0.)); #423078=DIRECTION('center_axis',(1.,0.,0.)); #423079=DIRECTION('ref_axis',(0.,1.,0.)); #423080=DIRECTION('',(0.,1.,0.)); #423081=DIRECTION('',(0.,0.,1.)); #423082=DIRECTION('',(0.,1.,0.)); #423083=DIRECTION('',(0.,0.,1.)); #423084=DIRECTION('center_axis',(0.,-1.,0.)); #423085=DIRECTION('ref_axis',(1.,0.,0.)); #423086=DIRECTION('',(1.,0.,0.)); #423087=DIRECTION('',(1.,0.,0.)); #423088=DIRECTION('',(0.,0.,1.)); #423089=DIRECTION('center_axis',(-1.,0.,0.)); #423090=DIRECTION('ref_axis',(0.,-1.,0.)); #423091=DIRECTION('',(0.,-1.,0.)); #423092=DIRECTION('',(0.,-1.,0.)); #423093=DIRECTION('',(0.,0.,1.)); #423094=DIRECTION('center_axis',(0.,1.,0.)); #423095=DIRECTION('ref_axis',(-1.,0.,0.)); #423096=DIRECTION('',(-1.,0.,0.)); #423097=DIRECTION('',(-1.,0.,0.)); #423098=DIRECTION('center_axis',(0.,0.,1.)); #423099=DIRECTION('ref_axis',(1.,0.,0.)); #423100=DIRECTION('center_axis',(0.,0.,1.)); #423101=DIRECTION('ref_axis',(1.,0.,0.)); #423102=DIRECTION('center_axis',(1.,0.,0.)); #423103=DIRECTION('ref_axis',(0.,1.,0.)); #423104=DIRECTION('',(0.,1.,0.)); #423105=DIRECTION('',(0.,0.,1.)); #423106=DIRECTION('',(0.,1.,0.)); #423107=DIRECTION('',(0.,0.,1.)); #423108=DIRECTION('center_axis',(0.,-1.,0.)); #423109=DIRECTION('ref_axis',(1.,0.,0.)); #423110=DIRECTION('',(1.,0.,0.)); #423111=DIRECTION('',(1.,0.,0.)); #423112=DIRECTION('',(0.,0.,1.)); #423113=DIRECTION('center_axis',(-1.,0.,0.)); #423114=DIRECTION('ref_axis',(0.,-1.,0.)); #423115=DIRECTION('',(0.,-1.,0.)); #423116=DIRECTION('',(0.,-1.,0.)); #423117=DIRECTION('',(0.,0.,1.)); #423118=DIRECTION('center_axis',(0.,1.,0.)); #423119=DIRECTION('ref_axis',(-1.,0.,0.)); #423120=DIRECTION('',(-1.,0.,0.)); #423121=DIRECTION('',(-1.,0.,0.)); #423122=DIRECTION('center_axis',(0.,0.,1.)); #423123=DIRECTION('ref_axis',(1.,0.,0.)); #423124=DIRECTION('center_axis',(0.,0.,1.)); #423125=DIRECTION('ref_axis',(1.,0.,0.)); #423126=DIRECTION('center_axis',(1.,0.,0.)); #423127=DIRECTION('ref_axis',(0.,1.,0.)); #423128=DIRECTION('',(0.,1.,0.)); #423129=DIRECTION('',(0.,0.,1.)); #423130=DIRECTION('',(0.,1.,0.)); #423131=DIRECTION('',(0.,0.,1.)); #423132=DIRECTION('center_axis',(0.,-1.,0.)); #423133=DIRECTION('ref_axis',(1.,0.,0.)); #423134=DIRECTION('',(1.,0.,0.)); #423135=DIRECTION('',(1.,0.,0.)); #423136=DIRECTION('',(0.,0.,1.)); #423137=DIRECTION('center_axis',(-1.,0.,0.)); #423138=DIRECTION('ref_axis',(0.,-1.,0.)); #423139=DIRECTION('',(0.,-1.,0.)); #423140=DIRECTION('',(0.,-1.,0.)); #423141=DIRECTION('',(0.,0.,1.)); #423142=DIRECTION('center_axis',(0.,1.,0.)); #423143=DIRECTION('ref_axis',(-1.,0.,0.)); #423144=DIRECTION('',(-1.,0.,0.)); #423145=DIRECTION('',(-1.,0.,0.)); #423146=DIRECTION('center_axis',(0.,0.,1.)); #423147=DIRECTION('ref_axis',(1.,0.,0.)); #423148=DIRECTION('center_axis',(0.,0.,1.)); #423149=DIRECTION('ref_axis',(1.,0.,0.)); #423150=DIRECTION('center_axis',(1.,0.,0.)); #423151=DIRECTION('ref_axis',(0.,1.,0.)); #423152=DIRECTION('',(0.,1.,0.)); #423153=DIRECTION('',(0.,0.,1.)); #423154=DIRECTION('',(0.,1.,0.)); #423155=DIRECTION('',(0.,0.,1.)); #423156=DIRECTION('center_axis',(0.,-1.,0.)); #423157=DIRECTION('ref_axis',(1.,0.,0.)); #423158=DIRECTION('',(1.,0.,0.)); #423159=DIRECTION('',(1.,0.,0.)); #423160=DIRECTION('',(0.,0.,1.)); #423161=DIRECTION('center_axis',(-1.,0.,0.)); #423162=DIRECTION('ref_axis',(0.,-1.,0.)); #423163=DIRECTION('',(0.,-1.,0.)); #423164=DIRECTION('',(0.,-1.,0.)); #423165=DIRECTION('',(0.,0.,1.)); #423166=DIRECTION('center_axis',(0.,1.,0.)); #423167=DIRECTION('ref_axis',(-1.,0.,0.)); #423168=DIRECTION('',(-1.,0.,0.)); #423169=DIRECTION('',(-1.,0.,0.)); #423170=DIRECTION('center_axis',(0.,0.,1.)); #423171=DIRECTION('ref_axis',(1.,0.,0.)); #423172=DIRECTION('center_axis',(0.,0.,1.)); #423173=DIRECTION('ref_axis',(1.,0.,0.)); #423174=DIRECTION('center_axis',(1.,0.,0.)); #423175=DIRECTION('ref_axis',(0.,1.,0.)); #423176=DIRECTION('',(0.,1.,0.)); #423177=DIRECTION('',(0.,0.,1.)); #423178=DIRECTION('',(0.,1.,0.)); #423179=DIRECTION('',(0.,0.,1.)); #423180=DIRECTION('center_axis',(0.,-1.,0.)); #423181=DIRECTION('ref_axis',(1.,0.,0.)); #423182=DIRECTION('',(1.,0.,0.)); #423183=DIRECTION('',(1.,0.,0.)); #423184=DIRECTION('',(0.,0.,1.)); #423185=DIRECTION('center_axis',(-1.,0.,0.)); #423186=DIRECTION('ref_axis',(0.,-1.,0.)); #423187=DIRECTION('',(0.,-1.,0.)); #423188=DIRECTION('',(0.,-1.,0.)); #423189=DIRECTION('',(0.,0.,1.)); #423190=DIRECTION('center_axis',(0.,1.,0.)); #423191=DIRECTION('ref_axis',(-1.,0.,0.)); #423192=DIRECTION('',(-1.,0.,0.)); #423193=DIRECTION('',(-1.,0.,0.)); #423194=DIRECTION('center_axis',(0.,0.,1.)); #423195=DIRECTION('ref_axis',(1.,0.,0.)); #423196=DIRECTION('center_axis',(0.,0.,1.)); #423197=DIRECTION('ref_axis',(1.,0.,0.)); #423198=DIRECTION('center_axis',(1.,0.,0.)); #423199=DIRECTION('ref_axis',(0.,1.,0.)); #423200=DIRECTION('',(0.,1.,0.)); #423201=DIRECTION('',(0.,0.,1.)); #423202=DIRECTION('',(0.,1.,0.)); #423203=DIRECTION('',(0.,0.,1.)); #423204=DIRECTION('center_axis',(0.,-1.,0.)); #423205=DIRECTION('ref_axis',(1.,0.,0.)); #423206=DIRECTION('',(1.,0.,0.)); #423207=DIRECTION('',(1.,0.,0.)); #423208=DIRECTION('',(0.,0.,1.)); #423209=DIRECTION('center_axis',(-1.,0.,0.)); #423210=DIRECTION('ref_axis',(0.,-1.,0.)); #423211=DIRECTION('',(0.,-1.,0.)); #423212=DIRECTION('',(0.,-1.,0.)); #423213=DIRECTION('',(0.,0.,1.)); #423214=DIRECTION('center_axis',(0.,1.,0.)); #423215=DIRECTION('ref_axis',(-1.,0.,0.)); #423216=DIRECTION('',(-1.,0.,0.)); #423217=DIRECTION('',(-1.,0.,0.)); #423218=DIRECTION('center_axis',(0.,0.,1.)); #423219=DIRECTION('ref_axis',(1.,0.,0.)); #423220=DIRECTION('center_axis',(0.,0.,1.)); #423221=DIRECTION('ref_axis',(1.,0.,0.)); #423222=DIRECTION('axis',(0.,0.,1.)); #423223=DIRECTION('refdir',(1.,0.,0.)); #423224=DIRECTION('center_axis',(0.,0.,1.)); #423225=DIRECTION('ref_axis',(1.,0.,0.)); #423226=DIRECTION('center_axis',(0.,0.,1.)); #423227=DIRECTION('ref_axis',(1.,0.,0.)); #423228=DIRECTION('',(0.,0.,1.)); #423229=DIRECTION('center_axis',(0.,0.,-1.)); #423230=DIRECTION('ref_axis',(1.,0.,0.)); #423231=DIRECTION('center_axis',(0.0871622148812039,-0.996194131832246, 0.)); #423232=DIRECTION('ref_axis',(0.996194131832246,0.0871622148812039,0.)); #423233=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #423234=DIRECTION('',(0.,0.,1.)); #423235=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #423236=DIRECTION('',(0.,0.,1.)); #423237=DIRECTION('center_axis',(0.,0.,1.)); #423238=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #423239=DIRECTION('center_axis',(0.,0.,1.)); #423240=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #423241=DIRECTION('center_axis',(0.,0.,1.)); #423242=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #423243=DIRECTION('',(0.,0.,1.)); #423244=DIRECTION('center_axis',(0.,0.,1.)); #423245=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #423246=DIRECTION('center_axis',(0.,0.,1.)); #423247=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #423248=DIRECTION('center_axis',(0.,0.,1.)); #423249=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #423250=DIRECTION('center_axis',(0.,0.,1.)); #423251=DIRECTION('ref_axis',(1.,0.,0.)); #423252=DIRECTION('center_axis',(0.,0.,1.)); #423253=DIRECTION('ref_axis',(1.,0.,0.)); #423254=DIRECTION('center_axis',(1.,0.,0.)); #423255=DIRECTION('ref_axis',(0.,1.,0.)); #423256=DIRECTION('',(0.,1.,0.)); #423257=DIRECTION('',(0.,0.,1.)); #423258=DIRECTION('',(0.,1.,0.)); #423259=DIRECTION('',(0.,0.,1.)); #423260=DIRECTION('center_axis',(0.,-1.,0.)); #423261=DIRECTION('ref_axis',(1.,0.,0.)); #423262=DIRECTION('',(1.,0.,0.)); #423263=DIRECTION('',(1.,0.,0.)); #423264=DIRECTION('',(0.,0.,1.)); #423265=DIRECTION('center_axis',(-1.,0.,0.)); #423266=DIRECTION('ref_axis',(0.,-1.,0.)); #423267=DIRECTION('',(0.,-1.,0.)); #423268=DIRECTION('',(0.,-1.,0.)); #423269=DIRECTION('',(0.,0.,1.)); #423270=DIRECTION('center_axis',(0.,1.,0.)); #423271=DIRECTION('ref_axis',(-1.,0.,0.)); #423272=DIRECTION('',(-1.,0.,0.)); #423273=DIRECTION('',(-1.,0.,0.)); #423274=DIRECTION('center_axis',(0.,0.,1.)); #423275=DIRECTION('ref_axis',(1.,0.,0.)); #423276=DIRECTION('center_axis',(0.,0.,1.)); #423277=DIRECTION('ref_axis',(1.,0.,0.)); #423278=DIRECTION('center_axis',(1.,0.,0.)); #423279=DIRECTION('ref_axis',(0.,1.,0.)); #423280=DIRECTION('',(0.,1.,0.)); #423281=DIRECTION('',(0.,0.,1.)); #423282=DIRECTION('',(0.,1.,0.)); #423283=DIRECTION('',(0.,0.,1.)); #423284=DIRECTION('center_axis',(0.,-1.,0.)); #423285=DIRECTION('ref_axis',(1.,0.,0.)); #423286=DIRECTION('',(1.,0.,0.)); #423287=DIRECTION('',(1.,0.,0.)); #423288=DIRECTION('',(0.,0.,1.)); #423289=DIRECTION('center_axis',(-1.,0.,0.)); #423290=DIRECTION('ref_axis',(0.,-1.,0.)); #423291=DIRECTION('',(0.,-1.,0.)); #423292=DIRECTION('',(0.,-1.,0.)); #423293=DIRECTION('',(0.,0.,1.)); #423294=DIRECTION('center_axis',(0.,1.,0.)); #423295=DIRECTION('ref_axis',(-1.,0.,0.)); #423296=DIRECTION('',(-1.,0.,0.)); #423297=DIRECTION('',(-1.,0.,0.)); #423298=DIRECTION('center_axis',(0.,0.,1.)); #423299=DIRECTION('ref_axis',(1.,0.,0.)); #423300=DIRECTION('center_axis',(0.,0.,1.)); #423301=DIRECTION('ref_axis',(1.,0.,0.)); #423302=DIRECTION('center_axis',(0.,0.,1.)); #423303=DIRECTION('ref_axis',(1.,0.,0.)); #423304=DIRECTION('center_axis',(0.,0.,1.)); #423305=DIRECTION('ref_axis',(1.,0.,0.)); #423306=DIRECTION('',(0.,0.,1.)); #423307=DIRECTION('center_axis',(0.,0.,-1.)); #423308=DIRECTION('ref_axis',(1.,0.,0.)); #423309=DIRECTION('center_axis',(0.,0.,1.)); #423310=DIRECTION('ref_axis',(1.,0.,0.)); #423311=DIRECTION('center_axis',(0.,0.,1.)); #423312=DIRECTION('ref_axis',(1.,0.,0.)); #423313=DIRECTION('',(0.,0.,1.)); #423314=DIRECTION('center_axis',(0.,0.,-1.)); #423315=DIRECTION('ref_axis',(1.,0.,0.)); #423316=DIRECTION('center_axis',(1.,0.,0.)); #423317=DIRECTION('ref_axis',(0.,1.,0.)); #423318=DIRECTION('',(0.,1.,0.)); #423319=DIRECTION('',(0.,0.,1.)); #423320=DIRECTION('',(0.,1.,0.)); #423321=DIRECTION('',(0.,0.,1.)); #423322=DIRECTION('center_axis',(0.,-1.,0.)); #423323=DIRECTION('ref_axis',(1.,0.,0.)); #423324=DIRECTION('',(1.,0.,0.)); #423325=DIRECTION('',(1.,0.,0.)); #423326=DIRECTION('',(0.,0.,1.)); #423327=DIRECTION('center_axis',(-1.,0.,0.)); #423328=DIRECTION('ref_axis',(0.,-1.,0.)); #423329=DIRECTION('',(0.,-1.,0.)); #423330=DIRECTION('',(0.,-1.,0.)); #423331=DIRECTION('',(0.,0.,1.)); #423332=DIRECTION('center_axis',(0.,1.,0.)); #423333=DIRECTION('ref_axis',(-1.,0.,0.)); #423334=DIRECTION('',(-1.,0.,0.)); #423335=DIRECTION('',(-1.,0.,0.)); #423336=DIRECTION('center_axis',(0.,0.,1.)); #423337=DIRECTION('ref_axis',(1.,0.,0.)); #423338=DIRECTION('center_axis',(0.,0.,1.)); #423339=DIRECTION('ref_axis',(1.,0.,0.)); #423340=DIRECTION('center_axis',(1.,0.,0.)); #423341=DIRECTION('ref_axis',(0.,1.,0.)); #423342=DIRECTION('',(0.,1.,0.)); #423343=DIRECTION('',(0.,0.,1.)); #423344=DIRECTION('',(0.,1.,0.)); #423345=DIRECTION('',(0.,0.,1.)); #423346=DIRECTION('center_axis',(0.,-1.,0.)); #423347=DIRECTION('ref_axis',(1.,0.,0.)); #423348=DIRECTION('',(1.,0.,0.)); #423349=DIRECTION('',(1.,0.,0.)); #423350=DIRECTION('',(0.,0.,1.)); #423351=DIRECTION('center_axis',(-1.,0.,0.)); #423352=DIRECTION('ref_axis',(0.,-1.,0.)); #423353=DIRECTION('',(0.,-1.,0.)); #423354=DIRECTION('',(0.,-1.,0.)); #423355=DIRECTION('',(0.,0.,1.)); #423356=DIRECTION('center_axis',(0.,1.,0.)); #423357=DIRECTION('ref_axis',(-1.,0.,0.)); #423358=DIRECTION('',(-1.,0.,0.)); #423359=DIRECTION('',(-1.,0.,0.)); #423360=DIRECTION('center_axis',(0.,0.,1.)); #423361=DIRECTION('ref_axis',(1.,0.,0.)); #423362=DIRECTION('center_axis',(0.,0.,1.)); #423363=DIRECTION('ref_axis',(1.,0.,0.)); #423364=DIRECTION('center_axis',(0.,0.,1.)); #423365=DIRECTION('ref_axis',(1.,0.,0.)); #423366=DIRECTION('center_axis',(0.,0.,1.)); #423367=DIRECTION('ref_axis',(1.,0.,0.)); #423368=DIRECTION('',(0.,0.,1.)); #423369=DIRECTION('center_axis',(0.,0.,-1.)); #423370=DIRECTION('ref_axis',(1.,0.,0.)); #423371=DIRECTION('center_axis',(0.,0.,1.)); #423372=DIRECTION('ref_axis',(1.,0.,0.)); #423373=DIRECTION('center_axis',(0.,0.,1.)); #423374=DIRECTION('ref_axis',(1.,0.,0.)); #423375=DIRECTION('',(0.,0.,1.)); #423376=DIRECTION('center_axis',(0.,0.,-1.)); #423377=DIRECTION('ref_axis',(1.,0.,0.)); #423378=DIRECTION('center_axis',(1.,0.,0.)); #423379=DIRECTION('ref_axis',(0.,1.,0.)); #423380=DIRECTION('',(0.,1.,0.)); #423381=DIRECTION('',(0.,0.,1.)); #423382=DIRECTION('',(0.,1.,0.)); #423383=DIRECTION('',(0.,0.,1.)); #423384=DIRECTION('center_axis',(0.,-1.,0.)); #423385=DIRECTION('ref_axis',(1.,0.,0.)); #423386=DIRECTION('',(1.,0.,0.)); #423387=DIRECTION('',(1.,0.,0.)); #423388=DIRECTION('',(0.,0.,1.)); #423389=DIRECTION('center_axis',(-1.,0.,0.)); #423390=DIRECTION('ref_axis',(0.,-1.,0.)); #423391=DIRECTION('',(0.,-1.,0.)); #423392=DIRECTION('',(0.,-1.,0.)); #423393=DIRECTION('',(0.,0.,1.)); #423394=DIRECTION('center_axis',(0.,1.,0.)); #423395=DIRECTION('ref_axis',(-1.,0.,0.)); #423396=DIRECTION('',(-1.,0.,0.)); #423397=DIRECTION('',(-1.,0.,0.)); #423398=DIRECTION('center_axis',(0.,0.,1.)); #423399=DIRECTION('ref_axis',(1.,0.,0.)); #423400=DIRECTION('center_axis',(0.,0.,1.)); #423401=DIRECTION('ref_axis',(1.,0.,0.)); #423402=DIRECTION('center_axis',(1.,0.,0.)); #423403=DIRECTION('ref_axis',(0.,1.,0.)); #423404=DIRECTION('',(0.,1.,0.)); #423405=DIRECTION('',(0.,0.,1.)); #423406=DIRECTION('',(0.,1.,0.)); #423407=DIRECTION('',(0.,0.,1.)); #423408=DIRECTION('center_axis',(0.,-1.,0.)); #423409=DIRECTION('ref_axis',(1.,0.,0.)); #423410=DIRECTION('',(1.,0.,0.)); #423411=DIRECTION('',(1.,0.,0.)); #423412=DIRECTION('',(0.,0.,1.)); #423413=DIRECTION('center_axis',(-1.,0.,0.)); #423414=DIRECTION('ref_axis',(0.,-1.,0.)); #423415=DIRECTION('',(0.,-1.,0.)); #423416=DIRECTION('',(0.,-1.,0.)); #423417=DIRECTION('',(0.,0.,1.)); #423418=DIRECTION('center_axis',(0.,1.,0.)); #423419=DIRECTION('ref_axis',(-1.,0.,0.)); #423420=DIRECTION('',(-1.,0.,0.)); #423421=DIRECTION('',(-1.,0.,0.)); #423422=DIRECTION('center_axis',(0.,0.,1.)); #423423=DIRECTION('ref_axis',(1.,0.,0.)); #423424=DIRECTION('center_axis',(0.,0.,1.)); #423425=DIRECTION('ref_axis',(1.,0.,0.)); #423426=DIRECTION('center_axis',(0.,0.,1.)); #423427=DIRECTION('ref_axis',(1.,0.,0.)); #423428=DIRECTION('center_axis',(0.,0.,1.)); #423429=DIRECTION('ref_axis',(1.,0.,0.)); #423430=DIRECTION('',(0.,0.,1.)); #423431=DIRECTION('center_axis',(0.,0.,-1.)); #423432=DIRECTION('ref_axis',(1.,0.,0.)); #423433=DIRECTION('center_axis',(0.,0.,1.)); #423434=DIRECTION('ref_axis',(1.,0.,0.)); #423435=DIRECTION('center_axis',(0.,0.,1.)); #423436=DIRECTION('ref_axis',(1.,0.,0.)); #423437=DIRECTION('',(0.,0.,1.)); #423438=DIRECTION('center_axis',(0.,0.,-1.)); #423439=DIRECTION('ref_axis',(1.,0.,0.)); #423440=DIRECTION('center_axis',(1.,0.,0.)); #423441=DIRECTION('ref_axis',(0.,1.,0.)); #423442=DIRECTION('',(0.,1.,0.)); #423443=DIRECTION('',(0.,0.,1.)); #423444=DIRECTION('',(0.,1.,0.)); #423445=DIRECTION('',(0.,0.,1.)); #423446=DIRECTION('center_axis',(0.,-1.,0.)); #423447=DIRECTION('ref_axis',(1.,0.,0.)); #423448=DIRECTION('',(1.,0.,0.)); #423449=DIRECTION('',(1.,0.,0.)); #423450=DIRECTION('',(0.,0.,1.)); #423451=DIRECTION('center_axis',(-1.,0.,0.)); #423452=DIRECTION('ref_axis',(0.,-1.,0.)); #423453=DIRECTION('',(0.,-1.,0.)); #423454=DIRECTION('',(0.,-1.,0.)); #423455=DIRECTION('',(0.,0.,1.)); #423456=DIRECTION('center_axis',(0.,1.,0.)); #423457=DIRECTION('ref_axis',(-1.,0.,0.)); #423458=DIRECTION('',(-1.,0.,0.)); #423459=DIRECTION('',(-1.,0.,0.)); #423460=DIRECTION('center_axis',(0.,0.,1.)); #423461=DIRECTION('ref_axis',(1.,0.,0.)); #423462=DIRECTION('center_axis',(0.,0.,1.)); #423463=DIRECTION('ref_axis',(1.,0.,0.)); #423464=DIRECTION('center_axis',(1.,0.,0.)); #423465=DIRECTION('ref_axis',(0.,1.,0.)); #423466=DIRECTION('',(0.,1.,0.)); #423467=DIRECTION('',(0.,0.,1.)); #423468=DIRECTION('',(0.,1.,0.)); #423469=DIRECTION('',(0.,0.,1.)); #423470=DIRECTION('center_axis',(0.,-1.,0.)); #423471=DIRECTION('ref_axis',(1.,0.,0.)); #423472=DIRECTION('',(1.,0.,0.)); #423473=DIRECTION('',(1.,0.,0.)); #423474=DIRECTION('',(0.,0.,1.)); #423475=DIRECTION('center_axis',(-1.,0.,0.)); #423476=DIRECTION('ref_axis',(0.,-1.,0.)); #423477=DIRECTION('',(0.,-1.,0.)); #423478=DIRECTION('',(0.,-1.,0.)); #423479=DIRECTION('',(0.,0.,1.)); #423480=DIRECTION('center_axis',(0.,1.,0.)); #423481=DIRECTION('ref_axis',(-1.,0.,0.)); #423482=DIRECTION('',(-1.,0.,0.)); #423483=DIRECTION('',(-1.,0.,0.)); #423484=DIRECTION('center_axis',(0.,0.,1.)); #423485=DIRECTION('ref_axis',(1.,0.,0.)); #423486=DIRECTION('center_axis',(0.,0.,1.)); #423487=DIRECTION('ref_axis',(1.,0.,0.)); #423488=DIRECTION('center_axis',(0.,0.,1.)); #423489=DIRECTION('ref_axis',(1.,0.,0.)); #423490=DIRECTION('center_axis',(0.,0.,1.)); #423491=DIRECTION('ref_axis',(1.,0.,0.)); #423492=DIRECTION('',(0.,0.,1.)); #423493=DIRECTION('center_axis',(0.,0.,-1.)); #423494=DIRECTION('ref_axis',(1.,0.,0.)); #423495=DIRECTION('center_axis',(0.,0.,1.)); #423496=DIRECTION('ref_axis',(1.,0.,0.)); #423497=DIRECTION('center_axis',(0.,0.,1.)); #423498=DIRECTION('ref_axis',(1.,0.,0.)); #423499=DIRECTION('',(0.,0.,1.)); #423500=DIRECTION('center_axis',(0.,0.,-1.)); #423501=DIRECTION('ref_axis',(1.,0.,0.)); #423502=DIRECTION('center_axis',(1.,0.,0.)); #423503=DIRECTION('ref_axis',(0.,1.,0.)); #423504=DIRECTION('',(0.,1.,0.)); #423505=DIRECTION('',(0.,0.,1.)); #423506=DIRECTION('',(0.,1.,0.)); #423507=DIRECTION('',(0.,0.,1.)); #423508=DIRECTION('center_axis',(0.,-1.,0.)); #423509=DIRECTION('ref_axis',(1.,0.,0.)); #423510=DIRECTION('',(1.,0.,0.)); #423511=DIRECTION('',(1.,0.,0.)); #423512=DIRECTION('',(0.,0.,1.)); #423513=DIRECTION('center_axis',(-1.,0.,0.)); #423514=DIRECTION('ref_axis',(0.,-1.,0.)); #423515=DIRECTION('',(0.,-1.,0.)); #423516=DIRECTION('',(0.,-1.,0.)); #423517=DIRECTION('',(0.,0.,1.)); #423518=DIRECTION('center_axis',(0.,1.,0.)); #423519=DIRECTION('ref_axis',(-1.,0.,0.)); #423520=DIRECTION('',(-1.,0.,0.)); #423521=DIRECTION('',(-1.,0.,0.)); #423522=DIRECTION('center_axis',(0.,0.,1.)); #423523=DIRECTION('ref_axis',(1.,0.,0.)); #423524=DIRECTION('center_axis',(0.,0.,1.)); #423525=DIRECTION('ref_axis',(1.,0.,0.)); #423526=DIRECTION('center_axis',(1.,0.,0.)); #423527=DIRECTION('ref_axis',(0.,1.,0.)); #423528=DIRECTION('',(0.,1.,0.)); #423529=DIRECTION('',(0.,0.,1.)); #423530=DIRECTION('',(0.,1.,0.)); #423531=DIRECTION('',(0.,0.,1.)); #423532=DIRECTION('center_axis',(0.,-1.,0.)); #423533=DIRECTION('ref_axis',(1.,0.,0.)); #423534=DIRECTION('',(1.,0.,0.)); #423535=DIRECTION('',(1.,0.,0.)); #423536=DIRECTION('',(0.,0.,1.)); #423537=DIRECTION('center_axis',(-1.,0.,0.)); #423538=DIRECTION('ref_axis',(0.,-1.,0.)); #423539=DIRECTION('',(0.,-1.,0.)); #423540=DIRECTION('',(0.,-1.,0.)); #423541=DIRECTION('',(0.,0.,1.)); #423542=DIRECTION('center_axis',(0.,1.,0.)); #423543=DIRECTION('ref_axis',(-1.,0.,0.)); #423544=DIRECTION('',(-1.,0.,0.)); #423545=DIRECTION('',(-1.,0.,0.)); #423546=DIRECTION('center_axis',(0.,0.,1.)); #423547=DIRECTION('ref_axis',(1.,0.,0.)); #423548=DIRECTION('center_axis',(0.,0.,1.)); #423549=DIRECTION('ref_axis',(1.,0.,0.)); #423550=DIRECTION('center_axis',(1.,0.,0.)); #423551=DIRECTION('ref_axis',(0.,1.,0.)); #423552=DIRECTION('',(0.,1.,0.)); #423553=DIRECTION('',(0.,0.,1.)); #423554=DIRECTION('',(0.,1.,0.)); #423555=DIRECTION('',(0.,0.,1.)); #423556=DIRECTION('center_axis',(0.,-1.,0.)); #423557=DIRECTION('ref_axis',(1.,0.,0.)); #423558=DIRECTION('',(1.,0.,0.)); #423559=DIRECTION('',(1.,0.,0.)); #423560=DIRECTION('',(0.,0.,1.)); #423561=DIRECTION('center_axis',(-1.,0.,0.)); #423562=DIRECTION('ref_axis',(0.,-1.,0.)); #423563=DIRECTION('',(0.,-1.,0.)); #423564=DIRECTION('',(0.,-1.,0.)); #423565=DIRECTION('',(0.,0.,1.)); #423566=DIRECTION('center_axis',(0.,1.,0.)); #423567=DIRECTION('ref_axis',(-1.,0.,0.)); #423568=DIRECTION('',(-1.,0.,0.)); #423569=DIRECTION('',(-1.,0.,0.)); #423570=DIRECTION('',(0.,0.,1.)); #423571=DIRECTION('center_axis',(-1.,0.,0.)); #423572=DIRECTION('ref_axis',(0.,-1.,0.)); #423573=DIRECTION('',(0.,-1.,0.)); #423574=DIRECTION('',(0.,-1.,0.)); #423575=DIRECTION('',(0.,0.,1.)); #423576=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #423577=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #423578=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #423579=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #423580=DIRECTION('',(0.,0.,1.)); #423581=DIRECTION('center_axis',(0.,0.,1.)); #423582=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695794E-6,0.)); #423583=DIRECTION('center_axis',(0.,0.,1.)); #423584=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695794E-6,0.)); #423585=DIRECTION('center_axis',(0.,0.,1.)); #423586=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695794E-6,0.)); #423587=DIRECTION('',(0.,0.,1.)); #423588=DIRECTION('center_axis',(-1.,0.,0.)); #423589=DIRECTION('ref_axis',(0.,-1.,0.)); #423590=DIRECTION('',(0.,-1.,0.)); #423591=DIRECTION('',(0.,-1.,0.)); #423592=DIRECTION('',(0.,0.,1.)); #423593=DIRECTION('center_axis',(0.,-1.,0.)); #423594=DIRECTION('ref_axis',(1.,0.,0.)); #423595=DIRECTION('',(1.,0.,0.)); #423596=DIRECTION('',(1.,0.,0.)); #423597=DIRECTION('',(0.,0.,1.)); #423598=DIRECTION('center_axis',(-1.,0.,0.)); #423599=DIRECTION('ref_axis',(0.,-1.,0.)); #423600=DIRECTION('',(0.,-1.,0.)); #423601=DIRECTION('',(0.,-1.,0.)); #423602=DIRECTION('',(0.,0.,1.)); #423603=DIRECTION('center_axis',(0.,1.,0.)); #423604=DIRECTION('ref_axis',(-1.,0.,0.)); #423605=DIRECTION('',(-1.,0.,0.)); #423606=DIRECTION('',(-1.,0.,0.)); #423607=DIRECTION('',(0.,0.,1.)); #423608=DIRECTION('center_axis',(-0.675122035826655,0.737706063917921,0.)); #423609=DIRECTION('ref_axis',(-0.737706063917921,-0.675122035826655,0.)); #423610=DIRECTION('',(-0.737706063917921,-0.675122035826655,0.)); #423611=DIRECTION('',(-0.737706063917921,-0.675122035826655,0.)); #423612=DIRECTION('',(0.,0.,1.)); #423613=DIRECTION('center_axis',(0.,0.,1.)); #423614=DIRECTION('ref_axis',(-1.33822881660761E-6,0.999999999999105,0.)); #423615=DIRECTION('center_axis',(0.,0.,1.)); #423616=DIRECTION('ref_axis',(-1.33822881660761E-6,0.999999999999105,0.)); #423617=DIRECTION('center_axis',(0.,0.,1.)); #423618=DIRECTION('ref_axis',(-1.33822881660761E-6,0.999999999999105,0.)); #423619=DIRECTION('',(0.,0.,1.)); #423620=DIRECTION('center_axis',(0.,1.,0.)); #423621=DIRECTION('ref_axis',(-1.,0.,0.)); #423622=DIRECTION('',(-1.,0.,0.)); #423623=DIRECTION('',(-1.,0.,0.)); #423624=DIRECTION('',(0.,0.,1.)); #423625=DIRECTION('center_axis',(-0.690650507348324,0.723188686788934,0.)); #423626=DIRECTION('ref_axis',(-0.723188686788934,-0.690650507348324,0.)); #423627=DIRECTION('',(-0.723188686788934,-0.690650507348324,0.)); #423628=DIRECTION('',(-0.723188686788934,-0.690650507348324,0.)); #423629=DIRECTION('',(0.,0.,1.)); #423630=DIRECTION('center_axis',(0.,0.,1.)); #423631=DIRECTION('ref_axis',(-8.91676915213142E-6,0.999999999960246,0.)); #423632=DIRECTION('center_axis',(0.,0.,1.)); #423633=DIRECTION('ref_axis',(-8.91676915213142E-6,0.999999999960246,0.)); #423634=DIRECTION('center_axis',(0.,0.,1.)); #423635=DIRECTION('ref_axis',(-8.91676915213142E-6,0.999999999960246,0.)); #423636=DIRECTION('',(0.,0.,1.)); #423637=DIRECTION('center_axis',(0.,1.,0.)); #423638=DIRECTION('ref_axis',(-1.,0.,0.)); #423639=DIRECTION('',(-1.,0.,0.)); #423640=DIRECTION('',(-1.,0.,0.)); #423641=DIRECTION('',(0.,0.,1.)); #423642=DIRECTION('center_axis',(-1.,0.,0.)); #423643=DIRECTION('ref_axis',(0.,-1.,0.)); #423644=DIRECTION('',(0.,-1.,0.)); #423645=DIRECTION('',(0.,-1.,0.)); #423646=DIRECTION('',(0.,0.,1.)); #423647=DIRECTION('center_axis',(0.,1.,0.)); #423648=DIRECTION('ref_axis',(-1.,0.,0.)); #423649=DIRECTION('',(-1.,0.,0.)); #423650=DIRECTION('',(-1.,0.,0.)); #423651=DIRECTION('',(0.,0.,1.)); #423652=DIRECTION('center_axis',(1.,0.,0.)); #423653=DIRECTION('ref_axis',(0.,1.,0.)); #423654=DIRECTION('',(0.,1.,0.)); #423655=DIRECTION('',(0.,1.,0.)); #423656=DIRECTION('',(0.,0.,1.)); #423657=DIRECTION('center_axis',(0.719516601527449,0.694475240830363,0.)); #423658=DIRECTION('ref_axis',(-0.694475240830363,0.719516601527449,0.)); #423659=DIRECTION('',(-0.694475240830363,0.719516601527449,0.)); #423660=DIRECTION('',(-0.694475240830363,0.719516601527449,0.)); #423661=DIRECTION('',(0.,0.,1.)); #423662=DIRECTION('center_axis',(0.,1.,0.)); #423663=DIRECTION('ref_axis',(-1.,0.,0.)); #423664=DIRECTION('',(-1.,0.,0.)); #423665=DIRECTION('',(-1.,0.,0.)); #423666=DIRECTION('',(0.,0.,1.)); #423667=DIRECTION('center_axis',(-0.713284880872908,0.700874224606756,0.)); #423668=DIRECTION('ref_axis',(-0.700874224606756,-0.713284880872908,0.)); #423669=DIRECTION('',(-0.700874224606756,-0.713284880872908,0.)); #423670=DIRECTION('',(-0.700874224606756,-0.713284880872908,0.)); #423671=DIRECTION('',(0.,0.,1.)); #423672=DIRECTION('center_axis',(0.,0.,1.)); #423673=DIRECTION('ref_axis',(1.45598405102153E-5,0.999999999894005,0.)); #423674=DIRECTION('center_axis',(0.,0.,1.)); #423675=DIRECTION('ref_axis',(1.45598405102153E-5,0.999999999894005,0.)); #423676=DIRECTION('center_axis',(0.,0.,1.)); #423677=DIRECTION('ref_axis',(1.45598405102153E-5,0.999999999894005,0.)); #423678=DIRECTION('',(0.,0.,1.)); #423679=DIRECTION('center_axis',(0.,1.,0.)); #423680=DIRECTION('ref_axis',(-1.,0.,0.)); #423681=DIRECTION('',(-1.,0.,0.)); #423682=DIRECTION('',(-1.,0.,0.)); #423683=DIRECTION('',(0.,0.,1.)); #423684=DIRECTION('center_axis',(0.,0.,1.)); #423685=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #423686=DIRECTION('center_axis',(0.,0.,1.)); #423687=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #423688=DIRECTION('center_axis',(0.,0.,1.)); #423689=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #423690=DIRECTION('',(0.,0.,1.)); #423691=DIRECTION('center_axis',(0.70710678118655,0.707106781186545,0.)); #423692=DIRECTION('ref_axis',(-0.707106781186545,0.70710678118655,0.)); #423693=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #423694=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #423695=DIRECTION('',(0.,0.,1.)); #423696=DIRECTION('center_axis',(0.,1.,0.)); #423697=DIRECTION('ref_axis',(-1.,0.,0.)); #423698=DIRECTION('',(-1.,0.,0.)); #423699=DIRECTION('',(-1.,0.,0.)); #423700=DIRECTION('',(0.,0.,1.)); #423701=DIRECTION('center_axis',(-0.707106781186554,0.707106781186541,0.)); #423702=DIRECTION('ref_axis',(-0.707106781186541,-0.707106781186554,0.)); #423703=DIRECTION('',(-0.707106781186541,-0.707106781186554,0.)); #423704=DIRECTION('',(-0.707106781186541,-0.707106781186554,0.)); #423705=DIRECTION('',(0.,0.,1.)); #423706=DIRECTION('center_axis',(-1.,0.,0.)); #423707=DIRECTION('ref_axis',(0.,-1.,0.)); #423708=DIRECTION('',(0.,-1.,0.)); #423709=DIRECTION('',(0.,-1.,0.)); #423710=DIRECTION('',(0.,0.,1.)); #423711=DIRECTION('center_axis',(0.,1.,0.)); #423712=DIRECTION('ref_axis',(-1.,0.,0.)); #423713=DIRECTION('',(-1.,0.,0.)); #423714=DIRECTION('',(-1.,0.,0.)); #423715=DIRECTION('',(0.,0.,1.)); #423716=DIRECTION('center_axis',(1.,0.,0.)); #423717=DIRECTION('ref_axis',(0.,1.,0.)); #423718=DIRECTION('',(0.,1.,0.)); #423719=DIRECTION('',(0.,1.,0.)); #423720=DIRECTION('',(0.,0.,1.)); #423721=DIRECTION('center_axis',(0.,-1.,0.)); #423722=DIRECTION('ref_axis',(1.,0.,0.)); #423723=DIRECTION('',(1.,0.,0.)); #423724=DIRECTION('',(1.,0.,0.)); #423725=DIRECTION('',(0.,0.,1.)); #423726=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #423727=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #423728=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #423729=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #423730=DIRECTION('',(0.,0.,1.)); #423731=DIRECTION('center_axis',(0.,0.,1.)); #423732=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #423733=DIRECTION('center_axis',(0.,0.,1.)); #423734=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #423735=DIRECTION('center_axis',(0.,0.,1.)); #423736=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #423737=DIRECTION('',(0.,0.,1.)); #423738=DIRECTION('center_axis',(0.,-1.,0.)); #423739=DIRECTION('ref_axis',(1.,0.,0.)); #423740=DIRECTION('',(1.,0.,0.)); #423741=DIRECTION('',(1.,0.,0.)); #423742=DIRECTION('',(0.,0.,1.)); #423743=DIRECTION('center_axis',(0.,0.,1.)); #423744=DIRECTION('ref_axis',(-0.707102614154213,-0.707110948194325,0.)); #423745=DIRECTION('center_axis',(0.,0.,1.)); #423746=DIRECTION('ref_axis',(-0.707102614154213,-0.707110948194325,0.)); #423747=DIRECTION('center_axis',(0.,0.,1.)); #423748=DIRECTION('ref_axis',(-0.707102614154213,-0.707110948194325,0.)); #423749=DIRECTION('',(0.,0.,1.)); #423750=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #423751=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #423752=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #423753=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #423754=DIRECTION('',(0.,0.,1.)); #423755=DIRECTION('center_axis',(0.,-1.,0.)); #423756=DIRECTION('ref_axis',(1.,0.,0.)); #423757=DIRECTION('',(1.,0.,0.)); #423758=DIRECTION('',(1.,0.,0.)); #423759=DIRECTION('',(0.,0.,1.)); #423760=DIRECTION('center_axis',(0.713284641214766,-0.700874468508536,0.)); #423761=DIRECTION('ref_axis',(0.700874468508536,0.713284641214765,0.)); #423762=DIRECTION('',(0.700874468508536,0.713284641214765,0.)); #423763=DIRECTION('',(0.700874468508536,0.713284641214765,0.)); #423764=DIRECTION('',(0.,0.,1.)); #423765=DIRECTION('center_axis',(0.,0.,1.)); #423766=DIRECTION('ref_axis',(-9.1085804943811E-6,-0.999999999958517,0.)); #423767=DIRECTION('center_axis',(0.,0.,1.)); #423768=DIRECTION('ref_axis',(-9.1085804943811E-6,-0.999999999958517,0.)); #423769=DIRECTION('center_axis',(0.,0.,1.)); #423770=DIRECTION('ref_axis',(-9.1085804943811E-6,-0.999999999958517,0.)); #423771=DIRECTION('',(0.,0.,1.)); #423772=DIRECTION('center_axis',(0.,-1.,0.)); #423773=DIRECTION('ref_axis',(1.,0.,0.)); #423774=DIRECTION('',(1.,0.,0.)); #423775=DIRECTION('',(1.,0.,0.)); #423776=DIRECTION('',(0.,0.,1.)); #423777=DIRECTION('center_axis',(0.,0.,1.)); #423778=DIRECTION('ref_axis',(-0.719503526374596,-0.694488787191356,0.)); #423779=DIRECTION('center_axis',(0.,0.,1.)); #423780=DIRECTION('ref_axis',(-0.719503526374596,-0.694488787191356,0.)); #423781=DIRECTION('center_axis',(0.,0.,1.)); #423782=DIRECTION('ref_axis',(-0.719503526374596,-0.694488787191356,0.)); #423783=DIRECTION('',(0.,0.,1.)); #423784=DIRECTION('center_axis',(-0.719514816688641,-0.694477090022062, 0.)); #423785=DIRECTION('ref_axis',(0.694477090022062,-0.719514816688641,0.)); #423786=DIRECTION('',(0.694477090022062,-0.719514816688641,0.)); #423787=DIRECTION('',(0.694477090022062,-0.719514816688641,0.)); #423788=DIRECTION('',(0.,0.,1.)); #423789=DIRECTION('center_axis',(0.,-1.,0.)); #423790=DIRECTION('ref_axis',(1.,0.,0.)); #423791=DIRECTION('',(1.,0.,0.)); #423792=DIRECTION('',(1.,0.,0.)); #423793=DIRECTION('',(0.,0.,1.)); #423794=DIRECTION('center_axis',(-1.,0.,0.)); #423795=DIRECTION('ref_axis',(0.,-1.,0.)); #423796=DIRECTION('',(0.,-1.,0.)); #423797=DIRECTION('',(0.,-1.,0.)); #423798=DIRECTION('',(0.,0.,1.)); #423799=DIRECTION('center_axis',(0.,-1.,0.)); #423800=DIRECTION('ref_axis',(1.,0.,0.)); #423801=DIRECTION('',(1.,0.,0.)); #423802=DIRECTION('',(1.,0.,0.)); #423803=DIRECTION('',(0.,0.,1.)); #423804=DIRECTION('center_axis',(0.690652324419917,-0.723186951467852,0.)); #423805=DIRECTION('ref_axis',(0.723186951467852,0.690652324419917,0.)); #423806=DIRECTION('',(0.723186951467852,0.690652324419917,0.)); #423807=DIRECTION('',(0.723186951467852,0.690652324419917,0.)); #423808=DIRECTION('',(0.,0.,1.)); #423809=DIRECTION('center_axis',(0.,0.,1.)); #423810=DIRECTION('ref_axis',(4.97967440584682E-6,-0.999999999987601,0.)); #423811=DIRECTION('center_axis',(0.,0.,1.)); #423812=DIRECTION('ref_axis',(4.97967440584682E-6,-0.999999999987601,0.)); #423813=DIRECTION('center_axis',(0.,0.,1.)); #423814=DIRECTION('ref_axis',(4.97967440584682E-6,-0.999999999987601,0.)); #423815=DIRECTION('',(0.,0.,1.)); #423816=DIRECTION('center_axis',(0.,-1.,0.)); #423817=DIRECTION('ref_axis',(1.,0.,0.)); #423818=DIRECTION('',(1.,0.,0.)); #423819=DIRECTION('',(1.,0.,0.)); #423820=DIRECTION('',(0.,0.,1.)); #423821=DIRECTION('center_axis',(0.675118559056202,-0.737709245718039,0.)); #423822=DIRECTION('ref_axis',(0.737709245718039,0.675118559056202,0.)); #423823=DIRECTION('',(0.737709245718039,0.675118559056202,0.)); #423824=DIRECTION('',(0.737709245718039,0.675118559056202,0.)); #423825=DIRECTION('',(0.,0.,1.)); #423826=DIRECTION('center_axis',(1.,0.,0.)); #423827=DIRECTION('ref_axis',(0.,1.,0.)); #423828=DIRECTION('',(0.,1.,0.)); #423829=DIRECTION('',(0.,1.,0.)); #423830=DIRECTION('',(0.,0.,1.)); #423831=DIRECTION('center_axis',(0.,-1.,0.)); #423832=DIRECTION('ref_axis',(1.,0.,0.)); #423833=DIRECTION('',(1.,0.,0.)); #423834=DIRECTION('',(1.,0.,0.)); #423835=DIRECTION('',(0.,0.,1.)); #423836=DIRECTION('center_axis',(1.,0.,0.)); #423837=DIRECTION('ref_axis',(0.,1.,0.)); #423838=DIRECTION('',(0.,1.,0.)); #423839=DIRECTION('',(0.,1.,0.)); #423840=DIRECTION('',(0.,0.,1.)); #423841=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #423842=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #423843=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #423844=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #423845=DIRECTION('',(0.,0.,1.)); #423846=DIRECTION('center_axis',(0.,0.,1.)); #423847=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #423848=DIRECTION('center_axis',(0.,0.,1.)); #423849=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #423850=DIRECTION('center_axis',(0.,0.,1.)); #423851=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #423852=DIRECTION('',(0.,0.,1.)); #423853=DIRECTION('center_axis',(1.,0.,0.)); #423854=DIRECTION('ref_axis',(0.,1.,0.)); #423855=DIRECTION('',(0.,1.,0.)); #423856=DIRECTION('',(0.,1.,0.)); #423857=DIRECTION('',(0.,0.,1.)); #423858=DIRECTION('center_axis',(0.,1.,0.)); #423859=DIRECTION('ref_axis',(-1.,0.,0.)); #423860=DIRECTION('',(-1.,0.,0.)); #423861=DIRECTION('',(-1.,0.,0.)); #423862=DIRECTION('center_axis',(0.,0.,1.)); #423863=DIRECTION('ref_axis',(1.,0.,0.)); #423864=DIRECTION('center_axis',(0.,0.,1.)); #423865=DIRECTION('ref_axis',(1.,0.,0.)); #423866=DIRECTION('center_axis',(0.,0.,1.)); #423867=DIRECTION('ref_axis',(1.,0.,0.)); #423868=DIRECTION('center_axis',(0.,0.,1.)); #423869=DIRECTION('ref_axis',(1.,0.,0.)); #423870=DIRECTION('',(0.,0.,1.)); #423871=DIRECTION('center_axis',(0.,0.,-1.)); #423872=DIRECTION('ref_axis',(1.,0.,0.)); #423873=DIRECTION('center_axis',(0.,0.,1.)); #423874=DIRECTION('ref_axis',(1.,0.,0.)); #423875=DIRECTION('center_axis',(0.,0.,1.)); #423876=DIRECTION('ref_axis',(1.,0.,0.)); #423877=DIRECTION('',(0.,0.,1.)); #423878=DIRECTION('center_axis',(0.,0.,-1.)); #423879=DIRECTION('ref_axis',(1.,0.,0.)); #423880=DIRECTION('center_axis',(0.156447762252332,-0.987686234431886,0.)); #423881=DIRECTION('ref_axis',(0.987686234431886,0.156447762252332,0.)); #423882=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #423883=DIRECTION('',(0.,0.,1.)); #423884=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #423885=DIRECTION('',(0.,0.,1.)); #423886=DIRECTION('center_axis',(0.,0.,1.)); #423887=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #423888=DIRECTION('center_axis',(0.,0.,1.)); #423889=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #423890=DIRECTION('center_axis',(0.,0.,1.)); #423891=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #423892=DIRECTION('',(0.,0.,1.)); #423893=DIRECTION('center_axis',(0.,0.,1.)); #423894=DIRECTION('ref_axis',(0.887013571520806,0.461743352889789,0.)); #423895=DIRECTION('center_axis',(0.,0.,1.)); #423896=DIRECTION('ref_axis',(0.887013571520806,0.461743352889789,0.)); #423897=DIRECTION('center_axis',(0.,0.,1.)); #423898=DIRECTION('ref_axis',(0.887013571520806,0.461743352889789,0.)); #423899=DIRECTION('',(0.,0.,1.)); #423900=DIRECTION('center_axis',(0.,1.,0.)); #423901=DIRECTION('ref_axis',(-1.,0.,0.)); #423902=DIRECTION('',(-1.,0.,0.)); #423903=DIRECTION('',(-1.,0.,0.)); #423904=DIRECTION('',(0.,0.,1.)); #423905=DIRECTION('center_axis',(0.,0.,1.)); #423906=DIRECTION('ref_axis',(0.923607696029128,0.383339045540323,0.)); #423907=DIRECTION('center_axis',(0.,0.,1.)); #423908=DIRECTION('ref_axis',(0.923607696029128,0.383339045540323,0.)); #423909=DIRECTION('center_axis',(0.,0.,1.)); #423910=DIRECTION('ref_axis',(0.923607696029128,0.383339045540323,0.)); #423911=DIRECTION('',(0.,0.,1.)); #423912=DIRECTION('center_axis',(0.,0.,1.)); #423913=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676714,0.)); #423914=DIRECTION('center_axis',(0.,0.,1.)); #423915=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676714,0.)); #423916=DIRECTION('center_axis',(0.,0.,1.)); #423917=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676714,0.)); #423918=DIRECTION('',(0.,0.,1.)); #423919=DIRECTION('center_axis',(0.,-1.,0.)); #423920=DIRECTION('ref_axis',(1.,0.,0.)); #423921=DIRECTION('',(1.,0.,0.)); #423922=DIRECTION('',(1.,0.,0.)); #423923=DIRECTION('',(0.,0.,1.)); #423924=DIRECTION('center_axis',(0.,0.,1.)); #423925=DIRECTION('ref_axis',(0.236706046226645,-0.971581312953141,0.)); #423926=DIRECTION('center_axis',(0.,0.,1.)); #423927=DIRECTION('ref_axis',(0.236706046226645,-0.971581312953141,0.)); #423928=DIRECTION('center_axis',(0.,0.,1.)); #423929=DIRECTION('ref_axis',(0.236706046226645,-0.971581312953141,0.)); #423930=DIRECTION('center_axis',(0.,0.,1.)); #423931=DIRECTION('ref_axis',(1.,0.,0.)); #423932=DIRECTION('center_axis',(0.,0.,1.)); #423933=DIRECTION('ref_axis',(1.,0.,0.)); #423934=DIRECTION('center_axis',(0.,0.,1.)); #423935=DIRECTION('ref_axis',(1.,0.,0.)); #423936=DIRECTION('center_axis',(0.,0.,1.)); #423937=DIRECTION('ref_axis',(1.,0.,0.)); #423938=DIRECTION('',(0.,0.,1.)); #423939=DIRECTION('center_axis',(0.,0.,-1.)); #423940=DIRECTION('ref_axis',(1.,0.,0.)); #423941=DIRECTION('center_axis',(0.156445508580651,-0.98768659140688,0.)); #423942=DIRECTION('ref_axis',(0.98768659140688,0.156445508580651,0.)); #423943=DIRECTION('',(0.98768659140688,0.156445508580651,0.)); #423944=DIRECTION('',(0.,0.,1.)); #423945=DIRECTION('',(0.98768659140688,0.156445508580651,0.)); #423946=DIRECTION('',(0.,0.,1.)); #423947=DIRECTION('center_axis',(0.,0.,1.)); #423948=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #423949=DIRECTION('center_axis',(0.,0.,1.)); #423950=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #423951=DIRECTION('center_axis',(0.,0.,1.)); #423952=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #423953=DIRECTION('',(0.,0.,1.)); #423954=DIRECTION('center_axis',(-1.,0.,0.)); #423955=DIRECTION('ref_axis',(0.,-1.,0.)); #423956=DIRECTION('',(0.,-1.,0.)); #423957=DIRECTION('',(0.,-1.,0.)); #423958=DIRECTION('',(0.,0.,1.)); #423959=DIRECTION('center_axis',(0.,-1.,0.)); #423960=DIRECTION('ref_axis',(1.,0.,0.)); #423961=DIRECTION('',(1.,0.,0.)); #423962=DIRECTION('',(1.,0.,0.)); #423963=DIRECTION('',(0.,0.,1.)); #423964=DIRECTION('center_axis',(-1.,0.,0.)); #423965=DIRECTION('ref_axis',(0.,-1.,0.)); #423966=DIRECTION('',(0.,-1.,0.)); #423967=DIRECTION('',(0.,-1.,0.)); #423968=DIRECTION('',(0.,0.,1.)); #423969=DIRECTION('center_axis',(0.,1.,0.)); #423970=DIRECTION('ref_axis',(-1.,0.,0.)); #423971=DIRECTION('',(-1.,0.,0.)); #423972=DIRECTION('',(-1.,0.,0.)); #423973=DIRECTION('',(0.,0.,1.)); #423974=DIRECTION('center_axis',(1.,0.,0.)); #423975=DIRECTION('ref_axis',(0.,1.,0.)); #423976=DIRECTION('',(0.,1.,0.)); #423977=DIRECTION('',(0.,1.,0.)); #423978=DIRECTION('',(0.,0.,1.)); #423979=DIRECTION('center_axis',(0.,-1.,0.)); #423980=DIRECTION('ref_axis',(1.,0.,0.)); #423981=DIRECTION('',(1.,0.,0.)); #423982=DIRECTION('',(1.,0.,0.)); #423983=DIRECTION('',(0.,0.,1.)); #423984=DIRECTION('center_axis',(1.,0.,0.)); #423985=DIRECTION('ref_axis',(0.,1.,0.)); #423986=DIRECTION('',(0.,1.,0.)); #423987=DIRECTION('',(0.,1.,0.)); #423988=DIRECTION('',(0.,0.,1.)); #423989=DIRECTION('center_axis',(0.,0.,1.)); #423990=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #423991=DIRECTION('center_axis',(0.,0.,1.)); #423992=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #423993=DIRECTION('center_axis',(0.,0.,1.)); #423994=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #423995=DIRECTION('center_axis',(0.,0.,1.)); #423996=DIRECTION('ref_axis',(1.,0.,0.)); #423997=DIRECTION('center_axis',(0.,0.,1.)); #423998=DIRECTION('ref_axis',(1.,0.,0.)); #423999=DIRECTION('center_axis',(0.,0.,1.)); #424000=DIRECTION('ref_axis',(1.,0.,0.)); #424001=DIRECTION('center_axis',(0.,0.,1.)); #424002=DIRECTION('ref_axis',(1.,0.,0.)); #424003=DIRECTION('',(0.,0.,1.)); #424004=DIRECTION('center_axis',(0.,0.,-1.)); #424005=DIRECTION('ref_axis',(1.,0.,0.)); #424006=DIRECTION('center_axis',(1.,0.,0.)); #424007=DIRECTION('ref_axis',(0.,1.,0.)); #424008=DIRECTION('',(0.,1.,0.)); #424009=DIRECTION('',(0.,0.,1.)); #424010=DIRECTION('',(0.,1.,0.)); #424011=DIRECTION('',(0.,0.,1.)); #424012=DIRECTION('center_axis',(0.,-1.,0.)); #424013=DIRECTION('ref_axis',(1.,0.,0.)); #424014=DIRECTION('',(1.,0.,0.)); #424015=DIRECTION('',(1.,0.,0.)); #424016=DIRECTION('',(0.,0.,1.)); #424017=DIRECTION('center_axis',(-1.,0.,0.)); #424018=DIRECTION('ref_axis',(0.,-1.,0.)); #424019=DIRECTION('',(0.,-1.,0.)); #424020=DIRECTION('',(0.,-1.,0.)); #424021=DIRECTION('',(0.,0.,1.)); #424022=DIRECTION('center_axis',(0.,1.,0.)); #424023=DIRECTION('ref_axis',(-1.,0.,0.)); #424024=DIRECTION('',(-1.,0.,0.)); #424025=DIRECTION('',(-1.,0.,0.)); #424026=DIRECTION('',(0.,0.,1.)); #424027=DIRECTION('center_axis',(-1.,0.,0.)); #424028=DIRECTION('ref_axis',(0.,-1.,0.)); #424029=DIRECTION('',(0.,-1.,0.)); #424030=DIRECTION('',(0.,-1.,0.)); #424031=DIRECTION('',(0.,0.,1.)); #424032=DIRECTION('center_axis',(0.,0.,1.)); #424033=DIRECTION('ref_axis',(-0.707111226576164,0.707102335768984,0.)); #424034=DIRECTION('center_axis',(0.,0.,1.)); #424035=DIRECTION('ref_axis',(-0.707111226576164,0.707102335768984,0.)); #424036=DIRECTION('center_axis',(0.,0.,1.)); #424037=DIRECTION('ref_axis',(-0.707111226576164,0.707102335768984,0.)); #424038=DIRECTION('',(0.,0.,1.)); #424039=DIRECTION('center_axis',(-0.707106781186549,0.707106781186546,0.)); #424040=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,0.)); #424041=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #424042=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #424043=DIRECTION('',(0.,0.,1.)); #424044=DIRECTION('center_axis',(0.,0.,1.)); #424045=DIRECTION('ref_axis',(0.0464738032989275,0.998919509073145,0.)); #424046=DIRECTION('center_axis',(0.,0.,1.)); #424047=DIRECTION('ref_axis',(0.0464738032989275,0.998919509073145,0.)); #424048=DIRECTION('center_axis',(0.,0.,1.)); #424049=DIRECTION('ref_axis',(0.0464738032989275,0.998919509073145,0.)); #424050=DIRECTION('',(0.,0.,1.)); #424051=DIRECTION('center_axis',(0.,0.,1.)); #424052=DIRECTION('ref_axis',(0.852187955454489,0.523235786790523,0.)); #424053=DIRECTION('center_axis',(0.,0.,1.)); #424054=DIRECTION('ref_axis',(0.852187955454489,0.523235786790523,0.)); #424055=DIRECTION('center_axis',(0.,0.,1.)); #424056=DIRECTION('ref_axis',(0.852187955454489,0.523235786790523,0.)); #424057=DIRECTION('',(0.,0.,1.)); #424058=DIRECTION('center_axis',(0.,0.,1.)); #424059=DIRECTION('ref_axis',(-0.823404892668767,-0.567454300123927,0.)); #424060=DIRECTION('center_axis',(0.,0.,1.)); #424061=DIRECTION('ref_axis',(-0.823404892668767,-0.567454300123927,0.)); #424062=DIRECTION('center_axis',(0.,0.,1.)); #424063=DIRECTION('ref_axis',(-0.823404892668767,-0.567454300123927,0.)); #424064=DIRECTION('',(0.,0.,1.)); #424065=DIRECTION('center_axis',(0.707106781186556,-0.707106781186539,0.)); #424066=DIRECTION('ref_axis',(0.707106781186539,0.707106781186556,0.)); #424067=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #424068=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #424069=DIRECTION('',(0.,0.,1.)); #424070=DIRECTION('center_axis',(1.,0.,0.)); #424071=DIRECTION('ref_axis',(0.,1.,0.)); #424072=DIRECTION('',(0.,1.,0.)); #424073=DIRECTION('',(0.,1.,0.)); #424074=DIRECTION('',(0.,0.,1.)); #424075=DIRECTION('center_axis',(0.,1.,0.)); #424076=DIRECTION('ref_axis',(-1.,0.,0.)); #424077=DIRECTION('',(-1.,0.,0.)); #424078=DIRECTION('',(-1.,0.,0.)); #424079=DIRECTION('center_axis',(0.,0.,1.)); #424080=DIRECTION('ref_axis',(1.,0.,0.)); #424081=DIRECTION('center_axis',(0.,0.,1.)); #424082=DIRECTION('ref_axis',(1.,0.,0.)); #424083=DIRECTION('center_axis',(0.,0.,1.)); #424084=DIRECTION('ref_axis',(1.,0.,0.)); #424085=DIRECTION('center_axis',(0.,0.,1.)); #424086=DIRECTION('ref_axis',(1.,0.,0.)); #424087=DIRECTION('',(0.,0.,1.)); #424088=DIRECTION('center_axis',(0.,0.,-1.)); #424089=DIRECTION('ref_axis',(1.,0.,0.)); #424090=DIRECTION('center_axis',(0.,0.,1.)); #424091=DIRECTION('ref_axis',(1.,0.,0.)); #424092=DIRECTION('center_axis',(0.,0.,1.)); #424093=DIRECTION('ref_axis',(1.,0.,0.)); #424094=DIRECTION('',(0.,0.,1.)); #424095=DIRECTION('center_axis',(0.,0.,-1.)); #424096=DIRECTION('ref_axis',(1.,0.,0.)); #424097=DIRECTION('center_axis',(0.156447762252348,-0.987686234431883,0.)); #424098=DIRECTION('ref_axis',(0.987686234431883,0.156447762252348,0.)); #424099=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #424100=DIRECTION('',(0.,0.,1.)); #424101=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #424102=DIRECTION('',(0.,0.,1.)); #424103=DIRECTION('center_axis',(0.,0.,1.)); #424104=DIRECTION('ref_axis',(-0.923881211222879,-0.382679379571654,0.)); #424105=DIRECTION('center_axis',(0.,0.,1.)); #424106=DIRECTION('ref_axis',(-0.923881211222879,-0.382679379571654,0.)); #424107=DIRECTION('center_axis',(0.,0.,1.)); #424108=DIRECTION('ref_axis',(-0.923881211222879,-0.382679379571654,0.)); #424109=DIRECTION('',(0.,0.,1.)); #424110=DIRECTION('center_axis',(0.,0.,1.)); #424111=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #424112=DIRECTION('center_axis',(0.,0.,1.)); #424113=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #424114=DIRECTION('center_axis',(0.,0.,1.)); #424115=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #424116=DIRECTION('',(0.,0.,1.)); #424117=DIRECTION('center_axis',(0.,1.,0.)); #424118=DIRECTION('ref_axis',(-1.,0.,0.)); #424119=DIRECTION('',(-1.,0.,0.)); #424120=DIRECTION('',(-1.,0.,0.)); #424121=DIRECTION('',(0.,0.,1.)); #424122=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #424123=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #424124=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #424125=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #424126=DIRECTION('',(0.,0.,1.)); #424127=DIRECTION('center_axis',(-1.,0.,0.)); #424128=DIRECTION('ref_axis',(0.,-1.,0.)); #424129=DIRECTION('',(0.,-1.,0.)); #424130=DIRECTION('',(0.,-1.,0.)); #424131=DIRECTION('',(0.,0.,1.)); #424132=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #424133=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #424134=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #424135=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #424136=DIRECTION('',(0.,0.,1.)); #424137=DIRECTION('center_axis',(0.,-1.,0.)); #424138=DIRECTION('ref_axis',(1.,0.,0.)); #424139=DIRECTION('',(1.,0.,0.)); #424140=DIRECTION('',(1.,0.,0.)); #424141=DIRECTION('',(0.,0.,1.)); #424142=DIRECTION('center_axis',(0.,0.,1.)); #424143=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #424144=DIRECTION('center_axis',(0.,0.,1.)); #424145=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #424146=DIRECTION('center_axis',(0.,0.,1.)); #424147=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #424148=DIRECTION('',(0.,0.,1.)); #424149=DIRECTION('center_axis',(-0.707106781186552,-0.707106781186543, 0.)); #424150=DIRECTION('ref_axis',(0.707106781186543,-0.707106781186552,0.)); #424151=DIRECTION('',(0.707106781186543,-0.707106781186552,0.)); #424152=DIRECTION('',(0.707106781186543,-0.707106781186552,0.)); #424153=DIRECTION('',(0.,0.,1.)); #424154=DIRECTION('center_axis',(0.,-1.,0.)); #424155=DIRECTION('ref_axis',(1.,0.,0.)); #424156=DIRECTION('',(1.,0.,0.)); #424157=DIRECTION('',(1.,0.,0.)); #424158=DIRECTION('',(0.,0.,1.)); #424159=DIRECTION('center_axis',(0.,0.,1.)); #424160=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #424161=DIRECTION('center_axis',(0.,0.,1.)); #424162=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #424163=DIRECTION('center_axis',(0.,0.,1.)); #424164=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #424165=DIRECTION('',(0.,0.,1.)); #424166=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #424167=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #424168=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #424169=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #424170=DIRECTION('',(0.,0.,1.)); #424171=DIRECTION('center_axis',(0.,0.,1.)); #424172=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192551E-6,0.)); #424173=DIRECTION('center_axis',(0.,0.,1.)); #424174=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192551E-6,0.)); #424175=DIRECTION('center_axis',(0.,0.,1.)); #424176=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192551E-6,0.)); #424177=DIRECTION('',(0.,0.,1.)); #424178=DIRECTION('center_axis',(-1.,0.,0.)); #424179=DIRECTION('ref_axis',(0.,-1.,0.)); #424180=DIRECTION('',(0.,-1.,0.)); #424181=DIRECTION('',(0.,-1.,0.)); #424182=DIRECTION('',(0.,0.,1.)); #424183=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #424184=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #424185=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #424186=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #424187=DIRECTION('',(0.,0.,1.)); #424188=DIRECTION('center_axis',(0.,-1.,0.)); #424189=DIRECTION('ref_axis',(1.,0.,0.)); #424190=DIRECTION('',(1.,0.,0.)); #424191=DIRECTION('',(1.,0.,0.)); #424192=DIRECTION('',(0.,0.,1.)); #424193=DIRECTION('center_axis',(0.,0.,1.)); #424194=DIRECTION('ref_axis',(-0.707102614154213,-0.707110948194325,0.)); #424195=DIRECTION('center_axis',(0.,0.,1.)); #424196=DIRECTION('ref_axis',(-0.707102614154213,-0.707110948194325,0.)); #424197=DIRECTION('center_axis',(0.,0.,1.)); #424198=DIRECTION('ref_axis',(-0.707102614154213,-0.707110948194325,0.)); #424199=DIRECTION('',(0.,0.,1.)); #424200=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #424201=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #424202=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #424203=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #424204=DIRECTION('',(0.,0.,1.)); #424205=DIRECTION('center_axis',(0.,0.,1.)); #424206=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #424207=DIRECTION('center_axis',(0.,0.,1.)); #424208=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #424209=DIRECTION('center_axis',(0.,0.,1.)); #424210=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #424211=DIRECTION('',(0.,0.,1.)); #424212=DIRECTION('center_axis',(-1.,0.,0.)); #424213=DIRECTION('ref_axis',(0.,-1.,0.)); #424214=DIRECTION('',(0.,-1.,0.)); #424215=DIRECTION('',(0.,-1.,0.)); #424216=DIRECTION('',(0.,0.,1.)); #424217=DIRECTION('center_axis',(0.,0.,1.)); #424218=DIRECTION('ref_axis',(-0.383337197223962,0.923608463162003,0.)); #424219=DIRECTION('center_axis',(0.,0.,1.)); #424220=DIRECTION('ref_axis',(-0.383337197223962,0.923608463162003,0.)); #424221=DIRECTION('center_axis',(0.,0.,1.)); #424222=DIRECTION('ref_axis',(-0.383337197223962,0.923608463162003,0.)); #424223=DIRECTION('',(0.,0.,1.)); #424224=DIRECTION('center_axis',(0.,0.,1.)); #424225=DIRECTION('ref_axis',(0.46174335288979,-0.887013571520806,0.)); #424226=DIRECTION('center_axis',(0.,0.,1.)); #424227=DIRECTION('ref_axis',(0.46174335288979,-0.887013571520806,0.)); #424228=DIRECTION('center_axis',(0.,0.,1.)); #424229=DIRECTION('ref_axis',(0.46174335288979,-0.887013571520806,0.)); #424230=DIRECTION('',(0.,0.,1.)); #424231=DIRECTION('center_axis',(1.,0.,0.)); #424232=DIRECTION('ref_axis',(0.,1.,0.)); #424233=DIRECTION('',(0.,1.,0.)); #424234=DIRECTION('',(0.,1.,0.)); #424235=DIRECTION('',(0.,0.,1.)); #424236=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #424237=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #424238=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #424239=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #424240=DIRECTION('',(0.,0.,1.)); #424241=DIRECTION('center_axis',(0.,1.,0.)); #424242=DIRECTION('ref_axis',(-1.,0.,0.)); #424243=DIRECTION('',(-1.,0.,0.)); #424244=DIRECTION('',(-1.,0.,0.)); #424245=DIRECTION('',(0.,0.,1.)); #424246=DIRECTION('center_axis',(0.,0.,1.)); #424247=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #424248=DIRECTION('center_axis',(0.,0.,1.)); #424249=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #424250=DIRECTION('center_axis',(0.,0.,1.)); #424251=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #424252=DIRECTION('',(0.,0.,1.)); #424253=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #424254=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #424255=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #424256=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #424257=DIRECTION('',(0.,0.,1.)); #424258=DIRECTION('center_axis',(0.,0.,1.)); #424259=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192551E-6,0.)); #424260=DIRECTION('center_axis',(0.,0.,1.)); #424261=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192551E-6,0.)); #424262=DIRECTION('center_axis',(0.,0.,1.)); #424263=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192551E-6,0.)); #424264=DIRECTION('',(0.,0.,1.)); #424265=DIRECTION('center_axis',(1.,0.,0.)); #424266=DIRECTION('ref_axis',(0.,1.,0.)); #424267=DIRECTION('',(0.,1.,0.)); #424268=DIRECTION('',(0.,1.,0.)); #424269=DIRECTION('',(0.,0.,1.)); #424270=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #424271=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #424272=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #424273=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #424274=DIRECTION('',(0.,0.,1.)); #424275=DIRECTION('center_axis',(0.,1.,0.)); #424276=DIRECTION('ref_axis',(-1.,0.,0.)); #424277=DIRECTION('',(-1.,0.,0.)); #424278=DIRECTION('',(-1.,0.,0.)); #424279=DIRECTION('',(0.,0.,1.)); #424280=DIRECTION('center_axis',(0.,0.,1.)); #424281=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #424282=DIRECTION('center_axis',(0.,0.,1.)); #424283=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #424284=DIRECTION('center_axis',(0.,0.,1.)); #424285=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #424286=DIRECTION('',(0.,0.,1.)); #424287=DIRECTION('center_axis',(0.70710678118655,0.707106781186545,0.)); #424288=DIRECTION('ref_axis',(-0.707106781186545,0.70710678118655,0.)); #424289=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #424290=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #424291=DIRECTION('',(0.,0.,1.)); #424292=DIRECTION('center_axis',(0.,1.,0.)); #424293=DIRECTION('ref_axis',(-1.,0.,0.)); #424294=DIRECTION('',(-1.,0.,0.)); #424295=DIRECTION('',(-1.,0.,0.)); #424296=DIRECTION('',(0.,0.,1.)); #424297=DIRECTION('center_axis',(0.,0.,1.)); #424298=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #424299=DIRECTION('center_axis',(0.,0.,1.)); #424300=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #424301=DIRECTION('center_axis',(0.,0.,1.)); #424302=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #424303=DIRECTION('',(0.,0.,1.)); #424304=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #424305=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #424306=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #424307=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #424308=DIRECTION('',(0.,0.,1.)); #424309=DIRECTION('center_axis',(0.,0.,1.)); #424310=DIRECTION('ref_axis',(0.999999999982576,-5.90313871689267E-6,0.)); #424311=DIRECTION('center_axis',(0.,0.,1.)); #424312=DIRECTION('ref_axis',(0.999999999982576,-5.90313871689267E-6,0.)); #424313=DIRECTION('center_axis',(0.,0.,1.)); #424314=DIRECTION('ref_axis',(0.999999999982576,-5.90313871689267E-6,0.)); #424315=DIRECTION('',(0.,0.,1.)); #424316=DIRECTION('center_axis',(1.,0.,0.)); #424317=DIRECTION('ref_axis',(0.,1.,0.)); #424318=DIRECTION('',(0.,1.,0.)); #424319=DIRECTION('',(0.,1.,0.)); #424320=DIRECTION('',(0.,0.,1.)); #424321=DIRECTION('center_axis',(0.,0.,1.)); #424322=DIRECTION('ref_axis',(0.707110948194308,-0.707102614154231,0.)); #424323=DIRECTION('center_axis',(0.,0.,1.)); #424324=DIRECTION('ref_axis',(0.707110948194308,-0.707102614154231,0.)); #424325=DIRECTION('center_axis',(0.,0.,1.)); #424326=DIRECTION('ref_axis',(0.707110948194308,-0.707102614154231,0.)); #424327=DIRECTION('',(0.,0.,1.)); #424328=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #424329=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,0.)); #424330=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #424331=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #424332=DIRECTION('',(0.,0.,1.)); #424333=DIRECTION('center_axis',(0.,0.,1.)); #424334=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #424335=DIRECTION('center_axis',(0.,0.,1.)); #424336=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #424337=DIRECTION('center_axis',(0.,0.,1.)); #424338=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #424339=DIRECTION('',(0.,0.,1.)); #424340=DIRECTION('center_axis',(0.,-1.,0.)); #424341=DIRECTION('ref_axis',(1.,0.,0.)); #424342=DIRECTION('',(1.,0.,0.)); #424343=DIRECTION('',(1.,0.,0.)); #424344=DIRECTION('',(0.,0.,1.)); #424345=DIRECTION('center_axis',(0.,0.,1.)); #424346=DIRECTION('ref_axis',(0.23670604622663,-0.971581312953145,0.)); #424347=DIRECTION('center_axis',(0.,0.,1.)); #424348=DIRECTION('ref_axis',(0.23670604622663,-0.971581312953145,0.)); #424349=DIRECTION('center_axis',(0.,0.,1.)); #424350=DIRECTION('ref_axis',(0.23670604622663,-0.971581312953145,0.)); #424351=DIRECTION('center_axis',(0.,0.,1.)); #424352=DIRECTION('ref_axis',(1.,0.,0.)); #424353=DIRECTION('center_axis',(0.,0.,1.)); #424354=DIRECTION('ref_axis',(1.,0.,0.)); #424355=DIRECTION('center_axis',(0.,0.,1.)); #424356=DIRECTION('ref_axis',(1.,0.,0.)); #424357=DIRECTION('center_axis',(0.,0.,1.)); #424358=DIRECTION('ref_axis',(1.,0.,0.)); #424359=DIRECTION('',(0.,0.,1.)); #424360=DIRECTION('center_axis',(0.,0.,-1.)); #424361=DIRECTION('ref_axis',(1.,0.,0.)); #424362=DIRECTION('center_axis',(0.,0.,1.)); #424363=DIRECTION('ref_axis',(1.,0.,0.)); #424364=DIRECTION('center_axis',(0.,0.,1.)); #424365=DIRECTION('ref_axis',(1.,0.,0.)); #424366=DIRECTION('',(0.,0.,1.)); #424367=DIRECTION('center_axis',(0.,0.,-1.)); #424368=DIRECTION('ref_axis',(1.,0.,0.)); #424369=DIRECTION('center_axis',(0.156447762252298,-0.987686234431891,0.)); #424370=DIRECTION('ref_axis',(0.987686234431891,0.156447762252298,0.)); #424371=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #424372=DIRECTION('',(0.,0.,1.)); #424373=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #424374=DIRECTION('',(0.,0.,1.)); #424375=DIRECTION('center_axis',(0.,0.,1.)); #424376=DIRECTION('ref_axis',(-0.923881211222885,-0.382679379571639,0.)); #424377=DIRECTION('center_axis',(0.,0.,1.)); #424378=DIRECTION('ref_axis',(-0.923881211222885,-0.382679379571639,0.)); #424379=DIRECTION('center_axis',(0.,0.,1.)); #424380=DIRECTION('ref_axis',(-0.923881211222885,-0.382679379571639,0.)); #424381=DIRECTION('',(0.,0.,1.)); #424382=DIRECTION('center_axis',(0.,0.,1.)); #424383=DIRECTION('ref_axis',(0.887013571520802,0.461743352889796,0.)); #424384=DIRECTION('center_axis',(0.,0.,1.)); #424385=DIRECTION('ref_axis',(0.887013571520802,0.461743352889796,0.)); #424386=DIRECTION('center_axis',(0.,0.,1.)); #424387=DIRECTION('ref_axis',(0.887013571520802,0.461743352889796,0.)); #424388=DIRECTION('',(0.,0.,1.)); #424389=DIRECTION('center_axis',(0.,1.,0.)); #424390=DIRECTION('ref_axis',(-1.,0.,0.)); #424391=DIRECTION('',(-1.,0.,0.)); #424392=DIRECTION('',(-1.,0.,0.)); #424393=DIRECTION('',(0.,0.,1.)); #424394=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #424395=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #424396=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #424397=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #424398=DIRECTION('',(0.,0.,1.)); #424399=DIRECTION('center_axis',(0.,0.,1.)); #424400=DIRECTION('ref_axis',(0.382023508072098,0.924152606056103,0.)); #424401=DIRECTION('center_axis',(0.,0.,1.)); #424402=DIRECTION('ref_axis',(0.382023508072098,0.924152606056103,0.)); #424403=DIRECTION('center_axis',(0.,0.,1.)); #424404=DIRECTION('ref_axis',(0.382023508072098,0.924152606056103,0.)); #424405=DIRECTION('',(0.,0.,1.)); #424406=DIRECTION('center_axis',(0.,0.,1.)); #424407=DIRECTION('ref_axis',(-0.300711620456769,-0.953715115389425,0.)); #424408=DIRECTION('center_axis',(0.,0.,1.)); #424409=DIRECTION('ref_axis',(-0.300711620456769,-0.953715115389425,0.)); #424410=DIRECTION('center_axis',(0.,0.,1.)); #424411=DIRECTION('ref_axis',(-0.300711620456769,-0.953715115389425,0.)); #424412=DIRECTION('',(0.,0.,1.)); #424413=DIRECTION('center_axis',(0.707106781186546,-0.707106781186549,0.)); #424414=DIRECTION('ref_axis',(0.707106781186549,0.707106781186546,0.)); #424415=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #424416=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #424417=DIRECTION('',(0.,0.,1.)); #424418=DIRECTION('center_axis',(0.,0.,1.)); #424419=DIRECTION('ref_axis',(-5.90313861199006E-6,-0.999999999982576,0.)); #424420=DIRECTION('center_axis',(0.,0.,1.)); #424421=DIRECTION('ref_axis',(-5.90313861199006E-6,-0.999999999982576,0.)); #424422=DIRECTION('center_axis',(0.,0.,1.)); #424423=DIRECTION('ref_axis',(-5.90313861199006E-6,-0.999999999982576,0.)); #424424=DIRECTION('',(0.,0.,1.)); #424425=DIRECTION('center_axis',(0.,-1.,0.)); #424426=DIRECTION('ref_axis',(1.,0.,0.)); #424427=DIRECTION('',(1.,0.,0.)); #424428=DIRECTION('',(1.,0.,0.)); #424429=DIRECTION('',(0.,0.,1.)); #424430=DIRECTION('center_axis',(0.,0.,1.)); #424431=DIRECTION('ref_axis',(0.236706046226645,-0.971581312953141,0.)); #424432=DIRECTION('center_axis',(0.,0.,1.)); #424433=DIRECTION('ref_axis',(0.236706046226645,-0.971581312953141,0.)); #424434=DIRECTION('center_axis',(0.,0.,1.)); #424435=DIRECTION('ref_axis',(0.236706046226645,-0.971581312953141,0.)); #424436=DIRECTION('center_axis',(0.,0.,1.)); #424437=DIRECTION('ref_axis',(1.,0.,0.)); #424438=DIRECTION('center_axis',(0.,0.,1.)); #424439=DIRECTION('ref_axis',(1.,0.,0.)); #424440=DIRECTION('center_axis',(0.,0.,1.)); #424441=DIRECTION('ref_axis',(1.,0.,0.)); #424442=DIRECTION('center_axis',(0.,0.,1.)); #424443=DIRECTION('ref_axis',(1.,0.,0.)); #424444=DIRECTION('',(0.,0.,1.)); #424445=DIRECTION('center_axis',(0.,0.,-1.)); #424446=DIRECTION('ref_axis',(1.,0.,0.)); #424447=DIRECTION('center_axis',(1.,0.,0.)); #424448=DIRECTION('ref_axis',(0.,1.,0.)); #424449=DIRECTION('',(0.,1.,0.)); #424450=DIRECTION('',(0.,0.,1.)); #424451=DIRECTION('',(0.,1.,0.)); #424452=DIRECTION('',(0.,0.,1.)); #424453=DIRECTION('center_axis',(0.,-1.,0.)); #424454=DIRECTION('ref_axis',(1.,0.,0.)); #424455=DIRECTION('',(1.,0.,0.)); #424456=DIRECTION('',(1.,0.,0.)); #424457=DIRECTION('',(0.,0.,1.)); #424458=DIRECTION('center_axis',(-1.,0.,0.)); #424459=DIRECTION('ref_axis',(0.,-1.,0.)); #424460=DIRECTION('',(0.,-1.,0.)); #424461=DIRECTION('',(0.,-1.,0.)); #424462=DIRECTION('',(0.,0.,1.)); #424463=DIRECTION('center_axis',(0.,1.,0.)); #424464=DIRECTION('ref_axis',(-1.,0.,0.)); #424465=DIRECTION('',(-1.,0.,0.)); #424466=DIRECTION('',(-1.,0.,0.)); #424467=DIRECTION('',(0.,0.,1.)); #424468=DIRECTION('center_axis',(-1.,0.,0.)); #424469=DIRECTION('ref_axis',(0.,-1.,0.)); #424470=DIRECTION('',(0.,-1.,0.)); #424471=DIRECTION('',(0.,-1.,0.)); #424472=DIRECTION('',(0.,0.,1.)); #424473=DIRECTION('center_axis',(0.,0.,1.)); #424474=DIRECTION('ref_axis',(-0.707111226576164,0.707102335768984,0.)); #424475=DIRECTION('center_axis',(0.,0.,1.)); #424476=DIRECTION('ref_axis',(-0.707111226576164,0.707102335768984,0.)); #424477=DIRECTION('center_axis',(0.,0.,1.)); #424478=DIRECTION('ref_axis',(-0.707111226576164,0.707102335768984,0.)); #424479=DIRECTION('',(0.,0.,1.)); #424480=DIRECTION('center_axis',(-0.707106781186549,0.707106781186546,0.)); #424481=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,0.)); #424482=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #424483=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #424484=DIRECTION('',(0.,0.,1.)); #424485=DIRECTION('center_axis',(0.,0.,1.)); #424486=DIRECTION('ref_axis',(0.0464738032989275,0.998919509073145,0.)); #424487=DIRECTION('center_axis',(0.,0.,1.)); #424488=DIRECTION('ref_axis',(0.0464738032989275,0.998919509073145,0.)); #424489=DIRECTION('center_axis',(0.,0.,1.)); #424490=DIRECTION('ref_axis',(0.0464738032989275,0.998919509073145,0.)); #424491=DIRECTION('',(0.,0.,1.)); #424492=DIRECTION('center_axis',(0.,0.,1.)); #424493=DIRECTION('ref_axis',(0.88698245137652,0.461803130078282,0.)); #424494=DIRECTION('center_axis',(0.,0.,1.)); #424495=DIRECTION('ref_axis',(0.88698245137652,0.461803130078282,0.)); #424496=DIRECTION('center_axis',(0.,0.,1.)); #424497=DIRECTION('ref_axis',(0.88698245137652,0.461803130078282,0.)); #424498=DIRECTION('',(0.,0.,1.)); #424499=DIRECTION('center_axis',(0.,0.,1.)); #424500=DIRECTION('ref_axis',(0.708013503471206,0.706198894719064,0.)); #424501=DIRECTION('center_axis',(0.,0.,1.)); #424502=DIRECTION('ref_axis',(0.708013503471206,0.706198894719064,0.)); #424503=DIRECTION('center_axis',(0.,0.,1.)); #424504=DIRECTION('ref_axis',(0.708013503471206,0.706198894719064,0.)); #424505=DIRECTION('',(0.,0.,1.)); #424506=DIRECTION('center_axis',(0.707106781186543,0.707106781186552,0.)); #424507=DIRECTION('ref_axis',(-0.707106781186552,0.707106781186543,0.)); #424508=DIRECTION('',(-0.707106781186552,0.707106781186543,0.)); #424509=DIRECTION('',(-0.707106781186552,0.707106781186543,0.)); #424510=DIRECTION('',(0.,0.,1.)); #424511=DIRECTION('center_axis',(0.,0.,1.)); #424512=DIRECTION('ref_axis',(0.999999999980175,-6.29683254236361E-6,0.)); #424513=DIRECTION('center_axis',(0.,0.,1.)); #424514=DIRECTION('ref_axis',(0.999999999980175,-6.29683254236361E-6,0.)); #424515=DIRECTION('center_axis',(0.,0.,1.)); #424516=DIRECTION('ref_axis',(0.999999999980175,-6.29683254236361E-6,0.)); #424517=DIRECTION('',(0.,0.,1.)); #424518=DIRECTION('center_axis',(1.,0.,0.)); #424519=DIRECTION('ref_axis',(0.,1.,0.)); #424520=DIRECTION('',(0.,1.,0.)); #424521=DIRECTION('',(0.,1.,0.)); #424522=DIRECTION('',(0.,0.,1.)); #424523=DIRECTION('center_axis',(0.,1.,0.)); #424524=DIRECTION('ref_axis',(-1.,0.,0.)); #424525=DIRECTION('',(-1.,0.,0.)); #424526=DIRECTION('',(-1.,0.,0.)); #424527=DIRECTION('',(0.,0.,1.)); #424528=DIRECTION('center_axis',(1.,0.,0.)); #424529=DIRECTION('ref_axis',(0.,1.,0.)); #424530=DIRECTION('',(0.,1.,0.)); #424531=DIRECTION('',(0.,1.,0.)); #424532=DIRECTION('',(0.,0.,1.)); #424533=DIRECTION('center_axis',(0.,-1.,0.)); #424534=DIRECTION('ref_axis',(1.,0.,0.)); #424535=DIRECTION('',(1.,0.,0.)); #424536=DIRECTION('',(1.,0.,0.)); #424537=DIRECTION('',(0.,0.,1.)); #424538=DIRECTION('center_axis',(-1.,0.,0.)); #424539=DIRECTION('ref_axis',(0.,-1.,0.)); #424540=DIRECTION('',(0.,-1.,0.)); #424541=DIRECTION('',(0.,-1.,0.)); #424542=DIRECTION('',(0.,0.,1.)); #424543=DIRECTION('center_axis',(0.,1.,0.)); #424544=DIRECTION('ref_axis',(-1.,0.,0.)); #424545=DIRECTION('',(-1.,0.,0.)); #424546=DIRECTION('',(-1.,0.,0.)); #424547=DIRECTION('',(0.,0.,1.)); #424548=DIRECTION('center_axis',(-1.,0.,0.)); #424549=DIRECTION('ref_axis',(0.,-1.,0.)); #424550=DIRECTION('',(0.,-1.,0.)); #424551=DIRECTION('',(0.,-1.,0.)); #424552=DIRECTION('',(0.,0.,1.)); #424553=DIRECTION('center_axis',(-0.707106781186556,-0.707106781186539, 0.)); #424554=DIRECTION('ref_axis',(0.707106781186539,-0.707106781186556,0.)); #424555=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #424556=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #424557=DIRECTION('',(0.,0.,1.)); #424558=DIRECTION('center_axis',(0.,0.,1.)); #424559=DIRECTION('ref_axis',(-0.82338374726966,-0.567484981944168,0.)); #424560=DIRECTION('center_axis',(0.,0.,1.)); #424561=DIRECTION('ref_axis',(-0.82338374726966,-0.567484981944168,0.)); #424562=DIRECTION('center_axis',(0.,0.,1.)); #424563=DIRECTION('ref_axis',(-0.82338374726966,-0.567484981944168,0.)); #424564=DIRECTION('',(0.,0.,1.)); #424565=DIRECTION('center_axis',(0.707106781186556,-0.707106781186539,0.)); #424566=DIRECTION('ref_axis',(0.707106781186539,0.707106781186556,0.)); #424567=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #424568=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #424569=DIRECTION('',(0.,0.,1.)); #424570=DIRECTION('center_axis',(1.,0.,0.)); #424571=DIRECTION('ref_axis',(0.,1.,0.)); #424572=DIRECTION('',(0.,1.,0.)); #424573=DIRECTION('',(0.,1.,0.)); #424574=DIRECTION('',(0.,0.,1.)); #424575=DIRECTION('center_axis',(0.,1.,0.)); #424576=DIRECTION('ref_axis',(-1.,0.,0.)); #424577=DIRECTION('',(-1.,0.,0.)); #424578=DIRECTION('',(-1.,0.,0.)); #424579=DIRECTION('center_axis',(0.,0.,1.)); #424580=DIRECTION('ref_axis',(1.,0.,0.)); #424581=DIRECTION('center_axis',(0.,0.,1.)); #424582=DIRECTION('ref_axis',(1.,0.,0.)); #424583=DIRECTION('center_axis',(0.,0.,1.)); #424584=DIRECTION('ref_axis',(1.,0.,0.)); #424585=DIRECTION('center_axis',(0.,0.,1.)); #424586=DIRECTION('ref_axis',(1.,0.,0.)); #424587=DIRECTION('',(0.,0.,1.)); #424588=DIRECTION('center_axis',(0.,0.,-1.)); #424589=DIRECTION('ref_axis',(1.,0.,0.)); #424590=DIRECTION('center_axis',(0.156445508580651,-0.98768659140688,0.)); #424591=DIRECTION('ref_axis',(0.98768659140688,0.156445508580651,0.)); #424592=DIRECTION('',(0.98768659140688,0.156445508580651,0.)); #424593=DIRECTION('',(0.,0.,1.)); #424594=DIRECTION('',(0.98768659140688,0.156445508580651,0.)); #424595=DIRECTION('',(0.,0.,1.)); #424596=DIRECTION('center_axis',(0.,0.,1.)); #424597=DIRECTION('ref_axis',(-0.888392755758034,-0.459084209613711,0.)); #424598=DIRECTION('center_axis',(0.,0.,1.)); #424599=DIRECTION('ref_axis',(-0.888392755758034,-0.459084209613711,0.)); #424600=DIRECTION('center_axis',(0.,0.,1.)); #424601=DIRECTION('ref_axis',(-0.888392755758034,-0.459084209613711,0.)); #424602=DIRECTION('',(0.,0.,1.)); #424603=DIRECTION('center_axis',(0.,0.,1.)); #424604=DIRECTION('ref_axis',(-0.705895522367135,-0.708315968690548,0.)); #424605=DIRECTION('center_axis',(0.,0.,1.)); #424606=DIRECTION('ref_axis',(-0.705895522367135,-0.708315968690548,0.)); #424607=DIRECTION('center_axis',(0.,0.,1.)); #424608=DIRECTION('ref_axis',(-0.705895522367135,-0.708315968690548,0.)); #424609=DIRECTION('',(0.,0.,1.)); #424610=DIRECTION('center_axis',(-0.707106781186543,-0.707106781186552, 0.)); #424611=DIRECTION('ref_axis',(0.707106781186552,-0.707106781186543,0.)); #424612=DIRECTION('',(0.707106781186552,-0.707106781186543,0.)); #424613=DIRECTION('',(0.707106781186552,-0.707106781186543,0.)); #424614=DIRECTION('',(0.,0.,1.)); #424615=DIRECTION('center_axis',(0.,0.,1.)); #424616=DIRECTION('ref_axis',(-0.999999999980175,6.29683249865397E-6,0.)); #424617=DIRECTION('center_axis',(0.,0.,1.)); #424618=DIRECTION('ref_axis',(-0.999999999980175,6.29683249865397E-6,0.)); #424619=DIRECTION('center_axis',(0.,0.,1.)); #424620=DIRECTION('ref_axis',(-0.999999999980175,6.29683249865397E-6,0.)); #424621=DIRECTION('',(0.,0.,1.)); #424622=DIRECTION('center_axis',(-1.,0.,0.)); #424623=DIRECTION('ref_axis',(0.,-1.,0.)); #424624=DIRECTION('',(0.,-1.,0.)); #424625=DIRECTION('',(0.,-1.,0.)); #424626=DIRECTION('',(0.,0.,1.)); #424627=DIRECTION('center_axis',(0.,-1.,0.)); #424628=DIRECTION('ref_axis',(1.,0.,0.)); #424629=DIRECTION('',(1.,0.,0.)); #424630=DIRECTION('',(1.,0.,0.)); #424631=DIRECTION('',(0.,0.,1.)); #424632=DIRECTION('center_axis',(-1.,0.,0.)); #424633=DIRECTION('ref_axis',(0.,-1.,0.)); #424634=DIRECTION('',(0.,-1.,0.)); #424635=DIRECTION('',(0.,-1.,0.)); #424636=DIRECTION('',(0.,0.,1.)); #424637=DIRECTION('center_axis',(0.,1.,0.)); #424638=DIRECTION('ref_axis',(-1.,0.,0.)); #424639=DIRECTION('',(-1.,0.,0.)); #424640=DIRECTION('',(-1.,0.,0.)); #424641=DIRECTION('',(0.,0.,1.)); #424642=DIRECTION('center_axis',(1.,0.,0.)); #424643=DIRECTION('ref_axis',(0.,1.,0.)); #424644=DIRECTION('',(0.,1.,0.)); #424645=DIRECTION('',(0.,1.,0.)); #424646=DIRECTION('',(0.,0.,1.)); #424647=DIRECTION('center_axis',(0.,-1.,0.)); #424648=DIRECTION('ref_axis',(1.,0.,0.)); #424649=DIRECTION('',(1.,0.,0.)); #424650=DIRECTION('',(1.,0.,0.)); #424651=DIRECTION('',(0.,0.,1.)); #424652=DIRECTION('center_axis',(1.,0.,0.)); #424653=DIRECTION('ref_axis',(0.,1.,0.)); #424654=DIRECTION('',(0.,1.,0.)); #424655=DIRECTION('',(0.,1.,0.)); #424656=DIRECTION('',(0.,0.,1.)); #424657=DIRECTION('center_axis',(0.707107962751215,0.707105599619905,0.)); #424658=DIRECTION('ref_axis',(-0.707105599619905,0.707107962751215,0.)); #424659=DIRECTION('',(-0.707105599619905,0.707107962751215,0.)); #424660=DIRECTION('',(-0.707105599619905,0.707107962751215,0.)); #424661=DIRECTION('',(0.,0.,1.)); #424662=DIRECTION('center_axis',(0.,0.,1.)); #424663=DIRECTION('ref_axis',(0.812301371527751,0.583237928991363,0.)); #424664=DIRECTION('center_axis',(0.,0.,1.)); #424665=DIRECTION('ref_axis',(0.812301371527751,0.583237928991363,0.)); #424666=DIRECTION('center_axis',(0.,0.,1.)); #424667=DIRECTION('ref_axis',(0.812301371527751,0.583237928991363,0.)); #424668=DIRECTION('',(0.,0.,1.)); #424669=DIRECTION('center_axis',(-0.707107962751205,0.707105599619916,0.)); #424670=DIRECTION('ref_axis',(-0.707105599619916,-0.707107962751205,0.)); #424671=DIRECTION('',(-0.707105599619916,-0.707107962751205,0.)); #424672=DIRECTION('',(-0.707105599619916,-0.707107962751205,0.)); #424673=DIRECTION('',(0.,0.,1.)); #424674=DIRECTION('center_axis',(-1.,0.,0.)); #424675=DIRECTION('ref_axis',(0.,-1.,0.)); #424676=DIRECTION('',(0.,-1.,0.)); #424677=DIRECTION('',(0.,-1.,0.)); #424678=DIRECTION('',(0.,0.,1.)); #424679=DIRECTION('center_axis',(0.,-1.,0.)); #424680=DIRECTION('ref_axis',(1.,0.,0.)); #424681=DIRECTION('',(1.,0.,0.)); #424682=DIRECTION('',(1.,0.,0.)); #424683=DIRECTION('',(0.,0.,1.)); #424684=DIRECTION('center_axis',(-1.,0.,0.)); #424685=DIRECTION('ref_axis',(0.,-1.,0.)); #424686=DIRECTION('',(0.,-1.,0.)); #424687=DIRECTION('',(0.,-1.,0.)); #424688=DIRECTION('',(0.,0.,1.)); #424689=DIRECTION('center_axis',(0.,1.,0.)); #424690=DIRECTION('ref_axis',(-1.,0.,0.)); #424691=DIRECTION('',(-1.,0.,0.)); #424692=DIRECTION('',(-1.,0.,0.)); #424693=DIRECTION('',(0.,0.,1.)); #424694=DIRECTION('center_axis',(1.,0.,0.)); #424695=DIRECTION('ref_axis',(0.,1.,0.)); #424696=DIRECTION('',(0.,1.,0.)); #424697=DIRECTION('',(0.,1.,0.)); #424698=DIRECTION('',(0.,0.,1.)); #424699=DIRECTION('center_axis',(0.,-1.,0.)); #424700=DIRECTION('ref_axis',(1.,0.,0.)); #424701=DIRECTION('',(1.,0.,0.)); #424702=DIRECTION('',(1.,0.,0.)); #424703=DIRECTION('',(0.,0.,1.)); #424704=DIRECTION('center_axis',(1.,0.,0.)); #424705=DIRECTION('ref_axis',(0.,1.,0.)); #424706=DIRECTION('',(0.,1.,0.)); #424707=DIRECTION('',(0.,1.,0.)); #424708=DIRECTION('',(0.,0.,1.)); #424709=DIRECTION('center_axis',(0.,0.,1.)); #424710=DIRECTION('ref_axis',(0.707111226576177,-0.70710233576897,0.)); #424711=DIRECTION('center_axis',(0.,0.,1.)); #424712=DIRECTION('ref_axis',(0.707111226576177,-0.70710233576897,0.)); #424713=DIRECTION('center_axis',(0.,0.,1.)); #424714=DIRECTION('ref_axis',(0.707111226576177,-0.70710233576897,0.)); #424715=DIRECTION('',(0.,0.,1.)); #424716=DIRECTION('center_axis',(0.707106781186543,-0.707106781186552,0.)); #424717=DIRECTION('ref_axis',(0.707106781186552,0.707106781186543,0.)); #424718=DIRECTION('',(0.707106781186552,0.707106781186543,0.)); #424719=DIRECTION('',(0.707106781186552,0.707106781186543,0.)); #424720=DIRECTION('',(0.,0.,1.)); #424721=DIRECTION('center_axis',(0.,0.,1.)); #424722=DIRECTION('ref_axis',(0.00966754748250974,-0.999953268170905,0.)); #424723=DIRECTION('center_axis',(0.,0.,1.)); #424724=DIRECTION('ref_axis',(0.00966754748250974,-0.999953268170905,0.)); #424725=DIRECTION('center_axis',(0.,0.,1.)); #424726=DIRECTION('ref_axis',(0.00966754748250974,-0.999953268170905,0.)); #424727=DIRECTION('',(0.,0.,1.)); #424728=DIRECTION('center_axis',(0.,0.,1.)); #424729=DIRECTION('ref_axis',(0.236704569958279,-0.971581672614745,0.)); #424730=DIRECTION('center_axis',(0.,0.,1.)); #424731=DIRECTION('ref_axis',(0.236704569958279,-0.971581672614745,0.)); #424732=DIRECTION('center_axis',(0.,0.,1.)); #424733=DIRECTION('ref_axis',(0.236704569958279,-0.971581672614745,0.)); #424734=DIRECTION('center_axis',(0.,0.,1.)); #424735=DIRECTION('ref_axis',(1.,0.,0.)); #424736=DIRECTION('center_axis',(0.,0.,1.)); #424737=DIRECTION('ref_axis',(1.,0.,0.)); #424738=DIRECTION('center_axis',(0.,0.,1.)); #424739=DIRECTION('ref_axis',(1.,0.,0.)); #424740=DIRECTION('center_axis',(0.,0.,1.)); #424741=DIRECTION('ref_axis',(1.,0.,0.)); #424742=DIRECTION('',(0.,0.,1.)); #424743=DIRECTION('center_axis',(0.,0.,-1.)); #424744=DIRECTION('ref_axis',(1.,0.,0.)); #424745=DIRECTION('center_axis',(0.,0.,1.)); #424746=DIRECTION('ref_axis',(1.,0.,0.)); #424747=DIRECTION('center_axis',(0.,0.,1.)); #424748=DIRECTION('ref_axis',(1.,0.,0.)); #424749=DIRECTION('',(0.,0.,1.)); #424750=DIRECTION('center_axis',(0.,0.,-1.)); #424751=DIRECTION('ref_axis',(1.,0.,0.)); #424752=DIRECTION('center_axis',(0.11097690011101,-0.993822986070332,0.)); #424753=DIRECTION('ref_axis',(0.993822986070332,0.11097690011101,0.)); #424754=DIRECTION('',(0.993822986070332,0.11097690011101,0.)); #424755=DIRECTION('',(0.,0.,1.)); #424756=DIRECTION('',(0.993822986070332,0.11097690011101,0.)); #424757=DIRECTION('',(0.,0.,1.)); #424758=DIRECTION('center_axis',(0.,-1.,0.)); #424759=DIRECTION('ref_axis',(1.,0.,0.)); #424760=DIRECTION('',(1.,0.,0.)); #424761=DIRECTION('',(1.,0.,0.)); #424762=DIRECTION('',(0.,0.,1.)); #424763=DIRECTION('center_axis',(0.,0.,1.)); #424764=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #424765=DIRECTION('center_axis',(0.,0.,1.)); #424766=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #424767=DIRECTION('center_axis',(0.,0.,1.)); #424768=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #424769=DIRECTION('',(0.,0.,1.)); #424770=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #424771=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #424772=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #424773=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #424774=DIRECTION('',(0.,0.,1.)); #424775=DIRECTION('center_axis',(0.,-1.,0.)); #424776=DIRECTION('ref_axis',(1.,0.,0.)); #424777=DIRECTION('',(1.,0.,0.)); #424778=DIRECTION('',(1.,0.,0.)); #424779=DIRECTION('',(0.,0.,1.)); #424780=DIRECTION('center_axis',(0.,0.,1.)); #424781=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #424782=DIRECTION('center_axis',(0.,0.,1.)); #424783=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #424784=DIRECTION('center_axis',(0.,0.,1.)); #424785=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #424786=DIRECTION('',(0.,0.,1.)); #424787=DIRECTION('center_axis',(0.,0.,1.)); #424788=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #424789=DIRECTION('center_axis',(0.,0.,1.)); #424790=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #424791=DIRECTION('center_axis',(0.,0.,1.)); #424792=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #424793=DIRECTION('',(0.,0.,1.)); #424794=DIRECTION('center_axis',(0.,1.,0.)); #424795=DIRECTION('ref_axis',(-1.,0.,0.)); #424796=DIRECTION('',(-1.,0.,0.)); #424797=DIRECTION('',(-1.,0.,0.)); #424798=DIRECTION('',(0.,0.,1.)); #424799=DIRECTION('center_axis',(0.,0.,1.)); #424800=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #424801=DIRECTION('center_axis',(0.,0.,1.)); #424802=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #424803=DIRECTION('center_axis',(0.,0.,1.)); #424804=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #424805=DIRECTION('',(0.,0.,1.)); #424806=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #424807=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #424808=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #424809=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #424810=DIRECTION('',(0.,0.,1.)); #424811=DIRECTION('center_axis',(0.,1.,0.)); #424812=DIRECTION('ref_axis',(-1.,0.,0.)); #424813=DIRECTION('',(-1.,0.,0.)); #424814=DIRECTION('',(-1.,0.,0.)); #424815=DIRECTION('',(0.,0.,1.)); #424816=DIRECTION('center_axis',(-0.707106781186562,0.707106781186533,0.)); #424817=DIRECTION('ref_axis',(-0.707106781186533,-0.707106781186562,0.)); #424818=DIRECTION('',(-0.707106781186533,-0.707106781186562,0.)); #424819=DIRECTION('',(-0.707106781186533,-0.707106781186562,0.)); #424820=DIRECTION('',(0.,0.,1.)); #424821=DIRECTION('center_axis',(0.,0.,1.)); #424822=DIRECTION('ref_axis',(5.90313868192479E-6,0.999999999982576,0.)); #424823=DIRECTION('center_axis',(0.,0.,1.)); #424824=DIRECTION('ref_axis',(5.90313868192479E-6,0.999999999982576,0.)); #424825=DIRECTION('center_axis',(0.,0.,1.)); #424826=DIRECTION('ref_axis',(5.90313868192479E-6,0.999999999982576,0.)); #424827=DIRECTION('',(0.,0.,1.)); #424828=DIRECTION('center_axis',(0.,1.,0.)); #424829=DIRECTION('ref_axis',(-1.,0.,0.)); #424830=DIRECTION('',(-1.,0.,0.)); #424831=DIRECTION('',(-1.,0.,0.)); #424832=DIRECTION('',(0.,0.,1.)); #424833=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,0.)); #424834=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,0.)); #424835=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #424836=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #424837=DIRECTION('',(0.,0.,1.)); #424838=DIRECTION('center_axis',(-1.,0.,0.)); #424839=DIRECTION('ref_axis',(0.,-1.,0.)); #424840=DIRECTION('',(0.,-1.,0.)); #424841=DIRECTION('',(0.,-1.,0.)); #424842=DIRECTION('',(0.,0.,1.)); #424843=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #424844=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #424845=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #424846=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #424847=DIRECTION('',(0.,0.,1.)); #424848=DIRECTION('center_axis',(0.,-1.,0.)); #424849=DIRECTION('ref_axis',(1.,0.,0.)); #424850=DIRECTION('',(1.,0.,0.)); #424851=DIRECTION('',(1.,0.,0.)); #424852=DIRECTION('',(0.,0.,1.)); #424853=DIRECTION('center_axis',(0.,0.,1.)); #424854=DIRECTION('ref_axis',(-0.707102614154249,-0.70711094819429,0.)); #424855=DIRECTION('center_axis',(0.,0.,1.)); #424856=DIRECTION('ref_axis',(-0.707102614154249,-0.70711094819429,0.)); #424857=DIRECTION('center_axis',(0.,0.,1.)); #424858=DIRECTION('ref_axis',(-0.707102614154249,-0.70711094819429,0.)); #424859=DIRECTION('',(0.,0.,1.)); #424860=DIRECTION('center_axis',(-0.70710678118655,-0.707106781186545,0.)); #424861=DIRECTION('ref_axis',(0.707106781186545,-0.70710678118655,0.)); #424862=DIRECTION('',(0.707106781186545,-0.70710678118655,0.)); #424863=DIRECTION('',(0.707106781186545,-0.70710678118655,0.)); #424864=DIRECTION('',(0.,0.,1.)); #424865=DIRECTION('center_axis',(0.,-1.,0.)); #424866=DIRECTION('ref_axis',(1.,0.,0.)); #424867=DIRECTION('',(1.,0.,0.)); #424868=DIRECTION('',(1.,0.,0.)); #424869=DIRECTION('',(0.,0.,1.)); #424870=DIRECTION('center_axis',(0.,0.,1.)); #424871=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #424872=DIRECTION('center_axis',(0.,0.,1.)); #424873=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #424874=DIRECTION('center_axis',(0.,0.,1.)); #424875=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #424876=DIRECTION('',(0.,0.,1.)); #424877=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #424878=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #424879=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #424880=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #424881=DIRECTION('',(0.,0.,1.)); #424882=DIRECTION('center_axis',(0.,0.,1.)); #424883=DIRECTION('ref_axis',(-0.999999999982576,5.90313861198996E-6,0.)); #424884=DIRECTION('center_axis',(0.,0.,1.)); #424885=DIRECTION('ref_axis',(-0.999999999982576,5.90313861198996E-6,0.)); #424886=DIRECTION('center_axis',(0.,0.,1.)); #424887=DIRECTION('ref_axis',(-0.999999999982576,5.90313861198996E-6,0.)); #424888=DIRECTION('',(0.,0.,1.)); #424889=DIRECTION('center_axis',(-1.,0.,0.)); #424890=DIRECTION('ref_axis',(0.,-1.,0.)); #424891=DIRECTION('',(0.,-1.,0.)); #424892=DIRECTION('',(0.,-1.,0.)); #424893=DIRECTION('',(0.,0.,1.)); #424894=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #424895=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #424896=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #424897=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #424898=DIRECTION('',(0.,0.,1.)); #424899=DIRECTION('center_axis',(0.,-1.,0.)); #424900=DIRECTION('ref_axis',(1.,0.,0.)); #424901=DIRECTION('',(1.,0.,0.)); #424902=DIRECTION('',(1.,0.,0.)); #424903=DIRECTION('',(0.,0.,1.)); #424904=DIRECTION('center_axis',(0.,0.,1.)); #424905=DIRECTION('ref_axis',(-0.707102614154283,-0.707110948194255,0.)); #424906=DIRECTION('center_axis',(0.,0.,1.)); #424907=DIRECTION('ref_axis',(-0.707102614154283,-0.707110948194255,0.)); #424908=DIRECTION('center_axis',(0.,0.,1.)); #424909=DIRECTION('ref_axis',(-0.707102614154283,-0.707110948194255,0.)); #424910=DIRECTION('',(0.,0.,1.)); #424911=DIRECTION('center_axis',(-0.707106781186546,-0.707106781186549, 0.)); #424912=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #424913=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #424914=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #424915=DIRECTION('',(0.,0.,1.)); #424916=DIRECTION('center_axis',(0.,0.,1.)); #424917=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192469E-6,0.)); #424918=DIRECTION('center_axis',(0.,0.,1.)); #424919=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192469E-6,0.)); #424920=DIRECTION('center_axis',(0.,0.,1.)); #424921=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192469E-6,0.)); #424922=DIRECTION('',(0.,0.,1.)); #424923=DIRECTION('center_axis',(-1.,0.,0.)); #424924=DIRECTION('ref_axis',(0.,-1.,0.)); #424925=DIRECTION('',(0.,-1.,0.)); #424926=DIRECTION('',(0.,-1.,0.)); #424927=DIRECTION('',(0.,0.,1.)); #424928=DIRECTION('center_axis',(0.,0.,1.)); #424929=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154248,0.)); #424930=DIRECTION('center_axis',(0.,0.,1.)); #424931=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154248,0.)); #424932=DIRECTION('center_axis',(0.,0.,1.)); #424933=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154248,0.)); #424934=DIRECTION('',(0.,0.,1.)); #424935=DIRECTION('center_axis',(-0.707106781186538,0.707106781186557,0.)); #424936=DIRECTION('ref_axis',(-0.707106781186557,-0.707106781186538,0.)); #424937=DIRECTION('',(-0.707106781186557,-0.707106781186538,0.)); #424938=DIRECTION('',(-0.707106781186557,-0.707106781186538,0.)); #424939=DIRECTION('',(0.,0.,1.)); #424940=DIRECTION('center_axis',(-1.,0.,0.)); #424941=DIRECTION('ref_axis',(0.,-1.,0.)); #424942=DIRECTION('',(0.,-1.,0.)); #424943=DIRECTION('',(0.,-1.,0.)); #424944=DIRECTION('',(0.,0.,1.)); #424945=DIRECTION('center_axis',(0.,0.,1.)); #424946=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #424947=DIRECTION('center_axis',(0.,0.,1.)); #424948=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #424949=DIRECTION('center_axis',(0.,0.,1.)); #424950=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #424951=DIRECTION('',(0.,0.,1.)); #424952=DIRECTION('center_axis',(0.,0.,1.)); #424953=DIRECTION('ref_axis',(0.46174335288979,-0.887013571520806,0.)); #424954=DIRECTION('center_axis',(0.,0.,1.)); #424955=DIRECTION('ref_axis',(0.46174335288979,-0.887013571520806,0.)); #424956=DIRECTION('center_axis',(0.,0.,1.)); #424957=DIRECTION('ref_axis',(0.46174335288979,-0.887013571520806,0.)); #424958=DIRECTION('',(0.,0.,1.)); #424959=DIRECTION('center_axis',(1.,0.,0.)); #424960=DIRECTION('ref_axis',(0.,1.,0.)); #424961=DIRECTION('',(0.,1.,0.)); #424962=DIRECTION('',(0.,1.,0.)); #424963=DIRECTION('',(0.,0.,1.)); #424964=DIRECTION('center_axis',(0.,0.,1.)); #424965=DIRECTION('ref_axis',(0.707110948194308,-0.707102614154231,0.)); #424966=DIRECTION('center_axis',(0.,0.,1.)); #424967=DIRECTION('ref_axis',(0.707110948194308,-0.707102614154231,0.)); #424968=DIRECTION('center_axis',(0.,0.,1.)); #424969=DIRECTION('ref_axis',(0.707110948194308,-0.707102614154231,0.)); #424970=DIRECTION('',(0.,0.,1.)); #424971=DIRECTION('center_axis',(0.707106781186538,-0.707106781186557,0.)); #424972=DIRECTION('ref_axis',(0.707106781186557,0.707106781186538,0.)); #424973=DIRECTION('',(0.707106781186557,0.707106781186538,0.)); #424974=DIRECTION('',(0.707106781186557,0.707106781186538,0.)); #424975=DIRECTION('',(0.,0.,1.)); #424976=DIRECTION('center_axis',(1.,0.,0.)); #424977=DIRECTION('ref_axis',(0.,1.,0.)); #424978=DIRECTION('',(0.,1.,0.)); #424979=DIRECTION('',(0.,1.,0.)); #424980=DIRECTION('',(0.,0.,1.)); #424981=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,0.)); #424982=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.)); #424983=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #424984=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #424985=DIRECTION('',(0.,0.,1.)); #424986=DIRECTION('center_axis',(0.,1.,0.)); #424987=DIRECTION('ref_axis',(-1.,0.,0.)); #424988=DIRECTION('',(-1.,0.,0.)); #424989=DIRECTION('',(-1.,0.,0.)); #424990=DIRECTION('',(0.,0.,1.)); #424991=DIRECTION('center_axis',(0.,0.,1.)); #424992=DIRECTION('ref_axis',(0.707102614154249,0.70711094819429,0.)); #424993=DIRECTION('center_axis',(0.,0.,1.)); #424994=DIRECTION('ref_axis',(0.707102614154249,0.70711094819429,0.)); #424995=DIRECTION('center_axis',(0.,0.,1.)); #424996=DIRECTION('ref_axis',(0.707102614154249,0.70711094819429,0.)); #424997=DIRECTION('',(0.,0.,1.)); #424998=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #424999=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #425000=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #425001=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #425002=DIRECTION('',(0.,0.,1.)); #425003=DIRECTION('center_axis',(0.,0.,1.)); #425004=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192593E-6,0.)); #425005=DIRECTION('center_axis',(0.,0.,1.)); #425006=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192593E-6,0.)); #425007=DIRECTION('center_axis',(0.,0.,1.)); #425008=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192593E-6,0.)); #425009=DIRECTION('',(0.,0.,1.)); #425010=DIRECTION('center_axis',(1.,0.,0.)); #425011=DIRECTION('ref_axis',(0.,1.,0.)); #425012=DIRECTION('',(0.,1.,0.)); #425013=DIRECTION('',(0.,1.,0.)); #425014=DIRECTION('',(0.,0.,1.)); #425015=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #425016=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #425017=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #425018=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #425019=DIRECTION('',(0.,0.,1.)); #425020=DIRECTION('center_axis',(0.,1.,0.)); #425021=DIRECTION('ref_axis',(-1.,0.,0.)); #425022=DIRECTION('',(-1.,0.,0.)); #425023=DIRECTION('',(-1.,0.,0.)); #425024=DIRECTION('',(0.,0.,1.)); #425025=DIRECTION('center_axis',(0.,0.,1.)); #425026=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #425027=DIRECTION('center_axis',(0.,0.,1.)); #425028=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #425029=DIRECTION('center_axis',(0.,0.,1.)); #425030=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #425031=DIRECTION('',(0.,0.,1.)); #425032=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #425033=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #425034=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #425035=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #425036=DIRECTION('',(0.,0.,1.)); #425037=DIRECTION('center_axis',(0.,1.,0.)); #425038=DIRECTION('ref_axis',(-1.,0.,0.)); #425039=DIRECTION('',(-1.,0.,0.)); #425040=DIRECTION('',(-1.,0.,0.)); #425041=DIRECTION('',(0.,0.,1.)); #425042=DIRECTION('center_axis',(0.,0.,1.)); #425043=DIRECTION('ref_axis',(0.707099830320368,0.7071137319844,0.)); #425044=DIRECTION('center_axis',(0.,0.,1.)); #425045=DIRECTION('ref_axis',(0.707099830320368,0.7071137319844,0.)); #425046=DIRECTION('center_axis',(0.,0.,1.)); #425047=DIRECTION('ref_axis',(0.707099830320368,0.7071137319844,0.)); #425048=DIRECTION('',(0.,0.,1.)); #425049=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #425050=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #425051=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #425052=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #425053=DIRECTION('',(0.,0.,1.)); #425054=DIRECTION('center_axis',(0.,0.,1.)); #425055=DIRECTION('ref_axis',(0.999999999951587,-9.84004339899757E-6,0.)); #425056=DIRECTION('center_axis',(0.,0.,1.)); #425057=DIRECTION('ref_axis',(0.999999999951587,-9.84004339899757E-6,0.)); #425058=DIRECTION('center_axis',(0.,0.,1.)); #425059=DIRECTION('ref_axis',(0.999999999951587,-9.84004339899757E-6,0.)); #425060=DIRECTION('',(0.,0.,1.)); #425061=DIRECTION('center_axis',(1.,0.,0.)); #425062=DIRECTION('ref_axis',(0.,1.,0.)); #425063=DIRECTION('',(0.,1.,0.)); #425064=DIRECTION('',(0.,1.,0.)); #425065=DIRECTION('',(0.,0.,1.)); #425066=DIRECTION('center_axis',(0.,0.,1.)); #425067=DIRECTION('ref_axis',(0.707113731984427,-0.707099830320342,0.)); #425068=DIRECTION('center_axis',(0.,0.,1.)); #425069=DIRECTION('ref_axis',(0.707113731984427,-0.707099830320342,0.)); #425070=DIRECTION('center_axis',(0.,0.,1.)); #425071=DIRECTION('ref_axis',(0.707113731984427,-0.707099830320342,0.)); #425072=DIRECTION('',(0.,0.,1.)); #425073=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #425074=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,0.)); #425075=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #425076=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #425077=DIRECTION('',(0.,0.,1.)); #425078=DIRECTION('center_axis',(0.,0.,1.)); #425079=DIRECTION('ref_axis',(-9.8400434339655E-6,-0.999999999951587,0.)); #425080=DIRECTION('center_axis',(0.,0.,1.)); #425081=DIRECTION('ref_axis',(-9.8400434339655E-6,-0.999999999951587,0.)); #425082=DIRECTION('center_axis',(0.,0.,1.)); #425083=DIRECTION('ref_axis',(-9.8400434339655E-6,-0.999999999951587,0.)); #425084=DIRECTION('',(0.,0.,1.)); #425085=DIRECTION('center_axis',(0.,-1.,0.)); #425086=DIRECTION('ref_axis',(1.,0.,0.)); #425087=DIRECTION('',(1.,0.,0.)); #425088=DIRECTION('',(1.,0.,0.)); #425089=DIRECTION('',(0.,0.,1.)); #425090=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #425091=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #425092=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #425093=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #425094=DIRECTION('',(0.,0.,1.)); #425095=DIRECTION('center_axis',(0.,0.,1.)); #425096=DIRECTION('ref_axis',(0.037741251700504,-0.999287545164093,0.)); #425097=DIRECTION('center_axis',(0.,0.,1.)); #425098=DIRECTION('ref_axis',(0.037741251700504,-0.999287545164093,0.)); #425099=DIRECTION('center_axis',(0.,0.,1.)); #425100=DIRECTION('ref_axis',(0.037741251700504,-0.999287545164093,0.)); #425101=DIRECTION('center_axis',(0.,0.,1.)); #425102=DIRECTION('ref_axis',(1.,0.,0.)); #425103=DIRECTION('center_axis',(0.,0.,1.)); #425104=DIRECTION('ref_axis',(1.,0.,0.)); #425105=DIRECTION('center_axis',(0.,0.,1.)); #425106=DIRECTION('ref_axis',(1.,0.,0.)); #425107=DIRECTION('center_axis',(0.,0.,1.)); #425108=DIRECTION('ref_axis',(1.,0.,0.)); #425109=DIRECTION('',(0.,0.,1.)); #425110=DIRECTION('center_axis',(0.,0.,-1.)); #425111=DIRECTION('ref_axis',(1.,0.,0.)); #425112=DIRECTION('center_axis',(0.,0.,1.)); #425113=DIRECTION('ref_axis',(1.,0.,0.)); #425114=DIRECTION('center_axis',(0.,0.,1.)); #425115=DIRECTION('ref_axis',(1.,0.,0.)); #425116=DIRECTION('',(0.,0.,1.)); #425117=DIRECTION('center_axis',(0.,0.,-1.)); #425118=DIRECTION('ref_axis',(1.,0.,0.)); #425119=DIRECTION('center_axis',(0.156447762252282,-0.987686234431894,0.)); #425120=DIRECTION('ref_axis',(0.987686234431894,0.156447762252282,0.)); #425121=DIRECTION('',(0.987686234431894,0.156447762252282,0.)); #425122=DIRECTION('',(0.,0.,1.)); #425123=DIRECTION('',(0.987686234431894,0.156447762252282,0.)); #425124=DIRECTION('',(0.,0.,1.)); #425125=DIRECTION('center_axis',(0.,0.,1.)); #425126=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #425127=DIRECTION('center_axis',(0.,0.,1.)); #425128=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #425129=DIRECTION('center_axis',(0.,0.,1.)); #425130=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #425131=DIRECTION('',(0.,0.,1.)); #425132=DIRECTION('center_axis',(0.,0.,1.)); #425133=DIRECTION('ref_axis',(0.887013571520806,0.461743352889789,0.)); #425134=DIRECTION('center_axis',(0.,0.,1.)); #425135=DIRECTION('ref_axis',(0.887013571520806,0.461743352889789,0.)); #425136=DIRECTION('center_axis',(0.,0.,1.)); #425137=DIRECTION('ref_axis',(0.887013571520806,0.461743352889789,0.)); #425138=DIRECTION('',(0.,0.,1.)); #425139=DIRECTION('center_axis',(0.,1.,0.)); #425140=DIRECTION('ref_axis',(-1.,0.,0.)); #425141=DIRECTION('',(-1.,0.,0.)); #425142=DIRECTION('',(-1.,0.,0.)); #425143=DIRECTION('',(0.,0.,1.)); #425144=DIRECTION('center_axis',(0.,0.,1.)); #425145=DIRECTION('ref_axis',(0.923607696029128,0.383339045540323,0.)); #425146=DIRECTION('center_axis',(0.,0.,1.)); #425147=DIRECTION('ref_axis',(0.923607696029128,0.383339045540323,0.)); #425148=DIRECTION('center_axis',(0.,0.,1.)); #425149=DIRECTION('ref_axis',(0.923607696029128,0.383339045540323,0.)); #425150=DIRECTION('',(0.,0.,1.)); #425151=DIRECTION('center_axis',(0.,0.,1.)); #425152=DIRECTION('ref_axis',(-0.887012811092744,-0.46174481367672,0.)); #425153=DIRECTION('center_axis',(0.,0.,1.)); #425154=DIRECTION('ref_axis',(-0.887012811092744,-0.46174481367672,0.)); #425155=DIRECTION('center_axis',(0.,0.,1.)); #425156=DIRECTION('ref_axis',(-0.887012811092744,-0.46174481367672,0.)); #425157=DIRECTION('',(0.,0.,1.)); #425158=DIRECTION('center_axis',(0.,-1.,0.)); #425159=DIRECTION('ref_axis',(1.,0.,0.)); #425160=DIRECTION('',(1.,0.,0.)); #425161=DIRECTION('',(1.,0.,0.)); #425162=DIRECTION('',(0.,0.,1.)); #425163=DIRECTION('center_axis',(0.,0.,1.)); #425164=DIRECTION('ref_axis',(0.236706046226645,-0.971581312953141,0.)); #425165=DIRECTION('center_axis',(0.,0.,1.)); #425166=DIRECTION('ref_axis',(0.236706046226645,-0.971581312953141,0.)); #425167=DIRECTION('center_axis',(0.,0.,1.)); #425168=DIRECTION('ref_axis',(0.236706046226645,-0.971581312953141,0.)); #425169=DIRECTION('center_axis',(0.,0.,1.)); #425170=DIRECTION('ref_axis',(1.,0.,0.)); #425171=DIRECTION('center_axis',(0.,0.,1.)); #425172=DIRECTION('ref_axis',(1.,0.,0.)); #425173=DIRECTION('center_axis',(0.,0.,1.)); #425174=DIRECTION('ref_axis',(1.,0.,0.)); #425175=DIRECTION('center_axis',(0.,0.,1.)); #425176=DIRECTION('ref_axis',(1.,0.,0.)); #425177=DIRECTION('',(0.,0.,1.)); #425178=DIRECTION('center_axis',(0.,0.,-1.)); #425179=DIRECTION('ref_axis',(1.,0.,0.)); #425180=DIRECTION('center_axis',(0.,0.,1.)); #425181=DIRECTION('ref_axis',(1.,0.,0.)); #425182=DIRECTION('center_axis',(0.,0.,1.)); #425183=DIRECTION('ref_axis',(1.,0.,0.)); #425184=DIRECTION('',(0.,0.,1.)); #425185=DIRECTION('center_axis',(0.,0.,-1.)); #425186=DIRECTION('ref_axis',(1.,0.,0.)); #425187=DIRECTION('center_axis',(0.156447762252248,-0.987686234431899,0.)); #425188=DIRECTION('ref_axis',(0.987686234431899,0.156447762252248,0.)); #425189=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #425190=DIRECTION('',(0.,0.,1.)); #425191=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #425192=DIRECTION('',(0.,0.,1.)); #425193=DIRECTION('center_axis',(0.,0.,1.)); #425194=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #425195=DIRECTION('center_axis',(0.,0.,1.)); #425196=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #425197=DIRECTION('center_axis',(0.,0.,1.)); #425198=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #425199=DIRECTION('',(0.,0.,1.)); #425200=DIRECTION('center_axis',(0.,0.,1.)); #425201=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #425202=DIRECTION('center_axis',(0.,0.,1.)); #425203=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #425204=DIRECTION('center_axis',(0.,0.,1.)); #425205=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #425206=DIRECTION('',(0.,0.,1.)); #425207=DIRECTION('center_axis',(0.,1.,0.)); #425208=DIRECTION('ref_axis',(-1.,0.,0.)); #425209=DIRECTION('',(-1.,0.,0.)); #425210=DIRECTION('',(-1.,0.,0.)); #425211=DIRECTION('',(0.,0.,1.)); #425212=DIRECTION('center_axis',(0.,0.,1.)); #425213=DIRECTION('ref_axis',(0.923607696029129,0.383339045540321,0.)); #425214=DIRECTION('center_axis',(0.,0.,1.)); #425215=DIRECTION('ref_axis',(0.923607696029129,0.383339045540321,0.)); #425216=DIRECTION('center_axis',(0.,0.,1.)); #425217=DIRECTION('ref_axis',(0.923607696029129,0.383339045540321,0.)); #425218=DIRECTION('',(0.,0.,1.)); #425219=DIRECTION('center_axis',(0.,0.,1.)); #425220=DIRECTION('ref_axis',(-0.887012811092743,-0.46174481367672,0.)); #425221=DIRECTION('center_axis',(0.,0.,1.)); #425222=DIRECTION('ref_axis',(-0.887012811092743,-0.46174481367672,0.)); #425223=DIRECTION('center_axis',(0.,0.,1.)); #425224=DIRECTION('ref_axis',(-0.887012811092743,-0.46174481367672,0.)); #425225=DIRECTION('',(0.,0.,1.)); #425226=DIRECTION('center_axis',(0.,-1.,0.)); #425227=DIRECTION('ref_axis',(1.,0.,0.)); #425228=DIRECTION('',(1.,0.,0.)); #425229=DIRECTION('',(1.,0.,0.)); #425230=DIRECTION('',(0.,0.,1.)); #425231=DIRECTION('center_axis',(0.,0.,1.)); #425232=DIRECTION('ref_axis',(0.236706046226647,-0.971581312953141,0.)); #425233=DIRECTION('center_axis',(0.,0.,1.)); #425234=DIRECTION('ref_axis',(0.236706046226647,-0.971581312953141,0.)); #425235=DIRECTION('center_axis',(0.,0.,1.)); #425236=DIRECTION('ref_axis',(0.236706046226647,-0.971581312953141,0.)); #425237=DIRECTION('center_axis',(0.,0.,1.)); #425238=DIRECTION('ref_axis',(1.,0.,0.)); #425239=DIRECTION('center_axis',(0.,0.,1.)); #425240=DIRECTION('ref_axis',(1.,0.,0.)); #425241=DIRECTION('center_axis',(0.,0.,1.)); #425242=DIRECTION('ref_axis',(1.,0.,0.)); #425243=DIRECTION('center_axis',(0.,0.,1.)); #425244=DIRECTION('ref_axis',(1.,0.,0.)); #425245=DIRECTION('',(0.,0.,1.)); #425246=DIRECTION('center_axis',(0.,0.,-1.)); #425247=DIRECTION('ref_axis',(1.,0.,0.)); #425248=DIRECTION('center_axis',(0.,0.,1.)); #425249=DIRECTION('ref_axis',(1.,0.,0.)); #425250=DIRECTION('center_axis',(0.,0.,1.)); #425251=DIRECTION('ref_axis',(1.,0.,0.)); #425252=DIRECTION('',(0.,0.,1.)); #425253=DIRECTION('center_axis',(0.,0.,-1.)); #425254=DIRECTION('ref_axis',(1.,0.,0.)); #425255=DIRECTION('center_axis',(0.156447762252232,-0.987686234431902,0.)); #425256=DIRECTION('ref_axis',(0.987686234431902,0.156447762252232,0.)); #425257=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #425258=DIRECTION('',(0.,0.,1.)); #425259=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #425260=DIRECTION('',(0.,0.,1.)); #425261=DIRECTION('center_axis',(0.,0.,1.)); #425262=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #425263=DIRECTION('center_axis',(0.,0.,1.)); #425264=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #425265=DIRECTION('center_axis',(0.,0.,1.)); #425266=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #425267=DIRECTION('',(0.,0.,1.)); #425268=DIRECTION('center_axis',(0.,0.,1.)); #425269=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #425270=DIRECTION('center_axis',(0.,0.,1.)); #425271=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #425272=DIRECTION('center_axis',(0.,0.,1.)); #425273=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #425274=DIRECTION('',(0.,0.,1.)); #425275=DIRECTION('center_axis',(0.,1.,0.)); #425276=DIRECTION('ref_axis',(-1.,0.,0.)); #425277=DIRECTION('',(-1.,0.,0.)); #425278=DIRECTION('',(-1.,0.,0.)); #425279=DIRECTION('',(0.,0.,1.)); #425280=DIRECTION('center_axis',(0.,0.,1.)); #425281=DIRECTION('ref_axis',(0.923607696029128,0.383339045540324,0.)); #425282=DIRECTION('center_axis',(0.,0.,1.)); #425283=DIRECTION('ref_axis',(0.923607696029128,0.383339045540324,0.)); #425284=DIRECTION('center_axis',(0.,0.,1.)); #425285=DIRECTION('ref_axis',(0.923607696029128,0.383339045540324,0.)); #425286=DIRECTION('',(0.,0.,1.)); #425287=DIRECTION('center_axis',(0.,0.,1.)); #425288=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #425289=DIRECTION('center_axis',(0.,0.,1.)); #425290=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #425291=DIRECTION('center_axis',(0.,0.,1.)); #425292=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #425293=DIRECTION('',(0.,0.,1.)); #425294=DIRECTION('center_axis',(0.,-1.,0.)); #425295=DIRECTION('ref_axis',(1.,0.,0.)); #425296=DIRECTION('',(1.,0.,0.)); #425297=DIRECTION('',(1.,0.,0.)); #425298=DIRECTION('',(0.,0.,1.)); #425299=DIRECTION('center_axis',(0.,0.,1.)); #425300=DIRECTION('ref_axis',(0.236706046226647,-0.971581312953141,0.)); #425301=DIRECTION('center_axis',(0.,0.,1.)); #425302=DIRECTION('ref_axis',(0.236706046226647,-0.971581312953141,0.)); #425303=DIRECTION('center_axis',(0.,0.,1.)); #425304=DIRECTION('ref_axis',(0.236706046226647,-0.971581312953141,0.)); #425305=DIRECTION('center_axis',(0.,0.,1.)); #425306=DIRECTION('ref_axis',(1.,0.,0.)); #425307=DIRECTION('center_axis',(0.,0.,1.)); #425308=DIRECTION('ref_axis',(1.,0.,0.)); #425309=DIRECTION('center_axis',(0.,0.,1.)); #425310=DIRECTION('ref_axis',(1.,0.,0.)); #425311=DIRECTION('center_axis',(0.,0.,1.)); #425312=DIRECTION('ref_axis',(1.,0.,0.)); #425313=DIRECTION('',(0.,0.,1.)); #425314=DIRECTION('center_axis',(0.,0.,-1.)); #425315=DIRECTION('ref_axis',(1.,0.,0.)); #425316=DIRECTION('center_axis',(0.,0.,1.)); #425317=DIRECTION('ref_axis',(1.,0.,0.)); #425318=DIRECTION('center_axis',(0.,0.,1.)); #425319=DIRECTION('ref_axis',(1.,0.,0.)); #425320=DIRECTION('',(0.,0.,1.)); #425321=DIRECTION('center_axis',(0.,0.,-1.)); #425322=DIRECTION('ref_axis',(1.,0.,0.)); #425323=DIRECTION('center_axis',(0.156447762252298,-0.987686234431891,0.)); #425324=DIRECTION('ref_axis',(0.987686234431891,0.156447762252298,0.)); #425325=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #425326=DIRECTION('',(0.,0.,1.)); #425327=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #425328=DIRECTION('',(0.,0.,1.)); #425329=DIRECTION('center_axis',(0.,0.,1.)); #425330=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #425331=DIRECTION('center_axis',(0.,0.,1.)); #425332=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #425333=DIRECTION('center_axis',(0.,0.,1.)); #425334=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #425335=DIRECTION('',(0.,0.,1.)); #425336=DIRECTION('center_axis',(-1.,0.,0.)); #425337=DIRECTION('ref_axis',(0.,-1.,0.)); #425338=DIRECTION('',(0.,-1.,0.)); #425339=DIRECTION('',(0.,-1.,0.)); #425340=DIRECTION('',(0.,0.,1.)); #425341=DIRECTION('center_axis',(-0.70710678118655,-0.707106781186545,0.)); #425342=DIRECTION('ref_axis',(0.707106781186545,-0.70710678118655,0.)); #425343=DIRECTION('',(0.707106781186545,-0.70710678118655,0.)); #425344=DIRECTION('',(0.707106781186545,-0.70710678118655,0.)); #425345=DIRECTION('',(0.,0.,1.)); #425346=DIRECTION('center_axis',(0.,-1.,0.)); #425347=DIRECTION('ref_axis',(1.,0.,0.)); #425348=DIRECTION('',(1.,0.,0.)); #425349=DIRECTION('',(1.,0.,0.)); #425350=DIRECTION('',(0.,0.,1.)); #425351=DIRECTION('center_axis',(0.,0.,1.)); #425352=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #425353=DIRECTION('center_axis',(0.,0.,1.)); #425354=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #425355=DIRECTION('center_axis',(0.,0.,1.)); #425356=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #425357=DIRECTION('',(0.,0.,1.)); #425358=DIRECTION('center_axis',(0.,0.,1.)); #425359=DIRECTION('ref_axis',(0.887013571520812,0.461743352889777,0.)); #425360=DIRECTION('center_axis',(0.,0.,1.)); #425361=DIRECTION('ref_axis',(0.887013571520812,0.461743352889777,0.)); #425362=DIRECTION('center_axis',(0.,0.,1.)); #425363=DIRECTION('ref_axis',(0.887013571520812,0.461743352889777,0.)); #425364=DIRECTION('',(0.,0.,1.)); #425365=DIRECTION('center_axis',(0.,1.,0.)); #425366=DIRECTION('ref_axis',(-1.,0.,0.)); #425367=DIRECTION('',(-1.,0.,0.)); #425368=DIRECTION('',(-1.,0.,0.)); #425369=DIRECTION('',(0.,0.,1.)); #425370=DIRECTION('center_axis',(0.,0.,1.)); #425371=DIRECTION('ref_axis',(0.70710261415424,0.707110948194299,0.)); #425372=DIRECTION('center_axis',(0.,0.,1.)); #425373=DIRECTION('ref_axis',(0.70710261415424,0.707110948194299,0.)); #425374=DIRECTION('center_axis',(0.,0.,1.)); #425375=DIRECTION('ref_axis',(0.70710261415424,0.707110948194299,0.)); #425376=DIRECTION('',(0.,0.,1.)); #425377=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,0.)); #425378=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.)); #425379=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #425380=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #425381=DIRECTION('',(0.,0.,1.)); #425382=DIRECTION('center_axis',(0.,0.,1.)); #425383=DIRECTION('ref_axis',(0.999999999982576,-5.90313861198996E-6,0.)); #425384=DIRECTION('center_axis',(0.,0.,1.)); #425385=DIRECTION('ref_axis',(0.999999999982576,-5.90313861198996E-6,0.)); #425386=DIRECTION('center_axis',(0.,0.,1.)); #425387=DIRECTION('ref_axis',(0.999999999982576,-5.90313861198996E-6,0.)); #425388=DIRECTION('',(0.,0.,1.)); #425389=DIRECTION('center_axis',(1.,0.,0.)); #425390=DIRECTION('ref_axis',(0.,1.,0.)); #425391=DIRECTION('',(0.,1.,0.)); #425392=DIRECTION('',(0.,1.,0.)); #425393=DIRECTION('',(0.,0.,1.)); #425394=DIRECTION('center_axis',(0.,0.,1.)); #425395=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #425396=DIRECTION('center_axis',(0.,0.,1.)); #425397=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #425398=DIRECTION('center_axis',(0.,0.,1.)); #425399=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #425400=DIRECTION('center_axis',(0.,0.,1.)); #425401=DIRECTION('ref_axis',(1.,0.,0.)); #425402=DIRECTION('center_axis',(0.,0.,1.)); #425403=DIRECTION('ref_axis',(1.,0.,0.)); #425404=DIRECTION('center_axis',(0.,0.,1.)); #425405=DIRECTION('ref_axis',(1.,0.,0.)); #425406=DIRECTION('center_axis',(0.,0.,1.)); #425407=DIRECTION('ref_axis',(1.,0.,0.)); #425408=DIRECTION('',(0.,0.,1.)); #425409=DIRECTION('center_axis',(0.,0.,-1.)); #425410=DIRECTION('ref_axis',(1.,0.,0.)); #425411=DIRECTION('center_axis',(1.,0.,0.)); #425412=DIRECTION('ref_axis',(0.,1.,0.)); #425413=DIRECTION('',(0.,1.,0.)); #425414=DIRECTION('',(0.,0.,1.)); #425415=DIRECTION('',(0.,1.,0.)); #425416=DIRECTION('',(0.,0.,1.)); #425417=DIRECTION('center_axis',(0.,-1.,0.)); #425418=DIRECTION('ref_axis',(1.,0.,0.)); #425419=DIRECTION('',(1.,0.,0.)); #425420=DIRECTION('',(1.,0.,0.)); #425421=DIRECTION('',(0.,0.,1.)); #425422=DIRECTION('center_axis',(-1.,0.,0.)); #425423=DIRECTION('ref_axis',(0.,-1.,0.)); #425424=DIRECTION('',(0.,-1.,0.)); #425425=DIRECTION('',(0.,-1.,0.)); #425426=DIRECTION('',(0.,0.,1.)); #425427=DIRECTION('center_axis',(0.,1.,0.)); #425428=DIRECTION('ref_axis',(-1.,0.,0.)); #425429=DIRECTION('',(-1.,0.,0.)); #425430=DIRECTION('',(-1.,0.,0.)); #425431=DIRECTION('center_axis',(0.,0.,1.)); #425432=DIRECTION('ref_axis',(1.,0.,0.)); #425433=DIRECTION('center_axis',(0.,0.,1.)); #425434=DIRECTION('ref_axis',(1.,0.,0.)); #425435=DIRECTION('center_axis',(0.,0.,1.)); #425436=DIRECTION('ref_axis',(1.,0.,0.)); #425437=DIRECTION('center_axis',(0.,0.,1.)); #425438=DIRECTION('ref_axis',(1.,0.,0.)); #425439=DIRECTION('',(0.,0.,1.)); #425440=DIRECTION('center_axis',(0.,0.,-1.)); #425441=DIRECTION('ref_axis',(1.,0.,0.)); #425442=DIRECTION('center_axis',(1.,0.,0.)); #425443=DIRECTION('ref_axis',(0.,1.,0.)); #425444=DIRECTION('',(0.,1.,0.)); #425445=DIRECTION('',(0.,0.,1.)); #425446=DIRECTION('',(0.,1.,0.)); #425447=DIRECTION('',(0.,0.,1.)); #425448=DIRECTION('center_axis',(0.,-1.,0.)); #425449=DIRECTION('ref_axis',(1.,0.,0.)); #425450=DIRECTION('',(1.,0.,0.)); #425451=DIRECTION('',(1.,0.,0.)); #425452=DIRECTION('',(0.,0.,1.)); #425453=DIRECTION('center_axis',(-1.,0.,0.)); #425454=DIRECTION('ref_axis',(0.,-1.,0.)); #425455=DIRECTION('',(0.,-1.,0.)); #425456=DIRECTION('',(0.,-1.,0.)); #425457=DIRECTION('',(0.,0.,1.)); #425458=DIRECTION('center_axis',(0.,1.,0.)); #425459=DIRECTION('ref_axis',(-1.,0.,0.)); #425460=DIRECTION('',(-1.,0.,0.)); #425461=DIRECTION('',(-1.,0.,0.)); #425462=DIRECTION('center_axis',(0.,0.,1.)); #425463=DIRECTION('ref_axis',(1.,0.,0.)); #425464=DIRECTION('center_axis',(0.,0.,1.)); #425465=DIRECTION('ref_axis',(1.,0.,0.)); #425466=DIRECTION('center_axis',(0.,0.,1.)); #425467=DIRECTION('ref_axis',(1.,0.,0.)); #425468=DIRECTION('center_axis',(0.,0.,1.)); #425469=DIRECTION('ref_axis',(1.,0.,0.)); #425470=DIRECTION('',(0.,0.,1.)); #425471=DIRECTION('center_axis',(0.,0.,-1.)); #425472=DIRECTION('ref_axis',(1.,0.,0.)); #425473=DIRECTION('center_axis',(1.,0.,0.)); #425474=DIRECTION('ref_axis',(0.,1.,0.)); #425475=DIRECTION('',(0.,1.,0.)); #425476=DIRECTION('',(0.,0.,1.)); #425477=DIRECTION('',(0.,1.,0.)); #425478=DIRECTION('',(0.,0.,1.)); #425479=DIRECTION('center_axis',(0.,-1.,0.)); #425480=DIRECTION('ref_axis',(1.,0.,0.)); #425481=DIRECTION('',(1.,0.,0.)); #425482=DIRECTION('',(1.,0.,0.)); #425483=DIRECTION('',(0.,0.,1.)); #425484=DIRECTION('center_axis',(-1.,0.,0.)); #425485=DIRECTION('ref_axis',(0.,-1.,0.)); #425486=DIRECTION('',(0.,-1.,0.)); #425487=DIRECTION('',(0.,-1.,0.)); #425488=DIRECTION('',(0.,0.,1.)); #425489=DIRECTION('center_axis',(0.,1.,0.)); #425490=DIRECTION('ref_axis',(-1.,0.,0.)); #425491=DIRECTION('',(-1.,0.,0.)); #425492=DIRECTION('',(-1.,0.,0.)); #425493=DIRECTION('center_axis',(0.,0.,1.)); #425494=DIRECTION('ref_axis',(1.,0.,0.)); #425495=DIRECTION('center_axis',(0.,0.,1.)); #425496=DIRECTION('ref_axis',(1.,0.,0.)); #425497=DIRECTION('center_axis',(0.,0.,1.)); #425498=DIRECTION('ref_axis',(1.,0.,0.)); #425499=DIRECTION('center_axis',(0.,0.,1.)); #425500=DIRECTION('ref_axis',(1.,0.,0.)); #425501=DIRECTION('',(0.,0.,1.)); #425502=DIRECTION('center_axis',(0.,0.,-1.)); #425503=DIRECTION('ref_axis',(1.,0.,0.)); #425504=DIRECTION('center_axis',(0.156447762252332,-0.987686234431886,0.)); #425505=DIRECTION('ref_axis',(0.987686234431886,0.156447762252332,0.)); #425506=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #425507=DIRECTION('',(0.,0.,1.)); #425508=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #425509=DIRECTION('',(0.,0.,1.)); #425510=DIRECTION('center_axis',(0.,0.,1.)); #425511=DIRECTION('ref_axis',(-0.461527865934827,0.887125712041785,0.)); #425512=DIRECTION('center_axis',(0.,0.,1.)); #425513=DIRECTION('ref_axis',(-0.461527865934827,0.887125712041785,0.)); #425514=DIRECTION('center_axis',(0.,0.,1.)); #425515=DIRECTION('ref_axis',(-0.461527865934827,0.887125712041785,0.)); #425516=DIRECTION('',(0.,0.,1.)); #425517=DIRECTION('center_axis',(-1.,0.,0.)); #425518=DIRECTION('ref_axis',(0.,-1.,0.)); #425519=DIRECTION('',(0.,-1.,0.)); #425520=DIRECTION('',(0.,-1.,0.)); #425521=DIRECTION('',(0.,0.,1.)); #425522=DIRECTION('center_axis',(0.,-1.,0.)); #425523=DIRECTION('ref_axis',(1.,0.,0.)); #425524=DIRECTION('',(1.,0.,0.)); #425525=DIRECTION('',(1.,0.,0.)); #425526=DIRECTION('',(0.,0.,1.)); #425527=DIRECTION('center_axis',(-1.,0.,0.)); #425528=DIRECTION('ref_axis',(0.,-1.,0.)); #425529=DIRECTION('',(0.,-1.,0.)); #425530=DIRECTION('',(0.,-1.,0.)); #425531=DIRECTION('',(0.,0.,1.)); #425532=DIRECTION('center_axis',(0.,1.,0.)); #425533=DIRECTION('ref_axis',(-1.,0.,0.)); #425534=DIRECTION('',(-1.,0.,0.)); #425535=DIRECTION('',(-1.,0.,0.)); #425536=DIRECTION('',(0.,0.,1.)); #425537=DIRECTION('center_axis',(1.,0.,0.)); #425538=DIRECTION('ref_axis',(0.,1.,0.)); #425539=DIRECTION('',(0.,1.,0.)); #425540=DIRECTION('',(0.,1.,0.)); #425541=DIRECTION('',(0.,0.,1.)); #425542=DIRECTION('center_axis',(0.,-1.,0.)); #425543=DIRECTION('ref_axis',(1.,0.,0.)); #425544=DIRECTION('',(1.,0.,0.)); #425545=DIRECTION('',(1.,0.,0.)); #425546=DIRECTION('',(0.,0.,1.)); #425547=DIRECTION('center_axis',(1.,0.,0.)); #425548=DIRECTION('ref_axis',(0.,1.,0.)); #425549=DIRECTION('',(0.,1.,0.)); #425550=DIRECTION('',(0.,1.,0.)); #425551=DIRECTION('',(0.,0.,1.)); #425552=DIRECTION('center_axis',(0.,0.,1.)); #425553=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #425554=DIRECTION('center_axis',(0.,0.,1.)); #425555=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #425556=DIRECTION('center_axis',(0.,0.,1.)); #425557=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #425558=DIRECTION('center_axis',(0.,0.,1.)); #425559=DIRECTION('ref_axis',(1.,0.,0.)); #425560=DIRECTION('center_axis',(0.,0.,1.)); #425561=DIRECTION('ref_axis',(1.,0.,0.)); #425562=DIRECTION('center_axis',(0.,0.,1.)); #425563=DIRECTION('ref_axis',(1.,0.,0.)); #425564=DIRECTION('center_axis',(0.,0.,1.)); #425565=DIRECTION('ref_axis',(1.,0.,0.)); #425566=DIRECTION('',(0.,0.,1.)); #425567=DIRECTION('center_axis',(0.,0.,-1.)); #425568=DIRECTION('ref_axis',(1.,0.,0.)); #425569=DIRECTION('center_axis',(0.,0.,1.)); #425570=DIRECTION('ref_axis',(1.,0.,0.)); #425571=DIRECTION('center_axis',(0.,0.,1.)); #425572=DIRECTION('ref_axis',(1.,0.,0.)); #425573=DIRECTION('',(0.,0.,1.)); #425574=DIRECTION('center_axis',(0.,0.,-1.)); #425575=DIRECTION('ref_axis',(1.,0.,0.)); #425576=DIRECTION('center_axis',(0.110976900111233,-0.993822986070307,0.)); #425577=DIRECTION('ref_axis',(0.993822986070307,0.110976900111233,0.)); #425578=DIRECTION('',(0.993822986070307,0.110976900111233,0.)); #425579=DIRECTION('',(0.,0.,1.)); #425580=DIRECTION('',(0.993822986070307,0.110976900111233,0.)); #425581=DIRECTION('',(0.,0.,1.)); #425582=DIRECTION('center_axis',(0.,-1.,0.)); #425583=DIRECTION('ref_axis',(1.,0.,0.)); #425584=DIRECTION('',(1.,0.,0.)); #425585=DIRECTION('',(1.,0.,0.)); #425586=DIRECTION('',(0.,0.,1.)); #425587=DIRECTION('center_axis',(0.,0.,1.)); #425588=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #425589=DIRECTION('center_axis',(0.,0.,1.)); #425590=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #425591=DIRECTION('center_axis',(0.,0.,1.)); #425592=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #425593=DIRECTION('',(0.,0.,1.)); #425594=DIRECTION('center_axis',(-0.707106781186562,-0.707106781186533, 0.)); #425595=DIRECTION('ref_axis',(0.707106781186533,-0.707106781186562,0.)); #425596=DIRECTION('',(0.707106781186533,-0.707106781186562,0.)); #425597=DIRECTION('',(0.707106781186533,-0.707106781186562,0.)); #425598=DIRECTION('',(0.,0.,1.)); #425599=DIRECTION('center_axis',(0.,-1.,0.)); #425600=DIRECTION('ref_axis',(1.,0.,0.)); #425601=DIRECTION('',(1.,0.,0.)); #425602=DIRECTION('',(1.,0.,0.)); #425603=DIRECTION('',(0.,0.,1.)); #425604=DIRECTION('center_axis',(0.,0.,1.)); #425605=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #425606=DIRECTION('center_axis',(0.,0.,1.)); #425607=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #425608=DIRECTION('center_axis',(0.,0.,1.)); #425609=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #425610=DIRECTION('',(0.,0.,1.)); #425611=DIRECTION('center_axis',(-0.70710678118655,-0.707106781186546,0.)); #425612=DIRECTION('ref_axis',(0.707106781186545,-0.707106781186549,0.)); #425613=DIRECTION('',(0.707106781186545,-0.707106781186549,0.)); #425614=DIRECTION('',(0.707106781186545,-0.707106781186549,0.)); #425615=DIRECTION('',(0.,0.,1.)); #425616=DIRECTION('center_axis',(0.,-1.,0.)); #425617=DIRECTION('ref_axis',(1.,0.,0.)); #425618=DIRECTION('',(1.,0.,0.)); #425619=DIRECTION('',(1.,0.,0.)); #425620=DIRECTION('',(0.,0.,1.)); #425621=DIRECTION('center_axis',(0.,0.,1.)); #425622=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #425623=DIRECTION('center_axis',(0.,0.,1.)); #425624=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #425625=DIRECTION('center_axis',(0.,0.,1.)); #425626=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #425627=DIRECTION('',(0.,0.,1.)); #425628=DIRECTION('center_axis',(0.,0.,1.)); #425629=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #425630=DIRECTION('center_axis',(0.,0.,1.)); #425631=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #425632=DIRECTION('center_axis',(0.,0.,1.)); #425633=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #425634=DIRECTION('',(0.,0.,1.)); #425635=DIRECTION('center_axis',(0.,1.,0.)); #425636=DIRECTION('ref_axis',(-1.,0.,0.)); #425637=DIRECTION('',(-1.,0.,0.)); #425638=DIRECTION('',(-1.,0.,0.)); #425639=DIRECTION('',(0.,0.,1.)); #425640=DIRECTION('center_axis',(0.,0.,1.)); #425641=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #425642=DIRECTION('center_axis',(0.,0.,1.)); #425643=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #425644=DIRECTION('center_axis',(0.,0.,1.)); #425645=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #425646=DIRECTION('',(0.,0.,1.)); #425647=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #425648=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #425649=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #425650=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #425651=DIRECTION('',(0.,0.,1.)); #425652=DIRECTION('center_axis',(0.,1.,0.)); #425653=DIRECTION('ref_axis',(-1.,0.,0.)); #425654=DIRECTION('',(-1.,0.,0.)); #425655=DIRECTION('',(-1.,0.,0.)); #425656=DIRECTION('',(0.,0.,1.)); #425657=DIRECTION('center_axis',(0.,0.,1.)); #425658=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #425659=DIRECTION('center_axis',(0.,0.,1.)); #425660=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #425661=DIRECTION('center_axis',(0.,0.,1.)); #425662=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #425663=DIRECTION('',(0.,0.,1.)); #425664=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #425665=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #425666=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #425667=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #425668=DIRECTION('',(0.,0.,1.)); #425669=DIRECTION('center_axis',(0.,1.,0.)); #425670=DIRECTION('ref_axis',(-1.,0.,0.)); #425671=DIRECTION('',(-1.,0.,0.)); #425672=DIRECTION('',(-1.,0.,0.)); #425673=DIRECTION('',(0.,0.,1.)); #425674=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #425675=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #425676=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #425677=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #425678=DIRECTION('',(0.,0.,1.)); #425679=DIRECTION('center_axis',(-1.,0.,0.)); #425680=DIRECTION('ref_axis',(0.,-1.,0.)); #425681=DIRECTION('',(0.,-1.,0.)); #425682=DIRECTION('',(0.,-1.,0.)); #425683=DIRECTION('',(0.,0.,1.)); #425684=DIRECTION('center_axis',(-0.707106781186546,-0.707106781186549, 0.)); #425685=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #425686=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #425687=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #425688=DIRECTION('',(0.,0.,1.)); #425689=DIRECTION('center_axis',(0.,-1.,0.)); #425690=DIRECTION('ref_axis',(1.,0.,0.)); #425691=DIRECTION('',(1.,0.,0.)); #425692=DIRECTION('',(1.,0.,0.)); #425693=DIRECTION('',(0.,0.,1.)); #425694=DIRECTION('center_axis',(0.,0.,1.)); #425695=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #425696=DIRECTION('center_axis',(0.,0.,1.)); #425697=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #425698=DIRECTION('center_axis',(0.,0.,1.)); #425699=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #425700=DIRECTION('',(0.,0.,1.)); #425701=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #425702=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #425703=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #425704=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #425705=DIRECTION('',(0.,0.,1.)); #425706=DIRECTION('center_axis',(0.,-1.,0.)); #425707=DIRECTION('ref_axis',(1.,0.,0.)); #425708=DIRECTION('',(1.,0.,0.)); #425709=DIRECTION('',(1.,0.,0.)); #425710=DIRECTION('',(0.,0.,1.)); #425711=DIRECTION('center_axis',(0.,0.,1.)); #425712=DIRECTION('ref_axis',(-0.707102614154283,-0.707110948194255,0.)); #425713=DIRECTION('center_axis',(0.,0.,1.)); #425714=DIRECTION('ref_axis',(-0.707102614154283,-0.707110948194255,0.)); #425715=DIRECTION('center_axis',(0.,0.,1.)); #425716=DIRECTION('ref_axis',(-0.707102614154283,-0.707110948194255,0.)); #425717=DIRECTION('',(0.,0.,1.)); #425718=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #425719=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #425720=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #425721=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #425722=DIRECTION('',(0.,0.,1.)); #425723=DIRECTION('center_axis',(0.,0.,1.)); #425724=DIRECTION('ref_axis',(-0.999999999982576,5.90313861198996E-6,0.)); #425725=DIRECTION('center_axis',(0.,0.,1.)); #425726=DIRECTION('ref_axis',(-0.999999999982576,5.90313861198996E-6,0.)); #425727=DIRECTION('center_axis',(0.,0.,1.)); #425728=DIRECTION('ref_axis',(-0.999999999982576,5.90313861198996E-6,0.)); #425729=DIRECTION('',(0.,0.,1.)); #425730=DIRECTION('center_axis',(-1.,0.,0.)); #425731=DIRECTION('ref_axis',(0.,-1.,0.)); #425732=DIRECTION('',(0.,-1.,0.)); #425733=DIRECTION('',(0.,-1.,0.)); #425734=DIRECTION('',(0.,0.,1.)); #425735=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #425736=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #425737=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #425738=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #425739=DIRECTION('',(0.,0.,1.)); #425740=DIRECTION('center_axis',(0.,-1.,0.)); #425741=DIRECTION('ref_axis',(1.,0.,0.)); #425742=DIRECTION('',(1.,0.,0.)); #425743=DIRECTION('',(1.,0.,0.)); #425744=DIRECTION('',(0.,0.,1.)); #425745=DIRECTION('center_axis',(0.,0.,1.)); #425746=DIRECTION('ref_axis',(-0.707102614154283,-0.707110948194255,0.)); #425747=DIRECTION('center_axis',(0.,0.,1.)); #425748=DIRECTION('ref_axis',(-0.707102614154283,-0.707110948194255,0.)); #425749=DIRECTION('center_axis',(0.,0.,1.)); #425750=DIRECTION('ref_axis',(-0.707102614154283,-0.707110948194255,0.)); #425751=DIRECTION('',(0.,0.,1.)); #425752=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #425753=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #425754=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #425755=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #425756=DIRECTION('',(0.,0.,1.)); #425757=DIRECTION('center_axis',(0.,0.,1.)); #425758=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #425759=DIRECTION('center_axis',(0.,0.,1.)); #425760=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #425761=DIRECTION('center_axis',(0.,0.,1.)); #425762=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #425763=DIRECTION('',(0.,0.,1.)); #425764=DIRECTION('center_axis',(-1.,0.,0.)); #425765=DIRECTION('ref_axis',(0.,-1.,0.)); #425766=DIRECTION('',(0.,-1.,0.)); #425767=DIRECTION('',(0.,-1.,0.)); #425768=DIRECTION('',(0.,0.,1.)); #425769=DIRECTION('center_axis',(-0.707106781186538,-0.707106781186557, 0.)); #425770=DIRECTION('ref_axis',(0.707106781186557,-0.707106781186538,0.)); #425771=DIRECTION('',(0.707106781186557,-0.707106781186538,0.)); #425772=DIRECTION('',(0.707106781186557,-0.707106781186538,0.)); #425773=DIRECTION('',(0.,0.,1.)); #425774=DIRECTION('center_axis',(0.,0.,1.)); #425775=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #425776=DIRECTION('center_axis',(0.,0.,1.)); #425777=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #425778=DIRECTION('center_axis',(0.,0.,1.)); #425779=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #425780=DIRECTION('',(0.,0.,1.)); #425781=DIRECTION('center_axis',(-1.,0.,0.)); #425782=DIRECTION('ref_axis',(0.,-1.,0.)); #425783=DIRECTION('',(0.,-1.,0.)); #425784=DIRECTION('',(0.,-1.,0.)); #425785=DIRECTION('',(0.,0.,1.)); #425786=DIRECTION('center_axis',(0.,0.,1.)); #425787=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #425788=DIRECTION('center_axis',(0.,0.,1.)); #425789=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #425790=DIRECTION('center_axis',(0.,0.,1.)); #425791=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #425792=DIRECTION('',(0.,0.,1.)); #425793=DIRECTION('center_axis',(0.,0.,1.)); #425794=DIRECTION('ref_axis',(0.46174335288979,-0.887013571520806,0.)); #425795=DIRECTION('center_axis',(0.,0.,1.)); #425796=DIRECTION('ref_axis',(0.46174335288979,-0.887013571520806,0.)); #425797=DIRECTION('center_axis',(0.,0.,1.)); #425798=DIRECTION('ref_axis',(0.46174335288979,-0.887013571520806,0.)); #425799=DIRECTION('',(0.,0.,1.)); #425800=DIRECTION('center_axis',(1.,0.,0.)); #425801=DIRECTION('ref_axis',(0.,1.,0.)); #425802=DIRECTION('',(0.,1.,0.)); #425803=DIRECTION('',(0.,1.,0.)); #425804=DIRECTION('',(0.,0.,1.)); #425805=DIRECTION('center_axis',(0.707106781186538,0.707106781186557,0.)); #425806=DIRECTION('ref_axis',(-0.707106781186557,0.707106781186538,0.)); #425807=DIRECTION('',(-0.707106781186557,0.707106781186538,0.)); #425808=DIRECTION('',(-0.707106781186557,0.707106781186538,0.)); #425809=DIRECTION('',(0.,0.,1.)); #425810=DIRECTION('center_axis',(0.,0.,1.)); #425811=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #425812=DIRECTION('center_axis',(0.,0.,1.)); #425813=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #425814=DIRECTION('center_axis',(0.,0.,1.)); #425815=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #425816=DIRECTION('',(0.,0.,1.)); #425817=DIRECTION('center_axis',(1.,0.,0.)); #425818=DIRECTION('ref_axis',(0.,1.,0.)); #425819=DIRECTION('',(0.,1.,0.)); #425820=DIRECTION('',(0.,1.,0.)); #425821=DIRECTION('',(0.,0.,1.)); #425822=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #425823=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #425824=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #425825=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #425826=DIRECTION('',(0.,0.,1.)); #425827=DIRECTION('center_axis',(0.,1.,0.)); #425828=DIRECTION('ref_axis',(-1.,0.,0.)); #425829=DIRECTION('',(-1.,0.,0.)); #425830=DIRECTION('',(-1.,0.,0.)); #425831=DIRECTION('',(0.,0.,1.)); #425832=DIRECTION('center_axis',(0.,0.,1.)); #425833=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #425834=DIRECTION('center_axis',(0.,0.,1.)); #425835=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #425836=DIRECTION('center_axis',(0.,0.,1.)); #425837=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #425838=DIRECTION('',(0.,0.,1.)); #425839=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #425840=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #425841=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #425842=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #425843=DIRECTION('',(0.,0.,1.)); #425844=DIRECTION('center_axis',(0.,0.,1.)); #425845=DIRECTION('ref_axis',(0.999999999982576,-5.90313861198996E-6,0.)); #425846=DIRECTION('center_axis',(0.,0.,1.)); #425847=DIRECTION('ref_axis',(0.999999999982576,-5.90313861198996E-6,0.)); #425848=DIRECTION('center_axis',(0.,0.,1.)); #425849=DIRECTION('ref_axis',(0.999999999982576,-5.90313861198996E-6,0.)); #425850=DIRECTION('',(0.,0.,1.)); #425851=DIRECTION('center_axis',(1.,0.,0.)); #425852=DIRECTION('ref_axis',(0.,1.,0.)); #425853=DIRECTION('',(0.,1.,0.)); #425854=DIRECTION('',(0.,1.,0.)); #425855=DIRECTION('',(0.,0.,1.)); #425856=DIRECTION('center_axis',(0.70710678118655,0.707106781186545,0.)); #425857=DIRECTION('ref_axis',(-0.707106781186545,0.70710678118655,0.)); #425858=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #425859=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #425860=DIRECTION('',(0.,0.,1.)); #425861=DIRECTION('center_axis',(0.,1.,0.)); #425862=DIRECTION('ref_axis',(-1.,0.,0.)); #425863=DIRECTION('',(-1.,0.,0.)); #425864=DIRECTION('',(-1.,0.,0.)); #425865=DIRECTION('',(0.,0.,1.)); #425866=DIRECTION('center_axis',(0.,0.,1.)); #425867=DIRECTION('ref_axis',(0.707102614154179,0.70711094819436,0.)); #425868=DIRECTION('center_axis',(0.,0.,1.)); #425869=DIRECTION('ref_axis',(0.707102614154179,0.70711094819436,0.)); #425870=DIRECTION('center_axis',(0.,0.,1.)); #425871=DIRECTION('ref_axis',(0.707102614154179,0.70711094819436,0.)); #425872=DIRECTION('',(0.,0.,1.)); #425873=DIRECTION('center_axis',(0.70710678118655,0.707106781186545,0.)); #425874=DIRECTION('ref_axis',(-0.707106781186545,0.70710678118655,0.)); #425875=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #425876=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #425877=DIRECTION('',(0.,0.,1.)); #425878=DIRECTION('center_axis',(0.,1.,0.)); #425879=DIRECTION('ref_axis',(-1.,0.,0.)); #425880=DIRECTION('',(-1.,0.,0.)); #425881=DIRECTION('',(-1.,0.,0.)); #425882=DIRECTION('',(0.,0.,1.)); #425883=DIRECTION('center_axis',(0.,0.,1.)); #425884=DIRECTION('ref_axis',(0.707102614154249,0.70711094819429,0.)); #425885=DIRECTION('center_axis',(0.,0.,1.)); #425886=DIRECTION('ref_axis',(0.707102614154249,0.70711094819429,0.)); #425887=DIRECTION('center_axis',(0.,0.,1.)); #425888=DIRECTION('ref_axis',(0.707102614154249,0.70711094819429,0.)); #425889=DIRECTION('',(0.,0.,1.)); #425890=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #425891=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #425892=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #425893=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #425894=DIRECTION('',(0.,0.,1.)); #425895=DIRECTION('center_axis',(0.,0.,1.)); #425896=DIRECTION('ref_axis',(0.999999999982576,-5.90313861198996E-6,0.)); #425897=DIRECTION('center_axis',(0.,0.,1.)); #425898=DIRECTION('ref_axis',(0.999999999982576,-5.90313861198996E-6,0.)); #425899=DIRECTION('center_axis',(0.,0.,1.)); #425900=DIRECTION('ref_axis',(0.999999999982576,-5.90313861198996E-6,0.)); #425901=DIRECTION('',(0.,0.,1.)); #425902=DIRECTION('center_axis',(1.,0.,0.)); #425903=DIRECTION('ref_axis',(0.,1.,0.)); #425904=DIRECTION('',(0.,1.,0.)); #425905=DIRECTION('',(0.,1.,0.)); #425906=DIRECTION('',(0.,0.,1.)); #425907=DIRECTION('center_axis',(0.,0.,1.)); #425908=DIRECTION('ref_axis',(0.707110948194229,-0.70710261415431,0.)); #425909=DIRECTION('center_axis',(0.,0.,1.)); #425910=DIRECTION('ref_axis',(0.707110948194229,-0.70710261415431,0.)); #425911=DIRECTION('center_axis',(0.,0.,1.)); #425912=DIRECTION('ref_axis',(0.707110948194229,-0.70710261415431,0.)); #425913=DIRECTION('',(0.,0.,1.)); #425914=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,0.)); #425915=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.)); #425916=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #425917=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #425918=DIRECTION('',(0.,0.,1.)); #425919=DIRECTION('center_axis',(0.,0.,1.)); #425920=DIRECTION('ref_axis',(0.037741251700504,-0.999287545164093,0.)); #425921=DIRECTION('center_axis',(0.,0.,1.)); #425922=DIRECTION('ref_axis',(0.037741251700504,-0.999287545164093,0.)); #425923=DIRECTION('center_axis',(0.,0.,1.)); #425924=DIRECTION('ref_axis',(0.037741251700504,-0.999287545164093,0.)); #425925=DIRECTION('center_axis',(0.,0.,1.)); #425926=DIRECTION('ref_axis',(1.,0.,0.)); #425927=DIRECTION('center_axis',(0.,0.,1.)); #425928=DIRECTION('ref_axis',(1.,0.,0.)); #425929=DIRECTION('center_axis',(0.,0.,1.)); #425930=DIRECTION('ref_axis',(1.,0.,0.)); #425931=DIRECTION('center_axis',(0.,0.,1.)); #425932=DIRECTION('ref_axis',(1.,0.,0.)); #425933=DIRECTION('',(0.,0.,1.)); #425934=DIRECTION('center_axis',(0.,0.,-1.)); #425935=DIRECTION('ref_axis',(1.,0.,0.)); #425936=DIRECTION('center_axis',(0.,0.,1.)); #425937=DIRECTION('ref_axis',(1.,0.,0.)); #425938=DIRECTION('center_axis',(0.,0.,1.)); #425939=DIRECTION('ref_axis',(1.,0.,0.)); #425940=DIRECTION('',(0.,0.,1.)); #425941=DIRECTION('center_axis',(0.,0.,-1.)); #425942=DIRECTION('ref_axis',(1.,0.,0.)); #425943=DIRECTION('center_axis',(0.156447762252248,-0.987686234431899,0.)); #425944=DIRECTION('ref_axis',(0.987686234431899,0.156447762252248,0.)); #425945=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #425946=DIRECTION('',(0.,0.,1.)); #425947=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #425948=DIRECTION('',(0.,0.,1.)); #425949=DIRECTION('center_axis',(0.,0.,1.)); #425950=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #425951=DIRECTION('center_axis',(0.,0.,1.)); #425952=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #425953=DIRECTION('center_axis',(0.,0.,1.)); #425954=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #425955=DIRECTION('',(0.,0.,1.)); #425956=DIRECTION('center_axis',(-1.,0.,0.)); #425957=DIRECTION('ref_axis',(0.,-1.,0.)); #425958=DIRECTION('',(0.,-1.,0.)); #425959=DIRECTION('',(0.,-1.,0.)); #425960=DIRECTION('',(0.,0.,1.)); #425961=DIRECTION('center_axis',(0.,0.,1.)); #425962=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #425963=DIRECTION('center_axis',(0.,0.,1.)); #425964=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #425965=DIRECTION('center_axis',(0.,0.,1.)); #425966=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #425967=DIRECTION('',(0.,0.,1.)); #425968=DIRECTION('center_axis',(0.,0.,1.)); #425969=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #425970=DIRECTION('center_axis',(0.,0.,1.)); #425971=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #425972=DIRECTION('center_axis',(0.,0.,1.)); #425973=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #425974=DIRECTION('',(0.,0.,1.)); #425975=DIRECTION('center_axis',(1.,0.,0.)); #425976=DIRECTION('ref_axis',(0.,1.,0.)); #425977=DIRECTION('',(0.,1.,0.)); #425978=DIRECTION('',(0.,1.,0.)); #425979=DIRECTION('',(0.,0.,1.)); #425980=DIRECTION('center_axis',(0.,0.,1.)); #425981=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #425982=DIRECTION('center_axis',(0.,0.,1.)); #425983=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #425984=DIRECTION('center_axis',(0.,0.,1.)); #425985=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #425986=DIRECTION('center_axis',(0.,0.,1.)); #425987=DIRECTION('ref_axis',(1.,0.,0.)); #425988=DIRECTION('center_axis',(0.,0.,1.)); #425989=DIRECTION('ref_axis',(1.,0.,0.)); #425990=DIRECTION('center_axis',(0.,0.,1.)); #425991=DIRECTION('ref_axis',(1.,0.,0.)); #425992=DIRECTION('center_axis',(0.,0.,1.)); #425993=DIRECTION('ref_axis',(1.,0.,0.)); #425994=DIRECTION('',(0.,0.,1.)); #425995=DIRECTION('center_axis',(0.,0.,-1.)); #425996=DIRECTION('ref_axis',(1.,0.,0.)); #425997=DIRECTION('center_axis',(0.156447762252348,-0.987686234431883,0.)); #425998=DIRECTION('ref_axis',(0.987686234431883,0.156447762252348,0.)); #425999=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #426000=DIRECTION('',(0.,0.,1.)); #426001=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #426002=DIRECTION('',(0.,0.,1.)); #426003=DIRECTION('center_axis',(0.,0.,1.)); #426004=DIRECTION('ref_axis',(-0.46152786593484,0.887125712041779,0.)); #426005=DIRECTION('center_axis',(0.,0.,1.)); #426006=DIRECTION('ref_axis',(-0.46152786593484,0.887125712041779,0.)); #426007=DIRECTION('center_axis',(0.,0.,1.)); #426008=DIRECTION('ref_axis',(-0.46152786593484,0.887125712041779,0.)); #426009=DIRECTION('',(0.,0.,1.)); #426010=DIRECTION('center_axis',(-1.,0.,0.)); #426011=DIRECTION('ref_axis',(0.,-1.,0.)); #426012=DIRECTION('',(0.,-1.,0.)); #426013=DIRECTION('',(0.,-1.,0.)); #426014=DIRECTION('',(0.,0.,1.)); #426015=DIRECTION('center_axis',(0.,-1.,0.)); #426016=DIRECTION('ref_axis',(1.,0.,0.)); #426017=DIRECTION('',(1.,0.,0.)); #426018=DIRECTION('',(1.,0.,0.)); #426019=DIRECTION('',(0.,0.,1.)); #426020=DIRECTION('center_axis',(-1.,0.,0.)); #426021=DIRECTION('ref_axis',(0.,-1.,0.)); #426022=DIRECTION('',(0.,-1.,0.)); #426023=DIRECTION('',(0.,-1.,0.)); #426024=DIRECTION('',(0.,0.,1.)); #426025=DIRECTION('center_axis',(0.,1.,0.)); #426026=DIRECTION('ref_axis',(-1.,0.,0.)); #426027=DIRECTION('',(-1.,0.,0.)); #426028=DIRECTION('',(-1.,0.,0.)); #426029=DIRECTION('',(0.,0.,1.)); #426030=DIRECTION('center_axis',(1.,0.,0.)); #426031=DIRECTION('ref_axis',(0.,1.,0.)); #426032=DIRECTION('',(0.,1.,0.)); #426033=DIRECTION('',(0.,1.,0.)); #426034=DIRECTION('',(0.,0.,1.)); #426035=DIRECTION('center_axis',(0.,-1.,0.)); #426036=DIRECTION('ref_axis',(1.,0.,0.)); #426037=DIRECTION('',(1.,0.,0.)); #426038=DIRECTION('',(1.,0.,0.)); #426039=DIRECTION('',(0.,0.,1.)); #426040=DIRECTION('center_axis',(1.,0.,0.)); #426041=DIRECTION('ref_axis',(0.,1.,0.)); #426042=DIRECTION('',(0.,1.,0.)); #426043=DIRECTION('',(0.,1.,0.)); #426044=DIRECTION('',(0.,0.,1.)); #426045=DIRECTION('center_axis',(0.,0.,1.)); #426046=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #426047=DIRECTION('center_axis',(0.,0.,1.)); #426048=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #426049=DIRECTION('center_axis',(0.,0.,1.)); #426050=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #426051=DIRECTION('center_axis',(0.,0.,1.)); #426052=DIRECTION('ref_axis',(1.,0.,0.)); #426053=DIRECTION('center_axis',(0.,0.,1.)); #426054=DIRECTION('ref_axis',(1.,0.,0.)); #426055=DIRECTION('center_axis',(0.,0.,1.)); #426056=DIRECTION('ref_axis',(1.,0.,0.)); #426057=DIRECTION('center_axis',(0.,0.,1.)); #426058=DIRECTION('ref_axis',(1.,0.,0.)); #426059=DIRECTION('',(0.,0.,1.)); #426060=DIRECTION('center_axis',(0.,0.,-1.)); #426061=DIRECTION('ref_axis',(1.,0.,0.)); #426062=DIRECTION('center_axis',(0.156447762252348,-0.987686234431883,0.)); #426063=DIRECTION('ref_axis',(0.987686234431883,0.156447762252348,0.)); #426064=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #426065=DIRECTION('',(0.,0.,1.)); #426066=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #426067=DIRECTION('',(0.,0.,1.)); #426068=DIRECTION('center_axis',(0.,0.,1.)); #426069=DIRECTION('ref_axis',(-0.46152786593484,0.887125712041779,0.)); #426070=DIRECTION('center_axis',(0.,0.,1.)); #426071=DIRECTION('ref_axis',(-0.46152786593484,0.887125712041779,0.)); #426072=DIRECTION('center_axis',(0.,0.,1.)); #426073=DIRECTION('ref_axis',(-0.46152786593484,0.887125712041779,0.)); #426074=DIRECTION('',(0.,0.,1.)); #426075=DIRECTION('center_axis',(-1.,0.,0.)); #426076=DIRECTION('ref_axis',(0.,-1.,0.)); #426077=DIRECTION('',(0.,-1.,0.)); #426078=DIRECTION('',(0.,-1.,0.)); #426079=DIRECTION('',(0.,0.,1.)); #426080=DIRECTION('center_axis',(0.,-1.,0.)); #426081=DIRECTION('ref_axis',(1.,0.,0.)); #426082=DIRECTION('',(1.,0.,0.)); #426083=DIRECTION('',(1.,0.,0.)); #426084=DIRECTION('',(0.,0.,1.)); #426085=DIRECTION('center_axis',(-1.,0.,0.)); #426086=DIRECTION('ref_axis',(0.,-1.,0.)); #426087=DIRECTION('',(0.,-1.,0.)); #426088=DIRECTION('',(0.,-1.,0.)); #426089=DIRECTION('',(0.,0.,1.)); #426090=DIRECTION('center_axis',(0.,1.,0.)); #426091=DIRECTION('ref_axis',(-1.,0.,0.)); #426092=DIRECTION('',(-1.,0.,0.)); #426093=DIRECTION('',(-1.,0.,0.)); #426094=DIRECTION('',(0.,0.,1.)); #426095=DIRECTION('center_axis',(1.,0.,0.)); #426096=DIRECTION('ref_axis',(0.,1.,0.)); #426097=DIRECTION('',(0.,1.,0.)); #426098=DIRECTION('',(0.,1.,0.)); #426099=DIRECTION('',(0.,0.,1.)); #426100=DIRECTION('center_axis',(0.,-1.,0.)); #426101=DIRECTION('ref_axis',(1.,0.,0.)); #426102=DIRECTION('',(1.,0.,0.)); #426103=DIRECTION('',(1.,0.,0.)); #426104=DIRECTION('',(0.,0.,1.)); #426105=DIRECTION('center_axis',(1.,0.,0.)); #426106=DIRECTION('ref_axis',(0.,1.,0.)); #426107=DIRECTION('',(0.,1.,0.)); #426108=DIRECTION('',(0.,1.,0.)); #426109=DIRECTION('',(0.,0.,1.)); #426110=DIRECTION('center_axis',(0.,0.,1.)); #426111=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #426112=DIRECTION('center_axis',(0.,0.,1.)); #426113=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #426114=DIRECTION('center_axis',(0.,0.,1.)); #426115=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #426116=DIRECTION('center_axis',(0.,0.,1.)); #426117=DIRECTION('ref_axis',(1.,0.,0.)); #426118=DIRECTION('center_axis',(0.,0.,1.)); #426119=DIRECTION('ref_axis',(1.,0.,0.)); #426120=DIRECTION('center_axis',(0.,0.,1.)); #426121=DIRECTION('ref_axis',(1.,0.,0.)); #426122=DIRECTION('center_axis',(0.,0.,1.)); #426123=DIRECTION('ref_axis',(1.,0.,0.)); #426124=DIRECTION('',(0.,0.,1.)); #426125=DIRECTION('center_axis',(0.,0.,-1.)); #426126=DIRECTION('ref_axis',(1.,0.,0.)); #426127=DIRECTION('center_axis',(0.156447762252298,-0.987686234431891,0.)); #426128=DIRECTION('ref_axis',(0.987686234431891,0.156447762252298,0.)); #426129=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #426130=DIRECTION('',(0.,0.,1.)); #426131=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #426132=DIRECTION('',(0.,0.,1.)); #426133=DIRECTION('center_axis',(0.,0.,1.)); #426134=DIRECTION('ref_axis',(-0.888045829238896,-0.459754940344746,0.)); #426135=DIRECTION('center_axis',(0.,0.,1.)); #426136=DIRECTION('ref_axis',(-0.888045829238896,-0.459754940344746,0.)); #426137=DIRECTION('center_axis',(0.,0.,1.)); #426138=DIRECTION('ref_axis',(-0.888045829238896,-0.459754940344746,0.)); #426139=DIRECTION('',(0.,0.,1.)); #426140=DIRECTION('center_axis',(0.,-1.,0.)); #426141=DIRECTION('ref_axis',(1.,0.,0.)); #426142=DIRECTION('',(1.,0.,0.)); #426143=DIRECTION('',(1.,0.,0.)); #426144=DIRECTION('',(0.,0.,1.)); #426145=DIRECTION('center_axis',(0.,0.,1.)); #426146=DIRECTION('ref_axis',(-0.707099830320334,-0.707113731984435,0.)); #426147=DIRECTION('center_axis',(0.,0.,1.)); #426148=DIRECTION('ref_axis',(-0.707099830320334,-0.707113731984435,0.)); #426149=DIRECTION('center_axis',(0.,0.,1.)); #426150=DIRECTION('ref_axis',(-0.707099830320334,-0.707113731984435,0.)); #426151=DIRECTION('',(0.,0.,1.)); #426152=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #426153=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #426154=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #426155=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #426156=DIRECTION('',(0.,0.,1.)); #426157=DIRECTION('center_axis',(0.,0.,1.)); #426158=DIRECTION('ref_axis',(-0.999999999951587,9.84004346893239E-6,0.)); #426159=DIRECTION('center_axis',(0.,0.,1.)); #426160=DIRECTION('ref_axis',(-0.999999999951587,9.84004346893239E-6,0.)); #426161=DIRECTION('center_axis',(0.,0.,1.)); #426162=DIRECTION('ref_axis',(-0.999999999951587,9.84004346893239E-6,0.)); #426163=DIRECTION('',(0.,0.,1.)); #426164=DIRECTION('center_axis',(-1.,0.,0.)); #426165=DIRECTION('ref_axis',(0.,-1.,0.)); #426166=DIRECTION('',(0.,-1.,0.)); #426167=DIRECTION('',(0.,-1.,0.)); #426168=DIRECTION('',(0.,0.,1.)); #426169=DIRECTION('center_axis',(0.,-1.,0.)); #426170=DIRECTION('ref_axis',(1.,0.,0.)); #426171=DIRECTION('',(1.,0.,0.)); #426172=DIRECTION('',(1.,0.,0.)); #426173=DIRECTION('',(0.,0.,1.)); #426174=DIRECTION('center_axis',(-1.,0.,0.)); #426175=DIRECTION('ref_axis',(0.,-1.,0.)); #426176=DIRECTION('',(0.,-1.,0.)); #426177=DIRECTION('',(0.,-1.,0.)); #426178=DIRECTION('',(0.,0.,1.)); #426179=DIRECTION('center_axis',(0.,1.,0.)); #426180=DIRECTION('ref_axis',(-1.,0.,0.)); #426181=DIRECTION('',(-1.,0.,0.)); #426182=DIRECTION('',(-1.,0.,0.)); #426183=DIRECTION('',(0.,0.,1.)); #426184=DIRECTION('center_axis',(1.,0.,0.)); #426185=DIRECTION('ref_axis',(0.,1.,0.)); #426186=DIRECTION('',(0.,1.,0.)); #426187=DIRECTION('',(0.,1.,0.)); #426188=DIRECTION('',(0.,0.,1.)); #426189=DIRECTION('center_axis',(0.,-1.,0.)); #426190=DIRECTION('ref_axis',(1.,0.,0.)); #426191=DIRECTION('',(1.,0.,0.)); #426192=DIRECTION('',(1.,0.,0.)); #426193=DIRECTION('',(0.,0.,1.)); #426194=DIRECTION('center_axis',(1.,0.,0.)); #426195=DIRECTION('ref_axis',(0.,1.,0.)); #426196=DIRECTION('',(0.,1.,0.)); #426197=DIRECTION('',(0.,1.,0.)); #426198=DIRECTION('',(0.,0.,1.)); #426199=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #426200=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #426201=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #426202=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #426203=DIRECTION('',(0.,0.,1.)); #426204=DIRECTION('center_axis',(0.,1.,0.)); #426205=DIRECTION('ref_axis',(-1.,0.,0.)); #426206=DIRECTION('',(-1.,0.,0.)); #426207=DIRECTION('',(-1.,0.,0.)); #426208=DIRECTION('',(0.,0.,1.)); #426209=DIRECTION('center_axis',(0.,0.,1.)); #426210=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #426211=DIRECTION('center_axis',(0.,0.,1.)); #426212=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #426213=DIRECTION('center_axis',(0.,0.,1.)); #426214=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #426215=DIRECTION('',(0.,0.,1.)); #426216=DIRECTION('center_axis',(0.,0.,1.)); #426217=DIRECTION('ref_axis',(0.233449321392221,-0.972368970268751,0.)); #426218=DIRECTION('center_axis',(0.,0.,1.)); #426219=DIRECTION('ref_axis',(0.233449321392221,-0.972368970268751,0.)); #426220=DIRECTION('center_axis',(0.,0.,1.)); #426221=DIRECTION('ref_axis',(0.233449321392221,-0.972368970268751,0.)); #426222=DIRECTION('center_axis',(0.,0.,1.)); #426223=DIRECTION('ref_axis',(1.,0.,0.)); #426224=DIRECTION('center_axis',(0.,0.,1.)); #426225=DIRECTION('ref_axis',(1.,0.,0.)); #426226=DIRECTION('center_axis',(0.,0.,1.)); #426227=DIRECTION('ref_axis',(1.,0.,0.)); #426228=DIRECTION('center_axis',(0.,0.,1.)); #426229=DIRECTION('ref_axis',(1.,0.,0.)); #426230=DIRECTION('',(0.,0.,1.)); #426231=DIRECTION('center_axis',(0.,0.,-1.)); #426232=DIRECTION('ref_axis',(1.,0.,0.)); #426233=DIRECTION('center_axis',(1.,0.,0.)); #426234=DIRECTION('ref_axis',(0.,1.,0.)); #426235=DIRECTION('',(0.,1.,0.)); #426236=DIRECTION('',(0.,0.,1.)); #426237=DIRECTION('',(0.,1.,0.)); #426238=DIRECTION('',(0.,0.,1.)); #426239=DIRECTION('center_axis',(0.,-1.,0.)); #426240=DIRECTION('ref_axis',(1.,0.,0.)); #426241=DIRECTION('',(1.,0.,0.)); #426242=DIRECTION('',(1.,0.,0.)); #426243=DIRECTION('',(0.,0.,1.)); #426244=DIRECTION('center_axis',(-1.,0.,0.)); #426245=DIRECTION('ref_axis',(0.,-1.,0.)); #426246=DIRECTION('',(0.,-1.,0.)); #426247=DIRECTION('',(0.,-1.,0.)); #426248=DIRECTION('',(0.,0.,1.)); #426249=DIRECTION('center_axis',(0.,1.,0.)); #426250=DIRECTION('ref_axis',(-1.,0.,0.)); #426251=DIRECTION('',(-1.,0.,0.)); #426252=DIRECTION('',(-1.,0.,0.)); #426253=DIRECTION('',(0.,0.,1.)); #426254=DIRECTION('center_axis',(1.,0.,0.)); #426255=DIRECTION('ref_axis',(0.,1.,0.)); #426256=DIRECTION('',(0.,1.,0.)); #426257=DIRECTION('',(0.,1.,0.)); #426258=DIRECTION('',(0.,0.,1.)); #426259=DIRECTION('center_axis',(0.,1.,0.)); #426260=DIRECTION('ref_axis',(-1.,0.,0.)); #426261=DIRECTION('',(-1.,0.,0.)); #426262=DIRECTION('',(-1.,0.,0.)); #426263=DIRECTION('',(0.,0.,1.)); #426264=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #426265=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #426266=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #426267=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #426268=DIRECTION('',(0.,0.,1.)); #426269=DIRECTION('center_axis',(-1.,0.,0.)); #426270=DIRECTION('ref_axis',(0.,-1.,0.)); #426271=DIRECTION('',(0.,-1.,0.)); #426272=DIRECTION('',(0.,-1.,0.)); #426273=DIRECTION('',(0.,0.,1.)); #426274=DIRECTION('center_axis',(0.,0.,1.)); #426275=DIRECTION('ref_axis',(-0.146799236638546,0.989166307615833,0.)); #426276=DIRECTION('center_axis',(0.,0.,1.)); #426277=DIRECTION('ref_axis',(-0.146799236638546,0.989166307615833,0.)); #426278=DIRECTION('center_axis',(0.,0.,1.)); #426279=DIRECTION('ref_axis',(-0.146799236638546,0.989166307615833,0.)); #426280=DIRECTION('',(0.,0.,1.)); #426281=DIRECTION('center_axis',(0.,0.,1.)); #426282=DIRECTION('ref_axis',(0.169270053049368,-0.985569707905364,0.)); #426283=DIRECTION('center_axis',(0.,0.,1.)); #426284=DIRECTION('ref_axis',(0.169270053049368,-0.985569707905364,0.)); #426285=DIRECTION('center_axis',(0.,0.,1.)); #426286=DIRECTION('ref_axis',(0.169270053049368,-0.985569707905364,0.)); #426287=DIRECTION('',(0.,0.,1.)); #426288=DIRECTION('center_axis',(1.,0.,0.)); #426289=DIRECTION('ref_axis',(0.,1.,0.)); #426290=DIRECTION('',(0.,1.,0.)); #426291=DIRECTION('',(0.,1.,0.)); #426292=DIRECTION('',(0.,0.,1.)); #426293=DIRECTION('center_axis',(0.,0.,1.)); #426294=DIRECTION('ref_axis',(0.707110948194273,-0.707102614154266,0.)); #426295=DIRECTION('center_axis',(0.,0.,1.)); #426296=DIRECTION('ref_axis',(0.707110948194273,-0.707102614154266,0.)); #426297=DIRECTION('center_axis',(0.,0.,1.)); #426298=DIRECTION('ref_axis',(0.707110948194273,-0.707102614154266,0.)); #426299=DIRECTION('',(0.,0.,1.)); #426300=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #426301=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #426302=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #426303=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #426304=DIRECTION('',(0.,0.,1.)); #426305=DIRECTION('center_axis',(0.,0.,1.)); #426306=DIRECTION('ref_axis',(-5.90313864695794E-6,-0.999999999982576,0.)); #426307=DIRECTION('center_axis',(0.,0.,1.)); #426308=DIRECTION('ref_axis',(-5.90313864695794E-6,-0.999999999982576,0.)); #426309=DIRECTION('center_axis',(0.,0.,1.)); #426310=DIRECTION('ref_axis',(-5.90313864695794E-6,-0.999999999982576,0.)); #426311=DIRECTION('',(0.,0.,1.)); #426312=DIRECTION('center_axis',(0.,-1.,0.)); #426313=DIRECTION('ref_axis',(1.,0.,0.)); #426314=DIRECTION('',(1.,0.,0.)); #426315=DIRECTION('',(1.,0.,0.)); #426316=DIRECTION('center_axis',(0.,0.,1.)); #426317=DIRECTION('ref_axis',(1.,0.,0.)); #426318=DIRECTION('center_axis',(0.,0.,1.)); #426319=DIRECTION('ref_axis',(1.,0.,0.)); #426320=DIRECTION('center_axis',(0.,0.,1.)); #426321=DIRECTION('ref_axis',(1.,0.,0.)); #426322=DIRECTION('center_axis',(0.,0.,1.)); #426323=DIRECTION('ref_axis',(1.,0.,0.)); #426324=DIRECTION('',(0.,0.,1.)); #426325=DIRECTION('center_axis',(0.,0.,-1.)); #426326=DIRECTION('ref_axis',(1.,0.,0.)); #426327=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #426328=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #426329=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #426330=DIRECTION('',(0.,0.,1.)); #426331=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #426332=DIRECTION('',(0.,0.,1.)); #426333=DIRECTION('center_axis',(0.,0.,1.)); #426334=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #426335=DIRECTION('center_axis',(0.,0.,1.)); #426336=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #426337=DIRECTION('center_axis',(0.,0.,1.)); #426338=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #426339=DIRECTION('',(0.,0.,1.)); #426340=DIRECTION('center_axis',(0.,0.,1.)); #426341=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #426342=DIRECTION('center_axis',(0.,0.,1.)); #426343=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #426344=DIRECTION('center_axis',(0.,0.,1.)); #426345=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #426346=DIRECTION('center_axis',(0.,0.,1.)); #426347=DIRECTION('ref_axis',(1.,0.,0.)); #426348=DIRECTION('center_axis',(0.,0.,1.)); #426349=DIRECTION('ref_axis',(1.,0.,0.)); #426350=DIRECTION('center_axis',(0.,0.,1.)); #426351=DIRECTION('ref_axis',(1.,0.,0.)); #426352=DIRECTION('center_axis',(0.,0.,1.)); #426353=DIRECTION('ref_axis',(1.,0.,0.)); #426354=DIRECTION('',(0.,0.,1.)); #426355=DIRECTION('center_axis',(0.,0.,-1.)); #426356=DIRECTION('ref_axis',(1.,0.,0.)); #426357=DIRECTION('center_axis',(1.,0.,0.)); #426358=DIRECTION('ref_axis',(0.,1.,0.)); #426359=DIRECTION('',(0.,1.,0.)); #426360=DIRECTION('',(0.,0.,1.)); #426361=DIRECTION('',(0.,1.,0.)); #426362=DIRECTION('',(0.,0.,1.)); #426363=DIRECTION('center_axis',(0.,-1.,0.)); #426364=DIRECTION('ref_axis',(1.,0.,0.)); #426365=DIRECTION('',(1.,0.,0.)); #426366=DIRECTION('',(1.,0.,0.)); #426367=DIRECTION('',(0.,0.,1.)); #426368=DIRECTION('center_axis',(-1.,0.,0.)); #426369=DIRECTION('ref_axis',(0.,-1.,0.)); #426370=DIRECTION('',(0.,-1.,0.)); #426371=DIRECTION('',(0.,-1.,0.)); #426372=DIRECTION('',(0.,0.,1.)); #426373=DIRECTION('center_axis',(0.,1.,0.)); #426374=DIRECTION('ref_axis',(-1.,0.,0.)); #426375=DIRECTION('',(-1.,0.,0.)); #426376=DIRECTION('',(-1.,0.,0.)); #426377=DIRECTION('',(0.,0.,1.)); #426378=DIRECTION('center_axis',(-1.,0.,0.)); #426379=DIRECTION('ref_axis',(0.,-1.,0.)); #426380=DIRECTION('',(0.,-1.,0.)); #426381=DIRECTION('',(0.,-1.,0.)); #426382=DIRECTION('',(0.,0.,1.)); #426383=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #426384=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #426385=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #426386=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #426387=DIRECTION('',(0.,0.,1.)); #426388=DIRECTION('center_axis',(0.,-1.,0.)); #426389=DIRECTION('ref_axis',(1.,0.,0.)); #426390=DIRECTION('',(1.,0.,0.)); #426391=DIRECTION('',(1.,0.,0.)); #426392=DIRECTION('',(0.,0.,1.)); #426393=DIRECTION('center_axis',(0.,0.,1.)); #426394=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #426395=DIRECTION('center_axis',(0.,0.,1.)); #426396=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #426397=DIRECTION('center_axis',(0.,0.,1.)); #426398=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #426399=DIRECTION('',(0.,0.,1.)); #426400=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546, 0.)); #426401=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.)); #426402=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #426403=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #426404=DIRECTION('',(0.,0.,1.)); #426405=DIRECTION('center_axis',(0.,-1.,0.)); #426406=DIRECTION('ref_axis',(1.,0.,0.)); #426407=DIRECTION('',(1.,0.,0.)); #426408=DIRECTION('',(1.,0.,0.)); #426409=DIRECTION('',(0.,0.,1.)); #426410=DIRECTION('center_axis',(0.,0.,1.)); #426411=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #426412=DIRECTION('center_axis',(0.,0.,1.)); #426413=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #426414=DIRECTION('center_axis',(0.,0.,1.)); #426415=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #426416=DIRECTION('',(0.,0.,1.)); #426417=DIRECTION('center_axis',(0.,0.,1.)); #426418=DIRECTION('ref_axis',(0.887013571520806,0.46174335288979,0.)); #426419=DIRECTION('center_axis',(0.,0.,1.)); #426420=DIRECTION('ref_axis',(0.887013571520806,0.46174335288979,0.)); #426421=DIRECTION('center_axis',(0.,0.,1.)); #426422=DIRECTION('ref_axis',(0.887013571520806,0.46174335288979,0.)); #426423=DIRECTION('',(0.,0.,1.)); #426424=DIRECTION('center_axis',(0.,1.,0.)); #426425=DIRECTION('ref_axis',(-1.,0.,0.)); #426426=DIRECTION('',(-1.,0.,0.)); #426427=DIRECTION('',(-1.,0.,0.)); #426428=DIRECTION('',(0.,0.,1.)); #426429=DIRECTION('center_axis',(0.,0.,1.)); #426430=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #426431=DIRECTION('center_axis',(0.,0.,1.)); #426432=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #426433=DIRECTION('center_axis',(0.,0.,1.)); #426434=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #426435=DIRECTION('',(0.,0.,1.)); #426436=DIRECTION('center_axis',(0.70710678118655,0.707106781186545,0.)); #426437=DIRECTION('ref_axis',(-0.707106781186545,0.70710678118655,0.)); #426438=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #426439=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #426440=DIRECTION('',(0.,0.,1.)); #426441=DIRECTION('center_axis',(0.,1.,0.)); #426442=DIRECTION('ref_axis',(-1.,0.,0.)); #426443=DIRECTION('',(-1.,0.,0.)); #426444=DIRECTION('',(-1.,0.,0.)); #426445=DIRECTION('',(0.,0.,1.)); #426446=DIRECTION('center_axis',(0.,0.,1.)); #426447=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #426448=DIRECTION('center_axis',(0.,0.,1.)); #426449=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #426450=DIRECTION('center_axis',(0.,0.,1.)); #426451=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #426452=DIRECTION('',(0.,0.,1.)); #426453=DIRECTION('center_axis',(0.707106781186551,0.707106781186544,0.)); #426454=DIRECTION('ref_axis',(-0.707106781186544,0.707106781186551,0.)); #426455=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #426456=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #426457=DIRECTION('',(0.,0.,1.)); #426458=DIRECTION('center_axis',(0.,0.,1.)); #426459=DIRECTION('ref_axis',(0.999999999982576,-5.90313861199038E-6,0.)); #426460=DIRECTION('center_axis',(0.,0.,1.)); #426461=DIRECTION('ref_axis',(0.999999999982576,-5.90313861199038E-6,0.)); #426462=DIRECTION('center_axis',(0.,0.,1.)); #426463=DIRECTION('ref_axis',(0.999999999982576,-5.90313861199038E-6,0.)); #426464=DIRECTION('',(0.,0.,1.)); #426465=DIRECTION('center_axis',(1.,0.,0.)); #426466=DIRECTION('ref_axis',(0.,1.,0.)); #426467=DIRECTION('',(0.,1.,0.)); #426468=DIRECTION('',(0.,1.,0.)); #426469=DIRECTION('',(0.,0.,1.)); #426470=DIRECTION('center_axis',(0.,1.,0.)); #426471=DIRECTION('ref_axis',(-1.,0.,0.)); #426472=DIRECTION('',(-1.,0.,0.)); #426473=DIRECTION('',(-1.,0.,0.)); #426474=DIRECTION('center_axis',(0.,0.,1.)); #426475=DIRECTION('ref_axis',(1.,0.,0.)); #426476=DIRECTION('center_axis',(0.,0.,1.)); #426477=DIRECTION('ref_axis',(1.,0.,0.)); #426478=DIRECTION('center_axis',(0.,0.,1.)); #426479=DIRECTION('ref_axis',(1.,0.,0.)); #426480=DIRECTION('center_axis',(0.,0.,1.)); #426481=DIRECTION('ref_axis',(1.,0.,0.)); #426482=DIRECTION('',(0.,0.,1.)); #426483=DIRECTION('center_axis',(0.,0.,-1.)); #426484=DIRECTION('ref_axis',(1.,0.,0.)); #426485=DIRECTION('center_axis',(1.,0.,0.)); #426486=DIRECTION('ref_axis',(0.,1.,0.)); #426487=DIRECTION('',(0.,1.,0.)); #426488=DIRECTION('',(0.,0.,1.)); #426489=DIRECTION('',(0.,1.,0.)); #426490=DIRECTION('',(0.,0.,1.)); #426491=DIRECTION('center_axis',(0.,-1.,0.)); #426492=DIRECTION('ref_axis',(1.,0.,0.)); #426493=DIRECTION('',(1.,0.,0.)); #426494=DIRECTION('',(1.,0.,0.)); #426495=DIRECTION('',(0.,0.,1.)); #426496=DIRECTION('center_axis',(-1.,0.,0.)); #426497=DIRECTION('ref_axis',(0.,-1.,0.)); #426498=DIRECTION('',(0.,-1.,0.)); #426499=DIRECTION('',(0.,-1.,0.)); #426500=DIRECTION('',(0.,0.,1.)); #426501=DIRECTION('center_axis',(0.,-1.,0.)); #426502=DIRECTION('ref_axis',(1.,0.,0.)); #426503=DIRECTION('',(1.,0.,0.)); #426504=DIRECTION('',(1.,0.,0.)); #426505=DIRECTION('',(0.,0.,1.)); #426506=DIRECTION('center_axis',(0.,0.,1.)); #426507=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #426508=DIRECTION('center_axis',(0.,0.,1.)); #426509=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #426510=DIRECTION('center_axis',(0.,0.,1.)); #426511=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #426512=DIRECTION('',(0.,0.,1.)); #426513=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #426514=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #426515=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #426516=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #426517=DIRECTION('',(0.,0.,1.)); #426518=DIRECTION('center_axis',(0.,0.,1.)); #426519=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #426520=DIRECTION('center_axis',(0.,0.,1.)); #426521=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #426522=DIRECTION('center_axis',(0.,0.,1.)); #426523=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #426524=DIRECTION('',(0.,0.,1.)); #426525=DIRECTION('center_axis',(-1.,0.,0.)); #426526=DIRECTION('ref_axis',(0.,-1.,0.)); #426527=DIRECTION('',(0.,-1.,0.)); #426528=DIRECTION('',(0.,-1.,0.)); #426529=DIRECTION('',(0.,0.,1.)); #426530=DIRECTION('center_axis',(0.,0.,1.)); #426531=DIRECTION('ref_axis',(-0.14679923663854,0.989166307615834,0.)); #426532=DIRECTION('center_axis',(0.,0.,1.)); #426533=DIRECTION('ref_axis',(-0.14679923663854,0.989166307615834,0.)); #426534=DIRECTION('center_axis',(0.,0.,1.)); #426535=DIRECTION('ref_axis',(-0.14679923663854,0.989166307615834,0.)); #426536=DIRECTION('',(0.,0.,1.)); #426537=DIRECTION('center_axis',(0.,0.,1.)); #426538=DIRECTION('ref_axis',(0.169270053049368,-0.985569707905364,0.)); #426539=DIRECTION('center_axis',(0.,0.,1.)); #426540=DIRECTION('ref_axis',(0.169270053049368,-0.985569707905364,0.)); #426541=DIRECTION('center_axis',(0.,0.,1.)); #426542=DIRECTION('ref_axis',(0.169270053049368,-0.985569707905364,0.)); #426543=DIRECTION('',(0.,0.,1.)); #426544=DIRECTION('center_axis',(1.,0.,0.)); #426545=DIRECTION('ref_axis',(0.,1.,0.)); #426546=DIRECTION('',(0.,1.,0.)); #426547=DIRECTION('',(0.,1.,0.)); #426548=DIRECTION('',(0.,0.,1.)); #426549=DIRECTION('center_axis',(0.707106781186551,0.707106781186544,0.)); #426550=DIRECTION('ref_axis',(-0.707106781186544,0.707106781186551,0.)); #426551=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #426552=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #426553=DIRECTION('',(0.,0.,1.)); #426554=DIRECTION('center_axis',(0.,1.,0.)); #426555=DIRECTION('ref_axis',(-1.,0.,0.)); #426556=DIRECTION('',(-1.,0.,0.)); #426557=DIRECTION('',(-1.,0.,0.)); #426558=DIRECTION('',(0.,0.,1.)); #426559=DIRECTION('center_axis',(-1.,0.,0.)); #426560=DIRECTION('ref_axis',(0.,-1.,0.)); #426561=DIRECTION('',(0.,-1.,0.)); #426562=DIRECTION('',(0.,-1.,0.)); #426563=DIRECTION('',(0.,0.,1.)); #426564=DIRECTION('center_axis',(0.,1.,0.)); #426565=DIRECTION('ref_axis',(-1.,0.,0.)); #426566=DIRECTION('',(-1.,0.,0.)); #426567=DIRECTION('',(-1.,0.,0.)); #426568=DIRECTION('center_axis',(0.,0.,1.)); #426569=DIRECTION('ref_axis',(1.,0.,0.)); #426570=DIRECTION('center_axis',(0.,0.,1.)); #426571=DIRECTION('ref_axis',(1.,0.,0.)); #426572=DIRECTION('center_axis',(1.,0.,0.)); #426573=DIRECTION('ref_axis',(0.,1.,0.)); #426574=DIRECTION('',(0.,1.,0.)); #426575=DIRECTION('',(0.,0.,1.)); #426576=DIRECTION('',(0.,1.,0.)); #426577=DIRECTION('',(0.,0.,1.)); #426578=DIRECTION('center_axis',(0.,-1.,0.)); #426579=DIRECTION('ref_axis',(1.,0.,0.)); #426580=DIRECTION('',(1.,0.,0.)); #426581=DIRECTION('',(1.,0.,0.)); #426582=DIRECTION('',(0.,0.,1.)); #426583=DIRECTION('center_axis',(-1.,0.,0.)); #426584=DIRECTION('ref_axis',(0.,-1.,0.)); #426585=DIRECTION('',(0.,-1.,0.)); #426586=DIRECTION('',(0.,-1.,0.)); #426587=DIRECTION('',(0.,0.,1.)); #426588=DIRECTION('center_axis',(0.,1.,0.)); #426589=DIRECTION('ref_axis',(-1.,0.,0.)); #426590=DIRECTION('',(-1.,0.,0.)); #426591=DIRECTION('',(-1.,0.,0.)); #426592=DIRECTION('',(0.,0.,1.)); #426593=DIRECTION('center_axis',(-1.,0.,0.)); #426594=DIRECTION('ref_axis',(0.,-1.,0.)); #426595=DIRECTION('',(0.,-1.,0.)); #426596=DIRECTION('',(0.,-1.,0.)); #426597=DIRECTION('',(0.,0.,1.)); #426598=DIRECTION('center_axis',(0.,0.,1.)); #426599=DIRECTION('ref_axis',(-0.707110948194238,0.707102614154301,0.)); #426600=DIRECTION('center_axis',(0.,0.,1.)); #426601=DIRECTION('ref_axis',(-0.707110948194238,0.707102614154301,0.)); #426602=DIRECTION('center_axis',(0.,0.,1.)); #426603=DIRECTION('ref_axis',(-0.707110948194238,0.707102614154301,0.)); #426604=DIRECTION('',(0.,0.,1.)); #426605=DIRECTION('center_axis',(-0.707106781186554,0.707106781186542,0.)); #426606=DIRECTION('ref_axis',(-0.707106781186541,-0.707106781186553,0.)); #426607=DIRECTION('',(-0.707106781186542,-0.707106781186553,0.)); #426608=DIRECTION('',(-0.707106781186542,-0.707106781186553,0.)); #426609=DIRECTION('',(0.,0.,1.)); #426610=DIRECTION('center_axis',(-1.,0.,0.)); #426611=DIRECTION('ref_axis',(0.,-1.,0.)); #426612=DIRECTION('',(0.,-1.,0.)); #426613=DIRECTION('',(0.,-1.,0.)); #426614=DIRECTION('',(0.,0.,1.)); #426615=DIRECTION('center_axis',(0.,1.,0.)); #426616=DIRECTION('ref_axis',(-1.,0.,0.)); #426617=DIRECTION('',(-1.,0.,0.)); #426618=DIRECTION('',(-1.,0.,0.)); #426619=DIRECTION('',(0.,0.,1.)); #426620=DIRECTION('center_axis',(1.,0.,0.)); #426621=DIRECTION('ref_axis',(0.,1.,0.)); #426622=DIRECTION('',(0.,1.,0.)); #426623=DIRECTION('',(0.,1.,0.)); #426624=DIRECTION('',(0.,0.,1.)); #426625=DIRECTION('center_axis',(0.,-1.,0.)); #426626=DIRECTION('ref_axis',(1.,0.,0.)); #426627=DIRECTION('',(1.,0.,0.)); #426628=DIRECTION('',(1.,0.,0.)); #426629=DIRECTION('',(0.,0.,1.)); #426630=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #426631=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #426632=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #426633=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #426634=DIRECTION('',(0.,0.,1.)); #426635=DIRECTION('center_axis',(1.,0.,0.)); #426636=DIRECTION('ref_axis',(0.,1.,0.)); #426637=DIRECTION('',(0.,1.,0.)); #426638=DIRECTION('',(0.,1.,0.)); #426639=DIRECTION('',(0.,0.,1.)); #426640=DIRECTION('center_axis',(0.,1.,0.)); #426641=DIRECTION('ref_axis',(-1.,0.,0.)); #426642=DIRECTION('',(-1.,0.,0.)); #426643=DIRECTION('',(-1.,0.,0.)); #426644=DIRECTION('center_axis',(0.,0.,1.)); #426645=DIRECTION('ref_axis',(1.,0.,0.)); #426646=DIRECTION('center_axis',(0.,0.,1.)); #426647=DIRECTION('ref_axis',(1.,0.,0.)); #426648=DIRECTION('center_axis',(1.,0.,0.)); #426649=DIRECTION('ref_axis',(0.,1.,0.)); #426650=DIRECTION('',(0.,1.,0.)); #426651=DIRECTION('',(0.,0.,1.)); #426652=DIRECTION('',(0.,1.,0.)); #426653=DIRECTION('',(0.,0.,1.)); #426654=DIRECTION('center_axis',(0.,-1.,0.)); #426655=DIRECTION('ref_axis',(1.,0.,0.)); #426656=DIRECTION('',(1.,0.,0.)); #426657=DIRECTION('',(1.,0.,0.)); #426658=DIRECTION('',(0.,0.,1.)); #426659=DIRECTION('center_axis',(-1.,0.,0.)); #426660=DIRECTION('ref_axis',(0.,-1.,0.)); #426661=DIRECTION('',(0.,-1.,0.)); #426662=DIRECTION('',(0.,-1.,0.)); #426663=DIRECTION('',(0.,0.,1.)); #426664=DIRECTION('center_axis',(0.,1.,0.)); #426665=DIRECTION('ref_axis',(-1.,0.,0.)); #426666=DIRECTION('',(-1.,0.,0.)); #426667=DIRECTION('',(-1.,0.,0.)); #426668=DIRECTION('',(0.,0.,1.)); #426669=DIRECTION('center_axis',(-1.,0.,0.)); #426670=DIRECTION('ref_axis',(0.,-1.,0.)); #426671=DIRECTION('',(0.,-1.,0.)); #426672=DIRECTION('',(0.,-1.,0.)); #426673=DIRECTION('',(0.,0.,1.)); #426674=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #426675=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #426676=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #426677=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #426678=DIRECTION('',(0.,0.,1.)); #426679=DIRECTION('center_axis',(0.,-1.,0.)); #426680=DIRECTION('ref_axis',(1.,0.,0.)); #426681=DIRECTION('',(1.,0.,0.)); #426682=DIRECTION('',(1.,0.,0.)); #426683=DIRECTION('',(0.,0.,1.)); #426684=DIRECTION('center_axis',(-1.,0.,0.)); #426685=DIRECTION('ref_axis',(0.,-1.,0.)); #426686=DIRECTION('',(0.,-1.,0.)); #426687=DIRECTION('',(0.,-1.,0.)); #426688=DIRECTION('',(0.,0.,1.)); #426689=DIRECTION('center_axis',(0.,1.,0.)); #426690=DIRECTION('ref_axis',(-1.,0.,0.)); #426691=DIRECTION('',(-1.,0.,0.)); #426692=DIRECTION('',(-1.,0.,0.)); #426693=DIRECTION('',(0.,0.,1.)); #426694=DIRECTION('center_axis',(1.,0.,0.)); #426695=DIRECTION('ref_axis',(0.,1.,0.)); #426696=DIRECTION('',(0.,1.,0.)); #426697=DIRECTION('',(0.,1.,0.)); #426698=DIRECTION('',(0.,0.,1.)); #426699=DIRECTION('center_axis',(0.707106781186554,0.707106781186542,0.)); #426700=DIRECTION('ref_axis',(-0.707106781186541,0.707106781186553,0.)); #426701=DIRECTION('',(-0.707106781186542,0.707106781186553,0.)); #426702=DIRECTION('',(-0.707106781186542,0.707106781186553,0.)); #426703=DIRECTION('',(0.,0.,1.)); #426704=DIRECTION('center_axis',(0.,0.,1.)); #426705=DIRECTION('ref_axis',(0.999999999982576,-5.90313861199038E-6,0.)); #426706=DIRECTION('center_axis',(0.,0.,1.)); #426707=DIRECTION('ref_axis',(0.999999999982576,-5.90313861199038E-6,0.)); #426708=DIRECTION('center_axis',(0.,0.,1.)); #426709=DIRECTION('ref_axis',(0.999999999982576,-5.90313861199038E-6,0.)); #426710=DIRECTION('',(0.,0.,1.)); #426711=DIRECTION('center_axis',(1.,0.,0.)); #426712=DIRECTION('ref_axis',(0.,1.,0.)); #426713=DIRECTION('',(0.,1.,0.)); #426714=DIRECTION('',(0.,1.,0.)); #426715=DIRECTION('',(0.,0.,1.)); #426716=DIRECTION('center_axis',(0.,1.,0.)); #426717=DIRECTION('ref_axis',(-1.,0.,0.)); #426718=DIRECTION('',(-1.,0.,0.)); #426719=DIRECTION('',(-1.,0.,0.)); #426720=DIRECTION('center_axis',(0.,0.,1.)); #426721=DIRECTION('ref_axis',(1.,0.,0.)); #426722=DIRECTION('center_axis',(0.,0.,1.)); #426723=DIRECTION('ref_axis',(1.,0.,0.)); #426724=DIRECTION('center_axis',(0.,0.,1.)); #426725=DIRECTION('ref_axis',(1.,0.,0.)); #426726=DIRECTION('center_axis',(0.,0.,1.)); #426727=DIRECTION('ref_axis',(1.,0.,0.)); #426728=DIRECTION('',(0.,0.,1.)); #426729=DIRECTION('center_axis',(0.,0.,-1.)); #426730=DIRECTION('ref_axis',(1.,0.,0.)); #426731=DIRECTION('center_axis',(0.110976900110203,-0.993822986070422,0.)); #426732=DIRECTION('ref_axis',(0.993822986070422,0.110976900110203,0.)); #426733=DIRECTION('',(0.993822986070422,0.110976900110203,0.)); #426734=DIRECTION('',(0.,0.,1.)); #426735=DIRECTION('',(0.993822986070422,0.110976900110203,0.)); #426736=DIRECTION('',(0.,0.,1.)); #426737=DIRECTION('center_axis',(0.,-1.,0.)); #426738=DIRECTION('ref_axis',(1.,0.,0.)); #426739=DIRECTION('',(1.,0.,0.)); #426740=DIRECTION('',(1.,0.,0.)); #426741=DIRECTION('',(0.,0.,1.)); #426742=DIRECTION('center_axis',(0.,0.,1.)); #426743=DIRECTION('ref_axis',(-0.707102614154214,-0.707110948194325,0.)); #426744=DIRECTION('center_axis',(0.,0.,1.)); #426745=DIRECTION('ref_axis',(-0.707102614154214,-0.707110948194325,0.)); #426746=DIRECTION('center_axis',(0.,0.,1.)); #426747=DIRECTION('ref_axis',(-0.707102614154214,-0.707110948194325,0.)); #426748=DIRECTION('',(0.,0.,1.)); #426749=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #426750=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #426751=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #426752=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #426753=DIRECTION('',(0.,0.,1.)); #426754=DIRECTION('center_axis',(0.,0.,1.)); #426755=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #426756=DIRECTION('center_axis',(0.,0.,1.)); #426757=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #426758=DIRECTION('center_axis',(0.,0.,1.)); #426759=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #426760=DIRECTION('',(0.,0.,1.)); #426761=DIRECTION('center_axis',(-1.,0.,0.)); #426762=DIRECTION('ref_axis',(0.,-1.,0.)); #426763=DIRECTION('',(0.,-1.,0.)); #426764=DIRECTION('',(0.,-1.,0.)); #426765=DIRECTION('',(0.,0.,1.)); #426766=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #426767=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #426768=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #426769=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #426770=DIRECTION('',(0.,0.,1.)); #426771=DIRECTION('center_axis',(0.,0.,1.)); #426772=DIRECTION('ref_axis',(-0.999999999982576,5.90313861198996E-6,0.)); #426773=DIRECTION('center_axis',(0.,0.,1.)); #426774=DIRECTION('ref_axis',(-0.999999999982576,5.90313861198996E-6,0.)); #426775=DIRECTION('center_axis',(0.,0.,1.)); #426776=DIRECTION('ref_axis',(-0.999999999982576,5.90313861198996E-6,0.)); #426777=DIRECTION('',(0.,0.,1.)); #426778=DIRECTION('center_axis',(-1.,0.,0.)); #426779=DIRECTION('ref_axis',(0.,-1.,0.)); #426780=DIRECTION('',(0.,-1.,0.)); #426781=DIRECTION('',(0.,-1.,0.)); #426782=DIRECTION('',(0.,0.,1.)); #426783=DIRECTION('center_axis',(0.,-1.,0.)); #426784=DIRECTION('ref_axis',(1.,0.,0.)); #426785=DIRECTION('',(1.,0.,0.)); #426786=DIRECTION('',(1.,0.,0.)); #426787=DIRECTION('',(0.,0.,1.)); #426788=DIRECTION('center_axis',(-1.,0.,0.)); #426789=DIRECTION('ref_axis',(0.,-1.,0.)); #426790=DIRECTION('',(0.,-1.,0.)); #426791=DIRECTION('',(0.,-1.,0.)); #426792=DIRECTION('',(0.,0.,1.)); #426793=DIRECTION('center_axis',(0.,1.,0.)); #426794=DIRECTION('ref_axis',(-1.,0.,0.)); #426795=DIRECTION('',(-1.,0.,0.)); #426796=DIRECTION('',(-1.,0.,0.)); #426797=DIRECTION('',(0.,0.,1.)); #426798=DIRECTION('center_axis',(1.,0.,0.)); #426799=DIRECTION('ref_axis',(0.,1.,0.)); #426800=DIRECTION('',(0.,1.,0.)); #426801=DIRECTION('',(0.,1.,0.)); #426802=DIRECTION('',(0.,0.,1.)); #426803=DIRECTION('center_axis',(0.,-1.,0.)); #426804=DIRECTION('ref_axis',(1.,0.,0.)); #426805=DIRECTION('',(1.,0.,0.)); #426806=DIRECTION('',(1.,0.,0.)); #426807=DIRECTION('',(0.,0.,1.)); #426808=DIRECTION('center_axis',(1.,0.,0.)); #426809=DIRECTION('ref_axis',(0.,1.,0.)); #426810=DIRECTION('',(0.,1.,0.)); #426811=DIRECTION('',(0.,1.,0.)); #426812=DIRECTION('',(0.,0.,1.)); #426813=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #426814=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #426815=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #426816=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #426817=DIRECTION('',(0.,0.,1.)); #426818=DIRECTION('center_axis',(0.,0.,1.)); #426819=DIRECTION('ref_axis',(0.999999999982576,-5.9031386819251E-6,0.)); #426820=DIRECTION('center_axis',(0.,0.,1.)); #426821=DIRECTION('ref_axis',(0.999999999982576,-5.9031386819251E-6,0.)); #426822=DIRECTION('center_axis',(0.,0.,1.)); #426823=DIRECTION('ref_axis',(0.999999999982576,-5.9031386819251E-6,0.)); #426824=DIRECTION('',(0.,0.,1.)); #426825=DIRECTION('center_axis',(1.,0.,0.)); #426826=DIRECTION('ref_axis',(0.,1.,0.)); #426827=DIRECTION('',(0.,1.,0.)); #426828=DIRECTION('',(0.,1.,0.)); #426829=DIRECTION('',(0.,0.,1.)); #426830=DIRECTION('center_axis',(0.707106781186551,0.707106781186544,0.)); #426831=DIRECTION('ref_axis',(-0.707106781186544,0.707106781186551,0.)); #426832=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #426833=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #426834=DIRECTION('',(0.,0.,1.)); #426835=DIRECTION('center_axis',(0.,1.,0.)); #426836=DIRECTION('ref_axis',(-1.,0.,0.)); #426837=DIRECTION('',(-1.,0.,0.)); #426838=DIRECTION('',(-1.,0.,0.)); #426839=DIRECTION('',(0.,0.,1.)); #426840=DIRECTION('center_axis',(-0.707106781186552,0.707106781186543,0.)); #426841=DIRECTION('ref_axis',(-0.707106781186543,-0.707106781186552,0.)); #426842=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #426843=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #426844=DIRECTION('',(0.,0.,1.)); #426845=DIRECTION('center_axis',(0.,0.,1.)); #426846=DIRECTION('ref_axis',(5.90313871689267E-6,0.999999999982576,0.)); #426847=DIRECTION('center_axis',(0.,0.,1.)); #426848=DIRECTION('ref_axis',(5.90313871689267E-6,0.999999999982576,0.)); #426849=DIRECTION('center_axis',(0.,0.,1.)); #426850=DIRECTION('ref_axis',(5.90313871689267E-6,0.999999999982576,0.)); #426851=DIRECTION('',(0.,0.,1.)); #426852=DIRECTION('center_axis',(0.,1.,0.)); #426853=DIRECTION('ref_axis',(-1.,0.,0.)); #426854=DIRECTION('',(-1.,0.,0.)); #426855=DIRECTION('',(-1.,0.,0.)); #426856=DIRECTION('',(0.,0.,1.)); #426857=DIRECTION('center_axis',(-1.,0.,0.)); #426858=DIRECTION('ref_axis',(0.,-1.,0.)); #426859=DIRECTION('',(0.,-1.,0.)); #426860=DIRECTION('',(0.,-1.,0.)); #426861=DIRECTION('',(0.,0.,1.)); #426862=DIRECTION('center_axis',(0.,1.,0.)); #426863=DIRECTION('ref_axis',(-1.,0.,0.)); #426864=DIRECTION('',(-1.,0.,0.)); #426865=DIRECTION('',(-1.,0.,0.)); #426866=DIRECTION('',(0.,0.,1.)); #426867=DIRECTION('center_axis',(1.,0.,0.)); #426868=DIRECTION('ref_axis',(0.,1.,0.)); #426869=DIRECTION('',(0.,1.,0.)); #426870=DIRECTION('',(0.,1.,0.)); #426871=DIRECTION('',(0.,0.,1.)); #426872=DIRECTION('center_axis',(0.,1.,0.)); #426873=DIRECTION('ref_axis',(-1.,0.,0.)); #426874=DIRECTION('',(-1.,0.,0.)); #426875=DIRECTION('',(-1.,0.,0.)); #426876=DIRECTION('',(0.,0.,1.)); #426877=DIRECTION('center_axis',(-1.,0.,0.)); #426878=DIRECTION('ref_axis',(0.,-1.,0.)); #426879=DIRECTION('',(0.,-1.,0.)); #426880=DIRECTION('',(0.,-1.,0.)); #426881=DIRECTION('',(0.,0.,1.)); #426882=DIRECTION('center_axis',(0.,1.,0.)); #426883=DIRECTION('ref_axis',(-1.,0.,0.)); #426884=DIRECTION('',(-1.,0.,0.)); #426885=DIRECTION('',(-1.,0.,0.)); #426886=DIRECTION('',(0.,0.,1.)); #426887=DIRECTION('center_axis',(1.,0.,0.)); #426888=DIRECTION('ref_axis',(0.,1.,0.)); #426889=DIRECTION('',(0.,1.,0.)); #426890=DIRECTION('',(0.,1.,0.)); #426891=DIRECTION('',(0.,0.,1.)); #426892=DIRECTION('center_axis',(0.,-1.,0.)); #426893=DIRECTION('ref_axis',(1.,0.,0.)); #426894=DIRECTION('',(1.,0.,0.)); #426895=DIRECTION('',(1.,0.,0.)); #426896=DIRECTION('',(0.,0.,1.)); #426897=DIRECTION('center_axis',(-1.,0.,0.)); #426898=DIRECTION('ref_axis',(0.,-1.,0.)); #426899=DIRECTION('',(0.,-1.,0.)); #426900=DIRECTION('',(0.,-1.,0.)); #426901=DIRECTION('',(0.,0.,1.)); #426902=DIRECTION('center_axis',(0.,-1.,0.)); #426903=DIRECTION('ref_axis',(1.,0.,0.)); #426904=DIRECTION('',(1.,0.,0.)); #426905=DIRECTION('',(1.,0.,0.)); #426906=DIRECTION('',(0.,0.,1.)); #426907=DIRECTION('center_axis',(1.,0.,0.)); #426908=DIRECTION('ref_axis',(0.,1.,0.)); #426909=DIRECTION('',(0.,1.,0.)); #426910=DIRECTION('',(0.,1.,0.)); #426911=DIRECTION('',(0.,0.,1.)); #426912=DIRECTION('center_axis',(0.,-1.,0.)); #426913=DIRECTION('ref_axis',(1.,0.,0.)); #426914=DIRECTION('',(1.,0.,0.)); #426915=DIRECTION('',(1.,0.,0.)); #426916=DIRECTION('',(0.,0.,1.)); #426917=DIRECTION('center_axis',(-1.,0.,0.)); #426918=DIRECTION('ref_axis',(0.,-1.,0.)); #426919=DIRECTION('',(0.,-1.,0.)); #426920=DIRECTION('',(0.,-1.,0.)); #426921=DIRECTION('',(0.,0.,1.)); #426922=DIRECTION('center_axis',(0.,-1.,0.)); #426923=DIRECTION('ref_axis',(1.,0.,0.)); #426924=DIRECTION('',(1.,0.,0.)); #426925=DIRECTION('',(1.,0.,0.)); #426926=DIRECTION('',(0.,0.,1.)); #426927=DIRECTION('center_axis',(0.707106781186551,-0.707106781186544,0.)); #426928=DIRECTION('ref_axis',(0.707106781186544,0.707106781186551,0.)); #426929=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #426930=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #426931=DIRECTION('',(0.,0.,1.)); #426932=DIRECTION('center_axis',(0.,0.,1.)); #426933=DIRECTION('ref_axis',(0.0377412517004697,-0.999287545164094,0.)); #426934=DIRECTION('center_axis',(0.,0.,1.)); #426935=DIRECTION('ref_axis',(0.0377412517004697,-0.999287545164094,0.)); #426936=DIRECTION('center_axis',(0.,0.,1.)); #426937=DIRECTION('ref_axis',(0.0377412517004697,-0.999287545164094,0.)); #426938=DIRECTION('center_axis',(0.,0.,1.)); #426939=DIRECTION('ref_axis',(1.,0.,0.)); #426940=DIRECTION('center_axis',(0.,0.,1.)); #426941=DIRECTION('ref_axis',(1.,0.,0.)); #426942=DIRECTION('center_axis',(0.,0.,1.)); #426943=DIRECTION('ref_axis',(1.,0.,0.)); #426944=DIRECTION('center_axis',(0.,0.,1.)); #426945=DIRECTION('ref_axis',(1.,0.,0.)); #426946=DIRECTION('',(0.,0.,1.)); #426947=DIRECTION('center_axis',(0.,0.,-1.)); #426948=DIRECTION('ref_axis',(1.,0.,0.)); #426949=DIRECTION('center_axis',(0.,0.,1.)); #426950=DIRECTION('ref_axis',(1.,0.,0.)); #426951=DIRECTION('center_axis',(0.,0.,1.)); #426952=DIRECTION('ref_axis',(1.,0.,0.)); #426953=DIRECTION('',(0.,0.,1.)); #426954=DIRECTION('center_axis',(0.,0.,-1.)); #426955=DIRECTION('ref_axis',(1.,0.,0.)); #426956=DIRECTION('center_axis',(0.156447762252232,-0.987686234431902,0.)); #426957=DIRECTION('ref_axis',(0.987686234431902,0.156447762252232,0.)); #426958=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #426959=DIRECTION('',(0.,0.,1.)); #426960=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #426961=DIRECTION('',(0.,0.,1.)); #426962=DIRECTION('center_axis',(0.,0.,1.)); #426963=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #426964=DIRECTION('center_axis',(0.,0.,1.)); #426965=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #426966=DIRECTION('center_axis',(0.,0.,1.)); #426967=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #426968=DIRECTION('',(0.,0.,1.)); #426969=DIRECTION('center_axis',(-1.,0.,0.)); #426970=DIRECTION('ref_axis',(0.,-1.,0.)); #426971=DIRECTION('',(0.,-1.,0.)); #426972=DIRECTION('',(0.,-1.,0.)); #426973=DIRECTION('',(0.,0.,1.)); #426974=DIRECTION('center_axis',(0.,0.,1.)); #426975=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #426976=DIRECTION('center_axis',(0.,0.,1.)); #426977=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #426978=DIRECTION('center_axis',(0.,0.,1.)); #426979=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #426980=DIRECTION('',(0.,0.,1.)); #426981=DIRECTION('center_axis',(0.,0.,1.)); #426982=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #426983=DIRECTION('center_axis',(0.,0.,1.)); #426984=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #426985=DIRECTION('center_axis',(0.,0.,1.)); #426986=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #426987=DIRECTION('',(0.,0.,1.)); #426988=DIRECTION('center_axis',(1.,0.,0.)); #426989=DIRECTION('ref_axis',(0.,1.,0.)); #426990=DIRECTION('',(0.,1.,0.)); #426991=DIRECTION('',(0.,1.,0.)); #426992=DIRECTION('',(0.,0.,1.)); #426993=DIRECTION('center_axis',(0.,0.,1.)); #426994=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #426995=DIRECTION('center_axis',(0.,0.,1.)); #426996=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #426997=DIRECTION('center_axis',(0.,0.,1.)); #426998=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #426999=DIRECTION('center_axis',(0.,0.,1.)); #427000=DIRECTION('ref_axis',(1.,0.,0.)); #427001=DIRECTION('center_axis',(0.,0.,1.)); #427002=DIRECTION('ref_axis',(1.,0.,0.)); #427003=DIRECTION('center_axis',(0.,0.,1.)); #427004=DIRECTION('ref_axis',(1.,0.,0.)); #427005=DIRECTION('center_axis',(0.,0.,1.)); #427006=DIRECTION('ref_axis',(1.,0.,0.)); #427007=DIRECTION('',(0.,0.,1.)); #427008=DIRECTION('center_axis',(0.,0.,-1.)); #427009=DIRECTION('ref_axis',(1.,0.,0.)); #427010=DIRECTION('center_axis',(1.,0.,0.)); #427011=DIRECTION('ref_axis',(0.,1.,0.)); #427012=DIRECTION('',(0.,1.,0.)); #427013=DIRECTION('',(0.,0.,1.)); #427014=DIRECTION('',(0.,1.,0.)); #427015=DIRECTION('',(0.,0.,1.)); #427016=DIRECTION('center_axis',(0.,-1.,0.)); #427017=DIRECTION('ref_axis',(1.,0.,0.)); #427018=DIRECTION('',(1.,0.,0.)); #427019=DIRECTION('',(1.,0.,0.)); #427020=DIRECTION('',(0.,0.,1.)); #427021=DIRECTION('center_axis',(-1.,0.,0.)); #427022=DIRECTION('ref_axis',(0.,-1.,0.)); #427023=DIRECTION('',(0.,-1.,0.)); #427024=DIRECTION('',(0.,-1.,0.)); #427025=DIRECTION('',(0.,0.,1.)); #427026=DIRECTION('center_axis',(0.,1.,0.)); #427027=DIRECTION('ref_axis',(-1.,0.,0.)); #427028=DIRECTION('',(-1.,0.,0.)); #427029=DIRECTION('',(-1.,0.,0.)); #427030=DIRECTION('center_axis',(0.,0.,1.)); #427031=DIRECTION('ref_axis',(1.,0.,0.)); #427032=DIRECTION('center_axis',(0.,0.,1.)); #427033=DIRECTION('ref_axis',(1.,0.,0.)); #427034=DIRECTION('center_axis',(0.,0.,1.)); #427035=DIRECTION('ref_axis',(1.,0.,0.)); #427036=DIRECTION('center_axis',(0.,0.,1.)); #427037=DIRECTION('ref_axis',(1.,0.,0.)); #427038=DIRECTION('',(0.,0.,1.)); #427039=DIRECTION('center_axis',(0.,0.,-1.)); #427040=DIRECTION('ref_axis',(1.,0.,0.)); #427041=DIRECTION('center_axis',(0.,0.,1.)); #427042=DIRECTION('ref_axis',(1.,0.,0.)); #427043=DIRECTION('center_axis',(0.,0.,1.)); #427044=DIRECTION('ref_axis',(1.,0.,0.)); #427045=DIRECTION('',(0.,0.,1.)); #427046=DIRECTION('center_axis',(0.,0.,-1.)); #427047=DIRECTION('ref_axis',(1.,0.,0.)); #427048=DIRECTION('center_axis',(0.156447762252332,-0.987686234431886,0.)); #427049=DIRECTION('ref_axis',(0.987686234431886,0.156447762252332,0.)); #427050=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #427051=DIRECTION('',(0.,0.,1.)); #427052=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #427053=DIRECTION('',(0.,0.,1.)); #427054=DIRECTION('center_axis',(0.,0.,1.)); #427055=DIRECTION('ref_axis',(-0.888045829238896,-0.459754940344746,0.)); #427056=DIRECTION('center_axis',(0.,0.,1.)); #427057=DIRECTION('ref_axis',(-0.888045829238896,-0.459754940344746,0.)); #427058=DIRECTION('center_axis',(0.,0.,1.)); #427059=DIRECTION('ref_axis',(-0.888045829238896,-0.459754940344746,0.)); #427060=DIRECTION('',(0.,0.,1.)); #427061=DIRECTION('center_axis',(0.,-1.,0.)); #427062=DIRECTION('ref_axis',(1.,0.,0.)); #427063=DIRECTION('',(1.,0.,0.)); #427064=DIRECTION('',(1.,0.,0.)); #427065=DIRECTION('',(0.,0.,1.)); #427066=DIRECTION('center_axis',(0.,0.,1.)); #427067=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #427068=DIRECTION('center_axis',(0.,0.,1.)); #427069=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #427070=DIRECTION('center_axis',(0.,0.,1.)); #427071=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #427072=DIRECTION('',(0.,0.,1.)); #427073=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #427074=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #427075=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #427076=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #427077=DIRECTION('',(0.,0.,1.)); #427078=DIRECTION('center_axis',(0.,0.,1.)); #427079=DIRECTION('ref_axis',(-0.999999999982576,5.9031386994093E-6,0.)); #427080=DIRECTION('center_axis',(0.,0.,1.)); #427081=DIRECTION('ref_axis',(-0.999999999982576,5.9031386994093E-6,0.)); #427082=DIRECTION('center_axis',(0.,0.,1.)); #427083=DIRECTION('ref_axis',(-0.999999999982576,5.9031386994093E-6,0.)); #427084=DIRECTION('',(0.,0.,1.)); #427085=DIRECTION('center_axis',(-1.,0.,0.)); #427086=DIRECTION('ref_axis',(0.,-1.,0.)); #427087=DIRECTION('',(0.,-1.,0.)); #427088=DIRECTION('',(0.,-1.,0.)); #427089=DIRECTION('',(0.,0.,1.)); #427090=DIRECTION('center_axis',(0.,0.,1.)); #427091=DIRECTION('ref_axis',(-0.707110948194325,0.707102614154214,0.)); #427092=DIRECTION('center_axis',(0.,0.,1.)); #427093=DIRECTION('ref_axis',(-0.707110948194325,0.707102614154214,0.)); #427094=DIRECTION('center_axis',(0.,0.,1.)); #427095=DIRECTION('ref_axis',(-0.707110948194325,0.707102614154214,0.)); #427096=DIRECTION('',(0.,0.,1.)); #427097=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #427098=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #427099=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #427100=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #427101=DIRECTION('',(0.,0.,1.)); #427102=DIRECTION('center_axis',(0.,0.,1.)); #427103=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #427104=DIRECTION('center_axis',(0.,0.,1.)); #427105=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #427106=DIRECTION('center_axis',(0.,0.,1.)); #427107=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #427108=DIRECTION('',(0.,0.,1.)); #427109=DIRECTION('center_axis',(0.,1.,0.)); #427110=DIRECTION('ref_axis',(-1.,0.,0.)); #427111=DIRECTION('',(-1.,0.,0.)); #427112=DIRECTION('',(-1.,0.,0.)); #427113=DIRECTION('',(0.,0.,1.)); #427114=DIRECTION('center_axis',(0.,0.,1.)); #427115=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #427116=DIRECTION('center_axis',(0.,0.,1.)); #427117=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #427118=DIRECTION('center_axis',(0.,0.,1.)); #427119=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #427120=DIRECTION('',(0.,0.,1.)); #427121=DIRECTION('center_axis',(0.707106781186551,0.707106781186544,0.)); #427122=DIRECTION('ref_axis',(-0.707106781186544,0.707106781186551,0.)); #427123=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #427124=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #427125=DIRECTION('',(0.,0.,1.)); #427126=DIRECTION('center_axis',(0.,0.,1.)); #427127=DIRECTION('ref_axis',(0.924153123406609,-0.382022256547716,0.)); #427128=DIRECTION('center_axis',(0.,0.,1.)); #427129=DIRECTION('ref_axis',(0.924153123406609,-0.382022256547716,0.)); #427130=DIRECTION('center_axis',(0.,0.,1.)); #427131=DIRECTION('ref_axis',(0.924153123406609,-0.382022256547716,0.)); #427132=DIRECTION('',(0.,0.,1.)); #427133=DIRECTION('center_axis',(0.,0.,1.)); #427134=DIRECTION('ref_axis',(-0.953715628843693,0.300709992017691,0.)); #427135=DIRECTION('center_axis',(0.,0.,1.)); #427136=DIRECTION('ref_axis',(-0.953715628843693,0.300709992017691,0.)); #427137=DIRECTION('center_axis',(0.,0.,1.)); #427138=DIRECTION('ref_axis',(-0.953715628843693,0.300709992017691,0.)); #427139=DIRECTION('',(0.,0.,1.)); #427140=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #427141=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #427142=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #427143=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #427144=DIRECTION('',(0.,0.,1.)); #427145=DIRECTION('center_axis',(0.,-1.,0.)); #427146=DIRECTION('ref_axis',(1.,0.,0.)); #427147=DIRECTION('',(1.,0.,0.)); #427148=DIRECTION('',(1.,0.,0.)); #427149=DIRECTION('',(0.,0.,1.)); #427150=DIRECTION('center_axis',(0.707106781186546,-0.707106781186549,0.)); #427151=DIRECTION('ref_axis',(0.707106781186549,0.707106781186546,0.)); #427152=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #427153=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #427154=DIRECTION('',(0.,0.,1.)); #427155=DIRECTION('center_axis',(1.,0.,0.)); #427156=DIRECTION('ref_axis',(0.,1.,0.)); #427157=DIRECTION('',(0.,1.,0.)); #427158=DIRECTION('',(0.,1.,0.)); #427159=DIRECTION('',(0.,0.,1.)); #427160=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #427161=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #427162=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #427163=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #427164=DIRECTION('',(0.,0.,1.)); #427165=DIRECTION('center_axis',(0.,1.,0.)); #427166=DIRECTION('ref_axis',(-1.,0.,0.)); #427167=DIRECTION('',(-1.,0.,0.)); #427168=DIRECTION('',(-1.,0.,0.)); #427169=DIRECTION('',(0.,0.,1.)); #427170=DIRECTION('center_axis',(0.,0.,1.)); #427171=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #427172=DIRECTION('center_axis',(0.,0.,1.)); #427173=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #427174=DIRECTION('center_axis',(0.,0.,1.)); #427175=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #427176=DIRECTION('',(0.,0.,1.)); #427177=DIRECTION('center_axis',(0.,0.,1.)); #427178=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #427179=DIRECTION('center_axis',(0.,0.,1.)); #427180=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #427181=DIRECTION('center_axis',(0.,0.,1.)); #427182=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #427183=DIRECTION('center_axis',(0.,0.,1.)); #427184=DIRECTION('ref_axis',(1.,0.,0.)); #427185=DIRECTION('center_axis',(0.,0.,1.)); #427186=DIRECTION('ref_axis',(1.,0.,0.)); #427187=DIRECTION('center_axis',(0.,0.,1.)); #427188=DIRECTION('ref_axis',(1.,0.,0.)); #427189=DIRECTION('center_axis',(0.,0.,1.)); #427190=DIRECTION('ref_axis',(1.,0.,0.)); #427191=DIRECTION('',(0.,0.,1.)); #427192=DIRECTION('center_axis',(0.,0.,-1.)); #427193=DIRECTION('ref_axis',(1.,0.,0.)); #427194=DIRECTION('center_axis',(0.,0.,1.)); #427195=DIRECTION('ref_axis',(1.,0.,0.)); #427196=DIRECTION('center_axis',(0.,0.,1.)); #427197=DIRECTION('ref_axis',(1.,0.,0.)); #427198=DIRECTION('',(0.,0.,1.)); #427199=DIRECTION('center_axis',(0.,0.,-1.)); #427200=DIRECTION('ref_axis',(1.,0.,0.)); #427201=DIRECTION('center_axis',(0.156447762252332,-0.987686234431886,0.)); #427202=DIRECTION('ref_axis',(0.987686234431886,0.156447762252332,0.)); #427203=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #427204=DIRECTION('',(0.,0.,1.)); #427205=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #427206=DIRECTION('',(0.,0.,1.)); #427207=DIRECTION('center_axis',(0.,0.,1.)); #427208=DIRECTION('ref_axis',(-0.953425737718329,0.301627854576232,0.)); #427209=DIRECTION('center_axis',(0.,0.,1.)); #427210=DIRECTION('ref_axis',(-0.953425737718329,0.301627854576232,0.)); #427211=DIRECTION('center_axis',(0.,0.,1.)); #427212=DIRECTION('ref_axis',(-0.953425737718329,0.301627854576232,0.)); #427213=DIRECTION('',(0.,0.,1.)); #427214=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #427215=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #427216=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #427217=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #427218=DIRECTION('',(0.,0.,1.)); #427219=DIRECTION('center_axis',(0.,0.,1.)); #427220=DIRECTION('ref_axis',(-0.924152606056098,0.382023508072112,0.)); #427221=DIRECTION('center_axis',(0.,0.,1.)); #427222=DIRECTION('ref_axis',(-0.924152606056098,0.382023508072112,0.)); #427223=DIRECTION('center_axis',(0.,0.,1.)); #427224=DIRECTION('ref_axis',(-0.924152606056098,0.382023508072112,0.)); #427225=DIRECTION('',(0.,0.,1.)); #427226=DIRECTION('center_axis',(0.,0.,1.)); #427227=DIRECTION('ref_axis',(0.953715302291666,-0.300711027690565,0.)); #427228=DIRECTION('center_axis',(0.,0.,1.)); #427229=DIRECTION('ref_axis',(0.953715302291666,-0.300711027690565,0.)); #427230=DIRECTION('center_axis',(0.,0.,1.)); #427231=DIRECTION('ref_axis',(0.953715302291666,-0.300711027690565,0.)); #427232=DIRECTION('',(0.,0.,1.)); #427233=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #427234=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #427235=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #427236=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #427237=DIRECTION('',(0.,0.,1.)); #427238=DIRECTION('center_axis',(-0.308977861954575,0.951069230299235,0.)); #427239=DIRECTION('ref_axis',(-0.951069230299235,-0.308977861954575,0.)); #427240=DIRECTION('',(-0.951069230299235,-0.308977861954575,0.)); #427241=DIRECTION('',(-0.951069230299235,-0.308977861954575,0.)); #427242=DIRECTION('',(0.,0.,1.)); #427243=DIRECTION('center_axis',(0.,0.,1.)); #427244=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268748,0.)); #427245=DIRECTION('center_axis',(0.,0.,1.)); #427246=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268748,0.)); #427247=DIRECTION('center_axis',(0.,0.,1.)); #427248=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268748,0.)); #427249=DIRECTION('center_axis',(0.,0.,1.)); #427250=DIRECTION('ref_axis',(1.,0.,0.)); #427251=DIRECTION('center_axis',(0.,0.,1.)); #427252=DIRECTION('ref_axis',(1.,0.,0.)); #427253=DIRECTION('center_axis',(0.,0.,1.)); #427254=DIRECTION('ref_axis',(1.,0.,0.)); #427255=DIRECTION('center_axis',(0.,0.,1.)); #427256=DIRECTION('ref_axis',(1.,0.,0.)); #427257=DIRECTION('',(0.,0.,1.)); #427258=DIRECTION('center_axis',(0.,0.,-1.)); #427259=DIRECTION('ref_axis',(1.,0.,0.)); #427260=DIRECTION('center_axis',(1.,0.,0.)); #427261=DIRECTION('ref_axis',(0.,1.,0.)); #427262=DIRECTION('',(0.,1.,0.)); #427263=DIRECTION('',(0.,0.,1.)); #427264=DIRECTION('',(0.,1.,0.)); #427265=DIRECTION('',(0.,0.,1.)); #427266=DIRECTION('center_axis',(0.,-1.,0.)); #427267=DIRECTION('ref_axis',(1.,0.,0.)); #427268=DIRECTION('',(1.,0.,0.)); #427269=DIRECTION('',(1.,0.,0.)); #427270=DIRECTION('',(0.,0.,1.)); #427271=DIRECTION('center_axis',(-1.,0.,0.)); #427272=DIRECTION('ref_axis',(0.,-1.,0.)); #427273=DIRECTION('',(0.,-1.,0.)); #427274=DIRECTION('',(0.,-1.,0.)); #427275=DIRECTION('',(0.,0.,1.)); #427276=DIRECTION('center_axis',(0.,1.,0.)); #427277=DIRECTION('ref_axis',(-1.,0.,0.)); #427278=DIRECTION('',(-1.,0.,0.)); #427279=DIRECTION('',(-1.,0.,0.)); #427280=DIRECTION('center_axis',(0.,0.,1.)); #427281=DIRECTION('ref_axis',(1.,0.,0.)); #427282=DIRECTION('center_axis',(0.,0.,1.)); #427283=DIRECTION('ref_axis',(1.,0.,0.)); #427284=DIRECTION('center_axis',(0.,0.,1.)); #427285=DIRECTION('ref_axis',(1.,0.,0.)); #427286=DIRECTION('center_axis',(0.,0.,1.)); #427287=DIRECTION('ref_axis',(1.,0.,0.)); #427288=DIRECTION('',(0.,0.,1.)); #427289=DIRECTION('center_axis',(0.,0.,-1.)); #427290=DIRECTION('ref_axis',(1.,0.,0.)); #427291=DIRECTION('center_axis',(0.,0.,1.)); #427292=DIRECTION('ref_axis',(1.,0.,0.)); #427293=DIRECTION('center_axis',(0.,0.,1.)); #427294=DIRECTION('ref_axis',(1.,0.,0.)); #427295=DIRECTION('',(0.,0.,1.)); #427296=DIRECTION('center_axis',(0.,0.,-1.)); #427297=DIRECTION('ref_axis',(1.,0.,0.)); #427298=DIRECTION('center_axis',(0.,0.,1.)); #427299=DIRECTION('ref_axis',(1.,0.,0.)); #427300=DIRECTION('center_axis',(0.,0.,1.)); #427301=DIRECTION('ref_axis',(1.,0.,0.)); #427302=DIRECTION('',(0.,0.,1.)); #427303=DIRECTION('center_axis',(0.,0.,-1.)); #427304=DIRECTION('ref_axis',(1.,0.,0.)); #427305=DIRECTION('center_axis',(0.,0.,1.)); #427306=DIRECTION('ref_axis',(1.,0.,0.)); #427307=DIRECTION('center_axis',(0.,0.,1.)); #427308=DIRECTION('ref_axis',(1.,0.,0.)); #427309=DIRECTION('',(0.,0.,1.)); #427310=DIRECTION('center_axis',(0.,0.,-1.)); #427311=DIRECTION('ref_axis',(1.,0.,0.)); #427312=DIRECTION('center_axis',(0.,1.,0.)); #427313=DIRECTION('ref_axis',(-1.,0.,0.)); #427314=DIRECTION('',(-1.,0.,0.)); #427315=DIRECTION('',(0.,0.,1.)); #427316=DIRECTION('',(-1.,0.,0.)); #427317=DIRECTION('',(0.,0.,1.)); #427318=DIRECTION('center_axis',(-0.382688195372234,0.923877559594746,0.)); #427319=DIRECTION('ref_axis',(-0.923877559594745,-0.382688195372234,0.)); #427320=DIRECTION('',(-0.923877559594745,-0.382688195372234,0.)); #427321=DIRECTION('',(-0.923877559594745,-0.382688195372234,0.)); #427322=DIRECTION('',(0.,0.,1.)); #427323=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #427324=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #427325=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #427326=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #427327=DIRECTION('',(0.,0.,1.)); #427328=DIRECTION('center_axis',(-0.923877559594736,0.382688195372258,0.)); #427329=DIRECTION('ref_axis',(-0.382688195372258,-0.923877559594736,0.)); #427330=DIRECTION('',(-0.382688195372258,-0.923877559594736,0.)); #427331=DIRECTION('',(-0.382688195372258,-0.923877559594736,0.)); #427332=DIRECTION('',(0.,0.,1.)); #427333=DIRECTION('center_axis',(-1.,0.,0.)); #427334=DIRECTION('ref_axis',(0.,-1.,0.)); #427335=DIRECTION('',(0.,-1.,0.)); #427336=DIRECTION('',(0.,-1.,0.)); #427337=DIRECTION('',(0.,0.,1.)); #427338=DIRECTION('center_axis',(-0.923877559594733,-0.382688195372265, 0.)); #427339=DIRECTION('ref_axis',(0.382688195372265,-0.923877559594733,0.)); #427340=DIRECTION('',(0.382688195372265,-0.923877559594733,0.)); #427341=DIRECTION('',(0.382688195372265,-0.923877559594733,0.)); #427342=DIRECTION('',(0.,0.,1.)); #427343=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #427344=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #427345=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #427346=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #427347=DIRECTION('',(0.,0.,1.)); #427348=DIRECTION('center_axis',(-0.382688195372252,-0.923877559594738, 0.)); #427349=DIRECTION('ref_axis',(0.923877559594738,-0.382688195372252,0.)); #427350=DIRECTION('',(0.923877559594738,-0.382688195372252,0.)); #427351=DIRECTION('',(0.923877559594738,-0.382688195372252,0.)); #427352=DIRECTION('',(0.,0.,1.)); #427353=DIRECTION('center_axis',(0.,-1.,0.)); #427354=DIRECTION('ref_axis',(1.,0.,0.)); #427355=DIRECTION('',(1.,0.,0.)); #427356=DIRECTION('',(1.,0.,0.)); #427357=DIRECTION('',(0.,0.,1.)); #427358=DIRECTION('center_axis',(0.382688195372245,-0.923877559594741,0.)); #427359=DIRECTION('ref_axis',(0.923877559594741,0.382688195372245,0.)); #427360=DIRECTION('',(0.923877559594741,0.382688195372245,0.)); #427361=DIRECTION('',(0.923877559594741,0.382688195372245,0.)); #427362=DIRECTION('',(0.,0.,1.)); #427363=DIRECTION('center_axis',(0.707106781186553,-0.707106781186542,0.)); #427364=DIRECTION('ref_axis',(0.707106781186542,0.707106781186553,0.)); #427365=DIRECTION('',(0.707106781186542,0.707106781186553,0.)); #427366=DIRECTION('',(0.707106781186542,0.707106781186553,0.)); #427367=DIRECTION('',(0.,0.,1.)); #427368=DIRECTION('center_axis',(0.92387755959474,-0.382688195372247,0.)); #427369=DIRECTION('ref_axis',(0.382688195372247,0.92387755959474,0.)); #427370=DIRECTION('',(0.382688195372247,0.92387755959474,0.)); #427371=DIRECTION('',(0.382688195372247,0.92387755959474,0.)); #427372=DIRECTION('',(0.,0.,1.)); #427373=DIRECTION('center_axis',(1.,0.,0.)); #427374=DIRECTION('ref_axis',(0.,1.,0.)); #427375=DIRECTION('',(0.,1.,0.)); #427376=DIRECTION('',(0.,1.,0.)); #427377=DIRECTION('',(0.,0.,1.)); #427378=DIRECTION('center_axis',(0.923877559594743,0.38268819537224,0.)); #427379=DIRECTION('ref_axis',(-0.38268819537224,0.923877559594743,0.)); #427380=DIRECTION('',(-0.38268819537224,0.923877559594743,0.)); #427381=DIRECTION('',(-0.38268819537224,0.923877559594743,0.)); #427382=DIRECTION('',(0.,0.,1.)); #427383=DIRECTION('center_axis',(0.707106781186553,0.707106781186542,0.)); #427384=DIRECTION('ref_axis',(-0.707106781186542,0.707106781186553,0.)); #427385=DIRECTION('',(-0.707106781186542,0.707106781186553,0.)); #427386=DIRECTION('',(-0.707106781186542,0.707106781186553,0.)); #427387=DIRECTION('',(0.,0.,1.)); #427388=DIRECTION('center_axis',(0.382688195372227,0.923877559594749,0.)); #427389=DIRECTION('ref_axis',(-0.923877559594749,0.382688195372227,0.)); #427390=DIRECTION('',(-0.923877559594749,0.382688195372227,0.)); #427391=DIRECTION('',(-0.923877559594749,0.382688195372227,0.)); #427392=DIRECTION('center_axis',(0.199006783325433,-0.979998112340256,0.)); #427393=DIRECTION('ref_axis',(0.979998112340256,0.199006783325433,0.)); #427394=DIRECTION('',(0.979998112340256,0.199006783325433,0.)); #427395=DIRECTION('',(0.,0.,1.)); #427396=DIRECTION('',(0.979998112340256,0.199006783325433,0.)); #427397=DIRECTION('',(0.,0.,1.)); #427398=DIRECTION('center_axis',(0.,-1.,0.)); #427399=DIRECTION('ref_axis',(1.,0.,0.)); #427400=DIRECTION('',(1.,0.,0.)); #427401=DIRECTION('',(1.,0.,0.)); #427402=DIRECTION('',(0.,0.,1.)); #427403=DIRECTION('center_axis',(-0.191191000932803,-0.981552851945484, 0.)); #427404=DIRECTION('ref_axis',(0.981552851945484,-0.191191000932803,0.)); #427405=DIRECTION('',(0.981552851945484,-0.191191000932803,0.)); #427406=DIRECTION('',(0.981552851945484,-0.191191000932803,0.)); #427407=DIRECTION('',(0.,0.,1.)); #427408=DIRECTION('center_axis',(-0.552227809242888,-0.833693256958937, 0.)); #427409=DIRECTION('ref_axis',(0.833693256958937,-0.552227809242888,0.)); #427410=DIRECTION('',(0.833693256958937,-0.552227809242888,0.)); #427411=DIRECTION('',(0.833693256958937,-0.552227809242888,0.)); #427412=DIRECTION('',(0.,0.,1.)); #427413=DIRECTION('center_axis',(-0.707106781186546,-0.707106781186549, 0.)); #427414=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #427415=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #427416=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #427417=DIRECTION('',(0.,0.,1.)); #427418=DIRECTION('center_axis',(-0.829258128536007,-0.558865776602003, 0.)); #427419=DIRECTION('ref_axis',(0.558865776602003,-0.829258128536007,0.)); #427420=DIRECTION('',(0.558865776602003,-0.829258128536007,0.)); #427421=DIRECTION('',(0.558865776602003,-0.829258128536007,0.)); #427422=DIRECTION('',(0.,0.,1.)); #427423=DIRECTION('center_axis',(-0.979998112340235,-0.199006783325535, 0.)); #427424=DIRECTION('ref_axis',(0.199006783325535,-0.979998112340235,0.)); #427425=DIRECTION('',(0.199006783325535,-0.979998112340235,0.)); #427426=DIRECTION('',(0.199006783325535,-0.979998112340235,0.)); #427427=DIRECTION('',(0.,0.,1.)); #427428=DIRECTION('center_axis',(-1.,0.,0.)); #427429=DIRECTION('ref_axis',(0.,-1.,0.)); #427430=DIRECTION('',(0.,-1.,0.)); #427431=DIRECTION('',(0.,-1.,0.)); #427432=DIRECTION('',(0.,0.,1.)); #427433=DIRECTION('center_axis',(-0.707106874897595,-0.707106687475488, 0.)); #427434=DIRECTION('ref_axis',(0.707106687475488,-0.707106874897595,0.)); #427435=DIRECTION('',(0.707106687475488,-0.707106874897595,0.)); #427436=DIRECTION('',(0.707106687475488,-0.707106874897595,0.)); #427437=DIRECTION('',(0.,0.,1.)); #427438=DIRECTION('center_axis',(-0.829258128535978,-0.558865776602045, 0.)); #427439=DIRECTION('ref_axis',(0.558865776602045,-0.829258128535978,0.)); #427440=DIRECTION('',(0.558865776602045,-0.829258128535978,0.)); #427441=DIRECTION('',(0.558865776602045,-0.829258128535978,0.)); #427442=DIRECTION('',(0.,0.,1.)); #427443=DIRECTION('center_axis',(-0.979998112340235,-0.199006783325535, 0.)); #427444=DIRECTION('ref_axis',(0.199006783325535,-0.979998112340235,0.)); #427445=DIRECTION('',(0.199006783325535,-0.979998112340235,0.)); #427446=DIRECTION('',(0.199006783325535,-0.979998112340235,0.)); #427447=DIRECTION('',(0.,0.,1.)); #427448=DIRECTION('center_axis',(-1.,0.,0.)); #427449=DIRECTION('ref_axis',(0.,-1.,0.)); #427450=DIRECTION('',(0.,-1.,0.)); #427451=DIRECTION('',(0.,-1.,0.)); #427452=DIRECTION('',(0.,0.,1.)); #427453=DIRECTION('center_axis',(-0.983050395657604,0.183335538282758,0.)); #427454=DIRECTION('ref_axis',(-0.183335538282758,-0.983050395657604,0.)); #427455=DIRECTION('',(-0.183335538282758,-0.983050395657604,0.)); #427456=DIRECTION('',(-0.183335538282758,-0.983050395657604,0.)); #427457=DIRECTION('',(0.,0.,1.)); #427458=DIRECTION('center_axis',(-0.842360495470216,0.538914460439848,0.)); #427459=DIRECTION('ref_axis',(-0.538914460439848,-0.842360495470216,0.)); #427460=DIRECTION('',(-0.538914460439848,-0.842360495470216,0.)); #427461=DIRECTION('',(-0.538914460439848,-0.842360495470216,0.)); #427462=DIRECTION('',(0.,0.,1.)); #427463=DIRECTION('center_axis',(-0.565473758099792,0.82476628744178,0.)); #427464=DIRECTION('ref_axis',(-0.82476628744178,-0.565473758099792,0.)); #427465=DIRECTION('',(-0.82476628744178,-0.565473758099792,0.)); #427466=DIRECTION('',(-0.82476628744178,-0.565473758099792,0.)); #427467=DIRECTION('',(0.,0.,1.)); #427468=DIRECTION('center_axis',(-0.199010641789396,0.979997328799713,0.)); #427469=DIRECTION('ref_axis',(-0.979997328799713,-0.199010641789396,0.)); #427470=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #427471=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #427472=DIRECTION('',(0.,0.,1.)); #427473=DIRECTION('center_axis',(0.,1.,0.)); #427474=DIRECTION('ref_axis',(-1.,0.,0.)); #427475=DIRECTION('',(-1.,0.,0.)); #427476=DIRECTION('',(-1.,0.,0.)); #427477=DIRECTION('',(0.,0.,1.)); #427478=DIRECTION('center_axis',(-0.707106781186512,0.707106781186583,0.)); #427479=DIRECTION('ref_axis',(-0.707106781186583,-0.707106781186512,0.)); #427480=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #427481=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #427482=DIRECTION('',(0.,0.,1.)); #427483=DIRECTION('center_axis',(-1.,0.,0.)); #427484=DIRECTION('ref_axis',(0.,-1.,0.)); #427485=DIRECTION('',(0.,-1.,0.)); #427486=DIRECTION('',(0.,-1.,0.)); #427487=DIRECTION('',(0.,0.,1.)); #427488=DIRECTION('center_axis',(-0.981552099144153,0.191194865688666,0.)); #427489=DIRECTION('ref_axis',(-0.191194865688666,-0.981552099144153,0.)); #427490=DIRECTION('',(-0.191194865688666,-0.981552099144153,0.)); #427491=DIRECTION('',(-0.191194865688666,-0.981552099144153,0.)); #427492=DIRECTION('',(0.,0.,1.)); #427493=DIRECTION('center_axis',(-0.833699290017812,0.552218701081189,0.)); #427494=DIRECTION('ref_axis',(-0.552218701081189,-0.833699290017812,0.)); #427495=DIRECTION('',(-0.552218701081189,-0.833699290017812,0.)); #427496=DIRECTION('',(-0.552218701081189,-0.833699290017812,0.)); #427497=DIRECTION('',(0.,0.,1.)); #427498=DIRECTION('center_axis',(-0.70710678118654,0.707106781186555,0.)); #427499=DIRECTION('ref_axis',(-0.707106781186555,-0.70710678118654,0.)); #427500=DIRECTION('',(-0.707106781186555,-0.70710678118654,0.)); #427501=DIRECTION('',(-0.707106781186555,-0.70710678118654,0.)); #427502=DIRECTION('',(0.,0.,1.)); #427503=DIRECTION('center_axis',(-0.558856232804982,0.829264560351534,0.)); #427504=DIRECTION('ref_axis',(-0.829264560351534,-0.558856232804982,0.)); #427505=DIRECTION('',(-0.829264560351534,-0.558856232804982,0.)); #427506=DIRECTION('',(-0.829264560351534,-0.558856232804982,0.)); #427507=DIRECTION('',(0.,0.,1.)); #427508=DIRECTION('center_axis',(-0.199010641789396,0.979997328799713,0.)); #427509=DIRECTION('ref_axis',(-0.979997328799713,-0.199010641789396,0.)); #427510=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #427511=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #427512=DIRECTION('',(0.,0.,1.)); #427513=DIRECTION('center_axis',(0.,1.,0.)); #427514=DIRECTION('ref_axis',(-1.,0.,0.)); #427515=DIRECTION('',(-1.,0.,0.)); #427516=DIRECTION('',(-1.,0.,0.)); #427517=DIRECTION('',(0.,0.,1.)); #427518=DIRECTION('center_axis',(0.191194865688771,0.981552099144133,0.)); #427519=DIRECTION('ref_axis',(-0.981552099144132,0.191194865688771,0.)); #427520=DIRECTION('',(-0.981552099144132,0.191194865688771,0.)); #427521=DIRECTION('',(-0.981552099144132,0.191194865688771,0.)); #427522=DIRECTION('',(0.,0.,1.)); #427523=DIRECTION('center_axis',(0.55221870108125,0.833699290017772,0.)); #427524=DIRECTION('ref_axis',(-0.833699290017772,0.55221870108125,0.)); #427525=DIRECTION('',(-0.833699290017772,0.55221870108125,0.)); #427526=DIRECTION('',(-0.833699290017772,0.55221870108125,0.)); #427527=DIRECTION('',(0.,0.,1.)); #427528=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #427529=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #427530=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #427531=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #427532=DIRECTION('',(0.,0.,1.)); #427533=DIRECTION('center_axis',(0.829264560351563,0.55885623280494,0.)); #427534=DIRECTION('ref_axis',(-0.55885623280494,0.829264560351563,0.)); #427535=DIRECTION('',(-0.55885623280494,0.829264560351563,0.)); #427536=DIRECTION('',(-0.55885623280494,0.829264560351563,0.)); #427537=DIRECTION('',(0.,0.,1.)); #427538=DIRECTION('center_axis',(0.97999732879972,0.199010641789363,0.)); #427539=DIRECTION('ref_axis',(-0.199010641789363,0.97999732879972,0.)); #427540=DIRECTION('',(-0.199010641789363,0.97999732879972,0.)); #427541=DIRECTION('',(-0.199010641789363,0.97999732879972,0.)); #427542=DIRECTION('',(0.,0.,1.)); #427543=DIRECTION('center_axis',(1.,0.,0.)); #427544=DIRECTION('ref_axis',(0.,1.,0.)); #427545=DIRECTION('',(0.,1.,0.)); #427546=DIRECTION('',(0.,1.,0.)); #427547=DIRECTION('',(0.,0.,1.)); #427548=DIRECTION('center_axis',(0.990017436553505,0.140944937191896,0.)); #427549=DIRECTION('ref_axis',(-0.140944937191896,0.990017436553505,0.)); #427550=DIRECTION('',(-0.140944937191896,0.990017436553505,0.)); #427551=DIRECTION('',(-0.140944937191896,0.990017436553505,0.)); #427552=DIRECTION('',(0.,0.,1.)); #427553=DIRECTION('center_axis',(0.789918699774633,0.613211584810948,0.)); #427554=DIRECTION('ref_axis',(-0.613211584810948,0.789918699774632,0.)); #427555=DIRECTION('',(-0.613211584810948,0.789918699774633,0.)); #427556=DIRECTION('',(-0.613211584810948,0.789918699774633,0.)); #427557=DIRECTION('',(0.,0.,1.)); #427558=DIRECTION('center_axis',(0.707100346147292,0.707113216167241,0.)); #427559=DIRECTION('ref_axis',(-0.707113216167241,0.707100346147292,0.)); #427560=DIRECTION('',(-0.707113216167241,0.707100346147292,0.)); #427561=DIRECTION('',(-0.707113216167241,0.707100346147292,0.)); #427562=DIRECTION('',(0.,0.,1.)); #427563=DIRECTION('center_axis',(0.824754890362578,0.565490380840393,0.)); #427564=DIRECTION('ref_axis',(-0.565490380840393,0.824754890362578,0.)); #427565=DIRECTION('',(-0.565490380840393,0.824754890362578,0.)); #427566=DIRECTION('',(-0.565490380840393,0.824754890362578,0.)); #427567=DIRECTION('',(0.,0.,1.)); #427568=DIRECTION('center_axis',(0.976703298176499,0.214594192212067,0.)); #427569=DIRECTION('ref_axis',(-0.214594192212067,0.976703298176499,0.)); #427570=DIRECTION('',(-0.214594192212067,0.976703298176499,0.)); #427571=DIRECTION('',(-0.214594192212067,0.976703298176499,0.)); #427572=DIRECTION('',(0.,0.,1.)); #427573=DIRECTION('center_axis',(0.983041987252279,-0.183380618657178,0.)); #427574=DIRECTION('ref_axis',(0.183380618657178,0.983041987252279,0.)); #427575=DIRECTION('',(0.183380618657178,0.983041987252279,0.)); #427576=DIRECTION('',(0.183380618657178,0.983041987252279,0.)); #427577=DIRECTION('',(0.,0.,1.)); #427578=DIRECTION('center_axis',(0.833693256958978,-0.552227809242827,0.)); #427579=DIRECTION('ref_axis',(0.552227809242827,0.833693256958978,0.)); #427580=DIRECTION('',(0.552227809242827,0.833693256958978,0.)); #427581=DIRECTION('',(0.552227809242827,0.833693256958978,0.)); #427582=DIRECTION('',(0.,0.,1.)); #427583=DIRECTION('center_axis',(0.707116773721964,-0.707096788509919,0.)); #427584=DIRECTION('ref_axis',(0.707096788509919,0.707116773721964,0.)); #427585=DIRECTION('',(0.707096788509919,0.707116773721964,0.)); #427586=DIRECTION('',(0.707096788509919,0.707116773721964,0.)); #427587=DIRECTION('',(0.,0.,1.)); #427588=DIRECTION('center_axis',(1.,0.,0.)); #427589=DIRECTION('ref_axis',(0.,1.,0.)); #427590=DIRECTION('',(0.,1.,0.)); #427591=DIRECTION('',(0.,1.,0.)); #427592=DIRECTION('',(0.,0.,1.)); #427593=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #427594=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #427595=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #427596=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #427597=DIRECTION('',(0.,0.,1.)); #427598=DIRECTION('center_axis',(0.,1.,0.)); #427599=DIRECTION('ref_axis',(-1.,0.,0.)); #427600=DIRECTION('',(-1.,0.,0.)); #427601=DIRECTION('',(-1.,0.,0.)); #427602=DIRECTION('',(0.,0.,1.)); #427603=DIRECTION('center_axis',(0.183335538282816,0.983050395657593,0.)); #427604=DIRECTION('ref_axis',(-0.983050395657593,0.183335538282816,0.)); #427605=DIRECTION('',(-0.983050395657593,0.183335538282816,0.)); #427606=DIRECTION('',(-0.983050395657593,0.183335538282816,0.)); #427607=DIRECTION('',(0.,0.,1.)); #427608=DIRECTION('center_axis',(0.538914460439888,0.84236049547019,0.)); #427609=DIRECTION('ref_axis',(-0.84236049547019,0.538914460439888,0.)); #427610=DIRECTION('',(-0.84236049547019,0.538914460439888,0.)); #427611=DIRECTION('',(-0.84236049547019,0.538914460439888,0.)); #427612=DIRECTION('',(0.,0.,1.)); #427613=DIRECTION('center_axis',(0.824766287441821,0.565473758099733,0.)); #427614=DIRECTION('ref_axis',(-0.565473758099733,0.824766287441821,0.)); #427615=DIRECTION('',(-0.565473758099733,0.824766287441821,0.)); #427616=DIRECTION('',(-0.565473758099733,0.824766287441821,0.)); #427617=DIRECTION('',(0.,0.,1.)); #427618=DIRECTION('center_axis',(0.97999732879973,0.199010641789311,0.)); #427619=DIRECTION('ref_axis',(-0.199010641789311,0.97999732879973,0.)); #427620=DIRECTION('',(-0.199010641789311,0.97999732879973,0.)); #427621=DIRECTION('',(-0.199010641789311,0.97999732879973,0.)); #427622=DIRECTION('',(0.,0.,1.)); #427623=DIRECTION('center_axis',(1.,0.,0.)); #427624=DIRECTION('ref_axis',(0.,1.,0.)); #427625=DIRECTION('',(0.,1.,0.)); #427626=DIRECTION('',(0.,1.,0.)); #427627=DIRECTION('',(0.,0.,1.)); #427628=DIRECTION('center_axis',(0.990017436553505,0.140944937191896,0.)); #427629=DIRECTION('ref_axis',(-0.140944937191896,0.990017436553505,0.)); #427630=DIRECTION('',(-0.140944937191896,0.990017436553505,0.)); #427631=DIRECTION('',(-0.140944937191896,0.990017436553505,0.)); #427632=DIRECTION('',(0.,0.,1.)); #427633=DIRECTION('center_axis',(0.789918699774633,0.613211584810948,0.)); #427634=DIRECTION('ref_axis',(-0.613211584810948,0.789918699774632,0.)); #427635=DIRECTION('',(-0.613211584810948,0.789918699774633,0.)); #427636=DIRECTION('',(-0.613211584810948,0.789918699774633,0.)); #427637=DIRECTION('',(0.,0.,1.)); #427638=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #427639=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #427640=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #427641=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #427642=DIRECTION('',(0.,0.,1.)); #427643=DIRECTION('center_axis',(0.829264560351577,0.558856232804919,0.)); #427644=DIRECTION('ref_axis',(-0.558856232804919,0.829264560351577,0.)); #427645=DIRECTION('',(-0.558856232804919,0.829264560351577,0.)); #427646=DIRECTION('',(-0.558856232804919,0.829264560351577,0.)); #427647=DIRECTION('',(0.,0.,1.)); #427648=DIRECTION('center_axis',(0.979997328799706,0.19901064178943,0.)); #427649=DIRECTION('ref_axis',(-0.19901064178943,0.979997328799706,0.)); #427650=DIRECTION('',(-0.19901064178943,0.979997328799706,0.)); #427651=DIRECTION('',(-0.19901064178943,0.979997328799706,0.)); #427652=DIRECTION('',(0.,0.,1.)); #427653=DIRECTION('center_axis',(1.,0.,0.)); #427654=DIRECTION('ref_axis',(0.,1.,0.)); #427655=DIRECTION('',(0.,1.,0.)); #427656=DIRECTION('',(0.,1.,0.)); #427657=DIRECTION('',(0.,0.,1.)); #427658=DIRECTION('center_axis',(0.,1.,0.)); #427659=DIRECTION('ref_axis',(-1.,0.,0.)); #427660=DIRECTION('',(-1.,0.,0.)); #427661=DIRECTION('',(-1.,0.,0.)); #427662=DIRECTION('',(0.,0.,1.)); #427663=DIRECTION('center_axis',(0.,0.,1.)); #427664=DIRECTION('ref_axis',(0.886982451376522,0.461803130078279,0.)); #427665=DIRECTION('center_axis',(0.,0.,1.)); #427666=DIRECTION('ref_axis',(0.886982451376522,0.461803130078279,0.)); #427667=DIRECTION('center_axis',(0.,0.,1.)); #427668=DIRECTION('ref_axis',(0.886982451376522,0.461803130078279,0.)); #427669=DIRECTION('',(0.,0.,1.)); #427670=DIRECTION('center_axis',(0.,1.,0.)); #427671=DIRECTION('ref_axis',(-1.,0.,0.)); #427672=DIRECTION('',(-1.,0.,0.)); #427673=DIRECTION('',(-1.,0.,0.)); #427674=DIRECTION('',(0.,0.,1.)); #427675=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #427676=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #427677=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #427678=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #427679=DIRECTION('',(0.,0.,1.)); #427680=DIRECTION('center_axis',(-1.,0.,0.)); #427681=DIRECTION('ref_axis',(0.,-1.,0.)); #427682=DIRECTION('',(0.,-1.,0.)); #427683=DIRECTION('',(0.,-1.,0.)); #427684=DIRECTION('',(0.,0.,1.)); #427685=DIRECTION('center_axis',(0.,0.,1.)); #427686=DIRECTION('ref_axis',(-0.146756282078327,0.989172681421473,0.)); #427687=DIRECTION('center_axis',(0.,0.,1.)); #427688=DIRECTION('ref_axis',(-0.146756282078327,0.989172681421473,0.)); #427689=DIRECTION('center_axis',(0.,0.,1.)); #427690=DIRECTION('ref_axis',(-0.146756282078327,0.989172681421473,0.)); #427691=DIRECTION('',(0.,0.,1.)); #427692=DIRECTION('center_axis',(0.,0.,1.)); #427693=DIRECTION('ref_axis',(0.153803109294645,-0.98810151481075,0.)); #427694=DIRECTION('center_axis',(0.,0.,1.)); #427695=DIRECTION('ref_axis',(0.153803109294645,-0.98810151481075,0.)); #427696=DIRECTION('center_axis',(0.,0.,1.)); #427697=DIRECTION('ref_axis',(0.153803109294645,-0.98810151481075,0.)); #427698=DIRECTION('',(0.,0.,1.)); #427699=DIRECTION('center_axis',(1.,0.,0.)); #427700=DIRECTION('ref_axis',(0.,1.,0.)); #427701=DIRECTION('',(0.,1.,0.)); #427702=DIRECTION('',(0.,1.,0.)); #427703=DIRECTION('',(0.,0.,1.)); #427704=DIRECTION('center_axis',(0.,0.,1.)); #427705=DIRECTION('ref_axis',(0.707110948194238,-0.707102614154301,0.)); #427706=DIRECTION('center_axis',(0.,0.,1.)); #427707=DIRECTION('ref_axis',(0.707110948194238,-0.707102614154301,0.)); #427708=DIRECTION('center_axis',(0.,0.,1.)); #427709=DIRECTION('ref_axis',(0.707110948194238,-0.707102614154301,0.)); #427710=DIRECTION('',(0.,0.,1.)); #427711=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #427712=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #427713=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #427714=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #427715=DIRECTION('',(0.,0.,1.)); #427716=DIRECTION('center_axis',(0.,0.,1.)); #427717=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #427718=DIRECTION('center_axis',(0.,0.,1.)); #427719=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #427720=DIRECTION('center_axis',(0.,0.,1.)); #427721=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #427722=DIRECTION('',(0.,0.,1.)); #427723=DIRECTION('center_axis',(0.,-1.,0.)); #427724=DIRECTION('ref_axis',(1.,0.,0.)); #427725=DIRECTION('',(1.,0.,0.)); #427726=DIRECTION('',(1.,0.,0.)); #427727=DIRECTION('',(0.,0.,1.)); #427728=DIRECTION('center_axis',(0.,0.,1.)); #427729=DIRECTION('ref_axis',(-0.886982451376522,-0.461803130078279,0.)); #427730=DIRECTION('center_axis',(0.,0.,1.)); #427731=DIRECTION('ref_axis',(-0.886982451376522,-0.461803130078279,0.)); #427732=DIRECTION('center_axis',(0.,0.,1.)); #427733=DIRECTION('ref_axis',(-0.886982451376522,-0.461803130078279,0.)); #427734=DIRECTION('',(0.,0.,1.)); #427735=DIRECTION('center_axis',(0.,-1.,0.)); #427736=DIRECTION('ref_axis',(1.,0.,0.)); #427737=DIRECTION('',(1.,0.,0.)); #427738=DIRECTION('',(1.,0.,0.)); #427739=DIRECTION('',(0.,0.,1.)); #427740=DIRECTION('center_axis',(1.,0.,0.)); #427741=DIRECTION('ref_axis',(0.,1.,0.)); #427742=DIRECTION('',(0.,1.,0.)); #427743=DIRECTION('',(0.,1.,0.)); #427744=DIRECTION('',(0.,0.,1.)); #427745=DIRECTION('center_axis',(0.983054265471482,-0.183314787014918,0.)); #427746=DIRECTION('ref_axis',(0.183314787014918,0.983054265471482,0.)); #427747=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #427748=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #427749=DIRECTION('',(0.,0.,1.)); #427750=DIRECTION('center_axis',(0.842351514327689,-0.538928498327793,0.)); #427751=DIRECTION('ref_axis',(0.538928498327792,0.842351514327689,0.)); #427752=DIRECTION('',(0.538928498327792,0.842351514327689,0.)); #427753=DIRECTION('',(0.538928498327792,0.842351514327689,0.)); #427754=DIRECTION('',(0.,0.,1.)); #427755=DIRECTION('center_axis',(0.565473758099751,-0.824766287441809,0.)); #427756=DIRECTION('ref_axis',(0.824766287441808,0.565473758099751,0.)); #427757=DIRECTION('',(0.824766287441808,0.565473758099751,0.)); #427758=DIRECTION('',(0.824766287441808,0.565473758099751,0.)); #427759=DIRECTION('',(0.,0.,1.)); #427760=DIRECTION('center_axis',(0.199009197755185,-0.97999762204244,0.)); #427761=DIRECTION('ref_axis',(0.97999762204244,0.199009197755185,0.)); #427762=DIRECTION('',(0.97999762204244,0.199009197755185,0.)); #427763=DIRECTION('',(0.97999762204244,0.199009197755185,0.)); #427764=DIRECTION('',(0.,0.,1.)); #427765=DIRECTION('center_axis',(0.707106443031793,-0.70710711934114,0.)); #427766=DIRECTION('ref_axis',(0.70710711934114,0.707106443031793,0.)); #427767=DIRECTION('',(0.70710711934114,0.707106443031793,0.)); #427768=DIRECTION('',(0.70710711934114,0.707106443031793,0.)); #427769=DIRECTION('',(0.,0.,1.)); #427770=DIRECTION('center_axis',(0.558865776602108,-0.829258128535936,0.)); #427771=DIRECTION('ref_axis',(0.829258128535936,0.558865776602108,0.)); #427772=DIRECTION('',(0.829258128535936,0.558865776602108,0.)); #427773=DIRECTION('',(0.829258128535936,0.558865776602108,0.)); #427774=DIRECTION('center_axis',(0.,0.,1.)); #427775=DIRECTION('ref_axis',(1.,0.,0.)); #427776=DIRECTION('center_axis',(0.,0.,1.)); #427777=DIRECTION('ref_axis',(1.,0.,0.)); #427778=DIRECTION('center_axis',(0.,0.,1.)); #427779=DIRECTION('ref_axis',(1.,0.,0.)); #427780=DIRECTION('center_axis',(0.,0.,1.)); #427781=DIRECTION('ref_axis',(1.,0.,0.)); #427782=DIRECTION('',(0.,0.,1.)); #427783=DIRECTION('center_axis',(0.,0.,-1.)); #427784=DIRECTION('ref_axis',(1.,0.,0.)); #427785=DIRECTION('center_axis',(0.110520982498919,-0.993873790995353,0.)); #427786=DIRECTION('ref_axis',(0.993873790995353,0.110520982498919,0.)); #427787=DIRECTION('',(0.993873790995353,0.110520982498919,0.)); #427788=DIRECTION('',(0.,0.,1.)); #427789=DIRECTION('',(0.993873790995353,0.110520982498919,0.)); #427790=DIRECTION('',(0.,0.,1.)); #427791=DIRECTION('center_axis',(0.,-1.,0.)); #427792=DIRECTION('ref_axis',(1.,0.,0.)); #427793=DIRECTION('',(1.,0.,0.)); #427794=DIRECTION('',(1.,0.,0.)); #427795=DIRECTION('',(0.,0.,1.)); #427796=DIRECTION('center_axis',(0.,0.,1.)); #427797=DIRECTION('ref_axis',(-0.715037560482678,-0.699086036978983,0.)); #427798=DIRECTION('center_axis',(0.,0.,1.)); #427799=DIRECTION('ref_axis',(-0.715037560482678,-0.699086036978983,0.)); #427800=DIRECTION('center_axis',(0.,0.,1.)); #427801=DIRECTION('ref_axis',(-0.715037560482678,-0.699086036978983,0.)); #427802=DIRECTION('',(0.,0.,1.)); #427803=DIRECTION('center_axis',(-0.715039296629332,-0.699084261213074, 0.)); #427804=DIRECTION('ref_axis',(0.699084261213074,-0.715039296629332,0.)); #427805=DIRECTION('',(0.699084261213074,-0.715039296629332,0.)); #427806=DIRECTION('',(0.699084261213074,-0.715039296629332,0.)); #427807=DIRECTION('',(0.,0.,1.)); #427808=DIRECTION('center_axis',(0.,-1.,0.)); #427809=DIRECTION('ref_axis',(1.,0.,0.)); #427810=DIRECTION('',(1.,0.,0.)); #427811=DIRECTION('',(1.,0.,0.)); #427812=DIRECTION('',(0.,0.,1.)); #427813=DIRECTION('center_axis',(-1.,0.,0.)); #427814=DIRECTION('ref_axis',(0.,-1.,0.)); #427815=DIRECTION('',(0.,-1.,0.)); #427816=DIRECTION('',(0.,-1.,0.)); #427817=DIRECTION('',(0.,0.,1.)); #427818=DIRECTION('center_axis',(0.,1.,0.)); #427819=DIRECTION('ref_axis',(-1.,0.,0.)); #427820=DIRECTION('',(-1.,0.,0.)); #427821=DIRECTION('',(-1.,0.,0.)); #427822=DIRECTION('',(0.,0.,1.)); #427823=DIRECTION('center_axis',(1.,0.,0.)); #427824=DIRECTION('ref_axis',(0.,1.,0.)); #427825=DIRECTION('',(0.,1.,0.)); #427826=DIRECTION('',(0.,1.,0.)); #427827=DIRECTION('',(0.,0.,1.)); #427828=DIRECTION('center_axis',(0.7150384910573,0.699085085169538,0.)); #427829=DIRECTION('ref_axis',(-0.699085085169538,0.7150384910573,0.)); #427830=DIRECTION('',(-0.699085085169538,0.7150384910573,0.)); #427831=DIRECTION('',(-0.699085085169538,0.7150384910573,0.)); #427832=DIRECTION('',(0.,0.,1.)); #427833=DIRECTION('center_axis',(0.,1.,0.)); #427834=DIRECTION('ref_axis',(-1.,0.,0.)); #427835=DIRECTION('',(-1.,0.,0.)); #427836=DIRECTION('',(-1.,0.,0.)); #427837=DIRECTION('',(0.,0.,1.)); #427838=DIRECTION('center_axis',(-0.7150384910573,0.699085085169538,0.)); #427839=DIRECTION('ref_axis',(-0.699085085169538,-0.7150384910573,0.)); #427840=DIRECTION('',(-0.699085085169538,-0.7150384910573,0.)); #427841=DIRECTION('',(-0.699085085169538,-0.7150384910573,0.)); #427842=DIRECTION('',(0.,0.,1.)); #427843=DIRECTION('center_axis',(-1.,0.,0.)); #427844=DIRECTION('ref_axis',(0.,-1.,0.)); #427845=DIRECTION('',(0.,-1.,0.)); #427846=DIRECTION('',(0.,-1.,0.)); #427847=DIRECTION('',(0.,0.,1.)); #427848=DIRECTION('center_axis',(0.,1.,0.)); #427849=DIRECTION('ref_axis',(-1.,0.,0.)); #427850=DIRECTION('',(-1.,0.,0.)); #427851=DIRECTION('',(-1.,0.,0.)); #427852=DIRECTION('',(0.,0.,1.)); #427853=DIRECTION('center_axis',(-1.,0.,0.)); #427854=DIRECTION('ref_axis',(0.,-1.,0.)); #427855=DIRECTION('',(0.,-1.,0.)); #427856=DIRECTION('',(0.,-1.,0.)); #427857=DIRECTION('',(0.,0.,1.)); #427858=DIRECTION('center_axis',(0.,-1.,0.)); #427859=DIRECTION('ref_axis',(1.,0.,0.)); #427860=DIRECTION('',(1.,0.,0.)); #427861=DIRECTION('',(1.,0.,0.)); #427862=DIRECTION('',(0.,0.,1.)); #427863=DIRECTION('center_axis',(-1.,0.,0.)); #427864=DIRECTION('ref_axis',(0.,-1.,0.)); #427865=DIRECTION('',(0.,-1.,0.)); #427866=DIRECTION('',(0.,-1.,0.)); #427867=DIRECTION('',(0.,0.,1.)); #427868=DIRECTION('center_axis',(0.,1.,0.)); #427869=DIRECTION('ref_axis',(-1.,0.,0.)); #427870=DIRECTION('',(-1.,0.,0.)); #427871=DIRECTION('',(-1.,0.,0.)); #427872=DIRECTION('',(0.,0.,1.)); #427873=DIRECTION('center_axis',(1.,0.,0.)); #427874=DIRECTION('ref_axis',(0.,1.,0.)); #427875=DIRECTION('',(0.,1.,0.)); #427876=DIRECTION('',(0.,1.,0.)); #427877=DIRECTION('',(0.,0.,1.)); #427878=DIRECTION('center_axis',(0.,-1.,0.)); #427879=DIRECTION('ref_axis',(1.,0.,0.)); #427880=DIRECTION('',(1.,0.,0.)); #427881=DIRECTION('',(1.,0.,0.)); #427882=DIRECTION('',(0.,0.,1.)); #427883=DIRECTION('center_axis',(1.,0.,0.)); #427884=DIRECTION('ref_axis',(0.,1.,0.)); #427885=DIRECTION('',(0.,1.,0.)); #427886=DIRECTION('',(0.,1.,0.)); #427887=DIRECTION('',(0.,0.,1.)); #427888=DIRECTION('center_axis',(0.,1.,0.)); #427889=DIRECTION('ref_axis',(-1.,0.,0.)); #427890=DIRECTION('',(-1.,0.,0.)); #427891=DIRECTION('',(-1.,0.,0.)); #427892=DIRECTION('',(0.,0.,1.)); #427893=DIRECTION('center_axis',(1.,0.,0.)); #427894=DIRECTION('ref_axis',(0.,1.,0.)); #427895=DIRECTION('',(0.,1.,0.)); #427896=DIRECTION('',(0.,1.,0.)); #427897=DIRECTION('',(0.,0.,1.)); #427898=DIRECTION('center_axis',(0.,1.,0.)); #427899=DIRECTION('ref_axis',(-1.,0.,0.)); #427900=DIRECTION('',(-1.,0.,0.)); #427901=DIRECTION('',(-1.,0.,0.)); #427902=DIRECTION('',(0.,0.,1.)); #427903=DIRECTION('center_axis',(0.,0.,1.)); #427904=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #427905=DIRECTION('center_axis',(0.,0.,1.)); #427906=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #427907=DIRECTION('center_axis',(0.,0.,1.)); #427908=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #427909=DIRECTION('',(0.,0.,1.)); #427910=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #427911=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #427912=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #427913=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #427914=DIRECTION('',(0.,0.,1.)); #427915=DIRECTION('center_axis',(0.,1.,0.)); #427916=DIRECTION('ref_axis',(-1.,0.,0.)); #427917=DIRECTION('',(-1.,0.,0.)); #427918=DIRECTION('',(-1.,0.,0.)); #427919=DIRECTION('',(0.,0.,1.)); #427920=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #427921=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #427922=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #427923=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #427924=DIRECTION('',(0.,0.,1.)); #427925=DIRECTION('center_axis',(0.,0.,1.)); #427926=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #427927=DIRECTION('center_axis',(0.,0.,1.)); #427928=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #427929=DIRECTION('center_axis',(0.,0.,1.)); #427930=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #427931=DIRECTION('',(0.,0.,1.)); #427932=DIRECTION('center_axis',(0.,1.,0.)); #427933=DIRECTION('ref_axis',(-1.,0.,0.)); #427934=DIRECTION('',(-1.,0.,0.)); #427935=DIRECTION('',(-1.,0.,0.)); #427936=DIRECTION('',(0.,0.,1.)); #427937=DIRECTION('center_axis',(-0.707106781186552,0.707106781186543,0.)); #427938=DIRECTION('ref_axis',(-0.707106781186543,-0.707106781186552,0.)); #427939=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #427940=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #427941=DIRECTION('',(0.,0.,1.)); #427942=DIRECTION('center_axis',(0.,0.,1.)); #427943=DIRECTION('ref_axis',(5.90313871689267E-6,0.999999999982576,0.)); #427944=DIRECTION('center_axis',(0.,0.,1.)); #427945=DIRECTION('ref_axis',(5.90313871689267E-6,0.999999999982576,0.)); #427946=DIRECTION('center_axis',(0.,0.,1.)); #427947=DIRECTION('ref_axis',(5.90313871689267E-6,0.999999999982576,0.)); #427948=DIRECTION('',(0.,0.,1.)); #427949=DIRECTION('center_axis',(0.,1.,0.)); #427950=DIRECTION('ref_axis',(-1.,0.,0.)); #427951=DIRECTION('',(-1.,0.,0.)); #427952=DIRECTION('',(-1.,0.,0.)); #427953=DIRECTION('',(0.,0.,1.)); #427954=DIRECTION('center_axis',(0.,0.,1.)); #427955=DIRECTION('ref_axis',(0.923607696029123,0.383339045540335,0.)); #427956=DIRECTION('center_axis',(0.,0.,1.)); #427957=DIRECTION('ref_axis',(0.923607696029123,0.383339045540335,0.)); #427958=DIRECTION('center_axis',(0.,0.,1.)); #427959=DIRECTION('ref_axis',(0.923607696029123,0.383339045540335,0.)); #427960=DIRECTION('',(0.,0.,1.)); #427961=DIRECTION('center_axis',(0.,0.,1.)); #427962=DIRECTION('ref_axis',(-0.88701281109274,-0.461744813676727,0.)); #427963=DIRECTION('center_axis',(0.,0.,1.)); #427964=DIRECTION('ref_axis',(-0.88701281109274,-0.461744813676727,0.)); #427965=DIRECTION('center_axis',(0.,0.,1.)); #427966=DIRECTION('ref_axis',(-0.88701281109274,-0.461744813676727,0.)); #427967=DIRECTION('',(0.,0.,1.)); #427968=DIRECTION('center_axis',(0.,-1.,0.)); #427969=DIRECTION('ref_axis',(1.,0.,0.)); #427970=DIRECTION('',(1.,0.,0.)); #427971=DIRECTION('',(1.,0.,0.)); #427972=DIRECTION('',(0.,0.,1.)); #427973=DIRECTION('center_axis',(0.70710678118655,-0.707106781186545,0.)); #427974=DIRECTION('ref_axis',(0.707106781186545,0.70710678118655,0.)); #427975=DIRECTION('',(0.707106781186545,0.70710678118655,0.)); #427976=DIRECTION('',(0.707106781186545,0.70710678118655,0.)); #427977=DIRECTION('',(0.,0.,1.)); #427978=DIRECTION('center_axis',(0.,0.,1.)); #427979=DIRECTION('ref_axis',(-5.90313864695774E-6,-0.999999999982576,0.)); #427980=DIRECTION('center_axis',(0.,0.,1.)); #427981=DIRECTION('ref_axis',(-5.90313864695774E-6,-0.999999999982576,0.)); #427982=DIRECTION('center_axis',(0.,0.,1.)); #427983=DIRECTION('ref_axis',(-5.90313864695774E-6,-0.999999999982576,0.)); #427984=DIRECTION('',(0.,0.,1.)); #427985=DIRECTION('center_axis',(0.,-1.,0.)); #427986=DIRECTION('ref_axis',(1.,0.,0.)); #427987=DIRECTION('',(1.,0.,0.)); #427988=DIRECTION('',(1.,0.,0.)); #427989=DIRECTION('',(0.,0.,1.)); #427990=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #427991=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #427992=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #427993=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #427994=DIRECTION('',(0.,0.,1.)); #427995=DIRECTION('center_axis',(0.,0.,1.)); #427996=DIRECTION('ref_axis',(-5.90313864695774E-6,-0.999999999982576,0.)); #427997=DIRECTION('center_axis',(0.,0.,1.)); #427998=DIRECTION('ref_axis',(-5.90313864695774E-6,-0.999999999982576,0.)); #427999=DIRECTION('center_axis',(0.,0.,1.)); #428000=DIRECTION('ref_axis',(-5.90313864695774E-6,-0.999999999982576,0.)); #428001=DIRECTION('',(0.,0.,1.)); #428002=DIRECTION('center_axis',(0.,-1.,0.)); #428003=DIRECTION('ref_axis',(1.,0.,0.)); #428004=DIRECTION('',(1.,0.,0.)); #428005=DIRECTION('',(1.,0.,0.)); #428006=DIRECTION('',(0.,0.,1.)); #428007=DIRECTION('center_axis',(0.,0.,1.)); #428008=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #428009=DIRECTION('center_axis',(0.,0.,1.)); #428010=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #428011=DIRECTION('center_axis',(0.,0.,1.)); #428012=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #428013=DIRECTION('',(0.,0.,1.)); #428014=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #428015=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #428016=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #428017=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #428018=DIRECTION('',(0.,0.,1.)); #428019=DIRECTION('center_axis',(0.,-1.,0.)); #428020=DIRECTION('ref_axis',(1.,0.,0.)); #428021=DIRECTION('',(1.,0.,0.)); #428022=DIRECTION('',(1.,0.,0.)); #428023=DIRECTION('',(0.,0.,1.)); #428024=DIRECTION('center_axis',(1.,0.,0.)); #428025=DIRECTION('ref_axis',(0.,1.,0.)); #428026=DIRECTION('',(0.,1.,0.)); #428027=DIRECTION('',(0.,1.,0.)); #428028=DIRECTION('',(0.,0.,1.)); #428029=DIRECTION('center_axis',(0.,-1.,0.)); #428030=DIRECTION('ref_axis',(1.,0.,0.)); #428031=DIRECTION('',(1.,0.,0.)); #428032=DIRECTION('',(1.,0.,0.)); #428033=DIRECTION('',(0.,0.,1.)); #428034=DIRECTION('center_axis',(0.715039296629332,-0.699084261213074,0.)); #428035=DIRECTION('ref_axis',(0.699084261213074,0.715039296629332,0.)); #428036=DIRECTION('',(0.699084261213074,0.715039296629332,0.)); #428037=DIRECTION('',(0.699084261213074,0.715039296629332,0.)); #428038=DIRECTION('',(0.,0.,1.)); #428039=DIRECTION('center_axis',(0.,0.,1.)); #428040=DIRECTION('ref_axis',(0.0428858100106967,-0.99907998043186,0.)); #428041=DIRECTION('center_axis',(0.,0.,1.)); #428042=DIRECTION('ref_axis',(0.0428858100106967,-0.99907998043186,0.)); #428043=DIRECTION('center_axis',(0.,0.,1.)); #428044=DIRECTION('ref_axis',(0.0428858100106967,-0.99907998043186,0.)); #428045=DIRECTION('center_axis',(0.,0.,1.)); #428046=DIRECTION('ref_axis',(1.,0.,0.)); #428047=DIRECTION('center_axis',(0.,0.,1.)); #428048=DIRECTION('ref_axis',(1.,0.,0.)); #428049=DIRECTION('center_axis',(0.,0.,1.)); #428050=DIRECTION('ref_axis',(1.,0.,0.)); #428051=DIRECTION('center_axis',(0.,0.,1.)); #428052=DIRECTION('ref_axis',(1.,0.,0.)); #428053=DIRECTION('',(0.,0.,1.)); #428054=DIRECTION('center_axis',(0.,0.,-1.)); #428055=DIRECTION('ref_axis',(1.,0.,0.)); #428056=DIRECTION('center_axis',(0.,0.,1.)); #428057=DIRECTION('ref_axis',(1.,0.,0.)); #428058=DIRECTION('center_axis',(0.,0.,1.)); #428059=DIRECTION('ref_axis',(1.,0.,0.)); #428060=DIRECTION('',(0.,0.,1.)); #428061=DIRECTION('center_axis',(0.,0.,-1.)); #428062=DIRECTION('ref_axis',(1.,0.,0.)); #428063=DIRECTION('center_axis',(0.,0.,1.)); #428064=DIRECTION('ref_axis',(1.,0.,0.)); #428065=DIRECTION('center_axis',(0.,0.,1.)); #428066=DIRECTION('ref_axis',(1.,0.,0.)); #428067=DIRECTION('',(0.,0.,1.)); #428068=DIRECTION('center_axis',(0.,0.,-1.)); #428069=DIRECTION('ref_axis',(1.,0.,0.)); #428070=DIRECTION('center_axis',(0.,0.,1.)); #428071=DIRECTION('ref_axis',(1.,0.,0.)); #428072=DIRECTION('center_axis',(0.,0.,1.)); #428073=DIRECTION('ref_axis',(1.,0.,0.)); #428074=DIRECTION('',(0.,0.,1.)); #428075=DIRECTION('center_axis',(0.,0.,-1.)); #428076=DIRECTION('ref_axis',(1.,0.,0.)); #428077=DIRECTION('center_axis',(0.,0.,1.)); #428078=DIRECTION('ref_axis',(1.,0.,0.)); #428079=DIRECTION('center_axis',(0.,0.,1.)); #428080=DIRECTION('ref_axis',(1.,0.,0.)); #428081=DIRECTION('',(0.,0.,1.)); #428082=DIRECTION('center_axis',(0.,0.,-1.)); #428083=DIRECTION('ref_axis',(1.,0.,0.)); #428084=DIRECTION('center_axis',(0.,0.,1.)); #428085=DIRECTION('ref_axis',(1.,0.,0.)); #428086=DIRECTION('center_axis',(0.,0.,1.)); #428087=DIRECTION('ref_axis',(1.,0.,0.)); #428088=DIRECTION('',(0.,0.,1.)); #428089=DIRECTION('center_axis',(0.,0.,-1.)); #428090=DIRECTION('ref_axis',(1.,0.,0.)); #428091=DIRECTION('center_axis',(0.,0.,1.)); #428092=DIRECTION('ref_axis',(1.,0.,0.)); #428093=DIRECTION('center_axis',(0.,0.,1.)); #428094=DIRECTION('ref_axis',(1.,0.,0.)); #428095=DIRECTION('',(0.,0.,1.)); #428096=DIRECTION('center_axis',(0.,0.,-1.)); #428097=DIRECTION('ref_axis',(1.,0.,0.)); #428098=DIRECTION('center_axis',(0.,0.,1.)); #428099=DIRECTION('ref_axis',(1.,0.,0.)); #428100=DIRECTION('center_axis',(0.,0.,1.)); #428101=DIRECTION('ref_axis',(1.,0.,0.)); #428102=DIRECTION('',(0.,0.,1.)); #428103=DIRECTION('center_axis',(0.,0.,-1.)); #428104=DIRECTION('ref_axis',(1.,0.,0.)); #428105=DIRECTION('center_axis',(0.,0.,1.)); #428106=DIRECTION('ref_axis',(1.,0.,0.)); #428107=DIRECTION('center_axis',(0.,0.,1.)); #428108=DIRECTION('ref_axis',(1.,0.,0.)); #428109=DIRECTION('',(0.,0.,1.)); #428110=DIRECTION('center_axis',(0.,0.,-1.)); #428111=DIRECTION('ref_axis',(1.,0.,0.)); #428112=DIRECTION('center_axis',(0.,0.,1.)); #428113=DIRECTION('ref_axis',(1.,0.,0.)); #428114=DIRECTION('center_axis',(0.,0.,1.)); #428115=DIRECTION('ref_axis',(1.,0.,0.)); #428116=DIRECTION('',(0.,0.,1.)); #428117=DIRECTION('center_axis',(0.,0.,-1.)); #428118=DIRECTION('ref_axis',(1.,0.,0.)); #428119=DIRECTION('center_axis',(0.,0.,1.)); #428120=DIRECTION('ref_axis',(1.,0.,0.)); #428121=DIRECTION('center_axis',(0.,0.,1.)); #428122=DIRECTION('ref_axis',(1.,0.,0.)); #428123=DIRECTION('',(0.,0.,1.)); #428124=DIRECTION('center_axis',(0.,0.,-1.)); #428125=DIRECTION('ref_axis',(1.,0.,0.)); #428126=DIRECTION('center_axis',(0.,0.,1.)); #428127=DIRECTION('ref_axis',(1.,0.,0.)); #428128=DIRECTION('center_axis',(0.,0.,1.)); #428129=DIRECTION('ref_axis',(1.,0.,0.)); #428130=DIRECTION('',(0.,0.,1.)); #428131=DIRECTION('center_axis',(0.,0.,-1.)); #428132=DIRECTION('ref_axis',(1.,0.,0.)); #428133=DIRECTION('center_axis',(0.199010641789353,-0.979997328799722,0.)); #428134=DIRECTION('ref_axis',(0.979997328799722,0.199010641789353,0.)); #428135=DIRECTION('',(0.979997328799722,0.199010641789353,0.)); #428136=DIRECTION('',(0.,0.,1.)); #428137=DIRECTION('',(0.979997328799722,0.199010641789353,0.)); #428138=DIRECTION('',(0.,0.,1.)); #428139=DIRECTION('center_axis',(0.,-1.,0.)); #428140=DIRECTION('ref_axis',(1.,0.,0.)); #428141=DIRECTION('',(1.,0.,0.)); #428142=DIRECTION('',(1.,0.,0.)); #428143=DIRECTION('',(0.,0.,1.)); #428144=DIRECTION('center_axis',(-0.191194865688692,-0.981552099144148, 0.)); #428145=DIRECTION('ref_axis',(0.981552099144148,-0.191194865688692,0.)); #428146=DIRECTION('',(0.981552099144148,-0.191194865688692,0.)); #428147=DIRECTION('',(0.981552099144148,-0.191194865688692,0.)); #428148=DIRECTION('',(0.,0.,1.)); #428149=DIRECTION('center_axis',(-0.55221870108126,-0.833699290017765,0.)); #428150=DIRECTION('ref_axis',(0.833699290017765,-0.55221870108126,0.)); #428151=DIRECTION('',(0.833699290017765,-0.55221870108126,0.)); #428152=DIRECTION('',(0.833699290017765,-0.55221870108126,0.)); #428153=DIRECTION('',(0.,0.,1.)); #428154=DIRECTION('center_axis',(-0.707106781186552,-0.707106781186543, 0.)); #428155=DIRECTION('ref_axis',(0.707106781186543,-0.707106781186552,0.)); #428156=DIRECTION('',(0.707106781186543,-0.707106781186552,0.)); #428157=DIRECTION('',(0.707106781186543,-0.707106781186552,0.)); #428158=DIRECTION('',(0.,0.,1.)); #428159=DIRECTION('center_axis',(-0.829264560351577,-0.558856232804919, 0.)); #428160=DIRECTION('ref_axis',(0.558856232804919,-0.829264560351577,0.)); #428161=DIRECTION('',(0.558856232804919,-0.829264560351577,0.)); #428162=DIRECTION('',(0.558856232804919,-0.829264560351577,0.)); #428163=DIRECTION('',(0.,0.,1.)); #428164=DIRECTION('center_axis',(-0.979997328799711,-0.199010641789404, 0.)); #428165=DIRECTION('ref_axis',(0.199010641789404,-0.979997328799711,0.)); #428166=DIRECTION('',(0.199010641789404,-0.979997328799711,0.)); #428167=DIRECTION('',(0.199010641789404,-0.979997328799711,0.)); #428168=DIRECTION('',(0.,0.,1.)); #428169=DIRECTION('center_axis',(-1.,0.,0.)); #428170=DIRECTION('ref_axis',(0.,-1.,0.)); #428171=DIRECTION('',(0.,-1.,0.)); #428172=DIRECTION('',(0.,-1.,0.)); #428173=DIRECTION('',(0.,0.,1.)); #428174=DIRECTION('center_axis',(-0.981552099144153,0.191194865688666,0.)); #428175=DIRECTION('ref_axis',(-0.191194865688666,-0.981552099144153,0.)); #428176=DIRECTION('',(-0.191194865688666,-0.981552099144153,0.)); #428177=DIRECTION('',(-0.191194865688666,-0.981552099144153,0.)); #428178=DIRECTION('',(0.,0.,1.)); #428179=DIRECTION('center_axis',(-0.833699290017745,0.552218701081291,0.)); #428180=DIRECTION('ref_axis',(-0.552218701081291,-0.833699290017745,0.)); #428181=DIRECTION('',(-0.552218701081291,-0.833699290017745,0.)); #428182=DIRECTION('',(-0.552218701081291,-0.833699290017745,0.)); #428183=DIRECTION('',(0.,0.,1.)); #428184=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,0.)); #428185=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,0.)); #428186=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #428187=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #428188=DIRECTION('',(0.,0.,1.)); #428189=DIRECTION('center_axis',(-0.558856232804919,0.829264560351577,0.)); #428190=DIRECTION('ref_axis',(-0.829264560351577,-0.558856232804919,0.)); #428191=DIRECTION('',(-0.829264560351577,-0.558856232804919,0.)); #428192=DIRECTION('',(-0.829264560351577,-0.558856232804919,0.)); #428193=DIRECTION('',(0.,0.,1.)); #428194=DIRECTION('center_axis',(-0.199010641789396,0.979997328799713,0.)); #428195=DIRECTION('ref_axis',(-0.979997328799713,-0.199010641789396,0.)); #428196=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #428197=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #428198=DIRECTION('',(0.,0.,1.)); #428199=DIRECTION('center_axis',(0.,1.,0.)); #428200=DIRECTION('ref_axis',(-1.,0.,0.)); #428201=DIRECTION('',(-1.,0.,0.)); #428202=DIRECTION('',(-1.,0.,0.)); #428203=DIRECTION('',(0.,0.,1.)); #428204=DIRECTION('center_axis',(0.191194865688736,0.981552099144139,0.)); #428205=DIRECTION('ref_axis',(-0.981552099144139,0.191194865688736,0.)); #428206=DIRECTION('',(-0.981552099144139,0.191194865688736,0.)); #428207=DIRECTION('',(-0.981552099144139,0.191194865688736,0.)); #428208=DIRECTION('',(0.,0.,1.)); #428209=DIRECTION('center_axis',(0.55221870108123,0.833699290017785,0.)); #428210=DIRECTION('ref_axis',(-0.833699290017785,0.55221870108123,0.)); #428211=DIRECTION('',(-0.833699290017785,0.55221870108123,0.)); #428212=DIRECTION('',(-0.833699290017785,0.55221870108123,0.)); #428213=DIRECTION('',(0.,0.,1.)); #428214=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #428215=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #428216=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #428217=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #428218=DIRECTION('',(0.,0.,1.)); #428219=DIRECTION('center_axis',(0.829264560351605,0.558856232804877,0.)); #428220=DIRECTION('ref_axis',(-0.558856232804877,0.829264560351605,0.)); #428221=DIRECTION('',(-0.558856232804877,0.829264560351605,0.)); #428222=DIRECTION('',(-0.558856232804877,0.829264560351605,0.)); #428223=DIRECTION('',(0.,0.,1.)); #428224=DIRECTION('center_axis',(0.979997328799706,0.19901064178943,0.)); #428225=DIRECTION('ref_axis',(-0.19901064178943,0.979997328799706,0.)); #428226=DIRECTION('',(-0.19901064178943,0.979997328799706,0.)); #428227=DIRECTION('',(-0.19901064178943,0.979997328799706,0.)); #428228=DIRECTION('',(0.,0.,1.)); #428229=DIRECTION('center_axis',(1.,0.,0.)); #428230=DIRECTION('ref_axis',(0.,1.,0.)); #428231=DIRECTION('',(0.,1.,0.)); #428232=DIRECTION('',(0.,1.,0.)); #428233=DIRECTION('',(0.,0.,1.)); #428234=DIRECTION('center_axis',(0.990017436553573,0.140944937191418,0.)); #428235=DIRECTION('ref_axis',(-0.140944937191418,0.990017436553573,0.)); #428236=DIRECTION('',(-0.140944937191418,0.990017436553573,0.)); #428237=DIRECTION('',(-0.140944937191418,0.990017436553573,0.)); #428238=DIRECTION('',(0.,0.,1.)); #428239=DIRECTION('center_axis',(0.789918699774633,0.613211584810948,0.)); #428240=DIRECTION('ref_axis',(-0.613211584810948,0.789918699774632,0.)); #428241=DIRECTION('',(-0.613211584810948,0.789918699774633,0.)); #428242=DIRECTION('',(-0.613211584810948,0.789918699774633,0.)); #428243=DIRECTION('',(0.,0.,1.)); #428244=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #428245=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #428246=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #428247=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #428248=DIRECTION('',(0.,0.,1.)); #428249=DIRECTION('center_axis',(0.600315735781271,0.799763100782595,0.)); #428250=DIRECTION('ref_axis',(-0.799763100782595,0.600315735781271,0.)); #428251=DIRECTION('',(-0.799763100782595,0.600315735781271,0.)); #428252=DIRECTION('',(-0.799763100782595,0.600315735781271,0.)); #428253=DIRECTION('',(0.,0.,1.)); #428254=DIRECTION('center_axis',(0.125280629073241,0.992121345390277,0.)); #428255=DIRECTION('ref_axis',(-0.992121345390277,0.125280629073241,0.)); #428256=DIRECTION('',(-0.992121345390277,0.125280629073241,0.)); #428257=DIRECTION('',(-0.992121345390277,0.125280629073241,0.)); #428258=DIRECTION('',(0.,0.,1.)); #428259=DIRECTION('center_axis',(0.,1.,0.)); #428260=DIRECTION('ref_axis',(-1.,0.,0.)); #428261=DIRECTION('',(-1.,0.,0.)); #428262=DIRECTION('',(-1.,0.,0.)); #428263=DIRECTION('',(0.,0.,1.)); #428264=DIRECTION('center_axis',(0.183314787014901,0.983054265471485,0.)); #428265=DIRECTION('ref_axis',(-0.983054265471485,0.183314787014901,0.)); #428266=DIRECTION('',(-0.983054265471485,0.183314787014901,0.)); #428267=DIRECTION('',(-0.983054265471485,0.183314787014901,0.)); #428268=DIRECTION('',(0.,0.,1.)); #428269=DIRECTION('center_axis',(0.538928498327832,0.842351514327663,0.)); #428270=DIRECTION('ref_axis',(-0.842351514327663,0.538928498327832,0.)); #428271=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #428272=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #428273=DIRECTION('',(0.,0.,1.)); #428274=DIRECTION('center_axis',(0.82476628744178,0.565473758099792,0.)); #428275=DIRECTION('ref_axis',(-0.565473758099792,0.82476628744178,0.)); #428276=DIRECTION('',(-0.565473758099792,0.82476628744178,0.)); #428277=DIRECTION('',(-0.565473758099792,0.82476628744178,0.)); #428278=DIRECTION('',(0.,0.,1.)); #428279=DIRECTION('center_axis',(0.97999732879971,0.199010641789413,0.)); #428280=DIRECTION('ref_axis',(-0.199010641789413,0.97999732879971,0.)); #428281=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #428282=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #428283=DIRECTION('',(0.,0.,1.)); #428284=DIRECTION('center_axis',(1.,0.,0.)); #428285=DIRECTION('ref_axis',(0.,1.,0.)); #428286=DIRECTION('',(0.,1.,0.)); #428287=DIRECTION('',(0.,1.,0.)); #428288=DIRECTION('',(0.,0.,1.)); #428289=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #428290=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #428291=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #428292=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #428293=DIRECTION('',(0.,0.,1.)); #428294=DIRECTION('center_axis',(0.,1.,0.)); #428295=DIRECTION('ref_axis',(-1.,0.,0.)); #428296=DIRECTION('',(-1.,0.,0.)); #428297=DIRECTION('',(-1.,0.,0.)); #428298=DIRECTION('',(0.,0.,1.)); #428299=DIRECTION('center_axis',(-0.707106781186533,0.707106781186562,0.)); #428300=DIRECTION('ref_axis',(-0.707106781186562,-0.707106781186533,0.)); #428301=DIRECTION('',(-0.707106781186562,-0.707106781186533,0.)); #428302=DIRECTION('',(-0.707106781186562,-0.707106781186533,0.)); #428303=DIRECTION('',(0.,0.,1.)); #428304=DIRECTION('center_axis',(-0.565475774224523,0.824764905148841,0.)); #428305=DIRECTION('ref_axis',(-0.82476490514884,-0.565475774224523,0.)); #428306=DIRECTION('',(-0.824764905148841,-0.565475774224523,0.)); #428307=DIRECTION('',(-0.824764905148841,-0.565475774224523,0.)); #428308=DIRECTION('',(0.,0.,1.)); #428309=DIRECTION('center_axis',(-0.214594192212086,0.976703298176495,0.)); #428310=DIRECTION('ref_axis',(-0.976703298176494,-0.214594192212086,0.)); #428311=DIRECTION('',(-0.976703298176494,-0.214594192212086,0.)); #428312=DIRECTION('',(-0.976703298176494,-0.214594192212086,0.)); #428313=DIRECTION('',(0.,0.,1.)); #428314=DIRECTION('center_axis',(0.183380618657178,0.983041987252279,0.)); #428315=DIRECTION('ref_axis',(-0.983041987252279,0.183380618657178,0.)); #428316=DIRECTION('',(-0.983041987252279,0.183380618657178,0.)); #428317=DIRECTION('',(-0.983041987252279,0.183380618657178,0.)); #428318=DIRECTION('',(0.,0.,1.)); #428319=DIRECTION('center_axis',(0.552214058332503,0.833702365223913,0.)); #428320=DIRECTION('ref_axis',(-0.833702365223913,0.552214058332503,0.)); #428321=DIRECTION('',(-0.833702365223913,0.552214058332503,0.)); #428322=DIRECTION('',(-0.833702365223913,0.552214058332503,0.)); #428323=DIRECTION('',(0.,0.,1.)); #428324=DIRECTION('center_axis',(0.707106781186533,0.707106781186562,0.)); #428325=DIRECTION('ref_axis',(-0.707106781186562,0.707106781186533,0.)); #428326=DIRECTION('',(-0.707106781186562,0.707106781186533,0.)); #428327=DIRECTION('',(-0.707106781186562,0.707106781186533,0.)); #428328=DIRECTION('',(0.,0.,1.)); #428329=DIRECTION('center_axis',(0.,1.,0.)); #428330=DIRECTION('ref_axis',(-1.,0.,0.)); #428331=DIRECTION('',(-1.,0.,0.)); #428332=DIRECTION('',(-1.,0.,0.)); #428333=DIRECTION('',(0.,0.,1.)); #428334=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #428335=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #428336=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #428337=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #428338=DIRECTION('',(0.,0.,1.)); #428339=DIRECTION('center_axis',(-1.,0.,0.)); #428340=DIRECTION('ref_axis',(0.,-1.,0.)); #428341=DIRECTION('',(0.,-1.,0.)); #428342=DIRECTION('',(0.,-1.,0.)); #428343=DIRECTION('',(0.,0.,1.)); #428344=DIRECTION('center_axis',(-0.983050395657587,0.18333553828285,0.)); #428345=DIRECTION('ref_axis',(-0.18333553828285,-0.983050395657587,0.)); #428346=DIRECTION('',(-0.18333553828285,-0.983050395657587,0.)); #428347=DIRECTION('',(-0.18333553828285,-0.983050395657587,0.)); #428348=DIRECTION('',(0.,0.,1.)); #428349=DIRECTION('center_axis',(-0.84236049547023,0.538914460439825,0.)); #428350=DIRECTION('ref_axis',(-0.538914460439825,-0.84236049547023,0.)); #428351=DIRECTION('',(-0.538914460439825,-0.84236049547023,0.)); #428352=DIRECTION('',(-0.538914460439825,-0.84236049547023,0.)); #428353=DIRECTION('',(0.,0.,1.)); #428354=DIRECTION('center_axis',(-0.565473758099792,0.82476628744178,0.)); #428355=DIRECTION('ref_axis',(-0.82476628744178,-0.565473758099792,0.)); #428356=DIRECTION('',(-0.82476628744178,-0.565473758099792,0.)); #428357=DIRECTION('',(-0.82476628744178,-0.565473758099792,0.)); #428358=DIRECTION('',(0.,0.,1.)); #428359=DIRECTION('center_axis',(-0.199010641789311,0.97999732879973,0.)); #428360=DIRECTION('ref_axis',(-0.97999732879973,-0.199010641789311,0.)); #428361=DIRECTION('',(-0.97999732879973,-0.199010641789311,0.)); #428362=DIRECTION('',(-0.97999732879973,-0.199010641789311,0.)); #428363=DIRECTION('',(0.,0.,1.)); #428364=DIRECTION('center_axis',(0.,1.,0.)); #428365=DIRECTION('ref_axis',(-1.,0.,0.)); #428366=DIRECTION('',(-1.,0.,0.)); #428367=DIRECTION('',(-1.,0.,0.)); #428368=DIRECTION('',(0.,0.,1.)); #428369=DIRECTION('center_axis',(0.191194865688648,0.981552099144156,0.)); #428370=DIRECTION('ref_axis',(-0.981552099144156,0.191194865688648,0.)); #428371=DIRECTION('',(-0.981552099144156,0.191194865688648,0.)); #428372=DIRECTION('',(-0.981552099144156,0.191194865688648,0.)); #428373=DIRECTION('',(0.,0.,1.)); #428374=DIRECTION('center_axis',(0.552218701081331,0.833699290017718,0.)); #428375=DIRECTION('ref_axis',(-0.833699290017718,0.552218701081331,0.)); #428376=DIRECTION('',(-0.833699290017718,0.552218701081331,0.)); #428377=DIRECTION('',(-0.833699290017718,0.552218701081331,0.)); #428378=DIRECTION('',(0.,0.,1.)); #428379=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #428380=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #428381=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #428382=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #428383=DIRECTION('',(0.,0.,1.)); #428384=DIRECTION('center_axis',(0.829264560351577,0.558856232804919,0.)); #428385=DIRECTION('ref_axis',(-0.558856232804919,0.829264560351577,0.)); #428386=DIRECTION('',(-0.558856232804919,0.829264560351577,0.)); #428387=DIRECTION('',(-0.558856232804919,0.829264560351577,0.)); #428388=DIRECTION('',(0.,0.,1.)); #428389=DIRECTION('center_axis',(0.979997328799727,0.199010641789328,0.)); #428390=DIRECTION('ref_axis',(-0.199010641789328,0.979997328799727,0.)); #428391=DIRECTION('',(-0.199010641789328,0.979997328799727,0.)); #428392=DIRECTION('',(-0.199010641789328,0.979997328799727,0.)); #428393=DIRECTION('',(0.,0.,1.)); #428394=DIRECTION('center_axis',(1.,0.,0.)); #428395=DIRECTION('ref_axis',(0.,1.,0.)); #428396=DIRECTION('',(0.,1.,0.)); #428397=DIRECTION('',(0.,1.,0.)); #428398=DIRECTION('',(0.,0.,1.)); #428399=DIRECTION('center_axis',(0.983054265471499,-0.183314787014826,0.)); #428400=DIRECTION('ref_axis',(0.183314787014826,0.983054265471499,0.)); #428401=DIRECTION('',(0.183314787014826,0.983054265471499,0.)); #428402=DIRECTION('',(0.183314787014826,0.983054265471499,0.)); #428403=DIRECTION('',(0.,0.,1.)); #428404=DIRECTION('center_axis',(0.842351514327649,-0.538928498327855,0.)); #428405=DIRECTION('ref_axis',(0.538928498327855,0.842351514327649,0.)); #428406=DIRECTION('',(0.538928498327855,0.842351514327649,0.)); #428407=DIRECTION('',(0.538928498327855,0.842351514327649,0.)); #428408=DIRECTION('',(0.,0.,1.)); #428409=DIRECTION('center_axis',(0.565473758099733,-0.824766287441821,0.)); #428410=DIRECTION('ref_axis',(0.824766287441821,0.565473758099733,0.)); #428411=DIRECTION('',(0.824766287441821,0.565473758099733,0.)); #428412=DIRECTION('',(0.824766287441821,0.565473758099733,0.)); #428413=DIRECTION('',(0.,0.,1.)); #428414=DIRECTION('center_axis',(0.199010641789396,-0.979997328799713,0.)); #428415=DIRECTION('ref_axis',(0.979997328799713,0.199010641789396,0.)); #428416=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #428417=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #428418=DIRECTION('',(0.,0.,1.)); #428419=DIRECTION('center_axis',(0.,-1.,0.)); #428420=DIRECTION('ref_axis',(1.,0.,0.)); #428421=DIRECTION('',(1.,0.,0.)); #428422=DIRECTION('',(1.,0.,0.)); #428423=DIRECTION('',(0.,0.,1.)); #428424=DIRECTION('center_axis',(0.707106641182218,-0.707106921190849,0.)); #428425=DIRECTION('ref_axis',(0.707106921190849,0.707106641182218,0.)); #428426=DIRECTION('',(0.707106921190849,0.707106641182218,0.)); #428427=DIRECTION('',(0.707106921190849,0.707106641182218,0.)); #428428=DIRECTION('',(0.,0.,1.)); #428429=DIRECTION('center_axis',(0.558865776602003,-0.829258128536007,0.)); #428430=DIRECTION('ref_axis',(0.829258128536007,0.558865776602003,0.)); #428431=DIRECTION('',(0.829258128536007,0.558865776602003,0.)); #428432=DIRECTION('',(0.829258128536007,0.558865776602003,0.)); #428433=DIRECTION('',(0.,0.,1.)); #428434=DIRECTION('center_axis',(0.199006783325535,-0.979998112340235,0.)); #428435=DIRECTION('ref_axis',(0.979998112340235,0.199006783325535,0.)); #428436=DIRECTION('',(0.979998112340235,0.199006783325535,0.)); #428437=DIRECTION('',(0.979998112340235,0.199006783325535,0.)); #428438=DIRECTION('',(0.,0.,1.)); #428439=DIRECTION('center_axis',(0.,-1.,0.)); #428440=DIRECTION('ref_axis',(1.,0.,0.)); #428441=DIRECTION('',(1.,0.,0.)); #428442=DIRECTION('',(1.,0.,0.)); #428443=DIRECTION('',(0.,0.,1.)); #428444=DIRECTION('center_axis',(-0.175526666653581,-0.98447467681677,0.)); #428445=DIRECTION('ref_axis',(0.984474676816769,-0.175526666653581,0.)); #428446=DIRECTION('',(0.984474676816769,-0.175526666653581,0.)); #428447=DIRECTION('',(0.984474676816769,-0.175526666653581,0.)); #428448=DIRECTION('',(0.,0.,1.)); #428449=DIRECTION('center_axis',(-0.525399368451308,-0.850855747839178, 0.)); #428450=DIRECTION('ref_axis',(0.850855747839178,-0.525399368451308,0.)); #428451=DIRECTION('',(0.850855747839178,-0.525399368451308,0.)); #428452=DIRECTION('',(0.850855747839178,-0.525399368451308,0.)); #428453=DIRECTION('',(0.,0.,1.)); #428454=DIRECTION('center_axis',(-0.815623399209,-0.578583157949449,0.)); #428455=DIRECTION('ref_axis',(0.578583157949449,-0.815623399209,0.)); #428456=DIRECTION('',(0.578583157949449,-0.815623399209,0.)); #428457=DIRECTION('',(0.578583157949449,-0.815623399209,0.)); #428458=DIRECTION('',(0.,0.,1.)); #428459=DIRECTION('center_axis',(-0.976703298176472,-0.214594192212188, 0.)); #428460=DIRECTION('ref_axis',(0.214594192212188,-0.976703298176472,0.)); #428461=DIRECTION('',(0.214594192212188,-0.976703298176472,0.)); #428462=DIRECTION('',(0.214594192212188,-0.976703298176472,0.)); #428463=DIRECTION('',(0.,0.,1.)); #428464=DIRECTION('center_axis',(-0.983041987252267,0.183380618657247,0.)); #428465=DIRECTION('ref_axis',(-0.183380618657247,-0.983041987252266,0.)); #428466=DIRECTION('',(-0.183380618657247,-0.983041987252266,0.)); #428467=DIRECTION('',(-0.183380618657247,-0.983041987252266,0.)); #428468=DIRECTION('',(0.,0.,1.)); #428469=DIRECTION('center_axis',(-0.833693256958911,0.552227809242928,0.)); #428470=DIRECTION('ref_axis',(-0.552227809242928,-0.833693256958911,0.)); #428471=DIRECTION('',(-0.552227809242928,-0.833693256958911,0.)); #428472=DIRECTION('',(-0.552227809242928,-0.833693256958911,0.)); #428473=DIRECTION('',(0.,0.,1.)); #428474=DIRECTION('center_axis',(-0.707244739779098,0.70696879567262,0.)); #428475=DIRECTION('ref_axis',(-0.70696879567262,-0.707244739779098,0.)); #428476=DIRECTION('',(-0.70696879567262,-0.707244739779098,0.)); #428477=DIRECTION('',(-0.70696879567262,-0.707244739779098,0.)); #428478=DIRECTION('',(0.,0.,1.)); #428479=DIRECTION('center_axis',(-1.,0.,0.)); #428480=DIRECTION('ref_axis',(0.,-1.,0.)); #428481=DIRECTION('',(0.,-1.,0.)); #428482=DIRECTION('',(0.,-1.,0.)); #428483=DIRECTION('',(0.,0.,1.)); #428484=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #428485=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #428486=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #428487=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #428488=DIRECTION('',(0.,0.,1.)); #428489=DIRECTION('center_axis',(0.,-1.,0.)); #428490=DIRECTION('ref_axis',(1.,0.,0.)); #428491=DIRECTION('',(1.,0.,0.)); #428492=DIRECTION('',(1.,0.,0.)); #428493=DIRECTION('',(0.,0.,1.)); #428494=DIRECTION('center_axis',(-0.191191000932803,-0.981552851945484, 0.)); #428495=DIRECTION('ref_axis',(0.981552851945484,-0.191191000932803,0.)); #428496=DIRECTION('',(0.981552851945484,-0.191191000932803,0.)); #428497=DIRECTION('',(0.981552851945484,-0.191191000932803,0.)); #428498=DIRECTION('',(0.,0.,1.)); #428499=DIRECTION('center_axis',(-0.552227809242888,-0.833693256958937, 0.)); #428500=DIRECTION('ref_axis',(0.833693256958937,-0.552227809242888,0.)); #428501=DIRECTION('',(0.833693256958937,-0.552227809242888,0.)); #428502=DIRECTION('',(0.833693256958937,-0.552227809242888,0.)); #428503=DIRECTION('',(0.,0.,1.)); #428504=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #428505=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #428506=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #428507=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #428508=DIRECTION('',(0.,0.,1.)); #428509=DIRECTION('center_axis',(-0.829258128536007,-0.558865776602003, 0.)); #428510=DIRECTION('ref_axis',(0.558865776602003,-0.829258128536007,0.)); #428511=DIRECTION('',(0.558865776602003,-0.829258128536007,0.)); #428512=DIRECTION('',(0.558865776602003,-0.829258128536007,0.)); #428513=DIRECTION('',(0.,0.,1.)); #428514=DIRECTION('center_axis',(-0.979998112340235,-0.199006783325535, 0.)); #428515=DIRECTION('ref_axis',(0.199006783325535,-0.979998112340235,0.)); #428516=DIRECTION('',(0.199006783325535,-0.979998112340235,0.)); #428517=DIRECTION('',(0.199006783325535,-0.979998112340235,0.)); #428518=DIRECTION('',(0.,0.,1.)); #428519=DIRECTION('center_axis',(-1.,0.,0.)); #428520=DIRECTION('ref_axis',(0.,-1.,0.)); #428521=DIRECTION('',(0.,-1.,0.)); #428522=DIRECTION('',(0.,-1.,0.)); #428523=DIRECTION('',(0.,0.,1.)); #428524=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #428525=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #428526=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #428527=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #428528=DIRECTION('',(0.,0.,1.)); #428529=DIRECTION('center_axis',(0.,-1.,0.)); #428530=DIRECTION('ref_axis',(1.,0.,0.)); #428531=DIRECTION('',(1.,0.,0.)); #428532=DIRECTION('',(1.,0.,0.)); #428533=DIRECTION('',(0.,0.,1.)); #428534=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #428535=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #428536=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #428537=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #428538=DIRECTION('',(0.,0.,1.)); #428539=DIRECTION('center_axis',(1.,0.,0.)); #428540=DIRECTION('ref_axis',(0.,1.,0.)); #428541=DIRECTION('',(0.,1.,0.)); #428542=DIRECTION('',(0.,1.,0.)); #428543=DIRECTION('',(0.,0.,1.)); #428544=DIRECTION('center_axis',(0.983054265471482,-0.183314787014918,0.)); #428545=DIRECTION('ref_axis',(0.183314787014918,0.983054265471482,0.)); #428546=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #428547=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #428548=DIRECTION('',(0.,0.,1.)); #428549=DIRECTION('center_axis',(0.842351514327714,-0.538928498327753,0.)); #428550=DIRECTION('ref_axis',(0.538928498327753,0.842351514327714,0.)); #428551=DIRECTION('',(0.538928498327753,0.842351514327714,0.)); #428552=DIRECTION('',(0.538928498327753,0.842351514327714,0.)); #428553=DIRECTION('',(0.,0.,1.)); #428554=DIRECTION('center_axis',(0.565473758099733,-0.824766287441821,0.)); #428555=DIRECTION('ref_axis',(0.824766287441821,0.565473758099733,0.)); #428556=DIRECTION('',(0.824766287441821,0.565473758099733,0.)); #428557=DIRECTION('',(0.824766287441821,0.565473758099733,0.)); #428558=DIRECTION('',(0.,0.,1.)); #428559=DIRECTION('center_axis',(0.199010641789396,-0.979997328799713,0.)); #428560=DIRECTION('ref_axis',(0.979997328799713,0.199010641789396,0.)); #428561=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #428562=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #428563=DIRECTION('',(0.,0.,1.)); #428564=DIRECTION('center_axis',(0.,-1.,0.)); #428565=DIRECTION('ref_axis',(1.,0.,0.)); #428566=DIRECTION('',(1.,0.,0.)); #428567=DIRECTION('',(1.,0.,0.)); #428568=DIRECTION('',(0.,0.,1.)); #428569=DIRECTION('center_axis',(0.140944937191862,-0.990017436553509,0.)); #428570=DIRECTION('ref_axis',(0.990017436553509,0.140944937191862,0.)); #428571=DIRECTION('',(0.990017436553509,0.140944937191862,0.)); #428572=DIRECTION('',(0.990017436553509,0.140944937191862,0.)); #428573=DIRECTION('',(0.,0.,1.)); #428574=DIRECTION('center_axis',(0.613211584811012,-0.789918699774583,0.)); #428575=DIRECTION('ref_axis',(0.789918699774583,0.613211584811012,0.)); #428576=DIRECTION('',(0.789918699774583,0.613211584811012,0.)); #428577=DIRECTION('',(0.789918699774583,0.613211584811012,0.)); #428578=DIRECTION('',(0.,0.,1.)); #428579=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #428580=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #428581=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #428582=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #428583=DIRECTION('',(0.,0.,1.)); #428584=DIRECTION('center_axis',(0.799763100782712,-0.600315735781115,0.)); #428585=DIRECTION('ref_axis',(0.600315735781115,0.799763100782712,0.)); #428586=DIRECTION('',(0.600315735781115,0.799763100782712,0.)); #428587=DIRECTION('',(0.600315735781115,0.799763100782712,0.)); #428588=DIRECTION('',(0.,0.,1.)); #428589=DIRECTION('center_axis',(0.992121345390238,-0.125280629073548,0.)); #428590=DIRECTION('ref_axis',(0.125280629073548,0.992121345390238,0.)); #428591=DIRECTION('',(0.125280629073548,0.992121345390238,0.)); #428592=DIRECTION('',(0.125280629073548,0.992121345390238,0.)); #428593=DIRECTION('',(0.,0.,1.)); #428594=DIRECTION('center_axis',(1.,0.,0.)); #428595=DIRECTION('ref_axis',(0.,1.,0.)); #428596=DIRECTION('',(0.,1.,0.)); #428597=DIRECTION('',(0.,1.,0.)); #428598=DIRECTION('',(0.,0.,1.)); #428599=DIRECTION('center_axis',(0.981552099144148,-0.191194865688692,0.)); #428600=DIRECTION('ref_axis',(0.191194865688692,0.981552099144148,0.)); #428601=DIRECTION('',(0.191194865688692,0.981552099144148,0.)); #428602=DIRECTION('',(0.191194865688692,0.981552099144148,0.)); #428603=DIRECTION('',(0.,0.,1.)); #428604=DIRECTION('center_axis',(0.833699290017772,-0.55221870108125,0.)); #428605=DIRECTION('ref_axis',(0.55221870108125,0.833699290017772,0.)); #428606=DIRECTION('',(0.55221870108125,0.833699290017772,0.)); #428607=DIRECTION('',(0.55221870108125,0.833699290017772,0.)); #428608=DIRECTION('',(0.,0.,1.)); #428609=DIRECTION('center_axis',(0.707106781186543,-0.707106781186552,0.)); #428610=DIRECTION('ref_axis',(0.707106781186552,0.707106781186543,0.)); #428611=DIRECTION('',(0.707106781186552,0.707106781186543,0.)); #428612=DIRECTION('',(0.707106781186552,0.707106781186543,0.)); #428613=DIRECTION('',(0.,0.,1.)); #428614=DIRECTION('center_axis',(0.558856232804972,-0.829264560351541,0.)); #428615=DIRECTION('ref_axis',(0.829264560351541,0.558856232804972,0.)); #428616=DIRECTION('',(0.829264560351541,0.558856232804972,0.)); #428617=DIRECTION('',(0.829264560351541,0.558856232804972,0.)); #428618=DIRECTION('center_axis',(0.,0.,1.)); #428619=DIRECTION('ref_axis',(1.,0.,0.)); #428620=DIRECTION('center_axis',(0.,0.,1.)); #428621=DIRECTION('ref_axis',(1.,0.,0.)); #428622=DIRECTION('center_axis',(0.214642857802347,-0.976692604453644,0.)); #428623=DIRECTION('ref_axis',(0.976692604453643,0.214642857802347,0.)); #428624=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #428625=DIRECTION('',(0.,0.,1.)); #428626=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #428627=DIRECTION('',(0.,0.,1.)); #428628=DIRECTION('center_axis',(-0.183302952703531,-0.983056472197893, 0.)); #428629=DIRECTION('ref_axis',(0.983056472197893,-0.183302952703531,0.)); #428630=DIRECTION('',(0.983056472197893,-0.183302952703531,0.)); #428631=DIRECTION('',(0.983056472197893,-0.183302952703531,0.)); #428632=DIRECTION('',(0.,0.,1.)); #428633=DIRECTION('center_axis',(-0.552278225391995,-0.833659859749687, 0.)); #428634=DIRECTION('ref_axis',(0.833659859749687,-0.552278225391995,0.)); #428635=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #428636=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #428637=DIRECTION('',(0.,0.,1.)); #428638=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #428639=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #428640=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #428641=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #428642=DIRECTION('',(0.,0.,1.)); #428643=DIRECTION('center_axis',(-0.829203833807271,-0.558946331949074, 0.)); #428644=DIRECTION('ref_axis',(0.558946331949074,-0.829203833807271,0.)); #428645=DIRECTION('',(0.558946331949074,-0.829203833807271,0.)); #428646=DIRECTION('',(0.558946331949074,-0.829203833807271,0.)); #428647=DIRECTION('',(0.,0.,1.)); #428648=DIRECTION('center_axis',(-0.980008419561341,-0.1989560192326,0.)); #428649=DIRECTION('ref_axis',(0.1989560192326,-0.980008419561341,0.)); #428650=DIRECTION('',(0.198956019232599,-0.980008419561341,0.)); #428651=DIRECTION('',(0.198956019232599,-0.980008419561341,0.)); #428652=DIRECTION('',(0.,0.,1.)); #428653=DIRECTION('center_axis',(-1.,0.,0.)); #428654=DIRECTION('ref_axis',(0.,-1.,0.)); #428655=DIRECTION('',(0.,-1.,0.)); #428656=DIRECTION('',(0.,-1.,0.)); #428657=DIRECTION('',(0.,0.,1.)); #428658=DIRECTION('center_axis',(-0.981560865252946,0.19114985692877,0.)); #428659=DIRECTION('ref_axis',(-0.19114985692877,-0.981560865252945,0.)); #428660=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #428661=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #428662=DIRECTION('',(0.,0.,1.)); #428663=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #428664=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #428665=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #428666=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #428667=DIRECTION('',(0.,0.,1.)); #428668=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #428669=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #428670=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #428671=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #428672=DIRECTION('',(0.,0.,1.)); #428673=DIRECTION('center_axis',(-0.565439331101256,0.824789890119759,0.)); #428674=DIRECTION('ref_axis',(-0.824789890119759,-0.565439331101256,0.)); #428675=DIRECTION('',(-0.824789890119759,-0.565439331101256,0.)); #428676=DIRECTION('',(-0.824789890119759,-0.565439331101256,0.)); #428677=DIRECTION('',(0.,0.,1.)); #428678=DIRECTION('center_axis',(-0.214674314516719,0.976685690837527,0.)); #428679=DIRECTION('ref_axis',(-0.976685690837527,-0.214674314516719,0.)); #428680=DIRECTION('',(-0.976685690837527,-0.214674314516719,0.)); #428681=DIRECTION('',(-0.976685690837527,-0.214674314516719,0.)); #428682=DIRECTION('',(0.,0.,1.)); #428683=DIRECTION('center_axis',(0.183334818573531,0.983050529880642,0.)); #428684=DIRECTION('ref_axis',(-0.983050529880642,0.183334818573531,0.)); #428685=DIRECTION('',(-0.983050529880642,0.183334818573531,0.)); #428686=DIRECTION('',(-0.983050529880642,0.183334818573531,0.)); #428687=DIRECTION('',(0.,0.,1.)); #428688=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #428689=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #428690=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #428691=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #428692=DIRECTION('',(0.,0.,1.)); #428693=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #428694=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #428695=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #428696=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #428697=DIRECTION('',(0.,0.,1.)); #428698=DIRECTION('center_axis',(0.829203833807437,0.558946331948828,0.)); #428699=DIRECTION('ref_axis',(-0.558946331948828,0.829203833807437,0.)); #428700=DIRECTION('',(-0.558946331948828,0.829203833807437,0.)); #428701=DIRECTION('',(-0.558946331948828,0.829203833807437,0.)); #428702=DIRECTION('',(0.,0.,1.)); #428703=DIRECTION('center_axis',(0.980008419561313,0.19895601923274,0.)); #428704=DIRECTION('ref_axis',(-0.19895601923274,0.980008419561313,0.)); #428705=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #428706=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #428707=DIRECTION('',(0.,0.,1.)); #428708=DIRECTION('center_axis',(1.,0.,0.)); #428709=DIRECTION('ref_axis',(0.,1.,0.)); #428710=DIRECTION('',(0.,1.,0.)); #428711=DIRECTION('',(0.,1.,0.)); #428712=DIRECTION('',(0.,0.,1.)); #428713=DIRECTION('center_axis',(0.984479063846077,-0.17550205938607,0.)); #428714=DIRECTION('ref_axis',(0.17550205938607,0.984479063846077,0.)); #428715=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #428716=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #428717=DIRECTION('',(0.,0.,1.)); #428718=DIRECTION('center_axis',(0.850871695642174,-0.525373540973479,0.)); #428719=DIRECTION('ref_axis',(0.525373540973479,0.850871695642174,0.)); #428720=DIRECTION('',(0.525373540973479,0.850871695642174,0.)); #428721=DIRECTION('',(0.525373540973479,0.850871695642174,0.)); #428722=DIRECTION('',(0.,0.,1.)); #428723=DIRECTION('center_axis',(0.578483828224723,-0.81569385217891,0.)); #428724=DIRECTION('ref_axis',(0.81569385217891,0.578483828224723,0.)); #428725=DIRECTION('',(0.81569385217891,0.578483828224723,0.)); #428726=DIRECTION('',(0.81569385217891,0.578483828224723,0.)); #428727=DIRECTION('center_axis',(0.,0.,1.)); #428728=DIRECTION('ref_axis',(1.,0.,0.)); #428729=DIRECTION('center_axis',(0.,0.,1.)); #428730=DIRECTION('ref_axis',(1.,0.,0.)); #428731=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #428732=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #428733=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #428734=DIRECTION('',(0.,0.,1.)); #428735=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #428736=DIRECTION('',(0.,0.,1.)); #428737=DIRECTION('center_axis',(0.,-1.,0.)); #428738=DIRECTION('ref_axis',(1.,0.,0.)); #428739=DIRECTION('',(1.,0.,0.)); #428740=DIRECTION('',(1.,0.,0.)); #428741=DIRECTION('',(0.,0.,1.)); #428742=DIRECTION('center_axis',(-0.191149856928888,-0.981560865252922, 0.)); #428743=DIRECTION('ref_axis',(0.981560865252922,-0.191149856928888,0.)); #428744=DIRECTION('',(0.981560865252922,-0.191149856928888,0.)); #428745=DIRECTION('',(0.981560865252922,-0.191149856928888,0.)); #428746=DIRECTION('',(0.,0.,1.)); #428747=DIRECTION('center_axis',(-0.552278225391859,-0.833659859749778, 0.)); #428748=DIRECTION('ref_axis',(0.833659859749778,-0.552278225391859,0.)); #428749=DIRECTION('',(0.833659859749778,-0.552278225391859,0.)); #428750=DIRECTION('',(0.833659859749778,-0.552278225391859,0.)); #428751=DIRECTION('',(0.,0.,1.)); #428752=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #428753=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #428754=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #428755=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #428756=DIRECTION('',(0.,0.,1.)); #428757=DIRECTION('center_axis',(-0.820212632122705,-0.572058771549169, 0.)); #428758=DIRECTION('ref_axis',(0.572058771549169,-0.820212632122705,0.)); #428759=DIRECTION('',(0.572058771549169,-0.820212632122705,0.)); #428760=DIRECTION('',(0.572058771549169,-0.820212632122705,0.)); #428761=DIRECTION('',(0.,0.,1.)); #428762=DIRECTION('center_axis',(-0.973144071552987,-0.230196907019351, 0.)); #428763=DIRECTION('ref_axis',(0.230196907019351,-0.973144071552987,0.)); #428764=DIRECTION('',(0.230196907019351,-0.973144071552987,0.)); #428765=DIRECTION('',(0.230196907019351,-0.973144071552987,0.)); #428766=DIRECTION('',(0.,0.,1.)); #428767=DIRECTION('center_axis',(-0.985842168327525,0.167675934908035,0.)); #428768=DIRECTION('ref_axis',(-0.167675934908035,-0.985842168327525,0.)); #428769=DIRECTION('',(-0.167675934908035,-0.985842168327525,0.)); #428770=DIRECTION('',(-0.167675934908035,-0.985842168327525,0.)); #428771=DIRECTION('',(0.,0.,1.)); #428772=DIRECTION('center_axis',(-0.842362410729353,0.538911466745914,0.)); #428773=DIRECTION('ref_axis',(-0.538911466745914,-0.842362410729353,0.)); #428774=DIRECTION('',(-0.538911466745914,-0.842362410729353,0.)); #428775=DIRECTION('',(-0.538911466745914,-0.842362410729353,0.)); #428776=DIRECTION('',(0.,0.,1.)); #428777=DIRECTION('center_axis',(-0.565504004715131,0.824745549033852,0.)); #428778=DIRECTION('ref_axis',(-0.824745549033852,-0.565504004715131,0.)); #428779=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #428780=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #428781=DIRECTION('',(0.,0.,1.)); #428782=DIRECTION('center_axis',(-0.198956019232514,0.980008419561359,0.)); #428783=DIRECTION('ref_axis',(-0.980008419561359,-0.198956019232514,0.)); #428784=DIRECTION('',(-0.980008419561358,-0.198956019232514,0.)); #428785=DIRECTION('',(-0.980008419561358,-0.198956019232514,0.)); #428786=DIRECTION('',(0.,0.,1.)); #428787=DIRECTION('center_axis',(0.,1.,0.)); #428788=DIRECTION('ref_axis',(-1.,0.,0.)); #428789=DIRECTION('',(-1.,0.,0.)); #428790=DIRECTION('',(-1.,0.,0.)); #428791=DIRECTION('',(0.,0.,1.)); #428792=DIRECTION('center_axis',(0.191149856928798,0.98156086525294,0.)); #428793=DIRECTION('ref_axis',(-0.98156086525294,0.191149856928798,0.)); #428794=DIRECTION('',(-0.98156086525294,0.191149856928798,0.)); #428795=DIRECTION('',(-0.98156086525294,0.191149856928798,0.)); #428796=DIRECTION('',(0.,0.,1.)); #428797=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #428798=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #428799=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #428800=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #428801=DIRECTION('',(0.,0.,1.)); #428802=DIRECTION('center_axis',(0.707106781186446,0.707106781186649,0.)); #428803=DIRECTION('ref_axis',(-0.707106781186649,0.707106781186446,0.)); #428804=DIRECTION('',(-0.707106781186649,0.707106781186446,0.)); #428805=DIRECTION('',(-0.707106781186649,0.707106781186446,0.)); #428806=DIRECTION('',(0.,0.,1.)); #428807=DIRECTION('center_axis',(0.820212632122573,0.572058771549358,0.)); #428808=DIRECTION('ref_axis',(-0.572058771549358,0.820212632122573,0.)); #428809=DIRECTION('',(-0.572058771549358,0.820212632122573,0.)); #428810=DIRECTION('',(-0.572058771549358,0.820212632122573,0.)); #428811=DIRECTION('',(0.,0.,1.)); #428812=DIRECTION('center_axis',(0.973151456886431,0.230165683714617,0.)); #428813=DIRECTION('ref_axis',(-0.230165683714617,0.973151456886431,0.)); #428814=DIRECTION('',(-0.230165683714617,0.973151456886431,0.)); #428815=DIRECTION('',(-0.230165683714617,0.973151456886431,0.)); #428816=DIRECTION('',(0.,0.,1.)); #428817=DIRECTION('center_axis',(0.985847618607389,-0.167643887112353,0.)); #428818=DIRECTION('ref_axis',(0.167643887112353,0.985847618607389,0.)); #428819=DIRECTION('',(0.167643887112353,0.985847618607389,0.)); #428820=DIRECTION('',(0.167643887112353,0.985847618607389,0.)); #428821=DIRECTION('',(0.,0.,1.)); #428822=DIRECTION('center_axis',(0.842362410729263,-0.538911466746055,0.)); #428823=DIRECTION('ref_axis',(0.538911466746055,0.842362410729263,0.)); #428824=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #428825=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #428826=DIRECTION('',(0.,0.,1.)); #428827=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #428828=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #428829=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #428830=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #428831=DIRECTION('center_axis',(0.,0.,1.)); #428832=DIRECTION('ref_axis',(1.,0.,0.)); #428833=DIRECTION('center_axis',(0.,0.,1.)); #428834=DIRECTION('ref_axis',(1.,0.,0.)); #428835=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #428836=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #428837=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #428838=DIRECTION('',(0.,0.,1.)); #428839=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #428840=DIRECTION('',(0.,0.,1.)); #428841=DIRECTION('center_axis',(0.,-1.,0.)); #428842=DIRECTION('ref_axis',(1.,0.,0.)); #428843=DIRECTION('',(1.,0.,0.)); #428844=DIRECTION('',(1.,0.,0.)); #428845=DIRECTION('',(0.,0.,1.)); #428846=DIRECTION('center_axis',(-0.175502059386041,-0.984479063846082, 0.)); #428847=DIRECTION('ref_axis',(0.984479063846082,-0.175502059386041,0.)); #428848=DIRECTION('',(0.984479063846082,-0.175502059386041,0.)); #428849=DIRECTION('',(0.984479063846082,-0.175502059386041,0.)); #428850=DIRECTION('',(0.,0.,1.)); #428851=DIRECTION('center_axis',(-0.525373540973569,-0.850871695642118, 0.)); #428852=DIRECTION('ref_axis',(0.850871695642118,-0.525373540973569,0.)); #428853=DIRECTION('',(0.850871695642118,-0.525373540973569,0.)); #428854=DIRECTION('',(0.850871695642118,-0.525373540973569,0.)); #428855=DIRECTION('',(0.,0.,1.)); #428856=DIRECTION('center_axis',(-0.81569385217889,-0.578483828224751,0.)); #428857=DIRECTION('ref_axis',(0.578483828224751,-0.81569385217889,0.)); #428858=DIRECTION('',(0.578483828224751,-0.81569385217889,0.)); #428859=DIRECTION('',(0.578483828224751,-0.81569385217889,0.)); #428860=DIRECTION('',(0.,0.,1.)); #428861=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #428862=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #428863=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #428864=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #428865=DIRECTION('',(0.,0.,1.)); #428866=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #428867=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #428868=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #428869=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #428870=DIRECTION('',(0.,0.,1.)); #428871=DIRECTION('center_axis',(-0.83365985974971,0.552278225391961,0.)); #428872=DIRECTION('ref_axis',(-0.552278225391961,-0.83365985974971,0.)); #428873=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #428874=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #428875=DIRECTION('',(0.,0.,1.)); #428876=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #428877=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #428878=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #428879=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #428880=DIRECTION('',(0.,0.,1.)); #428881=DIRECTION('center_axis',(-0.558946331948969,0.829203833807341,0.)); #428882=DIRECTION('ref_axis',(-0.829203833807341,-0.558946331948969,0.)); #428883=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #428884=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #428885=DIRECTION('',(0.,0.,1.)); #428886=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #428887=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #428888=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #428889=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #428890=DIRECTION('',(0.,0.,1.)); #428891=DIRECTION('center_axis',(0.,1.,0.)); #428892=DIRECTION('ref_axis',(-1.,0.,0.)); #428893=DIRECTION('',(-1.,0.,0.)); #428894=DIRECTION('',(-1.,0.,0.)); #428895=DIRECTION('',(0.,0.,1.)); #428896=DIRECTION('center_axis',(0.175502059386013,0.984479063846087,0.)); #428897=DIRECTION('ref_axis',(-0.984479063846087,0.175502059386013,0.)); #428898=DIRECTION('',(-0.984479063846087,0.175502059386013,0.)); #428899=DIRECTION('',(-0.984479063846087,0.175502059386013,0.)); #428900=DIRECTION('',(0.,0.,1.)); #428901=DIRECTION('center_axis',(0.52535880204888,0.850880796063565,0.)); #428902=DIRECTION('ref_axis',(-0.850880796063565,0.52535880204888,0.)); #428903=DIRECTION('',(-0.850880796063565,0.52535880204888,0.)); #428904=DIRECTION('',(-0.850880796063565,0.52535880204888,0.)); #428905=DIRECTION('',(0.,0.,1.)); #428906=DIRECTION('center_axis',(0.815709411156901,0.578461888589095,0.)); #428907=DIRECTION('ref_axis',(-0.578461888589095,0.815709411156901,0.)); #428908=DIRECTION('',(-0.578461888589095,0.815709411156901,0.)); #428909=DIRECTION('',(-0.578461888589095,0.815709411156901,0.)); #428910=DIRECTION('',(0.,0.,1.)); #428911=DIRECTION('center_axis',(0.97668569083752,0.21467431451675,0.)); #428912=DIRECTION('ref_axis',(-0.21467431451675,0.97668569083752,0.)); #428913=DIRECTION('',(-0.21467431451675,0.97668569083752,0.)); #428914=DIRECTION('',(-0.21467431451675,0.97668569083752,0.)); #428915=DIRECTION('',(0.,0.,1.)); #428916=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #428917=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #428918=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #428919=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #428920=DIRECTION('',(0.,0.,1.)); #428921=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #428922=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #428923=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #428924=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #428925=DIRECTION('',(0.,0.,1.)); #428926=DIRECTION('center_axis',(0.707106781186537,-0.707106781186558,0.)); #428927=DIRECTION('ref_axis',(0.707106781186558,0.707106781186537,0.)); #428928=DIRECTION('',(0.707106781186558,0.707106781186537,0.)); #428929=DIRECTION('',(0.707106781186558,0.707106781186537,0.)); #428930=DIRECTION('',(0.,0.,1.)); #428931=DIRECTION('center_axis',(0.558946331948969,-0.829203833807341,0.)); #428932=DIRECTION('ref_axis',(0.829203833807341,0.558946331948969,0.)); #428933=DIRECTION('',(0.829203833807341,0.558946331948969,0.)); #428934=DIRECTION('',(0.829203833807341,0.558946331948969,0.)); #428935=DIRECTION('',(0.,0.,1.)); #428936=DIRECTION('center_axis',(0.198956019232542,-0.980008419561353,0.)); #428937=DIRECTION('ref_axis',(0.980008419561353,0.198956019232542,0.)); #428938=DIRECTION('',(0.980008419561353,0.198956019232542,0.)); #428939=DIRECTION('',(0.980008419561353,0.198956019232542,0.)); #428940=DIRECTION('',(0.,0.,1.)); #428941=DIRECTION('center_axis',(0.,-1.,0.)); #428942=DIRECTION('ref_axis',(1.,0.,0.)); #428943=DIRECTION('',(1.,0.,0.)); #428944=DIRECTION('',(1.,0.,0.)); #428945=DIRECTION('',(0.,0.,1.)); #428946=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #428947=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #428948=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #428949=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #428950=DIRECTION('',(0.,0.,1.)); #428951=DIRECTION('center_axis',(0.558946331948864,-0.829203833807412,0.)); #428952=DIRECTION('ref_axis',(0.829203833807412,0.558946331948864,0.)); #428953=DIRECTION('',(0.829203833807412,0.558946331948864,0.)); #428954=DIRECTION('',(0.829203833807412,0.558946331948864,0.)); #428955=DIRECTION('center_axis',(0.,0.,1.)); #428956=DIRECTION('ref_axis',(1.,0.,0.)); #428957=DIRECTION('center_axis',(0.,0.,1.)); #428958=DIRECTION('ref_axis',(1.,0.,0.)); #428959=DIRECTION('center_axis',(0.198956019232542,-0.980008419561353,0.)); #428960=DIRECTION('ref_axis',(0.980008419561353,0.198956019232542,0.)); #428961=DIRECTION('',(0.980008419561353,0.198956019232542,0.)); #428962=DIRECTION('',(0.,0.,1.)); #428963=DIRECTION('',(0.980008419561353,0.198956019232542,0.)); #428964=DIRECTION('',(0.,0.,1.)); #428965=DIRECTION('center_axis',(0.,-1.,0.)); #428966=DIRECTION('ref_axis',(1.,0.,0.)); #428967=DIRECTION('',(1.,0.,0.)); #428968=DIRECTION('',(1.,0.,0.)); #428969=DIRECTION('',(0.,0.,1.)); #428970=DIRECTION('center_axis',(-0.199846156682573,-0.979827287668191, 0.)); #428971=DIRECTION('ref_axis',(0.979827287668191,-0.199846156682573,0.)); #428972=DIRECTION('',(0.979827287668191,-0.199846156682573,0.)); #428973=DIRECTION('',(0.979827287668191,-0.199846156682573,0.)); #428974=DIRECTION('',(0.,0.,1.)); #428975=DIRECTION('center_axis',(-0.566920574659872,-0.82377245767707,0.)); #428976=DIRECTION('ref_axis',(0.82377245767707,-0.566920574659872,0.)); #428977=DIRECTION('',(0.82377245767707,-0.566920574659872,0.)); #428978=DIRECTION('',(0.82377245767707,-0.566920574659872,0.)); #428979=DIRECTION('',(0.,0.,1.)); #428980=DIRECTION('center_axis',(-0.71951429157795,-0.694477634063964,0.)); #428981=DIRECTION('ref_axis',(0.694477634063964,-0.71951429157795,0.)); #428982=DIRECTION('',(0.694477634063964,-0.71951429157795,0.)); #428983=DIRECTION('',(0.694477634063964,-0.71951429157795,0.)); #428984=DIRECTION('',(0.,0.,1.)); #428985=DIRECTION('center_axis',(-0.834146551597487,-0.551542863663398, 0.)); #428986=DIRECTION('ref_axis',(0.551542863663398,-0.834146551597487,0.)); #428987=DIRECTION('',(0.551542863663398,-0.834146551597487,0.)); #428988=DIRECTION('',(0.551542863663398,-0.834146551597487,0.)); #428989=DIRECTION('',(0.,0.,1.)); #428990=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #428991=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #428992=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #428993=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #428994=DIRECTION('',(0.,0.,1.)); #428995=DIRECTION('center_axis',(-1.,0.,0.)); #428996=DIRECTION('ref_axis',(0.,-1.,0.)); #428997=DIRECTION('',(0.,-1.,0.)); #428998=DIRECTION('',(0.,-1.,0.)); #428999=DIRECTION('',(0.,0.,1.)); #429000=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #429001=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #429002=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #429003=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #429004=DIRECTION('',(0.,0.,1.)); #429005=DIRECTION('center_axis',(-0.842362410729287,0.538911466746018,0.)); #429006=DIRECTION('ref_axis',(-0.538911466746018,-0.842362410729287,0.)); #429007=DIRECTION('',(-0.538911466746018,-0.842362410729287,0.)); #429008=DIRECTION('',(-0.538911466746018,-0.842362410729287,0.)); #429009=DIRECTION('',(0.,0.,1.)); #429010=DIRECTION('center_axis',(-0.565504004715131,0.824745549033852,0.)); #429011=DIRECTION('ref_axis',(-0.824745549033852,-0.565504004715131,0.)); #429012=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #429013=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #429014=DIRECTION('',(0.,0.,1.)); #429015=DIRECTION('center_axis',(-0.198956019232542,0.980008419561353,0.)); #429016=DIRECTION('ref_axis',(-0.980008419561353,-0.198956019232542,0.)); #429017=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #429018=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #429019=DIRECTION('',(0.,0.,1.)); #429020=DIRECTION('center_axis',(0.,1.,0.)); #429021=DIRECTION('ref_axis',(-1.,0.,0.)); #429022=DIRECTION('',(-1.,0.,0.)); #429023=DIRECTION('',(-1.,0.,0.)); #429024=DIRECTION('',(0.,0.,1.)); #429025=DIRECTION('center_axis',(0.183305290257262,0.983056036329416,0.)); #429026=DIRECTION('ref_axis',(-0.983056036329416,0.183305290257262,0.)); #429027=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #429028=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #429029=DIRECTION('',(0.,0.,1.)); #429030=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #429031=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #429032=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #429033=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #429034=DIRECTION('',(0.,0.,1.)); #429035=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #429036=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #429037=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #429038=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #429039=DIRECTION('',(0.,0.,1.)); #429040=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #429041=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #429042=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #429043=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #429044=DIRECTION('',(0.,0.,1.)); #429045=DIRECTION('center_axis',(1.,0.,0.)); #429046=DIRECTION('ref_axis',(0.,1.,0.)); #429047=DIRECTION('',(0.,1.,0.)); #429048=DIRECTION('',(0.,1.,0.)); #429049=DIRECTION('',(0.,0.,1.)); #429050=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #429051=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #429052=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #429053=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #429054=DIRECTION('',(0.,0.,1.)); #429055=DIRECTION('center_axis',(0.842362410729287,-0.538911466746018,0.)); #429056=DIRECTION('ref_axis',(0.538911466746018,0.842362410729287,0.)); #429057=DIRECTION('',(0.538911466746018,0.842362410729287,0.)); #429058=DIRECTION('',(0.538911466746018,0.842362410729287,0.)); #429059=DIRECTION('',(0.,0.,1.)); #429060=DIRECTION('center_axis',(0.565504004715131,-0.824745549033852,0.)); #429061=DIRECTION('ref_axis',(0.824745549033852,0.565504004715131,0.)); #429062=DIRECTION('',(0.824745549033852,0.565504004715131,0.)); #429063=DIRECTION('',(0.824745549033852,0.565504004715131,0.)); #429064=DIRECTION('center_axis',(0.,0.,1.)); #429065=DIRECTION('ref_axis',(1.,0.,0.)); #429066=DIRECTION('center_axis',(0.,0.,1.)); #429067=DIRECTION('ref_axis',(1.,0.,0.)); #429068=DIRECTION('center_axis',(0.198956019232613,-0.980008419561338,0.)); #429069=DIRECTION('ref_axis',(0.980008419561339,0.198956019232613,0.)); #429070=DIRECTION('',(0.980008419561338,0.198956019232613,0.)); #429071=DIRECTION('',(0.,0.,1.)); #429072=DIRECTION('',(0.980008419561338,0.198956019232613,0.)); #429073=DIRECTION('',(0.,0.,1.)); #429074=DIRECTION('center_axis',(0.,-1.,0.)); #429075=DIRECTION('ref_axis',(1.,0.,0.)); #429076=DIRECTION('',(1.,0.,0.)); #429077=DIRECTION('',(1.,0.,0.)); #429078=DIRECTION('',(0.,0.,1.)); #429079=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #429080=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #429081=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #429082=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #429083=DIRECTION('',(0.,0.,1.)); #429084=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #429085=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #429086=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #429087=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #429088=DIRECTION('',(0.,0.,1.)); #429089=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #429090=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #429091=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #429092=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #429093=DIRECTION('',(0.,0.,1.)); #429094=DIRECTION('center_axis',(0.,-1.,0.)); #429095=DIRECTION('ref_axis',(1.,0.,0.)); #429096=DIRECTION('',(1.,0.,0.)); #429097=DIRECTION('',(1.,0.,0.)); #429098=DIRECTION('',(0.,0.,1.)); #429099=DIRECTION('center_axis',(-0.191149856928843,-0.981560865252931, 0.)); #429100=DIRECTION('ref_axis',(0.981560865252931,-0.191149856928843,0.)); #429101=DIRECTION('',(0.981560865252931,-0.191149856928843,0.)); #429102=DIRECTION('',(0.981560865252931,-0.191149856928843,0.)); #429103=DIRECTION('',(0.,0.,1.)); #429104=DIRECTION('center_axis',(-0.552278225391843,-0.833659859749789, 0.)); #429105=DIRECTION('ref_axis',(0.833659859749789,-0.552278225391843,0.)); #429106=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #429107=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #429108=DIRECTION('',(0.,0.,1.)); #429109=DIRECTION('center_axis',(-0.707106781186559,-0.707106781186536, 0.)); #429110=DIRECTION('ref_axis',(0.707106781186536,-0.707106781186559,0.)); #429111=DIRECTION('',(0.707106781186536,-0.707106781186559,0.)); #429112=DIRECTION('',(0.707106781186536,-0.707106781186559,0.)); #429113=DIRECTION('',(0.,0.,1.)); #429114=DIRECTION('center_axis',(-0.824789890119736,-0.56543933110129,0.)); #429115=DIRECTION('ref_axis',(0.56543933110129,-0.824789890119736,0.)); #429116=DIRECTION('',(0.56543933110129,-0.824789890119736,0.)); #429117=DIRECTION('',(0.56543933110129,-0.824789890119736,0.)); #429118=DIRECTION('',(0.,0.,1.)); #429119=DIRECTION('center_axis',(-0.976685690837554,-0.214674314516595, 0.)); #429120=DIRECTION('ref_axis',(0.214674314516595,-0.976685690837554,0.)); #429121=DIRECTION('',(0.214674314516595,-0.976685690837554,0.)); #429122=DIRECTION('',(0.214674314516595,-0.976685690837554,0.)); #429123=DIRECTION('',(0.,0.,1.)); #429124=DIRECTION('center_axis',(-0.983050529880647,0.183334818573505,0.)); #429125=DIRECTION('ref_axis',(-0.183334818573505,-0.983050529880647,0.)); #429126=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #429127=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #429128=DIRECTION('',(0.,0.,1.)); #429129=DIRECTION('center_axis',(-0.833659859749665,0.552278225392029,0.)); #429130=DIRECTION('ref_axis',(-0.552278225392029,-0.833659859749665,0.)); #429131=DIRECTION('',(-0.552278225392029,-0.833659859749665,0.)); #429132=DIRECTION('',(-0.552278225392029,-0.833659859749665,0.)); #429133=DIRECTION('',(0.,0.,1.)); #429134=DIRECTION('center_axis',(-0.707106781186559,0.707106781186536,0.)); #429135=DIRECTION('ref_axis',(-0.707106781186536,-0.707106781186559,0.)); #429136=DIRECTION('',(-0.707106781186536,-0.707106781186559,0.)); #429137=DIRECTION('',(-0.707106781186536,-0.707106781186559,0.)); #429138=DIRECTION('',(0.,0.,1.)); #429139=DIRECTION('center_axis',(-0.558946331948846,0.829203833807424,0.)); #429140=DIRECTION('ref_axis',(-0.829203833807424,-0.558946331948846,0.)); #429141=DIRECTION('',(-0.829203833807424,-0.558946331948846,0.)); #429142=DIRECTION('',(-0.829203833807424,-0.558946331948846,0.)); #429143=DIRECTION('',(0.,0.,1.)); #429144=DIRECTION('center_axis',(-0.198956019232641,0.980008419561333,0.)); #429145=DIRECTION('ref_axis',(-0.980008419561333,-0.198956019232641,0.)); #429146=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #429147=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #429148=DIRECTION('',(0.,0.,1.)); #429149=DIRECTION('center_axis',(0.,1.,0.)); #429150=DIRECTION('ref_axis',(-1.,0.,0.)); #429151=DIRECTION('',(-1.,0.,0.)); #429152=DIRECTION('',(-1.,0.,0.)); #429153=DIRECTION('',(0.,0.,1.)); #429154=DIRECTION('center_axis',(0.191149856928843,0.981560865252931,0.)); #429155=DIRECTION('ref_axis',(-0.981560865252931,0.191149856928843,0.)); #429156=DIRECTION('',(-0.981560865252931,0.191149856928843,0.)); #429157=DIRECTION('',(-0.981560865252931,0.191149856928843,0.)); #429158=DIRECTION('',(0.,0.,1.)); #429159=DIRECTION('center_axis',(0.55227822539191,0.833659859749744,0.)); #429160=DIRECTION('ref_axis',(-0.833659859749744,0.55227822539191,0.)); #429161=DIRECTION('',(-0.833659859749744,0.55227822539191,0.)); #429162=DIRECTION('',(-0.833659859749744,0.55227822539191,0.)); #429163=DIRECTION('',(0.,0.,1.)); #429164=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #429165=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #429166=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #429167=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #429168=DIRECTION('',(0.,0.,1.)); #429169=DIRECTION('center_axis',(0.,1.,0.)); #429170=DIRECTION('ref_axis',(-1.,0.,0.)); #429171=DIRECTION('',(-1.,0.,0.)); #429172=DIRECTION('',(-1.,0.,0.)); #429173=DIRECTION('',(0.,0.,1.)); #429174=DIRECTION('center_axis',(0.175502059386013,0.984479063846087,0.)); #429175=DIRECTION('ref_axis',(-0.984479063846087,0.175502059386013,0.)); #429176=DIRECTION('',(-0.984479063846087,0.175502059386013,0.)); #429177=DIRECTION('',(-0.984479063846087,0.175502059386013,0.)); #429178=DIRECTION('',(0.,0.,1.)); #429179=DIRECTION('center_axis',(0.52535880204888,0.850880796063565,0.)); #429180=DIRECTION('ref_axis',(-0.850880796063565,0.52535880204888,0.)); #429181=DIRECTION('',(-0.850880796063565,0.52535880204888,0.)); #429182=DIRECTION('',(-0.850880796063565,0.52535880204888,0.)); #429183=DIRECTION('',(0.,0.,1.)); #429184=DIRECTION('center_axis',(0.815709411156876,0.57846188858913,0.)); #429185=DIRECTION('ref_axis',(-0.57846188858913,0.815709411156876,0.)); #429186=DIRECTION('',(-0.57846188858913,0.815709411156876,0.)); #429187=DIRECTION('',(-0.57846188858913,0.815709411156876,0.)); #429188=DIRECTION('',(0.,0.,1.)); #429189=DIRECTION('center_axis',(0.97668569083753,0.214674314516704,0.)); #429190=DIRECTION('ref_axis',(-0.214674314516704,0.97668569083753,0.)); #429191=DIRECTION('',(-0.214674314516704,0.97668569083753,0.)); #429192=DIRECTION('',(-0.214674314516704,0.97668569083753,0.)); #429193=DIRECTION('',(0.,0.,1.)); #429194=DIRECTION('center_axis',(0.983050529880644,-0.183334818573518,0.)); #429195=DIRECTION('ref_axis',(0.183334818573518,0.983050529880644,0.)); #429196=DIRECTION('',(0.183334818573518,0.983050529880644,0.)); #429197=DIRECTION('',(0.183334818573518,0.983050529880644,0.)); #429198=DIRECTION('',(0.,0.,1.)); #429199=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #429200=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #429201=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #429202=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #429203=DIRECTION('',(0.,0.,1.)); #429204=DIRECTION('center_axis',(0.707110741027308,-0.707102821323611,0.)); #429205=DIRECTION('ref_axis',(0.707102821323611,0.707110741027308,0.)); #429206=DIRECTION('',(0.707102821323611,0.707110741027308,0.)); #429207=DIRECTION('',(0.707102821323611,0.707110741027308,0.)); #429208=DIRECTION('',(0.,0.,1.)); #429209=DIRECTION('center_axis',(1.,0.,0.)); #429210=DIRECTION('ref_axis',(0.,1.,0.)); #429211=DIRECTION('',(0.,1.,0.)); #429212=DIRECTION('',(0.,1.,0.)); #429213=DIRECTION('',(0.,0.,1.)); #429214=DIRECTION('center_axis',(0.707110741027308,0.707102821323611,0.)); #429215=DIRECTION('ref_axis',(-0.707102821323611,0.707110741027308,0.)); #429216=DIRECTION('',(-0.707102821323611,0.707110741027308,0.)); #429217=DIRECTION('',(-0.707102821323611,0.707110741027308,0.)); #429218=DIRECTION('',(0.,0.,1.)); #429219=DIRECTION('center_axis',(0.820212632122678,0.572058771549208,0.)); #429220=DIRECTION('ref_axis',(-0.572058771549208,0.820212632122678,0.)); #429221=DIRECTION('',(-0.572058771549208,0.820212632122678,0.)); #429222=DIRECTION('',(-0.572058771549208,0.820212632122678,0.)); #429223=DIRECTION('',(0.,0.,1.)); #429224=DIRECTION('center_axis',(0.973144071552954,0.23019690701949,0.)); #429225=DIRECTION('ref_axis',(-0.23019690701949,0.973144071552954,0.)); #429226=DIRECTION('',(-0.23019690701949,0.973144071552954,0.)); #429227=DIRECTION('',(-0.23019690701949,0.973144071552954,0.)); #429228=DIRECTION('',(0.,0.,1.)); #429229=DIRECTION('center_axis',(0.985842168327501,-0.167675934908178,0.)); #429230=DIRECTION('ref_axis',(0.167675934908178,0.985842168327501,0.)); #429231=DIRECTION('',(0.167675934908178,0.985842168327501,0.)); #429232=DIRECTION('',(0.167675934908178,0.985842168327501,0.)); #429233=DIRECTION('',(0.,0.,1.)); #429234=DIRECTION('center_axis',(0.842362410729375,-0.538911466745881,0.)); #429235=DIRECTION('ref_axis',(0.538911466745881,0.842362410729375,0.)); #429236=DIRECTION('',(0.538911466745881,0.842362410729375,0.)); #429237=DIRECTION('',(0.538911466745881,0.842362410729375,0.)); #429238=DIRECTION('',(0.,0.,1.)); #429239=DIRECTION('center_axis',(0.565504004715012,-0.824745549033933,0.)); #429240=DIRECTION('ref_axis',(0.824745549033933,0.565504004715012,0.)); #429241=DIRECTION('',(0.824745549033933,0.565504004715012,0.)); #429242=DIRECTION('',(0.824745549033933,0.565504004715012,0.)); #429243=DIRECTION('center_axis',(0.,0.,1.)); #429244=DIRECTION('ref_axis',(1.,0.,0.)); #429245=DIRECTION('center_axis',(0.,0.,1.)); #429246=DIRECTION('ref_axis',(1.,0.,0.)); #429247=DIRECTION('center_axis',(0.198956019232584,-0.980008419561344,0.)); #429248=DIRECTION('ref_axis',(0.980008419561344,0.198956019232584,0.)); #429249=DIRECTION('',(0.980008419561344,0.198956019232584,0.)); #429250=DIRECTION('',(0.,0.,1.)); #429251=DIRECTION('',(0.980008419561344,0.198956019232584,0.)); #429252=DIRECTION('',(0.,0.,1.)); #429253=DIRECTION('center_axis',(0.,-1.,0.)); #429254=DIRECTION('ref_axis',(1.,0.,0.)); #429255=DIRECTION('',(1.,0.,0.)); #429256=DIRECTION('',(1.,0.,0.)); #429257=DIRECTION('',(0.,0.,1.)); #429258=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #429259=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #429260=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #429261=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #429262=DIRECTION('',(0.,0.,1.)); #429263=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #429264=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #429265=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #429266=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #429267=DIRECTION('',(0.,0.,1.)); #429268=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #429269=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #429270=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #429271=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #429272=DIRECTION('',(0.,0.,1.)); #429273=DIRECTION('center_axis',(-0.82478989011971,-0.565439331101327,0.)); #429274=DIRECTION('ref_axis',(0.565439331101327,-0.82478989011971,0.)); #429275=DIRECTION('',(0.565439331101327,-0.82478989011971,0.)); #429276=DIRECTION('',(0.565439331101327,-0.82478989011971,0.)); #429277=DIRECTION('',(0.,0.,1.)); #429278=DIRECTION('center_axis',(-0.976685690837561,-0.214674314516564, 0.)); #429279=DIRECTION('ref_axis',(0.214674314516564,-0.976685690837561,0.)); #429280=DIRECTION('',(0.214674314516564,-0.976685690837561,0.)); #429281=DIRECTION('',(0.214674314516564,-0.976685690837561,0.)); #429282=DIRECTION('',(0.,0.,1.)); #429283=DIRECTION('center_axis',(-0.983050529880618,0.18333481857366,0.)); #429284=DIRECTION('ref_axis',(-0.18333481857366,-0.983050529880618,0.)); #429285=DIRECTION('',(-0.18333481857366,-0.983050529880618,0.)); #429286=DIRECTION('',(-0.18333481857366,-0.983050529880618,0.)); #429287=DIRECTION('',(0.,0.,1.)); #429288=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #429289=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #429290=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #429291=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #429292=DIRECTION('',(0.,0.,1.)); #429293=DIRECTION('center_axis',(-0.707106781186559,0.707106781186536,0.)); #429294=DIRECTION('ref_axis',(-0.707106781186536,-0.707106781186559,0.)); #429295=DIRECTION('',(-0.707106781186536,-0.707106781186559,0.)); #429296=DIRECTION('',(-0.707106781186536,-0.707106781186559,0.)); #429297=DIRECTION('',(0.,0.,1.)); #429298=DIRECTION('center_axis',(-0.558946331948864,0.829203833807412,0.)); #429299=DIRECTION('ref_axis',(-0.829203833807412,-0.558946331948864,0.)); #429300=DIRECTION('',(-0.829203833807412,-0.558946331948864,0.)); #429301=DIRECTION('',(-0.829203833807412,-0.558946331948864,0.)); #429302=DIRECTION('',(0.,0.,1.)); #429303=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #429304=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #429305=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #429306=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #429307=DIRECTION('',(0.,0.,1.)); #429308=DIRECTION('center_axis',(0.,1.,0.)); #429309=DIRECTION('ref_axis',(-1.,0.,0.)); #429310=DIRECTION('',(-1.,0.,0.)); #429311=DIRECTION('',(-1.,0.,0.)); #429312=DIRECTION('',(0.,0.,1.)); #429313=DIRECTION('center_axis',(0.191149856928815,0.981560865252937,0.)); #429314=DIRECTION('ref_axis',(-0.981560865252937,0.191149856928815,0.)); #429315=DIRECTION('',(-0.981560865252937,0.191149856928815,0.)); #429316=DIRECTION('',(-0.981560865252937,0.191149856928815,0.)); #429317=DIRECTION('',(0.,0.,1.)); #429318=DIRECTION('center_axis',(0.552278225391843,0.833659859749789,0.)); #429319=DIRECTION('ref_axis',(-0.833659859749789,0.552278225391843,0.)); #429320=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #429321=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #429322=DIRECTION('',(0.,0.,1.)); #429323=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #429324=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #429325=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #429326=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #429327=DIRECTION('',(0.,0.,1.)); #429328=DIRECTION('center_axis',(0.820212632122756,0.572058771549096,0.)); #429329=DIRECTION('ref_axis',(-0.572058771549096,0.820212632122756,0.)); #429330=DIRECTION('',(-0.572058771549096,0.820212632122756,0.)); #429331=DIRECTION('',(-0.572058771549096,0.820212632122756,0.)); #429332=DIRECTION('',(0.,0.,1.)); #429333=DIRECTION('center_axis',(0.973144071552991,0.230196907019335,0.)); #429334=DIRECTION('ref_axis',(-0.230196907019335,0.97314407155299,0.)); #429335=DIRECTION('',(-0.230196907019335,0.97314407155299,0.)); #429336=DIRECTION('',(-0.230196907019335,0.97314407155299,0.)); #429337=DIRECTION('',(0.,0.,1.)); #429338=DIRECTION('center_axis',(0.985842168327523,-0.167675934908047,0.)); #429339=DIRECTION('ref_axis',(0.167675934908047,0.985842168327523,0.)); #429340=DIRECTION('',(0.167675934908047,0.985842168327523,0.)); #429341=DIRECTION('',(0.167675934908047,0.985842168327523,0.)); #429342=DIRECTION('',(0.,0.,1.)); #429343=DIRECTION('center_axis',(0.842362410729375,-0.538911466745881,0.)); #429344=DIRECTION('ref_axis',(0.538911466745881,0.842362410729375,0.)); #429345=DIRECTION('',(0.538911466745881,0.842362410729375,0.)); #429346=DIRECTION('',(0.538911466745881,0.842362410729375,0.)); #429347=DIRECTION('',(0.,0.,1.)); #429348=DIRECTION('center_axis',(0.565504004715081,-0.824745549033886,0.)); #429349=DIRECTION('ref_axis',(0.824745549033886,0.565504004715081,0.)); #429350=DIRECTION('',(0.824745549033886,0.565504004715081,0.)); #429351=DIRECTION('',(0.824745549033886,0.565504004715081,0.)); #429352=DIRECTION('center_axis',(0.,0.,1.)); #429353=DIRECTION('ref_axis',(1.,0.,0.)); #429354=DIRECTION('center_axis',(0.,0.,1.)); #429355=DIRECTION('ref_axis',(1.,0.,0.)); #429356=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #429357=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #429358=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #429359=DIRECTION('',(0.,0.,1.)); #429360=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #429361=DIRECTION('',(0.,0.,1.)); #429362=DIRECTION('center_axis',(0.,-1.,0.)); #429363=DIRECTION('ref_axis',(1.,0.,0.)); #429364=DIRECTION('',(1.,0.,0.)); #429365=DIRECTION('',(1.,0.,0.)); #429366=DIRECTION('',(0.,0.,1.)); #429367=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #429368=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #429369=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #429370=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #429371=DIRECTION('',(0.,0.,1.)); #429372=DIRECTION('center_axis',(-0.538911466745951,-0.842362410729329, 0.)); #429373=DIRECTION('ref_axis',(0.842362410729329,-0.538911466745951,0.)); #429374=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #429375=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #429376=DIRECTION('',(0.,0.,1.)); #429377=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #429378=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #429379=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #429380=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #429381=DIRECTION('',(0.,0.,1.)); #429382=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #429383=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #429384=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #429385=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #429386=DIRECTION('',(0.,0.,1.)); #429387=DIRECTION('center_axis',(-1.,0.,0.)); #429388=DIRECTION('ref_axis',(0.,-1.,0.)); #429389=DIRECTION('',(0.,-1.,0.)); #429390=DIRECTION('',(0.,-1.,0.)); #429391=DIRECTION('',(0.,0.,1.)); #429392=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #429393=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #429394=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #429395=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #429396=DIRECTION('',(0.,0.,1.)); #429397=DIRECTION('center_axis',(-0.842362410729287,0.538911466746018,0.)); #429398=DIRECTION('ref_axis',(-0.538911466746018,-0.842362410729287,0.)); #429399=DIRECTION('',(-0.538911466746018,-0.842362410729287,0.)); #429400=DIRECTION('',(-0.538911466746018,-0.842362410729287,0.)); #429401=DIRECTION('',(0.,0.,1.)); #429402=DIRECTION('center_axis',(-0.565504004715131,0.824745549033852,0.)); #429403=DIRECTION('ref_axis',(-0.824745549033852,-0.565504004715131,0.)); #429404=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #429405=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #429406=DIRECTION('',(0.,0.,1.)); #429407=DIRECTION('center_axis',(-0.198956019232542,0.980008419561353,0.)); #429408=DIRECTION('ref_axis',(-0.980008419561353,-0.198956019232542,0.)); #429409=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #429410=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #429411=DIRECTION('',(0.,0.,1.)); #429412=DIRECTION('center_axis',(0.,1.,0.)); #429413=DIRECTION('ref_axis',(-1.,0.,0.)); #429414=DIRECTION('',(-1.,0.,0.)); #429415=DIRECTION('',(-1.,0.,0.)); #429416=DIRECTION('',(0.,0.,1.)); #429417=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #429418=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #429419=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #429420=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #429421=DIRECTION('',(0.,0.,1.)); #429422=DIRECTION('center_axis',(-0.572058771549358,0.820212632122573,0.)); #429423=DIRECTION('ref_axis',(-0.820212632122573,-0.572058771549358,0.)); #429424=DIRECTION('',(-0.820212632122573,-0.572058771549358,0.)); #429425=DIRECTION('',(-0.820212632122573,-0.572058771549358,0.)); #429426=DIRECTION('',(0.,0.,1.)); #429427=DIRECTION('center_axis',(-0.230165683714756,0.973151456886398,0.)); #429428=DIRECTION('ref_axis',(-0.973151456886398,-0.230165683714756,0.)); #429429=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #429430=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #429431=DIRECTION('',(0.,0.,1.)); #429432=DIRECTION('center_axis',(0.167643887112495,0.985847618607365,0.)); #429433=DIRECTION('ref_axis',(-0.985847618607365,0.167643887112495,0.)); #429434=DIRECTION('',(-0.985847618607365,0.167643887112495,0.)); #429435=DIRECTION('',(-0.985847618607365,0.167643887112495,0.)); #429436=DIRECTION('',(0.,0.,1.)); #429437=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #429438=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #429439=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #429440=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #429441=DIRECTION('',(0.,0.,1.)); #429442=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #429443=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #429444=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #429445=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #429446=DIRECTION('',(0.,0.,1.)); #429447=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #429448=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #429449=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #429450=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #429451=DIRECTION('',(0.,0.,1.)); #429452=DIRECTION('center_axis',(1.,0.,0.)); #429453=DIRECTION('ref_axis',(0.,1.,0.)); #429454=DIRECTION('',(0.,1.,0.)); #429455=DIRECTION('',(0.,1.,0.)); #429456=DIRECTION('',(0.,0.,1.)); #429457=DIRECTION('center_axis',(0.923877363903343,0.382688667805841,0.)); #429458=DIRECTION('ref_axis',(-0.382688667805841,0.923877363903343,0.)); #429459=DIRECTION('',(-0.382688667805841,0.923877363903343,0.)); #429460=DIRECTION('',(-0.382688667805841,0.923877363903343,0.)); #429461=DIRECTION('',(0.,0.,1.)); #429462=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #429463=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #429464=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #429465=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #429466=DIRECTION('',(0.,0.,1.)); #429467=DIRECTION('center_axis',(0.38268379423653,0.923879382619145,0.)); #429468=DIRECTION('ref_axis',(-0.923879382619145,0.38268379423653,0.)); #429469=DIRECTION('',(-0.923879382619145,0.38268379423653,0.)); #429470=DIRECTION('',(-0.923879382619145,0.38268379423653,0.)); #429471=DIRECTION('',(0.,0.,1.)); #429472=DIRECTION('center_axis',(0.548897495819296,0.835889669204797,0.)); #429473=DIRECTION('ref_axis',(-0.835889669204797,0.548897495819296,0.)); #429474=DIRECTION('',(-0.835889669204797,0.548897495819296,0.)); #429475=DIRECTION('',(-0.835889669204797,0.548897495819296,0.)); #429476=DIRECTION('',(0.,0.,1.)); #429477=DIRECTION('center_axis',(0.82474554903392,0.565504004715031,0.)); #429478=DIRECTION('ref_axis',(-0.565504004715031,0.82474554903392,0.)); #429479=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #429480=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #429481=DIRECTION('',(0.,0.,1.)); #429482=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #429483=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #429484=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #429485=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #429486=DIRECTION('',(0.,0.,1.)); #429487=DIRECTION('center_axis',(1.,0.,0.)); #429488=DIRECTION('ref_axis',(0.,1.,0.)); #429489=DIRECTION('',(0.,1.,0.)); #429490=DIRECTION('',(0.,1.,0.)); #429491=DIRECTION('',(0.,0.,1.)); #429492=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #429493=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #429494=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #429495=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #429496=DIRECTION('',(0.,0.,1.)); #429497=DIRECTION('center_axis',(0.833659859749778,-0.552278225391859,0.)); #429498=DIRECTION('ref_axis',(0.552278225391859,0.833659859749778,0.)); #429499=DIRECTION('',(0.552278225391859,0.833659859749778,0.)); #429500=DIRECTION('',(0.552278225391859,0.833659859749778,0.)); #429501=DIRECTION('',(0.,0.,1.)); #429502=DIRECTION('center_axis',(0.707106781186549,-0.707106781186546,0.)); #429503=DIRECTION('ref_axis',(0.707106781186546,0.707106781186549,0.)); #429504=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #429505=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #429506=DIRECTION('',(0.,0.,1.)); #429507=DIRECTION('center_axis',(0.558946331948864,-0.829203833807412,0.)); #429508=DIRECTION('ref_axis',(0.829203833807412,0.558946331948864,0.)); #429509=DIRECTION('',(0.829203833807412,0.558946331948864,0.)); #429510=DIRECTION('',(0.829203833807412,0.558946331948864,0.)); #429511=DIRECTION('center_axis',(0.,0.,1.)); #429512=DIRECTION('ref_axis',(1.,0.,0.)); #429513=DIRECTION('center_axis',(0.,0.,1.)); #429514=DIRECTION('ref_axis',(1.,0.,0.)); #429515=DIRECTION('center_axis',(0.21467431451675,-0.97668569083752,0.)); #429516=DIRECTION('ref_axis',(0.97668569083752,0.21467431451675,0.)); #429517=DIRECTION('',(0.97668569083752,0.21467431451675,0.)); #429518=DIRECTION('',(0.,0.,1.)); #429519=DIRECTION('',(0.97668569083752,0.21467431451675,0.)); #429520=DIRECTION('',(0.,0.,1.)); #429521=DIRECTION('center_axis',(-0.183334818573788,-0.983050529880594, 0.)); #429522=DIRECTION('ref_axis',(0.983050529880594,-0.183334818573788,0.)); #429523=DIRECTION('',(0.983050529880594,-0.183334818573788,0.)); #429524=DIRECTION('',(0.983050529880594,-0.183334818573788,0.)); #429525=DIRECTION('',(0.,0.,1.)); #429526=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #429527=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #429528=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #429529=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #429530=DIRECTION('',(0.,0.,1.)); #429531=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #429532=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #429533=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #429534=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #429535=DIRECTION('',(0.,0.,1.)); #429536=DIRECTION('center_axis',(-0.829203833807318,-0.558946331949003, 0.)); #429537=DIRECTION('ref_axis',(0.558946331949003,-0.829203833807318,0.)); #429538=DIRECTION('',(0.558946331949003,-0.829203833807318,0.)); #429539=DIRECTION('',(0.558946331949003,-0.829203833807318,0.)); #429540=DIRECTION('',(0.,0.,1.)); #429541=DIRECTION('center_axis',(-0.980008419561376,-0.19895601923243,0.)); #429542=DIRECTION('ref_axis',(0.19895601923243,-0.980008419561375,0.)); #429543=DIRECTION('',(0.19895601923243,-0.980008419561375,0.)); #429544=DIRECTION('',(0.19895601923243,-0.980008419561375,0.)); #429545=DIRECTION('',(0.,0.,1.)); #429546=DIRECTION('center_axis',(-1.,0.,0.)); #429547=DIRECTION('ref_axis',(0.,-1.,0.)); #429548=DIRECTION('',(0.,-1.,0.)); #429549=DIRECTION('',(0.,-1.,0.)); #429550=DIRECTION('',(0.,0.,1.)); #429551=DIRECTION('center_axis',(-0.981560865252974,0.191149856928623,0.)); #429552=DIRECTION('ref_axis',(-0.191149856928623,-0.981560865252974,0.)); #429553=DIRECTION('',(-0.191149856928623,-0.981560865252974,0.)); #429554=DIRECTION('',(-0.191149856928623,-0.981560865252974,0.)); #429555=DIRECTION('',(0.,0.,1.)); #429556=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #429557=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #429558=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #429559=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #429560=DIRECTION('',(0.,0.,1.)); #429561=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #429562=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #429563=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #429564=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #429565=DIRECTION('',(0.,0.,1.)); #429566=DIRECTION('center_axis',(-0.565439331101364,0.824789890119685,0.)); #429567=DIRECTION('ref_axis',(-0.824789890119685,-0.565439331101364,0.)); #429568=DIRECTION('',(-0.824789890119685,-0.565439331101364,0.)); #429569=DIRECTION('',(-0.824789890119685,-0.565439331101364,0.)); #429570=DIRECTION('',(0.,0.,1.)); #429571=DIRECTION('center_axis',(-0.214674314516549,0.976685690837564,0.)); #429572=DIRECTION('ref_axis',(-0.976685690837564,-0.214674314516549,0.)); #429573=DIRECTION('',(-0.976685690837564,-0.214674314516549,0.)); #429574=DIRECTION('',(-0.976685690837564,-0.214674314516549,0.)); #429575=DIRECTION('',(0.,0.,1.)); #429576=DIRECTION('center_axis',(0.183334818573558,0.983050529880637,0.)); #429577=DIRECTION('ref_axis',(-0.983050529880637,0.183334818573558,0.)); #429578=DIRECTION('',(-0.983050529880637,0.183334818573558,0.)); #429579=DIRECTION('',(-0.983050529880637,0.183334818573558,0.)); #429580=DIRECTION('',(0.,0.,1.)); #429581=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #429582=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #429583=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #429584=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #429585=DIRECTION('',(0.,0.,1.)); #429586=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #429587=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #429588=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #429589=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #429590=DIRECTION('',(0.,0.,1.)); #429591=DIRECTION('center_axis',(0.829203833807318,0.558946331949003,0.)); #429592=DIRECTION('ref_axis',(-0.558946331949003,0.829203833807318,0.)); #429593=DIRECTION('',(-0.558946331949003,0.829203833807318,0.)); #429594=DIRECTION('',(-0.558946331949003,0.829203833807318,0.)); #429595=DIRECTION('',(0.,0.,1.)); #429596=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #429597=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #429598=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #429599=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #429600=DIRECTION('',(0.,0.,1.)); #429601=DIRECTION('center_axis',(1.,0.,0.)); #429602=DIRECTION('ref_axis',(0.,1.,0.)); #429603=DIRECTION('',(0.,1.,0.)); #429604=DIRECTION('',(0.,1.,0.)); #429605=DIRECTION('',(0.,0.,1.)); #429606=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #429607=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #429608=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #429609=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #429610=DIRECTION('',(0.,0.,1.)); #429611=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #429612=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #429613=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #429614=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #429615=DIRECTION('',(0.,0.,1.)); #429616=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #429617=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #429618=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #429619=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #429620=DIRECTION('',(0.,0.,1.)); #429621=DIRECTION('center_axis',(0.565439331101256,-0.824789890119759,0.)); #429622=DIRECTION('ref_axis',(0.824789890119759,0.565439331101256,0.)); #429623=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #429624=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #429625=DIRECTION('center_axis',(0.,0.,1.)); #429626=DIRECTION('ref_axis',(1.,0.,0.)); #429627=DIRECTION('center_axis',(0.,0.,1.)); #429628=DIRECTION('ref_axis',(1.,0.,0.)); #429629=DIRECTION('center_axis',(0.1989560192326,-0.980008419561341,0.)); #429630=DIRECTION('ref_axis',(0.980008419561341,0.1989560192326,0.)); #429631=DIRECTION('',(0.980008419561341,0.198956019232599,0.)); #429632=DIRECTION('',(0.,0.,1.)); #429633=DIRECTION('',(0.980008419561341,0.198956019232599,0.)); #429634=DIRECTION('',(0.,0.,1.)); #429635=DIRECTION('center_axis',(0.,-1.,0.)); #429636=DIRECTION('ref_axis',(1.,0.,0.)); #429637=DIRECTION('',(1.,0.,0.)); #429638=DIRECTION('',(1.,0.,0.)); #429639=DIRECTION('',(0.,0.,1.)); #429640=DIRECTION('center_axis',(-0.18330529025729,-0.98305603632941,0.)); #429641=DIRECTION('ref_axis',(0.98305603632941,-0.18330529025729,0.)); #429642=DIRECTION('',(0.98305603632941,-0.18330529025729,0.)); #429643=DIRECTION('',(0.98305603632941,-0.18330529025729,0.)); #429644=DIRECTION('',(0.,0.,1.)); #429645=DIRECTION('center_axis',(-0.538911466745989,-0.842362410729306, 0.)); #429646=DIRECTION('ref_axis',(0.842362410729306,-0.538911466745989,0.)); #429647=DIRECTION('',(0.842362410729306,-0.538911466745989,0.)); #429648=DIRECTION('',(0.842362410729306,-0.538911466745989,0.)); #429649=DIRECTION('',(0.,0.,1.)); #429650=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #429651=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #429652=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #429653=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #429654=DIRECTION('',(0.,0.,1.)); #429655=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #429656=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #429657=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #429658=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #429659=DIRECTION('',(0.,0.,1.)); #429660=DIRECTION('center_axis',(-1.,0.,0.)); #429661=DIRECTION('ref_axis',(0.,-1.,0.)); #429662=DIRECTION('',(0.,-1.,0.)); #429663=DIRECTION('',(0.,-1.,0.)); #429664=DIRECTION('',(0.,0.,1.)); #429665=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #429666=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #429667=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #429668=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #429669=DIRECTION('',(0.,0.,1.)); #429670=DIRECTION('center_axis',(-0.84236241072922,0.538911466746122,0.)); #429671=DIRECTION('ref_axis',(-0.538911466746122,-0.84236241072922,0.)); #429672=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #429673=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #429674=DIRECTION('',(0.,0.,1.)); #429675=DIRECTION('center_axis',(-0.565504004715131,0.824745549033852,0.)); #429676=DIRECTION('ref_axis',(-0.824745549033852,-0.565504004715131,0.)); #429677=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #429678=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #429679=DIRECTION('',(0.,0.,1.)); #429680=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #429681=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #429682=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #429683=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #429684=DIRECTION('',(0.,0.,1.)); #429685=DIRECTION('center_axis',(0.,1.,0.)); #429686=DIRECTION('ref_axis',(-1.,0.,0.)); #429687=DIRECTION('',(-1.,0.,0.)); #429688=DIRECTION('',(-1.,0.,0.)); #429689=DIRECTION('',(0.,0.,1.)); #429690=DIRECTION('center_axis',(0.19114985692877,0.981560865252946,0.)); #429691=DIRECTION('ref_axis',(-0.981560865252945,0.19114985692877,0.)); #429692=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #429693=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #429694=DIRECTION('',(0.,0.,1.)); #429695=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #429696=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #429697=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #429698=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #429699=DIRECTION('',(0.,0.,1.)); #429700=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #429701=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #429702=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #429703=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #429704=DIRECTION('',(0.,0.,1.)); #429705=DIRECTION('center_axis',(0.820212632122549,0.572058771549392,0.)); #429706=DIRECTION('ref_axis',(-0.572058771549392,0.820212632122549,0.)); #429707=DIRECTION('',(-0.572058771549392,0.820212632122549,0.)); #429708=DIRECTION('',(-0.572058771549392,0.820212632122549,0.)); #429709=DIRECTION('',(0.,0.,1.)); #429710=DIRECTION('center_axis',(0.973144071553019,0.230196907019212,0.)); #429711=DIRECTION('ref_axis',(-0.230196907019212,0.973144071553019,0.)); #429712=DIRECTION('',(-0.230196907019212,0.973144071553019,0.)); #429713=DIRECTION('',(-0.230196907019212,0.973144071553019,0.)); #429714=DIRECTION('',(0.,0.,1.)); #429715=DIRECTION('center_axis',(0.985842168327549,-0.167675934907893,0.)); #429716=DIRECTION('ref_axis',(0.167675934907893,0.985842168327549,0.)); #429717=DIRECTION('',(0.167675934907893,0.985842168327549,0.)); #429718=DIRECTION('',(0.167675934907893,0.985842168327549,0.)); #429719=DIRECTION('',(0.,0.,1.)); #429720=DIRECTION('center_axis',(0.842377379073405,-0.53888806929215,0.)); #429721=DIRECTION('ref_axis',(0.53888806929215,0.842377379073405,0.)); #429722=DIRECTION('',(0.53888806929215,0.842377379073405,0.)); #429723=DIRECTION('',(0.53888806929215,0.842377379073405,0.)); #429724=DIRECTION('',(0.,0.,1.)); #429725=DIRECTION('center_axis',(0.565488626065924,-0.82475609351497,0.)); #429726=DIRECTION('ref_axis',(0.82475609351497,0.565488626065924,0.)); #429727=DIRECTION('',(0.82475609351497,0.565488626065924,0.)); #429728=DIRECTION('',(0.82475609351497,0.565488626065924,0.)); #429729=DIRECTION('center_axis',(0.,0.,1.)); #429730=DIRECTION('ref_axis',(1.,0.,0.)); #429731=DIRECTION('center_axis',(0.,0.,1.)); #429732=DIRECTION('ref_axis',(1.,0.,0.)); #429733=DIRECTION('center_axis',(0.,0.,1.)); #429734=DIRECTION('ref_axis',(1.,0.,0.)); #429735=DIRECTION('center_axis',(0.,0.,1.)); #429736=DIRECTION('ref_axis',(1.,0.,0.)); #429737=DIRECTION('',(0.,0.,1.)); #429738=DIRECTION('center_axis',(0.,0.,-1.)); #429739=DIRECTION('ref_axis',(1.,0.,0.)); #429740=DIRECTION('center_axis',(0.,0.,1.)); #429741=DIRECTION('ref_axis',(1.,0.,0.)); #429742=DIRECTION('center_axis',(0.,0.,1.)); #429743=DIRECTION('ref_axis',(1.,0.,0.)); #429744=DIRECTION('',(0.,0.,1.)); #429745=DIRECTION('center_axis',(0.,0.,-1.)); #429746=DIRECTION('ref_axis',(1.,0.,0.)); #429747=DIRECTION('center_axis',(0.,0.,1.)); #429748=DIRECTION('ref_axis',(1.,0.,0.)); #429749=DIRECTION('center_axis',(0.,0.,1.)); #429750=DIRECTION('ref_axis',(1.,0.,0.)); #429751=DIRECTION('',(0.,0.,1.)); #429752=DIRECTION('center_axis',(0.,0.,-1.)); #429753=DIRECTION('ref_axis',(1.,0.,0.)); #429754=DIRECTION('center_axis',(0.,0.,1.)); #429755=DIRECTION('ref_axis',(1.,0.,0.)); #429756=DIRECTION('center_axis',(0.,0.,1.)); #429757=DIRECTION('ref_axis',(1.,0.,0.)); #429758=DIRECTION('',(0.,0.,1.)); #429759=DIRECTION('center_axis',(0.,0.,-1.)); #429760=DIRECTION('ref_axis',(1.,0.,0.)); #429761=DIRECTION('center_axis',(0.,0.,1.)); #429762=DIRECTION('ref_axis',(1.,0.,0.)); #429763=DIRECTION('center_axis',(0.,0.,1.)); #429764=DIRECTION('ref_axis',(1.,0.,0.)); #429765=DIRECTION('',(0.,0.,1.)); #429766=DIRECTION('center_axis',(0.,0.,-1.)); #429767=DIRECTION('ref_axis',(1.,0.,0.)); #429768=DIRECTION('center_axis',(0.,0.,1.)); #429769=DIRECTION('ref_axis',(1.,0.,0.)); #429770=DIRECTION('center_axis',(0.,0.,1.)); #429771=DIRECTION('ref_axis',(1.,0.,0.)); #429772=DIRECTION('',(0.,0.,1.)); #429773=DIRECTION('center_axis',(0.,0.,-1.)); #429774=DIRECTION('ref_axis',(1.,0.,0.)); #429775=DIRECTION('center_axis',(0.,0.,1.)); #429776=DIRECTION('ref_axis',(1.,0.,0.)); #429777=DIRECTION('center_axis',(0.,0.,1.)); #429778=DIRECTION('ref_axis',(1.,0.,0.)); #429779=DIRECTION('',(0.,0.,1.)); #429780=DIRECTION('center_axis',(0.,0.,-1.)); #429781=DIRECTION('ref_axis',(1.,0.,0.)); #429782=DIRECTION('center_axis',(0.,0.,1.)); #429783=DIRECTION('ref_axis',(1.,0.,0.)); #429784=DIRECTION('center_axis',(0.,0.,1.)); #429785=DIRECTION('ref_axis',(1.,0.,0.)); #429786=DIRECTION('',(0.,0.,1.)); #429787=DIRECTION('center_axis',(0.,0.,-1.)); #429788=DIRECTION('ref_axis',(1.,0.,0.)); #429789=DIRECTION('center_axis',(0.,0.,1.)); #429790=DIRECTION('ref_axis',(1.,0.,0.)); #429791=DIRECTION('center_axis',(0.,0.,1.)); #429792=DIRECTION('ref_axis',(1.,0.,0.)); #429793=DIRECTION('',(0.,0.,1.)); #429794=DIRECTION('center_axis',(0.,0.,-1.)); #429795=DIRECTION('ref_axis',(1.,0.,0.)); #429796=DIRECTION('center_axis',(0.,0.,1.)); #429797=DIRECTION('ref_axis',(1.,0.,0.)); #429798=DIRECTION('center_axis',(0.,0.,1.)); #429799=DIRECTION('ref_axis',(1.,0.,0.)); #429800=DIRECTION('',(0.,0.,1.)); #429801=DIRECTION('center_axis',(0.,0.,-1.)); #429802=DIRECTION('ref_axis',(1.,0.,0.)); #429803=DIRECTION('center_axis',(0.,0.,1.)); #429804=DIRECTION('ref_axis',(1.,0.,0.)); #429805=DIRECTION('center_axis',(0.,0.,1.)); #429806=DIRECTION('ref_axis',(1.,0.,0.)); #429807=DIRECTION('',(0.,0.,1.)); #429808=DIRECTION('center_axis',(0.,0.,-1.)); #429809=DIRECTION('ref_axis',(1.,0.,0.)); #429810=DIRECTION('center_axis',(0.,0.,1.)); #429811=DIRECTION('ref_axis',(1.,0.,0.)); #429812=DIRECTION('center_axis',(0.,0.,1.)); #429813=DIRECTION('ref_axis',(1.,0.,0.)); #429814=DIRECTION('',(0.,0.,1.)); #429815=DIRECTION('center_axis',(0.,0.,-1.)); #429816=DIRECTION('ref_axis',(1.,0.,0.)); #429817=DIRECTION('center_axis',(0.,0.,1.)); #429818=DIRECTION('ref_axis',(1.,0.,0.)); #429819=DIRECTION('center_axis',(0.,0.,1.)); #429820=DIRECTION('ref_axis',(1.,0.,0.)); #429821=DIRECTION('',(0.,0.,1.)); #429822=DIRECTION('center_axis',(0.,0.,-1.)); #429823=DIRECTION('ref_axis',(1.,0.,0.)); #429824=DIRECTION('center_axis',(0.,0.,1.)); #429825=DIRECTION('ref_axis',(1.,0.,0.)); #429826=DIRECTION('center_axis',(0.,0.,1.)); #429827=DIRECTION('ref_axis',(1.,0.,0.)); #429828=DIRECTION('',(0.,0.,1.)); #429829=DIRECTION('center_axis',(0.,0.,-1.)); #429830=DIRECTION('ref_axis',(1.,0.,0.)); #429831=DIRECTION('center_axis',(0.,0.,1.)); #429832=DIRECTION('ref_axis',(1.,0.,0.)); #429833=DIRECTION('center_axis',(0.,0.,1.)); #429834=DIRECTION('ref_axis',(1.,0.,0.)); #429835=DIRECTION('',(0.,0.,1.)); #429836=DIRECTION('center_axis',(0.,0.,-1.)); #429837=DIRECTION('ref_axis',(1.,0.,0.)); #429838=DIRECTION('center_axis',(0.,0.,1.)); #429839=DIRECTION('ref_axis',(1.,0.,0.)); #429840=DIRECTION('center_axis',(0.,0.,1.)); #429841=DIRECTION('ref_axis',(1.,0.,0.)); #429842=DIRECTION('',(0.,0.,1.)); #429843=DIRECTION('center_axis',(0.,0.,-1.)); #429844=DIRECTION('ref_axis',(1.,0.,0.)); #429845=DIRECTION('center_axis',(0.,0.,1.)); #429846=DIRECTION('ref_axis',(1.,0.,0.)); #429847=DIRECTION('center_axis',(0.,0.,1.)); #429848=DIRECTION('ref_axis',(1.,0.,0.)); #429849=DIRECTION('',(0.,0.,1.)); #429850=DIRECTION('center_axis',(0.,0.,-1.)); #429851=DIRECTION('ref_axis',(1.,0.,0.)); #429852=DIRECTION('center_axis',(0.,0.,1.)); #429853=DIRECTION('ref_axis',(1.,0.,0.)); #429854=DIRECTION('center_axis',(0.,0.,1.)); #429855=DIRECTION('ref_axis',(1.,0.,0.)); #429856=DIRECTION('',(0.,0.,1.)); #429857=DIRECTION('center_axis',(0.,0.,-1.)); #429858=DIRECTION('ref_axis',(1.,0.,0.)); #429859=DIRECTION('center_axis',(0.,0.,1.)); #429860=DIRECTION('ref_axis',(1.,0.,0.)); #429861=DIRECTION('center_axis',(0.,0.,1.)); #429862=DIRECTION('ref_axis',(1.,0.,0.)); #429863=DIRECTION('',(0.,0.,1.)); #429864=DIRECTION('center_axis',(0.,0.,-1.)); #429865=DIRECTION('ref_axis',(1.,0.,0.)); #429866=DIRECTION('center_axis',(0.,0.,1.)); #429867=DIRECTION('ref_axis',(1.,0.,0.)); #429868=DIRECTION('center_axis',(0.,0.,1.)); #429869=DIRECTION('ref_axis',(1.,0.,0.)); #429870=DIRECTION('',(0.,0.,1.)); #429871=DIRECTION('center_axis',(0.,0.,-1.)); #429872=DIRECTION('ref_axis',(1.,0.,0.)); #429873=DIRECTION('center_axis',(0.,0.,1.)); #429874=DIRECTION('ref_axis',(1.,0.,0.)); #429875=DIRECTION('center_axis',(0.,0.,1.)); #429876=DIRECTION('ref_axis',(1.,0.,0.)); #429877=DIRECTION('',(0.,0.,1.)); #429878=DIRECTION('center_axis',(0.,0.,-1.)); #429879=DIRECTION('ref_axis',(1.,0.,0.)); #429880=DIRECTION('center_axis',(0.,0.,1.)); #429881=DIRECTION('ref_axis',(1.,0.,0.)); #429882=DIRECTION('center_axis',(0.,0.,1.)); #429883=DIRECTION('ref_axis',(1.,0.,0.)); #429884=DIRECTION('',(0.,0.,1.)); #429885=DIRECTION('center_axis',(0.,0.,-1.)); #429886=DIRECTION('ref_axis',(1.,0.,0.)); #429887=DIRECTION('center_axis',(0.,0.,1.)); #429888=DIRECTION('ref_axis',(1.,0.,0.)); #429889=DIRECTION('center_axis',(0.,0.,1.)); #429890=DIRECTION('ref_axis',(1.,0.,0.)); #429891=DIRECTION('',(0.,0.,1.)); #429892=DIRECTION('center_axis',(0.,0.,-1.)); #429893=DIRECTION('ref_axis',(1.,0.,0.)); #429894=DIRECTION('center_axis',(0.,0.,1.)); #429895=DIRECTION('ref_axis',(1.,0.,0.)); #429896=DIRECTION('center_axis',(0.,0.,1.)); #429897=DIRECTION('ref_axis',(1.,0.,0.)); #429898=DIRECTION('',(0.,0.,1.)); #429899=DIRECTION('center_axis',(0.,0.,-1.)); #429900=DIRECTION('ref_axis',(1.,0.,0.)); #429901=DIRECTION('center_axis',(0.,0.,1.)); #429902=DIRECTION('ref_axis',(1.,0.,0.)); #429903=DIRECTION('center_axis',(0.,0.,1.)); #429904=DIRECTION('ref_axis',(1.,0.,0.)); #429905=DIRECTION('',(0.,0.,1.)); #429906=DIRECTION('center_axis',(0.,0.,-1.)); #429907=DIRECTION('ref_axis',(1.,0.,0.)); #429908=DIRECTION('center_axis',(0.,0.,1.)); #429909=DIRECTION('ref_axis',(1.,0.,0.)); #429910=DIRECTION('center_axis',(0.,0.,1.)); #429911=DIRECTION('ref_axis',(1.,0.,0.)); #429912=DIRECTION('',(0.,0.,1.)); #429913=DIRECTION('center_axis',(0.,0.,-1.)); #429914=DIRECTION('ref_axis',(1.,0.,0.)); #429915=DIRECTION('center_axis',(0.,0.,1.)); #429916=DIRECTION('ref_axis',(1.,0.,0.)); #429917=DIRECTION('center_axis',(0.,0.,1.)); #429918=DIRECTION('ref_axis',(1.,0.,0.)); #429919=DIRECTION('',(0.,0.,1.)); #429920=DIRECTION('center_axis',(0.,0.,-1.)); #429921=DIRECTION('ref_axis',(1.,0.,0.)); #429922=DIRECTION('center_axis',(0.,0.,1.)); #429923=DIRECTION('ref_axis',(1.,0.,0.)); #429924=DIRECTION('center_axis',(0.,0.,1.)); #429925=DIRECTION('ref_axis',(1.,0.,0.)); #429926=DIRECTION('',(0.,0.,1.)); #429927=DIRECTION('center_axis',(0.,0.,-1.)); #429928=DIRECTION('ref_axis',(1.,0.,0.)); #429929=DIRECTION('center_axis',(0.,0.,1.)); #429930=DIRECTION('ref_axis',(1.,0.,0.)); #429931=DIRECTION('center_axis',(0.,0.,1.)); #429932=DIRECTION('ref_axis',(1.,0.,0.)); #429933=DIRECTION('',(0.,0.,1.)); #429934=DIRECTION('center_axis',(0.,0.,-1.)); #429935=DIRECTION('ref_axis',(1.,0.,0.)); #429936=DIRECTION('center_axis',(0.,0.,1.)); #429937=DIRECTION('ref_axis',(1.,0.,0.)); #429938=DIRECTION('center_axis',(0.,0.,1.)); #429939=DIRECTION('ref_axis',(1.,0.,0.)); #429940=DIRECTION('',(0.,0.,1.)); #429941=DIRECTION('center_axis',(0.,0.,-1.)); #429942=DIRECTION('ref_axis',(1.,0.,0.)); #429943=DIRECTION('center_axis',(0.,0.,1.)); #429944=DIRECTION('ref_axis',(1.,0.,0.)); #429945=DIRECTION('center_axis',(0.,0.,1.)); #429946=DIRECTION('ref_axis',(1.,0.,0.)); #429947=DIRECTION('',(0.,0.,1.)); #429948=DIRECTION('center_axis',(0.,0.,-1.)); #429949=DIRECTION('ref_axis',(1.,0.,0.)); #429950=DIRECTION('center_axis',(0.,0.,1.)); #429951=DIRECTION('ref_axis',(1.,0.,0.)); #429952=DIRECTION('center_axis',(0.,0.,1.)); #429953=DIRECTION('ref_axis',(1.,0.,0.)); #429954=DIRECTION('',(0.,0.,1.)); #429955=DIRECTION('center_axis',(0.,0.,-1.)); #429956=DIRECTION('ref_axis',(1.,0.,0.)); #429957=DIRECTION('center_axis',(0.,0.,1.)); #429958=DIRECTION('ref_axis',(1.,0.,0.)); #429959=DIRECTION('center_axis',(0.,0.,1.)); #429960=DIRECTION('ref_axis',(1.,0.,0.)); #429961=DIRECTION('',(0.,0.,1.)); #429962=DIRECTION('center_axis',(0.,0.,-1.)); #429963=DIRECTION('ref_axis',(1.,0.,0.)); #429964=DIRECTION('center_axis',(0.,0.,1.)); #429965=DIRECTION('ref_axis',(1.,0.,0.)); #429966=DIRECTION('center_axis',(0.,0.,1.)); #429967=DIRECTION('ref_axis',(1.,0.,0.)); #429968=DIRECTION('',(0.,0.,1.)); #429969=DIRECTION('center_axis',(0.,0.,-1.)); #429970=DIRECTION('ref_axis',(1.,0.,0.)); #429971=DIRECTION('center_axis',(0.,0.,1.)); #429972=DIRECTION('ref_axis',(1.,0.,0.)); #429973=DIRECTION('center_axis',(0.,0.,1.)); #429974=DIRECTION('ref_axis',(1.,0.,0.)); #429975=DIRECTION('',(0.,0.,1.)); #429976=DIRECTION('center_axis',(0.,0.,-1.)); #429977=DIRECTION('ref_axis',(1.,0.,0.)); #429978=DIRECTION('center_axis',(0.,0.,1.)); #429979=DIRECTION('ref_axis',(1.,0.,0.)); #429980=DIRECTION('center_axis',(0.,0.,1.)); #429981=DIRECTION('ref_axis',(1.,0.,0.)); #429982=DIRECTION('',(0.,0.,1.)); #429983=DIRECTION('center_axis',(0.,0.,-1.)); #429984=DIRECTION('ref_axis',(1.,0.,0.)); #429985=DIRECTION('center_axis',(0.,0.,1.)); #429986=DIRECTION('ref_axis',(1.,0.,0.)); #429987=DIRECTION('center_axis',(0.,0.,1.)); #429988=DIRECTION('ref_axis',(1.,0.,0.)); #429989=DIRECTION('',(0.,0.,1.)); #429990=DIRECTION('center_axis',(0.,0.,-1.)); #429991=DIRECTION('ref_axis',(1.,0.,0.)); #429992=DIRECTION('center_axis',(0.,0.,1.)); #429993=DIRECTION('ref_axis',(1.,0.,0.)); #429994=DIRECTION('center_axis',(0.,0.,1.)); #429995=DIRECTION('ref_axis',(1.,0.,0.)); #429996=DIRECTION('',(0.,0.,1.)); #429997=DIRECTION('center_axis',(0.,0.,-1.)); #429998=DIRECTION('ref_axis',(1.,0.,0.)); #429999=DIRECTION('center_axis',(0.,0.,1.)); #430000=DIRECTION('ref_axis',(1.,0.,0.)); #430001=DIRECTION('center_axis',(0.,0.,1.)); #430002=DIRECTION('ref_axis',(1.,0.,0.)); #430003=DIRECTION('',(0.,0.,1.)); #430004=DIRECTION('center_axis',(0.,0.,-1.)); #430005=DIRECTION('ref_axis',(1.,0.,0.)); #430006=DIRECTION('center_axis',(0.,0.,1.)); #430007=DIRECTION('ref_axis',(1.,0.,0.)); #430008=DIRECTION('center_axis',(0.,0.,1.)); #430009=DIRECTION('ref_axis',(1.,0.,0.)); #430010=DIRECTION('',(0.,0.,1.)); #430011=DIRECTION('center_axis',(0.,0.,-1.)); #430012=DIRECTION('ref_axis',(1.,0.,0.)); #430013=DIRECTION('center_axis',(0.,0.,1.)); #430014=DIRECTION('ref_axis',(1.,0.,0.)); #430015=DIRECTION('center_axis',(0.,0.,1.)); #430016=DIRECTION('ref_axis',(1.,0.,0.)); #430017=DIRECTION('',(0.,0.,1.)); #430018=DIRECTION('center_axis',(0.,0.,-1.)); #430019=DIRECTION('ref_axis',(1.,0.,0.)); #430020=DIRECTION('center_axis',(0.,0.,1.)); #430021=DIRECTION('ref_axis',(1.,0.,0.)); #430022=DIRECTION('center_axis',(0.,0.,1.)); #430023=DIRECTION('ref_axis',(1.,0.,0.)); #430024=DIRECTION('',(0.,0.,1.)); #430025=DIRECTION('center_axis',(0.,0.,-1.)); #430026=DIRECTION('ref_axis',(1.,0.,0.)); #430027=DIRECTION('center_axis',(0.,0.,1.)); #430028=DIRECTION('ref_axis',(1.,0.,0.)); #430029=DIRECTION('center_axis',(0.,0.,1.)); #430030=DIRECTION('ref_axis',(1.,0.,0.)); #430031=DIRECTION('',(0.,0.,1.)); #430032=DIRECTION('center_axis',(0.,0.,-1.)); #430033=DIRECTION('ref_axis',(1.,0.,0.)); #430034=DIRECTION('center_axis',(0.,0.,1.)); #430035=DIRECTION('ref_axis',(1.,0.,0.)); #430036=DIRECTION('center_axis',(0.,0.,1.)); #430037=DIRECTION('ref_axis',(1.,0.,0.)); #430038=DIRECTION('',(0.,0.,1.)); #430039=DIRECTION('center_axis',(0.,0.,-1.)); #430040=DIRECTION('ref_axis',(1.,0.,0.)); #430041=DIRECTION('center_axis',(0.,0.,1.)); #430042=DIRECTION('ref_axis',(1.,0.,0.)); #430043=DIRECTION('center_axis',(0.,0.,1.)); #430044=DIRECTION('ref_axis',(1.,0.,0.)); #430045=DIRECTION('',(0.,0.,1.)); #430046=DIRECTION('center_axis',(0.,0.,-1.)); #430047=DIRECTION('ref_axis',(1.,0.,0.)); #430048=DIRECTION('center_axis',(0.,0.,1.)); #430049=DIRECTION('ref_axis',(1.,0.,0.)); #430050=DIRECTION('center_axis',(0.,0.,1.)); #430051=DIRECTION('ref_axis',(1.,0.,0.)); #430052=DIRECTION('',(0.,0.,1.)); #430053=DIRECTION('center_axis',(0.,0.,-1.)); #430054=DIRECTION('ref_axis',(1.,0.,0.)); #430055=DIRECTION('center_axis',(0.,0.,1.)); #430056=DIRECTION('ref_axis',(1.,0.,0.)); #430057=DIRECTION('center_axis',(0.,0.,1.)); #430058=DIRECTION('ref_axis',(1.,0.,0.)); #430059=DIRECTION('',(0.,0.,1.)); #430060=DIRECTION('center_axis',(0.,0.,-1.)); #430061=DIRECTION('ref_axis',(1.,0.,0.)); #430062=DIRECTION('center_axis',(0.,0.,1.)); #430063=DIRECTION('ref_axis',(1.,0.,0.)); #430064=DIRECTION('center_axis',(0.,0.,1.)); #430065=DIRECTION('ref_axis',(1.,0.,0.)); #430066=DIRECTION('',(0.,0.,1.)); #430067=DIRECTION('center_axis',(0.,0.,-1.)); #430068=DIRECTION('ref_axis',(1.,0.,0.)); #430069=DIRECTION('center_axis',(0.,0.,1.)); #430070=DIRECTION('ref_axis',(1.,0.,0.)); #430071=DIRECTION('center_axis',(0.,0.,1.)); #430072=DIRECTION('ref_axis',(1.,0.,0.)); #430073=DIRECTION('',(0.,0.,1.)); #430074=DIRECTION('center_axis',(0.,0.,-1.)); #430075=DIRECTION('ref_axis',(1.,0.,0.)); #430076=DIRECTION('center_axis',(0.,0.,1.)); #430077=DIRECTION('ref_axis',(1.,0.,0.)); #430078=DIRECTION('center_axis',(0.,0.,1.)); #430079=DIRECTION('ref_axis',(1.,0.,0.)); #430080=DIRECTION('',(0.,0.,1.)); #430081=DIRECTION('center_axis',(0.,0.,-1.)); #430082=DIRECTION('ref_axis',(1.,0.,0.)); #430083=DIRECTION('center_axis',(0.,0.,1.)); #430084=DIRECTION('ref_axis',(1.,0.,0.)); #430085=DIRECTION('center_axis',(0.,0.,1.)); #430086=DIRECTION('ref_axis',(1.,0.,0.)); #430087=DIRECTION('',(0.,0.,1.)); #430088=DIRECTION('center_axis',(0.,0.,-1.)); #430089=DIRECTION('ref_axis',(1.,0.,0.)); #430090=DIRECTION('center_axis',(0.,0.,1.)); #430091=DIRECTION('ref_axis',(1.,0.,0.)); #430092=DIRECTION('center_axis',(0.,0.,1.)); #430093=DIRECTION('ref_axis',(1.,0.,0.)); #430094=DIRECTION('',(0.,0.,1.)); #430095=DIRECTION('center_axis',(0.,0.,-1.)); #430096=DIRECTION('ref_axis',(1.,0.,0.)); #430097=DIRECTION('center_axis',(0.,0.,1.)); #430098=DIRECTION('ref_axis',(1.,0.,0.)); #430099=DIRECTION('center_axis',(0.,0.,1.)); #430100=DIRECTION('ref_axis',(1.,0.,0.)); #430101=DIRECTION('',(0.,0.,1.)); #430102=DIRECTION('center_axis',(0.,0.,-1.)); #430103=DIRECTION('ref_axis',(1.,0.,0.)); #430104=DIRECTION('center_axis',(0.,0.,1.)); #430105=DIRECTION('ref_axis',(1.,0.,0.)); #430106=DIRECTION('center_axis',(0.,0.,1.)); #430107=DIRECTION('ref_axis',(1.,0.,0.)); #430108=DIRECTION('',(0.,0.,1.)); #430109=DIRECTION('center_axis',(0.,0.,-1.)); #430110=DIRECTION('ref_axis',(1.,0.,0.)); #430111=DIRECTION('center_axis',(0.,0.,1.)); #430112=DIRECTION('ref_axis',(1.,0.,0.)); #430113=DIRECTION('center_axis',(0.,0.,1.)); #430114=DIRECTION('ref_axis',(1.,0.,0.)); #430115=DIRECTION('',(0.,0.,1.)); #430116=DIRECTION('center_axis',(0.,0.,-1.)); #430117=DIRECTION('ref_axis',(1.,0.,0.)); #430118=DIRECTION('center_axis',(0.,0.,1.)); #430119=DIRECTION('ref_axis',(1.,0.,0.)); #430120=DIRECTION('center_axis',(0.,0.,1.)); #430121=DIRECTION('ref_axis',(1.,0.,0.)); #430122=DIRECTION('',(0.,0.,1.)); #430123=DIRECTION('center_axis',(0.,0.,-1.)); #430124=DIRECTION('ref_axis',(1.,0.,0.)); #430125=DIRECTION('center_axis',(0.,0.,1.)); #430126=DIRECTION('ref_axis',(1.,0.,0.)); #430127=DIRECTION('center_axis',(0.,0.,1.)); #430128=DIRECTION('ref_axis',(1.,0.,0.)); #430129=DIRECTION('',(0.,0.,1.)); #430130=DIRECTION('center_axis',(0.,0.,-1.)); #430131=DIRECTION('ref_axis',(1.,0.,0.)); #430132=DIRECTION('center_axis',(0.,0.,1.)); #430133=DIRECTION('ref_axis',(1.,0.,0.)); #430134=DIRECTION('center_axis',(0.,0.,1.)); #430135=DIRECTION('ref_axis',(1.,0.,0.)); #430136=DIRECTION('',(0.,0.,1.)); #430137=DIRECTION('center_axis',(0.,0.,-1.)); #430138=DIRECTION('ref_axis',(1.,0.,0.)); #430139=DIRECTION('center_axis',(0.,0.,1.)); #430140=DIRECTION('ref_axis',(1.,0.,0.)); #430141=DIRECTION('center_axis',(0.,0.,1.)); #430142=DIRECTION('ref_axis',(1.,0.,0.)); #430143=DIRECTION('',(0.,0.,1.)); #430144=DIRECTION('center_axis',(0.,0.,-1.)); #430145=DIRECTION('ref_axis',(1.,0.,0.)); #430146=DIRECTION('center_axis',(0.,0.,1.)); #430147=DIRECTION('ref_axis',(1.,0.,0.)); #430148=DIRECTION('center_axis',(0.,0.,1.)); #430149=DIRECTION('ref_axis',(1.,0.,0.)); #430150=DIRECTION('',(0.,0.,1.)); #430151=DIRECTION('center_axis',(0.,0.,-1.)); #430152=DIRECTION('ref_axis',(1.,0.,0.)); #430153=DIRECTION('center_axis',(0.,0.,1.)); #430154=DIRECTION('ref_axis',(1.,0.,0.)); #430155=DIRECTION('center_axis',(0.,0.,1.)); #430156=DIRECTION('ref_axis',(1.,0.,0.)); #430157=DIRECTION('',(0.,0.,1.)); #430158=DIRECTION('center_axis',(0.,0.,-1.)); #430159=DIRECTION('ref_axis',(1.,0.,0.)); #430160=DIRECTION('center_axis',(0.,0.,1.)); #430161=DIRECTION('ref_axis',(1.,0.,0.)); #430162=DIRECTION('center_axis',(0.,0.,1.)); #430163=DIRECTION('ref_axis',(1.,0.,0.)); #430164=DIRECTION('',(0.,0.,1.)); #430165=DIRECTION('center_axis',(0.,0.,-1.)); #430166=DIRECTION('ref_axis',(1.,0.,0.)); #430167=DIRECTION('center_axis',(0.,0.,1.)); #430168=DIRECTION('ref_axis',(1.,0.,0.)); #430169=DIRECTION('center_axis',(0.,0.,1.)); #430170=DIRECTION('ref_axis',(1.,0.,0.)); #430171=DIRECTION('',(0.,0.,1.)); #430172=DIRECTION('center_axis',(0.,0.,-1.)); #430173=DIRECTION('ref_axis',(1.,0.,0.)); #430174=DIRECTION('center_axis',(0.,0.,1.)); #430175=DIRECTION('ref_axis',(1.,0.,0.)); #430176=DIRECTION('center_axis',(0.,0.,1.)); #430177=DIRECTION('ref_axis',(1.,0.,0.)); #430178=DIRECTION('',(0.,0.,1.)); #430179=DIRECTION('center_axis',(0.,0.,-1.)); #430180=DIRECTION('ref_axis',(1.,0.,0.)); #430181=DIRECTION('center_axis',(1.,0.,0.)); #430182=DIRECTION('ref_axis',(0.,1.,0.)); #430183=DIRECTION('',(0.,-1.,0.)); #430184=DIRECTION('',(0.,0.,1.)); #430185=DIRECTION('',(0.,1.,0.)); #430186=DIRECTION('',(0.,0.,1.)); #430187=DIRECTION('center_axis',(0.,0.,1.)); #430188=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #430189=DIRECTION('center_axis',(0.,0.,-1.)); #430190=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #430191=DIRECTION('center_axis',(0.,0.,1.)); #430192=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #430193=DIRECTION('',(0.,0.,1.)); #430194=DIRECTION('center_axis',(-1.,0.,0.)); #430195=DIRECTION('ref_axis',(0.,-1.,0.)); #430196=DIRECTION('',(0.,1.,0.)); #430197=DIRECTION('',(0.,-1.,0.)); #430198=DIRECTION('',(0.,0.,1.)); #430199=DIRECTION('center_axis',(0.,0.,1.)); #430200=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #430201=DIRECTION('center_axis',(0.,0.,-1.)); #430202=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #430203=DIRECTION('center_axis',(0.,0.,1.)); #430204=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #430205=DIRECTION('center_axis',(0.,1.,0.)); #430206=DIRECTION('ref_axis',(-1.,0.,0.)); #430207=DIRECTION('',(1.,0.,0.)); #430208=DIRECTION('',(0.,0.,1.)); #430209=DIRECTION('',(-1.,0.,0.)); #430210=DIRECTION('',(0.,0.,1.)); #430211=DIRECTION('center_axis',(0.,0.,1.)); #430212=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #430213=DIRECTION('center_axis',(0.,0.,-1.)); #430214=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #430215=DIRECTION('center_axis',(0.,0.,1.)); #430216=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #430217=DIRECTION('',(0.,0.,1.)); #430218=DIRECTION('center_axis',(0.,-1.,0.)); #430219=DIRECTION('ref_axis',(1.,0.,0.)); #430220=DIRECTION('',(-1.,0.,0.)); #430221=DIRECTION('',(1.,0.,0.)); #430222=DIRECTION('',(0.,0.,1.)); #430223=DIRECTION('center_axis',(0.,0.,1.)); #430224=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #430225=DIRECTION('center_axis',(0.,0.,-1.)); #430226=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #430227=DIRECTION('center_axis',(0.,0.,1.)); #430228=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #430229=DIRECTION('center_axis',(0.,0.,1.)); #430230=DIRECTION('ref_axis',(1.,0.,0.)); #430231=DIRECTION('center_axis',(0.,0.,1.)); #430232=DIRECTION('ref_axis',(1.,0.,0.)); #430233=DIRECTION('',(0.,0.,1.)); #430234=DIRECTION('center_axis',(0.,0.,-1.)); #430235=DIRECTION('ref_axis',(1.,0.,0.)); #430236=DIRECTION('center_axis',(0.,0.,1.)); #430237=DIRECTION('ref_axis',(1.,0.,0.)); #430238=DIRECTION('center_axis',(0.,0.,1.)); #430239=DIRECTION('ref_axis',(1.,0.,0.)); #430240=DIRECTION('',(0.,0.,1.)); #430241=DIRECTION('center_axis',(0.,0.,-1.)); #430242=DIRECTION('ref_axis',(1.,0.,0.)); #430243=DIRECTION('center_axis',(0.,1.,0.)); #430244=DIRECTION('ref_axis',(-1.,0.,0.)); #430245=DIRECTION('',(1.,0.,0.)); #430246=DIRECTION('',(0.,0.,1.)); #430247=DIRECTION('',(-1.,0.,0.)); #430248=DIRECTION('',(0.,0.,1.)); #430249=DIRECTION('center_axis',(0.,0.,1.)); #430250=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #430251=DIRECTION('center_axis',(0.,0.,-1.)); #430252=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #430253=DIRECTION('center_axis',(0.,0.,1.)); #430254=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #430255=DIRECTION('',(0.,0.,1.)); #430256=DIRECTION('center_axis',(0.,-1.,0.)); #430257=DIRECTION('ref_axis',(1.,0.,0.)); #430258=DIRECTION('',(-1.,0.,0.)); #430259=DIRECTION('',(1.,0.,0.)); #430260=DIRECTION('',(0.,0.,1.)); #430261=DIRECTION('center_axis',(0.,0.,1.)); #430262=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #430263=DIRECTION('center_axis',(0.,0.,-1.)); #430264=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #430265=DIRECTION('center_axis',(0.,0.,1.)); #430266=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #430267=DIRECTION('center_axis',(0.,0.,1.)); #430268=DIRECTION('ref_axis',(1.,0.,0.)); #430269=DIRECTION('center_axis',(0.,0.,1.)); #430270=DIRECTION('ref_axis',(1.,0.,0.)); #430271=DIRECTION('',(0.,0.,1.)); #430272=DIRECTION('center_axis',(0.,0.,-1.)); #430273=DIRECTION('ref_axis',(1.,0.,0.)); #430274=DIRECTION('center_axis',(0.,0.,1.)); #430275=DIRECTION('ref_axis',(1.,0.,0.)); #430276=DIRECTION('center_axis',(0.,0.,1.)); #430277=DIRECTION('ref_axis',(1.,0.,0.)); #430278=DIRECTION('',(0.,0.,1.)); #430279=DIRECTION('center_axis',(0.,0.,-1.)); #430280=DIRECTION('ref_axis',(1.,0.,0.)); #430281=DIRECTION('center_axis',(0.,0.,1.)); #430282=DIRECTION('ref_axis',(1.,0.,0.)); #430283=DIRECTION('center_axis',(0.,0.,1.)); #430284=DIRECTION('ref_axis',(1.,0.,0.)); #430285=DIRECTION('',(0.,0.,1.)); #430286=DIRECTION('center_axis',(0.,0.,-1.)); #430287=DIRECTION('ref_axis',(1.,0.,0.)); #430288=DIRECTION('center_axis',(0.,0.,1.)); #430289=DIRECTION('ref_axis',(1.,0.,0.)); #430290=DIRECTION('center_axis',(0.,0.,1.)); #430291=DIRECTION('ref_axis',(1.,0.,0.)); #430292=DIRECTION('',(0.,0.,1.)); #430293=DIRECTION('center_axis',(0.,0.,-1.)); #430294=DIRECTION('ref_axis',(1.,0.,0.)); #430295=DIRECTION('center_axis',(0.,0.,1.)); #430296=DIRECTION('ref_axis',(1.,0.,0.)); #430297=DIRECTION('center_axis',(0.,0.,1.)); #430298=DIRECTION('ref_axis',(1.,0.,0.)); #430299=DIRECTION('',(0.,0.,1.)); #430300=DIRECTION('center_axis',(0.,0.,-1.)); #430301=DIRECTION('ref_axis',(1.,0.,0.)); #430302=DIRECTION('center_axis',(1.,0.,0.)); #430303=DIRECTION('ref_axis',(0.,1.,0.)); #430304=DIRECTION('',(0.,-1.,0.)); #430305=DIRECTION('',(0.,0.,1.)); #430306=DIRECTION('',(0.,1.,0.)); #430307=DIRECTION('',(0.,0.,1.)); #430308=DIRECTION('center_axis',(0.,0.,1.)); #430309=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #430310=DIRECTION('center_axis',(0.,0.,-1.)); #430311=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #430312=DIRECTION('center_axis',(0.,0.,1.)); #430313=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #430314=DIRECTION('',(0.,0.,1.)); #430315=DIRECTION('center_axis',(-1.,0.,0.)); #430316=DIRECTION('ref_axis',(0.,-1.,0.)); #430317=DIRECTION('',(0.,1.,0.)); #430318=DIRECTION('',(0.,-1.,0.)); #430319=DIRECTION('',(0.,0.,1.)); #430320=DIRECTION('center_axis',(0.,0.,1.)); #430321=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #430322=DIRECTION('center_axis',(0.,0.,-1.)); #430323=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #430324=DIRECTION('center_axis',(0.,0.,1.)); #430325=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #430326=DIRECTION('center_axis',(0.,0.,1.)); #430327=DIRECTION('ref_axis',(1.,0.,0.)); #430328=DIRECTION('center_axis',(0.,0.,1.)); #430329=DIRECTION('ref_axis',(1.,0.,0.)); #430330=DIRECTION('',(0.,0.,1.)); #430331=DIRECTION('center_axis',(0.,0.,-1.)); #430332=DIRECTION('ref_axis',(1.,0.,0.)); #430333=DIRECTION('center_axis',(1.,0.,0.)); #430334=DIRECTION('ref_axis',(0.,1.,0.)); #430335=DIRECTION('',(0.,-1.,0.)); #430336=DIRECTION('',(0.,0.,1.)); #430337=DIRECTION('',(0.,1.,0.)); #430338=DIRECTION('',(0.,0.,1.)); #430339=DIRECTION('center_axis',(0.,0.,1.)); #430340=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #430341=DIRECTION('center_axis',(0.,0.,-1.)); #430342=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #430343=DIRECTION('center_axis',(0.,0.,1.)); #430344=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #430345=DIRECTION('',(0.,0.,1.)); #430346=DIRECTION('center_axis',(-1.,0.,0.)); #430347=DIRECTION('ref_axis',(0.,-1.,0.)); #430348=DIRECTION('',(0.,1.,0.)); #430349=DIRECTION('',(0.,-1.,0.)); #430350=DIRECTION('',(0.,0.,1.)); #430351=DIRECTION('center_axis',(0.,0.,1.)); #430352=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #430353=DIRECTION('center_axis',(0.,0.,-1.)); #430354=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #430355=DIRECTION('center_axis',(0.,0.,1.)); #430356=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #430357=DIRECTION('center_axis',(1.,0.,0.)); #430358=DIRECTION('ref_axis',(0.,1.,0.)); #430359=DIRECTION('',(0.,-1.,0.)); #430360=DIRECTION('',(0.,0.,1.)); #430361=DIRECTION('',(0.,1.,0.)); #430362=DIRECTION('',(0.,0.,1.)); #430363=DIRECTION('center_axis',(0.,0.,1.)); #430364=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #430365=DIRECTION('center_axis',(0.,0.,-1.)); #430366=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #430367=DIRECTION('center_axis',(0.,0.,1.)); #430368=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #430369=DIRECTION('',(0.,0.,1.)); #430370=DIRECTION('center_axis',(-1.,0.,0.)); #430371=DIRECTION('ref_axis',(0.,-1.,0.)); #430372=DIRECTION('',(0.,1.,0.)); #430373=DIRECTION('',(0.,-1.,0.)); #430374=DIRECTION('',(0.,0.,1.)); #430375=DIRECTION('center_axis',(0.,0.,1.)); #430376=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #430377=DIRECTION('center_axis',(0.,0.,-1.)); #430378=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #430379=DIRECTION('center_axis',(0.,0.,1.)); #430380=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #430381=DIRECTION('center_axis',(0.,0.,1.)); #430382=DIRECTION('ref_axis',(1.,0.,0.)); #430383=DIRECTION('center_axis',(0.,0.,1.)); #430384=DIRECTION('ref_axis',(1.,0.,0.)); #430385=DIRECTION('',(0.,0.,1.)); #430386=DIRECTION('center_axis',(0.,0.,-1.)); #430387=DIRECTION('ref_axis',(1.,0.,0.)); #430388=DIRECTION('center_axis',(0.,0.,1.)); #430389=DIRECTION('ref_axis',(1.,0.,0.)); #430390=DIRECTION('center_axis',(0.,0.,1.)); #430391=DIRECTION('ref_axis',(1.,0.,0.)); #430392=DIRECTION('',(0.,0.,1.)); #430393=DIRECTION('center_axis',(0.,0.,-1.)); #430394=DIRECTION('ref_axis',(1.,0.,0.)); #430395=DIRECTION('center_axis',(0.,0.,1.)); #430396=DIRECTION('ref_axis',(1.,0.,0.)); #430397=DIRECTION('center_axis',(0.,0.,1.)); #430398=DIRECTION('ref_axis',(1.,0.,0.)); #430399=DIRECTION('',(0.,0.,1.)); #430400=DIRECTION('center_axis',(0.,0.,-1.)); #430401=DIRECTION('ref_axis',(1.,0.,0.)); #430402=DIRECTION('center_axis',(0.,0.,1.)); #430403=DIRECTION('ref_axis',(1.,0.,0.)); #430404=DIRECTION('center_axis',(0.,0.,1.)); #430405=DIRECTION('ref_axis',(1.,0.,0.)); #430406=DIRECTION('',(0.,0.,1.)); #430407=DIRECTION('center_axis',(0.,0.,-1.)); #430408=DIRECTION('ref_axis',(1.,0.,0.)); #430409=DIRECTION('center_axis',(0.,0.,1.)); #430410=DIRECTION('ref_axis',(1.,0.,0.)); #430411=DIRECTION('center_axis',(0.,0.,1.)); #430412=DIRECTION('ref_axis',(1.,0.,0.)); #430413=DIRECTION('',(0.,0.,1.)); #430414=DIRECTION('center_axis',(0.,0.,-1.)); #430415=DIRECTION('ref_axis',(1.,0.,0.)); #430416=DIRECTION('center_axis',(0.,0.,1.)); #430417=DIRECTION('ref_axis',(1.,0.,0.)); #430418=DIRECTION('center_axis',(0.,0.,1.)); #430419=DIRECTION('ref_axis',(1.,0.,0.)); #430420=DIRECTION('',(0.,0.,1.)); #430421=DIRECTION('center_axis',(0.,0.,-1.)); #430422=DIRECTION('ref_axis',(1.,0.,0.)); #430423=DIRECTION('center_axis',(0.,0.,1.)); #430424=DIRECTION('ref_axis',(1.,0.,0.)); #430425=DIRECTION('center_axis',(0.,0.,1.)); #430426=DIRECTION('ref_axis',(1.,0.,0.)); #430427=DIRECTION('',(0.,0.,1.)); #430428=DIRECTION('center_axis',(0.,0.,-1.)); #430429=DIRECTION('ref_axis',(1.,0.,0.)); #430430=DIRECTION('center_axis',(0.,0.,1.)); #430431=DIRECTION('ref_axis',(1.,0.,0.)); #430432=DIRECTION('center_axis',(0.,0.,1.)); #430433=DIRECTION('ref_axis',(1.,0.,0.)); #430434=DIRECTION('',(0.,0.,1.)); #430435=DIRECTION('center_axis',(0.,0.,-1.)); #430436=DIRECTION('ref_axis',(1.,0.,0.)); #430437=DIRECTION('center_axis',(0.,0.,1.)); #430438=DIRECTION('ref_axis',(1.,0.,0.)); #430439=DIRECTION('center_axis',(0.,0.,1.)); #430440=DIRECTION('ref_axis',(1.,0.,0.)); #430441=DIRECTION('',(0.,0.,1.)); #430442=DIRECTION('center_axis',(0.,0.,-1.)); #430443=DIRECTION('ref_axis',(1.,0.,0.)); #430444=DIRECTION('center_axis',(0.,0.,1.)); #430445=DIRECTION('ref_axis',(1.,0.,0.)); #430446=DIRECTION('center_axis',(0.,0.,1.)); #430447=DIRECTION('ref_axis',(1.,0.,0.)); #430448=DIRECTION('',(0.,0.,1.)); #430449=DIRECTION('center_axis',(0.,0.,-1.)); #430450=DIRECTION('ref_axis',(1.,0.,0.)); #430451=DIRECTION('center_axis',(0.,0.,1.)); #430452=DIRECTION('ref_axis',(1.,0.,0.)); #430453=DIRECTION('center_axis',(0.,0.,1.)); #430454=DIRECTION('ref_axis',(1.,0.,0.)); #430455=DIRECTION('',(0.,0.,1.)); #430456=DIRECTION('center_axis',(0.,0.,-1.)); #430457=DIRECTION('ref_axis',(1.,0.,0.)); #430458=DIRECTION('center_axis',(0.,0.,1.)); #430459=DIRECTION('ref_axis',(1.,0.,0.)); #430460=DIRECTION('center_axis',(0.,0.,1.)); #430461=DIRECTION('ref_axis',(1.,0.,0.)); #430462=DIRECTION('',(0.,0.,1.)); #430463=DIRECTION('center_axis',(0.,0.,-1.)); #430464=DIRECTION('ref_axis',(1.,0.,0.)); #430465=DIRECTION('center_axis',(0.,0.,1.)); #430466=DIRECTION('ref_axis',(1.,0.,0.)); #430467=DIRECTION('center_axis',(0.,0.,1.)); #430468=DIRECTION('ref_axis',(1.,0.,0.)); #430469=DIRECTION('',(0.,0.,1.)); #430470=DIRECTION('center_axis',(0.,0.,-1.)); #430471=DIRECTION('ref_axis',(1.,0.,0.)); #430472=DIRECTION('center_axis',(0.,0.,1.)); #430473=DIRECTION('ref_axis',(1.,0.,0.)); #430474=DIRECTION('center_axis',(0.,0.,1.)); #430475=DIRECTION('ref_axis',(1.,0.,0.)); #430476=DIRECTION('',(0.,0.,1.)); #430477=DIRECTION('center_axis',(0.,0.,-1.)); #430478=DIRECTION('ref_axis',(1.,0.,0.)); #430479=DIRECTION('center_axis',(0.,0.,1.)); #430480=DIRECTION('ref_axis',(1.,0.,0.)); #430481=DIRECTION('center_axis',(0.,0.,1.)); #430482=DIRECTION('ref_axis',(1.,0.,0.)); #430483=DIRECTION('',(0.,0.,1.)); #430484=DIRECTION('center_axis',(0.,0.,-1.)); #430485=DIRECTION('ref_axis',(1.,0.,0.)); #430486=DIRECTION('center_axis',(0.,0.,1.)); #430487=DIRECTION('ref_axis',(1.,0.,0.)); #430488=DIRECTION('center_axis',(0.,0.,1.)); #430489=DIRECTION('ref_axis',(1.,0.,0.)); #430490=DIRECTION('',(0.,0.,1.)); #430491=DIRECTION('center_axis',(0.,0.,-1.)); #430492=DIRECTION('ref_axis',(1.,0.,0.)); #430493=DIRECTION('center_axis',(0.,0.,1.)); #430494=DIRECTION('ref_axis',(1.,0.,0.)); #430495=DIRECTION('center_axis',(0.,0.,1.)); #430496=DIRECTION('ref_axis',(1.,0.,0.)); #430497=DIRECTION('',(0.,0.,1.)); #430498=DIRECTION('center_axis',(0.,0.,-1.)); #430499=DIRECTION('ref_axis',(1.,0.,0.)); #430500=DIRECTION('center_axis',(0.,0.,1.)); #430501=DIRECTION('ref_axis',(1.,0.,0.)); #430502=DIRECTION('center_axis',(0.,0.,1.)); #430503=DIRECTION('ref_axis',(1.,0.,0.)); #430504=DIRECTION('',(0.,0.,1.)); #430505=DIRECTION('center_axis',(0.,0.,-1.)); #430506=DIRECTION('ref_axis',(1.,0.,0.)); #430507=DIRECTION('center_axis',(0.,0.,1.)); #430508=DIRECTION('ref_axis',(1.,0.,0.)); #430509=DIRECTION('center_axis',(0.,0.,1.)); #430510=DIRECTION('ref_axis',(1.,0.,0.)); #430511=DIRECTION('',(0.,0.,1.)); #430512=DIRECTION('center_axis',(0.,0.,-1.)); #430513=DIRECTION('ref_axis',(1.,0.,0.)); #430514=DIRECTION('center_axis',(0.,0.,1.)); #430515=DIRECTION('ref_axis',(1.,0.,0.)); #430516=DIRECTION('center_axis',(0.,0.,1.)); #430517=DIRECTION('ref_axis',(1.,0.,0.)); #430518=DIRECTION('',(0.,0.,1.)); #430519=DIRECTION('center_axis',(0.,0.,-1.)); #430520=DIRECTION('ref_axis',(1.,0.,0.)); #430521=DIRECTION('center_axis',(0.,0.,1.)); #430522=DIRECTION('ref_axis',(1.,0.,0.)); #430523=DIRECTION('center_axis',(0.,0.,1.)); #430524=DIRECTION('ref_axis',(1.,0.,0.)); #430525=DIRECTION('',(0.,0.,1.)); #430526=DIRECTION('center_axis',(0.,0.,-1.)); #430527=DIRECTION('ref_axis',(1.,0.,0.)); #430528=DIRECTION('center_axis',(0.,0.,1.)); #430529=DIRECTION('ref_axis',(1.,0.,0.)); #430530=DIRECTION('center_axis',(0.,0.,1.)); #430531=DIRECTION('ref_axis',(1.,0.,0.)); #430532=DIRECTION('',(0.,0.,1.)); #430533=DIRECTION('center_axis',(0.,0.,-1.)); #430534=DIRECTION('ref_axis',(1.,0.,0.)); #430535=DIRECTION('center_axis',(0.,0.,1.)); #430536=DIRECTION('ref_axis',(1.,0.,0.)); #430537=DIRECTION('center_axis',(0.,0.,1.)); #430538=DIRECTION('ref_axis',(1.,0.,0.)); #430539=DIRECTION('',(0.,0.,1.)); #430540=DIRECTION('center_axis',(0.,0.,-1.)); #430541=DIRECTION('ref_axis',(1.,0.,0.)); #430542=DIRECTION('center_axis',(0.,0.,1.)); #430543=DIRECTION('ref_axis',(1.,0.,0.)); #430544=DIRECTION('center_axis',(0.,0.,1.)); #430545=DIRECTION('ref_axis',(1.,0.,0.)); #430546=DIRECTION('',(0.,0.,1.)); #430547=DIRECTION('center_axis',(0.,0.,-1.)); #430548=DIRECTION('ref_axis',(1.,0.,0.)); #430549=DIRECTION('center_axis',(0.,0.,1.)); #430550=DIRECTION('ref_axis',(1.,0.,0.)); #430551=DIRECTION('center_axis',(0.,0.,1.)); #430552=DIRECTION('ref_axis',(1.,0.,0.)); #430553=DIRECTION('',(0.,0.,1.)); #430554=DIRECTION('center_axis',(0.,0.,-1.)); #430555=DIRECTION('ref_axis',(1.,0.,0.)); #430556=DIRECTION('center_axis',(0.,0.,1.)); #430557=DIRECTION('ref_axis',(1.,0.,0.)); #430558=DIRECTION('center_axis',(0.,0.,1.)); #430559=DIRECTION('ref_axis',(1.,0.,0.)); #430560=DIRECTION('',(0.,0.,1.)); #430561=DIRECTION('center_axis',(0.,0.,-1.)); #430562=DIRECTION('ref_axis',(1.,0.,0.)); #430563=DIRECTION('center_axis',(0.,0.,1.)); #430564=DIRECTION('ref_axis',(1.,0.,0.)); #430565=DIRECTION('center_axis',(0.,0.,1.)); #430566=DIRECTION('ref_axis',(1.,0.,0.)); #430567=DIRECTION('',(0.,0.,1.)); #430568=DIRECTION('center_axis',(0.,0.,-1.)); #430569=DIRECTION('ref_axis',(1.,0.,0.)); #430570=DIRECTION('center_axis',(0.,0.,1.)); #430571=DIRECTION('ref_axis',(1.,0.,0.)); #430572=DIRECTION('center_axis',(0.,0.,1.)); #430573=DIRECTION('ref_axis',(1.,0.,0.)); #430574=DIRECTION('',(0.,0.,1.)); #430575=DIRECTION('center_axis',(0.,0.,-1.)); #430576=DIRECTION('ref_axis',(1.,0.,0.)); #430577=DIRECTION('center_axis',(0.,0.,1.)); #430578=DIRECTION('ref_axis',(1.,0.,0.)); #430579=DIRECTION('center_axis',(0.,0.,1.)); #430580=DIRECTION('ref_axis',(1.,0.,0.)); #430581=DIRECTION('',(0.,0.,1.)); #430582=DIRECTION('center_axis',(0.,0.,-1.)); #430583=DIRECTION('ref_axis',(1.,0.,0.)); #430584=DIRECTION('center_axis',(0.,0.,1.)); #430585=DIRECTION('ref_axis',(1.,0.,0.)); #430586=DIRECTION('center_axis',(0.,0.,1.)); #430587=DIRECTION('ref_axis',(1.,0.,0.)); #430588=DIRECTION('',(0.,0.,1.)); #430589=DIRECTION('center_axis',(0.,0.,-1.)); #430590=DIRECTION('ref_axis',(1.,0.,0.)); #430591=DIRECTION('center_axis',(0.,0.,1.)); #430592=DIRECTION('ref_axis',(1.,0.,0.)); #430593=DIRECTION('center_axis',(0.,0.,1.)); #430594=DIRECTION('ref_axis',(1.,0.,0.)); #430595=DIRECTION('',(0.,0.,1.)); #430596=DIRECTION('center_axis',(0.,0.,-1.)); #430597=DIRECTION('ref_axis',(1.,0.,0.)); #430598=DIRECTION('center_axis',(0.,0.,1.)); #430599=DIRECTION('ref_axis',(1.,0.,0.)); #430600=DIRECTION('center_axis',(0.,0.,1.)); #430601=DIRECTION('ref_axis',(1.,0.,0.)); #430602=DIRECTION('',(0.,0.,1.)); #430603=DIRECTION('center_axis',(0.,0.,-1.)); #430604=DIRECTION('ref_axis',(1.,0.,0.)); #430605=DIRECTION('center_axis',(0.,0.,1.)); #430606=DIRECTION('ref_axis',(1.,0.,0.)); #430607=DIRECTION('center_axis',(0.,0.,1.)); #430608=DIRECTION('ref_axis',(1.,0.,0.)); #430609=DIRECTION('',(0.,0.,1.)); #430610=DIRECTION('center_axis',(0.,0.,-1.)); #430611=DIRECTION('ref_axis',(1.,0.,0.)); #430612=DIRECTION('center_axis',(0.,0.,1.)); #430613=DIRECTION('ref_axis',(1.,0.,0.)); #430614=DIRECTION('center_axis',(0.,0.,1.)); #430615=DIRECTION('ref_axis',(1.,0.,0.)); #430616=DIRECTION('',(0.,0.,1.)); #430617=DIRECTION('center_axis',(0.,0.,-1.)); #430618=DIRECTION('ref_axis',(1.,0.,0.)); #430619=DIRECTION('center_axis',(0.,0.,1.)); #430620=DIRECTION('ref_axis',(1.,0.,0.)); #430621=DIRECTION('center_axis',(0.,0.,1.)); #430622=DIRECTION('ref_axis',(1.,0.,0.)); #430623=DIRECTION('',(0.,0.,1.)); #430624=DIRECTION('center_axis',(0.,0.,-1.)); #430625=DIRECTION('ref_axis',(1.,0.,0.)); #430626=DIRECTION('center_axis',(0.,0.,1.)); #430627=DIRECTION('ref_axis',(1.,0.,0.)); #430628=DIRECTION('center_axis',(0.,0.,1.)); #430629=DIRECTION('ref_axis',(1.,0.,0.)); #430630=DIRECTION('',(0.,0.,1.)); #430631=DIRECTION('center_axis',(0.,0.,-1.)); #430632=DIRECTION('ref_axis',(1.,0.,0.)); #430633=DIRECTION('center_axis',(0.,0.,1.)); #430634=DIRECTION('ref_axis',(1.,0.,0.)); #430635=DIRECTION('center_axis',(0.,0.,1.)); #430636=DIRECTION('ref_axis',(1.,0.,0.)); #430637=DIRECTION('',(0.,0.,1.)); #430638=DIRECTION('center_axis',(0.,0.,-1.)); #430639=DIRECTION('ref_axis',(1.,0.,0.)); #430640=DIRECTION('center_axis',(0.,0.,1.)); #430641=DIRECTION('ref_axis',(1.,0.,0.)); #430642=DIRECTION('center_axis',(0.,0.,1.)); #430643=DIRECTION('ref_axis',(1.,0.,0.)); #430644=DIRECTION('',(0.,0.,1.)); #430645=DIRECTION('center_axis',(0.,0.,-1.)); #430646=DIRECTION('ref_axis',(1.,0.,0.)); #430647=DIRECTION('center_axis',(0.,0.,1.)); #430648=DIRECTION('ref_axis',(1.,0.,0.)); #430649=DIRECTION('center_axis',(0.,0.,1.)); #430650=DIRECTION('ref_axis',(1.,0.,0.)); #430651=DIRECTION('',(0.,0.,1.)); #430652=DIRECTION('center_axis',(0.,0.,-1.)); #430653=DIRECTION('ref_axis',(1.,0.,0.)); #430654=DIRECTION('center_axis',(0.,0.,1.)); #430655=DIRECTION('ref_axis',(1.,0.,0.)); #430656=DIRECTION('center_axis',(0.,0.,1.)); #430657=DIRECTION('ref_axis',(1.,0.,0.)); #430658=DIRECTION('',(0.,0.,1.)); #430659=DIRECTION('center_axis',(0.,0.,-1.)); #430660=DIRECTION('ref_axis',(1.,0.,0.)); #430661=DIRECTION('center_axis',(0.,0.,1.)); #430662=DIRECTION('ref_axis',(1.,0.,0.)); #430663=DIRECTION('center_axis',(0.,0.,1.)); #430664=DIRECTION('ref_axis',(1.,0.,0.)); #430665=DIRECTION('',(0.,0.,1.)); #430666=DIRECTION('center_axis',(0.,0.,-1.)); #430667=DIRECTION('ref_axis',(1.,0.,0.)); #430668=DIRECTION('center_axis',(0.,0.,1.)); #430669=DIRECTION('ref_axis',(1.,0.,0.)); #430670=DIRECTION('center_axis',(0.,0.,1.)); #430671=DIRECTION('ref_axis',(1.,0.,0.)); #430672=DIRECTION('',(0.,0.,1.)); #430673=DIRECTION('center_axis',(0.,0.,-1.)); #430674=DIRECTION('ref_axis',(1.,0.,0.)); #430675=DIRECTION('center_axis',(0.,0.,1.)); #430676=DIRECTION('ref_axis',(1.,0.,0.)); #430677=DIRECTION('center_axis',(0.,0.,1.)); #430678=DIRECTION('ref_axis',(1.,0.,0.)); #430679=DIRECTION('',(0.,0.,1.)); #430680=DIRECTION('center_axis',(0.,0.,-1.)); #430681=DIRECTION('ref_axis',(1.,0.,0.)); #430682=DIRECTION('center_axis',(0.,0.,1.)); #430683=DIRECTION('ref_axis',(1.,0.,0.)); #430684=DIRECTION('center_axis',(0.,0.,1.)); #430685=DIRECTION('ref_axis',(1.,0.,0.)); #430686=DIRECTION('',(0.,0.,1.)); #430687=DIRECTION('center_axis',(0.,0.,-1.)); #430688=DIRECTION('ref_axis',(1.,0.,0.)); #430689=DIRECTION('center_axis',(0.,0.,1.)); #430690=DIRECTION('ref_axis',(1.,0.,0.)); #430691=DIRECTION('center_axis',(0.,0.,1.)); #430692=DIRECTION('ref_axis',(1.,0.,0.)); #430693=DIRECTION('',(0.,0.,1.)); #430694=DIRECTION('center_axis',(0.,0.,-1.)); #430695=DIRECTION('ref_axis',(1.,0.,0.)); #430696=DIRECTION('center_axis',(0.,0.,1.)); #430697=DIRECTION('ref_axis',(1.,0.,0.)); #430698=DIRECTION('center_axis',(0.,0.,1.)); #430699=DIRECTION('ref_axis',(1.,0.,0.)); #430700=DIRECTION('',(0.,0.,1.)); #430701=DIRECTION('center_axis',(0.,0.,-1.)); #430702=DIRECTION('ref_axis',(1.,0.,0.)); #430703=DIRECTION('center_axis',(0.,0.,1.)); #430704=DIRECTION('ref_axis',(1.,0.,0.)); #430705=DIRECTION('center_axis',(0.,0.,1.)); #430706=DIRECTION('ref_axis',(1.,0.,0.)); #430707=DIRECTION('',(0.,0.,1.)); #430708=DIRECTION('center_axis',(0.,0.,-1.)); #430709=DIRECTION('ref_axis',(1.,0.,0.)); #430710=DIRECTION('center_axis',(0.,0.,1.)); #430711=DIRECTION('ref_axis',(1.,0.,0.)); #430712=DIRECTION('center_axis',(0.,0.,1.)); #430713=DIRECTION('ref_axis',(1.,0.,0.)); #430714=DIRECTION('',(0.,0.,1.)); #430715=DIRECTION('center_axis',(0.,0.,-1.)); #430716=DIRECTION('ref_axis',(1.,0.,0.)); #430717=DIRECTION('center_axis',(0.,0.,1.)); #430718=DIRECTION('ref_axis',(1.,0.,0.)); #430719=DIRECTION('center_axis',(0.,0.,1.)); #430720=DIRECTION('ref_axis',(1.,0.,0.)); #430721=DIRECTION('',(0.,0.,1.)); #430722=DIRECTION('center_axis',(0.,0.,-1.)); #430723=DIRECTION('ref_axis',(1.,0.,0.)); #430724=DIRECTION('center_axis',(0.,0.,1.)); #430725=DIRECTION('ref_axis',(1.,0.,0.)); #430726=DIRECTION('center_axis',(0.,0.,1.)); #430727=DIRECTION('ref_axis',(1.,0.,0.)); #430728=DIRECTION('',(0.,0.,1.)); #430729=DIRECTION('center_axis',(0.,0.,-1.)); #430730=DIRECTION('ref_axis',(1.,0.,0.)); #430731=DIRECTION('center_axis',(0.,0.,1.)); #430732=DIRECTION('ref_axis',(1.,0.,0.)); #430733=DIRECTION('center_axis',(0.,0.,1.)); #430734=DIRECTION('ref_axis',(1.,0.,0.)); #430735=DIRECTION('',(0.,0.,1.)); #430736=DIRECTION('center_axis',(0.,0.,-1.)); #430737=DIRECTION('ref_axis',(1.,0.,0.)); #430738=DIRECTION('center_axis',(0.,0.,1.)); #430739=DIRECTION('ref_axis',(1.,0.,0.)); #430740=DIRECTION('center_axis',(0.,0.,1.)); #430741=DIRECTION('ref_axis',(1.,0.,0.)); #430742=DIRECTION('',(0.,0.,1.)); #430743=DIRECTION('center_axis',(0.,0.,-1.)); #430744=DIRECTION('ref_axis',(1.,0.,0.)); #430745=DIRECTION('center_axis',(1.,0.,0.)); #430746=DIRECTION('ref_axis',(0.,1.,0.)); #430747=DIRECTION('',(0.,1.,0.)); #430748=DIRECTION('',(0.,0.,1.)); #430749=DIRECTION('',(0.,1.,0.)); #430750=DIRECTION('',(0.,0.,1.)); #430751=DIRECTION('center_axis',(0.,-1.,0.)); #430752=DIRECTION('ref_axis',(1.,0.,0.)); #430753=DIRECTION('',(1.,0.,0.)); #430754=DIRECTION('',(1.,0.,0.)); #430755=DIRECTION('',(0.,0.,1.)); #430756=DIRECTION('center_axis',(0.707105741061306,-0.707107821310259,0.)); #430757=DIRECTION('ref_axis',(0.707107821310259,0.707105741061306,0.)); #430758=DIRECTION('',(0.707107821310259,0.707105741061306,0.)); #430759=DIRECTION('',(0.707107821310259,0.707105741061306,0.)); #430760=DIRECTION('',(0.,0.,1.)); #430761=DIRECTION('center_axis',(0.923879579359894,-0.382683319262528,0.)); #430762=DIRECTION('ref_axis',(0.382683319262528,0.923879579359894,0.)); #430763=DIRECTION('',(0.382683319262528,0.923879579359894,0.)); #430764=DIRECTION('',(0.382683319262528,0.923879579359894,0.)); #430765=DIRECTION('',(0.,0.,1.)); #430766=DIRECTION('center_axis',(1.,0.,0.)); #430767=DIRECTION('ref_axis',(0.,1.,0.)); #430768=DIRECTION('',(0.,1.,0.)); #430769=DIRECTION('',(0.,1.,0.)); #430770=DIRECTION('',(0.,0.,1.)); #430771=DIRECTION('center_axis',(0.923879579359894,0.382683319262528,0.)); #430772=DIRECTION('ref_axis',(-0.382683319262528,0.923879579359894,0.)); #430773=DIRECTION('',(-0.382683319262528,0.923879579359894,0.)); #430774=DIRECTION('',(-0.382683319262528,0.923879579359894,0.)); #430775=DIRECTION('',(0.,0.,1.)); #430776=DIRECTION('center_axis',(0.707105976813804,0.707107585558376,0.)); #430777=DIRECTION('ref_axis',(-0.707107585558376,0.707105976813804,0.)); #430778=DIRECTION('',(-0.707107585558376,0.707105976813804,0.)); #430779=DIRECTION('',(-0.707107585558376,0.707105976813804,0.)); #430780=DIRECTION('',(0.,0.,1.)); #430781=DIRECTION('center_axis',(0.382684030240894,0.923879284862793,0.)); #430782=DIRECTION('ref_axis',(-0.923879284862793,0.382684030240894,0.)); #430783=DIRECTION('',(-0.923879284862793,0.382684030240894,0.)); #430784=DIRECTION('',(-0.923879284862793,0.382684030240894,0.)); #430785=DIRECTION('',(0.,0.,1.)); #430786=DIRECTION('center_axis',(0.,1.,0.)); #430787=DIRECTION('ref_axis',(-1.,0.,0.)); #430788=DIRECTION('',(-1.,0.,0.)); #430789=DIRECTION('',(-1.,0.,0.)); #430790=DIRECTION('',(0.,0.,1.)); #430791=DIRECTION('center_axis',(-0.382676531743422,0.923882390812178,0.)); #430792=DIRECTION('ref_axis',(-0.923882390812178,-0.382676531743422,0.)); #430793=DIRECTION('',(-0.923882390812178,-0.382676531743422,0.)); #430794=DIRECTION('',(-0.923882390812178,-0.382676531743422,0.)); #430795=DIRECTION('',(0.,0.,1.)); #430796=DIRECTION('center_axis',(-0.202910802099752,0.97919722548179,0.)); #430797=DIRECTION('ref_axis',(-0.97919722548179,-0.202910802099752,0.)); #430798=DIRECTION('',(-0.97919722548179,-0.202910802099752,0.)); #430799=DIRECTION('',(-0.97919722548179,-0.202910802099752,0.)); #430800=DIRECTION('',(0.,0.,1.)); #430801=DIRECTION('center_axis',(0.183315699120884,0.983054095386323,0.)); #430802=DIRECTION('ref_axis',(-0.983054095386323,0.183315699120884,0.)); #430803=DIRECTION('',(-0.983054095386323,0.183315699120884,0.)); #430804=DIRECTION('',(-0.983054095386323,0.183315699120884,0.)); #430805=DIRECTION('',(0.,0.,1.)); #430806=DIRECTION('center_axis',(0.552278225391868,0.833659859749772,0.)); #430807=DIRECTION('ref_axis',(-0.833659859749772,0.552278225391868,0.)); #430808=DIRECTION('',(-0.833659859749772,0.552278225391868,0.)); #430809=DIRECTION('',(-0.833659859749772,0.552278225391868,0.)); #430810=DIRECTION('',(0.,0.,1.)); #430811=DIRECTION('center_axis',(0.707106625394695,0.707106936978365,0.)); #430812=DIRECTION('ref_axis',(-0.707106936978365,0.707106625394695,0.)); #430813=DIRECTION('',(-0.707106936978365,0.707106625394695,0.)); #430814=DIRECTION('',(-0.707106936978365,0.707106625394695,0.)); #430815=DIRECTION('',(0.,0.,1.)); #430816=DIRECTION('center_axis',(0.628199078069939,0.778052644948964,0.)); #430817=DIRECTION('ref_axis',(-0.778052644948964,0.628199078069939,0.)); #430818=DIRECTION('',(-0.778052644948964,0.628199078069939,0.)); #430819=DIRECTION('',(-0.778052644948964,0.628199078069939,0.)); #430820=DIRECTION('',(0.,0.,1.)); #430821=DIRECTION('center_axis',(0.450178111979881,0.892938781493015,0.)); #430822=DIRECTION('ref_axis',(-0.892938781493015,0.450178111979881,0.)); #430823=DIRECTION('',(-0.892938781493015,0.450178111979881,0.)); #430824=DIRECTION('',(-0.892938781493015,0.450178111979881,0.)); #430825=DIRECTION('',(0.,0.,1.)); #430826=DIRECTION('center_axis',(0.252269987846769,0.967656888174621,0.)); #430827=DIRECTION('ref_axis',(-0.967656888174621,0.252269987846769,0.)); #430828=DIRECTION('',(-0.967656888174621,0.252269987846769,0.)); #430829=DIRECTION('',(-0.967656888174621,0.252269987846769,0.)); #430830=DIRECTION('',(0.,0.,1.)); #430831=DIRECTION('center_axis',(0.0742468828476173,0.997239891093117,0.)); #430832=DIRECTION('ref_axis',(-0.997239891093117,0.0742468828476173,0.)); #430833=DIRECTION('',(-0.997239891093117,0.0742468828476173,0.)); #430834=DIRECTION('',(-0.997239891093117,0.0742468828476173,0.)); #430835=DIRECTION('',(0.,0.,1.)); #430836=DIRECTION('center_axis',(0.,1.,0.)); #430837=DIRECTION('ref_axis',(-1.,0.,0.)); #430838=DIRECTION('',(-1.,0.,0.)); #430839=DIRECTION('',(-1.,0.,0.)); #430840=DIRECTION('',(0.,0.,1.)); #430841=DIRECTION('center_axis',(-0.105885061288526,0.994378375567332,0.)); #430842=DIRECTION('ref_axis',(-0.994378375567332,-0.105885061288526,0.)); #430843=DIRECTION('',(-0.994378375567332,-0.105885061288526,0.)); #430844=DIRECTION('',(-0.994378375567332,-0.105885061288526,0.)); #430845=DIRECTION('',(0.,0.,1.)); #430846=DIRECTION('center_axis',(-0.312934735821318,0.949774631750313,0.)); #430847=DIRECTION('ref_axis',(-0.949774631750313,-0.312934735821318,0.)); #430848=DIRECTION('',(-0.949774631750313,-0.312934735821318,0.)); #430849=DIRECTION('',(-0.949774631750313,-0.312934735821318,0.)); #430850=DIRECTION('',(0.,0.,1.)); #430851=DIRECTION('center_axis',(-0.506098478960508,0.862475697972911,0.)); #430852=DIRECTION('ref_axis',(-0.862475697972911,-0.506098478960508,0.)); #430853=DIRECTION('',(-0.862475697972911,-0.506098478960508,0.)); #430854=DIRECTION('',(-0.862475697972911,-0.506098478960508,0.)); #430855=DIRECTION('',(0.,0.,1.)); #430856=DIRECTION('center_axis',(-0.652519128376063,0.757772252793241,0.)); #430857=DIRECTION('ref_axis',(-0.757772252793241,-0.652519128376063,0.)); #430858=DIRECTION('',(-0.757772252793241,-0.652519128376063,0.)); #430859=DIRECTION('',(-0.757772252793241,-0.652519128376063,0.)); #430860=DIRECTION('',(0.,0.,1.)); #430861=DIRECTION('center_axis',(-0.707107040518299,0.707106521854701,0.)); #430862=DIRECTION('ref_axis',(-0.707106521854701,-0.707107040518299,0.)); #430863=DIRECTION('',(-0.707106521854701,-0.707107040518299,0.)); #430864=DIRECTION('',(-0.707106521854701,-0.707107040518299,0.)); #430865=DIRECTION('',(0.,0.,1.)); #430866=DIRECTION('center_axis',(-0.562160501783157,0.827028155648228,0.)); #430867=DIRECTION('ref_axis',(-0.827028155648228,-0.562160501783157,0.)); #430868=DIRECTION('',(-0.827028155648228,-0.562160501783157,0.)); #430869=DIRECTION('',(-0.827028155648228,-0.562160501783157,0.)); #430870=DIRECTION('',(0.,0.,1.)); #430871=DIRECTION('center_axis',(-0.206824927726423,0.97837796851266,0.)); #430872=DIRECTION('ref_axis',(-0.97837796851266,-0.206824927726423,0.)); #430873=DIRECTION('',(-0.97837796851266,-0.206824927726423,0.)); #430874=DIRECTION('',(-0.97837796851266,-0.206824927726423,0.)); #430875=DIRECTION('',(0.,0.,1.)); #430876=DIRECTION('center_axis',(0.191139179453654,0.981562944531722,0.)); #430877=DIRECTION('ref_axis',(-0.981562944531722,0.191139179453654,0.)); #430878=DIRECTION('',(-0.981562944531722,0.191139179453654,0.)); #430879=DIRECTION('',(-0.981562944531722,0.191139179453654,0.)); #430880=DIRECTION('',(0.,0.,1.)); #430881=DIRECTION('center_axis',(0.382676531743422,0.923882390812178,0.)); #430882=DIRECTION('ref_axis',(-0.923882390812178,0.382676531743422,0.)); #430883=DIRECTION('',(-0.923882390812178,0.382676531743422,0.)); #430884=DIRECTION('',(-0.923882390812178,0.382676531743422,0.)); #430885=DIRECTION('',(0.,0.,1.)); #430886=DIRECTION('center_axis',(0.,1.,0.)); #430887=DIRECTION('ref_axis',(-1.,0.,0.)); #430888=DIRECTION('',(-1.,0.,0.)); #430889=DIRECTION('',(-1.,0.,0.)); #430890=DIRECTION('',(0.,0.,1.)); #430891=DIRECTION('center_axis',(-0.382684030240894,0.923879284862793,0.)); #430892=DIRECTION('ref_axis',(-0.923879284862793,-0.382684030240894,0.)); #430893=DIRECTION('',(-0.923879284862793,-0.382684030240894,0.)); #430894=DIRECTION('',(-0.923879284862793,-0.382684030240894,0.)); #430895=DIRECTION('',(0.,0.,1.)); #430896=DIRECTION('center_axis',(-0.707105976813813,0.707107585558367,0.)); #430897=DIRECTION('ref_axis',(-0.707107585558367,-0.707105976813813,0.)); #430898=DIRECTION('',(-0.707107585558367,-0.707105976813813,0.)); #430899=DIRECTION('',(-0.707107585558367,-0.707105976813813,0.)); #430900=DIRECTION('',(0.,0.,1.)); #430901=DIRECTION('center_axis',(-0.923879579359888,0.382683319262543,0.)); #430902=DIRECTION('ref_axis',(-0.382683319262543,-0.923879579359888,0.)); #430903=DIRECTION('',(-0.382683319262543,-0.923879579359888,0.)); #430904=DIRECTION('',(-0.382683319262543,-0.923879579359888,0.)); #430905=DIRECTION('',(0.,0.,1.)); #430906=DIRECTION('center_axis',(-1.,0.,0.)); #430907=DIRECTION('ref_axis',(0.,-1.,0.)); #430908=DIRECTION('',(0.,-1.,0.)); #430909=DIRECTION('',(0.,-1.,0.)); #430910=DIRECTION('',(0.,0.,1.)); #430911=DIRECTION('center_axis',(-0.923879579359888,-0.382683319262543, 0.)); #430912=DIRECTION('ref_axis',(0.382683319262543,-0.923879579359888,0.)); #430913=DIRECTION('',(0.382683319262543,-0.923879579359888,0.)); #430914=DIRECTION('',(0.382683319262543,-0.923879579359888,0.)); #430915=DIRECTION('',(0.,0.,1.)); #430916=DIRECTION('center_axis',(-0.707105741061318,-0.707107821310248, 0.)); #430917=DIRECTION('ref_axis',(0.707107821310248,-0.707105741061318,0.)); #430918=DIRECTION('',(0.707107821310248,-0.707105741061318,0.)); #430919=DIRECTION('',(0.707107821310248,-0.707105741061318,0.)); #430920=DIRECTION('',(0.,0.,1.)); #430921=DIRECTION('center_axis',(0.,-1.,0.)); #430922=DIRECTION('ref_axis',(1.,0.,0.)); #430923=DIRECTION('',(1.,0.,0.)); #430924=DIRECTION('',(1.,0.,0.)); #430925=DIRECTION('',(0.,0.,1.)); #430926=DIRECTION('center_axis',(-1.,0.,0.)); #430927=DIRECTION('ref_axis',(0.,-1.,0.)); #430928=DIRECTION('',(0.,-1.,0.)); #430929=DIRECTION('',(0.,-1.,0.)); #430930=DIRECTION('',(0.,0.,1.)); #430931=DIRECTION('center_axis',(-0.538917034664692,-0.842358848560526, 0.)); #430932=DIRECTION('ref_axis',(0.842358848560526,-0.538917034664692,0.)); #430933=DIRECTION('',(0.842358848560526,-0.538917034664692,0.)); #430934=DIRECTION('',(0.842358848560526,-0.538917034664692,0.)); #430935=DIRECTION('',(0.,0.,1.)); #430936=DIRECTION('center_axis',(-0.824750821382048,-0.565496315310398, 0.)); #430937=DIRECTION('ref_axis',(0.565496315310398,-0.824750821382048,0.)); #430938=DIRECTION('',(0.565496315310398,-0.824750821382048,0.)); #430939=DIRECTION('',(0.565496315310398,-0.824750821382048,0.)); #430940=DIRECTION('',(0.,0.,1.)); #430941=DIRECTION('center_axis',(-0.980008289044031,-0.19895666212769,0.)); #430942=DIRECTION('ref_axis',(0.19895666212769,-0.980008289044031,0.)); #430943=DIRECTION('',(0.19895666212769,-0.980008289044031,0.)); #430944=DIRECTION('',(0.19895666212769,-0.980008289044031,0.)); #430945=DIRECTION('',(0.,0.,1.)); #430946=DIRECTION('center_axis',(-1.,0.,0.)); #430947=DIRECTION('ref_axis',(0.,-1.,0.)); #430948=DIRECTION('',(0.,-1.,0.)); #430949=DIRECTION('',(0.,-1.,0.)); #430950=DIRECTION('',(0.,0.,1.)); #430951=DIRECTION('center_axis',(-0.983055795826661,0.183306580055407,0.)); #430952=DIRECTION('ref_axis',(-0.183306580055407,-0.983055795826661,0.)); #430953=DIRECTION('',(-0.183306580055407,-0.983055795826661,0.)); #430954=DIRECTION('',(-0.183306580055407,-0.983055795826661,0.)); #430955=DIRECTION('',(0.,0.,1.)); #430956=DIRECTION('center_axis',(-0.842360495470155,0.538914460439944,0.)); #430957=DIRECTION('ref_axis',(-0.538914460439944,-0.842360495470155,0.)); #430958=DIRECTION('',(-0.538914460439943,-0.842360495470155,0.)); #430959=DIRECTION('',(-0.538914460439943,-0.842360495470155,0.)); #430960=DIRECTION('',(0.,0.,1.)); #430961=DIRECTION('center_axis',(-0.565504004715099,0.824745549033873,0.)); #430962=DIRECTION('ref_axis',(-0.824745549033873,-0.565504004715099,0.)); #430963=DIRECTION('',(-0.824745549033873,-0.565504004715099,0.)); #430964=DIRECTION('',(-0.824745549033873,-0.565504004715099,0.)); #430965=DIRECTION('',(0.,0.,1.)); #430966=DIRECTION('center_axis',(-0.198968686113119,0.980005847914398,0.)); #430967=DIRECTION('ref_axis',(-0.980005847914398,-0.198968686113119,0.)); #430968=DIRECTION('',(-0.980005847914398,-0.198968686113119,0.)); #430969=DIRECTION('',(-0.980005847914398,-0.198968686113119,0.)); #430970=DIRECTION('',(0.,0.,1.)); #430971=DIRECTION('center_axis',(0.,1.,0.)); #430972=DIRECTION('ref_axis',(-1.,0.,0.)); #430973=DIRECTION('',(-1.,0.,0.)); #430974=DIRECTION('',(-1.,0.,0.)); #430975=DIRECTION('',(0.,0.,1.)); #430976=DIRECTION('center_axis',(-0.105885061288508,0.994378375567334,0.)); #430977=DIRECTION('ref_axis',(-0.994378375567334,-0.105885061288508,0.)); #430978=DIRECTION('',(-0.994378375567334,-0.105885061288508,0.)); #430979=DIRECTION('',(-0.994378375567334,-0.105885061288508,0.)); #430980=DIRECTION('',(0.,0.,1.)); #430981=DIRECTION('center_axis',(-0.31293473582138,0.949774631750292,0.)); #430982=DIRECTION('ref_axis',(-0.949774631750292,-0.31293473582138,0.)); #430983=DIRECTION('',(-0.949774631750292,-0.31293473582138,0.)); #430984=DIRECTION('',(-0.949774631750292,-0.31293473582138,0.)); #430985=DIRECTION('',(0.,0.,1.)); #430986=DIRECTION('center_axis',(-0.506098478960527,0.8624756979729,0.)); #430987=DIRECTION('ref_axis',(-0.8624756979729,-0.506098478960527,0.)); #430988=DIRECTION('',(-0.8624756979729,-0.506098478960527,0.)); #430989=DIRECTION('',(-0.8624756979729,-0.506098478960527,0.)); #430990=DIRECTION('',(0.,0.,1.)); #430991=DIRECTION('center_axis',(-0.652519128376063,0.757772252793241,0.)); #430992=DIRECTION('ref_axis',(-0.757772252793241,-0.652519128376063,0.)); #430993=DIRECTION('',(-0.757772252793241,-0.652519128376063,0.)); #430994=DIRECTION('',(-0.757772252793241,-0.652519128376063,0.)); #430995=DIRECTION('',(0.,0.,1.)); #430996=DIRECTION('center_axis',(-0.707107138230129,0.707106424142786,0.)); #430997=DIRECTION('ref_axis',(-0.707106424142786,-0.707107138230129,0.)); #430998=DIRECTION('',(-0.707106424142786,-0.707107138230129,0.)); #430999=DIRECTION('',(-0.707106424142786,-0.707107138230129,0.)); #431000=DIRECTION('',(0.,0.,1.)); #431001=DIRECTION('center_axis',(-0.778059644424422,0.628190408807825,0.)); #431002=DIRECTION('ref_axis',(-0.628190408807825,-0.778059644424422,0.)); #431003=DIRECTION('',(-0.628190408807825,-0.778059644424422,0.)); #431004=DIRECTION('',(-0.628190408807825,-0.778059644424422,0.)); #431005=DIRECTION('',(0.,0.,1.)); #431006=DIRECTION('center_axis',(-0.892934999709465,0.450185613157348,0.)); #431007=DIRECTION('ref_axis',(-0.450185613157348,-0.892934999709465,0.)); #431008=DIRECTION('',(-0.450185613157348,-0.892934999709465,0.)); #431009=DIRECTION('',(-0.450185613157348,-0.892934999709465,0.)); #431010=DIRECTION('',(0.,0.,1.)); #431011=DIRECTION('center_axis',(-0.967663683018303,0.252243922756234,0.)); #431012=DIRECTION('ref_axis',(-0.252243922756234,-0.967663683018303,0.)); #431013=DIRECTION('',(-0.252243922756234,-0.967663683018303,0.)); #431014=DIRECTION('',(-0.252243922756234,-0.967663683018303,0.)); #431015=DIRECTION('',(0.,0.,1.)); #431016=DIRECTION('center_axis',(-0.997237953249289,0.0742729062254092, 0.)); #431017=DIRECTION('ref_axis',(-0.0742729062254092,-0.997237953249289,0.)); #431018=DIRECTION('',(-0.0742729062254092,-0.997237953249289,0.)); #431019=DIRECTION('',(-0.0742729062254092,-0.997237953249289,0.)); #431020=DIRECTION('',(0.,0.,1.)); #431021=DIRECTION('center_axis',(-1.,0.,0.)); #431022=DIRECTION('ref_axis',(0.,-1.,0.)); #431023=DIRECTION('',(0.,-1.,0.)); #431024=DIRECTION('',(0.,-1.,0.)); #431025=DIRECTION('',(0.,0.,1.)); #431026=DIRECTION('center_axis',(-0.994378331598729,-0.105885474201746, 0.)); #431027=DIRECTION('ref_axis',(0.105885474201746,-0.994378331598729,0.)); #431028=DIRECTION('',(0.105885474201746,-0.994378331598729,0.)); #431029=DIRECTION('',(0.105885474201746,-0.994378331598729,0.)); #431030=DIRECTION('',(0.,0.,1.)); #431031=DIRECTION('center_axis',(-0.949778792384408,-0.312922107778943, 0.)); #431032=DIRECTION('ref_axis',(0.312922107778943,-0.949778792384408,0.)); #431033=DIRECTION('',(0.312922107778943,-0.949778792384408,0.)); #431034=DIRECTION('',(0.312922107778943,-0.949778792384408,0.)); #431035=DIRECTION('',(0.,0.,1.)); #431036=DIRECTION('center_axis',(-0.862466061684739,-0.50611490043469,0.)); #431037=DIRECTION('ref_axis',(0.50611490043469,-0.862466061684739,0.)); #431038=DIRECTION('',(0.50611490043469,-0.862466061684739,0.)); #431039=DIRECTION('',(0.50611490043469,-0.862466061684739,0.)); #431040=DIRECTION('',(0.,0.,1.)); #431041=DIRECTION('center_axis',(-0.757781125399723,-0.652508824452152, 0.)); #431042=DIRECTION('ref_axis',(0.652508824452152,-0.757781125399723,0.)); #431043=DIRECTION('',(0.652508824452152,-0.757781125399723,0.)); #431044=DIRECTION('',(0.652508824452152,-0.757781125399723,0.)); #431045=DIRECTION('',(0.,0.,1.)); #431046=DIRECTION('center_axis',(-0.707106067099204,-0.70710749527317,0.)); #431047=DIRECTION('ref_axis',(0.70710749527317,-0.707106067099204,0.)); #431048=DIRECTION('',(0.70710749527317,-0.707106067099204,0.)); #431049=DIRECTION('',(0.70710749527317,-0.707106067099204,0.)); #431050=DIRECTION('',(0.,0.,1.)); #431051=DIRECTION('center_axis',(-0.628203826084784,-0.778048811381676, 0.)); #431052=DIRECTION('ref_axis',(0.778048811381676,-0.628203826084784,0.)); #431053=DIRECTION('',(0.778048811381676,-0.628203826084784,0.)); #431054=DIRECTION('',(0.778048811381676,-0.628203826084784,0.)); #431055=DIRECTION('',(0.,0.,1.)); #431056=DIRECTION('center_axis',(-0.450178111980026,-0.892938781492941, 0.)); #431057=DIRECTION('ref_axis',(0.892938781492941,-0.450178111980026,0.)); #431058=DIRECTION('',(0.892938781492941,-0.450178111980026,0.)); #431059=DIRECTION('',(0.892938781492941,-0.450178111980026,0.)); #431060=DIRECTION('',(0.,0.,1.)); #431061=DIRECTION('center_axis',(-0.252249312357542,-0.967662278078022, 0.)); #431062=DIRECTION('ref_axis',(0.967662278078022,-0.252249312357542,0.)); #431063=DIRECTION('',(0.967662278078022,-0.252249312357542,0.)); #431064=DIRECTION('',(0.967662278078022,-0.252249312357542,0.)); #431065=DIRECTION('',(0.,0.,1.)); #431066=DIRECTION('center_axis',(-0.0742706898419095,-0.997238118319996, 0.)); #431067=DIRECTION('ref_axis',(0.997238118319996,-0.0742706898419095,0.)); #431068=DIRECTION('',(0.997238118319996,-0.0742706898419095,0.)); #431069=DIRECTION('',(0.997238118319996,-0.0742706898419095,0.)); #431070=DIRECTION('',(0.,0.,1.)); #431071=DIRECTION('center_axis',(0.,-1.,0.)); #431072=DIRECTION('ref_axis',(1.,0.,0.)); #431073=DIRECTION('',(1.,0.,0.)); #431074=DIRECTION('',(1.,0.,0.)); #431075=DIRECTION('',(0.,0.,1.)); #431076=DIRECTION('center_axis',(-0.183305290257367,-0.983056036329396, 0.)); #431077=DIRECTION('ref_axis',(0.983056036329396,-0.183305290257367,0.)); #431078=DIRECTION('',(0.983056036329396,-0.183305290257367,0.)); #431079=DIRECTION('',(0.983056036329396,-0.183305290257367,0.)); #431080=DIRECTION('',(0.,0.,1.)); #431081=DIRECTION('center_axis',(-0.538911466746003,-0.842362410729296, 0.)); #431082=DIRECTION('ref_axis',(0.842362410729296,-0.538911466746003,0.)); #431083=DIRECTION('',(0.842362410729296,-0.538911466746003,0.)); #431084=DIRECTION('',(0.842362410729296,-0.538911466746003,0.)); #431085=DIRECTION('',(0.,0.,1.)); #431086=DIRECTION('center_axis',(-0.824745549033875,-0.565504004715097, 0.)); #431087=DIRECTION('ref_axis',(0.565504004715097,-0.824745549033875,0.)); #431088=DIRECTION('',(0.565504004715097,-0.824745549033875,0.)); #431089=DIRECTION('',(0.565504004715097,-0.824745549033875,0.)); #431090=DIRECTION('',(0.,0.,1.)); #431091=DIRECTION('center_axis',(-0.980003828794037,-0.198978630885398, 0.)); #431092=DIRECTION('ref_axis',(0.198978630885398,-0.980003828794037,0.)); #431093=DIRECTION('',(0.198978630885398,-0.980003828794037,0.)); #431094=DIRECTION('',(0.198978630885398,-0.980003828794037,0.)); #431095=DIRECTION('',(0.,0.,1.)); #431096=DIRECTION('center_axis',(-0.707105370129525,-0.707108192240754, 0.)); #431097=DIRECTION('ref_axis',(0.707108192240754,-0.707105370129525,0.)); #431098=DIRECTION('',(0.707108192240754,-0.707105370129525,0.)); #431099=DIRECTION('',(0.707108192240754,-0.707105370129525,0.)); #431100=DIRECTION('',(0.,0.,1.)); #431101=DIRECTION('center_axis',(0.,-1.,0.)); #431102=DIRECTION('ref_axis',(1.,0.,0.)); #431103=DIRECTION('',(1.,0.,0.)); #431104=DIRECTION('',(1.,0.,0.)); #431105=DIRECTION('',(0.,0.,1.)); #431106=DIRECTION('center_axis',(0.707104777838369,-0.707108784529051,0.)); #431107=DIRECTION('ref_axis',(0.707108784529051,0.707104777838369,0.)); #431108=DIRECTION('',(0.707108784529051,0.707104777838369,0.)); #431109=DIRECTION('',(0.707108784529051,0.707104777838369,0.)); #431110=DIRECTION('',(0.,0.,1.)); #431111=DIRECTION('center_axis',(0.558946331948846,-0.829203833807424,0.)); #431112=DIRECTION('ref_axis',(0.829203833807424,0.558946331948846,0.)); #431113=DIRECTION('',(0.829203833807424,0.558946331948846,0.)); #431114=DIRECTION('',(0.829203833807424,0.558946331948846,0.)); #431115=DIRECTION('',(0.,0.,1.)); #431116=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #431117=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #431118=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #431119=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #431120=DIRECTION('',(0.,0.,1.)); #431121=DIRECTION('center_axis',(0.,-1.,0.)); #431122=DIRECTION('ref_axis',(1.,0.,0.)); #431123=DIRECTION('',(1.,0.,0.)); #431124=DIRECTION('',(1.,0.,0.)); #431125=DIRECTION('',(0.,0.,1.)); #431126=DIRECTION('center_axis',(-0.175502059386013,-0.984479063846087, 0.)); #431127=DIRECTION('ref_axis',(0.984479063846087,-0.175502059386013,0.)); #431128=DIRECTION('',(0.984479063846087,-0.175502059386013,0.)); #431129=DIRECTION('',(0.984479063846087,-0.175502059386013,0.)); #431130=DIRECTION('',(0.,0.,1.)); #431131=DIRECTION('center_axis',(-0.52535880204888,-0.850880796063565,0.)); #431132=DIRECTION('ref_axis',(0.850880796063565,-0.52535880204888,0.)); #431133=DIRECTION('',(0.850880796063565,-0.52535880204888,0.)); #431134=DIRECTION('',(0.850880796063565,-0.52535880204888,0.)); #431135=DIRECTION('',(0.,0.,1.)); #431136=DIRECTION('center_axis',(-0.815709411156782,-0.578461888589262, 0.)); #431137=DIRECTION('ref_axis',(0.578461888589262,-0.815709411156782,0.)); #431138=DIRECTION('',(0.578461888589262,-0.815709411156782,0.)); #431139=DIRECTION('',(0.578461888589262,-0.815709411156782,0.)); #431140=DIRECTION('',(0.,0.,1.)); #431141=DIRECTION('center_axis',(-0.976685690837561,-0.214674314516564, 0.)); #431142=DIRECTION('ref_axis',(0.214674314516564,-0.976685690837561,0.)); #431143=DIRECTION('',(0.214674314516564,-0.976685690837561,0.)); #431144=DIRECTION('',(0.214674314516564,-0.976685690837561,0.)); #431145=DIRECTION('',(0.,0.,1.)); #431146=DIRECTION('center_axis',(-0.983050529880644,0.183334818573518,0.)); #431147=DIRECTION('ref_axis',(-0.183334818573518,-0.983050529880644,0.)); #431148=DIRECTION('',(-0.183334818573518,-0.983050529880644,0.)); #431149=DIRECTION('',(-0.183334818573518,-0.983050529880644,0.)); #431150=DIRECTION('',(0.,0.,1.)); #431151=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #431152=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #431153=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #431154=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #431155=DIRECTION('',(0.,0.,1.)); #431156=DIRECTION('center_axis',(-0.707108431109792,0.707105131259453,0.)); #431157=DIRECTION('ref_axis',(-0.707105131259453,-0.707108431109792,0.)); #431158=DIRECTION('',(-0.707105131259453,-0.707108431109792,0.)); #431159=DIRECTION('',(-0.707105131259453,-0.707108431109792,0.)); #431160=DIRECTION('',(0.,0.,1.)); #431161=DIRECTION('center_axis',(-1.,0.,0.)); #431162=DIRECTION('ref_axis',(0.,-1.,0.)); #431163=DIRECTION('',(0.,-1.,0.)); #431164=DIRECTION('',(0.,-1.,0.)); #431165=DIRECTION('',(0.,0.,1.)); #431166=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #431167=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #431168=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #431169=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #431170=DIRECTION('',(0.,0.,1.)); #431171=DIRECTION('center_axis',(0.,-1.,0.)); #431172=DIRECTION('ref_axis',(1.,0.,0.)); #431173=DIRECTION('',(1.,0.,0.)); #431174=DIRECTION('',(1.,0.,0.)); #431175=DIRECTION('',(0.,0.,1.)); #431176=DIRECTION('center_axis',(0.707104777838369,-0.707108784529051,0.)); #431177=DIRECTION('ref_axis',(0.707108784529051,0.707104777838369,0.)); #431178=DIRECTION('',(0.707108784529051,0.707104777838369,0.)); #431179=DIRECTION('',(0.707108784529051,0.707104777838369,0.)); #431180=DIRECTION('',(0.,0.,1.)); #431181=DIRECTION('center_axis',(0.558946331948846,-0.829203833807424,0.)); #431182=DIRECTION('ref_axis',(0.829203833807424,0.558946331948846,0.)); #431183=DIRECTION('',(0.829203833807424,0.558946331948846,0.)); #431184=DIRECTION('',(0.829203833807424,0.558946331948846,0.)); #431185=DIRECTION('',(0.,0.,1.)); #431186=DIRECTION('center_axis',(0.198956019232613,-0.980008419561338,0.)); #431187=DIRECTION('ref_axis',(0.980008419561339,0.198956019232613,0.)); #431188=DIRECTION('',(0.980008419561338,0.198956019232613,0.)); #431189=DIRECTION('',(0.980008419561338,0.198956019232613,0.)); #431190=DIRECTION('',(0.,0.,1.)); #431191=DIRECTION('center_axis',(0.,-1.,0.)); #431192=DIRECTION('ref_axis',(1.,0.,0.)); #431193=DIRECTION('',(1.,0.,0.)); #431194=DIRECTION('',(1.,0.,0.)); #431195=DIRECTION('',(0.,0.,1.)); #431196=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #431197=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #431198=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #431199=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #431200=DIRECTION('',(0.,0.,1.)); #431201=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #431202=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #431203=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #431204=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #431205=DIRECTION('',(0.,0.,1.)); #431206=DIRECTION('center_axis',(-0.707104777838369,-0.707108784529051, 0.)); #431207=DIRECTION('ref_axis',(0.707108784529051,-0.707104777838369,0.)); #431208=DIRECTION('',(0.707108784529051,-0.707104777838369,0.)); #431209=DIRECTION('',(0.707108784529051,-0.707104777838369,0.)); #431210=DIRECTION('',(0.,0.,1.)); #431211=DIRECTION('center_axis',(0.,-1.,0.)); #431212=DIRECTION('ref_axis',(1.,0.,0.)); #431213=DIRECTION('',(1.,0.,0.)); #431214=DIRECTION('',(1.,0.,0.)); #431215=DIRECTION('',(0.,0.,1.)); #431216=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #431217=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #431218=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #431219=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #431220=DIRECTION('',(0.,0.,1.)); #431221=DIRECTION('center_axis',(1.,0.,0.)); #431222=DIRECTION('ref_axis',(0.,1.,0.)); #431223=DIRECTION('',(0.,1.,0.)); #431224=DIRECTION('',(0.,1.,0.)); #431225=DIRECTION('',(0.,0.,1.)); #431226=DIRECTION('center_axis',(0.707109234974272,0.707104327390308,0.)); #431227=DIRECTION('ref_axis',(-0.707104327390308,0.707109234974272,0.)); #431228=DIRECTION('',(-0.707104327390308,0.707109234974272,0.)); #431229=DIRECTION('',(-0.707104327390308,0.707109234974272,0.)); #431230=DIRECTION('',(0.,0.,1.)); #431231=DIRECTION('center_axis',(0.824789890119785,0.565439331101219,0.)); #431232=DIRECTION('ref_axis',(-0.565439331101219,0.824789890119785,0.)); #431233=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #431234=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #431235=DIRECTION('',(0.,0.,1.)); #431236=DIRECTION('center_axis',(0.976685690837527,0.214674314516719,0.)); #431237=DIRECTION('ref_axis',(-0.214674314516719,0.976685690837527,0.)); #431238=DIRECTION('',(-0.214674314516719,0.976685690837527,0.)); #431239=DIRECTION('',(-0.214674314516719,0.976685690837527,0.)); #431240=DIRECTION('',(0.,0.,1.)); #431241=DIRECTION('center_axis',(0.983050529880594,-0.183334818573788,0.)); #431242=DIRECTION('ref_axis',(0.183334818573788,0.983050529880594,0.)); #431243=DIRECTION('',(0.183334818573788,0.983050529880594,0.)); #431244=DIRECTION('',(0.183334818573788,0.983050529880594,0.)); #431245=DIRECTION('',(0.,0.,1.)); #431246=DIRECTION('center_axis',(0.833659859749822,-0.552278225391792,0.)); #431247=DIRECTION('ref_axis',(0.552278225391792,0.833659859749822,0.)); #431248=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #431249=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #431250=DIRECTION('',(0.,0.,1.)); #431251=DIRECTION('center_axis',(0.707109234974272,-0.707104327390308,0.)); #431252=DIRECTION('ref_axis',(0.707104327390308,0.707109234974272,0.)); #431253=DIRECTION('',(0.707104327390308,0.707109234974272,0.)); #431254=DIRECTION('',(0.707104327390308,0.707109234974272,0.)); #431255=DIRECTION('',(0.,0.,1.)); #431256=DIRECTION('center_axis',(1.,0.,0.)); #431257=DIRECTION('ref_axis',(0.,1.,0.)); #431258=DIRECTION('',(0.,1.,0.)); #431259=DIRECTION('',(0.,1.,0.)); #431260=DIRECTION('',(0.,0.,1.)); #431261=DIRECTION('center_axis',(0.707101099674552,0.707112462652893,0.)); #431262=DIRECTION('ref_axis',(-0.707112462652893,0.707101099674552,0.)); #431263=DIRECTION('',(-0.707112462652893,0.707101099674552,0.)); #431264=DIRECTION('',(-0.707112462652893,0.707101099674552,0.)); #431265=DIRECTION('',(0.,0.,1.)); #431266=DIRECTION('center_axis',(0.824778447639048,0.565456021552625,0.)); #431267=DIRECTION('ref_axis',(-0.565456021552625,0.824778447639048,0.)); #431268=DIRECTION('',(-0.565456021552625,0.824778447639048,0.)); #431269=DIRECTION('',(-0.565456021552625,0.824778447639048,0.)); #431270=DIRECTION('',(0.,0.,1.)); #431271=DIRECTION('center_axis',(0.976687210469078,0.214667400660024,0.)); #431272=DIRECTION('ref_axis',(-0.214667400660024,0.976687210469078,0.)); #431273=DIRECTION('',(-0.214667400660024,0.976687210469078,0.)); #431274=DIRECTION('',(-0.214667400660024,0.976687210469078,0.)); #431275=DIRECTION('',(0.,0.,1.)); #431276=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #431277=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #431278=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #431279=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #431280=DIRECTION('',(0.,0.,1.)); #431281=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #431282=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #431283=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #431284=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #431285=DIRECTION('',(0.,0.,1.)); #431286=DIRECTION('center_axis',(0.70712882626081,-0.707084735424976,0.)); #431287=DIRECTION('ref_axis',(0.707084735424976,0.70712882626081,0.)); #431288=DIRECTION('',(0.707084735424976,0.70712882626081,0.)); #431289=DIRECTION('',(0.707084735424976,0.70712882626081,0.)); #431290=DIRECTION('',(0.,0.,1.)); #431291=DIRECTION('center_axis',(0.778048811381718,-0.628203826084731,0.)); #431292=DIRECTION('ref_axis',(0.628203826084731,0.778048811381718,0.)); #431293=DIRECTION('',(0.628203826084731,0.778048811381718,0.)); #431294=DIRECTION('',(0.628203826084731,0.778048811381718,0.)); #431295=DIRECTION('',(0.,0.,1.)); #431296=DIRECTION('center_axis',(0.892938781493004,-0.450178111979902,0.)); #431297=DIRECTION('ref_axis',(0.450178111979902,0.892938781493004,0.)); #431298=DIRECTION('',(0.450178111979902,0.892938781493004,0.)); #431299=DIRECTION('',(0.450178111979902,0.892938781493004,0.)); #431300=DIRECTION('',(0.,0.,1.)); #431301=DIRECTION('center_axis',(0.967662278077992,-0.252249312357658,0.)); #431302=DIRECTION('ref_axis',(0.252249312357658,0.967662278077992,0.)); #431303=DIRECTION('',(0.252249312357658,0.967662278077992,0.)); #431304=DIRECTION('',(0.252249312357658,0.967662278077992,0.)); #431305=DIRECTION('',(0.,0.,1.)); #431306=DIRECTION('center_axis',(0.997238085307025,-0.0742711331082209, 0.)); #431307=DIRECTION('ref_axis',(0.0742711331082209,0.997238085307025,0.)); #431308=DIRECTION('',(0.0742711331082209,0.997238085307025,0.)); #431309=DIRECTION('',(0.0742711331082209,0.997238085307025,0.)); #431310=DIRECTION('',(0.,0.,1.)); #431311=DIRECTION('center_axis',(1.,0.,0.)); #431312=DIRECTION('ref_axis',(0.,1.,0.)); #431313=DIRECTION('',(0.,1.,0.)); #431314=DIRECTION('',(0.,1.,0.)); #431315=DIRECTION('',(0.,0.,1.)); #431316=DIRECTION('center_axis',(0.994378507470112,0.105883822567536,0.)); #431317=DIRECTION('ref_axis',(-0.105883822567536,0.994378507470111,0.)); #431318=DIRECTION('',(-0.105883822567536,0.994378507470111,0.)); #431319=DIRECTION('',(-0.105883822567536,0.994378507470111,0.)); #431320=DIRECTION('',(0.,0.,1.)); #431321=DIRECTION('center_axis',(0.949774679810776,0.312934589955054,0.)); #431322=DIRECTION('ref_axis',(-0.312934589955054,0.949774679810776,0.)); #431323=DIRECTION('',(-0.312934589955054,0.949774679810776,0.)); #431324=DIRECTION('',(-0.312934589955054,0.949774679810776,0.)); #431325=DIRECTION('',(0.,0.,1.)); #431326=DIRECTION('center_axis',(0.86247058544051,0.506107191462346,0.)); #431327=DIRECTION('ref_axis',(-0.506107191462346,0.86247058544051,0.)); #431328=DIRECTION('',(-0.506107191462346,0.86247058544051,0.)); #431329=DIRECTION('',(-0.506107191462346,0.86247058544051,0.)); #431330=DIRECTION('',(0.,0.,1.)); #431331=DIRECTION('center_axis',(0.75777348520638,0.652517697168572,0.)); #431332=DIRECTION('ref_axis',(-0.652517697168572,0.75777348520638,0.)); #431333=DIRECTION('',(-0.652517697168572,0.75777348520638,0.)); #431334=DIRECTION('',(-0.652517697168572,0.75777348520638,0.)); #431335=DIRECTION('',(0.,0.,1.)); #431336=DIRECTION('center_axis',(0.707106424143148,0.707107138229766,0.)); #431337=DIRECTION('ref_axis',(-0.707107138229766,0.707106424143148,0.)); #431338=DIRECTION('',(-0.707107138229766,0.707106424143148,0.)); #431339=DIRECTION('',(-0.707107138229766,0.707106424143148,0.)); #431340=DIRECTION('',(0.,0.,1.)); #431341=DIRECTION('center_axis',(0.628199078070024,0.778052644948895,0.)); #431342=DIRECTION('ref_axis',(-0.778052644948895,0.628199078070024,0.)); #431343=DIRECTION('',(-0.778052644948895,0.628199078070024,0.)); #431344=DIRECTION('',(-0.778052644948895,0.628199078070024,0.)); #431345=DIRECTION('',(0.,0.,1.)); #431346=DIRECTION('center_axis',(0.450178111979777,0.892938781493067,0.)); #431347=DIRECTION('ref_axis',(-0.892938781493067,0.450178111979777,0.)); #431348=DIRECTION('',(-0.892938781493067,0.450178111979777,0.)); #431349=DIRECTION('',(-0.892938781493067,0.450178111979777,0.)); #431350=DIRECTION('',(0.,0.,1.)); #431351=DIRECTION('center_axis',(0.252269987846792,0.967656888174615,0.)); #431352=DIRECTION('ref_axis',(-0.967656888174615,0.252269987846792,0.)); #431353=DIRECTION('',(-0.967656888174615,0.252269987846792,0.)); #431354=DIRECTION('',(-0.967656888174615,0.252269987846792,0.)); #431355=DIRECTION('',(0.,0.,1.)); #431356=DIRECTION('center_axis',(0.0742468828476369,0.997239891093116,0.)); #431357=DIRECTION('ref_axis',(-0.997239891093116,0.0742468828476369,0.)); #431358=DIRECTION('',(-0.997239891093116,0.0742468828476369,0.)); #431359=DIRECTION('',(-0.997239891093116,0.0742468828476369,0.)); #431360=DIRECTION('',(0.,0.,1.)); #431361=DIRECTION('center_axis',(0.,1.,0.)); #431362=DIRECTION('ref_axis',(-1.,0.,0.)); #431363=DIRECTION('',(-1.,0.,0.)); #431364=DIRECTION('',(-1.,0.,0.)); #431365=DIRECTION('',(0.,0.,1.)); #431366=DIRECTION('center_axis',(0.183301831720523,0.983056681218281,0.)); #431367=DIRECTION('ref_axis',(-0.983056681218281,0.183301831720523,0.)); #431368=DIRECTION('',(-0.983056681218281,0.183301831720523,0.)); #431369=DIRECTION('',(-0.983056681218281,0.183301831720523,0.)); #431370=DIRECTION('',(0.,0.,1.)); #431371=DIRECTION('center_axis',(0.53890444764079,0.842366901244924,0.)); #431372=DIRECTION('ref_axis',(-0.842366901244924,0.53890444764079,0.)); #431373=DIRECTION('',(-0.842366901244924,0.538904447640789,0.)); #431374=DIRECTION('',(-0.842366901244924,0.538904447640789,0.)); #431375=DIRECTION('',(0.,0.,1.)); #431376=DIRECTION('center_axis',(0.824750821382036,0.565496315310415,0.)); #431377=DIRECTION('ref_axis',(-0.565496315310415,0.824750821382036,0.)); #431378=DIRECTION('',(-0.565496315310415,0.824750821382036,0.)); #431379=DIRECTION('',(-0.565496315310415,0.824750821382036,0.)); #431380=DIRECTION('',(0.,0.,1.)); #431381=DIRECTION('center_axis',(0.980008289044031,0.19895666212769,0.)); #431382=DIRECTION('ref_axis',(-0.19895666212769,0.980008289044031,0.)); #431383=DIRECTION('',(-0.19895666212769,0.980008289044031,0.)); #431384=DIRECTION('',(-0.19895666212769,0.980008289044031,0.)); #431385=DIRECTION('',(0.,0.,1.)); #431386=DIRECTION('center_axis',(1.,0.,0.)); #431387=DIRECTION('ref_axis',(0.,1.,0.)); #431388=DIRECTION('',(0.,1.,0.)); #431389=DIRECTION('',(0.,1.,0.)); #431390=DIRECTION('',(0.,0.,1.)); #431391=DIRECTION('center_axis',(0.983055675573424,-0.183307224960934,0.)); #431392=DIRECTION('ref_axis',(0.183307224960934,0.983055675573424,0.)); #431393=DIRECTION('',(0.183307224960934,0.983055675573424,0.)); #431394=DIRECTION('',(0.183307224960934,0.983055675573424,0.)); #431395=DIRECTION('',(0.,0.,1.)); #431396=DIRECTION('center_axis',(0.842361453103688,-0.538912963589709,0.)); #431397=DIRECTION('ref_axis',(0.538912963589709,0.842361453103688,0.)); #431398=DIRECTION('',(0.538912963589709,0.842361453103688,0.)); #431399=DIRECTION('',(0.538912963589709,0.842361453103688,0.)); #431400=DIRECTION('',(0.,0.,1.)); #431401=DIRECTION('center_axis',(0.565494227239089,-0.82475225307923,0.)); #431402=DIRECTION('ref_axis',(0.824752253079229,0.565494227239089,0.)); #431403=DIRECTION('',(0.824752253079229,0.565494227239089,0.)); #431404=DIRECTION('',(0.824752253079229,0.565494227239089,0.)); #431405=DIRECTION('center_axis',(0.,1.,0.)); #431406=DIRECTION('ref_axis',(-1.,0.,0.)); #431407=DIRECTION('',(-1.,0.,0.)); #431408=DIRECTION('',(0.,0.,1.)); #431409=DIRECTION('',(-1.,0.,0.)); #431410=DIRECTION('',(0.,0.,1.)); #431411=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #431412=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #431413=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #431414=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #431415=DIRECTION('',(0.,0.,1.)); #431416=DIRECTION('center_axis',(-1.,0.,0.)); #431417=DIRECTION('ref_axis',(0.,-1.,0.)); #431418=DIRECTION('',(0.,-1.,0.)); #431419=DIRECTION('',(0.,-1.,0.)); #431420=DIRECTION('',(0.,0.,1.)); #431421=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #431422=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #431423=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #431424=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #431425=DIRECTION('',(0.,0.,1.)); #431426=DIRECTION('center_axis',(0.,-1.,0.)); #431427=DIRECTION('ref_axis',(1.,0.,0.)); #431428=DIRECTION('',(1.,0.,0.)); #431429=DIRECTION('',(1.,0.,0.)); #431430=DIRECTION('',(0.,0.,1.)); #431431=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #431432=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #431433=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #431434=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #431435=DIRECTION('',(0.,0.,1.)); #431436=DIRECTION('center_axis',(1.,0.,0.)); #431437=DIRECTION('ref_axis',(0.,1.,0.)); #431438=DIRECTION('',(0.,1.,0.)); #431439=DIRECTION('',(0.,1.,0.)); #431440=DIRECTION('',(0.,0.,1.)); #431441=DIRECTION('center_axis',(0.707106781186565,0.70710678118653,0.)); #431442=DIRECTION('ref_axis',(-0.70710678118653,0.707106781186565,0.)); #431443=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #431444=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #431445=DIRECTION('center_axis',(0.,1.,0.)); #431446=DIRECTION('ref_axis',(-1.,0.,0.)); #431447=DIRECTION('',(-1.,0.,0.)); #431448=DIRECTION('',(0.,0.,1.)); #431449=DIRECTION('',(-1.,0.,0.)); #431450=DIRECTION('',(0.,0.,1.)); #431451=DIRECTION('center_axis',(-0.70710678118653,0.707106781186565,0.)); #431452=DIRECTION('ref_axis',(-0.707106781186565,-0.70710678118653,0.)); #431453=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #431454=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #431455=DIRECTION('',(0.,0.,1.)); #431456=DIRECTION('center_axis',(-1.,0.,0.)); #431457=DIRECTION('ref_axis',(0.,-1.,0.)); #431458=DIRECTION('',(0.,-1.,0.)); #431459=DIRECTION('',(0.,-1.,0.)); #431460=DIRECTION('',(0.,0.,1.)); #431461=DIRECTION('center_axis',(-0.707106781186512,-0.707106781186583, 0.)); #431462=DIRECTION('ref_axis',(0.707106781186583,-0.707106781186512,0.)); #431463=DIRECTION('',(0.707106781186583,-0.707106781186512,0.)); #431464=DIRECTION('',(0.707106781186583,-0.707106781186512,0.)); #431465=DIRECTION('',(0.,0.,1.)); #431466=DIRECTION('center_axis',(0.,-1.,0.)); #431467=DIRECTION('ref_axis',(1.,0.,0.)); #431468=DIRECTION('',(1.,0.,0.)); #431469=DIRECTION('',(1.,0.,0.)); #431470=DIRECTION('',(0.,0.,1.)); #431471=DIRECTION('center_axis',(0.707106781186512,-0.707106781186583,0.)); #431472=DIRECTION('ref_axis',(0.707106781186583,0.707106781186512,0.)); #431473=DIRECTION('',(0.707106781186583,0.707106781186512,0.)); #431474=DIRECTION('',(0.707106781186583,0.707106781186512,0.)); #431475=DIRECTION('',(0.,0.,1.)); #431476=DIRECTION('center_axis',(1.,0.,0.)); #431477=DIRECTION('ref_axis',(0.,1.,0.)); #431478=DIRECTION('',(0.,1.,0.)); #431479=DIRECTION('',(0.,1.,0.)); #431480=DIRECTION('',(0.,0.,1.)); #431481=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #431482=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #431483=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #431484=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #431485=DIRECTION('center_axis',(0.,1.,0.)); #431486=DIRECTION('ref_axis',(-1.,0.,0.)); #431487=DIRECTION('',(-1.,0.,0.)); #431488=DIRECTION('',(0.,0.,1.)); #431489=DIRECTION('',(-1.,0.,0.)); #431490=DIRECTION('',(0.,0.,1.)); #431491=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #431492=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #431493=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #431494=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #431495=DIRECTION('',(0.,0.,1.)); #431496=DIRECTION('center_axis',(-1.,0.,0.)); #431497=DIRECTION('ref_axis',(0.,-1.,0.)); #431498=DIRECTION('',(0.,-1.,0.)); #431499=DIRECTION('',(0.,-1.,0.)); #431500=DIRECTION('',(0.,0.,1.)); #431501=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #431502=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #431503=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #431504=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #431505=DIRECTION('',(0.,0.,1.)); #431506=DIRECTION('center_axis',(0.,-1.,0.)); #431507=DIRECTION('ref_axis',(1.,0.,0.)); #431508=DIRECTION('',(1.,0.,0.)); #431509=DIRECTION('',(1.,0.,0.)); #431510=DIRECTION('',(0.,0.,1.)); #431511=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #431512=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #431513=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #431514=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #431515=DIRECTION('',(0.,0.,1.)); #431516=DIRECTION('center_axis',(1.,0.,0.)); #431517=DIRECTION('ref_axis',(0.,1.,0.)); #431518=DIRECTION('',(0.,1.,0.)); #431519=DIRECTION('',(0.,1.,0.)); #431520=DIRECTION('',(0.,0.,1.)); #431521=DIRECTION('center_axis',(0.707106781186565,0.70710678118653,0.)); #431522=DIRECTION('ref_axis',(-0.70710678118653,0.707106781186565,0.)); #431523=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #431524=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #431525=DIRECTION('center_axis',(0.,1.,0.)); #431526=DIRECTION('ref_axis',(-1.,0.,0.)); #431527=DIRECTION('',(-1.,0.,0.)); #431528=DIRECTION('',(0.,0.,1.)); #431529=DIRECTION('',(-1.,0.,0.)); #431530=DIRECTION('',(0.,0.,1.)); #431531=DIRECTION('center_axis',(-0.707106781186512,0.707106781186583,0.)); #431532=DIRECTION('ref_axis',(-0.707106781186583,-0.707106781186512,0.)); #431533=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #431534=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #431535=DIRECTION('',(0.,0.,1.)); #431536=DIRECTION('center_axis',(-1.,0.,0.)); #431537=DIRECTION('ref_axis',(0.,-1.,0.)); #431538=DIRECTION('',(0.,-1.,0.)); #431539=DIRECTION('',(0.,-1.,0.)); #431540=DIRECTION('',(0.,0.,1.)); #431541=DIRECTION('center_axis',(-0.70710678118653,-0.707106781186565,0.)); #431542=DIRECTION('ref_axis',(0.707106781186565,-0.70710678118653,0.)); #431543=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #431544=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #431545=DIRECTION('',(0.,0.,1.)); #431546=DIRECTION('center_axis',(0.,-1.,0.)); #431547=DIRECTION('ref_axis',(1.,0.,0.)); #431548=DIRECTION('',(1.,0.,0.)); #431549=DIRECTION('',(1.,0.,0.)); #431550=DIRECTION('',(0.,0.,1.)); #431551=DIRECTION('center_axis',(-0.183305290257319,-0.983056036329405, 0.)); #431552=DIRECTION('ref_axis',(0.983056036329405,-0.183305290257319,0.)); #431553=DIRECTION('',(0.983056036329405,-0.183305290257319,0.)); #431554=DIRECTION('',(0.983056036329405,-0.183305290257319,0.)); #431555=DIRECTION('',(0.,0.,1.)); #431556=DIRECTION('center_axis',(-0.538911466745922,-0.842362410729348, 0.)); #431557=DIRECTION('ref_axis',(0.842362410729348,-0.538911466745922,0.)); #431558=DIRECTION('',(0.842362410729348,-0.538911466745922,0.)); #431559=DIRECTION('',(0.842362410729348,-0.538911466745922,0.)); #431560=DIRECTION('',(0.,0.,1.)); #431561=DIRECTION('center_axis',(-0.824745549033873,-0.565504004715099, 0.)); #431562=DIRECTION('ref_axis',(0.565504004715099,-0.824745549033873,0.)); #431563=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #431564=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #431565=DIRECTION('',(0.,0.,1.)); #431566=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #431567=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #431568=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #431569=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #431570=DIRECTION('',(0.,0.,1.)); #431571=DIRECTION('center_axis',(-1.,0.,0.)); #431572=DIRECTION('ref_axis',(0.,-1.,0.)); #431573=DIRECTION('',(0.,-1.,0.)); #431574=DIRECTION('',(0.,-1.,0.)); #431575=DIRECTION('',(0.,0.,1.)); #431576=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #431577=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #431578=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #431579=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #431580=DIRECTION('',(0.,0.,1.)); #431581=DIRECTION('center_axis',(-0.833659859749643,0.552278225392063,0.)); #431582=DIRECTION('ref_axis',(-0.552278225392063,-0.833659859749643,0.)); #431583=DIRECTION('',(-0.552278225392063,-0.833659859749643,0.)); #431584=DIRECTION('',(-0.552278225392063,-0.833659859749643,0.)); #431585=DIRECTION('',(0.,0.,1.)); #431586=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #431587=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #431588=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #431589=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #431590=DIRECTION('',(0.,0.,1.)); #431591=DIRECTION('center_axis',(-0.558946331949005,0.829203833807317,0.)); #431592=DIRECTION('ref_axis',(-0.829203833807317,-0.558946331949005,0.)); #431593=DIRECTION('',(-0.829203833807317,-0.558946331949005,0.)); #431594=DIRECTION('',(-0.829203833807317,-0.558946331949005,0.)); #431595=DIRECTION('',(0.,0.,1.)); #431596=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #431597=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #431598=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #431599=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #431600=DIRECTION('',(0.,0.,1.)); #431601=DIRECTION('center_axis',(0.,1.,0.)); #431602=DIRECTION('ref_axis',(-1.,0.,0.)); #431603=DIRECTION('',(-1.,0.,0.)); #431604=DIRECTION('',(-1.,0.,0.)); #431605=DIRECTION('',(0.,0.,1.)); #431606=DIRECTION('center_axis',(-0.70710678118653,0.707106781186565,0.)); #431607=DIRECTION('ref_axis',(-0.707106781186565,-0.70710678118653,0.)); #431608=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #431609=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #431610=DIRECTION('',(0.,0.,1.)); #431611=DIRECTION('center_axis',(-1.,0.,0.)); #431612=DIRECTION('ref_axis',(0.,-1.,0.)); #431613=DIRECTION('',(0.,-1.,0.)); #431614=DIRECTION('',(0.,-1.,0.)); #431615=DIRECTION('',(0.,0.,1.)); #431616=DIRECTION('center_axis',(-0.70710678118653,-0.707106781186565,0.)); #431617=DIRECTION('ref_axis',(0.707106781186565,-0.70710678118653,0.)); #431618=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #431619=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #431620=DIRECTION('',(0.,0.,1.)); #431621=DIRECTION('center_axis',(0.,-1.,0.)); #431622=DIRECTION('ref_axis',(1.,0.,0.)); #431623=DIRECTION('',(1.,0.,0.)); #431624=DIRECTION('',(1.,0.,0.)); #431625=DIRECTION('',(0.,0.,1.)); #431626=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #431627=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #431628=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #431629=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #431630=DIRECTION('',(0.,0.,1.)); #431631=DIRECTION('center_axis',(1.,0.,0.)); #431632=DIRECTION('ref_axis',(0.,1.,0.)); #431633=DIRECTION('',(0.,1.,0.)); #431634=DIRECTION('',(0.,1.,0.)); #431635=DIRECTION('',(0.,0.,1.)); #431636=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #431637=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #431638=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #431639=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #431640=DIRECTION('',(0.,0.,1.)); #431641=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #431642=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #431643=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #431644=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #431645=DIRECTION('',(0.,0.,1.)); #431646=DIRECTION('center_axis',(0.707106781186555,-0.707106781186541,0.)); #431647=DIRECTION('ref_axis',(0.70710678118654,0.707106781186554,0.)); #431648=DIRECTION('',(0.70710678118654,0.707106781186554,0.)); #431649=DIRECTION('',(0.70710678118654,0.707106781186554,0.)); #431650=DIRECTION('',(0.,0.,1.)); #431651=DIRECTION('center_axis',(1.,0.,0.)); #431652=DIRECTION('ref_axis',(0.,1.,0.)); #431653=DIRECTION('',(0.,1.,0.)); #431654=DIRECTION('',(0.,1.,0.)); #431655=DIRECTION('',(0.,0.,1.)); #431656=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #431657=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #431658=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #431659=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #431660=DIRECTION('',(0.,0.,1.)); #431661=DIRECTION('center_axis',(0.842362410729396,-0.538911466745847,0.)); #431662=DIRECTION('ref_axis',(0.538911466745847,0.842362410729396,0.)); #431663=DIRECTION('',(0.538911466745847,0.842362410729396,0.)); #431664=DIRECTION('',(0.538911466745847,0.842362410729396,0.)); #431665=DIRECTION('',(0.,0.,1.)); #431666=DIRECTION('center_axis',(0.565504004714826,-0.82474554903406,0.)); #431667=DIRECTION('ref_axis',(0.82474554903406,0.565504004714826,0.)); #431668=DIRECTION('',(0.82474554903406,0.565504004714826,0.)); #431669=DIRECTION('',(0.82474554903406,0.565504004714826,0.)); #431670=DIRECTION('',(0.,0.,1.)); #431671=DIRECTION('center_axis',(0.1989560192326,-0.980008419561341,0.)); #431672=DIRECTION('ref_axis',(0.980008419561341,0.1989560192326,0.)); #431673=DIRECTION('',(0.980008419561341,0.198956019232599,0.)); #431674=DIRECTION('',(0.980008419561341,0.198956019232599,0.)); #431675=DIRECTION('',(0.,0.,1.)); #431676=DIRECTION('center_axis',(0.,-1.,0.)); #431677=DIRECTION('ref_axis',(1.,0.,0.)); #431678=DIRECTION('',(1.,0.,0.)); #431679=DIRECTION('',(1.,0.,0.)); #431680=DIRECTION('',(0.,0.,1.)); #431681=DIRECTION('center_axis',(0.70710678118653,-0.707106781186565,0.)); #431682=DIRECTION('ref_axis',(0.707106781186565,0.70710678118653,0.)); #431683=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #431684=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #431685=DIRECTION('',(0.,0.,1.)); #431686=DIRECTION('center_axis',(1.,0.,0.)); #431687=DIRECTION('ref_axis',(0.,1.,0.)); #431688=DIRECTION('',(0.,1.,0.)); #431689=DIRECTION('',(0.,1.,0.)); #431690=DIRECTION('',(0.,0.,1.)); #431691=DIRECTION('center_axis',(0.707106781186512,0.707106781186583,0.)); #431692=DIRECTION('ref_axis',(-0.707106781186583,0.707106781186512,0.)); #431693=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #431694=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #431695=DIRECTION('center_axis',(0.,1.,0.)); #431696=DIRECTION('ref_axis',(-1.,0.,0.)); #431697=DIRECTION('',(-1.,0.,0.)); #431698=DIRECTION('',(0.,0.,1.)); #431699=DIRECTION('',(-1.,0.,0.)); #431700=DIRECTION('',(0.,0.,1.)); #431701=DIRECTION('center_axis',(-0.707106781186512,0.707106781186583,0.)); #431702=DIRECTION('ref_axis',(-0.707106781186583,-0.707106781186512,0.)); #431703=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #431704=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #431705=DIRECTION('',(0.,0.,1.)); #431706=DIRECTION('center_axis',(-1.,0.,0.)); #431707=DIRECTION('ref_axis',(0.,-1.,0.)); #431708=DIRECTION('',(0.,-1.,0.)); #431709=DIRECTION('',(0.,-1.,0.)); #431710=DIRECTION('',(0.,0.,1.)); #431711=DIRECTION('center_axis',(-0.70710678118653,-0.707106781186565,0.)); #431712=DIRECTION('ref_axis',(0.707106781186565,-0.70710678118653,0.)); #431713=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #431714=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #431715=DIRECTION('',(0.,0.,1.)); #431716=DIRECTION('center_axis',(0.,-1.,0.)); #431717=DIRECTION('ref_axis',(1.,0.,0.)); #431718=DIRECTION('',(1.,0.,0.)); #431719=DIRECTION('',(1.,0.,0.)); #431720=DIRECTION('',(0.,0.,1.)); #431721=DIRECTION('center_axis',(-0.183305290257262,-0.983056036329416, 0.)); #431722=DIRECTION('ref_axis',(0.983056036329416,-0.183305290257262,0.)); #431723=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #431724=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #431725=DIRECTION('',(0.,0.,1.)); #431726=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #431727=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #431728=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #431729=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #431730=DIRECTION('',(0.,0.,1.)); #431731=DIRECTION('center_axis',(-0.824745549033873,-0.565504004715099, 0.)); #431732=DIRECTION('ref_axis',(0.565504004715099,-0.824745549033873,0.)); #431733=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #431734=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #431735=DIRECTION('',(0.,0.,1.)); #431736=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #431737=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #431738=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #431739=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #431740=DIRECTION('',(0.,0.,1.)); #431741=DIRECTION('center_axis',(-1.,0.,0.)); #431742=DIRECTION('ref_axis',(0.,-1.,0.)); #431743=DIRECTION('',(0.,-1.,0.)); #431744=DIRECTION('',(0.,-1.,0.)); #431745=DIRECTION('',(0.,0.,1.)); #431746=DIRECTION('center_axis',(-0.707106781186555,-0.707106781186541, 0.)); #431747=DIRECTION('ref_axis',(0.70710678118654,-0.707106781186554,0.)); #431748=DIRECTION('',(0.70710678118654,-0.707106781186554,0.)); #431749=DIRECTION('',(0.70710678118654,-0.707106781186554,0.)); #431750=DIRECTION('',(0.,0.,1.)); #431751=DIRECTION('center_axis',(-0.829203833807318,-0.558946331949003, 0.)); #431752=DIRECTION('ref_axis',(0.558946331949003,-0.829203833807318,0.)); #431753=DIRECTION('',(0.558946331949003,-0.829203833807318,0.)); #431754=DIRECTION('',(0.558946331949003,-0.829203833807318,0.)); #431755=DIRECTION('',(0.,0.,1.)); #431756=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #431757=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #431758=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #431759=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #431760=DIRECTION('',(0.,0.,1.)); #431761=DIRECTION('center_axis',(-1.,0.,0.)); #431762=DIRECTION('ref_axis',(0.,-1.,0.)); #431763=DIRECTION('',(0.,-1.,0.)); #431764=DIRECTION('',(0.,-1.,0.)); #431765=DIRECTION('',(0.,0.,1.)); #431766=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #431767=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #431768=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #431769=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #431770=DIRECTION('',(0.,0.,1.)); #431771=DIRECTION('center_axis',(0.,-1.,0.)); #431772=DIRECTION('ref_axis',(1.,0.,0.)); #431773=DIRECTION('',(1.,0.,0.)); #431774=DIRECTION('',(1.,0.,0.)); #431775=DIRECTION('',(0.,0.,1.)); #431776=DIRECTION('center_axis',(0.70710678118653,-0.707106781186565,0.)); #431777=DIRECTION('ref_axis',(0.707106781186565,0.70710678118653,0.)); #431778=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #431779=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #431780=DIRECTION('',(0.,0.,1.)); #431781=DIRECTION('center_axis',(1.,0.,0.)); #431782=DIRECTION('ref_axis',(0.,1.,0.)); #431783=DIRECTION('',(0.,1.,0.)); #431784=DIRECTION('',(0.,1.,0.)); #431785=DIRECTION('',(0.,0.,1.)); #431786=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #431787=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #431788=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #431789=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #431790=DIRECTION('',(0.,0.,1.)); #431791=DIRECTION('center_axis',(0.,1.,0.)); #431792=DIRECTION('ref_axis',(-1.,0.,0.)); #431793=DIRECTION('',(-1.,0.,0.)); #431794=DIRECTION('',(-1.,0.,0.)); #431795=DIRECTION('',(0.,0.,1.)); #431796=DIRECTION('center_axis',(0.19114985692877,0.981560865252946,0.)); #431797=DIRECTION('ref_axis',(-0.981560865252945,0.19114985692877,0.)); #431798=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #431799=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #431800=DIRECTION('',(0.,0.,1.)); #431801=DIRECTION('center_axis',(0.552278225392028,0.833659859749666,0.)); #431802=DIRECTION('ref_axis',(-0.833659859749665,0.552278225392028,0.)); #431803=DIRECTION('',(-0.833659859749665,0.552278225392028,0.)); #431804=DIRECTION('',(-0.833659859749665,0.552278225392028,0.)); #431805=DIRECTION('',(0.,0.,1.)); #431806=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #431807=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #431808=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #431809=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #431810=DIRECTION('',(0.,0.,1.)); #431811=DIRECTION('center_axis',(0.829203833807271,0.558946331949074,0.)); #431812=DIRECTION('ref_axis',(-0.558946331949074,0.829203833807271,0.)); #431813=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #431814=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #431815=DIRECTION('',(0.,0.,1.)); #431816=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #431817=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #431818=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #431819=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #431820=DIRECTION('',(0.,0.,1.)); #431821=DIRECTION('center_axis',(1.,0.,0.)); #431822=DIRECTION('ref_axis',(0.,1.,0.)); #431823=DIRECTION('',(0.,1.,0.)); #431824=DIRECTION('',(0.,1.,0.)); #431825=DIRECTION('',(0.,0.,1.)); #431826=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #431827=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #431828=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #431829=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #431830=DIRECTION('',(0.,0.,1.)); #431831=DIRECTION('center_axis',(0.842362410729263,-0.538911466746055,0.)); #431832=DIRECTION('ref_axis',(0.538911466746055,0.842362410729263,0.)); #431833=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #431834=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #431835=DIRECTION('',(0.,0.,1.)); #431836=DIRECTION('center_axis',(0.565504004714963,-0.824745549033967,0.)); #431837=DIRECTION('ref_axis',(0.824745549033967,0.565504004714963,0.)); #431838=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #431839=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #431840=DIRECTION('',(0.,0.,1.)); #431841=DIRECTION('center_axis',(0.1989560192326,-0.980008419561341,0.)); #431842=DIRECTION('ref_axis',(0.980008419561341,0.1989560192326,0.)); #431843=DIRECTION('',(0.980008419561341,0.198956019232599,0.)); #431844=DIRECTION('',(0.980008419561341,0.198956019232599,0.)); #431845=DIRECTION('',(0.,0.,1.)); #431846=DIRECTION('center_axis',(0.,-1.,0.)); #431847=DIRECTION('ref_axis',(1.,0.,0.)); #431848=DIRECTION('',(1.,0.,0.)); #431849=DIRECTION('',(1.,0.,0.)); #431850=DIRECTION('',(0.,0.,1.)); #431851=DIRECTION('center_axis',(0.70710678118653,-0.707106781186565,0.)); #431852=DIRECTION('ref_axis',(0.707106781186565,0.70710678118653,0.)); #431853=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #431854=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #431855=DIRECTION('',(0.,0.,1.)); #431856=DIRECTION('center_axis',(1.,0.,0.)); #431857=DIRECTION('ref_axis',(0.,1.,0.)); #431858=DIRECTION('',(0.,1.,0.)); #431859=DIRECTION('',(0.,1.,0.)); #431860=DIRECTION('',(0.,0.,1.)); #431861=DIRECTION('center_axis',(0.707106781186512,0.707106781186583,0.)); #431862=DIRECTION('ref_axis',(-0.707106781186583,0.707106781186512,0.)); #431863=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #431864=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #431865=DIRECTION('center_axis',(0.,1.,0.)); #431866=DIRECTION('ref_axis',(-1.,0.,0.)); #431867=DIRECTION('',(-1.,0.,0.)); #431868=DIRECTION('',(0.,0.,1.)); #431869=DIRECTION('',(-1.,0.,0.)); #431870=DIRECTION('',(0.,0.,1.)); #431871=DIRECTION('center_axis',(-0.105885061288576,0.994378375567326,0.)); #431872=DIRECTION('ref_axis',(-0.994378375567326,-0.105885061288576,0.)); #431873=DIRECTION('',(-0.994378375567326,-0.105885061288576,0.)); #431874=DIRECTION('',(-0.994378375567326,-0.105885061288576,0.)); #431875=DIRECTION('',(0.,0.,1.)); #431876=DIRECTION('center_axis',(-0.312922107778911,0.949778792384418,0.)); #431877=DIRECTION('ref_axis',(-0.949778792384418,-0.312922107778911,0.)); #431878=DIRECTION('',(-0.949778792384418,-0.312922107778911,0.)); #431879=DIRECTION('',(-0.949778792384418,-0.312922107778911,0.)); #431880=DIRECTION('',(0.,0.,1.)); #431881=DIRECTION('center_axis',(-0.506114900434732,0.862466061684714,0.)); #431882=DIRECTION('ref_axis',(-0.862466061684714,-0.506114900434732,0.)); #431883=DIRECTION('',(-0.862466061684714,-0.506114900434732,0.)); #431884=DIRECTION('',(-0.862466061684714,-0.506114900434732,0.)); #431885=DIRECTION('',(0.,0.,1.)); #431886=DIRECTION('center_axis',(-0.652508824452305,0.757781125399591,0.)); #431887=DIRECTION('ref_axis',(-0.757781125399591,-0.652508824452305,0.)); #431888=DIRECTION('',(-0.757781125399591,-0.652508824452305,0.)); #431889=DIRECTION('',(-0.757781125399591,-0.652508824452305,0.)); #431890=DIRECTION('',(0.,0.,1.)); #431891=DIRECTION('center_axis',(-0.707108223597805,0.707105338772347,0.)); #431892=DIRECTION('ref_axis',(-0.707105338772347,-0.707108223597805,0.)); #431893=DIRECTION('',(-0.707105338772347,-0.707108223597805,0.)); #431894=DIRECTION('',(-0.707105338772347,-0.707108223597805,0.)); #431895=DIRECTION('',(0.,0.,1.)); #431896=DIRECTION('center_axis',(-0.77804881138165,0.628203826084816,0.)); #431897=DIRECTION('ref_axis',(-0.628203826084816,-0.77804881138165,0.)); #431898=DIRECTION('',(-0.628203826084816,-0.77804881138165,0.)); #431899=DIRECTION('',(-0.628203826084816,-0.77804881138165,0.)); #431900=DIRECTION('',(0.,0.,1.)); #431901=DIRECTION('center_axis',(-0.892938781492879,0.450178111980149,0.)); #431902=DIRECTION('ref_axis',(-0.450178111980149,-0.89293878149288,0.)); #431903=DIRECTION('',(-0.450178111980149,-0.89293878149288,0.)); #431904=DIRECTION('',(-0.450178111980149,-0.89293878149288,0.)); #431905=DIRECTION('',(0.,0.,1.)); #431906=DIRECTION('center_axis',(-0.967662278078034,0.252249312357498,0.)); #431907=DIRECTION('ref_axis',(-0.252249312357498,-0.967662278078034,0.)); #431908=DIRECTION('',(-0.252249312357498,-0.967662278078034,0.)); #431909=DIRECTION('',(-0.252249312357498,-0.967662278078034,0.)); #431910=DIRECTION('',(0.,0.,1.)); #431911=DIRECTION('center_axis',(-0.997238118320005,0.0742706898417872, 0.)); #431912=DIRECTION('ref_axis',(-0.0742706898417872,-0.997238118320005,0.)); #431913=DIRECTION('',(-0.0742706898417872,-0.997238118320005,0.)); #431914=DIRECTION('',(-0.0742706898417872,-0.997238118320005,0.)); #431915=DIRECTION('',(0.,0.,1.)); #431916=DIRECTION('center_axis',(-1.,0.,0.)); #431917=DIRECTION('ref_axis',(0.,-1.,0.)); #431918=DIRECTION('',(0.,-1.,0.)); #431919=DIRECTION('',(0.,-1.,0.)); #431920=DIRECTION('',(0.,0.,1.)); #431921=DIRECTION('center_axis',(-0.994378375567352,-0.105885061288336, 0.)); #431922=DIRECTION('ref_axis',(0.105885061288336,-0.994378375567352,0.)); #431923=DIRECTION('',(0.105885061288336,-0.994378375567352,0.)); #431924=DIRECTION('',(0.105885061288336,-0.994378375567352,0.)); #431925=DIRECTION('',(0.,0.,1.)); #431926=DIRECTION('center_axis',(-0.949778792384408,-0.312922107778943, 0.)); #431927=DIRECTION('ref_axis',(0.312922107778943,-0.949778792384408,0.)); #431928=DIRECTION('',(0.312922107778943,-0.949778792384408,0.)); #431929=DIRECTION('',(0.312922107778943,-0.949778792384408,0.)); #431930=DIRECTION('',(0.,0.,1.)); #431931=DIRECTION('center_axis',(-0.862466061684628,-0.506114900434878, 0.)); #431932=DIRECTION('ref_axis',(0.506114900434878,-0.862466061684628,0.)); #431933=DIRECTION('',(0.506114900434878,-0.862466061684628,0.)); #431934=DIRECTION('',(0.506114900434878,-0.862466061684628,0.)); #431935=DIRECTION('',(0.,0.,1.)); #431936=DIRECTION('center_axis',(-0.757781125399967,-0.652508824451868, 0.)); #431937=DIRECTION('ref_axis',(0.652508824451868,-0.757781125399967,0.)); #431938=DIRECTION('',(0.652508824451868,-0.757781125399967,0.)); #431939=DIRECTION('',(0.652508824451868,-0.757781125399967,0.)); #431940=DIRECTION('',(0.,0.,1.)); #431941=DIRECTION('center_axis',(-0.707105338772335,-0.707108223597818, 0.)); #431942=DIRECTION('ref_axis',(0.707108223597818,-0.707105338772335,0.)); #431943=DIRECTION('',(0.707108223597818,-0.707105338772335,0.)); #431944=DIRECTION('',(0.707108223597818,-0.707105338772335,0.)); #431945=DIRECTION('',(0.,0.,1.)); #431946=DIRECTION('center_axis',(-0.628203826084836,-0.778048811381633, 0.)); #431947=DIRECTION('ref_axis',(0.778048811381633,-0.628203826084836,0.)); #431948=DIRECTION('',(0.778048811381633,-0.628203826084836,0.)); #431949=DIRECTION('',(0.778048811381633,-0.628203826084836,0.)); #431950=DIRECTION('',(0.,0.,1.)); #431951=DIRECTION('center_axis',(-0.450178111979944,-0.892938781492983, 0.)); #431952=DIRECTION('ref_axis',(0.892938781492983,-0.450178111979944,0.)); #431953=DIRECTION('',(0.892938781492983,-0.450178111979944,0.)); #431954=DIRECTION('',(0.892938781492983,-0.450178111979944,0.)); #431955=DIRECTION('',(0.,0.,1.)); #431956=DIRECTION('center_axis',(-0.25224931235745,-0.967662278078046,0.)); #431957=DIRECTION('ref_axis',(0.967662278078046,-0.25224931235745,0.)); #431958=DIRECTION('',(0.967662278078046,-0.25224931235745,0.)); #431959=DIRECTION('',(0.967662278078046,-0.25224931235745,0.)); #431960=DIRECTION('',(0.,0.,1.)); #431961=DIRECTION('center_axis',(-0.0742706898420416,-0.997238118319986, 0.)); #431962=DIRECTION('ref_axis',(0.997238118319986,-0.0742706898420416,0.)); #431963=DIRECTION('',(0.997238118319986,-0.0742706898420416,0.)); #431964=DIRECTION('',(0.997238118319986,-0.0742706898420416,0.)); #431965=DIRECTION('',(0.,0.,1.)); #431966=DIRECTION('center_axis',(0.,-1.,0.)); #431967=DIRECTION('ref_axis',(1.,0.,0.)); #431968=DIRECTION('',(1.,0.,0.)); #431969=DIRECTION('',(1.,0.,0.)); #431970=DIRECTION('',(0.,0.,1.)); #431971=DIRECTION('center_axis',(0.105885061288526,-0.994378375567332,0.)); #431972=DIRECTION('ref_axis',(0.994378375567332,0.105885061288526,0.)); #431973=DIRECTION('',(0.994378375567332,0.105885061288526,0.)); #431974=DIRECTION('',(0.994378375567332,0.105885061288526,0.)); #431975=DIRECTION('',(0.,0.,1.)); #431976=DIRECTION('center_axis',(0.312922107778818,-0.949778792384449,0.)); #431977=DIRECTION('ref_axis',(0.949778792384449,0.312922107778818,0.)); #431978=DIRECTION('',(0.949778792384449,0.312922107778818,0.)); #431979=DIRECTION('',(0.949778792384449,0.312922107778818,0.)); #431980=DIRECTION('',(0.,0.,1.)); #431981=DIRECTION('center_axis',(0.506114900434707,-0.862466061684729,0.)); #431982=DIRECTION('ref_axis',(0.862466061684729,0.506114900434707,0.)); #431983=DIRECTION('',(0.862466061684729,0.506114900434707,0.)); #431984=DIRECTION('',(0.862466061684729,0.506114900434707,0.)); #431985=DIRECTION('',(0.,0.,1.)); #431986=DIRECTION('center_axis',(0.652508824452,-0.757781125399854,0.)); #431987=DIRECTION('ref_axis',(0.757781125399854,0.652508824452,0.)); #431988=DIRECTION('',(0.757781125399854,0.652508824452,0.)); #431989=DIRECTION('',(0.757781125399854,0.652508824452,0.)); #431990=DIRECTION('',(0.,0.,1.)); #431991=DIRECTION('center_axis',(0.707108223597812,-0.707105338772341,0.)); #431992=DIRECTION('ref_axis',(0.707105338772341,0.707108223597812,0.)); #431993=DIRECTION('',(0.707105338772341,0.707108223597812,0.)); #431994=DIRECTION('',(0.707105338772341,0.707108223597812,0.)); #431995=DIRECTION('',(0.,0.,1.)); #431996=DIRECTION('center_axis',(0.778048811381718,-0.628203826084731,0.)); #431997=DIRECTION('ref_axis',(0.628203826084731,0.778048811381718,0.)); #431998=DIRECTION('',(0.628203826084731,0.778048811381718,0.)); #431999=DIRECTION('',(0.628203826084731,0.778048811381718,0.)); #432000=DIRECTION('',(0.,0.,1.)); #432001=DIRECTION('center_axis',(0.892938781493025,-0.450178111979861,0.)); #432002=DIRECTION('ref_axis',(0.45017811197986,0.892938781493025,0.)); #432003=DIRECTION('',(0.45017811197986,0.892938781493025,0.)); #432004=DIRECTION('',(0.45017811197986,0.892938781493025,0.)); #432005=DIRECTION('',(0.,0.,1.)); #432006=DIRECTION('center_axis',(0.967662278078034,-0.252249312357498,0.)); #432007=DIRECTION('ref_axis',(0.252249312357498,0.967662278078034,0.)); #432008=DIRECTION('',(0.252249312357498,0.967662278078034,0.)); #432009=DIRECTION('',(0.252249312357498,0.967662278078034,0.)); #432010=DIRECTION('',(0.,0.,1.)); #432011=DIRECTION('center_axis',(0.997238118320006,-0.0742706898417773, 0.)); #432012=DIRECTION('ref_axis',(0.0742706898417773,0.997238118320006,0.)); #432013=DIRECTION('',(0.0742706898417773,0.997238118320006,0.)); #432014=DIRECTION('',(0.0742706898417773,0.997238118320006,0.)); #432015=DIRECTION('',(0.,0.,1.)); #432016=DIRECTION('center_axis',(1.,0.,0.)); #432017=DIRECTION('ref_axis',(0.,1.,0.)); #432018=DIRECTION('',(0.,1.,0.)); #432019=DIRECTION('',(0.,1.,0.)); #432020=DIRECTION('',(0.,0.,1.)); #432021=DIRECTION('center_axis',(0.99437837556735,0.105885061288354,0.)); #432022=DIRECTION('ref_axis',(-0.105885061288354,0.99437837556735,0.)); #432023=DIRECTION('',(-0.105885061288354,0.99437837556735,0.)); #432024=DIRECTION('',(-0.105885061288354,0.99437837556735,0.)); #432025=DIRECTION('',(0.,0.,1.)); #432026=DIRECTION('center_axis',(0.949774222827774,0.312935976921634,0.)); #432027=DIRECTION('ref_axis',(-0.312935976921634,0.949774222827774,0.)); #432028=DIRECTION('',(-0.312935976921634,0.949774222827774,0.)); #432029=DIRECTION('',(-0.312935976921634,0.949774222827774,0.)); #432030=DIRECTION('',(0.,0.,1.)); #432031=DIRECTION('center_axis',(0.86247737068662,0.50609562836829,0.)); #432032=DIRECTION('ref_axis',(-0.50609562836829,0.86247737068662,0.)); #432033=DIRECTION('',(-0.50609562836829,0.86247737068662,0.)); #432034=DIRECTION('',(-0.50609562836829,0.86247737068662,0.)); #432035=DIRECTION('',(0.,0.,1.)); #432036=DIRECTION('center_axis',(0.757781125399535,0.65250882445237,0.)); #432037=DIRECTION('ref_axis',(-0.65250882445237,0.757781125399535,0.)); #432038=DIRECTION('',(-0.65250882445237,0.757781125399535,0.)); #432039=DIRECTION('',(-0.65250882445237,0.757781125399535,0.)); #432040=DIRECTION('',(0.,0.,1.)); #432041=DIRECTION('center_axis',(0.707105338772344,0.707108223597809,0.)); #432042=DIRECTION('ref_axis',(-0.707108223597808,0.707105338772344,0.)); #432043=DIRECTION('',(-0.707108223597808,0.707105338772344,0.)); #432044=DIRECTION('',(-0.707108223597808,0.707105338772344,0.)); #432045=DIRECTION('',(0.,0.,1.)); #432046=DIRECTION('center_axis',(0.628203826084731,0.778048811381718,0.)); #432047=DIRECTION('ref_axis',(-0.778048811381718,0.628203826084731,0.)); #432048=DIRECTION('',(-0.778048811381718,0.628203826084731,0.)); #432049=DIRECTION('',(-0.778048811381718,0.628203826084731,0.)); #432050=DIRECTION('',(0.,0.,1.)); #432051=DIRECTION('center_axis',(0.450178111979944,0.892938781492983,0.)); #432052=DIRECTION('ref_axis',(-0.892938781492983,0.450178111979944,0.)); #432053=DIRECTION('',(-0.892938781492983,0.450178111979944,0.)); #432054=DIRECTION('',(-0.892938781492983,0.450178111979944,0.)); #432055=DIRECTION('',(0.,0.,1.)); #432056=DIRECTION('center_axis',(0.252249312357822,0.967662278077949,0.)); #432057=DIRECTION('ref_axis',(-0.967662278077949,0.252249312357821,0.)); #432058=DIRECTION('',(-0.967662278077949,0.252249312357821,0.)); #432059=DIRECTION('',(-0.967662278077949,0.252249312357821,0.)); #432060=DIRECTION('',(0.,0.,1.)); #432061=DIRECTION('center_axis',(0.0742706898419095,0.997238118319996,0.)); #432062=DIRECTION('ref_axis',(-0.997238118319996,0.0742706898419095,0.)); #432063=DIRECTION('',(-0.997238118319996,0.0742706898419095,0.)); #432064=DIRECTION('',(-0.997238118319996,0.0742706898419095,0.)); #432065=DIRECTION('center_axis',(0.,1.,0.)); #432066=DIRECTION('ref_axis',(-1.,0.,0.)); #432067=DIRECTION('',(-1.,0.,0.)); #432068=DIRECTION('',(0.,0.,1.)); #432069=DIRECTION('',(-1.,0.,0.)); #432070=DIRECTION('',(0.,0.,1.)); #432071=DIRECTION('center_axis',(-0.707104327390303,0.707109234974277,0.)); #432072=DIRECTION('ref_axis',(-0.707109234974277,-0.707104327390303,0.)); #432073=DIRECTION('',(-0.707109234974277,-0.707104327390303,0.)); #432074=DIRECTION('',(-0.707109234974277,-0.707104327390303,0.)); #432075=DIRECTION('',(0.,0.,1.)); #432076=DIRECTION('center_axis',(-0.565439331101364,0.824789890119685,0.)); #432077=DIRECTION('ref_axis',(-0.824789890119685,-0.565439331101364,0.)); #432078=DIRECTION('',(-0.824789890119685,-0.565439331101364,0.)); #432079=DIRECTION('',(-0.824789890119685,-0.565439331101364,0.)); #432080=DIRECTION('',(0.,0.,1.)); #432081=DIRECTION('center_axis',(-0.214674314516549,0.976685690837564,0.)); #432082=DIRECTION('ref_axis',(-0.976685690837564,-0.214674314516549,0.)); #432083=DIRECTION('',(-0.976685690837564,-0.214674314516549,0.)); #432084=DIRECTION('',(-0.976685690837564,-0.214674314516549,0.)); #432085=DIRECTION('',(0.,0.,1.)); #432086=DIRECTION('center_axis',(0.183334818573699,0.98305052988061,0.)); #432087=DIRECTION('ref_axis',(-0.98305052988061,0.183334818573699,0.)); #432088=DIRECTION('',(-0.98305052988061,0.183334818573699,0.)); #432089=DIRECTION('',(-0.98305052988061,0.183334818573699,0.)); #432090=DIRECTION('',(0.,0.,1.)); #432091=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #432092=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #432093=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #432094=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #432095=DIRECTION('',(0.,0.,1.)); #432096=DIRECTION('center_axis',(0.707104327390303,0.707109234974277,0.)); #432097=DIRECTION('ref_axis',(-0.707109234974277,0.707104327390303,0.)); #432098=DIRECTION('',(-0.707109234974277,0.707104327390303,0.)); #432099=DIRECTION('',(-0.707109234974277,0.707104327390303,0.)); #432100=DIRECTION('',(0.,0.,1.)); #432101=DIRECTION('center_axis',(0.,1.,0.)); #432102=DIRECTION('ref_axis',(-1.,0.,0.)); #432103=DIRECTION('',(-1.,0.,0.)); #432104=DIRECTION('',(-1.,0.,0.)); #432105=DIRECTION('',(0.,0.,1.)); #432106=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #432107=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #432108=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #432109=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #432110=DIRECTION('',(0.,0.,1.)); #432111=DIRECTION('center_axis',(-1.,0.,0.)); #432112=DIRECTION('ref_axis',(0.,-1.,0.)); #432113=DIRECTION('',(0.,-1.,0.)); #432114=DIRECTION('',(0.,-1.,0.)); #432115=DIRECTION('',(0.,0.,1.)); #432116=DIRECTION('center_axis',(-0.707108784529055,-0.707104777838364, 0.)); #432117=DIRECTION('ref_axis',(0.707104777838364,-0.707108784529055,0.)); #432118=DIRECTION('',(0.707104777838364,-0.707108784529055,0.)); #432119=DIRECTION('',(0.707104777838364,-0.707108784529055,0.)); #432120=DIRECTION('',(0.,0.,1.)); #432121=DIRECTION('center_axis',(-0.829203833807318,-0.558946331949003, 0.)); #432122=DIRECTION('ref_axis',(0.558946331949003,-0.829203833807318,0.)); #432123=DIRECTION('',(0.558946331949003,-0.829203833807318,0.)); #432124=DIRECTION('',(0.558946331949003,-0.829203833807318,0.)); #432125=DIRECTION('',(0.,0.,1.)); #432126=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #432127=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #432128=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #432129=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #432130=DIRECTION('',(0.,0.,1.)); #432131=DIRECTION('center_axis',(-1.,0.,0.)); #432132=DIRECTION('ref_axis',(0.,-1.,0.)); #432133=DIRECTION('',(0.,-1.,0.)); #432134=DIRECTION('',(0.,-1.,0.)); #432135=DIRECTION('',(0.,0.,1.)); #432136=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #432137=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #432138=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #432139=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #432140=DIRECTION('',(0.,0.,1.)); #432141=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #432142=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #432143=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #432144=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #432145=DIRECTION('',(0.,0.,1.)); #432146=DIRECTION('center_axis',(-0.707108784529055,0.707104777838364,0.)); #432147=DIRECTION('ref_axis',(-0.707104777838364,-0.707108784529055,0.)); #432148=DIRECTION('',(-0.707104777838364,-0.707108784529055,0.)); #432149=DIRECTION('',(-0.707104777838364,-0.707108784529055,0.)); #432150=DIRECTION('',(0.,0.,1.)); #432151=DIRECTION('center_axis',(-1.,0.,0.)); #432152=DIRECTION('ref_axis',(0.,-1.,0.)); #432153=DIRECTION('',(0.,-1.,0.)); #432154=DIRECTION('',(0.,-1.,0.)); #432155=DIRECTION('',(0.,0.,1.)); #432156=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #432157=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #432158=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #432159=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #432160=DIRECTION('',(0.,0.,1.)); #432161=DIRECTION('center_axis',(0.,-1.,0.)); #432162=DIRECTION('ref_axis',(1.,0.,0.)); #432163=DIRECTION('',(1.,0.,0.)); #432164=DIRECTION('',(1.,0.,0.)); #432165=DIRECTION('',(0.,0.,1.)); #432166=DIRECTION('center_axis',(0.707104327390303,-0.707109234974277,0.)); #432167=DIRECTION('ref_axis',(0.707109234974277,0.707104327390303,0.)); #432168=DIRECTION('',(0.707109234974277,0.707104327390303,0.)); #432169=DIRECTION('',(0.707109234974277,0.707104327390303,0.)); #432170=DIRECTION('',(0.,0.,1.)); #432171=DIRECTION('center_axis',(0.565439331101256,-0.824789890119759,0.)); #432172=DIRECTION('ref_axis',(0.824789890119759,0.565439331101256,0.)); #432173=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #432174=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #432175=DIRECTION('',(0.,0.,1.)); #432176=DIRECTION('center_axis',(0.21467431451661,-0.976685690837551,0.)); #432177=DIRECTION('ref_axis',(0.976685690837551,0.21467431451661,0.)); #432178=DIRECTION('',(0.976685690837551,0.21467431451661,0.)); #432179=DIRECTION('',(0.976685690837551,0.21467431451661,0.)); #432180=DIRECTION('',(0.,0.,1.)); #432181=DIRECTION('center_axis',(-0.183334818573505,-0.983050529880647, 0.)); #432182=DIRECTION('ref_axis',(0.983050529880647,-0.183334818573505,0.)); #432183=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #432184=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #432185=DIRECTION('',(0.,0.,1.)); #432186=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #432187=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #432188=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #432189=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #432190=DIRECTION('',(0.,0.,1.)); #432191=DIRECTION('center_axis',(-0.707104327390303,-0.707109234974277, 0.)); #432192=DIRECTION('ref_axis',(0.707109234974277,-0.707104327390303,0.)); #432193=DIRECTION('',(0.707109234974277,-0.707104327390303,0.)); #432194=DIRECTION('',(0.707109234974277,-0.707104327390303,0.)); #432195=DIRECTION('',(0.,0.,1.)); #432196=DIRECTION('center_axis',(0.,-1.,0.)); #432197=DIRECTION('ref_axis',(1.,0.,0.)); #432198=DIRECTION('',(1.,0.,0.)); #432199=DIRECTION('',(1.,0.,0.)); #432200=DIRECTION('',(0.,0.,1.)); #432201=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #432202=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #432203=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #432204=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #432205=DIRECTION('',(0.,0.,1.)); #432206=DIRECTION('center_axis',(1.,0.,0.)); #432207=DIRECTION('ref_axis',(0.,1.,0.)); #432208=DIRECTION('',(0.,1.,0.)); #432209=DIRECTION('',(0.,1.,0.)); #432210=DIRECTION('',(0.,0.,1.)); #432211=DIRECTION('center_axis',(0.707108784529073,0.707104777838346,0.)); #432212=DIRECTION('ref_axis',(-0.707104777838346,0.707108784529073,0.)); #432213=DIRECTION('',(-0.707104777838346,0.707108784529073,0.)); #432214=DIRECTION('',(-0.707104777838346,0.707108784529073,0.)); #432215=DIRECTION('',(0.,0.,1.)); #432216=DIRECTION('center_axis',(0.829203833807318,0.558946331949003,0.)); #432217=DIRECTION('ref_axis',(-0.558946331949003,0.829203833807318,0.)); #432218=DIRECTION('',(-0.558946331949003,0.829203833807318,0.)); #432219=DIRECTION('',(-0.558946331949003,0.829203833807318,0.)); #432220=DIRECTION('',(0.,0.,1.)); #432221=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #432222=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #432223=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #432224=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #432225=DIRECTION('',(0.,0.,1.)); #432226=DIRECTION('center_axis',(1.,0.,0.)); #432227=DIRECTION('ref_axis',(0.,1.,0.)); #432228=DIRECTION('',(0.,1.,0.)); #432229=DIRECTION('',(0.,1.,0.)); #432230=DIRECTION('',(0.,0.,1.)); #432231=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #432232=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #432233=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #432234=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #432235=DIRECTION('',(0.,0.,1.)); #432236=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #432237=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #432238=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #432239=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #432240=DIRECTION('',(0.,0.,1.)); #432241=DIRECTION('center_axis',(0.707108784529073,-0.707104777838346,0.)); #432242=DIRECTION('ref_axis',(0.707104777838346,0.707108784529073,0.)); #432243=DIRECTION('',(0.707104777838346,0.707108784529073,0.)); #432244=DIRECTION('',(0.707104777838346,0.707108784529073,0.)); #432245=DIRECTION('',(0.,0.,1.)); #432246=DIRECTION('center_axis',(1.,0.,0.)); #432247=DIRECTION('ref_axis',(0.,1.,0.)); #432248=DIRECTION('',(0.,1.,0.)); #432249=DIRECTION('',(0.,1.,0.)); #432250=DIRECTION('',(0.,0.,1.)); #432251=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #432252=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #432253=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #432254=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #432255=DIRECTION('center_axis',(0.,1.,0.)); #432256=DIRECTION('ref_axis',(-1.,0.,0.)); #432257=DIRECTION('',(-1.,0.,0.)); #432258=DIRECTION('',(0.,0.,1.)); #432259=DIRECTION('',(-1.,0.,0.)); #432260=DIRECTION('',(0.,0.,1.)); #432261=DIRECTION('center_axis',(-0.707104777838355,0.707108784529064,0.)); #432262=DIRECTION('ref_axis',(-0.707108784529064,-0.707104777838355,0.)); #432263=DIRECTION('',(-0.707108784529064,-0.707104777838355,0.)); #432264=DIRECTION('',(-0.707108784529064,-0.707104777838355,0.)); #432265=DIRECTION('',(0.,0.,1.)); #432266=DIRECTION('center_axis',(-0.558946331948969,0.829203833807341,0.)); #432267=DIRECTION('ref_axis',(-0.829203833807341,-0.558946331948969,0.)); #432268=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #432269=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #432270=DIRECTION('',(0.,0.,1.)); #432271=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #432272=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #432273=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #432274=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #432275=DIRECTION('',(0.,0.,1.)); #432276=DIRECTION('center_axis',(0.,1.,0.)); #432277=DIRECTION('ref_axis',(-1.,0.,0.)); #432278=DIRECTION('',(-1.,0.,0.)); #432279=DIRECTION('',(-1.,0.,0.)); #432280=DIRECTION('',(0.,0.,1.)); #432281=DIRECTION('center_axis',(0.191149856928917,0.981560865252917,0.)); #432282=DIRECTION('ref_axis',(-0.981560865252917,0.191149856928917,0.)); #432283=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #432284=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #432285=DIRECTION('',(0.,0.,1.)); #432286=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #432287=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #432288=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #432289=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #432290=DIRECTION('',(0.,0.,1.)); #432291=DIRECTION('center_axis',(0.707104777838355,0.707108784529064,0.)); #432292=DIRECTION('ref_axis',(-0.707108784529064,0.707104777838355,0.)); #432293=DIRECTION('',(-0.707108784529064,0.707104777838355,0.)); #432294=DIRECTION('',(-0.707108784529064,0.707104777838355,0.)); #432295=DIRECTION('',(0.,0.,1.)); #432296=DIRECTION('center_axis',(0.,1.,0.)); #432297=DIRECTION('ref_axis',(-1.,0.,0.)); #432298=DIRECTION('',(-1.,0.,0.)); #432299=DIRECTION('',(-1.,0.,0.)); #432300=DIRECTION('',(0.,0.,1.)); #432301=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #432302=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #432303=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #432304=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #432305=DIRECTION('',(0.,0.,1.)); #432306=DIRECTION('center_axis',(-1.,0.,0.)); #432307=DIRECTION('ref_axis',(0.,-1.,0.)); #432308=DIRECTION('',(0.,-1.,0.)); #432309=DIRECTION('',(0.,-1.,0.)); #432310=DIRECTION('',(0.,0.,1.)); #432311=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #432312=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #432313=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #432314=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #432315=DIRECTION('',(0.,0.,1.)); #432316=DIRECTION('center_axis',(0.,-1.,0.)); #432317=DIRECTION('ref_axis',(1.,0.,0.)); #432318=DIRECTION('',(1.,0.,0.)); #432319=DIRECTION('',(1.,0.,0.)); #432320=DIRECTION('',(0.,0.,1.)); #432321=DIRECTION('center_axis',(0.707104777838364,-0.707108784529055,0.)); #432322=DIRECTION('ref_axis',(0.707108784529055,0.707104777838364,0.)); #432323=DIRECTION('',(0.707108784529055,0.707104777838364,0.)); #432324=DIRECTION('',(0.707108784529055,0.707104777838364,0.)); #432325=DIRECTION('',(0.,0.,1.)); #432326=DIRECTION('center_axis',(0.558946331948898,-0.829203833807389,0.)); #432327=DIRECTION('ref_axis',(0.829203833807389,0.558946331948898,0.)); #432328=DIRECTION('',(0.829203833807389,0.558946331948898,0.)); #432329=DIRECTION('',(0.829203833807389,0.558946331948898,0.)); #432330=DIRECTION('',(0.,0.,1.)); #432331=DIRECTION('center_axis',(0.198956019232571,-0.980008419561347,0.)); #432332=DIRECTION('ref_axis',(0.980008419561347,0.198956019232571,0.)); #432333=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #432334=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #432335=DIRECTION('',(0.,0.,1.)); #432336=DIRECTION('center_axis',(0.,-1.,0.)); #432337=DIRECTION('ref_axis',(1.,0.,0.)); #432338=DIRECTION('',(1.,0.,0.)); #432339=DIRECTION('',(1.,0.,0.)); #432340=DIRECTION('',(0.,0.,1.)); #432341=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #432342=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #432343=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #432344=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #432345=DIRECTION('',(0.,0.,1.)); #432346=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #432347=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #432348=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #432349=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #432350=DIRECTION('',(0.,0.,1.)); #432351=DIRECTION('center_axis',(-0.707104777838364,-0.707108784529055, 0.)); #432352=DIRECTION('ref_axis',(0.707108784529055,-0.707104777838364,0.)); #432353=DIRECTION('',(0.707108784529055,-0.707104777838364,0.)); #432354=DIRECTION('',(0.707108784529055,-0.707104777838364,0.)); #432355=DIRECTION('',(0.,0.,1.)); #432356=DIRECTION('center_axis',(0.,-1.,0.)); #432357=DIRECTION('ref_axis',(1.,0.,0.)); #432358=DIRECTION('',(1.,0.,0.)); #432359=DIRECTION('',(1.,0.,0.)); #432360=DIRECTION('',(0.,0.,1.)); #432361=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #432362=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #432363=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #432364=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #432365=DIRECTION('',(0.,0.,1.)); #432366=DIRECTION('center_axis',(1.,0.,0.)); #432367=DIRECTION('ref_axis',(0.,1.,0.)); #432368=DIRECTION('',(0.,1.,0.)); #432369=DIRECTION('',(0.,1.,0.)); #432370=DIRECTION('',(0.,0.,1.)); #432371=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #432372=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #432373=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #432374=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #432375=DIRECTION('center_axis',(0.,1.,0.)); #432376=DIRECTION('ref_axis',(-1.,0.,0.)); #432377=DIRECTION('',(-1.,0.,0.)); #432378=DIRECTION('',(0.,0.,1.)); #432379=DIRECTION('',(-1.,0.,0.)); #432380=DIRECTION('',(0.,0.,1.)); #432381=DIRECTION('center_axis',(-0.707105069761834,0.707108492607118,0.)); #432382=DIRECTION('ref_axis',(-0.707108492607118,-0.707105069761834,0.)); #432383=DIRECTION('',(-0.707108492607118,-0.707105069761834,0.)); #432384=DIRECTION('',(-0.707108492607118,-0.707105069761834,0.)); #432385=DIRECTION('',(0.,0.,1.)); #432386=DIRECTION('center_axis',(-0.56543933110131,0.824789890119722,0.)); #432387=DIRECTION('ref_axis',(-0.824789890119722,-0.56543933110131,0.)); #432388=DIRECTION('',(-0.824789890119722,-0.56543933110131,0.)); #432389=DIRECTION('',(-0.824789890119722,-0.56543933110131,0.)); #432390=DIRECTION('',(0.,0.,1.)); #432391=DIRECTION('center_axis',(-0.214674314516579,0.976685690837557,0.)); #432392=DIRECTION('ref_axis',(-0.976685690837557,-0.214674314516579,0.)); #432393=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #432394=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #432395=DIRECTION('',(0.,0.,1.)); #432396=DIRECTION('center_axis',(0.183334818573602,0.983050529880628,0.)); #432397=DIRECTION('ref_axis',(-0.983050529880628,0.183334818573602,0.)); #432398=DIRECTION('',(-0.983050529880628,0.183334818573602,0.)); #432399=DIRECTION('',(-0.983050529880628,0.183334818573602,0.)); #432400=DIRECTION('',(0.,0.,1.)); #432401=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #432402=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #432403=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #432404=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #432405=DIRECTION('',(0.,0.,1.)); #432406=DIRECTION('center_axis',(0.707105069761834,0.707108492607118,0.)); #432407=DIRECTION('ref_axis',(-0.707108492607118,0.707105069761834,0.)); #432408=DIRECTION('',(-0.707108492607118,0.707105069761834,0.)); #432409=DIRECTION('',(-0.707108492607118,0.707105069761834,0.)); #432410=DIRECTION('',(0.,0.,1.)); #432411=DIRECTION('center_axis',(0.,1.,0.)); #432412=DIRECTION('ref_axis',(-1.,0.,0.)); #432413=DIRECTION('',(-1.,0.,0.)); #432414=DIRECTION('',(-1.,0.,0.)); #432415=DIRECTION('',(0.,0.,1.)); #432416=DIRECTION('center_axis',(-0.707104777838369,0.707108784529051,0.)); #432417=DIRECTION('ref_axis',(-0.707108784529051,-0.707104777838369,0.)); #432418=DIRECTION('',(-0.707108784529051,-0.707104777838369,0.)); #432419=DIRECTION('',(-0.707108784529051,-0.707104777838369,0.)); #432420=DIRECTION('',(0.,0.,1.)); #432421=DIRECTION('center_axis',(-0.558946331948846,0.829203833807424,0.)); #432422=DIRECTION('ref_axis',(-0.829203833807424,-0.558946331948846,0.)); #432423=DIRECTION('',(-0.829203833807424,-0.558946331948846,0.)); #432424=DIRECTION('',(-0.829203833807424,-0.558946331948846,0.)); #432425=DIRECTION('',(0.,0.,1.)); #432426=DIRECTION('center_axis',(-0.198956019232641,0.980008419561333,0.)); #432427=DIRECTION('ref_axis',(-0.980008419561333,-0.198956019232641,0.)); #432428=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #432429=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #432430=DIRECTION('',(0.,0.,1.)); #432431=DIRECTION('center_axis',(0.,1.,0.)); #432432=DIRECTION('ref_axis',(-1.,0.,0.)); #432433=DIRECTION('',(-1.,0.,0.)); #432434=DIRECTION('',(-1.,0.,0.)); #432435=DIRECTION('',(0.,0.,1.)); #432436=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #432437=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #432438=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #432439=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #432440=DIRECTION('',(0.,0.,1.)); #432441=DIRECTION('center_axis',(-0.558946331949005,0.829203833807317,0.)); #432442=DIRECTION('ref_axis',(-0.829203833807317,-0.558946331949005,0.)); #432443=DIRECTION('',(-0.829203833807317,-0.558946331949005,0.)); #432444=DIRECTION('',(-0.829203833807317,-0.558946331949005,0.)); #432445=DIRECTION('',(0.,0.,1.)); #432446=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #432447=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #432448=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #432449=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #432450=DIRECTION('',(0.,0.,1.)); #432451=DIRECTION('center_axis',(0.,1.,0.)); #432452=DIRECTION('ref_axis',(-1.,0.,0.)); #432453=DIRECTION('',(-1.,0.,0.)); #432454=DIRECTION('',(-1.,0.,0.)); #432455=DIRECTION('',(0.,0.,1.)); #432456=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #432457=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #432458=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #432459=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #432460=DIRECTION('',(0.,0.,1.)); #432461=DIRECTION('center_axis',(-1.,0.,0.)); #432462=DIRECTION('ref_axis',(0.,-1.,0.)); #432463=DIRECTION('',(0.,-1.,0.)); #432464=DIRECTION('',(0.,-1.,0.)); #432465=DIRECTION('',(0.,0.,1.)); #432466=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #432467=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #432468=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #432469=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #432470=DIRECTION('',(0.,0.,1.)); #432471=DIRECTION('center_axis',(0.,-1.,0.)); #432472=DIRECTION('ref_axis',(1.,0.,0.)); #432473=DIRECTION('',(1.,0.,0.)); #432474=DIRECTION('',(1.,0.,0.)); #432475=DIRECTION('',(0.,0.,1.)); #432476=DIRECTION('center_axis',(0.707106781186551,-0.707106781186544,0.)); #432477=DIRECTION('ref_axis',(0.707106781186544,0.707106781186551,0.)); #432478=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #432479=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #432480=DIRECTION('',(0.,0.,1.)); #432481=DIRECTION('center_axis',(1.,0.,0.)); #432482=DIRECTION('ref_axis',(0.,1.,0.)); #432483=DIRECTION('',(0.,1.,0.)); #432484=DIRECTION('',(0.,1.,0.)); #432485=DIRECTION('',(0.,0.,1.)); #432486=DIRECTION('center_axis',(0.981560865252914,-0.191149856928931,0.)); #432487=DIRECTION('ref_axis',(0.191149856928931,0.981560865252914,0.)); #432488=DIRECTION('',(0.191149856928931,0.981560865252914,0.)); #432489=DIRECTION('',(0.191149856928931,0.981560865252914,0.)); #432490=DIRECTION('',(0.,0.,1.)); #432491=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #432492=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #432493=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #432494=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #432495=DIRECTION('',(0.,0.,1.)); #432496=DIRECTION('center_axis',(0.707106781186554,-0.707106781186541,0.)); #432497=DIRECTION('ref_axis',(0.707106781186541,0.707106781186554,0.)); #432498=DIRECTION('',(0.707106781186541,0.707106781186554,0.)); #432499=DIRECTION('',(0.707106781186541,0.707106781186554,0.)); #432500=DIRECTION('',(0.,0.,1.)); #432501=DIRECTION('center_axis',(0.558946331948775,-0.829203833807472,0.)); #432502=DIRECTION('ref_axis',(0.829203833807472,0.558946331948775,0.)); #432503=DIRECTION('',(0.829203833807472,0.558946331948775,0.)); #432504=DIRECTION('',(0.829203833807472,0.558946331948775,0.)); #432505=DIRECTION('',(0.,0.,1.)); #432506=DIRECTION('center_axis',(0.19895601923267,-0.980008419561327,0.)); #432507=DIRECTION('ref_axis',(0.980008419561327,0.19895601923267,0.)); #432508=DIRECTION('',(0.980008419561327,0.19895601923267,0.)); #432509=DIRECTION('',(0.980008419561327,0.19895601923267,0.)); #432510=DIRECTION('',(0.,0.,1.)); #432511=DIRECTION('center_axis',(0.,-1.,0.)); #432512=DIRECTION('ref_axis',(1.,0.,0.)); #432513=DIRECTION('',(1.,0.,0.)); #432514=DIRECTION('',(1.,0.,0.)); #432515=DIRECTION('',(0.,0.,1.)); #432516=DIRECTION('center_axis',(-0.191149856928741,-0.981560865252951, 0.)); #432517=DIRECTION('ref_axis',(0.981560865252951,-0.191149856928741,0.)); #432518=DIRECTION('',(0.981560865252951,-0.191149856928741,0.)); #432519=DIRECTION('',(0.981560865252951,-0.191149856928741,0.)); #432520=DIRECTION('',(0.,0.,1.)); #432521=DIRECTION('center_axis',(-0.552278225391961,-0.83365985974971,0.)); #432522=DIRECTION('ref_axis',(0.83365985974971,-0.552278225391961,0.)); #432523=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #432524=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #432525=DIRECTION('',(0.,0.,1.)); #432526=DIRECTION('center_axis',(-0.707104777838369,-0.707108784529051, 0.)); #432527=DIRECTION('ref_axis',(0.707108784529051,-0.707104777838369,0.)); #432528=DIRECTION('',(0.707108784529051,-0.707104777838369,0.)); #432529=DIRECTION('',(0.707108784529051,-0.707104777838369,0.)); #432530=DIRECTION('',(0.,0.,1.)); #432531=DIRECTION('center_axis',(0.,-1.,0.)); #432532=DIRECTION('ref_axis',(1.,0.,0.)); #432533=DIRECTION('',(1.,0.,0.)); #432534=DIRECTION('',(1.,0.,0.)); #432535=DIRECTION('',(0.,0.,1.)); #432536=DIRECTION('center_axis',(0.707105069761834,-0.707108492607118,0.)); #432537=DIRECTION('ref_axis',(0.707108492607118,0.707105069761834,0.)); #432538=DIRECTION('',(0.707108492607118,0.707105069761834,0.)); #432539=DIRECTION('',(0.707108492607118,0.707105069761834,0.)); #432540=DIRECTION('',(0.,0.,1.)); #432541=DIRECTION('center_axis',(0.56543933110131,-0.824789890119722,0.)); #432542=DIRECTION('ref_axis',(0.824789890119722,0.56543933110131,0.)); #432543=DIRECTION('',(0.824789890119722,0.56543933110131,0.)); #432544=DIRECTION('',(0.824789890119722,0.56543933110131,0.)); #432545=DIRECTION('',(0.,0.,1.)); #432546=DIRECTION('center_axis',(0.214674314516579,-0.976685690837557,0.)); #432547=DIRECTION('ref_axis',(0.976685690837557,0.214674314516579,0.)); #432548=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #432549=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #432550=DIRECTION('',(0.,0.,1.)); #432551=DIRECTION('center_axis',(-0.183334818573602,-0.983050529880628, 0.)); #432552=DIRECTION('ref_axis',(0.983050529880628,-0.183334818573602,0.)); #432553=DIRECTION('',(0.983050529880628,-0.183334818573602,0.)); #432554=DIRECTION('',(0.983050529880628,-0.183334818573602,0.)); #432555=DIRECTION('',(0.,0.,1.)); #432556=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #432557=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #432558=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #432559=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #432560=DIRECTION('',(0.,0.,1.)); #432561=DIRECTION('center_axis',(-0.707105069761834,-0.707108492607118, 0.)); #432562=DIRECTION('ref_axis',(0.707108492607118,-0.707105069761834,0.)); #432563=DIRECTION('',(0.707108492607118,-0.707105069761834,0.)); #432564=DIRECTION('',(0.707108492607118,-0.707105069761834,0.)); #432565=DIRECTION('',(0.,0.,1.)); #432566=DIRECTION('center_axis',(0.,-1.,0.)); #432567=DIRECTION('ref_axis',(1.,0.,0.)); #432568=DIRECTION('',(1.,0.,0.)); #432569=DIRECTION('',(1.,0.,0.)); #432570=DIRECTION('',(0.,0.,1.)); #432571=DIRECTION('center_axis',(0.707104777838369,-0.707108784529051,0.)); #432572=DIRECTION('ref_axis',(0.707108784529051,0.707104777838369,0.)); #432573=DIRECTION('',(0.707108784529051,0.707104777838369,0.)); #432574=DIRECTION('',(0.707108784529051,0.707104777838369,0.)); #432575=DIRECTION('',(0.,0.,1.)); #432576=DIRECTION('center_axis',(0.558946331948846,-0.829203833807424,0.)); #432577=DIRECTION('ref_axis',(0.829203833807424,0.558946331948846,0.)); #432578=DIRECTION('',(0.829203833807424,0.558946331948846,0.)); #432579=DIRECTION('',(0.829203833807424,0.558946331948846,0.)); #432580=DIRECTION('',(0.,0.,1.)); #432581=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #432582=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #432583=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #432584=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #432585=DIRECTION('',(0.,0.,1.)); #432586=DIRECTION('center_axis',(0.,-1.,0.)); #432587=DIRECTION('ref_axis',(1.,0.,0.)); #432588=DIRECTION('',(1.,0.,0.)); #432589=DIRECTION('',(1.,0.,0.)); #432590=DIRECTION('',(0.,0.,1.)); #432591=DIRECTION('center_axis',(-0.17550205938607,-0.984479063846077,0.)); #432592=DIRECTION('ref_axis',(0.984479063846077,-0.17550205938607,0.)); #432593=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #432594=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #432595=DIRECTION('',(0.,0.,1.)); #432596=DIRECTION('center_axis',(-0.52535880204888,-0.850880796063565,0.)); #432597=DIRECTION('ref_axis',(0.850880796063565,-0.52535880204888,0.)); #432598=DIRECTION('',(0.850880796063565,-0.52535880204888,0.)); #432599=DIRECTION('',(0.850880796063565,-0.52535880204888,0.)); #432600=DIRECTION('',(0.,0.,1.)); #432601=DIRECTION('center_axis',(-0.815709411156713,-0.578461888589359, 0.)); #432602=DIRECTION('ref_axis',(0.578461888589359,-0.815709411156713,0.)); #432603=DIRECTION('',(0.578461888589359,-0.815709411156713,0.)); #432604=DIRECTION('',(0.578461888589359,-0.815709411156713,0.)); #432605=DIRECTION('',(0.,0.,1.)); #432606=DIRECTION('center_axis',(-0.976685690837561,-0.214674314516564, 0.)); #432607=DIRECTION('ref_axis',(0.214674314516564,-0.976685690837561,0.)); #432608=DIRECTION('',(0.214674314516564,-0.976685690837561,0.)); #432609=DIRECTION('',(0.214674314516564,-0.976685690837561,0.)); #432610=DIRECTION('',(0.,0.,1.)); #432611=DIRECTION('center_axis',(-0.983050529880618,0.18333481857366,0.)); #432612=DIRECTION('ref_axis',(-0.18333481857366,-0.983050529880618,0.)); #432613=DIRECTION('',(-0.18333481857366,-0.983050529880618,0.)); #432614=DIRECTION('',(-0.18333481857366,-0.983050529880618,0.)); #432615=DIRECTION('',(0.,0.,1.)); #432616=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #432617=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #432618=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #432619=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #432620=DIRECTION('',(0.,0.,1.)); #432621=DIRECTION('center_axis',(-0.7071084311098,0.707105131259446,0.)); #432622=DIRECTION('ref_axis',(-0.707105131259446,-0.7071084311098,0.)); #432623=DIRECTION('',(-0.707105131259446,-0.7071084311098,0.)); #432624=DIRECTION('',(-0.707105131259446,-0.7071084311098,0.)); #432625=DIRECTION('',(0.,0.,1.)); #432626=DIRECTION('center_axis',(-1.,0.,0.)); #432627=DIRECTION('ref_axis',(0.,-1.,0.)); #432628=DIRECTION('',(0.,-1.,0.)); #432629=DIRECTION('',(0.,-1.,0.)); #432630=DIRECTION('',(0.,0.,1.)); #432631=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #432632=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #432633=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #432634=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #432635=DIRECTION('',(0.,0.,1.)); #432636=DIRECTION('center_axis',(0.,-1.,0.)); #432637=DIRECTION('ref_axis',(1.,0.,0.)); #432638=DIRECTION('',(1.,0.,0.)); #432639=DIRECTION('',(1.,0.,0.)); #432640=DIRECTION('',(0.,0.,1.)); #432641=DIRECTION('center_axis',(0.707104777838369,-0.707108784529051,0.)); #432642=DIRECTION('ref_axis',(0.707108784529051,0.707104777838369,0.)); #432643=DIRECTION('',(0.707108784529051,0.707104777838369,0.)); #432644=DIRECTION('',(0.707108784529051,0.707104777838369,0.)); #432645=DIRECTION('',(0.,0.,1.)); #432646=DIRECTION('center_axis',(0.558946331948917,-0.829203833807377,0.)); #432647=DIRECTION('ref_axis',(0.829203833807377,0.558946331948917,0.)); #432648=DIRECTION('',(0.829203833807377,0.558946331948917,0.)); #432649=DIRECTION('',(0.829203833807377,0.558946331948917,0.)); #432650=DIRECTION('',(0.,0.,1.)); #432651=DIRECTION('center_axis',(0.198956019232613,-0.980008419561338,0.)); #432652=DIRECTION('ref_axis',(0.980008419561339,0.198956019232613,0.)); #432653=DIRECTION('',(0.980008419561338,0.198956019232613,0.)); #432654=DIRECTION('',(0.980008419561338,0.198956019232613,0.)); #432655=DIRECTION('',(0.,0.,1.)); #432656=DIRECTION('center_axis',(0.,-1.,0.)); #432657=DIRECTION('ref_axis',(1.,0.,0.)); #432658=DIRECTION('',(1.,0.,0.)); #432659=DIRECTION('',(1.,0.,0.)); #432660=DIRECTION('',(0.,0.,1.)); #432661=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #432662=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #432663=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #432664=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #432665=DIRECTION('',(0.,0.,1.)); #432666=DIRECTION('center_axis',(-0.552278225391961,-0.83365985974971,0.)); #432667=DIRECTION('ref_axis',(0.83365985974971,-0.552278225391961,0.)); #432668=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #432669=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #432670=DIRECTION('',(0.,0.,1.)); #432671=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #432672=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #432673=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #432674=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #432675=DIRECTION('',(0.,0.,1.)); #432676=DIRECTION('center_axis',(-0.829203833807318,-0.558946331949004, 0.)); #432677=DIRECTION('ref_axis',(0.558946331949004,-0.829203833807318,0.)); #432678=DIRECTION('',(0.558946331949004,-0.829203833807318,0.)); #432679=DIRECTION('',(0.558946331949004,-0.829203833807318,0.)); #432680=DIRECTION('',(0.,0.,1.)); #432681=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #432682=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #432683=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #432684=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #432685=DIRECTION('',(0.,0.,1.)); #432686=DIRECTION('center_axis',(-1.,0.,0.)); #432687=DIRECTION('ref_axis',(0.,-1.,0.)); #432688=DIRECTION('',(0.,-1.,0.)); #432689=DIRECTION('',(0.,-1.,0.)); #432690=DIRECTION('',(0.,0.,1.)); #432691=DIRECTION('center_axis',(-0.983056036329416,0.183305290257262,0.)); #432692=DIRECTION('ref_axis',(-0.183305290257262,-0.983056036329416,0.)); #432693=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #432694=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #432695=DIRECTION('',(0.,0.,1.)); #432696=DIRECTION('center_axis',(-0.842362410729284,0.538911466746022,0.)); #432697=DIRECTION('ref_axis',(-0.538911466746022,-0.842362410729284,0.)); #432698=DIRECTION('',(-0.538911466746022,-0.842362410729284,0.)); #432699=DIRECTION('',(-0.538911466746022,-0.842362410729284,0.)); #432700=DIRECTION('',(0.,0.,1.)); #432701=DIRECTION('center_axis',(-0.565504004714981,0.824745549033954,0.)); #432702=DIRECTION('ref_axis',(-0.824745549033954,-0.565504004714981,0.)); #432703=DIRECTION('',(-0.824745549033954,-0.565504004714981,0.)); #432704=DIRECTION('',(-0.824745549033954,-0.565504004714981,0.)); #432705=DIRECTION('',(0.,0.,1.)); #432706=DIRECTION('center_axis',(-0.198956019232641,0.980008419561333,0.)); #432707=DIRECTION('ref_axis',(-0.980008419561333,-0.198956019232641,0.)); #432708=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #432709=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #432710=DIRECTION('',(0.,0.,1.)); #432711=DIRECTION('center_axis',(0.,1.,0.)); #432712=DIRECTION('ref_axis',(-1.,0.,0.)); #432713=DIRECTION('',(-1.,0.,0.)); #432714=DIRECTION('',(-1.,0.,0.)); #432715=DIRECTION('',(0.,0.,1.)); #432716=DIRECTION('center_axis',(-0.707106781186556,0.707106781186539,0.)); #432717=DIRECTION('ref_axis',(-0.707106781186539,-0.707106781186556,0.)); #432718=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #432719=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #432720=DIRECTION('',(0.,0.,1.)); #432721=DIRECTION('center_axis',(-1.,0.,0.)); #432722=DIRECTION('ref_axis',(0.,-1.,0.)); #432723=DIRECTION('',(0.,-1.,0.)); #432724=DIRECTION('',(0.,-1.,0.)); #432725=DIRECTION('',(0.,0.,1.)); #432726=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #432727=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #432728=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #432729=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #432730=DIRECTION('',(0.,0.,1.)); #432731=DIRECTION('center_axis',(0.,-1.,0.)); #432732=DIRECTION('ref_axis',(1.,0.,0.)); #432733=DIRECTION('',(1.,0.,0.)); #432734=DIRECTION('',(1.,0.,0.)); #432735=DIRECTION('',(0.,0.,1.)); #432736=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #432737=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #432738=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #432739=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #432740=DIRECTION('',(0.,0.,1.)); #432741=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #432742=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #432743=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #432744=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #432745=DIRECTION('',(0.,0.,1.)); #432746=DIRECTION('center_axis',(-0.824745549033852,-0.565504004715131, 0.)); #432747=DIRECTION('ref_axis',(0.565504004715131,-0.824745549033852,0.)); #432748=DIRECTION('',(0.565504004715131,-0.824745549033852,0.)); #432749=DIRECTION('',(0.565504004715131,-0.824745549033852,0.)); #432750=DIRECTION('',(0.,0.,1.)); #432751=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #432752=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #432753=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #432754=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #432755=DIRECTION('',(0.,0.,1.)); #432756=DIRECTION('center_axis',(-1.,0.,0.)); #432757=DIRECTION('ref_axis',(0.,-1.,0.)); #432758=DIRECTION('',(0.,-1.,0.)); #432759=DIRECTION('',(0.,-1.,0.)); #432760=DIRECTION('',(0.,0.,1.)); #432761=DIRECTION('center_axis',(-0.981560865252946,0.19114985692877,0.)); #432762=DIRECTION('ref_axis',(-0.19114985692877,-0.981560865252945,0.)); #432763=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #432764=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #432765=DIRECTION('',(0.,0.,1.)); #432766=DIRECTION('center_axis',(-0.83365985974971,0.552278225391961,0.)); #432767=DIRECTION('ref_axis',(-0.552278225391961,-0.83365985974971,0.)); #432768=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #432769=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #432770=DIRECTION('',(0.,0.,1.)); #432771=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #432772=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #432773=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #432774=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #432775=DIRECTION('',(0.,0.,1.)); #432776=DIRECTION('center_axis',(-0.558946331948969,0.829203833807341,0.)); #432777=DIRECTION('ref_axis',(-0.829203833807341,-0.558946331948969,0.)); #432778=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #432779=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #432780=DIRECTION('',(0.,0.,1.)); #432781=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #432782=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #432783=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #432784=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #432785=DIRECTION('',(0.,0.,1.)); #432786=DIRECTION('center_axis',(0.,1.,0.)); #432787=DIRECTION('ref_axis',(-1.,0.,0.)); #432788=DIRECTION('',(-1.,0.,0.)); #432789=DIRECTION('',(-1.,0.,0.)); #432790=DIRECTION('',(0.,0.,1.)); #432791=DIRECTION('center_axis',(0.19114985692877,0.981560865252946,0.)); #432792=DIRECTION('ref_axis',(-0.981560865252945,0.19114985692877,0.)); #432793=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #432794=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #432795=DIRECTION('',(0.,0.,1.)); #432796=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #432797=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #432798=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #432799=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #432800=DIRECTION('',(0.,0.,1.)); #432801=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #432802=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #432803=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #432804=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #432805=DIRECTION('',(0.,0.,1.)); #432806=DIRECTION('center_axis',(0.829203833807271,0.558946331949074,0.)); #432807=DIRECTION('ref_axis',(-0.558946331949074,0.829203833807271,0.)); #432808=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #432809=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #432810=DIRECTION('',(0.,0.,1.)); #432811=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #432812=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #432813=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #432814=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #432815=DIRECTION('',(0.,0.,1.)); #432816=DIRECTION('center_axis',(1.,0.,0.)); #432817=DIRECTION('ref_axis',(0.,1.,0.)); #432818=DIRECTION('',(0.,1.,0.)); #432819=DIRECTION('',(0.,1.,0.)); #432820=DIRECTION('',(0.,0.,1.)); #432821=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #432822=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #432823=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #432824=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #432825=DIRECTION('',(0.,0.,1.)); #432826=DIRECTION('center_axis',(0.,1.,0.)); #432827=DIRECTION('ref_axis',(-1.,0.,0.)); #432828=DIRECTION('',(-1.,0.,0.)); #432829=DIRECTION('',(-1.,0.,0.)); #432830=DIRECTION('',(0.,0.,1.)); #432831=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #432832=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #432833=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #432834=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #432835=DIRECTION('',(0.,0.,1.)); #432836=DIRECTION('center_axis',(-1.,0.,0.)); #432837=DIRECTION('ref_axis',(0.,-1.,0.)); #432838=DIRECTION('',(0.,-1.,0.)); #432839=DIRECTION('',(0.,-1.,0.)); #432840=DIRECTION('',(0.,0.,1.)); #432841=DIRECTION('center_axis',(-0.707110741027282,-0.707102821323638, 0.)); #432842=DIRECTION('ref_axis',(0.707102821323638,-0.707110741027282,0.)); #432843=DIRECTION('',(0.707102821323638,-0.707110741027282,0.)); #432844=DIRECTION('',(0.707102821323638,-0.707110741027282,0.)); #432845=DIRECTION('',(0.,0.,1.)); #432846=DIRECTION('center_axis',(-0.820212632122783,-0.572058771549057, 0.)); #432847=DIRECTION('ref_axis',(0.572058771549057,-0.820212632122783,0.)); #432848=DIRECTION('',(0.572058771549057,-0.820212632122783,0.)); #432849=DIRECTION('',(0.572058771549057,-0.820212632122783,0.)); #432850=DIRECTION('',(0.,0.,1.)); #432851=DIRECTION('center_axis',(-0.973144071552987,-0.230196907019351, 0.)); #432852=DIRECTION('ref_axis',(0.230196907019351,-0.973144071552987,0.)); #432853=DIRECTION('',(0.230196907019351,-0.973144071552987,0.)); #432854=DIRECTION('',(0.230196907019351,-0.973144071552987,0.)); #432855=DIRECTION('',(0.,0.,1.)); #432856=DIRECTION('center_axis',(-0.985842168327525,0.167675934908035,0.)); #432857=DIRECTION('ref_axis',(-0.167675934908035,-0.985842168327525,0.)); #432858=DIRECTION('',(-0.167675934908035,-0.985842168327525,0.)); #432859=DIRECTION('',(-0.167675934908035,-0.985842168327525,0.)); #432860=DIRECTION('',(0.,0.,1.)); #432861=DIRECTION('center_axis',(-0.842362410729353,0.538911466745914,0.)); #432862=DIRECTION('ref_axis',(-0.538911466745914,-0.842362410729353,0.)); #432863=DIRECTION('',(-0.538911466745914,-0.842362410729353,0.)); #432864=DIRECTION('',(-0.538911466745914,-0.842362410729353,0.)); #432865=DIRECTION('',(0.,0.,1.)); #432866=DIRECTION('center_axis',(-0.565504004715131,0.824745549033852,0.)); #432867=DIRECTION('ref_axis',(-0.824745549033852,-0.565504004715131,0.)); #432868=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #432869=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #432870=DIRECTION('',(0.,0.,1.)); #432871=DIRECTION('center_axis',(-0.198956019232514,0.980008419561359,0.)); #432872=DIRECTION('ref_axis',(-0.980008419561359,-0.198956019232514,0.)); #432873=DIRECTION('',(-0.980008419561358,-0.198956019232514,0.)); #432874=DIRECTION('',(-0.980008419561358,-0.198956019232514,0.)); #432875=DIRECTION('',(0.,0.,1.)); #432876=DIRECTION('center_axis',(0.,1.,0.)); #432877=DIRECTION('ref_axis',(-1.,0.,0.)); #432878=DIRECTION('',(-1.,0.,0.)); #432879=DIRECTION('',(-1.,0.,0.)); #432880=DIRECTION('',(0.,0.,1.)); #432881=DIRECTION('center_axis',(0.191149856928827,0.981560865252934,0.)); #432882=DIRECTION('ref_axis',(-0.981560865252934,0.191149856928827,0.)); #432883=DIRECTION('',(-0.981560865252934,0.191149856928827,0.)); #432884=DIRECTION('',(-0.981560865252934,0.191149856928827,0.)); #432885=DIRECTION('',(0.,0.,1.)); #432886=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #432887=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #432888=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #432889=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #432890=DIRECTION('',(0.,0.,1.)); #432891=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #432892=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #432893=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #432894=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #432895=DIRECTION('',(0.,0.,1.)); #432896=DIRECTION('center_axis',(0.829203833807271,0.558946331949074,0.)); #432897=DIRECTION('ref_axis',(-0.558946331949074,0.829203833807271,0.)); #432898=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #432899=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #432900=DIRECTION('',(0.,0.,1.)); #432901=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #432902=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #432903=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #432904=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #432905=DIRECTION('',(0.,0.,1.)); #432906=DIRECTION('center_axis',(1.,0.,0.)); #432907=DIRECTION('ref_axis',(0.,1.,0.)); #432908=DIRECTION('',(0.,1.,0.)); #432909=DIRECTION('',(0.,1.,0.)); #432910=DIRECTION('',(0.,0.,1.)); #432911=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #432912=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #432913=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #432914=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #432915=DIRECTION('',(0.,0.,1.)); #432916=DIRECTION('center_axis',(0.842362410729263,-0.538911466746055,0.)); #432917=DIRECTION('ref_axis',(0.538911466746055,0.842362410729263,0.)); #432918=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #432919=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #432920=DIRECTION('',(0.,0.,1.)); #432921=DIRECTION('center_axis',(0.565504004714913,-0.824745549034001,0.)); #432922=DIRECTION('ref_axis',(0.824745549034001,0.565504004714913,0.)); #432923=DIRECTION('',(0.824745549034001,0.565504004714913,0.)); #432924=DIRECTION('',(0.824745549034001,0.565504004714913,0.)); #432925=DIRECTION('',(0.,0.,1.)); #432926=DIRECTION('center_axis',(0.19895601923281,-0.980008419561298,0.)); #432927=DIRECTION('ref_axis',(0.980008419561298,0.19895601923281,0.)); #432928=DIRECTION('',(0.980008419561298,0.19895601923281,0.)); #432929=DIRECTION('',(0.980008419561298,0.19895601923281,0.)); #432930=DIRECTION('',(0.,0.,1.)); #432931=DIRECTION('center_axis',(0.,-1.,0.)); #432932=DIRECTION('ref_axis',(1.,0.,0.)); #432933=DIRECTION('',(1.,0.,0.)); #432934=DIRECTION('',(1.,0.,0.)); #432935=DIRECTION('',(0.,0.,1.)); #432936=DIRECTION('center_axis',(0.707106781186512,-0.707106781186583,0.)); #432937=DIRECTION('ref_axis',(0.707106781186583,0.707106781186512,0.)); #432938=DIRECTION('',(0.707106781186583,0.707106781186512,0.)); #432939=DIRECTION('',(0.707106781186583,0.707106781186512,0.)); #432940=DIRECTION('',(0.,0.,1.)); #432941=DIRECTION('center_axis',(1.,0.,0.)); #432942=DIRECTION('ref_axis',(0.,1.,0.)); #432943=DIRECTION('',(0.,1.,0.)); #432944=DIRECTION('',(0.,1.,0.)); #432945=DIRECTION('',(0.,0.,1.)); #432946=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #432947=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #432948=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #432949=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #432950=DIRECTION('',(0.,0.,1.)); #432951=DIRECTION('center_axis',(0.,1.,0.)); #432952=DIRECTION('ref_axis',(-1.,0.,0.)); #432953=DIRECTION('',(-1.,0.,0.)); #432954=DIRECTION('',(-1.,0.,0.)); #432955=DIRECTION('',(0.,0.,1.)); #432956=DIRECTION('center_axis',(-0.70710678118653,0.707106781186565,0.)); #432957=DIRECTION('ref_axis',(-0.707106781186565,-0.70710678118653,0.)); #432958=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #432959=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #432960=DIRECTION('',(0.,0.,1.)); #432961=DIRECTION('center_axis',(-1.,0.,0.)); #432962=DIRECTION('ref_axis',(0.,-1.,0.)); #432963=DIRECTION('',(0.,-1.,0.)); #432964=DIRECTION('',(0.,-1.,0.)); #432965=DIRECTION('',(0.,0.,1.)); #432966=DIRECTION('center_axis',(-0.707106781186512,-0.707106781186583, 0.)); #432967=DIRECTION('ref_axis',(0.707106781186583,-0.707106781186512,0.)); #432968=DIRECTION('',(0.707106781186583,-0.707106781186512,0.)); #432969=DIRECTION('',(0.707106781186583,-0.707106781186512,0.)); #432970=DIRECTION('',(0.,0.,1.)); #432971=DIRECTION('center_axis',(0.,-1.,0.)); #432972=DIRECTION('ref_axis',(1.,0.,0.)); #432973=DIRECTION('',(1.,0.,0.)); #432974=DIRECTION('',(1.,0.,0.)); #432975=DIRECTION('',(0.,0.,1.)); #432976=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #432977=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #432978=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #432979=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #432980=DIRECTION('',(0.,0.,1.)); #432981=DIRECTION('center_axis',(-0.538911466745951,-0.842362410729329, 0.)); #432982=DIRECTION('ref_axis',(0.842362410729329,-0.538911466745951,0.)); #432983=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #432984=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #432985=DIRECTION('',(0.,0.,1.)); #432986=DIRECTION('center_axis',(-0.824745549033943,-0.565504004714997, 0.)); #432987=DIRECTION('ref_axis',(0.565504004714997,-0.824745549033943,0.)); #432988=DIRECTION('',(0.565504004714997,-0.824745549033943,0.)); #432989=DIRECTION('',(0.565504004714997,-0.824745549033943,0.)); #432990=DIRECTION('',(0.,0.,1.)); #432991=DIRECTION('center_axis',(-0.980008419561321,-0.198956019232697, 0.)); #432992=DIRECTION('ref_axis',(0.198956019232697,-0.980008419561321,0.)); #432993=DIRECTION('',(0.198956019232697,-0.980008419561321,0.)); #432994=DIRECTION('',(0.198956019232697,-0.980008419561321,0.)); #432995=DIRECTION('',(0.,0.,1.)); #432996=DIRECTION('center_axis',(-1.,0.,0.)); #432997=DIRECTION('ref_axis',(0.,-1.,0.)); #432998=DIRECTION('',(0.,-1.,0.)); #432999=DIRECTION('',(0.,-1.,0.)); #433000=DIRECTION('',(0.,0.,1.)); #433001=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #433002=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #433003=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #433004=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #433005=DIRECTION('',(0.,0.,1.)); #433006=DIRECTION('center_axis',(-0.820212632122705,-0.572058771549169, 0.)); #433007=DIRECTION('ref_axis',(0.572058771549169,-0.820212632122705,0.)); #433008=DIRECTION('',(0.572058771549169,-0.820212632122705,0.)); #433009=DIRECTION('',(0.572058771549169,-0.820212632122705,0.)); #433010=DIRECTION('',(0.,0.,1.)); #433011=DIRECTION('center_axis',(-0.97315145688639,-0.230165683714788,0.)); #433012=DIRECTION('ref_axis',(0.230165683714788,-0.97315145688639,0.)); #433013=DIRECTION('',(0.230165683714788,-0.97315145688639,0.)); #433014=DIRECTION('',(0.230165683714788,-0.97315145688639,0.)); #433015=DIRECTION('',(0.,0.,1.)); #433016=DIRECTION('center_axis',(-0.985847618607369,0.167643887112471,0.)); #433017=DIRECTION('ref_axis',(-0.167643887112471,-0.985847618607369,0.)); #433018=DIRECTION('',(-0.167643887112471,-0.985847618607369,0.)); #433019=DIRECTION('',(-0.167643887112471,-0.985847618607369,0.)); #433020=DIRECTION('',(0.,0.,1.)); #433021=DIRECTION('center_axis',(-0.84236241072922,0.538911466746122,0.)); #433022=DIRECTION('ref_axis',(-0.538911466746122,-0.84236241072922,0.)); #433023=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #433024=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #433025=DIRECTION('',(0.,0.,1.)); #433026=DIRECTION('center_axis',(-0.565504004715199,0.824745549033805,0.)); #433027=DIRECTION('ref_axis',(-0.824745549033805,-0.565504004715199,0.)); #433028=DIRECTION('',(-0.824745549033805,-0.565504004715199,0.)); #433029=DIRECTION('',(-0.824745549033805,-0.565504004715199,0.)); #433030=DIRECTION('',(0.,0.,1.)); #433031=DIRECTION('center_axis',(-0.198956019232542,0.980008419561353,0.)); #433032=DIRECTION('ref_axis',(-0.980008419561353,-0.198956019232542,0.)); #433033=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #433034=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #433035=DIRECTION('',(0.,0.,1.)); #433036=DIRECTION('center_axis',(0.,1.,0.)); #433037=DIRECTION('ref_axis',(-1.,0.,0.)); #433038=DIRECTION('',(-1.,0.,0.)); #433039=DIRECTION('',(-1.,0.,0.)); #433040=DIRECTION('',(0.,0.,1.)); #433041=DIRECTION('center_axis',(-0.70710678118654,0.707106781186555,0.)); #433042=DIRECTION('ref_axis',(-0.707106781186555,-0.70710678118654,0.)); #433043=DIRECTION('',(-0.707106781186555,-0.70710678118654,0.)); #433044=DIRECTION('',(-0.707106781186555,-0.70710678118654,0.)); #433045=DIRECTION('',(0.,0.,1.)); #433046=DIRECTION('center_axis',(-0.558946331949005,0.829203833807317,0.)); #433047=DIRECTION('ref_axis',(-0.829203833807317,-0.558946331949005,0.)); #433048=DIRECTION('',(-0.829203833807317,-0.558946331949005,0.)); #433049=DIRECTION('',(-0.829203833807317,-0.558946331949005,0.)); #433050=DIRECTION('',(0.,0.,1.)); #433051=DIRECTION('center_axis',(-0.198956019232824,0.980008419561296,0.)); #433052=DIRECTION('ref_axis',(-0.980008419561295,-0.198956019232824,0.)); #433053=DIRECTION('',(-0.980008419561295,-0.198956019232824,0.)); #433054=DIRECTION('',(-0.980008419561295,-0.198956019232824,0.)); #433055=DIRECTION('',(0.,0.,1.)); #433056=DIRECTION('center_axis',(0.,1.,0.)); #433057=DIRECTION('ref_axis',(-1.,0.,0.)); #433058=DIRECTION('',(-1.,0.,0.)); #433059=DIRECTION('',(-1.,0.,0.)); #433060=DIRECTION('',(0.,0.,1.)); #433061=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #433062=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #433063=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #433064=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #433065=DIRECTION('',(0.,0.,1.)); #433066=DIRECTION('center_axis',(-1.,0.,0.)); #433067=DIRECTION('ref_axis',(0.,-1.,0.)); #433068=DIRECTION('',(0.,-1.,0.)); #433069=DIRECTION('',(0.,-1.,0.)); #433070=DIRECTION('',(0.,0.,1.)); #433071=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #433072=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #433073=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #433074=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #433075=DIRECTION('',(0.,0.,1.)); #433076=DIRECTION('center_axis',(0.,-1.,0.)); #433077=DIRECTION('ref_axis',(1.,0.,0.)); #433078=DIRECTION('',(1.,0.,0.)); #433079=DIRECTION('',(1.,0.,0.)); #433080=DIRECTION('',(0.,0.,1.)); #433081=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #433082=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #433083=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #433084=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #433085=DIRECTION('',(0.,0.,1.)); #433086=DIRECTION('center_axis',(1.,0.,0.)); #433087=DIRECTION('ref_axis',(0.,1.,0.)); #433088=DIRECTION('',(0.,1.,0.)); #433089=DIRECTION('',(0.,1.,0.)); #433090=DIRECTION('',(0.,0.,1.)); #433091=DIRECTION('center_axis',(0.981560865252911,-0.191149856928945,0.)); #433092=DIRECTION('ref_axis',(0.191149856928945,0.981560865252911,0.)); #433093=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #433094=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #433095=DIRECTION('',(0.,0.,1.)); #433096=DIRECTION('center_axis',(0.833659859749732,-0.552278225391928,0.)); #433097=DIRECTION('ref_axis',(0.552278225391928,0.833659859749732,0.)); #433098=DIRECTION('',(0.552278225391928,0.833659859749732,0.)); #433099=DIRECTION('',(0.552278225391928,0.833659859749732,0.)); #433100=DIRECTION('',(0.,0.,1.)); #433101=DIRECTION('center_axis',(0.707106781186553,-0.707106781186542,0.)); #433102=DIRECTION('ref_axis',(0.707106781186542,0.707106781186553,0.)); #433103=DIRECTION('',(0.707106781186542,0.707106781186553,0.)); #433104=DIRECTION('',(0.707106781186542,0.707106781186553,0.)); #433105=DIRECTION('',(0.,0.,1.)); #433106=DIRECTION('center_axis',(0.558946331948969,-0.829203833807341,0.)); #433107=DIRECTION('ref_axis',(0.829203833807341,0.558946331948969,0.)); #433108=DIRECTION('',(0.829203833807341,0.558946331948969,0.)); #433109=DIRECTION('',(0.829203833807341,0.558946331948969,0.)); #433110=DIRECTION('',(0.,0.,1.)); #433111=DIRECTION('center_axis',(0.198956019232542,-0.980008419561353,0.)); #433112=DIRECTION('ref_axis',(0.980008419561353,0.198956019232542,0.)); #433113=DIRECTION('',(0.980008419561353,0.198956019232542,0.)); #433114=DIRECTION('',(0.980008419561353,0.198956019232542,0.)); #433115=DIRECTION('',(0.,0.,1.)); #433116=DIRECTION('center_axis',(0.,-1.,0.)); #433117=DIRECTION('ref_axis',(1.,0.,0.)); #433118=DIRECTION('',(1.,0.,0.)); #433119=DIRECTION('',(1.,0.,0.)); #433120=DIRECTION('',(0.,0.,1.)); #433121=DIRECTION('center_axis',(-0.183305290257319,-0.983056036329405, 0.)); #433122=DIRECTION('ref_axis',(0.983056036329405,-0.183305290257319,0.)); #433123=DIRECTION('',(0.983056036329405,-0.183305290257319,0.)); #433124=DIRECTION('',(0.983056036329405,-0.183305290257319,0.)); #433125=DIRECTION('',(0.,0.,1.)); #433126=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #433127=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #433128=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #433129=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #433130=DIRECTION('',(0.,0.,1.)); #433131=DIRECTION('center_axis',(-0.824745549033783,-0.56550400471523,0.)); #433132=DIRECTION('ref_axis',(0.56550400471523,-0.824745549033783,0.)); #433133=DIRECTION('',(0.56550400471523,-0.824745549033783,0.)); #433134=DIRECTION('',(0.56550400471523,-0.824745549033783,0.)); #433135=DIRECTION('',(0.,0.,1.)); #433136=DIRECTION('center_axis',(-0.980008419561376,-0.19895601923243,0.)); #433137=DIRECTION('ref_axis',(0.19895601923243,-0.980008419561375,0.)); #433138=DIRECTION('',(0.19895601923243,-0.980008419561375,0.)); #433139=DIRECTION('',(0.19895601923243,-0.980008419561375,0.)); #433140=DIRECTION('',(0.,0.,1.)); #433141=DIRECTION('center_axis',(-1.,0.,0.)); #433142=DIRECTION('ref_axis',(0.,-1.,0.)); #433143=DIRECTION('',(0.,-1.,0.)); #433144=DIRECTION('',(0.,-1.,0.)); #433145=DIRECTION('',(0.,0.,1.)); #433146=DIRECTION('center_axis',(-0.70710678118653,-0.707106781186565,0.)); #433147=DIRECTION('ref_axis',(0.707106781186565,-0.70710678118653,0.)); #433148=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #433149=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #433150=DIRECTION('',(0.,0.,1.)); #433151=DIRECTION('center_axis',(0.,-1.,0.)); #433152=DIRECTION('ref_axis',(1.,0.,0.)); #433153=DIRECTION('',(1.,0.,0.)); #433154=DIRECTION('',(1.,0.,0.)); #433155=DIRECTION('',(0.,0.,1.)); #433156=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #433157=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #433158=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #433159=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #433160=DIRECTION('',(0.,0.,1.)); #433161=DIRECTION('center_axis',(1.,0.,0.)); #433162=DIRECTION('ref_axis',(0.,1.,0.)); #433163=DIRECTION('',(0.,1.,0.)); #433164=DIRECTION('',(0.,1.,0.)); #433165=DIRECTION('',(0.,0.,1.)); #433166=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #433167=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #433168=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #433169=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #433170=DIRECTION('',(0.,0.,1.)); #433171=DIRECTION('center_axis',(0.842362410729329,-0.538911466745951,0.)); #433172=DIRECTION('ref_axis',(0.538911466745951,0.842362410729329,0.)); #433173=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #433174=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #433175=DIRECTION('',(0.,0.,1.)); #433176=DIRECTION('center_axis',(0.565504004714963,-0.824745549033967,0.)); #433177=DIRECTION('ref_axis',(0.824745549033967,0.565504004714963,0.)); #433178=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #433179=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #433180=DIRECTION('',(0.,0.,1.)); #433181=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #433182=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #433183=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #433184=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #433185=DIRECTION('',(0.,0.,1.)); #433186=DIRECTION('center_axis',(0.,-1.,0.)); #433187=DIRECTION('ref_axis',(1.,0.,0.)); #433188=DIRECTION('',(1.,0.,0.)); #433189=DIRECTION('',(1.,0.,0.)); #433190=DIRECTION('',(0.,0.,1.)); #433191=DIRECTION('center_axis',(-0.191149856928945,-0.981560865252911, 0.)); #433192=DIRECTION('ref_axis',(0.981560865252911,-0.191149856928945,0.)); #433193=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #433194=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #433195=DIRECTION('',(0.,0.,1.)); #433196=DIRECTION('center_axis',(-0.552278225391859,-0.833659859749778, 0.)); #433197=DIRECTION('ref_axis',(0.833659859749778,-0.552278225391859,0.)); #433198=DIRECTION('',(0.833659859749778,-0.552278225391859,0.)); #433199=DIRECTION('',(0.833659859749778,-0.552278225391859,0.)); #433200=DIRECTION('',(0.,0.,1.)); #433201=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546, 0.)); #433202=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.)); #433203=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #433204=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #433205=DIRECTION('',(0.,0.,1.)); #433206=DIRECTION('center_axis',(-0.829203833807294,-0.55894633194904,0.)); #433207=DIRECTION('ref_axis',(0.55894633194904,-0.829203833807294,0.)); #433208=DIRECTION('',(0.55894633194904,-0.829203833807294,0.)); #433209=DIRECTION('',(0.55894633194904,-0.829203833807294,0.)); #433210=DIRECTION('',(0.,0.,1.)); #433211=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #433212=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #433213=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #433214=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #433215=DIRECTION('',(0.,0.,1.)); #433216=DIRECTION('center_axis',(-1.,0.,0.)); #433217=DIRECTION('ref_axis',(0.,-1.,0.)); #433218=DIRECTION('',(0.,-1.,0.)); #433219=DIRECTION('',(0.,-1.,0.)); #433220=DIRECTION('',(0.,0.,1.)); #433221=DIRECTION('center_axis',(-0.982311566963627,0.18725379945268,0.)); #433222=DIRECTION('ref_axis',(-0.18725379945268,-0.982311566963627,0.)); #433223=DIRECTION('',(-0.18725379945268,-0.982311566963627,0.)); #433224=DIRECTION('',(-0.18725379945268,-0.982311566963627,0.)); #433225=DIRECTION('',(0.,0.,1.)); #433226=DIRECTION('center_axis',(-0.838042613670296,0.54560478157056,0.)); #433227=DIRECTION('ref_axis',(-0.54560478157056,-0.838042613670296,0.)); #433228=DIRECTION('',(-0.54560478157056,-0.838042613670296,0.)); #433229=DIRECTION('',(-0.54560478157056,-0.838042613670296,0.)); #433230=DIRECTION('',(0.,0.,1.)); #433231=DIRECTION('center_axis',(-0.558878107898662,0.829249817914729,0.)); #433232=DIRECTION('ref_axis',(-0.829249817914729,-0.558878107898662,0.)); #433233=DIRECTION('',(-0.829249817914729,-0.558878107898662,0.)); #433234=DIRECTION('',(-0.829249817914729,-0.558878107898662,0.)); #433235=DIRECTION('',(0.,0.,1.)); #433236=DIRECTION('center_axis',(-0.382683794236524,0.923879382619148,0.)); #433237=DIRECTION('ref_axis',(-0.923879382619148,-0.382683794236524,0.)); #433238=DIRECTION('',(-0.923879382619148,-0.382683794236524,0.)); #433239=DIRECTION('',(-0.923879382619148,-0.382683794236524,0.)); #433240=DIRECTION('',(0.,0.,1.)); #433241=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #433242=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #433243=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #433244=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #433245=DIRECTION('',(0.,0.,1.)); #433246=DIRECTION('center_axis',(-0.923877363903348,0.382688667805831,0.)); #433247=DIRECTION('ref_axis',(-0.382688667805831,-0.923877363903348,0.)); #433248=DIRECTION('',(-0.382688667805831,-0.923877363903348,0.)); #433249=DIRECTION('',(-0.382688667805831,-0.923877363903348,0.)); #433250=DIRECTION('',(0.,0.,1.)); #433251=DIRECTION('center_axis',(-1.,0.,0.)); #433252=DIRECTION('ref_axis',(0.,-1.,0.)); #433253=DIRECTION('',(0.,-1.,0.)); #433254=DIRECTION('',(0.,-1.,0.)); #433255=DIRECTION('',(0.,0.,1.)); #433256=DIRECTION('center_axis',(-0.923877363903348,-0.382688667805831, 0.)); #433257=DIRECTION('ref_axis',(0.382688667805831,-0.923877363903348,0.)); #433258=DIRECTION('',(0.382688667805831,-0.923877363903348,0.)); #433259=DIRECTION('',(0.382688667805831,-0.923877363903348,0.)); #433260=DIRECTION('',(0.,0.,1.)); #433261=DIRECTION('center_axis',(-0.707106781186539,-0.707106781186556, 0.)); #433262=DIRECTION('ref_axis',(0.707106781186556,-0.707106781186539,0.)); #433263=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #433264=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #433265=DIRECTION('',(0.,0.,1.)); #433266=DIRECTION('center_axis',(-0.820212632122627,-0.572058771549281, 0.)); #433267=DIRECTION('ref_axis',(0.572058771549281,-0.820212632122627,0.)); #433268=DIRECTION('',(0.572058771549281,-0.820212632122627,0.)); #433269=DIRECTION('',(0.572058771549281,-0.820212632122627,0.)); #433270=DIRECTION('',(0.,0.,1.)); #433271=DIRECTION('center_axis',(-0.973144071552987,-0.230196907019351, 0.)); #433272=DIRECTION('ref_axis',(0.230196907019351,-0.973144071552987,0.)); #433273=DIRECTION('',(0.230196907019351,-0.973144071552987,0.)); #433274=DIRECTION('',(0.230196907019351,-0.973144071552987,0.)); #433275=DIRECTION('',(0.,0.,1.)); #433276=DIRECTION('center_axis',(-0.985842168327525,0.167675934908035,0.)); #433277=DIRECTION('ref_axis',(-0.167675934908035,-0.985842168327525,0.)); #433278=DIRECTION('',(-0.167675934908035,-0.985842168327525,0.)); #433279=DIRECTION('',(-0.167675934908035,-0.985842168327525,0.)); #433280=DIRECTION('',(0.,0.,1.)); #433281=DIRECTION('center_axis',(-0.84236241072922,0.538911466746122,0.)); #433282=DIRECTION('ref_axis',(-0.538911466746122,-0.84236241072922,0.)); #433283=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #433284=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #433285=DIRECTION('',(0.,0.,1.)); #433286=DIRECTION('center_axis',(-0.565504004715131,0.824745549033852,0.)); #433287=DIRECTION('ref_axis',(-0.824745549033852,-0.565504004715131,0.)); #433288=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #433289=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #433290=DIRECTION('',(0.,0.,1.)); #433291=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #433292=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #433293=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #433294=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #433295=DIRECTION('',(0.,0.,1.)); #433296=DIRECTION('center_axis',(0.,1.,0.)); #433297=DIRECTION('ref_axis',(-1.,0.,0.)); #433298=DIRECTION('',(-1.,0.,0.)); #433299=DIRECTION('',(-1.,0.,0.)); #433300=DIRECTION('',(0.,0.,1.)); #433301=DIRECTION('center_axis',(-0.707106781186545,0.70710678118655,0.)); #433302=DIRECTION('ref_axis',(-0.70710678118655,-0.707106781186545,0.)); #433303=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #433304=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #433305=DIRECTION('',(0.,0.,1.)); #433306=DIRECTION('center_axis',(-0.558946331948969,0.829203833807341,0.)); #433307=DIRECTION('ref_axis',(-0.829203833807341,-0.558946331948969,0.)); #433308=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #433309=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #433310=DIRECTION('',(0.,0.,1.)); #433311=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #433312=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #433313=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #433314=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #433315=DIRECTION('',(0.,0.,1.)); #433316=DIRECTION('center_axis',(0.,1.,0.)); #433317=DIRECTION('ref_axis',(-1.,0.,0.)); #433318=DIRECTION('',(-1.,0.,0.)); #433319=DIRECTION('',(-1.,0.,0.)); #433320=DIRECTION('',(0.,0.,1.)); #433321=DIRECTION('center_axis',(0.191149856928713,0.981560865252957,0.)); #433322=DIRECTION('ref_axis',(-0.981560865252957,0.191149856928713,0.)); #433323=DIRECTION('',(-0.981560865252957,0.191149856928713,0.)); #433324=DIRECTION('',(-0.981560865252957,0.191149856928713,0.)); #433325=DIRECTION('',(0.,0.,1.)); #433326=DIRECTION('center_axis',(0.552278225392028,0.833659859749666,0.)); #433327=DIRECTION('ref_axis',(-0.833659859749665,0.552278225392028,0.)); #433328=DIRECTION('',(-0.833659859749665,0.552278225392028,0.)); #433329=DIRECTION('',(-0.833659859749665,0.552278225392028,0.)); #433330=DIRECTION('',(0.,0.,1.)); #433331=DIRECTION('center_axis',(0.707104777838364,0.707108784529055,0.)); #433332=DIRECTION('ref_axis',(-0.707108784529055,0.707104777838364,0.)); #433333=DIRECTION('',(-0.707108784529055,0.707104777838364,0.)); #433334=DIRECTION('',(-0.707108784529055,0.707104777838364,0.)); #433335=DIRECTION('',(0.,0.,1.)); #433336=DIRECTION('center_axis',(0.,1.,0.)); #433337=DIRECTION('ref_axis',(-1.,0.,0.)); #433338=DIRECTION('',(-1.,0.,0.)); #433339=DIRECTION('',(-1.,0.,0.)); #433340=DIRECTION('',(0.,0.,1.)); #433341=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #433342=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #433343=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #433344=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #433345=DIRECTION('',(0.,0.,1.)); #433346=DIRECTION('center_axis',(-1.,0.,0.)); #433347=DIRECTION('ref_axis',(0.,-1.,0.)); #433348=DIRECTION('',(0.,-1.,0.)); #433349=DIRECTION('',(0.,-1.,0.)); #433350=DIRECTION('',(0.,0.,1.)); #433351=DIRECTION('center_axis',(-0.70710834361349,-0.707105218756153,0.)); #433352=DIRECTION('ref_axis',(0.707105218756153,-0.70710834361349,0.)); #433353=DIRECTION('',(0.707105218756153,-0.70710834361349,0.)); #433354=DIRECTION('',(0.707105218756153,-0.70710834361349,0.)); #433355=DIRECTION('',(0.,0.,1.)); #433356=DIRECTION('center_axis',(-0.820212632122705,-0.572058771549169, 0.)); #433357=DIRECTION('ref_axis',(0.572058771549169,-0.820212632122705,0.)); #433358=DIRECTION('',(0.572058771549169,-0.820212632122705,0.)); #433359=DIRECTION('',(0.572058771549169,-0.820212632122705,0.)); #433360=DIRECTION('',(0.,0.,1.)); #433361=DIRECTION('center_axis',(-0.973144071553012,-0.230196907019245, 0.)); #433362=DIRECTION('ref_axis',(0.230196907019245,-0.973144071553012,0.)); #433363=DIRECTION('',(0.230196907019245,-0.973144071553012,0.)); #433364=DIRECTION('',(0.230196907019245,-0.973144071553012,0.)); #433365=DIRECTION('',(0.,0.,1.)); #433366=DIRECTION('center_axis',(-0.985842168327553,0.167675934907869,0.)); #433367=DIRECTION('ref_axis',(-0.167675934907869,-0.985842168327553,0.)); #433368=DIRECTION('',(-0.167675934907869,-0.985842168327553,0.)); #433369=DIRECTION('',(-0.167675934907869,-0.985842168327553,0.)); #433370=DIRECTION('',(0.,0.,1.)); #433371=DIRECTION('center_axis',(-0.842362410729353,0.538911466745914,0.)); #433372=DIRECTION('ref_axis',(-0.538911466745914,-0.842362410729353,0.)); #433373=DIRECTION('',(-0.538911466745914,-0.842362410729353,0.)); #433374=DIRECTION('',(-0.538911466745914,-0.842362410729353,0.)); #433375=DIRECTION('',(0.,0.,1.)); #433376=DIRECTION('center_axis',(-0.565504004714926,0.824745549033992,0.)); #433377=DIRECTION('ref_axis',(-0.824745549033992,-0.565504004714926,0.)); #433378=DIRECTION('',(-0.824745549033992,-0.565504004714926,0.)); #433379=DIRECTION('',(-0.824745549033992,-0.565504004714926,0.)); #433380=DIRECTION('',(0.,0.,1.)); #433381=DIRECTION('center_axis',(-0.1989560192326,0.980008419561341,0.)); #433382=DIRECTION('ref_axis',(-0.980008419561341,-0.1989560192326,0.)); #433383=DIRECTION('',(-0.980008419561341,-0.198956019232599,0.)); #433384=DIRECTION('',(-0.980008419561341,-0.198956019232599,0.)); #433385=DIRECTION('',(0.,0.,1.)); #433386=DIRECTION('center_axis',(0.,1.,0.)); #433387=DIRECTION('ref_axis',(-1.,0.,0.)); #433388=DIRECTION('',(-1.,0.,0.)); #433389=DIRECTION('',(-1.,0.,0.)); #433390=DIRECTION('',(0.,0.,1.)); #433391=DIRECTION('center_axis',(0.183305290257319,0.983056036329405,0.)); #433392=DIRECTION('ref_axis',(-0.983056036329405,0.183305290257319,0.)); #433393=DIRECTION('',(-0.983056036329405,0.183305290257319,0.)); #433394=DIRECTION('',(-0.983056036329405,0.183305290257319,0.)); #433395=DIRECTION('',(0.,0.,1.)); #433396=DIRECTION('center_axis',(0.538911466746055,0.842362410729263,0.)); #433397=DIRECTION('ref_axis',(-0.842362410729263,0.538911466746055,0.)); #433398=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #433399=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #433400=DIRECTION('',(0.,0.,1.)); #433401=DIRECTION('center_axis',(0.824745549033783,0.56550400471523,0.)); #433402=DIRECTION('ref_axis',(-0.56550400471523,0.824745549033783,0.)); #433403=DIRECTION('',(-0.56550400471523,0.824745549033783,0.)); #433404=DIRECTION('',(-0.56550400471523,0.824745549033783,0.)); #433405=DIRECTION('',(0.,0.,1.)); #433406=DIRECTION('center_axis',(0.980008419561376,0.19895601923243,0.)); #433407=DIRECTION('ref_axis',(-0.19895601923243,0.980008419561375,0.)); #433408=DIRECTION('',(-0.19895601923243,0.980008419561375,0.)); #433409=DIRECTION('',(-0.19895601923243,0.980008419561375,0.)); #433410=DIRECTION('',(0.,0.,1.)); #433411=DIRECTION('center_axis',(1.,0.,0.)); #433412=DIRECTION('ref_axis',(0.,1.,0.)); #433413=DIRECTION('',(0.,1.,0.)); #433414=DIRECTION('',(0.,1.,0.)); #433415=DIRECTION('',(0.,0.,1.)); #433416=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #433417=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #433418=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #433419=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #433420=DIRECTION('',(0.,0.,1.)); #433421=DIRECTION('center_axis',(0.,1.,0.)); #433422=DIRECTION('ref_axis',(-1.,0.,0.)); #433423=DIRECTION('',(-1.,0.,0.)); #433424=DIRECTION('',(-1.,0.,0.)); #433425=DIRECTION('',(0.,0.,1.)); #433426=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #433427=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #433428=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #433429=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #433430=DIRECTION('',(0.,0.,1.)); #433431=DIRECTION('center_axis',(-1.,0.,0.)); #433432=DIRECTION('ref_axis',(0.,-1.,0.)); #433433=DIRECTION('',(0.,-1.,0.)); #433434=DIRECTION('',(0.,-1.,0.)); #433435=DIRECTION('',(0.,0.,1.)); #433436=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #433437=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #433438=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #433439=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #433440=DIRECTION('',(0.,0.,1.)); #433441=DIRECTION('center_axis',(-0.84236241072922,0.538911466746122,0.)); #433442=DIRECTION('ref_axis',(-0.538911466746122,-0.84236241072922,0.)); #433443=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #433444=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #433445=DIRECTION('',(0.,0.,1.)); #433446=DIRECTION('center_axis',(-0.565504004715062,0.824745549033899,0.)); #433447=DIRECTION('ref_axis',(-0.824745549033899,-0.565504004715062,0.)); #433448=DIRECTION('',(-0.824745549033899,-0.565504004715062,0.)); #433449=DIRECTION('',(-0.824745549033899,-0.565504004715062,0.)); #433450=DIRECTION('',(0.,0.,1.)); #433451=DIRECTION('center_axis',(-0.1989560192326,0.980008419561341,0.)); #433452=DIRECTION('ref_axis',(-0.980008419561341,-0.1989560192326,0.)); #433453=DIRECTION('',(-0.980008419561341,-0.198956019232599,0.)); #433454=DIRECTION('',(-0.980008419561341,-0.198956019232599,0.)); #433455=DIRECTION('',(0.,0.,1.)); #433456=DIRECTION('center_axis',(0.,1.,0.)); #433457=DIRECTION('ref_axis',(-1.,0.,0.)); #433458=DIRECTION('',(-1.,0.,0.)); #433459=DIRECTION('',(-1.,0.,0.)); #433460=DIRECTION('',(0.,0.,1.)); #433461=DIRECTION('center_axis',(0.183305290257319,0.983056036329405,0.)); #433462=DIRECTION('ref_axis',(-0.983056036329405,0.183305290257319,0.)); #433463=DIRECTION('',(-0.983056036329405,0.183305290257319,0.)); #433464=DIRECTION('',(-0.983056036329405,0.183305290257319,0.)); #433465=DIRECTION('',(0.,0.,1.)); #433466=DIRECTION('center_axis',(0.538911466745922,0.842362410729348,0.)); #433467=DIRECTION('ref_axis',(-0.842362410729348,0.538911466745922,0.)); #433468=DIRECTION('',(-0.842362410729348,0.538911466745922,0.)); #433469=DIRECTION('',(-0.842362410729348,0.538911466745922,0.)); #433470=DIRECTION('',(0.,0.,1.)); #433471=DIRECTION('center_axis',(0.82474554903392,0.565504004715031,0.)); #433472=DIRECTION('ref_axis',(-0.565504004715031,0.82474554903392,0.)); #433473=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #433474=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #433475=DIRECTION('',(0.,0.,1.)); #433476=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #433477=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #433478=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #433479=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #433480=DIRECTION('',(0.,0.,1.)); #433481=DIRECTION('center_axis',(1.,0.,0.)); #433482=DIRECTION('ref_axis',(0.,1.,0.)); #433483=DIRECTION('',(0.,1.,0.)); #433484=DIRECTION('',(0.,1.,0.)); #433485=DIRECTION('',(0.,0.,1.)); #433486=DIRECTION('center_axis',(0.707106781186565,0.70710678118653,0.)); #433487=DIRECTION('ref_axis',(-0.70710678118653,0.707106781186565,0.)); #433488=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #433489=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #433490=DIRECTION('',(0.,0.,1.)); #433491=DIRECTION('center_axis',(0.,1.,0.)); #433492=DIRECTION('ref_axis',(-1.,0.,0.)); #433493=DIRECTION('',(-1.,0.,0.)); #433494=DIRECTION('',(-1.,0.,0.)); #433495=DIRECTION('',(0.,0.,1.)); #433496=DIRECTION('center_axis',(-0.70710678118653,0.707106781186565,0.)); #433497=DIRECTION('ref_axis',(-0.707106781186565,-0.70710678118653,0.)); #433498=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #433499=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #433500=DIRECTION('',(0.,0.,1.)); #433501=DIRECTION('center_axis',(-1.,0.,0.)); #433502=DIRECTION('ref_axis',(0.,-1.,0.)); #433503=DIRECTION('',(0.,-1.,0.)); #433504=DIRECTION('',(0.,-1.,0.)); #433505=DIRECTION('',(0.,0.,1.)); #433506=DIRECTION('center_axis',(-0.70710678118653,-0.707106781186565,0.)); #433507=DIRECTION('ref_axis',(0.707106781186565,-0.70710678118653,0.)); #433508=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #433509=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #433510=DIRECTION('',(0.,0.,1.)); #433511=DIRECTION('center_axis',(0.,-1.,0.)); #433512=DIRECTION('ref_axis',(1.,0.,0.)); #433513=DIRECTION('',(1.,0.,0.)); #433514=DIRECTION('',(1.,0.,0.)); #433515=DIRECTION('',(0.,0.,1.)); #433516=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #433517=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #433518=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #433519=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #433520=DIRECTION('',(0.,0.,1.)); #433521=DIRECTION('center_axis',(1.,0.,0.)); #433522=DIRECTION('ref_axis',(0.,1.,0.)); #433523=DIRECTION('',(0.,1.,0.)); #433524=DIRECTION('',(0.,1.,0.)); #433525=DIRECTION('',(0.,0.,1.)); #433526=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #433527=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #433528=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #433529=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #433530=DIRECTION('',(0.,0.,1.)); #433531=DIRECTION('center_axis',(0.84236241072922,-0.538911466746122,0.)); #433532=DIRECTION('ref_axis',(0.538911466746122,0.84236241072922,0.)); #433533=DIRECTION('',(0.538911466746122,0.84236241072922,0.)); #433534=DIRECTION('',(0.538911466746122,0.84236241072922,0.)); #433535=DIRECTION('',(0.,0.,1.)); #433536=DIRECTION('center_axis',(0.565504004715062,-0.824745549033899,0.)); #433537=DIRECTION('ref_axis',(0.824745549033899,0.565504004715062,0.)); #433538=DIRECTION('',(0.824745549033899,0.565504004715062,0.)); #433539=DIRECTION('',(0.824745549033899,0.565504004715062,0.)); #433540=DIRECTION('',(0.,0.,1.)); #433541=DIRECTION('center_axis',(0.1989560192326,-0.980008419561341,0.)); #433542=DIRECTION('ref_axis',(0.980008419561341,0.1989560192326,0.)); #433543=DIRECTION('',(0.980008419561341,0.198956019232599,0.)); #433544=DIRECTION('',(0.980008419561341,0.198956019232599,0.)); #433545=DIRECTION('',(0.,0.,1.)); #433546=DIRECTION('center_axis',(0.,-1.,0.)); #433547=DIRECTION('ref_axis',(1.,0.,0.)); #433548=DIRECTION('',(1.,0.,0.)); #433549=DIRECTION('',(1.,0.,0.)); #433550=DIRECTION('',(0.,0.,1.)); #433551=DIRECTION('center_axis',(-0.183305290257319,-0.983056036329405, 0.)); #433552=DIRECTION('ref_axis',(0.983056036329405,-0.183305290257319,0.)); #433553=DIRECTION('',(0.983056036329405,-0.183305290257319,0.)); #433554=DIRECTION('',(0.983056036329405,-0.183305290257319,0.)); #433555=DIRECTION('',(0.,0.,1.)); #433556=DIRECTION('center_axis',(-0.538911466745922,-0.842362410729348, 0.)); #433557=DIRECTION('ref_axis',(0.842362410729348,-0.538911466745922,0.)); #433558=DIRECTION('',(0.842362410729348,-0.538911466745922,0.)); #433559=DIRECTION('',(0.842362410729348,-0.538911466745922,0.)); #433560=DIRECTION('',(0.,0.,1.)); #433561=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #433562=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #433563=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #433564=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #433565=DIRECTION('',(0.,0.,1.)); #433566=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #433567=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #433568=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #433569=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #433570=DIRECTION('',(0.,0.,1.)); #433571=DIRECTION('center_axis',(-1.,0.,0.)); #433572=DIRECTION('ref_axis',(0.,-1.,0.)); #433573=DIRECTION('',(0.,-1.,0.)); #433574=DIRECTION('',(0.,-1.,0.)); #433575=DIRECTION('',(0.,0.,1.)); #433576=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #433577=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #433578=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #433579=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #433580=DIRECTION('',(0.,0.,1.)); #433581=DIRECTION('center_axis',(0.,-1.,0.)); #433582=DIRECTION('ref_axis',(1.,0.,0.)); #433583=DIRECTION('',(1.,0.,0.)); #433584=DIRECTION('',(1.,0.,0.)); #433585=DIRECTION('',(0.,0.,1.)); #433586=DIRECTION('center_axis',(0.70710678118653,-0.707106781186565,0.)); #433587=DIRECTION('ref_axis',(0.707106781186565,0.70710678118653,0.)); #433588=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #433589=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #433590=DIRECTION('',(0.,0.,1.)); #433591=DIRECTION('center_axis',(1.,0.,0.)); #433592=DIRECTION('ref_axis',(0.,1.,0.)); #433593=DIRECTION('',(0.,1.,0.)); #433594=DIRECTION('',(0.,1.,0.)); #433595=DIRECTION('',(0.,0.,1.)); #433596=DIRECTION('center_axis',(0.983056036329444,-0.183305290257108,0.)); #433597=DIRECTION('ref_axis',(0.183305290257108,0.983056036329444,0.)); #433598=DIRECTION('',(0.183305290257108,0.983056036329444,0.)); #433599=DIRECTION('',(0.183305290257108,0.983056036329444,0.)); #433600=DIRECTION('',(0.,0.,1.)); #433601=DIRECTION('center_axis',(0.84236241072922,-0.538911466746122,0.)); #433602=DIRECTION('ref_axis',(0.538911466746122,0.84236241072922,0.)); #433603=DIRECTION('',(0.538911466746122,0.84236241072922,0.)); #433604=DIRECTION('',(0.538911466746122,0.84236241072922,0.)); #433605=DIRECTION('',(0.,0.,1.)); #433606=DIRECTION('center_axis',(0.565504004715062,-0.824745549033899,0.)); #433607=DIRECTION('ref_axis',(0.824745549033899,0.565504004715062,0.)); #433608=DIRECTION('',(0.824745549033899,0.565504004715062,0.)); #433609=DIRECTION('',(0.824745549033899,0.565504004715062,0.)); #433610=DIRECTION('',(0.,0.,1.)); #433611=DIRECTION('center_axis',(0.1989560192326,-0.980008419561341,0.)); #433612=DIRECTION('ref_axis',(0.980008419561341,0.1989560192326,0.)); #433613=DIRECTION('',(0.980008419561341,0.198956019232599,0.)); #433614=DIRECTION('',(0.980008419561341,0.198956019232599,0.)); #433615=DIRECTION('',(0.,0.,1.)); #433616=DIRECTION('center_axis',(0.,-1.,0.)); #433617=DIRECTION('ref_axis',(1.,0.,0.)); #433618=DIRECTION('',(1.,0.,0.)); #433619=DIRECTION('',(1.,0.,0.)); #433620=DIRECTION('',(0.,0.,1.)); #433621=DIRECTION('center_axis',(-0.17550205938607,-0.984479063846077,0.)); #433622=DIRECTION('ref_axis',(0.984479063846077,-0.17550205938607,0.)); #433623=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #433624=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #433625=DIRECTION('',(0.,0.,1.)); #433626=DIRECTION('center_axis',(-0.525358802048774,-0.85088079606363,0.)); #433627=DIRECTION('ref_axis',(0.85088079606363,-0.525358802048774,0.)); #433628=DIRECTION('',(0.85088079606363,-0.525358802048774,0.)); #433629=DIRECTION('',(0.85088079606363,-0.525358802048774,0.)); #433630=DIRECTION('',(0.,0.,1.)); #433631=DIRECTION('center_axis',(-0.815709411156901,-0.578461888589095, 0.)); #433632=DIRECTION('ref_axis',(0.578461888589095,-0.815709411156901,0.)); #433633=DIRECTION('',(0.578461888589095,-0.815709411156901,0.)); #433634=DIRECTION('',(0.578461888589095,-0.815709411156901,0.)); #433635=DIRECTION('',(0.,0.,1.)); #433636=DIRECTION('center_axis',(-0.976685690837527,-0.214674314516719, 0.)); #433637=DIRECTION('ref_axis',(0.214674314516719,-0.976685690837527,0.)); #433638=DIRECTION('',(0.214674314516719,-0.976685690837527,0.)); #433639=DIRECTION('',(0.214674314516719,-0.976685690837527,0.)); #433640=DIRECTION('',(0.,0.,1.)); #433641=DIRECTION('center_axis',(-0.983050529880647,0.183334818573505,0.)); #433642=DIRECTION('ref_axis',(-0.183334818573505,-0.983050529880647,0.)); #433643=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #433644=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #433645=DIRECTION('',(0.,0.,1.)); #433646=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #433647=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #433648=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #433649=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #433650=DIRECTION('',(0.,0.,1.)); #433651=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #433652=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #433653=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #433654=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #433655=DIRECTION('',(0.,0.,1.)); #433656=DIRECTION('center_axis',(-0.558946331948828,0.829203833807437,0.)); #433657=DIRECTION('ref_axis',(-0.829203833807437,-0.558946331948828,0.)); #433658=DIRECTION('',(-0.829203833807437,-0.558946331948828,0.)); #433659=DIRECTION('',(-0.829203833807437,-0.558946331948828,0.)); #433660=DIRECTION('',(0.,0.,1.)); #433661=DIRECTION('center_axis',(-0.1989560192326,0.980008419561341,0.)); #433662=DIRECTION('ref_axis',(-0.980008419561341,-0.1989560192326,0.)); #433663=DIRECTION('',(-0.980008419561341,-0.198956019232599,0.)); #433664=DIRECTION('',(-0.980008419561341,-0.198956019232599,0.)); #433665=DIRECTION('',(0.,0.,1.)); #433666=DIRECTION('center_axis',(0.,1.,0.)); #433667=DIRECTION('ref_axis',(-1.,0.,0.)); #433668=DIRECTION('',(-1.,0.,0.)); #433669=DIRECTION('',(-1.,0.,0.)); #433670=DIRECTION('',(0.,0.,1.)); #433671=DIRECTION('center_axis',(-0.707106781186545,0.70710678118655,0.)); #433672=DIRECTION('ref_axis',(-0.70710678118655,-0.707106781186545,0.)); #433673=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #433674=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #433675=DIRECTION('',(0.,0.,1.)); #433676=DIRECTION('center_axis',(-1.,0.,0.)); #433677=DIRECTION('ref_axis',(0.,-1.,0.)); #433678=DIRECTION('',(0.,-1.,0.)); #433679=DIRECTION('',(0.,-1.,0.)); #433680=DIRECTION('',(0.,0.,1.)); #433681=DIRECTION('center_axis',(-0.982311566963684,0.18725379945238,0.)); #433682=DIRECTION('ref_axis',(-0.18725379945238,-0.982311566963684,0.)); #433683=DIRECTION('',(-0.18725379945238,-0.982311566963684,0.)); #433684=DIRECTION('',(-0.18725379945238,-0.982311566963684,0.)); #433685=DIRECTION('',(0.,0.,1.)); #433686=DIRECTION('center_axis',(-0.838042613670253,0.545604781570627,0.)); #433687=DIRECTION('ref_axis',(-0.545604781570627,-0.838042613670253,0.)); #433688=DIRECTION('',(-0.545604781570627,-0.838042613670253,0.)); #433689=DIRECTION('',(-0.545604781570627,-0.838042613670253,0.)); #433690=DIRECTION('',(0.,0.,1.)); #433691=DIRECTION('center_axis',(-0.558878107898695,0.829249817914707,0.)); #433692=DIRECTION('ref_axis',(-0.829249817914707,-0.558878107898695,0.)); #433693=DIRECTION('',(-0.829249817914707,-0.558878107898695,0.)); #433694=DIRECTION('',(-0.829249817914707,-0.558878107898695,0.)); #433695=DIRECTION('',(0.,0.,1.)); #433696=DIRECTION('center_axis',(-0.382682465395262,0.923879933042711,0.)); #433697=DIRECTION('ref_axis',(-0.923879933042711,-0.382682465395262,0.)); #433698=DIRECTION('',(-0.923879933042711,-0.382682465395262,0.)); #433699=DIRECTION('',(-0.923879933042711,-0.382682465395262,0.)); #433700=DIRECTION('',(0.,0.,1.)); #433701=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #433702=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #433703=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #433704=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #433705=DIRECTION('',(0.,0.,1.)); #433706=DIRECTION('center_axis',(-0.923879164134337,0.382684321704506,0.)); #433707=DIRECTION('ref_axis',(-0.382684321704506,-0.923879164134337,0.)); #433708=DIRECTION('',(-0.382684321704506,-0.923879164134337,0.)); #433709=DIRECTION('',(-0.382684321704506,-0.923879164134337,0.)); #433710=DIRECTION('',(0.,0.,1.)); #433711=DIRECTION('center_axis',(-1.,0.,0.)); #433712=DIRECTION('ref_axis',(0.,-1.,0.)); #433713=DIRECTION('',(0.,-1.,0.)); #433714=DIRECTION('',(0.,-1.,0.)); #433715=DIRECTION('',(0.,0.,1.)); #433716=DIRECTION('center_axis',(-0.923879164134336,-0.38268432170451,0.)); #433717=DIRECTION('ref_axis',(0.38268432170451,-0.923879164134336,0.)); #433718=DIRECTION('',(0.38268432170451,-0.923879164134336,0.)); #433719=DIRECTION('',(0.38268432170451,-0.923879164134336,0.)); #433720=DIRECTION('',(0.,0.,1.)); #433721=DIRECTION('center_axis',(-0.707106781186553,-0.707106781186542, 0.)); #433722=DIRECTION('ref_axis',(0.707106781186542,-0.707106781186553,0.)); #433723=DIRECTION('',(0.707106781186542,-0.707106781186553,0.)); #433724=DIRECTION('',(0.707106781186542,-0.707106781186553,0.)); #433725=DIRECTION('',(0.,0.,1.)); #433726=DIRECTION('center_axis',(-0.382684321704487,-0.923879164134345, 0.)); #433727=DIRECTION('ref_axis',(0.923879164134345,-0.382684321704487,0.)); #433728=DIRECTION('',(0.923879164134345,-0.382684321704487,0.)); #433729=DIRECTION('',(0.923879164134345,-0.382684321704487,0.)); #433730=DIRECTION('',(0.,0.,1.)); #433731=DIRECTION('center_axis',(0.,-1.,0.)); #433732=DIRECTION('ref_axis',(1.,0.,0.)); #433733=DIRECTION('',(1.,0.,0.)); #433734=DIRECTION('',(1.,0.,0.)); #433735=DIRECTION('',(0.,0.,1.)); #433736=DIRECTION('center_axis',(0.382684321704495,-0.923879164134342,0.)); #433737=DIRECTION('ref_axis',(0.923879164134342,0.382684321704495,0.)); #433738=DIRECTION('',(0.923879164134342,0.382684321704495,0.)); #433739=DIRECTION('',(0.923879164134342,0.382684321704495,0.)); #433740=DIRECTION('',(0.,0.,1.)); #433741=DIRECTION('center_axis',(0.707106781186542,-0.707106781186553,0.)); #433742=DIRECTION('ref_axis',(0.707106781186553,0.707106781186542,0.)); #433743=DIRECTION('',(0.707106781186553,0.707106781186542,0.)); #433744=DIRECTION('',(0.707106781186553,0.707106781186542,0.)); #433745=DIRECTION('',(0.,0.,1.)); #433746=DIRECTION('center_axis',(0.923879164134344,-0.382684321704491,0.)); #433747=DIRECTION('ref_axis',(0.382684321704491,0.923879164134344,0.)); #433748=DIRECTION('',(0.382684321704491,0.923879164134344,0.)); #433749=DIRECTION('',(0.382684321704491,0.923879164134344,0.)); #433750=DIRECTION('',(0.,0.,1.)); #433751=DIRECTION('center_axis',(1.,0.,0.)); #433752=DIRECTION('ref_axis',(0.,1.,0.)); #433753=DIRECTION('',(0.,1.,0.)); #433754=DIRECTION('',(0.,1.,0.)); #433755=DIRECTION('',(0.,0.,1.)); #433756=DIRECTION('center_axis',(0.923879164134345,0.382684321704487,0.)); #433757=DIRECTION('ref_axis',(-0.382684321704487,0.923879164134345,0.)); #433758=DIRECTION('',(-0.382684321704487,0.923879164134345,0.)); #433759=DIRECTION('',(-0.382684321704487,0.923879164134345,0.)); #433760=DIRECTION('',(0.,0.,1.)); #433761=DIRECTION('center_axis',(0.707106781186536,0.707106781186559,0.)); #433762=DIRECTION('ref_axis',(-0.707106781186559,0.707106781186536,0.)); #433763=DIRECTION('',(-0.707106781186559,0.707106781186536,0.)); #433764=DIRECTION('',(-0.707106781186559,0.707106781186536,0.)); #433765=DIRECTION('',(0.,0.,1.)); #433766=DIRECTION('center_axis',(0.382682465395273,0.923879933042707,0.)); #433767=DIRECTION('ref_axis',(-0.923879933042707,0.382682465395273,0.)); #433768=DIRECTION('',(-0.923879933042707,0.382682465395273,0.)); #433769=DIRECTION('',(-0.923879933042707,0.382682465395273,0.)); #433770=DIRECTION('',(0.,0.,1.)); #433771=DIRECTION('center_axis',(0.548897495819334,0.835889669204773,0.)); #433772=DIRECTION('ref_axis',(-0.835889669204773,0.548897495819334,0.)); #433773=DIRECTION('',(-0.835889669204773,0.548897495819334,0.)); #433774=DIRECTION('',(-0.835889669204773,0.548897495819334,0.)); #433775=DIRECTION('',(0.,0.,1.)); #433776=DIRECTION('center_axis',(0.82474554903392,0.565504004715031,0.)); #433777=DIRECTION('ref_axis',(-0.565504004715031,0.82474554903392,0.)); #433778=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #433779=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #433780=DIRECTION('',(0.,0.,1.)); #433781=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #433782=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #433783=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #433784=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #433785=DIRECTION('',(0.,0.,1.)); #433786=DIRECTION('center_axis',(1.,0.,0.)); #433787=DIRECTION('ref_axis',(0.,1.,0.)); #433788=DIRECTION('',(0.,1.,0.)); #433789=DIRECTION('',(0.,1.,0.)); #433790=DIRECTION('',(0.,0.,1.)); #433791=DIRECTION('center_axis',(0.981560865252911,-0.191149856928945,0.)); #433792=DIRECTION('ref_axis',(0.191149856928945,0.981560865252911,0.)); #433793=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #433794=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #433795=DIRECTION('',(0.,0.,1.)); #433796=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #433797=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #433798=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #433799=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #433800=DIRECTION('',(0.,0.,1.)); #433801=DIRECTION('center_axis',(0.70710678118655,-0.707106781186545,0.)); #433802=DIRECTION('ref_axis',(0.707106781186545,0.70710678118655,0.)); #433803=DIRECTION('',(0.707106781186545,0.70710678118655,0.)); #433804=DIRECTION('',(0.707106781186545,0.70710678118655,0.)); #433805=DIRECTION('',(0.,0.,1.)); #433806=DIRECTION('center_axis',(0.558946331948864,-0.829203833807412,0.)); #433807=DIRECTION('ref_axis',(0.829203833807412,0.558946331948864,0.)); #433808=DIRECTION('',(0.829203833807412,0.558946331948864,0.)); #433809=DIRECTION('',(0.829203833807412,0.558946331948864,0.)); #433810=DIRECTION('',(0.,0.,1.)); #433811=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #433812=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #433813=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #433814=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #433815=DIRECTION('',(0.,0.,1.)); #433816=DIRECTION('center_axis',(0.,-1.,0.)); #433817=DIRECTION('ref_axis',(1.,0.,0.)); #433818=DIRECTION('',(1.,0.,0.)); #433819=DIRECTION('',(1.,0.,0.)); #433820=DIRECTION('',(0.,0.,1.)); #433821=DIRECTION('center_axis',(-0.191149856928917,-0.981560865252917, 0.)); #433822=DIRECTION('ref_axis',(0.981560865252917,-0.191149856928917,0.)); #433823=DIRECTION('',(0.981560865252917,-0.191149856928917,0.)); #433824=DIRECTION('',(0.981560865252917,-0.191149856928917,0.)); #433825=DIRECTION('',(0.,0.,1.)); #433826=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #433827=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #433828=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #433829=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #433830=DIRECTION('',(0.,0.,1.)); #433831=DIRECTION('center_axis',(-0.707104777838364,-0.707108784529055, 0.)); #433832=DIRECTION('ref_axis',(0.707108784529055,-0.707104777838364,0.)); #433833=DIRECTION('',(0.707108784529055,-0.707104777838364,0.)); #433834=DIRECTION('',(0.707108784529055,-0.707104777838364,0.)); #433835=DIRECTION('',(0.,0.,1.)); #433836=DIRECTION('center_axis',(0.,-1.,0.)); #433837=DIRECTION('ref_axis',(1.,0.,0.)); #433838=DIRECTION('',(1.,0.,0.)); #433839=DIRECTION('',(1.,0.,0.)); #433840=DIRECTION('',(0.,0.,1.)); #433841=DIRECTION('center_axis',(0.707104777838364,-0.707108784529055,0.)); #433842=DIRECTION('ref_axis',(0.707108784529055,0.707104777838364,0.)); #433843=DIRECTION('',(0.707108784529055,0.707104777838364,0.)); #433844=DIRECTION('',(0.707108784529055,0.707104777838364,0.)); #433845=DIRECTION('',(0.,0.,1.)); #433846=DIRECTION('center_axis',(0.558946331948723,-0.829203833807507,0.)); #433847=DIRECTION('ref_axis',(0.829203833807507,0.558946331948723,0.)); #433848=DIRECTION('',(0.829203833807507,0.558946331948723,0.)); #433849=DIRECTION('',(0.829203833807507,0.558946331948723,0.)); #433850=DIRECTION('',(0.,0.,1.)); #433851=DIRECTION('center_axis',(0.19895601923274,-0.980008419561313,0.)); #433852=DIRECTION('ref_axis',(0.980008419561313,0.19895601923274,0.)); #433853=DIRECTION('',(0.980008419561313,0.19895601923274,0.)); #433854=DIRECTION('',(0.980008419561313,0.19895601923274,0.)); #433855=DIRECTION('',(0.,0.,1.)); #433856=DIRECTION('center_axis',(0.,-1.,0.)); #433857=DIRECTION('ref_axis',(1.,0.,0.)); #433858=DIRECTION('',(1.,0.,0.)); #433859=DIRECTION('',(1.,0.,0.)); #433860=DIRECTION('',(0.,0.,1.)); #433861=DIRECTION('center_axis',(-0.183305290257444,-0.983056036329382, 0.)); #433862=DIRECTION('ref_axis',(0.983056036329381,-0.183305290257444,0.)); #433863=DIRECTION('',(0.983056036329381,-0.183305290257444,0.)); #433864=DIRECTION('',(0.983056036329381,-0.183305290257444,0.)); #433865=DIRECTION('',(0.,0.,1.)); #433866=DIRECTION('center_axis',(-0.538911466745885,-0.842362410729372, 0.)); #433867=DIRECTION('ref_axis',(0.842362410729372,-0.538911466745885,0.)); #433868=DIRECTION('',(0.842362410729372,-0.538911466745885,0.)); #433869=DIRECTION('',(0.842362410729372,-0.538911466745885,0.)); #433870=DIRECTION('',(0.,0.,1.)); #433871=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #433872=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #433873=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #433874=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #433875=DIRECTION('',(0.,0.,1.)); #433876=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #433877=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #433878=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #433879=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #433880=DIRECTION('',(0.,0.,1.)); #433881=DIRECTION('center_axis',(-1.,0.,0.)); #433882=DIRECTION('ref_axis',(0.,-1.,0.)); #433883=DIRECTION('',(0.,-1.,0.)); #433884=DIRECTION('',(0.,-1.,0.)); #433885=DIRECTION('',(0.,0.,1.)); #433886=DIRECTION('center_axis',(-0.994378375567352,-0.105885061288336, 0.)); #433887=DIRECTION('ref_axis',(0.105885061288336,-0.994378375567352,0.)); #433888=DIRECTION('',(0.105885061288336,-0.994378375567352,0.)); #433889=DIRECTION('',(0.105885061288336,-0.994378375567352,0.)); #433890=DIRECTION('',(0.,0.,1.)); #433891=DIRECTION('center_axis',(-0.949778792384387,-0.312922107779005, 0.)); #433892=DIRECTION('ref_axis',(0.312922107779005,-0.949778792384387,0.)); #433893=DIRECTION('',(0.312922107779005,-0.949778792384387,0.)); #433894=DIRECTION('',(0.312922107779005,-0.949778792384387,0.)); #433895=DIRECTION('',(0.,0.,1.)); #433896=DIRECTION('center_axis',(-0.862466061684764,-0.506114900434647, 0.)); #433897=DIRECTION('ref_axis',(0.506114900434647,-0.862466061684764,0.)); #433898=DIRECTION('',(0.506114900434647,-0.862466061684764,0.)); #433899=DIRECTION('',(0.506114900434647,-0.862466061684764,0.)); #433900=DIRECTION('',(0.,0.,1.)); #433901=DIRECTION('center_axis',(-0.757781125399836,-0.652508824452021, 0.)); #433902=DIRECTION('ref_axis',(0.652508824452021,-0.757781125399836,0.)); #433903=DIRECTION('',(0.652508824452021,-0.757781125399836,0.)); #433904=DIRECTION('',(0.652508824452021,-0.757781125399836,0.)); #433905=DIRECTION('',(0.,0.,1.)); #433906=DIRECTION('center_axis',(-0.707106781186545,-0.70710678118655,0.)); #433907=DIRECTION('ref_axis',(0.70710678118655,-0.707106781186545,0.)); #433908=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #433909=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #433910=DIRECTION('',(0.,0.,1.)); #433911=DIRECTION('center_axis',(-0.829203833807389,-0.558946331948898, 0.)); #433912=DIRECTION('ref_axis',(0.558946331948898,-0.829203833807389,0.)); #433913=DIRECTION('',(0.558946331948898,-0.829203833807389,0.)); #433914=DIRECTION('',(0.558946331948898,-0.829203833807389,0.)); #433915=DIRECTION('',(0.,0.,1.)); #433916=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #433917=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #433918=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #433919=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #433920=DIRECTION('',(0.,0.,1.)); #433921=DIRECTION('center_axis',(-1.,0.,0.)); #433922=DIRECTION('ref_axis',(0.,-1.,0.)); #433923=DIRECTION('',(0.,-1.,0.)); #433924=DIRECTION('',(0.,-1.,0.)); #433925=DIRECTION('',(0.,0.,1.)); #433926=DIRECTION('center_axis',(-0.983056036329382,0.183305290257444,0.)); #433927=DIRECTION('ref_axis',(-0.183305290257444,-0.983056036329381,0.)); #433928=DIRECTION('',(-0.183305290257444,-0.983056036329381,0.)); #433929=DIRECTION('',(-0.183305290257444,-0.983056036329381,0.)); #433930=DIRECTION('',(0.,0.,1.)); #433931=DIRECTION('center_axis',(-0.842362410729306,0.538911466745989,0.)); #433932=DIRECTION('ref_axis',(-0.538911466745989,-0.842362410729306,0.)); #433933=DIRECTION('',(-0.538911466745989,-0.842362410729306,0.)); #433934=DIRECTION('',(-0.538911466745989,-0.842362410729306,0.)); #433935=DIRECTION('',(0.,0.,1.)); #433936=DIRECTION('center_axis',(-0.565504004715099,0.824745549033873,0.)); #433937=DIRECTION('ref_axis',(-0.824745549033873,-0.565504004715099,0.)); #433938=DIRECTION('',(-0.824745549033873,-0.565504004715099,0.)); #433939=DIRECTION('',(-0.824745549033873,-0.565504004715099,0.)); #433940=DIRECTION('',(0.,0.,1.)); #433941=DIRECTION('center_axis',(-0.198978630885354,0.980003828794046,0.)); #433942=DIRECTION('ref_axis',(-0.980003828794046,-0.198978630885354,0.)); #433943=DIRECTION('',(-0.980003828794046,-0.198978630885354,0.)); #433944=DIRECTION('',(-0.980003828794046,-0.198978630885354,0.)); #433945=DIRECTION('',(0.,0.,1.)); #433946=DIRECTION('center_axis',(-0.707106781186539,0.707106781186556,0.)); #433947=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #433948=DIRECTION('',(-0.707106781186556,-0.707106781186539,0.)); #433949=DIRECTION('',(-0.707106781186556,-0.707106781186539,0.)); #433950=DIRECTION('',(0.,0.,1.)); #433951=DIRECTION('center_axis',(-0.558946331948898,0.829203833807389,0.)); #433952=DIRECTION('ref_axis',(-0.829203833807389,-0.558946331948898,0.)); #433953=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #433954=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #433955=DIRECTION('',(0.,0.,1.)); #433956=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #433957=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #433958=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #433959=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #433960=DIRECTION('',(0.,0.,1.)); #433961=DIRECTION('center_axis',(0.,1.,0.)); #433962=DIRECTION('ref_axis',(-1.,0.,0.)); #433963=DIRECTION('',(-1.,0.,0.)); #433964=DIRECTION('',(-1.,0.,0.)); #433965=DIRECTION('',(0.,0.,1.)); #433966=DIRECTION('center_axis',(0.191149856928888,0.981560865252922,0.)); #433967=DIRECTION('ref_axis',(-0.981560865252922,0.191149856928888,0.)); #433968=DIRECTION('',(-0.981560865252922,0.191149856928888,0.)); #433969=DIRECTION('',(-0.981560865252922,0.191149856928888,0.)); #433970=DIRECTION('',(0.,0.,1.)); #433971=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #433972=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #433973=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #433974=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #433975=DIRECTION('',(0.,0.,1.)); #433976=DIRECTION('center_axis',(0.707104777838355,0.707108784529064,0.)); #433977=DIRECTION('ref_axis',(-0.707108784529064,0.707104777838355,0.)); #433978=DIRECTION('',(-0.707108784529064,0.707104777838355,0.)); #433979=DIRECTION('',(-0.707108784529064,0.707104777838355,0.)); #433980=DIRECTION('',(0.,0.,1.)); #433981=DIRECTION('center_axis',(0.,1.,0.)); #433982=DIRECTION('ref_axis',(-1.,0.,0.)); #433983=DIRECTION('',(-1.,0.,0.)); #433984=DIRECTION('',(-1.,0.,0.)); #433985=DIRECTION('',(0.,0.,1.)); #433986=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #433987=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #433988=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #433989=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #433990=DIRECTION('',(0.,0.,1.)); #433991=DIRECTION('center_axis',(-1.,0.,0.)); #433992=DIRECTION('ref_axis',(0.,-1.,0.)); #433993=DIRECTION('',(0.,-1.,0.)); #433994=DIRECTION('',(0.,-1.,0.)); #433995=DIRECTION('',(0.,0.,1.)); #433996=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #433997=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #433998=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #433999=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #434000=DIRECTION('',(0.,0.,1.)); #434001=DIRECTION('center_axis',(0.,-1.,0.)); #434002=DIRECTION('ref_axis',(1.,0.,0.)); #434003=DIRECTION('',(1.,0.,0.)); #434004=DIRECTION('',(1.,0.,0.)); #434005=DIRECTION('',(0.,0.,1.)); #434006=DIRECTION('center_axis',(0.707104777838364,-0.707108784529055,0.)); #434007=DIRECTION('ref_axis',(0.707108784529055,0.707104777838364,0.)); #434008=DIRECTION('',(0.707108784529055,0.707104777838364,0.)); #434009=DIRECTION('',(0.707108784529055,0.707104777838364,0.)); #434010=DIRECTION('',(0.,0.,1.)); #434011=DIRECTION('center_axis',(0.558946331948898,-0.829203833807389,0.)); #434012=DIRECTION('ref_axis',(0.829203833807389,0.558946331948898,0.)); #434013=DIRECTION('',(0.829203833807389,0.558946331948898,0.)); #434014=DIRECTION('',(0.829203833807389,0.558946331948898,0.)); #434015=DIRECTION('',(0.,0.,1.)); #434016=DIRECTION('center_axis',(0.198956019232571,-0.980008419561347,0.)); #434017=DIRECTION('ref_axis',(0.980008419561347,0.198956019232571,0.)); #434018=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #434019=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #434020=DIRECTION('',(0.,0.,1.)); #434021=DIRECTION('center_axis',(0.,-1.,0.)); #434022=DIRECTION('ref_axis',(1.,0.,0.)); #434023=DIRECTION('',(1.,0.,0.)); #434024=DIRECTION('',(1.,0.,0.)); #434025=DIRECTION('',(0.,0.,1.)); #434026=DIRECTION('center_axis',(-0.191149856928741,-0.981560865252951, 0.)); #434027=DIRECTION('ref_axis',(0.981560865252951,-0.191149856928741,0.)); #434028=DIRECTION('',(0.981560865252951,-0.191149856928741,0.)); #434029=DIRECTION('',(0.981560865252951,-0.191149856928741,0.)); #434030=DIRECTION('',(0.,0.,1.)); #434031=DIRECTION('center_axis',(-0.552278225391961,-0.83365985974971,0.)); #434032=DIRECTION('ref_axis',(0.83365985974971,-0.552278225391961,0.)); #434033=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #434034=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #434035=DIRECTION('',(0.,0.,1.)); #434036=DIRECTION('center_axis',(-0.707104777838364,-0.707108784529055, 0.)); #434037=DIRECTION('ref_axis',(0.707108784529055,-0.707104777838364,0.)); #434038=DIRECTION('',(0.707108784529055,-0.707104777838364,0.)); #434039=DIRECTION('',(0.707108784529055,-0.707104777838364,0.)); #434040=DIRECTION('',(0.,0.,1.)); #434041=DIRECTION('center_axis',(0.,-1.,0.)); #434042=DIRECTION('ref_axis',(1.,0.,0.)); #434043=DIRECTION('',(1.,0.,0.)); #434044=DIRECTION('',(1.,0.,0.)); #434045=DIRECTION('',(0.,0.,1.)); #434046=DIRECTION('center_axis',(0.565499541050556,-0.824748609620902,0.)); #434047=DIRECTION('ref_axis',(0.824748609620901,0.565499541050556,0.)); #434048=DIRECTION('',(0.824748609620901,0.565499541050556,0.)); #434049=DIRECTION('',(0.824748609620901,0.565499541050556,0.)); #434050=DIRECTION('',(0.,0.,1.)); #434051=DIRECTION('center_axis',(0.21464666169811,-0.976691768482697,0.)); #434052=DIRECTION('ref_axis',(0.976691768482697,0.21464666169811,0.)); #434053=DIRECTION('',(0.976691768482697,0.21464666169811,0.)); #434054=DIRECTION('',(0.976691768482697,0.21464666169811,0.)); #434055=DIRECTION('',(0.,0.,1.)); #434056=DIRECTION('center_axis',(-0.183334818573673,-0.983050529880615, 0.)); #434057=DIRECTION('ref_axis',(0.983050529880615,-0.183334818573673,0.)); #434058=DIRECTION('',(0.983050529880615,-0.183334818573673,0.)); #434059=DIRECTION('',(0.983050529880615,-0.183334818573673,0.)); #434060=DIRECTION('',(0.,0.,1.)); #434061=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #434062=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #434063=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #434064=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #434065=DIRECTION('',(0.,0.,1.)); #434066=DIRECTION('center_axis',(-0.707099908320743,-0.707113653985551, 0.)); #434067=DIRECTION('ref_axis',(0.707113653985551,-0.707099908320743,0.)); #434068=DIRECTION('',(0.707113653985551,-0.707099908320743,0.)); #434069=DIRECTION('',(0.707113653985551,-0.707099908320743,0.)); #434070=DIRECTION('',(0.,0.,1.)); #434071=DIRECTION('center_axis',(-0.829203833807318,-0.558946331949003, 0.)); #434072=DIRECTION('ref_axis',(0.558946331949003,-0.829203833807318,0.)); #434073=DIRECTION('',(0.558946331949003,-0.829203833807318,0.)); #434074=DIRECTION('',(0.558946331949003,-0.829203833807318,0.)); #434075=DIRECTION('',(0.,0.,1.)); #434076=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #434077=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #434078=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #434079=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #434080=DIRECTION('',(0.,0.,1.)); #434081=DIRECTION('center_axis',(-1.,0.,0.)); #434082=DIRECTION('ref_axis',(0.,-1.,0.)); #434083=DIRECTION('',(0.,-1.,0.)); #434084=DIRECTION('',(0.,-1.,0.)); #434085=DIRECTION('',(0.,0.,1.)); #434086=DIRECTION('center_axis',(-0.994378375567336,-0.105885061288489, 0.)); #434087=DIRECTION('ref_axis',(0.105885061288489,-0.994378375567335,0.)); #434088=DIRECTION('',(0.105885061288489,-0.994378375567335,0.)); #434089=DIRECTION('',(0.105885061288489,-0.994378375567335,0.)); #434090=DIRECTION('',(0.,0.,1.)); #434091=DIRECTION('center_axis',(-0.949778792384449,-0.312922107778818, 0.)); #434092=DIRECTION('ref_axis',(0.312922107778818,-0.949778792384449,0.)); #434093=DIRECTION('',(0.312922107778818,-0.949778792384449,0.)); #434094=DIRECTION('',(0.312922107778818,-0.949778792384449,0.)); #434095=DIRECTION('',(0.,0.,1.)); #434096=DIRECTION('center_axis',(-0.862466061684714,-0.506114900434732, 0.)); #434097=DIRECTION('ref_axis',(0.506114900434732,-0.862466061684714,0.)); #434098=DIRECTION('',(0.506114900434732,-0.862466061684714,0.)); #434099=DIRECTION('',(0.506114900434732,-0.862466061684714,0.)); #434100=DIRECTION('',(0.,0.,1.)); #434101=DIRECTION('center_axis',(-0.757781125399949,-0.65250882445189,0.)); #434102=DIRECTION('ref_axis',(0.65250882445189,-0.757781125399949,0.)); #434103=DIRECTION('',(0.65250882445189,-0.757781125399949,0.)); #434104=DIRECTION('',(0.65250882445189,-0.757781125399949,0.)); #434105=DIRECTION('',(0.,0.,1.)); #434106=DIRECTION('center_axis',(-0.7071060670992,-0.707107495273174,0.)); #434107=DIRECTION('ref_axis',(0.707107495273174,-0.7071060670992,0.)); #434108=DIRECTION('',(0.707107495273174,-0.7071060670992,0.)); #434109=DIRECTION('',(0.707107495273174,-0.7071060670992,0.)); #434110=DIRECTION('',(0.,0.,1.)); #434111=DIRECTION('center_axis',(-0.628203826084731,-0.778048811381718, 0.)); #434112=DIRECTION('ref_axis',(0.778048811381718,-0.628203826084731,0.)); #434113=DIRECTION('',(0.778048811381718,-0.628203826084731,0.)); #434114=DIRECTION('',(0.778048811381718,-0.628203826084731,0.)); #434115=DIRECTION('',(0.,0.,1.)); #434116=DIRECTION('center_axis',(-0.450178111979861,-0.892938781493025, 0.)); #434117=DIRECTION('ref_axis',(0.892938781493025,-0.45017811197986,0.)); #434118=DIRECTION('',(0.892938781493025,-0.45017811197986,0.)); #434119=DIRECTION('',(0.892938781493025,-0.45017811197986,0.)); #434120=DIRECTION('',(0.,0.,1.)); #434121=DIRECTION('center_axis',(-0.252249312357498,-0.967662278078034, 0.)); #434122=DIRECTION('ref_axis',(0.967662278078034,-0.252249312357498,0.)); #434123=DIRECTION('',(0.967662278078034,-0.252249312357498,0.)); #434124=DIRECTION('',(0.967662278078034,-0.252249312357498,0.)); #434125=DIRECTION('',(0.,0.,1.)); #434126=DIRECTION('center_axis',(-0.0742706898423059,-0.997238118319967, 0.)); #434127=DIRECTION('ref_axis',(0.997238118319967,-0.0742706898423059,0.)); #434128=DIRECTION('',(0.997238118319967,-0.0742706898423059,0.)); #434129=DIRECTION('',(0.997238118319967,-0.0742706898423059,0.)); #434130=DIRECTION('',(0.,0.,1.)); #434131=DIRECTION('center_axis',(0.,-1.,0.)); #434132=DIRECTION('ref_axis',(1.,0.,0.)); #434133=DIRECTION('',(1.,0.,0.)); #434134=DIRECTION('',(1.,0.,0.)); #434135=DIRECTION('',(0.,0.,1.)); #434136=DIRECTION('center_axis',(0.105885061288698,-0.994378375567313,0.)); #434137=DIRECTION('ref_axis',(0.994378375567313,0.105885061288698,0.)); #434138=DIRECTION('',(0.994378375567313,0.105885061288698,0.)); #434139=DIRECTION('',(0.994378375567313,0.105885061288698,0.)); #434140=DIRECTION('',(0.,0.,1.)); #434141=DIRECTION('center_axis',(0.312935976921291,-0.949774222827887,0.)); #434142=DIRECTION('ref_axis',(0.949774222827887,0.312935976921291,0.)); #434143=DIRECTION('',(0.949774222827887,0.312935976921291,0.)); #434144=DIRECTION('',(0.949774222827887,0.312935976921291,0.)); #434145=DIRECTION('',(0.,0.,1.)); #434146=DIRECTION('center_axis',(0.506095628368539,-0.862477370686474,0.)); #434147=DIRECTION('ref_axis',(0.862477370686474,0.506095628368539,0.)); #434148=DIRECTION('',(0.862477370686474,0.506095628368539,0.)); #434149=DIRECTION('',(0.862477370686474,0.506095628368539,0.)); #434150=DIRECTION('',(0.,0.,1.)); #434151=DIRECTION('center_axis',(0.652508824452131,-0.757781125399741,0.)); #434152=DIRECTION('ref_axis',(0.757781125399741,0.652508824452131,0.)); #434153=DIRECTION('',(0.757781125399741,0.652508824452131,0.)); #434154=DIRECTION('',(0.757781125399741,0.652508824452131,0.)); #434155=DIRECTION('',(0.,0.,1.)); #434156=DIRECTION('center_axis',(0.707106781186551,-0.707106781186544,0.)); #434157=DIRECTION('ref_axis',(0.707106781186544,0.707106781186551,0.)); #434158=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #434159=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #434160=DIRECTION('',(0.,0.,1.)); #434161=DIRECTION('center_axis',(0.558946331948794,-0.82920383380746,0.)); #434162=DIRECTION('ref_axis',(0.82920383380746,0.558946331948794,0.)); #434163=DIRECTION('',(0.82920383380746,0.558946331948794,0.)); #434164=DIRECTION('',(0.82920383380746,0.558946331948794,0.)); #434165=DIRECTION('',(0.,0.,1.)); #434166=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #434167=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #434168=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #434169=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #434170=DIRECTION('',(0.,0.,1.)); #434171=DIRECTION('center_axis',(0.,-1.,0.)); #434172=DIRECTION('ref_axis',(1.,0.,0.)); #434173=DIRECTION('',(1.,0.,0.)); #434174=DIRECTION('',(1.,0.,0.)); #434175=DIRECTION('',(0.,0.,1.)); #434176=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #434177=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #434178=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #434179=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #434180=DIRECTION('',(0.,0.,1.)); #434181=DIRECTION('center_axis',(1.,0.,0.)); #434182=DIRECTION('ref_axis',(0.,1.,0.)); #434183=DIRECTION('',(0.,1.,0.)); #434184=DIRECTION('',(0.,1.,0.)); #434185=DIRECTION('',(0.,0.,1.)); #434186=DIRECTION('center_axis',(0.707106781186512,0.707106781186583,0.)); #434187=DIRECTION('ref_axis',(-0.707106781186583,0.707106781186512,0.)); #434188=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #434189=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #434190=DIRECTION('',(0.,0.,1.)); #434191=DIRECTION('center_axis',(0.,1.,0.)); #434192=DIRECTION('ref_axis',(-1.,0.,0.)); #434193=DIRECTION('',(-1.,0.,0.)); #434194=DIRECTION('',(-1.,0.,0.)); #434195=DIRECTION('',(0.,0.,1.)); #434196=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #434197=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #434198=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #434199=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #434200=DIRECTION('',(0.,0.,1.)); #434201=DIRECTION('center_axis',(0.538911466746055,0.842362410729263,0.)); #434202=DIRECTION('ref_axis',(-0.842362410729263,0.538911466746055,0.)); #434203=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #434204=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #434205=DIRECTION('',(0.,0.,1.)); #434206=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #434207=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #434208=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #434209=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #434210=DIRECTION('',(0.,0.,1.)); #434211=DIRECTION('center_axis',(0.980008419561313,0.19895601923274,0.)); #434212=DIRECTION('ref_axis',(-0.19895601923274,0.980008419561313,0.)); #434213=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #434214=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #434215=DIRECTION('',(0.,0.,1.)); #434216=DIRECTION('center_axis',(1.,0.,0.)); #434217=DIRECTION('ref_axis',(0.,1.,0.)); #434218=DIRECTION('',(0.,1.,0.)); #434219=DIRECTION('',(0.,1.,0.)); #434220=DIRECTION('',(0.,0.,1.)); #434221=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #434222=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #434223=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #434224=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #434225=DIRECTION('',(0.,0.,1.)); #434226=DIRECTION('center_axis',(0.829203833807341,0.558946331948969,0.)); #434227=DIRECTION('ref_axis',(-0.558946331948969,0.829203833807341,0.)); #434228=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #434229=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #434230=DIRECTION('',(0.,0.,1.)); #434231=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #434232=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #434233=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #434234=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #434235=DIRECTION('',(0.,0.,1.)); #434236=DIRECTION('center_axis',(1.,0.,0.)); #434237=DIRECTION('ref_axis',(0.,1.,0.)); #434238=DIRECTION('',(0.,1.,0.)); #434239=DIRECTION('',(0.,1.,0.)); #434240=DIRECTION('',(0.,0.,1.)); #434241=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #434242=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #434243=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #434244=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #434245=DIRECTION('',(0.,0.,1.)); #434246=DIRECTION('center_axis',(0.820212632122573,0.572058771549358,0.)); #434247=DIRECTION('ref_axis',(-0.572058771549358,0.820212632122573,0.)); #434248=DIRECTION('',(-0.572058771549358,0.820212632122573,0.)); #434249=DIRECTION('',(-0.572058771549358,0.820212632122573,0.)); #434250=DIRECTION('',(0.,0.,1.)); #434251=DIRECTION('center_axis',(0.973151456886431,0.230165683714617,0.)); #434252=DIRECTION('ref_axis',(-0.230165683714617,0.973151456886431,0.)); #434253=DIRECTION('',(-0.230165683714617,0.973151456886431,0.)); #434254=DIRECTION('',(-0.230165683714617,0.973151456886431,0.)); #434255=DIRECTION('',(0.,0.,1.)); #434256=DIRECTION('center_axis',(0.985847618607389,-0.167643887112353,0.)); #434257=DIRECTION('ref_axis',(0.167643887112353,0.985847618607389,0.)); #434258=DIRECTION('',(0.167643887112353,0.985847618607389,0.)); #434259=DIRECTION('',(0.167643887112353,0.985847618607389,0.)); #434260=DIRECTION('',(0.,0.,1.)); #434261=DIRECTION('center_axis',(0.842362410729263,-0.538911466746055,0.)); #434262=DIRECTION('ref_axis',(0.538911466746055,0.842362410729263,0.)); #434263=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #434264=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #434265=DIRECTION('',(0.,0.,1.)); #434266=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #434267=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #434268=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #434269=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #434270=DIRECTION('',(0.,0.,1.)); #434271=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #434272=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #434273=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #434274=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #434275=DIRECTION('',(0.,0.,1.)); #434276=DIRECTION('center_axis',(0.,-1.,0.)); #434277=DIRECTION('ref_axis',(1.,0.,0.)); #434278=DIRECTION('',(1.,0.,0.)); #434279=DIRECTION('',(1.,0.,0.)); #434280=DIRECTION('',(0.,0.,1.)); #434281=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #434282=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #434283=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #434284=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #434285=DIRECTION('',(0.,0.,1.)); #434286=DIRECTION('center_axis',(-0.538911466745951,-0.842362410729329, 0.)); #434287=DIRECTION('ref_axis',(0.842362410729329,-0.538911466745951,0.)); #434288=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #434289=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #434290=DIRECTION('',(0.,0.,1.)); #434291=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #434292=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #434293=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #434294=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #434295=DIRECTION('',(0.,0.,1.)); #434296=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #434297=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #434298=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #434299=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #434300=DIRECTION('',(0.,0.,1.)); #434301=DIRECTION('center_axis',(-1.,0.,0.)); #434302=DIRECTION('ref_axis',(0.,-1.,0.)); #434303=DIRECTION('',(0.,-1.,0.)); #434304=DIRECTION('',(0.,-1.,0.)); #434305=DIRECTION('',(0.,0.,1.)); #434306=DIRECTION('center_axis',(-0.99437837556735,-0.105885061288354,0.)); #434307=DIRECTION('ref_axis',(0.105885061288354,-0.99437837556735,0.)); #434308=DIRECTION('',(0.105885061288354,-0.99437837556735,0.)); #434309=DIRECTION('',(0.105885061288354,-0.99437837556735,0.)); #434310=DIRECTION('',(0.,0.,1.)); #434311=DIRECTION('center_axis',(-0.949778792384408,-0.312922107778943, 0.)); #434312=DIRECTION('ref_axis',(0.312922107778943,-0.949778792384408,0.)); #434313=DIRECTION('',(0.312922107778943,-0.949778792384408,0.)); #434314=DIRECTION('',(0.312922107778943,-0.949778792384408,0.)); #434315=DIRECTION('',(0.,0.,1.)); #434316=DIRECTION('center_axis',(-0.862466061684764,-0.506114900434647, 0.)); #434317=DIRECTION('ref_axis',(0.506114900434647,-0.862466061684764,0.)); #434318=DIRECTION('',(0.506114900434647,-0.862466061684764,0.)); #434319=DIRECTION('',(0.506114900434647,-0.862466061684764,0.)); #434320=DIRECTION('',(0.,0.,1.)); #434321=DIRECTION('center_axis',(-0.757781125399723,-0.652508824452152, 0.)); #434322=DIRECTION('ref_axis',(0.652508824452152,-0.757781125399723,0.)); #434323=DIRECTION('',(0.652508824452152,-0.757781125399723,0.)); #434324=DIRECTION('',(0.652508824452152,-0.757781125399723,0.)); #434325=DIRECTION('',(0.,0.,1.)); #434326=DIRECTION('center_axis',(-0.707106623709614,-0.707106938663446, 0.)); #434327=DIRECTION('ref_axis',(0.707106938663446,-0.707106623709614,0.)); #434328=DIRECTION('',(0.707106938663446,-0.707106623709614,0.)); #434329=DIRECTION('',(0.707106938663446,-0.707106623709614,0.)); #434330=DIRECTION('',(0.,0.,1.)); #434331=DIRECTION('center_axis',(-0.628203826084816,-0.77804881138165,0.)); #434332=DIRECTION('ref_axis',(0.77804881138165,-0.628203826084816,0.)); #434333=DIRECTION('',(0.77804881138165,-0.628203826084816,0.)); #434334=DIRECTION('',(0.77804881138165,-0.628203826084816,0.)); #434335=DIRECTION('',(0.,0.,1.)); #434336=DIRECTION('center_axis',(-0.450178111979861,-0.892938781493025, 0.)); #434337=DIRECTION('ref_axis',(0.892938781493025,-0.45017811197986,0.)); #434338=DIRECTION('',(0.892938781493025,-0.45017811197986,0.)); #434339=DIRECTION('',(0.892938781493025,-0.45017811197986,0.)); #434340=DIRECTION('',(0.,0.,1.)); #434341=DIRECTION('center_axis',(-0.252249312357682,-0.967662278077986, 0.)); #434342=DIRECTION('ref_axis',(0.967662278077986,-0.252249312357682,0.)); #434343=DIRECTION('',(0.967662278077986,-0.252249312357682,0.)); #434344=DIRECTION('',(0.967662278077986,-0.252249312357682,0.)); #434345=DIRECTION('',(0.,0.,1.)); #434346=DIRECTION('center_axis',(-0.0742706898420613,-0.997238118319985, 0.)); #434347=DIRECTION('ref_axis',(0.997238118319985,-0.0742706898420613,0.)); #434348=DIRECTION('',(0.997238118319985,-0.0742706898420613,0.)); #434349=DIRECTION('',(0.997238118319985,-0.0742706898420613,0.)); #434350=DIRECTION('',(0.,0.,1.)); #434351=DIRECTION('center_axis',(0.,-1.,0.)); #434352=DIRECTION('ref_axis',(1.,0.,0.)); #434353=DIRECTION('',(1.,0.,0.)); #434354=DIRECTION('',(1.,0.,0.)); #434355=DIRECTION('',(0.,0.,1.)); #434356=DIRECTION('center_axis',(-0.183305290257262,-0.983056036329416, 0.)); #434357=DIRECTION('ref_axis',(0.983056036329416,-0.183305290257262,0.)); #434358=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #434359=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #434360=DIRECTION('',(0.,0.,1.)); #434361=DIRECTION('center_axis',(-0.538911466745951,-0.842362410729329, 0.)); #434362=DIRECTION('ref_axis',(0.842362410729329,-0.538911466745951,0.)); #434363=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #434364=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #434365=DIRECTION('',(0.,0.,1.)); #434366=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #434367=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #434368=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #434369=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #434370=DIRECTION('',(0.,0.,1.)); #434371=DIRECTION('center_axis',(-0.980003828794034,-0.198978630885413, 0.)); #434372=DIRECTION('ref_axis',(0.198978630885413,-0.980003828794034,0.)); #434373=DIRECTION('',(0.198978630885413,-0.980003828794034,0.)); #434374=DIRECTION('',(0.198978630885413,-0.980003828794034,0.)); #434375=DIRECTION('',(0.,0.,1.)); #434376=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #434377=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #434378=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #434379=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #434380=DIRECTION('',(0.,0.,1.)); #434381=DIRECTION('center_axis',(-0.829203833807389,-0.558946331948898, 0.)); #434382=DIRECTION('ref_axis',(0.558946331948898,-0.829203833807389,0.)); #434383=DIRECTION('',(0.558946331948898,-0.829203833807389,0.)); #434384=DIRECTION('',(0.558946331948898,-0.829203833807389,0.)); #434385=DIRECTION('',(0.,0.,1.)); #434386=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #434387=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #434388=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #434389=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #434390=DIRECTION('',(0.,0.,1.)); #434391=DIRECTION('center_axis',(-1.,0.,0.)); #434392=DIRECTION('ref_axis',(0.,-1.,0.)); #434393=DIRECTION('',(0.,-1.,0.)); #434394=DIRECTION('',(0.,-1.,0.)); #434395=DIRECTION('',(0.,0.,1.)); #434396=DIRECTION('center_axis',(-0.981560865252946,0.19114985692877,0.)); #434397=DIRECTION('ref_axis',(-0.19114985692877,-0.981560865252945,0.)); #434398=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #434399=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #434400=DIRECTION('',(0.,0.,1.)); #434401=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #434402=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #434403=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #434404=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #434405=DIRECTION('',(0.,0.,1.)); #434406=DIRECTION('center_axis',(-0.707108784529055,0.707104777838364,0.)); #434407=DIRECTION('ref_axis',(-0.707104777838364,-0.707108784529055,0.)); #434408=DIRECTION('',(-0.707104777838364,-0.707108784529055,0.)); #434409=DIRECTION('',(-0.707104777838364,-0.707108784529055,0.)); #434410=DIRECTION('',(0.,0.,1.)); #434411=DIRECTION('center_axis',(-1.,0.,0.)); #434412=DIRECTION('ref_axis',(0.,-1.,0.)); #434413=DIRECTION('',(0.,-1.,0.)); #434414=DIRECTION('',(0.,-1.,0.)); #434415=DIRECTION('',(0.,0.,1.)); #434416=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #434417=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #434418=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #434419=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #434420=DIRECTION('',(0.,0.,1.)); #434421=DIRECTION('center_axis',(0.,-1.,0.)); #434422=DIRECTION('ref_axis',(1.,0.,0.)); #434423=DIRECTION('',(1.,0.,0.)); #434424=DIRECTION('',(1.,0.,0.)); #434425=DIRECTION('',(0.,0.,1.)); #434426=DIRECTION('center_axis',(0.707104327390303,-0.707109234974277,0.)); #434427=DIRECTION('ref_axis',(0.707109234974277,0.707104327390303,0.)); #434428=DIRECTION('',(0.707109234974277,0.707104327390303,0.)); #434429=DIRECTION('',(0.707109234974277,0.707104327390303,0.)); #434430=DIRECTION('',(0.,0.,1.)); #434431=DIRECTION('center_axis',(0.565439331101182,-0.82478989011981,0.)); #434432=DIRECTION('ref_axis',(0.82478989011981,0.565439331101182,0.)); #434433=DIRECTION('',(0.82478989011981,0.565439331101182,0.)); #434434=DIRECTION('',(0.82478989011981,0.565439331101182,0.)); #434435=DIRECTION('',(0.,0.,1.)); #434436=DIRECTION('center_axis',(0.214674314516579,-0.976685690837557,0.)); #434437=DIRECTION('ref_axis',(0.976685690837557,0.214674314516579,0.)); #434438=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #434439=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #434440=DIRECTION('',(0.,0.,1.)); #434441=DIRECTION('center_axis',(-0.183334818573505,-0.983050529880647, 0.)); #434442=DIRECTION('ref_axis',(0.983050529880647,-0.183334818573505,0.)); #434443=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #434444=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #434445=DIRECTION('',(0.,0.,1.)); #434446=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #434447=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #434448=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #434449=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #434450=DIRECTION('',(0.,0.,1.)); #434451=DIRECTION('center_axis',(-0.707104327390303,-0.707109234974277, 0.)); #434452=DIRECTION('ref_axis',(0.707109234974277,-0.707104327390303,0.)); #434453=DIRECTION('',(0.707109234974277,-0.707104327390303,0.)); #434454=DIRECTION('',(0.707109234974277,-0.707104327390303,0.)); #434455=DIRECTION('',(0.,0.,1.)); #434456=DIRECTION('center_axis',(0.,-1.,0.)); #434457=DIRECTION('ref_axis',(1.,0.,0.)); #434458=DIRECTION('',(1.,0.,0.)); #434459=DIRECTION('',(1.,0.,0.)); #434460=DIRECTION('',(0.,0.,1.)); #434461=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #434462=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #434463=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #434464=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #434465=DIRECTION('',(0.,0.,1.)); #434466=DIRECTION('center_axis',(1.,0.,0.)); #434467=DIRECTION('ref_axis',(0.,1.,0.)); #434468=DIRECTION('',(0.,1.,0.)); #434469=DIRECTION('',(0.,1.,0.)); #434470=DIRECTION('',(0.,0.,1.)); #434471=DIRECTION('center_axis',(0.707108784529055,0.707104777838364,0.)); #434472=DIRECTION('ref_axis',(-0.707104777838364,0.707108784529055,0.)); #434473=DIRECTION('',(-0.707104777838364,0.707108784529055,0.)); #434474=DIRECTION('',(-0.707104777838364,0.707108784529055,0.)); #434475=DIRECTION('',(0.,0.,1.)); #434476=DIRECTION('center_axis',(0.829203833807389,0.558946331948898,0.)); #434477=DIRECTION('ref_axis',(-0.558946331948898,0.829203833807389,0.)); #434478=DIRECTION('',(-0.558946331948898,0.829203833807389,0.)); #434479=DIRECTION('',(-0.558946331948898,0.829203833807389,0.)); #434480=DIRECTION('',(0.,0.,1.)); #434481=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #434482=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #434483=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #434484=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #434485=DIRECTION('',(0.,0.,1.)); #434486=DIRECTION('center_axis',(1.,0.,0.)); #434487=DIRECTION('ref_axis',(0.,1.,0.)); #434488=DIRECTION('',(0.,1.,0.)); #434489=DIRECTION('',(0.,1.,0.)); #434490=DIRECTION('',(0.,0.,1.)); #434491=DIRECTION('center_axis',(0.981560865252946,-0.19114985692877,0.)); #434492=DIRECTION('ref_axis',(0.19114985692877,0.981560865252945,0.)); #434493=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #434494=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #434495=DIRECTION('',(0.,0.,1.)); #434496=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #434497=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #434498=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #434499=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #434500=DIRECTION('',(0.,0.,1.)); #434501=DIRECTION('center_axis',(0.707108782544492,-0.707104779822939,0.)); #434502=DIRECTION('ref_axis',(0.707104779822939,0.707108782544492,0.)); #434503=DIRECTION('',(0.707104779822939,0.707108782544492,0.)); #434504=DIRECTION('',(0.707104779822939,0.707108782544492,0.)); #434505=DIRECTION('',(0.,0.,1.)); #434506=DIRECTION('center_axis',(0.983052942270892,-0.183321882743286,0.)); #434507=DIRECTION('ref_axis',(0.183321882743286,0.983052942270892,0.)); #434508=DIRECTION('',(0.183321882743286,0.983052942270892,0.)); #434509=DIRECTION('',(0.183321882743286,0.983052942270892,0.)); #434510=DIRECTION('',(0.,0.,1.)); #434511=DIRECTION('center_axis',(0.842362410729329,-0.538911466745951,0.)); #434512=DIRECTION('ref_axis',(0.538911466745951,0.842362410729329,0.)); #434513=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #434514=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #434515=DIRECTION('',(0.,0.,1.)); #434516=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #434517=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #434518=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #434519=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #434520=DIRECTION('',(0.,0.,1.)); #434521=DIRECTION('center_axis',(0.198956019232542,-0.980008419561353,0.)); #434522=DIRECTION('ref_axis',(0.980008419561353,0.198956019232542,0.)); #434523=DIRECTION('',(0.980008419561353,0.198956019232542,0.)); #434524=DIRECTION('',(0.980008419561353,0.198956019232542,0.)); #434525=DIRECTION('',(0.,0.,1.)); #434526=DIRECTION('center_axis',(0.,-1.,0.)); #434527=DIRECTION('ref_axis',(1.,0.,0.)); #434528=DIRECTION('',(1.,0.,0.)); #434529=DIRECTION('',(1.,0.,0.)); #434530=DIRECTION('',(0.,0.,1.)); #434531=DIRECTION('center_axis',(-0.191149856928623,-0.981560865252974, 0.)); #434532=DIRECTION('ref_axis',(0.981560865252974,-0.191149856928623,0.)); #434533=DIRECTION('',(0.981560865252974,-0.191149856928623,0.)); #434534=DIRECTION('',(0.981560865252974,-0.191149856928623,0.)); #434535=DIRECTION('',(0.,0.,1.)); #434536=DIRECTION('center_axis',(-0.552278225391995,-0.833659859749687, 0.)); #434537=DIRECTION('ref_axis',(0.833659859749687,-0.552278225391995,0.)); #434538=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #434539=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #434540=DIRECTION('',(0.,0.,1.)); #434541=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #434542=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #434543=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #434544=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #434545=DIRECTION('',(0.,0.,1.)); #434546=DIRECTION('center_axis',(-0.82920383380746,-0.558946331948794,0.)); #434547=DIRECTION('ref_axis',(0.558946331948794,-0.82920383380746,0.)); #434548=DIRECTION('',(0.558946331948794,-0.82920383380746,0.)); #434549=DIRECTION('',(0.558946331948794,-0.82920383380746,0.)); #434550=DIRECTION('',(0.,0.,1.)); #434551=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #434552=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #434553=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #434554=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #434555=DIRECTION('',(0.,0.,1.)); #434556=DIRECTION('center_axis',(-1.,0.,0.)); #434557=DIRECTION('ref_axis',(0.,-1.,0.)); #434558=DIRECTION('',(0.,-1.,0.)); #434559=DIRECTION('',(0.,-1.,0.)); #434560=DIRECTION('',(0.,0.,1.)); #434561=DIRECTION('center_axis',(-0.994378375567334,-0.105885061288508, 0.)); #434562=DIRECTION('ref_axis',(0.105885061288508,-0.994378375567334,0.)); #434563=DIRECTION('',(0.105885061288508,-0.994378375567334,0.)); #434564=DIRECTION('',(0.105885061288508,-0.994378375567334,0.)); #434565=DIRECTION('',(0.,0.,1.)); #434566=DIRECTION('center_axis',(-0.949778792384387,-0.312922107779005, 0.)); #434567=DIRECTION('ref_axis',(0.312922107779005,-0.949778792384387,0.)); #434568=DIRECTION('',(0.312922107779005,-0.949778792384387,0.)); #434569=DIRECTION('',(0.312922107779005,-0.949778792384387,0.)); #434570=DIRECTION('',(0.,0.,1.)); #434571=DIRECTION('center_axis',(-0.862466061684764,-0.506114900434647, 0.)); #434572=DIRECTION('ref_axis',(0.506114900434647,-0.862466061684764,0.)); #434573=DIRECTION('',(0.506114900434647,-0.862466061684764,0.)); #434574=DIRECTION('',(0.506114900434647,-0.862466061684764,0.)); #434575=DIRECTION('',(0.,0.,1.)); #434576=DIRECTION('center_axis',(-0.757781125399591,-0.652508824452305, 0.)); #434577=DIRECTION('ref_axis',(0.652508824452305,-0.757781125399591,0.)); #434578=DIRECTION('',(0.652508824452305,-0.757781125399591,0.)); #434579=DIRECTION('',(0.652508824452305,-0.757781125399591,0.)); #434580=DIRECTION('',(0.,0.,1.)); #434581=DIRECTION('center_axis',(-0.707106425896589,-0.707107136476328, 0.)); #434582=DIRECTION('ref_axis',(0.707107136476328,-0.707106425896589,0.)); #434583=DIRECTION('',(0.707107136476328,-0.707106425896589,0.)); #434584=DIRECTION('',(0.707107136476328,-0.707106425896589,0.)); #434585=DIRECTION('',(0.,0.,1.)); #434586=DIRECTION('center_axis',(-0.628203826084836,-0.778048811381633, 0.)); #434587=DIRECTION('ref_axis',(0.778048811381633,-0.628203826084836,0.)); #434588=DIRECTION('',(0.778048811381633,-0.628203826084836,0.)); #434589=DIRECTION('',(0.778048811381633,-0.628203826084836,0.)); #434590=DIRECTION('',(0.,0.,1.)); #434591=DIRECTION('center_axis',(-0.450178111979861,-0.892938781493025, 0.)); #434592=DIRECTION('ref_axis',(0.892938781493025,-0.45017811197986,0.)); #434593=DIRECTION('',(0.892938781493025,-0.45017811197986,0.)); #434594=DIRECTION('',(0.892938781493025,-0.45017811197986,0.)); #434595=DIRECTION('',(0.,0.,1.)); #434596=DIRECTION('center_axis',(-0.252249312357498,-0.967662278078034, 0.)); #434597=DIRECTION('ref_axis',(0.967662278078034,-0.252249312357498,0.)); #434598=DIRECTION('',(0.967662278078034,-0.252249312357498,0.)); #434599=DIRECTION('',(0.967662278078034,-0.252249312357498,0.)); #434600=DIRECTION('',(0.,0.,1.)); #434601=DIRECTION('center_axis',(-0.0742706898420613,-0.997238118319985, 0.)); #434602=DIRECTION('ref_axis',(0.997238118319985,-0.0742706898420613,0.)); #434603=DIRECTION('',(0.997238118319985,-0.0742706898420613,0.)); #434604=DIRECTION('',(0.997238118319985,-0.0742706898420613,0.)); #434605=DIRECTION('',(0.,0.,1.)); #434606=DIRECTION('center_axis',(0.,-1.,0.)); #434607=DIRECTION('ref_axis',(1.,0.,0.)); #434608=DIRECTION('',(1.,0.,0.)); #434609=DIRECTION('',(1.,0.,0.)); #434610=DIRECTION('',(0.,0.,1.)); #434611=DIRECTION('center_axis',(0.105885061288517,-0.994378375567333,0.)); #434612=DIRECTION('ref_axis',(0.994378375567332,0.105885061288517,0.)); #434613=DIRECTION('',(0.994378375567332,0.105885061288517,0.)); #434614=DIRECTION('',(0.994378375567332,0.105885061288517,0.)); #434615=DIRECTION('',(0.,0.,1.)); #434616=DIRECTION('center_axis',(0.312935976921447,-0.949774222827836,0.)); #434617=DIRECTION('ref_axis',(0.949774222827836,0.312935976921447,0.)); #434618=DIRECTION('',(0.949774222827836,0.312935976921447,0.)); #434619=DIRECTION('',(0.949774222827836,0.312935976921447,0.)); #434620=DIRECTION('',(0.,0.,1.)); #434621=DIRECTION('center_axis',(0.506095628368624,-0.862477370686424,0.)); #434622=DIRECTION('ref_axis',(0.862477370686424,0.506095628368624,0.)); #434623=DIRECTION('',(0.862477370686424,0.506095628368624,0.)); #434624=DIRECTION('',(0.862477370686424,0.506095628368624,0.)); #434625=DIRECTION('',(0.,0.,1.)); #434626=DIRECTION('center_axis',(0.652508824452,-0.757781125399854,0.)); #434627=DIRECTION('ref_axis',(0.757781125399854,0.652508824452,0.)); #434628=DIRECTION('',(0.757781125399854,0.652508824452,0.)); #434629=DIRECTION('',(0.757781125399854,0.652508824452,0.)); #434630=DIRECTION('',(0.,0.,1.)); #434631=DIRECTION('center_axis',(0.707107136476331,-0.707106425896586,0.)); #434632=DIRECTION('ref_axis',(0.707106425896586,0.707107136476331,0.)); #434633=DIRECTION('',(0.707106425896586,0.707107136476331,0.)); #434634=DIRECTION('',(0.707106425896586,0.707107136476331,0.)); #434635=DIRECTION('',(0.,0.,1.)); #434636=DIRECTION('center_axis',(0.778048811381676,-0.628203826084784,0.)); #434637=DIRECTION('ref_axis',(0.628203826084784,0.778048811381676,0.)); #434638=DIRECTION('',(0.628203826084784,0.778048811381676,0.)); #434639=DIRECTION('',(0.628203826084784,0.778048811381676,0.)); #434640=DIRECTION('',(0.,0.,1.)); #434641=DIRECTION('center_axis',(0.892938781492941,-0.450178111980026,0.)); #434642=DIRECTION('ref_axis',(0.450178111980026,0.892938781492941,0.)); #434643=DIRECTION('',(0.450178111980026,0.892938781492941,0.)); #434644=DIRECTION('',(0.450178111980026,0.892938781492941,0.)); #434645=DIRECTION('',(0.,0.,1.)); #434646=DIRECTION('center_axis',(0.967662278078022,-0.252249312357542,0.)); #434647=DIRECTION('ref_axis',(0.252249312357542,0.967662278078022,0.)); #434648=DIRECTION('',(0.252249312357542,0.967662278078022,0.)); #434649=DIRECTION('',(0.252249312357542,0.967662278078022,0.)); #434650=DIRECTION('',(0.,0.,1.)); #434651=DIRECTION('center_axis',(0.997238118319996,-0.0742706898419095, 0.)); #434652=DIRECTION('ref_axis',(0.0742706898419095,0.997238118319996,0.)); #434653=DIRECTION('',(0.0742706898419095,0.997238118319996,0.)); #434654=DIRECTION('',(0.0742706898419095,0.997238118319996,0.)); #434655=DIRECTION('',(0.,0.,1.)); #434656=DIRECTION('center_axis',(1.,0.,0.)); #434657=DIRECTION('ref_axis',(0.,1.,0.)); #434658=DIRECTION('',(0.,1.,0.)); #434659=DIRECTION('',(0.,1.,0.)); #434660=DIRECTION('',(0.,0.,1.)); #434661=DIRECTION('center_axis',(0.994378375567341,0.10588506128844,0.)); #434662=DIRECTION('ref_axis',(-0.10588506128844,0.994378375567341,0.)); #434663=DIRECTION('',(-0.10588506128844,0.994378375567341,0.)); #434664=DIRECTION('',(-0.10588506128844,0.994378375567341,0.)); #434665=DIRECTION('',(0.,0.,1.)); #434666=DIRECTION('center_axis',(0.949774222827774,0.312935976921634,0.)); #434667=DIRECTION('ref_axis',(-0.312935976921634,0.949774222827774,0.)); #434668=DIRECTION('',(-0.312935976921634,0.949774222827774,0.)); #434669=DIRECTION('',(-0.312935976921634,0.949774222827774,0.)); #434670=DIRECTION('',(0.,0.,1.)); #434671=DIRECTION('center_axis',(0.862477370686535,0.506095628368436,0.)); #434672=DIRECTION('ref_axis',(-0.506095628368436,0.862477370686535,0.)); #434673=DIRECTION('',(-0.506095628368436,0.862477370686535,0.)); #434674=DIRECTION('',(-0.506095628368436,0.862477370686535,0.)); #434675=DIRECTION('',(0.,0.,1.)); #434676=DIRECTION('center_axis',(0.757781125399666,0.652508824452218,0.)); #434677=DIRECTION('ref_axis',(-0.652508824452218,0.757781125399666,0.)); #434678=DIRECTION('',(-0.652508824452218,0.757781125399666,0.)); #434679=DIRECTION('',(-0.652508824452218,0.757781125399666,0.)); #434680=DIRECTION('',(0.,0.,1.)); #434681=DIRECTION('center_axis',(0.707105338772347,0.707108223597805,0.)); #434682=DIRECTION('ref_axis',(-0.707108223597805,0.707105338772347,0.)); #434683=DIRECTION('',(-0.707108223597805,0.707105338772347,0.)); #434684=DIRECTION('',(-0.707108223597805,0.707105338772347,0.)); #434685=DIRECTION('',(0.,0.,1.)); #434686=DIRECTION('center_axis',(0.628203826084774,0.778048811381684,0.)); #434687=DIRECTION('ref_axis',(-0.778048811381684,0.628203826084774,0.)); #434688=DIRECTION('',(-0.778048811381684,0.628203826084774,0.)); #434689=DIRECTION('',(-0.778048811381684,0.628203826084774,0.)); #434690=DIRECTION('',(0.,0.,1.)); #434691=DIRECTION('center_axis',(0.450178111979861,0.892938781493025,0.)); #434692=DIRECTION('ref_axis',(-0.892938781493025,0.45017811197986,0.)); #434693=DIRECTION('',(-0.892938781493025,0.45017811197986,0.)); #434694=DIRECTION('',(-0.892938781493025,0.45017811197986,0.)); #434695=DIRECTION('',(0.,0.,1.)); #434696=DIRECTION('center_axis',(0.252249312357774,0.967662278077962,0.)); #434697=DIRECTION('ref_axis',(-0.967662278077962,0.252249312357774,0.)); #434698=DIRECTION('',(-0.967662278077962,0.252249312357774,0.)); #434699=DIRECTION('',(-0.967662278077962,0.252249312357774,0.)); #434700=DIRECTION('',(0.,0.,1.)); #434701=DIRECTION('center_axis',(0.0742706898419193,0.997238118319996,0.)); #434702=DIRECTION('ref_axis',(-0.997238118319996,0.0742706898419193,0.)); #434703=DIRECTION('',(-0.997238118319996,0.0742706898419193,0.)); #434704=DIRECTION('',(-0.997238118319996,0.0742706898419193,0.)); #434705=DIRECTION('',(0.,0.,1.)); #434706=DIRECTION('center_axis',(0.,1.,0.)); #434707=DIRECTION('ref_axis',(-1.,0.,0.)); #434708=DIRECTION('',(-1.,0.,0.)); #434709=DIRECTION('',(-1.,0.,0.)); #434710=DIRECTION('',(0.,0.,1.)); #434711=DIRECTION('center_axis',(-0.105885061288612,0.994378375567322,0.)); #434712=DIRECTION('ref_axis',(-0.994378375567322,-0.105885061288612,0.)); #434713=DIRECTION('',(-0.994378375567322,-0.105885061288612,0.)); #434714=DIRECTION('',(-0.994378375567322,-0.105885061288612,0.)); #434715=DIRECTION('',(0.,0.,1.)); #434716=DIRECTION('center_axis',(-0.31292210777885,0.949778792384438,0.)); #434717=DIRECTION('ref_axis',(-0.949778792384438,-0.31292210777885,0.)); #434718=DIRECTION('',(-0.949778792384438,-0.31292210777885,0.)); #434719=DIRECTION('',(-0.949778792384438,-0.31292210777885,0.)); #434720=DIRECTION('',(0.,0.,1.)); #434721=DIRECTION('center_axis',(-0.506114900434647,0.862466061684764,0.)); #434722=DIRECTION('ref_axis',(-0.862466061684764,-0.506114900434647,0.)); #434723=DIRECTION('',(-0.862466061684764,-0.506114900434647,0.)); #434724=DIRECTION('',(-0.862466061684764,-0.506114900434647,0.)); #434725=DIRECTION('',(0.,0.,1.)); #434726=DIRECTION('center_axis',(-0.65250882445237,0.757781125399535,0.)); #434727=DIRECTION('ref_axis',(-0.757781125399535,-0.65250882445237,0.)); #434728=DIRECTION('',(-0.757781125399535,-0.65250882445237,0.)); #434729=DIRECTION('',(-0.757781125399535,-0.65250882445237,0.)); #434730=DIRECTION('',(0.,0.,1.)); #434731=DIRECTION('center_axis',(-0.707108223597802,0.707105338772351,0.)); #434732=DIRECTION('ref_axis',(-0.707105338772351,-0.707108223597802,0.)); #434733=DIRECTION('',(-0.707105338772351,-0.707108223597802,0.)); #434734=DIRECTION('',(-0.707105338772351,-0.707108223597802,0.)); #434735=DIRECTION('',(0.,0.,1.)); #434736=DIRECTION('center_axis',(-0.778048811381607,0.628203826084869,0.)); #434737=DIRECTION('ref_axis',(-0.628203826084869,-0.778048811381607,0.)); #434738=DIRECTION('',(-0.628203826084869,-0.778048811381607,0.)); #434739=DIRECTION('',(-0.628203826084869,-0.778048811381607,0.)); #434740=DIRECTION('',(0.,0.,1.)); #434741=DIRECTION('center_axis',(-0.892938781493088,0.450178111979736,0.)); #434742=DIRECTION('ref_axis',(-0.450178111979736,-0.892938781493088,0.)); #434743=DIRECTION('',(-0.450178111979736,-0.892938781493088,0.)); #434744=DIRECTION('',(-0.450178111979736,-0.892938781493088,0.)); #434745=DIRECTION('',(0.,0.,1.)); #434746=DIRECTION('center_axis',(-0.967662278077962,0.252249312357774,0.)); #434747=DIRECTION('ref_axis',(-0.252249312357774,-0.967662278077962,0.)); #434748=DIRECTION('',(-0.252249312357774,-0.967662278077962,0.)); #434749=DIRECTION('',(-0.252249312357774,-0.967662278077962,0.)); #434750=DIRECTION('',(0.,0.,1.)); #434751=DIRECTION('center_axis',(-0.997238118319996,0.0742706898419193, 0.)); #434752=DIRECTION('ref_axis',(-0.0742706898419193,-0.997238118319996,0.)); #434753=DIRECTION('',(-0.0742706898419193,-0.997238118319996,0.)); #434754=DIRECTION('',(-0.0742706898419193,-0.997238118319996,0.)); #434755=DIRECTION('',(0.,0.,1.)); #434756=DIRECTION('center_axis',(-1.,0.,0.)); #434757=DIRECTION('ref_axis',(0.,-1.,0.)); #434758=DIRECTION('',(0.,-1.,0.)); #434759=DIRECTION('',(0.,-1.,0.)); #434760=DIRECTION('',(0.,0.,1.)); #434761=DIRECTION('center_axis',(-0.981560865252946,0.19114985692877,0.)); #434762=DIRECTION('ref_axis',(-0.19114985692877,-0.981560865252945,0.)); #434763=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #434764=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #434765=DIRECTION('',(0.,0.,1.)); #434766=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #434767=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #434768=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #434769=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #434770=DIRECTION('',(0.,0.,1.)); #434771=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #434772=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #434773=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #434774=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #434775=DIRECTION('',(0.,0.,1.)); #434776=DIRECTION('center_axis',(-0.558946331948829,0.829203833807436,0.)); #434777=DIRECTION('ref_axis',(-0.829203833807436,-0.558946331948829,0.)); #434778=DIRECTION('',(-0.829203833807436,-0.558946331948829,0.)); #434779=DIRECTION('',(-0.829203833807436,-0.558946331948829,0.)); #434780=DIRECTION('',(0.,0.,1.)); #434781=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #434782=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #434783=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #434784=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #434785=DIRECTION('',(0.,0.,1.)); #434786=DIRECTION('center_axis',(0.,1.,0.)); #434787=DIRECTION('ref_axis',(-1.,0.,0.)); #434788=DIRECTION('',(-1.,0.,0.)); #434789=DIRECTION('',(-1.,0.,0.)); #434790=DIRECTION('',(0.,0.,1.)); #434791=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #434792=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #434793=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #434794=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #434795=DIRECTION('',(0.,0.,1.)); #434796=DIRECTION('center_axis',(0.538911466746018,0.842362410729287,0.)); #434797=DIRECTION('ref_axis',(-0.842362410729287,0.538911466746018,0.)); #434798=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #434799=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #434800=DIRECTION('',(0.,0.,1.)); #434801=DIRECTION('center_axis',(0.824745549033899,0.565504004715062,0.)); #434802=DIRECTION('ref_axis',(-0.565504004715062,0.824745549033899,0.)); #434803=DIRECTION('',(-0.565504004715062,0.824745549033899,0.)); #434804=DIRECTION('',(-0.565504004715062,0.824745549033899,0.)); #434805=DIRECTION('',(0.,0.,1.)); #434806=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #434807=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #434808=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #434809=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #434810=DIRECTION('',(0.,0.,1.)); #434811=DIRECTION('center_axis',(1.,0.,0.)); #434812=DIRECTION('ref_axis',(0.,1.,0.)); #434813=DIRECTION('',(0.,1.,0.)); #434814=DIRECTION('',(0.,1.,0.)); #434815=DIRECTION('',(0.,0.,1.)); #434816=DIRECTION('center_axis',(0.707106781186565,0.70710678118653,0.)); #434817=DIRECTION('ref_axis',(-0.70710678118653,0.707106781186565,0.)); #434818=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #434819=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #434820=DIRECTION('',(0.,0.,1.)); #434821=DIRECTION('center_axis',(0.,1.,0.)); #434822=DIRECTION('ref_axis',(-1.,0.,0.)); #434823=DIRECTION('',(-1.,0.,0.)); #434824=DIRECTION('',(-1.,0.,0.)); #434825=DIRECTION('',(0.,0.,1.)); #434826=DIRECTION('center_axis',(0.183305290257262,0.983056036329416,0.)); #434827=DIRECTION('ref_axis',(-0.983056036329416,0.183305290257262,0.)); #434828=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #434829=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #434830=DIRECTION('',(0.,0.,1.)); #434831=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #434832=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #434833=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #434834=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #434835=DIRECTION('',(0.,0.,1.)); #434836=DIRECTION('center_axis',(0.82474554903392,0.565504004715031,0.)); #434837=DIRECTION('ref_axis',(-0.565504004715031,0.82474554903392,0.)); #434838=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #434839=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #434840=DIRECTION('',(0.,0.,1.)); #434841=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #434842=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #434843=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #434844=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #434845=DIRECTION('',(0.,0.,1.)); #434846=DIRECTION('center_axis',(1.,0.,0.)); #434847=DIRECTION('ref_axis',(0.,1.,0.)); #434848=DIRECTION('',(0.,1.,0.)); #434849=DIRECTION('',(0.,1.,0.)); #434850=DIRECTION('',(0.,0.,1.)); #434851=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #434852=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #434853=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #434854=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #434855=DIRECTION('',(0.,0.,1.)); #434856=DIRECTION('center_axis',(0.842362410729287,-0.538911466746018,0.)); #434857=DIRECTION('ref_axis',(0.538911466746018,0.842362410729287,0.)); #434858=DIRECTION('',(0.538911466746018,0.842362410729287,0.)); #434859=DIRECTION('',(0.538911466746018,0.842362410729287,0.)); #434860=DIRECTION('',(0.,0.,1.)); #434861=DIRECTION('center_axis',(0.565504004715062,-0.824745549033899,0.)); #434862=DIRECTION('ref_axis',(0.824745549033899,0.565504004715062,0.)); #434863=DIRECTION('',(0.824745549033899,0.565504004715062,0.)); #434864=DIRECTION('',(0.824745549033899,0.565504004715062,0.)); #434865=DIRECTION('',(0.,0.,1.)); #434866=DIRECTION('center_axis',(0.198956019232571,-0.980008419561347,0.)); #434867=DIRECTION('ref_axis',(0.980008419561347,0.198956019232571,0.)); #434868=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #434869=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #434870=DIRECTION('',(0.,0.,1.)); #434871=DIRECTION('center_axis',(0.,-1.,0.)); #434872=DIRECTION('ref_axis',(1.,0.,0.)); #434873=DIRECTION('',(1.,0.,0.)); #434874=DIRECTION('',(1.,0.,0.)); #434875=DIRECTION('',(0.,0.,1.)); #434876=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #434877=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #434878=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #434879=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #434880=DIRECTION('',(0.,0.,1.)); #434881=DIRECTION('center_axis',(1.,0.,0.)); #434882=DIRECTION('ref_axis',(0.,1.,0.)); #434883=DIRECTION('',(0.,1.,0.)); #434884=DIRECTION('',(0.,1.,0.)); #434885=DIRECTION('',(0.,0.,1.)); #434886=DIRECTION('center_axis',(0.982611922978298,-0.18567123853977,0.)); #434887=DIRECTION('ref_axis',(0.18567123853977,0.982611922978298,0.)); #434888=DIRECTION('',(0.18567123853977,0.982611922978298,0.)); #434889=DIRECTION('',(0.18567123853977,0.982611922978298,0.)); #434890=DIRECTION('',(0.,0.,1.)); #434891=DIRECTION('center_axis',(0.839854000787644,-0.54281235925593,0.)); #434892=DIRECTION('ref_axis',(0.54281235925593,0.839854000787644,0.)); #434893=DIRECTION('',(0.54281235925593,0.839854000787644,0.)); #434894=DIRECTION('',(0.54281235925593,0.839854000787644,0.)); #434895=DIRECTION('',(0.,0.,1.)); #434896=DIRECTION('center_axis',(0.715039514836094,-0.699084038026161,0.)); #434897=DIRECTION('ref_axis',(0.699084038026161,0.715039514836094,0.)); #434898=DIRECTION('',(0.699084038026161,0.715039514836094,0.)); #434899=DIRECTION('',(0.699084038026161,0.715039514836094,0.)); #434900=DIRECTION('',(0.,0.,1.)); #434901=DIRECTION('center_axis',(0.563583164549436,-0.826059329973606,0.)); #434902=DIRECTION('ref_axis',(0.826059329973606,0.563583164549436,0.)); #434903=DIRECTION('',(0.826059329973606,0.563583164549436,0.)); #434904=DIRECTION('',(0.826059329973606,0.563583164549436,0.)); #434905=DIRECTION('',(0.,0.,1.)); #434906=DIRECTION('center_axis',(0.198956019232571,-0.980008419561347,0.)); #434907=DIRECTION('ref_axis',(0.980008419561347,0.198956019232571,0.)); #434908=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #434909=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #434910=DIRECTION('',(0.,0.,1.)); #434911=DIRECTION('center_axis',(0.,-1.,0.)); #434912=DIRECTION('ref_axis',(1.,0.,0.)); #434913=DIRECTION('',(1.,0.,0.)); #434914=DIRECTION('',(1.,0.,0.)); #434915=DIRECTION('',(0.,0.,1.)); #434916=DIRECTION('center_axis',(-0.196699828896315,-0.980463756246074, 0.)); #434917=DIRECTION('ref_axis',(0.980463756246074,-0.196699828896315,0.)); #434918=DIRECTION('',(0.980463756246074,-0.196699828896315,0.)); #434919=DIRECTION('',(0.980463756246074,-0.196699828896315,0.)); #434920=DIRECTION('',(0.,0.,1.)); #434921=DIRECTION('center_axis',(-0.56162882618623,-0.827389304739116,0.)); #434922=DIRECTION('ref_axis',(0.827389304739116,-0.56162882618623,0.)); #434923=DIRECTION('',(0.827389304739116,-0.56162882618623,0.)); #434924=DIRECTION('',(0.827389304739116,-0.56162882618623,0.)); #434925=DIRECTION('',(0.,0.,1.)); #434926=DIRECTION('center_axis',(-0.715039514836111,-0.699084038026144, 0.)); #434927=DIRECTION('ref_axis',(0.699084038026144,-0.715039514836111,0.)); #434928=DIRECTION('',(0.699084038026144,-0.715039514836111,0.)); #434929=DIRECTION('',(0.699084038026144,-0.715039514836111,0.)); #434930=DIRECTION('',(0.,0.,1.)); #434931=DIRECTION('center_axis',(-0.832343802234883,-0.554259681810952, 0.)); #434932=DIRECTION('ref_axis',(0.554259681810952,-0.832343802234883,0.)); #434933=DIRECTION('',(0.554259681810952,-0.832343802234883,0.)); #434934=DIRECTION('',(0.554259681810952,-0.832343802234883,0.)); #434935=DIRECTION('',(0.,0.,1.)); #434936=DIRECTION('center_axis',(-0.980008419561333,-0.198956019232641, 0.)); #434937=DIRECTION('ref_axis',(0.198956019232641,-0.980008419561333,0.)); #434938=DIRECTION('',(0.198956019232641,-0.980008419561333,0.)); #434939=DIRECTION('',(0.198956019232641,-0.980008419561333,0.)); #434940=DIRECTION('',(0.,0.,1.)); #434941=DIRECTION('center_axis',(-1.,0.,0.)); #434942=DIRECTION('ref_axis',(0.,-1.,0.)); #434943=DIRECTION('',(0.,-1.,0.)); #434944=DIRECTION('',(0.,-1.,0.)); #434945=DIRECTION('',(0.,0.,1.)); #434946=DIRECTION('center_axis',(-0.707106781186539,-0.707106781186556, 0.)); #434947=DIRECTION('ref_axis',(0.707106781186556,-0.707106781186539,0.)); #434948=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #434949=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #434950=DIRECTION('',(0.,0.,1.)); #434951=DIRECTION('center_axis',(0.,-1.,0.)); #434952=DIRECTION('ref_axis',(1.,0.,0.)); #434953=DIRECTION('',(1.,0.,0.)); #434954=DIRECTION('',(1.,0.,0.)); #434955=DIRECTION('',(0.,0.,1.)); #434956=DIRECTION('center_axis',(0.707106781186556,-0.707106781186539,0.)); #434957=DIRECTION('ref_axis',(0.707106781186539,0.707106781186556,0.)); #434958=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #434959=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #434960=DIRECTION('',(0.,0.,1.)); #434961=DIRECTION('center_axis',(1.,0.,0.)); #434962=DIRECTION('ref_axis',(0.,1.,0.)); #434963=DIRECTION('',(0.,1.,0.)); #434964=DIRECTION('',(0.,1.,0.)); #434965=DIRECTION('',(0.,0.,1.)); #434966=DIRECTION('center_axis',(0.707106781186556,0.707106781186539,0.)); #434967=DIRECTION('ref_axis',(-0.707106781186539,0.707106781186556,0.)); #434968=DIRECTION('',(-0.707106781186539,0.707106781186556,0.)); #434969=DIRECTION('',(-0.707106781186539,0.707106781186556,0.)); #434970=DIRECTION('',(0.,0.,1.)); #434971=DIRECTION('center_axis',(0.,1.,0.)); #434972=DIRECTION('ref_axis',(-1.,0.,0.)); #434973=DIRECTION('',(-1.,0.,0.)); #434974=DIRECTION('',(-1.,0.,0.)); #434975=DIRECTION('',(0.,0.,1.)); #434976=DIRECTION('center_axis',(0.196699828896585,0.98046375624602,0.)); #434977=DIRECTION('ref_axis',(-0.98046375624602,0.196699828896585,0.)); #434978=DIRECTION('',(-0.98046375624602,0.196699828896585,0.)); #434979=DIRECTION('',(-0.98046375624602,0.196699828896585,0.)); #434980=DIRECTION('',(0.,0.,1.)); #434981=DIRECTION('center_axis',(0.561635254820536,0.82738494096924,0.)); #434982=DIRECTION('ref_axis',(-0.827384940969239,0.561635254820536,0.)); #434983=DIRECTION('',(-0.827384940969239,0.561635254820536,0.)); #434984=DIRECTION('',(-0.827384940969239,0.561635254820536,0.)); #434985=DIRECTION('',(0.,0.,1.)); #434986=DIRECTION('center_axis',(0.715042436930051,0.69908104922758,0.)); #434987=DIRECTION('ref_axis',(-0.69908104922758,0.715042436930051,0.)); #434988=DIRECTION('',(-0.69908104922758,0.715042436930051,0.)); #434989=DIRECTION('',(-0.69908104922758,0.715042436930051,0.)); #434990=DIRECTION('',(0.,0.,1.)); #434991=DIRECTION('center_axis',(0.832434679563789,0.554123185094734,0.)); #434992=DIRECTION('ref_axis',(-0.554123185094734,0.832434679563789,0.)); #434993=DIRECTION('',(-0.554123185094734,0.832434679563789,0.)); #434994=DIRECTION('',(-0.554123185094734,0.832434679563789,0.)); #434995=DIRECTION('',(0.,0.,1.)); #434996=DIRECTION('center_axis',(0.707102543829842,0.707111018517861,0.)); #434997=DIRECTION('ref_axis',(-0.707111018517861,0.707102543829842,0.)); #434998=DIRECTION('',(-0.707111018517861,0.707102543829842,0.)); #434999=DIRECTION('',(-0.707111018517861,0.707102543829842,0.)); #435000=DIRECTION('',(0.,0.,1.)); #435001=DIRECTION('center_axis',(0.71113578107923,0.703054692658283,0.)); #435002=DIRECTION('ref_axis',(-0.703054692658283,0.71113578107923,0.)); #435003=DIRECTION('',(-0.703054692658283,0.71113578107923,0.)); #435004=DIRECTION('',(-0.703054692658283,0.71113578107923,0.)); #435005=DIRECTION('',(0.,0.,1.)); #435006=DIRECTION('center_axis',(0.715080716772187,0.699041893237147,0.)); #435007=DIRECTION('ref_axis',(-0.699041893237147,0.715080716772187,0.)); #435008=DIRECTION('',(-0.699041893237147,0.715080716772187,0.)); #435009=DIRECTION('',(-0.699041893237147,0.715080716772187,0.)); #435010=DIRECTION('',(0.,0.,1.)); #435011=DIRECTION('center_axis',(0.828019304303592,0.56069959131481,0.)); #435012=DIRECTION('ref_axis',(-0.56069959131481,0.828019304303592,0.)); #435013=DIRECTION('',(-0.56069959131481,0.828019304303592,0.)); #435014=DIRECTION('',(-0.56069959131481,0.828019304303592,0.)); #435015=DIRECTION('',(0.,0.,1.)); #435016=DIRECTION('center_axis',(0.976685690837549,0.214674314516619,0.)); #435017=DIRECTION('ref_axis',(-0.214674314516619,0.976685690837549,0.)); #435018=DIRECTION('',(-0.214674314516619,0.976685690837549,0.)); #435019=DIRECTION('',(-0.214674314516619,0.976685690837549,0.)); #435020=DIRECTION('',(0.,0.,1.)); #435021=DIRECTION('center_axis',(0.983050529880602,-0.183334818573744,0.)); #435022=DIRECTION('ref_axis',(0.183334818573744,0.983050529880602,0.)); #435023=DIRECTION('',(0.183334818573744,0.983050529880602,0.)); #435024=DIRECTION('',(0.183334818573744,0.983050529880602,0.)); #435025=DIRECTION('',(0.,0.,1.)); #435026=DIRECTION('center_axis',(0.833659859749789,-0.552278225391843,0.)); #435027=DIRECTION('ref_axis',(0.552278225391843,0.833659859749789,0.)); #435028=DIRECTION('',(0.552278225391843,0.833659859749789,0.)); #435029=DIRECTION('',(0.552278225391843,0.833659859749789,0.)); #435030=DIRECTION('',(0.,0.,1.)); #435031=DIRECTION('center_axis',(0.707183795484354,-0.707029758499841,0.)); #435032=DIRECTION('ref_axis',(0.707029758499841,0.707183795484354,0.)); #435033=DIRECTION('',(0.707029758499841,0.707183795484354,0.)); #435034=DIRECTION('',(0.707029758499841,0.707183795484354,0.)); #435035=DIRECTION('',(0.,0.,1.)); #435036=DIRECTION('center_axis',(0.691067810975528,-0.722789928425605,0.)); #435037=DIRECTION('ref_axis',(0.722789928425605,0.691067810975528,0.)); #435038=DIRECTION('',(0.722789928425605,0.691067810975528,0.)); #435039=DIRECTION('',(0.722789928425605,0.691067810975528,0.)); #435040=DIRECTION('',(0.,0.,1.)); #435041=DIRECTION('center_axis',(0.675121408869211,-0.737706637686317,0.)); #435042=DIRECTION('ref_axis',(0.737706637686317,0.675121408869211,0.)); #435043=DIRECTION('',(0.737706637686317,0.675121408869211,0.)); #435044=DIRECTION('',(0.737706637686317,0.675121408869211,0.)); #435045=DIRECTION('',(0.,0.,1.)); #435046=DIRECTION('center_axis',(0.540479579461297,-0.841357132367308,0.)); #435047=DIRECTION('ref_axis',(0.841357132367308,0.540479579461297,0.)); #435048=DIRECTION('',(0.841357132367308,0.540479579461297,0.)); #435049=DIRECTION('',(0.841357132367308,0.540479579461297,0.)); #435050=DIRECTION('',(0.,0.,1.)); #435051=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #435052=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #435053=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #435054=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #435055=DIRECTION('',(0.,0.,1.)); #435056=DIRECTION('center_axis',(0.,-1.,0.)); #435057=DIRECTION('ref_axis',(1.,0.,0.)); #435058=DIRECTION('',(1.,0.,0.)); #435059=DIRECTION('',(1.,0.,0.)); #435060=DIRECTION('',(0.,0.,1.)); #435061=DIRECTION('center_axis',(0.707106781186556,-0.707106781186539,0.)); #435062=DIRECTION('ref_axis',(0.707106781186539,0.707106781186556,0.)); #435063=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #435064=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #435065=DIRECTION('',(0.,0.,1.)); #435066=DIRECTION('center_axis',(1.,0.,0.)); #435067=DIRECTION('ref_axis',(0.,1.,0.)); #435068=DIRECTION('',(0.,1.,0.)); #435069=DIRECTION('',(0.,1.,0.)); #435070=DIRECTION('',(0.,0.,1.)); #435071=DIRECTION('center_axis',(0.707106781186556,0.707106781186539,0.)); #435072=DIRECTION('ref_axis',(-0.707106781186539,0.707106781186556,0.)); #435073=DIRECTION('',(-0.707106781186539,0.707106781186556,0.)); #435074=DIRECTION('',(-0.707106781186539,0.707106781186556,0.)); #435075=DIRECTION('',(0.,0.,1.)); #435076=DIRECTION('center_axis',(0.,1.,0.)); #435077=DIRECTION('ref_axis',(-1.,0.,0.)); #435078=DIRECTION('',(-1.,0.,0.)); #435079=DIRECTION('',(-1.,0.,0.)); #435080=DIRECTION('',(0.,0.,1.)); #435081=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #435082=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #435083=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #435084=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #435085=DIRECTION('',(0.,0.,1.)); #435086=DIRECTION('center_axis',(0.538911466745984,0.842362410729308,0.)); #435087=DIRECTION('ref_axis',(-0.842362410729308,0.538911466745984,0.)); #435088=DIRECTION('',(-0.842362410729308,0.538911466745984,0.)); #435089=DIRECTION('',(-0.842362410729308,0.538911466745984,0.)); #435090=DIRECTION('',(0.,0.,1.)); #435091=DIRECTION('center_axis',(0.824745549033933,0.565504004715012,0.)); #435092=DIRECTION('ref_axis',(-0.565504004715012,0.824745549033933,0.)); #435093=DIRECTION('',(-0.565504004715012,0.824745549033933,0.)); #435094=DIRECTION('',(-0.565504004715012,0.824745549033933,0.)); #435095=DIRECTION('',(0.,0.,1.)); #435096=DIRECTION('center_axis',(0.980008419561333,0.198956019232641,0.)); #435097=DIRECTION('ref_axis',(-0.198956019232641,0.980008419561333,0.)); #435098=DIRECTION('',(-0.198956019232641,0.980008419561333,0.)); #435099=DIRECTION('',(-0.198956019232641,0.980008419561333,0.)); #435100=DIRECTION('',(0.,0.,1.)); #435101=DIRECTION('center_axis',(1.,0.,0.)); #435102=DIRECTION('ref_axis',(0.,1.,0.)); #435103=DIRECTION('',(0.,1.,0.)); #435104=DIRECTION('',(0.,1.,0.)); #435105=DIRECTION('',(0.,0.,1.)); #435106=DIRECTION('center_axis',(0.707106781186554,0.707106781186541,0.)); #435107=DIRECTION('ref_axis',(-0.707106781186541,0.707106781186554,0.)); #435108=DIRECTION('',(-0.707106781186541,0.707106781186554,0.)); #435109=DIRECTION('',(-0.707106781186541,0.707106781186554,0.)); #435110=DIRECTION('',(0.,0.,1.)); #435111=DIRECTION('center_axis',(0.820212632122557,0.572058771549381,0.)); #435112=DIRECTION('ref_axis',(-0.572058771549381,0.820212632122557,0.)); #435113=DIRECTION('',(-0.572058771549381,0.820212632122557,0.)); #435114=DIRECTION('',(-0.572058771549381,0.820212632122557,0.)); #435115=DIRECTION('',(0.,0.,1.)); #435116=DIRECTION('center_axis',(0.973151456886414,0.230165683714686,0.)); #435117=DIRECTION('ref_axis',(-0.230165683714686,0.973151456886414,0.)); #435118=DIRECTION('',(-0.230165683714686,0.973151456886414,0.)); #435119=DIRECTION('',(-0.230165683714686,0.973151456886414,0.)); #435120=DIRECTION('',(0.,0.,1.)); #435121=DIRECTION('center_axis',(0.985847618607377,-0.167643887112424,0.)); #435122=DIRECTION('ref_axis',(0.167643887112424,0.985847618607377,0.)); #435123=DIRECTION('',(0.167643887112424,0.985847618607377,0.)); #435124=DIRECTION('',(0.167643887112424,0.985847618607377,0.)); #435125=DIRECTION('',(0.,0.,1.)); #435126=DIRECTION('center_axis',(0.842362410729372,-0.538911466745885,0.)); #435127=DIRECTION('ref_axis',(0.538911466745885,0.842362410729372,0.)); #435128=DIRECTION('',(0.538911466745885,0.842362410729372,0.)); #435129=DIRECTION('',(0.538911466745885,0.842362410729372,0.)); #435130=DIRECTION('',(0.,0.,1.)); #435131=DIRECTION('center_axis',(0.565504004714897,-0.824745549034012,0.)); #435132=DIRECTION('ref_axis',(0.824745549034012,0.565504004714897,0.)); #435133=DIRECTION('',(0.824745549034012,0.565504004714897,0.)); #435134=DIRECTION('',(0.824745549034012,0.565504004714897,0.)); #435135=DIRECTION('',(0.,0.,1.)); #435136=DIRECTION('center_axis',(0.198956019232697,-0.980008419561321,0.)); #435137=DIRECTION('ref_axis',(0.980008419561321,0.198956019232697,0.)); #435138=DIRECTION('',(0.980008419561321,0.198956019232697,0.)); #435139=DIRECTION('',(0.980008419561321,0.198956019232697,0.)); #435140=DIRECTION('',(0.,0.,1.)); #435141=DIRECTION('center_axis',(0.,-1.,0.)); #435142=DIRECTION('ref_axis',(1.,0.,0.)); #435143=DIRECTION('',(1.,0.,0.)); #435144=DIRECTION('',(1.,0.,0.)); #435145=DIRECTION('',(0.,0.,1.)); #435146=DIRECTION('center_axis',(0.707106781186539,-0.707106781186556,0.)); #435147=DIRECTION('ref_axis',(0.707106781186556,0.707106781186539,0.)); #435148=DIRECTION('',(0.707106781186556,0.707106781186539,0.)); #435149=DIRECTION('',(0.707106781186556,0.707106781186539,0.)); #435150=DIRECTION('',(0.,0.,1.)); #435151=DIRECTION('center_axis',(1.,0.,0.)); #435152=DIRECTION('ref_axis',(0.,1.,0.)); #435153=DIRECTION('',(0.,1.,0.)); #435154=DIRECTION('',(0.,1.,0.)); #435155=DIRECTION('',(0.,0.,1.)); #435156=DIRECTION('center_axis',(0.707106781186539,0.707106781186556,0.)); #435157=DIRECTION('ref_axis',(-0.707106781186556,0.707106781186539,0.)); #435158=DIRECTION('',(-0.707106781186556,0.707106781186539,0.)); #435159=DIRECTION('',(-0.707106781186556,0.707106781186539,0.)); #435160=DIRECTION('',(0.,0.,1.)); #435161=DIRECTION('center_axis',(0.,1.,0.)); #435162=DIRECTION('ref_axis',(-1.,0.,0.)); #435163=DIRECTION('',(-1.,0.,0.)); #435164=DIRECTION('',(-1.,0.,0.)); #435165=DIRECTION('',(0.,0.,1.)); #435166=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #435167=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #435168=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #435169=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #435170=DIRECTION('',(0.,0.,1.)); #435171=DIRECTION('center_axis',(-1.,0.,0.)); #435172=DIRECTION('ref_axis',(0.,-1.,0.)); #435173=DIRECTION('',(0.,-1.,0.)); #435174=DIRECTION('',(0.,-1.,0.)); #435175=DIRECTION('',(0.,0.,1.)); #435176=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #435177=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #435178=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #435179=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #435180=DIRECTION('',(0.,0.,1.)); #435181=DIRECTION('center_axis',(0.,-1.,0.)); #435182=DIRECTION('ref_axis',(1.,0.,0.)); #435183=DIRECTION('',(1.,0.,0.)); #435184=DIRECTION('',(1.,0.,0.)); #435185=DIRECTION('',(0.,0.,1.)); #435186=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #435187=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #435188=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #435189=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #435190=DIRECTION('',(0.,0.,1.)); #435191=DIRECTION('center_axis',(-0.538911466745984,-0.842362410729308, 0.)); #435192=DIRECTION('ref_axis',(0.842362410729308,-0.538911466745984,0.)); #435193=DIRECTION('',(0.842362410729308,-0.538911466745984,0.)); #435194=DIRECTION('',(0.842362410729308,-0.538911466745984,0.)); #435195=DIRECTION('',(0.,0.,1.)); #435196=DIRECTION('center_axis',(-0.824745549033886,-0.565504004715081, 0.)); #435197=DIRECTION('ref_axis',(0.565504004715081,-0.824745549033886,0.)); #435198=DIRECTION('',(0.565504004715081,-0.824745549033886,0.)); #435199=DIRECTION('',(0.565504004715081,-0.824745549033886,0.)); #435200=DIRECTION('',(0.,0.,1.)); #435201=DIRECTION('center_axis',(-0.980008419561338,-0.198956019232613, 0.)); #435202=DIRECTION('ref_axis',(0.198956019232613,-0.980008419561339,0.)); #435203=DIRECTION('',(0.198956019232613,-0.980008419561338,0.)); #435204=DIRECTION('',(0.198956019232613,-0.980008419561338,0.)); #435205=DIRECTION('',(0.,0.,1.)); #435206=DIRECTION('center_axis',(-1.,0.,0.)); #435207=DIRECTION('ref_axis',(0.,-1.,0.)); #435208=DIRECTION('',(0.,-1.,0.)); #435209=DIRECTION('',(0.,-1.,0.)); #435210=DIRECTION('',(0.,0.,1.)); #435211=DIRECTION('center_axis',(-0.70710678118653,-0.707106781186565,0.)); #435212=DIRECTION('ref_axis',(0.707106781186565,-0.70710678118653,0.)); #435213=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #435214=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #435215=DIRECTION('',(0.,0.,1.)); #435216=DIRECTION('center_axis',(-0.820212632122666,-0.572058771549225, 0.)); #435217=DIRECTION('ref_axis',(0.572058771549225,-0.820212632122666,0.)); #435218=DIRECTION('',(0.572058771549225,-0.820212632122666,0.)); #435219=DIRECTION('',(0.572058771549225,-0.820212632122666,0.)); #435220=DIRECTION('',(0.,0.,1.)); #435221=DIRECTION('center_axis',(-0.973151456886414,-0.230165683714686, 0.)); #435222=DIRECTION('ref_axis',(0.230165683714686,-0.973151456886414,0.)); #435223=DIRECTION('',(0.230165683714686,-0.973151456886414,0.)); #435224=DIRECTION('',(0.230165683714686,-0.973151456886414,0.)); #435225=DIRECTION('',(0.,0.,1.)); #435226=DIRECTION('center_axis',(-0.985847618607377,0.167643887112424,0.)); #435227=DIRECTION('ref_axis',(-0.167643887112424,-0.985847618607377,0.)); #435228=DIRECTION('',(-0.167643887112424,-0.985847618607377,0.)); #435229=DIRECTION('',(-0.167643887112424,-0.985847618607377,0.)); #435230=DIRECTION('',(0.,0.,1.)); #435231=DIRECTION('center_axis',(-0.842362410729329,0.538911466745951,0.)); #435232=DIRECTION('ref_axis',(-0.538911466745951,-0.842362410729329,0.)); #435233=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #435234=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #435235=DIRECTION('',(0.,0.,1.)); #435236=DIRECTION('center_axis',(-0.565504004714963,0.824745549033967,0.)); #435237=DIRECTION('ref_axis',(-0.824745549033967,-0.565504004714963,0.)); #435238=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #435239=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #435240=DIRECTION('',(0.,0.,1.)); #435241=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #435242=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #435243=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #435244=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #435245=DIRECTION('',(0.,0.,1.)); #435246=DIRECTION('center_axis',(0.,1.,0.)); #435247=DIRECTION('ref_axis',(-1.,0.,0.)); #435248=DIRECTION('',(-1.,0.,0.)); #435249=DIRECTION('',(-1.,0.,0.)); #435250=DIRECTION('',(0.,0.,1.)); #435251=DIRECTION('center_axis',(-0.707106781186539,0.707106781186556,0.)); #435252=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #435253=DIRECTION('',(-0.707106781186556,-0.707106781186539,0.)); #435254=DIRECTION('',(-0.707106781186556,-0.707106781186539,0.)); #435255=DIRECTION('',(0.,0.,1.)); #435256=DIRECTION('center_axis',(-1.,0.,0.)); #435257=DIRECTION('ref_axis',(0.,-1.,0.)); #435258=DIRECTION('',(0.,-1.,0.)); #435259=DIRECTION('',(0.,-1.,0.)); #435260=DIRECTION('',(0.,0.,1.)); #435261=DIRECTION('center_axis',(-0.977078051684027,0.212881377572923,0.)); #435262=DIRECTION('ref_axis',(-0.212881377572923,-0.977078051684027,0.)); #435263=DIRECTION('',(-0.212881377572923,-0.977078051684027,0.)); #435264=DIRECTION('',(-0.212881377572923,-0.977078051684027,0.)); #435265=DIRECTION('',(0.,0.,1.)); #435266=DIRECTION('center_axis',(-0.80840798051023,0.588622576059882,0.)); #435267=DIRECTION('ref_axis',(-0.588622576059882,-0.80840798051023,0.)); #435268=DIRECTION('',(-0.588622576059882,-0.80840798051023,0.)); #435269=DIRECTION('',(-0.588622576059882,-0.80840798051023,0.)); #435270=DIRECTION('',(0.,0.,1.)); #435271=DIRECTION('center_axis',(-0.675123051628175,0.737705134291649,0.)); #435272=DIRECTION('ref_axis',(-0.737705134291649,-0.675123051628175,0.)); #435273=DIRECTION('',(-0.737705134291649,-0.675123051628175,0.)); #435274=DIRECTION('',(-0.737705134291649,-0.675123051628175,0.)); #435275=DIRECTION('',(0.,0.,1.)); #435276=DIRECTION('center_axis',(-0.540452160249097,0.841374745569466,0.)); #435277=DIRECTION('ref_axis',(-0.841374745569466,-0.540452160249097,0.)); #435278=DIRECTION('',(-0.841374745569466,-0.540452160249097,0.)); #435279=DIRECTION('',(-0.841374745569466,-0.540452160249097,0.)); #435280=DIRECTION('',(0.,0.,1.)); #435281=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #435282=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #435283=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #435284=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #435285=DIRECTION('',(0.,0.,1.)); #435286=DIRECTION('center_axis',(0.,1.,0.)); #435287=DIRECTION('ref_axis',(-1.,0.,0.)); #435288=DIRECTION('',(-1.,0.,0.)); #435289=DIRECTION('',(-1.,0.,0.)); #435290=DIRECTION('',(0.,0.,1.)); #435291=DIRECTION('center_axis',(0.183305290257262,0.983056036329416,0.)); #435292=DIRECTION('ref_axis',(-0.983056036329416,0.183305290257262,0.)); #435293=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #435294=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #435295=DIRECTION('',(0.,0.,1.)); #435296=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #435297=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #435298=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #435299=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #435300=DIRECTION('',(0.,0.,1.)); #435301=DIRECTION('center_axis',(0.82474554903392,0.565504004715031,0.)); #435302=DIRECTION('ref_axis',(-0.565504004715031,0.82474554903392,0.)); #435303=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #435304=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #435305=DIRECTION('',(0.,0.,1.)); #435306=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #435307=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #435308=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #435309=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #435310=DIRECTION('',(0.,0.,1.)); #435311=DIRECTION('center_axis',(1.,0.,0.)); #435312=DIRECTION('ref_axis',(0.,1.,0.)); #435313=DIRECTION('',(0.,1.,0.)); #435314=DIRECTION('',(0.,1.,0.)); #435315=DIRECTION('',(0.,0.,1.)); #435316=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #435317=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #435318=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #435319=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #435320=DIRECTION('',(0.,0.,1.)); #435321=DIRECTION('center_axis',(0.,1.,0.)); #435322=DIRECTION('ref_axis',(-1.,0.,0.)); #435323=DIRECTION('',(-1.,0.,0.)); #435324=DIRECTION('',(-1.,0.,0.)); #435325=DIRECTION('',(0.,0.,1.)); #435326=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #435327=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #435328=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #435329=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #435330=DIRECTION('',(0.,0.,1.)); #435331=DIRECTION('center_axis',(-1.,0.,0.)); #435332=DIRECTION('ref_axis',(0.,-1.,0.)); #435333=DIRECTION('',(0.,-1.,0.)); #435334=DIRECTION('',(0.,-1.,0.)); #435335=DIRECTION('',(0.,0.,1.)); #435336=DIRECTION('center_axis',(-0.707106781186581,-0.707106781186514, 0.)); #435337=DIRECTION('ref_axis',(0.707106781186514,-0.707106781186581,0.)); #435338=DIRECTION('',(0.707106781186514,-0.707106781186581,0.)); #435339=DIRECTION('',(0.707106781186514,-0.707106781186581,0.)); #435340=DIRECTION('',(0.,0.,1.)); #435341=DIRECTION('center_axis',(-0.820212632122573,-0.572058771549358, 0.)); #435342=DIRECTION('ref_axis',(0.572058771549358,-0.820212632122573,0.)); #435343=DIRECTION('',(0.572058771549358,-0.820212632122573,0.)); #435344=DIRECTION('',(0.572058771549358,-0.820212632122573,0.)); #435345=DIRECTION('',(0.,0.,1.)); #435346=DIRECTION('center_axis',(-0.973151456886431,-0.230165683714617, 0.)); #435347=DIRECTION('ref_axis',(0.230165683714617,-0.973151456886431,0.)); #435348=DIRECTION('',(0.230165683714617,-0.973151456886431,0.)); #435349=DIRECTION('',(0.230165683714617,-0.973151456886431,0.)); #435350=DIRECTION('',(0.,0.,1.)); #435351=DIRECTION('center_axis',(-0.985842168327545,0.167675934907917,0.)); #435352=DIRECTION('ref_axis',(-0.167675934907917,-0.985842168327545,0.)); #435353=DIRECTION('',(-0.167675934907917,-0.985842168327545,0.)); #435354=DIRECTION('',(-0.167675934907917,-0.985842168327545,0.)); #435355=DIRECTION('',(0.,0.,1.)); #435356=DIRECTION('center_axis',(-0.84237737907358,0.538888069291876,0.)); #435357=DIRECTION('ref_axis',(-0.538888069291876,-0.84237737907358,0.)); #435358=DIRECTION('',(-0.538888069291876,-0.84237737907358,0.)); #435359=DIRECTION('',(-0.538888069291876,-0.84237737907358,0.)); #435360=DIRECTION('',(0.,0.,1.)); #435361=DIRECTION('center_axis',(-0.565488626065756,0.824756093515085,0.)); #435362=DIRECTION('ref_axis',(-0.824756093515085,-0.565488626065756,0.)); #435363=DIRECTION('',(-0.824756093515085,-0.565488626065756,0.)); #435364=DIRECTION('',(-0.824756093515085,-0.565488626065756,0.)); #435365=DIRECTION('',(0.,0.,1.)); #435366=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #435367=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #435368=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #435369=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #435370=DIRECTION('',(0.,0.,1.)); #435371=DIRECTION('center_axis',(0.,1.,0.)); #435372=DIRECTION('ref_axis',(-1.,0.,0.)); #435373=DIRECTION('',(-1.,0.,0.)); #435374=DIRECTION('',(-1.,0.,0.)); #435375=DIRECTION('',(0.,0.,1.)); #435376=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #435377=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #435378=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #435379=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #435380=DIRECTION('',(0.,0.,1.)); #435381=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #435382=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #435383=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #435384=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #435385=DIRECTION('',(0.,0.,1.)); #435386=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #435387=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #435388=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #435389=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #435390=DIRECTION('',(0.,0.,1.)); #435391=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #435392=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #435393=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #435394=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #435395=DIRECTION('',(0.,0.,1.)); #435396=DIRECTION('center_axis',(1.,0.,0.)); #435397=DIRECTION('ref_axis',(0.,1.,0.)); #435398=DIRECTION('',(0.,1.,0.)); #435399=DIRECTION('',(0.,1.,0.)); #435400=DIRECTION('',(0.,0.,1.)); #435401=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #435402=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #435403=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #435404=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #435405=DIRECTION('',(0.,0.,1.)); #435406=DIRECTION('center_axis',(0.,1.,0.)); #435407=DIRECTION('ref_axis',(-1.,0.,0.)); #435408=DIRECTION('',(-1.,0.,0.)); #435409=DIRECTION('',(-1.,0.,0.)); #435410=DIRECTION('',(0.,0.,1.)); #435411=DIRECTION('center_axis',(0.199846156682573,0.979827287668191,0.)); #435412=DIRECTION('ref_axis',(-0.979827287668191,0.199846156682573,0.)); #435413=DIRECTION('',(-0.979827287668191,0.199846156682573,0.)); #435414=DIRECTION('',(-0.979827287668191,0.199846156682573,0.)); #435415=DIRECTION('',(0.,0.,1.)); #435416=DIRECTION('center_axis',(0.566905176607873,0.823783054411291,0.)); #435417=DIRECTION('ref_axis',(-0.823783054411291,0.566905176607873,0.)); #435418=DIRECTION('',(-0.823783054411291,0.566905176607873,0.)); #435419=DIRECTION('',(-0.823783054411291,0.566905176607873,0.)); #435420=DIRECTION('',(0.,0.,1.)); #435421=DIRECTION('center_axis',(0.719515510730693,0.694476370957249,0.)); #435422=DIRECTION('ref_axis',(-0.694476370957248,0.719515510730693,0.)); #435423=DIRECTION('',(-0.694476370957248,0.719515510730693,0.)); #435424=DIRECTION('',(-0.694476370957248,0.719515510730693,0.)); #435425=DIRECTION('',(0.,0.,1.)); #435426=DIRECTION('center_axis',(0.834178434634006,0.551494641126783,0.)); #435427=DIRECTION('ref_axis',(-0.551494641126783,0.834178434634006,0.)); #435428=DIRECTION('',(-0.551494641126783,0.834178434634006,0.)); #435429=DIRECTION('',(-0.551494641126783,0.834178434634006,0.)); #435430=DIRECTION('',(0.,0.,1.)); #435431=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #435432=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #435433=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #435434=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #435435=DIRECTION('',(0.,0.,1.)); #435436=DIRECTION('center_axis',(0.712640885669669,0.701529021546472,0.)); #435437=DIRECTION('ref_axis',(-0.701529021546472,0.712640885669669,0.)); #435438=DIRECTION('',(-0.701529021546472,0.712640885669669,0.)); #435439=DIRECTION('',(-0.701529021546472,0.712640885669669,0.)); #435440=DIRECTION('',(0.,0.,1.)); #435441=DIRECTION('center_axis',(0.71951552769576,0.694476353380511,0.)); #435442=DIRECTION('ref_axis',(-0.694476353380511,0.71951552769576,0.)); #435443=DIRECTION('',(-0.694476353380511,0.71951552769576,0.)); #435444=DIRECTION('',(-0.694476353380511,0.71951552769576,0.)); #435445=DIRECTION('',(0.,0.,1.)); #435446=DIRECTION('center_axis',(0.,1.,0.)); #435447=DIRECTION('ref_axis',(-1.,0.,0.)); #435448=DIRECTION('',(-1.,0.,0.)); #435449=DIRECTION('',(-1.,0.,0.)); #435450=DIRECTION('',(0.,0.,1.)); #435451=DIRECTION('center_axis',(0.00962918318140685,0.999953638340928, 0.)); #435452=DIRECTION('ref_axis',(-0.999953638340928,0.00962918318140685,0.)); #435453=DIRECTION('',(-0.999953638340928,0.00962918318140685,0.)); #435454=DIRECTION('',(-0.999953638340928,0.00962918318140685,0.)); #435455=DIRECTION('',(0.,0.,1.)); #435456=DIRECTION('center_axis',(0.0177027626757272,0.99984329381841,0.)); #435457=DIRECTION('ref_axis',(-0.99984329381841,0.0177027626757272,0.)); #435458=DIRECTION('',(-0.99984329381841,0.0177027626757272,0.)); #435459=DIRECTION('',(-0.99984329381841,0.0177027626757272,0.)); #435460=DIRECTION('',(0.,0.,1.)); #435461=DIRECTION('center_axis',(-0.19891199786221,0.980017355512883,0.)); #435462=DIRECTION('ref_axis',(-0.980017355512883,-0.19891199786221,0.)); #435463=DIRECTION('',(-0.980017355512883,-0.19891199786221,0.)); #435464=DIRECTION('',(-0.980017355512883,-0.19891199786221,0.)); #435465=DIRECTION('',(0.,0.,1.)); #435466=DIRECTION('center_axis',(0.,1.,0.)); #435467=DIRECTION('ref_axis',(-1.,0.,0.)); #435468=DIRECTION('',(-1.,0.,0.)); #435469=DIRECTION('',(-1.,0.,0.)); #435470=DIRECTION('',(0.,0.,1.)); #435471=DIRECTION('center_axis',(0.17550205938607,0.984479063846077,0.)); #435472=DIRECTION('ref_axis',(-0.984479063846077,0.17550205938607,0.)); #435473=DIRECTION('',(-0.984479063846077,0.17550205938607,0.)); #435474=DIRECTION('',(-0.984479063846077,0.17550205938607,0.)); #435475=DIRECTION('',(0.,0.,1.)); #435476=DIRECTION('center_axis',(0.525373540973398,0.850871695642224,0.)); #435477=DIRECTION('ref_axis',(-0.850871695642224,0.525373540973398,0.)); #435478=DIRECTION('',(-0.850871695642224,0.525373540973397,0.)); #435479=DIRECTION('',(-0.850871695642224,0.525373540973397,0.)); #435480=DIRECTION('',(0.,0.,1.)); #435481=DIRECTION('center_axis',(0.81569385217887,0.578483828224779,0.)); #435482=DIRECTION('ref_axis',(-0.578483828224779,0.81569385217887,0.)); #435483=DIRECTION('',(-0.578483828224779,0.81569385217887,0.)); #435484=DIRECTION('',(-0.578483828224779,0.81569385217887,0.)); #435485=DIRECTION('',(0.,0.,1.)); #435486=DIRECTION('center_axis',(0.976685690837551,0.21467431451661,0.)); #435487=DIRECTION('ref_axis',(-0.21467431451661,0.976685690837551,0.)); #435488=DIRECTION('',(-0.21467431451661,0.976685690837551,0.)); #435489=DIRECTION('',(-0.21467431451661,0.976685690837551,0.)); #435490=DIRECTION('',(0.,0.,1.)); #435491=DIRECTION('center_axis',(0.98305052988062,-0.183334818573646,0.)); #435492=DIRECTION('ref_axis',(0.183334818573646,0.98305052988062,0.)); #435493=DIRECTION('',(0.183334818573646,0.98305052988062,0.)); #435494=DIRECTION('',(0.183334818573646,0.98305052988062,0.)); #435495=DIRECTION('',(0.,0.,1.)); #435496=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #435497=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #435498=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #435499=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #435500=DIRECTION('',(0.,0.,1.)); #435501=DIRECTION('center_axis',(0.707106781186546,-0.707106781186549,0.)); #435502=DIRECTION('ref_axis',(0.707106781186549,0.707106781186546,0.)); #435503=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #435504=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #435505=DIRECTION('',(0.,0.,1.)); #435506=DIRECTION('center_axis',(1.,0.,0.)); #435507=DIRECTION('ref_axis',(0.,1.,0.)); #435508=DIRECTION('',(0.,1.,0.)); #435509=DIRECTION('',(0.,1.,0.)); #435510=DIRECTION('',(0.,0.,1.)); #435511=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #435512=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #435513=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #435514=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #435515=DIRECTION('',(0.,0.,1.)); #435516=DIRECTION('center_axis',(0.842362410729284,-0.538911466746022,0.)); #435517=DIRECTION('ref_axis',(0.538911466746022,0.842362410729284,0.)); #435518=DIRECTION('',(0.538911466746022,0.842362410729284,0.)); #435519=DIRECTION('',(0.538911466746022,0.842362410729284,0.)); #435520=DIRECTION('',(0.,0.,1.)); #435521=DIRECTION('center_axis',(0.565504004714981,-0.824745549033954,0.)); #435522=DIRECTION('ref_axis',(0.824745549033954,0.565504004714981,0.)); #435523=DIRECTION('',(0.824745549033954,0.565504004714981,0.)); #435524=DIRECTION('',(0.824745549033954,0.565504004714981,0.)); #435525=DIRECTION('',(0.,0.,1.)); #435526=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #435527=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #435528=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #435529=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #435530=DIRECTION('',(0.,0.,1.)); #435531=DIRECTION('center_axis',(0.,-1.,0.)); #435532=DIRECTION('ref_axis',(1.,0.,0.)); #435533=DIRECTION('',(1.,0.,0.)); #435534=DIRECTION('',(1.,0.,0.)); #435535=DIRECTION('',(0.,0.,1.)); #435536=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #435537=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #435538=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #435539=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #435540=DIRECTION('',(0.,0.,1.)); #435541=DIRECTION('center_axis',(1.,0.,0.)); #435542=DIRECTION('ref_axis',(0.,1.,0.)); #435543=DIRECTION('',(0.,1.,0.)); #435544=DIRECTION('',(0.,1.,0.)); #435545=DIRECTION('',(0.,0.,1.)); #435546=DIRECTION('center_axis',(0.707106781186556,0.707106781186539,0.)); #435547=DIRECTION('ref_axis',(-0.707106781186539,0.707106781186556,0.)); #435548=DIRECTION('',(-0.707106781186539,0.707106781186556,0.)); #435549=DIRECTION('',(-0.707106781186539,0.707106781186556,0.)); #435550=DIRECTION('',(0.,0.,1.)); #435551=DIRECTION('center_axis',(0.,1.,0.)); #435552=DIRECTION('ref_axis',(-1.,0.,0.)); #435553=DIRECTION('',(-1.,0.,0.)); #435554=DIRECTION('',(-1.,0.,0.)); #435555=DIRECTION('',(0.,0.,1.)); #435556=DIRECTION('center_axis',(0.183305290257339,0.983056036329401,0.)); #435557=DIRECTION('ref_axis',(-0.983056036329401,0.183305290257339,0.)); #435558=DIRECTION('',(-0.983056036329401,0.183305290257339,0.)); #435559=DIRECTION('',(-0.983056036329401,0.183305290257339,0.)); #435560=DIRECTION('',(0.,0.,1.)); #435561=DIRECTION('center_axis',(0.538911466746018,0.842362410729287,0.)); #435562=DIRECTION('ref_axis',(-0.842362410729287,0.538911466746018,0.)); #435563=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #435564=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #435565=DIRECTION('',(0.,0.,1.)); #435566=DIRECTION('center_axis',(0.824745549033852,0.565504004715131,0.)); #435567=DIRECTION('ref_axis',(-0.565504004715131,0.824745549033852,0.)); #435568=DIRECTION('',(-0.565504004715131,0.824745549033852,0.)); #435569=DIRECTION('',(-0.565504004715131,0.824745549033852,0.)); #435570=DIRECTION('',(0.,0.,1.)); #435571=DIRECTION('center_axis',(0.980008419561353,0.198956019232542,0.)); #435572=DIRECTION('ref_axis',(-0.198956019232542,0.980008419561353,0.)); #435573=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #435574=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #435575=DIRECTION('',(0.,0.,1.)); #435576=DIRECTION('center_axis',(1.,0.,0.)); #435577=DIRECTION('ref_axis',(0.,1.,0.)); #435578=DIRECTION('',(0.,1.,0.)); #435579=DIRECTION('',(0.,1.,0.)); #435580=DIRECTION('',(0.,0.,1.)); #435581=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #435582=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #435583=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #435584=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #435585=DIRECTION('',(0.,0.,1.)); #435586=DIRECTION('center_axis',(0.,1.,0.)); #435587=DIRECTION('ref_axis',(-1.,0.,0.)); #435588=DIRECTION('',(-1.,0.,0.)); #435589=DIRECTION('',(-1.,0.,0.)); #435590=DIRECTION('',(0.,0.,1.)); #435591=DIRECTION('center_axis',(0.191149856928741,0.981560865252951,0.)); #435592=DIRECTION('ref_axis',(-0.981560865252951,0.191149856928741,0.)); #435593=DIRECTION('',(-0.981560865252951,0.191149856928741,0.)); #435594=DIRECTION('',(-0.981560865252951,0.191149856928741,0.)); #435595=DIRECTION('',(0.,0.,1.)); #435596=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #435597=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #435598=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #435599=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #435600=DIRECTION('',(0.,0.,1.)); #435601=DIRECTION('center_axis',(0.707104777838369,0.707108784529051,0.)); #435602=DIRECTION('ref_axis',(-0.707108784529051,0.707104777838369,0.)); #435603=DIRECTION('',(-0.707108784529051,0.707104777838369,0.)); #435604=DIRECTION('',(-0.707108784529051,0.707104777838369,0.)); #435605=DIRECTION('center_axis',(0.,1.,0.)); #435606=DIRECTION('ref_axis',(-1.,0.,0.)); #435607=DIRECTION('',(-1.,0.,0.)); #435608=DIRECTION('',(0.,0.,1.)); #435609=DIRECTION('',(-1.,0.,0.)); #435610=DIRECTION('',(0.,0.,1.)); #435611=DIRECTION('center_axis',(-0.105885061288354,0.99437837556735,0.)); #435612=DIRECTION('ref_axis',(-0.99437837556735,-0.105885061288354,0.)); #435613=DIRECTION('',(-0.99437837556735,-0.105885061288354,0.)); #435614=DIRECTION('',(-0.99437837556735,-0.105885061288354,0.)); #435615=DIRECTION('',(0.,0.,1.)); #435616=DIRECTION('center_axis',(-0.312922107778943,0.949778792384408,0.)); #435617=DIRECTION('ref_axis',(-0.949778792384408,-0.312922107778943,0.)); #435618=DIRECTION('',(-0.949778792384408,-0.312922107778943,0.)); #435619=DIRECTION('',(-0.949778792384408,-0.312922107778943,0.)); #435620=DIRECTION('',(0.,0.,1.)); #435621=DIRECTION('center_axis',(-0.506114900434793,0.862466061684679,0.)); #435622=DIRECTION('ref_axis',(-0.862466061684679,-0.506114900434793,0.)); #435623=DIRECTION('',(-0.862466061684679,-0.506114900434793,0.)); #435624=DIRECTION('',(-0.862466061684679,-0.506114900434793,0.)); #435625=DIRECTION('',(0.,0.,1.)); #435626=DIRECTION('center_axis',(-0.652508824452065,0.757781125399798,0.)); #435627=DIRECTION('ref_axis',(-0.757781125399798,-0.652508824452065,0.)); #435628=DIRECTION('',(-0.757781125399798,-0.652508824452065,0.)); #435629=DIRECTION('',(-0.757781125399798,-0.652508824452065,0.)); #435630=DIRECTION('',(0.,0.,1.)); #435631=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,0.)); #435632=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,0.)); #435633=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #435634=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #435635=DIRECTION('',(0.,0.,1.)); #435636=DIRECTION('center_axis',(-0.558946331949003,0.829203833807318,0.)); #435637=DIRECTION('ref_axis',(-0.829203833807318,-0.558946331949003,0.)); #435638=DIRECTION('',(-0.829203833807318,-0.558946331949003,0.)); #435639=DIRECTION('',(-0.829203833807318,-0.558946331949003,0.)); #435640=DIRECTION('',(0.,0.,1.)); #435641=DIRECTION('center_axis',(-0.19895601923243,0.980008419561376,0.)); #435642=DIRECTION('ref_axis',(-0.980008419561375,-0.19895601923243,0.)); #435643=DIRECTION('',(-0.980008419561375,-0.19895601923243,0.)); #435644=DIRECTION('',(-0.980008419561375,-0.19895601923243,0.)); #435645=DIRECTION('',(0.,0.,1.)); #435646=DIRECTION('center_axis',(0.,1.,0.)); #435647=DIRECTION('ref_axis',(-1.,0.,0.)); #435648=DIRECTION('',(-1.,0.,0.)); #435649=DIRECTION('',(-1.,0.,0.)); #435650=DIRECTION('',(0.,0.,1.)); #435651=DIRECTION('center_axis',(-0.105885061288698,0.994378375567313,0.)); #435652=DIRECTION('ref_axis',(-0.994378375567313,-0.105885061288698,0.)); #435653=DIRECTION('',(-0.994378375567313,-0.105885061288698,0.)); #435654=DIRECTION('',(-0.994378375567313,-0.105885061288698,0.)); #435655=DIRECTION('',(0.,0.,1.)); #435656=DIRECTION('center_axis',(-0.312922107778508,0.949778792384551,0.)); #435657=DIRECTION('ref_axis',(-0.949778792384551,-0.312922107778508,0.)); #435658=DIRECTION('',(-0.949778792384551,-0.312922107778508,0.)); #435659=DIRECTION('',(-0.949778792384551,-0.312922107778508,0.)); #435660=DIRECTION('',(0.,0.,1.)); #435661=DIRECTION('center_axis',(-0.506114900434878,0.862466061684628,0.)); #435662=DIRECTION('ref_axis',(-0.862466061684628,-0.506114900434878,0.)); #435663=DIRECTION('',(-0.862466061684628,-0.506114900434878,0.)); #435664=DIRECTION('',(-0.862466061684628,-0.506114900434878,0.)); #435665=DIRECTION('',(0.,0.,1.)); #435666=DIRECTION('center_axis',(-0.652508824452,0.757781125399854,0.)); #435667=DIRECTION('ref_axis',(-0.757781125399854,-0.652508824452,0.)); #435668=DIRECTION('',(-0.757781125399854,-0.652508824452,0.)); #435669=DIRECTION('',(-0.757781125399854,-0.652508824452,0.)); #435670=DIRECTION('',(0.,0.,1.)); #435671=DIRECTION('center_axis',(-0.707107255683049,0.707106306689728,0.)); #435672=DIRECTION('ref_axis',(-0.707106306689728,-0.707107255683049,0.)); #435673=DIRECTION('',(-0.707106306689728,-0.707107255683049,0.)); #435674=DIRECTION('',(-0.707106306689728,-0.707107255683049,0.)); #435675=DIRECTION('',(0.,0.,1.)); #435676=DIRECTION('center_axis',(-0.778048811381718,0.628203826084731,0.)); #435677=DIRECTION('ref_axis',(-0.628203826084731,-0.778048811381718,0.)); #435678=DIRECTION('',(-0.628203826084731,-0.778048811381718,0.)); #435679=DIRECTION('',(-0.628203826084731,-0.778048811381718,0.)); #435680=DIRECTION('',(0.,0.,1.)); #435681=DIRECTION('center_axis',(-0.892938781492983,0.450178111979944,0.)); #435682=DIRECTION('ref_axis',(-0.450178111979944,-0.892938781492983,0.)); #435683=DIRECTION('',(-0.450178111979944,-0.892938781492983,0.)); #435684=DIRECTION('',(-0.450178111979944,-0.892938781492983,0.)); #435685=DIRECTION('',(0.,0.,1.)); #435686=DIRECTION('center_axis',(-0.967662278077973,0.25224931235773,0.)); #435687=DIRECTION('ref_axis',(-0.25224931235773,-0.967662278077973,0.)); #435688=DIRECTION('',(-0.25224931235773,-0.967662278077973,0.)); #435689=DIRECTION('',(-0.25224931235773,-0.967662278077973,0.)); #435690=DIRECTION('',(0.,0.,1.)); #435691=DIRECTION('center_axis',(-0.997238118319986,0.0742706898420416, 0.)); #435692=DIRECTION('ref_axis',(-0.0742706898420416,-0.997238118319986,0.)); #435693=DIRECTION('',(-0.0742706898420416,-0.997238118319986,0.)); #435694=DIRECTION('',(-0.0742706898420416,-0.997238118319986,0.)); #435695=DIRECTION('',(0.,0.,1.)); #435696=DIRECTION('center_axis',(-1.,0.,0.)); #435697=DIRECTION('ref_axis',(0.,-1.,0.)); #435698=DIRECTION('',(0.,-1.,0.)); #435699=DIRECTION('',(0.,-1.,0.)); #435700=DIRECTION('',(0.,0.,1.)); #435701=DIRECTION('center_axis',(-0.981560865252934,0.191149856928827,0.)); #435702=DIRECTION('ref_axis',(-0.191149856928827,-0.981560865252934,0.)); #435703=DIRECTION('',(-0.191149856928827,-0.981560865252934,0.)); #435704=DIRECTION('',(-0.191149856928827,-0.981560865252934,0.)); #435705=DIRECTION('',(0.,0.,1.)); #435706=DIRECTION('center_axis',(-0.833659859749844,0.552278225391759,0.)); #435707=DIRECTION('ref_axis',(-0.552278225391759,-0.833659859749844,0.)); #435708=DIRECTION('',(-0.552278225391759,-0.833659859749844,0.)); #435709=DIRECTION('',(-0.552278225391759,-0.833659859749844,0.)); #435710=DIRECTION('',(0.,0.,1.)); #435711=DIRECTION('center_axis',(-0.707106781186546,0.707106781186549,0.)); #435712=DIRECTION('ref_axis',(-0.707106781186549,-0.707106781186546,0.)); #435713=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #435714=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #435715=DIRECTION('',(0.,0.,1.)); #435716=DIRECTION('center_axis',(-0.558946331949003,0.829203833807318,0.)); #435717=DIRECTION('ref_axis',(-0.829203833807318,-0.558946331949003,0.)); #435718=DIRECTION('',(-0.829203833807318,-0.558946331949003,0.)); #435719=DIRECTION('',(-0.829203833807318,-0.558946331949003,0.)); #435720=DIRECTION('',(0.,0.,1.)); #435721=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #435722=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #435723=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #435724=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #435725=DIRECTION('',(0.,0.,1.)); #435726=DIRECTION('center_axis',(0.,1.,0.)); #435727=DIRECTION('ref_axis',(-1.,0.,0.)); #435728=DIRECTION('',(-1.,0.,0.)); #435729=DIRECTION('',(-1.,0.,0.)); #435730=DIRECTION('',(0.,0.,1.)); #435731=DIRECTION('center_axis',(-0.105885061288354,0.99437837556735,0.)); #435732=DIRECTION('ref_axis',(-0.99437837556735,-0.105885061288354,0.)); #435733=DIRECTION('',(-0.99437837556735,-0.105885061288354,0.)); #435734=DIRECTION('',(-0.99437837556735,-0.105885061288354,0.)); #435735=DIRECTION('',(0.,0.,1.)); #435736=DIRECTION('center_axis',(-0.312922107778943,0.949778792384408,0.)); #435737=DIRECTION('ref_axis',(-0.949778792384408,-0.312922107778943,0.)); #435738=DIRECTION('',(-0.949778792384408,-0.312922107778943,0.)); #435739=DIRECTION('',(-0.949778792384408,-0.312922107778943,0.)); #435740=DIRECTION('',(0.,0.,1.)); #435741=DIRECTION('center_axis',(-0.506114900434501,0.86246606168485,0.)); #435742=DIRECTION('ref_axis',(-0.86246606168485,-0.506114900434501,0.)); #435743=DIRECTION('',(-0.86246606168485,-0.506114900434501,0.)); #435744=DIRECTION('',(-0.86246606168485,-0.506114900434501,0.)); #435745=DIRECTION('',(0.,0.,1.)); #435746=DIRECTION('center_axis',(-0.652508824452305,0.757781125399591,0.)); #435747=DIRECTION('ref_axis',(-0.757781125399591,-0.652508824452305,0.)); #435748=DIRECTION('',(-0.757781125399591,-0.652508824452305,0.)); #435749=DIRECTION('',(-0.757781125399591,-0.652508824452305,0.)); #435750=DIRECTION('',(0.,0.,1.)); #435751=DIRECTION('center_axis',(-0.707107255683045,0.707106306689732,0.)); #435752=DIRECTION('ref_axis',(-0.707106306689732,-0.707107255683045,0.)); #435753=DIRECTION('',(-0.707106306689732,-0.707107255683045,0.)); #435754=DIRECTION('',(-0.707106306689732,-0.707107255683045,0.)); #435755=DIRECTION('',(0.,0.,1.)); #435756=DIRECTION('center_axis',(-0.778048811381718,0.628203826084731,0.)); #435757=DIRECTION('ref_axis',(-0.628203826084731,-0.778048811381718,0.)); #435758=DIRECTION('',(-0.628203826084731,-0.778048811381718,0.)); #435759=DIRECTION('',(-0.628203826084731,-0.778048811381718,0.)); #435760=DIRECTION('',(0.,0.,1.)); #435761=DIRECTION('center_axis',(-0.892938781492983,0.450178111979944,0.)); #435762=DIRECTION('ref_axis',(-0.450178111979944,-0.892938781492983,0.)); #435763=DIRECTION('',(-0.450178111979944,-0.892938781492983,0.)); #435764=DIRECTION('',(-0.450178111979944,-0.892938781492983,0.)); #435765=DIRECTION('',(0.,0.,1.)); #435766=DIRECTION('center_axis',(-0.967662278077998,0.252249312357634,0.)); #435767=DIRECTION('ref_axis',(-0.252249312357634,-0.967662278077998,0.)); #435768=DIRECTION('',(-0.252249312357634,-0.967662278077998,0.)); #435769=DIRECTION('',(-0.252249312357634,-0.967662278077998,0.)); #435770=DIRECTION('',(0.,0.,1.)); #435771=DIRECTION('center_axis',(-0.997238118319986,0.0742706898420416, 0.)); #435772=DIRECTION('ref_axis',(-0.0742706898420416,-0.997238118319986,0.)); #435773=DIRECTION('',(-0.0742706898420416,-0.997238118319986,0.)); #435774=DIRECTION('',(-0.0742706898420416,-0.997238118319986,0.)); #435775=DIRECTION('',(0.,0.,1.)); #435776=DIRECTION('center_axis',(-1.,0.,0.)); #435777=DIRECTION('ref_axis',(0.,-1.,0.)); #435778=DIRECTION('',(0.,-1.,0.)); #435779=DIRECTION('',(0.,-1.,0.)); #435780=DIRECTION('',(0.,0.,1.)); #435781=DIRECTION('center_axis',(-0.994378375567317,-0.105885061288662, 0.)); #435782=DIRECTION('ref_axis',(0.105885061288662,-0.994378375567317,0.)); #435783=DIRECTION('',(0.105885061288662,-0.994378375567317,0.)); #435784=DIRECTION('',(0.105885061288662,-0.994378375567317,0.)); #435785=DIRECTION('',(0.,0.,1.)); #435786=DIRECTION('center_axis',(-0.949778792384449,-0.312922107778818, 0.)); #435787=DIRECTION('ref_axis',(0.312922107778818,-0.949778792384449,0.)); #435788=DIRECTION('',(0.312922107778818,-0.949778792384449,0.)); #435789=DIRECTION('',(0.312922107778818,-0.949778792384449,0.)); #435790=DIRECTION('',(0.,0.,1.)); #435791=DIRECTION('center_axis',(-0.862466061684714,-0.506114900434732, 0.)); #435792=DIRECTION('ref_axis',(0.506114900434732,-0.862466061684714,0.)); #435793=DIRECTION('',(0.506114900434732,-0.862466061684714,0.)); #435794=DIRECTION('',(0.506114900434732,-0.862466061684714,0.)); #435795=DIRECTION('',(0.,0.,1.)); #435796=DIRECTION('center_axis',(-0.757781125399818,-0.652508824452042, 0.)); #435797=DIRECTION('ref_axis',(0.652508824452042,-0.757781125399818,0.)); #435798=DIRECTION('',(0.652508824452042,-0.757781125399818,0.)); #435799=DIRECTION('',(0.652508824452042,-0.757781125399818,0.)); #435800=DIRECTION('',(0.,0.,1.)); #435801=DIRECTION('center_axis',(-0.70710630668973,-0.707107255683047,0.)); #435802=DIRECTION('ref_axis',(0.707107255683047,-0.70710630668973,0.)); #435803=DIRECTION('',(0.707107255683047,-0.70710630668973,0.)); #435804=DIRECTION('',(0.707107255683047,-0.70710630668973,0.)); #435805=DIRECTION('',(0.,0.,1.)); #435806=DIRECTION('center_axis',(-0.628203826084816,-0.77804881138165,0.)); #435807=DIRECTION('ref_axis',(0.77804881138165,-0.628203826084816,0.)); #435808=DIRECTION('',(0.77804881138165,-0.628203826084816,0.)); #435809=DIRECTION('',(0.77804881138165,-0.628203826084816,0.)); #435810=DIRECTION('',(0.,0.,1.)); #435811=DIRECTION('center_axis',(-0.450178111979861,-0.892938781493025, 0.)); #435812=DIRECTION('ref_axis',(0.892938781493025,-0.45017811197986,0.)); #435813=DIRECTION('',(0.892938781493025,-0.45017811197986,0.)); #435814=DIRECTION('',(0.892938781493025,-0.45017811197986,0.)); #435815=DIRECTION('',(0.,0.,1.)); #435816=DIRECTION('center_axis',(-0.252249312357498,-0.967662278078034, 0.)); #435817=DIRECTION('ref_axis',(0.967662278078034,-0.252249312357498,0.)); #435818=DIRECTION('',(0.967662278078034,-0.252249312357498,0.)); #435819=DIRECTION('',(0.967662278078034,-0.252249312357498,0.)); #435820=DIRECTION('',(0.,0.,1.)); #435821=DIRECTION('center_axis',(-0.074270689841797,-0.997238118320005, 0.)); #435822=DIRECTION('ref_axis',(0.997238118320005,-0.074270689841797,0.)); #435823=DIRECTION('',(0.997238118320005,-0.074270689841797,0.)); #435824=DIRECTION('',(0.997238118320005,-0.074270689841797,0.)); #435825=DIRECTION('',(0.,0.,1.)); #435826=DIRECTION('center_axis',(0.,-1.,0.)); #435827=DIRECTION('ref_axis',(1.,0.,0.)); #435828=DIRECTION('',(1.,0.,0.)); #435829=DIRECTION('',(1.,0.,0.)); #435830=DIRECTION('',(0.,0.,1.)); #435831=DIRECTION('center_axis',(0.105885061288354,-0.99437837556735,0.)); #435832=DIRECTION('ref_axis',(0.99437837556735,0.105885061288354,0.)); #435833=DIRECTION('',(0.99437837556735,0.105885061288354,0.)); #435834=DIRECTION('',(0.99437837556735,0.105885061288354,0.)); #435835=DIRECTION('',(0.,0.,1.)); #435836=DIRECTION('center_axis',(0.312935976921603,-0.949774222827785,0.)); #435837=DIRECTION('ref_axis',(0.949774222827785,0.312935976921603,0.)); #435838=DIRECTION('',(0.949774222827785,0.312935976921603,0.)); #435839=DIRECTION('',(0.949774222827785,0.312935976921603,0.)); #435840=DIRECTION('',(0.,0.,1.)); #435841=DIRECTION('center_axis',(0.506095628368333,-0.862477370686595,0.)); #435842=DIRECTION('ref_axis',(0.862477370686595,0.506095628368333,0.)); #435843=DIRECTION('',(0.862477370686595,0.506095628368333,0.)); #435844=DIRECTION('',(0.862477370686595,0.506095628368333,0.)); #435845=DIRECTION('',(0.,0.,1.)); #435846=DIRECTION('center_axis',(0.652508824452305,-0.757781125399591,0.)); #435847=DIRECTION('ref_axis',(0.757781125399591,0.652508824452305,0.)); #435848=DIRECTION('',(0.757781125399591,0.652508824452305,0.)); #435849=DIRECTION('',(0.757781125399591,0.652508824452305,0.)); #435850=DIRECTION('',(0.,0.,1.)); #435851=DIRECTION('center_axis',(0.707106781186545,-0.70710678118655,0.)); #435852=DIRECTION('ref_axis',(0.70710678118655,0.707106781186545,0.)); #435853=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #435854=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #435855=DIRECTION('',(0.,0.,1.)); #435856=DIRECTION('center_axis',(0.572058771549247,-0.820212632122651,0.)); #435857=DIRECTION('ref_axis',(0.820212632122651,0.572058771549247,0.)); #435858=DIRECTION('',(0.820212632122651,0.572058771549247,0.)); #435859=DIRECTION('',(0.820212632122651,0.572058771549247,0.)); #435860=DIRECTION('',(0.,0.,1.)); #435861=DIRECTION('center_axis',(0.230165683714788,-0.97315145688639,0.)); #435862=DIRECTION('ref_axis',(0.97315145688639,0.230165683714788,0.)); #435863=DIRECTION('',(0.97315145688639,0.230165683714788,0.)); #435864=DIRECTION('',(0.97315145688639,0.230165683714788,0.)); #435865=DIRECTION('',(0.,0.,1.)); #435866=DIRECTION('center_axis',(-0.167643887112186,-0.985847618607418, 0.)); #435867=DIRECTION('ref_axis',(0.985847618607418,-0.167643887112186,0.)); #435868=DIRECTION('',(0.985847618607418,-0.167643887112186,0.)); #435869=DIRECTION('',(0.985847618607418,-0.167643887112186,0.)); #435870=DIRECTION('',(0.,0.,1.)); #435871=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #435872=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #435873=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #435874=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #435875=DIRECTION('',(0.,0.,1.)); #435876=DIRECTION('center_axis',(-0.824745549033873,-0.565504004715099, 0.)); #435877=DIRECTION('ref_axis',(0.565504004715099,-0.824745549033873,0.)); #435878=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #435879=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #435880=DIRECTION('',(0.,0.,1.)); #435881=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #435882=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #435883=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #435884=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #435885=DIRECTION('',(0.,0.,1.)); #435886=DIRECTION('center_axis',(-1.,0.,0.)); #435887=DIRECTION('ref_axis',(0.,-1.,0.)); #435888=DIRECTION('',(0.,-1.,0.)); #435889=DIRECTION('',(0.,-1.,0.)); #435890=DIRECTION('',(0.,0.,1.)); #435891=DIRECTION('center_axis',(-0.707106781186583,-0.707106781186512, 0.)); #435892=DIRECTION('ref_axis',(0.707106781186512,-0.707106781186583,0.)); #435893=DIRECTION('',(0.707106781186512,-0.707106781186583,0.)); #435894=DIRECTION('',(0.707106781186512,-0.707106781186583,0.)); #435895=DIRECTION('',(0.,0.,1.)); #435896=DIRECTION('center_axis',(0.,-1.,0.)); #435897=DIRECTION('ref_axis',(1.,0.,0.)); #435898=DIRECTION('',(1.,0.,0.)); #435899=DIRECTION('',(1.,0.,0.)); #435900=DIRECTION('',(0.,0.,1.)); #435901=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #435902=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #435903=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #435904=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #435905=DIRECTION('',(0.,0.,1.)); #435906=DIRECTION('center_axis',(1.,0.,0.)); #435907=DIRECTION('ref_axis',(0.,1.,0.)); #435908=DIRECTION('',(0.,1.,0.)); #435909=DIRECTION('',(0.,1.,0.)); #435910=DIRECTION('',(0.,0.,1.)); #435911=DIRECTION('center_axis',(0.983056036329376,-0.183305290257473,0.)); #435912=DIRECTION('ref_axis',(0.183305290257473,0.983056036329376,0.)); #435913=DIRECTION('',(0.183305290257473,0.983056036329376,0.)); #435914=DIRECTION('',(0.183305290257473,0.983056036329376,0.)); #435915=DIRECTION('',(0.,0.,1.)); #435916=DIRECTION('center_axis',(0.842362410729415,-0.538911466745818,0.)); #435917=DIRECTION('ref_axis',(0.538911466745818,0.842362410729414,0.)); #435918=DIRECTION('',(0.538911466745818,0.842362410729415,0.)); #435919=DIRECTION('',(0.538911466745818,0.842362410729415,0.)); #435920=DIRECTION('',(0.,0.,1.)); #435921=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #435922=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #435923=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #435924=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #435925=DIRECTION('',(0.,0.,1.)); #435926=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #435927=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #435928=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #435929=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #435930=DIRECTION('',(0.,0.,1.)); #435931=DIRECTION('center_axis',(0.,-1.,0.)); #435932=DIRECTION('ref_axis',(1.,0.,0.)); #435933=DIRECTION('',(1.,0.,0.)); #435934=DIRECTION('',(1.,0.,0.)); #435935=DIRECTION('',(0.,0.,1.)); #435936=DIRECTION('center_axis',(0.105885061288354,-0.99437837556735,0.)); #435937=DIRECTION('ref_axis',(0.99437837556735,0.105885061288354,0.)); #435938=DIRECTION('',(0.99437837556735,0.105885061288354,0.)); #435939=DIRECTION('',(0.99437837556735,0.105885061288354,0.)); #435940=DIRECTION('',(0.,0.,1.)); #435941=DIRECTION('center_axis',(0.312935976921634,-0.949774222827774,0.)); #435942=DIRECTION('ref_axis',(0.949774222827774,0.312935976921634,0.)); #435943=DIRECTION('',(0.949774222827774,0.312935976921634,0.)); #435944=DIRECTION('',(0.949774222827774,0.312935976921634,0.)); #435945=DIRECTION('',(0.,0.,1.)); #435946=DIRECTION('center_axis',(0.50609562836829,-0.86247737068662,0.)); #435947=DIRECTION('ref_axis',(0.86247737068662,0.50609562836829,0.)); #435948=DIRECTION('',(0.86247737068662,0.50609562836829,0.)); #435949=DIRECTION('',(0.86247737068662,0.50609562836829,0.)); #435950=DIRECTION('',(0.,0.,1.)); #435951=DIRECTION('center_axis',(0.65250882445237,-0.757781125399535,0.)); #435952=DIRECTION('ref_axis',(0.757781125399535,0.65250882445237,0.)); #435953=DIRECTION('',(0.757781125399535,0.65250882445237,0.)); #435954=DIRECTION('',(0.757781125399535,0.65250882445237,0.)); #435955=DIRECTION('',(0.,0.,1.)); #435956=DIRECTION('center_axis',(0.707107136476326,-0.707106425896591,0.)); #435957=DIRECTION('ref_axis',(0.707106425896591,0.707107136476326,0.)); #435958=DIRECTION('',(0.707106425896591,0.707107136476326,0.)); #435959=DIRECTION('',(0.707106425896591,0.707107136476326,0.)); #435960=DIRECTION('',(0.,0.,1.)); #435961=DIRECTION('center_axis',(0.778048811381676,-0.628203826084784,0.)); #435962=DIRECTION('ref_axis',(0.628203826084784,0.778048811381676,0.)); #435963=DIRECTION('',(0.628203826084784,0.778048811381676,0.)); #435964=DIRECTION('',(0.628203826084784,0.778048811381676,0.)); #435965=DIRECTION('',(0.,0.,1.)); #435966=DIRECTION('center_axis',(0.892938781493109,-0.450178111979695,0.)); #435967=DIRECTION('ref_axis',(0.450178111979695,0.892938781493109,0.)); #435968=DIRECTION('',(0.450178111979694,0.892938781493109,0.)); #435969=DIRECTION('',(0.450178111979694,0.892938781493109,0.)); #435970=DIRECTION('',(0.,0.,1.)); #435971=DIRECTION('center_axis',(0.967662278077949,-0.252249312357822,0.)); #435972=DIRECTION('ref_axis',(0.252249312357821,0.967662278077949,0.)); #435973=DIRECTION('',(0.252249312357821,0.967662278077949,0.)); #435974=DIRECTION('',(0.252249312357821,0.967662278077949,0.)); #435975=DIRECTION('',(0.,0.,1.)); #435976=DIRECTION('center_axis',(0.997238118319996,-0.0742706898419095, 0.)); #435977=DIRECTION('ref_axis',(0.0742706898419095,0.997238118319996,0.)); #435978=DIRECTION('',(0.0742706898419095,0.997238118319996,0.)); #435979=DIRECTION('',(0.0742706898419095,0.997238118319996,0.)); #435980=DIRECTION('',(0.,0.,1.)); #435981=DIRECTION('center_axis',(1.,0.,0.)); #435982=DIRECTION('ref_axis',(0.,1.,0.)); #435983=DIRECTION('',(0.,1.,0.)); #435984=DIRECTION('',(0.,1.,0.)); #435985=DIRECTION('',(0.,0.,1.)); #435986=DIRECTION('center_axis',(0.983056036329401,-0.183305290257339,0.)); #435987=DIRECTION('ref_axis',(0.183305290257339,0.983056036329401,0.)); #435988=DIRECTION('',(0.183305290257339,0.983056036329401,0.)); #435989=DIRECTION('',(0.183305290257339,0.983056036329401,0.)); #435990=DIRECTION('',(0.,0.,1.)); #435991=DIRECTION('center_axis',(0.842362410729296,-0.538911466746003,0.)); #435992=DIRECTION('ref_axis',(0.538911466746003,0.842362410729296,0.)); #435993=DIRECTION('',(0.538911466746003,0.842362410729296,0.)); #435994=DIRECTION('',(0.538911466746003,0.842362410729296,0.)); #435995=DIRECTION('',(0.,0.,1.)); #435996=DIRECTION('center_axis',(0.565504004714997,-0.824745549033943,0.)); #435997=DIRECTION('ref_axis',(0.824745549033943,0.565504004714997,0.)); #435998=DIRECTION('',(0.824745549033943,0.565504004714997,0.)); #435999=DIRECTION('',(0.824745549033943,0.565504004714997,0.)); #436000=DIRECTION('',(0.,0.,1.)); #436001=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #436002=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #436003=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #436004=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #436005=DIRECTION('',(0.,0.,1.)); #436006=DIRECTION('center_axis',(0.,-1.,0.)); #436007=DIRECTION('ref_axis',(1.,0.,0.)); #436008=DIRECTION('',(1.,0.,0.)); #436009=DIRECTION('',(1.,0.,0.)); #436010=DIRECTION('',(0.,0.,1.)); #436011=DIRECTION('center_axis',(0.105885061288345,-0.994378375567351,0.)); #436012=DIRECTION('ref_axis',(0.994378375567351,0.105885061288345,0.)); #436013=DIRECTION('',(0.994378375567351,0.105885061288345,0.)); #436014=DIRECTION('',(0.994378375567351,0.105885061288345,0.)); #436015=DIRECTION('',(0.,0.,1.)); #436016=DIRECTION('center_axis',(0.312935976921634,-0.949774222827774,0.)); #436017=DIRECTION('ref_axis',(0.949774222827774,0.312935976921634,0.)); #436018=DIRECTION('',(0.949774222827774,0.312935976921634,0.)); #436019=DIRECTION('',(0.949774222827774,0.312935976921634,0.)); #436020=DIRECTION('',(0.,0.,1.)); #436021=DIRECTION('center_axis',(0.506095628368624,-0.862477370686424,0.)); #436022=DIRECTION('ref_axis',(0.862477370686424,0.506095628368624,0.)); #436023=DIRECTION('',(0.862477370686424,0.506095628368624,0.)); #436024=DIRECTION('',(0.862477370686424,0.506095628368624,0.)); #436025=DIRECTION('',(0.,0.,1.)); #436026=DIRECTION('center_axis',(0.652508824452,-0.757781125399854,0.)); #436027=DIRECTION('ref_axis',(0.757781125399854,0.652508824452,0.)); #436028=DIRECTION('',(0.757781125399854,0.652508824452,0.)); #436029=DIRECTION('',(0.757781125399854,0.652508824452,0.)); #436030=DIRECTION('',(0.,0.,1.)); #436031=DIRECTION('center_axis',(0.707107255683047,-0.70710630668973,0.)); #436032=DIRECTION('ref_axis',(0.70710630668973,0.707107255683047,0.)); #436033=DIRECTION('',(0.70710630668973,0.707107255683047,0.)); #436034=DIRECTION('',(0.70710630668973,0.707107255683047,0.)); #436035=DIRECTION('',(0.,0.,1.)); #436036=DIRECTION('center_axis',(0.778048811381676,-0.628203826084784,0.)); #436037=DIRECTION('ref_axis',(0.628203826084784,0.778048811381676,0.)); #436038=DIRECTION('',(0.628203826084784,0.778048811381676,0.)); #436039=DIRECTION('',(0.628203826084784,0.778048811381676,0.)); #436040=DIRECTION('',(0.,0.,1.)); #436041=DIRECTION('center_axis',(0.892938781493025,-0.45017811197986,0.)); #436042=DIRECTION('ref_axis',(0.45017811197986,0.892938781493025,0.)); #436043=DIRECTION('',(0.45017811197986,0.892938781493025,0.)); #436044=DIRECTION('',(0.45017811197986,0.892938781493025,0.)); #436045=DIRECTION('',(0.,0.,1.)); #436046=DIRECTION('center_axis',(0.967662278077997,-0.252249312357638,0.)); #436047=DIRECTION('ref_axis',(0.252249312357638,0.967662278077997,0.)); #436048=DIRECTION('',(0.252249312357638,0.967662278077997,0.)); #436049=DIRECTION('',(0.252249312357638,0.967662278077997,0.)); #436050=DIRECTION('',(0.,0.,1.)); #436051=DIRECTION('center_axis',(0.997238118319996,-0.0742706898419095, 0.)); #436052=DIRECTION('ref_axis',(0.0742706898419095,0.997238118319996,0.)); #436053=DIRECTION('',(0.0742706898419095,0.997238118319996,0.)); #436054=DIRECTION('',(0.0742706898419095,0.997238118319996,0.)); #436055=DIRECTION('',(0.,0.,1.)); #436056=DIRECTION('center_axis',(1.,0.,0.)); #436057=DIRECTION('ref_axis',(0.,1.,0.)); #436058=DIRECTION('',(0.,1.,0.)); #436059=DIRECTION('',(0.,1.,0.)); #436060=DIRECTION('',(0.,0.,1.)); #436061=DIRECTION('center_axis',(0.994378375567341,0.10588506128844,0.)); #436062=DIRECTION('ref_axis',(-0.10588506128844,0.994378375567341,0.)); #436063=DIRECTION('',(-0.10588506128844,0.994378375567341,0.)); #436064=DIRECTION('',(-0.10588506128844,0.994378375567341,0.)); #436065=DIRECTION('',(0.,0.,1.)); #436066=DIRECTION('center_axis',(0.949778792384428,0.312922107778882,0.)); #436067=DIRECTION('ref_axis',(-0.312922107778882,0.949778792384428,0.)); #436068=DIRECTION('',(-0.312922107778882,0.949778792384428,0.)); #436069=DIRECTION('',(-0.312922107778882,0.949778792384428,0.)); #436070=DIRECTION('',(0.,0.,1.)); #436071=DIRECTION('center_axis',(0.862466061684714,0.506114900434732,0.)); #436072=DIRECTION('ref_axis',(-0.506114900434732,0.862466061684714,0.)); #436073=DIRECTION('',(-0.506114900434732,0.862466061684714,0.)); #436074=DIRECTION('',(-0.506114900434732,0.862466061684714,0.)); #436075=DIRECTION('',(0.,0.,1.)); #436076=DIRECTION('center_axis',(0.757781125399723,0.652508824452152,0.)); #436077=DIRECTION('ref_axis',(-0.652508824452152,0.757781125399723,0.)); #436078=DIRECTION('',(-0.652508824452152,0.757781125399723,0.)); #436079=DIRECTION('',(-0.652508824452152,0.757781125399723,0.)); #436080=DIRECTION('',(0.,0.,1.)); #436081=DIRECTION('center_axis',(0.70710630668973,0.707107255683047,0.)); #436082=DIRECTION('ref_axis',(-0.707107255683047,0.70710630668973,0.)); #436083=DIRECTION('',(-0.707107255683047,0.70710630668973,0.)); #436084=DIRECTION('',(-0.707107255683047,0.70710630668973,0.)); #436085=DIRECTION('',(0.,0.,1.)); #436086=DIRECTION('center_axis',(0.628203826084774,0.778048811381684,0.)); #436087=DIRECTION('ref_axis',(-0.778048811381684,0.628203826084774,0.)); #436088=DIRECTION('',(-0.778048811381684,0.628203826084774,0.)); #436089=DIRECTION('',(-0.778048811381684,0.628203826084774,0.)); #436090=DIRECTION('',(0.,0.,1.)); #436091=DIRECTION('center_axis',(0.450178111980232,0.892938781492837,0.)); #436092=DIRECTION('ref_axis',(-0.892938781492837,0.450178111980232,0.)); #436093=DIRECTION('',(-0.892938781492837,0.450178111980232,0.)); #436094=DIRECTION('',(-0.892938781492837,0.450178111980232,0.)); #436095=DIRECTION('',(0.,0.,1.)); #436096=DIRECTION('center_axis',(0.252249312357474,0.96766227807804,0.)); #436097=DIRECTION('ref_axis',(-0.96766227807804,0.252249312357474,0.)); #436098=DIRECTION('',(-0.96766227807804,0.252249312357474,0.)); #436099=DIRECTION('',(-0.96766227807804,0.252249312357474,0.)); #436100=DIRECTION('',(0.,0.,1.)); #436101=DIRECTION('center_axis',(0.0742706898417872,0.997238118320005,0.)); #436102=DIRECTION('ref_axis',(-0.997238118320005,0.0742706898417872,0.)); #436103=DIRECTION('',(-0.997238118320005,0.0742706898417872,0.)); #436104=DIRECTION('',(-0.997238118320005,0.0742706898417872,0.)); #436105=DIRECTION('center_axis',(0.,1.,0.)); #436106=DIRECTION('ref_axis',(-1.,0.,0.)); #436107=DIRECTION('',(-1.,0.,0.)); #436108=DIRECTION('',(0.,0.,1.)); #436109=DIRECTION('',(-1.,0.,0.)); #436110=DIRECTION('',(0.,0.,1.)); #436111=DIRECTION('center_axis',(-0.707106781186541,0.707106781186554,0.)); #436112=DIRECTION('ref_axis',(-0.707106781186554,-0.707106781186541,0.)); #436113=DIRECTION('',(-0.707106781186554,-0.707106781186541,0.)); #436114=DIRECTION('',(-0.707106781186554,-0.707106781186541,0.)); #436115=DIRECTION('',(0.,0.,1.)); #436116=DIRECTION('center_axis',(-0.558946331948917,0.829203833807377,0.)); #436117=DIRECTION('ref_axis',(-0.829203833807377,-0.558946331948917,0.)); #436118=DIRECTION('',(-0.829203833807377,-0.558946331948917,0.)); #436119=DIRECTION('',(-0.829203833807377,-0.558946331948917,0.)); #436120=DIRECTION('',(0.,0.,1.)); #436121=DIRECTION('center_axis',(-0.198956019232613,0.980008419561338,0.)); #436122=DIRECTION('ref_axis',(-0.980008419561339,-0.198956019232613,0.)); #436123=DIRECTION('',(-0.980008419561338,-0.198956019232613,0.)); #436124=DIRECTION('',(-0.980008419561338,-0.198956019232613,0.)); #436125=DIRECTION('',(0.,0.,1.)); #436126=DIRECTION('center_axis',(0.,1.,0.)); #436127=DIRECTION('ref_axis',(-1.,0.,0.)); #436128=DIRECTION('',(-1.,0.,0.)); #436129=DIRECTION('',(-1.,0.,0.)); #436130=DIRECTION('',(0.,0.,1.)); #436131=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #436132=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #436133=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #436134=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #436135=DIRECTION('',(0.,0.,1.)); #436136=DIRECTION('center_axis',(-1.,0.,0.)); #436137=DIRECTION('ref_axis',(0.,-1.,0.)); #436138=DIRECTION('',(0.,-1.,0.)); #436139=DIRECTION('',(0.,-1.,0.)); #436140=DIRECTION('',(0.,0.,1.)); #436141=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #436142=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #436143=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #436144=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #436145=DIRECTION('',(0.,0.,1.)); #436146=DIRECTION('center_axis',(0.,-1.,0.)); #436147=DIRECTION('ref_axis',(1.,0.,0.)); #436148=DIRECTION('',(1.,0.,0.)); #436149=DIRECTION('',(1.,0.,0.)); #436150=DIRECTION('',(0.,0.,1.)); #436151=DIRECTION('center_axis',(-0.17550205938607,-0.984479063846077,0.)); #436152=DIRECTION('ref_axis',(0.984479063846077,-0.17550205938607,0.)); #436153=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #436154=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #436155=DIRECTION('',(0.,0.,1.)); #436156=DIRECTION('center_axis',(-0.525373540973715,-0.850871695642028, 0.)); #436157=DIRECTION('ref_axis',(0.850871695642028,-0.525373540973715,0.)); #436158=DIRECTION('',(0.850871695642028,-0.525373540973715,0.)); #436159=DIRECTION('',(0.850871695642028,-0.525373540973715,0.)); #436160=DIRECTION('',(0.,0.,1.)); #436161=DIRECTION('center_axis',(-0.81569385217891,-0.578483828224723,0.)); #436162=DIRECTION('ref_axis',(0.578483828224723,-0.81569385217891,0.)); #436163=DIRECTION('',(0.578483828224723,-0.81569385217891,0.)); #436164=DIRECTION('',(0.578483828224723,-0.81569385217891,0.)); #436165=DIRECTION('',(0.,0.,1.)); #436166=DIRECTION('center_axis',(-0.97668569083752,-0.21467431451675,0.)); #436167=DIRECTION('ref_axis',(0.21467431451675,-0.97668569083752,0.)); #436168=DIRECTION('',(0.21467431451675,-0.97668569083752,0.)); #436169=DIRECTION('',(0.21467431451675,-0.97668569083752,0.)); #436170=DIRECTION('',(0.,0.,1.)); #436171=DIRECTION('center_axis',(-0.983050529880647,0.183334818573505,0.)); #436172=DIRECTION('ref_axis',(-0.183334818573505,-0.983050529880647,0.)); #436173=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #436174=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #436175=DIRECTION('',(0.,0.,1.)); #436176=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #436177=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #436178=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #436179=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #436180=DIRECTION('',(0.,0.,1.)); #436181=DIRECTION('center_axis',(-0.707107405166971,0.707106157205574,0.)); #436182=DIRECTION('ref_axis',(-0.707106157205574,-0.707107405166971,0.)); #436183=DIRECTION('',(-0.707106157205574,-0.707107405166971,0.)); #436184=DIRECTION('',(-0.707106157205574,-0.707107405166971,0.)); #436185=DIRECTION('',(0.,0.,1.)); #436186=DIRECTION('center_axis',(-0.778048811381718,0.628203826084731,0.)); #436187=DIRECTION('ref_axis',(-0.628203826084731,-0.778048811381718,0.)); #436188=DIRECTION('',(-0.628203826084731,-0.778048811381718,0.)); #436189=DIRECTION('',(-0.628203826084731,-0.778048811381718,0.)); #436190=DIRECTION('',(0.,0.,1.)); #436191=DIRECTION('center_axis',(-0.892938781492816,0.450178111980274,0.)); #436192=DIRECTION('ref_axis',(-0.450178111980274,-0.892938781492816,0.)); #436193=DIRECTION('',(-0.450178111980274,-0.892938781492816,0.)); #436194=DIRECTION('',(-0.450178111980274,-0.892938781492816,0.)); #436195=DIRECTION('',(0.,0.,1.)); #436196=DIRECTION('center_axis',(-0.967662278078046,0.25224931235745,0.)); #436197=DIRECTION('ref_axis',(-0.25224931235745,-0.967662278078046,0.)); #436198=DIRECTION('',(-0.25224931235745,-0.967662278078046,0.)); #436199=DIRECTION('',(-0.25224931235745,-0.967662278078046,0.)); #436200=DIRECTION('',(0.,0.,1.)); #436201=DIRECTION('center_axis',(-0.997238118320006,0.0742706898417773, 0.)); #436202=DIRECTION('ref_axis',(-0.0742706898417773,-0.997238118320006,0.)); #436203=DIRECTION('',(-0.0742706898417773,-0.997238118320006,0.)); #436204=DIRECTION('',(-0.0742706898417773,-0.997238118320006,0.)); #436205=DIRECTION('',(0.,0.,1.)); #436206=DIRECTION('center_axis',(-1.,0.,0.)); #436207=DIRECTION('ref_axis',(0.,-1.,0.)); #436208=DIRECTION('',(0.,-1.,0.)); #436209=DIRECTION('',(0.,-1.,0.)); #436210=DIRECTION('',(0.,0.,1.)); #436211=DIRECTION('center_axis',(-0.99437837556735,-0.105885061288354,0.)); #436212=DIRECTION('ref_axis',(0.105885061288354,-0.99437837556735,0.)); #436213=DIRECTION('',(0.105885061288354,-0.99437837556735,0.)); #436214=DIRECTION('',(0.105885061288354,-0.99437837556735,0.)); #436215=DIRECTION('',(0.,0.,1.)); #436216=DIRECTION('center_axis',(-0.949778792384428,-0.312922107778882, 0.)); #436217=DIRECTION('ref_axis',(0.312922107778882,-0.949778792384428,0.)); #436218=DIRECTION('',(0.312922107778882,-0.949778792384428,0.)); #436219=DIRECTION('',(0.312922107778882,-0.949778792384428,0.)); #436220=DIRECTION('',(0.,0.,1.)); #436221=DIRECTION('center_axis',(-0.862466061684628,-0.506114900434878, 0.)); #436222=DIRECTION('ref_axis',(0.506114900434878,-0.862466061684628,0.)); #436223=DIRECTION('',(0.506114900434878,-0.862466061684628,0.)); #436224=DIRECTION('',(0.506114900434878,-0.862466061684628,0.)); #436225=DIRECTION('',(0.,0.,1.)); #436226=DIRECTION('center_axis',(-0.757781125399854,-0.652508824452,0.)); #436227=DIRECTION('ref_axis',(0.652508824452,-0.757781125399854,0.)); #436228=DIRECTION('',(0.652508824452,-0.757781125399854,0.)); #436229=DIRECTION('',(0.652508824452,-0.757781125399854,0.)); #436230=DIRECTION('',(0.,0.,1.)); #436231=DIRECTION('center_axis',(-0.70710630668973,-0.707107255683047,0.)); #436232=DIRECTION('ref_axis',(0.707107255683047,-0.70710630668973,0.)); #436233=DIRECTION('',(0.707107255683047,-0.70710630668973,0.)); #436234=DIRECTION('',(0.707107255683047,-0.70710630668973,0.)); #436235=DIRECTION('',(0.,0.,1.)); #436236=DIRECTION('center_axis',(-0.628203826084731,-0.778048811381718, 0.)); #436237=DIRECTION('ref_axis',(0.778048811381718,-0.628203826084731,0.)); #436238=DIRECTION('',(0.778048811381718,-0.628203826084731,0.)); #436239=DIRECTION('',(0.778048811381718,-0.628203826084731,0.)); #436240=DIRECTION('',(0.,0.,1.)); #436241=DIRECTION('center_axis',(-0.450178111980108,-0.8929387814929,0.)); #436242=DIRECTION('ref_axis',(0.8929387814929,-0.450178111980107,0.)); #436243=DIRECTION('',(0.8929387814929,-0.450178111980107,0.)); #436244=DIRECTION('',(0.8929387814929,-0.450178111980107,0.)); #436245=DIRECTION('',(0.,0.,1.)); #436246=DIRECTION('center_axis',(-0.252249312357546,-0.967662278078021, 0.)); #436247=DIRECTION('ref_axis',(0.967662278078021,-0.252249312357546,0.)); #436248=DIRECTION('',(0.967662278078021,-0.252249312357546,0.)); #436249=DIRECTION('',(0.967662278078021,-0.252249312357546,0.)); #436250=DIRECTION('',(0.,0.,1.)); #436251=DIRECTION('center_axis',(-0.0742706898417773,-0.997238118320006, 0.)); #436252=DIRECTION('ref_axis',(0.997238118320006,-0.0742706898417773,0.)); #436253=DIRECTION('',(0.997238118320006,-0.0742706898417773,0.)); #436254=DIRECTION('',(0.997238118320006,-0.0742706898417773,0.)); #436255=DIRECTION('',(0.,0.,1.)); #436256=DIRECTION('center_axis',(0.,-1.,0.)); #436257=DIRECTION('ref_axis',(1.,0.,0.)); #436258=DIRECTION('',(1.,0.,0.)); #436259=DIRECTION('',(1.,0.,0.)); #436260=DIRECTION('',(0.,0.,1.)); #436261=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #436262=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #436263=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #436264=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #436265=DIRECTION('',(0.,0.,1.)); #436266=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #436267=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #436268=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #436269=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #436270=DIRECTION('',(0.,0.,1.)); #436271=DIRECTION('center_axis',(-0.824745549033873,-0.565504004715099, 0.)); #436272=DIRECTION('ref_axis',(0.565504004715099,-0.824745549033873,0.)); #436273=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #436274=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #436275=DIRECTION('',(0.,0.,1.)); #436276=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #436277=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #436278=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #436279=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #436280=DIRECTION('',(0.,0.,1.)); #436281=DIRECTION('center_axis',(-1.,0.,0.)); #436282=DIRECTION('ref_axis',(0.,-1.,0.)); #436283=DIRECTION('',(0.,-1.,0.)); #436284=DIRECTION('',(0.,-1.,0.)); #436285=DIRECTION('',(0.,0.,1.)); #436286=DIRECTION('center_axis',(-0.99437837556735,-0.105885061288354,0.)); #436287=DIRECTION('ref_axis',(0.105885061288354,-0.99437837556735,0.)); #436288=DIRECTION('',(0.105885061288354,-0.99437837556735,0.)); #436289=DIRECTION('',(0.105885061288354,-0.99437837556735,0.)); #436290=DIRECTION('',(0.,0.,1.)); #436291=DIRECTION('center_axis',(-0.949778792384428,-0.312922107778882, 0.)); #436292=DIRECTION('ref_axis',(0.312922107778882,-0.949778792384428,0.)); #436293=DIRECTION('',(0.312922107778882,-0.949778792384428,0.)); #436294=DIRECTION('',(0.312922107778882,-0.949778792384428,0.)); #436295=DIRECTION('',(0.,0.,1.)); #436296=DIRECTION('center_axis',(-0.862466061684628,-0.506114900434878, 0.)); #436297=DIRECTION('ref_axis',(0.506114900434878,-0.862466061684628,0.)); #436298=DIRECTION('',(0.506114900434878,-0.862466061684628,0.)); #436299=DIRECTION('',(0.506114900434878,-0.862466061684628,0.)); #436300=DIRECTION('',(0.,0.,1.)); #436301=DIRECTION('center_axis',(-0.757781125399854,-0.652508824452,0.)); #436302=DIRECTION('ref_axis',(0.652508824452,-0.757781125399854,0.)); #436303=DIRECTION('',(0.652508824452,-0.757781125399854,0.)); #436304=DIRECTION('',(0.652508824452,-0.757781125399854,0.)); #436305=DIRECTION('',(0.,0.,1.)); #436306=DIRECTION('center_axis',(-0.707106425896587,-0.707107136476329, 0.)); #436307=DIRECTION('ref_axis',(0.707107136476329,-0.707106425896587,0.)); #436308=DIRECTION('',(0.707107136476329,-0.707106425896587,0.)); #436309=DIRECTION('',(0.707107136476329,-0.707106425896587,0.)); #436310=DIRECTION('',(0.,0.,1.)); #436311=DIRECTION('center_axis',(-0.628203826084731,-0.778048811381718, 0.)); #436312=DIRECTION('ref_axis',(0.778048811381718,-0.628203826084731,0.)); #436313=DIRECTION('',(0.778048811381718,-0.628203826084731,0.)); #436314=DIRECTION('',(0.778048811381718,-0.628203826084731,0.)); #436315=DIRECTION('',(0.,0.,1.)); #436316=DIRECTION('center_axis',(-0.450178111979944,-0.892938781492983, 0.)); #436317=DIRECTION('ref_axis',(0.892938781492983,-0.450178111979944,0.)); #436318=DIRECTION('',(0.892938781492983,-0.450178111979944,0.)); #436319=DIRECTION('',(0.892938781492983,-0.450178111979944,0.)); #436320=DIRECTION('',(0.,0.,1.)); #436321=DIRECTION('center_axis',(-0.25224931235745,-0.967662278078046,0.)); #436322=DIRECTION('ref_axis',(0.967662278078046,-0.25224931235745,0.)); #436323=DIRECTION('',(0.967662278078046,-0.25224931235745,0.)); #436324=DIRECTION('',(0.967662278078046,-0.25224931235745,0.)); #436325=DIRECTION('',(0.,0.,1.)); #436326=DIRECTION('center_axis',(-0.0742706898417773,-0.997238118320006, 0.)); #436327=DIRECTION('ref_axis',(0.997238118320006,-0.0742706898417773,0.)); #436328=DIRECTION('',(0.997238118320006,-0.0742706898417773,0.)); #436329=DIRECTION('',(0.997238118320006,-0.0742706898417773,0.)); #436330=DIRECTION('',(0.,0.,1.)); #436331=DIRECTION('center_axis',(0.,-1.,0.)); #436332=DIRECTION('ref_axis',(1.,0.,0.)); #436333=DIRECTION('',(1.,0.,0.)); #436334=DIRECTION('',(1.,0.,0.)); #436335=DIRECTION('',(0.,0.,1.)); #436336=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #436337=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #436338=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #436339=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #436340=DIRECTION('',(0.,0.,1.)); #436341=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #436342=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #436343=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #436344=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #436345=DIRECTION('',(0.,0.,1.)); #436346=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #436347=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #436348=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #436349=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #436350=DIRECTION('',(0.,0.,1.)); #436351=DIRECTION('center_axis',(-0.980008419561313,-0.19895601923274,0.)); #436352=DIRECTION('ref_axis',(0.19895601923274,-0.980008419561313,0.)); #436353=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #436354=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #436355=DIRECTION('',(0.,0.,1.)); #436356=DIRECTION('center_axis',(-1.,0.,0.)); #436357=DIRECTION('ref_axis',(0.,-1.,0.)); #436358=DIRECTION('',(0.,-1.,0.)); #436359=DIRECTION('',(0.,-1.,0.)); #436360=DIRECTION('',(0.,0.,1.)); #436361=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #436362=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #436363=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #436364=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #436365=DIRECTION('',(0.,0.,1.)); #436366=DIRECTION('center_axis',(0.,-1.,0.)); #436367=DIRECTION('ref_axis',(1.,0.,0.)); #436368=DIRECTION('',(1.,0.,0.)); #436369=DIRECTION('',(1.,0.,0.)); #436370=DIRECTION('',(0.,0.,1.)); #436371=DIRECTION('center_axis',(0.707106781186583,-0.707106781186512,0.)); #436372=DIRECTION('ref_axis',(0.707106781186512,0.707106781186583,0.)); #436373=DIRECTION('',(0.707106781186512,0.707106781186583,0.)); #436374=DIRECTION('',(0.707106781186512,0.707106781186583,0.)); #436375=DIRECTION('',(0.,0.,1.)); #436376=DIRECTION('center_axis',(1.,0.,0.)); #436377=DIRECTION('ref_axis',(0.,1.,0.)); #436378=DIRECTION('',(0.,1.,0.)); #436379=DIRECTION('',(0.,1.,0.)); #436380=DIRECTION('',(0.,0.,1.)); #436381=DIRECTION('center_axis',(0.983056036329376,-0.183305290257473,0.)); #436382=DIRECTION('ref_axis',(0.183305290257473,0.983056036329376,0.)); #436383=DIRECTION('',(0.183305290257473,0.983056036329376,0.)); #436384=DIRECTION('',(0.183305290257473,0.983056036329376,0.)); #436385=DIRECTION('',(0.,0.,1.)); #436386=DIRECTION('center_axis',(0.842362410729481,-0.538911466745714,0.)); #436387=DIRECTION('ref_axis',(0.538911466745714,0.842362410729481,0.)); #436388=DIRECTION('',(0.538911466745714,0.842362410729481,0.)); #436389=DIRECTION('',(0.538911466745714,0.842362410729481,0.)); #436390=DIRECTION('',(0.,0.,1.)); #436391=DIRECTION('center_axis',(0.565504004714963,-0.824745549033967,0.)); #436392=DIRECTION('ref_axis',(0.824745549033967,0.565504004714963,0.)); #436393=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #436394=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #436395=DIRECTION('',(0.,0.,1.)); #436396=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #436397=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #436398=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #436399=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #436400=DIRECTION('',(0.,0.,1.)); #436401=DIRECTION('center_axis',(0.,-1.,0.)); #436402=DIRECTION('ref_axis',(1.,0.,0.)); #436403=DIRECTION('',(1.,0.,0.)); #436404=DIRECTION('',(1.,0.,0.)); #436405=DIRECTION('',(0.,0.,1.)); #436406=DIRECTION('center_axis',(-0.191149856928917,-0.981560865252917, 0.)); #436407=DIRECTION('ref_axis',(0.981560865252917,-0.191149856928917,0.)); #436408=DIRECTION('',(0.981560865252917,-0.191149856928917,0.)); #436409=DIRECTION('',(0.981560865252917,-0.191149856928917,0.)); #436410=DIRECTION('',(0.,0.,1.)); #436411=DIRECTION('center_axis',(-0.55227822539186,-0.833659859749777,0.)); #436412=DIRECTION('ref_axis',(0.833659859749777,-0.55227822539186,0.)); #436413=DIRECTION('',(0.833659859749777,-0.55227822539186,0.)); #436414=DIRECTION('',(0.833659859749777,-0.55227822539186,0.)); #436415=DIRECTION('',(0.,0.,1.)); #436416=DIRECTION('center_axis',(-0.707106272520001,-0.707107289852728, 0.)); #436417=DIRECTION('ref_axis',(0.707107289852728,-0.707106272520001,0.)); #436418=DIRECTION('',(0.707107289852728,-0.707106272520001,0.)); #436419=DIRECTION('',(0.707107289852728,-0.707106272520001,0.)); #436420=DIRECTION('',(0.,0.,1.)); #436421=DIRECTION('center_axis',(-0.628203826084731,-0.778048811381718, 0.)); #436422=DIRECTION('ref_axis',(0.778048811381718,-0.628203826084731,0.)); #436423=DIRECTION('',(0.778048811381718,-0.628203826084731,0.)); #436424=DIRECTION('',(0.778048811381718,-0.628203826084731,0.)); #436425=DIRECTION('',(0.,0.,1.)); #436426=DIRECTION('center_axis',(-0.450178111979944,-0.892938781492983, 0.)); #436427=DIRECTION('ref_axis',(0.892938781492983,-0.450178111979944,0.)); #436428=DIRECTION('',(0.892938781492983,-0.450178111979944,0.)); #436429=DIRECTION('',(0.892938781492983,-0.450178111979944,0.)); #436430=DIRECTION('',(0.,0.,1.)); #436431=DIRECTION('center_axis',(-0.25224931235745,-0.967662278078046,0.)); #436432=DIRECTION('ref_axis',(0.967662278078046,-0.25224931235745,0.)); #436433=DIRECTION('',(0.967662278078046,-0.25224931235745,0.)); #436434=DIRECTION('',(0.967662278078046,-0.25224931235745,0.)); #436435=DIRECTION('',(0.,0.,1.)); #436436=DIRECTION('center_axis',(-0.0742706898417773,-0.997238118320006, 0.)); #436437=DIRECTION('ref_axis',(0.997238118320006,-0.0742706898417773,0.)); #436438=DIRECTION('',(0.997238118320006,-0.0742706898417773,0.)); #436439=DIRECTION('',(0.997238118320006,-0.0742706898417773,0.)); #436440=DIRECTION('',(0.,0.,1.)); #436441=DIRECTION('center_axis',(0.,-1.,0.)); #436442=DIRECTION('ref_axis',(1.,0.,0.)); #436443=DIRECTION('',(1.,0.,0.)); #436444=DIRECTION('',(1.,0.,0.)); #436445=DIRECTION('',(0.,0.,1.)); #436446=DIRECTION('center_axis',(0.105885061288336,-0.994378375567352,0.)); #436447=DIRECTION('ref_axis',(0.994378375567352,0.105885061288336,0.)); #436448=DIRECTION('',(0.994378375567352,0.105885061288336,0.)); #436449=DIRECTION('',(0.994378375567352,0.105885061288336,0.)); #436450=DIRECTION('',(0.,0.,1.)); #436451=DIRECTION('center_axis',(0.312922107779005,-0.949778792384387,0.)); #436452=DIRECTION('ref_axis',(0.949778792384387,0.312922107779005,0.)); #436453=DIRECTION('',(0.949778792384387,0.312922107779005,0.)); #436454=DIRECTION('',(0.949778792384387,0.312922107779005,0.)); #436455=DIRECTION('',(0.,0.,1.)); #436456=DIRECTION('center_axis',(0.506114900434501,-0.86246606168485,0.)); #436457=DIRECTION('ref_axis',(0.86246606168485,0.506114900434501,0.)); #436458=DIRECTION('',(0.86246606168485,0.506114900434501,0.)); #436459=DIRECTION('',(0.86246606168485,0.506114900434501,0.)); #436460=DIRECTION('',(0.,0.,1.)); #436461=DIRECTION('center_axis',(0.652508824452305,-0.757781125399591,0.)); #436462=DIRECTION('ref_axis',(0.757781125399591,0.652508824452305,0.)); #436463=DIRECTION('',(0.757781125399591,0.652508824452305,0.)); #436464=DIRECTION('',(0.757781125399591,0.652508824452305,0.)); #436465=DIRECTION('',(0.,0.,1.)); #436466=DIRECTION('center_axis',(0.707107255683047,-0.70710630668973,0.)); #436467=DIRECTION('ref_axis',(0.70710630668973,0.707107255683047,0.)); #436468=DIRECTION('',(0.70710630668973,0.707107255683047,0.)); #436469=DIRECTION('',(0.70710630668973,0.707107255683047,0.)); #436470=DIRECTION('',(0.,0.,1.)); #436471=DIRECTION('center_axis',(0.778048811381633,-0.628203826084836,0.)); #436472=DIRECTION('ref_axis',(0.628203826084836,0.778048811381633,0.)); #436473=DIRECTION('',(0.628203826084836,0.778048811381633,0.)); #436474=DIRECTION('',(0.628203826084836,0.778048811381633,0.)); #436475=DIRECTION('',(0.,0.,1.)); #436476=DIRECTION('center_axis',(0.892938781492983,-0.450178111979944,0.)); #436477=DIRECTION('ref_axis',(0.450178111979944,0.892938781492983,0.)); #436478=DIRECTION('',(0.450178111979944,0.892938781492983,0.)); #436479=DIRECTION('',(0.450178111979944,0.892938781492983,0.)); #436480=DIRECTION('',(0.,0.,1.)); #436481=DIRECTION('center_axis',(0.967662278078046,-0.25224931235745,0.)); #436482=DIRECTION('ref_axis',(0.25224931235745,0.967662278078046,0.)); #436483=DIRECTION('',(0.25224931235745,0.967662278078046,0.)); #436484=DIRECTION('',(0.25224931235745,0.967662278078046,0.)); #436485=DIRECTION('',(0.,0.,1.)); #436486=DIRECTION('center_axis',(0.997238118319986,-0.0742706898420416, 0.)); #436487=DIRECTION('ref_axis',(0.0742706898420416,0.997238118319986,0.)); #436488=DIRECTION('',(0.0742706898420416,0.997238118319986,0.)); #436489=DIRECTION('',(0.0742706898420416,0.997238118319986,0.)); #436490=DIRECTION('',(0.,0.,1.)); #436491=DIRECTION('center_axis',(1.,0.,0.)); #436492=DIRECTION('ref_axis',(0.,1.,0.)); #436493=DIRECTION('',(0.,1.,0.)); #436494=DIRECTION('',(0.,1.,0.)); #436495=DIRECTION('',(0.,0.,1.)); #436496=DIRECTION('center_axis',(0.994378375567332,0.105885061288526,0.)); #436497=DIRECTION('ref_axis',(-0.105885061288526,0.994378375567332,0.)); #436498=DIRECTION('',(-0.105885061288526,0.994378375567332,0.)); #436499=DIRECTION('',(-0.105885061288526,0.994378375567332,0.)); #436500=DIRECTION('',(0.,0.,1.)); #436501=DIRECTION('center_axis',(0.949778792384449,0.312922107778818,0.)); #436502=DIRECTION('ref_axis',(-0.312922107778818,0.949778792384449,0.)); #436503=DIRECTION('',(-0.312922107778818,0.949778792384449,0.)); #436504=DIRECTION('',(-0.312922107778818,0.949778792384449,0.)); #436505=DIRECTION('',(0.,0.,1.)); #436506=DIRECTION('center_axis',(0.862466061684729,0.506114900434707,0.)); #436507=DIRECTION('ref_axis',(-0.506114900434707,0.862466061684729,0.)); #436508=DIRECTION('',(-0.506114900434707,0.862466061684729,0.)); #436509=DIRECTION('',(-0.506114900434707,0.862466061684729,0.)); #436510=DIRECTION('',(0.,0.,1.)); #436511=DIRECTION('center_axis',(0.757781125399854,0.652508824452,0.)); #436512=DIRECTION('ref_axis',(-0.652508824452,0.757781125399854,0.)); #436513=DIRECTION('',(-0.652508824452,0.757781125399854,0.)); #436514=DIRECTION('',(-0.652508824452,0.757781125399854,0.)); #436515=DIRECTION('',(0.,0.,1.)); #436516=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #436517=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #436518=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #436519=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #436520=DIRECTION('',(0.,0.,1.)); #436521=DIRECTION('center_axis',(0.820212632122518,0.572058771549436,0.)); #436522=DIRECTION('ref_axis',(-0.572058771549436,0.820212632122518,0.)); #436523=DIRECTION('',(-0.572058771549436,0.820212632122518,0.)); #436524=DIRECTION('',(-0.572058771549436,0.820212632122518,0.)); #436525=DIRECTION('',(0.,0.,1.)); #436526=DIRECTION('center_axis',(0.973144071552979,0.230196907019384,0.)); #436527=DIRECTION('ref_axis',(-0.230196907019384,0.973144071552979,0.)); #436528=DIRECTION('',(-0.230196907019384,0.973144071552979,0.)); #436529=DIRECTION('',(-0.230196907019384,0.973144071552979,0.)); #436530=DIRECTION('',(0.,0.,1.)); #436531=DIRECTION('center_axis',(0.985842168327529,-0.167675934908011,0.)); #436532=DIRECTION('ref_axis',(0.167675934908011,0.985842168327529,0.)); #436533=DIRECTION('',(0.167675934908011,0.985842168327529,0.)); #436534=DIRECTION('',(0.167675934908011,0.985842168327529,0.)); #436535=DIRECTION('',(0.,0.,1.)); #436536=DIRECTION('center_axis',(0.842362410729263,-0.538911466746055,0.)); #436537=DIRECTION('ref_axis',(0.538911466746055,0.842362410729263,0.)); #436538=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #436539=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #436540=DIRECTION('',(0.,0.,1.)); #436541=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #436542=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #436543=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #436544=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #436545=DIRECTION('',(0.,0.,1.)); #436546=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #436547=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #436548=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #436549=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #436550=DIRECTION('',(0.,0.,1.)); #436551=DIRECTION('center_axis',(0.,-1.,0.)); #436552=DIRECTION('ref_axis',(1.,0.,0.)); #436553=DIRECTION('',(1.,0.,0.)); #436554=DIRECTION('',(1.,0.,0.)); #436555=DIRECTION('',(0.,0.,1.)); #436556=DIRECTION('center_axis',(-0.191149856928945,-0.981560865252911, 0.)); #436557=DIRECTION('ref_axis',(0.981560865252911,-0.191149856928945,0.)); #436558=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #436559=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #436560=DIRECTION('',(0.,0.,1.)); #436561=DIRECTION('center_axis',(-0.552278225391995,-0.833659859749687, 0.)); #436562=DIRECTION('ref_axis',(0.833659859749687,-0.552278225391995,0.)); #436563=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #436564=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #436565=DIRECTION('',(0.,0.,1.)); #436566=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #436567=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #436568=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #436569=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #436570=DIRECTION('',(0.,0.,1.)); #436571=DIRECTION('center_axis',(-0.829203833807341,-0.558946331948969, 0.)); #436572=DIRECTION('ref_axis',(0.558946331948969,-0.829203833807341,0.)); #436573=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #436574=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #436575=DIRECTION('',(0.,0.,1.)); #436576=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #436577=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #436578=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #436579=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #436580=DIRECTION('',(0.,0.,1.)); #436581=DIRECTION('center_axis',(-1.,0.,0.)); #436582=DIRECTION('ref_axis',(0.,-1.,0.)); #436583=DIRECTION('',(0.,-1.,0.)); #436584=DIRECTION('',(0.,-1.,0.)); #436585=DIRECTION('',(0.,0.,1.)); #436586=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #436587=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #436588=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #436589=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #436590=DIRECTION('',(0.,0.,1.)); #436591=DIRECTION('center_axis',(-0.833659859749666,0.552278225392028,0.)); #436592=DIRECTION('ref_axis',(-0.552278225392028,-0.833659859749665,0.)); #436593=DIRECTION('',(-0.552278225392028,-0.833659859749665,0.)); #436594=DIRECTION('',(-0.552278225392028,-0.833659859749665,0.)); #436595=DIRECTION('',(0.,0.,1.)); #436596=DIRECTION('center_axis',(-0.707106781186556,0.707106781186539,0.)); #436597=DIRECTION('ref_axis',(-0.707106781186539,-0.707106781186556,0.)); #436598=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #436599=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #436600=DIRECTION('',(0.,0.,1.)); #436601=DIRECTION('center_axis',(-1.,0.,0.)); #436602=DIRECTION('ref_axis',(0.,-1.,0.)); #436603=DIRECTION('',(0.,-1.,0.)); #436604=DIRECTION('',(0.,-1.,0.)); #436605=DIRECTION('',(0.,0.,1.)); #436606=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #436607=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #436608=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #436609=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #436610=DIRECTION('',(0.,0.,1.)); #436611=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #436612=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #436613=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #436614=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #436615=DIRECTION('',(0.,0.,1.)); #436616=DIRECTION('center_axis',(-0.707108784529064,0.707104777838355,0.)); #436617=DIRECTION('ref_axis',(-0.707104777838355,-0.707108784529064,0.)); #436618=DIRECTION('',(-0.707104777838355,-0.707108784529064,0.)); #436619=DIRECTION('',(-0.707104777838355,-0.707108784529064,0.)); #436620=DIRECTION('',(0.,0.,1.)); #436621=DIRECTION('center_axis',(-1.,0.,0.)); #436622=DIRECTION('ref_axis',(0.,-1.,0.)); #436623=DIRECTION('',(0.,-1.,0.)); #436624=DIRECTION('',(0.,-1.,0.)); #436625=DIRECTION('',(0.,0.,1.)); #436626=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #436627=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #436628=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #436629=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #436630=DIRECTION('',(0.,0.,1.)); #436631=DIRECTION('center_axis',(0.,-1.,0.)); #436632=DIRECTION('ref_axis',(1.,0.,0.)); #436633=DIRECTION('',(1.,0.,0.)); #436634=DIRECTION('',(1.,0.,0.)); #436635=DIRECTION('',(0.,0.,1.)); #436636=DIRECTION('center_axis',(0.707104327390292,-0.707109234974288,0.)); #436637=DIRECTION('ref_axis',(0.707109234974288,0.707104327390292,0.)); #436638=DIRECTION('',(0.707109234974288,0.707104327390292,0.)); #436639=DIRECTION('',(0.707109234974288,0.707104327390292,0.)); #436640=DIRECTION('',(0.,0.,1.)); #436641=DIRECTION('center_axis',(0.565439331101256,-0.824789890119759,0.)); #436642=DIRECTION('ref_axis',(0.824789890119759,0.565439331101256,0.)); #436643=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #436644=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #436645=DIRECTION('',(0.,0.,1.)); #436646=DIRECTION('center_axis',(0.214674314516719,-0.976685690837527,0.)); #436647=DIRECTION('ref_axis',(0.976685690837527,0.214674314516719,0.)); #436648=DIRECTION('',(0.976685690837527,0.214674314516719,0.)); #436649=DIRECTION('',(0.976685690837527,0.214674314516719,0.)); #436650=DIRECTION('',(0.,0.,1.)); #436651=DIRECTION('center_axis',(-0.183334818573531,-0.983050529880642, 0.)); #436652=DIRECTION('ref_axis',(0.983050529880642,-0.183334818573531,0.)); #436653=DIRECTION('',(0.983050529880642,-0.183334818573531,0.)); #436654=DIRECTION('',(0.983050529880642,-0.183334818573531,0.)); #436655=DIRECTION('',(0.,0.,1.)); #436656=DIRECTION('center_axis',(-0.552278225392063,-0.833659859749643, 0.)); #436657=DIRECTION('ref_axis',(0.833659859749643,-0.552278225392063,0.)); #436658=DIRECTION('',(0.833659859749643,-0.552278225392063,0.)); #436659=DIRECTION('',(0.833659859749643,-0.552278225392063,0.)); #436660=DIRECTION('',(0.,0.,1.)); #436661=DIRECTION('center_axis',(-0.707104327390292,-0.707109234974288, 0.)); #436662=DIRECTION('ref_axis',(0.707109234974288,-0.707104327390292,0.)); #436663=DIRECTION('',(0.707109234974288,-0.707104327390292,0.)); #436664=DIRECTION('',(0.707109234974288,-0.707104327390292,0.)); #436665=DIRECTION('',(0.,0.,1.)); #436666=DIRECTION('center_axis',(0.,-1.,0.)); #436667=DIRECTION('ref_axis',(1.,0.,0.)); #436668=DIRECTION('',(1.,0.,0.)); #436669=DIRECTION('',(1.,0.,0.)); #436670=DIRECTION('',(0.,0.,1.)); #436671=DIRECTION('center_axis',(0.707104327390303,-0.707109234974277,0.)); #436672=DIRECTION('ref_axis',(0.707109234974277,0.707104327390303,0.)); #436673=DIRECTION('',(0.707109234974277,0.707104327390303,0.)); #436674=DIRECTION('',(0.707109234974277,0.707104327390303,0.)); #436675=DIRECTION('',(0.,0.,1.)); #436676=DIRECTION('center_axis',(0.565439331101256,-0.824789890119759,0.)); #436677=DIRECTION('ref_axis',(0.824789890119759,0.565439331101256,0.)); #436678=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #436679=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #436680=DIRECTION('',(0.,0.,1.)); #436681=DIRECTION('center_axis',(0.214674314516719,-0.976685690837527,0.)); #436682=DIRECTION('ref_axis',(0.976685690837527,0.214674314516719,0.)); #436683=DIRECTION('',(0.976685690837527,0.214674314516719,0.)); #436684=DIRECTION('',(0.976685690837527,0.214674314516719,0.)); #436685=DIRECTION('',(0.,0.,1.)); #436686=DIRECTION('center_axis',(-0.183334818573531,-0.983050529880642, 0.)); #436687=DIRECTION('ref_axis',(0.983050529880642,-0.183334818573531,0.)); #436688=DIRECTION('',(0.983050529880642,-0.183334818573531,0.)); #436689=DIRECTION('',(0.983050529880642,-0.183334818573531,0.)); #436690=DIRECTION('',(0.,0.,1.)); #436691=DIRECTION('center_axis',(-0.552278225391995,-0.833659859749687, 0.)); #436692=DIRECTION('ref_axis',(0.833659859749687,-0.552278225391995,0.)); #436693=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #436694=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #436695=DIRECTION('',(0.,0.,1.)); #436696=DIRECTION('center_axis',(-0.707104327390292,-0.707109234974288, 0.)); #436697=DIRECTION('ref_axis',(0.707109234974288,-0.707104327390292,0.)); #436698=DIRECTION('',(0.707109234974288,-0.707104327390292,0.)); #436699=DIRECTION('',(0.707109234974288,-0.707104327390292,0.)); #436700=DIRECTION('',(0.,0.,1.)); #436701=DIRECTION('center_axis',(0.,-1.,0.)); #436702=DIRECTION('ref_axis',(1.,0.,0.)); #436703=DIRECTION('',(1.,0.,0.)); #436704=DIRECTION('',(1.,0.,0.)); #436705=DIRECTION('',(0.,0.,1.)); #436706=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #436707=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #436708=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #436709=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #436710=DIRECTION('',(0.,0.,1.)); #436711=DIRECTION('center_axis',(1.,0.,0.)); #436712=DIRECTION('ref_axis',(0.,1.,0.)); #436713=DIRECTION('',(0.,1.,0.)); #436714=DIRECTION('',(0.,1.,0.)); #436715=DIRECTION('',(0.,0.,1.)); #436716=DIRECTION('center_axis',(0.707108784529064,0.707104777838355,0.)); #436717=DIRECTION('ref_axis',(-0.707104777838355,0.707108784529064,0.)); #436718=DIRECTION('',(-0.707104777838355,0.707108784529064,0.)); #436719=DIRECTION('',(-0.707104777838355,0.707108784529064,0.)); #436720=DIRECTION('',(0.,0.,1.)); #436721=DIRECTION('center_axis',(0.829203833807366,0.558946331948933,0.)); #436722=DIRECTION('ref_axis',(-0.558946331948932,0.829203833807366,0.)); #436723=DIRECTION('',(-0.558946331948932,0.829203833807366,0.)); #436724=DIRECTION('',(-0.558946331948932,0.829203833807366,0.)); #436725=DIRECTION('',(0.,0.,1.)); #436726=DIRECTION('center_axis',(0.980008419561341,0.1989560192326,0.)); #436727=DIRECTION('ref_axis',(-0.1989560192326,0.980008419561341,0.)); #436728=DIRECTION('',(-0.198956019232599,0.980008419561341,0.)); #436729=DIRECTION('',(-0.198956019232599,0.980008419561341,0.)); #436730=DIRECTION('',(0.,0.,1.)); #436731=DIRECTION('center_axis',(1.,0.,0.)); #436732=DIRECTION('ref_axis',(0.,1.,0.)); #436733=DIRECTION('',(0.,1.,0.)); #436734=DIRECTION('',(0.,1.,0.)); #436735=DIRECTION('',(0.,0.,1.)); #436736=DIRECTION('center_axis',(0.707106781186556,0.707106781186539,0.)); #436737=DIRECTION('ref_axis',(-0.707106781186539,0.707106781186556,0.)); #436738=DIRECTION('',(-0.707106781186539,0.707106781186556,0.)); #436739=DIRECTION('',(-0.707106781186539,0.707106781186556,0.)); #436740=DIRECTION('',(0.,0.,1.)); #436741=DIRECTION('center_axis',(0.829203833807246,0.55894633194911,0.)); #436742=DIRECTION('ref_axis',(-0.55894633194911,0.829203833807246,0.)); #436743=DIRECTION('',(-0.55894633194911,0.829203833807246,0.)); #436744=DIRECTION('',(-0.55894633194911,0.829203833807246,0.)); #436745=DIRECTION('',(0.,0.,1.)); #436746=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #436747=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #436748=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #436749=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #436750=DIRECTION('',(0.,0.,1.)); #436751=DIRECTION('center_axis',(1.,0.,0.)); #436752=DIRECTION('ref_axis',(0.,1.,0.)); #436753=DIRECTION('',(0.,1.,0.)); #436754=DIRECTION('',(0.,1.,0.)); #436755=DIRECTION('',(0.,0.,1.)); #436756=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #436757=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #436758=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #436759=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #436760=DIRECTION('',(0.,0.,1.)); #436761=DIRECTION('center_axis',(0.,1.,0.)); #436762=DIRECTION('ref_axis',(-1.,0.,0.)); #436763=DIRECTION('',(-1.,0.,0.)); #436764=DIRECTION('',(-1.,0.,0.)); #436765=DIRECTION('',(0.,0.,1.)); #436766=DIRECTION('center_axis',(0.191149856928917,0.981560865252917,0.)); #436767=DIRECTION('ref_axis',(-0.981560865252917,0.191149856928917,0.)); #436768=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #436769=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #436770=DIRECTION('',(0.,0.,1.)); #436771=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #436772=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #436773=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #436774=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #436775=DIRECTION('',(0.,0.,1.)); #436776=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #436777=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #436778=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #436779=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #436780=DIRECTION('',(0.,0.,1.)); #436781=DIRECTION('center_axis',(0.829203833807271,0.558946331949074,0.)); #436782=DIRECTION('ref_axis',(-0.558946331949074,0.829203833807271,0.)); #436783=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #436784=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #436785=DIRECTION('',(0.,0.,1.)); #436786=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #436787=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #436788=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #436789=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #436790=DIRECTION('',(0.,0.,1.)); #436791=DIRECTION('center_axis',(1.,0.,0.)); #436792=DIRECTION('ref_axis',(0.,1.,0.)); #436793=DIRECTION('',(0.,1.,0.)); #436794=DIRECTION('',(0.,1.,0.)); #436795=DIRECTION('',(0.,0.,1.)); #436796=DIRECTION('center_axis',(0.70710678118655,0.707106781186545,0.)); #436797=DIRECTION('ref_axis',(-0.707106781186545,0.70710678118655,0.)); #436798=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #436799=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #436800=DIRECTION('',(0.,0.,1.)); #436801=DIRECTION('center_axis',(0.,1.,0.)); #436802=DIRECTION('ref_axis',(-1.,0.,0.)); #436803=DIRECTION('',(-1.,0.,0.)); #436804=DIRECTION('',(-1.,0.,0.)); #436805=DIRECTION('',(0.,0.,1.)); #436806=DIRECTION('center_axis',(0.191149856928623,0.981560865252974,0.)); #436807=DIRECTION('ref_axis',(-0.981560865252974,0.191149856928623,0.)); #436808=DIRECTION('',(-0.981560865252974,0.191149856928623,0.)); #436809=DIRECTION('',(-0.981560865252974,0.191149856928623,0.)); #436810=DIRECTION('',(0.,0.,1.)); #436811=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #436812=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #436813=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #436814=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #436815=DIRECTION('',(0.,0.,1.)); #436816=DIRECTION('center_axis',(0.707106781186545,0.70710678118655,0.)); #436817=DIRECTION('ref_axis',(-0.70710678118655,0.707106781186545,0.)); #436818=DIRECTION('',(-0.70710678118655,0.707106781186545,0.)); #436819=DIRECTION('',(-0.70710678118655,0.707106781186545,0.)); #436820=DIRECTION('',(0.,0.,1.)); #436821=DIRECTION('center_axis',(0.,1.,0.)); #436822=DIRECTION('ref_axis',(-1.,0.,0.)); #436823=DIRECTION('',(-1.,0.,0.)); #436824=DIRECTION('',(-1.,0.,0.)); #436825=DIRECTION('',(0.,0.,1.)); #436826=DIRECTION('center_axis',(0.191149856928917,0.981560865252917,0.)); #436827=DIRECTION('ref_axis',(-0.981560865252917,0.191149856928917,0.)); #436828=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #436829=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #436830=DIRECTION('',(0.,0.,1.)); #436831=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #436832=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #436833=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #436834=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #436835=DIRECTION('',(0.,0.,1.)); #436836=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #436837=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #436838=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #436839=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #436840=DIRECTION('',(0.,0.,1.)); #436841=DIRECTION('center_axis',(0.829203833807271,0.558946331949074,0.)); #436842=DIRECTION('ref_axis',(-0.558946331949074,0.829203833807271,0.)); #436843=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #436844=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #436845=DIRECTION('',(0.,0.,1.)); #436846=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #436847=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #436848=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #436849=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #436850=DIRECTION('',(0.,0.,1.)); #436851=DIRECTION('center_axis',(1.,0.,0.)); #436852=DIRECTION('ref_axis',(0.,1.,0.)); #436853=DIRECTION('',(0.,1.,0.)); #436854=DIRECTION('',(0.,1.,0.)); #436855=DIRECTION('',(0.,0.,1.)); #436856=DIRECTION('center_axis',(0.981560865252911,-0.191149856928945,0.)); #436857=DIRECTION('ref_axis',(0.191149856928945,0.981560865252911,0.)); #436858=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #436859=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #436860=DIRECTION('',(0.,0.,1.)); #436861=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #436862=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #436863=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #436864=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #436865=DIRECTION('',(0.,0.,1.)); #436866=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,0.)); #436867=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.)); #436868=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #436869=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #436870=DIRECTION('',(0.,0.,1.)); #436871=DIRECTION('center_axis',(0.558946331948864,-0.829203833807412,0.)); #436872=DIRECTION('ref_axis',(0.829203833807412,0.558946331948864,0.)); #436873=DIRECTION('',(0.829203833807412,0.558946331948864,0.)); #436874=DIRECTION('',(0.829203833807412,0.558946331948864,0.)); #436875=DIRECTION('',(0.,0.,1.)); #436876=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #436877=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #436878=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #436879=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #436880=DIRECTION('',(0.,0.,1.)); #436881=DIRECTION('center_axis',(0.,-1.,0.)); #436882=DIRECTION('ref_axis',(1.,0.,0.)); #436883=DIRECTION('',(1.,0.,0.)); #436884=DIRECTION('',(1.,0.,0.)); #436885=DIRECTION('',(0.,0.,1.)); #436886=DIRECTION('center_axis',(-0.191149856928843,-0.981560865252931, 0.)); #436887=DIRECTION('ref_axis',(0.981560865252931,-0.191149856928843,0.)); #436888=DIRECTION('',(0.981560865252931,-0.191149856928843,0.)); #436889=DIRECTION('',(0.981560865252931,-0.191149856928843,0.)); #436890=DIRECTION('',(0.,0.,1.)); #436891=DIRECTION('center_axis',(-0.552278225391843,-0.833659859749789, 0.)); #436892=DIRECTION('ref_axis',(0.833659859749789,-0.552278225391843,0.)); #436893=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #436894=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #436895=DIRECTION('',(0.,0.,1.)); #436896=DIRECTION('center_axis',(-0.707106781186544,-0.707106781186551, 0.)); #436897=DIRECTION('ref_axis',(0.707106781186551,-0.707106781186544,0.)); #436898=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #436899=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #436900=DIRECTION('',(0.,0.,1.)); #436901=DIRECTION('center_axis',(0.,-1.,0.)); #436902=DIRECTION('ref_axis',(1.,0.,0.)); #436903=DIRECTION('',(1.,0.,0.)); #436904=DIRECTION('',(1.,0.,0.)); #436905=DIRECTION('',(0.,0.,1.)); #436906=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #436907=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #436908=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #436909=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #436910=DIRECTION('',(0.,0.,1.)); #436911=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #436912=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #436913=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #436914=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #436915=DIRECTION('',(0.,0.,1.)); #436916=DIRECTION('center_axis',(-0.707106781186546,-0.707106781186549, 0.)); #436917=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #436918=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #436919=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #436920=DIRECTION('',(0.,0.,1.)); #436921=DIRECTION('center_axis',(0.,-1.,0.)); #436922=DIRECTION('ref_axis',(1.,0.,0.)); #436923=DIRECTION('',(1.,0.,0.)); #436924=DIRECTION('',(1.,0.,0.)); #436925=DIRECTION('',(0.,0.,1.)); #436926=DIRECTION('center_axis',(-0.191149856928917,-0.981560865252917, 0.)); #436927=DIRECTION('ref_axis',(0.981560865252917,-0.191149856928917,0.)); #436928=DIRECTION('',(0.981560865252917,-0.191149856928917,0.)); #436929=DIRECTION('',(0.981560865252917,-0.191149856928917,0.)); #436930=DIRECTION('',(0.,0.,1.)); #436931=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #436932=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #436933=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #436934=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #436935=DIRECTION('',(0.,0.,1.)); #436936=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #436937=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #436938=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #436939=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #436940=DIRECTION('',(0.,0.,1.)); #436941=DIRECTION('center_axis',(-0.82478989011981,-0.565439331101182,0.)); #436942=DIRECTION('ref_axis',(0.565439331101182,-0.82478989011981,0.)); #436943=DIRECTION('',(0.565439331101182,-0.82478989011981,0.)); #436944=DIRECTION('',(0.565439331101182,-0.82478989011981,0.)); #436945=DIRECTION('',(0.,0.,1.)); #436946=DIRECTION('center_axis',(-0.976685690837557,-0.214674314516579, 0.)); #436947=DIRECTION('ref_axis',(0.214674314516579,-0.976685690837557,0.)); #436948=DIRECTION('',(0.214674314516579,-0.976685690837557,0.)); #436949=DIRECTION('',(0.214674314516579,-0.976685690837557,0.)); #436950=DIRECTION('',(0.,0.,1.)); #436951=DIRECTION('center_axis',(-0.983050529880647,0.183334818573505,0.)); #436952=DIRECTION('ref_axis',(-0.183334818573505,-0.983050529880647,0.)); #436953=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #436954=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #436955=DIRECTION('',(0.,0.,1.)); #436956=DIRECTION('center_axis',(-0.833659859749733,0.552278225391927,0.)); #436957=DIRECTION('ref_axis',(-0.552278225391927,-0.833659859749732,0.)); #436958=DIRECTION('',(-0.552278225391927,-0.833659859749732,0.)); #436959=DIRECTION('',(-0.552278225391927,-0.833659859749732,0.)); #436960=DIRECTION('',(0.,0.,1.)); #436961=DIRECTION('center_axis',(-0.707108095097465,0.707105467273188,0.)); #436962=DIRECTION('ref_axis',(-0.707105467273188,-0.707108095097465,0.)); #436963=DIRECTION('',(-0.707105467273188,-0.707108095097465,0.)); #436964=DIRECTION('',(-0.707105467273188,-0.707108095097465,0.)); #436965=DIRECTION('',(0.,0.,1.)); #436966=DIRECTION('center_axis',(-1.,0.,0.)); #436967=DIRECTION('ref_axis',(0.,-1.,0.)); #436968=DIRECTION('',(0.,-1.,0.)); #436969=DIRECTION('',(0.,-1.,0.)); #436970=DIRECTION('',(0.,0.,1.)); #436971=DIRECTION('center_axis',(-0.707109234974277,-0.707104327390303, 0.)); #436972=DIRECTION('ref_axis',(0.707104327390303,-0.707109234974277,0.)); #436973=DIRECTION('',(0.707104327390303,-0.707109234974277,0.)); #436974=DIRECTION('',(0.707104327390303,-0.707109234974277,0.)); #436975=DIRECTION('',(0.,0.,1.)); #436976=DIRECTION('center_axis',(-0.82478989011971,-0.565439331101327,0.)); #436977=DIRECTION('ref_axis',(0.565439331101327,-0.82478989011971,0.)); #436978=DIRECTION('',(0.565439331101327,-0.82478989011971,0.)); #436979=DIRECTION('',(0.565439331101327,-0.82478989011971,0.)); #436980=DIRECTION('',(0.,0.,1.)); #436981=DIRECTION('center_axis',(-0.976685690837557,-0.214674314516579, 0.)); #436982=DIRECTION('ref_axis',(0.214674314516579,-0.976685690837557,0.)); #436983=DIRECTION('',(0.214674314516579,-0.976685690837557,0.)); #436984=DIRECTION('',(0.214674314516579,-0.976685690837557,0.)); #436985=DIRECTION('',(0.,0.,1.)); #436986=DIRECTION('center_axis',(-0.983050529880647,0.183334818573505,0.)); #436987=DIRECTION('ref_axis',(-0.183334818573505,-0.983050529880647,0.)); #436988=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #436989=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #436990=DIRECTION('',(0.,0.,1.)); #436991=DIRECTION('center_axis',(-0.833659859749665,0.552278225392029,0.)); #436992=DIRECTION('ref_axis',(-0.552278225392029,-0.833659859749665,0.)); #436993=DIRECTION('',(-0.552278225392029,-0.833659859749665,0.)); #436994=DIRECTION('',(-0.552278225392029,-0.833659859749665,0.)); #436995=DIRECTION('',(0.,0.,1.)); #436996=DIRECTION('center_axis',(-0.707106781186559,0.707106781186536,0.)); #436997=DIRECTION('ref_axis',(-0.707106781186536,-0.707106781186559,0.)); #436998=DIRECTION('',(-0.707106781186536,-0.707106781186559,0.)); #436999=DIRECTION('',(-0.707106781186536,-0.707106781186559,0.)); #437000=DIRECTION('',(0.,0.,1.)); #437001=DIRECTION('center_axis',(-0.558946331948846,0.829203833807424,0.)); #437002=DIRECTION('ref_axis',(-0.829203833807424,-0.558946331948846,0.)); #437003=DIRECTION('',(-0.829203833807424,-0.558946331948846,0.)); #437004=DIRECTION('',(-0.829203833807424,-0.558946331948846,0.)); #437005=DIRECTION('',(0.,0.,1.)); #437006=DIRECTION('center_axis',(-0.198956019232641,0.980008419561333,0.)); #437007=DIRECTION('ref_axis',(-0.980008419561333,-0.198956019232641,0.)); #437008=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #437009=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #437010=DIRECTION('',(0.,0.,1.)); #437011=DIRECTION('center_axis',(0.,1.,0.)); #437012=DIRECTION('ref_axis',(-1.,0.,0.)); #437013=DIRECTION('',(-1.,0.,0.)); #437014=DIRECTION('',(-1.,0.,0.)); #437015=DIRECTION('',(0.,0.,1.)); #437016=DIRECTION('center_axis',(0.1911498569289,0.98156086525292,0.)); #437017=DIRECTION('ref_axis',(-0.98156086525292,0.1911498569289,0.)); #437018=DIRECTION('',(-0.98156086525292,0.1911498569289,0.)); #437019=DIRECTION('',(-0.98156086525292,0.1911498569289,0.)); #437020=DIRECTION('',(0.,0.,1.)); #437021=DIRECTION('center_axis',(0.552278225391843,0.833659859749789,0.)); #437022=DIRECTION('ref_axis',(-0.833659859749789,0.552278225391843,0.)); #437023=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #437024=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #437025=DIRECTION('',(0.,0.,1.)); #437026=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,0.)); #437027=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.)); #437028=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #437029=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #437030=DIRECTION('',(0.,0.,1.)); #437031=DIRECTION('center_axis',(0.829203833807271,0.558946331949074,0.)); #437032=DIRECTION('ref_axis',(-0.558946331949074,0.829203833807271,0.)); #437033=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #437034=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #437035=DIRECTION('',(0.,0.,1.)); #437036=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #437037=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #437038=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #437039=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #437040=DIRECTION('',(0.,0.,1.)); #437041=DIRECTION('center_axis',(1.,0.,0.)); #437042=DIRECTION('ref_axis',(0.,1.,0.)); #437043=DIRECTION('',(0.,1.,0.)); #437044=DIRECTION('',(0.,1.,0.)); #437045=DIRECTION('',(0.,0.,1.)); #437046=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #437047=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #437048=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #437049=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #437050=DIRECTION('',(0.,0.,1.)); #437051=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #437052=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #437053=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #437054=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #437055=DIRECTION('',(0.,0.,1.)); #437056=DIRECTION('center_axis',(0.707108784529073,-0.707104777838346,0.)); #437057=DIRECTION('ref_axis',(0.707104777838346,0.707108784529073,0.)); #437058=DIRECTION('',(0.707104777838346,0.707108784529073,0.)); #437059=DIRECTION('',(0.707104777838346,0.707108784529073,0.)); #437060=DIRECTION('',(0.,0.,1.)); #437061=DIRECTION('center_axis',(1.,0.,0.)); #437062=DIRECTION('ref_axis',(0.,1.,0.)); #437063=DIRECTION('',(0.,1.,0.)); #437064=DIRECTION('',(0.,1.,0.)); #437065=DIRECTION('',(0.,0.,1.)); #437066=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #437067=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #437068=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #437069=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #437070=DIRECTION('',(0.,0.,1.)); #437071=DIRECTION('center_axis',(0.,1.,0.)); #437072=DIRECTION('ref_axis',(-1.,0.,0.)); #437073=DIRECTION('',(-1.,0.,0.)); #437074=DIRECTION('',(-1.,0.,0.)); #437075=DIRECTION('',(0.,0.,1.)); #437076=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #437077=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #437078=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #437079=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #437080=DIRECTION('',(0.,0.,1.)); #437081=DIRECTION('center_axis',(-1.,0.,0.)); #437082=DIRECTION('ref_axis',(0.,-1.,0.)); #437083=DIRECTION('',(0.,-1.,0.)); #437084=DIRECTION('',(0.,-1.,0.)); #437085=DIRECTION('',(0.,0.,1.)); #437086=DIRECTION('center_axis',(-0.707108784529055,-0.707104777838364, 0.)); #437087=DIRECTION('ref_axis',(0.707104777838364,-0.707108784529055,0.)); #437088=DIRECTION('',(0.707104777838364,-0.707108784529055,0.)); #437089=DIRECTION('',(0.707104777838364,-0.707108784529055,0.)); #437090=DIRECTION('',(0.,0.,1.)); #437091=DIRECTION('center_axis',(-0.829203833807295,-0.558946331949038, 0.)); #437092=DIRECTION('ref_axis',(0.558946331949038,-0.829203833807295,0.)); #437093=DIRECTION('',(0.558946331949038,-0.829203833807295,0.)); #437094=DIRECTION('',(0.558946331949038,-0.829203833807295,0.)); #437095=DIRECTION('',(0.,0.,1.)); #437096=DIRECTION('center_axis',(-0.980008419561378,-0.198956019232416, 0.)); #437097=DIRECTION('ref_axis',(0.198956019232416,-0.980008419561378,0.)); #437098=DIRECTION('',(0.198956019232416,-0.980008419561378,0.)); #437099=DIRECTION('',(0.198956019232416,-0.980008419561378,0.)); #437100=DIRECTION('',(0.,0.,1.)); #437101=DIRECTION('center_axis',(-1.,0.,0.)); #437102=DIRECTION('ref_axis',(0.,-1.,0.)); #437103=DIRECTION('',(0.,-1.,0.)); #437104=DIRECTION('',(0.,-1.,0.)); #437105=DIRECTION('',(0.,0.,1.)); #437106=DIRECTION('center_axis',(-0.707106781186545,-0.70710678118655,0.)); #437107=DIRECTION('ref_axis',(0.70710678118655,-0.707106781186545,0.)); #437108=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #437109=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #437110=DIRECTION('',(0.,0.,1.)); #437111=DIRECTION('center_axis',(0.,-1.,0.)); #437112=DIRECTION('ref_axis',(1.,0.,0.)); #437113=DIRECTION('',(1.,0.,0.)); #437114=DIRECTION('',(1.,0.,0.)); #437115=DIRECTION('',(0.,0.,1.)); #437116=DIRECTION('center_axis',(-0.191149856928843,-0.981560865252931, 0.)); #437117=DIRECTION('ref_axis',(0.981560865252931,-0.191149856928843,0.)); #437118=DIRECTION('',(0.981560865252931,-0.191149856928843,0.)); #437119=DIRECTION('',(0.981560865252931,-0.191149856928843,0.)); #437120=DIRECTION('',(0.,0.,1.)); #437121=DIRECTION('center_axis',(-0.552278225391843,-0.833659859749789, 0.)); #437122=DIRECTION('ref_axis',(0.833659859749789,-0.552278225391843,0.)); #437123=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #437124=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #437125=DIRECTION('',(0.,0.,1.)); #437126=DIRECTION('center_axis',(-0.707106781186559,-0.707106781186536, 0.)); #437127=DIRECTION('ref_axis',(0.707106781186536,-0.707106781186559,0.)); #437128=DIRECTION('',(0.707106781186536,-0.707106781186559,0.)); #437129=DIRECTION('',(0.707106781186536,-0.707106781186559,0.)); #437130=DIRECTION('',(0.,0.,1.)); #437131=DIRECTION('center_axis',(-0.824789890119736,-0.56543933110129,0.)); #437132=DIRECTION('ref_axis',(0.56543933110129,-0.824789890119736,0.)); #437133=DIRECTION('',(0.56543933110129,-0.824789890119736,0.)); #437134=DIRECTION('',(0.56543933110129,-0.824789890119736,0.)); #437135=DIRECTION('',(0.,0.,1.)); #437136=DIRECTION('center_axis',(-0.976685690837554,-0.214674314516595, 0.)); #437137=DIRECTION('ref_axis',(0.214674314516595,-0.976685690837554,0.)); #437138=DIRECTION('',(0.214674314516595,-0.976685690837554,0.)); #437139=DIRECTION('',(0.214674314516595,-0.976685690837554,0.)); #437140=DIRECTION('',(0.,0.,1.)); #437141=DIRECTION('center_axis',(-0.983050529880647,0.183334818573505,0.)); #437142=DIRECTION('ref_axis',(-0.183334818573505,-0.983050529880647,0.)); #437143=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #437144=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #437145=DIRECTION('',(0.,0.,1.)); #437146=DIRECTION('center_axis',(-0.833659859749665,0.552278225392029,0.)); #437147=DIRECTION('ref_axis',(-0.552278225392029,-0.833659859749665,0.)); #437148=DIRECTION('',(-0.552278225392029,-0.833659859749665,0.)); #437149=DIRECTION('',(-0.552278225392029,-0.833659859749665,0.)); #437150=DIRECTION('',(0.,0.,1.)); #437151=DIRECTION('center_axis',(-0.707109234974277,0.707104327390303,0.)); #437152=DIRECTION('ref_axis',(-0.707104327390303,-0.707109234974277,0.)); #437153=DIRECTION('',(-0.707104327390303,-0.707109234974277,0.)); #437154=DIRECTION('',(-0.707104327390303,-0.707109234974277,0.)); #437155=DIRECTION('',(0.,0.,1.)); #437156=DIRECTION('center_axis',(-1.,0.,0.)); #437157=DIRECTION('ref_axis',(0.,-1.,0.)); #437158=DIRECTION('',(0.,-1.,0.)); #437159=DIRECTION('',(0.,-1.,0.)); #437160=DIRECTION('',(0.,0.,1.)); #437161=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #437162=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #437163=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #437164=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #437165=DIRECTION('',(0.,0.,1.)); #437166=DIRECTION('center_axis',(0.,-1.,0.)); #437167=DIRECTION('ref_axis',(1.,0.,0.)); #437168=DIRECTION('',(1.,0.,0.)); #437169=DIRECTION('',(1.,0.,0.)); #437170=DIRECTION('',(0.,0.,1.)); #437171=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #437172=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #437173=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #437174=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #437175=DIRECTION('',(0.,0.,1.)); #437176=DIRECTION('center_axis',(1.,0.,0.)); #437177=DIRECTION('ref_axis',(0.,1.,0.)); #437178=DIRECTION('',(0.,1.,0.)); #437179=DIRECTION('',(0.,1.,0.)); #437180=DIRECTION('',(0.,0.,1.)); #437181=DIRECTION('center_axis',(0.707109234974277,0.707104327390303,0.)); #437182=DIRECTION('ref_axis',(-0.707104327390303,0.707109234974277,0.)); #437183=DIRECTION('',(-0.707104327390303,0.707109234974277,0.)); #437184=DIRECTION('',(-0.707104327390303,0.707109234974277,0.)); #437185=DIRECTION('',(0.,0.,1.)); #437186=DIRECTION('center_axis',(0.824789890119785,0.565439331101219,0.)); #437187=DIRECTION('ref_axis',(-0.565439331101219,0.824789890119785,0.)); #437188=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #437189=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #437190=DIRECTION('',(0.,0.,1.)); #437191=DIRECTION('center_axis',(0.976685690837557,0.214674314516579,0.)); #437192=DIRECTION('ref_axis',(-0.214674314516579,0.976685690837557,0.)); #437193=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #437194=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #437195=DIRECTION('',(0.,0.,1.)); #437196=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #437197=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #437198=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #437199=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #437200=DIRECTION('',(0.,0.,1.)); #437201=DIRECTION('center_axis',(0.833659859749733,-0.552278225391927,0.)); #437202=DIRECTION('ref_axis',(0.552278225391927,0.833659859749732,0.)); #437203=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #437204=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #437205=DIRECTION('',(0.,0.,1.)); #437206=DIRECTION('center_axis',(0.707109234974277,-0.707104327390303,0.)); #437207=DIRECTION('ref_axis',(0.707104327390303,0.707109234974277,0.)); #437208=DIRECTION('',(0.707104327390303,0.707109234974277,0.)); #437209=DIRECTION('',(0.707104327390303,0.707109234974277,0.)); #437210=DIRECTION('',(0.,0.,1.)); #437211=DIRECTION('center_axis',(1.,0.,0.)); #437212=DIRECTION('ref_axis',(0.,1.,0.)); #437213=DIRECTION('',(0.,1.,0.)); #437214=DIRECTION('',(0.,1.,0.)); #437215=DIRECTION('',(0.,0.,1.)); #437216=DIRECTION('center_axis',(0.707109234974277,0.707104327390303,0.)); #437217=DIRECTION('ref_axis',(-0.707104327390303,0.707109234974277,0.)); #437218=DIRECTION('',(-0.707104327390303,0.707109234974277,0.)); #437219=DIRECTION('',(-0.707104327390303,0.707109234974277,0.)); #437220=DIRECTION('',(0.,0.,1.)); #437221=DIRECTION('center_axis',(0.824789890119785,0.565439331101219,0.)); #437222=DIRECTION('ref_axis',(-0.565439331101219,0.824789890119785,0.)); #437223=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #437224=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #437225=DIRECTION('',(0.,0.,1.)); #437226=DIRECTION('center_axis',(0.976685690837557,0.214674314516579,0.)); #437227=DIRECTION('ref_axis',(-0.214674314516579,0.976685690837557,0.)); #437228=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #437229=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #437230=DIRECTION('',(0.,0.,1.)); #437231=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #437232=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #437233=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #437234=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #437235=DIRECTION('',(0.,0.,1.)); #437236=DIRECTION('center_axis',(0.833659859749733,-0.552278225391927,0.)); #437237=DIRECTION('ref_axis',(0.552278225391927,0.833659859749732,0.)); #437238=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #437239=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #437240=DIRECTION('',(0.,0.,1.)); #437241=DIRECTION('center_axis',(0.707109234974277,-0.707104327390303,0.)); #437242=DIRECTION('ref_axis',(0.707104327390303,0.707109234974277,0.)); #437243=DIRECTION('',(0.707104327390303,0.707109234974277,0.)); #437244=DIRECTION('',(0.707104327390303,0.707109234974277,0.)); #437245=DIRECTION('',(0.,0.,1.)); #437246=DIRECTION('center_axis',(1.,0.,0.)); #437247=DIRECTION('ref_axis',(0.,1.,0.)); #437248=DIRECTION('',(0.,1.,0.)); #437249=DIRECTION('',(0.,1.,0.)); #437250=DIRECTION('',(0.,0.,1.)); #437251=DIRECTION('center_axis',(0.707108095097465,0.707105467273188,0.)); #437252=DIRECTION('ref_axis',(-0.707105467273188,0.707108095097465,0.)); #437253=DIRECTION('',(-0.707105467273188,0.707108095097465,0.)); #437254=DIRECTION('',(-0.707105467273188,0.707108095097465,0.)); #437255=DIRECTION('',(0.,0.,1.)); #437256=DIRECTION('center_axis',(0.82478989011981,0.565439331101182,0.)); #437257=DIRECTION('ref_axis',(-0.565439331101182,0.82478989011981,0.)); #437258=DIRECTION('',(-0.565439331101182,0.82478989011981,0.)); #437259=DIRECTION('',(-0.565439331101182,0.82478989011981,0.)); #437260=DIRECTION('',(0.,0.,1.)); #437261=DIRECTION('center_axis',(0.976685690837554,0.214674314516595,0.)); #437262=DIRECTION('ref_axis',(-0.214674314516595,0.976685690837554,0.)); #437263=DIRECTION('',(-0.214674314516595,0.976685690837554,0.)); #437264=DIRECTION('',(-0.214674314516595,0.976685690837554,0.)); #437265=DIRECTION('',(0.,0.,1.)); #437266=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #437267=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #437268=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #437269=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #437270=DIRECTION('',(0.,0.,1.)); #437271=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #437272=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #437273=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #437274=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #437275=DIRECTION('',(0.,0.,1.)); #437276=DIRECTION('center_axis',(0.707108095097465,-0.707105467273188,0.)); #437277=DIRECTION('ref_axis',(0.707105467273188,0.707108095097465,0.)); #437278=DIRECTION('',(0.707105467273188,0.707108095097465,0.)); #437279=DIRECTION('',(0.707105467273188,0.707108095097465,0.)); #437280=DIRECTION('',(0.,0.,1.)); #437281=DIRECTION('center_axis',(1.,0.,0.)); #437282=DIRECTION('ref_axis',(0.,1.,0.)); #437283=DIRECTION('',(0.,1.,0.)); #437284=DIRECTION('',(0.,1.,0.)); #437285=DIRECTION('',(0.,0.,1.)); #437286=DIRECTION('center_axis',(0.707109234974277,0.707104327390303,0.)); #437287=DIRECTION('ref_axis',(-0.707104327390303,0.707109234974277,0.)); #437288=DIRECTION('',(-0.707104327390303,0.707109234974277,0.)); #437289=DIRECTION('',(-0.707104327390303,0.707109234974277,0.)); #437290=DIRECTION('',(0.,0.,1.)); #437291=DIRECTION('center_axis',(0.824789890119785,0.565439331101219,0.)); #437292=DIRECTION('ref_axis',(-0.565439331101219,0.824789890119785,0.)); #437293=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #437294=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #437295=DIRECTION('',(0.,0.,1.)); #437296=DIRECTION('center_axis',(0.976685690837557,0.214674314516579,0.)); #437297=DIRECTION('ref_axis',(-0.214674314516579,0.976685690837557,0.)); #437298=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #437299=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #437300=DIRECTION('',(0.,0.,1.)); #437301=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #437302=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #437303=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #437304=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #437305=DIRECTION('',(0.,0.,1.)); #437306=DIRECTION('center_axis',(0.833659859749733,-0.552278225391927,0.)); #437307=DIRECTION('ref_axis',(0.552278225391927,0.833659859749732,0.)); #437308=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #437309=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #437310=DIRECTION('',(0.,0.,1.)); #437311=DIRECTION('center_axis',(0.707109234974272,-0.707104327390308,0.)); #437312=DIRECTION('ref_axis',(0.707104327390308,0.707109234974272,0.)); #437313=DIRECTION('',(0.707104327390308,0.707109234974272,0.)); #437314=DIRECTION('',(0.707104327390308,0.707109234974272,0.)); #437315=DIRECTION('',(0.,0.,1.)); #437316=DIRECTION('center_axis',(1.,0.,0.)); #437317=DIRECTION('ref_axis',(0.,1.,0.)); #437318=DIRECTION('',(0.,1.,0.)); #437319=DIRECTION('',(0.,1.,0.)); #437320=DIRECTION('',(0.,0.,1.)); #437321=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #437322=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #437323=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #437324=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #437325=DIRECTION('',(0.,0.,1.)); #437326=DIRECTION('center_axis',(0.,1.,0.)); #437327=DIRECTION('ref_axis',(-1.,0.,0.)); #437328=DIRECTION('',(-1.,0.,0.)); #437329=DIRECTION('',(-1.,0.,0.)); #437330=DIRECTION('',(0.,0.,1.)); #437331=DIRECTION('center_axis',(-0.707104546424068,0.707109015941965,0.)); #437332=DIRECTION('ref_axis',(-0.707109015941965,-0.707104546424068,0.)); #437333=DIRECTION('',(-0.707109015941965,-0.707104546424068,0.)); #437334=DIRECTION('',(-0.707109015941965,-0.707104546424068,0.)); #437335=DIRECTION('',(0.,0.,1.)); #437336=DIRECTION('center_axis',(-0.565439331101364,0.824789890119685,0.)); #437337=DIRECTION('ref_axis',(-0.824789890119685,-0.565439331101364,0.)); #437338=DIRECTION('',(-0.824789890119685,-0.565439331101364,0.)); #437339=DIRECTION('',(-0.824789890119685,-0.565439331101364,0.)); #437340=DIRECTION('',(0.,0.,1.)); #437341=DIRECTION('center_axis',(-0.214674314516579,0.976685690837557,0.)); #437342=DIRECTION('ref_axis',(-0.976685690837557,-0.214674314516579,0.)); #437343=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #437344=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #437345=DIRECTION('',(0.,0.,1.)); #437346=DIRECTION('center_axis',(0.183334818573673,0.983050529880615,0.)); #437347=DIRECTION('ref_axis',(-0.983050529880615,0.183334818573673,0.)); #437348=DIRECTION('',(-0.983050529880615,0.183334818573673,0.)); #437349=DIRECTION('',(-0.983050529880615,0.183334818573673,0.)); #437350=DIRECTION('',(0.,0.,1.)); #437351=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #437352=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #437353=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #437354=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #437355=DIRECTION('',(0.,0.,1.)); #437356=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #437357=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #437358=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #437359=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #437360=DIRECTION('center_axis',(0.,1.,0.)); #437361=DIRECTION('ref_axis',(-1.,0.,0.)); #437362=DIRECTION('',(-1.,0.,0.)); #437363=DIRECTION('',(0.,0.,1.)); #437364=DIRECTION('',(-1.,0.,0.)); #437365=DIRECTION('',(0.,0.,1.)); #437366=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #437367=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #437368=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #437369=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #437370=DIRECTION('',(0.,0.,1.)); #437371=DIRECTION('center_axis',(-1.,0.,0.)); #437372=DIRECTION('ref_axis',(0.,-1.,0.)); #437373=DIRECTION('',(0.,-1.,0.)); #437374=DIRECTION('',(0.,-1.,0.)); #437375=DIRECTION('',(0.,0.,1.)); #437376=DIRECTION('center_axis',(-0.707108784529046,-0.707104777838373, 0.)); #437377=DIRECTION('ref_axis',(0.707104777838373,-0.707108784529046,0.)); #437378=DIRECTION('',(0.707104777838373,-0.707108784529046,0.)); #437379=DIRECTION('',(0.707104777838373,-0.707108784529046,0.)); #437380=DIRECTION('',(0.,0.,1.)); #437381=DIRECTION('center_axis',(-0.829203833807412,-0.558946331948864, 0.)); #437382=DIRECTION('ref_axis',(0.558946331948864,-0.829203833807412,0.)); #437383=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #437384=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #437385=DIRECTION('',(0.,0.,1.)); #437386=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #437387=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #437388=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #437389=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #437390=DIRECTION('',(0.,0.,1.)); #437391=DIRECTION('center_axis',(-1.,0.,0.)); #437392=DIRECTION('ref_axis',(0.,-1.,0.)); #437393=DIRECTION('',(0.,-1.,0.)); #437394=DIRECTION('',(0.,-1.,0.)); #437395=DIRECTION('',(0.,0.,1.)); #437396=DIRECTION('center_axis',(-0.983056036329398,0.183305290257358,0.)); #437397=DIRECTION('ref_axis',(-0.183305290257358,-0.983056036329397,0.)); #437398=DIRECTION('',(-0.183305290257358,-0.983056036329397,0.)); #437399=DIRECTION('',(-0.183305290257358,-0.983056036329397,0.)); #437400=DIRECTION('',(0.,0.,1.)); #437401=DIRECTION('center_axis',(-0.842362410729244,0.538911466746084,0.)); #437402=DIRECTION('ref_axis',(-0.538911466746084,-0.842362410729244,0.)); #437403=DIRECTION('',(-0.538911466746084,-0.842362410729244,0.)); #437404=DIRECTION('',(-0.538911466746084,-0.842362410729244,0.)); #437405=DIRECTION('',(0.,0.,1.)); #437406=DIRECTION('center_axis',(-0.565504004714963,0.824745549033967,0.)); #437407=DIRECTION('ref_axis',(-0.824745549033967,-0.565504004714963,0.)); #437408=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #437409=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #437410=DIRECTION('',(0.,0.,1.)); #437411=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #437412=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #437413=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #437414=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #437415=DIRECTION('',(0.,0.,1.)); #437416=DIRECTION('center_axis',(0.,1.,0.)); #437417=DIRECTION('ref_axis',(-1.,0.,0.)); #437418=DIRECTION('',(-1.,0.,0.)); #437419=DIRECTION('',(-1.,0.,0.)); #437420=DIRECTION('',(0.,0.,1.)); #437421=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #437422=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #437423=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #437424=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #437425=DIRECTION('',(0.,0.,1.)); #437426=DIRECTION('center_axis',(-1.,0.,0.)); #437427=DIRECTION('ref_axis',(0.,-1.,0.)); #437428=DIRECTION('',(0.,-1.,0.)); #437429=DIRECTION('',(0.,-1.,0.)); #437430=DIRECTION('',(0.,0.,1.)); #437431=DIRECTION('center_axis',(-0.7071067811866,-0.707106781186495,0.)); #437432=DIRECTION('ref_axis',(0.707106781186495,-0.7071067811866,0.)); #437433=DIRECTION('',(0.707106781186495,-0.7071067811866,0.)); #437434=DIRECTION('',(0.707106781186495,-0.7071067811866,0.)); #437435=DIRECTION('',(0.,0.,1.)); #437436=DIRECTION('center_axis',(0.,-1.,0.)); #437437=DIRECTION('ref_axis',(1.,0.,0.)); #437438=DIRECTION('',(1.,0.,0.)); #437439=DIRECTION('',(1.,0.,0.)); #437440=DIRECTION('',(0.,0.,1.)); #437441=DIRECTION('center_axis',(0.707106781186583,-0.707106781186512,0.)); #437442=DIRECTION('ref_axis',(0.707106781186512,0.707106781186583,0.)); #437443=DIRECTION('',(0.707106781186512,0.707106781186583,0.)); #437444=DIRECTION('',(0.707106781186512,0.707106781186583,0.)); #437445=DIRECTION('',(0.,0.,1.)); #437446=DIRECTION('center_axis',(1.,0.,0.)); #437447=DIRECTION('ref_axis',(0.,1.,0.)); #437448=DIRECTION('',(0.,1.,0.)); #437449=DIRECTION('',(0.,1.,0.)); #437450=DIRECTION('',(0.,0.,1.)); #437451=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #437452=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #437453=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #437454=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #437455=DIRECTION('',(0.,0.,1.)); #437456=DIRECTION('center_axis',(0.,1.,0.)); #437457=DIRECTION('ref_axis',(-1.,0.,0.)); #437458=DIRECTION('',(-1.,0.,0.)); #437459=DIRECTION('',(-1.,0.,0.)); #437460=DIRECTION('',(0.,0.,1.)); #437461=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #437462=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #437463=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #437464=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #437465=DIRECTION('',(0.,0.,1.)); #437466=DIRECTION('center_axis',(0.53891146674564,0.842362410729529,0.)); #437467=DIRECTION('ref_axis',(-0.842362410729529,0.53891146674564,0.)); #437468=DIRECTION('',(-0.842362410729529,0.53891146674564,0.)); #437469=DIRECTION('',(-0.842362410729529,0.53891146674564,0.)); #437470=DIRECTION('',(0.,0.,1.)); #437471=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #437472=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #437473=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #437474=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #437475=DIRECTION('',(0.,0.,1.)); #437476=DIRECTION('center_axis',(0.980008419561336,0.198956019232626,0.)); #437477=DIRECTION('ref_axis',(-0.198956019232626,0.980008419561336,0.)); #437478=DIRECTION('',(-0.198956019232626,0.980008419561336,0.)); #437479=DIRECTION('',(-0.198956019232626,0.980008419561336,0.)); #437480=DIRECTION('',(0.,0.,1.)); #437481=DIRECTION('center_axis',(1.,0.,0.)); #437482=DIRECTION('ref_axis',(0.,1.,0.)); #437483=DIRECTION('',(0.,1.,0.)); #437484=DIRECTION('',(0.,1.,0.)); #437485=DIRECTION('',(0.,0.,1.)); #437486=DIRECTION('center_axis',(0.981560865252928,-0.191149856928859,0.)); #437487=DIRECTION('ref_axis',(0.191149856928859,0.981560865252928,0.)); #437488=DIRECTION('',(0.191149856928859,0.981560865252928,0.)); #437489=DIRECTION('',(0.191149856928859,0.981560865252928,0.)); #437490=DIRECTION('',(0.,0.,1.)); #437491=DIRECTION('center_axis',(0.833659859749733,-0.552278225391927,0.)); #437492=DIRECTION('ref_axis',(0.552278225391927,0.833659859749733,0.)); #437493=DIRECTION('',(0.552278225391927,0.833659859749733,0.)); #437494=DIRECTION('',(0.552278225391927,0.833659859749733,0.)); #437495=DIRECTION('',(0.,0.,1.)); #437496=DIRECTION('center_axis',(0.707108784529037,-0.707104777838382,0.)); #437497=DIRECTION('ref_axis',(0.707104777838382,0.707108784529037,0.)); #437498=DIRECTION('',(0.707104777838382,0.707108784529037,0.)); #437499=DIRECTION('',(0.707104777838382,0.707108784529037,0.)); #437500=DIRECTION('',(0.,0.,1.)); #437501=DIRECTION('center_axis',(1.,0.,0.)); #437502=DIRECTION('ref_axis',(0.,1.,0.)); #437503=DIRECTION('',(0.,1.,0.)); #437504=DIRECTION('',(0.,1.,0.)); #437505=DIRECTION('',(0.,0.,1.)); #437506=DIRECTION('center_axis',(0.707106781186541,0.707106781186554,0.)); #437507=DIRECTION('ref_axis',(-0.707106781186554,0.707106781186541,0.)); #437508=DIRECTION('',(-0.707106781186554,0.707106781186541,0.)); #437509=DIRECTION('',(-0.707106781186554,0.707106781186541,0.)); #437510=DIRECTION('center_axis',(0.,1.,0.)); #437511=DIRECTION('ref_axis',(-1.,0.,0.)); #437512=DIRECTION('',(-1.,0.,0.)); #437513=DIRECTION('',(0.,0.,1.)); #437514=DIRECTION('',(-1.,0.,0.)); #437515=DIRECTION('',(0.,0.,1.)); #437516=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #437517=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #437518=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #437519=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #437520=DIRECTION('',(0.,0.,1.)); #437521=DIRECTION('center_axis',(-1.,0.,0.)); #437522=DIRECTION('ref_axis',(0.,-1.,0.)); #437523=DIRECTION('',(0.,-1.,0.)); #437524=DIRECTION('',(0.,-1.,0.)); #437525=DIRECTION('',(0.,0.,1.)); #437526=DIRECTION('center_axis',(-0.707108784529046,-0.707104777838373, 0.)); #437527=DIRECTION('ref_axis',(0.707104777838373,-0.707108784529046,0.)); #437528=DIRECTION('',(0.707104777838373,-0.707108784529046,0.)); #437529=DIRECTION('',(0.707104777838373,-0.707108784529046,0.)); #437530=DIRECTION('',(0.,0.,1.)); #437531=DIRECTION('center_axis',(-0.829203833807412,-0.558946331948864, 0.)); #437532=DIRECTION('ref_axis',(0.558946331948864,-0.829203833807412,0.)); #437533=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #437534=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #437535=DIRECTION('',(0.,0.,1.)); #437536=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #437537=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #437538=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #437539=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #437540=DIRECTION('',(0.,0.,1.)); #437541=DIRECTION('center_axis',(-1.,0.,0.)); #437542=DIRECTION('ref_axis',(0.,-1.,0.)); #437543=DIRECTION('',(0.,-1.,0.)); #437544=DIRECTION('',(0.,-1.,0.)); #437545=DIRECTION('',(0.,0.,1.)); #437546=DIRECTION('center_axis',(-0.983056036329398,0.183305290257358,0.)); #437547=DIRECTION('ref_axis',(-0.183305290257358,-0.983056036329397,0.)); #437548=DIRECTION('',(-0.183305290257358,-0.983056036329397,0.)); #437549=DIRECTION('',(-0.183305290257358,-0.983056036329397,0.)); #437550=DIRECTION('',(0.,0.,1.)); #437551=DIRECTION('center_axis',(-0.842362410729311,0.53891146674598,0.)); #437552=DIRECTION('ref_axis',(-0.53891146674598,-0.842362410729311,0.)); #437553=DIRECTION('',(-0.53891146674598,-0.842362410729311,0.)); #437554=DIRECTION('',(-0.53891146674598,-0.842362410729311,0.)); #437555=DIRECTION('',(0.,0.,1.)); #437556=DIRECTION('center_axis',(-0.565504004714894,0.824745549034014,0.)); #437557=DIRECTION('ref_axis',(-0.824745549034014,-0.565504004714894,0.)); #437558=DIRECTION('',(-0.824745549034014,-0.565504004714894,0.)); #437559=DIRECTION('',(-0.824745549034014,-0.565504004714894,0.)); #437560=DIRECTION('',(0.,0.,1.)); #437561=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #437562=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #437563=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #437564=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #437565=DIRECTION('',(0.,0.,1.)); #437566=DIRECTION('center_axis',(0.,1.,0.)); #437567=DIRECTION('ref_axis',(-1.,0.,0.)); #437568=DIRECTION('',(-1.,0.,0.)); #437569=DIRECTION('',(-1.,0.,0.)); #437570=DIRECTION('',(0.,0.,1.)); #437571=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #437572=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #437573=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #437574=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #437575=DIRECTION('',(0.,0.,1.)); #437576=DIRECTION('center_axis',(-1.,0.,0.)); #437577=DIRECTION('ref_axis',(0.,-1.,0.)); #437578=DIRECTION('',(0.,-1.,0.)); #437579=DIRECTION('',(0.,-1.,0.)); #437580=DIRECTION('',(0.,0.,1.)); #437581=DIRECTION('center_axis',(-0.707106781186583,-0.707106781186512, 0.)); #437582=DIRECTION('ref_axis',(0.707106781186512,-0.707106781186583,0.)); #437583=DIRECTION('',(0.707106781186512,-0.707106781186583,0.)); #437584=DIRECTION('',(0.707106781186512,-0.707106781186583,0.)); #437585=DIRECTION('',(0.,0.,1.)); #437586=DIRECTION('center_axis',(0.,-1.,0.)); #437587=DIRECTION('ref_axis',(1.,0.,0.)); #437588=DIRECTION('',(1.,0.,0.)); #437589=DIRECTION('',(1.,0.,0.)); #437590=DIRECTION('',(0.,0.,1.)); #437591=DIRECTION('center_axis',(0.7071067811866,-0.707106781186495,0.)); #437592=DIRECTION('ref_axis',(0.707106781186495,0.7071067811866,0.)); #437593=DIRECTION('',(0.707106781186495,0.7071067811866,0.)); #437594=DIRECTION('',(0.707106781186495,0.7071067811866,0.)); #437595=DIRECTION('',(0.,0.,1.)); #437596=DIRECTION('center_axis',(1.,0.,0.)); #437597=DIRECTION('ref_axis',(0.,1.,0.)); #437598=DIRECTION('',(0.,1.,0.)); #437599=DIRECTION('',(0.,1.,0.)); #437600=DIRECTION('',(0.,0.,1.)); #437601=DIRECTION('center_axis',(0.707106781186565,0.70710678118653,0.)); #437602=DIRECTION('ref_axis',(-0.70710678118653,0.707106781186565,0.)); #437603=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #437604=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #437605=DIRECTION('',(0.,0.,1.)); #437606=DIRECTION('center_axis',(0.,1.,0.)); #437607=DIRECTION('ref_axis',(-1.,0.,0.)); #437608=DIRECTION('',(-1.,0.,0.)); #437609=DIRECTION('',(-1.,0.,0.)); #437610=DIRECTION('',(0.,0.,1.)); #437611=DIRECTION('center_axis',(0.183305290257444,0.983056036329382,0.)); #437612=DIRECTION('ref_axis',(-0.983056036329381,0.183305290257444,0.)); #437613=DIRECTION('',(-0.983056036329381,0.183305290257444,0.)); #437614=DIRECTION('',(-0.983056036329381,0.183305290257444,0.)); #437615=DIRECTION('',(0.,0.,1.)); #437616=DIRECTION('center_axis',(0.538911466745573,0.842362410729571,0.)); #437617=DIRECTION('ref_axis',(-0.842362410729571,0.538911466745573,0.)); #437618=DIRECTION('',(-0.842362410729571,0.538911466745573,0.)); #437619=DIRECTION('',(-0.842362410729571,0.538911466745573,0.)); #437620=DIRECTION('',(0.,0.,1.)); #437621=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #437622=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #437623=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #437624=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #437625=DIRECTION('',(0.,0.,1.)); #437626=DIRECTION('center_axis',(0.980008419561336,0.198956019232626,0.)); #437627=DIRECTION('ref_axis',(-0.198956019232626,0.980008419561336,0.)); #437628=DIRECTION('',(-0.198956019232626,0.980008419561336,0.)); #437629=DIRECTION('',(-0.198956019232626,0.980008419561336,0.)); #437630=DIRECTION('',(0.,0.,1.)); #437631=DIRECTION('center_axis',(1.,0.,0.)); #437632=DIRECTION('ref_axis',(0.,1.,0.)); #437633=DIRECTION('',(0.,1.,0.)); #437634=DIRECTION('',(0.,1.,0.)); #437635=DIRECTION('',(0.,0.,1.)); #437636=DIRECTION('center_axis',(0.981560865252928,-0.191149856928859,0.)); #437637=DIRECTION('ref_axis',(0.191149856928859,0.981560865252928,0.)); #437638=DIRECTION('',(0.191149856928859,0.981560865252928,0.)); #437639=DIRECTION('',(0.191149856928859,0.981560865252928,0.)); #437640=DIRECTION('',(0.,0.,1.)); #437641=DIRECTION('center_axis',(0.833659859749666,-0.552278225392028,0.)); #437642=DIRECTION('ref_axis',(0.552278225392028,0.833659859749665,0.)); #437643=DIRECTION('',(0.552278225392028,0.833659859749665,0.)); #437644=DIRECTION('',(0.552278225392028,0.833659859749665,0.)); #437645=DIRECTION('',(0.,0.,1.)); #437646=DIRECTION('center_axis',(0.707108784529055,-0.707104777838364,0.)); #437647=DIRECTION('ref_axis',(0.707104777838364,0.707108784529055,0.)); #437648=DIRECTION('',(0.707104777838364,0.707108784529055,0.)); #437649=DIRECTION('',(0.707104777838364,0.707108784529055,0.)); #437650=DIRECTION('',(0.,0.,1.)); #437651=DIRECTION('center_axis',(1.,0.,0.)); #437652=DIRECTION('ref_axis',(0.,1.,0.)); #437653=DIRECTION('',(0.,1.,0.)); #437654=DIRECTION('',(0.,1.,0.)); #437655=DIRECTION('',(0.,0.,1.)); #437656=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #437657=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #437658=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #437659=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #437660=DIRECTION('center_axis',(0.,1.,0.)); #437661=DIRECTION('ref_axis',(-1.,0.,0.)); #437662=DIRECTION('',(-1.,0.,0.)); #437663=DIRECTION('',(0.,0.,1.)); #437664=DIRECTION('',(-1.,0.,0.)); #437665=DIRECTION('',(0.,0.,1.)); #437666=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #437667=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #437668=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #437669=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #437670=DIRECTION('',(0.,0.,1.)); #437671=DIRECTION('center_axis',(-1.,0.,0.)); #437672=DIRECTION('ref_axis',(0.,-1.,0.)); #437673=DIRECTION('',(0.,-1.,0.)); #437674=DIRECTION('',(0.,-1.,0.)); #437675=DIRECTION('',(0.,0.,1.)); #437676=DIRECTION('center_axis',(-0.707108784529046,-0.707104777838373, 0.)); #437677=DIRECTION('ref_axis',(0.707104777838373,-0.707108784529046,0.)); #437678=DIRECTION('',(0.707104777838373,-0.707108784529046,0.)); #437679=DIRECTION('',(0.707104777838373,-0.707108784529046,0.)); #437680=DIRECTION('',(0.,0.,1.)); #437681=DIRECTION('center_axis',(-0.829203833807412,-0.558946331948864, 0.)); #437682=DIRECTION('ref_axis',(0.558946331948864,-0.829203833807412,0.)); #437683=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #437684=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #437685=DIRECTION('',(0.,0.,1.)); #437686=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #437687=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #437688=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #437689=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #437690=DIRECTION('',(0.,0.,1.)); #437691=DIRECTION('center_axis',(-1.,0.,0.)); #437692=DIRECTION('ref_axis',(0.,-1.,0.)); #437693=DIRECTION('',(0.,-1.,0.)); #437694=DIRECTION('',(0.,-1.,0.)); #437695=DIRECTION('',(0.,0.,1.)); #437696=DIRECTION('center_axis',(-0.983056036329426,0.183305290257204,0.)); #437697=DIRECTION('ref_axis',(-0.183305290257204,-0.983056036329426,0.)); #437698=DIRECTION('',(-0.183305290257204,-0.983056036329426,0.)); #437699=DIRECTION('',(-0.183305290257204,-0.983056036329426,0.)); #437700=DIRECTION('',(0.,0.,1.)); #437701=DIRECTION('center_axis',(-0.842362410729244,0.538911466746084,0.)); #437702=DIRECTION('ref_axis',(-0.538911466746084,-0.842362410729244,0.)); #437703=DIRECTION('',(-0.538911466746084,-0.842362410729244,0.)); #437704=DIRECTION('',(-0.538911466746084,-0.842362410729244,0.)); #437705=DIRECTION('',(0.,0.,1.)); #437706=DIRECTION('center_axis',(-0.565504004714963,0.824745549033967,0.)); #437707=DIRECTION('ref_axis',(-0.824745549033967,-0.565504004714963,0.)); #437708=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #437709=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #437710=DIRECTION('',(0.,0.,1.)); #437711=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #437712=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #437713=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #437714=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #437715=DIRECTION('',(0.,0.,1.)); #437716=DIRECTION('center_axis',(0.,1.,0.)); #437717=DIRECTION('ref_axis',(-1.,0.,0.)); #437718=DIRECTION('',(-1.,0.,0.)); #437719=DIRECTION('',(-1.,0.,0.)); #437720=DIRECTION('',(0.,0.,1.)); #437721=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #437722=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #437723=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #437724=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #437725=DIRECTION('',(0.,0.,1.)); #437726=DIRECTION('center_axis',(-1.,0.,0.)); #437727=DIRECTION('ref_axis',(0.,-1.,0.)); #437728=DIRECTION('',(0.,-1.,0.)); #437729=DIRECTION('',(0.,-1.,0.)); #437730=DIRECTION('',(0.,0.,1.)); #437731=DIRECTION('center_axis',(-0.7071067811866,-0.707106781186495,0.)); #437732=DIRECTION('ref_axis',(0.707106781186495,-0.7071067811866,0.)); #437733=DIRECTION('',(0.707106781186495,-0.7071067811866,0.)); #437734=DIRECTION('',(0.707106781186495,-0.7071067811866,0.)); #437735=DIRECTION('',(0.,0.,1.)); #437736=DIRECTION('center_axis',(0.,-1.,0.)); #437737=DIRECTION('ref_axis',(1.,0.,0.)); #437738=DIRECTION('',(1.,0.,0.)); #437739=DIRECTION('',(1.,0.,0.)); #437740=DIRECTION('',(0.,0.,1.)); #437741=DIRECTION('center_axis',(0.7071067811866,-0.707106781186495,0.)); #437742=DIRECTION('ref_axis',(0.707106781186495,0.7071067811866,0.)); #437743=DIRECTION('',(0.707106781186495,0.7071067811866,0.)); #437744=DIRECTION('',(0.707106781186495,0.7071067811866,0.)); #437745=DIRECTION('',(0.,0.,1.)); #437746=DIRECTION('center_axis',(1.,0.,0.)); #437747=DIRECTION('ref_axis',(0.,1.,0.)); #437748=DIRECTION('',(0.,1.,0.)); #437749=DIRECTION('',(0.,1.,0.)); #437750=DIRECTION('',(0.,0.,1.)); #437751=DIRECTION('center_axis',(0.707106781186565,0.70710678118653,0.)); #437752=DIRECTION('ref_axis',(-0.70710678118653,0.707106781186565,0.)); #437753=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #437754=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #437755=DIRECTION('',(0.,0.,1.)); #437756=DIRECTION('center_axis',(0.,1.,0.)); #437757=DIRECTION('ref_axis',(-1.,0.,0.)); #437758=DIRECTION('',(-1.,0.,0.)); #437759=DIRECTION('',(-1.,0.,0.)); #437760=DIRECTION('',(0.,0.,1.)); #437761=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #437762=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #437763=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #437764=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #437765=DIRECTION('',(0.,0.,1.)); #437766=DIRECTION('center_axis',(0.53891146674564,0.842362410729529,0.)); #437767=DIRECTION('ref_axis',(-0.842362410729529,0.53891146674564,0.)); #437768=DIRECTION('',(-0.842362410729529,0.53891146674564,0.)); #437769=DIRECTION('',(-0.842362410729529,0.53891146674564,0.)); #437770=DIRECTION('',(0.,0.,1.)); #437771=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #437772=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #437773=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #437774=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #437775=DIRECTION('',(0.,0.,1.)); #437776=DIRECTION('center_axis',(0.980008419561336,0.198956019232626,0.)); #437777=DIRECTION('ref_axis',(-0.198956019232626,0.980008419561336,0.)); #437778=DIRECTION('',(-0.198956019232626,0.980008419561336,0.)); #437779=DIRECTION('',(-0.198956019232626,0.980008419561336,0.)); #437780=DIRECTION('',(0.,0.,1.)); #437781=DIRECTION('center_axis',(1.,0.,0.)); #437782=DIRECTION('ref_axis',(0.,1.,0.)); #437783=DIRECTION('',(0.,1.,0.)); #437784=DIRECTION('',(0.,1.,0.)); #437785=DIRECTION('',(0.,0.,1.)); #437786=DIRECTION('center_axis',(0.981560865252928,-0.191149856928859,0.)); #437787=DIRECTION('ref_axis',(0.191149856928859,0.981560865252928,0.)); #437788=DIRECTION('',(0.191149856928859,0.981560865252928,0.)); #437789=DIRECTION('',(0.191149856928859,0.981560865252928,0.)); #437790=DIRECTION('',(0.,0.,1.)); #437791=DIRECTION('center_axis',(0.833659859749666,-0.552278225392028,0.)); #437792=DIRECTION('ref_axis',(0.552278225392028,0.833659859749665,0.)); #437793=DIRECTION('',(0.552278225392028,0.833659859749665,0.)); #437794=DIRECTION('',(0.552278225392028,0.833659859749665,0.)); #437795=DIRECTION('',(0.,0.,1.)); #437796=DIRECTION('center_axis',(0.707108784529064,-0.707104777838355,0.)); #437797=DIRECTION('ref_axis',(0.707104777838355,0.707108784529064,0.)); #437798=DIRECTION('',(0.707104777838355,0.707108784529064,0.)); #437799=DIRECTION('',(0.707104777838355,0.707108784529064,0.)); #437800=DIRECTION('',(0.,0.,1.)); #437801=DIRECTION('center_axis',(1.,0.,0.)); #437802=DIRECTION('ref_axis',(0.,1.,0.)); #437803=DIRECTION('',(0.,1.,0.)); #437804=DIRECTION('',(0.,1.,0.)); #437805=DIRECTION('',(0.,0.,1.)); #437806=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #437807=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #437808=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #437809=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #437810=DIRECTION('center_axis',(0.0523362156363776,-0.998629521160306, 0.)); #437811=DIRECTION('ref_axis',(0.998629521160306,0.0523362156363776,0.)); #437812=DIRECTION('',(0.998629521160306,0.0523362156363776,0.)); #437813=DIRECTION('',(0.,0.,1.)); #437814=DIRECTION('',(0.998629521160306,0.0523362156363776,0.)); #437815=DIRECTION('',(0.,0.,1.)); #437816=DIRECTION('center_axis',(0.,-1.,0.)); #437817=DIRECTION('ref_axis',(1.,0.,0.)); #437818=DIRECTION('',(1.,0.,0.)); #437819=DIRECTION('',(1.,0.,0.)); #437820=DIRECTION('',(0.,0.,1.)); #437821=DIRECTION('center_axis',(-0.0523362156363776,-0.998629521160306, 0.)); #437822=DIRECTION('ref_axis',(0.998629521160306,-0.0523362156363776,0.)); #437823=DIRECTION('',(0.998629521160306,-0.0523362156363776,0.)); #437824=DIRECTION('',(0.998629521160306,-0.0523362156363776,0.)); #437825=DIRECTION('',(0.,0.,1.)); #437826=DIRECTION('center_axis',(-0.104588344609304,-0.994515599762963, 0.)); #437827=DIRECTION('ref_axis',(0.994515599762963,-0.104588344609304,0.)); #437828=DIRECTION('',(0.994515599762963,-0.104588344609304,0.)); #437829=DIRECTION('',(0.994515599762963,-0.104588344609304,0.)); #437830=DIRECTION('',(0.,0.,1.)); #437831=DIRECTION('center_axis',(-0.156434606552449,-0.987688318181794, 0.)); #437832=DIRECTION('ref_axis',(0.987688318181794,-0.156434606552449,0.)); #437833=DIRECTION('',(0.987688318181794,-0.156434606552449,0.)); #437834=DIRECTION('',(0.987688318181794,-0.156434606552449,0.)); #437835=DIRECTION('',(0.,0.,1.)); #437836=DIRECTION('center_axis',(-0.208086713847857,-0.978110382073516, 0.)); #437837=DIRECTION('ref_axis',(0.978110382073516,-0.208086713847857,0.)); #437838=DIRECTION('',(0.978110382073516,-0.208086713847857,0.)); #437839=DIRECTION('',(0.978110382073516,-0.208086713847857,0.)); #437840=DIRECTION('',(0.,0.,1.)); #437841=DIRECTION('center_axis',(-0.258818923728286,-0.965925858811188, 0.)); #437842=DIRECTION('ref_axis',(0.965925858811188,-0.258818923728286,0.)); #437843=DIRECTION('',(0.965925858811188,-0.258818923728286,0.)); #437844=DIRECTION('',(0.965925858811188,-0.258818923728286,0.)); #437845=DIRECTION('',(0.,0.,1.)); #437846=DIRECTION('center_axis',(-0.309176804171019,-0.951004576099713, 0.)); #437847=DIRECTION('ref_axis',(0.951004576099713,-0.309176804171019,0.)); #437848=DIRECTION('',(0.951004576099713,-0.309176804171019,0.)); #437849=DIRECTION('',(0.951004576099713,-0.309176804171019,0.)); #437850=DIRECTION('',(0.,0.,1.)); #437851=DIRECTION('center_axis',(-0.358367996333272,-0.933580408536981, 0.)); #437852=DIRECTION('ref_axis',(0.933580408536981,-0.358367996333272,0.)); #437853=DIRECTION('',(0.933580408536981,-0.358367996333272,0.)); #437854=DIRECTION('',(0.933580408536981,-0.358367996333272,0.)); #437855=DIRECTION('',(0.,0.,1.)); #437856=DIRECTION('center_axis',(-0.4066653437369,-0.913577198819754,0.)); #437857=DIRECTION('ref_axis',(0.913577198819754,-0.4066653437369,0.)); #437858=DIRECTION('',(0.913577198819754,-0.4066653437369,0.)); #437859=DIRECTION('',(0.913577198819754,-0.4066653437369,0.)); #437860=DIRECTION('',(0.,0.,1.)); #437861=DIRECTION('center_axis',(-0.453989804771014,-0.891006878292181, 0.)); #437862=DIRECTION('ref_axis',(0.891006878292181,-0.453989804771014,0.)); #437863=DIRECTION('',(0.891006878292181,-0.453989804771014,0.)); #437864=DIRECTION('',(0.891006878292181,-0.453989804771014,0.)); #437865=DIRECTION('',(0.,0.,1.)); #437866=DIRECTION('center_axis',(-0.500030005353914,-0.866008079492198, 0.)); #437867=DIRECTION('ref_axis',(0.866008079492198,-0.500030005353914,0.)); #437868=DIRECTION('',(0.866008079492198,-0.500030005353914,0.)); #437869=DIRECTION('',(0.866008079492198,-0.500030005353914,0.)); #437870=DIRECTION('',(0.,0.,1.)); #437871=DIRECTION('center_axis',(-0.544639401283104,-0.83867033008804,0.)); #437872=DIRECTION('ref_axis',(0.83867033008804,-0.544639401283104,0.)); #437873=DIRECTION('',(0.83867033008804,-0.544639401283104,0.)); #437874=DIRECTION('',(0.83867033008804,-0.544639401283104,0.)); #437875=DIRECTION('',(0.,0.,1.)); #437876=DIRECTION('center_axis',(-0.58771312338739,-0.809069394179658,0.)); #437877=DIRECTION('ref_axis',(0.809069394179658,-0.58771312338739,0.)); #437878=DIRECTION('',(0.809069394179658,-0.58771312338739,0.)); #437879=DIRECTION('',(0.809069394179658,-0.58771312338739,0.)); #437880=DIRECTION('',(0.,0.,1.)); #437881=DIRECTION('center_axis',(-0.629320434163395,-0.777145926544299, 0.)); #437882=DIRECTION('ref_axis',(0.777145926544299,-0.629320434163395,0.)); #437883=DIRECTION('',(0.777145926544299,-0.629320434163395,0.)); #437884=DIRECTION('',(0.777145926544299,-0.629320434163395,0.)); #437885=DIRECTION('',(0.,0.,1.)); #437886=DIRECTION('center_axis',(-0.669130940435059,-0.743144524673696, 0.)); #437887=DIRECTION('ref_axis',(0.743144524673696,-0.669130940435059,0.)); #437888=DIRECTION('',(0.743144524673696,-0.669130940435059,0.)); #437889=DIRECTION('',(0.743144524673696,-0.669130940435059,0.)); #437890=DIRECTION('',(0.,0.,1.)); #437891=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #437892=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #437893=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #437894=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #437895=DIRECTION('',(0.,0.,1.)); #437896=DIRECTION('center_axis',(-0.743144524673696,-0.669130940435059, 0.)); #437897=DIRECTION('ref_axis',(0.669130940435059,-0.743144524673696,0.)); #437898=DIRECTION('',(0.669130940435059,-0.743144524673696,0.)); #437899=DIRECTION('',(0.669130940435059,-0.743144524673696,0.)); #437900=DIRECTION('',(0.,0.,1.)); #437901=DIRECTION('center_axis',(-0.777145926544299,-0.629320434163395, 0.)); #437902=DIRECTION('ref_axis',(0.629320434163395,-0.777145926544299,0.)); #437903=DIRECTION('',(0.629320434163395,-0.777145926544299,0.)); #437904=DIRECTION('',(0.629320434163395,-0.777145926544299,0.)); #437905=DIRECTION('',(0.,0.,1.)); #437906=DIRECTION('center_axis',(-0.809069394179658,-0.58771312338739,0.)); #437907=DIRECTION('ref_axis',(0.58771312338739,-0.809069394179658,0.)); #437908=DIRECTION('',(0.58771312338739,-0.809069394179658,0.)); #437909=DIRECTION('',(0.58771312338739,-0.809069394179658,0.)); #437910=DIRECTION('',(0.,0.,1.)); #437911=DIRECTION('center_axis',(-0.83867033008804,-0.544639401283104,0.)); #437912=DIRECTION('ref_axis',(0.544639401283104,-0.83867033008804,0.)); #437913=DIRECTION('',(0.544639401283104,-0.83867033008804,0.)); #437914=DIRECTION('',(0.544639401283104,-0.83867033008804,0.)); #437915=DIRECTION('',(0.,0.,1.)); #437916=DIRECTION('center_axis',(-0.866008079492198,-0.500030005353914, 0.)); #437917=DIRECTION('ref_axis',(0.500030005353914,-0.866008079492198,0.)); #437918=DIRECTION('',(0.500030005353914,-0.866008079492198,0.)); #437919=DIRECTION('',(0.500030005353914,-0.866008079492198,0.)); #437920=DIRECTION('',(0.,0.,1.)); #437921=DIRECTION('center_axis',(-0.891006878292181,-0.453989804771014, 0.)); #437922=DIRECTION('ref_axis',(0.453989804771014,-0.891006878292181,0.)); #437923=DIRECTION('',(0.453989804771014,-0.891006878292181,0.)); #437924=DIRECTION('',(0.453989804771014,-0.891006878292181,0.)); #437925=DIRECTION('',(0.,0.,1.)); #437926=DIRECTION('center_axis',(-0.913577198819754,-0.4066653437369,0.)); #437927=DIRECTION('ref_axis',(0.4066653437369,-0.913577198819754,0.)); #437928=DIRECTION('',(0.4066653437369,-0.913577198819754,0.)); #437929=DIRECTION('',(0.4066653437369,-0.913577198819754,0.)); #437930=DIRECTION('',(0.,0.,1.)); #437931=DIRECTION('center_axis',(-0.933580408536981,-0.358367996333272, 0.)); #437932=DIRECTION('ref_axis',(0.358367996333272,-0.933580408536981,0.)); #437933=DIRECTION('',(0.358367996333272,-0.933580408536981,0.)); #437934=DIRECTION('',(0.358367996333272,-0.933580408536981,0.)); #437935=DIRECTION('',(0.,0.,1.)); #437936=DIRECTION('center_axis',(-0.951004576099713,-0.309176804171019, 0.)); #437937=DIRECTION('ref_axis',(0.309176804171019,-0.951004576099713,0.)); #437938=DIRECTION('',(0.309176804171019,-0.951004576099713,0.)); #437939=DIRECTION('',(0.309176804171019,-0.951004576099713,0.)); #437940=DIRECTION('',(0.,0.,1.)); #437941=DIRECTION('center_axis',(-0.965925858811188,-0.258818923728286, 0.)); #437942=DIRECTION('ref_axis',(0.258818923728286,-0.965925858811188,0.)); #437943=DIRECTION('',(0.258818923728286,-0.965925858811188,0.)); #437944=DIRECTION('',(0.258818923728286,-0.965925858811188,0.)); #437945=DIRECTION('',(0.,0.,1.)); #437946=DIRECTION('center_axis',(-0.978110382073516,-0.208086713847857, 0.)); #437947=DIRECTION('ref_axis',(0.208086713847857,-0.978110382073516,0.)); #437948=DIRECTION('',(0.208086713847857,-0.978110382073516,0.)); #437949=DIRECTION('',(0.208086713847857,-0.978110382073516,0.)); #437950=DIRECTION('',(0.,0.,1.)); #437951=DIRECTION('center_axis',(-0.987688318181794,-0.156434606552449, 0.)); #437952=DIRECTION('ref_axis',(0.156434606552449,-0.987688318181794,0.)); #437953=DIRECTION('',(0.156434606552449,-0.987688318181794,0.)); #437954=DIRECTION('',(0.156434606552449,-0.987688318181794,0.)); #437955=DIRECTION('',(0.,0.,1.)); #437956=DIRECTION('center_axis',(-0.994515599762963,-0.104588344609304, 0.)); #437957=DIRECTION('ref_axis',(0.104588344609304,-0.994515599762963,0.)); #437958=DIRECTION('',(0.104588344609304,-0.994515599762963,0.)); #437959=DIRECTION('',(0.104588344609304,-0.994515599762963,0.)); #437960=DIRECTION('',(0.,0.,1.)); #437961=DIRECTION('center_axis',(-0.998629521160306,-0.0523362156363776, 0.)); #437962=DIRECTION('ref_axis',(0.0523362156363776,-0.998629521160306,0.)); #437963=DIRECTION('',(0.0523362156363776,-0.998629521160306,0.)); #437964=DIRECTION('',(0.0523362156363776,-0.998629521160306,0.)); #437965=DIRECTION('',(0.,0.,1.)); #437966=DIRECTION('center_axis',(-1.,0.,0.)); #437967=DIRECTION('ref_axis',(0.,-1.,0.)); #437968=DIRECTION('',(0.,-1.,0.)); #437969=DIRECTION('',(0.,-1.,0.)); #437970=DIRECTION('',(0.,0.,1.)); #437971=DIRECTION('center_axis',(-0.998629521160306,0.0523362156363776, 0.)); #437972=DIRECTION('ref_axis',(-0.0523362156363776,-0.998629521160306,0.)); #437973=DIRECTION('',(-0.0523362156363776,-0.998629521160306,0.)); #437974=DIRECTION('',(-0.0523362156363776,-0.998629521160306,0.)); #437975=DIRECTION('',(0.,0.,1.)); #437976=DIRECTION('center_axis',(-0.994515599762963,0.104588344609304,0.)); #437977=DIRECTION('ref_axis',(-0.104588344609304,-0.994515599762963,0.)); #437978=DIRECTION('',(-0.104588344609304,-0.994515599762963,0.)); #437979=DIRECTION('',(-0.104588344609304,-0.994515599762963,0.)); #437980=DIRECTION('',(0.,0.,1.)); #437981=DIRECTION('center_axis',(-0.987688318181794,0.156434606552449,0.)); #437982=DIRECTION('ref_axis',(-0.156434606552449,-0.987688318181794,0.)); #437983=DIRECTION('',(-0.156434606552449,-0.987688318181794,0.)); #437984=DIRECTION('',(-0.156434606552449,-0.987688318181794,0.)); #437985=DIRECTION('',(0.,0.,1.)); #437986=DIRECTION('center_axis',(-0.978110382073516,0.208086713847857,0.)); #437987=DIRECTION('ref_axis',(-0.208086713847857,-0.978110382073516,0.)); #437988=DIRECTION('',(-0.208086713847857,-0.978110382073516,0.)); #437989=DIRECTION('',(-0.208086713847857,-0.978110382073516,0.)); #437990=DIRECTION('',(0.,0.,1.)); #437991=DIRECTION('center_axis',(-0.965925858811188,0.258818923728287,0.)); #437992=DIRECTION('ref_axis',(-0.258818923728287,-0.965925858811188,0.)); #437993=DIRECTION('',(-0.258818923728287,-0.965925858811188,0.)); #437994=DIRECTION('',(-0.258818923728287,-0.965925858811188,0.)); #437995=DIRECTION('',(0.,0.,1.)); #437996=DIRECTION('center_axis',(-0.95100457609982,0.309176804170691,0.)); #437997=DIRECTION('ref_axis',(-0.309176804170691,-0.95100457609982,0.)); #437998=DIRECTION('',(-0.309176804170691,-0.95100457609982,0.)); #437999=DIRECTION('',(-0.309176804170691,-0.95100457609982,0.)); #438000=DIRECTION('',(0.,0.,1.)); #438001=DIRECTION('center_axis',(-0.933580408536981,0.358367996333272,0.)); #438002=DIRECTION('ref_axis',(-0.358367996333272,-0.933580408536981,0.)); #438003=DIRECTION('',(-0.358367996333272,-0.933580408536981,0.)); #438004=DIRECTION('',(-0.358367996333272,-0.933580408536981,0.)); #438005=DIRECTION('',(0.,0.,1.)); #438006=DIRECTION('center_axis',(-0.913577198819662,0.406665343737107,0.)); #438007=DIRECTION('ref_axis',(-0.406665343737107,-0.913577198819662,0.)); #438008=DIRECTION('',(-0.406665343737107,-0.913577198819662,0.)); #438009=DIRECTION('',(-0.406665343737107,-0.913577198819662,0.)); #438010=DIRECTION('',(0.,0.,1.)); #438011=DIRECTION('center_axis',(-0.891006878292181,0.453989804771014,0.)); #438012=DIRECTION('ref_axis',(-0.453989804771014,-0.891006878292181,0.)); #438013=DIRECTION('',(-0.453989804771013,-0.891006878292181,0.)); #438014=DIRECTION('',(-0.453989804771013,-0.891006878292181,0.)); #438015=DIRECTION('',(0.,0.,1.)); #438016=DIRECTION('center_axis',(-0.866008079492268,0.500030005353793,0.)); #438017=DIRECTION('ref_axis',(-0.500030005353793,-0.866008079492268,0.)); #438018=DIRECTION('',(-0.500030005353793,-0.866008079492267,0.)); #438019=DIRECTION('',(-0.500030005353793,-0.866008079492267,0.)); #438020=DIRECTION('',(0.,0.,1.)); #438021=DIRECTION('center_axis',(-0.838670330088039,0.544639401283105,0.)); #438022=DIRECTION('ref_axis',(-0.544639401283105,-0.838670330088039,0.)); #438023=DIRECTION('',(-0.544639401283105,-0.838670330088039,0.)); #438024=DIRECTION('',(-0.544639401283105,-0.838670330088039,0.)); #438025=DIRECTION('',(0.,0.,1.)); #438026=DIRECTION('center_axis',(-0.809112694270273,0.587653510132204,0.)); #438027=DIRECTION('ref_axis',(-0.587653510132204,-0.809112694270273,0.)); #438028=DIRECTION('',(-0.587653510132204,-0.809112694270273,0.)); #438029=DIRECTION('',(-0.587653510132204,-0.809112694270273,0.)); #438030=DIRECTION('',(0.,0.,1.)); #438031=DIRECTION('center_axis',(-0.777145813759066,0.629320573441517,0.)); #438032=DIRECTION('ref_axis',(-0.629320573441517,-0.777145813759066,0.)); #438033=DIRECTION('',(-0.629320573441517,-0.777145813759066,0.)); #438034=DIRECTION('',(-0.629320573441517,-0.777145813759066,0.)); #438035=DIRECTION('',(0.,0.,1.)); #438036=DIRECTION('center_axis',(-0.74314452467407,0.669130940434644,0.)); #438037=DIRECTION('ref_axis',(-0.669130940434644,-0.74314452467407,0.)); #438038=DIRECTION('',(-0.669130940434644,-0.74314452467407,0.)); #438039=DIRECTION('',(-0.669130940434644,-0.74314452467407,0.)); #438040=DIRECTION('',(0.,0.,1.)); #438041=DIRECTION('center_axis',(-0.707106752708626,0.707106809664468,0.)); #438042=DIRECTION('ref_axis',(-0.707106809664468,-0.707106752708626,0.)); #438043=DIRECTION('',(-0.707106809664468,-0.707106752708626,0.)); #438044=DIRECTION('',(-0.707106809664468,-0.707106752708626,0.)); #438045=DIRECTION('',(0.,0.,1.)); #438046=DIRECTION('center_axis',(-0.669158632145954,0.743119589988419,0.)); #438047=DIRECTION('ref_axis',(-0.743119589988419,-0.669158632145954,0.)); #438048=DIRECTION('',(-0.743119589988419,-0.669158632145954,0.)); #438049=DIRECTION('',(-0.743119589988419,-0.669158632145954,0.)); #438050=DIRECTION('',(0.,0.,1.)); #438051=DIRECTION('center_axis',(-0.629320416963983,0.777145940472109,0.)); #438052=DIRECTION('ref_axis',(-0.777145940472109,-0.629320416963983,0.)); #438053=DIRECTION('',(-0.777145940472109,-0.629320416963983,0.)); #438054=DIRECTION('',(-0.777145940472109,-0.629320416963983,0.)); #438055=DIRECTION('',(0.,0.,1.)); #438056=DIRECTION('center_axis',(-0.587672085059942,0.809099203090264,0.)); #438057=DIRECTION('ref_axis',(-0.809099203090264,-0.587672085059942,0.)); #438058=DIRECTION('',(-0.809099203090264,-0.587672085059942,0.)); #438059=DIRECTION('',(-0.809099203090264,-0.587672085059942,0.)); #438060=DIRECTION('',(0.,0.,1.)); #438061=DIRECTION('center_axis',(-0.54463944134402,0.838670304072151,0.)); #438062=DIRECTION('ref_axis',(-0.838670304072151,-0.54463944134402,0.)); #438063=DIRECTION('',(-0.838670304072151,-0.54463944134402,0.)); #438064=DIRECTION('',(-0.838670304072151,-0.54463944134402,0.)); #438065=DIRECTION('',(0.,0.,1.)); #438066=DIRECTION('center_axis',(-0.500077026025872,0.8659809282202,0.)); #438067=DIRECTION('ref_axis',(-0.8659809282202,-0.500077026025872,0.)); #438068=DIRECTION('',(-0.8659809282202,-0.500077026025872,0.)); #438069=DIRECTION('',(-0.8659809282202,-0.500077026025872,0.)); #438070=DIRECTION('',(0.,0.,1.)); #438071=DIRECTION('center_axis',(-0.453989736945704,0.891006912850832,0.)); #438072=DIRECTION('ref_axis',(-0.891006912850832,-0.453989736945704,0.)); #438073=DIRECTION('',(-0.891006912850832,-0.453989736945704,0.)); #438074=DIRECTION('',(-0.891006912850832,-0.453989736945704,0.)); #438075=DIRECTION('',(0.,0.,1.)); #438076=DIRECTION('center_axis',(-0.406696734240247,0.913563225156485,0.)); #438077=DIRECTION('ref_axis',(-0.913563225156485,-0.406696734240247,0.)); #438078=DIRECTION('',(-0.913563225156485,-0.406696734240247,0.)); #438079=DIRECTION('',(-0.913563225156485,-0.406696734240247,0.)); #438080=DIRECTION('',(0.,0.,1.)); #438081=DIRECTION('center_axis',(-0.358367921871551,0.933580437120158,0.)); #438082=DIRECTION('ref_axis',(-0.933580437120158,-0.358367921871551,0.)); #438083=DIRECTION('',(-0.933580437120158,-0.358367921871551,0.)); #438084=DIRECTION('',(-0.933580437120158,-0.358367921871551,0.)); #438085=DIRECTION('',(0.,0.,1.)); #438086=DIRECTION('center_axis',(-0.309222166921588,0.950989827224412,0.)); #438087=DIRECTION('ref_axis',(-0.950989827224412,-0.309222166921588,0.)); #438088=DIRECTION('',(-0.950989827224412,-0.309222166921588,0.)); #438089=DIRECTION('',(-0.950989827224412,-0.309222166921588,0.)); #438090=DIRECTION('',(0.,0.,1.)); #438091=DIRECTION('center_axis',(-0.258818764306683,0.965925901528043,0.)); #438092=DIRECTION('ref_axis',(-0.965925901528042,-0.258818764306683,0.)); #438093=DIRECTION('',(-0.965925901528042,-0.258818764306683,0.)); #438094=DIRECTION('',(-0.965925901528042,-0.258818764306683,0.)); #438095=DIRECTION('',(0.,0.,1.)); #438096=DIRECTION('center_axis',(-0.208158693551523,0.978095066084541,0.)); #438097=DIRECTION('ref_axis',(-0.978095066084541,-0.208158693551523,0.)); #438098=DIRECTION('',(-0.978095066084541,-0.208158693551523,0.)); #438099=DIRECTION('',(-0.978095066084541,-0.208158693551523,0.)); #438100=DIRECTION('',(0.,0.,1.)); #438101=DIRECTION('center_axis',(-0.156434392638562,0.987688352062433,0.)); #438102=DIRECTION('ref_axis',(-0.987688352062433,-0.156434392638562,0.)); #438103=DIRECTION('',(-0.987688352062433,-0.156434392638562,0.)); #438104=DIRECTION('',(-0.987688352062433,-0.156434392638562,0.)); #438105=DIRECTION('',(0.,0.,1.)); #438106=DIRECTION('center_axis',(-0.104665209675673,0.994507513236349,0.)); #438107=DIRECTION('ref_axis',(-0.994507513236349,-0.104665209675673,0.)); #438108=DIRECTION('',(-0.994507513236349,-0.104665209675673,0.)); #438109=DIRECTION('',(-0.994507513236349,-0.104665209675673,0.)); #438110=DIRECTION('',(0.,0.,1.)); #438111=DIRECTION('center_axis',(-0.0523361815564572,0.998629522946368, 0.)); #438112=DIRECTION('ref_axis',(-0.998629522946368,-0.0523361815564572,0.)); #438113=DIRECTION('',(-0.998629522946368,-0.0523361815564572,0.)); #438114=DIRECTION('',(-0.998629522946368,-0.0523361815564572,0.)); #438115=DIRECTION('',(0.,0.,1.)); #438116=DIRECTION('center_axis',(0.,1.,0.)); #438117=DIRECTION('ref_axis',(-1.,0.,0.)); #438118=DIRECTION('',(-1.,0.,0.)); #438119=DIRECTION('',(-1.,0.,0.)); #438120=DIRECTION('',(0.,0.,1.)); #438121=DIRECTION('center_axis',(0.0523361815564572,0.998629522946368,0.)); #438122=DIRECTION('ref_axis',(-0.998629522946368,0.0523361815564572,0.)); #438123=DIRECTION('',(-0.998629522946368,0.0523361815564572,0.)); #438124=DIRECTION('',(-0.998629522946368,0.0523361815564572,0.)); #438125=DIRECTION('',(0.,0.,1.)); #438126=DIRECTION('center_axis',(0.104665209675673,0.994507513236349,0.)); #438127=DIRECTION('ref_axis',(-0.994507513236349,0.104665209675673,0.)); #438128=DIRECTION('',(-0.994507513236349,0.104665209675673,0.)); #438129=DIRECTION('',(-0.994507513236349,0.104665209675673,0.)); #438130=DIRECTION('',(0.,0.,1.)); #438131=DIRECTION('center_axis',(0.156434392638562,0.987688352062433,0.)); #438132=DIRECTION('ref_axis',(-0.987688352062433,0.156434392638562,0.)); #438133=DIRECTION('',(-0.987688352062433,0.156434392638562,0.)); #438134=DIRECTION('',(-0.987688352062433,0.156434392638562,0.)); #438135=DIRECTION('',(0.,0.,1.)); #438136=DIRECTION('center_axis',(0.208158693551523,0.978095066084541,0.)); #438137=DIRECTION('ref_axis',(-0.978095066084541,0.208158693551523,0.)); #438138=DIRECTION('',(-0.978095066084541,0.208158693551523,0.)); #438139=DIRECTION('',(-0.978095066084541,0.208158693551523,0.)); #438140=DIRECTION('',(0.,0.,1.)); #438141=DIRECTION('center_axis',(0.258818764306684,0.965925901528042,0.)); #438142=DIRECTION('ref_axis',(-0.965925901528042,0.258818764306684,0.)); #438143=DIRECTION('',(-0.965925901528042,0.258818764306684,0.)); #438144=DIRECTION('',(-0.965925901528042,0.258818764306684,0.)); #438145=DIRECTION('',(0.,0.,1.)); #438146=DIRECTION('center_axis',(0.30922216692126,0.950989827224519,0.)); #438147=DIRECTION('ref_axis',(-0.950989827224519,0.30922216692126,0.)); #438148=DIRECTION('',(-0.950989827224519,0.30922216692126,0.)); #438149=DIRECTION('',(-0.950989827224519,0.30922216692126,0.)); #438150=DIRECTION('',(0.,0.,1.)); #438151=DIRECTION('center_axis',(0.358367921871551,0.933580437120158,0.)); #438152=DIRECTION('ref_axis',(-0.933580437120158,0.358367921871551,0.)); #438153=DIRECTION('',(-0.933580437120158,0.358367921871551,0.)); #438154=DIRECTION('',(-0.933580437120158,0.358367921871551,0.)); #438155=DIRECTION('',(0.,0.,1.)); #438156=DIRECTION('center_axis',(0.406696734240453,0.913563225156393,0.)); #438157=DIRECTION('ref_axis',(-0.913563225156393,0.406696734240453,0.)); #438158=DIRECTION('',(-0.913563225156393,0.406696734240453,0.)); #438159=DIRECTION('',(-0.913563225156393,0.406696734240453,0.)); #438160=DIRECTION('',(0.,0.,1.)); #438161=DIRECTION('center_axis',(0.453989736945704,0.891006912850832,0.)); #438162=DIRECTION('ref_axis',(-0.891006912850832,0.453989736945704,0.)); #438163=DIRECTION('',(-0.891006912850832,0.453989736945704,0.)); #438164=DIRECTION('',(-0.891006912850832,0.453989736945704,0.)); #438165=DIRECTION('',(0.,0.,1.)); #438166=DIRECTION('center_axis',(0.500077026025751,0.86598092822027,0.)); #438167=DIRECTION('ref_axis',(-0.86598092822027,0.500077026025751,0.)); #438168=DIRECTION('',(-0.86598092822027,0.500077026025751,0.)); #438169=DIRECTION('',(-0.86598092822027,0.500077026025751,0.)); #438170=DIRECTION('',(0.,0.,1.)); #438171=DIRECTION('center_axis',(0.544639441344021,0.83867030407215,0.)); #438172=DIRECTION('ref_axis',(-0.83867030407215,0.544639441344021,0.)); #438173=DIRECTION('',(-0.83867030407215,0.544639441344021,0.)); #438174=DIRECTION('',(-0.83867030407215,0.544639441344021,0.)); #438175=DIRECTION('',(0.,0.,1.)); #438176=DIRECTION('center_axis',(0.587612471575557,0.809142498728664,0.)); #438177=DIRECTION('ref_axis',(-0.809142498728664,0.587612471575556,0.)); #438178=DIRECTION('',(-0.809142498728664,0.587612471575556,0.)); #438179=DIRECTION('',(-0.809142498728664,0.587612471575556,0.)); #438180=DIRECTION('',(0.,0.,1.)); #438181=DIRECTION('center_axis',(0.629320556242106,0.77714582768688,0.)); #438182=DIRECTION('ref_axis',(-0.77714582768688,0.629320556242106,0.)); #438183=DIRECTION('',(-0.77714582768688,0.629320556242106,0.)); #438184=DIRECTION('',(-0.77714582768688,0.629320556242106,0.)); #438185=DIRECTION('',(0.,0.,1.)); #438186=DIRECTION('center_axis',(0.669158632145538,0.743119589988793,0.)); #438187=DIRECTION('ref_axis',(-0.743119589988793,0.669158632145538,0.)); #438188=DIRECTION('',(-0.743119589988793,0.669158632145538,0.)); #438189=DIRECTION('',(-0.743119589988793,0.669158632145538,0.)); #438190=DIRECTION('',(0.,0.,1.)); #438191=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #438192=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #438193=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #438194=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #438195=DIRECTION('',(0.,0.,1.)); #438196=DIRECTION('center_axis',(0.743119589988793,0.669158632145538,0.)); #438197=DIRECTION('ref_axis',(-0.669158632145538,0.743119589988793,0.)); #438198=DIRECTION('',(-0.669158632145538,0.743119589988793,0.)); #438199=DIRECTION('',(-0.669158632145538,0.743119589988793,0.)); #438200=DIRECTION('',(0.,0.,1.)); #438201=DIRECTION('center_axis',(0.77714582768688,0.629320556242106,0.)); #438202=DIRECTION('ref_axis',(-0.629320556242106,0.77714582768688,0.)); #438203=DIRECTION('',(-0.629320556242106,0.77714582768688,0.)); #438204=DIRECTION('',(-0.629320556242106,0.77714582768688,0.)); #438205=DIRECTION('',(0.,0.,1.)); #438206=DIRECTION('center_axis',(0.809142498728664,0.587612471575557,0.)); #438207=DIRECTION('ref_axis',(-0.587612471575556,0.809142498728664,0.)); #438208=DIRECTION('',(-0.587612471575556,0.809142498728664,0.)); #438209=DIRECTION('',(-0.587612471575556,0.809142498728664,0.)); #438210=DIRECTION('',(0.,0.,1.)); #438211=DIRECTION('center_axis',(0.83867030407215,0.544639441344021,0.)); #438212=DIRECTION('ref_axis',(-0.544639441344021,0.83867030407215,0.)); #438213=DIRECTION('',(-0.544639441344021,0.83867030407215,0.)); #438214=DIRECTION('',(-0.544639441344021,0.83867030407215,0.)); #438215=DIRECTION('',(0.,0.,1.)); #438216=DIRECTION('center_axis',(0.86598092822027,0.500077026025751,0.)); #438217=DIRECTION('ref_axis',(-0.500077026025751,0.86598092822027,0.)); #438218=DIRECTION('',(-0.500077026025751,0.86598092822027,0.)); #438219=DIRECTION('',(-0.500077026025751,0.86598092822027,0.)); #438220=DIRECTION('',(0.,0.,1.)); #438221=DIRECTION('center_axis',(0.891006912850832,0.453989736945704,0.)); #438222=DIRECTION('ref_axis',(-0.453989736945704,0.891006912850832,0.)); #438223=DIRECTION('',(-0.453989736945704,0.891006912850832,0.)); #438224=DIRECTION('',(-0.453989736945704,0.891006912850832,0.)); #438225=DIRECTION('',(0.,0.,1.)); #438226=DIRECTION('center_axis',(0.913563225156393,0.406696734240453,0.)); #438227=DIRECTION('ref_axis',(-0.406696734240453,0.913563225156393,0.)); #438228=DIRECTION('',(-0.406696734240453,0.913563225156393,0.)); #438229=DIRECTION('',(-0.406696734240453,0.913563225156393,0.)); #438230=DIRECTION('',(0.,0.,1.)); #438231=DIRECTION('center_axis',(0.933580437120158,0.358367921871551,0.)); #438232=DIRECTION('ref_axis',(-0.358367921871551,0.933580437120158,0.)); #438233=DIRECTION('',(-0.358367921871551,0.933580437120158,0.)); #438234=DIRECTION('',(-0.358367921871551,0.933580437120158,0.)); #438235=DIRECTION('',(0.,0.,1.)); #438236=DIRECTION('center_axis',(0.950989827224519,0.30922216692126,0.)); #438237=DIRECTION('ref_axis',(-0.30922216692126,0.950989827224519,0.)); #438238=DIRECTION('',(-0.30922216692126,0.950989827224519,0.)); #438239=DIRECTION('',(-0.30922216692126,0.950989827224519,0.)); #438240=DIRECTION('',(0.,0.,1.)); #438241=DIRECTION('center_axis',(0.965925901528042,0.258818764306684,0.)); #438242=DIRECTION('ref_axis',(-0.258818764306684,0.965925901528042,0.)); #438243=DIRECTION('',(-0.258818764306684,0.965925901528042,0.)); #438244=DIRECTION('',(-0.258818764306684,0.965925901528042,0.)); #438245=DIRECTION('',(0.,0.,1.)); #438246=DIRECTION('center_axis',(0.978095066084541,0.208158693551523,0.)); #438247=DIRECTION('ref_axis',(-0.208158693551523,0.978095066084541,0.)); #438248=DIRECTION('',(-0.208158693551523,0.978095066084541,0.)); #438249=DIRECTION('',(-0.208158693551523,0.978095066084541,0.)); #438250=DIRECTION('',(0.,0.,1.)); #438251=DIRECTION('center_axis',(0.987688352062433,0.156434392638562,0.)); #438252=DIRECTION('ref_axis',(-0.156434392638562,0.987688352062433,0.)); #438253=DIRECTION('',(-0.156434392638562,0.987688352062433,0.)); #438254=DIRECTION('',(-0.156434392638562,0.987688352062433,0.)); #438255=DIRECTION('',(0.,0.,1.)); #438256=DIRECTION('center_axis',(0.994507513236349,0.104665209675673,0.)); #438257=DIRECTION('ref_axis',(-0.104665209675673,0.994507513236349,0.)); #438258=DIRECTION('',(-0.104665209675673,0.994507513236349,0.)); #438259=DIRECTION('',(-0.104665209675673,0.994507513236349,0.)); #438260=DIRECTION('',(0.,0.,1.)); #438261=DIRECTION('center_axis',(0.998629522946368,0.0523361815564572,0.)); #438262=DIRECTION('ref_axis',(-0.0523361815564572,0.998629522946368,0.)); #438263=DIRECTION('',(-0.0523361815564572,0.998629522946368,0.)); #438264=DIRECTION('',(-0.0523361815564572,0.998629522946368,0.)); #438265=DIRECTION('',(0.,0.,1.)); #438266=DIRECTION('center_axis',(1.,0.,0.)); #438267=DIRECTION('ref_axis',(0.,1.,0.)); #438268=DIRECTION('',(0.,1.,0.)); #438269=DIRECTION('',(0.,1.,0.)); #438270=DIRECTION('',(0.,0.,1.)); #438271=DIRECTION('center_axis',(0.998629522946368,-0.0523361815564572, 0.)); #438272=DIRECTION('ref_axis',(0.0523361815564572,0.998629522946368,0.)); #438273=DIRECTION('',(0.0523361815564572,0.998629522946368,0.)); #438274=DIRECTION('',(0.0523361815564572,0.998629522946368,0.)); #438275=DIRECTION('',(0.,0.,1.)); #438276=DIRECTION('center_axis',(0.994507513236349,-0.104665209675673,0.)); #438277=DIRECTION('ref_axis',(0.104665209675673,0.994507513236349,0.)); #438278=DIRECTION('',(0.104665209675673,0.994507513236349,0.)); #438279=DIRECTION('',(0.104665209675673,0.994507513236349,0.)); #438280=DIRECTION('',(0.,0.,1.)); #438281=DIRECTION('center_axis',(0.987688352062433,-0.156434392638562,0.)); #438282=DIRECTION('ref_axis',(0.156434392638562,0.987688352062433,0.)); #438283=DIRECTION('',(0.156434392638562,0.987688352062433,0.)); #438284=DIRECTION('',(0.156434392638562,0.987688352062433,0.)); #438285=DIRECTION('',(0.,0.,1.)); #438286=DIRECTION('center_axis',(0.978095066084541,-0.208158693551523,0.)); #438287=DIRECTION('ref_axis',(0.208158693551523,0.978095066084541,0.)); #438288=DIRECTION('',(0.208158693551523,0.978095066084541,0.)); #438289=DIRECTION('',(0.208158693551523,0.978095066084541,0.)); #438290=DIRECTION('',(0.,0.,1.)); #438291=DIRECTION('center_axis',(0.965925901528043,-0.258818764306683,0.)); #438292=DIRECTION('ref_axis',(0.258818764306683,0.965925901528042,0.)); #438293=DIRECTION('',(0.258818764306683,0.965925901528042,0.)); #438294=DIRECTION('',(0.258818764306683,0.965925901528042,0.)); #438295=DIRECTION('',(0.,0.,1.)); #438296=DIRECTION('center_axis',(0.950989827224412,-0.309222166921588,0.)); #438297=DIRECTION('ref_axis',(0.309222166921588,0.950989827224412,0.)); #438298=DIRECTION('',(0.309222166921588,0.950989827224412,0.)); #438299=DIRECTION('',(0.309222166921588,0.950989827224412,0.)); #438300=DIRECTION('',(0.,0.,1.)); #438301=DIRECTION('center_axis',(0.933580437120158,-0.358367921871551,0.)); #438302=DIRECTION('ref_axis',(0.358367921871551,0.933580437120158,0.)); #438303=DIRECTION('',(0.358367921871551,0.933580437120158,0.)); #438304=DIRECTION('',(0.358367921871551,0.933580437120158,0.)); #438305=DIRECTION('',(0.,0.,1.)); #438306=DIRECTION('center_axis',(0.913563225156485,-0.406696734240247,0.)); #438307=DIRECTION('ref_axis',(0.406696734240247,0.913563225156485,0.)); #438308=DIRECTION('',(0.406696734240247,0.913563225156485,0.)); #438309=DIRECTION('',(0.406696734240247,0.913563225156485,0.)); #438310=DIRECTION('',(0.,0.,1.)); #438311=DIRECTION('center_axis',(0.891006912850832,-0.453989736945704,0.)); #438312=DIRECTION('ref_axis',(0.453989736945704,0.891006912850832,0.)); #438313=DIRECTION('',(0.453989736945704,0.891006912850832,0.)); #438314=DIRECTION('',(0.453989736945704,0.891006912850832,0.)); #438315=DIRECTION('',(0.,0.,1.)); #438316=DIRECTION('center_axis',(0.8659809282202,-0.500077026025872,0.)); #438317=DIRECTION('ref_axis',(0.500077026025872,0.8659809282202,0.)); #438318=DIRECTION('',(0.500077026025872,0.8659809282202,0.)); #438319=DIRECTION('',(0.500077026025872,0.8659809282202,0.)); #438320=DIRECTION('',(0.,0.,1.)); #438321=DIRECTION('center_axis',(0.838670304072151,-0.54463944134402,0.)); #438322=DIRECTION('ref_axis',(0.54463944134402,0.838670304072151,0.)); #438323=DIRECTION('',(0.54463944134402,0.838670304072151,0.)); #438324=DIRECTION('',(0.54463944134402,0.838670304072151,0.)); #438325=DIRECTION('',(0.,0.,1.)); #438326=DIRECTION('center_axis',(0.809099203090264,-0.587672085059942,0.)); #438327=DIRECTION('ref_axis',(0.587672085059942,0.809099203090264,0.)); #438328=DIRECTION('',(0.587672085059942,0.809099203090264,0.)); #438329=DIRECTION('',(0.587672085059942,0.809099203090264,0.)); #438330=DIRECTION('',(0.,0.,1.)); #438331=DIRECTION('center_axis',(0.777145940472109,-0.629320416963983,0.)); #438332=DIRECTION('ref_axis',(0.629320416963983,0.777145940472109,0.)); #438333=DIRECTION('',(0.629320416963983,0.777145940472109,0.)); #438334=DIRECTION('',(0.629320416963983,0.777145940472109,0.)); #438335=DIRECTION('',(0.,0.,1.)); #438336=DIRECTION('center_axis',(0.743119589988419,-0.669158632145954,0.)); #438337=DIRECTION('ref_axis',(0.669158632145954,0.743119589988419,0.)); #438338=DIRECTION('',(0.669158632145954,0.743119589988419,0.)); #438339=DIRECTION('',(0.669158632145954,0.743119589988419,0.)); #438340=DIRECTION('',(0.,0.,1.)); #438341=DIRECTION('center_axis',(0.707106809664468,-0.707106752708626,0.)); #438342=DIRECTION('ref_axis',(0.707106752708626,0.707106809664468,0.)); #438343=DIRECTION('',(0.707106752708626,0.707106809664468,0.)); #438344=DIRECTION('',(0.707106752708626,0.707106809664468,0.)); #438345=DIRECTION('',(0.,0.,1.)); #438346=DIRECTION('center_axis',(0.669130940434644,-0.74314452467407,0.)); #438347=DIRECTION('ref_axis',(0.74314452467407,0.669130940434644,0.)); #438348=DIRECTION('',(0.74314452467407,0.669130940434644,0.)); #438349=DIRECTION('',(0.74314452467407,0.669130940434644,0.)); #438350=DIRECTION('',(0.,0.,1.)); #438351=DIRECTION('center_axis',(0.629320573441517,-0.777145813759066,0.)); #438352=DIRECTION('ref_axis',(0.777145813759066,0.629320573441517,0.)); #438353=DIRECTION('',(0.777145813759066,0.629320573441517,0.)); #438354=DIRECTION('',(0.777145813759066,0.629320573441517,0.)); #438355=DIRECTION('',(0.,0.,1.)); #438356=DIRECTION('center_axis',(0.587653510132204,-0.809112694270273,0.)); #438357=DIRECTION('ref_axis',(0.809112694270273,0.587653510132204,0.)); #438358=DIRECTION('',(0.809112694270273,0.587653510132204,0.)); #438359=DIRECTION('',(0.809112694270273,0.587653510132204,0.)); #438360=DIRECTION('',(0.,0.,1.)); #438361=DIRECTION('center_axis',(0.544639401283105,-0.838670330088039,0.)); #438362=DIRECTION('ref_axis',(0.838670330088039,0.544639401283105,0.)); #438363=DIRECTION('',(0.838670330088039,0.544639401283105,0.)); #438364=DIRECTION('',(0.838670330088039,0.544639401283105,0.)); #438365=DIRECTION('',(0.,0.,1.)); #438366=DIRECTION('center_axis',(0.500030005353793,-0.866008079492268,0.)); #438367=DIRECTION('ref_axis',(0.866008079492268,0.500030005353793,0.)); #438368=DIRECTION('',(0.866008079492267,0.500030005353793,0.)); #438369=DIRECTION('',(0.866008079492267,0.500030005353793,0.)); #438370=DIRECTION('',(0.,0.,1.)); #438371=DIRECTION('center_axis',(0.453989804771014,-0.891006878292181,0.)); #438372=DIRECTION('ref_axis',(0.891006878292181,0.453989804771014,0.)); #438373=DIRECTION('',(0.891006878292181,0.453989804771013,0.)); #438374=DIRECTION('',(0.891006878292181,0.453989804771013,0.)); #438375=DIRECTION('',(0.,0.,1.)); #438376=DIRECTION('center_axis',(0.406665343737107,-0.913577198819662,0.)); #438377=DIRECTION('ref_axis',(0.913577198819662,0.406665343737107,0.)); #438378=DIRECTION('',(0.913577198819662,0.406665343737107,0.)); #438379=DIRECTION('',(0.913577198819662,0.406665343737107,0.)); #438380=DIRECTION('',(0.,0.,1.)); #438381=DIRECTION('center_axis',(0.358367996333272,-0.933580408536981,0.)); #438382=DIRECTION('ref_axis',(0.933580408536981,0.358367996333272,0.)); #438383=DIRECTION('',(0.933580408536981,0.358367996333272,0.)); #438384=DIRECTION('',(0.933580408536981,0.358367996333272,0.)); #438385=DIRECTION('',(0.,0.,1.)); #438386=DIRECTION('center_axis',(0.309176804170691,-0.95100457609982,0.)); #438387=DIRECTION('ref_axis',(0.95100457609982,0.309176804170691,0.)); #438388=DIRECTION('',(0.95100457609982,0.309176804170691,0.)); #438389=DIRECTION('',(0.95100457609982,0.309176804170691,0.)); #438390=DIRECTION('',(0.,0.,1.)); #438391=DIRECTION('center_axis',(0.258818923728287,-0.965925858811188,0.)); #438392=DIRECTION('ref_axis',(0.965925858811188,0.258818923728287,0.)); #438393=DIRECTION('',(0.965925858811188,0.258818923728287,0.)); #438394=DIRECTION('',(0.965925858811188,0.258818923728287,0.)); #438395=DIRECTION('',(0.,0.,1.)); #438396=DIRECTION('center_axis',(0.208086713847857,-0.978110382073516,0.)); #438397=DIRECTION('ref_axis',(0.978110382073516,0.208086713847857,0.)); #438398=DIRECTION('',(0.978110382073516,0.208086713847857,0.)); #438399=DIRECTION('',(0.978110382073516,0.208086713847857,0.)); #438400=DIRECTION('',(0.,0.,1.)); #438401=DIRECTION('center_axis',(0.156434606552449,-0.987688318181794,0.)); #438402=DIRECTION('ref_axis',(0.987688318181794,0.156434606552449,0.)); #438403=DIRECTION('',(0.987688318181794,0.156434606552449,0.)); #438404=DIRECTION('',(0.987688318181794,0.156434606552449,0.)); #438405=DIRECTION('',(0.,0.,1.)); #438406=DIRECTION('center_axis',(0.104588344609304,-0.994515599762963,0.)); #438407=DIRECTION('ref_axis',(0.994515599762963,0.104588344609304,0.)); #438408=DIRECTION('',(0.994515599762963,0.104588344609304,0.)); #438409=DIRECTION('',(0.994515599762963,0.104588344609304,0.)); #438410=DIRECTION('center_axis',(0.,0.,1.)); #438411=DIRECTION('ref_axis',(1.,0.,0.)); #438412=DIRECTION('center_axis',(0.,0.,1.)); #438413=DIRECTION('ref_axis',(1.,0.,0.)); #438414=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #438415=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #438416=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #438417=DIRECTION('',(0.,0.,1.)); #438418=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #438419=DIRECTION('',(0.,0.,1.)); #438420=DIRECTION('center_axis',(0.,-1.,0.)); #438421=DIRECTION('ref_axis',(1.,0.,0.)); #438422=DIRECTION('',(1.,0.,0.)); #438423=DIRECTION('',(1.,0.,0.)); #438424=DIRECTION('',(0.,0.,1.)); #438425=DIRECTION('center_axis',(-0.175495599689726,-0.984480215387564, 0.)); #438426=DIRECTION('ref_axis',(0.984480215387564,-0.175495599689726,0.)); #438427=DIRECTION('',(0.984480215387564,-0.175495599689726,0.)); #438428=DIRECTION('',(0.984480215387564,-0.175495599689726,0.)); #438429=DIRECTION('',(0.,0.,1.)); #438430=DIRECTION('center_axis',(-0.525373540973504,-0.850871695642159, 0.)); #438431=DIRECTION('ref_axis',(0.850871695642159,-0.525373540973504,0.)); #438432=DIRECTION('',(0.850871695642159,-0.525373540973504,0.)); #438433=DIRECTION('',(0.850871695642159,-0.525373540973504,0.)); #438434=DIRECTION('',(0.,0.,1.)); #438435=DIRECTION('center_axis',(-0.815693852178821,-0.578483828224848, 0.)); #438436=DIRECTION('ref_axis',(0.578483828224848,-0.815693852178821,0.)); #438437=DIRECTION('',(0.578483828224848,-0.815693852178821,0.)); #438438=DIRECTION('',(0.578483828224848,-0.815693852178821,0.)); #438439=DIRECTION('',(0.,0.,1.)); #438440=DIRECTION('center_axis',(-0.976692604453674,-0.214642857802207, 0.)); #438441=DIRECTION('ref_axis',(0.214642857802207,-0.976692604453674,0.)); #438442=DIRECTION('',(0.214642857802207,-0.976692604453674,0.)); #438443=DIRECTION('',(0.214642857802207,-0.976692604453674,0.)); #438444=DIRECTION('',(0.,0.,1.)); #438445=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #438446=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #438447=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #438448=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #438449=DIRECTION('',(0.,0.,1.)); #438450=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #438451=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #438452=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #438453=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #438454=DIRECTION('',(0.,0.,1.)); #438455=DIRECTION('center_axis',(-0.707106781186564,0.707106781186531,0.)); #438456=DIRECTION('ref_axis',(-0.707106781186531,-0.707106781186564,0.)); #438457=DIRECTION('',(-0.707106781186531,-0.707106781186564,0.)); #438458=DIRECTION('',(-0.707106781186531,-0.707106781186564,0.)); #438459=DIRECTION('',(0.,0.,1.)); #438460=DIRECTION('center_axis',(-1.,0.,0.)); #438461=DIRECTION('ref_axis',(0.,-1.,0.)); #438462=DIRECTION('',(0.,-1.,0.)); #438463=DIRECTION('',(0.,-1.,0.)); #438464=DIRECTION('',(0.,0.,1.)); #438465=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #438466=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #438467=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #438468=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #438469=DIRECTION('',(0.,0.,1.)); #438470=DIRECTION('center_axis',(-0.842362410729372,0.538911466745885,0.)); #438471=DIRECTION('ref_axis',(-0.538911466745885,-0.842362410729372,0.)); #438472=DIRECTION('',(-0.538911466745885,-0.842362410729372,0.)); #438473=DIRECTION('',(-0.538911466745885,-0.842362410729372,0.)); #438474=DIRECTION('',(0.,0.,1.)); #438475=DIRECTION('center_axis',(-0.565504004714863,0.824745549034035,0.)); #438476=DIRECTION('ref_axis',(-0.824745549034035,-0.565504004714863,0.)); #438477=DIRECTION('',(-0.824745549034035,-0.565504004714863,0.)); #438478=DIRECTION('',(-0.824745549034035,-0.565504004714863,0.)); #438479=DIRECTION('',(0.,0.,1.)); #438480=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #438481=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #438482=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #438483=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #438484=DIRECTION('',(0.,0.,1.)); #438485=DIRECTION('center_axis',(0.,1.,0.)); #438486=DIRECTION('ref_axis',(-1.,0.,0.)); #438487=DIRECTION('',(-1.,0.,0.)); #438488=DIRECTION('',(-1.,0.,0.)); #438489=DIRECTION('',(0.,0.,1.)); #438490=DIRECTION('center_axis',(0.19114985692877,0.981560865252946,0.)); #438491=DIRECTION('ref_axis',(-0.981560865252945,0.19114985692877,0.)); #438492=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #438493=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #438494=DIRECTION('',(0.,0.,1.)); #438495=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #438496=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #438497=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #438498=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #438499=DIRECTION('',(0.,0.,1.)); #438500=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #438501=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #438502=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #438503=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #438504=DIRECTION('',(0.,0.,1.)); #438505=DIRECTION('center_axis',(0.,1.,0.)); #438506=DIRECTION('ref_axis',(-1.,0.,0.)); #438507=DIRECTION('',(-1.,0.,0.)); #438508=DIRECTION('',(-1.,0.,0.)); #438509=DIRECTION('',(0.,0.,1.)); #438510=DIRECTION('center_axis',(0.183305290257262,0.983056036329416,0.)); #438511=DIRECTION('ref_axis',(-0.983056036329416,0.183305290257262,0.)); #438512=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #438513=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #438514=DIRECTION('',(0.,0.,1.)); #438515=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #438516=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #438517=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #438518=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #438519=DIRECTION('',(0.,0.,1.)); #438520=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #438521=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #438522=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #438523=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #438524=DIRECTION('',(0.,0.,1.)); #438525=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #438526=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #438527=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #438528=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #438529=DIRECTION('',(0.,0.,1.)); #438530=DIRECTION('center_axis',(1.,0.,0.)); #438531=DIRECTION('ref_axis',(0.,1.,0.)); #438532=DIRECTION('',(0.,1.,0.)); #438533=DIRECTION('',(0.,1.,0.)); #438534=DIRECTION('',(0.,0.,1.)); #438535=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #438536=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #438537=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #438538=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #438539=DIRECTION('',(0.,0.,1.)); #438540=DIRECTION('center_axis',(0.842362410729329,-0.538911466745951,0.)); #438541=DIRECTION('ref_axis',(0.538911466745951,0.842362410729329,0.)); #438542=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #438543=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #438544=DIRECTION('',(0.,0.,1.)); #438545=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #438546=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #438547=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #438548=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #438549=DIRECTION('center_axis',(0.,0.,1.)); #438550=DIRECTION('ref_axis',(1.,0.,0.)); #438551=DIRECTION('center_axis',(0.,0.,1.)); #438552=DIRECTION('ref_axis',(1.,0.,0.)); #438553=DIRECTION('center_axis',(0.230165683714478,-0.973151456886464,0.)); #438554=DIRECTION('ref_axis',(0.973151456886464,0.230165683714478,0.)); #438555=DIRECTION('',(0.973151456886464,0.230165683714478,0.)); #438556=DIRECTION('',(0.,0.,1.)); #438557=DIRECTION('',(0.973151456886464,0.230165683714478,0.)); #438558=DIRECTION('',(0.,0.,1.)); #438559=DIRECTION('center_axis',(-0.167643887112162,-0.985847618607422, 0.)); #438560=DIRECTION('ref_axis',(0.985847618607422,-0.167643887112162,0.)); #438561=DIRECTION('',(0.985847618607422,-0.167643887112162,0.)); #438562=DIRECTION('',(0.985847618607422,-0.167643887112162,0.)); #438563=DIRECTION('',(0.,0.,1.)); #438564=DIRECTION('center_axis',(-0.538911466746122,-0.84236241072922,0.)); #438565=DIRECTION('ref_axis',(0.84236241072922,-0.538911466746122,0.)); #438566=DIRECTION('',(0.84236241072922,-0.538911466746122,0.)); #438567=DIRECTION('',(0.84236241072922,-0.538911466746122,0.)); #438568=DIRECTION('',(0.,0.,1.)); #438569=DIRECTION('center_axis',(-0.824745549033873,-0.565504004715099, 0.)); #438570=DIRECTION('ref_axis',(0.565504004715099,-0.824745549033873,0.)); #438571=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #438572=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #438573=DIRECTION('',(0.,0.,1.)); #438574=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #438575=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #438576=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #438577=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #438578=DIRECTION('',(0.,0.,1.)); #438579=DIRECTION('center_axis',(-1.,0.,0.)); #438580=DIRECTION('ref_axis',(0.,-1.,0.)); #438581=DIRECTION('',(0.,-1.,0.)); #438582=DIRECTION('',(0.,-1.,0.)); #438583=DIRECTION('',(0.,0.,1.)); #438584=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #438585=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #438586=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #438587=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #438588=DIRECTION('',(0.,0.,1.)); #438589=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #438590=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #438591=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #438592=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #438593=DIRECTION('',(0.,0.,1.)); #438594=DIRECTION('center_axis',(-0.707106781186525,0.70710678118657,0.)); #438595=DIRECTION('ref_axis',(-0.70710678118657,-0.707106781186525,0.)); #438596=DIRECTION('',(-0.70710678118657,-0.707106781186525,0.)); #438597=DIRECTION('',(-0.70710678118657,-0.707106781186525,0.)); #438598=DIRECTION('',(0.,0.,1.)); #438599=DIRECTION('center_axis',(-0.565439331101256,0.824789890119759,0.)); #438600=DIRECTION('ref_axis',(-0.824789890119759,-0.565439331101256,0.)); #438601=DIRECTION('',(-0.824789890119759,-0.565439331101256,0.)); #438602=DIRECTION('',(-0.824789890119759,-0.565439331101256,0.)); #438603=DIRECTION('',(0.,0.,1.)); #438604=DIRECTION('center_axis',(-0.21467431451675,0.97668569083752,0.)); #438605=DIRECTION('ref_axis',(-0.97668569083752,-0.21467431451675,0.)); #438606=DIRECTION('',(-0.97668569083752,-0.21467431451675,0.)); #438607=DIRECTION('',(-0.97668569083752,-0.21467431451675,0.)); #438608=DIRECTION('',(0.,0.,1.)); #438609=DIRECTION('center_axis',(0.183334818573505,0.983050529880647,0.)); #438610=DIRECTION('ref_axis',(-0.983050529880647,0.183334818573505,0.)); #438611=DIRECTION('',(-0.983050529880647,0.183334818573505,0.)); #438612=DIRECTION('',(-0.983050529880647,0.183334818573505,0.)); #438613=DIRECTION('',(0.,0.,1.)); #438614=DIRECTION('center_axis',(0.552278225392063,0.833659859749643,0.)); #438615=DIRECTION('ref_axis',(-0.833659859749643,0.552278225392063,0.)); #438616=DIRECTION('',(-0.833659859749643,0.552278225392063,0.)); #438617=DIRECTION('',(-0.833659859749643,0.552278225392063,0.)); #438618=DIRECTION('',(0.,0.,1.)); #438619=DIRECTION('center_axis',(0.707106781186525,0.70710678118657,0.)); #438620=DIRECTION('ref_axis',(-0.70710678118657,0.707106781186525,0.)); #438621=DIRECTION('',(-0.70710678118657,0.707106781186525,0.)); #438622=DIRECTION('',(-0.70710678118657,0.707106781186525,0.)); #438623=DIRECTION('',(0.,0.,1.)); #438624=DIRECTION('center_axis',(0.829203833807437,0.558946331948828,0.)); #438625=DIRECTION('ref_axis',(-0.558946331948828,0.829203833807437,0.)); #438626=DIRECTION('',(-0.558946331948828,0.829203833807437,0.)); #438627=DIRECTION('',(-0.558946331948828,0.829203833807437,0.)); #438628=DIRECTION('',(0.,0.,1.)); #438629=DIRECTION('center_axis',(0.980008419561341,0.1989560192326,0.)); #438630=DIRECTION('ref_axis',(-0.1989560192326,0.980008419561341,0.)); #438631=DIRECTION('',(-0.198956019232599,0.980008419561341,0.)); #438632=DIRECTION('',(-0.198956019232599,0.980008419561341,0.)); #438633=DIRECTION('',(0.,0.,1.)); #438634=DIRECTION('center_axis',(1.,0.,0.)); #438635=DIRECTION('ref_axis',(0.,1.,0.)); #438636=DIRECTION('',(0.,1.,0.)); #438637=DIRECTION('',(0.,1.,0.)); #438638=DIRECTION('',(0.,0.,1.)); #438639=DIRECTION('center_axis',(0.981560865252934,-0.191149856928827,0.)); #438640=DIRECTION('ref_axis',(0.191149856928827,0.981560865252934,0.)); #438641=DIRECTION('',(0.191149856928827,0.981560865252934,0.)); #438642=DIRECTION('',(0.191149856928827,0.981560865252934,0.)); #438643=DIRECTION('',(0.,0.,1.)); #438644=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #438645=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #438646=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #438647=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #438648=DIRECTION('',(0.,0.,1.)); #438649=DIRECTION('center_axis',(0.707106781186536,-0.707106781186559,0.)); #438650=DIRECTION('ref_axis',(0.707106781186559,0.707106781186536,0.)); #438651=DIRECTION('',(0.707106781186559,0.707106781186536,0.)); #438652=DIRECTION('',(0.707106781186559,0.707106781186536,0.)); #438653=DIRECTION('',(0.,0.,1.)); #438654=DIRECTION('center_axis',(0.572058771549548,-0.820212632122441,0.)); #438655=DIRECTION('ref_axis',(0.820212632122441,0.572058771549548,0.)); #438656=DIRECTION('',(0.820212632122441,0.572058771549548,0.)); #438657=DIRECTION('',(0.820212632122441,0.572058771549548,0.)); #438658=DIRECTION('center_axis',(0.,0.,1.)); #438659=DIRECTION('ref_axis',(1.,0.,0.)); #438660=DIRECTION('center_axis',(0.,0.,1.)); #438661=DIRECTION('ref_axis',(1.,0.,0.)); #438662=DIRECTION('center_axis',(0.198956019232571,-0.980008419561347,0.)); #438663=DIRECTION('ref_axis',(0.980008419561347,0.198956019232571,0.)); #438664=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #438665=DIRECTION('',(0.,0.,1.)); #438666=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #438667=DIRECTION('',(0.,0.,1.)); #438668=DIRECTION('center_axis',(0.,-1.,0.)); #438669=DIRECTION('ref_axis',(1.,0.,0.)); #438670=DIRECTION('',(1.,0.,0.)); #438671=DIRECTION('',(1.,0.,0.)); #438672=DIRECTION('',(0.,0.,1.)); #438673=DIRECTION('center_axis',(-0.183305290257262,-0.983056036329416, 0.)); #438674=DIRECTION('ref_axis',(0.983056036329416,-0.183305290257262,0.)); #438675=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #438676=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #438677=DIRECTION('',(0.,0.,1.)); #438678=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #438679=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #438680=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #438681=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #438682=DIRECTION('',(0.,0.,1.)); #438683=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #438684=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #438685=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #438686=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #438687=DIRECTION('',(0.,0.,1.)); #438688=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #438689=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #438690=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #438691=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #438692=DIRECTION('',(0.,0.,1.)); #438693=DIRECTION('center_axis',(-1.,0.,0.)); #438694=DIRECTION('ref_axis',(0.,-1.,0.)); #438695=DIRECTION('',(0.,-1.,0.)); #438696=DIRECTION('',(0.,-1.,0.)); #438697=DIRECTION('',(0.,0.,1.)); #438698=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #438699=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #438700=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #438701=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #438702=DIRECTION('',(0.,0.,1.)); #438703=DIRECTION('center_axis',(-0.842362410729329,0.538911466745951,0.)); #438704=DIRECTION('ref_axis',(-0.538911466745951,-0.842362410729329,0.)); #438705=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #438706=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #438707=DIRECTION('',(0.,0.,1.)); #438708=DIRECTION('center_axis',(-0.565504004715031,0.82474554903392,0.)); #438709=DIRECTION('ref_axis',(-0.82474554903392,-0.565504004715031,0.)); #438710=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #438711=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #438712=DIRECTION('',(0.,0.,1.)); #438713=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #438714=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #438715=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #438716=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #438717=DIRECTION('',(0.,0.,1.)); #438718=DIRECTION('center_axis',(0.,1.,0.)); #438719=DIRECTION('ref_axis',(-1.,0.,0.)); #438720=DIRECTION('',(-1.,0.,0.)); #438721=DIRECTION('',(-1.,0.,0.)); #438722=DIRECTION('',(0.,0.,1.)); #438723=DIRECTION('center_axis',(0.171182647289723,0.985239311673505,0.)); #438724=DIRECTION('ref_axis',(-0.985239311673505,0.171182647289723,0.)); #438725=DIRECTION('',(-0.985239311673505,0.171182647289723,0.)); #438726=DIRECTION('',(-0.985239311673505,0.171182647289723,0.)); #438727=DIRECTION('',(0.,0.,1.)); #438728=DIRECTION('center_axis',(0.517890952933023,0.855446644081398,0.)); #438729=DIRECTION('ref_axis',(-0.855446644081398,0.517890952933023,0.)); #438730=DIRECTION('',(-0.855446644081398,0.517890952933023,0.)); #438731=DIRECTION('',(-0.855446644081398,0.517890952933023,0.)); #438732=DIRECTION('',(0.,0.,1.)); #438733=DIRECTION('center_axis',(0.810521822508528,0.585708438762371,0.)); #438734=DIRECTION('ref_axis',(-0.585708438762371,0.810521822508528,0.)); #438735=DIRECTION('',(-0.585708438762371,0.810521822508528,0.)); #438736=DIRECTION('',(-0.585708438762371,0.810521822508528,0.)); #438737=DIRECTION('',(0.,0.,1.)); #438738=DIRECTION('center_axis',(0.974774329673769,0.223192755727094,0.)); #438739=DIRECTION('ref_axis',(-0.223192755727094,0.974774329673769,0.)); #438740=DIRECTION('',(-0.223192755727094,0.974774329673769,0.)); #438741=DIRECTION('',(-0.223192755727094,0.974774329673769,0.)); #438742=DIRECTION('',(0.,0.,1.)); #438743=DIRECTION('center_axis',(0.984612470278251,-0.174752062570259,0.)); #438744=DIRECTION('ref_axis',(0.174752062570259,0.984612470278251,0.)); #438745=DIRECTION('',(0.174752062570259,0.984612470278251,0.)); #438746=DIRECTION('',(0.174752062570259,0.984612470278251,0.)); #438747=DIRECTION('',(0.,0.,1.)); #438748=DIRECTION('center_axis',(0.838491829742335,-0.544914168888415,0.)); #438749=DIRECTION('ref_axis',(0.544914168888415,0.838491829742334,0.)); #438750=DIRECTION('',(0.544914168888415,0.838491829742334,0.)); #438751=DIRECTION('',(0.544914168888415,0.838491829742334,0.)); #438752=DIRECTION('',(0.,0.,1.)); #438753=DIRECTION('center_axis',(0.713285232756844,-0.700873866491693,0.)); #438754=DIRECTION('ref_axis',(0.700873866491693,0.713285232756844,0.)); #438755=DIRECTION('',(0.700873866491693,0.713285232756844,0.)); #438756=DIRECTION('',(0.700873866491693,0.713285232756844,0.)); #438757=DIRECTION('',(0.,0.,1.)); #438758=DIRECTION('center_axis',(0.562560636388235,-0.826756028333912,0.)); #438759=DIRECTION('ref_axis',(0.826756028333912,0.562560636388235,0.)); #438760=DIRECTION('',(0.826756028333912,0.562560636388235,0.)); #438761=DIRECTION('',(0.826756028333912,0.562560636388235,0.)); #438762=DIRECTION('center_axis',(0.,0.,1.)); #438763=DIRECTION('ref_axis',(1.,0.,0.)); #438764=DIRECTION('center_axis',(0.,0.,1.)); #438765=DIRECTION('ref_axis',(1.,0.,0.)); #438766=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #438767=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #438768=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #438769=DIRECTION('',(0.,0.,1.)); #438770=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #438771=DIRECTION('',(0.,0.,1.)); #438772=DIRECTION('center_axis',(0.,-1.,0.)); #438773=DIRECTION('ref_axis',(1.,0.,0.)); #438774=DIRECTION('',(1.,0.,0.)); #438775=DIRECTION('',(1.,0.,0.)); #438776=DIRECTION('',(0.,0.,1.)); #438777=DIRECTION('center_axis',(-0.191149856928945,-0.981560865252911, 0.)); #438778=DIRECTION('ref_axis',(0.981560865252911,-0.191149856928945,0.)); #438779=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #438780=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #438781=DIRECTION('',(0.,0.,1.)); #438782=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #438783=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #438784=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #438785=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #438786=DIRECTION('',(0.,0.,1.)); #438787=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #438788=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #438789=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #438790=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #438791=DIRECTION('',(0.,0.,1.)); #438792=DIRECTION('center_axis',(0.,-1.,0.)); #438793=DIRECTION('ref_axis',(1.,0.,0.)); #438794=DIRECTION('',(1.,0.,0.)); #438795=DIRECTION('',(1.,0.,0.)); #438796=DIRECTION('',(0.,0.,1.)); #438797=DIRECTION('center_axis',(-0.183305290257262,-0.983056036329416, 0.)); #438798=DIRECTION('ref_axis',(0.983056036329416,-0.183305290257262,0.)); #438799=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #438800=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #438801=DIRECTION('',(0.,0.,1.)); #438802=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #438803=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #438804=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #438805=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #438806=DIRECTION('',(0.,0.,1.)); #438807=DIRECTION('center_axis',(-0.824745549033988,-0.565504004714931, 0.)); #438808=DIRECTION('ref_axis',(0.565504004714931,-0.824745549033988,0.)); #438809=DIRECTION('',(0.565504004714931,-0.824745549033988,0.)); #438810=DIRECTION('',(0.565504004714931,-0.824745549033988,0.)); #438811=DIRECTION('',(0.,0.,1.)); #438812=DIRECTION('center_axis',(-0.98000841956129,-0.198956019232852,0.)); #438813=DIRECTION('ref_axis',(0.198956019232852,-0.98000841956129,0.)); #438814=DIRECTION('',(0.198956019232852,-0.98000841956129,0.)); #438815=DIRECTION('',(0.198956019232852,-0.98000841956129,0.)); #438816=DIRECTION('',(0.,0.,1.)); #438817=DIRECTION('center_axis',(-1.,0.,0.)); #438818=DIRECTION('ref_axis',(0.,-1.,0.)); #438819=DIRECTION('',(0.,-1.,0.)); #438820=DIRECTION('',(0.,-1.,0.)); #438821=DIRECTION('',(0.,0.,1.)); #438822=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #438823=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #438824=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #438825=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #438826=DIRECTION('',(0.,0.,1.)); #438827=DIRECTION('center_axis',(0.,-1.,0.)); #438828=DIRECTION('ref_axis',(1.,0.,0.)); #438829=DIRECTION('',(1.,0.,0.)); #438830=DIRECTION('',(1.,0.,0.)); #438831=DIRECTION('',(0.,0.,1.)); #438832=DIRECTION('center_axis',(-0.183305290257262,-0.983056036329416, 0.)); #438833=DIRECTION('ref_axis',(0.983056036329416,-0.183305290257262,0.)); #438834=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #438835=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #438836=DIRECTION('',(0.,0.,1.)); #438837=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #438838=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #438839=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #438840=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #438841=DIRECTION('',(0.,0.,1.)); #438842=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #438843=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #438844=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #438845=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #438846=DIRECTION('',(0.,0.,1.)); #438847=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #438848=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #438849=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #438850=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #438851=DIRECTION('',(0.,0.,1.)); #438852=DIRECTION('center_axis',(-1.,0.,0.)); #438853=DIRECTION('ref_axis',(0.,-1.,0.)); #438854=DIRECTION('',(0.,-1.,0.)); #438855=DIRECTION('',(0.,-1.,0.)); #438856=DIRECTION('',(0.,0.,1.)); #438857=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #438858=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #438859=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #438860=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #438861=DIRECTION('',(0.,0.,1.)); #438862=DIRECTION('center_axis',(-0.842362410729329,0.538911466745951,0.)); #438863=DIRECTION('ref_axis',(-0.538911466745951,-0.842362410729329,0.)); #438864=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #438865=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #438866=DIRECTION('',(0.,0.,1.)); #438867=DIRECTION('center_axis',(-0.565504004714963,0.824745549033967,0.)); #438868=DIRECTION('ref_axis',(-0.824745549033967,-0.565504004714963,0.)); #438869=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #438870=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #438871=DIRECTION('',(0.,0.,1.)); #438872=DIRECTION('center_axis',(-0.198909787659726,0.980017804110294,0.)); #438873=DIRECTION('ref_axis',(-0.980017804110294,-0.198909787659726,0.)); #438874=DIRECTION('',(-0.980017804110294,-0.198909787659726,0.)); #438875=DIRECTION('',(-0.980017804110294,-0.198909787659726,0.)); #438876=DIRECTION('',(0.,0.,1.)); #438877=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #438878=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #438879=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #438880=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #438881=DIRECTION('',(0.,0.,1.)); #438882=DIRECTION('center_axis',(-1.,0.,0.)); #438883=DIRECTION('ref_axis',(0.,-1.,0.)); #438884=DIRECTION('',(0.,-1.,0.)); #438885=DIRECTION('',(0.,-1.,0.)); #438886=DIRECTION('',(0.,0.,1.)); #438887=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #438888=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #438889=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #438890=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #438891=DIRECTION('',(0.,0.,1.)); #438892=DIRECTION('center_axis',(-0.842362410729372,0.538911466745885,0.)); #438893=DIRECTION('ref_axis',(-0.538911466745885,-0.842362410729372,0.)); #438894=DIRECTION('',(-0.538911466745885,-0.842362410729372,0.)); #438895=DIRECTION('',(-0.538911466745885,-0.842362410729372,0.)); #438896=DIRECTION('',(0.,0.,1.)); #438897=DIRECTION('center_axis',(-0.565504004714863,0.824745549034035,0.)); #438898=DIRECTION('ref_axis',(-0.824745549034035,-0.565504004714863,0.)); #438899=DIRECTION('',(-0.824745549034035,-0.565504004714863,0.)); #438900=DIRECTION('',(-0.824745549034035,-0.565504004714863,0.)); #438901=DIRECTION('',(0.,0.,1.)); #438902=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #438903=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #438904=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #438905=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #438906=DIRECTION('',(0.,0.,1.)); #438907=DIRECTION('center_axis',(0.,1.,0.)); #438908=DIRECTION('ref_axis',(-1.,0.,0.)); #438909=DIRECTION('',(-1.,0.,0.)); #438910=DIRECTION('',(-1.,0.,0.)); #438911=DIRECTION('',(0.,0.,1.)); #438912=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #438913=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #438914=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #438915=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #438916=DIRECTION('',(0.,0.,1.)); #438917=DIRECTION('center_axis',(0.538911466746018,0.842362410729287,0.)); #438918=DIRECTION('ref_axis',(-0.842362410729287,0.538911466746018,0.)); #438919=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #438920=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #438921=DIRECTION('',(0.,0.,1.)); #438922=DIRECTION('center_axis',(0.824745549033899,0.565504004715062,0.)); #438923=DIRECTION('ref_axis',(-0.565504004715062,0.824745549033899,0.)); #438924=DIRECTION('',(-0.565504004715062,0.824745549033899,0.)); #438925=DIRECTION('',(-0.565504004715062,0.824745549033899,0.)); #438926=DIRECTION('',(0.,0.,1.)); #438927=DIRECTION('center_axis',(0.980003828794034,0.198978630885413,0.)); #438928=DIRECTION('ref_axis',(-0.198978630885413,0.980003828794034,0.)); #438929=DIRECTION('',(-0.198978630885413,0.980003828794034,0.)); #438930=DIRECTION('',(-0.198978630885413,0.980003828794034,0.)); #438931=DIRECTION('',(0.,0.,1.)); #438932=DIRECTION('center_axis',(0.707105370129522,0.707108192240757,0.)); #438933=DIRECTION('ref_axis',(-0.707108192240757,0.707105370129522,0.)); #438934=DIRECTION('',(-0.707108192240757,0.707105370129522,0.)); #438935=DIRECTION('',(-0.707108192240757,0.707105370129522,0.)); #438936=DIRECTION('',(0.,0.,1.)); #438937=DIRECTION('center_axis',(0.,1.,0.)); #438938=DIRECTION('ref_axis',(-1.,0.,0.)); #438939=DIRECTION('',(-1.,0.,0.)); #438940=DIRECTION('',(-1.,0.,0.)); #438941=DIRECTION('',(0.,0.,1.)); #438942=DIRECTION('center_axis',(0.191149856928917,0.981560865252917,0.)); #438943=DIRECTION('ref_axis',(-0.981560865252917,0.191149856928917,0.)); #438944=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #438945=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #438946=DIRECTION('',(0.,0.,1.)); #438947=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #438948=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #438949=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #438950=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #438951=DIRECTION('',(0.,0.,1.)); #438952=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #438953=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #438954=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #438955=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #438956=DIRECTION('',(0.,0.,1.)); #438957=DIRECTION('center_axis',(0.829203833807341,0.558946331948969,0.)); #438958=DIRECTION('ref_axis',(-0.558946331948969,0.829203833807341,0.)); #438959=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #438960=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #438961=DIRECTION('',(0.,0.,1.)); #438962=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #438963=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #438964=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #438965=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #438966=DIRECTION('',(0.,0.,1.)); #438967=DIRECTION('center_axis',(1.,0.,0.)); #438968=DIRECTION('ref_axis',(0.,1.,0.)); #438969=DIRECTION('',(0.,1.,0.)); #438970=DIRECTION('',(0.,1.,0.)); #438971=DIRECTION('',(0.,0.,1.)); #438972=DIRECTION('center_axis',(0.707110741027299,0.70710282132362,0.)); #438973=DIRECTION('ref_axis',(-0.70710282132362,0.707110741027299,0.)); #438974=DIRECTION('',(-0.70710282132362,0.707110741027299,0.)); #438975=DIRECTION('',(-0.70710282132362,0.707110741027299,0.)); #438976=DIRECTION('',(0.,0.,1.)); #438977=DIRECTION('center_axis',(0.820212632122573,0.572058771549358,0.)); #438978=DIRECTION('ref_axis',(-0.572058771549358,0.820212632122573,0.)); #438979=DIRECTION('',(-0.572058771549358,0.820212632122573,0.)); #438980=DIRECTION('',(-0.572058771549358,0.820212632122573,0.)); #438981=DIRECTION('',(0.,0.,1.)); #438982=DIRECTION('center_axis',(0.973144071552994,0.230196907019318,0.)); #438983=DIRECTION('ref_axis',(-0.230196907019318,0.973144071552994,0.)); #438984=DIRECTION('',(-0.230196907019318,0.973144071552994,0.)); #438985=DIRECTION('',(-0.230196907019318,0.973144071552994,0.)); #438986=DIRECTION('',(0.,0.,1.)); #438987=DIRECTION('center_axis',(0.985842168327521,-0.16767593490806,0.)); #438988=DIRECTION('ref_axis',(0.16767593490806,0.985842168327521,0.)); #438989=DIRECTION('',(0.167675934908059,0.985842168327521,0.)); #438990=DIRECTION('',(0.167675934908059,0.985842168327521,0.)); #438991=DIRECTION('',(0.,0.,1.)); #438992=DIRECTION('center_axis',(0.84237737907358,-0.538888069291876,0.)); #438993=DIRECTION('ref_axis',(0.538888069291876,0.84237737907358,0.)); #438994=DIRECTION('',(0.538888069291876,0.84237737907358,0.)); #438995=DIRECTION('',(0.538888069291876,0.84237737907358,0.)); #438996=DIRECTION('',(0.,0.,1.)); #438997=DIRECTION('center_axis',(0.565488626065756,-0.824756093515085,0.)); #438998=DIRECTION('ref_axis',(0.824756093515085,0.565488626065756,0.)); #438999=DIRECTION('',(0.824756093515085,0.565488626065756,0.)); #439000=DIRECTION('',(0.824756093515085,0.565488626065756,0.)); #439001=DIRECTION('center_axis',(0.,0.,1.)); #439002=DIRECTION('ref_axis',(1.,0.,0.)); #439003=DIRECTION('center_axis',(0.,0.,1.)); #439004=DIRECTION('ref_axis',(1.,0.,0.)); #439005=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #439006=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #439007=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #439008=DIRECTION('',(0.,0.,1.)); #439009=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #439010=DIRECTION('',(0.,0.,1.)); #439011=DIRECTION('center_axis',(0.,-1.,0.)); #439012=DIRECTION('ref_axis',(1.,0.,0.)); #439013=DIRECTION('',(1.,0.,0.)); #439014=DIRECTION('',(1.,0.,0.)); #439015=DIRECTION('',(0.,0.,1.)); #439016=DIRECTION('center_axis',(-0.171189112142556,-0.985238188401081, 0.)); #439017=DIRECTION('ref_axis',(0.985238188401081,-0.171189112142556,0.)); #439018=DIRECTION('',(0.985238188401081,-0.171189112142556,0.)); #439019=DIRECTION('',(0.985238188401081,-0.171189112142556,0.)); #439020=DIRECTION('',(0.,0.,1.)); #439021=DIRECTION('center_axis',(-0.51787634482484,-0.855455487720351,0.)); #439022=DIRECTION('ref_axis',(0.855455487720351,-0.51787634482484,0.)); #439023=DIRECTION('',(0.855455487720351,-0.51787634482484,0.)); #439024=DIRECTION('',(0.855455487720351,-0.51787634482484,0.)); #439025=DIRECTION('',(0.,0.,1.)); #439026=DIRECTION('center_axis',(-0.810521822508528,-0.585708438762371, 0.)); #439027=DIRECTION('ref_axis',(0.585708438762371,-0.810521822508528,0.)); #439028=DIRECTION('',(0.585708438762371,-0.810521822508528,0.)); #439029=DIRECTION('',(0.585708438762371,-0.810521822508528,0.)); #439030=DIRECTION('',(0.,0.,1.)); #439031=DIRECTION('center_axis',(-0.97478150286602,-0.223161425139436,0.)); #439032=DIRECTION('ref_axis',(0.223161425139436,-0.97478150286602,0.)); #439033=DIRECTION('',(0.223161425139436,-0.97478150286602,0.)); #439034=DIRECTION('',(0.223161425139436,-0.97478150286602,0.)); #439035=DIRECTION('',(0.,0.,1.)); #439036=DIRECTION('center_axis',(-0.98461814307028,0.174720097117746,0.)); #439037=DIRECTION('ref_axis',(-0.174720097117746,-0.98461814307028,0.)); #439038=DIRECTION('',(-0.174720097117746,-0.984618143070279,0.)); #439039=DIRECTION('',(-0.174720097117746,-0.984618143070279,0.)); #439040=DIRECTION('',(0.,0.,1.)); #439041=DIRECTION('center_axis',(-0.838476763151268,0.544937352046429,0.)); #439042=DIRECTION('ref_axis',(-0.544937352046428,-0.838476763151268,0.)); #439043=DIRECTION('',(-0.544937352046428,-0.838476763151268,0.)); #439044=DIRECTION('',(-0.544937352046428,-0.838476763151268,0.)); #439045=DIRECTION('',(0.,0.,1.)); #439046=DIRECTION('center_axis',(-0.713285424235251,0.700873671622453,0.)); #439047=DIRECTION('ref_axis',(-0.700873671622453,-0.713285424235251,0.)); #439048=DIRECTION('',(-0.700873671622453,-0.713285424235251,0.)); #439049=DIRECTION('',(-0.700873671622453,-0.713285424235251,0.)); #439050=DIRECTION('',(0.,0.,1.)); #439051=DIRECTION('center_axis',(-0.562560636388235,0.826756028333912,0.)); #439052=DIRECTION('ref_axis',(-0.826756028333912,-0.562560636388235,0.)); #439053=DIRECTION('',(-0.826756028333912,-0.562560636388235,0.)); #439054=DIRECTION('',(-0.826756028333912,-0.562560636388235,0.)); #439055=DIRECTION('',(0.,0.,1.)); #439056=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #439057=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #439058=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #439059=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #439060=DIRECTION('',(0.,0.,1.)); #439061=DIRECTION('center_axis',(0.,1.,0.)); #439062=DIRECTION('ref_axis',(-1.,0.,0.)); #439063=DIRECTION('',(-1.,0.,0.)); #439064=DIRECTION('',(-1.,0.,0.)); #439065=DIRECTION('',(0.,0.,1.)); #439066=DIRECTION('center_axis',(0.175502059386013,0.984479063846087,0.)); #439067=DIRECTION('ref_axis',(-0.984479063846087,0.175502059386013,0.)); #439068=DIRECTION('',(-0.984479063846087,0.175502059386013,0.)); #439069=DIRECTION('',(-0.984479063846087,0.175502059386013,0.)); #439070=DIRECTION('',(0.,0.,1.)); #439071=DIRECTION('center_axis',(0.525358802048774,0.85088079606363,0.)); #439072=DIRECTION('ref_axis',(-0.85088079606363,0.525358802048774,0.)); #439073=DIRECTION('',(-0.85088079606363,0.525358802048774,0.)); #439074=DIRECTION('',(-0.85088079606363,0.525358802048774,0.)); #439075=DIRECTION('',(0.,0.,1.)); #439076=DIRECTION('center_axis',(0.815709411156831,0.578461888589193,0.)); #439077=DIRECTION('ref_axis',(-0.578461888589193,0.815709411156831,0.)); #439078=DIRECTION('',(-0.578461888589193,0.815709411156831,0.)); #439079=DIRECTION('',(-0.578461888589193,0.815709411156831,0.)); #439080=DIRECTION('',(0.,0.,1.)); #439081=DIRECTION('center_axis',(0.976685690837557,0.214674314516579,0.)); #439082=DIRECTION('ref_axis',(-0.214674314516579,0.976685690837557,0.)); #439083=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #439084=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #439085=DIRECTION('',(0.,0.,1.)); #439086=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #439087=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #439088=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #439089=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #439090=DIRECTION('',(0.,0.,1.)); #439091=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #439092=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #439093=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #439094=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #439095=DIRECTION('',(0.,0.,1.)); #439096=DIRECTION('center_axis',(0.707110741027282,-0.707102821323638,0.)); #439097=DIRECTION('ref_axis',(0.707102821323638,0.707110741027282,0.)); #439098=DIRECTION('',(0.707102821323638,0.707110741027282,0.)); #439099=DIRECTION('',(0.707102821323638,0.707110741027282,0.)); #439100=DIRECTION('',(0.,0.,1.)); #439101=DIRECTION('center_axis',(1.,0.,0.)); #439102=DIRECTION('ref_axis',(0.,1.,0.)); #439103=DIRECTION('',(0.,1.,0.)); #439104=DIRECTION('',(0.,1.,0.)); #439105=DIRECTION('',(0.,0.,1.)); #439106=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #439107=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #439108=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #439109=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #439110=DIRECTION('',(0.,0.,1.)); #439111=DIRECTION('center_axis',(0.,1.,0.)); #439112=DIRECTION('ref_axis',(-1.,0.,0.)); #439113=DIRECTION('',(-1.,0.,0.)); #439114=DIRECTION('',(-1.,0.,0.)); #439115=DIRECTION('',(0.,0.,1.)); #439116=DIRECTION('center_axis',(-0.707104777838364,0.707108784529055,0.)); #439117=DIRECTION('ref_axis',(-0.707108784529055,-0.707104777838364,0.)); #439118=DIRECTION('',(-0.707108784529055,-0.707104777838364,0.)); #439119=DIRECTION('',(-0.707108784529055,-0.707104777838364,0.)); #439120=DIRECTION('',(0.,0.,1.)); #439121=DIRECTION('center_axis',(-0.558946331948898,0.829203833807389,0.)); #439122=DIRECTION('ref_axis',(-0.829203833807389,-0.558946331948898,0.)); #439123=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #439124=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #439125=DIRECTION('',(0.,0.,1.)); #439126=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #439127=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #439128=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #439129=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #439130=DIRECTION('',(0.,0.,1.)); #439131=DIRECTION('center_axis',(0.,1.,0.)); #439132=DIRECTION('ref_axis',(-1.,0.,0.)); #439133=DIRECTION('',(-1.,0.,0.)); #439134=DIRECTION('',(-1.,0.,0.)); #439135=DIRECTION('',(0.,0.,1.)); #439136=DIRECTION('center_axis',(0.19114985692877,0.981560865252946,0.)); #439137=DIRECTION('ref_axis',(-0.981560865252945,0.19114985692877,0.)); #439138=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #439139=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #439140=DIRECTION('',(0.,0.,1.)); #439141=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #439142=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #439143=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #439144=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #439145=DIRECTION('',(0.,0.,1.)); #439146=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #439147=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #439148=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #439149=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #439150=DIRECTION('',(0.,0.,1.)); #439151=DIRECTION('center_axis',(0.820212632122495,0.57205877154947,0.)); #439152=DIRECTION('ref_axis',(-0.57205877154947,0.820212632122495,0.)); #439153=DIRECTION('',(-0.57205877154947,0.820212632122495,0.)); #439154=DIRECTION('',(-0.57205877154947,0.820212632122495,0.)); #439155=DIRECTION('',(0.,0.,1.)); #439156=DIRECTION('center_axis',(0.973151456886464,0.230165683714478,0.)); #439157=DIRECTION('ref_axis',(-0.230165683714478,0.973151456886464,0.)); #439158=DIRECTION('',(-0.230165683714478,0.973151456886464,0.)); #439159=DIRECTION('',(-0.230165683714478,0.973151456886464,0.)); #439160=DIRECTION('',(0.,0.,1.)); #439161=DIRECTION('center_axis',(0.985847618607414,-0.167643887112211,0.)); #439162=DIRECTION('ref_axis',(0.167643887112211,0.985847618607414,0.)); #439163=DIRECTION('',(0.167643887112211,0.985847618607414,0.)); #439164=DIRECTION('',(0.167643887112211,0.985847618607414,0.)); #439165=DIRECTION('',(0.,0.,1.)); #439166=DIRECTION('center_axis',(0.842362410729263,-0.538911466746055,0.)); #439167=DIRECTION('ref_axis',(0.538911466746055,0.842362410729263,0.)); #439168=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #439169=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #439170=DIRECTION('',(0.,0.,1.)); #439171=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #439172=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #439173=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #439174=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #439175=DIRECTION('center_axis',(0.,0.,1.)); #439176=DIRECTION('ref_axis',(1.,0.,0.)); #439177=DIRECTION('center_axis',(0.,0.,1.)); #439178=DIRECTION('ref_axis',(1.,0.,0.)); #439179=DIRECTION('center_axis',(0.214674314516719,-0.976685690837527,0.)); #439180=DIRECTION('ref_axis',(0.976685690837527,0.214674314516719,0.)); #439181=DIRECTION('',(0.976685690837527,0.214674314516719,0.)); #439182=DIRECTION('',(0.,0.,1.)); #439183=DIRECTION('',(0.976685690837527,0.214674314516719,0.)); #439184=DIRECTION('',(0.,0.,1.)); #439185=DIRECTION('center_axis',(-0.183334818573788,-0.983050529880594, 0.)); #439186=DIRECTION('ref_axis',(0.983050529880594,-0.183334818573788,0.)); #439187=DIRECTION('',(0.983050529880594,-0.183334818573788,0.)); #439188=DIRECTION('',(0.983050529880594,-0.183334818573788,0.)); #439189=DIRECTION('',(0.,0.,1.)); #439190=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #439191=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #439192=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #439193=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #439194=DIRECTION('',(0.,0.,1.)); #439195=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #439196=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #439197=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #439198=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #439199=DIRECTION('',(0.,0.,1.)); #439200=DIRECTION('center_axis',(-0.82920383380746,-0.558946331948794,0.)); #439201=DIRECTION('ref_axis',(0.558946331948794,-0.82920383380746,0.)); #439202=DIRECTION('',(0.558946331948794,-0.82920383380746,0.)); #439203=DIRECTION('',(0.558946331948794,-0.82920383380746,0.)); #439204=DIRECTION('',(0.,0.,1.)); #439205=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #439206=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #439207=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #439208=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #439209=DIRECTION('',(0.,0.,1.)); #439210=DIRECTION('center_axis',(-1.,0.,0.)); #439211=DIRECTION('ref_axis',(0.,-1.,0.)); #439212=DIRECTION('',(0.,-1.,0.)); #439213=DIRECTION('',(0.,-1.,0.)); #439214=DIRECTION('',(0.,0.,1.)); #439215=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #439216=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #439217=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #439218=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #439219=DIRECTION('',(0.,0.,1.)); #439220=DIRECTION('center_axis',(-0.833659859749822,0.552278225391792,0.)); #439221=DIRECTION('ref_axis',(-0.552278225391792,-0.833659859749822,0.)); #439222=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #439223=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #439224=DIRECTION('',(0.,0.,1.)); #439225=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #439226=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #439227=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #439228=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #439229=DIRECTION('',(0.,0.,1.)); #439230=DIRECTION('center_axis',(-0.56543933110129,0.824789890119736,0.)); #439231=DIRECTION('ref_axis',(-0.824789890119736,-0.56543933110129,0.)); #439232=DIRECTION('',(-0.824789890119736,-0.56543933110129,0.)); #439233=DIRECTION('',(-0.824789890119736,-0.56543933110129,0.)); #439234=DIRECTION('',(0.,0.,1.)); #439235=DIRECTION('center_axis',(-0.214674314516579,0.976685690837557,0.)); #439236=DIRECTION('ref_axis',(-0.976685690837557,-0.214674314516579,0.)); #439237=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #439238=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #439239=DIRECTION('',(0.,0.,1.)); #439240=DIRECTION('center_axis',(0.183334818573505,0.983050529880647,0.)); #439241=DIRECTION('ref_axis',(-0.983050529880647,0.183334818573505,0.)); #439242=DIRECTION('',(-0.983050529880647,0.183334818573505,0.)); #439243=DIRECTION('',(-0.983050529880647,0.183334818573505,0.)); #439244=DIRECTION('',(0.,0.,1.)); #439245=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #439246=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #439247=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #439248=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #439249=DIRECTION('',(0.,0.,1.)); #439250=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #439251=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #439252=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #439253=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #439254=DIRECTION('',(0.,0.,1.)); #439255=DIRECTION('center_axis',(0.82920383380746,0.558946331948794,0.)); #439256=DIRECTION('ref_axis',(-0.558946331948794,0.82920383380746,0.)); #439257=DIRECTION('',(-0.558946331948794,0.82920383380746,0.)); #439258=DIRECTION('',(-0.558946331948794,0.82920383380746,0.)); #439259=DIRECTION('',(0.,0.,1.)); #439260=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #439261=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #439262=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #439263=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #439264=DIRECTION('',(0.,0.,1.)); #439265=DIRECTION('center_axis',(1.,0.,0.)); #439266=DIRECTION('ref_axis',(0.,1.,0.)); #439267=DIRECTION('',(0.,1.,0.)); #439268=DIRECTION('',(0.,1.,0.)); #439269=DIRECTION('',(0.,0.,1.)); #439270=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #439271=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #439272=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #439273=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #439274=DIRECTION('',(0.,0.,1.)); #439275=DIRECTION('center_axis',(0.833659859749822,-0.552278225391792,0.)); #439276=DIRECTION('ref_axis',(0.552278225391792,0.833659859749822,0.)); #439277=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #439278=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #439279=DIRECTION('',(0.,0.,1.)); #439280=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #439281=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #439282=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #439283=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #439284=DIRECTION('',(0.,0.,1.)); #439285=DIRECTION('center_axis',(0.565439331101182,-0.82478989011981,0.)); #439286=DIRECTION('ref_axis',(0.82478989011981,0.565439331101182,0.)); #439287=DIRECTION('',(0.82478989011981,0.565439331101182,0.)); #439288=DIRECTION('',(0.82478989011981,0.565439331101182,0.)); #439289=DIRECTION('center_axis',(0.,0.,1.)); #439290=DIRECTION('ref_axis',(1.,0.,0.)); #439291=DIRECTION('center_axis',(0.,0.,1.)); #439292=DIRECTION('ref_axis',(1.,0.,0.)); #439293=DIRECTION('center_axis',(0.198956019232571,-0.980008419561347,0.)); #439294=DIRECTION('ref_axis',(0.980008419561347,0.198956019232571,0.)); #439295=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #439296=DIRECTION('',(0.,0.,1.)); #439297=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #439298=DIRECTION('',(0.,0.,1.)); #439299=DIRECTION('center_axis',(0.,-1.,0.)); #439300=DIRECTION('ref_axis',(1.,0.,0.)); #439301=DIRECTION('',(1.,0.,0.)); #439302=DIRECTION('',(1.,0.,0.)); #439303=DIRECTION('',(0.,0.,1.)); #439304=DIRECTION('center_axis',(-0.191149856928798,-0.98156086525294,0.)); #439305=DIRECTION('ref_axis',(0.98156086525294,-0.191149856928798,0.)); #439306=DIRECTION('',(0.98156086525294,-0.191149856928798,0.)); #439307=DIRECTION('',(0.98156086525294,-0.191149856928798,0.)); #439308=DIRECTION('',(0.,0.,1.)); #439309=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #439310=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #439311=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #439312=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #439313=DIRECTION('',(0.,0.,1.)); #439314=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #439315=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #439316=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #439317=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #439318=DIRECTION('',(0.,0.,1.)); #439319=DIRECTION('center_axis',(-0.820212632122573,-0.572058771549358, 0.)); #439320=DIRECTION('ref_axis',(0.572058771549358,-0.820212632122573,0.)); #439321=DIRECTION('',(0.572058771549358,-0.820212632122573,0.)); #439322=DIRECTION('',(0.572058771549358,-0.820212632122573,0.)); #439323=DIRECTION('',(0.,0.,1.)); #439324=DIRECTION('center_axis',(-0.973151456886431,-0.230165683714617, 0.)); #439325=DIRECTION('ref_axis',(0.230165683714617,-0.973151456886431,0.)); #439326=DIRECTION('',(0.230165683714617,-0.973151456886431,0.)); #439327=DIRECTION('',(0.230165683714617,-0.973151456886431,0.)); #439328=DIRECTION('',(0.,0.,1.)); #439329=DIRECTION('center_axis',(-0.985847618607389,0.167643887112353,0.)); #439330=DIRECTION('ref_axis',(-0.167643887112353,-0.985847618607389,0.)); #439331=DIRECTION('',(-0.167643887112353,-0.985847618607389,0.)); #439332=DIRECTION('',(-0.167643887112353,-0.985847618607389,0.)); #439333=DIRECTION('',(0.,0.,1.)); #439334=DIRECTION('center_axis',(-0.842362410729263,0.538911466746055,0.)); #439335=DIRECTION('ref_axis',(-0.538911466746055,-0.842362410729263,0.)); #439336=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #439337=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #439338=DIRECTION('',(0.,0.,1.)); #439339=DIRECTION('center_axis',(-0.565504004715031,0.82474554903392,0.)); #439340=DIRECTION('ref_axis',(-0.82474554903392,-0.565504004715031,0.)); #439341=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #439342=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #439343=DIRECTION('',(0.,0.,1.)); #439344=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #439345=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #439346=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #439347=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #439348=DIRECTION('',(0.,0.,1.)); #439349=DIRECTION('center_axis',(0.,1.,0.)); #439350=DIRECTION('ref_axis',(-1.,0.,0.)); #439351=DIRECTION('',(-1.,0.,0.)); #439352=DIRECTION('',(-1.,0.,0.)); #439353=DIRECTION('',(0.,0.,1.)); #439354=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #439355=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #439356=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #439357=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #439358=DIRECTION('',(0.,0.,1.)); #439359=DIRECTION('center_axis',(0.538911466746018,0.842362410729287,0.)); #439360=DIRECTION('ref_axis',(-0.842362410729287,0.538911466746018,0.)); #439361=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #439362=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #439363=DIRECTION('',(0.,0.,1.)); #439364=DIRECTION('center_axis',(0.824745549033899,0.565504004715062,0.)); #439365=DIRECTION('ref_axis',(-0.565504004715062,0.824745549033899,0.)); #439366=DIRECTION('',(-0.565504004715062,0.824745549033899,0.)); #439367=DIRECTION('',(-0.565504004715062,0.824745549033899,0.)); #439368=DIRECTION('',(0.,0.,1.)); #439369=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #439370=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #439371=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #439372=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #439373=DIRECTION('',(0.,0.,1.)); #439374=DIRECTION('center_axis',(1.,0.,0.)); #439375=DIRECTION('ref_axis',(0.,1.,0.)); #439376=DIRECTION('',(0.,1.,0.)); #439377=DIRECTION('',(0.,1.,0.)); #439378=DIRECTION('',(0.,0.,1.)); #439379=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #439380=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #439381=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #439382=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #439383=DIRECTION('',(0.,0.,1.)); #439384=DIRECTION('center_axis',(0.842362410729287,-0.538911466746018,0.)); #439385=DIRECTION('ref_axis',(0.538911466746018,0.842362410729287,0.)); #439386=DIRECTION('',(0.538911466746018,0.842362410729287,0.)); #439387=DIRECTION('',(0.538911466746018,0.842362410729287,0.)); #439388=DIRECTION('',(0.,0.,1.)); #439389=DIRECTION('center_axis',(0.565504004715062,-0.824745549033899,0.)); #439390=DIRECTION('ref_axis',(0.824745549033899,0.565504004715062,0.)); #439391=DIRECTION('',(0.824745549033899,0.565504004715062,0.)); #439392=DIRECTION('',(0.824745549033899,0.565504004715062,0.)); #439393=DIRECTION('center_axis',(0.,0.,1.)); #439394=DIRECTION('ref_axis',(1.,0.,0.)); #439395=DIRECTION('center_axis',(0.,0.,1.)); #439396=DIRECTION('ref_axis',(1.,0.,0.)); #439397=DIRECTION('center_axis',(0.00448425984527786,-0.999989945656275, 0.)); #439398=DIRECTION('ref_axis',(0.999989945656275,0.00448425984527786,0.)); #439399=DIRECTION('',(0.999989945656275,0.00448425984527786,0.)); #439400=DIRECTION('',(0.,0.,1.)); #439401=DIRECTION('',(0.999989945656275,0.00448425984527786,0.)); #439402=DIRECTION('',(0.,0.,1.)); #439403=DIRECTION('center_axis',(0.,-1.,0.)); #439404=DIRECTION('ref_axis',(1.,0.,0.)); #439405=DIRECTION('',(1.,0.,0.)); #439406=DIRECTION('',(1.,0.,0.)); #439407=DIRECTION('',(0.,0.,1.)); #439408=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #439409=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #439410=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #439411=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #439412=DIRECTION('',(0.,0.,1.)); #439413=DIRECTION('center_axis',(-0.538911466745951,-0.842362410729329, 0.)); #439414=DIRECTION('ref_axis',(0.842362410729329,-0.538911466745951,0.)); #439415=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #439416=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #439417=DIRECTION('',(0.,0.,1.)); #439418=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #439419=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #439420=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #439421=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #439422=DIRECTION('',(0.,0.,1.)); #439423=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #439424=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #439425=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #439426=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #439427=DIRECTION('',(0.,0.,1.)); #439428=DIRECTION('center_axis',(-1.,0.,0.)); #439429=DIRECTION('ref_axis',(0.,-1.,0.)); #439430=DIRECTION('',(0.,-1.,0.)); #439431=DIRECTION('',(0.,-1.,0.)); #439432=DIRECTION('',(0.,0.,1.)); #439433=DIRECTION('center_axis',(-0.982311566963627,0.18725379945268,0.)); #439434=DIRECTION('ref_axis',(-0.18725379945268,-0.982311566963627,0.)); #439435=DIRECTION('',(-0.18725379945268,-0.982311566963627,0.)); #439436=DIRECTION('',(-0.18725379945268,-0.982311566963627,0.)); #439437=DIRECTION('',(0.,0.,1.)); #439438=DIRECTION('center_axis',(-0.838042613670229,0.545604781570663,0.)); #439439=DIRECTION('ref_axis',(-0.545604781570663,-0.838042613670229,0.)); #439440=DIRECTION('',(-0.545604781570663,-0.838042613670229,0.)); #439441=DIRECTION('',(-0.545604781570663,-0.838042613670229,0.)); #439442=DIRECTION('',(0.,0.,1.)); #439443=DIRECTION('center_axis',(-0.55887810789873,0.829249817914684,0.)); #439444=DIRECTION('ref_axis',(-0.829249817914683,-0.55887810789873,0.)); #439445=DIRECTION('',(-0.829249817914683,-0.55887810789873,0.)); #439446=DIRECTION('',(-0.829249817914683,-0.55887810789873,0.)); #439447=DIRECTION('',(0.,0.,1.)); #439448=DIRECTION('center_axis',(-0.382683794236524,0.923879382619148,0.)); #439449=DIRECTION('ref_axis',(-0.923879382619148,-0.382683794236524,0.)); #439450=DIRECTION('',(-0.923879382619148,-0.382683794236524,0.)); #439451=DIRECTION('',(-0.923879382619148,-0.382683794236524,0.)); #439452=DIRECTION('',(0.,0.,1.)); #439453=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #439454=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #439455=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #439456=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #439457=DIRECTION('',(0.,0.,1.)); #439458=DIRECTION('center_axis',(-0.923877363903348,0.382688667805831,0.)); #439459=DIRECTION('ref_axis',(-0.382688667805831,-0.923877363903348,0.)); #439460=DIRECTION('',(-0.382688667805831,-0.923877363903348,0.)); #439461=DIRECTION('',(-0.382688667805831,-0.923877363903348,0.)); #439462=DIRECTION('',(0.,0.,1.)); #439463=DIRECTION('center_axis',(-1.,0.,0.)); #439464=DIRECTION('ref_axis',(0.,-1.,0.)); #439465=DIRECTION('',(0.,-1.,0.)); #439466=DIRECTION('',(0.,-1.,0.)); #439467=DIRECTION('',(0.,0.,1.)); #439468=DIRECTION('center_axis',(-0.923877363903348,-0.382688667805831, 0.)); #439469=DIRECTION('ref_axis',(0.382688667805831,-0.923877363903348,0.)); #439470=DIRECTION('',(0.382688667805831,-0.923877363903348,0.)); #439471=DIRECTION('',(0.382688667805831,-0.923877363903348,0.)); #439472=DIRECTION('',(0.,0.,1.)); #439473=DIRECTION('center_axis',(-0.707106781186539,-0.707106781186556, 0.)); #439474=DIRECTION('ref_axis',(0.707106781186556,-0.707106781186539,0.)); #439475=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #439476=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #439477=DIRECTION('',(0.,0.,1.)); #439478=DIRECTION('center_axis',(-0.820212632122627,-0.572058771549281, 0.)); #439479=DIRECTION('ref_axis',(0.572058771549281,-0.820212632122627,0.)); #439480=DIRECTION('',(0.572058771549281,-0.820212632122627,0.)); #439481=DIRECTION('',(0.572058771549281,-0.820212632122627,0.)); #439482=DIRECTION('',(0.,0.,1.)); #439483=DIRECTION('center_axis',(-0.973144071552987,-0.230196907019351, 0.)); #439484=DIRECTION('ref_axis',(0.230196907019351,-0.973144071552987,0.)); #439485=DIRECTION('',(0.230196907019351,-0.973144071552987,0.)); #439486=DIRECTION('',(0.230196907019351,-0.973144071552987,0.)); #439487=DIRECTION('',(0.,0.,1.)); #439488=DIRECTION('center_axis',(-0.985842168327525,0.167675934908035,0.)); #439489=DIRECTION('ref_axis',(-0.167675934908035,-0.985842168327525,0.)); #439490=DIRECTION('',(-0.167675934908035,-0.985842168327525,0.)); #439491=DIRECTION('',(-0.167675934908035,-0.985842168327525,0.)); #439492=DIRECTION('',(0.,0.,1.)); #439493=DIRECTION('center_axis',(-0.84236241072922,0.538911466746122,0.)); #439494=DIRECTION('ref_axis',(-0.538911466746122,-0.84236241072922,0.)); #439495=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #439496=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #439497=DIRECTION('',(0.,0.,1.)); #439498=DIRECTION('center_axis',(-0.565504004715131,0.824745549033852,0.)); #439499=DIRECTION('ref_axis',(-0.824745549033852,-0.565504004715131,0.)); #439500=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #439501=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #439502=DIRECTION('',(0.,0.,1.)); #439503=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #439504=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #439505=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #439506=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #439507=DIRECTION('',(0.,0.,1.)); #439508=DIRECTION('center_axis',(0.,1.,0.)); #439509=DIRECTION('ref_axis',(-1.,0.,0.)); #439510=DIRECTION('',(-1.,0.,0.)); #439511=DIRECTION('',(-1.,0.,0.)); #439512=DIRECTION('',(0.,0.,1.)); #439513=DIRECTION('center_axis',(0.175502059386041,0.984479063846082,0.)); #439514=DIRECTION('ref_axis',(-0.984479063846082,0.175502059386041,0.)); #439515=DIRECTION('',(-0.984479063846082,0.175502059386041,0.)); #439516=DIRECTION('',(-0.984479063846082,0.175502059386041,0.)); #439517=DIRECTION('',(0.,0.,1.)); #439518=DIRECTION('center_axis',(0.525358802048839,0.85088079606359,0.)); #439519=DIRECTION('ref_axis',(-0.85088079606359,0.525358802048839,0.)); #439520=DIRECTION('',(-0.85088079606359,0.525358802048839,0.)); #439521=DIRECTION('',(-0.85088079606359,0.525358802048839,0.)); #439522=DIRECTION('',(0.,0.,1.)); #439523=DIRECTION('center_axis',(0.815709411156693,0.578461888589388,0.)); #439524=DIRECTION('ref_axis',(-0.578461888589387,0.815709411156693,0.)); #439525=DIRECTION('',(-0.578461888589388,0.815709411156693,0.)); #439526=DIRECTION('',(-0.578461888589388,0.815709411156693,0.)); #439527=DIRECTION('',(0.,0.,1.)); #439528=DIRECTION('center_axis',(0.976685690837557,0.214674314516579,0.)); #439529=DIRECTION('ref_axis',(-0.214674314516579,0.976685690837557,0.)); #439530=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #439531=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #439532=DIRECTION('',(0.,0.,1.)); #439533=DIRECTION('center_axis',(0.983050529880594,-0.183334818573788,0.)); #439534=DIRECTION('ref_axis',(0.183334818573788,0.983050529880594,0.)); #439535=DIRECTION('',(0.183334818573788,0.983050529880594,0.)); #439536=DIRECTION('',(0.183334818573788,0.983050529880594,0.)); #439537=DIRECTION('',(0.,0.,1.)); #439538=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #439539=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #439540=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #439541=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #439542=DIRECTION('',(0.,0.,1.)); #439543=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #439544=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #439545=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #439546=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #439547=DIRECTION('',(0.,0.,1.)); #439548=DIRECTION('center_axis',(0.923877363903343,-0.382688667805841,0.)); #439549=DIRECTION('ref_axis',(0.382688667805841,0.923877363903343,0.)); #439550=DIRECTION('',(0.382688667805841,0.923877363903343,0.)); #439551=DIRECTION('',(0.382688667805841,0.923877363903343,0.)); #439552=DIRECTION('',(0.,0.,1.)); #439553=DIRECTION('center_axis',(1.,0.,0.)); #439554=DIRECTION('ref_axis',(0.,1.,0.)); #439555=DIRECTION('',(0.,1.,0.)); #439556=DIRECTION('',(0.,1.,0.)); #439557=DIRECTION('',(0.,0.,1.)); #439558=DIRECTION('center_axis',(0.923877363903343,0.382688667805841,0.)); #439559=DIRECTION('ref_axis',(-0.382688667805841,0.923877363903343,0.)); #439560=DIRECTION('',(-0.382688667805841,0.923877363903343,0.)); #439561=DIRECTION('',(-0.382688667805841,0.923877363903343,0.)); #439562=DIRECTION('',(0.,0.,1.)); #439563=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #439564=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #439565=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #439566=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #439567=DIRECTION('',(0.,0.,1.)); #439568=DIRECTION('center_axis',(0.382688667805837,0.923877363903345,0.)); #439569=DIRECTION('ref_axis',(-0.923877363903345,0.382688667805837,0.)); #439570=DIRECTION('',(-0.923877363903345,0.382688667805837,0.)); #439571=DIRECTION('',(-0.923877363903345,0.382688667805837,0.)); #439572=DIRECTION('',(0.,0.,1.)); #439573=DIRECTION('center_axis',(0.,1.,0.)); #439574=DIRECTION('ref_axis',(-1.,0.,0.)); #439575=DIRECTION('',(-1.,0.,0.)); #439576=DIRECTION('',(-1.,0.,0.)); #439577=DIRECTION('',(0.,0.,1.)); #439578=DIRECTION('center_axis',(-0.382688667805833,0.923877363903347,0.)); #439579=DIRECTION('ref_axis',(-0.923877363903347,-0.382688667805833,0.)); #439580=DIRECTION('',(-0.923877363903347,-0.382688667805833,0.)); #439581=DIRECTION('',(-0.923877363903347,-0.382688667805833,0.)); #439582=DIRECTION('',(0.,0.,1.)); #439583=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #439584=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #439585=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #439586=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #439587=DIRECTION('',(0.,0.,1.)); #439588=DIRECTION('center_axis',(-0.923877363903348,0.382688667805831,0.)); #439589=DIRECTION('ref_axis',(-0.382688667805831,-0.923877363903348,0.)); #439590=DIRECTION('',(-0.382688667805831,-0.923877363903348,0.)); #439591=DIRECTION('',(-0.382688667805831,-0.923877363903348,0.)); #439592=DIRECTION('',(0.,0.,1.)); #439593=DIRECTION('center_axis',(-1.,0.,0.)); #439594=DIRECTION('ref_axis',(0.,-1.,0.)); #439595=DIRECTION('',(0.,-1.,0.)); #439596=DIRECTION('',(0.,-1.,0.)); #439597=DIRECTION('',(0.,0.,1.)); #439598=DIRECTION('center_axis',(-0.923877363903348,-0.382688667805831, 0.)); #439599=DIRECTION('ref_axis',(0.382688667805831,-0.923877363903348,0.)); #439600=DIRECTION('',(0.382688667805831,-0.923877363903348,0.)); #439601=DIRECTION('',(0.382688667805831,-0.923877363903348,0.)); #439602=DIRECTION('',(0.,0.,1.)); #439603=DIRECTION('center_axis',(-0.707106781186539,-0.707106781186556, 0.)); #439604=DIRECTION('ref_axis',(0.707106781186556,-0.707106781186539,0.)); #439605=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #439606=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #439607=DIRECTION('',(0.,0.,1.)); #439608=DIRECTION('center_axis',(-0.820212632122627,-0.572058771549281, 0.)); #439609=DIRECTION('ref_axis',(0.572058771549281,-0.820212632122627,0.)); #439610=DIRECTION('',(0.572058771549281,-0.820212632122627,0.)); #439611=DIRECTION('',(0.572058771549281,-0.820212632122627,0.)); #439612=DIRECTION('',(0.,0.,1.)); #439613=DIRECTION('center_axis',(-0.973144071552987,-0.230196907019351, 0.)); #439614=DIRECTION('ref_axis',(0.230196907019351,-0.973144071552987,0.)); #439615=DIRECTION('',(0.230196907019351,-0.973144071552987,0.)); #439616=DIRECTION('',(0.230196907019351,-0.973144071552987,0.)); #439617=DIRECTION('',(0.,0.,1.)); #439618=DIRECTION('center_axis',(-0.985842168327525,0.167675934908035,0.)); #439619=DIRECTION('ref_axis',(-0.167675934908035,-0.985842168327525,0.)); #439620=DIRECTION('',(-0.167675934908035,-0.985842168327525,0.)); #439621=DIRECTION('',(-0.167675934908035,-0.985842168327525,0.)); #439622=DIRECTION('',(0.,0.,1.)); #439623=DIRECTION('center_axis',(-0.84236241072922,0.538911466746122,0.)); #439624=DIRECTION('ref_axis',(-0.538911466746122,-0.84236241072922,0.)); #439625=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #439626=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #439627=DIRECTION('',(0.,0.,1.)); #439628=DIRECTION('center_axis',(-0.565504004715131,0.824745549033852,0.)); #439629=DIRECTION('ref_axis',(-0.824745549033852,-0.565504004715131,0.)); #439630=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #439631=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #439632=DIRECTION('',(0.,0.,1.)); #439633=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #439634=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #439635=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #439636=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #439637=DIRECTION('',(0.,0.,1.)); #439638=DIRECTION('center_axis',(0.,1.,0.)); #439639=DIRECTION('ref_axis',(-1.,0.,0.)); #439640=DIRECTION('',(-1.,0.,0.)); #439641=DIRECTION('',(-1.,0.,0.)); #439642=DIRECTION('',(0.,0.,1.)); #439643=DIRECTION('center_axis',(0.175502059386041,0.984479063846082,0.)); #439644=DIRECTION('ref_axis',(-0.984479063846082,0.175502059386041,0.)); #439645=DIRECTION('',(-0.984479063846082,0.175502059386041,0.)); #439646=DIRECTION('',(-0.984479063846082,0.175502059386041,0.)); #439647=DIRECTION('',(0.,0.,1.)); #439648=DIRECTION('center_axis',(0.525358802048839,0.85088079606359,0.)); #439649=DIRECTION('ref_axis',(-0.85088079606359,0.525358802048839,0.)); #439650=DIRECTION('',(-0.85088079606359,0.525358802048839,0.)); #439651=DIRECTION('',(-0.85088079606359,0.525358802048839,0.)); #439652=DIRECTION('',(0.,0.,1.)); #439653=DIRECTION('center_axis',(0.815709411156693,0.578461888589388,0.)); #439654=DIRECTION('ref_axis',(-0.578461888589387,0.815709411156693,0.)); #439655=DIRECTION('',(-0.578461888589388,0.815709411156693,0.)); #439656=DIRECTION('',(-0.578461888589388,0.815709411156693,0.)); #439657=DIRECTION('',(0.,0.,1.)); #439658=DIRECTION('center_axis',(0.976685690837557,0.214674314516579,0.)); #439659=DIRECTION('ref_axis',(-0.214674314516579,0.976685690837557,0.)); #439660=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #439661=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #439662=DIRECTION('',(0.,0.,1.)); #439663=DIRECTION('center_axis',(0.983050529880594,-0.183334818573788,0.)); #439664=DIRECTION('ref_axis',(0.183334818573788,0.983050529880594,0.)); #439665=DIRECTION('',(0.183334818573788,0.983050529880594,0.)); #439666=DIRECTION('',(0.183334818573788,0.983050529880594,0.)); #439667=DIRECTION('',(0.,0.,1.)); #439668=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #439669=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #439670=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #439671=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #439672=DIRECTION('',(0.,0.,1.)); #439673=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #439674=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #439675=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #439676=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #439677=DIRECTION('',(0.,0.,1.)); #439678=DIRECTION('center_axis',(0.923877363903343,-0.382688667805841,0.)); #439679=DIRECTION('ref_axis',(0.382688667805841,0.923877363903343,0.)); #439680=DIRECTION('',(0.382688667805841,0.923877363903343,0.)); #439681=DIRECTION('',(0.382688667805841,0.923877363903343,0.)); #439682=DIRECTION('',(0.,0.,1.)); #439683=DIRECTION('center_axis',(1.,0.,0.)); #439684=DIRECTION('ref_axis',(0.,1.,0.)); #439685=DIRECTION('',(0.,1.,0.)); #439686=DIRECTION('',(0.,1.,0.)); #439687=DIRECTION('',(0.,0.,1.)); #439688=DIRECTION('center_axis',(0.923877363903343,0.382688667805841,0.)); #439689=DIRECTION('ref_axis',(-0.382688667805841,0.923877363903343,0.)); #439690=DIRECTION('',(-0.382688667805841,0.923877363903343,0.)); #439691=DIRECTION('',(-0.382688667805841,0.923877363903343,0.)); #439692=DIRECTION('',(0.,0.,1.)); #439693=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #439694=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #439695=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #439696=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #439697=DIRECTION('',(0.,0.,1.)); #439698=DIRECTION('center_axis',(0.38268379423653,0.923879382619145,0.)); #439699=DIRECTION('ref_axis',(-0.923879382619145,0.38268379423653,0.)); #439700=DIRECTION('',(-0.923879382619145,0.38268379423653,0.)); #439701=DIRECTION('',(-0.923879382619145,0.38268379423653,0.)); #439702=DIRECTION('',(0.,0.,1.)); #439703=DIRECTION('center_axis',(0.548897495819296,0.835889669204797,0.)); #439704=DIRECTION('ref_axis',(-0.835889669204797,0.548897495819296,0.)); #439705=DIRECTION('',(-0.835889669204797,0.548897495819296,0.)); #439706=DIRECTION('',(-0.835889669204797,0.548897495819296,0.)); #439707=DIRECTION('',(0.,0.,1.)); #439708=DIRECTION('center_axis',(0.82474554903392,0.565504004715031,0.)); #439709=DIRECTION('ref_axis',(-0.565504004715031,0.82474554903392,0.)); #439710=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #439711=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #439712=DIRECTION('',(0.,0.,1.)); #439713=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #439714=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #439715=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #439716=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #439717=DIRECTION('',(0.,0.,1.)); #439718=DIRECTION('center_axis',(1.,0.,0.)); #439719=DIRECTION('ref_axis',(0.,1.,0.)); #439720=DIRECTION('',(0.,1.,0.)); #439721=DIRECTION('',(0.,1.,0.)); #439722=DIRECTION('',(0.,0.,1.)); #439723=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #439724=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #439725=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #439726=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #439727=DIRECTION('',(0.,0.,1.)); #439728=DIRECTION('center_axis',(0.842362410729396,-0.538911466745847,0.)); #439729=DIRECTION('ref_axis',(0.538911466745847,0.842362410729396,0.)); #439730=DIRECTION('',(0.538911466745847,0.842362410729396,0.)); #439731=DIRECTION('',(0.538911466745847,0.842362410729396,0.)); #439732=DIRECTION('',(0.,0.,1.)); #439733=DIRECTION('center_axis',(0.565504004714894,-0.824745549034014,0.)); #439734=DIRECTION('ref_axis',(0.824745549034014,0.565504004714894,0.)); #439735=DIRECTION('',(0.824745549034014,0.565504004714894,0.)); #439736=DIRECTION('',(0.824745549034014,0.565504004714894,0.)); #439737=DIRECTION('',(0.,0.,1.)); #439738=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #439739=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #439740=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #439741=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #439742=DIRECTION('',(0.,0.,1.)); #439743=DIRECTION('center_axis',(0.,-1.,0.)); #439744=DIRECTION('ref_axis',(1.,0.,0.)); #439745=DIRECTION('',(1.,0.,0.)); #439746=DIRECTION('',(1.,0.,0.)); #439747=DIRECTION('',(0.,0.,1.)); #439748=DIRECTION('center_axis',(-0.187112107889887,-0.982338566422495, 0.)); #439749=DIRECTION('ref_axis',(0.982338566422495,-0.187112107889887,0.)); #439750=DIRECTION('',(0.982338566422495,-0.187112107889887,0.)); #439751=DIRECTION('',(0.982338566422495,-0.187112107889887,0.)); #439752=DIRECTION('',(0.,0.,1.)); #439753=DIRECTION('center_axis',(0.00878176022200295,-0.999961439600249, 0.)); #439754=DIRECTION('ref_axis',(0.999961439600249,0.00878176022200295,0.)); #439755=DIRECTION('',(0.999961439600249,0.00878176022200295,0.)); #439756=DIRECTION('',(0.999961439600249,0.00878176022200295,0.)); #439757=DIRECTION('center_axis',(0.,0.,1.)); #439758=DIRECTION('ref_axis',(1.,0.,0.)); #439759=DIRECTION('center_axis',(0.,0.,1.)); #439760=DIRECTION('ref_axis',(1.,0.,0.)); #439761=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #439762=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #439763=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #439764=DIRECTION('',(0.,0.,1.)); #439765=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #439766=DIRECTION('',(0.,0.,1.)); #439767=DIRECTION('center_axis',(0.,-1.,0.)); #439768=DIRECTION('ref_axis',(1.,0.,0.)); #439769=DIRECTION('',(1.,0.,0.)); #439770=DIRECTION('',(1.,0.,0.)); #439771=DIRECTION('',(0.,0.,1.)); #439772=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #439773=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #439774=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #439775=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #439776=DIRECTION('',(0.,0.,1.)); #439777=DIRECTION('center_axis',(-0.552263045083163,-0.833669916115169, 0.)); #439778=DIRECTION('ref_axis',(0.833669916115169,-0.552263045083163,0.)); #439779=DIRECTION('',(0.833669916115169,-0.552263045083163,0.)); #439780=DIRECTION('',(0.833669916115169,-0.552263045083163,0.)); #439781=DIRECTION('',(0.,0.,1.)); #439782=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #439783=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #439784=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #439785=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #439786=DIRECTION('',(0.,0.,1.)); #439787=DIRECTION('center_axis',(-0.829214556504185,-0.558930424365652, 0.)); #439788=DIRECTION('ref_axis',(0.558930424365652,-0.829214556504185,0.)); #439789=DIRECTION('',(0.558930424365652,-0.829214556504185,0.)); #439790=DIRECTION('',(0.558930424365652,-0.829214556504185,0.)); #439791=DIRECTION('',(0.,0.,1.)); #439792=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #439793=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #439794=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #439795=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #439796=DIRECTION('',(0.,0.,1.)); #439797=DIRECTION('center_axis',(-1.,0.,0.)); #439798=DIRECTION('ref_axis',(0.,-1.,0.)); #439799=DIRECTION('',(0.,-1.,0.)); #439800=DIRECTION('',(0.,-1.,0.)); #439801=DIRECTION('',(0.,0.,1.)); #439802=DIRECTION('center_axis',(-0.983056036329416,0.183305290257262,0.)); #439803=DIRECTION('ref_axis',(-0.183305290257262,-0.983056036329416,0.)); #439804=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #439805=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #439806=DIRECTION('',(0.,0.,1.)); #439807=DIRECTION('center_axis',(-0.842362410729284,0.538911466746022,0.)); #439808=DIRECTION('ref_axis',(-0.538911466746022,-0.842362410729284,0.)); #439809=DIRECTION('',(-0.538911466746022,-0.842362410729284,0.)); #439810=DIRECTION('',(-0.538911466746022,-0.842362410729284,0.)); #439811=DIRECTION('',(0.,0.,1.)); #439812=DIRECTION('center_axis',(-0.565504004714981,0.824745549033954,0.)); #439813=DIRECTION('ref_axis',(-0.824745549033954,-0.565504004714981,0.)); #439814=DIRECTION('',(-0.824745549033954,-0.565504004714981,0.)); #439815=DIRECTION('',(-0.824745549033954,-0.565504004714981,0.)); #439816=DIRECTION('',(0.,0.,1.)); #439817=DIRECTION('center_axis',(-0.198956019232641,0.980008419561333,0.)); #439818=DIRECTION('ref_axis',(-0.980008419561333,-0.198956019232641,0.)); #439819=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #439820=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #439821=DIRECTION('',(0.,0.,1.)); #439822=DIRECTION('center_axis',(0.,1.,0.)); #439823=DIRECTION('ref_axis',(-1.,0.,0.)); #439824=DIRECTION('',(-1.,0.,0.)); #439825=DIRECTION('',(-1.,0.,0.)); #439826=DIRECTION('',(0.,0.,1.)); #439827=DIRECTION('center_axis',(0.183305290257339,0.983056036329401,0.)); #439828=DIRECTION('ref_axis',(-0.983056036329401,0.183305290257339,0.)); #439829=DIRECTION('',(-0.983056036329401,0.183305290257339,0.)); #439830=DIRECTION('',(-0.983056036329401,0.183305290257339,0.)); #439831=DIRECTION('',(0.,0.,1.)); #439832=DIRECTION('center_axis',(0.538911466746018,0.842362410729287,0.)); #439833=DIRECTION('ref_axis',(-0.842362410729287,0.538911466746018,0.)); #439834=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #439835=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #439836=DIRECTION('',(0.,0.,1.)); #439837=DIRECTION('center_axis',(0.824745549033852,0.565504004715131,0.)); #439838=DIRECTION('ref_axis',(-0.565504004715131,0.824745549033852,0.)); #439839=DIRECTION('',(-0.565504004715131,0.824745549033852,0.)); #439840=DIRECTION('',(-0.565504004715131,0.824745549033852,0.)); #439841=DIRECTION('',(0.,0.,1.)); #439842=DIRECTION('center_axis',(0.980008419561353,0.198956019232542,0.)); #439843=DIRECTION('ref_axis',(-0.198956019232542,0.980008419561353,0.)); #439844=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #439845=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #439846=DIRECTION('',(0.,0.,1.)); #439847=DIRECTION('center_axis',(1.,0.,0.)); #439848=DIRECTION('ref_axis',(0.,1.,0.)); #439849=DIRECTION('',(0.,1.,0.)); #439850=DIRECTION('',(0.,1.,0.)); #439851=DIRECTION('',(0.,0.,1.)); #439852=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #439853=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #439854=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #439855=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #439856=DIRECTION('',(0.,0.,1.)); #439857=DIRECTION('center_axis',(0.,1.,0.)); #439858=DIRECTION('ref_axis',(-1.,0.,0.)); #439859=DIRECTION('',(-1.,0.,0.)); #439860=DIRECTION('',(-1.,0.,0.)); #439861=DIRECTION('',(0.,0.,1.)); #439862=DIRECTION('center_axis',(0.191149856928843,0.981560865252931,0.)); #439863=DIRECTION('ref_axis',(-0.981560865252931,0.191149856928843,0.)); #439864=DIRECTION('',(-0.981560865252931,0.191149856928843,0.)); #439865=DIRECTION('',(-0.981560865252931,0.191149856928843,0.)); #439866=DIRECTION('',(0.,0.,1.)); #439867=DIRECTION('center_axis',(0.552278225391843,0.833659859749789,0.)); #439868=DIRECTION('ref_axis',(-0.833659859749789,0.552278225391843,0.)); #439869=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #439870=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #439871=DIRECTION('',(0.,0.,1.)); #439872=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #439873=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #439874=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #439875=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #439876=DIRECTION('',(0.,0.,1.)); #439877=DIRECTION('center_axis',(0.820212632122678,0.572058771549208,0.)); #439878=DIRECTION('ref_axis',(-0.572058771549208,0.820212632122678,0.)); #439879=DIRECTION('',(-0.572058771549208,0.820212632122678,0.)); #439880=DIRECTION('',(-0.572058771549208,0.820212632122678,0.)); #439881=DIRECTION('',(0.,0.,1.)); #439882=DIRECTION('center_axis',(0.973144071552991,0.230196907019335,0.)); #439883=DIRECTION('ref_axis',(-0.230196907019335,0.97314407155299,0.)); #439884=DIRECTION('',(-0.230196907019335,0.97314407155299,0.)); #439885=DIRECTION('',(-0.230196907019335,0.97314407155299,0.)); #439886=DIRECTION('',(0.,0.,1.)); #439887=DIRECTION('center_axis',(0.985842168327523,-0.167675934908047,0.)); #439888=DIRECTION('ref_axis',(0.167675934908047,0.985842168327523,0.)); #439889=DIRECTION('',(0.167675934908047,0.985842168327523,0.)); #439890=DIRECTION('',(0.167675934908047,0.985842168327523,0.)); #439891=DIRECTION('',(0.,0.,1.)); #439892=DIRECTION('center_axis',(0.842377379073625,-0.538888069291805,0.)); #439893=DIRECTION('ref_axis',(0.538888069291805,0.842377379073625,0.)); #439894=DIRECTION('',(0.538888069291805,0.842377379073625,0.)); #439895=DIRECTION('',(0.538888069291805,0.842377379073625,0.)); #439896=DIRECTION('',(0.,0.,1.)); #439897=DIRECTION('center_axis',(0.565488626065737,-0.824756093515098,0.)); #439898=DIRECTION('ref_axis',(0.824756093515098,0.565488626065737,0.)); #439899=DIRECTION('',(0.824756093515098,0.565488626065737,0.)); #439900=DIRECTION('',(0.824756093515098,0.565488626065737,0.)); #439901=DIRECTION('center_axis',(0.,0.,1.)); #439902=DIRECTION('ref_axis',(1.,0.,0.)); #439903=DIRECTION('center_axis',(0.,0.,1.)); #439904=DIRECTION('ref_axis',(1.,0.,0.)); #439905=DIRECTION('center_axis',(0.,0.,1.)); #439906=DIRECTION('ref_axis',(1.,0.,0.)); #439907=DIRECTION('center_axis',(0.,0.,1.)); #439908=DIRECTION('ref_axis',(1.,0.,0.)); #439909=DIRECTION('',(0.,0.,1.)); #439910=DIRECTION('center_axis',(0.,0.,-1.)); #439911=DIRECTION('ref_axis',(1.,0.,0.)); #439912=DIRECTION('center_axis',(0.,0.,1.)); #439913=DIRECTION('ref_axis',(1.,0.,0.)); #439914=DIRECTION('center_axis',(0.,0.,1.)); #439915=DIRECTION('ref_axis',(1.,0.,0.)); #439916=DIRECTION('',(0.,0.,1.)); #439917=DIRECTION('center_axis',(0.,0.,-1.)); #439918=DIRECTION('ref_axis',(1.,0.,0.)); #439919=DIRECTION('center_axis',(0.,0.,1.)); #439920=DIRECTION('ref_axis',(1.,0.,0.)); #439921=DIRECTION('center_axis',(0.,0.,1.)); #439922=DIRECTION('ref_axis',(1.,0.,0.)); #439923=DIRECTION('',(0.,0.,1.)); #439924=DIRECTION('center_axis',(0.,0.,-1.)); #439925=DIRECTION('ref_axis',(1.,0.,0.)); #439926=DIRECTION('center_axis',(0.,0.,1.)); #439927=DIRECTION('ref_axis',(1.,0.,0.)); #439928=DIRECTION('center_axis',(0.,0.,1.)); #439929=DIRECTION('ref_axis',(1.,0.,0.)); #439930=DIRECTION('',(0.,0.,1.)); #439931=DIRECTION('center_axis',(0.,0.,-1.)); #439932=DIRECTION('ref_axis',(1.,0.,0.)); #439933=DIRECTION('center_axis',(0.,0.,1.)); #439934=DIRECTION('ref_axis',(1.,0.,0.)); #439935=DIRECTION('center_axis',(0.,0.,1.)); #439936=DIRECTION('ref_axis',(1.,0.,0.)); #439937=DIRECTION('',(0.,0.,1.)); #439938=DIRECTION('center_axis',(0.,0.,-1.)); #439939=DIRECTION('ref_axis',(1.,0.,0.)); #439940=DIRECTION('center_axis',(0.,0.,1.)); #439941=DIRECTION('ref_axis',(1.,0.,0.)); #439942=DIRECTION('center_axis',(0.,0.,1.)); #439943=DIRECTION('ref_axis',(1.,0.,0.)); #439944=DIRECTION('',(0.,0.,1.)); #439945=DIRECTION('center_axis',(0.,0.,-1.)); #439946=DIRECTION('ref_axis',(1.,0.,0.)); #439947=DIRECTION('center_axis',(0.,0.,1.)); #439948=DIRECTION('ref_axis',(1.,0.,0.)); #439949=DIRECTION('center_axis',(0.,0.,1.)); #439950=DIRECTION('ref_axis',(1.,0.,0.)); #439951=DIRECTION('',(0.,0.,1.)); #439952=DIRECTION('center_axis',(0.,0.,-1.)); #439953=DIRECTION('ref_axis',(1.,0.,0.)); #439954=DIRECTION('center_axis',(0.,0.,1.)); #439955=DIRECTION('ref_axis',(1.,0.,0.)); #439956=DIRECTION('center_axis',(0.,0.,1.)); #439957=DIRECTION('ref_axis',(1.,0.,0.)); #439958=DIRECTION('',(0.,0.,1.)); #439959=DIRECTION('center_axis',(0.,0.,-1.)); #439960=DIRECTION('ref_axis',(1.,0.,0.)); #439961=DIRECTION('center_axis',(0.,0.,1.)); #439962=DIRECTION('ref_axis',(1.,0.,0.)); #439963=DIRECTION('center_axis',(0.,0.,1.)); #439964=DIRECTION('ref_axis',(1.,0.,0.)); #439965=DIRECTION('',(0.,0.,1.)); #439966=DIRECTION('center_axis',(0.,0.,-1.)); #439967=DIRECTION('ref_axis',(1.,0.,0.)); #439968=DIRECTION('center_axis',(0.,0.,1.)); #439969=DIRECTION('ref_axis',(1.,0.,0.)); #439970=DIRECTION('center_axis',(0.,0.,1.)); #439971=DIRECTION('ref_axis',(1.,0.,0.)); #439972=DIRECTION('',(0.,0.,1.)); #439973=DIRECTION('center_axis',(0.,0.,-1.)); #439974=DIRECTION('ref_axis',(1.,0.,0.)); #439975=DIRECTION('center_axis',(0.,0.,1.)); #439976=DIRECTION('ref_axis',(1.,0.,0.)); #439977=DIRECTION('center_axis',(0.,0.,1.)); #439978=DIRECTION('ref_axis',(1.,0.,0.)); #439979=DIRECTION('',(0.,0.,1.)); #439980=DIRECTION('center_axis',(0.,0.,-1.)); #439981=DIRECTION('ref_axis',(1.,0.,0.)); #439982=DIRECTION('center_axis',(0.,0.,1.)); #439983=DIRECTION('ref_axis',(1.,0.,0.)); #439984=DIRECTION('center_axis',(0.,0.,1.)); #439985=DIRECTION('ref_axis',(1.,0.,0.)); #439986=DIRECTION('',(0.,0.,1.)); #439987=DIRECTION('center_axis',(0.,0.,-1.)); #439988=DIRECTION('ref_axis',(1.,0.,0.)); #439989=DIRECTION('center_axis',(0.,0.,1.)); #439990=DIRECTION('ref_axis',(1.,0.,0.)); #439991=DIRECTION('center_axis',(0.,0.,1.)); #439992=DIRECTION('ref_axis',(1.,0.,0.)); #439993=DIRECTION('',(0.,0.,1.)); #439994=DIRECTION('center_axis',(0.,0.,-1.)); #439995=DIRECTION('ref_axis',(1.,0.,0.)); #439996=DIRECTION('center_axis',(0.,0.,1.)); #439997=DIRECTION('ref_axis',(1.,0.,0.)); #439998=DIRECTION('center_axis',(0.,0.,1.)); #439999=DIRECTION('ref_axis',(1.,0.,0.)); #440000=DIRECTION('',(0.,0.,1.)); #440001=DIRECTION('center_axis',(0.,0.,-1.)); #440002=DIRECTION('ref_axis',(1.,0.,0.)); #440003=DIRECTION('center_axis',(0.,0.,1.)); #440004=DIRECTION('ref_axis',(1.,0.,0.)); #440005=DIRECTION('center_axis',(0.,0.,1.)); #440006=DIRECTION('ref_axis',(1.,0.,0.)); #440007=DIRECTION('',(0.,0.,1.)); #440008=DIRECTION('center_axis',(0.,0.,-1.)); #440009=DIRECTION('ref_axis',(1.,0.,0.)); #440010=DIRECTION('center_axis',(0.,0.,1.)); #440011=DIRECTION('ref_axis',(1.,0.,0.)); #440012=DIRECTION('center_axis',(0.,0.,1.)); #440013=DIRECTION('ref_axis',(1.,0.,0.)); #440014=DIRECTION('',(0.,0.,1.)); #440015=DIRECTION('center_axis',(0.,0.,-1.)); #440016=DIRECTION('ref_axis',(1.,0.,0.)); #440017=DIRECTION('center_axis',(0.199010641789413,-0.97999732879971,0.)); #440018=DIRECTION('ref_axis',(0.97999732879971,0.199010641789413,0.)); #440019=DIRECTION('',(0.97999732879971,0.199010641789413,0.)); #440020=DIRECTION('',(0.,0.,1.)); #440021=DIRECTION('',(0.97999732879971,0.199010641789413,0.)); #440022=DIRECTION('',(0.,0.,1.)); #440023=DIRECTION('center_axis',(0.,-1.,0.)); #440024=DIRECTION('ref_axis',(1.,0.,0.)); #440025=DIRECTION('',(1.,0.,0.)); #440026=DIRECTION('',(1.,0.,0.)); #440027=DIRECTION('',(0.,0.,1.)); #440028=DIRECTION('center_axis',(-0.183335538282724,-0.98305039565761,0.)); #440029=DIRECTION('ref_axis',(0.98305039565761,-0.183335538282724,0.)); #440030=DIRECTION('',(0.98305039565761,-0.183335538282724,0.)); #440031=DIRECTION('',(0.98305039565761,-0.183335538282724,0.)); #440032=DIRECTION('',(0.,0.,1.)); #440033=DIRECTION('center_axis',(-0.538914460439865,-0.842360495470205, 0.)); #440034=DIRECTION('ref_axis',(0.842360495470205,-0.538914460439865,0.)); #440035=DIRECTION('',(0.842360495470205,-0.538914460439865,0.)); #440036=DIRECTION('',(0.842360495470205,-0.538914460439865,0.)); #440037=DIRECTION('',(0.,0.,1.)); #440038=DIRECTION('center_axis',(-0.824766287441809,-0.565473758099751, 0.)); #440039=DIRECTION('ref_axis',(0.565473758099751,-0.824766287441808,0.)); #440040=DIRECTION('',(0.565473758099751,-0.824766287441808,0.)); #440041=DIRECTION('',(0.565473758099751,-0.824766287441808,0.)); #440042=DIRECTION('',(0.,0.,1.)); #440043=DIRECTION('center_axis',(-0.97999732879971,-0.199010641789413,0.)); #440044=DIRECTION('ref_axis',(0.199010641789413,-0.97999732879971,0.)); #440045=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #440046=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #440047=DIRECTION('',(0.,0.,1.)); #440048=DIRECTION('center_axis',(-1.,0.,0.)); #440049=DIRECTION('ref_axis',(0.,-1.,0.)); #440050=DIRECTION('',(0.,-1.,0.)); #440051=DIRECTION('',(0.,-1.,0.)); #440052=DIRECTION('',(0.,0.,1.)); #440053=DIRECTION('center_axis',(-0.989972034024053,-0.141263483782184, 0.)); #440054=DIRECTION('ref_axis',(0.141263483782184,-0.989972034024053,0.)); #440055=DIRECTION('',(0.141263483782184,-0.989972034024053,0.)); #440056=DIRECTION('',(0.141263483782184,-0.989972034024053,0.)); #440057=DIRECTION('',(0.,0.,1.)); #440058=DIRECTION('center_axis',(-0.917737212219158,-0.397188128357593, 0.)); #440059=DIRECTION('ref_axis',(0.397188128357593,-0.917737212219158,0.)); #440060=DIRECTION('',(0.397188128357593,-0.917737212219158,0.)); #440061=DIRECTION('',(0.397188128357593,-0.917737212219158,0.)); #440062=DIRECTION('',(0.,0.,1.)); #440063=DIRECTION('center_axis',(-0.862627239166062,-0.505840139024907, 0.)); #440064=DIRECTION('ref_axis',(0.505840139024907,-0.862627239166062,0.)); #440065=DIRECTION('',(0.505840139024907,-0.862627239166062,0.)); #440066=DIRECTION('',(0.505840139024907,-0.862627239166062,0.)); #440067=DIRECTION('',(0.,0.,1.)); #440068=DIRECTION('center_axis',(-0.980352414565542,-0.197254006944121, 0.)); #440069=DIRECTION('ref_axis',(0.197254006944121,-0.980352414565542,0.)); #440070=DIRECTION('',(0.197254006944121,-0.980352414565542,0.)); #440071=DIRECTION('',(0.197254006944121,-0.980352414565542,0.)); #440072=DIRECTION('',(0.,0.,1.)); #440073=DIRECTION('center_axis',(-0.707107043585686,-0.707106518787311, 0.)); #440074=DIRECTION('ref_axis',(0.707106518787311,-0.707107043585686,0.)); #440075=DIRECTION('',(0.707106518787311,-0.707107043585686,0.)); #440076=DIRECTION('',(0.707106518787311,-0.707107043585686,0.)); #440077=DIRECTION('',(0.,0.,1.)); #440078=DIRECTION('center_axis',(-0.427869948947251,-0.903840310446418, 0.)); #440079=DIRECTION('ref_axis',(0.903840310446418,-0.427869948947251,0.)); #440080=DIRECTION('',(0.903840310446418,-0.427869948947251,0.)); #440081=DIRECTION('',(0.903840310446418,-0.427869948947251,0.)); #440082=DIRECTION('',(0.,0.,1.)); #440083=DIRECTION('center_axis',(0.929235398672581,-0.369488259426208,0.)); #440084=DIRECTION('ref_axis',(0.369488259426208,0.929235398672581,0.)); #440085=DIRECTION('',(0.369488259426208,0.929235398672581,0.)); #440086=DIRECTION('',(0.369488259426208,0.929235398672581,0.)); #440087=DIRECTION('',(0.,0.,1.)); #440088=DIRECTION('center_axis',(-0.367957131692208,-0.929842755113381, 0.)); #440089=DIRECTION('ref_axis',(0.929842755113381,-0.367957131692208,0.)); #440090=DIRECTION('',(0.929842755113381,-0.367957131692208,0.)); #440091=DIRECTION('',(0.929842755113381,-0.367957131692208,0.)); #440092=DIRECTION('',(0.,0.,1.)); #440093=DIRECTION('center_axis',(-0.125076725538269,-0.992147072126217, 0.)); #440094=DIRECTION('ref_axis',(0.992147072126217,-0.125076725538269,0.)); #440095=DIRECTION('',(0.992147072126217,-0.125076725538269,0.)); #440096=DIRECTION('',(0.992147072126217,-0.125076725538269,0.)); #440097=DIRECTION('',(0.,0.,1.)); #440098=DIRECTION('center_axis',(0.,-1.,0.)); #440099=DIRECTION('ref_axis',(1.,0.,0.)); #440100=DIRECTION('',(1.,0.,0.)); #440101=DIRECTION('',(1.,0.,0.)); #440102=DIRECTION('',(0.,0.,1.)); #440103=DIRECTION('center_axis',(-0.191194865688754,-0.981552099144136, 0.)); #440104=DIRECTION('ref_axis',(0.981552099144136,-0.191194865688754,0.)); #440105=DIRECTION('',(0.981552099144136,-0.191194865688754,0.)); #440106=DIRECTION('',(0.981552099144136,-0.191194865688754,0.)); #440107=DIRECTION('',(0.,0.,1.)); #440108=DIRECTION('center_axis',(-0.552218701081291,-0.833699290017745, 0.)); #440109=DIRECTION('ref_axis',(0.833699290017745,-0.552218701081291,0.)); #440110=DIRECTION('',(0.833699290017745,-0.552218701081291,0.)); #440111=DIRECTION('',(0.833699290017745,-0.552218701081291,0.)); #440112=DIRECTION('',(0.,0.,1.)); #440113=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #440114=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #440115=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #440116=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #440117=DIRECTION('',(0.,0.,1.)); #440118=DIRECTION('center_axis',(-0.829264560351605,-0.558856232804877, 0.)); #440119=DIRECTION('ref_axis',(0.558856232804877,-0.829264560351605,0.)); #440120=DIRECTION('',(0.558856232804877,-0.829264560351605,0.)); #440121=DIRECTION('',(0.558856232804877,-0.829264560351605,0.)); #440122=DIRECTION('',(0.,0.,1.)); #440123=DIRECTION('center_axis',(-0.979997328799703,-0.199010641789447, 0.)); #440124=DIRECTION('ref_axis',(0.199010641789447,-0.979997328799703,0.)); #440125=DIRECTION('',(0.199010641789447,-0.979997328799703,0.)); #440126=DIRECTION('',(0.199010641789447,-0.979997328799703,0.)); #440127=DIRECTION('',(0.,0.,1.)); #440128=DIRECTION('center_axis',(-1.,0.,0.)); #440129=DIRECTION('ref_axis',(0.,-1.,0.)); #440130=DIRECTION('',(0.,-1.,0.)); #440131=DIRECTION('',(0.,-1.,0.)); #440132=DIRECTION('',(0.,0.,1.)); #440133=DIRECTION('center_axis',(-0.981552099144129,0.191194865688788,0.)); #440134=DIRECTION('ref_axis',(-0.191194865688788,-0.981552099144129,0.)); #440135=DIRECTION('',(-0.191194865688788,-0.981552099144129,0.)); #440136=DIRECTION('',(-0.191194865688788,-0.981552099144129,0.)); #440137=DIRECTION('',(0.,0.,1.)); #440138=DIRECTION('center_axis',(-0.833699290017812,0.552218701081189,0.)); #440139=DIRECTION('ref_axis',(-0.552218701081189,-0.833699290017812,0.)); #440140=DIRECTION('',(-0.552218701081189,-0.833699290017812,0.)); #440141=DIRECTION('',(-0.552218701081189,-0.833699290017812,0.)); #440142=DIRECTION('',(0.,0.,1.)); #440143=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #440144=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #440145=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #440146=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #440147=DIRECTION('',(0.,0.,1.)); #440148=DIRECTION('center_axis',(-0.558856232804982,0.829264560351534,0.)); #440149=DIRECTION('ref_axis',(-0.829264560351534,-0.558856232804982,0.)); #440150=DIRECTION('',(-0.829264560351534,-0.558856232804982,0.)); #440151=DIRECTION('',(-0.829264560351534,-0.558856232804982,0.)); #440152=DIRECTION('',(0.,0.,1.)); #440153=DIRECTION('center_axis',(-0.199010641789244,0.979997328799744,0.)); #440154=DIRECTION('ref_axis',(-0.979997328799744,-0.199010641789244,0.)); #440155=DIRECTION('',(-0.979997328799744,-0.199010641789244,0.)); #440156=DIRECTION('',(-0.979997328799744,-0.199010641789244,0.)); #440157=DIRECTION('',(0.,0.,1.)); #440158=DIRECTION('center_axis',(0.,1.,0.)); #440159=DIRECTION('ref_axis',(-1.,0.,0.)); #440160=DIRECTION('',(-1.,0.,0.)); #440161=DIRECTION('',(-1.,0.,0.)); #440162=DIRECTION('',(0.,0.,1.)); #440163=DIRECTION('center_axis',(0.191194865688577,0.98155209914417,0.)); #440164=DIRECTION('ref_axis',(-0.98155209914417,0.191194865688577,0.)); #440165=DIRECTION('',(-0.98155209914417,0.191194865688577,0.)); #440166=DIRECTION('',(-0.98155209914417,0.191194865688577,0.)); #440167=DIRECTION('',(0.,0.,1.)); #440168=DIRECTION('center_axis',(0.552218701081331,0.833699290017718,0.)); #440169=DIRECTION('ref_axis',(-0.833699290017718,0.552218701081331,0.)); #440170=DIRECTION('',(-0.833699290017718,0.552218701081331,0.)); #440171=DIRECTION('',(-0.833699290017718,0.552218701081331,0.)); #440172=DIRECTION('',(0.,0.,1.)); #440173=DIRECTION('center_axis',(0.707106781186543,0.707106781186552,0.)); #440174=DIRECTION('ref_axis',(-0.707106781186552,0.707106781186543,0.)); #440175=DIRECTION('',(-0.707106781186552,0.707106781186543,0.)); #440176=DIRECTION('',(-0.707106781186552,0.707106781186543,0.)); #440177=DIRECTION('',(0.,0.,1.)); #440178=DIRECTION('center_axis',(0.600315735780726,0.799763100783004,0.)); #440179=DIRECTION('ref_axis',(-0.799763100783004,0.600315735780726,0.)); #440180=DIRECTION('',(-0.799763100783004,0.600315735780726,0.)); #440181=DIRECTION('',(-0.799763100783004,0.600315735780726,0.)); #440182=DIRECTION('',(0.,0.,1.)); #440183=DIRECTION('center_axis',(0.125280629073933,0.99212134539019,0.)); #440184=DIRECTION('ref_axis',(-0.99212134539019,0.125280629073933,0.)); #440185=DIRECTION('',(-0.99212134539019,0.125280629073933,0.)); #440186=DIRECTION('',(-0.99212134539019,0.125280629073933,0.)); #440187=DIRECTION('',(0.,0.,1.)); #440188=DIRECTION('center_axis',(0.,1.,0.)); #440189=DIRECTION('ref_axis',(-1.,0.,0.)); #440190=DIRECTION('',(-1.,0.,0.)); #440191=DIRECTION('',(-1.,0.,0.)); #440192=DIRECTION('',(0.,0.,1.)); #440193=DIRECTION('center_axis',(0.191180940404582,0.981554811524053,0.)); #440194=DIRECTION('ref_axis',(-0.981554811524053,0.191180940404582,0.)); #440195=DIRECTION('',(-0.981554811524053,0.191180940404582,0.)); #440196=DIRECTION('',(-0.981554811524053,0.191180940404582,0.)); #440197=DIRECTION('',(0.,0.,1.)); #440198=DIRECTION('center_axis',(0.,1.,0.)); #440199=DIRECTION('ref_axis',(-1.,0.,0.)); #440200=DIRECTION('',(-1.,0.,0.)); #440201=DIRECTION('',(-1.,0.,0.)); #440202=DIRECTION('',(0.,0.,1.)); #440203=DIRECTION('center_axis',(-0.19901761633529,0.979995912434445,0.)); #440204=DIRECTION('ref_axis',(-0.979995912434445,-0.19901761633529,0.)); #440205=DIRECTION('',(-0.979995912434445,-0.19901761633529,0.)); #440206=DIRECTION('',(-0.979995912434445,-0.19901761633529,0.)); #440207=DIRECTION('',(0.,0.,1.)); #440208=DIRECTION('center_axis',(0.,1.,0.)); #440209=DIRECTION('ref_axis',(-1.,0.,0.)); #440210=DIRECTION('',(-1.,0.,0.)); #440211=DIRECTION('',(-1.,0.,0.)); #440212=DIRECTION('',(0.,0.,1.)); #440213=DIRECTION('center_axis',(0.191180940404582,0.981554811524053,0.)); #440214=DIRECTION('ref_axis',(-0.981554811524053,0.191180940404582,0.)); #440215=DIRECTION('',(-0.981554811524053,0.191180940404582,0.)); #440216=DIRECTION('',(-0.981554811524053,0.191180940404582,0.)); #440217=DIRECTION('',(0.,0.,1.)); #440218=DIRECTION('center_axis',(0.,1.,0.)); #440219=DIRECTION('ref_axis',(-1.,0.,0.)); #440220=DIRECTION('',(-1.,0.,0.)); #440221=DIRECTION('',(-1.,0.,0.)); #440222=DIRECTION('',(0.,0.,1.)); #440223=DIRECTION('center_axis',(-0.19901761633529,0.979995912434445,0.)); #440224=DIRECTION('ref_axis',(-0.979995912434445,-0.19901761633529,0.)); #440225=DIRECTION('',(-0.979995912434445,-0.19901761633529,0.)); #440226=DIRECTION('',(-0.979995912434445,-0.19901761633529,0.)); #440227=DIRECTION('',(0.,0.,1.)); #440228=DIRECTION('center_axis',(0.,1.,0.)); #440229=DIRECTION('ref_axis',(-1.,0.,0.)); #440230=DIRECTION('',(-1.,0.,0.)); #440231=DIRECTION('',(-1.,0.,0.)); #440232=DIRECTION('',(0.,0.,1.)); #440233=DIRECTION('center_axis',(0.191180940404582,0.981554811524053,0.)); #440234=DIRECTION('ref_axis',(-0.981554811524053,0.191180940404582,0.)); #440235=DIRECTION('',(-0.981554811524053,0.191180940404582,0.)); #440236=DIRECTION('',(-0.981554811524053,0.191180940404582,0.)); #440237=DIRECTION('',(0.,0.,1.)); #440238=DIRECTION('center_axis',(0.,1.,0.)); #440239=DIRECTION('ref_axis',(-1.,0.,0.)); #440240=DIRECTION('',(-1.,0.,0.)); #440241=DIRECTION('',(-1.,0.,0.)); #440242=DIRECTION('',(0.,0.,1.)); #440243=DIRECTION('center_axis',(-0.199017616335146,0.979995912434474,0.)); #440244=DIRECTION('ref_axis',(-0.979995912434474,-0.199017616335146,0.)); #440245=DIRECTION('',(-0.979995912434474,-0.199017616335146,0.)); #440246=DIRECTION('',(-0.979995912434474,-0.199017616335146,0.)); #440247=DIRECTION('',(0.,0.,1.)); #440248=DIRECTION('center_axis',(0.,1.,0.)); #440249=DIRECTION('ref_axis',(-1.,0.,0.)); #440250=DIRECTION('',(-1.,0.,0.)); #440251=DIRECTION('',(-1.,0.,0.)); #440252=DIRECTION('',(0.,0.,1.)); #440253=DIRECTION('center_axis',(0.191180940404449,0.981554811524079,0.)); #440254=DIRECTION('ref_axis',(-0.981554811524079,0.191180940404449,0.)); #440255=DIRECTION('',(-0.981554811524079,0.191180940404449,0.)); #440256=DIRECTION('',(-0.981554811524079,0.191180940404449,0.)); #440257=DIRECTION('',(0.,0.,1.)); #440258=DIRECTION('center_axis',(0.,1.,0.)); #440259=DIRECTION('ref_axis',(-1.,0.,0.)); #440260=DIRECTION('',(-1.,0.,0.)); #440261=DIRECTION('',(-1.,0.,0.)); #440262=DIRECTION('',(0.,0.,1.)); #440263=DIRECTION('center_axis',(-0.199001444418411,0.979999196489153,0.)); #440264=DIRECTION('ref_axis',(-0.979999196489153,-0.199001444418411,0.)); #440265=DIRECTION('',(-0.979999196489153,-0.199001444418411,0.)); #440266=DIRECTION('',(-0.979999196489153,-0.199001444418411,0.)); #440267=DIRECTION('',(0.,0.,1.)); #440268=DIRECTION('center_axis',(0.,1.,0.)); #440269=DIRECTION('ref_axis',(-1.,0.,0.)); #440270=DIRECTION('',(-1.,0.,0.)); #440271=DIRECTION('',(-1.,0.,0.)); #440272=DIRECTION('',(0.,0.,1.)); #440273=DIRECTION('center_axis',(0.191194865688788,0.981552099144129,0.)); #440274=DIRECTION('ref_axis',(-0.981552099144129,0.191194865688788,0.)); #440275=DIRECTION('',(-0.981552099144129,0.191194865688788,0.)); #440276=DIRECTION('',(-0.981552099144129,0.191194865688788,0.)); #440277=DIRECTION('',(0.,0.,1.)); #440278=DIRECTION('center_axis',(0.55221870108125,0.833699290017772,0.)); #440279=DIRECTION('ref_axis',(-0.833699290017772,0.55221870108125,0.)); #440280=DIRECTION('',(-0.833699290017772,0.55221870108125,0.)); #440281=DIRECTION('',(-0.833699290017772,0.55221870108125,0.)); #440282=DIRECTION('',(0.,0.,1.)); #440283=DIRECTION('center_axis',(0.707106781186544,0.707106781186551,0.)); #440284=DIRECTION('ref_axis',(-0.707106781186551,0.707106781186544,0.)); #440285=DIRECTION('',(-0.707106781186551,0.707106781186544,0.)); #440286=DIRECTION('',(-0.707106781186551,0.707106781186544,0.)); #440287=DIRECTION('',(0.,0.,1.)); #440288=DIRECTION('center_axis',(0.829264560351648,0.558856232804814,0.)); #440289=DIRECTION('ref_axis',(-0.558856232804814,0.829264560351648,0.)); #440290=DIRECTION('',(-0.558856232804814,0.829264560351648,0.)); #440291=DIRECTION('',(-0.558856232804814,0.829264560351648,0.)); #440292=DIRECTION('',(0.,0.,1.)); #440293=DIRECTION('center_axis',(0.97999732879971,0.199010641789413,0.)); #440294=DIRECTION('ref_axis',(-0.199010641789413,0.97999732879971,0.)); #440295=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #440296=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #440297=DIRECTION('',(0.,0.,1.)); #440298=DIRECTION('center_axis',(1.,0.,0.)); #440299=DIRECTION('ref_axis',(0.,1.,0.)); #440300=DIRECTION('',(0.,1.,0.)); #440301=DIRECTION('',(0.,1.,0.)); #440302=DIRECTION('',(0.,0.,1.)); #440303=DIRECTION('center_axis',(0.983054265471499,-0.183314787014826,0.)); #440304=DIRECTION('ref_axis',(0.183314787014826,0.983054265471499,0.)); #440305=DIRECTION('',(0.183314787014826,0.983054265471499,0.)); #440306=DIRECTION('',(0.183314787014826,0.983054265471499,0.)); #440307=DIRECTION('',(0.,0.,1.)); #440308=DIRECTION('center_axis',(0.842351514327583,-0.538928498327957,0.)); #440309=DIRECTION('ref_axis',(0.538928498327957,0.842351514327584,0.)); #440310=DIRECTION('',(0.538928498327957,0.842351514327584,0.)); #440311=DIRECTION('',(0.538928498327957,0.842351514327584,0.)); #440312=DIRECTION('',(0.,0.,1.)); #440313=DIRECTION('center_axis',(0.565473758099833,-0.824766287441752,0.)); #440314=DIRECTION('ref_axis',(0.824766287441752,0.565473758099833,0.)); #440315=DIRECTION('',(0.824766287441752,0.565473758099833,0.)); #440316=DIRECTION('',(0.824766287441752,0.565473758099833,0.)); #440317=DIRECTION('',(0.,0.,1.)); #440318=DIRECTION('center_axis',(0.199010641789378,-0.979997328799717,0.)); #440319=DIRECTION('ref_axis',(0.979997328799717,0.199010641789378,0.)); #440320=DIRECTION('',(0.979997328799717,0.199010641789378,0.)); #440321=DIRECTION('',(0.979997328799717,0.199010641789378,0.)); #440322=DIRECTION('',(0.,0.,1.)); #440323=DIRECTION('center_axis',(0.,-1.,0.)); #440324=DIRECTION('ref_axis',(1.,0.,0.)); #440325=DIRECTION('',(1.,0.,0.)); #440326=DIRECTION('',(1.,0.,0.)); #440327=DIRECTION('',(0.,0.,1.)); #440328=DIRECTION('center_axis',(-0.183335538282758,-0.983050395657604, 0.)); #440329=DIRECTION('ref_axis',(0.983050395657604,-0.183335538282758,0.)); #440330=DIRECTION('',(0.983050395657604,-0.183335538282758,0.)); #440331=DIRECTION('',(0.983050395657604,-0.183335538282758,0.)); #440332=DIRECTION('',(0.,0.,1.)); #440333=DIRECTION('center_axis',(-0.538914460439786,-0.842360495470256, 0.)); #440334=DIRECTION('ref_axis',(0.842360495470256,-0.538914460439786,0.)); #440335=DIRECTION('',(0.842360495470256,-0.538914460439786,0.)); #440336=DIRECTION('',(0.842360495470256,-0.538914460439786,0.)); #440337=DIRECTION('',(0.,0.,1.)); #440338=DIRECTION('center_axis',(-0.824766287441891,-0.565473758099632, 0.)); #440339=DIRECTION('ref_axis',(0.565473758099632,-0.824766287441891,0.)); #440340=DIRECTION('',(0.565473758099632,-0.824766287441891,0.)); #440341=DIRECTION('',(0.565473758099632,-0.824766287441891,0.)); #440342=DIRECTION('',(0.,0.,1.)); #440343=DIRECTION('center_axis',(-0.97999732879971,-0.199010641789413,0.)); #440344=DIRECTION('ref_axis',(0.199010641789413,-0.97999732879971,0.)); #440345=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #440346=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #440347=DIRECTION('',(0.,0.,1.)); #440348=DIRECTION('center_axis',(-1.,0.,0.)); #440349=DIRECTION('ref_axis',(0.,-1.,0.)); #440350=DIRECTION('',(0.,-1.,0.)); #440351=DIRECTION('',(0.,-1.,0.)); #440352=DIRECTION('',(0.,0.,1.)); #440353=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #440354=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #440355=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #440356=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #440357=DIRECTION('',(0.,0.,1.)); #440358=DIRECTION('center_axis',(0.,-1.,0.)); #440359=DIRECTION('ref_axis',(1.,0.,0.)); #440360=DIRECTION('',(1.,0.,0.)); #440361=DIRECTION('',(1.,0.,0.)); #440362=DIRECTION('',(0.,0.,1.)); #440363=DIRECTION('center_axis',(0.707106781186583,-0.707106781186512,0.)); #440364=DIRECTION('ref_axis',(0.707106781186512,0.707106781186583,0.)); #440365=DIRECTION('',(0.707106781186512,0.707106781186583,0.)); #440366=DIRECTION('',(0.707106781186512,0.707106781186583,0.)); #440367=DIRECTION('',(0.,0.,1.)); #440368=DIRECTION('center_axis',(1.,0.,0.)); #440369=DIRECTION('ref_axis',(0.,1.,0.)); #440370=DIRECTION('',(0.,1.,0.)); #440371=DIRECTION('',(0.,1.,0.)); #440372=DIRECTION('',(0.,0.,1.)); #440373=DIRECTION('center_axis',(0.983054265471499,-0.183314787014826,0.)); #440374=DIRECTION('ref_axis',(0.183314787014826,0.983054265471499,0.)); #440375=DIRECTION('',(0.183314787014826,0.983054265471499,0.)); #440376=DIRECTION('',(0.183314787014826,0.983054265471499,0.)); #440377=DIRECTION('',(0.,0.,1.)); #440378=DIRECTION('center_axis',(0.842351514327663,-0.538928498327832,0.)); #440379=DIRECTION('ref_axis',(0.538928498327832,0.842351514327663,0.)); #440380=DIRECTION('',(0.538928498327832,0.842351514327663,0.)); #440381=DIRECTION('',(0.538928498327832,0.842351514327663,0.)); #440382=DIRECTION('',(0.,0.,1.)); #440383=DIRECTION('center_axis',(0.565473758099751,-0.824766287441809,0.)); #440384=DIRECTION('ref_axis',(0.824766287441808,0.565473758099751,0.)); #440385=DIRECTION('',(0.824766287441808,0.565473758099751,0.)); #440386=DIRECTION('',(0.824766287441808,0.565473758099751,0.)); #440387=DIRECTION('center_axis',(0.,0.,1.)); #440388=DIRECTION('ref_axis',(1.,0.,0.)); #440389=DIRECTION('center_axis',(0.,0.,1.)); #440390=DIRECTION('ref_axis',(1.,0.,0.)); #440391=DIRECTION('center_axis',(0.,0.,1.)); #440392=DIRECTION('ref_axis',(1.,0.,0.)); #440393=DIRECTION('center_axis',(0.,0.,1.)); #440394=DIRECTION('ref_axis',(1.,0.,0.)); #440395=DIRECTION('',(0.,0.,1.)); #440396=DIRECTION('center_axis',(0.,0.,-1.)); #440397=DIRECTION('ref_axis',(1.,0.,0.)); #440398=DIRECTION('center_axis',(0.,0.,1.)); #440399=DIRECTION('ref_axis',(1.,0.,0.)); #440400=DIRECTION('center_axis',(0.,0.,1.)); #440401=DIRECTION('ref_axis',(1.,0.,0.)); #440402=DIRECTION('',(0.,0.,1.)); #440403=DIRECTION('center_axis',(0.,0.,-1.)); #440404=DIRECTION('ref_axis',(1.,0.,0.)); #440405=DIRECTION('center_axis',(0.,0.,1.)); #440406=DIRECTION('ref_axis',(1.,0.,0.)); #440407=DIRECTION('center_axis',(0.,0.,1.)); #440408=DIRECTION('ref_axis',(1.,0.,0.)); #440409=DIRECTION('',(0.,0.,1.)); #440410=DIRECTION('center_axis',(0.,0.,-1.)); #440411=DIRECTION('ref_axis',(1.,0.,0.)); #440412=DIRECTION('center_axis',(0.,0.,1.)); #440413=DIRECTION('ref_axis',(1.,0.,0.)); #440414=DIRECTION('center_axis',(0.,0.,1.)); #440415=DIRECTION('ref_axis',(1.,0.,0.)); #440416=DIRECTION('',(0.,0.,1.)); #440417=DIRECTION('center_axis',(0.,0.,-1.)); #440418=DIRECTION('ref_axis',(1.,0.,0.)); #440419=DIRECTION('center_axis',(0.,0.,1.)); #440420=DIRECTION('ref_axis',(1.,0.,0.)); #440421=DIRECTION('center_axis',(0.,0.,1.)); #440422=DIRECTION('ref_axis',(1.,0.,0.)); #440423=DIRECTION('',(0.,0.,1.)); #440424=DIRECTION('center_axis',(0.,0.,-1.)); #440425=DIRECTION('ref_axis',(1.,0.,0.)); #440426=DIRECTION('center_axis',(0.,0.,1.)); #440427=DIRECTION('ref_axis',(1.,0.,0.)); #440428=DIRECTION('center_axis',(0.,0.,1.)); #440429=DIRECTION('ref_axis',(1.,0.,0.)); #440430=DIRECTION('',(0.,0.,1.)); #440431=DIRECTION('center_axis',(0.,0.,-1.)); #440432=DIRECTION('ref_axis',(1.,0.,0.)); #440433=DIRECTION('center_axis',(0.,0.,1.)); #440434=DIRECTION('ref_axis',(1.,0.,0.)); #440435=DIRECTION('center_axis',(0.,0.,1.)); #440436=DIRECTION('ref_axis',(1.,0.,0.)); #440437=DIRECTION('',(0.,0.,1.)); #440438=DIRECTION('center_axis',(0.,0.,-1.)); #440439=DIRECTION('ref_axis',(1.,0.,0.)); #440440=DIRECTION('center_axis',(0.,0.,1.)); #440441=DIRECTION('ref_axis',(1.,0.,0.)); #440442=DIRECTION('center_axis',(0.,0.,1.)); #440443=DIRECTION('ref_axis',(1.,0.,0.)); #440444=DIRECTION('',(0.,0.,1.)); #440445=DIRECTION('center_axis',(0.,0.,-1.)); #440446=DIRECTION('ref_axis',(1.,0.,0.)); #440447=DIRECTION('center_axis',(0.,0.,1.)); #440448=DIRECTION('ref_axis',(1.,0.,0.)); #440449=DIRECTION('center_axis',(0.,0.,1.)); #440450=DIRECTION('ref_axis',(1.,0.,0.)); #440451=DIRECTION('',(0.,0.,1.)); #440452=DIRECTION('center_axis',(0.,0.,-1.)); #440453=DIRECTION('ref_axis',(1.,0.,0.)); #440454=DIRECTION('center_axis',(0.,0.,1.)); #440455=DIRECTION('ref_axis',(1.,0.,0.)); #440456=DIRECTION('center_axis',(0.,0.,1.)); #440457=DIRECTION('ref_axis',(1.,0.,0.)); #440458=DIRECTION('',(0.,0.,1.)); #440459=DIRECTION('center_axis',(0.,0.,-1.)); #440460=DIRECTION('ref_axis',(1.,0.,0.)); #440461=DIRECTION('center_axis',(0.,0.,1.)); #440462=DIRECTION('ref_axis',(1.,0.,0.)); #440463=DIRECTION('center_axis',(0.,0.,1.)); #440464=DIRECTION('ref_axis',(1.,0.,0.)); #440465=DIRECTION('',(0.,0.,1.)); #440466=DIRECTION('center_axis',(0.,0.,-1.)); #440467=DIRECTION('ref_axis',(1.,0.,0.)); #440468=DIRECTION('center_axis',(0.,0.,1.)); #440469=DIRECTION('ref_axis',(1.,0.,0.)); #440470=DIRECTION('center_axis',(0.,0.,1.)); #440471=DIRECTION('ref_axis',(1.,0.,0.)); #440472=DIRECTION('',(0.,0.,1.)); #440473=DIRECTION('center_axis',(0.,0.,-1.)); #440474=DIRECTION('ref_axis',(1.,0.,0.)); #440475=DIRECTION('center_axis',(0.,0.,1.)); #440476=DIRECTION('ref_axis',(1.,0.,0.)); #440477=DIRECTION('center_axis',(0.,0.,1.)); #440478=DIRECTION('ref_axis',(1.,0.,0.)); #440479=DIRECTION('',(0.,0.,1.)); #440480=DIRECTION('center_axis',(0.,0.,-1.)); #440481=DIRECTION('ref_axis',(1.,0.,0.)); #440482=DIRECTION('center_axis',(0.,0.,1.)); #440483=DIRECTION('ref_axis',(1.,0.,0.)); #440484=DIRECTION('center_axis',(0.,0.,1.)); #440485=DIRECTION('ref_axis',(1.,0.,0.)); #440486=DIRECTION('',(0.,0.,1.)); #440487=DIRECTION('center_axis',(0.,0.,-1.)); #440488=DIRECTION('ref_axis',(1.,0.,0.)); #440489=DIRECTION('center_axis',(0.,0.,1.)); #440490=DIRECTION('ref_axis',(1.,0.,0.)); #440491=DIRECTION('center_axis',(0.,0.,1.)); #440492=DIRECTION('ref_axis',(1.,0.,0.)); #440493=DIRECTION('',(0.,0.,1.)); #440494=DIRECTION('center_axis',(0.,0.,-1.)); #440495=DIRECTION('ref_axis',(1.,0.,0.)); #440496=DIRECTION('center_axis',(0.,0.,1.)); #440497=DIRECTION('ref_axis',(1.,0.,0.)); #440498=DIRECTION('center_axis',(0.,0.,1.)); #440499=DIRECTION('ref_axis',(1.,0.,0.)); #440500=DIRECTION('',(0.,0.,1.)); #440501=DIRECTION('center_axis',(0.,0.,-1.)); #440502=DIRECTION('ref_axis',(1.,0.,0.)); #440503=DIRECTION('center_axis',(0.199010641789413,-0.97999732879971,0.)); #440504=DIRECTION('ref_axis',(0.97999732879971,0.199010641789413,0.)); #440505=DIRECTION('',(0.97999732879971,0.199010641789413,0.)); #440506=DIRECTION('',(0.,0.,1.)); #440507=DIRECTION('',(0.97999732879971,0.199010641789413,0.)); #440508=DIRECTION('',(0.,0.,1.)); #440509=DIRECTION('center_axis',(0.,-1.,0.)); #440510=DIRECTION('ref_axis',(1.,0.,0.)); #440511=DIRECTION('',(1.,0.,0.)); #440512=DIRECTION('',(1.,0.,0.)); #440513=DIRECTION('',(0.,0.,1.)); #440514=DIRECTION('center_axis',(-0.183335538282758,-0.983050395657604, 0.)); #440515=DIRECTION('ref_axis',(0.983050395657604,-0.183335538282758,0.)); #440516=DIRECTION('',(0.983050395657604,-0.183335538282758,0.)); #440517=DIRECTION('',(0.983050395657604,-0.183335538282758,0.)); #440518=DIRECTION('',(0.,0.,1.)); #440519=DIRECTION('center_axis',(-0.538914460439786,-0.842360495470256, 0.)); #440520=DIRECTION('ref_axis',(0.842360495470256,-0.538914460439786,0.)); #440521=DIRECTION('',(0.842360495470256,-0.538914460439786,0.)); #440522=DIRECTION('',(0.842360495470256,-0.538914460439786,0.)); #440523=DIRECTION('',(0.,0.,1.)); #440524=DIRECTION('center_axis',(-0.82476628744185,-0.565473758099692,0.)); #440525=DIRECTION('ref_axis',(0.565473758099692,-0.82476628744185,0.)); #440526=DIRECTION('',(0.565473758099692,-0.82476628744185,0.)); #440527=DIRECTION('',(0.565473758099692,-0.82476628744185,0.)); #440528=DIRECTION('',(0.,0.,1.)); #440529=DIRECTION('center_axis',(-0.979997328799718,-0.19901064178937,0.)); #440530=DIRECTION('ref_axis',(0.19901064178937,-0.979997328799718,0.)); #440531=DIRECTION('',(0.19901064178937,-0.979997328799718,0.)); #440532=DIRECTION('',(0.19901064178937,-0.979997328799718,0.)); #440533=DIRECTION('',(0.,0.,1.)); #440534=DIRECTION('center_axis',(-1.,0.,0.)); #440535=DIRECTION('ref_axis',(0.,-1.,0.)); #440536=DIRECTION('',(0.,-1.,0.)); #440537=DIRECTION('',(0.,-1.,0.)); #440538=DIRECTION('',(0.,0.,1.)); #440539=DIRECTION('center_axis',(-0.981552099144144,0.19119486568871,0.)); #440540=DIRECTION('ref_axis',(-0.19119486568871,-0.981552099144144,0.)); #440541=DIRECTION('',(-0.19119486568871,-0.981552099144144,0.)); #440542=DIRECTION('',(-0.19119486568871,-0.981552099144144,0.)); #440543=DIRECTION('',(0.,0.,1.)); #440544=DIRECTION('center_axis',(-0.833699290017812,0.552218701081189,0.)); #440545=DIRECTION('ref_axis',(-0.552218701081189,-0.833699290017812,0.)); #440546=DIRECTION('',(-0.552218701081189,-0.833699290017812,0.)); #440547=DIRECTION('',(-0.552218701081189,-0.833699290017812,0.)); #440548=DIRECTION('',(0.,0.,1.)); #440549=DIRECTION('center_axis',(-0.707106781186545,0.70710678118655,0.)); #440550=DIRECTION('ref_axis',(-0.70710678118655,-0.707106781186545,0.)); #440551=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #440552=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #440553=DIRECTION('',(0.,0.,1.)); #440554=DIRECTION('center_axis',(-0.558856232805004,0.82926456035152,0.)); #440555=DIRECTION('ref_axis',(-0.82926456035152,-0.558856232805004,0.)); #440556=DIRECTION('',(-0.82926456035152,-0.558856232805004,0.)); #440557=DIRECTION('',(-0.82926456035152,-0.558856232805004,0.)); #440558=DIRECTION('',(0.,0.,1.)); #440559=DIRECTION('center_axis',(-0.199010641789396,0.979997328799713,0.)); #440560=DIRECTION('ref_axis',(-0.979997328799713,-0.199010641789396,0.)); #440561=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #440562=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #440563=DIRECTION('',(0.,0.,1.)); #440564=DIRECTION('center_axis',(0.,1.,0.)); #440565=DIRECTION('ref_axis',(-1.,0.,0.)); #440566=DIRECTION('',(-1.,0.,0.)); #440567=DIRECTION('',(-1.,0.,0.)); #440568=DIRECTION('',(0.,0.,1.)); #440569=DIRECTION('center_axis',(0.191180940404516,0.981554811524066,0.)); #440570=DIRECTION('ref_axis',(-0.981554811524066,0.191180940404516,0.)); #440571=DIRECTION('',(-0.981554811524066,0.191180940404516,0.)); #440572=DIRECTION('',(-0.981554811524066,0.191180940404516,0.)); #440573=DIRECTION('',(0.,0.,1.)); #440574=DIRECTION('center_axis',(0.,1.,0.)); #440575=DIRECTION('ref_axis',(-1.,0.,0.)); #440576=DIRECTION('',(-1.,0.,0.)); #440577=DIRECTION('',(-1.,0.,0.)); #440578=DIRECTION('',(0.,0.,1.)); #440579=DIRECTION('center_axis',(-0.199017616335146,0.979995912434474,0.)); #440580=DIRECTION('ref_axis',(-0.979995912434474,-0.199017616335146,0.)); #440581=DIRECTION('',(-0.979995912434474,-0.199017616335146,0.)); #440582=DIRECTION('',(-0.979995912434474,-0.199017616335146,0.)); #440583=DIRECTION('',(0.,0.,1.)); #440584=DIRECTION('center_axis',(0.,1.,0.)); #440585=DIRECTION('ref_axis',(-1.,0.,0.)); #440586=DIRECTION('',(-1.,0.,0.)); #440587=DIRECTION('',(-1.,0.,0.)); #440588=DIRECTION('',(0.,0.,1.)); #440589=DIRECTION('center_axis',(0.191180940404516,0.981554811524066,0.)); #440590=DIRECTION('ref_axis',(-0.981554811524066,0.191180940404516,0.)); #440591=DIRECTION('',(-0.981554811524066,0.191180940404516,0.)); #440592=DIRECTION('',(-0.981554811524066,0.191180940404516,0.)); #440593=DIRECTION('',(0.,0.,1.)); #440594=DIRECTION('center_axis',(0.,1.,0.)); #440595=DIRECTION('ref_axis',(-1.,0.,0.)); #440596=DIRECTION('',(-1.,0.,0.)); #440597=DIRECTION('',(-1.,0.,0.)); #440598=DIRECTION('',(0.,0.,1.)); #440599=DIRECTION('center_axis',(-0.199017616335218,0.979995912434459,0.)); #440600=DIRECTION('ref_axis',(-0.979995912434459,-0.199017616335218,0.)); #440601=DIRECTION('',(-0.979995912434459,-0.199017616335218,0.)); #440602=DIRECTION('',(-0.979995912434459,-0.199017616335218,0.)); #440603=DIRECTION('',(0.,0.,1.)); #440604=DIRECTION('center_axis',(0.,1.,0.)); #440605=DIRECTION('ref_axis',(-1.,0.,0.)); #440606=DIRECTION('',(-1.,0.,0.)); #440607=DIRECTION('',(-1.,0.,0.)); #440608=DIRECTION('',(0.,0.,1.)); #440609=DIRECTION('center_axis',(0.191180940404516,0.981554811524066,0.)); #440610=DIRECTION('ref_axis',(-0.981554811524066,0.191180940404516,0.)); #440611=DIRECTION('',(-0.981554811524066,0.191180940404516,0.)); #440612=DIRECTION('',(-0.981554811524066,0.191180940404516,0.)); #440613=DIRECTION('',(0.,0.,1.)); #440614=DIRECTION('center_axis',(0.,1.,0.)); #440615=DIRECTION('ref_axis',(-1.,0.,0.)); #440616=DIRECTION('',(-1.,0.,0.)); #440617=DIRECTION('',(-1.,0.,0.)); #440618=DIRECTION('',(0.,0.,1.)); #440619=DIRECTION('center_axis',(-0.199017616335146,0.979995912434474,0.)); #440620=DIRECTION('ref_axis',(-0.979995912434474,-0.199017616335146,0.)); #440621=DIRECTION('',(-0.979995912434474,-0.199017616335146,0.)); #440622=DIRECTION('',(-0.979995912434474,-0.199017616335146,0.)); #440623=DIRECTION('',(0.,0.,1.)); #440624=DIRECTION('center_axis',(0.,1.,0.)); #440625=DIRECTION('ref_axis',(-1.,0.,0.)); #440626=DIRECTION('',(-1.,0.,0.)); #440627=DIRECTION('',(-1.,0.,0.)); #440628=DIRECTION('',(0.,0.,1.)); #440629=DIRECTION('center_axis',(0.191180940404516,0.981554811524066,0.)); #440630=DIRECTION('ref_axis',(-0.981554811524066,0.191180940404516,0.)); #440631=DIRECTION('',(-0.981554811524066,0.191180940404516,0.)); #440632=DIRECTION('',(-0.981554811524066,0.191180940404516,0.)); #440633=DIRECTION('',(0.,0.,1.)); #440634=DIRECTION('center_axis',(0.,1.,0.)); #440635=DIRECTION('ref_axis',(-1.,0.,0.)); #440636=DIRECTION('',(-1.,0.,0.)); #440637=DIRECTION('',(-1.,0.,0.)); #440638=DIRECTION('',(0.,0.,1.)); #440639=DIRECTION('center_axis',(-0.199001444418411,0.979999196489153,0.)); #440640=DIRECTION('ref_axis',(-0.979999196489153,-0.199001444418411,0.)); #440641=DIRECTION('',(-0.979999196489153,-0.199001444418411,0.)); #440642=DIRECTION('',(-0.979999196489153,-0.199001444418411,0.)); #440643=DIRECTION('',(0.,0.,1.)); #440644=DIRECTION('center_axis',(0.,1.,0.)); #440645=DIRECTION('ref_axis',(-1.,0.,0.)); #440646=DIRECTION('',(-1.,0.,0.)); #440647=DIRECTION('',(-1.,0.,0.)); #440648=DIRECTION('',(0.,0.,1.)); #440649=DIRECTION('center_axis',(-0.140944937191418,0.990017436553573,0.)); #440650=DIRECTION('ref_axis',(-0.990017436553573,-0.140944937191418,0.)); #440651=DIRECTION('',(-0.990017436553573,-0.140944937191418,0.)); #440652=DIRECTION('',(-0.990017436553573,-0.140944937191418,0.)); #440653=DIRECTION('',(0.,0.,1.)); #440654=DIRECTION('center_axis',(-0.613211584811249,0.789918699774398,0.)); #440655=DIRECTION('ref_axis',(-0.789918699774398,-0.613211584811249,0.)); #440656=DIRECTION('',(-0.789918699774398,-0.613211584811249,0.)); #440657=DIRECTION('',(-0.789918699774398,-0.613211584811249,0.)); #440658=DIRECTION('',(0.,0.,1.)); #440659=DIRECTION('center_axis',(-0.707106781186545,0.70710678118655,0.)); #440660=DIRECTION('ref_axis',(-0.70710678118655,-0.707106781186545,0.)); #440661=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #440662=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #440663=DIRECTION('',(0.,0.,1.)); #440664=DIRECTION('center_axis',(-0.558856232804982,0.829264560351534,0.)); #440665=DIRECTION('ref_axis',(-0.829264560351534,-0.558856232804982,0.)); #440666=DIRECTION('',(-0.829264560351534,-0.558856232804982,0.)); #440667=DIRECTION('',(-0.829264560351534,-0.558856232804982,0.)); #440668=DIRECTION('',(0.,0.,1.)); #440669=DIRECTION('center_axis',(-0.199010641789244,0.979997328799744,0.)); #440670=DIRECTION('ref_axis',(-0.979997328799744,-0.199010641789244,0.)); #440671=DIRECTION('',(-0.979997328799744,-0.199010641789244,0.)); #440672=DIRECTION('',(-0.979997328799744,-0.199010641789244,0.)); #440673=DIRECTION('',(0.,0.,1.)); #440674=DIRECTION('center_axis',(0.,1.,0.)); #440675=DIRECTION('ref_axis',(-1.,0.,0.)); #440676=DIRECTION('',(-1.,0.,0.)); #440677=DIRECTION('',(-1.,0.,0.)); #440678=DIRECTION('',(0.,0.,1.)); #440679=DIRECTION('center_axis',(0.19119486568856,0.981552099144174,0.)); #440680=DIRECTION('ref_axis',(-0.981552099144174,0.19119486568856,0.)); #440681=DIRECTION('',(-0.981552099144174,0.19119486568856,0.)); #440682=DIRECTION('',(-0.981552099144174,0.19119486568856,0.)); #440683=DIRECTION('',(0.,0.,1.)); #440684=DIRECTION('center_axis',(0.552218701081331,0.833699290017718,0.)); #440685=DIRECTION('ref_axis',(-0.833699290017718,0.552218701081331,0.)); #440686=DIRECTION('',(-0.833699290017718,0.552218701081331,0.)); #440687=DIRECTION('',(-0.833699290017718,0.552218701081331,0.)); #440688=DIRECTION('',(0.,0.,1.)); #440689=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #440690=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #440691=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #440692=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #440693=DIRECTION('',(0.,0.,1.)); #440694=DIRECTION('center_axis',(0.829264560351563,0.55885623280494,0.)); #440695=DIRECTION('ref_axis',(-0.55885623280494,0.829264560351563,0.)); #440696=DIRECTION('',(-0.55885623280494,0.829264560351563,0.)); #440697=DIRECTION('',(-0.55885623280494,0.829264560351563,0.)); #440698=DIRECTION('',(0.,0.,1.)); #440699=DIRECTION('center_axis',(0.97999732879972,0.199010641789363,0.)); #440700=DIRECTION('ref_axis',(-0.199010641789363,0.97999732879972,0.)); #440701=DIRECTION('',(-0.199010641789363,0.97999732879972,0.)); #440702=DIRECTION('',(-0.199010641789363,0.97999732879972,0.)); #440703=DIRECTION('',(0.,0.,1.)); #440704=DIRECTION('center_axis',(1.,0.,0.)); #440705=DIRECTION('ref_axis',(0.,1.,0.)); #440706=DIRECTION('',(0.,1.,0.)); #440707=DIRECTION('',(0.,1.,0.)); #440708=DIRECTION('',(0.,0.,1.)); #440709=DIRECTION('center_axis',(0.981552099144153,-0.191194865688666,0.)); #440710=DIRECTION('ref_axis',(0.191194865688666,0.981552099144153,0.)); #440711=DIRECTION('',(0.191194865688666,0.981552099144153,0.)); #440712=DIRECTION('',(0.191194865688666,0.981552099144153,0.)); #440713=DIRECTION('',(0.,0.,1.)); #440714=DIRECTION('center_axis',(0.833699290017718,-0.552218701081331,0.)); #440715=DIRECTION('ref_axis',(0.552218701081331,0.833699290017718,0.)); #440716=DIRECTION('',(0.552218701081331,0.833699290017718,0.)); #440717=DIRECTION('',(0.552218701081331,0.833699290017718,0.)); #440718=DIRECTION('',(0.,0.,1.)); #440719=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #440720=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #440721=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #440722=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #440723=DIRECTION('',(0.,0.,1.)); #440724=DIRECTION('center_axis',(0.558856232805025,-0.829264560351506,0.)); #440725=DIRECTION('ref_axis',(0.829264560351506,0.558856232805025,0.)); #440726=DIRECTION('',(0.829264560351506,0.558856232805025,0.)); #440727=DIRECTION('',(0.829264560351506,0.558856232805025,0.)); #440728=DIRECTION('',(0.,0.,1.)); #440729=DIRECTION('center_axis',(0.199010641789396,-0.979997328799713,0.)); #440730=DIRECTION('ref_axis',(0.979997328799713,0.199010641789396,0.)); #440731=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #440732=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #440733=DIRECTION('',(0.,0.,1.)); #440734=DIRECTION('center_axis',(0.,-1.,0.)); #440735=DIRECTION('ref_axis',(1.,0.,0.)); #440736=DIRECTION('',(1.,0.,0.)); #440737=DIRECTION('',(1.,0.,0.)); #440738=DIRECTION('',(0.,0.,1.)); #440739=DIRECTION('center_axis',(0.140944937191418,-0.990017436553573,0.)); #440740=DIRECTION('ref_axis',(0.990017436553573,0.140944937191418,0.)); #440741=DIRECTION('',(0.990017436553573,0.140944937191418,0.)); #440742=DIRECTION('',(0.990017436553573,0.140944937191418,0.)); #440743=DIRECTION('',(0.,0.,1.)); #440744=DIRECTION('center_axis',(0.613211584811249,-0.789918699774398,0.)); #440745=DIRECTION('ref_axis',(0.789918699774398,0.613211584811249,0.)); #440746=DIRECTION('',(0.789918699774398,0.613211584811249,0.)); #440747=DIRECTION('',(0.789918699774398,0.613211584811249,0.)); #440748=DIRECTION('',(0.,0.,1.)); #440749=DIRECTION('center_axis',(0.707106781186545,-0.70710678118655,0.)); #440750=DIRECTION('ref_axis',(0.70710678118655,0.707106781186545,0.)); #440751=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #440752=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #440753=DIRECTION('',(0.,0.,1.)); #440754=DIRECTION('center_axis',(0.799763100783356,-0.600315735780258,0.)); #440755=DIRECTION('ref_axis',(0.600315735780258,0.799763100783355,0.)); #440756=DIRECTION('',(0.600315735780258,0.799763100783355,0.)); #440757=DIRECTION('',(0.600315735780258,0.799763100783355,0.)); #440758=DIRECTION('',(0.,0.,1.)); #440759=DIRECTION('center_axis',(0.99212134539019,-0.125280629073933,0.)); #440760=DIRECTION('ref_axis',(0.125280629073933,0.99212134539019,0.)); #440761=DIRECTION('',(0.125280629073933,0.99212134539019,0.)); #440762=DIRECTION('',(0.125280629073933,0.99212134539019,0.)); #440763=DIRECTION('',(0.,0.,1.)); #440764=DIRECTION('center_axis',(1.,0.,0.)); #440765=DIRECTION('ref_axis',(0.,1.,0.)); #440766=DIRECTION('',(0.,1.,0.)); #440767=DIRECTION('',(0.,1.,0.)); #440768=DIRECTION('',(0.,0.,1.)); #440769=DIRECTION('center_axis',(0.983054265471482,-0.183314787014918,0.)); #440770=DIRECTION('ref_axis',(0.183314787014918,0.983054265471482,0.)); #440771=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #440772=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #440773=DIRECTION('',(0.,0.,1.)); #440774=DIRECTION('center_axis',(0.842351514327663,-0.538928498327832,0.)); #440775=DIRECTION('ref_axis',(0.538928498327832,0.842351514327663,0.)); #440776=DIRECTION('',(0.538928498327832,0.842351514327663,0.)); #440777=DIRECTION('',(0.538928498327832,0.842351514327663,0.)); #440778=DIRECTION('',(0.,0.,1.)); #440779=DIRECTION('center_axis',(0.565473758099792,-0.82476628744178,0.)); #440780=DIRECTION('ref_axis',(0.82476628744178,0.565473758099792,0.)); #440781=DIRECTION('',(0.82476628744178,0.565473758099792,0.)); #440782=DIRECTION('',(0.82476628744178,0.565473758099792,0.)); #440783=DIRECTION('',(0.,0.,1.)); #440784=DIRECTION('center_axis',(0.199010641789413,-0.97999732879971,0.)); #440785=DIRECTION('ref_axis',(0.97999732879971,0.199010641789413,0.)); #440786=DIRECTION('',(0.97999732879971,0.199010641789413,0.)); #440787=DIRECTION('',(0.97999732879971,0.199010641789413,0.)); #440788=DIRECTION('',(0.,0.,1.)); #440789=DIRECTION('center_axis',(0.,-1.,0.)); #440790=DIRECTION('ref_axis',(1.,0.,0.)); #440791=DIRECTION('',(1.,0.,0.)); #440792=DIRECTION('',(1.,0.,0.)); #440793=DIRECTION('',(0.,0.,1.)); #440794=DIRECTION('center_axis',(-0.183335538282741,-0.983050395657607, 0.)); #440795=DIRECTION('ref_axis',(0.983050395657607,-0.183335538282741,0.)); #440796=DIRECTION('',(0.983050395657607,-0.183335538282741,0.)); #440797=DIRECTION('',(0.983050395657607,-0.183335538282741,0.)); #440798=DIRECTION('',(0.,0.,1.)); #440799=DIRECTION('center_axis',(-0.538914460439865,-0.842360495470205, 0.)); #440800=DIRECTION('ref_axis',(0.842360495470205,-0.538914460439865,0.)); #440801=DIRECTION('',(0.842360495470205,-0.538914460439865,0.)); #440802=DIRECTION('',(0.842360495470205,-0.538914460439865,0.)); #440803=DIRECTION('',(0.,0.,1.)); #440804=DIRECTION('center_axis',(-0.824766287441809,-0.565473758099751, 0.)); #440805=DIRECTION('ref_axis',(0.565473758099751,-0.824766287441808,0.)); #440806=DIRECTION('',(0.565473758099751,-0.824766287441808,0.)); #440807=DIRECTION('',(0.565473758099751,-0.824766287441808,0.)); #440808=DIRECTION('',(0.,0.,1.)); #440809=DIRECTION('center_axis',(-0.97999732879971,-0.199010641789413,0.)); #440810=DIRECTION('ref_axis',(0.199010641789413,-0.97999732879971,0.)); #440811=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #440812=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #440813=DIRECTION('',(0.,0.,1.)); #440814=DIRECTION('center_axis',(-1.,0.,0.)); #440815=DIRECTION('ref_axis',(0.,-1.,0.)); #440816=DIRECTION('',(0.,-1.,0.)); #440817=DIRECTION('',(0.,-1.,0.)); #440818=DIRECTION('',(0.,0.,1.)); #440819=DIRECTION('center_axis',(-0.707106781186583,-0.707106781186512, 0.)); #440820=DIRECTION('ref_axis',(0.707106781186512,-0.707106781186583,0.)); #440821=DIRECTION('',(0.707106781186512,-0.707106781186583,0.)); #440822=DIRECTION('',(0.707106781186512,-0.707106781186583,0.)); #440823=DIRECTION('',(0.,0.,1.)); #440824=DIRECTION('center_axis',(0.,-1.,0.)); #440825=DIRECTION('ref_axis',(1.,0.,0.)); #440826=DIRECTION('',(1.,0.,0.)); #440827=DIRECTION('',(1.,0.,0.)); #440828=DIRECTION('',(0.,0.,1.)); #440829=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #440830=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #440831=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #440832=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #440833=DIRECTION('',(0.,0.,1.)); #440834=DIRECTION('center_axis',(1.,0.,0.)); #440835=DIRECTION('ref_axis',(0.,1.,0.)); #440836=DIRECTION('',(0.,1.,0.)); #440837=DIRECTION('',(0.,1.,0.)); #440838=DIRECTION('',(0.,0.,1.)); #440839=DIRECTION('center_axis',(0.983054265471482,-0.183314787014918,0.)); #440840=DIRECTION('ref_axis',(0.183314787014918,0.983054265471482,0.)); #440841=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #440842=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #440843=DIRECTION('',(0.,0.,1.)); #440844=DIRECTION('center_axis',(0.842351514327663,-0.538928498327832,0.)); #440845=DIRECTION('ref_axis',(0.538928498327832,0.842351514327663,0.)); #440846=DIRECTION('',(0.538928498327832,0.842351514327663,0.)); #440847=DIRECTION('',(0.538928498327832,0.842351514327663,0.)); #440848=DIRECTION('',(0.,0.,1.)); #440849=DIRECTION('center_axis',(0.565473758099792,-0.82476628744178,0.)); #440850=DIRECTION('ref_axis',(0.82476628744178,0.565473758099792,0.)); #440851=DIRECTION('',(0.82476628744178,0.565473758099792,0.)); #440852=DIRECTION('',(0.82476628744178,0.565473758099792,0.)); #440853=DIRECTION('center_axis',(0.,0.,1.)); #440854=DIRECTION('ref_axis',(1.,0.,0.)); #440855=DIRECTION('center_axis',(0.,0.,1.)); #440856=DIRECTION('ref_axis',(1.,0.,0.)); #440857=DIRECTION('center_axis',(0.,0.,1.)); #440858=DIRECTION('ref_axis',(1.,0.,0.)); #440859=DIRECTION('center_axis',(0.,0.,1.)); #440860=DIRECTION('ref_axis',(1.,0.,0.)); #440861=DIRECTION('',(0.,0.,1.)); #440862=DIRECTION('center_axis',(0.,0.,-1.)); #440863=DIRECTION('ref_axis',(1.,0.,0.)); #440864=DIRECTION('center_axis',(0.,0.,1.)); #440865=DIRECTION('ref_axis',(1.,0.,0.)); #440866=DIRECTION('center_axis',(0.,0.,1.)); #440867=DIRECTION('ref_axis',(1.,0.,0.)); #440868=DIRECTION('',(0.,0.,1.)); #440869=DIRECTION('center_axis',(0.,0.,-1.)); #440870=DIRECTION('ref_axis',(1.,0.,0.)); #440871=DIRECTION('center_axis',(0.,0.,1.)); #440872=DIRECTION('ref_axis',(1.,0.,0.)); #440873=DIRECTION('center_axis',(0.,0.,1.)); #440874=DIRECTION('ref_axis',(1.,0.,0.)); #440875=DIRECTION('',(0.,0.,1.)); #440876=DIRECTION('center_axis',(0.,0.,-1.)); #440877=DIRECTION('ref_axis',(1.,0.,0.)); #440878=DIRECTION('center_axis',(0.,0.,1.)); #440879=DIRECTION('ref_axis',(1.,0.,0.)); #440880=DIRECTION('center_axis',(0.,0.,1.)); #440881=DIRECTION('ref_axis',(1.,0.,0.)); #440882=DIRECTION('',(0.,0.,1.)); #440883=DIRECTION('center_axis',(0.,0.,-1.)); #440884=DIRECTION('ref_axis',(1.,0.,0.)); #440885=DIRECTION('center_axis',(0.,0.,1.)); #440886=DIRECTION('ref_axis',(1.,0.,0.)); #440887=DIRECTION('center_axis',(0.,0.,1.)); #440888=DIRECTION('ref_axis',(1.,0.,0.)); #440889=DIRECTION('',(0.,0.,1.)); #440890=DIRECTION('center_axis',(0.,0.,-1.)); #440891=DIRECTION('ref_axis',(1.,0.,0.)); #440892=DIRECTION('center_axis',(0.,0.,1.)); #440893=DIRECTION('ref_axis',(1.,0.,0.)); #440894=DIRECTION('center_axis',(0.,0.,1.)); #440895=DIRECTION('ref_axis',(1.,0.,0.)); #440896=DIRECTION('',(0.,0.,1.)); #440897=DIRECTION('center_axis',(0.,0.,-1.)); #440898=DIRECTION('ref_axis',(1.,0.,0.)); #440899=DIRECTION('center_axis',(0.,0.,1.)); #440900=DIRECTION('ref_axis',(1.,0.,0.)); #440901=DIRECTION('center_axis',(0.,0.,1.)); #440902=DIRECTION('ref_axis',(1.,0.,0.)); #440903=DIRECTION('',(0.,0.,1.)); #440904=DIRECTION('center_axis',(0.,0.,-1.)); #440905=DIRECTION('ref_axis',(1.,0.,0.)); #440906=DIRECTION('center_axis',(0.,0.,1.)); #440907=DIRECTION('ref_axis',(1.,0.,0.)); #440908=DIRECTION('center_axis',(0.,0.,1.)); #440909=DIRECTION('ref_axis',(1.,0.,0.)); #440910=DIRECTION('',(0.,0.,1.)); #440911=DIRECTION('center_axis',(0.,0.,-1.)); #440912=DIRECTION('ref_axis',(1.,0.,0.)); #440913=DIRECTION('center_axis',(0.,0.,1.)); #440914=DIRECTION('ref_axis',(1.,0.,0.)); #440915=DIRECTION('center_axis',(0.,0.,1.)); #440916=DIRECTION('ref_axis',(1.,0.,0.)); #440917=DIRECTION('',(0.,0.,1.)); #440918=DIRECTION('center_axis',(0.,0.,-1.)); #440919=DIRECTION('ref_axis',(1.,0.,0.)); #440920=DIRECTION('center_axis',(0.,0.,1.)); #440921=DIRECTION('ref_axis',(1.,0.,0.)); #440922=DIRECTION('center_axis',(0.,0.,1.)); #440923=DIRECTION('ref_axis',(1.,0.,0.)); #440924=DIRECTION('',(0.,0.,1.)); #440925=DIRECTION('center_axis',(0.,0.,-1.)); #440926=DIRECTION('ref_axis',(1.,0.,0.)); #440927=DIRECTION('center_axis',(0.,0.,1.)); #440928=DIRECTION('ref_axis',(1.,0.,0.)); #440929=DIRECTION('center_axis',(0.,0.,1.)); #440930=DIRECTION('ref_axis',(1.,0.,0.)); #440931=DIRECTION('',(0.,0.,1.)); #440932=DIRECTION('center_axis',(0.,0.,-1.)); #440933=DIRECTION('ref_axis',(1.,0.,0.)); #440934=DIRECTION('center_axis',(0.,0.,1.)); #440935=DIRECTION('ref_axis',(1.,0.,0.)); #440936=DIRECTION('center_axis',(0.,0.,1.)); #440937=DIRECTION('ref_axis',(1.,0.,0.)); #440938=DIRECTION('',(0.,0.,1.)); #440939=DIRECTION('center_axis',(0.,0.,-1.)); #440940=DIRECTION('ref_axis',(1.,0.,0.)); #440941=DIRECTION('center_axis',(0.,0.,1.)); #440942=DIRECTION('ref_axis',(1.,0.,0.)); #440943=DIRECTION('center_axis',(0.,0.,1.)); #440944=DIRECTION('ref_axis',(1.,0.,0.)); #440945=DIRECTION('',(0.,0.,1.)); #440946=DIRECTION('center_axis',(0.,0.,-1.)); #440947=DIRECTION('ref_axis',(1.,0.,0.)); #440948=DIRECTION('center_axis',(0.,0.,1.)); #440949=DIRECTION('ref_axis',(1.,0.,0.)); #440950=DIRECTION('center_axis',(0.,0.,1.)); #440951=DIRECTION('ref_axis',(1.,0.,0.)); #440952=DIRECTION('',(0.,0.,1.)); #440953=DIRECTION('center_axis',(0.,0.,-1.)); #440954=DIRECTION('ref_axis',(1.,0.,0.)); #440955=DIRECTION('center_axis',(0.,0.,1.)); #440956=DIRECTION('ref_axis',(1.,0.,0.)); #440957=DIRECTION('center_axis',(0.,0.,1.)); #440958=DIRECTION('ref_axis',(1.,0.,0.)); #440959=DIRECTION('',(0.,0.,1.)); #440960=DIRECTION('center_axis',(0.,0.,-1.)); #440961=DIRECTION('ref_axis',(1.,0.,0.)); #440962=DIRECTION('center_axis',(0.,0.,1.)); #440963=DIRECTION('ref_axis',(1.,0.,0.)); #440964=DIRECTION('center_axis',(0.,0.,1.)); #440965=DIRECTION('ref_axis',(1.,0.,0.)); #440966=DIRECTION('',(0.,0.,1.)); #440967=DIRECTION('center_axis',(0.,0.,-1.)); #440968=DIRECTION('ref_axis',(1.,0.,0.)); #440969=DIRECTION('center_axis',(0.,0.,1.)); #440970=DIRECTION('ref_axis',(1.,0.,0.)); #440971=DIRECTION('center_axis',(0.,0.,1.)); #440972=DIRECTION('ref_axis',(1.,0.,0.)); #440973=DIRECTION('',(0.,0.,1.)); #440974=DIRECTION('center_axis',(0.,0.,-1.)); #440975=DIRECTION('ref_axis',(1.,0.,0.)); #440976=DIRECTION('center_axis',(0.,0.,1.)); #440977=DIRECTION('ref_axis',(1.,0.,0.)); #440978=DIRECTION('center_axis',(0.,0.,1.)); #440979=DIRECTION('ref_axis',(1.,0.,0.)); #440980=DIRECTION('',(0.,0.,1.)); #440981=DIRECTION('center_axis',(0.,0.,-1.)); #440982=DIRECTION('ref_axis',(1.,0.,0.)); #440983=DIRECTION('center_axis',(0.,0.,1.)); #440984=DIRECTION('ref_axis',(1.,0.,0.)); #440985=DIRECTION('center_axis',(0.,0.,1.)); #440986=DIRECTION('ref_axis',(1.,0.,0.)); #440987=DIRECTION('',(0.,0.,1.)); #440988=DIRECTION('center_axis',(0.,0.,-1.)); #440989=DIRECTION('ref_axis',(1.,0.,0.)); #440990=DIRECTION('center_axis',(0.,0.,1.)); #440991=DIRECTION('ref_axis',(1.,0.,0.)); #440992=DIRECTION('center_axis',(0.,0.,1.)); #440993=DIRECTION('ref_axis',(1.,0.,0.)); #440994=DIRECTION('',(0.,0.,1.)); #440995=DIRECTION('center_axis',(0.,0.,-1.)); #440996=DIRECTION('ref_axis',(1.,0.,0.)); #440997=DIRECTION('center_axis',(0.,0.,1.)); #440998=DIRECTION('ref_axis',(1.,0.,0.)); #440999=DIRECTION('center_axis',(0.,0.,1.)); #441000=DIRECTION('ref_axis',(1.,0.,0.)); #441001=DIRECTION('',(0.,0.,1.)); #441002=DIRECTION('center_axis',(0.,0.,-1.)); #441003=DIRECTION('ref_axis',(1.,0.,0.)); #441004=DIRECTION('center_axis',(0.,0.,1.)); #441005=DIRECTION('ref_axis',(1.,0.,0.)); #441006=DIRECTION('center_axis',(0.,0.,1.)); #441007=DIRECTION('ref_axis',(1.,0.,0.)); #441008=DIRECTION('',(0.,0.,1.)); #441009=DIRECTION('center_axis',(0.,0.,-1.)); #441010=DIRECTION('ref_axis',(1.,0.,0.)); #441011=DIRECTION('center_axis',(0.,0.,1.)); #441012=DIRECTION('ref_axis',(1.,0.,0.)); #441013=DIRECTION('center_axis',(0.,0.,1.)); #441014=DIRECTION('ref_axis',(1.,0.,0.)); #441015=DIRECTION('',(0.,0.,1.)); #441016=DIRECTION('center_axis',(0.,0.,-1.)); #441017=DIRECTION('ref_axis',(1.,0.,0.)); #441018=DIRECTION('center_axis',(0.,0.,1.)); #441019=DIRECTION('ref_axis',(1.,0.,0.)); #441020=DIRECTION('center_axis',(0.,0.,1.)); #441021=DIRECTION('ref_axis',(1.,0.,0.)); #441022=DIRECTION('',(0.,0.,1.)); #441023=DIRECTION('center_axis',(0.,0.,-1.)); #441024=DIRECTION('ref_axis',(1.,0.,0.)); #441025=DIRECTION('center_axis',(0.,0.,1.)); #441026=DIRECTION('ref_axis',(1.,0.,0.)); #441027=DIRECTION('center_axis',(0.,0.,1.)); #441028=DIRECTION('ref_axis',(1.,0.,0.)); #441029=DIRECTION('',(0.,0.,1.)); #441030=DIRECTION('center_axis',(0.,0.,-1.)); #441031=DIRECTION('ref_axis',(1.,0.,0.)); #441032=DIRECTION('center_axis',(0.,0.,1.)); #441033=DIRECTION('ref_axis',(1.,0.,0.)); #441034=DIRECTION('center_axis',(0.,0.,1.)); #441035=DIRECTION('ref_axis',(1.,0.,0.)); #441036=DIRECTION('',(0.,0.,1.)); #441037=DIRECTION('center_axis',(0.,0.,-1.)); #441038=DIRECTION('ref_axis',(1.,0.,0.)); #441039=DIRECTION('center_axis',(0.,0.,1.)); #441040=DIRECTION('ref_axis',(1.,0.,0.)); #441041=DIRECTION('center_axis',(0.,0.,1.)); #441042=DIRECTION('ref_axis',(1.,0.,0.)); #441043=DIRECTION('',(0.,0.,1.)); #441044=DIRECTION('center_axis',(0.,0.,-1.)); #441045=DIRECTION('ref_axis',(1.,0.,0.)); #441046=DIRECTION('center_axis',(0.,0.,1.)); #441047=DIRECTION('ref_axis',(1.,0.,0.)); #441048=DIRECTION('center_axis',(0.,0.,1.)); #441049=DIRECTION('ref_axis',(1.,0.,0.)); #441050=DIRECTION('',(0.,0.,1.)); #441051=DIRECTION('center_axis',(0.,0.,-1.)); #441052=DIRECTION('ref_axis',(1.,0.,0.)); #441053=DIRECTION('center_axis',(0.,0.,1.)); #441054=DIRECTION('ref_axis',(1.,0.,0.)); #441055=DIRECTION('center_axis',(0.,0.,1.)); #441056=DIRECTION('ref_axis',(1.,0.,0.)); #441057=DIRECTION('',(0.,0.,1.)); #441058=DIRECTION('center_axis',(0.,0.,-1.)); #441059=DIRECTION('ref_axis',(1.,0.,0.)); #441060=DIRECTION('center_axis',(0.,0.,1.)); #441061=DIRECTION('ref_axis',(1.,0.,0.)); #441062=DIRECTION('center_axis',(0.,0.,1.)); #441063=DIRECTION('ref_axis',(1.,0.,0.)); #441064=DIRECTION('',(0.,0.,1.)); #441065=DIRECTION('center_axis',(0.,0.,-1.)); #441066=DIRECTION('ref_axis',(1.,0.,0.)); #441067=DIRECTION('center_axis',(0.199010641789396,-0.979997328799713,0.)); #441068=DIRECTION('ref_axis',(0.979997328799713,0.199010641789396,0.)); #441069=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #441070=DIRECTION('',(0.,0.,1.)); #441071=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #441072=DIRECTION('',(0.,0.,1.)); #441073=DIRECTION('center_axis',(0.,-1.,0.)); #441074=DIRECTION('ref_axis',(1.,0.,0.)); #441075=DIRECTION('',(1.,0.,0.)); #441076=DIRECTION('',(1.,0.,0.)); #441077=DIRECTION('',(0.,0.,1.)); #441078=DIRECTION('center_axis',(-0.191194865688736,-0.981552099144139, 0.)); #441079=DIRECTION('ref_axis',(0.981552099144139,-0.191194865688736,0.)); #441080=DIRECTION('',(0.981552099144139,-0.191194865688736,0.)); #441081=DIRECTION('',(0.981552099144139,-0.191194865688736,0.)); #441082=DIRECTION('',(0.,0.,1.)); #441083=DIRECTION('center_axis',(-0.552218701081169,-0.833699290017826, 0.)); #441084=DIRECTION('ref_axis',(0.833699290017826,-0.552218701081169,0.)); #441085=DIRECTION('',(0.833699290017826,-0.552218701081169,0.)); #441086=DIRECTION('',(0.833699290017826,-0.552218701081169,0.)); #441087=DIRECTION('',(0.,0.,1.)); #441088=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546, 0.)); #441089=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.)); #441090=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #441091=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #441092=DIRECTION('',(0.,0.,1.)); #441093=DIRECTION('center_axis',(-0.829264560351605,-0.558856232804877, 0.)); #441094=DIRECTION('ref_axis',(0.558856232804877,-0.829264560351605,0.)); #441095=DIRECTION('',(0.558856232804877,-0.829264560351605,0.)); #441096=DIRECTION('',(0.558856232804877,-0.829264560351605,0.)); #441097=DIRECTION('',(0.,0.,1.)); #441098=DIRECTION('center_axis',(-0.97999732879971,-0.199010641789413,0.)); #441099=DIRECTION('ref_axis',(0.199010641789413,-0.97999732879971,0.)); #441100=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #441101=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #441102=DIRECTION('',(0.,0.,1.)); #441103=DIRECTION('center_axis',(-1.,0.,0.)); #441104=DIRECTION('ref_axis',(0.,-1.,0.)); #441105=DIRECTION('',(0.,-1.,0.)); #441106=DIRECTION('',(0.,-1.,0.)); #441107=DIRECTION('',(0.,0.,1.)); #441108=DIRECTION('center_axis',(-0.981552099144153,0.191194865688666,0.)); #441109=DIRECTION('ref_axis',(-0.191194865688666,-0.981552099144153,0.)); #441110=DIRECTION('',(-0.191194865688666,-0.981552099144153,0.)); #441111=DIRECTION('',(-0.191194865688666,-0.981552099144153,0.)); #441112=DIRECTION('',(0.,0.,1.)); #441113=DIRECTION('center_axis',(-0.833699290017772,0.55221870108125,0.)); #441114=DIRECTION('ref_axis',(-0.55221870108125,-0.833699290017772,0.)); #441115=DIRECTION('',(-0.55221870108125,-0.833699290017772,0.)); #441116=DIRECTION('',(-0.55221870108125,-0.833699290017772,0.)); #441117=DIRECTION('',(0.,0.,1.)); #441118=DIRECTION('center_axis',(-0.707106781186549,0.707106781186546,0.)); #441119=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,0.)); #441120=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #441121=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #441122=DIRECTION('',(0.,0.,1.)); #441123=DIRECTION('center_axis',(-0.558856232804857,0.829264560351619,0.)); #441124=DIRECTION('ref_axis',(-0.829264560351619,-0.558856232804857,0.)); #441125=DIRECTION('',(-0.829264560351619,-0.558856232804857,0.)); #441126=DIRECTION('',(-0.829264560351619,-0.558856232804857,0.)); #441127=DIRECTION('',(0.,0.,1.)); #441128=DIRECTION('center_axis',(-0.199010641789396,0.979997328799713,0.)); #441129=DIRECTION('ref_axis',(-0.979997328799713,-0.199010641789396,0.)); #441130=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #441131=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #441132=DIRECTION('',(0.,0.,1.)); #441133=DIRECTION('center_axis',(0.,1.,0.)); #441134=DIRECTION('ref_axis',(-1.,0.,0.)); #441135=DIRECTION('',(-1.,0.,0.)); #441136=DIRECTION('',(-1.,0.,0.)); #441137=DIRECTION('',(0.,0.,1.)); #441138=DIRECTION('center_axis',(0.191180940403834,0.981554811524199,0.)); #441139=DIRECTION('ref_axis',(-0.981554811524199,0.191180940403834,0.)); #441140=DIRECTION('',(-0.981554811524199,0.191180940403834,0.)); #441141=DIRECTION('',(-0.981554811524199,0.191180940403834,0.)); #441142=DIRECTION('',(0.,0.,1.)); #441143=DIRECTION('center_axis',(0.,1.,0.)); #441144=DIRECTION('ref_axis',(-1.,0.,0.)); #441145=DIRECTION('',(-1.,0.,0.)); #441146=DIRECTION('',(-1.,0.,0.)); #441147=DIRECTION('',(0.,0.,1.)); #441148=DIRECTION('center_axis',(-0.199017616334439,0.979995912434617,0.)); #441149=DIRECTION('ref_axis',(-0.979995912434617,-0.199017616334439,0.)); #441150=DIRECTION('',(-0.979995912434617,-0.199017616334439,0.)); #441151=DIRECTION('',(-0.979995912434617,-0.199017616334439,0.)); #441152=DIRECTION('',(0.,0.,1.)); #441153=DIRECTION('center_axis',(0.,1.,0.)); #441154=DIRECTION('ref_axis',(-1.,0.,0.)); #441155=DIRECTION('',(-1.,0.,0.)); #441156=DIRECTION('',(-1.,0.,0.)); #441157=DIRECTION('',(0.,0.,1.)); #441158=DIRECTION('center_axis',(0.191180940403834,0.981554811524199,0.)); #441159=DIRECTION('ref_axis',(-0.981554811524199,0.191180940403834,0.)); #441160=DIRECTION('',(-0.981554811524199,0.191180940403834,0.)); #441161=DIRECTION('',(-0.981554811524199,0.191180940403834,0.)); #441162=DIRECTION('',(0.,0.,1.)); #441163=DIRECTION('center_axis',(0.,1.,0.)); #441164=DIRECTION('ref_axis',(-1.,0.,0.)); #441165=DIRECTION('',(-1.,0.,0.)); #441166=DIRECTION('',(-1.,0.,0.)); #441167=DIRECTION('',(0.,0.,1.)); #441168=DIRECTION('center_axis',(-0.199017616334511,0.979995912434603,0.)); #441169=DIRECTION('ref_axis',(-0.979995912434603,-0.199017616334511,0.)); #441170=DIRECTION('',(-0.979995912434603,-0.199017616334511,0.)); #441171=DIRECTION('',(-0.979995912434603,-0.199017616334511,0.)); #441172=DIRECTION('',(0.,0.,1.)); #441173=DIRECTION('center_axis',(0.,1.,0.)); #441174=DIRECTION('ref_axis',(-1.,0.,0.)); #441175=DIRECTION('',(-1.,0.,0.)); #441176=DIRECTION('',(-1.,0.,0.)); #441177=DIRECTION('',(0.,0.,1.)); #441178=DIRECTION('center_axis',(0.191180940403834,0.981554811524199,0.)); #441179=DIRECTION('ref_axis',(-0.981554811524199,0.191180940403834,0.)); #441180=DIRECTION('',(-0.981554811524199,0.191180940403834,0.)); #441181=DIRECTION('',(-0.981554811524199,0.191180940403834,0.)); #441182=DIRECTION('',(0.,0.,1.)); #441183=DIRECTION('center_axis',(0.,1.,0.)); #441184=DIRECTION('ref_axis',(-1.,0.,0.)); #441185=DIRECTION('',(-1.,0.,0.)); #441186=DIRECTION('',(-1.,0.,0.)); #441187=DIRECTION('',(0.,0.,1.)); #441188=DIRECTION('center_axis',(-0.199017616334439,0.979995912434617,0.)); #441189=DIRECTION('ref_axis',(-0.979995912434617,-0.199017616334439,0.)); #441190=DIRECTION('',(-0.979995912434617,-0.199017616334439,0.)); #441191=DIRECTION('',(-0.979995912434617,-0.199017616334439,0.)); #441192=DIRECTION('',(0.,0.,1.)); #441193=DIRECTION('center_axis',(0.,1.,0.)); #441194=DIRECTION('ref_axis',(-1.,0.,0.)); #441195=DIRECTION('',(-1.,0.,0.)); #441196=DIRECTION('',(-1.,0.,0.)); #441197=DIRECTION('',(0.,0.,1.)); #441198=DIRECTION('center_axis',(0.191180940403834,0.981554811524199,0.)); #441199=DIRECTION('ref_axis',(-0.981554811524199,0.191180940403834,0.)); #441200=DIRECTION('',(-0.981554811524199,0.191180940403834,0.)); #441201=DIRECTION('',(-0.981554811524199,0.191180940403834,0.)); #441202=DIRECTION('',(0.,0.,1.)); #441203=DIRECTION('center_axis',(0.,1.,0.)); #441204=DIRECTION('ref_axis',(-1.,0.,0.)); #441205=DIRECTION('',(-1.,0.,0.)); #441206=DIRECTION('',(-1.,0.,0.)); #441207=DIRECTION('',(0.,0.,1.)); #441208=DIRECTION('center_axis',(-0.199001444417704,0.979999196489297,0.)); #441209=DIRECTION('ref_axis',(-0.979999196489297,-0.199001444417704,0.)); #441210=DIRECTION('',(-0.979999196489297,-0.199001444417704,0.)); #441211=DIRECTION('',(-0.979999196489297,-0.199001444417704,0.)); #441212=DIRECTION('',(0.,0.,1.)); #441213=DIRECTION('center_axis',(0.,1.,0.)); #441214=DIRECTION('ref_axis',(-1.,0.,0.)); #441215=DIRECTION('',(-1.,0.,0.)); #441216=DIRECTION('',(-1.,0.,0.)); #441217=DIRECTION('',(0.,0.,1.)); #441218=DIRECTION('center_axis',(0.183314787014826,0.983054265471499,0.)); #441219=DIRECTION('ref_axis',(-0.983054265471499,0.183314787014826,0.)); #441220=DIRECTION('',(-0.983054265471499,0.183314787014826,0.)); #441221=DIRECTION('',(-0.983054265471499,0.183314787014826,0.)); #441222=DIRECTION('',(0.,0.,1.)); #441223=DIRECTION('center_axis',(0.538928498327877,0.842351514327635,0.)); #441224=DIRECTION('ref_axis',(-0.842351514327635,0.538928498327877,0.)); #441225=DIRECTION('',(-0.842351514327635,0.538928498327877,0.)); #441226=DIRECTION('',(-0.842351514327635,0.538928498327877,0.)); #441227=DIRECTION('',(0.,0.,1.)); #441228=DIRECTION('center_axis',(0.824766287441793,0.565473758099774,0.)); #441229=DIRECTION('ref_axis',(-0.565473758099774,0.824766287441793,0.)); #441230=DIRECTION('',(-0.565473758099774,0.824766287441793,0.)); #441231=DIRECTION('',(-0.565473758099774,0.824766287441793,0.)); #441232=DIRECTION('',(0.,0.,1.)); #441233=DIRECTION('center_axis',(0.979997328799734,0.199010641789294,0.)); #441234=DIRECTION('ref_axis',(-0.199010641789294,0.979997328799734,0.)); #441235=DIRECTION('',(-0.199010641789294,0.979997328799734,0.)); #441236=DIRECTION('',(-0.199010641789294,0.979997328799734,0.)); #441237=DIRECTION('',(0.,0.,1.)); #441238=DIRECTION('center_axis',(1.,0.,0.)); #441239=DIRECTION('ref_axis',(0.,1.,0.)); #441240=DIRECTION('',(0.,1.,0.)); #441241=DIRECTION('',(0.,1.,0.)); #441242=DIRECTION('',(0.,0.,1.)); #441243=DIRECTION('center_axis',(0.981552099144153,-0.191194865688666,0.)); #441244=DIRECTION('ref_axis',(0.191194865688666,0.981552099144153,0.)); #441245=DIRECTION('',(0.191194865688666,0.981552099144153,0.)); #441246=DIRECTION('',(0.191194865688666,0.981552099144153,0.)); #441247=DIRECTION('',(0.,0.,1.)); #441248=DIRECTION('center_axis',(0.833699290017759,-0.55221870108127,0.)); #441249=DIRECTION('ref_axis',(0.55221870108127,0.833699290017759,0.)); #441250=DIRECTION('',(0.55221870108127,0.833699290017759,0.)); #441251=DIRECTION('',(0.55221870108127,0.833699290017759,0.)); #441252=DIRECTION('',(0.,0.,1.)); #441253=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #441254=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #441255=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #441256=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #441257=DIRECTION('',(0.,0.,1.)); #441258=DIRECTION('center_axis',(0.558856232804982,-0.829264560351534,0.)); #441259=DIRECTION('ref_axis',(0.829264560351534,0.558856232804982,0.)); #441260=DIRECTION('',(0.829264560351534,0.558856232804982,0.)); #441261=DIRECTION('',(0.829264560351534,0.558856232804982,0.)); #441262=DIRECTION('',(0.,0.,1.)); #441263=DIRECTION('center_axis',(0.199010641789396,-0.979997328799713,0.)); #441264=DIRECTION('ref_axis',(0.979997328799713,0.199010641789396,0.)); #441265=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #441266=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #441267=DIRECTION('',(0.,0.,1.)); #441268=DIRECTION('center_axis',(0.,-1.,0.)); #441269=DIRECTION('ref_axis',(1.,0.,0.)); #441270=DIRECTION('',(1.,0.,0.)); #441271=DIRECTION('',(1.,0.,0.)); #441272=DIRECTION('',(0.,0.,1.)); #441273=DIRECTION('center_axis',(0.14094493719135,-0.990017436553582,0.)); #441274=DIRECTION('ref_axis',(0.990017436553582,0.14094493719135,0.)); #441275=DIRECTION('',(0.990017436553582,0.14094493719135,0.)); #441276=DIRECTION('',(0.990017436553582,0.14094493719135,0.)); #441277=DIRECTION('',(0.,0.,1.)); #441278=DIRECTION('center_axis',(0.613211584811551,-0.789918699774164,0.)); #441279=DIRECTION('ref_axis',(0.789918699774164,0.613211584811551,0.)); #441280=DIRECTION('',(0.789918699774164,0.613211584811551,0.)); #441281=DIRECTION('',(0.789918699774164,0.613211584811551,0.)); #441282=DIRECTION('',(0.,0.,1.)); #441283=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #441284=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #441285=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #441286=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #441287=DIRECTION('',(0.,0.,1.)); #441288=DIRECTION('center_axis',(0.558856232804878,-0.829264560351605,0.)); #441289=DIRECTION('ref_axis',(0.829264560351605,0.558856232804878,0.)); #441290=DIRECTION('',(0.829264560351605,0.558856232804878,0.)); #441291=DIRECTION('',(0.829264560351605,0.558856232804878,0.)); #441292=DIRECTION('center_axis',(0.,0.,1.)); #441293=DIRECTION('ref_axis',(1.,0.,0.)); #441294=DIRECTION('center_axis',(0.,0.,1.)); #441295=DIRECTION('ref_axis',(1.,0.,0.)); #441296=DIRECTION('center_axis',(0.,0.,1.)); #441297=DIRECTION('ref_axis',(1.,0.,0.)); #441298=DIRECTION('center_axis',(0.,0.,1.)); #441299=DIRECTION('ref_axis',(1.,0.,0.)); #441300=DIRECTION('',(0.,0.,1.)); #441301=DIRECTION('center_axis',(0.,0.,-1.)); #441302=DIRECTION('ref_axis',(1.,0.,0.)); #441303=DIRECTION('center_axis',(0.,0.,1.)); #441304=DIRECTION('ref_axis',(1.,0.,0.)); #441305=DIRECTION('center_axis',(0.,0.,1.)); #441306=DIRECTION('ref_axis',(1.,0.,0.)); #441307=DIRECTION('',(0.,0.,1.)); #441308=DIRECTION('center_axis',(0.,0.,-1.)); #441309=DIRECTION('ref_axis',(1.,0.,0.)); #441310=DIRECTION('center_axis',(0.156447762252232,-0.987686234431902,0.)); #441311=DIRECTION('ref_axis',(0.987686234431902,0.156447762252232,0.)); #441312=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #441313=DIRECTION('',(0.,0.,1.)); #441314=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #441315=DIRECTION('',(0.,0.,1.)); #441316=DIRECTION('center_axis',(0.,0.,1.)); #441317=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #441318=DIRECTION('center_axis',(0.,0.,1.)); #441319=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #441320=DIRECTION('center_axis',(0.,0.,1.)); #441321=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #441322=DIRECTION('',(0.,0.,1.)); #441323=DIRECTION('center_axis',(-1.,0.,0.)); #441324=DIRECTION('ref_axis',(0.,-1.,0.)); #441325=DIRECTION('',(0.,-1.,0.)); #441326=DIRECTION('',(0.,-1.,0.)); #441327=DIRECTION('',(0.,0.,1.)); #441328=DIRECTION('center_axis',(0.,0.,1.)); #441329=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #441330=DIRECTION('center_axis',(0.,0.,1.)); #441331=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #441332=DIRECTION('center_axis',(0.,0.,1.)); #441333=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #441334=DIRECTION('',(0.,0.,1.)); #441335=DIRECTION('center_axis',(0.,0.,1.)); #441336=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #441337=DIRECTION('center_axis',(0.,0.,1.)); #441338=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #441339=DIRECTION('center_axis',(0.,0.,1.)); #441340=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #441341=DIRECTION('',(0.,0.,1.)); #441342=DIRECTION('center_axis',(1.,0.,0.)); #441343=DIRECTION('ref_axis',(0.,1.,0.)); #441344=DIRECTION('',(0.,1.,0.)); #441345=DIRECTION('',(0.,1.,0.)); #441346=DIRECTION('',(0.,0.,1.)); #441347=DIRECTION('center_axis',(0.,0.,1.)); #441348=DIRECTION('ref_axis',(0.234004121468823,-0.972235604745889,0.)); #441349=DIRECTION('center_axis',(0.,0.,1.)); #441350=DIRECTION('ref_axis',(0.234004121468823,-0.972235604745889,0.)); #441351=DIRECTION('center_axis',(0.,0.,1.)); #441352=DIRECTION('ref_axis',(0.234004121468823,-0.972235604745889,0.)); #441353=DIRECTION('center_axis',(0.,0.,1.)); #441354=DIRECTION('ref_axis',(1.,0.,0.)); #441355=DIRECTION('center_axis',(0.,0.,1.)); #441356=DIRECTION('ref_axis',(1.,0.,0.)); #441357=DIRECTION('center_axis',(0.,0.,1.)); #441358=DIRECTION('ref_axis',(1.,0.,0.)); #441359=DIRECTION('center_axis',(0.,0.,1.)); #441360=DIRECTION('ref_axis',(1.,0.,0.)); #441361=DIRECTION('',(0.,0.,1.)); #441362=DIRECTION('center_axis',(0.,0.,-1.)); #441363=DIRECTION('ref_axis',(1.,0.,0.)); #441364=DIRECTION('center_axis',(0.,0.,1.)); #441365=DIRECTION('ref_axis',(1.,0.,0.)); #441366=DIRECTION('center_axis',(0.,0.,1.)); #441367=DIRECTION('ref_axis',(1.,0.,0.)); #441368=DIRECTION('',(0.,0.,1.)); #441369=DIRECTION('center_axis',(0.,0.,-1.)); #441370=DIRECTION('ref_axis',(1.,0.,0.)); #441371=DIRECTION('center_axis',(0.,0.,1.)); #441372=DIRECTION('ref_axis',(1.,0.,0.)); #441373=DIRECTION('center_axis',(0.,0.,1.)); #441374=DIRECTION('ref_axis',(1.,0.,0.)); #441375=DIRECTION('',(0.,0.,1.)); #441376=DIRECTION('center_axis',(0.,0.,-1.)); #441377=DIRECTION('ref_axis',(1.,0.,0.)); #441378=DIRECTION('center_axis',(0.,0.,1.)); #441379=DIRECTION('ref_axis',(1.,0.,0.)); #441380=DIRECTION('center_axis',(0.,0.,1.)); #441381=DIRECTION('ref_axis',(1.,0.,0.)); #441382=DIRECTION('',(0.,0.,1.)); #441383=DIRECTION('center_axis',(0.,0.,-1.)); #441384=DIRECTION('ref_axis',(1.,0.,0.)); #441385=DIRECTION('center_axis',(0.,0.,1.)); #441386=DIRECTION('ref_axis',(1.,0.,0.)); #441387=DIRECTION('center_axis',(0.,0.,1.)); #441388=DIRECTION('ref_axis',(1.,0.,0.)); #441389=DIRECTION('',(0.,0.,1.)); #441390=DIRECTION('center_axis',(0.,0.,-1.)); #441391=DIRECTION('ref_axis',(1.,0.,0.)); #441392=DIRECTION('center_axis',(0.,0.,1.)); #441393=DIRECTION('ref_axis',(1.,0.,0.)); #441394=DIRECTION('center_axis',(0.,0.,1.)); #441395=DIRECTION('ref_axis',(1.,0.,0.)); #441396=DIRECTION('',(0.,0.,1.)); #441397=DIRECTION('center_axis',(0.,0.,-1.)); #441398=DIRECTION('ref_axis',(1.,0.,0.)); #441399=DIRECTION('center_axis',(0.,0.,1.)); #441400=DIRECTION('ref_axis',(1.,0.,0.)); #441401=DIRECTION('center_axis',(0.,0.,1.)); #441402=DIRECTION('ref_axis',(1.,0.,0.)); #441403=DIRECTION('',(0.,0.,1.)); #441404=DIRECTION('center_axis',(0.,0.,-1.)); #441405=DIRECTION('ref_axis',(1.,0.,0.)); #441406=DIRECTION('center_axis',(0.,0.,1.)); #441407=DIRECTION('ref_axis',(1.,0.,0.)); #441408=DIRECTION('center_axis',(0.,0.,1.)); #441409=DIRECTION('ref_axis',(1.,0.,0.)); #441410=DIRECTION('',(0.,0.,1.)); #441411=DIRECTION('center_axis',(0.,0.,-1.)); #441412=DIRECTION('ref_axis',(1.,0.,0.)); #441413=DIRECTION('center_axis',(0.,0.,1.)); #441414=DIRECTION('ref_axis',(1.,0.,0.)); #441415=DIRECTION('center_axis',(0.,0.,1.)); #441416=DIRECTION('ref_axis',(1.,0.,0.)); #441417=DIRECTION('',(0.,0.,1.)); #441418=DIRECTION('center_axis',(0.,0.,-1.)); #441419=DIRECTION('ref_axis',(1.,0.,0.)); #441420=DIRECTION('center_axis',(0.,0.,1.)); #441421=DIRECTION('ref_axis',(1.,0.,0.)); #441422=DIRECTION('center_axis',(0.,0.,1.)); #441423=DIRECTION('ref_axis',(1.,0.,0.)); #441424=DIRECTION('',(0.,0.,1.)); #441425=DIRECTION('center_axis',(0.,0.,-1.)); #441426=DIRECTION('ref_axis',(1.,0.,0.)); #441427=DIRECTION('center_axis',(0.19901064178937,-0.979997328799718,0.)); #441428=DIRECTION('ref_axis',(0.979997328799718,0.19901064178937,0.)); #441429=DIRECTION('',(0.979997328799718,0.19901064178937,0.)); #441430=DIRECTION('',(0.,0.,1.)); #441431=DIRECTION('',(0.979997328799718,0.19901064178937,0.)); #441432=DIRECTION('',(0.,0.,1.)); #441433=DIRECTION('center_axis',(0.,-1.,0.)); #441434=DIRECTION('ref_axis',(1.,0.,0.)); #441435=DIRECTION('',(1.,0.,0.)); #441436=DIRECTION('',(1.,0.,0.)); #441437=DIRECTION('',(0.,0.,1.)); #441438=DIRECTION('center_axis',(-0.19119486568871,-0.981552099144144,0.)); #441439=DIRECTION('ref_axis',(0.981552099144144,-0.19119486568871,0.)); #441440=DIRECTION('',(0.981552099144144,-0.19119486568871,0.)); #441441=DIRECTION('',(0.981552099144144,-0.19119486568871,0.)); #441442=DIRECTION('',(0.,0.,1.)); #441443=DIRECTION('center_axis',(-0.552218701081301,-0.833699290017738, 0.)); #441444=DIRECTION('ref_axis',(0.833699290017738,-0.552218701081301,0.)); #441445=DIRECTION('',(0.833699290017738,-0.552218701081301,0.)); #441446=DIRECTION('',(0.833699290017738,-0.552218701081301,0.)); #441447=DIRECTION('',(0.,0.,1.)); #441448=DIRECTION('center_axis',(-0.707106781186543,-0.707106781186552, 0.)); #441449=DIRECTION('ref_axis',(0.707106781186552,-0.707106781186543,0.)); #441450=DIRECTION('',(0.707106781186552,-0.707106781186543,0.)); #441451=DIRECTION('',(0.707106781186552,-0.707106781186543,0.)); #441452=DIRECTION('',(0.,0.,1.)); #441453=DIRECTION('center_axis',(-0.829264560351534,-0.558856232804982, 0.)); #441454=DIRECTION('ref_axis',(0.558856232804982,-0.829264560351534,0.)); #441455=DIRECTION('',(0.558856232804982,-0.829264560351534,0.)); #441456=DIRECTION('',(0.558856232804982,-0.829264560351534,0.)); #441457=DIRECTION('',(0.,0.,1.)); #441458=DIRECTION('center_axis',(-0.979997328799711,-0.199010641789404, 0.)); #441459=DIRECTION('ref_axis',(0.199010641789404,-0.979997328799711,0.)); #441460=DIRECTION('',(0.199010641789404,-0.979997328799711,0.)); #441461=DIRECTION('',(0.199010641789404,-0.979997328799711,0.)); #441462=DIRECTION('',(0.,0.,1.)); #441463=DIRECTION('center_axis',(-1.,0.,0.)); #441464=DIRECTION('ref_axis',(0.,-1.,0.)); #441465=DIRECTION('',(0.,-1.,0.)); #441466=DIRECTION('',(0.,-1.,0.)); #441467=DIRECTION('',(0.,0.,1.)); #441468=DIRECTION('center_axis',(-0.981552099144133,0.191194865688771,0.)); #441469=DIRECTION('ref_axis',(-0.191194865688771,-0.981552099144132,0.)); #441470=DIRECTION('',(-0.191194865688771,-0.981552099144132,0.)); #441471=DIRECTION('',(-0.191194865688771,-0.981552099144132,0.)); #441472=DIRECTION('',(0.,0.,1.)); #441473=DIRECTION('center_axis',(-0.833699290017772,0.55221870108125,0.)); #441474=DIRECTION('ref_axis',(-0.55221870108125,-0.833699290017772,0.)); #441475=DIRECTION('',(-0.55221870108125,-0.833699290017772,0.)); #441476=DIRECTION('',(-0.55221870108125,-0.833699290017772,0.)); #441477=DIRECTION('',(0.,0.,1.)); #441478=DIRECTION('center_axis',(-0.707106781186539,0.707106781186556,0.)); #441479=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #441480=DIRECTION('',(-0.707106781186556,-0.707106781186539,0.)); #441481=DIRECTION('',(-0.707106781186556,-0.707106781186539,0.)); #441482=DIRECTION('',(0.,0.,1.)); #441483=DIRECTION('center_axis',(-0.558856232804962,0.829264560351548,0.)); #441484=DIRECTION('ref_axis',(-0.829264560351548,-0.558856232804962,0.)); #441485=DIRECTION('',(-0.829264560351548,-0.558856232804962,0.)); #441486=DIRECTION('',(-0.829264560351548,-0.558856232804962,0.)); #441487=DIRECTION('',(0.,0.,1.)); #441488=DIRECTION('center_axis',(-0.199010641789413,0.97999732879971,0.)); #441489=DIRECTION('ref_axis',(-0.97999732879971,-0.199010641789413,0.)); #441490=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #441491=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #441492=DIRECTION('',(0.,0.,1.)); #441493=DIRECTION('center_axis',(0.,1.,0.)); #441494=DIRECTION('ref_axis',(-1.,0.,0.)); #441495=DIRECTION('',(-1.,0.,0.)); #441496=DIRECTION('',(-1.,0.,0.)); #441497=DIRECTION('',(0.,0.,1.)); #441498=DIRECTION('center_axis',(0.191194865688754,0.981552099144136,0.)); #441499=DIRECTION('ref_axis',(-0.981552099144136,0.191194865688754,0.)); #441500=DIRECTION('',(-0.981552099144136,0.191194865688754,0.)); #441501=DIRECTION('',(-0.981552099144136,0.191194865688754,0.)); #441502=DIRECTION('',(0.,0.,1.)); #441503=DIRECTION('center_axis',(0.552218701081189,0.833699290017812,0.)); #441504=DIRECTION('ref_axis',(-0.833699290017812,0.552218701081189,0.)); #441505=DIRECTION('',(-0.833699290017812,0.552218701081189,0.)); #441506=DIRECTION('',(-0.833699290017812,0.552218701081189,0.)); #441507=DIRECTION('',(0.,0.,1.)); #441508=DIRECTION('center_axis',(0.707106781186539,0.707106781186556,0.)); #441509=DIRECTION('ref_axis',(-0.707106781186556,0.707106781186539,0.)); #441510=DIRECTION('',(-0.707106781186556,0.707106781186539,0.)); #441511=DIRECTION('',(-0.707106781186556,0.707106781186539,0.)); #441512=DIRECTION('',(0.,0.,1.)); #441513=DIRECTION('center_axis',(0.829264560351648,0.558856232804814,0.)); #441514=DIRECTION('ref_axis',(-0.558856232804814,0.829264560351648,0.)); #441515=DIRECTION('',(-0.558856232804814,0.829264560351648,0.)); #441516=DIRECTION('',(-0.558856232804814,0.829264560351648,0.)); #441517=DIRECTION('',(0.,0.,1.)); #441518=DIRECTION('center_axis',(0.979997328799706,0.19901064178943,0.)); #441519=DIRECTION('ref_axis',(-0.19901064178943,0.979997328799706,0.)); #441520=DIRECTION('',(-0.19901064178943,0.979997328799706,0.)); #441521=DIRECTION('',(-0.19901064178943,0.979997328799706,0.)); #441522=DIRECTION('',(0.,0.,1.)); #441523=DIRECTION('center_axis',(1.,0.,0.)); #441524=DIRECTION('ref_axis',(0.,1.,0.)); #441525=DIRECTION('',(0.,1.,0.)); #441526=DIRECTION('',(0.,1.,0.)); #441527=DIRECTION('',(0.,0.,1.)); #441528=DIRECTION('center_axis',(0.981552099144139,-0.191194865688736,0.)); #441529=DIRECTION('ref_axis',(0.191194865688736,0.981552099144139,0.)); #441530=DIRECTION('',(0.191194865688736,0.981552099144139,0.)); #441531=DIRECTION('',(0.191194865688736,0.981552099144139,0.)); #441532=DIRECTION('',(0.,0.,1.)); #441533=DIRECTION('center_axis',(0.833699290017812,-0.552218701081189,0.)); #441534=DIRECTION('ref_axis',(0.552218701081189,0.833699290017812,0.)); #441535=DIRECTION('',(0.552218701081189,0.833699290017812,0.)); #441536=DIRECTION('',(0.552218701081189,0.833699290017812,0.)); #441537=DIRECTION('',(0.,0.,1.)); #441538=DIRECTION('center_axis',(0.707106781186543,-0.707106781186552,0.)); #441539=DIRECTION('ref_axis',(0.707106781186552,0.707106781186543,0.)); #441540=DIRECTION('',(0.707106781186552,0.707106781186543,0.)); #441541=DIRECTION('',(0.707106781186552,0.707106781186543,0.)); #441542=DIRECTION('',(0.,0.,1.)); #441543=DIRECTION('center_axis',(0.558856232804908,-0.829264560351584,0.)); #441544=DIRECTION('ref_axis',(0.829264560351584,0.558856232804908,0.)); #441545=DIRECTION('',(0.829264560351584,0.558856232804908,0.)); #441546=DIRECTION('',(0.829264560351584,0.558856232804908,0.)); #441547=DIRECTION('center_axis',(0.,0.,1.)); #441548=DIRECTION('ref_axis',(1.,0.,0.)); #441549=DIRECTION('center_axis',(0.,0.,1.)); #441550=DIRECTION('ref_axis',(1.,0.,0.)); #441551=DIRECTION('center_axis',(0.,0.,1.)); #441552=DIRECTION('ref_axis',(1.,0.,0.)); #441553=DIRECTION('center_axis',(0.,0.,1.)); #441554=DIRECTION('ref_axis',(1.,0.,0.)); #441555=DIRECTION('',(0.,0.,1.)); #441556=DIRECTION('center_axis',(0.,0.,-1.)); #441557=DIRECTION('ref_axis',(1.,0.,0.)); #441558=DIRECTION('center_axis',(0.156447762252248,-0.987686234431899,0.)); #441559=DIRECTION('ref_axis',(0.987686234431899,0.156447762252248,0.)); #441560=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #441561=DIRECTION('',(0.,0.,1.)); #441562=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #441563=DIRECTION('',(0.,0.,1.)); #441564=DIRECTION('center_axis',(0.,0.,1.)); #441565=DIRECTION('ref_axis',(-0.233449433189585,0.972368943428091,0.)); #441566=DIRECTION('center_axis',(0.,0.,1.)); #441567=DIRECTION('ref_axis',(-0.233449433189585,0.972368943428091,0.)); #441568=DIRECTION('center_axis',(0.,0.,1.)); #441569=DIRECTION('ref_axis',(-0.233449433189585,0.972368943428091,0.)); #441570=DIRECTION('',(0.,0.,1.)); #441571=DIRECTION('center_axis',(0.,0.,1.)); #441572=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #441573=DIRECTION('center_axis',(0.,0.,1.)); #441574=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #441575=DIRECTION('center_axis',(0.,0.,1.)); #441576=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #441577=DIRECTION('center_axis',(0.,0.,1.)); #441578=DIRECTION('ref_axis',(1.,0.,0.)); #441579=DIRECTION('center_axis',(0.,0.,1.)); #441580=DIRECTION('ref_axis',(1.,0.,0.)); #441581=DIRECTION('center_axis',(0.,0.,1.)); #441582=DIRECTION('ref_axis',(1.,0.,0.)); #441583=DIRECTION('center_axis',(0.,0.,1.)); #441584=DIRECTION('ref_axis',(1.,0.,0.)); #441585=DIRECTION('',(0.,0.,1.)); #441586=DIRECTION('center_axis',(0.,0.,-1.)); #441587=DIRECTION('ref_axis',(1.,0.,0.)); #441588=DIRECTION('center_axis',(0.,0.,1.)); #441589=DIRECTION('ref_axis',(1.,0.,0.)); #441590=DIRECTION('center_axis',(0.,0.,1.)); #441591=DIRECTION('ref_axis',(1.,0.,0.)); #441592=DIRECTION('',(0.,0.,1.)); #441593=DIRECTION('center_axis',(0.,0.,-1.)); #441594=DIRECTION('ref_axis',(1.,0.,0.)); #441595=DIRECTION('center_axis',(0.,0.,1.)); #441596=DIRECTION('ref_axis',(1.,0.,0.)); #441597=DIRECTION('center_axis',(0.,0.,1.)); #441598=DIRECTION('ref_axis',(1.,0.,0.)); #441599=DIRECTION('',(0.,0.,1.)); #441600=DIRECTION('center_axis',(0.,0.,-1.)); #441601=DIRECTION('ref_axis',(1.,0.,0.)); #441602=DIRECTION('center_axis',(0.,0.,1.)); #441603=DIRECTION('ref_axis',(1.,0.,0.)); #441604=DIRECTION('center_axis',(0.,0.,1.)); #441605=DIRECTION('ref_axis',(1.,0.,0.)); #441606=DIRECTION('',(0.,0.,1.)); #441607=DIRECTION('center_axis',(0.,0.,-1.)); #441608=DIRECTION('ref_axis',(1.,0.,0.)); #441609=DIRECTION('center_axis',(0.,0.,1.)); #441610=DIRECTION('ref_axis',(1.,0.,0.)); #441611=DIRECTION('center_axis',(0.,0.,1.)); #441612=DIRECTION('ref_axis',(1.,0.,0.)); #441613=DIRECTION('',(0.,0.,1.)); #441614=DIRECTION('center_axis',(0.,0.,-1.)); #441615=DIRECTION('ref_axis',(1.,0.,0.)); #441616=DIRECTION('center_axis',(0.,0.,1.)); #441617=DIRECTION('ref_axis',(1.,0.,0.)); #441618=DIRECTION('center_axis',(0.,0.,1.)); #441619=DIRECTION('ref_axis',(1.,0.,0.)); #441620=DIRECTION('',(0.,0.,1.)); #441621=DIRECTION('center_axis',(0.,0.,-1.)); #441622=DIRECTION('ref_axis',(1.,0.,0.)); #441623=DIRECTION('center_axis',(0.,0.,1.)); #441624=DIRECTION('ref_axis',(1.,0.,0.)); #441625=DIRECTION('center_axis',(0.,0.,1.)); #441626=DIRECTION('ref_axis',(1.,0.,0.)); #441627=DIRECTION('',(0.,0.,1.)); #441628=DIRECTION('center_axis',(0.,0.,-1.)); #441629=DIRECTION('ref_axis',(1.,0.,0.)); #441630=DIRECTION('center_axis',(0.,0.,1.)); #441631=DIRECTION('ref_axis',(1.,0.,0.)); #441632=DIRECTION('center_axis',(0.,0.,1.)); #441633=DIRECTION('ref_axis',(1.,0.,0.)); #441634=DIRECTION('',(0.,0.,1.)); #441635=DIRECTION('center_axis',(0.,0.,-1.)); #441636=DIRECTION('ref_axis',(1.,0.,0.)); #441637=DIRECTION('center_axis',(0.,0.,1.)); #441638=DIRECTION('ref_axis',(1.,0.,0.)); #441639=DIRECTION('center_axis',(0.,0.,1.)); #441640=DIRECTION('ref_axis',(1.,0.,0.)); #441641=DIRECTION('',(0.,0.,1.)); #441642=DIRECTION('center_axis',(0.,0.,-1.)); #441643=DIRECTION('ref_axis',(1.,0.,0.)); #441644=DIRECTION('center_axis',(0.,0.,1.)); #441645=DIRECTION('ref_axis',(1.,0.,0.)); #441646=DIRECTION('center_axis',(0.,0.,1.)); #441647=DIRECTION('ref_axis',(1.,0.,0.)); #441648=DIRECTION('',(0.,0.,1.)); #441649=DIRECTION('center_axis',(0.,0.,-1.)); #441650=DIRECTION('ref_axis',(1.,0.,0.)); #441651=DIRECTION('center_axis',(0.,0.,1.)); #441652=DIRECTION('ref_axis',(1.,0.,0.)); #441653=DIRECTION('center_axis',(0.,0.,1.)); #441654=DIRECTION('ref_axis',(1.,0.,0.)); #441655=DIRECTION('',(0.,0.,1.)); #441656=DIRECTION('center_axis',(0.,0.,-1.)); #441657=DIRECTION('ref_axis',(1.,0.,0.)); #441658=DIRECTION('center_axis',(0.,0.,1.)); #441659=DIRECTION('ref_axis',(1.,0.,0.)); #441660=DIRECTION('center_axis',(0.,0.,1.)); #441661=DIRECTION('ref_axis',(1.,0.,0.)); #441662=DIRECTION('',(0.,0.,1.)); #441663=DIRECTION('center_axis',(0.,0.,-1.)); #441664=DIRECTION('ref_axis',(1.,0.,0.)); #441665=DIRECTION('center_axis',(0.,0.,1.)); #441666=DIRECTION('ref_axis',(1.,0.,0.)); #441667=DIRECTION('center_axis',(0.,0.,1.)); #441668=DIRECTION('ref_axis',(1.,0.,0.)); #441669=DIRECTION('',(0.,0.,1.)); #441670=DIRECTION('center_axis',(0.,0.,-1.)); #441671=DIRECTION('ref_axis',(1.,0.,0.)); #441672=DIRECTION('center_axis',(0.,0.,1.)); #441673=DIRECTION('ref_axis',(1.,0.,0.)); #441674=DIRECTION('center_axis',(0.,0.,1.)); #441675=DIRECTION('ref_axis',(1.,0.,0.)); #441676=DIRECTION('',(0.,0.,1.)); #441677=DIRECTION('center_axis',(0.,0.,-1.)); #441678=DIRECTION('ref_axis',(1.,0.,0.)); #441679=DIRECTION('center_axis',(0.,0.,1.)); #441680=DIRECTION('ref_axis',(1.,0.,0.)); #441681=DIRECTION('center_axis',(0.,0.,1.)); #441682=DIRECTION('ref_axis',(1.,0.,0.)); #441683=DIRECTION('',(0.,0.,1.)); #441684=DIRECTION('center_axis',(0.,0.,-1.)); #441685=DIRECTION('ref_axis',(1.,0.,0.)); #441686=DIRECTION('center_axis',(0.,0.,1.)); #441687=DIRECTION('ref_axis',(1.,0.,0.)); #441688=DIRECTION('center_axis',(0.,0.,1.)); #441689=DIRECTION('ref_axis',(1.,0.,0.)); #441690=DIRECTION('',(0.,0.,1.)); #441691=DIRECTION('center_axis',(0.,0.,-1.)); #441692=DIRECTION('ref_axis',(1.,0.,0.)); #441693=DIRECTION('center_axis',(0.,0.,1.)); #441694=DIRECTION('ref_axis',(1.,0.,0.)); #441695=DIRECTION('center_axis',(0.,0.,1.)); #441696=DIRECTION('ref_axis',(1.,0.,0.)); #441697=DIRECTION('',(0.,0.,1.)); #441698=DIRECTION('center_axis',(0.,0.,-1.)); #441699=DIRECTION('ref_axis',(1.,0.,0.)); #441700=DIRECTION('center_axis',(0.,0.,1.)); #441701=DIRECTION('ref_axis',(1.,0.,0.)); #441702=DIRECTION('center_axis',(0.,0.,1.)); #441703=DIRECTION('ref_axis',(1.,0.,0.)); #441704=DIRECTION('',(0.,0.,1.)); #441705=DIRECTION('center_axis',(0.,0.,-1.)); #441706=DIRECTION('ref_axis',(1.,0.,0.)); #441707=DIRECTION('center_axis',(0.,0.,1.)); #441708=DIRECTION('ref_axis',(1.,0.,0.)); #441709=DIRECTION('center_axis',(0.,0.,1.)); #441710=DIRECTION('ref_axis',(1.,0.,0.)); #441711=DIRECTION('',(0.,0.,1.)); #441712=DIRECTION('center_axis',(0.,0.,-1.)); #441713=DIRECTION('ref_axis',(1.,0.,0.)); #441714=DIRECTION('center_axis',(0.,0.,1.)); #441715=DIRECTION('ref_axis',(1.,0.,0.)); #441716=DIRECTION('center_axis',(0.,0.,1.)); #441717=DIRECTION('ref_axis',(1.,0.,0.)); #441718=DIRECTION('',(0.,0.,1.)); #441719=DIRECTION('center_axis',(0.,0.,-1.)); #441720=DIRECTION('ref_axis',(1.,0.,0.)); #441721=DIRECTION('center_axis',(0.,0.,1.)); #441722=DIRECTION('ref_axis',(1.,0.,0.)); #441723=DIRECTION('center_axis',(0.,0.,1.)); #441724=DIRECTION('ref_axis',(1.,0.,0.)); #441725=DIRECTION('',(0.,0.,1.)); #441726=DIRECTION('center_axis',(0.,0.,-1.)); #441727=DIRECTION('ref_axis',(1.,0.,0.)); #441728=DIRECTION('center_axis',(0.,0.,1.)); #441729=DIRECTION('ref_axis',(1.,0.,0.)); #441730=DIRECTION('center_axis',(0.,0.,1.)); #441731=DIRECTION('ref_axis',(1.,0.,0.)); #441732=DIRECTION('',(0.,0.,1.)); #441733=DIRECTION('center_axis',(0.,0.,-1.)); #441734=DIRECTION('ref_axis',(1.,0.,0.)); #441735=DIRECTION('center_axis',(0.,0.,1.)); #441736=DIRECTION('ref_axis',(1.,0.,0.)); #441737=DIRECTION('center_axis',(0.,0.,1.)); #441738=DIRECTION('ref_axis',(1.,0.,0.)); #441739=DIRECTION('',(0.,0.,1.)); #441740=DIRECTION('center_axis',(0.,0.,-1.)); #441741=DIRECTION('ref_axis',(1.,0.,0.)); #441742=DIRECTION('center_axis',(0.,0.,1.)); #441743=DIRECTION('ref_axis',(1.,0.,0.)); #441744=DIRECTION('center_axis',(0.,0.,1.)); #441745=DIRECTION('ref_axis',(1.,0.,0.)); #441746=DIRECTION('',(0.,0.,1.)); #441747=DIRECTION('center_axis',(0.,0.,-1.)); #441748=DIRECTION('ref_axis',(1.,0.,0.)); #441749=DIRECTION('center_axis',(0.,0.,1.)); #441750=DIRECTION('ref_axis',(1.,0.,0.)); #441751=DIRECTION('center_axis',(0.,0.,1.)); #441752=DIRECTION('ref_axis',(1.,0.,0.)); #441753=DIRECTION('',(0.,0.,1.)); #441754=DIRECTION('center_axis',(0.,0.,-1.)); #441755=DIRECTION('ref_axis',(1.,0.,0.)); #441756=DIRECTION('center_axis',(0.,0.,1.)); #441757=DIRECTION('ref_axis',(1.,0.,0.)); #441758=DIRECTION('center_axis',(0.,0.,1.)); #441759=DIRECTION('ref_axis',(1.,0.,0.)); #441760=DIRECTION('',(0.,0.,1.)); #441761=DIRECTION('center_axis',(0.,0.,-1.)); #441762=DIRECTION('ref_axis',(1.,0.,0.)); #441763=DIRECTION('center_axis',(0.,0.,1.)); #441764=DIRECTION('ref_axis',(1.,0.,0.)); #441765=DIRECTION('center_axis',(0.,0.,1.)); #441766=DIRECTION('ref_axis',(1.,0.,0.)); #441767=DIRECTION('',(0.,0.,1.)); #441768=DIRECTION('center_axis',(0.,0.,-1.)); #441769=DIRECTION('ref_axis',(1.,0.,0.)); #441770=DIRECTION('center_axis',(0.,0.,1.)); #441771=DIRECTION('ref_axis',(1.,0.,0.)); #441772=DIRECTION('center_axis',(0.,0.,1.)); #441773=DIRECTION('ref_axis',(1.,0.,0.)); #441774=DIRECTION('',(0.,0.,1.)); #441775=DIRECTION('center_axis',(0.,0.,-1.)); #441776=DIRECTION('ref_axis',(1.,0.,0.)); #441777=DIRECTION('center_axis',(0.,0.,1.)); #441778=DIRECTION('ref_axis',(1.,0.,0.)); #441779=DIRECTION('center_axis',(0.,0.,1.)); #441780=DIRECTION('ref_axis',(1.,0.,0.)); #441781=DIRECTION('',(0.,0.,1.)); #441782=DIRECTION('center_axis',(0.,0.,-1.)); #441783=DIRECTION('ref_axis',(1.,0.,0.)); #441784=DIRECTION('center_axis',(0.,0.,1.)); #441785=DIRECTION('ref_axis',(1.,0.,0.)); #441786=DIRECTION('center_axis',(0.,0.,1.)); #441787=DIRECTION('ref_axis',(1.,0.,0.)); #441788=DIRECTION('',(0.,0.,1.)); #441789=DIRECTION('center_axis',(0.,0.,-1.)); #441790=DIRECTION('ref_axis',(1.,0.,0.)); #441791=DIRECTION('center_axis',(0.199010641789244,-0.979997328799744,0.)); #441792=DIRECTION('ref_axis',(0.979997328799744,0.199010641789244,0.)); #441793=DIRECTION('',(0.979997328799744,0.199010641789244,0.)); #441794=DIRECTION('',(0.,0.,1.)); #441795=DIRECTION('',(0.979997328799744,0.199010641789244,0.)); #441796=DIRECTION('',(0.,0.,1.)); #441797=DIRECTION('center_axis',(0.,-1.,0.)); #441798=DIRECTION('ref_axis',(1.,0.,0.)); #441799=DIRECTION('',(1.,0.,0.)); #441800=DIRECTION('',(1.,0.,0.)); #441801=DIRECTION('',(0.,0.,1.)); #441802=DIRECTION('center_axis',(-0.191194865688612,-0.981552099144164, 0.)); #441803=DIRECTION('ref_axis',(0.981552099144164,-0.191194865688612,0.)); #441804=DIRECTION('',(0.981552099144164,-0.191194865688612,0.)); #441805=DIRECTION('',(0.981552099144164,-0.191194865688612,0.)); #441806=DIRECTION('',(0.,0.,1.)); #441807=DIRECTION('center_axis',(-0.55221870108125,-0.833699290017772,0.)); #441808=DIRECTION('ref_axis',(0.833699290017772,-0.55221870108125,0.)); #441809=DIRECTION('',(0.833699290017772,-0.55221870108125,0.)); #441810=DIRECTION('',(0.833699290017772,-0.55221870108125,0.)); #441811=DIRECTION('',(0.,0.,1.)); #441812=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #441813=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #441814=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #441815=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #441816=DIRECTION('',(0.,0.,1.)); #441817=DIRECTION('center_axis',(-0.600315735780258,-0.799763100783356, 0.)); #441818=DIRECTION('ref_axis',(0.799763100783355,-0.600315735780258,0.)); #441819=DIRECTION('',(0.799763100783355,-0.600315735780258,0.)); #441820=DIRECTION('',(0.799763100783355,-0.600315735780258,0.)); #441821=DIRECTION('',(0.,0.,1.)); #441822=DIRECTION('center_axis',(-0.125280629073933,-0.99212134539019,0.)); #441823=DIRECTION('ref_axis',(0.99212134539019,-0.125280629073933,0.)); #441824=DIRECTION('',(0.99212134539019,-0.125280629073933,0.)); #441825=DIRECTION('',(0.99212134539019,-0.125280629073933,0.)); #441826=DIRECTION('',(0.,0.,1.)); #441827=DIRECTION('center_axis',(0.,-1.,0.)); #441828=DIRECTION('ref_axis',(1.,0.,0.)); #441829=DIRECTION('',(1.,0.,0.)); #441830=DIRECTION('',(1.,0.,0.)); #441831=DIRECTION('',(0.,0.,1.)); #441832=DIRECTION('center_axis',(-0.191194865688754,-0.981552099144136, 0.)); #441833=DIRECTION('ref_axis',(0.981552099144136,-0.191194865688754,0.)); #441834=DIRECTION('',(0.981552099144136,-0.191194865688754,0.)); #441835=DIRECTION('',(0.981552099144136,-0.191194865688754,0.)); #441836=DIRECTION('',(0.,0.,1.)); #441837=DIRECTION('center_axis',(-0.552218701081331,-0.833699290017718, 0.)); #441838=DIRECTION('ref_axis',(0.833699290017718,-0.552218701081331,0.)); #441839=DIRECTION('',(0.833699290017718,-0.552218701081331,0.)); #441840=DIRECTION('',(0.833699290017718,-0.552218701081331,0.)); #441841=DIRECTION('',(0.,0.,1.)); #441842=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #441843=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #441844=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #441845=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #441846=DIRECTION('',(0.,0.,1.)); #441847=DIRECTION('center_axis',(-0.829264560351506,-0.558856232805025, 0.)); #441848=DIRECTION('ref_axis',(0.558856232805025,-0.829264560351506,0.)); #441849=DIRECTION('',(0.558856232805025,-0.829264560351506,0.)); #441850=DIRECTION('',(0.558856232805025,-0.829264560351506,0.)); #441851=DIRECTION('',(0.,0.,1.)); #441852=DIRECTION('center_axis',(-0.97999732879971,-0.199010641789413,0.)); #441853=DIRECTION('ref_axis',(0.199010641789413,-0.97999732879971,0.)); #441854=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #441855=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #441856=DIRECTION('',(0.,0.,1.)); #441857=DIRECTION('center_axis',(-1.,0.,0.)); #441858=DIRECTION('ref_axis',(0.,-1.,0.)); #441859=DIRECTION('',(0.,-1.,0.)); #441860=DIRECTION('',(0.,-1.,0.)); #441861=DIRECTION('',(0.,0.,1.)); #441862=DIRECTION('center_axis',(-0.983050395657576,0.183335538282908,0.)); #441863=DIRECTION('ref_axis',(-0.183335538282908,-0.983050395657576,0.)); #441864=DIRECTION('',(-0.183335538282908,-0.983050395657576,0.)); #441865=DIRECTION('',(-0.183335538282908,-0.983050395657576,0.)); #441866=DIRECTION('',(0.,0.,1.)); #441867=DIRECTION('center_axis',(-0.842360495470256,0.538914460439786,0.)); #441868=DIRECTION('ref_axis',(-0.538914460439786,-0.842360495470256,0.)); #441869=DIRECTION('',(-0.538914460439786,-0.842360495470256,0.)); #441870=DIRECTION('',(-0.538914460439786,-0.842360495470256,0.)); #441871=DIRECTION('',(0.,0.,1.)); #441872=DIRECTION('center_axis',(-0.565473758099632,0.824766287441891,0.)); #441873=DIRECTION('ref_axis',(-0.824766287441891,-0.565473758099632,0.)); #441874=DIRECTION('',(-0.824766287441891,-0.565473758099632,0.)); #441875=DIRECTION('',(-0.824766287441891,-0.565473758099632,0.)); #441876=DIRECTION('',(0.,0.,1.)); #441877=DIRECTION('center_axis',(-0.199010641789413,0.97999732879971,0.)); #441878=DIRECTION('ref_axis',(-0.97999732879971,-0.199010641789413,0.)); #441879=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #441880=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #441881=DIRECTION('',(0.,0.,1.)); #441882=DIRECTION('center_axis',(0.,1.,0.)); #441883=DIRECTION('ref_axis',(-1.,0.,0.)); #441884=DIRECTION('',(-1.,0.,0.)); #441885=DIRECTION('',(-1.,0.,0.)); #441886=DIRECTION('',(0.,0.,1.)); #441887=DIRECTION('center_axis',(0.1911809404039,0.981554811524186,0.)); #441888=DIRECTION('ref_axis',(-0.981554811524186,0.1911809404039,0.)); #441889=DIRECTION('',(-0.981554811524186,0.1911809404039,0.)); #441890=DIRECTION('',(-0.981554811524186,0.1911809404039,0.)); #441891=DIRECTION('',(0.,0.,1.)); #441892=DIRECTION('center_axis',(0.,1.,0.)); #441893=DIRECTION('ref_axis',(-1.,0.,0.)); #441894=DIRECTION('',(-1.,0.,0.)); #441895=DIRECTION('',(-1.,0.,0.)); #441896=DIRECTION('',(0.,0.,1.)); #441897=DIRECTION('center_axis',(-0.199017616334582,0.979995912434588,0.)); #441898=DIRECTION('ref_axis',(-0.979995912434588,-0.199017616334582,0.)); #441899=DIRECTION('',(-0.979995912434588,-0.199017616334582,0.)); #441900=DIRECTION('',(-0.979995912434588,-0.199017616334582,0.)); #441901=DIRECTION('',(0.,0.,1.)); #441902=DIRECTION('center_axis',(0.,1.,0.)); #441903=DIRECTION('ref_axis',(-1.,0.,0.)); #441904=DIRECTION('',(-1.,0.,0.)); #441905=DIRECTION('',(-1.,0.,0.)); #441906=DIRECTION('',(0.,0.,1.)); #441907=DIRECTION('center_axis',(0.1911809404039,0.981554811524186,0.)); #441908=DIRECTION('ref_axis',(-0.981554811524186,0.1911809404039,0.)); #441909=DIRECTION('',(-0.981554811524186,0.1911809404039,0.)); #441910=DIRECTION('',(-0.981554811524186,0.1911809404039,0.)); #441911=DIRECTION('',(0.,0.,1.)); #441912=DIRECTION('center_axis',(0.,1.,0.)); #441913=DIRECTION('ref_axis',(-1.,0.,0.)); #441914=DIRECTION('',(-1.,0.,0.)); #441915=DIRECTION('',(-1.,0.,0.)); #441916=DIRECTION('',(0.,0.,1.)); #441917=DIRECTION('center_axis',(-0.199017616334582,0.979995912434588,0.)); #441918=DIRECTION('ref_axis',(-0.979995912434588,-0.199017616334582,0.)); #441919=DIRECTION('',(-0.979995912434588,-0.199017616334582,0.)); #441920=DIRECTION('',(-0.979995912434588,-0.199017616334582,0.)); #441921=DIRECTION('',(0.,0.,1.)); #441922=DIRECTION('center_axis',(0.,1.,0.)); #441923=DIRECTION('ref_axis',(-1.,0.,0.)); #441924=DIRECTION('',(-1.,0.,0.)); #441925=DIRECTION('',(-1.,0.,0.)); #441926=DIRECTION('',(0.,0.,1.)); #441927=DIRECTION('center_axis',(0.1911809404039,0.981554811524186,0.)); #441928=DIRECTION('ref_axis',(-0.981554811524186,0.1911809404039,0.)); #441929=DIRECTION('',(-0.981554811524186,0.1911809404039,0.)); #441930=DIRECTION('',(-0.981554811524186,0.1911809404039,0.)); #441931=DIRECTION('',(0.,0.,1.)); #441932=DIRECTION('center_axis',(0.,1.,0.)); #441933=DIRECTION('ref_axis',(-1.,0.,0.)); #441934=DIRECTION('',(-1.,0.,0.)); #441935=DIRECTION('',(-1.,0.,0.)); #441936=DIRECTION('',(0.,0.,1.)); #441937=DIRECTION('center_axis',(-0.199017616334439,0.979995912434617,0.)); #441938=DIRECTION('ref_axis',(-0.979995912434617,-0.199017616334439,0.)); #441939=DIRECTION('',(-0.979995912434617,-0.199017616334439,0.)); #441940=DIRECTION('',(-0.979995912434617,-0.199017616334439,0.)); #441941=DIRECTION('',(0.,0.,1.)); #441942=DIRECTION('center_axis',(0.,1.,0.)); #441943=DIRECTION('ref_axis',(-1.,0.,0.)); #441944=DIRECTION('',(-1.,0.,0.)); #441945=DIRECTION('',(-1.,0.,0.)); #441946=DIRECTION('',(0.,0.,1.)); #441947=DIRECTION('center_axis',(0.191180940403768,0.981554811524212,0.)); #441948=DIRECTION('ref_axis',(-0.981554811524212,0.191180940403768,0.)); #441949=DIRECTION('',(-0.981554811524212,0.191180940403768,0.)); #441950=DIRECTION('',(-0.981554811524212,0.191180940403768,0.)); #441951=DIRECTION('',(0.,0.,1.)); #441952=DIRECTION('center_axis',(0.,1.,0.)); #441953=DIRECTION('ref_axis',(-1.,0.,0.)); #441954=DIRECTION('',(-1.,0.,0.)); #441955=DIRECTION('',(-1.,0.,0.)); #441956=DIRECTION('',(0.,0.,1.)); #441957=DIRECTION('center_axis',(-0.199001444417704,0.979999196489297,0.)); #441958=DIRECTION('ref_axis',(-0.979999196489297,-0.199001444417704,0.)); #441959=DIRECTION('',(-0.979999196489297,-0.199001444417704,0.)); #441960=DIRECTION('',(-0.979999196489297,-0.199001444417704,0.)); #441961=DIRECTION('',(0.,0.,1.)); #441962=DIRECTION('center_axis',(0.,1.,0.)); #441963=DIRECTION('ref_axis',(-1.,0.,0.)); #441964=DIRECTION('',(-1.,0.,0.)); #441965=DIRECTION('',(-1.,0.,0.)); #441966=DIRECTION('',(0.,0.,1.)); #441967=DIRECTION('center_axis',(0.191194865688754,0.981552099144136,0.)); #441968=DIRECTION('ref_axis',(-0.981552099144136,0.191194865688754,0.)); #441969=DIRECTION('',(-0.981552099144136,0.191194865688754,0.)); #441970=DIRECTION('',(-0.981552099144136,0.191194865688754,0.)); #441971=DIRECTION('',(0.,0.,1.)); #441972=DIRECTION('center_axis',(0.552218701081128,0.833699290017853,0.)); #441973=DIRECTION('ref_axis',(-0.833699290017853,0.552218701081128,0.)); #441974=DIRECTION('',(-0.833699290017853,0.552218701081128,0.)); #441975=DIRECTION('',(-0.833699290017853,0.552218701081128,0.)); #441976=DIRECTION('',(0.,0.,1.)); #441977=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #441978=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #441979=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #441980=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #441981=DIRECTION('',(0.,0.,1.)); #441982=DIRECTION('center_axis',(0.829264560351648,0.558856232804814,0.)); #441983=DIRECTION('ref_axis',(-0.558856232804814,0.829264560351648,0.)); #441984=DIRECTION('',(-0.558856232804814,0.829264560351648,0.)); #441985=DIRECTION('',(-0.558856232804814,0.829264560351648,0.)); #441986=DIRECTION('',(0.,0.,1.)); #441987=DIRECTION('center_axis',(0.97999732879971,0.199010641789413,0.)); #441988=DIRECTION('ref_axis',(-0.199010641789413,0.97999732879971,0.)); #441989=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #441990=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #441991=DIRECTION('',(0.,0.,1.)); #441992=DIRECTION('center_axis',(1.,0.,0.)); #441993=DIRECTION('ref_axis',(0.,1.,0.)); #441994=DIRECTION('',(0.,1.,0.)); #441995=DIRECTION('',(0.,1.,0.)); #441996=DIRECTION('',(0.,0.,1.)); #441997=DIRECTION('center_axis',(0.981552099144129,-0.191194865688788,0.)); #441998=DIRECTION('ref_axis',(0.191194865688788,0.981552099144129,0.)); #441999=DIRECTION('',(0.191194865688788,0.981552099144129,0.)); #442000=DIRECTION('',(0.191194865688788,0.981552099144129,0.)); #442001=DIRECTION('',(0.,0.,1.)); #442002=DIRECTION('center_axis',(0.833699290017853,-0.552218701081128,0.)); #442003=DIRECTION('ref_axis',(0.552218701081128,0.833699290017853,0.)); #442004=DIRECTION('',(0.552218701081128,0.833699290017853,0.)); #442005=DIRECTION('',(0.552218701081128,0.833699290017853,0.)); #442006=DIRECTION('',(0.,0.,1.)); #442007=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #442008=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #442009=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #442010=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #442011=DIRECTION('',(0.,0.,1.)); #442012=DIRECTION('center_axis',(0.55885623280494,-0.829264560351563,0.)); #442013=DIRECTION('ref_axis',(0.829264560351563,0.55885623280494,0.)); #442014=DIRECTION('',(0.829264560351563,0.55885623280494,0.)); #442015=DIRECTION('',(0.829264560351563,0.55885623280494,0.)); #442016=DIRECTION('center_axis',(0.,0.,1.)); #442017=DIRECTION('ref_axis',(1.,0.,0.)); #442018=DIRECTION('center_axis',(0.,0.,1.)); #442019=DIRECTION('ref_axis',(1.,0.,0.)); #442020=DIRECTION('center_axis',(0.,0.,1.)); #442021=DIRECTION('ref_axis',(1.,0.,0.)); #442022=DIRECTION('center_axis',(0.,0.,1.)); #442023=DIRECTION('ref_axis',(1.,0.,0.)); #442024=DIRECTION('',(0.,0.,1.)); #442025=DIRECTION('center_axis',(0.,0.,-1.)); #442026=DIRECTION('ref_axis',(1.,0.,0.)); #442027=DIRECTION('center_axis',(0.,0.,1.)); #442028=DIRECTION('ref_axis',(1.,0.,0.)); #442029=DIRECTION('center_axis',(0.,0.,1.)); #442030=DIRECTION('ref_axis',(1.,0.,0.)); #442031=DIRECTION('',(0.,0.,1.)); #442032=DIRECTION('center_axis',(0.,0.,-1.)); #442033=DIRECTION('ref_axis',(1.,0.,0.)); #442034=DIRECTION('center_axis',(0.156447762252248,-0.987686234431899,0.)); #442035=DIRECTION('ref_axis',(0.987686234431899,0.156447762252248,0.)); #442036=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #442037=DIRECTION('',(0.,0.,1.)); #442038=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #442039=DIRECTION('',(0.,0.,1.)); #442040=DIRECTION('center_axis',(0.,0.,1.)); #442041=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #442042=DIRECTION('center_axis',(0.,0.,1.)); #442043=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #442044=DIRECTION('center_axis',(0.,0.,1.)); #442045=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #442046=DIRECTION('',(0.,0.,1.)); #442047=DIRECTION('center_axis',(-1.,0.,0.)); #442048=DIRECTION('ref_axis',(0.,-1.,0.)); #442049=DIRECTION('',(0.,-1.,0.)); #442050=DIRECTION('',(0.,-1.,0.)); #442051=DIRECTION('',(0.,0.,1.)); #442052=DIRECTION('center_axis',(0.,0.,1.)); #442053=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #442054=DIRECTION('center_axis',(0.,0.,1.)); #442055=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #442056=DIRECTION('center_axis',(0.,0.,1.)); #442057=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #442058=DIRECTION('',(0.,0.,1.)); #442059=DIRECTION('center_axis',(0.,0.,1.)); #442060=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #442061=DIRECTION('center_axis',(0.,0.,1.)); #442062=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #442063=DIRECTION('center_axis',(0.,0.,1.)); #442064=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #442065=DIRECTION('',(0.,0.,1.)); #442066=DIRECTION('center_axis',(1.,0.,0.)); #442067=DIRECTION('ref_axis',(0.,1.,0.)); #442068=DIRECTION('',(0.,1.,0.)); #442069=DIRECTION('',(0.,1.,0.)); #442070=DIRECTION('',(0.,0.,1.)); #442071=DIRECTION('center_axis',(0.,0.,1.)); #442072=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #442073=DIRECTION('center_axis',(0.,0.,1.)); #442074=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #442075=DIRECTION('center_axis',(0.,0.,1.)); #442076=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #442077=DIRECTION('center_axis',(0.,0.,1.)); #442078=DIRECTION('ref_axis',(1.,0.,0.)); #442079=DIRECTION('center_axis',(0.,0.,1.)); #442080=DIRECTION('ref_axis',(1.,0.,0.)); #442081=DIRECTION('center_axis',(0.,0.,1.)); #442082=DIRECTION('ref_axis',(1.,0.,0.)); #442083=DIRECTION('center_axis',(0.,0.,1.)); #442084=DIRECTION('ref_axis',(1.,0.,0.)); #442085=DIRECTION('',(0.,0.,1.)); #442086=DIRECTION('center_axis',(0.,0.,-1.)); #442087=DIRECTION('ref_axis',(1.,0.,0.)); #442088=DIRECTION('center_axis',(0.156447762252298,-0.987686234431891,0.)); #442089=DIRECTION('ref_axis',(0.987686234431891,0.156447762252298,0.)); #442090=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #442091=DIRECTION('',(0.,0.,1.)); #442092=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #442093=DIRECTION('',(0.,0.,1.)); #442094=DIRECTION('center_axis',(0.,0.,1.)); #442095=DIRECTION('ref_axis',(-0.233449433189584,0.972368943428091,0.)); #442096=DIRECTION('center_axis',(0.,0.,1.)); #442097=DIRECTION('ref_axis',(-0.233449433189584,0.972368943428091,0.)); #442098=DIRECTION('center_axis',(0.,0.,1.)); #442099=DIRECTION('ref_axis',(-0.233449433189584,0.972368943428091,0.)); #442100=DIRECTION('',(0.,0.,1.)); #442101=DIRECTION('center_axis',(0.,0.,1.)); #442102=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #442103=DIRECTION('center_axis',(0.,0.,1.)); #442104=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #442105=DIRECTION('center_axis',(0.,0.,1.)); #442106=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #442107=DIRECTION('center_axis',(0.,0.,1.)); #442108=DIRECTION('ref_axis',(1.,0.,0.)); #442109=DIRECTION('center_axis',(0.,0.,1.)); #442110=DIRECTION('ref_axis',(1.,0.,0.)); #442111=DIRECTION('center_axis',(0.,0.,1.)); #442112=DIRECTION('ref_axis',(1.,0.,0.)); #442113=DIRECTION('center_axis',(0.,0.,1.)); #442114=DIRECTION('ref_axis',(1.,0.,0.)); #442115=DIRECTION('',(0.,0.,1.)); #442116=DIRECTION('center_axis',(0.,0.,-1.)); #442117=DIRECTION('ref_axis',(1.,0.,0.)); #442118=DIRECTION('center_axis',(0.,0.,1.)); #442119=DIRECTION('ref_axis',(1.,0.,0.)); #442120=DIRECTION('center_axis',(0.,0.,1.)); #442121=DIRECTION('ref_axis',(1.,0.,0.)); #442122=DIRECTION('',(0.,0.,1.)); #442123=DIRECTION('center_axis',(0.,0.,-1.)); #442124=DIRECTION('ref_axis',(1.,0.,0.)); #442125=DIRECTION('center_axis',(0.,0.,1.)); #442126=DIRECTION('ref_axis',(1.,0.,0.)); #442127=DIRECTION('center_axis',(0.,0.,1.)); #442128=DIRECTION('ref_axis',(1.,0.,0.)); #442129=DIRECTION('',(0.,0.,1.)); #442130=DIRECTION('center_axis',(0.,0.,-1.)); #442131=DIRECTION('ref_axis',(1.,0.,0.)); #442132=DIRECTION('center_axis',(0.,0.,1.)); #442133=DIRECTION('ref_axis',(1.,0.,0.)); #442134=DIRECTION('center_axis',(0.,0.,1.)); #442135=DIRECTION('ref_axis',(1.,0.,0.)); #442136=DIRECTION('',(0.,0.,1.)); #442137=DIRECTION('center_axis',(0.,0.,-1.)); #442138=DIRECTION('ref_axis',(1.,0.,0.)); #442139=DIRECTION('center_axis',(0.,0.,1.)); #442140=DIRECTION('ref_axis',(1.,0.,0.)); #442141=DIRECTION('center_axis',(0.,0.,1.)); #442142=DIRECTION('ref_axis',(1.,0.,0.)); #442143=DIRECTION('',(0.,0.,1.)); #442144=DIRECTION('center_axis',(0.,0.,-1.)); #442145=DIRECTION('ref_axis',(1.,0.,0.)); #442146=DIRECTION('center_axis',(0.,0.,1.)); #442147=DIRECTION('ref_axis',(1.,0.,0.)); #442148=DIRECTION('center_axis',(0.,0.,1.)); #442149=DIRECTION('ref_axis',(1.,0.,0.)); #442150=DIRECTION('',(0.,0.,1.)); #442151=DIRECTION('center_axis',(0.,0.,-1.)); #442152=DIRECTION('ref_axis',(1.,0.,0.)); #442153=DIRECTION('center_axis',(0.,0.,1.)); #442154=DIRECTION('ref_axis',(1.,0.,0.)); #442155=DIRECTION('center_axis',(0.,0.,1.)); #442156=DIRECTION('ref_axis',(1.,0.,0.)); #442157=DIRECTION('',(0.,0.,1.)); #442158=DIRECTION('center_axis',(0.,0.,-1.)); #442159=DIRECTION('ref_axis',(1.,0.,0.)); #442160=DIRECTION('center_axis',(0.,0.,1.)); #442161=DIRECTION('ref_axis',(1.,0.,0.)); #442162=DIRECTION('center_axis',(0.,0.,1.)); #442163=DIRECTION('ref_axis',(1.,0.,0.)); #442164=DIRECTION('',(0.,0.,1.)); #442165=DIRECTION('center_axis',(0.,0.,-1.)); #442166=DIRECTION('ref_axis',(1.,0.,0.)); #442167=DIRECTION('center_axis',(0.,0.,1.)); #442168=DIRECTION('ref_axis',(1.,0.,0.)); #442169=DIRECTION('center_axis',(0.,0.,1.)); #442170=DIRECTION('ref_axis',(1.,0.,0.)); #442171=DIRECTION('',(0.,0.,1.)); #442172=DIRECTION('center_axis',(0.,0.,-1.)); #442173=DIRECTION('ref_axis',(1.,0.,0.)); #442174=DIRECTION('center_axis',(0.,0.,1.)); #442175=DIRECTION('ref_axis',(1.,0.,0.)); #442176=DIRECTION('center_axis',(0.,0.,1.)); #442177=DIRECTION('ref_axis',(1.,0.,0.)); #442178=DIRECTION('',(0.,0.,1.)); #442179=DIRECTION('center_axis',(0.,0.,-1.)); #442180=DIRECTION('ref_axis',(1.,0.,0.)); #442181=DIRECTION('center_axis',(0.,0.,1.)); #442182=DIRECTION('ref_axis',(1.,0.,0.)); #442183=DIRECTION('center_axis',(0.,0.,1.)); #442184=DIRECTION('ref_axis',(1.,0.,0.)); #442185=DIRECTION('',(0.,0.,1.)); #442186=DIRECTION('center_axis',(0.,0.,-1.)); #442187=DIRECTION('ref_axis',(1.,0.,0.)); #442188=DIRECTION('center_axis',(0.,0.,1.)); #442189=DIRECTION('ref_axis',(1.,0.,0.)); #442190=DIRECTION('center_axis',(0.,0.,1.)); #442191=DIRECTION('ref_axis',(1.,0.,0.)); #442192=DIRECTION('',(0.,0.,1.)); #442193=DIRECTION('center_axis',(0.,0.,-1.)); #442194=DIRECTION('ref_axis',(1.,0.,0.)); #442195=DIRECTION('center_axis',(0.,0.,1.)); #442196=DIRECTION('ref_axis',(1.,0.,0.)); #442197=DIRECTION('center_axis',(0.,0.,1.)); #442198=DIRECTION('ref_axis',(1.,0.,0.)); #442199=DIRECTION('',(0.,0.,1.)); #442200=DIRECTION('center_axis',(0.,0.,-1.)); #442201=DIRECTION('ref_axis',(1.,0.,0.)); #442202=DIRECTION('center_axis',(0.,0.,1.)); #442203=DIRECTION('ref_axis',(1.,0.,0.)); #442204=DIRECTION('center_axis',(0.,0.,1.)); #442205=DIRECTION('ref_axis',(1.,0.,0.)); #442206=DIRECTION('',(0.,0.,1.)); #442207=DIRECTION('center_axis',(0.,0.,-1.)); #442208=DIRECTION('ref_axis',(1.,0.,0.)); #442209=DIRECTION('center_axis',(0.,0.,1.)); #442210=DIRECTION('ref_axis',(1.,0.,0.)); #442211=DIRECTION('center_axis',(0.,0.,1.)); #442212=DIRECTION('ref_axis',(1.,0.,0.)); #442213=DIRECTION('',(0.,0.,1.)); #442214=DIRECTION('center_axis',(0.,0.,-1.)); #442215=DIRECTION('ref_axis',(1.,0.,0.)); #442216=DIRECTION('center_axis',(0.,1.,0.)); #442217=DIRECTION('ref_axis',(-1.,0.,0.)); #442218=DIRECTION('',(-1.,0.,0.)); #442219=DIRECTION('',(0.,0.,1.)); #442220=DIRECTION('',(-1.,0.,0.)); #442221=DIRECTION('',(0.,0.,1.)); #442222=DIRECTION('center_axis',(-0.707106223895493,0.707107338477163,0.)); #442223=DIRECTION('ref_axis',(-0.707107338477163,-0.707106223895493,0.)); #442224=DIRECTION('',(-0.707107338477163,-0.707106223895493,0.)); #442225=DIRECTION('',(-0.707107338477163,-0.707106223895493,0.)); #442226=DIRECTION('',(0.,0.,1.)); #442227=DIRECTION('center_axis',(-0.981547402803208,0.191218974085413,0.)); #442228=DIRECTION('ref_axis',(-0.191218974085413,-0.981547402803208,0.)); #442229=DIRECTION('',(-0.191218974085413,-0.981547402803208,0.)); #442230=DIRECTION('',(-0.191218974085413,-0.981547402803208,0.)); #442231=DIRECTION('',(0.,0.,1.)); #442232=DIRECTION('center_axis',(-0.833696876830075,0.552222344317738,0.)); #442233=DIRECTION('ref_axis',(-0.552222344317738,-0.833696876830075,0.)); #442234=DIRECTION('',(-0.552222344317738,-0.833696876830075,0.)); #442235=DIRECTION('',(-0.552222344317738,-0.833696876830075,0.)); #442236=DIRECTION('',(0.,0.,1.)); #442237=DIRECTION('center_axis',(-0.707106781186545,0.70710678118655,0.)); #442238=DIRECTION('ref_axis',(-0.70710678118655,-0.707106781186545,0.)); #442239=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #442240=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #442241=DIRECTION('',(0.,0.,1.)); #442242=DIRECTION('center_axis',(-0.983046411280577,0.183356901338289,0.)); #442243=DIRECTION('ref_axis',(-0.183356901338289,-0.983046411280577,0.)); #442244=DIRECTION('',(-0.183356901338289,-0.983046411280577,0.)); #442245=DIRECTION('',(-0.183356901338289,-0.983046411280577,0.)); #442246=DIRECTION('',(0.,0.,1.)); #442247=DIRECTION('center_axis',(-0.842361070051274,0.538913562328944,0.)); #442248=DIRECTION('ref_axis',(-0.538913562328944,-0.842361070051274,0.)); #442249=DIRECTION('',(-0.538913562328944,-0.842361070051274,0.)); #442250=DIRECTION('',(-0.538913562328944,-0.842361070051274,0.)); #442251=DIRECTION('',(0.,0.,1.)); #442252=DIRECTION('center_axis',(-0.565462991527481,0.824773669083096,0.)); #442253=DIRECTION('ref_axis',(-0.824773669083096,-0.565462991527481,0.)); #442254=DIRECTION('',(-0.824773669083096,-0.565462991527481,0.)); #442255=DIRECTION('',(-0.824773669083096,-0.565462991527481,0.)); #442256=DIRECTION('',(0.,0.,1.)); #442257=DIRECTION('center_axis',(-0.199018242080749,0.979995785357819,0.)); #442258=DIRECTION('ref_axis',(-0.979995785357819,-0.199018242080749,0.)); #442259=DIRECTION('',(-0.979995785357819,-0.199018242080749,0.)); #442260=DIRECTION('',(-0.979995785357819,-0.199018242080749,0.)); #442261=DIRECTION('',(0.,0.,1.)); #442262=DIRECTION('center_axis',(0.,1.,0.)); #442263=DIRECTION('ref_axis',(-1.,0.,0.)); #442264=DIRECTION('',(-1.,0.,0.)); #442265=DIRECTION('',(-1.,0.,0.)); #442266=DIRECTION('',(0.,0.,1.)); #442267=DIRECTION('center_axis',(0.183325162679494,0.983052330615485,0.)); #442268=DIRECTION('ref_axis',(-0.983052330615485,0.183325162679494,0.)); #442269=DIRECTION('',(-0.983052330615485,0.183325162679494,0.)); #442270=DIRECTION('',(-0.983052330615485,0.183325162679494,0.)); #442271=DIRECTION('',(0.,0.,1.)); #442272=DIRECTION('center_axis',(0.538922883226426,0.842355106789836,0.)); #442273=DIRECTION('ref_axis',(-0.842355106789836,0.538922883226426,0.)); #442274=DIRECTION('',(-0.842355106789836,0.538922883226426,0.)); #442275=DIRECTION('',(-0.842355106789836,0.538922883226426,0.)); #442276=DIRECTION('',(0.,0.,1.)); #442277=DIRECTION('center_axis',(0.824763124289754,0.565478371656958,0.)); #442278=DIRECTION('ref_axis',(-0.565478371656958,0.824763124289754,0.)); #442279=DIRECTION('',(-0.565478371656958,0.824763124289754,0.)); #442280=DIRECTION('',(-0.565478371656958,0.824763124289754,0.)); #442281=DIRECTION('',(0.,0.,1.)); #442282=DIRECTION('center_axis',(0.979997642221407,0.199009098386189,0.)); #442283=DIRECTION('ref_axis',(-0.199009098386189,0.979997642221407,0.)); #442284=DIRECTION('',(-0.199009098386189,0.979997642221407,0.)); #442285=DIRECTION('',(-0.199009098386189,0.979997642221407,0.)); #442286=DIRECTION('',(0.,0.,1.)); #442287=DIRECTION('center_axis',(1.,0.,0.)); #442288=DIRECTION('ref_axis',(0.,1.,0.)); #442289=DIRECTION('',(0.,1.,0.)); #442290=DIRECTION('',(0.,1.,0.)); #442291=DIRECTION('',(0.,0.,1.)); #442292=DIRECTION('center_axis',(0.707106216327397,0.707107346045247,0.)); #442293=DIRECTION('ref_axis',(-0.707107346045247,0.707106216327397,0.)); #442294=DIRECTION('',(-0.707107346045247,0.707106216327397,0.)); #442295=DIRECTION('',(-0.707107346045247,0.707106216327397,0.)); #442296=DIRECTION('',(0.,0.,1.)); #442297=DIRECTION('center_axis',(0.,1.,0.)); #442298=DIRECTION('ref_axis',(-1.,0.,0.)); #442299=DIRECTION('',(-1.,0.,0.)); #442300=DIRECTION('',(-1.,0.,0.)); #442301=DIRECTION('',(0.,0.,1.)); #442302=DIRECTION('center_axis',(-0.707106216327397,0.707107346045247,0.)); #442303=DIRECTION('ref_axis',(-0.707107346045247,-0.707106216327397,0.)); #442304=DIRECTION('',(-0.707107346045247,-0.707106216327397,0.)); #442305=DIRECTION('',(-0.707107346045247,-0.707106216327397,0.)); #442306=DIRECTION('',(0.,0.,1.)); #442307=DIRECTION('center_axis',(-1.,0.,0.)); #442308=DIRECTION('ref_axis',(0.,-1.,0.)); #442309=DIRECTION('',(0.,-1.,0.)); #442310=DIRECTION('',(0.,-1.,0.)); #442311=DIRECTION('',(0.,0.,1.)); #442312=DIRECTION('center_axis',(-0.983050612179051,0.183334377284768,0.)); #442313=DIRECTION('ref_axis',(-0.183334377284768,-0.983050612179051,0.)); #442314=DIRECTION('',(-0.183334377284768,-0.983050612179051,0.)); #442315=DIRECTION('',(-0.183334377284768,-0.983050612179051,0.)); #442316=DIRECTION('',(0.,0.,1.)); #442317=DIRECTION('center_axis',(-0.842361070051314,0.538913562328881,0.)); #442318=DIRECTION('ref_axis',(-0.538913562328881,-0.842361070051314,0.)); #442319=DIRECTION('',(-0.538913562328881,-0.842361070051314,0.)); #442320=DIRECTION('',(-0.538913562328881,-0.842361070051314,0.)); #442321=DIRECTION('',(0.,0.,1.)); #442322=DIRECTION('center_axis',(-0.565462991527481,0.824773669083096,0.)); #442323=DIRECTION('ref_axis',(-0.824773669083096,-0.565462991527481,0.)); #442324=DIRECTION('',(-0.824773669083096,-0.565462991527481,0.)); #442325=DIRECTION('',(-0.824773669083096,-0.565462991527481,0.)); #442326=DIRECTION('',(0.,0.,1.)); #442327=DIRECTION('center_axis',(-0.199018242080749,0.979995785357819,0.)); #442328=DIRECTION('ref_axis',(-0.979995785357819,-0.199018242080749,0.)); #442329=DIRECTION('',(-0.979995785357819,-0.199018242080749,0.)); #442330=DIRECTION('',(-0.979995785357819,-0.199018242080749,0.)); #442331=DIRECTION('',(0.,0.,1.)); #442332=DIRECTION('center_axis',(0.,1.,0.)); #442333=DIRECTION('ref_axis',(-1.,0.,0.)); #442334=DIRECTION('',(-1.,0.,0.)); #442335=DIRECTION('',(-1.,0.,0.)); #442336=DIRECTION('',(0.,0.,1.)); #442337=DIRECTION('center_axis',(-0.70710678118653,0.707106781186565,0.)); #442338=DIRECTION('ref_axis',(-0.707106781186565,-0.70710678118653,0.)); #442339=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #442340=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #442341=DIRECTION('',(0.,0.,1.)); #442342=DIRECTION('center_axis',(-1.,0.,0.)); #442343=DIRECTION('ref_axis',(0.,-1.,0.)); #442344=DIRECTION('',(0.,-1.,0.)); #442345=DIRECTION('',(0.,-1.,0.)); #442346=DIRECTION('',(0.,0.,1.)); #442347=DIRECTION('center_axis',(-0.707106781186534,-0.707106781186561, 0.)); #442348=DIRECTION('ref_axis',(0.707106781186561,-0.707106781186534,0.)); #442349=DIRECTION('',(0.707106781186561,-0.707106781186534,0.)); #442350=DIRECTION('',(0.707106781186561,-0.707106781186534,0.)); #442351=DIRECTION('',(0.,0.,1.)); #442352=DIRECTION('center_axis',(0.,-1.,0.)); #442353=DIRECTION('ref_axis',(1.,0.,0.)); #442354=DIRECTION('',(1.,0.,0.)); #442355=DIRECTION('',(1.,0.,0.)); #442356=DIRECTION('',(0.,0.,1.)); #442357=DIRECTION('center_axis',(-0.183325162679477,-0.983052330615488, 0.)); #442358=DIRECTION('ref_axis',(0.983052330615488,-0.183325162679477,0.)); #442359=DIRECTION('',(0.983052330615488,-0.183325162679477,0.)); #442360=DIRECTION('',(0.983052330615488,-0.183325162679477,0.)); #442361=DIRECTION('',(0.,0.,1.)); #442362=DIRECTION('center_axis',(-0.538922883226506,-0.842355106789785, 0.)); #442363=DIRECTION('ref_axis',(0.842355106789785,-0.538922883226506,0.)); #442364=DIRECTION('',(0.842355106789785,-0.538922883226506,0.)); #442365=DIRECTION('',(0.842355106789785,-0.538922883226506,0.)); #442366=DIRECTION('',(0.,0.,1.)); #442367=DIRECTION('center_axis',(-0.824763124289761,-0.565478371656947, 0.)); #442368=DIRECTION('ref_axis',(0.565478371656947,-0.824763124289761,0.)); #442369=DIRECTION('',(0.565478371656947,-0.824763124289761,0.)); #442370=DIRECTION('',(0.565478371656947,-0.824763124289761,0.)); #442371=DIRECTION('',(0.,0.,1.)); #442372=DIRECTION('center_axis',(-0.97999476232571,-0.199023279578483,0.)); #442373=DIRECTION('ref_axis',(0.199023279578483,-0.97999476232571,0.)); #442374=DIRECTION('',(0.199023279578483,-0.97999476232571,0.)); #442375=DIRECTION('',(0.199023279578483,-0.97999476232571,0.)); #442376=DIRECTION('',(0.,0.,1.)); #442377=DIRECTION('center_axis',(-0.707106914500758,-0.707106647872312, 0.)); #442378=DIRECTION('ref_axis',(0.707106647872312,-0.707106914500758,0.)); #442379=DIRECTION('',(0.707106647872312,-0.707106914500758,0.)); #442380=DIRECTION('',(0.707106647872312,-0.707106914500758,0.)); #442381=DIRECTION('',(0.,0.,1.)); #442382=DIRECTION('center_axis',(-0.829263274014836,-0.558858141544162, 0.)); #442383=DIRECTION('ref_axis',(0.558858141544162,-0.829263274014836,0.)); #442384=DIRECTION('',(0.558858141544162,-0.829263274014836,0.)); #442385=DIRECTION('',(0.558858141544162,-0.829263274014836,0.)); #442386=DIRECTION('',(0.,0.,1.)); #442387=DIRECTION('center_axis',(-0.980003252157574,-0.198981470897615, 0.)); #442388=DIRECTION('ref_axis',(0.198981470897615,-0.980003252157574,0.)); #442389=DIRECTION('',(0.198981470897615,-0.980003252157574,0.)); #442390=DIRECTION('',(0.198981470897615,-0.980003252157574,0.)); #442391=DIRECTION('',(0.,0.,1.)); #442392=DIRECTION('center_axis',(-0.707105952009906,-0.707107610362217, 0.)); #442393=DIRECTION('ref_axis',(0.707107610362217,-0.707105952009906,0.)); #442394=DIRECTION('',(0.707107610362217,-0.707105952009906,0.)); #442395=DIRECTION('',(0.707107610362217,-0.707105952009906,0.)); #442396=DIRECTION('',(0.,0.,1.)); #442397=DIRECTION('center_axis',(0.,-1.,0.)); #442398=DIRECTION('ref_axis',(1.,0.,0.)); #442399=DIRECTION('',(1.,0.,0.)); #442400=DIRECTION('',(1.,0.,0.)); #442401=DIRECTION('',(0.,0.,1.)); #442402=DIRECTION('center_axis',(0.707105674414369,-0.707107887956994,0.)); #442403=DIRECTION('ref_axis',(0.707107887956994,0.707105674414369,0.)); #442404=DIRECTION('',(0.707107887956994,0.707105674414369,0.)); #442405=DIRECTION('',(0.707107887956994,0.707105674414369,0.)); #442406=DIRECTION('',(0.,0.,1.)); #442407=DIRECTION('center_axis',(0.981552174996527,-0.191194476278965,0.)); #442408=DIRECTION('ref_axis',(0.191194476278965,0.981552174996527,0.)); #442409=DIRECTION('',(0.191194476278965,0.981552174996527,0.)); #442410=DIRECTION('',(0.191194476278965,0.981552174996527,0.)); #442411=DIRECTION('',(0.,0.,1.)); #442412=DIRECTION('center_axis',(0.833696876830089,-0.552222344317718,0.)); #442413=DIRECTION('ref_axis',(0.552222344317718,0.833696876830089,0.)); #442414=DIRECTION('',(0.552222344317717,0.833696876830089,0.)); #442415=DIRECTION('',(0.552222344317717,0.833696876830089,0.)); #442416=DIRECTION('',(0.,0.,1.)); #442417=DIRECTION('center_axis',(0.707107181308491,-0.707106381064377,0.)); #442418=DIRECTION('ref_axis',(0.707106381064377,0.707107181308491,0.)); #442419=DIRECTION('',(0.707106381064377,0.707107181308491,0.)); #442420=DIRECTION('',(0.707106381064377,0.707107181308491,0.)); #442421=DIRECTION('',(0.,0.,1.)); #442422=DIRECTION('center_axis',(0.983057183131156,-0.183299139916796,0.)); #442423=DIRECTION('ref_axis',(0.183299139916796,0.983057183131156,0.)); #442424=DIRECTION('',(0.183299139916796,0.983057183131156,0.)); #442425=DIRECTION('',(0.183299139916796,0.983057183131156,0.)); #442426=DIRECTION('',(0.,0.,1.)); #442427=DIRECTION('center_axis',(0.84235266353456,-0.538926702099861,0.)); #442428=DIRECTION('ref_axis',(0.538926702099861,0.84235266353456,0.)); #442429=DIRECTION('',(0.538926702099861,0.84235266353456,0.)); #442430=DIRECTION('',(0.538926702099861,0.84235266353456,0.)); #442431=DIRECTION('',(0.,0.,1.)); #442432=DIRECTION('center_axis',(0.565462991527481,-0.824773669083096,0.)); #442433=DIRECTION('ref_axis',(0.824773669083096,0.565462991527481,0.)); #442434=DIRECTION('',(0.824773669083096,0.565462991527481,0.)); #442435=DIRECTION('',(0.824773669083096,0.565462991527481,0.)); #442436=DIRECTION('',(0.,0.,1.)); #442437=DIRECTION('center_axis',(0.199018242080749,-0.979995785357819,0.)); #442438=DIRECTION('ref_axis',(0.979995785357819,0.199018242080749,0.)); #442439=DIRECTION('',(0.979995785357819,0.199018242080749,0.)); #442440=DIRECTION('',(0.979995785357819,0.199018242080749,0.)); #442441=DIRECTION('',(0.,0.,1.)); #442442=DIRECTION('center_axis',(0.,-1.,0.)); #442443=DIRECTION('ref_axis',(1.,0.,0.)); #442444=DIRECTION('',(1.,0.,0.)); #442445=DIRECTION('',(1.,0.,0.)); #442446=DIRECTION('',(0.,0.,1.)); #442447=DIRECTION('center_axis',(-0.183325162679494,-0.983052330615485, 0.)); #442448=DIRECTION('ref_axis',(0.983052330615485,-0.183325162679494,0.)); #442449=DIRECTION('',(0.983052330615485,-0.183325162679494,0.)); #442450=DIRECTION('',(0.983052330615485,-0.183325162679494,0.)); #442451=DIRECTION('',(0.,0.,1.)); #442452=DIRECTION('center_axis',(-0.538922883226466,-0.84235510678981,0.)); #442453=DIRECTION('ref_axis',(0.842355106789811,-0.538922883226466,0.)); #442454=DIRECTION('',(0.842355106789811,-0.538922883226466,0.)); #442455=DIRECTION('',(0.842355106789811,-0.538922883226466,0.)); #442456=DIRECTION('',(0.,0.,1.)); #442457=DIRECTION('center_axis',(-0.82476312428972,-0.565478371657007,0.)); #442458=DIRECTION('ref_axis',(0.565478371657007,-0.82476312428972,0.)); #442459=DIRECTION('',(0.565478371657007,-0.82476312428972,0.)); #442460=DIRECTION('',(0.565478371657007,-0.82476312428972,0.)); #442461=DIRECTION('',(0.,0.,1.)); #442462=DIRECTION('center_axis',(-0.979997642221406,-0.199009098386194, 0.)); #442463=DIRECTION('ref_axis',(0.199009098386194,-0.979997642221406,0.)); #442464=DIRECTION('',(0.199009098386194,-0.979997642221406,0.)); #442465=DIRECTION('',(0.199009098386194,-0.979997642221406,0.)); #442466=DIRECTION('',(0.,0.,1.)); #442467=DIRECTION('center_axis',(-1.,0.,0.)); #442468=DIRECTION('ref_axis',(0.,-1.,0.)); #442469=DIRECTION('',(0.,-1.,0.)); #442470=DIRECTION('',(0.,-1.,0.)); #442471=DIRECTION('',(0.,0.,1.)); #442472=DIRECTION('center_axis',(-0.707106216327399,-0.707107346045245, 0.)); #442473=DIRECTION('ref_axis',(0.707107346045245,-0.707106216327399,0.)); #442474=DIRECTION('',(0.707107346045245,-0.707106216327399,0.)); #442475=DIRECTION('',(0.707107346045245,-0.707106216327399,0.)); #442476=DIRECTION('',(0.,0.,1.)); #442477=DIRECTION('center_axis',(0.,-1.,0.)); #442478=DIRECTION('ref_axis',(1.,0.,0.)); #442479=DIRECTION('',(1.,0.,0.)); #442480=DIRECTION('',(1.,0.,0.)); #442481=DIRECTION('',(0.,0.,1.)); #442482=DIRECTION('center_axis',(0.707105674414375,-0.707107887956987,0.)); #442483=DIRECTION('ref_axis',(0.707107887956987,0.707105674414375,0.)); #442484=DIRECTION('',(0.707107887956987,0.707105674414375,0.)); #442485=DIRECTION('',(0.707107887956987,0.707105674414375,0.)); #442486=DIRECTION('',(0.,0.,1.)); #442487=DIRECTION('center_axis',(0.981552174996527,-0.191194476278965,0.)); #442488=DIRECTION('ref_axis',(0.191194476278965,0.981552174996527,0.)); #442489=DIRECTION('',(0.191194476278965,0.981552174996527,0.)); #442490=DIRECTION('',(0.191194476278965,0.981552174996527,0.)); #442491=DIRECTION('',(0.,0.,1.)); #442492=DIRECTION('center_axis',(0.833696876830089,-0.552222344317718,0.)); #442493=DIRECTION('ref_axis',(0.552222344317718,0.833696876830089,0.)); #442494=DIRECTION('',(0.552222344317717,0.833696876830089,0.)); #442495=DIRECTION('',(0.552222344317717,0.833696876830089,0.)); #442496=DIRECTION('',(0.,0.,1.)); #442497=DIRECTION('center_axis',(0.707106915106718,-0.707106647266351,0.)); #442498=DIRECTION('ref_axis',(0.707106647266351,0.707106915106718,0.)); #442499=DIRECTION('',(0.707106647266351,0.707106915106718,0.)); #442500=DIRECTION('',(0.707106647266351,0.707106915106718,0.)); #442501=DIRECTION('',(0.,0.,1.)); #442502=DIRECTION('center_axis',(1.,0.,0.)); #442503=DIRECTION('ref_axis',(0.,1.,0.)); #442504=DIRECTION('',(0.,1.,0.)); #442505=DIRECTION('',(0.,1.,0.)); #442506=DIRECTION('',(0.,0.,1.)); #442507=DIRECTION('center_axis',(0.981552475550526,-0.191192933291566,0.)); #442508=DIRECTION('ref_axis',(0.191192933291566,0.981552475550526,0.)); #442509=DIRECTION('',(0.191192933291566,0.981552475550526,0.)); #442510=DIRECTION('',(0.191192933291566,0.981552475550526,0.)); #442511=DIRECTION('',(0.,0.,1.)); #442512=DIRECTION('center_axis',(0.833697480131482,-0.55222143350509,0.)); #442513=DIRECTION('ref_axis',(0.55222143350509,0.833697480131482,0.)); #442514=DIRECTION('',(0.55222143350509,0.833697480131482,0.)); #442515=DIRECTION('',(0.55222143350509,0.833697480131482,0.)); #442516=DIRECTION('',(0.,0.,1.)); #442517=DIRECTION('center_axis',(0.707107747098281,-0.707105815273494,0.)); #442518=DIRECTION('ref_axis',(0.707105815273494,0.707107747098281,0.)); #442519=DIRECTION('',(0.707105815273494,0.707107747098281,0.)); #442520=DIRECTION('',(0.707105815273494,0.707107747098281,0.)); #442521=DIRECTION('',(0.,0.,1.)); #442522=DIRECTION('center_axis',(1.,0.,0.)); #442523=DIRECTION('ref_axis',(0.,1.,0.)); #442524=DIRECTION('',(0.,1.,0.)); #442525=DIRECTION('',(0.,1.,0.)); #442526=DIRECTION('',(0.,0.,1.)); #442527=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #442528=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #442529=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #442530=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #442531=DIRECTION('',(0.,0.,1.)); #442532=DIRECTION('center_axis',(0.,1.,0.)); #442533=DIRECTION('ref_axis',(-1.,0.,0.)); #442534=DIRECTION('',(-1.,0.,0.)); #442535=DIRECTION('',(-1.,0.,0.)); #442536=DIRECTION('',(0.,0.,1.)); #442537=DIRECTION('center_axis',(0.18332516267946,0.983052330615491,0.)); #442538=DIRECTION('ref_axis',(-0.983052330615491,0.18332516267946,0.)); #442539=DIRECTION('',(-0.983052330615491,0.18332516267946,0.)); #442540=DIRECTION('',(-0.983052330615491,0.18332516267946,0.)); #442541=DIRECTION('',(0.,0.,1.)); #442542=DIRECTION('center_axis',(0.538922883226546,0.842355106789759,0.)); #442543=DIRECTION('ref_axis',(-0.842355106789759,0.538922883226546,0.)); #442544=DIRECTION('',(-0.842355106789759,0.538922883226546,0.)); #442545=DIRECTION('',(-0.842355106789759,0.538922883226546,0.)); #442546=DIRECTION('',(0.,0.,1.)); #442547=DIRECTION('center_axis',(0.824763124289713,0.565478371657017,0.)); #442548=DIRECTION('ref_axis',(-0.565478371657017,0.824763124289713,0.)); #442549=DIRECTION('',(-0.565478371657017,0.824763124289713,0.)); #442550=DIRECTION('',(-0.565478371657017,0.824763124289713,0.)); #442551=DIRECTION('',(0.,0.,1.)); #442552=DIRECTION('center_axis',(0.980002573405197,0.198984813790377,0.)); #442553=DIRECTION('ref_axis',(-0.198984813790377,0.980002573405197,0.)); #442554=DIRECTION('',(-0.198984813790377,0.980002573405197,0.)); #442555=DIRECTION('',(-0.198984813790377,0.980002573405197,0.)); #442556=DIRECTION('',(0.,0.,1.)); #442557=DIRECTION('center_axis',(0.707106913231119,0.707106649141952,0.)); #442558=DIRECTION('ref_axis',(-0.707106649141952,0.707106913231119,0.)); #442559=DIRECTION('',(-0.707106649141952,0.707106913231119,0.)); #442560=DIRECTION('',(-0.707106649141952,0.707106913231119,0.)); #442561=DIRECTION('',(0.,0.,1.)); #442562=DIRECTION('center_axis',(0.82926327401478,0.558858141544246,0.)); #442563=DIRECTION('ref_axis',(-0.558858141544246,0.82926327401478,0.)); #442564=DIRECTION('',(-0.558858141544246,0.82926327401478,0.)); #442565=DIRECTION('',(-0.558858141544246,0.82926327401478,0.)); #442566=DIRECTION('',(0.,0.,1.)); #442567=DIRECTION('center_axis',(0.97998976395989,0.199047890051212,0.)); #442568=DIRECTION('ref_axis',(-0.199047890051212,0.97998976395989,0.)); #442569=DIRECTION('',(-0.199047890051212,0.97998976395989,0.)); #442570=DIRECTION('',(-0.199047890051212,0.97998976395989,0.)); #442571=DIRECTION('',(0.,0.,1.)); #442572=DIRECTION('center_axis',(0.707106641963205,0.707106920409862,0.)); #442573=DIRECTION('ref_axis',(-0.707106920409862,0.707106641963205,0.)); #442574=DIRECTION('',(-0.707106920409862,0.707106641963205,0.)); #442575=DIRECTION('',(-0.707106920409862,0.707106641963205,0.)); #442576=DIRECTION('center_axis',(0.199018242080805,-0.979995785357808,0.)); #442577=DIRECTION('ref_axis',(0.979995785357808,0.199018242080805,0.)); #442578=DIRECTION('',(0.979995785357808,0.199018242080805,0.)); #442579=DIRECTION('',(0.,0.,1.)); #442580=DIRECTION('',(0.979995785357808,0.199018242080805,0.)); #442581=DIRECTION('',(0.,0.,1.)); #442582=DIRECTION('center_axis',(0.,-1.,0.)); #442583=DIRECTION('ref_axis',(1.,0.,0.)); #442584=DIRECTION('',(1.,0.,0.)); #442585=DIRECTION('',(1.,0.,0.)); #442586=DIRECTION('',(0.,0.,1.)); #442587=DIRECTION('center_axis',(-0.191191207895148,-0.981552811632464, 0.)); #442588=DIRECTION('ref_axis',(0.981552811632464,-0.191191207895148,0.)); #442589=DIRECTION('',(0.981552811632464,-0.191191207895148,0.)); #442590=DIRECTION('',(0.981552811632464,-0.191191207895148,0.)); #442591=DIRECTION('',(0.,0.,1.)); #442592=DIRECTION('center_axis',(-0.552239150843043,-0.833685744316259, 0.)); #442593=DIRECTION('ref_axis',(0.833685744316259,-0.552239150843043,0.)); #442594=DIRECTION('',(0.833685744316259,-0.552239150843043,0.)); #442595=DIRECTION('',(0.833685744316259,-0.552239150843043,0.)); #442596=DIRECTION('',(0.,0.,1.)); #442597=DIRECTION('center_axis',(-0.707108814963959,-0.707104747403286, 0.)); #442598=DIRECTION('ref_axis',(0.707104747403286,-0.707108814963959,0.)); #442599=DIRECTION('',(0.707104747403286,-0.707108814963959,0.)); #442600=DIRECTION('',(0.707104747403286,-0.707108814963959,0.)); #442601=DIRECTION('',(0.,0.,1.)); #442602=DIRECTION('center_axis',(-0.824766965033867,-0.56547276980313,0.)); #442603=DIRECTION('ref_axis',(0.56547276980313,-0.824766965033867,0.)); #442604=DIRECTION('',(0.56547276980313,-0.824766965033867,0.)); #442605=DIRECTION('',(0.56547276980313,-0.824766965033867,0.)); #442606=DIRECTION('',(0.,0.,1.)); #442607=DIRECTION('center_axis',(-0.976703115964562,-0.214595021529193, 0.)); #442608=DIRECTION('ref_axis',(0.214595021529193,-0.976703115964562,0.)); #442609=DIRECTION('',(0.214595021529193,-0.976703115964562,0.)); #442610=DIRECTION('',(0.214595021529193,-0.976703115964562,0.)); #442611=DIRECTION('',(0.,0.,1.)); #442612=DIRECTION('center_axis',(-0.983045221016405,0.18336328268442,0.)); #442613=DIRECTION('ref_axis',(-0.18336328268442,-0.983045221016405,0.)); #442614=DIRECTION('',(-0.18336328268442,-0.983045221016405,0.)); #442615=DIRECTION('',(-0.18336328268442,-0.983045221016405,0.)); #442616=DIRECTION('',(0.,0.,1.)); #442617=DIRECTION('center_axis',(-0.833696876830075,0.552222344317738,0.)); #442618=DIRECTION('ref_axis',(-0.552222344317738,-0.833696876830075,0.)); #442619=DIRECTION('',(-0.552222344317738,-0.833696876830075,0.)); #442620=DIRECTION('',(-0.552222344317738,-0.833696876830075,0.)); #442621=DIRECTION('',(0.,0.,1.)); #442622=DIRECTION('center_axis',(-0.707108734188369,0.707104828179332,0.)); #442623=DIRECTION('ref_axis',(-0.707104828179332,-0.707108734188369,0.)); #442624=DIRECTION('',(-0.707104828179332,-0.707108734188369,0.)); #442625=DIRECTION('',(-0.707104828179332,-0.707108734188369,0.)); #442626=DIRECTION('',(0.,0.,1.)); #442627=DIRECTION('center_axis',(-0.923883289882689,0.382674361142133,0.)); #442628=DIRECTION('ref_axis',(-0.382674361142133,-0.923883289882689,0.)); #442629=DIRECTION('',(-0.382674361142133,-0.923883289882689,0.)); #442630=DIRECTION('',(-0.382674361142133,-0.923883289882689,0.)); #442631=DIRECTION('',(0.,0.,1.)); #442632=DIRECTION('center_axis',(-1.,0.,0.)); #442633=DIRECTION('ref_axis',(0.,-1.,0.)); #442634=DIRECTION('',(0.,-1.,0.)); #442635=DIRECTION('',(0.,-1.,0.)); #442636=DIRECTION('',(0.,0.,1.)); #442637=DIRECTION('center_axis',(-0.923883289882689,-0.382674361142132, 0.)); #442638=DIRECTION('ref_axis',(0.382674361142132,-0.923883289882689,0.)); #442639=DIRECTION('',(0.382674361142132,-0.923883289882689,0.)); #442640=DIRECTION('',(0.382674361142132,-0.923883289882689,0.)); #442641=DIRECTION('',(0.,0.,1.)); #442642=DIRECTION('center_axis',(-0.707105741061306,-0.707107821310259, 0.)); #442643=DIRECTION('ref_axis',(0.707107821310259,-0.707105741061306,0.)); #442644=DIRECTION('',(0.707107821310259,-0.707105741061306,0.)); #442645=DIRECTION('',(0.707107821310259,-0.707105741061306,0.)); #442646=DIRECTION('',(0.,0.,1.)); #442647=DIRECTION('center_axis',(0.,-1.,0.)); #442648=DIRECTION('ref_axis',(1.,0.,0.)); #442649=DIRECTION('',(1.,0.,0.)); #442650=DIRECTION('',(1.,0.,0.)); #442651=DIRECTION('',(0.,0.,1.)); #442652=DIRECTION('center_axis',(-1.,0.,0.)); #442653=DIRECTION('ref_axis',(0.,-1.,0.)); #442654=DIRECTION('',(0.,-1.,0.)); #442655=DIRECTION('',(0.,-1.,0.)); #442656=DIRECTION('',(0.,0.,1.)); #442657=DIRECTION('center_axis',(-0.548937724798032,-0.835863250952906, 0.)); #442658=DIRECTION('ref_axis',(0.835863250952906,-0.548937724798032,0.)); #442659=DIRECTION('',(0.835863250952906,-0.548937724798032,0.)); #442660=DIRECTION('',(0.835863250952906,-0.548937724798032,0.)); #442661=DIRECTION('',(0.,0.,1.)); #442662=DIRECTION('center_axis',(-0.824763124289754,-0.565478371656958, 0.)); #442663=DIRECTION('ref_axis',(0.565478371656958,-0.824763124289754,0.)); #442664=DIRECTION('',(0.565478371656958,-0.824763124289754,0.)); #442665=DIRECTION('',(0.565478371656958,-0.824763124289754,0.)); #442666=DIRECTION('',(0.,0.,1.)); #442667=DIRECTION('center_axis',(-0.979997642221407,-0.199009098386189, 0.)); #442668=DIRECTION('ref_axis',(0.199009098386189,-0.979997642221407,0.)); #442669=DIRECTION('',(0.199009098386189,-0.979997642221407,0.)); #442670=DIRECTION('',(0.199009098386189,-0.979997642221407,0.)); #442671=DIRECTION('',(0.,0.,1.)); #442672=DIRECTION('center_axis',(-1.,0.,0.)); #442673=DIRECTION('ref_axis',(0.,-1.,0.)); #442674=DIRECTION('',(0.,-1.,0.)); #442675=DIRECTION('',(0.,-1.,0.)); #442676=DIRECTION('',(0.,0.,1.)); #442677=DIRECTION('center_axis',(-0.996419931532321,-0.0845418242358526, 0.)); #442678=DIRECTION('ref_axis',(0.0845418242358526,-0.99641993153232,0.)); #442679=DIRECTION('',(0.0845418242358526,-0.99641993153232,0.)); #442680=DIRECTION('',(0.0845418242358526,-0.99641993153232,0.)); #442681=DIRECTION('',(0.,0.,1.)); #442682=DIRECTION('center_axis',(-0.0856837252998089,0.996322387191388, 0.)); #442683=DIRECTION('ref_axis',(-0.996322387191388,-0.0856837252998089,0.)); #442684=DIRECTION('',(-0.996322387191388,-0.0856837252998089,0.)); #442685=DIRECTION('',(-0.996322387191388,-0.0856837252998089,0.)); #442686=DIRECTION('',(0.,0.,1.)); #442687=DIRECTION('center_axis',(-0.991936465488069,-0.126736137052682, 0.)); #442688=DIRECTION('ref_axis',(0.126736137052682,-0.991936465488069,0.)); #442689=DIRECTION('',(0.126736137052682,-0.991936465488069,0.)); #442690=DIRECTION('',(0.126736137052682,-0.991936465488069,0.)); #442691=DIRECTION('',(0.,0.,1.)); #442692=DIRECTION('center_axis',(-1.,0.,0.)); #442693=DIRECTION('ref_axis',(0.,-1.,0.)); #442694=DIRECTION('',(0.,-1.,0.)); #442695=DIRECTION('',(0.,-1.,0.)); #442696=DIRECTION('',(0.,0.,1.)); #442697=DIRECTION('center_axis',(-0.979998539742343,-0.199004678595443, 0.)); #442698=DIRECTION('ref_axis',(0.199004678595443,-0.979998539742343,0.)); #442699=DIRECTION('',(0.199004678595443,-0.979998539742343,0.)); #442700=DIRECTION('',(0.199004678595443,-0.979998539742343,0.)); #442701=DIRECTION('',(0.,0.,1.)); #442702=DIRECTION('center_axis',(-1.,0.,0.)); #442703=DIRECTION('ref_axis',(0.,-1.,0.)); #442704=DIRECTION('',(0.,-1.,0.)); #442705=DIRECTION('',(0.,-1.,0.)); #442706=DIRECTION('',(0.,0.,1.)); #442707=DIRECTION('center_axis',(-0.987649248560494,-0.156681083152665, 0.)); #442708=DIRECTION('ref_axis',(0.156681083152665,-0.987649248560494,0.)); #442709=DIRECTION('',(0.156681083152665,-0.987649248560494,0.)); #442710=DIRECTION('',(0.156681083152665,-0.987649248560494,0.)); #442711=DIRECTION('',(0.,0.,1.)); #442712=DIRECTION('center_axis',(-0.125438113889326,-0.992101446216005, 0.)); #442713=DIRECTION('ref_axis',(0.992101446216005,-0.125438113889326,0.)); #442714=DIRECTION('',(0.992101446216005,-0.125438113889326,0.)); #442715=DIRECTION('',(0.992101446216005,-0.125438113889326,0.)); #442716=DIRECTION('',(0.,0.,1.)); #442717=DIRECTION('center_axis',(0.,-1.,0.)); #442718=DIRECTION('ref_axis',(1.,0.,0.)); #442719=DIRECTION('',(1.,0.,0.)); #442720=DIRECTION('',(1.,0.,0.)); #442721=DIRECTION('',(0.,0.,1.)); #442722=DIRECTION('center_axis',(-0.191195889874734,-0.981551899644134, 0.)); #442723=DIRECTION('ref_axis',(0.981551899644134,-0.191195889874734,0.)); #442724=DIRECTION('',(0.981551899644134,-0.191195889874734,0.)); #442725=DIRECTION('',(0.981551899644134,-0.191195889874734,0.)); #442726=DIRECTION('',(0.,0.,1.)); #442727=DIRECTION('center_axis',(0.,-1.,0.)); #442728=DIRECTION('ref_axis',(1.,0.,0.)); #442729=DIRECTION('',(1.,0.,0.)); #442730=DIRECTION('',(1.,0.,0.)); #442731=DIRECTION('',(0.,0.,1.)); #442732=DIRECTION('center_axis',(-0.191202802147605,-0.981550553181498, 0.)); #442733=DIRECTION('ref_axis',(0.981550553181498,-0.191202802147605,0.)); #442734=DIRECTION('',(0.981550553181498,-0.191202802147605,0.)); #442735=DIRECTION('',(0.981550553181498,-0.191202802147605,0.)); #442736=DIRECTION('',(0.,0.,1.)); #442737=DIRECTION('center_axis',(-0.552211825696243,-0.833703844036491, 0.)); #442738=DIRECTION('ref_axis',(0.833703844036491,-0.552211825696243,0.)); #442739=DIRECTION('',(0.833703844036491,-0.552211825696243,0.)); #442740=DIRECTION('',(0.833703844036491,-0.552211825696243,0.)); #442741=DIRECTION('',(0.,0.,1.)); #442742=DIRECTION('center_axis',(-0.707106948269843,-0.707106614103213, 0.)); #442743=DIRECTION('ref_axis',(0.707106614103213,-0.707106948269843,0.)); #442744=DIRECTION('',(0.707106614103213,-0.707106948269843,0.)); #442745=DIRECTION('',(0.707106614103213,-0.707106948269843,0.)); #442746=DIRECTION('',(0.,0.,1.)); #442747=DIRECTION('center_axis',(-0.829263274014829,-0.558858141544172, 0.)); #442748=DIRECTION('ref_axis',(0.558858141544172,-0.829263274014829,0.)); #442749=DIRECTION('',(0.558858141544172,-0.829263274014829,0.)); #442750=DIRECTION('',(0.558858141544172,-0.829263274014829,0.)); #442751=DIRECTION('',(0.,0.,1.)); #442752=DIRECTION('center_axis',(-0.979997642221407,-0.199009098386189, 0.)); #442753=DIRECTION('ref_axis',(0.199009098386189,-0.979997642221407,0.)); #442754=DIRECTION('',(0.199009098386189,-0.979997642221407,0.)); #442755=DIRECTION('',(0.199009098386189,-0.979997642221407,0.)); #442756=DIRECTION('',(0.,0.,1.)); #442757=DIRECTION('center_axis',(-1.,0.,0.)); #442758=DIRECTION('ref_axis',(0.,-1.,0.)); #442759=DIRECTION('',(0.,-1.,0.)); #442760=DIRECTION('',(0.,-1.,0.)); #442761=DIRECTION('',(0.,0.,1.)); #442762=DIRECTION('center_axis',(-0.983050540005704,0.183334764282431,0.)); #442763=DIRECTION('ref_axis',(-0.183334764282431,-0.983050540005703,0.)); #442764=DIRECTION('',(-0.183334764282431,-0.983050540005703,0.)); #442765=DIRECTION('',(-0.183334764282431,-0.983050540005703,0.)); #442766=DIRECTION('',(0.,0.,1.)); #442767=DIRECTION('center_axis',(-0.842359346299405,0.538916256668919,0.)); #442768=DIRECTION('ref_axis',(-0.538916256668919,-0.842359346299405,0.)); #442769=DIRECTION('',(-0.538916256668919,-0.842359346299405,0.)); #442770=DIRECTION('',(-0.538916256668919,-0.842359346299405,0.)); #442771=DIRECTION('',(0.,0.,1.)); #442772=DIRECTION('center_axis',(-0.565473758099763,0.824766287441801,0.)); #442773=DIRECTION('ref_axis',(-0.824766287441801,-0.565473758099762,0.)); #442774=DIRECTION('',(-0.824766287441801,-0.565473758099762,0.)); #442775=DIRECTION('',(-0.824766287441801,-0.565473758099762,0.)); #442776=DIRECTION('',(0.,0.,1.)); #442777=DIRECTION('center_axis',(-0.199010641789413,0.97999732879971,0.)); #442778=DIRECTION('ref_axis',(-0.97999732879971,-0.199010641789413,0.)); #442779=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #442780=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #442781=DIRECTION('',(0.,0.,1.)); #442782=DIRECTION('center_axis',(0.,1.,0.)); #442783=DIRECTION('ref_axis',(-1.,0.,0.)); #442784=DIRECTION('',(-1.,0.,0.)); #442785=DIRECTION('',(-1.,0.,0.)); #442786=DIRECTION('',(0.,0.,1.)); #442787=DIRECTION('center_axis',(-0.707104593400163,0.707108968966163,0.)); #442788=DIRECTION('ref_axis',(-0.707108968966163,-0.707104593400163,0.)); #442789=DIRECTION('',(-0.707108968966163,-0.707104593400163,0.)); #442790=DIRECTION('',(-0.707108968966163,-0.707104593400163,0.)); #442791=DIRECTION('',(0.,0.,1.)); #442792=DIRECTION('center_axis',(-0.558865776601971,0.829258128536028,0.)); #442793=DIRECTION('ref_axis',(-0.829258128536028,-0.558865776601971,0.)); #442794=DIRECTION('',(-0.829258128536028,-0.558865776601971,0.)); #442795=DIRECTION('',(-0.829258128536028,-0.558865776601971,0.)); #442796=DIRECTION('',(0.,0.,1.)); #442797=DIRECTION('center_axis',(-0.199006783325535,0.979998112340235,0.)); #442798=DIRECTION('ref_axis',(-0.979998112340235,-0.199006783325535,0.)); #442799=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #442800=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #442801=DIRECTION('',(0.,0.,1.)); #442802=DIRECTION('center_axis',(0.,1.,0.)); #442803=DIRECTION('ref_axis',(-1.,0.,0.)); #442804=DIRECTION('',(-1.,0.,0.)); #442805=DIRECTION('',(-1.,0.,0.)); #442806=DIRECTION('',(0.,0.,1.)); #442807=DIRECTION('center_axis',(0.191191000932803,0.981552851945484,0.)); #442808=DIRECTION('ref_axis',(-0.981552851945484,0.191191000932803,0.)); #442809=DIRECTION('',(-0.981552851945484,0.191191000932803,0.)); #442810=DIRECTION('',(-0.981552851945484,0.191191000932803,0.)); #442811=DIRECTION('',(0.,0.,1.)); #442812=DIRECTION('center_axis',(0.55221870108126,0.833699290017765,0.)); #442813=DIRECTION('ref_axis',(-0.833699290017765,0.55221870108126,0.)); #442814=DIRECTION('',(-0.833699290017765,0.55221870108126,0.)); #442815=DIRECTION('',(-0.833699290017765,0.55221870108126,0.)); #442816=DIRECTION('',(0.,0.,1.)); #442817=DIRECTION('center_axis',(0.707106781186557,0.707106781186538,0.)); #442818=DIRECTION('ref_axis',(-0.707106781186538,0.707106781186557,0.)); #442819=DIRECTION('',(-0.707106781186538,0.707106781186557,0.)); #442820=DIRECTION('',(-0.707106781186538,0.707106781186557,0.)); #442821=DIRECTION('',(0.,0.,1.)); #442822=DIRECTION('center_axis',(0.,1.,0.)); #442823=DIRECTION('ref_axis',(-1.,0.,0.)); #442824=DIRECTION('',(-1.,0.,0.)); #442825=DIRECTION('',(-1.,0.,0.)); #442826=DIRECTION('',(0.,0.,1.)); #442827=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #442828=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #442829=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #442830=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #442831=DIRECTION('',(0.,0.,1.)); #442832=DIRECTION('center_axis',(-1.,0.,0.)); #442833=DIRECTION('ref_axis',(0.,-1.,0.)); #442834=DIRECTION('',(0.,-1.,0.)); #442835=DIRECTION('',(0.,-1.,0.)); #442836=DIRECTION('',(0.,0.,1.)); #442837=DIRECTION('center_axis',(-0.983050395657588,0.183335538282842,0.)); #442838=DIRECTION('ref_axis',(-0.183335538282842,-0.983050395657588,0.)); #442839=DIRECTION('',(-0.183335538282842,-0.983050395657588,0.)); #442840=DIRECTION('',(-0.183335538282842,-0.983050395657588,0.)); #442841=DIRECTION('',(0.,0.,1.)); #442842=DIRECTION('center_axis',(-0.842360495470243,0.538914460439806,0.)); #442843=DIRECTION('ref_axis',(-0.538914460439806,-0.842360495470243,0.)); #442844=DIRECTION('',(-0.538914460439806,-0.842360495470243,0.)); #442845=DIRECTION('',(-0.538914460439806,-0.842360495470243,0.)); #442846=DIRECTION('',(0.,0.,1.)); #442847=DIRECTION('center_axis',(-0.565473758099733,0.824766287441821,0.)); #442848=DIRECTION('ref_axis',(-0.824766287441821,-0.565473758099733,0.)); #442849=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #442850=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #442851=DIRECTION('',(0.,0.,1.)); #442852=DIRECTION('center_axis',(-0.199010641789396,0.979997328799713,0.)); #442853=DIRECTION('ref_axis',(-0.979997328799713,-0.199010641789396,0.)); #442854=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #442855=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #442856=DIRECTION('',(0.,0.,1.)); #442857=DIRECTION('center_axis',(0.,1.,0.)); #442858=DIRECTION('ref_axis',(-1.,0.,0.)); #442859=DIRECTION('',(-1.,0.,0.)); #442860=DIRECTION('',(-1.,0.,0.)); #442861=DIRECTION('',(0.,0.,1.)); #442862=DIRECTION('center_axis',(0.191194865688666,0.981552099144153,0.)); #442863=DIRECTION('ref_axis',(-0.981552099144153,0.191194865688666,0.)); #442864=DIRECTION('',(-0.981552099144153,0.191194865688666,0.)); #442865=DIRECTION('',(-0.981552099144153,0.191194865688666,0.)); #442866=DIRECTION('',(0.,0.,1.)); #442867=DIRECTION('center_axis',(0.552218701081291,0.833699290017745,0.)); #442868=DIRECTION('ref_axis',(-0.833699290017745,0.552218701081291,0.)); #442869=DIRECTION('',(-0.833699290017745,0.552218701081291,0.)); #442870=DIRECTION('',(-0.833699290017745,0.552218701081291,0.)); #442871=DIRECTION('',(0.,0.,1.)); #442872=DIRECTION('center_axis',(0.707106781186544,0.707106781186551,0.)); #442873=DIRECTION('ref_axis',(-0.707106781186551,0.707106781186544,0.)); #442874=DIRECTION('',(-0.707106781186551,0.707106781186544,0.)); #442875=DIRECTION('',(-0.707106781186551,0.707106781186544,0.)); #442876=DIRECTION('',(0.,0.,1.)); #442877=DIRECTION('center_axis',(0.829263917184865,0.558857187173265,0.)); #442878=DIRECTION('ref_axis',(-0.558857187173265,0.829263917184865,0.)); #442879=DIRECTION('',(-0.558857187173265,0.829263917184865,0.)); #442880=DIRECTION('',(-0.558857187173265,0.829263917184865,0.)); #442881=DIRECTION('',(0.,0.,1.)); #442882=DIRECTION('center_axis',(0.979997642221389,0.199009098386274,0.)); #442883=DIRECTION('ref_axis',(-0.199009098386274,0.97999764222139,0.)); #442884=DIRECTION('',(-0.199009098386274,0.97999764222139,0.)); #442885=DIRECTION('',(-0.199009098386274,0.97999764222139,0.)); #442886=DIRECTION('',(0.,0.,1.)); #442887=DIRECTION('center_axis',(1.,0.,0.)); #442888=DIRECTION('ref_axis',(0.,1.,0.)); #442889=DIRECTION('',(0.,1.,0.)); #442890=DIRECTION('',(0.,1.,0.)); #442891=DIRECTION('',(0.,0.,1.)); #442892=DIRECTION('center_axis',(0.981552475550509,-0.191192933291654,0.)); #442893=DIRECTION('ref_axis',(0.191192933291654,0.981552475550509,0.)); #442894=DIRECTION('',(0.191192933291654,0.981552475550509,0.)); #442895=DIRECTION('',(0.191192933291654,0.981552475550509,0.)); #442896=DIRECTION('',(0.,0.,1.)); #442897=DIRECTION('center_axis',(0.833697480131482,-0.55222143350509,0.)); #442898=DIRECTION('ref_axis',(0.55222143350509,0.833697480131482,0.)); #442899=DIRECTION('',(0.55222143350509,0.833697480131482,0.)); #442900=DIRECTION('',(0.55222143350509,0.833697480131482,0.)); #442901=DIRECTION('',(0.,0.,1.)); #442902=DIRECTION('center_axis',(0.707106948269843,-0.707106614103213,0.)); #442903=DIRECTION('ref_axis',(0.707106614103213,0.707106948269843,0.)); #442904=DIRECTION('',(0.707106614103213,0.707106948269843,0.)); #442905=DIRECTION('',(0.707106614103213,0.707106948269843,0.)); #442906=DIRECTION('',(0.,0.,1.)); #442907=DIRECTION('center_axis',(0.558849152006563,-0.82926933218439,0.)); #442908=DIRECTION('ref_axis',(0.82926933218439,0.558849152006563,0.)); #442909=DIRECTION('',(0.82926933218439,0.558849152006563,0.)); #442910=DIRECTION('',(0.82926933218439,0.558849152006563,0.)); #442911=DIRECTION('',(0.,0.,1.)); #442912=DIRECTION('center_axis',(0.199018242080728,-0.979995785357823,0.)); #442913=DIRECTION('ref_axis',(0.979995785357823,0.199018242080728,0.)); #442914=DIRECTION('',(0.979995785357823,0.199018242080728,0.)); #442915=DIRECTION('',(0.979995785357823,0.199018242080728,0.)); #442916=DIRECTION('',(0.,0.,1.)); #442917=DIRECTION('center_axis',(0.,-1.,0.)); #442918=DIRECTION('ref_axis',(1.,0.,0.)); #442919=DIRECTION('',(1.,0.,0.)); #442920=DIRECTION('',(1.,0.,0.)); #442921=DIRECTION('',(0.,0.,1.)); #442922=DIRECTION('center_axis',(0.199017616334687,-0.979995912434567,0.)); #442923=DIRECTION('ref_axis',(0.979995912434567,0.199017616334687,0.)); #442924=DIRECTION('',(0.979995912434567,0.199017616334687,0.)); #442925=DIRECTION('',(0.979995912434567,0.199017616334687,0.)); #442926=DIRECTION('',(0.,0.,1.)); #442927=DIRECTION('center_axis',(0.,-1.,0.)); #442928=DIRECTION('ref_axis',(1.,0.,0.)); #442929=DIRECTION('',(1.,0.,0.)); #442930=DIRECTION('',(1.,0.,0.)); #442931=DIRECTION('',(0.,0.,1.)); #442932=DIRECTION('center_axis',(0.156721321745304,-0.987642864253271,0.)); #442933=DIRECTION('ref_axis',(0.987642864253271,0.156721321745304,0.)); #442934=DIRECTION('',(0.987642864253271,0.156721321745304,0.)); #442935=DIRECTION('',(0.987642864253271,0.156721321745304,0.)); #442936=DIRECTION('',(0.,0.,1.)); #442937=DIRECTION('center_axis',(0.992101446216,-0.125438113889368,0.)); #442938=DIRECTION('ref_axis',(0.125438113889368,0.992101446216,0.)); #442939=DIRECTION('',(0.125438113889368,0.992101446216,0.)); #442940=DIRECTION('',(0.125438113889368,0.992101446216,0.)); #442941=DIRECTION('',(0.,0.,1.)); #442942=DIRECTION('center_axis',(1.,0.,0.)); #442943=DIRECTION('ref_axis',(0.,1.,0.)); #442944=DIRECTION('',(0.,1.,0.)); #442945=DIRECTION('',(0.,1.,0.)); #442946=DIRECTION('',(0.,0.,1.)); #442947=DIRECTION('center_axis',(0.981554520366865,-0.191182435248046,0.)); #442948=DIRECTION('ref_axis',(0.191182435248046,0.981554520366865,0.)); #442949=DIRECTION('',(0.191182435248046,0.981554520366865,0.)); #442950=DIRECTION('',(0.191182435248046,0.981554520366865,0.)); #442951=DIRECTION('',(0.,0.,1.)); #442952=DIRECTION('center_axis',(1.,0.,0.)); #442953=DIRECTION('ref_axis',(0.,1.,0.)); #442954=DIRECTION('',(0.,1.,0.)); #442955=DIRECTION('',(0.,1.,0.)); #442956=DIRECTION('',(0.,0.,1.)); #442957=DIRECTION('center_axis',(0.998535975735964,-0.0540916367013057, 0.)); #442958=DIRECTION('ref_axis',(0.0540916367013057,0.998535975735964,0.)); #442959=DIRECTION('',(0.0540916367013057,0.998535975735964,0.)); #442960=DIRECTION('',(0.0540916367013057,0.998535975735964,0.)); #442961=DIRECTION('',(0.,0.,1.)); #442962=DIRECTION('center_axis',(0.994136450140409,-0.108132874290041,0.)); #442963=DIRECTION('ref_axis',(0.108132874290041,0.994136450140409,0.)); #442964=DIRECTION('',(0.108132874290041,0.994136450140409,0.)); #442965=DIRECTION('',(0.108132874290041,0.994136450140409,0.)); #442966=DIRECTION('',(0.,0.,1.)); #442967=DIRECTION('center_axis',(1.,0.,0.)); #442968=DIRECTION('ref_axis',(0.,1.,0.)); #442969=DIRECTION('',(0.,1.,0.)); #442970=DIRECTION('',(0.,1.,0.)); #442971=DIRECTION('',(0.,0.,1.)); #442972=DIRECTION('center_axis',(0.982315373407576,-0.187233830188712,0.)); #442973=DIRECTION('ref_axis',(0.187233830188712,0.982315373407576,0.)); #442974=DIRECTION('',(0.187233830188712,0.982315373407575,0.)); #442975=DIRECTION('',(0.187233830188712,0.982315373407575,0.)); #442976=DIRECTION('',(0.,0.,1.)); #442977=DIRECTION('center_axis',(0.838042095378286,-0.545605577660247,0.)); #442978=DIRECTION('ref_axis',(0.545605577660247,0.838042095378286,0.)); #442979=DIRECTION('',(0.545605577660247,0.838042095378286,0.)); #442980=DIRECTION('',(0.545605577660247,0.838042095378286,0.)); #442981=DIRECTION('',(0.,0.,1.)); #442982=DIRECTION('center_axis',(0.558867245542021,-0.829257138564556,0.)); #442983=DIRECTION('ref_axis',(0.829257138564556,0.558867245542021,0.)); #442984=DIRECTION('',(0.829257138564556,0.558867245542021,0.)); #442985=DIRECTION('',(0.829257138564556,0.558867245542021,0.)); #442986=DIRECTION('',(0.,0.,1.)); #442987=DIRECTION('center_axis',(1.,0.,0.)); #442988=DIRECTION('ref_axis',(0.,1.,0.)); #442989=DIRECTION('',(0.,1.,0.)); #442990=DIRECTION('',(0.,1.,0.)); #442991=DIRECTION('',(0.,0.,1.)); #442992=DIRECTION('center_axis',(0.,-1.,0.)); #442993=DIRECTION('ref_axis',(1.,0.,0.)); #442994=DIRECTION('',(1.,0.,0.)); #442995=DIRECTION('',(1.,0.,0.)); #442996=DIRECTION('',(0.,0.,1.)); #442997=DIRECTION('center_axis',(0.707105741061306,-0.707107821310259,0.)); #442998=DIRECTION('ref_axis',(0.707107821310259,0.707105741061306,0.)); #442999=DIRECTION('',(0.707107821310259,0.707105741061306,0.)); #443000=DIRECTION('',(0.707107821310259,0.707105741061306,0.)); #443001=DIRECTION('',(0.,0.,1.)); #443002=DIRECTION('center_axis',(0.923883289882689,-0.382674361142132,0.)); #443003=DIRECTION('ref_axis',(0.382674361142132,0.923883289882689,0.)); #443004=DIRECTION('',(0.382674361142132,0.923883289882689,0.)); #443005=DIRECTION('',(0.382674361142132,0.923883289882689,0.)); #443006=DIRECTION('',(0.,0.,1.)); #443007=DIRECTION('center_axis',(1.,0.,0.)); #443008=DIRECTION('ref_axis',(0.,1.,0.)); #443009=DIRECTION('',(0.,1.,0.)); #443010=DIRECTION('',(0.,1.,0.)); #443011=DIRECTION('',(0.,0.,1.)); #443012=DIRECTION('center_axis',(0.923883289882689,0.382674361142133,0.)); #443013=DIRECTION('ref_axis',(-0.382674361142133,0.923883289882689,0.)); #443014=DIRECTION('',(-0.382674361142133,0.923883289882689,0.)); #443015=DIRECTION('',(-0.382674361142133,0.923883289882689,0.)); #443016=DIRECTION('',(0.,0.,1.)); #443017=DIRECTION('center_axis',(0.707048183605379,0.707165373912166,0.)); #443018=DIRECTION('ref_axis',(-0.707165373912166,0.707048183605379,0.)); #443019=DIRECTION('',(-0.707165373912166,0.707048183605379,0.)); #443020=DIRECTION('',(-0.707165373912166,0.707048183605379,0.)); #443021=DIRECTION('',(0.,0.,1.)); #443022=DIRECTION('center_axis',(0.824748879658343,0.565499147216251,0.)); #443023=DIRECTION('ref_axis',(-0.565499147216251,0.824748879658343,0.)); #443024=DIRECTION('',(-0.565499147216251,0.824748879658343,0.)); #443025=DIRECTION('',(-0.565499147216251,0.824748879658343,0.)); #443026=DIRECTION('',(0.,0.,1.)); #443027=DIRECTION('center_axis',(0.976703024857833,0.21459543618996,0.)); #443028=DIRECTION('ref_axis',(-0.21459543618996,0.976703024857833,0.)); #443029=DIRECTION('',(-0.21459543618996,0.976703024857833,0.)); #443030=DIRECTION('',(-0.21459543618996,0.976703024857833,0.)); #443031=DIRECTION('',(0.,0.,1.)); #443032=DIRECTION('center_axis',(0.983041654589817,-0.183382401940083,0.)); #443033=DIRECTION('ref_axis',(0.183382401940083,0.983041654589817,0.)); #443034=DIRECTION('',(0.183382401940083,0.983041654589817,0.)); #443035=DIRECTION('',(0.183382401940083,0.983041654589817,0.)); #443036=DIRECTION('',(0.,0.,1.)); #443037=DIRECTION('center_axis',(0.833696876830075,-0.552222344317738,0.)); #443038=DIRECTION('ref_axis',(0.552222344317738,0.833696876830075,0.)); #443039=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #443040=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #443041=DIRECTION('',(0.,0.,1.)); #443042=DIRECTION('center_axis',(0.707107020454175,-0.707106541918839,0.)); #443043=DIRECTION('ref_axis',(0.707106541918839,0.707107020454175,0.)); #443044=DIRECTION('',(0.707106541918839,0.707107020454175,0.)); #443045=DIRECTION('',(0.707106541918839,0.707107020454175,0.)); #443046=DIRECTION('',(0.,0.,1.)); #443047=DIRECTION('center_axis',(0.558849152006532,-0.829269332184412,0.)); #443048=DIRECTION('ref_axis',(0.829269332184412,0.558849152006532,0.)); #443049=DIRECTION('',(0.829269332184412,0.558849152006532,0.)); #443050=DIRECTION('',(0.829269332184412,0.558849152006532,0.)); #443051=DIRECTION('center_axis',(0.,0.,1.)); #443052=DIRECTION('ref_axis',(1.,0.,0.)); #443053=DIRECTION('center_axis',(0.,0.,1.)); #443054=DIRECTION('ref_axis',(1.,0.,0.)); #443055=DIRECTION('center_axis',(0.,0.,1.)); #443056=DIRECTION('ref_axis',(1.,0.,0.)); #443057=DIRECTION('center_axis',(0.,0.,1.)); #443058=DIRECTION('ref_axis',(1.,0.,0.)); #443059=DIRECTION('',(0.,0.,1.)); #443060=DIRECTION('center_axis',(0.,0.,-1.)); #443061=DIRECTION('ref_axis',(1.,0.,0.)); #443062=DIRECTION('center_axis',(0.0871622148812039,-0.996194131832246, 0.)); #443063=DIRECTION('ref_axis',(0.996194131832246,0.0871622148812039,0.)); #443064=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #443065=DIRECTION('',(0.,0.,1.)); #443066=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #443067=DIRECTION('',(0.,0.,1.)); #443068=DIRECTION('center_axis',(0.,0.,1.)); #443069=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #443070=DIRECTION('center_axis',(0.,0.,1.)); #443071=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #443072=DIRECTION('center_axis',(0.,0.,1.)); #443073=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #443074=DIRECTION('',(0.,0.,1.)); #443075=DIRECTION('center_axis',(0.,0.,1.)); #443076=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #443077=DIRECTION('center_axis',(0.,0.,1.)); #443078=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #443079=DIRECTION('center_axis',(0.,0.,1.)); #443080=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #443081=DIRECTION('center_axis',(0.,0.,1.)); #443082=DIRECTION('ref_axis',(1.,0.,0.)); #443083=DIRECTION('center_axis',(0.,0.,1.)); #443084=DIRECTION('ref_axis',(1.,0.,0.)); #443085=DIRECTION('center_axis',(0.,0.,1.)); #443086=DIRECTION('ref_axis',(1.,0.,0.)); #443087=DIRECTION('center_axis',(0.,0.,1.)); #443088=DIRECTION('ref_axis',(1.,0.,0.)); #443089=DIRECTION('',(0.,0.,1.)); #443090=DIRECTION('center_axis',(0.,0.,-1.)); #443091=DIRECTION('ref_axis',(1.,0.,0.)); #443092=DIRECTION('center_axis',(0.0871622148812039,-0.996194131832246, 0.)); #443093=DIRECTION('ref_axis',(0.996194131832246,0.0871622148812039,0.)); #443094=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #443095=DIRECTION('',(0.,0.,1.)); #443096=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #443097=DIRECTION('',(0.,0.,1.)); #443098=DIRECTION('center_axis',(0.,0.,1.)); #443099=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #443100=DIRECTION('center_axis',(0.,0.,1.)); #443101=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #443102=DIRECTION('center_axis',(0.,0.,1.)); #443103=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #443104=DIRECTION('',(0.,0.,1.)); #443105=DIRECTION('center_axis',(0.,0.,1.)); #443106=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #443107=DIRECTION('center_axis',(0.,0.,1.)); #443108=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #443109=DIRECTION('center_axis',(0.,0.,1.)); #443110=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #443111=DIRECTION('center_axis',(0.,0.,1.)); #443112=DIRECTION('ref_axis',(1.,0.,0.)); #443113=DIRECTION('center_axis',(0.,0.,1.)); #443114=DIRECTION('ref_axis',(1.,0.,0.)); #443115=DIRECTION('center_axis',(0.,0.,1.)); #443116=DIRECTION('ref_axis',(1.,0.,0.)); #443117=DIRECTION('center_axis',(0.,0.,1.)); #443118=DIRECTION('ref_axis',(1.,0.,0.)); #443119=DIRECTION('',(0.,0.,1.)); #443120=DIRECTION('center_axis',(0.,0.,-1.)); #443121=DIRECTION('ref_axis',(1.,0.,0.)); #443122=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #443123=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #443124=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #443125=DIRECTION('',(0.,0.,1.)); #443126=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #443127=DIRECTION('',(0.,0.,1.)); #443128=DIRECTION('center_axis',(0.,0.,1.)); #443129=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #443130=DIRECTION('center_axis',(0.,0.,1.)); #443131=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #443132=DIRECTION('center_axis',(0.,0.,1.)); #443133=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #443134=DIRECTION('',(0.,0.,1.)); #443135=DIRECTION('center_axis',(0.,0.,1.)); #443136=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #443137=DIRECTION('center_axis',(0.,0.,1.)); #443138=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #443139=DIRECTION('center_axis',(0.,0.,1.)); #443140=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #443141=DIRECTION('center_axis',(0.,0.,1.)); #443142=DIRECTION('ref_axis',(1.,0.,0.)); #443143=DIRECTION('center_axis',(0.,0.,1.)); #443144=DIRECTION('ref_axis',(1.,0.,0.)); #443145=DIRECTION('center_axis',(0.,0.,1.)); #443146=DIRECTION('ref_axis',(1.,0.,0.)); #443147=DIRECTION('center_axis',(0.,0.,1.)); #443148=DIRECTION('ref_axis',(1.,0.,0.)); #443149=DIRECTION('',(0.,0.,1.)); #443150=DIRECTION('center_axis',(0.,0.,-1.)); #443151=DIRECTION('ref_axis',(1.,0.,0.)); #443152=DIRECTION('center_axis',(0.0979988322081603,-0.995186529694729, 0.)); #443153=DIRECTION('ref_axis',(0.995186529694729,0.0979988322081603,0.)); #443154=DIRECTION('',(0.995186529694729,0.0979988322081603,0.)); #443155=DIRECTION('',(0.,0.,1.)); #443156=DIRECTION('',(0.995186529694729,0.0979988322081603,0.)); #443157=DIRECTION('',(0.,0.,1.)); #443158=DIRECTION('center_axis',(0.,0.,1.)); #443159=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #443160=DIRECTION('center_axis',(0.,0.,1.)); #443161=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #443162=DIRECTION('center_axis',(0.,0.,1.)); #443163=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #443164=DIRECTION('',(0.,0.,1.)); #443165=DIRECTION('center_axis',(0.,0.,1.)); #443166=DIRECTION('ref_axis',(0.146731885739879,-0.989176300619469,0.)); #443167=DIRECTION('center_axis',(0.,0.,1.)); #443168=DIRECTION('ref_axis',(0.146731885739879,-0.989176300619469,0.)); #443169=DIRECTION('center_axis',(0.,0.,1.)); #443170=DIRECTION('ref_axis',(0.146731885739879,-0.989176300619469,0.)); #443171=DIRECTION('center_axis',(0.,0.,1.)); #443172=DIRECTION('ref_axis',(1.,0.,0.)); #443173=DIRECTION('center_axis',(0.,0.,1.)); #443174=DIRECTION('ref_axis',(1.,0.,0.)); #443175=DIRECTION('center_axis',(0.,0.,1.)); #443176=DIRECTION('ref_axis',(1.,0.,0.)); #443177=DIRECTION('center_axis',(0.,0.,1.)); #443178=DIRECTION('ref_axis',(1.,0.,0.)); #443179=DIRECTION('',(0.,0.,1.)); #443180=DIRECTION('center_axis',(0.,0.,-1.)); #443181=DIRECTION('ref_axis',(1.,0.,0.)); #443182=DIRECTION('center_axis',(1.,0.,0.)); #443183=DIRECTION('ref_axis',(0.,1.,0.)); #443184=DIRECTION('',(0.,1.,0.)); #443185=DIRECTION('',(0.,0.,1.)); #443186=DIRECTION('',(0.,1.,0.)); #443187=DIRECTION('',(0.,0.,1.)); #443188=DIRECTION('center_axis',(0.,-1.,0.)); #443189=DIRECTION('ref_axis',(1.,0.,0.)); #443190=DIRECTION('',(1.,0.,0.)); #443191=DIRECTION('',(1.,0.,0.)); #443192=DIRECTION('',(0.,0.,1.)); #443193=DIRECTION('center_axis',(-1.,0.,0.)); #443194=DIRECTION('ref_axis',(0.,-1.,0.)); #443195=DIRECTION('',(0.,-1.,0.)); #443196=DIRECTION('',(0.,-1.,0.)); #443197=DIRECTION('',(0.,0.,1.)); #443198=DIRECTION('center_axis',(0.,1.,0.)); #443199=DIRECTION('ref_axis',(-1.,0.,0.)); #443200=DIRECTION('',(-1.,0.,0.)); #443201=DIRECTION('',(-1.,0.,0.)); #443202=DIRECTION('center_axis',(0.,0.,1.)); #443203=DIRECTION('ref_axis',(1.,0.,0.)); #443204=DIRECTION('center_axis',(0.,0.,1.)); #443205=DIRECTION('ref_axis',(1.,0.,0.)); #443206=DIRECTION('center_axis',(0.,0.,1.)); #443207=DIRECTION('ref_axis',(1.,0.,0.)); #443208=DIRECTION('center_axis',(0.,0.,1.)); #443209=DIRECTION('ref_axis',(1.,0.,0.)); #443210=DIRECTION('',(0.,0.,1.)); #443211=DIRECTION('center_axis',(0.,0.,-1.)); #443212=DIRECTION('ref_axis',(1.,0.,0.)); #443213=DIRECTION('center_axis',(0.0980028398661646,-0.995186135041162, 0.)); #443214=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661646,0.)); #443215=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #443216=DIRECTION('',(0.,0.,1.)); #443217=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #443218=DIRECTION('',(0.,0.,1.)); #443219=DIRECTION('center_axis',(0.,0.,1.)); #443220=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #443221=DIRECTION('center_axis',(0.,0.,1.)); #443222=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #443223=DIRECTION('center_axis',(0.,0.,1.)); #443224=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #443225=DIRECTION('',(0.,0.,1.)); #443226=DIRECTION('center_axis',(0.,0.,1.)); #443227=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #443228=DIRECTION('center_axis',(0.,0.,1.)); #443229=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #443230=DIRECTION('center_axis',(0.,0.,1.)); #443231=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #443232=DIRECTION('center_axis',(0.,0.,1.)); #443233=DIRECTION('ref_axis',(1.,0.,0.)); #443234=DIRECTION('center_axis',(0.,0.,1.)); #443235=DIRECTION('ref_axis',(1.,0.,0.)); #443236=DIRECTION('center_axis',(0.,0.,1.)); #443237=DIRECTION('ref_axis',(1.,0.,0.)); #443238=DIRECTION('center_axis',(0.,0.,1.)); #443239=DIRECTION('ref_axis',(1.,0.,0.)); #443240=DIRECTION('',(0.,0.,1.)); #443241=DIRECTION('center_axis',(0.,0.,-1.)); #443242=DIRECTION('ref_axis',(1.,0.,0.)); #443243=DIRECTION('center_axis',(0.0980028398661675,-0.995186135041162, 0.)); #443244=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661675,0.)); #443245=DIRECTION('',(0.995186135041162,0.0980028398661675,0.)); #443246=DIRECTION('',(0.,0.,1.)); #443247=DIRECTION('',(0.995186135041162,0.0980028398661675,0.)); #443248=DIRECTION('',(0.,0.,1.)); #443249=DIRECTION('center_axis',(0.,0.,1.)); #443250=DIRECTION('ref_axis',(-0.14673120156606,0.989176402107824,0.)); #443251=DIRECTION('center_axis',(0.,0.,1.)); #443252=DIRECTION('ref_axis',(-0.14673120156606,0.989176402107824,0.)); #443253=DIRECTION('center_axis',(0.,0.,1.)); #443254=DIRECTION('ref_axis',(-0.14673120156606,0.989176402107824,0.)); #443255=DIRECTION('',(0.,0.,1.)); #443256=DIRECTION('center_axis',(0.,0.,1.)); #443257=DIRECTION('ref_axis',(0.146730854749293,-0.98917645355343,0.)); #443258=DIRECTION('center_axis',(0.,0.,1.)); #443259=DIRECTION('ref_axis',(0.146730854749293,-0.98917645355343,0.)); #443260=DIRECTION('center_axis',(0.,0.,1.)); #443261=DIRECTION('ref_axis',(0.146730854749293,-0.98917645355343,0.)); #443262=DIRECTION('center_axis',(0.,0.,1.)); #443263=DIRECTION('ref_axis',(1.,0.,0.)); #443264=DIRECTION('center_axis',(0.,0.,1.)); #443265=DIRECTION('ref_axis',(1.,0.,0.)); #443266=DIRECTION('center_axis',(0.,0.,1.)); #443267=DIRECTION('ref_axis',(1.,0.,0.)); #443268=DIRECTION('center_axis',(0.,0.,1.)); #443269=DIRECTION('ref_axis',(1.,0.,0.)); #443270=DIRECTION('',(0.,0.,1.)); #443271=DIRECTION('center_axis',(0.,0.,-1.)); #443272=DIRECTION('ref_axis',(1.,0.,0.)); #443273=DIRECTION('center_axis',(0.087162214881199,-0.996194131832247,0.)); #443274=DIRECTION('ref_axis',(0.996194131832247,0.087162214881199,0.)); #443275=DIRECTION('',(0.996194131832247,0.087162214881199,0.)); #443276=DIRECTION('',(0.,0.,1.)); #443277=DIRECTION('',(0.996194131832247,0.087162214881199,0.)); #443278=DIRECTION('',(0.,0.,1.)); #443279=DIRECTION('center_axis',(0.,0.,1.)); #443280=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #443281=DIRECTION('center_axis',(0.,0.,1.)); #443282=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #443283=DIRECTION('center_axis',(0.,0.,1.)); #443284=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #443285=DIRECTION('',(0.,0.,1.)); #443286=DIRECTION('center_axis',(0.,0.,1.)); #443287=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #443288=DIRECTION('center_axis',(0.,0.,1.)); #443289=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #443290=DIRECTION('center_axis',(0.,0.,1.)); #443291=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #443292=DIRECTION('center_axis',(0.,0.,1.)); #443293=DIRECTION('ref_axis',(1.,0.,0.)); #443294=DIRECTION('center_axis',(0.,0.,1.)); #443295=DIRECTION('ref_axis',(1.,0.,0.)); #443296=DIRECTION('center_axis',(0.,0.,1.)); #443297=DIRECTION('ref_axis',(1.,0.,0.)); #443298=DIRECTION('center_axis',(0.,0.,1.)); #443299=DIRECTION('ref_axis',(1.,0.,0.)); #443300=DIRECTION('',(0.,0.,1.)); #443301=DIRECTION('center_axis',(0.,0.,-1.)); #443302=DIRECTION('ref_axis',(1.,0.,0.)); #443303=DIRECTION('center_axis',(0.0980028398661646,-0.995186135041162, 0.)); #443304=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661646,0.)); #443305=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #443306=DIRECTION('',(0.,0.,1.)); #443307=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #443308=DIRECTION('',(0.,0.,1.)); #443309=DIRECTION('center_axis',(0.,0.,1.)); #443310=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #443311=DIRECTION('center_axis',(0.,0.,1.)); #443312=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #443313=DIRECTION('center_axis',(0.,0.,1.)); #443314=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #443315=DIRECTION('',(0.,0.,1.)); #443316=DIRECTION('center_axis',(0.,0.,1.)); #443317=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #443318=DIRECTION('center_axis',(0.,0.,1.)); #443319=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #443320=DIRECTION('center_axis',(0.,0.,1.)); #443321=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #443322=DIRECTION('center_axis',(0.,0.,1.)); #443323=DIRECTION('ref_axis',(1.,0.,0.)); #443324=DIRECTION('center_axis',(0.,0.,1.)); #443325=DIRECTION('ref_axis',(1.,0.,0.)); #443326=DIRECTION('center_axis',(1.,0.,0.)); #443327=DIRECTION('ref_axis',(0.,1.,0.)); #443328=DIRECTION('',(0.,-1.,0.)); #443329=DIRECTION('',(0.,0.,1.)); #443330=DIRECTION('',(0.,1.,0.)); #443331=DIRECTION('',(0.,0.,1.)); #443332=DIRECTION('center_axis',(0.,0.,1.)); #443333=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #443334=DIRECTION('center_axis',(0.,0.,-1.)); #443335=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #443336=DIRECTION('center_axis',(0.,0.,1.)); #443337=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #443338=DIRECTION('',(0.,0.,1.)); #443339=DIRECTION('center_axis',(-1.,0.,0.)); #443340=DIRECTION('ref_axis',(0.,-1.,0.)); #443341=DIRECTION('',(0.,1.,0.)); #443342=DIRECTION('',(0.,-1.,0.)); #443343=DIRECTION('',(0.,0.,1.)); #443344=DIRECTION('center_axis',(0.,0.,1.)); #443345=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #443346=DIRECTION('center_axis',(0.,0.,-1.)); #443347=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #443348=DIRECTION('center_axis',(0.,0.,1.)); #443349=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #443350=DIRECTION('center_axis',(0.111945831198929,-0.993714310492297,0.)); #443351=DIRECTION('ref_axis',(0.993714310492297,0.111945831198929,0.)); #443352=DIRECTION('',(0.993714310492297,0.111945831198929,0.)); #443353=DIRECTION('',(0.,0.,1.)); #443354=DIRECTION('',(0.993714310492297,0.111945831198929,0.)); #443355=DIRECTION('',(0.,0.,1.)); #443356=DIRECTION('center_axis',(0.,-1.,0.)); #443357=DIRECTION('ref_axis',(1.,0.,0.)); #443358=DIRECTION('',(1.,0.,0.)); #443359=DIRECTION('',(1.,0.,0.)); #443360=DIRECTION('',(0.,0.,1.)); #443361=DIRECTION('center_axis',(-0.111945831198937,-0.993714310492296, 0.)); #443362=DIRECTION('ref_axis',(0.993714310492296,-0.111945831198937,0.)); #443363=DIRECTION('',(0.993714310492296,-0.111945831198937,0.)); #443364=DIRECTION('',(0.993714310492296,-0.111945831198937,0.)); #443365=DIRECTION('',(0.,0.,1.)); #443366=DIRECTION('center_axis',(-0.222535347290555,-0.974924622320245, 0.)); #443367=DIRECTION('ref_axis',(0.974924622320245,-0.222535347290555,0.)); #443368=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #443369=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #443370=DIRECTION('',(0.,0.,1.)); #443371=DIRECTION('center_axis',(-0.330292737303257,-0.943878544985912, 0.)); #443372=DIRECTION('ref_axis',(0.943878544985912,-0.330292737303257,0.)); #443373=DIRECTION('',(0.943878544985912,-0.330292737303257,0.)); #443374=DIRECTION('',(0.943878544985912,-0.330292737303257,0.)); #443375=DIRECTION('',(0.,0.,1.)); #443376=DIRECTION('center_axis',(-0.43386608850586,-0.900977367776031,0.)); #443377=DIRECTION('ref_axis',(0.900977367776031,-0.43386608850586,0.)); #443378=DIRECTION('',(0.900977367776031,-0.43386608850586,0.)); #443379=DIRECTION('',(0.900977367776031,-0.43386608850586,0.)); #443380=DIRECTION('',(0.,0.,1.)); #443381=DIRECTION('center_axis',(-0.532028152553409,-0.846726664804296, 0.)); #443382=DIRECTION('ref_axis',(0.846726664804296,-0.532028152553409,0.)); #443383=DIRECTION('',(0.846726664804296,-0.532028152553409,0.)); #443384=DIRECTION('',(0.846726664804296,-0.532028152553409,0.)); #443385=DIRECTION('',(0.,0.,1.)); #443386=DIRECTION('center_axis',(-0.623490076319921,-0.781831263592457, 0.)); #443387=DIRECTION('ref_axis',(0.781831263592457,-0.623490076319921,0.)); #443388=DIRECTION('',(0.781831263592457,-0.623490076319921,0.)); #443389=DIRECTION('',(0.781831263592457,-0.623490076319921,0.)); #443390=DIRECTION('',(0.,0.,1.)); #443391=DIRECTION('center_axis',(-0.707109209369744,-0.707104352995013, 0.)); #443392=DIRECTION('ref_axis',(0.707104352995013,-0.707109209369744,0.)); #443393=DIRECTION('',(0.707104352995013,-0.707109209369744,0.)); #443394=DIRECTION('',(0.707104352995013,-0.707109209369744,0.)); #443395=DIRECTION('',(0.,0.,1.)); #443396=DIRECTION('center_axis',(-0.781826848571423,-0.623495612536991, 0.)); #443397=DIRECTION('ref_axis',(0.623495612536991,-0.781826848571423,0.)); #443398=DIRECTION('',(0.623495612536991,-0.781826848571423,0.)); #443399=DIRECTION('',(0.623495612536991,-0.781826848571423,0.)); #443400=DIRECTION('',(0.,0.,1.)); #443401=DIRECTION('center_axis',(-0.846733144041853,-0.532017840660441, 0.)); #443402=DIRECTION('ref_axis',(0.532017840660441,-0.846733144041853,0.)); #443403=DIRECTION('',(0.532017840660441,-0.846733144041853,0.)); #443404=DIRECTION('',(0.532017840660441,-0.846733144041853,0.)); #443405=DIRECTION('',(0.,0.,1.)); #443406=DIRECTION('center_axis',(-0.900976172282534,-0.433868571089349, 0.)); #443407=DIRECTION('ref_axis',(0.433868571089349,-0.900976172282534,0.)); #443408=DIRECTION('',(0.433868571089349,-0.900976172282534,0.)); #443409=DIRECTION('',(0.433868571089349,-0.900976172282534,0.)); #443410=DIRECTION('',(0.,0.,1.)); #443411=DIRECTION('center_axis',(-0.943879402871124,-0.330290285711902, 0.)); #443412=DIRECTION('ref_axis',(0.330290285711902,-0.943879402871124,0.)); #443413=DIRECTION('',(0.330290285711902,-0.943879402871124,0.)); #443414=DIRECTION('',(0.330290285711902,-0.943879402871124,0.)); #443415=DIRECTION('',(0.,0.,1.)); #443416=DIRECTION('center_axis',(-0.97492427111126,-0.222536885927206,0.)); #443417=DIRECTION('ref_axis',(0.222536885927206,-0.97492427111126,0.)); #443418=DIRECTION('',(0.222536885927206,-0.97492427111126,0.)); #443419=DIRECTION('',(0.222536885927206,-0.97492427111126,0.)); #443420=DIRECTION('',(0.,0.,1.)); #443421=DIRECTION('center_axis',(-0.993713488739879,-0.111953125442832, 0.)); #443422=DIRECTION('ref_axis',(0.111953125442832,-0.993713488739879,0.)); #443423=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #443424=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #443425=DIRECTION('',(0.,0.,1.)); #443426=DIRECTION('center_axis',(-1.,0.,0.)); #443427=DIRECTION('ref_axis',(0.,-1.,0.)); #443428=DIRECTION('',(0.,-1.,0.)); #443429=DIRECTION('',(0.,-1.,0.)); #443430=DIRECTION('',(0.,0.,1.)); #443431=DIRECTION('center_axis',(-0.993713488739879,0.111953125442832,0.)); #443432=DIRECTION('ref_axis',(-0.111953125442832,-0.993713488739879,0.)); #443433=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #443434=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #443435=DIRECTION('',(0.,0.,1.)); #443436=DIRECTION('center_axis',(-0.97492427111126,0.222536885927205,0.)); #443437=DIRECTION('ref_axis',(-0.222536885927205,-0.97492427111126,0.)); #443438=DIRECTION('',(-0.222536885927205,-0.97492427111126,0.)); #443439=DIRECTION('',(-0.222536885927205,-0.97492427111126,0.)); #443440=DIRECTION('',(0.,0.,1.)); #443441=DIRECTION('center_axis',(-0.943879402871124,0.330290285711902,0.)); #443442=DIRECTION('ref_axis',(-0.330290285711902,-0.943879402871124,0.)); #443443=DIRECTION('',(-0.330290285711902,-0.943879402871124,0.)); #443444=DIRECTION('',(-0.330290285711902,-0.943879402871124,0.)); #443445=DIRECTION('',(0.,0.,1.)); #443446=DIRECTION('center_axis',(-0.900976172282534,0.433868571089349,0.)); #443447=DIRECTION('ref_axis',(-0.433868571089349,-0.900976172282534,0.)); #443448=DIRECTION('',(-0.433868571089349,-0.900976172282534,0.)); #443449=DIRECTION('',(-0.433868571089349,-0.900976172282534,0.)); #443450=DIRECTION('',(0.,0.,1.)); #443451=DIRECTION('center_axis',(-0.846733144041855,0.532017840660439,0.)); #443452=DIRECTION('ref_axis',(-0.532017840660439,-0.846733144041855,0.)); #443453=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #443454=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #443455=DIRECTION('',(0.,0.,1.)); #443456=DIRECTION('center_axis',(-0.781826848571419,0.623495612536995,0.)); #443457=DIRECTION('ref_axis',(-0.623495612536995,-0.781826848571419,0.)); #443458=DIRECTION('',(-0.623495612536995,-0.781826848571419,0.)); #443459=DIRECTION('',(-0.623495612536995,-0.781826848571419,0.)); #443460=DIRECTION('',(0.,0.,1.)); #443461=DIRECTION('center_axis',(-0.707109209369744,0.707104352995013,0.)); #443462=DIRECTION('ref_axis',(-0.707104352995013,-0.707109209369744,0.)); #443463=DIRECTION('',(-0.707104352995013,-0.707109209369744,0.)); #443464=DIRECTION('',(-0.707104352995013,-0.707109209369744,0.)); #443465=DIRECTION('',(0.,0.,1.)); #443466=DIRECTION('center_axis',(-0.623490076319921,0.781831263592457,0.)); #443467=DIRECTION('ref_axis',(-0.781831263592457,-0.623490076319921,0.)); #443468=DIRECTION('',(-0.781831263592457,-0.623490076319921,0.)); #443469=DIRECTION('',(-0.781831263592457,-0.623490076319921,0.)); #443470=DIRECTION('',(0.,0.,1.)); #443471=DIRECTION('center_axis',(-0.532028152553415,0.846726664804292,0.)); #443472=DIRECTION('ref_axis',(-0.846726664804292,-0.532028152553415,0.)); #443473=DIRECTION('',(-0.846726664804292,-0.532028152553415,0.)); #443474=DIRECTION('',(-0.846726664804292,-0.532028152553415,0.)); #443475=DIRECTION('',(0.,0.,1.)); #443476=DIRECTION('center_axis',(-0.43386608850586,0.900977367776031,0.)); #443477=DIRECTION('ref_axis',(-0.900977367776031,-0.43386608850586,0.)); #443478=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #443479=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #443480=DIRECTION('',(0.,0.,1.)); #443481=DIRECTION('center_axis',(-0.330292737303257,0.943878544985912,0.)); #443482=DIRECTION('ref_axis',(-0.943878544985912,-0.330292737303257,0.)); #443483=DIRECTION('',(-0.943878544985912,-0.330292737303257,0.)); #443484=DIRECTION('',(-0.943878544985912,-0.330292737303257,0.)); #443485=DIRECTION('',(0.,0.,1.)); #443486=DIRECTION('center_axis',(-0.222535347290555,0.974924622320245,0.)); #443487=DIRECTION('ref_axis',(-0.974924622320245,-0.222535347290555,0.)); #443488=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #443489=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #443490=DIRECTION('',(0.,0.,1.)); #443491=DIRECTION('center_axis',(-0.111945831198937,0.993714310492296,0.)); #443492=DIRECTION('ref_axis',(-0.993714310492296,-0.111945831198937,0.)); #443493=DIRECTION('',(-0.993714310492296,-0.111945831198937,0.)); #443494=DIRECTION('',(-0.993714310492296,-0.111945831198937,0.)); #443495=DIRECTION('',(0.,0.,1.)); #443496=DIRECTION('center_axis',(0.,1.,0.)); #443497=DIRECTION('ref_axis',(-1.,0.,0.)); #443498=DIRECTION('',(-1.,0.,0.)); #443499=DIRECTION('',(-1.,0.,0.)); #443500=DIRECTION('',(0.,0.,1.)); #443501=DIRECTION('center_axis',(0.111945831198929,0.993714310492297,0.)); #443502=DIRECTION('ref_axis',(-0.993714310492297,0.111945831198929,0.)); #443503=DIRECTION('',(-0.993714310492297,0.111945831198929,0.)); #443504=DIRECTION('',(-0.993714310492297,0.111945831198929,0.)); #443505=DIRECTION('',(0.,0.,1.)); #443506=DIRECTION('center_axis',(0.222535347290587,0.974924622320238,0.)); #443507=DIRECTION('ref_axis',(-0.974924622320238,0.222535347290587,0.)); #443508=DIRECTION('',(-0.974924622320238,0.222535347290587,0.)); #443509=DIRECTION('',(-0.974924622320238,0.222535347290587,0.)); #443510=DIRECTION('',(0.,0.,1.)); #443511=DIRECTION('center_axis',(0.330292737303213,0.943878544985927,0.)); #443512=DIRECTION('ref_axis',(-0.943878544985927,0.330292737303213,0.)); #443513=DIRECTION('',(-0.943878544985927,0.330292737303213,0.)); #443514=DIRECTION('',(-0.943878544985927,0.330292737303213,0.)); #443515=DIRECTION('',(0.,0.,1.)); #443516=DIRECTION('center_axis',(0.433866088505888,0.900977367776018,0.)); #443517=DIRECTION('ref_axis',(-0.900977367776017,0.433866088505888,0.)); #443518=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #443519=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #443520=DIRECTION('',(0.,0.,1.)); #443521=DIRECTION('center_axis',(0.532028152553383,0.846726664804312,0.)); #443522=DIRECTION('ref_axis',(-0.846726664804312,0.532028152553383,0.)); #443523=DIRECTION('',(-0.846726664804312,0.532028152553383,0.)); #443524=DIRECTION('',(-0.846726664804312,0.532028152553383,0.)); #443525=DIRECTION('',(0.,0.,1.)); #443526=DIRECTION('center_axis',(0.623490076319921,0.781831263592457,0.)); #443527=DIRECTION('ref_axis',(-0.781831263592457,0.623490076319921,0.)); #443528=DIRECTION('',(-0.781831263592457,0.623490076319921,0.)); #443529=DIRECTION('',(-0.781831263592457,0.623490076319921,0.)); #443530=DIRECTION('',(0.,0.,1.)); #443531=DIRECTION('center_axis',(0.70710920936978,0.707104352994977,0.)); #443532=DIRECTION('ref_axis',(-0.707104352994977,0.70710920936978,0.)); #443533=DIRECTION('',(-0.707104352994977,0.70710920936978,0.)); #443534=DIRECTION('',(-0.707104352994977,0.70710920936978,0.)); #443535=DIRECTION('',(0.,0.,1.)); #443536=DIRECTION('center_axis',(0.781826848571384,0.623495612537039,0.)); #443537=DIRECTION('ref_axis',(-0.623495612537039,0.781826848571384,0.)); #443538=DIRECTION('',(-0.623495612537039,0.781826848571384,0.)); #443539=DIRECTION('',(-0.623495612537039,0.781826848571384,0.)); #443540=DIRECTION('',(0.,0.,1.)); #443541=DIRECTION('center_axis',(0.846733144041855,0.532017840660439,0.)); #443542=DIRECTION('ref_axis',(-0.532017840660439,0.846733144041855,0.)); #443543=DIRECTION('',(-0.532017840660439,0.846733144041855,0.)); #443544=DIRECTION('',(-0.532017840660439,0.846733144041855,0.)); #443545=DIRECTION('',(0.,0.,1.)); #443546=DIRECTION('center_axis',(0.90097617228259,0.433868571089232,0.)); #443547=DIRECTION('ref_axis',(-0.433868571089232,0.90097617228259,0.)); #443548=DIRECTION('',(-0.433868571089232,0.90097617228259,0.)); #443549=DIRECTION('',(-0.433868571089232,0.90097617228259,0.)); #443550=DIRECTION('',(0.,0.,1.)); #443551=DIRECTION('center_axis',(0.943874356011264,0.330304707905173,0.)); #443552=DIRECTION('ref_axis',(-0.330304707905173,0.943874356011264,0.)); #443553=DIRECTION('',(-0.330304707905173,0.943874356011264,0.)); #443554=DIRECTION('',(-0.330304707905173,0.943874356011264,0.)); #443555=DIRECTION('',(0.,0.,1.)); #443556=DIRECTION('center_axis',(0.974927783103231,0.222521499485823,0.)); #443557=DIRECTION('ref_axis',(-0.222521499485823,0.974927783103231,0.)); #443558=DIRECTION('',(-0.222521499485823,0.974927783103231,0.)); #443559=DIRECTION('',(-0.222521499485823,0.974927783103231,0.)); #443560=DIRECTION('',(0.,0.,1.)); #443561=DIRECTION('center_axis',(0.993713488739879,0.111953125442832,0.)); #443562=DIRECTION('ref_axis',(-0.111953125442832,0.993713488739879,0.)); #443563=DIRECTION('',(-0.111953125442832,0.993713488739879,0.)); #443564=DIRECTION('',(-0.111953125442832,0.993713488739879,0.)); #443565=DIRECTION('',(0.,0.,1.)); #443566=DIRECTION('center_axis',(1.,0.,0.)); #443567=DIRECTION('ref_axis',(0.,1.,0.)); #443568=DIRECTION('',(0.,1.,0.)); #443569=DIRECTION('',(0.,1.,0.)); #443570=DIRECTION('',(0.,0.,1.)); #443571=DIRECTION('center_axis',(0.993713488739879,-0.111953125442832,0.)); #443572=DIRECTION('ref_axis',(0.111953125442832,0.993713488739879,0.)); #443573=DIRECTION('',(0.111953125442832,0.993713488739879,0.)); #443574=DIRECTION('',(0.111953125442832,0.993713488739879,0.)); #443575=DIRECTION('',(0.,0.,1.)); #443576=DIRECTION('center_axis',(0.974927783103231,-0.222521499485824,0.)); #443577=DIRECTION('ref_axis',(0.222521499485824,0.974927783103231,0.)); #443578=DIRECTION('',(0.222521499485824,0.974927783103231,0.)); #443579=DIRECTION('',(0.222521499485824,0.974927783103231,0.)); #443580=DIRECTION('',(0.,0.,1.)); #443581=DIRECTION('center_axis',(0.943874356011264,-0.330304707905173,0.)); #443582=DIRECTION('ref_axis',(0.330304707905173,0.943874356011264,0.)); #443583=DIRECTION('',(0.330304707905173,0.943874356011264,0.)); #443584=DIRECTION('',(0.330304707905173,0.943874356011264,0.)); #443585=DIRECTION('',(0.,0.,1.)); #443586=DIRECTION('center_axis',(0.90097617228259,-0.433868571089232,0.)); #443587=DIRECTION('ref_axis',(0.433868571089232,0.90097617228259,0.)); #443588=DIRECTION('',(0.433868571089232,0.90097617228259,0.)); #443589=DIRECTION('',(0.433868571089232,0.90097617228259,0.)); #443590=DIRECTION('',(0.,0.,1.)); #443591=DIRECTION('center_axis',(0.846733144041853,-0.532017840660441,0.)); #443592=DIRECTION('ref_axis',(0.532017840660441,0.846733144041853,0.)); #443593=DIRECTION('',(0.532017840660441,0.846733144041853,0.)); #443594=DIRECTION('',(0.532017840660441,0.846733144041853,0.)); #443595=DIRECTION('',(0.,0.,1.)); #443596=DIRECTION('center_axis',(0.781826848571388,-0.623495612537035,0.)); #443597=DIRECTION('ref_axis',(0.623495612537035,0.781826848571388,0.)); #443598=DIRECTION('',(0.623495612537035,0.781826848571388,0.)); #443599=DIRECTION('',(0.623495612537035,0.781826848571388,0.)); #443600=DIRECTION('',(0.,0.,1.)); #443601=DIRECTION('center_axis',(0.70710920936978,-0.707104352994977,0.)); #443602=DIRECTION('ref_axis',(0.707104352994977,0.70710920936978,0.)); #443603=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #443604=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #443605=DIRECTION('',(0.,0.,1.)); #443606=DIRECTION('center_axis',(0.623490076319921,-0.781831263592457,0.)); #443607=DIRECTION('ref_axis',(0.781831263592457,0.623490076319921,0.)); #443608=DIRECTION('',(0.781831263592457,0.623490076319921,0.)); #443609=DIRECTION('',(0.781831263592457,0.623490076319921,0.)); #443610=DIRECTION('',(0.,0.,1.)); #443611=DIRECTION('center_axis',(0.532028152553376,-0.846726664804316,0.)); #443612=DIRECTION('ref_axis',(0.846726664804316,0.532028152553376,0.)); #443613=DIRECTION('',(0.846726664804316,0.532028152553376,0.)); #443614=DIRECTION('',(0.846726664804316,0.532028152553376,0.)); #443615=DIRECTION('',(0.,0.,1.)); #443616=DIRECTION('center_axis',(0.433866088505888,-0.900977367776018,0.)); #443617=DIRECTION('ref_axis',(0.900977367776017,0.433866088505888,0.)); #443618=DIRECTION('',(0.900977367776017,0.433866088505888,0.)); #443619=DIRECTION('',(0.900977367776017,0.433866088505888,0.)); #443620=DIRECTION('',(0.,0.,1.)); #443621=DIRECTION('center_axis',(0.330292737303213,-0.943878544985927,0.)); #443622=DIRECTION('ref_axis',(0.943878544985927,0.330292737303213,0.)); #443623=DIRECTION('',(0.943878544985927,0.330292737303213,0.)); #443624=DIRECTION('',(0.943878544985927,0.330292737303213,0.)); #443625=DIRECTION('',(0.,0.,1.)); #443626=DIRECTION('center_axis',(0.222535347290587,-0.974924622320238,0.)); #443627=DIRECTION('ref_axis',(0.974924622320238,0.222535347290587,0.)); #443628=DIRECTION('',(0.974924622320238,0.222535347290587,0.)); #443629=DIRECTION('',(0.974924622320238,0.222535347290587,0.)); #443630=DIRECTION('center_axis',(0.,0.,1.)); #443631=DIRECTION('ref_axis',(1.,0.,0.)); #443632=DIRECTION('center_axis',(0.,0.,1.)); #443633=DIRECTION('ref_axis',(1.,0.,0.)); #443634=DIRECTION('center_axis',(0.,1.,0.)); #443635=DIRECTION('ref_axis',(-1.,0.,0.)); #443636=DIRECTION('',(1.,0.,0.)); #443637=DIRECTION('',(0.,0.,1.)); #443638=DIRECTION('',(-1.,0.,0.)); #443639=DIRECTION('',(0.,0.,1.)); #443640=DIRECTION('center_axis',(0.,0.,1.)); #443641=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #443642=DIRECTION('center_axis',(0.,0.,-1.)); #443643=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #443644=DIRECTION('center_axis',(0.,0.,1.)); #443645=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #443646=DIRECTION('',(0.,0.,1.)); #443647=DIRECTION('center_axis',(0.,-1.,0.)); #443648=DIRECTION('ref_axis',(1.,0.,0.)); #443649=DIRECTION('',(-1.,0.,0.)); #443650=DIRECTION('',(1.,0.,0.)); #443651=DIRECTION('',(0.,0.,1.)); #443652=DIRECTION('center_axis',(0.,0.,1.)); #443653=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #443654=DIRECTION('center_axis',(0.,0.,-1.)); #443655=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #443656=DIRECTION('center_axis',(0.,0.,1.)); #443657=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #443658=DIRECTION('center_axis',(0.0871622148812039,-0.996194131832246, 0.)); #443659=DIRECTION('ref_axis',(0.996194131832246,0.0871622148812039,0.)); #443660=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #443661=DIRECTION('',(0.,0.,1.)); #443662=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #443663=DIRECTION('',(0.,0.,1.)); #443664=DIRECTION('center_axis',(0.,-1.,0.)); #443665=DIRECTION('ref_axis',(1.,0.,0.)); #443666=DIRECTION('',(1.,0.,0.)); #443667=DIRECTION('',(1.,0.,0.)); #443668=DIRECTION('',(0.,0.,1.)); #443669=DIRECTION('center_axis',(-0.087162214881199,-0.996194131832247, 0.)); #443670=DIRECTION('ref_axis',(0.996194131832247,-0.087162214881199,0.)); #443671=DIRECTION('',(0.996194131832247,-0.087162214881199,0.)); #443672=DIRECTION('',(0.996194131832247,-0.087162214881199,0.)); #443673=DIRECTION('',(0.,0.,1.)); #443674=DIRECTION('center_axis',(-0.173645964707188,-0.984808143214154, 0.)); #443675=DIRECTION('ref_axis',(0.984808143214154,-0.173645964707188,0.)); #443676=DIRECTION('',(0.984808143214154,-0.173645964707188,0.)); #443677=DIRECTION('',(0.984808143214154,-0.173645964707188,0.)); #443678=DIRECTION('',(0.,0.,1.)); #443679=DIRECTION('center_axis',(-0.258821098381128,-0.965925276112385, 0.)); #443680=DIRECTION('ref_axis',(0.965925276112385,-0.258821098381128,0.)); #443681=DIRECTION('',(0.965925276112385,-0.258821098381128,0.)); #443682=DIRECTION('',(0.965925276112385,-0.258821098381128,0.)); #443683=DIRECTION('',(0.,0.,1.)); #443684=DIRECTION('center_axis',(-0.342006639937231,-0.939697535507487, 0.)); #443685=DIRECTION('ref_axis',(0.939697535507487,-0.342006639937231,0.)); #443686=DIRECTION('',(0.939697535507487,-0.342006639937231,0.)); #443687=DIRECTION('',(0.939697535507487,-0.342006639937231,0.)); #443688=DIRECTION('',(0.,0.,1.)); #443689=DIRECTION('center_axis',(-0.422641642689159,-0.906296883954154, 0.)); #443690=DIRECTION('ref_axis',(0.906296883954154,-0.422641642689159,0.)); #443691=DIRECTION('',(0.906296883954154,-0.422641642689159,0.)); #443692=DIRECTION('',(0.906296883954154,-0.422641642689159,0.)); #443693=DIRECTION('',(0.,0.,1.)); #443694=DIRECTION('center_axis',(-0.499984597074501,-0.866034296484989, 0.)); #443695=DIRECTION('ref_axis',(0.866034296484989,-0.499984597074501,0.)); #443696=DIRECTION('',(0.866034296484989,-0.499984597074501,0.)); #443697=DIRECTION('',(0.866034296484989,-0.499984597074501,0.)); #443698=DIRECTION('',(0.,0.,1.)); #443699=DIRECTION('center_axis',(-0.573594705766918,-0.819139251602658, 0.)); #443700=DIRECTION('ref_axis',(0.819139251602658,-0.573594705766918,0.)); #443701=DIRECTION('',(0.819139251602658,-0.573594705766918,0.)); #443702=DIRECTION('',(0.819139251602658,-0.573594705766918,0.)); #443703=DIRECTION('',(0.,0.,1.)); #443704=DIRECTION('center_axis',(-0.642785256870282,-0.766046417360075, 0.)); #443705=DIRECTION('ref_axis',(0.766046417360075,-0.642785256870282,0.)); #443706=DIRECTION('',(0.766046417360075,-0.642785256870282,0.)); #443707=DIRECTION('',(0.766046417360075,-0.642785256870282,0.)); #443708=DIRECTION('',(0.,0.,1.)); #443709=DIRECTION('center_axis',(-0.707106781186519,-0.707106781186576, 0.)); #443710=DIRECTION('ref_axis',(0.707106781186576,-0.707106781186519,0.)); #443711=DIRECTION('',(0.707106781186576,-0.707106781186519,0.)); #443712=DIRECTION('',(0.707106781186576,-0.707106781186519,0.)); #443713=DIRECTION('',(0.,0.,1.)); #443714=DIRECTION('center_axis',(-0.766046417360079,-0.642785256870277, 0.)); #443715=DIRECTION('ref_axis',(0.642785256870277,-0.766046417360079,0.)); #443716=DIRECTION('',(0.642785256870277,-0.766046417360079,0.)); #443717=DIRECTION('',(0.642785256870277,-0.766046417360079,0.)); #443718=DIRECTION('',(0.,0.,1.)); #443719=DIRECTION('center_axis',(-0.819139251602677,-0.573594705766891, 0.)); #443720=DIRECTION('ref_axis',(0.573594705766891,-0.819139251602677,0.)); #443721=DIRECTION('',(0.573594705766891,-0.819139251602677,0.)); #443722=DIRECTION('',(0.573594705766891,-0.819139251602677,0.)); #443723=DIRECTION('',(0.,0.,1.)); #443724=DIRECTION('center_axis',(-0.866034296485013,-0.499984597074458, 0.)); #443725=DIRECTION('ref_axis',(0.499984597074458,-0.866034296485013,0.)); #443726=DIRECTION('',(0.499984597074458,-0.866034296485013,0.)); #443727=DIRECTION('',(0.499984597074458,-0.866034296485013,0.)); #443728=DIRECTION('',(0.,0.,1.)); #443729=DIRECTION('center_axis',(-0.906296883954154,-0.422641642689159, 0.)); #443730=DIRECTION('ref_axis',(0.422641642689159,-0.906296883954154,0.)); #443731=DIRECTION('',(0.422641642689159,-0.906296883954154,0.)); #443732=DIRECTION('',(0.422641642689159,-0.906296883954154,0.)); #443733=DIRECTION('',(0.,0.,1.)); #443734=DIRECTION('center_axis',(-0.939697535507451,-0.342006639937331, 0.)); #443735=DIRECTION('ref_axis',(0.342006639937331,-0.939697535507451,0.)); #443736=DIRECTION('',(0.342006639937331,-0.939697535507451,0.)); #443737=DIRECTION('',(0.342006639937331,-0.939697535507451,0.)); #443738=DIRECTION('',(0.,0.,1.)); #443739=DIRECTION('center_axis',(-0.965925276112417,-0.258821098381008, 0.)); #443740=DIRECTION('ref_axis',(0.258821098381008,-0.965925276112417,0.)); #443741=DIRECTION('',(0.258821098381008,-0.965925276112417,0.)); #443742=DIRECTION('',(0.258821098381008,-0.965925276112417,0.)); #443743=DIRECTION('',(0.,0.,1.)); #443744=DIRECTION('center_axis',(-0.984808143214143,-0.173645964707252, 0.)); #443745=DIRECTION('ref_axis',(0.173645964707252,-0.984808143214143,0.)); #443746=DIRECTION('',(0.173645964707252,-0.984808143214143,0.)); #443747=DIRECTION('',(0.173645964707252,-0.984808143214143,0.)); #443748=DIRECTION('',(0.,0.,1.)); #443749=DIRECTION('center_axis',(-0.996194131832242,-0.0871622148812551, 0.)); #443750=DIRECTION('ref_axis',(0.0871622148812551,-0.996194131832242,0.)); #443751=DIRECTION('',(0.087162214881255,-0.996194131832242,0.)); #443752=DIRECTION('',(0.087162214881255,-0.996194131832242,0.)); #443753=DIRECTION('',(0.,0.,1.)); #443754=DIRECTION('center_axis',(-1.,0.,0.)); #443755=DIRECTION('ref_axis',(0.,-1.,0.)); #443756=DIRECTION('',(0.,-1.,0.)); #443757=DIRECTION('',(0.,-1.,0.)); #443758=DIRECTION('',(0.,0.,1.)); #443759=DIRECTION('center_axis',(-0.996194131832242,0.0871622148812551, 0.)); #443760=DIRECTION('ref_axis',(-0.0871622148812551,-0.996194131832242,0.)); #443761=DIRECTION('',(-0.087162214881255,-0.996194131832242,0.)); #443762=DIRECTION('',(-0.087162214881255,-0.996194131832242,0.)); #443763=DIRECTION('',(0.,0.,1.)); #443764=DIRECTION('center_axis',(-0.984808143214145,0.173645964707243,0.)); #443765=DIRECTION('ref_axis',(-0.173645964707243,-0.984808143214144,0.)); #443766=DIRECTION('',(-0.173645964707243,-0.984808143214144,0.)); #443767=DIRECTION('',(-0.173645964707243,-0.984808143214144,0.)); #443768=DIRECTION('',(0.,0.,1.)); #443769=DIRECTION('center_axis',(-0.965925276112413,0.258821098381022,0.)); #443770=DIRECTION('ref_axis',(-0.258821098381022,-0.965925276112413,0.)); #443771=DIRECTION('',(-0.258821098381022,-0.965925276112413,0.)); #443772=DIRECTION('',(-0.258821098381022,-0.965925276112413,0.)); #443773=DIRECTION('',(0.,0.,1.)); #443774=DIRECTION('center_axis',(-0.939697535507451,0.342006639937331,0.)); #443775=DIRECTION('ref_axis',(-0.342006639937331,-0.939697535507451,0.)); #443776=DIRECTION('',(-0.342006639937331,-0.939697535507451,0.)); #443777=DIRECTION('',(-0.342006639937331,-0.939697535507451,0.)); #443778=DIRECTION('',(0.,0.,1.)); #443779=DIRECTION('center_axis',(-0.906296883954154,0.422641642689159,0.)); #443780=DIRECTION('ref_axis',(-0.422641642689159,-0.906296883954154,0.)); #443781=DIRECTION('',(-0.422641642689159,-0.906296883954154,0.)); #443782=DIRECTION('',(-0.422641642689159,-0.906296883954154,0.)); #443783=DIRECTION('',(0.,0.,1.)); #443784=DIRECTION('center_axis',(-0.866034296485013,0.499984597074458,0.)); #443785=DIRECTION('ref_axis',(-0.499984597074458,-0.866034296485013,0.)); #443786=DIRECTION('',(-0.499984597074458,-0.866034296485013,0.)); #443787=DIRECTION('',(-0.499984597074458,-0.866034296485013,0.)); #443788=DIRECTION('',(0.,0.,1.)); #443789=DIRECTION('center_axis',(-0.819139251602677,0.573594705766891,0.)); #443790=DIRECTION('ref_axis',(-0.573594705766891,-0.819139251602677,0.)); #443791=DIRECTION('',(-0.573594705766891,-0.819139251602677,0.)); #443792=DIRECTION('',(-0.573594705766891,-0.819139251602677,0.)); #443793=DIRECTION('',(0.,0.,1.)); #443794=DIRECTION('center_axis',(-0.766046417360079,0.642785256870277,0.)); #443795=DIRECTION('ref_axis',(-0.642785256870277,-0.766046417360079,0.)); #443796=DIRECTION('',(-0.642785256870277,-0.766046417360079,0.)); #443797=DIRECTION('',(-0.642785256870277,-0.766046417360079,0.)); #443798=DIRECTION('',(0.,0.,1.)); #443799=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #443800=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #443801=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #443802=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #443803=DIRECTION('',(0.,0.,1.)); #443804=DIRECTION('center_axis',(-0.642785256870249,0.766046417360103,0.)); #443805=DIRECTION('ref_axis',(-0.766046417360103,-0.642785256870249,0.)); #443806=DIRECTION('',(-0.766046417360103,-0.642785256870249,0.)); #443807=DIRECTION('',(-0.766046417360103,-0.642785256870249,0.)); #443808=DIRECTION('',(0.,0.,1.)); #443809=DIRECTION('center_axis',(-0.573594705766918,0.819139251602658,0.)); #443810=DIRECTION('ref_axis',(-0.819139251602658,-0.573594705766918,0.)); #443811=DIRECTION('',(-0.819139251602658,-0.573594705766918,0.)); #443812=DIRECTION('',(-0.819139251602658,-0.573594705766918,0.)); #443813=DIRECTION('',(0.,0.,1.)); #443814=DIRECTION('center_axis',(-0.499984597074501,0.866034296484989,0.)); #443815=DIRECTION('ref_axis',(-0.866034296484989,-0.499984597074501,0.)); #443816=DIRECTION('',(-0.866034296484989,-0.499984597074501,0.)); #443817=DIRECTION('',(-0.866034296484989,-0.499984597074501,0.)); #443818=DIRECTION('',(0.,0.,1.)); #443819=DIRECTION('center_axis',(-0.422641642689159,0.906296883954154,0.)); #443820=DIRECTION('ref_axis',(-0.906296883954154,-0.422641642689159,0.)); #443821=DIRECTION('',(-0.906296883954154,-0.422641642689159,0.)); #443822=DIRECTION('',(-0.906296883954154,-0.422641642689159,0.)); #443823=DIRECTION('',(0.,0.,1.)); #443824=DIRECTION('center_axis',(-0.342017875916574,0.93969344605223,0.)); #443825=DIRECTION('ref_axis',(-0.93969344605223,-0.342017875916574,0.)); #443826=DIRECTION('',(-0.93969344605223,-0.342017875916574,0.)); #443827=DIRECTION('',(-0.93969344605223,-0.342017875916574,0.)); #443828=DIRECTION('',(0.,0.,1.)); #443829=DIRECTION('center_axis',(-0.258809226580732,0.965928457100568,0.)); #443830=DIRECTION('ref_axis',(-0.965928457100568,-0.258809226580732,0.)); #443831=DIRECTION('',(-0.965928457100568,-0.258809226580732,0.)); #443832=DIRECTION('',(-0.965928457100568,-0.258809226580732,0.)); #443833=DIRECTION('',(0.,0.,1.)); #443834=DIRECTION('center_axis',(-0.173645964707188,0.984808143214154,0.)); #443835=DIRECTION('ref_axis',(-0.984808143214154,-0.173645964707188,0.)); #443836=DIRECTION('',(-0.984808143214154,-0.173645964707188,0.)); #443837=DIRECTION('',(-0.984808143214154,-0.173645964707188,0.)); #443838=DIRECTION('',(0.,0.,1.)); #443839=DIRECTION('center_axis',(-0.0871622148812551,0.996194131832242, 0.)); #443840=DIRECTION('ref_axis',(-0.996194131832242,-0.0871622148812551,0.)); #443841=DIRECTION('',(-0.996194131832242,-0.087162214881255,0.)); #443842=DIRECTION('',(-0.996194131832242,-0.087162214881255,0.)); #443843=DIRECTION('',(0.,0.,1.)); #443844=DIRECTION('center_axis',(0.,1.,0.)); #443845=DIRECTION('ref_axis',(-1.,0.,0.)); #443846=DIRECTION('',(-1.,0.,0.)); #443847=DIRECTION('',(-1.,0.,0.)); #443848=DIRECTION('',(0.,0.,1.)); #443849=DIRECTION('center_axis',(0.08716221488126,0.996194131832241,0.)); #443850=DIRECTION('ref_axis',(-0.996194131832241,0.08716221488126,0.)); #443851=DIRECTION('',(-0.996194131832241,0.08716221488126,0.)); #443852=DIRECTION('',(-0.996194131832241,0.08716221488126,0.)); #443853=DIRECTION('',(0.,0.,1.)); #443854=DIRECTION('center_axis',(0.173645964707188,0.984808143214154,0.)); #443855=DIRECTION('ref_axis',(-0.984808143214154,0.173645964707188,0.)); #443856=DIRECTION('',(-0.984808143214154,0.173645964707188,0.)); #443857=DIRECTION('',(-0.984808143214154,0.173645964707188,0.)); #443858=DIRECTION('',(0.,0.,1.)); #443859=DIRECTION('center_axis',(0.258809226580718,0.965928457100572,0.)); #443860=DIRECTION('ref_axis',(-0.965928457100572,0.258809226580718,0.)); #443861=DIRECTION('',(-0.965928457100572,0.258809226580718,0.)); #443862=DIRECTION('',(-0.965928457100572,0.258809226580718,0.)); #443863=DIRECTION('',(0.,0.,1.)); #443864=DIRECTION('center_axis',(0.342017875916574,0.93969344605223,0.)); #443865=DIRECTION('ref_axis',(-0.93969344605223,0.342017875916574,0.)); #443866=DIRECTION('',(-0.93969344605223,0.342017875916574,0.)); #443867=DIRECTION('',(-0.93969344605223,0.342017875916574,0.)); #443868=DIRECTION('',(0.,0.,1.)); #443869=DIRECTION('center_axis',(0.422641642689159,0.906296883954154,0.)); #443870=DIRECTION('ref_axis',(-0.906296883954154,0.422641642689159,0.)); #443871=DIRECTION('',(-0.906296883954154,0.422641642689159,0.)); #443872=DIRECTION('',(-0.906296883954154,0.422641642689159,0.)); #443873=DIRECTION('',(0.,0.,1.)); #443874=DIRECTION('center_axis',(0.499984597074525,0.866034296484975,0.)); #443875=DIRECTION('ref_axis',(-0.866034296484975,0.499984597074525,0.)); #443876=DIRECTION('',(-0.866034296484975,0.499984597074525,0.)); #443877=DIRECTION('',(-0.866034296484975,0.499984597074525,0.)); #443878=DIRECTION('',(0.,0.,1.)); #443879=DIRECTION('center_axis',(0.573594705766891,0.819139251602677,0.)); #443880=DIRECTION('ref_axis',(-0.819139251602677,0.573594705766891,0.)); #443881=DIRECTION('',(-0.819139251602677,0.573594705766891,0.)); #443882=DIRECTION('',(-0.819139251602677,0.573594705766891,0.)); #443883=DIRECTION('',(0.,0.,1.)); #443884=DIRECTION('center_axis',(0.642785256870277,0.766046417360079,0.)); #443885=DIRECTION('ref_axis',(-0.766046417360079,0.642785256870277,0.)); #443886=DIRECTION('',(-0.766046417360079,0.642785256870277,0.)); #443887=DIRECTION('',(-0.766046417360079,0.642785256870277,0.)); #443888=DIRECTION('',(0.,0.,1.)); #443889=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #443890=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #443891=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #443892=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #443893=DIRECTION('',(0.,0.,1.)); #443894=DIRECTION('center_axis',(0.766046417360079,0.642785256870277,0.)); #443895=DIRECTION('ref_axis',(-0.642785256870277,0.766046417360079,0.)); #443896=DIRECTION('',(-0.642785256870277,0.766046417360079,0.)); #443897=DIRECTION('',(-0.642785256870277,0.766046417360079,0.)); #443898=DIRECTION('',(0.,0.,1.)); #443899=DIRECTION('center_axis',(0.81913925160265,0.573594705766929,0.)); #443900=DIRECTION('ref_axis',(-0.573594705766929,0.81913925160265,0.)); #443901=DIRECTION('',(-0.573594705766929,0.81913925160265,0.)); #443902=DIRECTION('',(-0.573594705766929,0.81913925160265,0.)); #443903=DIRECTION('',(0.,0.,1.)); #443904=DIRECTION('center_axis',(0.866034296485038,0.499984597074416,0.)); #443905=DIRECTION('ref_axis',(-0.499984597074416,0.866034296485038,0.)); #443906=DIRECTION('',(-0.499984597074416,0.866034296485038,0.)); #443907=DIRECTION('',(-0.499984597074416,0.866034296485038,0.)); #443908=DIRECTION('',(0.,0.,1.)); #443909=DIRECTION('center_axis',(0.906296883954132,0.422641642689205,0.)); #443910=DIRECTION('ref_axis',(-0.422641642689205,0.906296883954132,0.)); #443911=DIRECTION('',(-0.422641642689205,0.906296883954132,0.)); #443912=DIRECTION('',(-0.422641642689205,0.906296883954132,0.)); #443913=DIRECTION('',(0.,0.,1.)); #443914=DIRECTION('center_axis',(0.93969344605223,0.342017875916574,0.)); #443915=DIRECTION('ref_axis',(-0.342017875916574,0.93969344605223,0.)); #443916=DIRECTION('',(-0.342017875916574,0.93969344605223,0.)); #443917=DIRECTION('',(-0.342017875916574,0.93969344605223,0.)); #443918=DIRECTION('',(0.,0.,1.)); #443919=DIRECTION('center_axis',(0.965928457100568,0.258809226580732,0.)); #443920=DIRECTION('ref_axis',(-0.258809226580732,0.965928457100568,0.)); #443921=DIRECTION('',(-0.258809226580732,0.965928457100568,0.)); #443922=DIRECTION('',(-0.258809226580732,0.965928457100568,0.)); #443923=DIRECTION('',(0.,0.,1.)); #443924=DIRECTION('center_axis',(0.984808143214145,0.173645964707243,0.)); #443925=DIRECTION('ref_axis',(-0.173645964707243,0.984808143214144,0.)); #443926=DIRECTION('',(-0.173645964707243,0.984808143214144,0.)); #443927=DIRECTION('',(-0.173645964707243,0.984808143214144,0.)); #443928=DIRECTION('',(0.,0.,1.)); #443929=DIRECTION('center_axis',(0.996194131832247,0.087162214881199,0.)); #443930=DIRECTION('ref_axis',(-0.087162214881199,0.996194131832247,0.)); #443931=DIRECTION('',(-0.087162214881199,0.996194131832247,0.)); #443932=DIRECTION('',(-0.087162214881199,0.996194131832247,0.)); #443933=DIRECTION('',(0.,0.,1.)); #443934=DIRECTION('center_axis',(1.,0.,0.)); #443935=DIRECTION('ref_axis',(0.,1.,0.)); #443936=DIRECTION('',(0.,1.,0.)); #443937=DIRECTION('',(0.,1.,0.)); #443938=DIRECTION('',(0.,0.,1.)); #443939=DIRECTION('center_axis',(0.996194131832247,-0.087162214881199,0.)); #443940=DIRECTION('ref_axis',(0.087162214881199,0.996194131832247,0.)); #443941=DIRECTION('',(0.087162214881199,0.996194131832247,0.)); #443942=DIRECTION('',(0.087162214881199,0.996194131832247,0.)); #443943=DIRECTION('',(0.,0.,1.)); #443944=DIRECTION('center_axis',(0.984808143214143,-0.173645964707252,0.)); #443945=DIRECTION('ref_axis',(0.173645964707252,0.984808143214143,0.)); #443946=DIRECTION('',(0.173645964707252,0.984808143214143,0.)); #443947=DIRECTION('',(0.173645964707252,0.984808143214143,0.)); #443948=DIRECTION('',(0.,0.,1.)); #443949=DIRECTION('center_axis',(0.965928457100572,-0.258809226580718,0.)); #443950=DIRECTION('ref_axis',(0.258809226580718,0.965928457100572,0.)); #443951=DIRECTION('',(0.258809226580718,0.965928457100572,0.)); #443952=DIRECTION('',(0.258809226580718,0.965928457100572,0.)); #443953=DIRECTION('',(0.,0.,1.)); #443954=DIRECTION('center_axis',(0.93969344605223,-0.342017875916574,0.)); #443955=DIRECTION('ref_axis',(0.342017875916574,0.93969344605223,0.)); #443956=DIRECTION('',(0.342017875916574,0.93969344605223,0.)); #443957=DIRECTION('',(0.342017875916574,0.93969344605223,0.)); #443958=DIRECTION('',(0.,0.,1.)); #443959=DIRECTION('center_axis',(0.906296883954132,-0.422641642689205,0.)); #443960=DIRECTION('ref_axis',(0.422641642689205,0.906296883954132,0.)); #443961=DIRECTION('',(0.422641642689205,0.906296883954132,0.)); #443962=DIRECTION('',(0.422641642689205,0.906296883954132,0.)); #443963=DIRECTION('',(0.,0.,1.)); #443964=DIRECTION('center_axis',(0.866034296485038,-0.499984597074416,0.)); #443965=DIRECTION('ref_axis',(0.499984597074416,0.866034296485038,0.)); #443966=DIRECTION('',(0.499984597074416,0.866034296485038,0.)); #443967=DIRECTION('',(0.499984597074416,0.866034296485038,0.)); #443968=DIRECTION('',(0.,0.,1.)); #443969=DIRECTION('center_axis',(0.81913925160265,-0.573594705766929,0.)); #443970=DIRECTION('ref_axis',(0.573594705766929,0.81913925160265,0.)); #443971=DIRECTION('',(0.573594705766929,0.81913925160265,0.)); #443972=DIRECTION('',(0.573594705766929,0.81913925160265,0.)); #443973=DIRECTION('',(0.,0.,1.)); #443974=DIRECTION('center_axis',(0.766046417360079,-0.642785256870277,0.)); #443975=DIRECTION('ref_axis',(0.642785256870277,0.766046417360079,0.)); #443976=DIRECTION('',(0.642785256870277,0.766046417360079,0.)); #443977=DIRECTION('',(0.642785256870277,0.766046417360079,0.)); #443978=DIRECTION('',(0.,0.,1.)); #443979=DIRECTION('center_axis',(0.707106781186519,-0.707106781186576,0.)); #443980=DIRECTION('ref_axis',(0.707106781186576,0.707106781186519,0.)); #443981=DIRECTION('',(0.707106781186576,0.707106781186519,0.)); #443982=DIRECTION('',(0.707106781186576,0.707106781186519,0.)); #443983=DIRECTION('',(0.,0.,1.)); #443984=DIRECTION('center_axis',(0.64278525687031,-0.766046417360051,0.)); #443985=DIRECTION('ref_axis',(0.766046417360051,0.64278525687031,0.)); #443986=DIRECTION('',(0.766046417360051,0.64278525687031,0.)); #443987=DIRECTION('',(0.766046417360051,0.64278525687031,0.)); #443988=DIRECTION('',(0.,0.,1.)); #443989=DIRECTION('center_axis',(0.573594705766891,-0.819139251602677,0.)); #443990=DIRECTION('ref_axis',(0.819139251602677,0.573594705766891,0.)); #443991=DIRECTION('',(0.819139251602677,0.573594705766891,0.)); #443992=DIRECTION('',(0.819139251602677,0.573594705766891,0.)); #443993=DIRECTION('',(0.,0.,1.)); #443994=DIRECTION('center_axis',(0.499984597074525,-0.866034296484975,0.)); #443995=DIRECTION('ref_axis',(0.866034296484975,0.499984597074525,0.)); #443996=DIRECTION('',(0.866034296484975,0.499984597074525,0.)); #443997=DIRECTION('',(0.866034296484975,0.499984597074525,0.)); #443998=DIRECTION('',(0.,0.,1.)); #443999=DIRECTION('center_axis',(0.422641642689159,-0.906296883954154,0.)); #444000=DIRECTION('ref_axis',(0.906296883954154,0.422641642689159,0.)); #444001=DIRECTION('',(0.906296883954154,0.422641642689159,0.)); #444002=DIRECTION('',(0.906296883954154,0.422641642689159,0.)); #444003=DIRECTION('',(0.,0.,1.)); #444004=DIRECTION('center_axis',(0.342006639937231,-0.939697535507487,0.)); #444005=DIRECTION('ref_axis',(0.939697535507487,0.342006639937231,0.)); #444006=DIRECTION('',(0.939697535507487,0.342006639937231,0.)); #444007=DIRECTION('',(0.939697535507487,0.342006639937231,0.)); #444008=DIRECTION('',(0.,0.,1.)); #444009=DIRECTION('center_axis',(0.258821098381114,-0.965925276112388,0.)); #444010=DIRECTION('ref_axis',(0.965925276112388,0.258821098381114,0.)); #444011=DIRECTION('',(0.965925276112388,0.258821098381114,0.)); #444012=DIRECTION('',(0.965925276112388,0.258821098381114,0.)); #444013=DIRECTION('',(0.,0.,1.)); #444014=DIRECTION('center_axis',(0.173645964707188,-0.984808143214154,0.)); #444015=DIRECTION('ref_axis',(0.984808143214154,0.173645964707188,0.)); #444016=DIRECTION('',(0.984808143214154,0.173645964707188,0.)); #444017=DIRECTION('',(0.984808143214154,0.173645964707188,0.)); #444018=DIRECTION('center_axis',(0.,0.,1.)); #444019=DIRECTION('ref_axis',(1.,0.,0.)); #444020=DIRECTION('center_axis',(0.,0.,1.)); #444021=DIRECTION('ref_axis',(1.,0.,0.)); #444022=DIRECTION('center_axis',(1.,0.,0.)); #444023=DIRECTION('ref_axis',(0.,1.,0.)); #444024=DIRECTION('',(0.,-1.,0.)); #444025=DIRECTION('',(0.,0.,1.)); #444026=DIRECTION('',(0.,1.,0.)); #444027=DIRECTION('',(0.,0.,1.)); #444028=DIRECTION('center_axis',(0.,0.,1.)); #444029=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #444030=DIRECTION('center_axis',(0.,0.,-1.)); #444031=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #444032=DIRECTION('center_axis',(0.,0.,1.)); #444033=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #444034=DIRECTION('',(0.,0.,1.)); #444035=DIRECTION('center_axis',(-1.,0.,0.)); #444036=DIRECTION('ref_axis',(0.,-1.,0.)); #444037=DIRECTION('',(0.,1.,0.)); #444038=DIRECTION('',(0.,-1.,0.)); #444039=DIRECTION('',(0.,0.,1.)); #444040=DIRECTION('center_axis',(0.,0.,1.)); #444041=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #444042=DIRECTION('center_axis',(0.,0.,-1.)); #444043=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #444044=DIRECTION('center_axis',(0.,0.,1.)); #444045=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #444046=DIRECTION('center_axis',(0.111947631953276,-0.993714107628574,0.)); #444047=DIRECTION('ref_axis',(0.993714107628574,0.111947631953276,0.)); #444048=DIRECTION('',(0.993714107628574,0.111947631953276,0.)); #444049=DIRECTION('',(0.,0.,1.)); #444050=DIRECTION('',(0.993714107628574,0.111947631953276,0.)); #444051=DIRECTION('',(0.,0.,1.)); #444052=DIRECTION('center_axis',(0.,-1.,0.)); #444053=DIRECTION('ref_axis',(1.,0.,0.)); #444054=DIRECTION('',(1.,0.,0.)); #444055=DIRECTION('',(1.,0.,0.)); #444056=DIRECTION('',(0.,0.,1.)); #444057=DIRECTION('center_axis',(-0.111945831198928,-0.993714310492297, 0.)); #444058=DIRECTION('ref_axis',(0.993714310492297,-0.111945831198928,0.)); #444059=DIRECTION('',(0.993714310492297,-0.111945831198928,0.)); #444060=DIRECTION('',(0.993714310492297,-0.111945831198928,0.)); #444061=DIRECTION('',(0.,0.,1.)); #444062=DIRECTION('center_axis',(-0.222535347290555,-0.974924622320245, 0.)); #444063=DIRECTION('ref_axis',(0.974924622320245,-0.222535347290555,0.)); #444064=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #444065=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #444066=DIRECTION('',(0.,0.,1.)); #444067=DIRECTION('center_axis',(-0.330292737303265,-0.943878544985909, 0.)); #444068=DIRECTION('ref_axis',(0.943878544985909,-0.330292737303265,0.)); #444069=DIRECTION('',(0.943878544985909,-0.330292737303265,0.)); #444070=DIRECTION('',(0.943878544985909,-0.330292737303265,0.)); #444071=DIRECTION('',(0.,0.,1.)); #444072=DIRECTION('center_axis',(-0.433867402513781,-0.900976735013699, 0.)); #444073=DIRECTION('ref_axis',(0.900976735013699,-0.433867402513781,0.)); #444074=DIRECTION('',(0.900976735013699,-0.433867402513781,0.)); #444075=DIRECTION('',(0.900976735013699,-0.433867402513781,0.)); #444076=DIRECTION('',(0.,0.,1.)); #444077=DIRECTION('center_axis',(-0.532026991963584,-0.846727394042605, 0.)); #444078=DIRECTION('ref_axis',(0.846727394042605,-0.532026991963584,0.)); #444079=DIRECTION('',(0.846727394042605,-0.532026991963583,0.)); #444080=DIRECTION('',(0.846727394042605,-0.532026991963583,0.)); #444081=DIRECTION('',(0.,0.,1.)); #444082=DIRECTION('center_axis',(-0.623490076319886,-0.781831263592485, 0.)); #444083=DIRECTION('ref_axis',(0.781831263592485,-0.623490076319886,0.)); #444084=DIRECTION('',(0.781831263592485,-0.623490076319886,0.)); #444085=DIRECTION('',(0.781831263592485,-0.623490076319886,0.)); #444086=DIRECTION('',(0.,0.,1.)); #444087=DIRECTION('center_axis',(-0.70710920936978,-0.707104352994977,0.)); #444088=DIRECTION('ref_axis',(0.707104352994977,-0.70710920936978,0.)); #444089=DIRECTION('',(0.707104352994977,-0.70710920936978,0.)); #444090=DIRECTION('',(0.707104352994977,-0.70710920936978,0.)); #444091=DIRECTION('',(0.,0.,1.)); #444092=DIRECTION('center_axis',(-0.781826848571419,-0.623495612536995, 0.)); #444093=DIRECTION('ref_axis',(0.623495612536995,-0.781826848571419,0.)); #444094=DIRECTION('',(0.623495612536995,-0.781826848571419,0.)); #444095=DIRECTION('',(0.623495612536995,-0.781826848571419,0.)); #444096=DIRECTION('',(0.,0.,1.)); #444097=DIRECTION('center_axis',(-0.846733144041855,-0.532017840660439, 0.)); #444098=DIRECTION('ref_axis',(0.532017840660439,-0.846733144041855,0.)); #444099=DIRECTION('',(0.532017840660439,-0.846733144041855,0.)); #444100=DIRECTION('',(0.532017840660439,-0.846733144041855,0.)); #444101=DIRECTION('',(0.,0.,1.)); #444102=DIRECTION('center_axis',(-0.900976172282562,-0.433868571089291, 0.)); #444103=DIRECTION('ref_axis',(0.433868571089291,-0.900976172282562,0.)); #444104=DIRECTION('',(0.433868571089291,-0.900976172282562,0.)); #444105=DIRECTION('',(0.433868571089291,-0.900976172282562,0.)); #444106=DIRECTION('',(0.,0.,1.)); #444107=DIRECTION('center_axis',(-0.943879402871104,-0.33029028571196,0.)); #444108=DIRECTION('ref_axis',(0.33029028571196,-0.943879402871104,0.)); #444109=DIRECTION('',(0.33029028571196,-0.943879402871104,0.)); #444110=DIRECTION('',(0.33029028571196,-0.943879402871104,0.)); #444111=DIRECTION('',(0.,0.,1.)); #444112=DIRECTION('center_axis',(-0.974924271111244,-0.222536885927277, 0.)); #444113=DIRECTION('ref_axis',(0.222536885927277,-0.974924271111244,0.)); #444114=DIRECTION('',(0.222536885927277,-0.974924271111244,0.)); #444115=DIRECTION('',(0.222536885927277,-0.974924271111244,0.)); #444116=DIRECTION('',(0.,0.,1.)); #444117=DIRECTION('center_axis',(-0.993713488739879,-0.111953125442832, 0.)); #444118=DIRECTION('ref_axis',(0.111953125442832,-0.993713488739879,0.)); #444119=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #444120=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #444121=DIRECTION('',(0.,0.,1.)); #444122=DIRECTION('center_axis',(-1.,0.,0.)); #444123=DIRECTION('ref_axis',(0.,-1.,0.)); #444124=DIRECTION('',(0.,-1.,0.)); #444125=DIRECTION('',(0.,-1.,0.)); #444126=DIRECTION('',(0.,0.,1.)); #444127=DIRECTION('center_axis',(-0.993713488739879,0.111953125442832,0.)); #444128=DIRECTION('ref_axis',(-0.111953125442832,-0.993713488739879,0.)); #444129=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #444130=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #444131=DIRECTION('',(0.,0.,1.)); #444132=DIRECTION('center_axis',(-0.974924271111245,0.222536885927273,0.)); #444133=DIRECTION('ref_axis',(-0.222536885927273,-0.974924271111245,0.)); #444134=DIRECTION('',(-0.222536885927273,-0.974924271111245,0.)); #444135=DIRECTION('',(-0.222536885927273,-0.974924271111245,0.)); #444136=DIRECTION('',(0.,0.,1.)); #444137=DIRECTION('center_axis',(-0.943879579471783,0.330289781035033,0.)); #444138=DIRECTION('ref_axis',(-0.330289781035033,-0.943879579471783,0.)); #444139=DIRECTION('',(-0.330289781035033,-0.943879579471783,0.)); #444140=DIRECTION('',(-0.330289781035033,-0.943879579471783,0.)); #444141=DIRECTION('',(0.,0.,1.)); #444142=DIRECTION('center_axis',(-0.900975867568767,0.433869203860688,0.)); #444143=DIRECTION('ref_axis',(-0.433869203860688,-0.900975867568767,0.)); #444144=DIRECTION('',(-0.433869203860688,-0.900975867568767,0.)); #444145=DIRECTION('',(-0.433869203860688,-0.900975867568767,0.)); #444146=DIRECTION('',(0.,0.,1.)); #444147=DIRECTION('center_axis',(-0.846733144041855,0.532017840660439,0.)); #444148=DIRECTION('ref_axis',(-0.532017840660439,-0.846733144041855,0.)); #444149=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #444150=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #444151=DIRECTION('',(0.,0.,1.)); #444152=DIRECTION('center_axis',(-0.781827477872105,0.623494823430109,0.)); #444153=DIRECTION('ref_axis',(-0.623494823430109,-0.781827477872105,0.)); #444154=DIRECTION('',(-0.623494823430109,-0.781827477872105,0.)); #444155=DIRECTION('',(-0.623494823430109,-0.781827477872105,0.)); #444156=DIRECTION('',(0.,0.,1.)); #444157=DIRECTION('center_axis',(-0.707108399978151,0.707105162391238,0.)); #444158=DIRECTION('ref_axis',(-0.707105162391238,-0.707108399978151,0.)); #444159=DIRECTION('',(-0.707105162391238,-0.707108399978151,0.)); #444160=DIRECTION('',(-0.707105162391238,-0.707108399978151,0.)); #444161=DIRECTION('',(0.,0.,1.)); #444162=DIRECTION('center_axis',(-0.623490076319886,0.781831263592485,0.)); #444163=DIRECTION('ref_axis',(-0.781831263592485,-0.623490076319886,0.)); #444164=DIRECTION('',(-0.781831263592485,-0.623490076319886,0.)); #444165=DIRECTION('',(-0.781831263592485,-0.623490076319886,0.)); #444166=DIRECTION('',(0.,0.,1.)); #444167=DIRECTION('center_axis',(-0.532028152553409,0.846726664804296,0.)); #444168=DIRECTION('ref_axis',(-0.846726664804296,-0.532028152553409,0.)); #444169=DIRECTION('',(-0.846726664804296,-0.532028152553409,0.)); #444170=DIRECTION('',(-0.846726664804296,-0.532028152553409,0.)); #444171=DIRECTION('',(0.,0.,1.)); #444172=DIRECTION('center_axis',(-0.43386608850586,0.900977367776031,0.)); #444173=DIRECTION('ref_axis',(-0.900977367776031,-0.43386608850586,0.)); #444174=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #444175=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #444176=DIRECTION('',(0.,0.,1.)); #444177=DIRECTION('center_axis',(-0.330292737303249,0.943878544985914,0.)); #444178=DIRECTION('ref_axis',(-0.943878544985914,-0.330292737303249,0.)); #444179=DIRECTION('',(-0.943878544985914,-0.330292737303249,0.)); #444180=DIRECTION('',(-0.943878544985914,-0.330292737303249,0.)); #444181=DIRECTION('',(0.,0.,1.)); #444182=DIRECTION('center_axis',(-0.222535347290555,0.974924622320245,0.)); #444183=DIRECTION('ref_axis',(-0.974924622320245,-0.222535347290555,0.)); #444184=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #444185=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #444186=DIRECTION('',(0.,0.,1.)); #444187=DIRECTION('center_axis',(-0.111945831198946,0.993714310492295,0.)); #444188=DIRECTION('ref_axis',(-0.993714310492295,-0.111945831198946,0.)); #444189=DIRECTION('',(-0.993714310492295,-0.111945831198946,0.)); #444190=DIRECTION('',(-0.993714310492295,-0.111945831198946,0.)); #444191=DIRECTION('',(0.,0.,1.)); #444192=DIRECTION('center_axis',(0.,1.,0.)); #444193=DIRECTION('ref_axis',(-1.,0.,0.)); #444194=DIRECTION('',(-1.,0.,0.)); #444195=DIRECTION('',(-1.,0.,0.)); #444196=DIRECTION('',(0.,0.,1.)); #444197=DIRECTION('center_axis',(0.111947631953293,0.993714107628572,0.)); #444198=DIRECTION('ref_axis',(-0.993714107628572,0.111947631953293,0.)); #444199=DIRECTION('',(-0.993714107628572,0.111947631953293,0.)); #444200=DIRECTION('',(-0.993714107628572,0.111947631953293,0.)); #444201=DIRECTION('',(0.,0.,1.)); #444202=DIRECTION('center_axis',(0.222535347290571,0.974924622320241,0.)); #444203=DIRECTION('ref_axis',(-0.974924622320241,0.222535347290571,0.)); #444204=DIRECTION('',(-0.974924622320241,0.222535347290571,0.)); #444205=DIRECTION('',(-0.974924622320241,0.222535347290571,0.)); #444206=DIRECTION('',(0.,0.,1.)); #444207=DIRECTION('center_axis',(0.330292737303205,0.94387854498593,0.)); #444208=DIRECTION('ref_axis',(-0.94387854498593,0.330292737303205,0.)); #444209=DIRECTION('',(-0.94387854498593,0.330292737303205,0.)); #444210=DIRECTION('',(-0.94387854498593,0.330292737303205,0.)); #444211=DIRECTION('',(0.,0.,1.)); #444212=DIRECTION('center_axis',(0.433866088505888,0.900977367776018,0.)); #444213=DIRECTION('ref_axis',(-0.900977367776017,0.433866088505888,0.)); #444214=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #444215=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #444216=DIRECTION('',(0.,0.,1.)); #444217=DIRECTION('center_axis',(0.532028152553409,0.846726664804296,0.)); #444218=DIRECTION('ref_axis',(-0.846726664804296,0.532028152553409,0.)); #444219=DIRECTION('',(-0.846726664804296,0.532028152553409,0.)); #444220=DIRECTION('',(-0.846726664804296,0.532028152553409,0.)); #444221=DIRECTION('',(0.,0.,1.)); #444222=DIRECTION('center_axis',(0.623490076319886,0.781831263592485,0.)); #444223=DIRECTION('ref_axis',(-0.781831263592485,0.623490076319886,0.)); #444224=DIRECTION('',(-0.781831263592485,0.623490076319886,0.)); #444225=DIRECTION('',(-0.781831263592485,0.623490076319886,0.)); #444226=DIRECTION('',(0.,0.,1.)); #444227=DIRECTION('center_axis',(0.707108399978151,0.707105162391238,0.)); #444228=DIRECTION('ref_axis',(-0.707105162391238,0.707108399978151,0.)); #444229=DIRECTION('',(-0.707105162391238,0.707108399978151,0.)); #444230=DIRECTION('',(-0.707105162391238,0.707108399978151,0.)); #444231=DIRECTION('',(0.,0.,1.)); #444232=DIRECTION('center_axis',(0.781827477872105,0.623494823430109,0.)); #444233=DIRECTION('ref_axis',(-0.623494823430109,0.781827477872105,0.)); #444234=DIRECTION('',(-0.623494823430109,0.781827477872105,0.)); #444235=DIRECTION('',(-0.623494823430109,0.781827477872105,0.)); #444236=DIRECTION('',(0.,0.,1.)); #444237=DIRECTION('center_axis',(0.846733144041887,0.532017840660387,0.)); #444238=DIRECTION('ref_axis',(-0.532017840660387,0.846733144041887,0.)); #444239=DIRECTION('',(-0.532017840660387,0.846733144041887,0.)); #444240=DIRECTION('',(-0.532017840660387,0.846733144041887,0.)); #444241=DIRECTION('',(0.,0.,1.)); #444242=DIRECTION('center_axis',(0.900975867568739,0.433869203860746,0.)); #444243=DIRECTION('ref_axis',(-0.433869203860746,0.900975867568739,0.)); #444244=DIRECTION('',(-0.433869203860746,0.900975867568739,0.)); #444245=DIRECTION('',(-0.433869203860746,0.900975867568739,0.)); #444246=DIRECTION('',(0.,0.,1.)); #444247=DIRECTION('center_axis',(0.943874532626469,0.330304203211472,0.)); #444248=DIRECTION('ref_axis',(-0.330304203211472,0.943874532626469,0.)); #444249=DIRECTION('',(-0.330304203211472,0.943874532626469,0.)); #444250=DIRECTION('',(-0.330304203211472,0.943874532626469,0.)); #444251=DIRECTION('',(0.,0.,1.)); #444252=DIRECTION('center_axis',(0.974927783103216,0.222521499485892,0.)); #444253=DIRECTION('ref_axis',(-0.222521499485892,0.974927783103215,0.)); #444254=DIRECTION('',(-0.222521499485892,0.974927783103215,0.)); #444255=DIRECTION('',(-0.222521499485892,0.974927783103215,0.)); #444256=DIRECTION('',(0.,0.,1.)); #444257=DIRECTION('center_axis',(0.993713488739871,0.111953125442903,0.)); #444258=DIRECTION('ref_axis',(-0.111953125442903,0.993713488739871,0.)); #444259=DIRECTION('',(-0.111953125442903,0.993713488739871,0.)); #444260=DIRECTION('',(-0.111953125442903,0.993713488739871,0.)); #444261=DIRECTION('',(0.,0.,1.)); #444262=DIRECTION('center_axis',(1.,0.,0.)); #444263=DIRECTION('ref_axis',(0.,1.,0.)); #444264=DIRECTION('',(0.,1.,0.)); #444265=DIRECTION('',(0.,1.,0.)); #444266=DIRECTION('',(0.,0.,1.)); #444267=DIRECTION('center_axis',(0.993713488739871,-0.111953125442903,0.)); #444268=DIRECTION('ref_axis',(0.111953125442903,0.993713488739871,0.)); #444269=DIRECTION('',(0.111953125442903,0.993713488739871,0.)); #444270=DIRECTION('',(0.111953125442903,0.993713488739871,0.)); #444271=DIRECTION('',(0.,0.,1.)); #444272=DIRECTION('center_axis',(0.974927783103215,-0.222521499485896,0.)); #444273=DIRECTION('ref_axis',(0.222521499485896,0.974927783103215,0.)); #444274=DIRECTION('',(0.222521499485896,0.974927783103215,0.)); #444275=DIRECTION('',(0.222521499485896,0.974927783103215,0.)); #444276=DIRECTION('',(0.,0.,1.)); #444277=DIRECTION('center_axis',(0.943874356011311,-0.330304707905039,0.)); #444278=DIRECTION('ref_axis',(0.330304707905039,0.943874356011311,0.)); #444279=DIRECTION('',(0.330304707905039,0.943874356011311,0.)); #444280=DIRECTION('',(0.330304707905039,0.943874356011311,0.)); #444281=DIRECTION('',(0.,0.,1.)); #444282=DIRECTION('center_axis',(0.900976172282534,-0.433868571089349,0.)); #444283=DIRECTION('ref_axis',(0.433868571089349,0.900976172282534,0.)); #444284=DIRECTION('',(0.433868571089349,0.900976172282534,0.)); #444285=DIRECTION('',(0.433868571089349,0.900976172282534,0.)); #444286=DIRECTION('',(0.,0.,1.)); #444287=DIRECTION('center_axis',(0.846733144041887,-0.532017840660387,0.)); #444288=DIRECTION('ref_axis',(0.532017840660387,0.846733144041887,0.)); #444289=DIRECTION('',(0.532017840660387,0.846733144041887,0.)); #444290=DIRECTION('',(0.532017840660387,0.846733144041887,0.)); #444291=DIRECTION('',(0.,0.,1.)); #444292=DIRECTION('center_axis',(0.781826848571419,-0.623495612536995,0.)); #444293=DIRECTION('ref_axis',(0.623495612536995,0.781826848571419,0.)); #444294=DIRECTION('',(0.623495612536995,0.781826848571419,0.)); #444295=DIRECTION('',(0.623495612536995,0.781826848571419,0.)); #444296=DIRECTION('',(0.,0.,1.)); #444297=DIRECTION('center_axis',(0.70710920936978,-0.707104352994977,0.)); #444298=DIRECTION('ref_axis',(0.707104352994977,0.70710920936978,0.)); #444299=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #444300=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #444301=DIRECTION('',(0.,0.,1.)); #444302=DIRECTION('center_axis',(0.623490076319886,-0.781831263592485,0.)); #444303=DIRECTION('ref_axis',(0.781831263592485,0.623490076319886,0.)); #444304=DIRECTION('',(0.781831263592485,0.623490076319886,0.)); #444305=DIRECTION('',(0.781831263592485,0.623490076319886,0.)); #444306=DIRECTION('',(0.,0.,1.)); #444307=DIRECTION('center_axis',(0.532026991963584,-0.846727394042605,0.)); #444308=DIRECTION('ref_axis',(0.846727394042605,0.532026991963584,0.)); #444309=DIRECTION('',(0.846727394042605,0.532026991963583,0.)); #444310=DIRECTION('',(0.846727394042605,0.532026991963583,0.)); #444311=DIRECTION('',(0.,0.,1.)); #444312=DIRECTION('center_axis',(0.433867402513809,-0.900976735013685,0.)); #444313=DIRECTION('ref_axis',(0.900976735013685,0.433867402513809,0.)); #444314=DIRECTION('',(0.900976735013685,0.433867402513809,0.)); #444315=DIRECTION('',(0.900976735013685,0.433867402513809,0.)); #444316=DIRECTION('',(0.,0.,1.)); #444317=DIRECTION('center_axis',(0.330292737303221,-0.943878544985924,0.)); #444318=DIRECTION('ref_axis',(0.943878544985924,0.330292737303221,0.)); #444319=DIRECTION('',(0.943878544985924,0.330292737303221,0.)); #444320=DIRECTION('',(0.943878544985924,0.330292737303221,0.)); #444321=DIRECTION('',(0.,0.,1.)); #444322=DIRECTION('center_axis',(0.222535347290571,-0.974924622320241,0.)); #444323=DIRECTION('ref_axis',(0.974924622320241,0.222535347290571,0.)); #444324=DIRECTION('',(0.974924622320241,0.222535347290571,0.)); #444325=DIRECTION('',(0.974924622320241,0.222535347290571,0.)); #444326=DIRECTION('center_axis',(0.,0.,1.)); #444327=DIRECTION('ref_axis',(1.,0.,0.)); #444328=DIRECTION('center_axis',(0.,0.,1.)); #444329=DIRECTION('ref_axis',(1.,0.,0.)); #444330=DIRECTION('center_axis',(0.,0.,1.)); #444331=DIRECTION('ref_axis',(1.,0.,0.)); #444332=DIRECTION('center_axis',(0.,0.,1.)); #444333=DIRECTION('ref_axis',(1.,0.,0.)); #444334=DIRECTION('',(0.,0.,1.)); #444335=DIRECTION('center_axis',(0.,0.,-1.)); #444336=DIRECTION('ref_axis',(1.,0.,0.)); #444337=DIRECTION('center_axis',(1.,0.,0.)); #444338=DIRECTION('ref_axis',(0.,1.,0.)); #444339=DIRECTION('',(0.,1.,0.)); #444340=DIRECTION('',(0.,0.,1.)); #444341=DIRECTION('',(0.,1.,0.)); #444342=DIRECTION('',(0.,0.,1.)); #444343=DIRECTION('center_axis',(0.,-1.,0.)); #444344=DIRECTION('ref_axis',(1.,0.,0.)); #444345=DIRECTION('',(1.,0.,0.)); #444346=DIRECTION('',(1.,0.,0.)); #444347=DIRECTION('',(0.,0.,1.)); #444348=DIRECTION('center_axis',(-1.,0.,0.)); #444349=DIRECTION('ref_axis',(0.,-1.,0.)); #444350=DIRECTION('',(0.,-1.,0.)); #444351=DIRECTION('',(0.,-1.,0.)); #444352=DIRECTION('',(0.,0.,1.)); #444353=DIRECTION('center_axis',(0.,1.,0.)); #444354=DIRECTION('ref_axis',(-1.,0.,0.)); #444355=DIRECTION('',(-1.,0.,0.)); #444356=DIRECTION('',(-1.,0.,0.)); #444357=DIRECTION('center_axis',(0.,0.,1.)); #444358=DIRECTION('ref_axis',(1.,0.,0.)); #444359=DIRECTION('center_axis',(0.,0.,1.)); #444360=DIRECTION('ref_axis',(1.,0.,0.)); #444361=DIRECTION('center_axis',(1.,0.,0.)); #444362=DIRECTION('ref_axis',(0.,1.,0.)); #444363=DIRECTION('',(0.,-1.,0.)); #444364=DIRECTION('',(0.,0.,1.)); #444365=DIRECTION('',(0.,1.,0.)); #444366=DIRECTION('',(0.,0.,1.)); #444367=DIRECTION('center_axis',(0.,0.,1.)); #444368=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #444369=DIRECTION('center_axis',(0.,0.,-1.)); #444370=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #444371=DIRECTION('center_axis',(0.,0.,1.)); #444372=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #444373=DIRECTION('',(0.,0.,1.)); #444374=DIRECTION('center_axis',(-1.,0.,0.)); #444375=DIRECTION('ref_axis',(0.,-1.,0.)); #444376=DIRECTION('',(0.,1.,0.)); #444377=DIRECTION('',(0.,-1.,0.)); #444378=DIRECTION('',(0.,0.,1.)); #444379=DIRECTION('center_axis',(0.,0.,1.)); #444380=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #444381=DIRECTION('center_axis',(0.,0.,-1.)); #444382=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #444383=DIRECTION('center_axis',(0.,0.,1.)); #444384=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #444385=DIRECTION('center_axis',(0.111947631953285,-0.993714107628573,0.)); #444386=DIRECTION('ref_axis',(0.993714107628573,0.111947631953285,0.)); #444387=DIRECTION('',(0.993714107628573,0.111947631953285,0.)); #444388=DIRECTION('',(0.,0.,1.)); #444389=DIRECTION('',(0.993714107628573,0.111947631953285,0.)); #444390=DIRECTION('',(0.,0.,1.)); #444391=DIRECTION('center_axis',(0.,-1.,0.)); #444392=DIRECTION('ref_axis',(1.,0.,0.)); #444393=DIRECTION('',(1.,0.,0.)); #444394=DIRECTION('',(1.,0.,0.)); #444395=DIRECTION('',(0.,0.,1.)); #444396=DIRECTION('center_axis',(-0.111945831198937,-0.993714310492296, 0.)); #444397=DIRECTION('ref_axis',(0.993714310492296,-0.111945831198937,0.)); #444398=DIRECTION('',(0.993714310492296,-0.111945831198937,0.)); #444399=DIRECTION('',(0.993714310492296,-0.111945831198937,0.)); #444400=DIRECTION('',(0.,0.,1.)); #444401=DIRECTION('center_axis',(-0.222535347290555,-0.974924622320245, 0.)); #444402=DIRECTION('ref_axis',(0.974924622320245,-0.222535347290555,0.)); #444403=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #444404=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #444405=DIRECTION('',(0.,0.,1.)); #444406=DIRECTION('center_axis',(-0.330292737303257,-0.943878544985912, 0.)); #444407=DIRECTION('ref_axis',(0.943878544985912,-0.330292737303257,0.)); #444408=DIRECTION('',(0.943878544985912,-0.330292737303257,0.)); #444409=DIRECTION('',(0.943878544985912,-0.330292737303257,0.)); #444410=DIRECTION('',(0.,0.,1.)); #444411=DIRECTION('center_axis',(-0.43386608850586,-0.900977367776031,0.)); #444412=DIRECTION('ref_axis',(0.900977367776031,-0.43386608850586,0.)); #444413=DIRECTION('',(0.900977367776031,-0.43386608850586,0.)); #444414=DIRECTION('',(0.900977367776031,-0.43386608850586,0.)); #444415=DIRECTION('',(0.,0.,1.)); #444416=DIRECTION('center_axis',(-0.532028152553409,-0.846726664804296, 0.)); #444417=DIRECTION('ref_axis',(0.846726664804296,-0.532028152553409,0.)); #444418=DIRECTION('',(0.846726664804296,-0.532028152553409,0.)); #444419=DIRECTION('',(0.846726664804296,-0.532028152553409,0.)); #444420=DIRECTION('',(0.,0.,1.)); #444421=DIRECTION('center_axis',(-0.623490076319886,-0.781831263592485, 0.)); #444422=DIRECTION('ref_axis',(0.781831263592485,-0.623490076319886,0.)); #444423=DIRECTION('',(0.781831263592485,-0.623490076319886,0.)); #444424=DIRECTION('',(0.781831263592485,-0.623490076319886,0.)); #444425=DIRECTION('',(0.,0.,1.)); #444426=DIRECTION('center_axis',(-0.70710920936978,-0.707104352994977,0.)); #444427=DIRECTION('ref_axis',(0.707104352994977,-0.70710920936978,0.)); #444428=DIRECTION('',(0.707104352994977,-0.70710920936978,0.)); #444429=DIRECTION('',(0.707104352994977,-0.70710920936978,0.)); #444430=DIRECTION('',(0.,0.,1.)); #444431=DIRECTION('center_axis',(-0.781826848571423,-0.623495612536991, 0.)); #444432=DIRECTION('ref_axis',(0.623495612536991,-0.781826848571423,0.)); #444433=DIRECTION('',(0.623495612536991,-0.781826848571423,0.)); #444434=DIRECTION('',(0.623495612536991,-0.781826848571423,0.)); #444435=DIRECTION('',(0.,0.,1.)); #444436=DIRECTION('center_axis',(-0.846733144041853,-0.532017840660441, 0.)); #444437=DIRECTION('ref_axis',(0.532017840660441,-0.846733144041853,0.)); #444438=DIRECTION('',(0.532017840660441,-0.846733144041853,0.)); #444439=DIRECTION('',(0.532017840660441,-0.846733144041853,0.)); #444440=DIRECTION('',(0.,0.,1.)); #444441=DIRECTION('center_axis',(-0.900976172282562,-0.433868571089291, 0.)); #444442=DIRECTION('ref_axis',(0.433868571089291,-0.900976172282562,0.)); #444443=DIRECTION('',(0.433868571089291,-0.900976172282562,0.)); #444444=DIRECTION('',(0.433868571089291,-0.900976172282562,0.)); #444445=DIRECTION('',(0.,0.,1.)); #444446=DIRECTION('center_axis',(-0.943879402871102,-0.330290285711966, 0.)); #444447=DIRECTION('ref_axis',(0.330290285711966,-0.943879402871102,0.)); #444448=DIRECTION('',(0.330290285711966,-0.943879402871102,0.)); #444449=DIRECTION('',(0.330290285711966,-0.943879402871102,0.)); #444450=DIRECTION('',(0.,0.,1.)); #444451=DIRECTION('center_axis',(-0.974924271111244,-0.222536885927274, 0.)); #444452=DIRECTION('ref_axis',(0.222536885927274,-0.974924271111244,0.)); #444453=DIRECTION('',(0.222536885927274,-0.974924271111244,0.)); #444454=DIRECTION('',(0.222536885927274,-0.974924271111244,0.)); #444455=DIRECTION('',(0.,0.,1.)); #444456=DIRECTION('center_axis',(-0.993713488739879,-0.111953125442832, 0.)); #444457=DIRECTION('ref_axis',(0.111953125442832,-0.993713488739879,0.)); #444458=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #444459=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #444460=DIRECTION('',(0.,0.,1.)); #444461=DIRECTION('center_axis',(-1.,0.,0.)); #444462=DIRECTION('ref_axis',(0.,-1.,0.)); #444463=DIRECTION('',(0.,-1.,0.)); #444464=DIRECTION('',(0.,-1.,0.)); #444465=DIRECTION('',(0.,0.,1.)); #444466=DIRECTION('center_axis',(-0.993713488739879,0.111953125442832,0.)); #444467=DIRECTION('ref_axis',(-0.111953125442832,-0.993713488739879,0.)); #444468=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #444469=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #444470=DIRECTION('',(0.,0.,1.)); #444471=DIRECTION('center_axis',(-0.974924271111245,0.222536885927273,0.)); #444472=DIRECTION('ref_axis',(-0.222536885927273,-0.974924271111245,0.)); #444473=DIRECTION('',(-0.222536885927273,-0.974924271111245,0.)); #444474=DIRECTION('',(-0.222536885927273,-0.974924271111245,0.)); #444475=DIRECTION('',(0.,0.,1.)); #444476=DIRECTION('center_axis',(-0.943879402871102,0.330290285711966,0.)); #444477=DIRECTION('ref_axis',(-0.330290285711966,-0.943879402871102,0.)); #444478=DIRECTION('',(-0.330290285711966,-0.943879402871102,0.)); #444479=DIRECTION('',(-0.330290285711966,-0.943879402871102,0.)); #444480=DIRECTION('',(0.,0.,1.)); #444481=DIRECTION('center_axis',(-0.900976172282562,0.433868571089291,0.)); #444482=DIRECTION('ref_axis',(-0.433868571089291,-0.900976172282562,0.)); #444483=DIRECTION('',(-0.433868571089291,-0.900976172282562,0.)); #444484=DIRECTION('',(-0.433868571089291,-0.900976172282562,0.)); #444485=DIRECTION('',(0.,0.,1.)); #444486=DIRECTION('center_axis',(-0.846733144041855,0.532017840660439,0.)); #444487=DIRECTION('ref_axis',(-0.532017840660439,-0.846733144041855,0.)); #444488=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #444489=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #444490=DIRECTION('',(0.,0.,1.)); #444491=DIRECTION('center_axis',(-0.781826848571419,0.623495612536995,0.)); #444492=DIRECTION('ref_axis',(-0.623495612536995,-0.781826848571419,0.)); #444493=DIRECTION('',(-0.623495612536995,-0.781826848571419,0.)); #444494=DIRECTION('',(-0.623495612536995,-0.781826848571419,0.)); #444495=DIRECTION('',(0.,0.,1.)); #444496=DIRECTION('center_axis',(-0.70710920936978,0.707104352994977,0.)); #444497=DIRECTION('ref_axis',(-0.707104352994977,-0.70710920936978,0.)); #444498=DIRECTION('',(-0.707104352994977,-0.70710920936978,0.)); #444499=DIRECTION('',(-0.707104352994977,-0.70710920936978,0.)); #444500=DIRECTION('',(0.,0.,1.)); #444501=DIRECTION('center_axis',(-0.623490076319886,0.781831263592485,0.)); #444502=DIRECTION('ref_axis',(-0.781831263592485,-0.623490076319886,0.)); #444503=DIRECTION('',(-0.781831263592485,-0.623490076319886,0.)); #444504=DIRECTION('',(-0.781831263592485,-0.623490076319886,0.)); #444505=DIRECTION('',(0.,0.,1.)); #444506=DIRECTION('center_axis',(-0.532028152553415,0.846726664804292,0.)); #444507=DIRECTION('ref_axis',(-0.846726664804292,-0.532028152553415,0.)); #444508=DIRECTION('',(-0.846726664804292,-0.532028152553415,0.)); #444509=DIRECTION('',(-0.846726664804292,-0.532028152553415,0.)); #444510=DIRECTION('',(0.,0.,1.)); #444511=DIRECTION('center_axis',(-0.43386608850586,0.900977367776031,0.)); #444512=DIRECTION('ref_axis',(-0.900977367776031,-0.43386608850586,0.)); #444513=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #444514=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #444515=DIRECTION('',(0.,0.,1.)); #444516=DIRECTION('center_axis',(-0.330292737303257,0.943878544985912,0.)); #444517=DIRECTION('ref_axis',(-0.943878544985912,-0.330292737303257,0.)); #444518=DIRECTION('',(-0.943878544985912,-0.330292737303257,0.)); #444519=DIRECTION('',(-0.943878544985912,-0.330292737303257,0.)); #444520=DIRECTION('',(0.,0.,1.)); #444521=DIRECTION('center_axis',(-0.222535347290555,0.974924622320245,0.)); #444522=DIRECTION('ref_axis',(-0.974924622320245,-0.222535347290555,0.)); #444523=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #444524=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #444525=DIRECTION('',(0.,0.,1.)); #444526=DIRECTION('center_axis',(-0.111945831198937,0.993714310492296,0.)); #444527=DIRECTION('ref_axis',(-0.993714310492296,-0.111945831198937,0.)); #444528=DIRECTION('',(-0.993714310492296,-0.111945831198937,0.)); #444529=DIRECTION('',(-0.993714310492296,-0.111945831198937,0.)); #444530=DIRECTION('',(0.,0.,1.)); #444531=DIRECTION('center_axis',(0.,1.,0.)); #444532=DIRECTION('ref_axis',(-1.,0.,0.)); #444533=DIRECTION('',(-1.,0.,0.)); #444534=DIRECTION('',(-1.,0.,0.)); #444535=DIRECTION('',(0.,0.,1.)); #444536=DIRECTION('center_axis',(0.111947631953285,0.993714107628573,0.)); #444537=DIRECTION('ref_axis',(-0.993714107628573,0.111947631953285,0.)); #444538=DIRECTION('',(-0.993714107628573,0.111947631953285,0.)); #444539=DIRECTION('',(-0.993714107628573,0.111947631953285,0.)); #444540=DIRECTION('',(0.,0.,1.)); #444541=DIRECTION('center_axis',(0.222535347290571,0.974924622320241,0.)); #444542=DIRECTION('ref_axis',(-0.974924622320241,0.222535347290571,0.)); #444543=DIRECTION('',(-0.974924622320241,0.222535347290571,0.)); #444544=DIRECTION('',(-0.974924622320241,0.222535347290571,0.)); #444545=DIRECTION('',(0.,0.,1.)); #444546=DIRECTION('center_axis',(0.330292737303213,0.943878544985927,0.)); #444547=DIRECTION('ref_axis',(-0.943878544985927,0.330292737303213,0.)); #444548=DIRECTION('',(-0.943878544985927,0.330292737303213,0.)); #444549=DIRECTION('',(-0.943878544985927,0.330292737303213,0.)); #444550=DIRECTION('',(0.,0.,1.)); #444551=DIRECTION('center_axis',(0.433866088505888,0.900977367776018,0.)); #444552=DIRECTION('ref_axis',(-0.900977367776017,0.433866088505888,0.)); #444553=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #444554=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #444555=DIRECTION('',(0.,0.,1.)); #444556=DIRECTION('center_axis',(0.532028152553415,0.846726664804292,0.)); #444557=DIRECTION('ref_axis',(-0.846726664804292,0.532028152553415,0.)); #444558=DIRECTION('',(-0.846726664804292,0.532028152553415,0.)); #444559=DIRECTION('',(-0.846726664804292,0.532028152553415,0.)); #444560=DIRECTION('',(0.,0.,1.)); #444561=DIRECTION('center_axis',(0.623490076319886,0.781831263592485,0.)); #444562=DIRECTION('ref_axis',(-0.781831263592485,0.623490076319886,0.)); #444563=DIRECTION('',(-0.781831263592485,0.623490076319886,0.)); #444564=DIRECTION('',(-0.781831263592485,0.623490076319886,0.)); #444565=DIRECTION('',(0.,0.,1.)); #444566=DIRECTION('center_axis',(0.70710920936978,0.707104352994977,0.)); #444567=DIRECTION('ref_axis',(-0.707104352994977,0.70710920936978,0.)); #444568=DIRECTION('',(-0.707104352994977,0.70710920936978,0.)); #444569=DIRECTION('',(-0.707104352994977,0.70710920936978,0.)); #444570=DIRECTION('',(0.,0.,1.)); #444571=DIRECTION('center_axis',(0.781826848571419,0.623495612536995,0.)); #444572=DIRECTION('ref_axis',(-0.623495612536995,0.781826848571419,0.)); #444573=DIRECTION('',(-0.623495612536995,0.781826848571419,0.)); #444574=DIRECTION('',(-0.623495612536995,0.781826848571419,0.)); #444575=DIRECTION('',(0.,0.,1.)); #444576=DIRECTION('center_axis',(0.846733144041887,0.532017840660387,0.)); #444577=DIRECTION('ref_axis',(-0.532017840660387,0.846733144041887,0.)); #444578=DIRECTION('',(-0.532017840660387,0.846733144041887,0.)); #444579=DIRECTION('',(-0.532017840660387,0.846733144041887,0.)); #444580=DIRECTION('',(0.,0.,1.)); #444581=DIRECTION('center_axis',(0.900976172282534,0.433868571089349,0.)); #444582=DIRECTION('ref_axis',(-0.433868571089349,0.900976172282534,0.)); #444583=DIRECTION('',(-0.433868571089349,0.900976172282534,0.)); #444584=DIRECTION('',(-0.433868571089349,0.900976172282534,0.)); #444585=DIRECTION('',(0.,0.,1.)); #444586=DIRECTION('center_axis',(0.943874356011309,0.330304707905045,0.)); #444587=DIRECTION('ref_axis',(-0.330304707905045,0.943874356011309,0.)); #444588=DIRECTION('',(-0.330304707905045,0.943874356011309,0.)); #444589=DIRECTION('',(-0.330304707905045,0.943874356011309,0.)); #444590=DIRECTION('',(0.,0.,1.)); #444591=DIRECTION('center_axis',(0.974927783103216,0.222521499485892,0.)); #444592=DIRECTION('ref_axis',(-0.222521499485892,0.974927783103215,0.)); #444593=DIRECTION('',(-0.222521499485892,0.974927783103215,0.)); #444594=DIRECTION('',(-0.222521499485892,0.974927783103215,0.)); #444595=DIRECTION('',(0.,0.,1.)); #444596=DIRECTION('center_axis',(0.993713488739871,0.111953125442903,0.)); #444597=DIRECTION('ref_axis',(-0.111953125442903,0.993713488739871,0.)); #444598=DIRECTION('',(-0.111953125442903,0.993713488739871,0.)); #444599=DIRECTION('',(-0.111953125442903,0.993713488739871,0.)); #444600=DIRECTION('',(0.,0.,1.)); #444601=DIRECTION('center_axis',(1.,0.,0.)); #444602=DIRECTION('ref_axis',(0.,1.,0.)); #444603=DIRECTION('',(0.,1.,0.)); #444604=DIRECTION('',(0.,1.,0.)); #444605=DIRECTION('',(0.,0.,1.)); #444606=DIRECTION('center_axis',(0.993713488739871,-0.111953125442903,0.)); #444607=DIRECTION('ref_axis',(0.111953125442903,0.993713488739871,0.)); #444608=DIRECTION('',(0.111953125442903,0.993713488739871,0.)); #444609=DIRECTION('',(0.111953125442903,0.993713488739871,0.)); #444610=DIRECTION('',(0.,0.,1.)); #444611=DIRECTION('center_axis',(0.974927783103215,-0.222521499485893,0.)); #444612=DIRECTION('ref_axis',(0.222521499485893,0.974927783103215,0.)); #444613=DIRECTION('',(0.222521499485893,0.974927783103215,0.)); #444614=DIRECTION('',(0.222521499485893,0.974927783103215,0.)); #444615=DIRECTION('',(0.,0.,1.)); #444616=DIRECTION('center_axis',(0.943874356011309,-0.330304707905045,0.)); #444617=DIRECTION('ref_axis',(0.330304707905045,0.943874356011309,0.)); #444618=DIRECTION('',(0.330304707905045,0.943874356011309,0.)); #444619=DIRECTION('',(0.330304707905045,0.943874356011309,0.)); #444620=DIRECTION('',(0.,0.,1.)); #444621=DIRECTION('center_axis',(0.900976172282534,-0.433868571089349,0.)); #444622=DIRECTION('ref_axis',(0.433868571089349,0.900976172282534,0.)); #444623=DIRECTION('',(0.433868571089349,0.900976172282534,0.)); #444624=DIRECTION('',(0.433868571089349,0.900976172282534,0.)); #444625=DIRECTION('',(0.,0.,1.)); #444626=DIRECTION('center_axis',(0.846733144041886,-0.532017840660389,0.)); #444627=DIRECTION('ref_axis',(0.532017840660389,0.846733144041886,0.)); #444628=DIRECTION('',(0.532017840660389,0.846733144041886,0.)); #444629=DIRECTION('',(0.532017840660389,0.846733144041886,0.)); #444630=DIRECTION('',(0.,0.,1.)); #444631=DIRECTION('center_axis',(0.781826848571423,-0.623495612536991,0.)); #444632=DIRECTION('ref_axis',(0.623495612536991,0.781826848571423,0.)); #444633=DIRECTION('',(0.623495612536991,0.781826848571423,0.)); #444634=DIRECTION('',(0.623495612536991,0.781826848571423,0.)); #444635=DIRECTION('',(0.,0.,1.)); #444636=DIRECTION('center_axis',(0.70710920936978,-0.707104352994977,0.)); #444637=DIRECTION('ref_axis',(0.707104352994977,0.70710920936978,0.)); #444638=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #444639=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #444640=DIRECTION('',(0.,0.,1.)); #444641=DIRECTION('center_axis',(0.623490076319886,-0.781831263592485,0.)); #444642=DIRECTION('ref_axis',(0.781831263592485,0.623490076319886,0.)); #444643=DIRECTION('',(0.781831263592485,0.623490076319886,0.)); #444644=DIRECTION('',(0.781831263592485,0.623490076319886,0.)); #444645=DIRECTION('',(0.,0.,1.)); #444646=DIRECTION('center_axis',(0.532028152553409,-0.846726664804296,0.)); #444647=DIRECTION('ref_axis',(0.846726664804296,0.532028152553409,0.)); #444648=DIRECTION('',(0.846726664804296,0.532028152553409,0.)); #444649=DIRECTION('',(0.846726664804296,0.532028152553409,0.)); #444650=DIRECTION('',(0.,0.,1.)); #444651=DIRECTION('center_axis',(0.433866088505888,-0.900977367776018,0.)); #444652=DIRECTION('ref_axis',(0.900977367776017,0.433866088505888,0.)); #444653=DIRECTION('',(0.900977367776017,0.433866088505888,0.)); #444654=DIRECTION('',(0.900977367776017,0.433866088505888,0.)); #444655=DIRECTION('',(0.,0.,1.)); #444656=DIRECTION('center_axis',(0.330292737303213,-0.943878544985927,0.)); #444657=DIRECTION('ref_axis',(0.943878544985927,0.330292737303213,0.)); #444658=DIRECTION('',(0.943878544985927,0.330292737303213,0.)); #444659=DIRECTION('',(0.943878544985927,0.330292737303213,0.)); #444660=DIRECTION('',(0.,0.,1.)); #444661=DIRECTION('center_axis',(0.222535347290571,-0.974924622320241,0.)); #444662=DIRECTION('ref_axis',(0.974924622320241,0.222535347290571,0.)); #444663=DIRECTION('',(0.974924622320241,0.222535347290571,0.)); #444664=DIRECTION('',(0.974924622320241,0.222535347290571,0.)); #444665=DIRECTION('center_axis',(0.,0.,1.)); #444666=DIRECTION('ref_axis',(1.,0.,0.)); #444667=DIRECTION('center_axis',(0.,0.,1.)); #444668=DIRECTION('ref_axis',(1.,0.,0.)); #444669=DIRECTION('center_axis',(0.,1.,0.)); #444670=DIRECTION('ref_axis',(-1.,0.,0.)); #444671=DIRECTION('',(1.,0.,0.)); #444672=DIRECTION('',(0.,0.,1.)); #444673=DIRECTION('',(-1.,0.,0.)); #444674=DIRECTION('',(0.,0.,1.)); #444675=DIRECTION('center_axis',(0.,0.,1.)); #444676=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #444677=DIRECTION('center_axis',(0.,0.,-1.)); #444678=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #444679=DIRECTION('center_axis',(0.,0.,1.)); #444680=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #444681=DIRECTION('',(0.,0.,1.)); #444682=DIRECTION('center_axis',(0.,-1.,0.)); #444683=DIRECTION('ref_axis',(1.,0.,0.)); #444684=DIRECTION('',(-1.,0.,0.)); #444685=DIRECTION('',(1.,0.,0.)); #444686=DIRECTION('',(0.,0.,1.)); #444687=DIRECTION('center_axis',(0.,0.,1.)); #444688=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #444689=DIRECTION('center_axis',(0.,0.,-1.)); #444690=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #444691=DIRECTION('center_axis',(0.,0.,1.)); #444692=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #444693=DIRECTION('center_axis',(0.0871622148811941,-0.996194131832247, 0.)); #444694=DIRECTION('ref_axis',(0.996194131832247,0.0871622148811941,0.)); #444695=DIRECTION('',(0.996194131832247,0.0871622148811941,0.)); #444696=DIRECTION('',(0.,0.,1.)); #444697=DIRECTION('',(0.996194131832247,0.0871622148811941,0.)); #444698=DIRECTION('',(0.,0.,1.)); #444699=DIRECTION('center_axis',(0.,-1.,0.)); #444700=DIRECTION('ref_axis',(1.,0.,0.)); #444701=DIRECTION('',(1.,0.,0.)); #444702=DIRECTION('',(1.,0.,0.)); #444703=DIRECTION('',(0.,0.,1.)); #444704=DIRECTION('center_axis',(-0.0871622148812088,-0.996194131832246, 0.)); #444705=DIRECTION('ref_axis',(0.996194131832246,-0.0871622148812088,0.)); #444706=DIRECTION('',(0.996194131832246,-0.0871622148812088,0.)); #444707=DIRECTION('',(0.996194131832246,-0.0871622148812088,0.)); #444708=DIRECTION('',(0.,0.,1.)); #444709=DIRECTION('center_axis',(-0.173645964707178,-0.984808143214156, 0.)); #444710=DIRECTION('ref_axis',(0.984808143214156,-0.173645964707178,0.)); #444711=DIRECTION('',(0.984808143214156,-0.173645964707178,0.)); #444712=DIRECTION('',(0.984808143214156,-0.173645964707178,0.)); #444713=DIRECTION('',(0.,0.,1.)); #444714=DIRECTION('center_axis',(-0.258821098381114,-0.965925276112388, 0.)); #444715=DIRECTION('ref_axis',(0.965925276112388,-0.258821098381114,0.)); #444716=DIRECTION('',(0.965925276112388,-0.258821098381114,0.)); #444717=DIRECTION('',(0.965925276112388,-0.258821098381114,0.)); #444718=DIRECTION('',(0.,0.,1.)); #444719=DIRECTION('center_axis',(-0.342006639937231,-0.939697535507487, 0.)); #444720=DIRECTION('ref_axis',(0.939697535507487,-0.342006639937231,0.)); #444721=DIRECTION('',(0.939697535507487,-0.342006639937231,0.)); #444722=DIRECTION('',(0.939697535507487,-0.342006639937231,0.)); #444723=DIRECTION('',(0.,0.,1.)); #444724=DIRECTION('center_axis',(-0.422641642689181,-0.906296883954144, 0.)); #444725=DIRECTION('ref_axis',(0.906296883954144,-0.422641642689181,0.)); #444726=DIRECTION('',(0.906296883954144,-0.42264164268918,0.)); #444727=DIRECTION('',(0.906296883954144,-0.42264164268918,0.)); #444728=DIRECTION('',(0.,0.,1.)); #444729=DIRECTION('center_axis',(-0.499984597074525,-0.866034296484975, 0.)); #444730=DIRECTION('ref_axis',(0.866034296484975,-0.499984597074525,0.)); #444731=DIRECTION('',(0.866034296484975,-0.499984597074525,0.)); #444732=DIRECTION('',(0.866034296484975,-0.499984597074525,0.)); #444733=DIRECTION('',(0.,0.,1.)); #444734=DIRECTION('center_axis',(-0.573594705766864,-0.819139251602696, 0.)); #444735=DIRECTION('ref_axis',(0.819139251602696,-0.573594705766864,0.)); #444736=DIRECTION('',(0.819139251602696,-0.573594705766864,0.)); #444737=DIRECTION('',(0.819139251602696,-0.573594705766864,0.)); #444738=DIRECTION('',(0.,0.,1.)); #444739=DIRECTION('center_axis',(-0.642785256870338,-0.766046417360028, 0.)); #444740=DIRECTION('ref_axis',(0.766046417360028,-0.642785256870337,0.)); #444741=DIRECTION('',(0.766046417360028,-0.642785256870337,0.)); #444742=DIRECTION('',(0.766046417360028,-0.642785256870337,0.)); #444743=DIRECTION('',(0.,0.,1.)); #444744=DIRECTION('center_axis',(-0.707106781186491,-0.707106781186604, 0.)); #444745=DIRECTION('ref_axis',(0.707106781186604,-0.707106781186491,0.)); #444746=DIRECTION('',(0.707106781186604,-0.707106781186491,0.)); #444747=DIRECTION('',(0.707106781186604,-0.707106781186491,0.)); #444748=DIRECTION('',(0.,0.,1.)); #444749=DIRECTION('center_axis',(-0.766046417360107,-0.642785256870243, 0.)); #444750=DIRECTION('ref_axis',(0.642785256870243,-0.766046417360107,0.)); #444751=DIRECTION('',(0.642785256870243,-0.766046417360107,0.)); #444752=DIRECTION('',(0.642785256870243,-0.766046417360107,0.)); #444753=DIRECTION('',(0.,0.,1.)); #444754=DIRECTION('center_axis',(-0.819139251602624,-0.573594705766967, 0.)); #444755=DIRECTION('ref_axis',(0.573594705766967,-0.819139251602624,0.)); #444756=DIRECTION('',(0.573594705766967,-0.819139251602624,0.)); #444757=DIRECTION('',(0.573594705766967,-0.819139251602624,0.)); #444758=DIRECTION('',(0.,0.,1.)); #444759=DIRECTION('center_axis',(-0.866034296485038,-0.499984597074416, 0.)); #444760=DIRECTION('ref_axis',(0.499984597074416,-0.866034296485038,0.)); #444761=DIRECTION('',(0.499984597074416,-0.866034296485038,0.)); #444762=DIRECTION('',(0.499984597074416,-0.866034296485038,0.)); #444763=DIRECTION('',(0.,0.,1.)); #444764=DIRECTION('center_axis',(-0.906296883954154,-0.422641642689159, 0.)); #444765=DIRECTION('ref_axis',(0.422641642689159,-0.906296883954154,0.)); #444766=DIRECTION('',(0.422641642689159,-0.906296883954154,0.)); #444767=DIRECTION('',(0.422641642689159,-0.906296883954154,0.)); #444768=DIRECTION('',(0.,0.,1.)); #444769=DIRECTION('center_axis',(-0.939697535507451,-0.342006639937331, 0.)); #444770=DIRECTION('ref_axis',(0.342006639937331,-0.939697535507451,0.)); #444771=DIRECTION('',(0.342006639937331,-0.939697535507451,0.)); #444772=DIRECTION('',(0.342006639937331,-0.939697535507451,0.)); #444773=DIRECTION('',(0.,0.,1.)); #444774=DIRECTION('center_axis',(-0.965925276112388,-0.258821098381114, 0.)); #444775=DIRECTION('ref_axis',(0.258821098381114,-0.965925276112388,0.)); #444776=DIRECTION('',(0.258821098381114,-0.965925276112388,0.)); #444777=DIRECTION('',(0.258821098381114,-0.965925276112388,0.)); #444778=DIRECTION('',(0.,0.,1.)); #444779=DIRECTION('center_axis',(-0.984808143214153,-0.173645964707197, 0.)); #444780=DIRECTION('ref_axis',(0.173645964707197,-0.984808143214152,0.)); #444781=DIRECTION('',(0.173645964707197,-0.984808143214152,0.)); #444782=DIRECTION('',(0.173645964707197,-0.984808143214152,0.)); #444783=DIRECTION('',(0.,0.,1.)); #444784=DIRECTION('center_axis',(-0.996194131832247,-0.087162214881199, 0.)); #444785=DIRECTION('ref_axis',(0.087162214881199,-0.996194131832247,0.)); #444786=DIRECTION('',(0.087162214881199,-0.996194131832247,0.)); #444787=DIRECTION('',(0.087162214881199,-0.996194131832247,0.)); #444788=DIRECTION('',(0.,0.,1.)); #444789=DIRECTION('center_axis',(-1.,0.,0.)); #444790=DIRECTION('ref_axis',(0.,-1.,0.)); #444791=DIRECTION('',(0.,-1.,0.)); #444792=DIRECTION('',(0.,-1.,0.)); #444793=DIRECTION('',(0.,0.,1.)); #444794=DIRECTION('center_axis',(-0.996194131832247,0.087162214881199,0.)); #444795=DIRECTION('ref_axis',(-0.087162214881199,-0.996194131832247,0.)); #444796=DIRECTION('',(-0.087162214881199,-0.996194131832247,0.)); #444797=DIRECTION('',(-0.087162214881199,-0.996194131832247,0.)); #444798=DIRECTION('',(0.,0.,1.)); #444799=DIRECTION('center_axis',(-0.984808143214154,0.173645964707188,0.)); #444800=DIRECTION('ref_axis',(-0.173645964707188,-0.984808143214154,0.)); #444801=DIRECTION('',(-0.173645964707188,-0.984808143214154,0.)); #444802=DIRECTION('',(-0.173645964707188,-0.984808143214154,0.)); #444803=DIRECTION('',(0.,0.,1.)); #444804=DIRECTION('center_axis',(-0.965925276112385,0.258821098381128,0.)); #444805=DIRECTION('ref_axis',(-0.258821098381128,-0.965925276112385,0.)); #444806=DIRECTION('',(-0.258821098381128,-0.965925276112385,0.)); #444807=DIRECTION('',(-0.258821098381128,-0.965925276112385,0.)); #444808=DIRECTION('',(0.,0.,1.)); #444809=DIRECTION('center_axis',(-0.939697535507451,0.342006639937331,0.)); #444810=DIRECTION('ref_axis',(-0.342006639937331,-0.939697535507451,0.)); #444811=DIRECTION('',(-0.342006639937331,-0.939697535507451,0.)); #444812=DIRECTION('',(-0.342006639937331,-0.939697535507451,0.)); #444813=DIRECTION('',(0.,0.,1.)); #444814=DIRECTION('center_axis',(-0.906296883954154,0.422641642689159,0.)); #444815=DIRECTION('ref_axis',(-0.422641642689159,-0.906296883954154,0.)); #444816=DIRECTION('',(-0.422641642689159,-0.906296883954154,0.)); #444817=DIRECTION('',(-0.422641642689159,-0.906296883954154,0.)); #444818=DIRECTION('',(0.,0.,1.)); #444819=DIRECTION('center_axis',(-0.866034296485038,0.499984597074416,0.)); #444820=DIRECTION('ref_axis',(-0.499984597074416,-0.866034296485038,0.)); #444821=DIRECTION('',(-0.499984597074416,-0.866034296485038,0.)); #444822=DIRECTION('',(-0.499984597074416,-0.866034296485038,0.)); #444823=DIRECTION('',(0.,0.,1.)); #444824=DIRECTION('center_axis',(-0.819139251602624,0.573594705766967,0.)); #444825=DIRECTION('ref_axis',(-0.573594705766967,-0.819139251602624,0.)); #444826=DIRECTION('',(-0.573594705766967,-0.819139251602624,0.)); #444827=DIRECTION('',(-0.573594705766967,-0.819139251602624,0.)); #444828=DIRECTION('',(0.,0.,1.)); #444829=DIRECTION('center_axis',(-0.766046417360107,0.642785256870243,0.)); #444830=DIRECTION('ref_axis',(-0.642785256870243,-0.766046417360107,0.)); #444831=DIRECTION('',(-0.642785256870243,-0.766046417360107,0.)); #444832=DIRECTION('',(-0.642785256870243,-0.766046417360107,0.)); #444833=DIRECTION('',(0.,0.,1.)); #444834=DIRECTION('center_axis',(-0.707106781186519,0.707106781186576,0.)); #444835=DIRECTION('ref_axis',(-0.707106781186576,-0.707106781186519,0.)); #444836=DIRECTION('',(-0.707106781186576,-0.707106781186519,0.)); #444837=DIRECTION('',(-0.707106781186576,-0.707106781186519,0.)); #444838=DIRECTION('',(0.,0.,1.)); #444839=DIRECTION('center_axis',(-0.642785256870304,0.766046417360056,0.)); #444840=DIRECTION('ref_axis',(-0.766046417360056,-0.642785256870304,0.)); #444841=DIRECTION('',(-0.766046417360056,-0.642785256870304,0.)); #444842=DIRECTION('',(-0.766046417360056,-0.642785256870304,0.)); #444843=DIRECTION('',(0.,0.,1.)); #444844=DIRECTION('center_axis',(-0.573594705766864,0.819139251602696,0.)); #444845=DIRECTION('ref_axis',(-0.819139251602696,-0.573594705766864,0.)); #444846=DIRECTION('',(-0.819139251602696,-0.573594705766864,0.)); #444847=DIRECTION('',(-0.819139251602696,-0.573594705766864,0.)); #444848=DIRECTION('',(0.,0.,1.)); #444849=DIRECTION('center_axis',(-0.499984597074525,0.866034296484975,0.)); #444850=DIRECTION('ref_axis',(-0.866034296484975,-0.499984597074525,0.)); #444851=DIRECTION('',(-0.866034296484975,-0.499984597074525,0.)); #444852=DIRECTION('',(-0.866034296484975,-0.499984597074525,0.)); #444853=DIRECTION('',(0.,0.,1.)); #444854=DIRECTION('center_axis',(-0.422641642689181,0.906296883954144,0.)); #444855=DIRECTION('ref_axis',(-0.906296883954144,-0.422641642689181,0.)); #444856=DIRECTION('',(-0.906296883954144,-0.42264164268918,0.)); #444857=DIRECTION('',(-0.906296883954144,-0.42264164268918,0.)); #444858=DIRECTION('',(0.,0.,1.)); #444859=DIRECTION('center_axis',(-0.342017875916574,0.93969344605223,0.)); #444860=DIRECTION('ref_axis',(-0.93969344605223,-0.342017875916574,0.)); #444861=DIRECTION('',(-0.93969344605223,-0.342017875916574,0.)); #444862=DIRECTION('',(-0.93969344605223,-0.342017875916574,0.)); #444863=DIRECTION('',(0.,0.,1.)); #444864=DIRECTION('center_axis',(-0.258809226580718,0.965928457100572,0.)); #444865=DIRECTION('ref_axis',(-0.965928457100572,-0.258809226580718,0.)); #444866=DIRECTION('',(-0.965928457100572,-0.258809226580718,0.)); #444867=DIRECTION('',(-0.965928457100572,-0.258809226580718,0.)); #444868=DIRECTION('',(0.,0.,1.)); #444869=DIRECTION('center_axis',(-0.173645964707178,0.984808143214156,0.)); #444870=DIRECTION('ref_axis',(-0.984808143214156,-0.173645964707178,0.)); #444871=DIRECTION('',(-0.984808143214156,-0.173645964707178,0.)); #444872=DIRECTION('',(-0.984808143214156,-0.173645964707178,0.)); #444873=DIRECTION('',(0.,0.,1.)); #444874=DIRECTION('center_axis',(-0.0871622148812649,0.996194131832241, 0.)); #444875=DIRECTION('ref_axis',(-0.996194131832241,-0.0871622148812649,0.)); #444876=DIRECTION('',(-0.996194131832241,-0.0871622148812649,0.)); #444877=DIRECTION('',(-0.996194131832241,-0.0871622148812649,0.)); #444878=DIRECTION('',(0.,0.,1.)); #444879=DIRECTION('center_axis',(0.,1.,0.)); #444880=DIRECTION('ref_axis',(-1.,0.,0.)); #444881=DIRECTION('',(-1.,0.,0.)); #444882=DIRECTION('',(-1.,0.,0.)); #444883=DIRECTION('',(0.,0.,1.)); #444884=DIRECTION('center_axis',(0.0871622148812502,0.996194131832242,0.)); #444885=DIRECTION('ref_axis',(-0.996194131832242,0.0871622148812502,0.)); #444886=DIRECTION('',(-0.996194131832242,0.0871622148812501,0.)); #444887=DIRECTION('',(-0.996194131832242,0.0871622148812501,0.)); #444888=DIRECTION('',(0.,0.,1.)); #444889=DIRECTION('center_axis',(0.173645964707197,0.984808143214153,0.)); #444890=DIRECTION('ref_axis',(-0.984808143214152,0.173645964707197,0.)); #444891=DIRECTION('',(-0.984808143214152,0.173645964707197,0.)); #444892=DIRECTION('',(-0.984808143214152,0.173645964707197,0.)); #444893=DIRECTION('',(0.,0.,1.)); #444894=DIRECTION('center_axis',(0.258809226580718,0.965928457100572,0.)); #444895=DIRECTION('ref_axis',(-0.965928457100572,0.258809226580718,0.)); #444896=DIRECTION('',(-0.965928457100572,0.258809226580718,0.)); #444897=DIRECTION('',(-0.965928457100572,0.258809226580718,0.)); #444898=DIRECTION('',(0.,0.,1.)); #444899=DIRECTION('center_axis',(0.342017875916592,0.939693446052223,0.)); #444900=DIRECTION('ref_axis',(-0.939693446052223,0.342017875916592,0.)); #444901=DIRECTION('',(-0.939693446052223,0.342017875916592,0.)); #444902=DIRECTION('',(-0.939693446052223,0.342017875916592,0.)); #444903=DIRECTION('',(0.,0.,1.)); #444904=DIRECTION('center_axis',(0.422641642689116,0.906296883954174,0.)); #444905=DIRECTION('ref_axis',(-0.906296883954174,0.422641642689116,0.)); #444906=DIRECTION('',(-0.906296883954174,0.422641642689116,0.)); #444907=DIRECTION('',(-0.906296883954174,0.422641642689116,0.)); #444908=DIRECTION('',(0.,0.,1.)); #444909=DIRECTION('center_axis',(0.499984597074525,0.866034296484975,0.)); #444910=DIRECTION('ref_axis',(-0.866034296484975,0.499984597074525,0.)); #444911=DIRECTION('',(-0.866034296484975,0.499984597074525,0.)); #444912=DIRECTION('',(-0.866034296484975,0.499984597074525,0.)); #444913=DIRECTION('',(0.,0.,1.)); #444914=DIRECTION('center_axis',(0.573594705766918,0.819139251602658,0.)); #444915=DIRECTION('ref_axis',(-0.819139251602658,0.573594705766918,0.)); #444916=DIRECTION('',(-0.819139251602658,0.573594705766918,0.)); #444917=DIRECTION('',(-0.819139251602658,0.573594705766918,0.)); #444918=DIRECTION('',(0.,0.,1.)); #444919=DIRECTION('center_axis',(0.642785256870249,0.766046417360103,0.)); #444920=DIRECTION('ref_axis',(-0.766046417360103,0.642785256870249,0.)); #444921=DIRECTION('',(-0.766046417360103,0.642785256870249,0.)); #444922=DIRECTION('',(-0.766046417360103,0.642785256870249,0.)); #444923=DIRECTION('',(0.,0.,1.)); #444924=DIRECTION('center_axis',(0.707106781186576,0.707106781186519,0.)); #444925=DIRECTION('ref_axis',(-0.707106781186519,0.707106781186576,0.)); #444926=DIRECTION('',(-0.707106781186519,0.707106781186576,0.)); #444927=DIRECTION('',(-0.707106781186519,0.707106781186576,0.)); #444928=DIRECTION('',(0.,0.,1.)); #444929=DIRECTION('center_axis',(0.766046417360051,0.64278525687031,0.)); #444930=DIRECTION('ref_axis',(-0.64278525687031,0.766046417360051,0.)); #444931=DIRECTION('',(-0.64278525687031,0.766046417360051,0.)); #444932=DIRECTION('',(-0.64278525687031,0.766046417360051,0.)); #444933=DIRECTION('',(0.,0.,1.)); #444934=DIRECTION('center_axis',(0.81913925160265,0.573594705766929,0.)); #444935=DIRECTION('ref_axis',(-0.573594705766929,0.81913925160265,0.)); #444936=DIRECTION('',(-0.573594705766929,0.81913925160265,0.)); #444937=DIRECTION('',(-0.573594705766929,0.81913925160265,0.)); #444938=DIRECTION('',(0.,0.,1.)); #444939=DIRECTION('center_axis',(0.866034296485038,0.499984597074416,0.)); #444940=DIRECTION('ref_axis',(-0.499984597074416,0.866034296485038,0.)); #444941=DIRECTION('',(-0.499984597074416,0.866034296485038,0.)); #444942=DIRECTION('',(-0.499984597074416,0.866034296485038,0.)); #444943=DIRECTION('',(0.,0.,1.)); #444944=DIRECTION('center_axis',(0.906296883954132,0.422641642689205,0.)); #444945=DIRECTION('ref_axis',(-0.422641642689205,0.906296883954132,0.)); #444946=DIRECTION('',(-0.422641642689205,0.906296883954132,0.)); #444947=DIRECTION('',(-0.422641642689205,0.906296883954132,0.)); #444948=DIRECTION('',(0.,0.,1.)); #444949=DIRECTION('center_axis',(0.939693446052248,0.342017875916524,0.)); #444950=DIRECTION('ref_axis',(-0.342017875916524,0.939693446052248,0.)); #444951=DIRECTION('',(-0.342017875916524,0.939693446052248,0.)); #444952=DIRECTION('',(-0.342017875916524,0.939693446052248,0.)); #444953=DIRECTION('',(0.,0.,1.)); #444954=DIRECTION('center_axis',(0.965928457100554,0.258809226580784,0.)); #444955=DIRECTION('ref_axis',(-0.258809226580784,0.965928457100554,0.)); #444956=DIRECTION('',(-0.258809226580784,0.965928457100554,0.)); #444957=DIRECTION('',(-0.258809226580784,0.965928457100554,0.)); #444958=DIRECTION('',(0.,0.,1.)); #444959=DIRECTION('center_axis',(0.984808143214154,0.173645964707188,0.)); #444960=DIRECTION('ref_axis',(-0.173645964707188,0.984808143214154,0.)); #444961=DIRECTION('',(-0.173645964707188,0.984808143214154,0.)); #444962=DIRECTION('',(-0.173645964707188,0.984808143214154,0.)); #444963=DIRECTION('',(0.,0.,1.)); #444964=DIRECTION('center_axis',(0.996194131832247,0.087162214881199,0.)); #444965=DIRECTION('ref_axis',(-0.087162214881199,0.996194131832247,0.)); #444966=DIRECTION('',(-0.087162214881199,0.996194131832247,0.)); #444967=DIRECTION('',(-0.087162214881199,0.996194131832247,0.)); #444968=DIRECTION('',(0.,0.,1.)); #444969=DIRECTION('center_axis',(1.,0.,0.)); #444970=DIRECTION('ref_axis',(0.,1.,0.)); #444971=DIRECTION('',(0.,1.,0.)); #444972=DIRECTION('',(0.,1.,0.)); #444973=DIRECTION('',(0.,0.,1.)); #444974=DIRECTION('center_axis',(0.996194131832247,-0.087162214881199,0.)); #444975=DIRECTION('ref_axis',(0.087162214881199,0.996194131832247,0.)); #444976=DIRECTION('',(0.087162214881199,0.996194131832247,0.)); #444977=DIRECTION('',(0.087162214881199,0.996194131832247,0.)); #444978=DIRECTION('',(0.,0.,1.)); #444979=DIRECTION('center_axis',(0.984808143214153,-0.173645964707197,0.)); #444980=DIRECTION('ref_axis',(0.173645964707197,0.984808143214152,0.)); #444981=DIRECTION('',(0.173645964707197,0.984808143214152,0.)); #444982=DIRECTION('',(0.173645964707197,0.984808143214152,0.)); #444983=DIRECTION('',(0.,0.,1.)); #444984=DIRECTION('center_axis',(0.965928457100558,-0.25880922658077,0.)); #444985=DIRECTION('ref_axis',(0.25880922658077,0.965928457100558,0.)); #444986=DIRECTION('',(0.25880922658077,0.965928457100558,0.)); #444987=DIRECTION('',(0.25880922658077,0.965928457100558,0.)); #444988=DIRECTION('',(0.,0.,1.)); #444989=DIRECTION('center_axis',(0.939693446052248,-0.342017875916524,0.)); #444990=DIRECTION('ref_axis',(0.342017875916524,0.939693446052248,0.)); #444991=DIRECTION('',(0.342017875916524,0.939693446052248,0.)); #444992=DIRECTION('',(0.342017875916524,0.939693446052248,0.)); #444993=DIRECTION('',(0.,0.,1.)); #444994=DIRECTION('center_axis',(0.906296883954132,-0.422641642689205,0.)); #444995=DIRECTION('ref_axis',(0.422641642689205,0.906296883954132,0.)); #444996=DIRECTION('',(0.422641642689205,0.906296883954132,0.)); #444997=DIRECTION('',(0.422641642689205,0.906296883954132,0.)); #444998=DIRECTION('',(0.,0.,1.)); #444999=DIRECTION('center_axis',(0.866034296485038,-0.499984597074416,0.)); #445000=DIRECTION('ref_axis',(0.499984597074416,0.866034296485038,0.)); #445001=DIRECTION('',(0.499984597074416,0.866034296485038,0.)); #445002=DIRECTION('',(0.499984597074416,0.866034296485038,0.)); #445003=DIRECTION('',(0.,0.,1.)); #445004=DIRECTION('center_axis',(0.81913925160265,-0.573594705766929,0.)); #445005=DIRECTION('ref_axis',(0.573594705766929,0.81913925160265,0.)); #445006=DIRECTION('',(0.573594705766929,0.81913925160265,0.)); #445007=DIRECTION('',(0.573594705766929,0.81913925160265,0.)); #445008=DIRECTION('',(0.,0.,1.)); #445009=DIRECTION('center_axis',(0.766046417360051,-0.64278525687031,0.)); #445010=DIRECTION('ref_axis',(0.64278525687031,0.766046417360051,0.)); #445011=DIRECTION('',(0.64278525687031,0.766046417360051,0.)); #445012=DIRECTION('',(0.64278525687031,0.766046417360051,0.)); #445013=DIRECTION('',(0.,0.,1.)); #445014=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #445015=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #445016=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #445017=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #445018=DIRECTION('',(0.,0.,1.)); #445019=DIRECTION('center_axis',(0.642785256870282,-0.766046417360075,0.)); #445020=DIRECTION('ref_axis',(0.766046417360075,0.642785256870282,0.)); #445021=DIRECTION('',(0.766046417360075,0.642785256870282,0.)); #445022=DIRECTION('',(0.766046417360075,0.642785256870282,0.)); #445023=DIRECTION('',(0.,0.,1.)); #445024=DIRECTION('center_axis',(0.573594705766918,-0.819139251602658,0.)); #445025=DIRECTION('ref_axis',(0.819139251602658,0.573594705766918,0.)); #445026=DIRECTION('',(0.819139251602658,0.573594705766918,0.)); #445027=DIRECTION('',(0.819139251602658,0.573594705766918,0.)); #445028=DIRECTION('',(0.,0.,1.)); #445029=DIRECTION('center_axis',(0.499984597074525,-0.866034296484975,0.)); #445030=DIRECTION('ref_axis',(0.866034296484975,0.499984597074525,0.)); #445031=DIRECTION('',(0.866034296484975,0.499984597074525,0.)); #445032=DIRECTION('',(0.866034296484975,0.499984597074525,0.)); #445033=DIRECTION('',(0.,0.,1.)); #445034=DIRECTION('center_axis',(0.422641642689116,-0.906296883954174,0.)); #445035=DIRECTION('ref_axis',(0.906296883954174,0.422641642689116,0.)); #445036=DIRECTION('',(0.906296883954174,0.422641642689116,0.)); #445037=DIRECTION('',(0.906296883954174,0.422641642689116,0.)); #445038=DIRECTION('',(0.,0.,1.)); #445039=DIRECTION('center_axis',(0.342006639937249,-0.939697535507481,0.)); #445040=DIRECTION('ref_axis',(0.939697535507481,0.342006639937249,0.)); #445041=DIRECTION('',(0.939697535507481,0.342006639937249,0.)); #445042=DIRECTION('',(0.939697535507481,0.342006639937249,0.)); #445043=DIRECTION('',(0.,0.,1.)); #445044=DIRECTION('center_axis',(0.258821098381114,-0.965925276112388,0.)); #445045=DIRECTION('ref_axis',(0.965925276112388,0.258821098381114,0.)); #445046=DIRECTION('',(0.965925276112388,0.258821098381114,0.)); #445047=DIRECTION('',(0.965925276112388,0.258821098381114,0.)); #445048=DIRECTION('',(0.,0.,1.)); #445049=DIRECTION('center_axis',(0.173645964707197,-0.984808143214153,0.)); #445050=DIRECTION('ref_axis',(0.984808143214152,0.173645964707197,0.)); #445051=DIRECTION('',(0.984808143214152,0.173645964707197,0.)); #445052=DIRECTION('',(0.984808143214152,0.173645964707197,0.)); #445053=DIRECTION('center_axis',(0.,0.,1.)); #445054=DIRECTION('ref_axis',(1.,0.,0.)); #445055=DIRECTION('center_axis',(0.,0.,1.)); #445056=DIRECTION('ref_axis',(1.,0.,0.)); #445057=DIRECTION('center_axis',(0.,0.,1.)); #445058=DIRECTION('ref_axis',(1.,0.,0.)); #445059=DIRECTION('center_axis',(0.,0.,1.)); #445060=DIRECTION('ref_axis',(1.,0.,0.)); #445061=DIRECTION('',(0.,0.,1.)); #445062=DIRECTION('center_axis',(0.,0.,-1.)); #445063=DIRECTION('ref_axis',(1.,0.,0.)); #445064=DIRECTION('center_axis',(0.0980028398661675,-0.995186135041162, 0.)); #445065=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661675,0.)); #445066=DIRECTION('',(0.995186135041162,0.0980028398661675,0.)); #445067=DIRECTION('',(0.,0.,1.)); #445068=DIRECTION('',(0.995186135041162,0.0980028398661675,0.)); #445069=DIRECTION('',(0.,0.,1.)); #445070=DIRECTION('center_axis',(0.,0.,1.)); #445071=DIRECTION('ref_axis',(-0.146731201566057,0.989176402107825,0.)); #445072=DIRECTION('center_axis',(0.,0.,1.)); #445073=DIRECTION('ref_axis',(-0.146731201566057,0.989176402107825,0.)); #445074=DIRECTION('center_axis',(0.,0.,1.)); #445075=DIRECTION('ref_axis',(-0.146731201566057,0.989176402107825,0.)); #445076=DIRECTION('',(0.,0.,1.)); #445077=DIRECTION('center_axis',(0.,0.,1.)); #445078=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #445079=DIRECTION('center_axis',(0.,0.,1.)); #445080=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #445081=DIRECTION('center_axis',(0.,0.,1.)); #445082=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #445083=DIRECTION('center_axis',(0.,0.,1.)); #445084=DIRECTION('ref_axis',(1.,0.,0.)); #445085=DIRECTION('center_axis',(0.,0.,1.)); #445086=DIRECTION('ref_axis',(1.,0.,0.)); #445087=DIRECTION('center_axis',(0.,0.,1.)); #445088=DIRECTION('ref_axis',(1.,0.,0.)); #445089=DIRECTION('center_axis',(0.,0.,1.)); #445090=DIRECTION('ref_axis',(1.,0.,0.)); #445091=DIRECTION('',(0.,0.,1.)); #445092=DIRECTION('center_axis',(0.,0.,-1.)); #445093=DIRECTION('ref_axis',(1.,0.,0.)); #445094=DIRECTION('center_axis',(0.0979988322081603,-0.995186529694729, 0.)); #445095=DIRECTION('ref_axis',(0.995186529694729,0.0979988322081603,0.)); #445096=DIRECTION('',(0.995186529694729,0.0979988322081603,0.)); #445097=DIRECTION('',(0.,0.,1.)); #445098=DIRECTION('',(0.995186529694729,0.0979988322081603,0.)); #445099=DIRECTION('',(0.,0.,1.)); #445100=DIRECTION('center_axis',(0.,0.,1.)); #445101=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #445102=DIRECTION('center_axis',(0.,0.,1.)); #445103=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #445104=DIRECTION('center_axis',(0.,0.,1.)); #445105=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #445106=DIRECTION('',(0.,0.,1.)); #445107=DIRECTION('center_axis',(0.,0.,1.)); #445108=DIRECTION('ref_axis',(0.146731885739874,-0.98917630061947,0.)); #445109=DIRECTION('center_axis',(0.,0.,1.)); #445110=DIRECTION('ref_axis',(0.146731885739874,-0.98917630061947,0.)); #445111=DIRECTION('center_axis',(0.,0.,1.)); #445112=DIRECTION('ref_axis',(0.146731885739874,-0.98917630061947,0.)); #445113=DIRECTION('center_axis',(0.,0.,1.)); #445114=DIRECTION('ref_axis',(1.,0.,0.)); #445115=DIRECTION('center_axis',(0.,0.,1.)); #445116=DIRECTION('ref_axis',(1.,0.,0.)); #445117=DIRECTION('center_axis',(1.,0.,0.)); #445118=DIRECTION('ref_axis',(0.,1.,0.)); #445119=DIRECTION('',(0.,-1.,0.)); #445120=DIRECTION('',(0.,0.,1.)); #445121=DIRECTION('',(0.,1.,0.)); #445122=DIRECTION('',(0.,0.,1.)); #445123=DIRECTION('center_axis',(0.,0.,1.)); #445124=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #445125=DIRECTION('center_axis',(0.,0.,-1.)); #445126=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #445127=DIRECTION('center_axis',(0.,0.,1.)); #445128=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #445129=DIRECTION('',(0.,0.,1.)); #445130=DIRECTION('center_axis',(-1.,0.,0.)); #445131=DIRECTION('ref_axis',(0.,-1.,0.)); #445132=DIRECTION('',(0.,1.,0.)); #445133=DIRECTION('',(0.,-1.,0.)); #445134=DIRECTION('',(0.,0.,1.)); #445135=DIRECTION('center_axis',(0.,0.,1.)); #445136=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #445137=DIRECTION('center_axis',(0.,0.,-1.)); #445138=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #445139=DIRECTION('center_axis',(0.,0.,1.)); #445140=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #445141=DIRECTION('center_axis',(0.11194583119892,-0.993714310492298,0.)); #445142=DIRECTION('ref_axis',(0.993714310492298,0.11194583119892,0.)); #445143=DIRECTION('',(0.993714310492298,0.11194583119892,0.)); #445144=DIRECTION('',(0.,0.,1.)); #445145=DIRECTION('',(0.993714310492298,0.11194583119892,0.)); #445146=DIRECTION('',(0.,0.,1.)); #445147=DIRECTION('center_axis',(0.,-1.,0.)); #445148=DIRECTION('ref_axis',(1.,0.,0.)); #445149=DIRECTION('',(1.,0.,0.)); #445150=DIRECTION('',(1.,0.,0.)); #445151=DIRECTION('',(0.,0.,1.)); #445152=DIRECTION('center_axis',(-0.111945831198928,-0.993714310492297, 0.)); #445153=DIRECTION('ref_axis',(0.993714310492297,-0.111945831198928,0.)); #445154=DIRECTION('',(0.993714310492297,-0.111945831198928,0.)); #445155=DIRECTION('',(0.993714310492297,-0.111945831198928,0.)); #445156=DIRECTION('',(0.,0.,1.)); #445157=DIRECTION('center_axis',(-0.222535347290555,-0.974924622320245, 0.)); #445158=DIRECTION('ref_axis',(0.974924622320245,-0.222535347290555,0.)); #445159=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #445160=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #445161=DIRECTION('',(0.,0.,1.)); #445162=DIRECTION('center_axis',(-0.330292737303265,-0.943878544985909, 0.)); #445163=DIRECTION('ref_axis',(0.943878544985909,-0.330292737303265,0.)); #445164=DIRECTION('',(0.943878544985909,-0.330292737303265,0.)); #445165=DIRECTION('',(0.943878544985909,-0.330292737303265,0.)); #445166=DIRECTION('',(0.,0.,1.)); #445167=DIRECTION('center_axis',(-0.433867402513781,-0.900976735013699, 0.)); #445168=DIRECTION('ref_axis',(0.900976735013699,-0.433867402513781,0.)); #445169=DIRECTION('',(0.900976735013699,-0.433867402513781,0.)); #445170=DIRECTION('',(0.900976735013699,-0.433867402513781,0.)); #445171=DIRECTION('',(0.,0.,1.)); #445172=DIRECTION('center_axis',(-0.532026991963584,-0.846727394042605, 0.)); #445173=DIRECTION('ref_axis',(0.846727394042605,-0.532026991963584,0.)); #445174=DIRECTION('',(0.846727394042605,-0.532026991963583,0.)); #445175=DIRECTION('',(0.846727394042605,-0.532026991963583,0.)); #445176=DIRECTION('',(0.,0.,1.)); #445177=DIRECTION('center_axis',(-0.623490076319921,-0.781831263592457, 0.)); #445178=DIRECTION('ref_axis',(0.781831263592457,-0.623490076319921,0.)); #445179=DIRECTION('',(0.781831263592457,-0.623490076319921,0.)); #445180=DIRECTION('',(0.781831263592457,-0.623490076319921,0.)); #445181=DIRECTION('',(0.,0.,1.)); #445182=DIRECTION('center_axis',(-0.707109209369744,-0.707104352995013, 0.)); #445183=DIRECTION('ref_axis',(0.707104352995013,-0.707109209369744,0.)); #445184=DIRECTION('',(0.707104352995013,-0.707109209369744,0.)); #445185=DIRECTION('',(0.707104352995013,-0.707109209369744,0.)); #445186=DIRECTION('',(0.,0.,1.)); #445187=DIRECTION('center_axis',(-0.781826848571419,-0.623495612536995, 0.)); #445188=DIRECTION('ref_axis',(0.623495612536995,-0.781826848571419,0.)); #445189=DIRECTION('',(0.623495612536995,-0.781826848571419,0.)); #445190=DIRECTION('',(0.623495612536995,-0.781826848571419,0.)); #445191=DIRECTION('',(0.,0.,1.)); #445192=DIRECTION('center_axis',(-0.846733144041855,-0.532017840660439, 0.)); #445193=DIRECTION('ref_axis',(0.532017840660439,-0.846733144041855,0.)); #445194=DIRECTION('',(0.532017840660439,-0.846733144041855,0.)); #445195=DIRECTION('',(0.532017840660439,-0.846733144041855,0.)); #445196=DIRECTION('',(0.,0.,1.)); #445197=DIRECTION('center_axis',(-0.900976172282534,-0.433868571089349, 0.)); #445198=DIRECTION('ref_axis',(0.433868571089349,-0.900976172282534,0.)); #445199=DIRECTION('',(0.433868571089349,-0.900976172282534,0.)); #445200=DIRECTION('',(0.433868571089349,-0.900976172282534,0.)); #445201=DIRECTION('',(0.,0.,1.)); #445202=DIRECTION('center_axis',(-0.943879402871126,-0.330290285711896, 0.)); #445203=DIRECTION('ref_axis',(0.330290285711896,-0.943879402871126,0.)); #445204=DIRECTION('',(0.330290285711896,-0.943879402871126,0.)); #445205=DIRECTION('',(0.330290285711896,-0.943879402871126,0.)); #445206=DIRECTION('',(0.,0.,1.)); #445207=DIRECTION('center_axis',(-0.974924271111259,-0.222536885927209, 0.)); #445208=DIRECTION('ref_axis',(0.222536885927209,-0.974924271111259,0.)); #445209=DIRECTION('',(0.222536885927209,-0.974924271111259,0.)); #445210=DIRECTION('',(0.222536885927209,-0.974924271111259,0.)); #445211=DIRECTION('',(0.,0.,1.)); #445212=DIRECTION('center_axis',(-0.993713488739879,-0.111953125442832, 0.)); #445213=DIRECTION('ref_axis',(0.111953125442832,-0.993713488739879,0.)); #445214=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #445215=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #445216=DIRECTION('',(0.,0.,1.)); #445217=DIRECTION('center_axis',(-1.,0.,0.)); #445218=DIRECTION('ref_axis',(0.,-1.,0.)); #445219=DIRECTION('',(0.,-1.,0.)); #445220=DIRECTION('',(0.,-1.,0.)); #445221=DIRECTION('',(0.,0.,1.)); #445222=DIRECTION('center_axis',(-0.993713488739879,0.111953125442832,0.)); #445223=DIRECTION('ref_axis',(-0.111953125442832,-0.993713488739879,0.)); #445224=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #445225=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #445226=DIRECTION('',(0.,0.,1.)); #445227=DIRECTION('center_axis',(-0.97492427111126,0.222536885927205,0.)); #445228=DIRECTION('ref_axis',(-0.222536885927205,-0.97492427111126,0.)); #445229=DIRECTION('',(-0.222536885927205,-0.97492427111126,0.)); #445230=DIRECTION('',(-0.222536885927205,-0.97492427111126,0.)); #445231=DIRECTION('',(0.,0.,1.)); #445232=DIRECTION('center_axis',(-0.943879579471806,0.330289781034968,0.)); #445233=DIRECTION('ref_axis',(-0.330289781034968,-0.943879579471806,0.)); #445234=DIRECTION('',(-0.330289781034968,-0.943879579471806,0.)); #445235=DIRECTION('',(-0.330289781034968,-0.943879579471806,0.)); #445236=DIRECTION('',(0.,0.,1.)); #445237=DIRECTION('center_axis',(-0.900975867568739,0.433869203860746,0.)); #445238=DIRECTION('ref_axis',(-0.433869203860746,-0.900975867568739,0.)); #445239=DIRECTION('',(-0.433869203860746,-0.900975867568739,0.)); #445240=DIRECTION('',(-0.433869203860746,-0.900975867568739,0.)); #445241=DIRECTION('',(0.,0.,1.)); #445242=DIRECTION('center_axis',(-0.846733144041855,0.532017840660439,0.)); #445243=DIRECTION('ref_axis',(-0.532017840660439,-0.846733144041855,0.)); #445244=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #445245=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #445246=DIRECTION('',(0.,0.,1.)); #445247=DIRECTION('center_axis',(-0.781827477872105,0.623494823430109,0.)); #445248=DIRECTION('ref_axis',(-0.623494823430109,-0.781827477872105,0.)); #445249=DIRECTION('',(-0.623494823430109,-0.781827477872105,0.)); #445250=DIRECTION('',(-0.623494823430109,-0.781827477872105,0.)); #445251=DIRECTION('',(0.,0.,1.)); #445252=DIRECTION('center_axis',(-0.707108399978115,0.707105162391274,0.)); #445253=DIRECTION('ref_axis',(-0.707105162391274,-0.707108399978115,0.)); #445254=DIRECTION('',(-0.707105162391274,-0.707108399978115,0.)); #445255=DIRECTION('',(-0.707105162391274,-0.707108399978115,0.)); #445256=DIRECTION('',(0.,0.,1.)); #445257=DIRECTION('center_axis',(-0.623490076319921,0.781831263592457,0.)); #445258=DIRECTION('ref_axis',(-0.781831263592457,-0.623490076319921,0.)); #445259=DIRECTION('',(-0.781831263592457,-0.623490076319921,0.)); #445260=DIRECTION('',(-0.781831263592457,-0.623490076319921,0.)); #445261=DIRECTION('',(0.,0.,1.)); #445262=DIRECTION('center_axis',(-0.532028152553409,0.846726664804296,0.)); #445263=DIRECTION('ref_axis',(-0.846726664804296,-0.532028152553409,0.)); #445264=DIRECTION('',(-0.846726664804296,-0.532028152553409,0.)); #445265=DIRECTION('',(-0.846726664804296,-0.532028152553409,0.)); #445266=DIRECTION('',(0.,0.,1.)); #445267=DIRECTION('center_axis',(-0.43386608850586,0.900977367776031,0.)); #445268=DIRECTION('ref_axis',(-0.900977367776031,-0.43386608850586,0.)); #445269=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #445270=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #445271=DIRECTION('',(0.,0.,1.)); #445272=DIRECTION('center_axis',(-0.330292737303249,0.943878544985914,0.)); #445273=DIRECTION('ref_axis',(-0.943878544985914,-0.330292737303249,0.)); #445274=DIRECTION('',(-0.943878544985914,-0.330292737303249,0.)); #445275=DIRECTION('',(-0.943878544985914,-0.330292737303249,0.)); #445276=DIRECTION('',(0.,0.,1.)); #445277=DIRECTION('center_axis',(-0.222535347290555,0.974924622320245,0.)); #445278=DIRECTION('ref_axis',(-0.974924622320245,-0.222535347290555,0.)); #445279=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #445280=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #445281=DIRECTION('',(0.,0.,1.)); #445282=DIRECTION('center_axis',(-0.111945831198946,0.993714310492295,0.)); #445283=DIRECTION('ref_axis',(-0.993714310492295,-0.111945831198946,0.)); #445284=DIRECTION('',(-0.993714310492295,-0.111945831198946,0.)); #445285=DIRECTION('',(-0.993714310492295,-0.111945831198946,0.)); #445286=DIRECTION('',(0.,0.,1.)); #445287=DIRECTION('center_axis',(0.,1.,0.)); #445288=DIRECTION('ref_axis',(-1.,0.,0.)); #445289=DIRECTION('',(-1.,0.,0.)); #445290=DIRECTION('',(-1.,0.,0.)); #445291=DIRECTION('',(0.,0.,1.)); #445292=DIRECTION('center_axis',(0.111945831198938,0.993714310492296,0.)); #445293=DIRECTION('ref_axis',(-0.993714310492296,0.111945831198938,0.)); #445294=DIRECTION('',(-0.993714310492296,0.111945831198938,0.)); #445295=DIRECTION('',(-0.993714310492296,0.111945831198938,0.)); #445296=DIRECTION('',(0.,0.,1.)); #445297=DIRECTION('center_axis',(0.222535347290587,0.974924622320238,0.)); #445298=DIRECTION('ref_axis',(-0.974924622320238,0.222535347290587,0.)); #445299=DIRECTION('',(-0.974924622320238,0.222535347290587,0.)); #445300=DIRECTION('',(-0.974924622320238,0.222535347290587,0.)); #445301=DIRECTION('',(0.,0.,1.)); #445302=DIRECTION('center_axis',(0.330292737303205,0.94387854498593,0.)); #445303=DIRECTION('ref_axis',(-0.94387854498593,0.330292737303205,0.)); #445304=DIRECTION('',(-0.94387854498593,0.330292737303205,0.)); #445305=DIRECTION('',(-0.94387854498593,0.330292737303205,0.)); #445306=DIRECTION('',(0.,0.,1.)); #445307=DIRECTION('center_axis',(0.433866088505888,0.900977367776018,0.)); #445308=DIRECTION('ref_axis',(-0.900977367776017,0.433866088505888,0.)); #445309=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #445310=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #445311=DIRECTION('',(0.,0.,1.)); #445312=DIRECTION('center_axis',(0.532028152553376,0.846726664804316,0.)); #445313=DIRECTION('ref_axis',(-0.846726664804316,0.532028152553376,0.)); #445314=DIRECTION('',(-0.846726664804316,0.532028152553376,0.)); #445315=DIRECTION('',(-0.846726664804316,0.532028152553376,0.)); #445316=DIRECTION('',(0.,0.,1.)); #445317=DIRECTION('center_axis',(0.623490076319921,0.781831263592457,0.)); #445318=DIRECTION('ref_axis',(-0.781831263592457,0.623490076319921,0.)); #445319=DIRECTION('',(-0.781831263592457,0.623490076319921,0.)); #445320=DIRECTION('',(-0.781831263592457,0.623490076319921,0.)); #445321=DIRECTION('',(0.,0.,1.)); #445322=DIRECTION('center_axis',(0.707108399978151,0.707105162391238,0.)); #445323=DIRECTION('ref_axis',(-0.707105162391238,0.707108399978151,0.)); #445324=DIRECTION('',(-0.707105162391238,0.707108399978151,0.)); #445325=DIRECTION('',(-0.707105162391238,0.707108399978151,0.)); #445326=DIRECTION('',(0.,0.,1.)); #445327=DIRECTION('center_axis',(0.78182747787207,0.623494823430153,0.)); #445328=DIRECTION('ref_axis',(-0.623494823430153,0.78182747787207,0.)); #445329=DIRECTION('',(-0.623494823430153,0.78182747787207,0.)); #445330=DIRECTION('',(-0.623494823430153,0.78182747787207,0.)); #445331=DIRECTION('',(0.,0.,1.)); #445332=DIRECTION('center_axis',(0.846733144041855,0.532017840660439,0.)); #445333=DIRECTION('ref_axis',(-0.532017840660439,0.846733144041855,0.)); #445334=DIRECTION('',(-0.532017840660439,0.846733144041855,0.)); #445335=DIRECTION('',(-0.532017840660439,0.846733144041855,0.)); #445336=DIRECTION('',(0.,0.,1.)); #445337=DIRECTION('center_axis',(0.900975867568796,0.433869203860629,0.)); #445338=DIRECTION('ref_axis',(-0.433869203860629,0.900975867568796,0.)); #445339=DIRECTION('',(-0.433869203860629,0.900975867568796,0.)); #445340=DIRECTION('',(-0.433869203860629,0.900975867568796,0.)); #445341=DIRECTION('',(0.,0.,1.)); #445342=DIRECTION('center_axis',(0.943874532626424,0.3303042032116,0.)); #445343=DIRECTION('ref_axis',(-0.3303042032116,0.943874532626424,0.)); #445344=DIRECTION('',(-0.3303042032116,0.943874532626424,0.)); #445345=DIRECTION('',(-0.3303042032116,0.943874532626424,0.)); #445346=DIRECTION('',(0.,0.,1.)); #445347=DIRECTION('center_axis',(0.974927783103231,0.222521499485823,0.)); #445348=DIRECTION('ref_axis',(-0.222521499485823,0.974927783103231,0.)); #445349=DIRECTION('',(-0.222521499485823,0.974927783103231,0.)); #445350=DIRECTION('',(-0.222521499485823,0.974927783103231,0.)); #445351=DIRECTION('',(0.,0.,1.)); #445352=DIRECTION('center_axis',(0.993713488739879,0.111953125442832,0.)); #445353=DIRECTION('ref_axis',(-0.111953125442832,0.993713488739879,0.)); #445354=DIRECTION('',(-0.111953125442832,0.993713488739879,0.)); #445355=DIRECTION('',(-0.111953125442832,0.993713488739879,0.)); #445356=DIRECTION('',(0.,0.,1.)); #445357=DIRECTION('center_axis',(1.,0.,0.)); #445358=DIRECTION('ref_axis',(0.,1.,0.)); #445359=DIRECTION('',(0.,1.,0.)); #445360=DIRECTION('',(0.,1.,0.)); #445361=DIRECTION('',(0.,0.,1.)); #445362=DIRECTION('center_axis',(0.993713488739879,-0.111953125442832,0.)); #445363=DIRECTION('ref_axis',(0.111953125442832,0.993713488739879,0.)); #445364=DIRECTION('',(0.111953125442832,0.993713488739879,0.)); #445365=DIRECTION('',(0.111953125442832,0.993713488739879,0.)); #445366=DIRECTION('',(0.,0.,1.)); #445367=DIRECTION('center_axis',(0.97492778310323,-0.222521499485827,0.)); #445368=DIRECTION('ref_axis',(0.222521499485827,0.97492778310323,0.)); #445369=DIRECTION('',(0.222521499485827,0.97492778310323,0.)); #445370=DIRECTION('',(0.222521499485827,0.97492778310323,0.)); #445371=DIRECTION('',(0.,0.,1.)); #445372=DIRECTION('center_axis',(0.943874356011266,-0.330304707905167,0.)); #445373=DIRECTION('ref_axis',(0.330304707905167,0.943874356011266,0.)); #445374=DIRECTION('',(0.330304707905167,0.943874356011266,0.)); #445375=DIRECTION('',(0.330304707905167,0.943874356011266,0.)); #445376=DIRECTION('',(0.,0.,1.)); #445377=DIRECTION('center_axis',(0.90097617228259,-0.433868571089232,0.)); #445378=DIRECTION('ref_axis',(0.433868571089232,0.90097617228259,0.)); #445379=DIRECTION('',(0.433868571089232,0.90097617228259,0.)); #445380=DIRECTION('',(0.433868571089232,0.90097617228259,0.)); #445381=DIRECTION('',(0.,0.,1.)); #445382=DIRECTION('center_axis',(0.846733144041855,-0.532017840660439,0.)); #445383=DIRECTION('ref_axis',(0.532017840660439,0.846733144041855,0.)); #445384=DIRECTION('',(0.532017840660439,0.846733144041855,0.)); #445385=DIRECTION('',(0.532017840660439,0.846733144041855,0.)); #445386=DIRECTION('',(0.,0.,1.)); #445387=DIRECTION('center_axis',(0.781826848571384,-0.623495612537039,0.)); #445388=DIRECTION('ref_axis',(0.623495612537039,0.781826848571384,0.)); #445389=DIRECTION('',(0.623495612537039,0.781826848571384,0.)); #445390=DIRECTION('',(0.623495612537039,0.781826848571384,0.)); #445391=DIRECTION('',(0.,0.,1.)); #445392=DIRECTION('center_axis',(0.70710920936978,-0.707104352994977,0.)); #445393=DIRECTION('ref_axis',(0.707104352994977,0.70710920936978,0.)); #445394=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #445395=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #445396=DIRECTION('',(0.,0.,1.)); #445397=DIRECTION('center_axis',(0.623490076319921,-0.781831263592457,0.)); #445398=DIRECTION('ref_axis',(0.781831263592457,0.623490076319921,0.)); #445399=DIRECTION('',(0.781831263592457,0.623490076319921,0.)); #445400=DIRECTION('',(0.781831263592457,0.623490076319921,0.)); #445401=DIRECTION('',(0.,0.,1.)); #445402=DIRECTION('center_axis',(0.532026991963551,-0.846727394042625,0.)); #445403=DIRECTION('ref_axis',(0.846727394042625,0.532026991963551,0.)); #445404=DIRECTION('',(0.846727394042625,0.532026991963551,0.)); #445405=DIRECTION('',(0.846727394042625,0.532026991963551,0.)); #445406=DIRECTION('',(0.,0.,1.)); #445407=DIRECTION('center_axis',(0.433867402513809,-0.900976735013685,0.)); #445408=DIRECTION('ref_axis',(0.900976735013685,0.433867402513809,0.)); #445409=DIRECTION('',(0.900976735013685,0.433867402513809,0.)); #445410=DIRECTION('',(0.900976735013685,0.433867402513809,0.)); #445411=DIRECTION('',(0.,0.,1.)); #445412=DIRECTION('center_axis',(0.330292737303221,-0.943878544985924,0.)); #445413=DIRECTION('ref_axis',(0.943878544985924,0.330292737303221,0.)); #445414=DIRECTION('',(0.943878544985924,0.330292737303221,0.)); #445415=DIRECTION('',(0.943878544985924,0.330292737303221,0.)); #445416=DIRECTION('',(0.,0.,1.)); #445417=DIRECTION('center_axis',(0.222535347290587,-0.974924622320238,0.)); #445418=DIRECTION('ref_axis',(0.974924622320238,0.222535347290587,0.)); #445419=DIRECTION('',(0.974924622320238,0.222535347290587,0.)); #445420=DIRECTION('',(0.974924622320238,0.222535347290587,0.)); #445421=DIRECTION('center_axis',(0.,0.,1.)); #445422=DIRECTION('ref_axis',(1.,0.,0.)); #445423=DIRECTION('center_axis',(0.,0.,1.)); #445424=DIRECTION('ref_axis',(1.,0.,0.)); #445425=DIRECTION('center_axis',(0.,0.,1.)); #445426=DIRECTION('ref_axis',(1.,0.,0.)); #445427=DIRECTION('center_axis',(0.,0.,1.)); #445428=DIRECTION('ref_axis',(1.,0.,0.)); #445429=DIRECTION('',(0.,0.,1.)); #445430=DIRECTION('center_axis',(0.,0.,-1.)); #445431=DIRECTION('ref_axis',(1.,0.,0.)); #445432=DIRECTION('center_axis',(0.0980028398661646,-0.995186135041162, 0.)); #445433=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661646,0.)); #445434=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #445435=DIRECTION('',(0.,0.,1.)); #445436=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #445437=DIRECTION('',(0.,0.,1.)); #445438=DIRECTION('center_axis',(0.,0.,1.)); #445439=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #445440=DIRECTION('center_axis',(0.,0.,1.)); #445441=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #445442=DIRECTION('center_axis',(0.,0.,1.)); #445443=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #445444=DIRECTION('',(0.,0.,1.)); #445445=DIRECTION('center_axis',(0.,0.,1.)); #445446=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #445447=DIRECTION('center_axis',(0.,0.,1.)); #445448=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #445449=DIRECTION('center_axis',(0.,0.,1.)); #445450=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #445451=DIRECTION('center_axis',(0.,0.,1.)); #445452=DIRECTION('ref_axis',(1.,0.,0.)); #445453=DIRECTION('center_axis',(0.,0.,1.)); #445454=DIRECTION('ref_axis',(1.,0.,0.)); #445455=DIRECTION('center_axis',(0.,0.,1.)); #445456=DIRECTION('ref_axis',(1.,0.,0.)); #445457=DIRECTION('center_axis',(0.,0.,1.)); #445458=DIRECTION('ref_axis',(1.,0.,0.)); #445459=DIRECTION('',(0.,0.,1.)); #445460=DIRECTION('center_axis',(0.,0.,-1.)); #445461=DIRECTION('ref_axis',(1.,0.,0.)); #445462=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #445463=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #445464=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #445465=DIRECTION('',(0.,0.,1.)); #445466=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #445467=DIRECTION('',(0.,0.,1.)); #445468=DIRECTION('center_axis',(0.,0.,1.)); #445469=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #445470=DIRECTION('center_axis',(0.,0.,1.)); #445471=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #445472=DIRECTION('center_axis',(0.,0.,1.)); #445473=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #445474=DIRECTION('',(0.,0.,1.)); #445475=DIRECTION('center_axis',(0.,0.,1.)); #445476=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #445477=DIRECTION('center_axis',(0.,0.,1.)); #445478=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #445479=DIRECTION('center_axis',(0.,0.,1.)); #445480=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #445481=DIRECTION('center_axis',(0.,0.,1.)); #445482=DIRECTION('ref_axis',(1.,0.,0.)); #445483=DIRECTION('center_axis',(0.,0.,1.)); #445484=DIRECTION('ref_axis',(1.,0.,0.)); #445485=DIRECTION('center_axis',(0.,0.,1.)); #445486=DIRECTION('ref_axis',(1.,0.,0.)); #445487=DIRECTION('center_axis',(0.,0.,1.)); #445488=DIRECTION('ref_axis',(1.,0.,0.)); #445489=DIRECTION('',(0.,0.,1.)); #445490=DIRECTION('center_axis',(0.,0.,-1.)); #445491=DIRECTION('ref_axis',(1.,0.,0.)); #445492=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #445493=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #445494=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #445495=DIRECTION('',(0.,0.,1.)); #445496=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #445497=DIRECTION('',(0.,0.,1.)); #445498=DIRECTION('center_axis',(0.,0.,1.)); #445499=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #445500=DIRECTION('center_axis',(0.,0.,1.)); #445501=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #445502=DIRECTION('center_axis',(0.,0.,1.)); #445503=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #445504=DIRECTION('',(0.,0.,1.)); #445505=DIRECTION('center_axis',(0.,0.,1.)); #445506=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #445507=DIRECTION('center_axis',(0.,0.,1.)); #445508=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #445509=DIRECTION('center_axis',(0.,0.,1.)); #445510=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #445511=DIRECTION('center_axis',(0.,0.,1.)); #445512=DIRECTION('ref_axis',(1.,0.,0.)); #445513=DIRECTION('center_axis',(0.,0.,1.)); #445514=DIRECTION('ref_axis',(1.,0.,0.)); #445515=DIRECTION('center_axis',(0.,0.,1.)); #445516=DIRECTION('ref_axis',(1.,0.,0.)); #445517=DIRECTION('center_axis',(0.,0.,1.)); #445518=DIRECTION('ref_axis',(1.,0.,0.)); #445519=DIRECTION('',(0.,0.,1.)); #445520=DIRECTION('center_axis',(0.,0.,-1.)); #445521=DIRECTION('ref_axis',(1.,0.,0.)); #445522=DIRECTION('center_axis',(1.,0.,0.)); #445523=DIRECTION('ref_axis',(0.,1.,0.)); #445524=DIRECTION('',(0.,1.,0.)); #445525=DIRECTION('',(0.,0.,1.)); #445526=DIRECTION('',(0.,1.,0.)); #445527=DIRECTION('',(0.,0.,1.)); #445528=DIRECTION('center_axis',(0.,-1.,0.)); #445529=DIRECTION('ref_axis',(1.,0.,0.)); #445530=DIRECTION('',(1.,0.,0.)); #445531=DIRECTION('',(1.,0.,0.)); #445532=DIRECTION('',(0.,0.,1.)); #445533=DIRECTION('center_axis',(-1.,0.,0.)); #445534=DIRECTION('ref_axis',(0.,-1.,0.)); #445535=DIRECTION('',(0.,-1.,0.)); #445536=DIRECTION('',(0.,-1.,0.)); #445537=DIRECTION('',(0.,0.,1.)); #445538=DIRECTION('center_axis',(0.,1.,0.)); #445539=DIRECTION('ref_axis',(-1.,0.,0.)); #445540=DIRECTION('',(-1.,0.,0.)); #445541=DIRECTION('',(-1.,0.,0.)); #445542=DIRECTION('center_axis',(0.,0.,1.)); #445543=DIRECTION('ref_axis',(1.,0.,0.)); #445544=DIRECTION('center_axis',(0.,0.,1.)); #445545=DIRECTION('ref_axis',(1.,0.,0.)); #445546=DIRECTION('center_axis',(0.,0.,1.)); #445547=DIRECTION('ref_axis',(1.,0.,0.)); #445548=DIRECTION('center_axis',(0.,0.,1.)); #445549=DIRECTION('ref_axis',(1.,0.,0.)); #445550=DIRECTION('',(0.,0.,1.)); #445551=DIRECTION('center_axis',(0.,0.,-1.)); #445552=DIRECTION('ref_axis',(1.,0.,0.)); #445553=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #445554=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #445555=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #445556=DIRECTION('',(0.,0.,1.)); #445557=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #445558=DIRECTION('',(0.,0.,1.)); #445559=DIRECTION('center_axis',(0.,0.,1.)); #445560=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #445561=DIRECTION('center_axis',(0.,0.,1.)); #445562=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #445563=DIRECTION('center_axis',(0.,0.,1.)); #445564=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #445565=DIRECTION('',(0.,0.,1.)); #445566=DIRECTION('center_axis',(0.,0.,1.)); #445567=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #445568=DIRECTION('center_axis',(0.,0.,1.)); #445569=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #445570=DIRECTION('center_axis',(0.,0.,1.)); #445571=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #445572=DIRECTION('center_axis',(0.,0.,1.)); #445573=DIRECTION('ref_axis',(1.,0.,0.)); #445574=DIRECTION('center_axis',(0.,0.,1.)); #445575=DIRECTION('ref_axis',(1.,0.,0.)); #445576=DIRECTION('center_axis',(1.,0.,0.)); #445577=DIRECTION('ref_axis',(0.,1.,0.)); #445578=DIRECTION('',(0.,1.,0.)); #445579=DIRECTION('',(0.,0.,1.)); #445580=DIRECTION('',(0.,1.,0.)); #445581=DIRECTION('',(0.,0.,1.)); #445582=DIRECTION('center_axis',(0.,-1.,0.)); #445583=DIRECTION('ref_axis',(1.,0.,0.)); #445584=DIRECTION('',(1.,0.,0.)); #445585=DIRECTION('',(1.,0.,0.)); #445586=DIRECTION('',(0.,0.,1.)); #445587=DIRECTION('center_axis',(-1.,0.,0.)); #445588=DIRECTION('ref_axis',(0.,-1.,0.)); #445589=DIRECTION('',(0.,-1.,0.)); #445590=DIRECTION('',(0.,-1.,0.)); #445591=DIRECTION('',(0.,0.,1.)); #445592=DIRECTION('center_axis',(0.,1.,0.)); #445593=DIRECTION('ref_axis',(-1.,0.,0.)); #445594=DIRECTION('',(-1.,0.,0.)); #445595=DIRECTION('',(-1.,0.,0.)); #445596=DIRECTION('center_axis',(0.,0.,1.)); #445597=DIRECTION('ref_axis',(1.,0.,0.)); #445598=DIRECTION('center_axis',(0.,0.,1.)); #445599=DIRECTION('ref_axis',(1.,0.,0.)); #445600=DIRECTION('center_axis',(1.,0.,0.)); #445601=DIRECTION('ref_axis',(0.,1.,0.)); #445602=DIRECTION('',(0.,1.,0.)); #445603=DIRECTION('',(0.,0.,1.)); #445604=DIRECTION('',(0.,1.,0.)); #445605=DIRECTION('',(0.,0.,1.)); #445606=DIRECTION('center_axis',(0.,-1.,0.)); #445607=DIRECTION('ref_axis',(1.,0.,0.)); #445608=DIRECTION('',(1.,0.,0.)); #445609=DIRECTION('',(1.,0.,0.)); #445610=DIRECTION('',(0.,0.,1.)); #445611=DIRECTION('center_axis',(-1.,0.,0.)); #445612=DIRECTION('ref_axis',(0.,-1.,0.)); #445613=DIRECTION('',(0.,-1.,0.)); #445614=DIRECTION('',(0.,-1.,0.)); #445615=DIRECTION('',(0.,0.,1.)); #445616=DIRECTION('center_axis',(0.,1.,0.)); #445617=DIRECTION('ref_axis',(-1.,0.,0.)); #445618=DIRECTION('',(-1.,0.,0.)); #445619=DIRECTION('',(-1.,0.,0.)); #445620=DIRECTION('center_axis',(0.,0.,1.)); #445621=DIRECTION('ref_axis',(1.,0.,0.)); #445622=DIRECTION('center_axis',(0.,0.,1.)); #445623=DIRECTION('ref_axis',(1.,0.,0.)); #445624=DIRECTION('center_axis',(1.,0.,0.)); #445625=DIRECTION('ref_axis',(0.,1.,0.)); #445626=DIRECTION('',(0.,1.,0.)); #445627=DIRECTION('',(0.,0.,1.)); #445628=DIRECTION('',(0.,1.,0.)); #445629=DIRECTION('',(0.,0.,1.)); #445630=DIRECTION('center_axis',(0.,-1.,0.)); #445631=DIRECTION('ref_axis',(1.,0.,0.)); #445632=DIRECTION('',(1.,0.,0.)); #445633=DIRECTION('',(1.,0.,0.)); #445634=DIRECTION('',(0.,0.,1.)); #445635=DIRECTION('center_axis',(-1.,0.,0.)); #445636=DIRECTION('ref_axis',(0.,-1.,0.)); #445637=DIRECTION('',(0.,-1.,0.)); #445638=DIRECTION('',(0.,-1.,0.)); #445639=DIRECTION('',(0.,0.,1.)); #445640=DIRECTION('center_axis',(0.,1.,0.)); #445641=DIRECTION('ref_axis',(-1.,0.,0.)); #445642=DIRECTION('',(-1.,0.,0.)); #445643=DIRECTION('',(-1.,0.,0.)); #445644=DIRECTION('center_axis',(0.,0.,1.)); #445645=DIRECTION('ref_axis',(1.,0.,0.)); #445646=DIRECTION('center_axis',(0.,0.,1.)); #445647=DIRECTION('ref_axis',(1.,0.,0.)); #445648=DIRECTION('center_axis',(1.,0.,0.)); #445649=DIRECTION('ref_axis',(0.,1.,0.)); #445650=DIRECTION('',(0.,1.,0.)); #445651=DIRECTION('',(0.,0.,1.)); #445652=DIRECTION('',(0.,1.,0.)); #445653=DIRECTION('',(0.,0.,1.)); #445654=DIRECTION('center_axis',(0.,-1.,0.)); #445655=DIRECTION('ref_axis',(1.,0.,0.)); #445656=DIRECTION('',(1.,0.,0.)); #445657=DIRECTION('',(1.,0.,0.)); #445658=DIRECTION('',(0.,0.,1.)); #445659=DIRECTION('center_axis',(-1.,0.,0.)); #445660=DIRECTION('ref_axis',(0.,-1.,0.)); #445661=DIRECTION('',(0.,-1.,0.)); #445662=DIRECTION('',(0.,-1.,0.)); #445663=DIRECTION('',(0.,0.,1.)); #445664=DIRECTION('center_axis',(0.,1.,0.)); #445665=DIRECTION('ref_axis',(-1.,0.,0.)); #445666=DIRECTION('',(-1.,0.,0.)); #445667=DIRECTION('',(-1.,0.,0.)); #445668=DIRECTION('center_axis',(0.,0.,1.)); #445669=DIRECTION('ref_axis',(1.,0.,0.)); #445670=DIRECTION('center_axis',(0.,0.,1.)); #445671=DIRECTION('ref_axis',(1.,0.,0.)); #445672=DIRECTION('center_axis',(1.,0.,0.)); #445673=DIRECTION('ref_axis',(0.,1.,0.)); #445674=DIRECTION('',(0.,1.,0.)); #445675=DIRECTION('',(0.,0.,1.)); #445676=DIRECTION('',(0.,1.,0.)); #445677=DIRECTION('',(0.,0.,1.)); #445678=DIRECTION('center_axis',(0.,-1.,0.)); #445679=DIRECTION('ref_axis',(1.,0.,0.)); #445680=DIRECTION('',(1.,0.,0.)); #445681=DIRECTION('',(1.,0.,0.)); #445682=DIRECTION('',(0.,0.,1.)); #445683=DIRECTION('center_axis',(-1.,0.,0.)); #445684=DIRECTION('ref_axis',(0.,-1.,0.)); #445685=DIRECTION('',(0.,-1.,0.)); #445686=DIRECTION('',(0.,-1.,0.)); #445687=DIRECTION('',(0.,0.,1.)); #445688=DIRECTION('center_axis',(0.,1.,0.)); #445689=DIRECTION('ref_axis',(-1.,0.,0.)); #445690=DIRECTION('',(-1.,0.,0.)); #445691=DIRECTION('',(-1.,0.,0.)); #445692=DIRECTION('center_axis',(0.,0.,1.)); #445693=DIRECTION('ref_axis',(1.,0.,0.)); #445694=DIRECTION('center_axis',(0.,0.,1.)); #445695=DIRECTION('ref_axis',(1.,0.,0.)); #445696=DIRECTION('center_axis',(1.,0.,0.)); #445697=DIRECTION('ref_axis',(0.,1.,0.)); #445698=DIRECTION('',(0.,1.,0.)); #445699=DIRECTION('',(0.,0.,1.)); #445700=DIRECTION('',(0.,1.,0.)); #445701=DIRECTION('',(0.,0.,1.)); #445702=DIRECTION('center_axis',(0.,-1.,0.)); #445703=DIRECTION('ref_axis',(1.,0.,0.)); #445704=DIRECTION('',(1.,0.,0.)); #445705=DIRECTION('',(1.,0.,0.)); #445706=DIRECTION('',(0.,0.,1.)); #445707=DIRECTION('center_axis',(-1.,0.,0.)); #445708=DIRECTION('ref_axis',(0.,-1.,0.)); #445709=DIRECTION('',(0.,-1.,0.)); #445710=DIRECTION('',(0.,-1.,0.)); #445711=DIRECTION('',(0.,0.,1.)); #445712=DIRECTION('center_axis',(0.,1.,0.)); #445713=DIRECTION('ref_axis',(-1.,0.,0.)); #445714=DIRECTION('',(-1.,0.,0.)); #445715=DIRECTION('',(-1.,0.,0.)); #445716=DIRECTION('center_axis',(0.,0.,1.)); #445717=DIRECTION('ref_axis',(1.,0.,0.)); #445718=DIRECTION('center_axis',(0.,0.,1.)); #445719=DIRECTION('ref_axis',(1.,0.,0.)); #445720=DIRECTION('center_axis',(1.,0.,0.)); #445721=DIRECTION('ref_axis',(0.,1.,0.)); #445722=DIRECTION('',(0.,1.,0.)); #445723=DIRECTION('',(0.,0.,1.)); #445724=DIRECTION('',(0.,1.,0.)); #445725=DIRECTION('',(0.,0.,1.)); #445726=DIRECTION('center_axis',(0.,-1.,0.)); #445727=DIRECTION('ref_axis',(1.,0.,0.)); #445728=DIRECTION('',(1.,0.,0.)); #445729=DIRECTION('',(1.,0.,0.)); #445730=DIRECTION('',(0.,0.,1.)); #445731=DIRECTION('center_axis',(-1.,0.,0.)); #445732=DIRECTION('ref_axis',(0.,-1.,0.)); #445733=DIRECTION('',(0.,-1.,0.)); #445734=DIRECTION('',(0.,-1.,0.)); #445735=DIRECTION('',(0.,0.,1.)); #445736=DIRECTION('center_axis',(0.,1.,0.)); #445737=DIRECTION('ref_axis',(-1.,0.,0.)); #445738=DIRECTION('',(-1.,0.,0.)); #445739=DIRECTION('',(-1.,0.,0.)); #445740=DIRECTION('center_axis',(0.,0.,1.)); #445741=DIRECTION('ref_axis',(1.,0.,0.)); #445742=DIRECTION('center_axis',(0.,0.,1.)); #445743=DIRECTION('ref_axis',(1.,0.,0.)); #445744=DIRECTION('center_axis',(1.,0.,0.)); #445745=DIRECTION('ref_axis',(0.,1.,0.)); #445746=DIRECTION('',(0.,1.,0.)); #445747=DIRECTION('',(0.,0.,1.)); #445748=DIRECTION('',(0.,1.,0.)); #445749=DIRECTION('',(0.,0.,1.)); #445750=DIRECTION('center_axis',(0.,-1.,0.)); #445751=DIRECTION('ref_axis',(1.,0.,0.)); #445752=DIRECTION('',(1.,0.,0.)); #445753=DIRECTION('',(1.,0.,0.)); #445754=DIRECTION('',(0.,0.,1.)); #445755=DIRECTION('center_axis',(-1.,0.,0.)); #445756=DIRECTION('ref_axis',(0.,-1.,0.)); #445757=DIRECTION('',(0.,-1.,0.)); #445758=DIRECTION('',(0.,-1.,0.)); #445759=DIRECTION('',(0.,0.,1.)); #445760=DIRECTION('center_axis',(0.,1.,0.)); #445761=DIRECTION('ref_axis',(-1.,0.,0.)); #445762=DIRECTION('',(-1.,0.,0.)); #445763=DIRECTION('',(-1.,0.,0.)); #445764=DIRECTION('center_axis',(0.,0.,1.)); #445765=DIRECTION('ref_axis',(1.,0.,0.)); #445766=DIRECTION('center_axis',(0.,0.,1.)); #445767=DIRECTION('ref_axis',(1.,0.,0.)); #445768=DIRECTION('center_axis',(1.,0.,0.)); #445769=DIRECTION('ref_axis',(0.,1.,0.)); #445770=DIRECTION('',(0.,1.,0.)); #445771=DIRECTION('',(0.,0.,1.)); #445772=DIRECTION('',(0.,1.,0.)); #445773=DIRECTION('',(0.,0.,1.)); #445774=DIRECTION('center_axis',(0.,-1.,0.)); #445775=DIRECTION('ref_axis',(1.,0.,0.)); #445776=DIRECTION('',(1.,0.,0.)); #445777=DIRECTION('',(1.,0.,0.)); #445778=DIRECTION('',(0.,0.,1.)); #445779=DIRECTION('center_axis',(-1.,0.,0.)); #445780=DIRECTION('ref_axis',(0.,-1.,0.)); #445781=DIRECTION('',(0.,-1.,0.)); #445782=DIRECTION('',(0.,-1.,0.)); #445783=DIRECTION('',(0.,0.,1.)); #445784=DIRECTION('center_axis',(0.,1.,0.)); #445785=DIRECTION('ref_axis',(-1.,0.,0.)); #445786=DIRECTION('',(-1.,0.,0.)); #445787=DIRECTION('',(-1.,0.,0.)); #445788=DIRECTION('center_axis',(0.,0.,1.)); #445789=DIRECTION('ref_axis',(1.,0.,0.)); #445790=DIRECTION('center_axis',(0.,0.,1.)); #445791=DIRECTION('ref_axis',(1.,0.,0.)); #445792=DIRECTION('center_axis',(1.,0.,0.)); #445793=DIRECTION('ref_axis',(0.,1.,0.)); #445794=DIRECTION('',(0.,1.,0.)); #445795=DIRECTION('',(0.,0.,1.)); #445796=DIRECTION('',(0.,1.,0.)); #445797=DIRECTION('',(0.,0.,1.)); #445798=DIRECTION('center_axis',(0.,-1.,0.)); #445799=DIRECTION('ref_axis',(1.,0.,0.)); #445800=DIRECTION('',(1.,0.,0.)); #445801=DIRECTION('',(1.,0.,0.)); #445802=DIRECTION('',(0.,0.,1.)); #445803=DIRECTION('center_axis',(-1.,0.,0.)); #445804=DIRECTION('ref_axis',(0.,-1.,0.)); #445805=DIRECTION('',(0.,-1.,0.)); #445806=DIRECTION('',(0.,-1.,0.)); #445807=DIRECTION('',(0.,0.,1.)); #445808=DIRECTION('center_axis',(0.,1.,0.)); #445809=DIRECTION('ref_axis',(-1.,0.,0.)); #445810=DIRECTION('',(-1.,0.,0.)); #445811=DIRECTION('',(-1.,0.,0.)); #445812=DIRECTION('center_axis',(0.,0.,1.)); #445813=DIRECTION('ref_axis',(1.,0.,0.)); #445814=DIRECTION('center_axis',(0.,0.,1.)); #445815=DIRECTION('ref_axis',(1.,0.,0.)); #445816=DIRECTION('center_axis',(1.,0.,0.)); #445817=DIRECTION('ref_axis',(0.,1.,0.)); #445818=DIRECTION('',(0.,1.,0.)); #445819=DIRECTION('',(0.,0.,1.)); #445820=DIRECTION('',(0.,1.,0.)); #445821=DIRECTION('',(0.,0.,1.)); #445822=DIRECTION('center_axis',(0.,-1.,0.)); #445823=DIRECTION('ref_axis',(1.,0.,0.)); #445824=DIRECTION('',(1.,0.,0.)); #445825=DIRECTION('',(1.,0.,0.)); #445826=DIRECTION('',(0.,0.,1.)); #445827=DIRECTION('center_axis',(-1.,0.,0.)); #445828=DIRECTION('ref_axis',(0.,-1.,0.)); #445829=DIRECTION('',(0.,-1.,0.)); #445830=DIRECTION('',(0.,-1.,0.)); #445831=DIRECTION('',(0.,0.,1.)); #445832=DIRECTION('center_axis',(0.,1.,0.)); #445833=DIRECTION('ref_axis',(-1.,0.,0.)); #445834=DIRECTION('',(-1.,0.,0.)); #445835=DIRECTION('',(-1.,0.,0.)); #445836=DIRECTION('center_axis',(0.,0.,1.)); #445837=DIRECTION('ref_axis',(1.,0.,0.)); #445838=DIRECTION('center_axis',(0.,0.,1.)); #445839=DIRECTION('ref_axis',(1.,0.,0.)); #445840=DIRECTION('center_axis',(1.,0.,0.)); #445841=DIRECTION('ref_axis',(0.,1.,0.)); #445842=DIRECTION('',(0.,1.,0.)); #445843=DIRECTION('',(0.,0.,1.)); #445844=DIRECTION('',(0.,1.,0.)); #445845=DIRECTION('',(0.,0.,1.)); #445846=DIRECTION('center_axis',(0.,-1.,0.)); #445847=DIRECTION('ref_axis',(1.,0.,0.)); #445848=DIRECTION('',(1.,0.,0.)); #445849=DIRECTION('',(1.,0.,0.)); #445850=DIRECTION('',(0.,0.,1.)); #445851=DIRECTION('center_axis',(-1.,0.,0.)); #445852=DIRECTION('ref_axis',(0.,-1.,0.)); #445853=DIRECTION('',(0.,-1.,0.)); #445854=DIRECTION('',(0.,-1.,0.)); #445855=DIRECTION('',(0.,0.,1.)); #445856=DIRECTION('center_axis',(0.,1.,0.)); #445857=DIRECTION('ref_axis',(-1.,0.,0.)); #445858=DIRECTION('',(-1.,0.,0.)); #445859=DIRECTION('',(-1.,0.,0.)); #445860=DIRECTION('center_axis',(0.,0.,1.)); #445861=DIRECTION('ref_axis',(1.,0.,0.)); #445862=DIRECTION('center_axis',(0.,0.,1.)); #445863=DIRECTION('ref_axis',(1.,0.,0.)); #445864=DIRECTION('center_axis',(1.,0.,0.)); #445865=DIRECTION('ref_axis',(0.,1.,0.)); #445866=DIRECTION('',(0.,1.,0.)); #445867=DIRECTION('',(0.,0.,1.)); #445868=DIRECTION('',(0.,1.,0.)); #445869=DIRECTION('',(0.,0.,1.)); #445870=DIRECTION('center_axis',(0.,-1.,0.)); #445871=DIRECTION('ref_axis',(1.,0.,0.)); #445872=DIRECTION('',(1.,0.,0.)); #445873=DIRECTION('',(1.,0.,0.)); #445874=DIRECTION('',(0.,0.,1.)); #445875=DIRECTION('center_axis',(-1.,0.,0.)); #445876=DIRECTION('ref_axis',(0.,-1.,0.)); #445877=DIRECTION('',(0.,-1.,0.)); #445878=DIRECTION('',(0.,-1.,0.)); #445879=DIRECTION('',(0.,0.,1.)); #445880=DIRECTION('center_axis',(0.,1.,0.)); #445881=DIRECTION('ref_axis',(-1.,0.,0.)); #445882=DIRECTION('',(-1.,0.,0.)); #445883=DIRECTION('',(-1.,0.,0.)); #445884=DIRECTION('center_axis',(0.,0.,1.)); #445885=DIRECTION('ref_axis',(1.,0.,0.)); #445886=DIRECTION('center_axis',(0.,0.,1.)); #445887=DIRECTION('ref_axis',(1.,0.,0.)); #445888=DIRECTION('center_axis',(1.,0.,0.)); #445889=DIRECTION('ref_axis',(0.,1.,0.)); #445890=DIRECTION('',(0.,1.,0.)); #445891=DIRECTION('',(0.,0.,1.)); #445892=DIRECTION('',(0.,1.,0.)); #445893=DIRECTION('',(0.,0.,1.)); #445894=DIRECTION('center_axis',(0.,-1.,0.)); #445895=DIRECTION('ref_axis',(1.,0.,0.)); #445896=DIRECTION('',(1.,0.,0.)); #445897=DIRECTION('',(1.,0.,0.)); #445898=DIRECTION('',(0.,0.,1.)); #445899=DIRECTION('center_axis',(-1.,0.,0.)); #445900=DIRECTION('ref_axis',(0.,-1.,0.)); #445901=DIRECTION('',(0.,-1.,0.)); #445902=DIRECTION('',(0.,-1.,0.)); #445903=DIRECTION('',(0.,0.,1.)); #445904=DIRECTION('center_axis',(0.,1.,0.)); #445905=DIRECTION('ref_axis',(-1.,0.,0.)); #445906=DIRECTION('',(-1.,0.,0.)); #445907=DIRECTION('',(-1.,0.,0.)); #445908=DIRECTION('center_axis',(0.,0.,1.)); #445909=DIRECTION('ref_axis',(1.,0.,0.)); #445910=DIRECTION('center_axis',(0.,0.,1.)); #445911=DIRECTION('ref_axis',(1.,0.,0.)); #445912=DIRECTION('center_axis',(1.,0.,0.)); #445913=DIRECTION('ref_axis',(0.,1.,0.)); #445914=DIRECTION('',(0.,1.,0.)); #445915=DIRECTION('',(0.,0.,1.)); #445916=DIRECTION('',(0.,1.,0.)); #445917=DIRECTION('',(0.,0.,1.)); #445918=DIRECTION('center_axis',(0.,-1.,0.)); #445919=DIRECTION('ref_axis',(1.,0.,0.)); #445920=DIRECTION('',(1.,0.,0.)); #445921=DIRECTION('',(1.,0.,0.)); #445922=DIRECTION('',(0.,0.,1.)); #445923=DIRECTION('center_axis',(-1.,0.,0.)); #445924=DIRECTION('ref_axis',(0.,-1.,0.)); #445925=DIRECTION('',(0.,-1.,0.)); #445926=DIRECTION('',(0.,-1.,0.)); #445927=DIRECTION('',(0.,0.,1.)); #445928=DIRECTION('center_axis',(0.,1.,0.)); #445929=DIRECTION('ref_axis',(-1.,0.,0.)); #445930=DIRECTION('',(-1.,0.,0.)); #445931=DIRECTION('',(-1.,0.,0.)); #445932=DIRECTION('center_axis',(0.,0.,1.)); #445933=DIRECTION('ref_axis',(1.,0.,0.)); #445934=DIRECTION('center_axis',(0.,0.,1.)); #445935=DIRECTION('ref_axis',(1.,0.,0.)); #445936=DIRECTION('center_axis',(1.,0.,0.)); #445937=DIRECTION('ref_axis',(0.,1.,0.)); #445938=DIRECTION('',(0.,1.,0.)); #445939=DIRECTION('',(0.,0.,1.)); #445940=DIRECTION('',(0.,1.,0.)); #445941=DIRECTION('',(0.,0.,1.)); #445942=DIRECTION('center_axis',(0.,-1.,0.)); #445943=DIRECTION('ref_axis',(1.,0.,0.)); #445944=DIRECTION('',(1.,0.,0.)); #445945=DIRECTION('',(1.,0.,0.)); #445946=DIRECTION('',(0.,0.,1.)); #445947=DIRECTION('center_axis',(-1.,0.,0.)); #445948=DIRECTION('ref_axis',(0.,-1.,0.)); #445949=DIRECTION('',(0.,-1.,0.)); #445950=DIRECTION('',(0.,-1.,0.)); #445951=DIRECTION('',(0.,0.,1.)); #445952=DIRECTION('center_axis',(0.,1.,0.)); #445953=DIRECTION('ref_axis',(-1.,0.,0.)); #445954=DIRECTION('',(-1.,0.,0.)); #445955=DIRECTION('',(-1.,0.,0.)); #445956=DIRECTION('center_axis',(0.,0.,1.)); #445957=DIRECTION('ref_axis',(1.,0.,0.)); #445958=DIRECTION('center_axis',(0.,0.,1.)); #445959=DIRECTION('ref_axis',(1.,0.,0.)); #445960=DIRECTION('center_axis',(1.,0.,0.)); #445961=DIRECTION('ref_axis',(0.,1.,0.)); #445962=DIRECTION('',(0.,1.,0.)); #445963=DIRECTION('',(0.,0.,1.)); #445964=DIRECTION('',(0.,1.,0.)); #445965=DIRECTION('',(0.,0.,1.)); #445966=DIRECTION('center_axis',(0.,-1.,0.)); #445967=DIRECTION('ref_axis',(1.,0.,0.)); #445968=DIRECTION('',(1.,0.,0.)); #445969=DIRECTION('',(1.,0.,0.)); #445970=DIRECTION('',(0.,0.,1.)); #445971=DIRECTION('center_axis',(-1.,0.,0.)); #445972=DIRECTION('ref_axis',(0.,-1.,0.)); #445973=DIRECTION('',(0.,-1.,0.)); #445974=DIRECTION('',(0.,-1.,0.)); #445975=DIRECTION('',(0.,0.,1.)); #445976=DIRECTION('center_axis',(0.,1.,0.)); #445977=DIRECTION('ref_axis',(-1.,0.,0.)); #445978=DIRECTION('',(-1.,0.,0.)); #445979=DIRECTION('',(-1.,0.,0.)); #445980=DIRECTION('center_axis',(0.,0.,1.)); #445981=DIRECTION('ref_axis',(1.,0.,0.)); #445982=DIRECTION('center_axis',(0.,0.,1.)); #445983=DIRECTION('ref_axis',(1.,0.,0.)); #445984=DIRECTION('center_axis',(1.,0.,0.)); #445985=DIRECTION('ref_axis',(0.,1.,0.)); #445986=DIRECTION('',(0.,1.,0.)); #445987=DIRECTION('',(0.,0.,1.)); #445988=DIRECTION('',(0.,1.,0.)); #445989=DIRECTION('',(0.,0.,1.)); #445990=DIRECTION('center_axis',(0.,-1.,0.)); #445991=DIRECTION('ref_axis',(1.,0.,0.)); #445992=DIRECTION('',(1.,0.,0.)); #445993=DIRECTION('',(1.,0.,0.)); #445994=DIRECTION('',(0.,0.,1.)); #445995=DIRECTION('center_axis',(-1.,0.,0.)); #445996=DIRECTION('ref_axis',(0.,-1.,0.)); #445997=DIRECTION('',(0.,-1.,0.)); #445998=DIRECTION('',(0.,-1.,0.)); #445999=DIRECTION('',(0.,0.,1.)); #446000=DIRECTION('center_axis',(0.,1.,0.)); #446001=DIRECTION('ref_axis',(-1.,0.,0.)); #446002=DIRECTION('',(-1.,0.,0.)); #446003=DIRECTION('',(-1.,0.,0.)); #446004=DIRECTION('center_axis',(0.,0.,1.)); #446005=DIRECTION('ref_axis',(1.,0.,0.)); #446006=DIRECTION('center_axis',(0.,0.,1.)); #446007=DIRECTION('ref_axis',(1.,0.,0.)); #446008=DIRECTION('center_axis',(1.,0.,0.)); #446009=DIRECTION('ref_axis',(0.,1.,0.)); #446010=DIRECTION('',(0.,1.,0.)); #446011=DIRECTION('',(0.,0.,1.)); #446012=DIRECTION('',(0.,1.,0.)); #446013=DIRECTION('',(0.,0.,1.)); #446014=DIRECTION('center_axis',(0.,-1.,0.)); #446015=DIRECTION('ref_axis',(1.,0.,0.)); #446016=DIRECTION('',(1.,0.,0.)); #446017=DIRECTION('',(1.,0.,0.)); #446018=DIRECTION('',(0.,0.,1.)); #446019=DIRECTION('center_axis',(-1.,0.,0.)); #446020=DIRECTION('ref_axis',(0.,-1.,0.)); #446021=DIRECTION('',(0.,-1.,0.)); #446022=DIRECTION('',(0.,-1.,0.)); #446023=DIRECTION('',(0.,0.,1.)); #446024=DIRECTION('center_axis',(0.,1.,0.)); #446025=DIRECTION('ref_axis',(-1.,0.,0.)); #446026=DIRECTION('',(-1.,0.,0.)); #446027=DIRECTION('',(-1.,0.,0.)); #446028=DIRECTION('center_axis',(0.,0.,1.)); #446029=DIRECTION('ref_axis',(1.,0.,0.)); #446030=DIRECTION('center_axis',(0.,0.,1.)); #446031=DIRECTION('ref_axis',(1.,0.,0.)); #446032=DIRECTION('center_axis',(1.,0.,0.)); #446033=DIRECTION('ref_axis',(0.,1.,0.)); #446034=DIRECTION('',(0.,1.,0.)); #446035=DIRECTION('',(0.,0.,1.)); #446036=DIRECTION('',(0.,1.,0.)); #446037=DIRECTION('',(0.,0.,1.)); #446038=DIRECTION('center_axis',(0.,-1.,0.)); #446039=DIRECTION('ref_axis',(1.,0.,0.)); #446040=DIRECTION('',(1.,0.,0.)); #446041=DIRECTION('',(1.,0.,0.)); #446042=DIRECTION('',(0.,0.,1.)); #446043=DIRECTION('center_axis',(-1.,0.,0.)); #446044=DIRECTION('ref_axis',(0.,-1.,0.)); #446045=DIRECTION('',(0.,-1.,0.)); #446046=DIRECTION('',(0.,-1.,0.)); #446047=DIRECTION('',(0.,0.,1.)); #446048=DIRECTION('center_axis',(0.,1.,0.)); #446049=DIRECTION('ref_axis',(-1.,0.,0.)); #446050=DIRECTION('',(-1.,0.,0.)); #446051=DIRECTION('',(-1.,0.,0.)); #446052=DIRECTION('center_axis',(0.,0.,1.)); #446053=DIRECTION('ref_axis',(1.,0.,0.)); #446054=DIRECTION('center_axis',(0.,0.,1.)); #446055=DIRECTION('ref_axis',(1.,0.,0.)); #446056=DIRECTION('center_axis',(1.,0.,0.)); #446057=DIRECTION('ref_axis',(0.,1.,0.)); #446058=DIRECTION('',(0.,1.,0.)); #446059=DIRECTION('',(0.,0.,1.)); #446060=DIRECTION('',(0.,1.,0.)); #446061=DIRECTION('',(0.,0.,1.)); #446062=DIRECTION('center_axis',(0.,-1.,0.)); #446063=DIRECTION('ref_axis',(1.,0.,0.)); #446064=DIRECTION('',(1.,0.,0.)); #446065=DIRECTION('',(1.,0.,0.)); #446066=DIRECTION('',(0.,0.,1.)); #446067=DIRECTION('center_axis',(-1.,0.,0.)); #446068=DIRECTION('ref_axis',(0.,-1.,0.)); #446069=DIRECTION('',(0.,-1.,0.)); #446070=DIRECTION('',(0.,-1.,0.)); #446071=DIRECTION('',(0.,0.,1.)); #446072=DIRECTION('center_axis',(0.,1.,0.)); #446073=DIRECTION('ref_axis',(-1.,0.,0.)); #446074=DIRECTION('',(-1.,0.,0.)); #446075=DIRECTION('',(-1.,0.,0.)); #446076=DIRECTION('center_axis',(0.,0.,1.)); #446077=DIRECTION('ref_axis',(1.,0.,0.)); #446078=DIRECTION('center_axis',(0.,0.,1.)); #446079=DIRECTION('ref_axis',(1.,0.,0.)); #446080=DIRECTION('center_axis',(1.,0.,0.)); #446081=DIRECTION('ref_axis',(0.,1.,0.)); #446082=DIRECTION('',(0.,1.,0.)); #446083=DIRECTION('',(0.,0.,1.)); #446084=DIRECTION('',(0.,1.,0.)); #446085=DIRECTION('',(0.,0.,1.)); #446086=DIRECTION('center_axis',(0.,-1.,0.)); #446087=DIRECTION('ref_axis',(1.,0.,0.)); #446088=DIRECTION('',(1.,0.,0.)); #446089=DIRECTION('',(1.,0.,0.)); #446090=DIRECTION('',(0.,0.,1.)); #446091=DIRECTION('center_axis',(-1.,0.,0.)); #446092=DIRECTION('ref_axis',(0.,-1.,0.)); #446093=DIRECTION('',(0.,-1.,0.)); #446094=DIRECTION('',(0.,-1.,0.)); #446095=DIRECTION('',(0.,0.,1.)); #446096=DIRECTION('center_axis',(0.,1.,0.)); #446097=DIRECTION('ref_axis',(-1.,0.,0.)); #446098=DIRECTION('',(-1.,0.,0.)); #446099=DIRECTION('',(-1.,0.,0.)); #446100=DIRECTION('center_axis',(0.,0.,1.)); #446101=DIRECTION('ref_axis',(1.,0.,0.)); #446102=DIRECTION('center_axis',(0.,0.,1.)); #446103=DIRECTION('ref_axis',(1.,0.,0.)); #446104=DIRECTION('center_axis',(1.,0.,0.)); #446105=DIRECTION('ref_axis',(0.,1.,0.)); #446106=DIRECTION('',(0.,1.,0.)); #446107=DIRECTION('',(0.,0.,1.)); #446108=DIRECTION('',(0.,1.,0.)); #446109=DIRECTION('',(0.,0.,1.)); #446110=DIRECTION('center_axis',(0.,-1.,0.)); #446111=DIRECTION('ref_axis',(1.,0.,0.)); #446112=DIRECTION('',(1.,0.,0.)); #446113=DIRECTION('',(1.,0.,0.)); #446114=DIRECTION('',(0.,0.,1.)); #446115=DIRECTION('center_axis',(-1.,0.,0.)); #446116=DIRECTION('ref_axis',(0.,-1.,0.)); #446117=DIRECTION('',(0.,-1.,0.)); #446118=DIRECTION('',(0.,-1.,0.)); #446119=DIRECTION('',(0.,0.,1.)); #446120=DIRECTION('center_axis',(0.,1.,0.)); #446121=DIRECTION('ref_axis',(-1.,0.,0.)); #446122=DIRECTION('',(-1.,0.,0.)); #446123=DIRECTION('',(-1.,0.,0.)); #446124=DIRECTION('center_axis',(0.,0.,1.)); #446125=DIRECTION('ref_axis',(1.,0.,0.)); #446126=DIRECTION('center_axis',(0.,0.,1.)); #446127=DIRECTION('ref_axis',(1.,0.,0.)); #446128=DIRECTION('center_axis',(0.,0.,1.)); #446129=DIRECTION('ref_axis',(1.,0.,0.)); #446130=DIRECTION('center_axis',(0.,0.,1.)); #446131=DIRECTION('ref_axis',(1.,0.,0.)); #446132=DIRECTION('',(0.,0.,1.)); #446133=DIRECTION('center_axis',(0.,0.,-1.)); #446134=DIRECTION('ref_axis',(1.,0.,0.)); #446135=DIRECTION('center_axis',(0.,0.,1.)); #446136=DIRECTION('ref_axis',(1.,0.,0.)); #446137=DIRECTION('center_axis',(0.,0.,1.)); #446138=DIRECTION('ref_axis',(1.,0.,0.)); #446139=DIRECTION('',(0.,0.,1.)); #446140=DIRECTION('center_axis',(0.,0.,-1.)); #446141=DIRECTION('ref_axis',(1.,0.,0.)); #446142=DIRECTION('center_axis',(-1.,0.,0.)); #446143=DIRECTION('ref_axis',(0.,-1.,0.)); #446144=DIRECTION('',(0.,-1.,0.)); #446145=DIRECTION('',(0.,0.,1.)); #446146=DIRECTION('',(0.,-1.,0.)); #446147=DIRECTION('',(0.,0.,1.)); #446148=DIRECTION('center_axis',(0.,1.,0.)); #446149=DIRECTION('ref_axis',(-1.,0.,0.)); #446150=DIRECTION('',(-1.,0.,0.)); #446151=DIRECTION('',(-1.,0.,0.)); #446152=DIRECTION('',(0.,0.,1.)); #446153=DIRECTION('center_axis',(1.,0.,0.)); #446154=DIRECTION('ref_axis',(0.,1.,0.)); #446155=DIRECTION('',(0.,1.,0.)); #446156=DIRECTION('',(0.,1.,0.)); #446157=DIRECTION('',(0.,0.,1.)); #446158=DIRECTION('center_axis',(0.,-1.,0.)); #446159=DIRECTION('ref_axis',(1.,0.,0.)); #446160=DIRECTION('',(1.,0.,0.)); #446161=DIRECTION('',(1.,0.,0.)); #446162=DIRECTION('center_axis',(0.,0.,1.)); #446163=DIRECTION('ref_axis',(1.,0.,0.)); #446164=DIRECTION('center_axis',(0.,0.,1.)); #446165=DIRECTION('ref_axis',(1.,0.,0.)); #446166=DIRECTION('center_axis',(1.,0.,0.)); #446167=DIRECTION('ref_axis',(0.,1.,0.)); #446168=DIRECTION('',(0.,1.,0.)); #446169=DIRECTION('',(0.,0.,1.)); #446170=DIRECTION('',(0.,1.,0.)); #446171=DIRECTION('',(0.,0.,1.)); #446172=DIRECTION('center_axis',(0.,-1.,0.)); #446173=DIRECTION('ref_axis',(1.,0.,0.)); #446174=DIRECTION('',(1.,0.,0.)); #446175=DIRECTION('',(1.,0.,0.)); #446176=DIRECTION('',(0.,0.,1.)); #446177=DIRECTION('center_axis',(-1.,0.,0.)); #446178=DIRECTION('ref_axis',(0.,-1.,0.)); #446179=DIRECTION('',(0.,-1.,0.)); #446180=DIRECTION('',(0.,-1.,0.)); #446181=DIRECTION('',(0.,0.,1.)); #446182=DIRECTION('center_axis',(0.,1.,0.)); #446183=DIRECTION('ref_axis',(-1.,0.,0.)); #446184=DIRECTION('',(-1.,0.,0.)); #446185=DIRECTION('',(-1.,0.,0.)); #446186=DIRECTION('center_axis',(0.,0.,1.)); #446187=DIRECTION('ref_axis',(1.,0.,0.)); #446188=DIRECTION('center_axis',(0.,0.,1.)); #446189=DIRECTION('ref_axis',(1.,0.,0.)); #446190=DIRECTION('center_axis',(0.,0.,1.)); #446191=DIRECTION('ref_axis',(1.,0.,0.)); #446192=DIRECTION('center_axis',(0.,0.,1.)); #446193=DIRECTION('ref_axis',(1.,0.,0.)); #446194=DIRECTION('',(0.,0.,1.)); #446195=DIRECTION('center_axis',(0.,0.,-1.)); #446196=DIRECTION('ref_axis',(1.,0.,0.)); #446197=DIRECTION('center_axis',(0.,0.,1.)); #446198=DIRECTION('ref_axis',(1.,0.,0.)); #446199=DIRECTION('center_axis',(0.,0.,1.)); #446200=DIRECTION('ref_axis',(1.,0.,0.)); #446201=DIRECTION('',(0.,0.,1.)); #446202=DIRECTION('center_axis',(0.,0.,-1.)); #446203=DIRECTION('ref_axis',(1.,0.,0.)); #446204=DIRECTION('center_axis',(-1.,0.,0.)); #446205=DIRECTION('ref_axis',(0.,-1.,0.)); #446206=DIRECTION('',(0.,-1.,0.)); #446207=DIRECTION('',(0.,0.,1.)); #446208=DIRECTION('',(0.,-1.,0.)); #446209=DIRECTION('',(0.,0.,1.)); #446210=DIRECTION('center_axis',(0.,1.,0.)); #446211=DIRECTION('ref_axis',(-1.,0.,0.)); #446212=DIRECTION('',(-1.,0.,0.)); #446213=DIRECTION('',(-1.,0.,0.)); #446214=DIRECTION('',(0.,0.,1.)); #446215=DIRECTION('center_axis',(1.,0.,0.)); #446216=DIRECTION('ref_axis',(0.,1.,0.)); #446217=DIRECTION('',(0.,1.,0.)); #446218=DIRECTION('',(0.,1.,0.)); #446219=DIRECTION('',(0.,0.,1.)); #446220=DIRECTION('center_axis',(0.,-1.,0.)); #446221=DIRECTION('ref_axis',(1.,0.,0.)); #446222=DIRECTION('',(1.,0.,0.)); #446223=DIRECTION('',(1.,0.,0.)); #446224=DIRECTION('center_axis',(0.,0.,1.)); #446225=DIRECTION('ref_axis',(1.,0.,0.)); #446226=DIRECTION('center_axis',(0.,0.,1.)); #446227=DIRECTION('ref_axis',(1.,0.,0.)); #446228=DIRECTION('center_axis',(1.,0.,0.)); #446229=DIRECTION('ref_axis',(0.,1.,0.)); #446230=DIRECTION('',(0.,1.,0.)); #446231=DIRECTION('',(0.,0.,1.)); #446232=DIRECTION('',(0.,1.,0.)); #446233=DIRECTION('',(0.,0.,1.)); #446234=DIRECTION('center_axis',(0.,-1.,0.)); #446235=DIRECTION('ref_axis',(1.,0.,0.)); #446236=DIRECTION('',(1.,0.,0.)); #446237=DIRECTION('',(1.,0.,0.)); #446238=DIRECTION('',(0.,0.,1.)); #446239=DIRECTION('center_axis',(-1.,0.,0.)); #446240=DIRECTION('ref_axis',(0.,-1.,0.)); #446241=DIRECTION('',(0.,-1.,0.)); #446242=DIRECTION('',(0.,-1.,0.)); #446243=DIRECTION('',(0.,0.,1.)); #446244=DIRECTION('center_axis',(0.,1.,0.)); #446245=DIRECTION('ref_axis',(-1.,0.,0.)); #446246=DIRECTION('',(-1.,0.,0.)); #446247=DIRECTION('',(-1.,0.,0.)); #446248=DIRECTION('center_axis',(0.,0.,1.)); #446249=DIRECTION('ref_axis',(1.,0.,0.)); #446250=DIRECTION('center_axis',(0.,0.,1.)); #446251=DIRECTION('ref_axis',(1.,0.,0.)); #446252=DIRECTION('center_axis',(1.,0.,0.)); #446253=DIRECTION('ref_axis',(0.,1.,0.)); #446254=DIRECTION('',(0.,1.,0.)); #446255=DIRECTION('',(0.,0.,1.)); #446256=DIRECTION('',(0.,1.,0.)); #446257=DIRECTION('',(0.,0.,1.)); #446258=DIRECTION('center_axis',(0.,-1.,0.)); #446259=DIRECTION('ref_axis',(1.,0.,0.)); #446260=DIRECTION('',(1.,0.,0.)); #446261=DIRECTION('',(1.,0.,0.)); #446262=DIRECTION('',(0.,0.,1.)); #446263=DIRECTION('center_axis',(-1.,0.,0.)); #446264=DIRECTION('ref_axis',(0.,-1.,0.)); #446265=DIRECTION('',(0.,-1.,0.)); #446266=DIRECTION('',(0.,-1.,0.)); #446267=DIRECTION('',(0.,0.,1.)); #446268=DIRECTION('center_axis',(0.,1.,0.)); #446269=DIRECTION('ref_axis',(-1.,0.,0.)); #446270=DIRECTION('',(-1.,0.,0.)); #446271=DIRECTION('',(-1.,0.,0.)); #446272=DIRECTION('center_axis',(0.,0.,1.)); #446273=DIRECTION('ref_axis',(1.,0.,0.)); #446274=DIRECTION('center_axis',(0.,0.,1.)); #446275=DIRECTION('ref_axis',(1.,0.,0.)); #446276=DIRECTION('center_axis',(1.,0.,0.)); #446277=DIRECTION('ref_axis',(0.,1.,0.)); #446278=DIRECTION('',(0.,1.,0.)); #446279=DIRECTION('',(0.,0.,1.)); #446280=DIRECTION('',(0.,1.,0.)); #446281=DIRECTION('',(0.,0.,1.)); #446282=DIRECTION('center_axis',(0.,-1.,0.)); #446283=DIRECTION('ref_axis',(1.,0.,0.)); #446284=DIRECTION('',(1.,0.,0.)); #446285=DIRECTION('',(1.,0.,0.)); #446286=DIRECTION('',(0.,0.,1.)); #446287=DIRECTION('center_axis',(-1.,0.,0.)); #446288=DIRECTION('ref_axis',(0.,-1.,0.)); #446289=DIRECTION('',(0.,-1.,0.)); #446290=DIRECTION('',(0.,-1.,0.)); #446291=DIRECTION('',(0.,0.,1.)); #446292=DIRECTION('center_axis',(0.,1.,0.)); #446293=DIRECTION('ref_axis',(-1.,0.,0.)); #446294=DIRECTION('',(-1.,0.,0.)); #446295=DIRECTION('',(-1.,0.,0.)); #446296=DIRECTION('center_axis',(0.,0.,1.)); #446297=DIRECTION('ref_axis',(1.,0.,0.)); #446298=DIRECTION('center_axis',(0.,0.,1.)); #446299=DIRECTION('ref_axis',(1.,0.,0.)); #446300=DIRECTION('center_axis',(1.,0.,0.)); #446301=DIRECTION('ref_axis',(0.,1.,0.)); #446302=DIRECTION('',(0.,1.,0.)); #446303=DIRECTION('',(0.,0.,1.)); #446304=DIRECTION('',(0.,1.,0.)); #446305=DIRECTION('',(0.,0.,1.)); #446306=DIRECTION('center_axis',(0.,-1.,0.)); #446307=DIRECTION('ref_axis',(1.,0.,0.)); #446308=DIRECTION('',(1.,0.,0.)); #446309=DIRECTION('',(1.,0.,0.)); #446310=DIRECTION('',(0.,0.,1.)); #446311=DIRECTION('center_axis',(-1.,0.,0.)); #446312=DIRECTION('ref_axis',(0.,-1.,0.)); #446313=DIRECTION('',(0.,-1.,0.)); #446314=DIRECTION('',(0.,-1.,0.)); #446315=DIRECTION('',(0.,0.,1.)); #446316=DIRECTION('center_axis',(0.,1.,0.)); #446317=DIRECTION('ref_axis',(-1.,0.,0.)); #446318=DIRECTION('',(-1.,0.,0.)); #446319=DIRECTION('',(-1.,0.,0.)); #446320=DIRECTION('center_axis',(0.,0.,1.)); #446321=DIRECTION('ref_axis',(1.,0.,0.)); #446322=DIRECTION('center_axis',(0.,0.,1.)); #446323=DIRECTION('ref_axis',(1.,0.,0.)); #446324=DIRECTION('center_axis',(1.,0.,0.)); #446325=DIRECTION('ref_axis',(0.,1.,0.)); #446326=DIRECTION('',(0.,1.,0.)); #446327=DIRECTION('',(0.,0.,1.)); #446328=DIRECTION('',(0.,1.,0.)); #446329=DIRECTION('',(0.,0.,1.)); #446330=DIRECTION('center_axis',(0.,-1.,0.)); #446331=DIRECTION('ref_axis',(1.,0.,0.)); #446332=DIRECTION('',(1.,0.,0.)); #446333=DIRECTION('',(1.,0.,0.)); #446334=DIRECTION('',(0.,0.,1.)); #446335=DIRECTION('center_axis',(-1.,0.,0.)); #446336=DIRECTION('ref_axis',(0.,-1.,0.)); #446337=DIRECTION('',(0.,-1.,0.)); #446338=DIRECTION('',(0.,-1.,0.)); #446339=DIRECTION('',(0.,0.,1.)); #446340=DIRECTION('center_axis',(0.,1.,0.)); #446341=DIRECTION('ref_axis',(-1.,0.,0.)); #446342=DIRECTION('',(-1.,0.,0.)); #446343=DIRECTION('',(-1.,0.,0.)); #446344=DIRECTION('center_axis',(0.,0.,1.)); #446345=DIRECTION('ref_axis',(1.,0.,0.)); #446346=DIRECTION('center_axis',(0.,0.,1.)); #446347=DIRECTION('ref_axis',(1.,0.,0.)); #446348=DIRECTION('center_axis',(1.,0.,0.)); #446349=DIRECTION('ref_axis',(0.,1.,0.)); #446350=DIRECTION('',(0.,1.,0.)); #446351=DIRECTION('',(0.,0.,1.)); #446352=DIRECTION('',(0.,1.,0.)); #446353=DIRECTION('',(0.,0.,1.)); #446354=DIRECTION('center_axis',(0.,-1.,0.)); #446355=DIRECTION('ref_axis',(1.,0.,0.)); #446356=DIRECTION('',(1.,0.,0.)); #446357=DIRECTION('',(1.,0.,0.)); #446358=DIRECTION('',(0.,0.,1.)); #446359=DIRECTION('center_axis',(-1.,0.,0.)); #446360=DIRECTION('ref_axis',(0.,-1.,0.)); #446361=DIRECTION('',(0.,-1.,0.)); #446362=DIRECTION('',(0.,-1.,0.)); #446363=DIRECTION('',(0.,0.,1.)); #446364=DIRECTION('center_axis',(0.,1.,0.)); #446365=DIRECTION('ref_axis',(-1.,0.,0.)); #446366=DIRECTION('',(-1.,0.,0.)); #446367=DIRECTION('',(-1.,0.,0.)); #446368=DIRECTION('center_axis',(0.,0.,1.)); #446369=DIRECTION('ref_axis',(1.,0.,0.)); #446370=DIRECTION('center_axis',(0.,0.,1.)); #446371=DIRECTION('ref_axis',(1.,0.,0.)); #446372=DIRECTION('center_axis',(1.,0.,0.)); #446373=DIRECTION('ref_axis',(0.,1.,0.)); #446374=DIRECTION('',(0.,1.,0.)); #446375=DIRECTION('',(0.,0.,1.)); #446376=DIRECTION('',(0.,1.,0.)); #446377=DIRECTION('',(0.,0.,1.)); #446378=DIRECTION('center_axis',(0.,-1.,0.)); #446379=DIRECTION('ref_axis',(1.,0.,0.)); #446380=DIRECTION('',(1.,0.,0.)); #446381=DIRECTION('',(1.,0.,0.)); #446382=DIRECTION('',(0.,0.,1.)); #446383=DIRECTION('center_axis',(-1.,0.,0.)); #446384=DIRECTION('ref_axis',(0.,-1.,0.)); #446385=DIRECTION('',(0.,-1.,0.)); #446386=DIRECTION('',(0.,-1.,0.)); #446387=DIRECTION('',(0.,0.,1.)); #446388=DIRECTION('center_axis',(0.,1.,0.)); #446389=DIRECTION('ref_axis',(-1.,0.,0.)); #446390=DIRECTION('',(-1.,0.,0.)); #446391=DIRECTION('',(-1.,0.,0.)); #446392=DIRECTION('center_axis',(0.,0.,1.)); #446393=DIRECTION('ref_axis',(1.,0.,0.)); #446394=DIRECTION('center_axis',(0.,0.,1.)); #446395=DIRECTION('ref_axis',(1.,0.,0.)); #446396=DIRECTION('center_axis',(1.,0.,0.)); #446397=DIRECTION('ref_axis',(0.,1.,0.)); #446398=DIRECTION('',(0.,1.,0.)); #446399=DIRECTION('',(0.,0.,1.)); #446400=DIRECTION('',(0.,1.,0.)); #446401=DIRECTION('',(0.,0.,1.)); #446402=DIRECTION('center_axis',(0.,-1.,0.)); #446403=DIRECTION('ref_axis',(1.,0.,0.)); #446404=DIRECTION('',(1.,0.,0.)); #446405=DIRECTION('',(1.,0.,0.)); #446406=DIRECTION('',(0.,0.,1.)); #446407=DIRECTION('center_axis',(-1.,0.,0.)); #446408=DIRECTION('ref_axis',(0.,-1.,0.)); #446409=DIRECTION('',(0.,-1.,0.)); #446410=DIRECTION('',(0.,-1.,0.)); #446411=DIRECTION('',(0.,0.,1.)); #446412=DIRECTION('center_axis',(0.,1.,0.)); #446413=DIRECTION('ref_axis',(-1.,0.,0.)); #446414=DIRECTION('',(-1.,0.,0.)); #446415=DIRECTION('',(-1.,0.,0.)); #446416=DIRECTION('center_axis',(0.,0.,1.)); #446417=DIRECTION('ref_axis',(1.,0.,0.)); #446418=DIRECTION('center_axis',(0.,0.,1.)); #446419=DIRECTION('ref_axis',(1.,0.,0.)); #446420=DIRECTION('center_axis',(1.,0.,0.)); #446421=DIRECTION('ref_axis',(0.,1.,0.)); #446422=DIRECTION('',(0.,1.,0.)); #446423=DIRECTION('',(0.,0.,1.)); #446424=DIRECTION('',(0.,1.,0.)); #446425=DIRECTION('',(0.,0.,1.)); #446426=DIRECTION('center_axis',(0.,-1.,0.)); #446427=DIRECTION('ref_axis',(1.,0.,0.)); #446428=DIRECTION('',(1.,0.,0.)); #446429=DIRECTION('',(1.,0.,0.)); #446430=DIRECTION('',(0.,0.,1.)); #446431=DIRECTION('center_axis',(-1.,0.,0.)); #446432=DIRECTION('ref_axis',(0.,-1.,0.)); #446433=DIRECTION('',(0.,-1.,0.)); #446434=DIRECTION('',(0.,-1.,0.)); #446435=DIRECTION('',(0.,0.,1.)); #446436=DIRECTION('center_axis',(0.,1.,0.)); #446437=DIRECTION('ref_axis',(-1.,0.,0.)); #446438=DIRECTION('',(-1.,0.,0.)); #446439=DIRECTION('',(-1.,0.,0.)); #446440=DIRECTION('center_axis',(0.,0.,1.)); #446441=DIRECTION('ref_axis',(1.,0.,0.)); #446442=DIRECTION('center_axis',(0.,0.,1.)); #446443=DIRECTION('ref_axis',(1.,0.,0.)); #446444=DIRECTION('center_axis',(1.,0.,0.)); #446445=DIRECTION('ref_axis',(0.,1.,0.)); #446446=DIRECTION('',(0.,1.,0.)); #446447=DIRECTION('',(0.,0.,1.)); #446448=DIRECTION('',(0.,1.,0.)); #446449=DIRECTION('',(0.,0.,1.)); #446450=DIRECTION('center_axis',(0.,-1.,0.)); #446451=DIRECTION('ref_axis',(1.,0.,0.)); #446452=DIRECTION('',(1.,0.,0.)); #446453=DIRECTION('',(1.,0.,0.)); #446454=DIRECTION('',(0.,0.,1.)); #446455=DIRECTION('center_axis',(-1.,0.,0.)); #446456=DIRECTION('ref_axis',(0.,-1.,0.)); #446457=DIRECTION('',(0.,-1.,0.)); #446458=DIRECTION('',(0.,-1.,0.)); #446459=DIRECTION('',(0.,0.,1.)); #446460=DIRECTION('center_axis',(0.,1.,0.)); #446461=DIRECTION('ref_axis',(-1.,0.,0.)); #446462=DIRECTION('',(-1.,0.,0.)); #446463=DIRECTION('',(-1.,0.,0.)); #446464=DIRECTION('center_axis',(0.,0.,1.)); #446465=DIRECTION('ref_axis',(1.,0.,0.)); #446466=DIRECTION('center_axis',(0.,0.,1.)); #446467=DIRECTION('ref_axis',(1.,0.,0.)); #446468=DIRECTION('center_axis',(1.,0.,0.)); #446469=DIRECTION('ref_axis',(0.,1.,0.)); #446470=DIRECTION('',(0.,1.,0.)); #446471=DIRECTION('',(0.,0.,1.)); #446472=DIRECTION('',(0.,1.,0.)); #446473=DIRECTION('',(0.,0.,1.)); #446474=DIRECTION('center_axis',(0.,-1.,0.)); #446475=DIRECTION('ref_axis',(1.,0.,0.)); #446476=DIRECTION('',(1.,0.,0.)); #446477=DIRECTION('',(1.,0.,0.)); #446478=DIRECTION('',(0.,0.,1.)); #446479=DIRECTION('center_axis',(-1.,0.,0.)); #446480=DIRECTION('ref_axis',(0.,-1.,0.)); #446481=DIRECTION('',(0.,-1.,0.)); #446482=DIRECTION('',(0.,-1.,0.)); #446483=DIRECTION('',(0.,0.,1.)); #446484=DIRECTION('center_axis',(0.,1.,0.)); #446485=DIRECTION('ref_axis',(-1.,0.,0.)); #446486=DIRECTION('',(-1.,0.,0.)); #446487=DIRECTION('',(-1.,0.,0.)); #446488=DIRECTION('center_axis',(0.,0.,1.)); #446489=DIRECTION('ref_axis',(1.,0.,0.)); #446490=DIRECTION('center_axis',(0.,0.,1.)); #446491=DIRECTION('ref_axis',(1.,0.,0.)); #446492=DIRECTION('center_axis',(1.,0.,0.)); #446493=DIRECTION('ref_axis',(0.,1.,0.)); #446494=DIRECTION('',(0.,1.,0.)); #446495=DIRECTION('',(0.,0.,1.)); #446496=DIRECTION('',(0.,1.,0.)); #446497=DIRECTION('',(0.,0.,1.)); #446498=DIRECTION('center_axis',(0.,-1.,0.)); #446499=DIRECTION('ref_axis',(1.,0.,0.)); #446500=DIRECTION('',(1.,0.,0.)); #446501=DIRECTION('',(1.,0.,0.)); #446502=DIRECTION('',(0.,0.,1.)); #446503=DIRECTION('center_axis',(-1.,0.,0.)); #446504=DIRECTION('ref_axis',(0.,-1.,0.)); #446505=DIRECTION('',(0.,-1.,0.)); #446506=DIRECTION('',(0.,-1.,0.)); #446507=DIRECTION('',(0.,0.,1.)); #446508=DIRECTION('center_axis',(0.,1.,0.)); #446509=DIRECTION('ref_axis',(-1.,0.,0.)); #446510=DIRECTION('',(-1.,0.,0.)); #446511=DIRECTION('',(-1.,0.,0.)); #446512=DIRECTION('center_axis',(0.,0.,1.)); #446513=DIRECTION('ref_axis',(1.,0.,0.)); #446514=DIRECTION('center_axis',(0.,0.,1.)); #446515=DIRECTION('ref_axis',(1.,0.,0.)); #446516=DIRECTION('center_axis',(1.,0.,0.)); #446517=DIRECTION('ref_axis',(0.,1.,0.)); #446518=DIRECTION('',(0.,1.,0.)); #446519=DIRECTION('',(0.,0.,1.)); #446520=DIRECTION('',(0.,1.,0.)); #446521=DIRECTION('',(0.,0.,1.)); #446522=DIRECTION('center_axis',(0.,-1.,0.)); #446523=DIRECTION('ref_axis',(1.,0.,0.)); #446524=DIRECTION('',(1.,0.,0.)); #446525=DIRECTION('',(1.,0.,0.)); #446526=DIRECTION('',(0.,0.,1.)); #446527=DIRECTION('center_axis',(-1.,0.,0.)); #446528=DIRECTION('ref_axis',(0.,-1.,0.)); #446529=DIRECTION('',(0.,-1.,0.)); #446530=DIRECTION('',(0.,-1.,0.)); #446531=DIRECTION('',(0.,0.,1.)); #446532=DIRECTION('center_axis',(0.,1.,0.)); #446533=DIRECTION('ref_axis',(-1.,0.,0.)); #446534=DIRECTION('',(-1.,0.,0.)); #446535=DIRECTION('',(-1.,0.,0.)); #446536=DIRECTION('center_axis',(0.,0.,1.)); #446537=DIRECTION('ref_axis',(1.,0.,0.)); #446538=DIRECTION('center_axis',(0.,0.,1.)); #446539=DIRECTION('ref_axis',(1.,0.,0.)); #446540=DIRECTION('center_axis',(1.,0.,0.)); #446541=DIRECTION('ref_axis',(0.,1.,0.)); #446542=DIRECTION('',(0.,1.,0.)); #446543=DIRECTION('',(0.,0.,1.)); #446544=DIRECTION('',(0.,1.,0.)); #446545=DIRECTION('',(0.,0.,1.)); #446546=DIRECTION('center_axis',(0.,-1.,0.)); #446547=DIRECTION('ref_axis',(1.,0.,0.)); #446548=DIRECTION('',(1.,0.,0.)); #446549=DIRECTION('',(1.,0.,0.)); #446550=DIRECTION('',(0.,0.,1.)); #446551=DIRECTION('center_axis',(-1.,0.,0.)); #446552=DIRECTION('ref_axis',(0.,-1.,0.)); #446553=DIRECTION('',(0.,-1.,0.)); #446554=DIRECTION('',(0.,-1.,0.)); #446555=DIRECTION('',(0.,0.,1.)); #446556=DIRECTION('center_axis',(0.,1.,0.)); #446557=DIRECTION('ref_axis',(-1.,0.,0.)); #446558=DIRECTION('',(-1.,0.,0.)); #446559=DIRECTION('',(-1.,0.,0.)); #446560=DIRECTION('center_axis',(0.,0.,1.)); #446561=DIRECTION('ref_axis',(1.,0.,0.)); #446562=DIRECTION('center_axis',(0.,0.,1.)); #446563=DIRECTION('ref_axis',(1.,0.,0.)); #446564=DIRECTION('center_axis',(1.,0.,0.)); #446565=DIRECTION('ref_axis',(0.,1.,0.)); #446566=DIRECTION('',(0.,1.,0.)); #446567=DIRECTION('',(0.,0.,1.)); #446568=DIRECTION('',(0.,1.,0.)); #446569=DIRECTION('',(0.,0.,1.)); #446570=DIRECTION('center_axis',(0.,-1.,0.)); #446571=DIRECTION('ref_axis',(1.,0.,0.)); #446572=DIRECTION('',(1.,0.,0.)); #446573=DIRECTION('',(1.,0.,0.)); #446574=DIRECTION('',(0.,0.,1.)); #446575=DIRECTION('center_axis',(-1.,0.,0.)); #446576=DIRECTION('ref_axis',(0.,-1.,0.)); #446577=DIRECTION('',(0.,-1.,0.)); #446578=DIRECTION('',(0.,-1.,0.)); #446579=DIRECTION('',(0.,0.,1.)); #446580=DIRECTION('center_axis',(0.,1.,0.)); #446581=DIRECTION('ref_axis',(-1.,0.,0.)); #446582=DIRECTION('',(-1.,0.,0.)); #446583=DIRECTION('',(-1.,0.,0.)); #446584=DIRECTION('center_axis',(0.,0.,1.)); #446585=DIRECTION('ref_axis',(1.,0.,0.)); #446586=DIRECTION('center_axis',(0.,0.,1.)); #446587=DIRECTION('ref_axis',(1.,0.,0.)); #446588=DIRECTION('center_axis',(1.,0.,0.)); #446589=DIRECTION('ref_axis',(0.,1.,0.)); #446590=DIRECTION('',(0.,1.,0.)); #446591=DIRECTION('',(0.,0.,1.)); #446592=DIRECTION('',(0.,1.,0.)); #446593=DIRECTION('',(0.,0.,1.)); #446594=DIRECTION('center_axis',(0.,-1.,0.)); #446595=DIRECTION('ref_axis',(1.,0.,0.)); #446596=DIRECTION('',(1.,0.,0.)); #446597=DIRECTION('',(1.,0.,0.)); #446598=DIRECTION('',(0.,0.,1.)); #446599=DIRECTION('center_axis',(-1.,0.,0.)); #446600=DIRECTION('ref_axis',(0.,-1.,0.)); #446601=DIRECTION('',(0.,-1.,0.)); #446602=DIRECTION('',(0.,-1.,0.)); #446603=DIRECTION('',(0.,0.,1.)); #446604=DIRECTION('center_axis',(0.,1.,0.)); #446605=DIRECTION('ref_axis',(-1.,0.,0.)); #446606=DIRECTION('',(-1.,0.,0.)); #446607=DIRECTION('',(-1.,0.,0.)); #446608=DIRECTION('center_axis',(0.,0.,1.)); #446609=DIRECTION('ref_axis',(1.,0.,0.)); #446610=DIRECTION('center_axis',(0.,0.,1.)); #446611=DIRECTION('ref_axis',(1.,0.,0.)); #446612=DIRECTION('center_axis',(0.,0.,1.)); #446613=DIRECTION('ref_axis',(1.,0.,0.)); #446614=DIRECTION('center_axis',(0.,0.,1.)); #446615=DIRECTION('ref_axis',(1.,0.,0.)); #446616=DIRECTION('',(0.,0.,1.)); #446617=DIRECTION('center_axis',(0.,0.,-1.)); #446618=DIRECTION('ref_axis',(1.,0.,0.)); #446619=DIRECTION('center_axis',(1.,0.,0.)); #446620=DIRECTION('ref_axis',(0.,1.,0.)); #446621=DIRECTION('',(0.,1.,0.)); #446622=DIRECTION('',(0.,0.,1.)); #446623=DIRECTION('',(0.,1.,0.)); #446624=DIRECTION('',(0.,0.,1.)); #446625=DIRECTION('center_axis',(0.,-1.,0.)); #446626=DIRECTION('ref_axis',(1.,0.,0.)); #446627=DIRECTION('',(1.,0.,0.)); #446628=DIRECTION('',(1.,0.,0.)); #446629=DIRECTION('',(0.,0.,1.)); #446630=DIRECTION('center_axis',(-1.,0.,0.)); #446631=DIRECTION('ref_axis',(0.,-1.,0.)); #446632=DIRECTION('',(0.,-1.,0.)); #446633=DIRECTION('',(0.,-1.,0.)); #446634=DIRECTION('',(0.,0.,1.)); #446635=DIRECTION('center_axis',(0.,1.,0.)); #446636=DIRECTION('ref_axis',(-1.,0.,0.)); #446637=DIRECTION('',(-1.,0.,0.)); #446638=DIRECTION('',(-1.,0.,0.)); #446639=DIRECTION('center_axis',(0.,0.,1.)); #446640=DIRECTION('ref_axis',(1.,0.,0.)); #446641=DIRECTION('center_axis',(0.,0.,1.)); #446642=DIRECTION('ref_axis',(1.,0.,0.)); #446643=DIRECTION('center_axis',(1.,0.,0.)); #446644=DIRECTION('ref_axis',(0.,1.,0.)); #446645=DIRECTION('',(0.,1.,0.)); #446646=DIRECTION('',(0.,0.,1.)); #446647=DIRECTION('',(0.,1.,0.)); #446648=DIRECTION('',(0.,0.,1.)); #446649=DIRECTION('center_axis',(0.,-1.,0.)); #446650=DIRECTION('ref_axis',(1.,0.,0.)); #446651=DIRECTION('',(1.,0.,0.)); #446652=DIRECTION('',(1.,0.,0.)); #446653=DIRECTION('',(0.,0.,1.)); #446654=DIRECTION('center_axis',(-1.,0.,0.)); #446655=DIRECTION('ref_axis',(0.,-1.,0.)); #446656=DIRECTION('',(0.,-1.,0.)); #446657=DIRECTION('',(0.,-1.,0.)); #446658=DIRECTION('',(0.,0.,1.)); #446659=DIRECTION('center_axis',(0.,1.,0.)); #446660=DIRECTION('ref_axis',(-1.,0.,0.)); #446661=DIRECTION('',(-1.,0.,0.)); #446662=DIRECTION('',(-1.,0.,0.)); #446663=DIRECTION('center_axis',(0.,0.,1.)); #446664=DIRECTION('ref_axis',(1.,0.,0.)); #446665=DIRECTION('center_axis',(0.,0.,1.)); #446666=DIRECTION('ref_axis',(1.,0.,0.)); #446667=DIRECTION('center_axis',(1.,0.,0.)); #446668=DIRECTION('ref_axis',(0.,1.,0.)); #446669=DIRECTION('',(0.,1.,0.)); #446670=DIRECTION('',(0.,0.,1.)); #446671=DIRECTION('',(0.,1.,0.)); #446672=DIRECTION('',(0.,0.,1.)); #446673=DIRECTION('center_axis',(0.,-1.,0.)); #446674=DIRECTION('ref_axis',(1.,0.,0.)); #446675=DIRECTION('',(1.,0.,0.)); #446676=DIRECTION('',(1.,0.,0.)); #446677=DIRECTION('',(0.,0.,1.)); #446678=DIRECTION('center_axis',(-1.,0.,0.)); #446679=DIRECTION('ref_axis',(0.,-1.,0.)); #446680=DIRECTION('',(0.,-1.,0.)); #446681=DIRECTION('',(0.,-1.,0.)); #446682=DIRECTION('',(0.,0.,1.)); #446683=DIRECTION('center_axis',(0.,1.,0.)); #446684=DIRECTION('ref_axis',(-1.,0.,0.)); #446685=DIRECTION('',(-1.,0.,0.)); #446686=DIRECTION('',(-1.,0.,0.)); #446687=DIRECTION('center_axis',(0.,0.,1.)); #446688=DIRECTION('ref_axis',(1.,0.,0.)); #446689=DIRECTION('center_axis',(0.,0.,1.)); #446690=DIRECTION('ref_axis',(1.,0.,0.)); #446691=DIRECTION('center_axis',(1.,0.,0.)); #446692=DIRECTION('ref_axis',(0.,1.,0.)); #446693=DIRECTION('',(0.,1.,0.)); #446694=DIRECTION('',(0.,0.,1.)); #446695=DIRECTION('',(0.,1.,0.)); #446696=DIRECTION('',(0.,0.,1.)); #446697=DIRECTION('center_axis',(0.,-1.,0.)); #446698=DIRECTION('ref_axis',(1.,0.,0.)); #446699=DIRECTION('',(1.,0.,0.)); #446700=DIRECTION('',(1.,0.,0.)); #446701=DIRECTION('',(0.,0.,1.)); #446702=DIRECTION('center_axis',(-1.,0.,0.)); #446703=DIRECTION('ref_axis',(0.,-1.,0.)); #446704=DIRECTION('',(0.,-1.,0.)); #446705=DIRECTION('',(0.,-1.,0.)); #446706=DIRECTION('',(0.,0.,1.)); #446707=DIRECTION('center_axis',(0.,1.,0.)); #446708=DIRECTION('ref_axis',(-1.,0.,0.)); #446709=DIRECTION('',(-1.,0.,0.)); #446710=DIRECTION('',(-1.,0.,0.)); #446711=DIRECTION('center_axis',(0.,0.,1.)); #446712=DIRECTION('ref_axis',(1.,0.,0.)); #446713=DIRECTION('center_axis',(0.,0.,1.)); #446714=DIRECTION('ref_axis',(1.,0.,0.)); #446715=DIRECTION('center_axis',(1.,0.,0.)); #446716=DIRECTION('ref_axis',(0.,1.,0.)); #446717=DIRECTION('',(0.,1.,0.)); #446718=DIRECTION('',(0.,0.,1.)); #446719=DIRECTION('',(0.,1.,0.)); #446720=DIRECTION('',(0.,0.,1.)); #446721=DIRECTION('center_axis',(0.,-1.,0.)); #446722=DIRECTION('ref_axis',(1.,0.,0.)); #446723=DIRECTION('',(1.,0.,0.)); #446724=DIRECTION('',(1.,0.,0.)); #446725=DIRECTION('',(0.,0.,1.)); #446726=DIRECTION('center_axis',(-1.,0.,0.)); #446727=DIRECTION('ref_axis',(0.,-1.,0.)); #446728=DIRECTION('',(0.,-1.,0.)); #446729=DIRECTION('',(0.,-1.,0.)); #446730=DIRECTION('',(0.,0.,1.)); #446731=DIRECTION('center_axis',(0.,1.,0.)); #446732=DIRECTION('ref_axis',(-1.,0.,0.)); #446733=DIRECTION('',(-1.,0.,0.)); #446734=DIRECTION('',(-1.,0.,0.)); #446735=DIRECTION('center_axis',(0.,0.,1.)); #446736=DIRECTION('ref_axis',(1.,0.,0.)); #446737=DIRECTION('center_axis',(0.,0.,1.)); #446738=DIRECTION('ref_axis',(1.,0.,0.)); #446739=DIRECTION('center_axis',(0.,0.,1.)); #446740=DIRECTION('ref_axis',(1.,0.,0.)); #446741=DIRECTION('center_axis',(0.,0.,1.)); #446742=DIRECTION('ref_axis',(1.,0.,0.)); #446743=DIRECTION('',(0.,0.,1.)); #446744=DIRECTION('center_axis',(0.,0.,-1.)); #446745=DIRECTION('ref_axis',(1.,0.,0.)); #446746=DIRECTION('center_axis',(0.,0.,1.)); #446747=DIRECTION('ref_axis',(1.,0.,0.)); #446748=DIRECTION('center_axis',(0.,0.,1.)); #446749=DIRECTION('ref_axis',(1.,0.,0.)); #446750=DIRECTION('',(0.,0.,1.)); #446751=DIRECTION('center_axis',(0.,0.,-1.)); #446752=DIRECTION('ref_axis',(1.,0.,0.)); #446753=DIRECTION('center_axis',(0.,0.,1.)); #446754=DIRECTION('ref_axis',(1.,0.,0.)); #446755=DIRECTION('center_axis',(0.,0.,1.)); #446756=DIRECTION('ref_axis',(1.,0.,0.)); #446757=DIRECTION('',(0.,0.,1.)); #446758=DIRECTION('center_axis',(0.,0.,-1.)); #446759=DIRECTION('ref_axis',(1.,0.,0.)); #446760=DIRECTION('center_axis',(0.,0.,1.)); #446761=DIRECTION('ref_axis',(1.,0.,0.)); #446762=DIRECTION('center_axis',(0.,0.,1.)); #446763=DIRECTION('ref_axis',(1.,0.,0.)); #446764=DIRECTION('',(0.,0.,1.)); #446765=DIRECTION('center_axis',(0.,0.,-1.)); #446766=DIRECTION('ref_axis',(1.,0.,0.)); #446767=DIRECTION('center_axis',(0.,0.,1.)); #446768=DIRECTION('ref_axis',(1.,0.,0.)); #446769=DIRECTION('center_axis',(0.,0.,1.)); #446770=DIRECTION('ref_axis',(1.,0.,0.)); #446771=DIRECTION('',(0.,0.,1.)); #446772=DIRECTION('center_axis',(0.,0.,-1.)); #446773=DIRECTION('ref_axis',(1.,0.,0.)); #446774=DIRECTION('center_axis',(0.,0.,1.)); #446775=DIRECTION('ref_axis',(1.,0.,0.)); #446776=DIRECTION('center_axis',(0.,0.,1.)); #446777=DIRECTION('ref_axis',(1.,0.,0.)); #446778=DIRECTION('',(0.,0.,1.)); #446779=DIRECTION('center_axis',(0.,0.,-1.)); #446780=DIRECTION('ref_axis',(1.,0.,0.)); #446781=DIRECTION('center_axis',(0.,0.,1.)); #446782=DIRECTION('ref_axis',(1.,0.,0.)); #446783=DIRECTION('center_axis',(0.,0.,1.)); #446784=DIRECTION('ref_axis',(1.,0.,0.)); #446785=DIRECTION('',(0.,0.,1.)); #446786=DIRECTION('center_axis',(0.,0.,-1.)); #446787=DIRECTION('ref_axis',(1.,0.,0.)); #446788=DIRECTION('center_axis',(0.,0.,1.)); #446789=DIRECTION('ref_axis',(1.,0.,0.)); #446790=DIRECTION('center_axis',(0.,0.,1.)); #446791=DIRECTION('ref_axis',(1.,0.,0.)); #446792=DIRECTION('',(0.,0.,1.)); #446793=DIRECTION('center_axis',(0.,0.,-1.)); #446794=DIRECTION('ref_axis',(1.,0.,0.)); #446795=DIRECTION('center_axis',(0.,0.,1.)); #446796=DIRECTION('ref_axis',(1.,0.,0.)); #446797=DIRECTION('center_axis',(0.,0.,1.)); #446798=DIRECTION('ref_axis',(1.,0.,0.)); #446799=DIRECTION('',(0.,0.,1.)); #446800=DIRECTION('center_axis',(0.,0.,-1.)); #446801=DIRECTION('ref_axis',(1.,0.,0.)); #446802=DIRECTION('center_axis',(0.,0.,1.)); #446803=DIRECTION('ref_axis',(1.,0.,0.)); #446804=DIRECTION('center_axis',(0.,0.,1.)); #446805=DIRECTION('ref_axis',(1.,0.,0.)); #446806=DIRECTION('',(0.,0.,1.)); #446807=DIRECTION('center_axis',(0.,0.,-1.)); #446808=DIRECTION('ref_axis',(1.,0.,0.)); #446809=DIRECTION('center_axis',(0.,0.,1.)); #446810=DIRECTION('ref_axis',(1.,0.,0.)); #446811=DIRECTION('center_axis',(0.,0.,1.)); #446812=DIRECTION('ref_axis',(1.,0.,0.)); #446813=DIRECTION('',(0.,0.,1.)); #446814=DIRECTION('center_axis',(0.,0.,-1.)); #446815=DIRECTION('ref_axis',(1.,0.,0.)); #446816=DIRECTION('center_axis',(0.,0.,1.)); #446817=DIRECTION('ref_axis',(1.,0.,0.)); #446818=DIRECTION('center_axis',(0.,0.,1.)); #446819=DIRECTION('ref_axis',(1.,0.,0.)); #446820=DIRECTION('',(0.,0.,1.)); #446821=DIRECTION('center_axis',(0.,0.,-1.)); #446822=DIRECTION('ref_axis',(1.,0.,0.)); #446823=DIRECTION('center_axis',(0.,0.,1.)); #446824=DIRECTION('ref_axis',(1.,0.,0.)); #446825=DIRECTION('center_axis',(0.,0.,1.)); #446826=DIRECTION('ref_axis',(1.,0.,0.)); #446827=DIRECTION('',(0.,0.,1.)); #446828=DIRECTION('center_axis',(0.,0.,-1.)); #446829=DIRECTION('ref_axis',(1.,0.,0.)); #446830=DIRECTION('center_axis',(0.,0.,1.)); #446831=DIRECTION('ref_axis',(1.,0.,0.)); #446832=DIRECTION('center_axis',(0.,0.,1.)); #446833=DIRECTION('ref_axis',(1.,0.,0.)); #446834=DIRECTION('',(0.,0.,1.)); #446835=DIRECTION('center_axis',(0.,0.,-1.)); #446836=DIRECTION('ref_axis',(1.,0.,0.)); #446837=DIRECTION('center_axis',(0.,0.,1.)); #446838=DIRECTION('ref_axis',(1.,0.,0.)); #446839=DIRECTION('center_axis',(0.,0.,1.)); #446840=DIRECTION('ref_axis',(1.,0.,0.)); #446841=DIRECTION('',(0.,0.,1.)); #446842=DIRECTION('center_axis',(0.,0.,-1.)); #446843=DIRECTION('ref_axis',(1.,0.,0.)); #446844=DIRECTION('center_axis',(1.,0.,0.)); #446845=DIRECTION('ref_axis',(0.,1.,0.)); #446846=DIRECTION('',(0.,1.,0.)); #446847=DIRECTION('',(0.,0.,1.)); #446848=DIRECTION('',(0.,1.,0.)); #446849=DIRECTION('',(0.,0.,1.)); #446850=DIRECTION('center_axis',(0.,-1.,0.)); #446851=DIRECTION('ref_axis',(1.,0.,0.)); #446852=DIRECTION('',(1.,0.,0.)); #446853=DIRECTION('',(1.,0.,0.)); #446854=DIRECTION('',(0.,0.,1.)); #446855=DIRECTION('center_axis',(-1.,0.,0.)); #446856=DIRECTION('ref_axis',(0.,-1.,0.)); #446857=DIRECTION('',(0.,-1.,0.)); #446858=DIRECTION('',(0.,-1.,0.)); #446859=DIRECTION('',(0.,0.,1.)); #446860=DIRECTION('center_axis',(0.,1.,0.)); #446861=DIRECTION('ref_axis',(-1.,0.,0.)); #446862=DIRECTION('',(-1.,0.,0.)); #446863=DIRECTION('',(-1.,0.,0.)); #446864=DIRECTION('center_axis',(0.,0.,1.)); #446865=DIRECTION('ref_axis',(1.,0.,0.)); #446866=DIRECTION('center_axis',(0.,0.,1.)); #446867=DIRECTION('ref_axis',(1.,0.,0.)); #446868=DIRECTION('center_axis',(1.,0.,0.)); #446869=DIRECTION('ref_axis',(0.,1.,0.)); #446870=DIRECTION('',(0.,1.,0.)); #446871=DIRECTION('',(0.,0.,1.)); #446872=DIRECTION('',(0.,1.,0.)); #446873=DIRECTION('',(0.,0.,1.)); #446874=DIRECTION('center_axis',(0.,-1.,0.)); #446875=DIRECTION('ref_axis',(1.,0.,0.)); #446876=DIRECTION('',(1.,0.,0.)); #446877=DIRECTION('',(1.,0.,0.)); #446878=DIRECTION('',(0.,0.,1.)); #446879=DIRECTION('center_axis',(-1.,0.,0.)); #446880=DIRECTION('ref_axis',(0.,-1.,0.)); #446881=DIRECTION('',(0.,-1.,0.)); #446882=DIRECTION('',(0.,-1.,0.)); #446883=DIRECTION('',(0.,0.,1.)); #446884=DIRECTION('center_axis',(0.,1.,0.)); #446885=DIRECTION('ref_axis',(-1.,0.,0.)); #446886=DIRECTION('',(-1.,0.,0.)); #446887=DIRECTION('',(-1.,0.,0.)); #446888=DIRECTION('center_axis',(0.,0.,1.)); #446889=DIRECTION('ref_axis',(1.,0.,0.)); #446890=DIRECTION('center_axis',(0.,0.,1.)); #446891=DIRECTION('ref_axis',(1.,0.,0.)); #446892=DIRECTION('center_axis',(1.,0.,0.)); #446893=DIRECTION('ref_axis',(0.,1.,0.)); #446894=DIRECTION('',(0.,1.,0.)); #446895=DIRECTION('',(0.,0.,1.)); #446896=DIRECTION('',(0.,1.,0.)); #446897=DIRECTION('',(0.,0.,1.)); #446898=DIRECTION('center_axis',(0.,-1.,0.)); #446899=DIRECTION('ref_axis',(1.,0.,0.)); #446900=DIRECTION('',(1.,0.,0.)); #446901=DIRECTION('',(1.,0.,0.)); #446902=DIRECTION('',(0.,0.,1.)); #446903=DIRECTION('center_axis',(-1.,0.,0.)); #446904=DIRECTION('ref_axis',(0.,-1.,0.)); #446905=DIRECTION('',(0.,-1.,0.)); #446906=DIRECTION('',(0.,-1.,0.)); #446907=DIRECTION('',(0.,0.,1.)); #446908=DIRECTION('center_axis',(0.,1.,0.)); #446909=DIRECTION('ref_axis',(-1.,0.,0.)); #446910=DIRECTION('',(-1.,0.,0.)); #446911=DIRECTION('',(-1.,0.,0.)); #446912=DIRECTION('center_axis',(0.,0.,1.)); #446913=DIRECTION('ref_axis',(1.,0.,0.)); #446914=DIRECTION('center_axis',(0.,0.,1.)); #446915=DIRECTION('ref_axis',(1.,0.,0.)); #446916=DIRECTION('center_axis',(1.,0.,0.)); #446917=DIRECTION('ref_axis',(0.,1.,0.)); #446918=DIRECTION('',(0.,1.,0.)); #446919=DIRECTION('',(0.,0.,1.)); #446920=DIRECTION('',(0.,1.,0.)); #446921=DIRECTION('',(0.,0.,1.)); #446922=DIRECTION('center_axis',(0.,-1.,0.)); #446923=DIRECTION('ref_axis',(1.,0.,0.)); #446924=DIRECTION('',(1.,0.,0.)); #446925=DIRECTION('',(1.,0.,0.)); #446926=DIRECTION('',(0.,0.,1.)); #446927=DIRECTION('center_axis',(-1.,0.,0.)); #446928=DIRECTION('ref_axis',(0.,-1.,0.)); #446929=DIRECTION('',(0.,-1.,0.)); #446930=DIRECTION('',(0.,-1.,0.)); #446931=DIRECTION('',(0.,0.,1.)); #446932=DIRECTION('center_axis',(0.,1.,0.)); #446933=DIRECTION('ref_axis',(-1.,0.,0.)); #446934=DIRECTION('',(-1.,0.,0.)); #446935=DIRECTION('',(-1.,0.,0.)); #446936=DIRECTION('center_axis',(0.,0.,1.)); #446937=DIRECTION('ref_axis',(1.,0.,0.)); #446938=DIRECTION('center_axis',(0.,0.,1.)); #446939=DIRECTION('ref_axis',(1.,0.,0.)); #446940=DIRECTION('center_axis',(0.,0.,1.)); #446941=DIRECTION('ref_axis',(1.,0.,0.)); #446942=DIRECTION('center_axis',(0.,0.,1.)); #446943=DIRECTION('ref_axis',(1.,0.,0.)); #446944=DIRECTION('',(0.,0.,1.)); #446945=DIRECTION('center_axis',(0.,0.,-1.)); #446946=DIRECTION('ref_axis',(1.,0.,0.)); #446947=DIRECTION('center_axis',(1.,0.,0.)); #446948=DIRECTION('ref_axis',(0.,1.,0.)); #446949=DIRECTION('',(0.,1.,0.)); #446950=DIRECTION('',(0.,0.,1.)); #446951=DIRECTION('',(0.,1.,0.)); #446952=DIRECTION('',(0.,0.,1.)); #446953=DIRECTION('center_axis',(0.,-1.,0.)); #446954=DIRECTION('ref_axis',(1.,0.,0.)); #446955=DIRECTION('',(1.,0.,0.)); #446956=DIRECTION('',(1.,0.,0.)); #446957=DIRECTION('',(0.,0.,1.)); #446958=DIRECTION('center_axis',(-1.,0.,0.)); #446959=DIRECTION('ref_axis',(0.,-1.,0.)); #446960=DIRECTION('',(0.,-1.,0.)); #446961=DIRECTION('',(0.,-1.,0.)); #446962=DIRECTION('',(0.,0.,1.)); #446963=DIRECTION('center_axis',(0.,1.,0.)); #446964=DIRECTION('ref_axis',(-1.,0.,0.)); #446965=DIRECTION('',(-1.,0.,0.)); #446966=DIRECTION('',(-1.,0.,0.)); #446967=DIRECTION('center_axis',(0.,0.,1.)); #446968=DIRECTION('ref_axis',(1.,0.,0.)); #446969=DIRECTION('center_axis',(0.,0.,1.)); #446970=DIRECTION('ref_axis',(1.,0.,0.)); #446971=DIRECTION('center_axis',(1.,0.,0.)); #446972=DIRECTION('ref_axis',(0.,1.,0.)); #446973=DIRECTION('',(0.,1.,0.)); #446974=DIRECTION('',(0.,0.,1.)); #446975=DIRECTION('',(0.,1.,0.)); #446976=DIRECTION('',(0.,0.,1.)); #446977=DIRECTION('center_axis',(0.,-1.,0.)); #446978=DIRECTION('ref_axis',(1.,0.,0.)); #446979=DIRECTION('',(1.,0.,0.)); #446980=DIRECTION('',(1.,0.,0.)); #446981=DIRECTION('',(0.,0.,1.)); #446982=DIRECTION('center_axis',(-1.,0.,0.)); #446983=DIRECTION('ref_axis',(0.,-1.,0.)); #446984=DIRECTION('',(0.,-1.,0.)); #446985=DIRECTION('',(0.,-1.,0.)); #446986=DIRECTION('',(0.,0.,1.)); #446987=DIRECTION('center_axis',(0.,1.,0.)); #446988=DIRECTION('ref_axis',(-1.,0.,0.)); #446989=DIRECTION('',(-1.,0.,0.)); #446990=DIRECTION('',(-1.,0.,0.)); #446991=DIRECTION('center_axis',(0.,0.,1.)); #446992=DIRECTION('ref_axis',(1.,0.,0.)); #446993=DIRECTION('center_axis',(0.,0.,1.)); #446994=DIRECTION('ref_axis',(1.,0.,0.)); #446995=DIRECTION('center_axis',(1.,0.,0.)); #446996=DIRECTION('ref_axis',(0.,1.,0.)); #446997=DIRECTION('',(0.,1.,0.)); #446998=DIRECTION('',(0.,0.,1.)); #446999=DIRECTION('',(0.,1.,0.)); #447000=DIRECTION('',(0.,0.,1.)); #447001=DIRECTION('center_axis',(0.,-1.,0.)); #447002=DIRECTION('ref_axis',(1.,0.,0.)); #447003=DIRECTION('',(1.,0.,0.)); #447004=DIRECTION('',(1.,0.,0.)); #447005=DIRECTION('',(0.,0.,1.)); #447006=DIRECTION('center_axis',(-1.,0.,0.)); #447007=DIRECTION('ref_axis',(0.,-1.,0.)); #447008=DIRECTION('',(0.,-1.,0.)); #447009=DIRECTION('',(0.,-1.,0.)); #447010=DIRECTION('',(0.,0.,1.)); #447011=DIRECTION('center_axis',(0.,1.,0.)); #447012=DIRECTION('ref_axis',(-1.,0.,0.)); #447013=DIRECTION('',(-1.,0.,0.)); #447014=DIRECTION('',(-1.,0.,0.)); #447015=DIRECTION('center_axis',(0.,0.,1.)); #447016=DIRECTION('ref_axis',(1.,0.,0.)); #447017=DIRECTION('center_axis',(0.,0.,1.)); #447018=DIRECTION('ref_axis',(1.,0.,0.)); #447019=DIRECTION('center_axis',(1.,0.,0.)); #447020=DIRECTION('ref_axis',(0.,1.,0.)); #447021=DIRECTION('',(0.,1.,0.)); #447022=DIRECTION('',(0.,0.,1.)); #447023=DIRECTION('',(0.,1.,0.)); #447024=DIRECTION('',(0.,0.,1.)); #447025=DIRECTION('center_axis',(0.,-1.,0.)); #447026=DIRECTION('ref_axis',(1.,0.,0.)); #447027=DIRECTION('',(1.,0.,0.)); #447028=DIRECTION('',(1.,0.,0.)); #447029=DIRECTION('',(0.,0.,1.)); #447030=DIRECTION('center_axis',(-1.,0.,0.)); #447031=DIRECTION('ref_axis',(0.,-1.,0.)); #447032=DIRECTION('',(0.,-1.,0.)); #447033=DIRECTION('',(0.,-1.,0.)); #447034=DIRECTION('',(0.,0.,1.)); #447035=DIRECTION('center_axis',(0.,1.,0.)); #447036=DIRECTION('ref_axis',(-1.,0.,0.)); #447037=DIRECTION('',(-1.,0.,0.)); #447038=DIRECTION('',(-1.,0.,0.)); #447039=DIRECTION('center_axis',(0.,0.,1.)); #447040=DIRECTION('ref_axis',(1.,0.,0.)); #447041=DIRECTION('center_axis',(0.,0.,1.)); #447042=DIRECTION('ref_axis',(1.,0.,0.)); #447043=DIRECTION('center_axis',(1.,0.,0.)); #447044=DIRECTION('ref_axis',(0.,1.,0.)); #447045=DIRECTION('',(0.,1.,0.)); #447046=DIRECTION('',(0.,0.,1.)); #447047=DIRECTION('',(0.,1.,0.)); #447048=DIRECTION('',(0.,0.,1.)); #447049=DIRECTION('center_axis',(0.,-1.,0.)); #447050=DIRECTION('ref_axis',(1.,0.,0.)); #447051=DIRECTION('',(1.,0.,0.)); #447052=DIRECTION('',(1.,0.,0.)); #447053=DIRECTION('',(0.,0.,1.)); #447054=DIRECTION('center_axis',(-1.,0.,0.)); #447055=DIRECTION('ref_axis',(0.,-1.,0.)); #447056=DIRECTION('',(0.,-1.,0.)); #447057=DIRECTION('',(0.,-1.,0.)); #447058=DIRECTION('',(0.,0.,1.)); #447059=DIRECTION('center_axis',(0.,1.,0.)); #447060=DIRECTION('ref_axis',(-1.,0.,0.)); #447061=DIRECTION('',(-1.,0.,0.)); #447062=DIRECTION('',(-1.,0.,0.)); #447063=DIRECTION('center_axis',(0.,0.,1.)); #447064=DIRECTION('ref_axis',(1.,0.,0.)); #447065=DIRECTION('center_axis',(0.,0.,1.)); #447066=DIRECTION('ref_axis',(1.,0.,0.)); #447067=DIRECTION('center_axis',(0.,0.,1.)); #447068=DIRECTION('ref_axis',(1.,0.,0.)); #447069=DIRECTION('center_axis',(0.,0.,1.)); #447070=DIRECTION('ref_axis',(1.,0.,0.)); #447071=DIRECTION('',(0.,0.,1.)); #447072=DIRECTION('center_axis',(0.,0.,-1.)); #447073=DIRECTION('ref_axis',(1.,0.,0.)); #447074=DIRECTION('center_axis',(0.,0.,1.)); #447075=DIRECTION('ref_axis',(1.,0.,0.)); #447076=DIRECTION('center_axis',(0.,0.,1.)); #447077=DIRECTION('ref_axis',(1.,0.,0.)); #447078=DIRECTION('',(0.,0.,1.)); #447079=DIRECTION('center_axis',(0.,0.,-1.)); #447080=DIRECTION('ref_axis',(1.,0.,0.)); #447081=DIRECTION('center_axis',(0.,0.,1.)); #447082=DIRECTION('ref_axis',(1.,0.,0.)); #447083=DIRECTION('center_axis',(0.,0.,1.)); #447084=DIRECTION('ref_axis',(1.,0.,0.)); #447085=DIRECTION('',(0.,0.,1.)); #447086=DIRECTION('center_axis',(0.,0.,-1.)); #447087=DIRECTION('ref_axis',(1.,0.,0.)); #447088=DIRECTION('center_axis',(0.,0.,1.)); #447089=DIRECTION('ref_axis',(1.,0.,0.)); #447090=DIRECTION('center_axis',(0.,0.,1.)); #447091=DIRECTION('ref_axis',(1.,0.,0.)); #447092=DIRECTION('',(0.,0.,1.)); #447093=DIRECTION('center_axis',(0.,0.,-1.)); #447094=DIRECTION('ref_axis',(1.,0.,0.)); #447095=DIRECTION('center_axis',(0.,0.,1.)); #447096=DIRECTION('ref_axis',(1.,0.,0.)); #447097=DIRECTION('center_axis',(0.,0.,1.)); #447098=DIRECTION('ref_axis',(1.,0.,0.)); #447099=DIRECTION('',(0.,0.,1.)); #447100=DIRECTION('center_axis',(0.,0.,-1.)); #447101=DIRECTION('ref_axis',(1.,0.,0.)); #447102=DIRECTION('center_axis',(0.,0.,1.)); #447103=DIRECTION('ref_axis',(1.,0.,0.)); #447104=DIRECTION('center_axis',(0.,0.,1.)); #447105=DIRECTION('ref_axis',(1.,0.,0.)); #447106=DIRECTION('',(0.,0.,1.)); #447107=DIRECTION('center_axis',(0.,0.,-1.)); #447108=DIRECTION('ref_axis',(1.,0.,0.)); #447109=DIRECTION('center_axis',(0.,0.,1.)); #447110=DIRECTION('ref_axis',(1.,0.,0.)); #447111=DIRECTION('center_axis',(0.,0.,1.)); #447112=DIRECTION('ref_axis',(1.,0.,0.)); #447113=DIRECTION('',(0.,0.,1.)); #447114=DIRECTION('center_axis',(0.,0.,-1.)); #447115=DIRECTION('ref_axis',(1.,0.,0.)); #447116=DIRECTION('center_axis',(0.,0.,1.)); #447117=DIRECTION('ref_axis',(1.,0.,0.)); #447118=DIRECTION('center_axis',(0.,0.,1.)); #447119=DIRECTION('ref_axis',(1.,0.,0.)); #447120=DIRECTION('',(0.,0.,1.)); #447121=DIRECTION('center_axis',(0.,0.,-1.)); #447122=DIRECTION('ref_axis',(1.,0.,0.)); #447123=DIRECTION('center_axis',(0.,0.,1.)); #447124=DIRECTION('ref_axis',(1.,0.,0.)); #447125=DIRECTION('center_axis',(0.,0.,1.)); #447126=DIRECTION('ref_axis',(1.,0.,0.)); #447127=DIRECTION('',(0.,0.,1.)); #447128=DIRECTION('center_axis',(0.,0.,-1.)); #447129=DIRECTION('ref_axis',(1.,0.,0.)); #447130=DIRECTION('center_axis',(0.,0.,1.)); #447131=DIRECTION('ref_axis',(1.,0.,0.)); #447132=DIRECTION('center_axis',(0.,0.,1.)); #447133=DIRECTION('ref_axis',(1.,0.,0.)); #447134=DIRECTION('',(0.,0.,1.)); #447135=DIRECTION('center_axis',(0.,0.,-1.)); #447136=DIRECTION('ref_axis',(1.,0.,0.)); #447137=DIRECTION('center_axis',(0.,0.,1.)); #447138=DIRECTION('ref_axis',(1.,0.,0.)); #447139=DIRECTION('center_axis',(0.,0.,1.)); #447140=DIRECTION('ref_axis',(1.,0.,0.)); #447141=DIRECTION('',(0.,0.,1.)); #447142=DIRECTION('center_axis',(0.,0.,-1.)); #447143=DIRECTION('ref_axis',(1.,0.,0.)); #447144=DIRECTION('center_axis',(0.,0.,1.)); #447145=DIRECTION('ref_axis',(1.,0.,0.)); #447146=DIRECTION('center_axis',(0.,0.,1.)); #447147=DIRECTION('ref_axis',(1.,0.,0.)); #447148=DIRECTION('',(0.,0.,1.)); #447149=DIRECTION('center_axis',(0.,0.,-1.)); #447150=DIRECTION('ref_axis',(1.,0.,0.)); #447151=DIRECTION('center_axis',(0.,0.,1.)); #447152=DIRECTION('ref_axis',(1.,0.,0.)); #447153=DIRECTION('center_axis',(0.,0.,1.)); #447154=DIRECTION('ref_axis',(1.,0.,0.)); #447155=DIRECTION('',(0.,0.,1.)); #447156=DIRECTION('center_axis',(0.,0.,-1.)); #447157=DIRECTION('ref_axis',(1.,0.,0.)); #447158=DIRECTION('center_axis',(0.,0.,1.)); #447159=DIRECTION('ref_axis',(1.,0.,0.)); #447160=DIRECTION('center_axis',(0.,0.,1.)); #447161=DIRECTION('ref_axis',(1.,0.,0.)); #447162=DIRECTION('',(0.,0.,1.)); #447163=DIRECTION('center_axis',(0.,0.,-1.)); #447164=DIRECTION('ref_axis',(1.,0.,0.)); #447165=DIRECTION('center_axis',(0.,0.,1.)); #447166=DIRECTION('ref_axis',(1.,0.,0.)); #447167=DIRECTION('center_axis',(0.,0.,1.)); #447168=DIRECTION('ref_axis',(1.,0.,0.)); #447169=DIRECTION('',(0.,0.,1.)); #447170=DIRECTION('center_axis',(0.,0.,-1.)); #447171=DIRECTION('ref_axis',(1.,0.,0.)); #447172=DIRECTION('center_axis',(1.,0.,0.)); #447173=DIRECTION('ref_axis',(0.,1.,0.)); #447174=DIRECTION('',(0.,1.,0.)); #447175=DIRECTION('',(0.,0.,1.)); #447176=DIRECTION('',(0.,1.,0.)); #447177=DIRECTION('',(0.,0.,1.)); #447178=DIRECTION('center_axis',(0.,-1.,0.)); #447179=DIRECTION('ref_axis',(1.,0.,0.)); #447180=DIRECTION('',(1.,0.,0.)); #447181=DIRECTION('',(1.,0.,0.)); #447182=DIRECTION('',(0.,0.,1.)); #447183=DIRECTION('center_axis',(-1.,0.,0.)); #447184=DIRECTION('ref_axis',(0.,-1.,0.)); #447185=DIRECTION('',(0.,-1.,0.)); #447186=DIRECTION('',(0.,-1.,0.)); #447187=DIRECTION('',(0.,0.,1.)); #447188=DIRECTION('center_axis',(0.,1.,0.)); #447189=DIRECTION('ref_axis',(-1.,0.,0.)); #447190=DIRECTION('',(-1.,0.,0.)); #447191=DIRECTION('',(-1.,0.,0.)); #447192=DIRECTION('center_axis',(0.,0.,1.)); #447193=DIRECTION('ref_axis',(1.,0.,0.)); #447194=DIRECTION('center_axis',(0.,0.,1.)); #447195=DIRECTION('ref_axis',(1.,0.,0.)); #447196=DIRECTION('center_axis',(1.,0.,0.)); #447197=DIRECTION('ref_axis',(0.,1.,0.)); #447198=DIRECTION('',(0.,1.,0.)); #447199=DIRECTION('',(0.,0.,1.)); #447200=DIRECTION('',(0.,1.,0.)); #447201=DIRECTION('',(0.,0.,1.)); #447202=DIRECTION('center_axis',(0.,-1.,0.)); #447203=DIRECTION('ref_axis',(1.,0.,0.)); #447204=DIRECTION('',(1.,0.,0.)); #447205=DIRECTION('',(1.,0.,0.)); #447206=DIRECTION('',(0.,0.,1.)); #447207=DIRECTION('center_axis',(-1.,0.,0.)); #447208=DIRECTION('ref_axis',(0.,-1.,0.)); #447209=DIRECTION('',(0.,-1.,0.)); #447210=DIRECTION('',(0.,-1.,0.)); #447211=DIRECTION('',(0.,0.,1.)); #447212=DIRECTION('center_axis',(0.,1.,0.)); #447213=DIRECTION('ref_axis',(-1.,0.,0.)); #447214=DIRECTION('',(-1.,0.,0.)); #447215=DIRECTION('',(-1.,0.,0.)); #447216=DIRECTION('center_axis',(0.,0.,1.)); #447217=DIRECTION('ref_axis',(1.,0.,0.)); #447218=DIRECTION('center_axis',(0.,0.,1.)); #447219=DIRECTION('ref_axis',(1.,0.,0.)); #447220=DIRECTION('center_axis',(1.,0.,0.)); #447221=DIRECTION('ref_axis',(0.,1.,0.)); #447222=DIRECTION('',(0.,1.,0.)); #447223=DIRECTION('',(0.,0.,1.)); #447224=DIRECTION('',(0.,1.,0.)); #447225=DIRECTION('',(0.,0.,1.)); #447226=DIRECTION('center_axis',(0.,-1.,0.)); #447227=DIRECTION('ref_axis',(1.,0.,0.)); #447228=DIRECTION('',(1.,0.,0.)); #447229=DIRECTION('',(1.,0.,0.)); #447230=DIRECTION('',(0.,0.,1.)); #447231=DIRECTION('center_axis',(-1.,0.,0.)); #447232=DIRECTION('ref_axis',(0.,-1.,0.)); #447233=DIRECTION('',(0.,-1.,0.)); #447234=DIRECTION('',(0.,-1.,0.)); #447235=DIRECTION('',(0.,0.,1.)); #447236=DIRECTION('center_axis',(0.,1.,0.)); #447237=DIRECTION('ref_axis',(-1.,0.,0.)); #447238=DIRECTION('',(-1.,0.,0.)); #447239=DIRECTION('',(-1.,0.,0.)); #447240=DIRECTION('center_axis',(0.,0.,1.)); #447241=DIRECTION('ref_axis',(1.,0.,0.)); #447242=DIRECTION('center_axis',(0.,0.,1.)); #447243=DIRECTION('ref_axis',(1.,0.,0.)); #447244=DIRECTION('center_axis',(1.,0.,0.)); #447245=DIRECTION('ref_axis',(0.,1.,0.)); #447246=DIRECTION('',(0.,1.,0.)); #447247=DIRECTION('',(0.,0.,1.)); #447248=DIRECTION('',(0.,1.,0.)); #447249=DIRECTION('',(0.,0.,1.)); #447250=DIRECTION('center_axis',(0.,-1.,0.)); #447251=DIRECTION('ref_axis',(1.,0.,0.)); #447252=DIRECTION('',(1.,0.,0.)); #447253=DIRECTION('',(1.,0.,0.)); #447254=DIRECTION('',(0.,0.,1.)); #447255=DIRECTION('center_axis',(-1.,0.,0.)); #447256=DIRECTION('ref_axis',(0.,-1.,0.)); #447257=DIRECTION('',(0.,-1.,0.)); #447258=DIRECTION('',(0.,-1.,0.)); #447259=DIRECTION('',(0.,0.,1.)); #447260=DIRECTION('center_axis',(0.,1.,0.)); #447261=DIRECTION('ref_axis',(-1.,0.,0.)); #447262=DIRECTION('',(-1.,0.,0.)); #447263=DIRECTION('',(-1.,0.,0.)); #447264=DIRECTION('center_axis',(0.,0.,1.)); #447265=DIRECTION('ref_axis',(1.,0.,0.)); #447266=DIRECTION('center_axis',(0.,0.,1.)); #447267=DIRECTION('ref_axis',(1.,0.,0.)); #447268=DIRECTION('center_axis',(0.,0.,1.)); #447269=DIRECTION('ref_axis',(1.,0.,0.)); #447270=DIRECTION('center_axis',(0.,0.,1.)); #447271=DIRECTION('ref_axis',(1.,0.,0.)); #447272=DIRECTION('',(0.,0.,1.)); #447273=DIRECTION('center_axis',(0.,0.,-1.)); #447274=DIRECTION('ref_axis',(1.,0.,0.)); #447275=DIRECTION('center_axis',(1.,0.,0.)); #447276=DIRECTION('ref_axis',(0.,1.,0.)); #447277=DIRECTION('',(0.,1.,0.)); #447278=DIRECTION('',(0.,0.,1.)); #447279=DIRECTION('',(0.,1.,0.)); #447280=DIRECTION('',(0.,0.,1.)); #447281=DIRECTION('center_axis',(0.,-1.,0.)); #447282=DIRECTION('ref_axis',(1.,0.,0.)); #447283=DIRECTION('',(1.,0.,0.)); #447284=DIRECTION('',(1.,0.,0.)); #447285=DIRECTION('',(0.,0.,1.)); #447286=DIRECTION('center_axis',(-1.,0.,0.)); #447287=DIRECTION('ref_axis',(0.,-1.,0.)); #447288=DIRECTION('',(0.,-1.,0.)); #447289=DIRECTION('',(0.,-1.,0.)); #447290=DIRECTION('',(0.,0.,1.)); #447291=DIRECTION('center_axis',(0.,1.,0.)); #447292=DIRECTION('ref_axis',(-1.,0.,0.)); #447293=DIRECTION('',(-1.,0.,0.)); #447294=DIRECTION('',(-1.,0.,0.)); #447295=DIRECTION('center_axis',(0.,0.,1.)); #447296=DIRECTION('ref_axis',(1.,0.,0.)); #447297=DIRECTION('center_axis',(0.,0.,1.)); #447298=DIRECTION('ref_axis',(1.,0.,0.)); #447299=DIRECTION('center_axis',(0.,0.,1.)); #447300=DIRECTION('ref_axis',(1.,0.,0.)); #447301=DIRECTION('center_axis',(0.,0.,1.)); #447302=DIRECTION('ref_axis',(1.,0.,0.)); #447303=DIRECTION('',(0.,0.,1.)); #447304=DIRECTION('center_axis',(0.,0.,-1.)); #447305=DIRECTION('ref_axis',(1.,0.,0.)); #447306=DIRECTION('center_axis',(1.,0.,0.)); #447307=DIRECTION('ref_axis',(0.,1.,0.)); #447308=DIRECTION('',(0.,1.,0.)); #447309=DIRECTION('',(0.,0.,1.)); #447310=DIRECTION('',(0.,1.,0.)); #447311=DIRECTION('',(0.,0.,1.)); #447312=DIRECTION('center_axis',(0.,-1.,0.)); #447313=DIRECTION('ref_axis',(1.,0.,0.)); #447314=DIRECTION('',(1.,0.,0.)); #447315=DIRECTION('',(1.,0.,0.)); #447316=DIRECTION('',(0.,0.,1.)); #447317=DIRECTION('center_axis',(-1.,0.,0.)); #447318=DIRECTION('ref_axis',(0.,-1.,0.)); #447319=DIRECTION('',(0.,-1.,0.)); #447320=DIRECTION('',(0.,-1.,0.)); #447321=DIRECTION('',(0.,0.,1.)); #447322=DIRECTION('center_axis',(0.,1.,0.)); #447323=DIRECTION('ref_axis',(-1.,0.,0.)); #447324=DIRECTION('',(-1.,0.,0.)); #447325=DIRECTION('',(-1.,0.,0.)); #447326=DIRECTION('center_axis',(0.,0.,1.)); #447327=DIRECTION('ref_axis',(1.,0.,0.)); #447328=DIRECTION('center_axis',(0.,0.,1.)); #447329=DIRECTION('ref_axis',(1.,0.,0.)); #447330=DIRECTION('center_axis',(0.,0.,1.)); #447331=DIRECTION('ref_axis',(1.,0.,0.)); #447332=DIRECTION('center_axis',(0.,0.,1.)); #447333=DIRECTION('ref_axis',(1.,0.,0.)); #447334=DIRECTION('',(0.,0.,1.)); #447335=DIRECTION('center_axis',(0.,0.,-1.)); #447336=DIRECTION('ref_axis',(1.,0.,0.)); #447337=DIRECTION('center_axis',(1.,0.,0.)); #447338=DIRECTION('ref_axis',(0.,1.,0.)); #447339=DIRECTION('',(0.,1.,0.)); #447340=DIRECTION('',(0.,0.,1.)); #447341=DIRECTION('',(0.,1.,0.)); #447342=DIRECTION('',(0.,0.,1.)); #447343=DIRECTION('center_axis',(0.,-1.,0.)); #447344=DIRECTION('ref_axis',(1.,0.,0.)); #447345=DIRECTION('',(1.,0.,0.)); #447346=DIRECTION('',(1.,0.,0.)); #447347=DIRECTION('',(0.,0.,1.)); #447348=DIRECTION('center_axis',(-1.,0.,0.)); #447349=DIRECTION('ref_axis',(0.,-1.,0.)); #447350=DIRECTION('',(0.,-1.,0.)); #447351=DIRECTION('',(0.,-1.,0.)); #447352=DIRECTION('',(0.,0.,1.)); #447353=DIRECTION('center_axis',(0.,1.,0.)); #447354=DIRECTION('ref_axis',(-1.,0.,0.)); #447355=DIRECTION('',(-1.,0.,0.)); #447356=DIRECTION('',(-1.,0.,0.)); #447357=DIRECTION('center_axis',(0.,0.,1.)); #447358=DIRECTION('ref_axis',(1.,0.,0.)); #447359=DIRECTION('center_axis',(0.,0.,1.)); #447360=DIRECTION('ref_axis',(1.,0.,0.)); #447361=DIRECTION('center_axis',(0.,0.,1.)); #447362=DIRECTION('ref_axis',(1.,0.,0.)); #447363=DIRECTION('center_axis',(0.,0.,1.)); #447364=DIRECTION('ref_axis',(1.,0.,0.)); #447365=DIRECTION('',(0.,0.,1.)); #447366=DIRECTION('center_axis',(0.,0.,-1.)); #447367=DIRECTION('ref_axis',(1.,0.,0.)); #447368=DIRECTION('center_axis',(0.,0.,1.)); #447369=DIRECTION('ref_axis',(1.,0.,0.)); #447370=DIRECTION('center_axis',(0.,0.,1.)); #447371=DIRECTION('ref_axis',(1.,0.,0.)); #447372=DIRECTION('',(0.,0.,1.)); #447373=DIRECTION('center_axis',(0.,0.,-1.)); #447374=DIRECTION('ref_axis',(1.,0.,0.)); #447375=DIRECTION('center_axis',(0.,0.,1.)); #447376=DIRECTION('ref_axis',(1.,0.,0.)); #447377=DIRECTION('center_axis',(0.,0.,1.)); #447378=DIRECTION('ref_axis',(1.,0.,0.)); #447379=DIRECTION('',(0.,0.,1.)); #447380=DIRECTION('center_axis',(0.,0.,-1.)); #447381=DIRECTION('ref_axis',(1.,0.,0.)); #447382=DIRECTION('center_axis',(0.,0.,1.)); #447383=DIRECTION('ref_axis',(1.,0.,0.)); #447384=DIRECTION('center_axis',(0.,0.,1.)); #447385=DIRECTION('ref_axis',(1.,0.,0.)); #447386=DIRECTION('',(0.,0.,1.)); #447387=DIRECTION('center_axis',(0.,0.,-1.)); #447388=DIRECTION('ref_axis',(1.,0.,0.)); #447389=DIRECTION('center_axis',(0.,0.,1.)); #447390=DIRECTION('ref_axis',(1.,0.,0.)); #447391=DIRECTION('center_axis',(0.,0.,1.)); #447392=DIRECTION('ref_axis',(1.,0.,0.)); #447393=DIRECTION('',(0.,0.,1.)); #447394=DIRECTION('center_axis',(0.,0.,-1.)); #447395=DIRECTION('ref_axis',(1.,0.,0.)); #447396=DIRECTION('center_axis',(0.,0.,1.)); #447397=DIRECTION('ref_axis',(1.,0.,0.)); #447398=DIRECTION('center_axis',(0.,0.,1.)); #447399=DIRECTION('ref_axis',(1.,0.,0.)); #447400=DIRECTION('',(0.,0.,1.)); #447401=DIRECTION('center_axis',(0.,0.,-1.)); #447402=DIRECTION('ref_axis',(1.,0.,0.)); #447403=DIRECTION('center_axis',(0.,0.,1.)); #447404=DIRECTION('ref_axis',(1.,0.,0.)); #447405=DIRECTION('center_axis',(0.,0.,1.)); #447406=DIRECTION('ref_axis',(1.,0.,0.)); #447407=DIRECTION('',(0.,0.,1.)); #447408=DIRECTION('center_axis',(0.,0.,-1.)); #447409=DIRECTION('ref_axis',(1.,0.,0.)); #447410=DIRECTION('center_axis',(0.,0.,1.)); #447411=DIRECTION('ref_axis',(1.,0.,0.)); #447412=DIRECTION('center_axis',(0.,0.,1.)); #447413=DIRECTION('ref_axis',(1.,0.,0.)); #447414=DIRECTION('',(0.,0.,1.)); #447415=DIRECTION('center_axis',(0.,0.,-1.)); #447416=DIRECTION('ref_axis',(1.,0.,0.)); #447417=DIRECTION('center_axis',(0.,0.,1.)); #447418=DIRECTION('ref_axis',(1.,0.,0.)); #447419=DIRECTION('center_axis',(0.,0.,1.)); #447420=DIRECTION('ref_axis',(1.,0.,0.)); #447421=DIRECTION('',(0.,0.,1.)); #447422=DIRECTION('center_axis',(0.,0.,-1.)); #447423=DIRECTION('ref_axis',(1.,0.,0.)); #447424=DIRECTION('center_axis',(0.,0.,1.)); #447425=DIRECTION('ref_axis',(1.,0.,0.)); #447426=DIRECTION('center_axis',(0.,0.,1.)); #447427=DIRECTION('ref_axis',(1.,0.,0.)); #447428=DIRECTION('',(0.,0.,1.)); #447429=DIRECTION('center_axis',(0.,0.,-1.)); #447430=DIRECTION('ref_axis',(1.,0.,0.)); #447431=DIRECTION('center_axis',(0.,0.,1.)); #447432=DIRECTION('ref_axis',(1.,0.,0.)); #447433=DIRECTION('center_axis',(0.,0.,1.)); #447434=DIRECTION('ref_axis',(1.,0.,0.)); #447435=DIRECTION('',(0.,0.,1.)); #447436=DIRECTION('center_axis',(0.,0.,-1.)); #447437=DIRECTION('ref_axis',(1.,0.,0.)); #447438=DIRECTION('center_axis',(0.,0.,1.)); #447439=DIRECTION('ref_axis',(1.,0.,0.)); #447440=DIRECTION('center_axis',(0.,0.,1.)); #447441=DIRECTION('ref_axis',(1.,0.,0.)); #447442=DIRECTION('',(0.,0.,1.)); #447443=DIRECTION('center_axis',(0.,0.,-1.)); #447444=DIRECTION('ref_axis',(1.,0.,0.)); #447445=DIRECTION('center_axis',(0.,0.,1.)); #447446=DIRECTION('ref_axis',(1.,0.,0.)); #447447=DIRECTION('center_axis',(0.,0.,1.)); #447448=DIRECTION('ref_axis',(1.,0.,0.)); #447449=DIRECTION('',(0.,0.,1.)); #447450=DIRECTION('center_axis',(0.,0.,-1.)); #447451=DIRECTION('ref_axis',(1.,0.,0.)); #447452=DIRECTION('center_axis',(0.,0.,1.)); #447453=DIRECTION('ref_axis',(1.,0.,0.)); #447454=DIRECTION('center_axis',(0.,0.,1.)); #447455=DIRECTION('ref_axis',(1.,0.,0.)); #447456=DIRECTION('',(0.,0.,1.)); #447457=DIRECTION('center_axis',(0.,0.,-1.)); #447458=DIRECTION('ref_axis',(1.,0.,0.)); #447459=DIRECTION('center_axis',(0.,0.,1.)); #447460=DIRECTION('ref_axis',(1.,0.,0.)); #447461=DIRECTION('center_axis',(0.,0.,1.)); #447462=DIRECTION('ref_axis',(1.,0.,0.)); #447463=DIRECTION('',(0.,0.,1.)); #447464=DIRECTION('center_axis',(0.,0.,-1.)); #447465=DIRECTION('ref_axis',(1.,0.,0.)); #447466=DIRECTION('center_axis',(1.,0.,0.)); #447467=DIRECTION('ref_axis',(0.,1.,0.)); #447468=DIRECTION('',(0.,1.,0.)); #447469=DIRECTION('',(0.,0.,1.)); #447470=DIRECTION('',(0.,1.,0.)); #447471=DIRECTION('',(0.,0.,1.)); #447472=DIRECTION('center_axis',(0.,-1.,0.)); #447473=DIRECTION('ref_axis',(1.,0.,0.)); #447474=DIRECTION('',(1.,0.,0.)); #447475=DIRECTION('',(1.,0.,0.)); #447476=DIRECTION('',(0.,0.,1.)); #447477=DIRECTION('center_axis',(-1.,0.,0.)); #447478=DIRECTION('ref_axis',(0.,-1.,0.)); #447479=DIRECTION('',(0.,-1.,0.)); #447480=DIRECTION('',(0.,-1.,0.)); #447481=DIRECTION('',(0.,0.,1.)); #447482=DIRECTION('center_axis',(0.,1.,0.)); #447483=DIRECTION('ref_axis',(-1.,0.,0.)); #447484=DIRECTION('',(-1.,0.,0.)); #447485=DIRECTION('',(-1.,0.,0.)); #447486=DIRECTION('center_axis',(0.,0.,1.)); #447487=DIRECTION('ref_axis',(1.,0.,0.)); #447488=DIRECTION('center_axis',(0.,0.,1.)); #447489=DIRECTION('ref_axis',(1.,0.,0.)); #447490=DIRECTION('center_axis',(1.,0.,0.)); #447491=DIRECTION('ref_axis',(0.,1.,0.)); #447492=DIRECTION('',(0.,1.,0.)); #447493=DIRECTION('',(0.,0.,1.)); #447494=DIRECTION('',(0.,1.,0.)); #447495=DIRECTION('',(0.,0.,1.)); #447496=DIRECTION('center_axis',(0.,-1.,0.)); #447497=DIRECTION('ref_axis',(1.,0.,0.)); #447498=DIRECTION('',(1.,0.,0.)); #447499=DIRECTION('',(1.,0.,0.)); #447500=DIRECTION('',(0.,0.,1.)); #447501=DIRECTION('center_axis',(-1.,0.,0.)); #447502=DIRECTION('ref_axis',(0.,-1.,0.)); #447503=DIRECTION('',(0.,-1.,0.)); #447504=DIRECTION('',(0.,-1.,0.)); #447505=DIRECTION('',(0.,0.,1.)); #447506=DIRECTION('center_axis',(0.,1.,0.)); #447507=DIRECTION('ref_axis',(-1.,0.,0.)); #447508=DIRECTION('',(-1.,0.,0.)); #447509=DIRECTION('',(-1.,0.,0.)); #447510=DIRECTION('center_axis',(0.,0.,1.)); #447511=DIRECTION('ref_axis',(1.,0.,0.)); #447512=DIRECTION('center_axis',(0.,0.,1.)); #447513=DIRECTION('ref_axis',(1.,0.,0.)); #447514=DIRECTION('center_axis',(1.,0.,0.)); #447515=DIRECTION('ref_axis',(0.,1.,0.)); #447516=DIRECTION('',(0.,1.,0.)); #447517=DIRECTION('',(0.,0.,1.)); #447518=DIRECTION('',(0.,1.,0.)); #447519=DIRECTION('',(0.,0.,1.)); #447520=DIRECTION('center_axis',(0.,-1.,0.)); #447521=DIRECTION('ref_axis',(1.,0.,0.)); #447522=DIRECTION('',(1.,0.,0.)); #447523=DIRECTION('',(1.,0.,0.)); #447524=DIRECTION('',(0.,0.,1.)); #447525=DIRECTION('center_axis',(-1.,0.,0.)); #447526=DIRECTION('ref_axis',(0.,-1.,0.)); #447527=DIRECTION('',(0.,-1.,0.)); #447528=DIRECTION('',(0.,-1.,0.)); #447529=DIRECTION('',(0.,0.,1.)); #447530=DIRECTION('center_axis',(0.,1.,0.)); #447531=DIRECTION('ref_axis',(-1.,0.,0.)); #447532=DIRECTION('',(-1.,0.,0.)); #447533=DIRECTION('',(-1.,0.,0.)); #447534=DIRECTION('center_axis',(0.,0.,1.)); #447535=DIRECTION('ref_axis',(1.,0.,0.)); #447536=DIRECTION('center_axis',(0.,0.,1.)); #447537=DIRECTION('ref_axis',(1.,0.,0.)); #447538=DIRECTION('center_axis',(1.,0.,0.)); #447539=DIRECTION('ref_axis',(0.,1.,0.)); #447540=DIRECTION('',(0.,1.,0.)); #447541=DIRECTION('',(0.,0.,1.)); #447542=DIRECTION('',(0.,1.,0.)); #447543=DIRECTION('',(0.,0.,1.)); #447544=DIRECTION('center_axis',(0.,-1.,0.)); #447545=DIRECTION('ref_axis',(1.,0.,0.)); #447546=DIRECTION('',(1.,0.,0.)); #447547=DIRECTION('',(1.,0.,0.)); #447548=DIRECTION('',(0.,0.,1.)); #447549=DIRECTION('center_axis',(-1.,0.,0.)); #447550=DIRECTION('ref_axis',(0.,-1.,0.)); #447551=DIRECTION('',(0.,-1.,0.)); #447552=DIRECTION('',(0.,-1.,0.)); #447553=DIRECTION('',(0.,0.,1.)); #447554=DIRECTION('center_axis',(0.,1.,0.)); #447555=DIRECTION('ref_axis',(-1.,0.,0.)); #447556=DIRECTION('',(-1.,0.,0.)); #447557=DIRECTION('',(-1.,0.,0.)); #447558=DIRECTION('center_axis',(0.,0.,1.)); #447559=DIRECTION('ref_axis',(1.,0.,0.)); #447560=DIRECTION('center_axis',(0.,0.,1.)); #447561=DIRECTION('ref_axis',(1.,0.,0.)); #447562=DIRECTION('center_axis',(1.,0.,0.)); #447563=DIRECTION('ref_axis',(0.,1.,0.)); #447564=DIRECTION('',(0.,1.,0.)); #447565=DIRECTION('',(0.,0.,1.)); #447566=DIRECTION('',(0.,1.,0.)); #447567=DIRECTION('',(0.,0.,1.)); #447568=DIRECTION('center_axis',(0.,-1.,0.)); #447569=DIRECTION('ref_axis',(1.,0.,0.)); #447570=DIRECTION('',(1.,0.,0.)); #447571=DIRECTION('',(1.,0.,0.)); #447572=DIRECTION('',(0.,0.,1.)); #447573=DIRECTION('center_axis',(-1.,0.,0.)); #447574=DIRECTION('ref_axis',(0.,-1.,0.)); #447575=DIRECTION('',(0.,-1.,0.)); #447576=DIRECTION('',(0.,-1.,0.)); #447577=DIRECTION('',(0.,0.,1.)); #447578=DIRECTION('center_axis',(0.,1.,0.)); #447579=DIRECTION('ref_axis',(-1.,0.,0.)); #447580=DIRECTION('',(-1.,0.,0.)); #447581=DIRECTION('',(-1.,0.,0.)); #447582=DIRECTION('center_axis',(0.,0.,1.)); #447583=DIRECTION('ref_axis',(1.,0.,0.)); #447584=DIRECTION('center_axis',(0.,0.,1.)); #447585=DIRECTION('ref_axis',(1.,0.,0.)); #447586=DIRECTION('center_axis',(1.,0.,0.)); #447587=DIRECTION('ref_axis',(0.,1.,0.)); #447588=DIRECTION('',(0.,1.,0.)); #447589=DIRECTION('',(0.,0.,1.)); #447590=DIRECTION('',(0.,1.,0.)); #447591=DIRECTION('',(0.,0.,1.)); #447592=DIRECTION('center_axis',(0.,-1.,0.)); #447593=DIRECTION('ref_axis',(1.,0.,0.)); #447594=DIRECTION('',(1.,0.,0.)); #447595=DIRECTION('',(1.,0.,0.)); #447596=DIRECTION('',(0.,0.,1.)); #447597=DIRECTION('center_axis',(-1.,0.,0.)); #447598=DIRECTION('ref_axis',(0.,-1.,0.)); #447599=DIRECTION('',(0.,-1.,0.)); #447600=DIRECTION('',(0.,-1.,0.)); #447601=DIRECTION('',(0.,0.,1.)); #447602=DIRECTION('center_axis',(0.,1.,0.)); #447603=DIRECTION('ref_axis',(-1.,0.,0.)); #447604=DIRECTION('',(-1.,0.,0.)); #447605=DIRECTION('',(-1.,0.,0.)); #447606=DIRECTION('center_axis',(0.,0.,1.)); #447607=DIRECTION('ref_axis',(1.,0.,0.)); #447608=DIRECTION('center_axis',(0.,0.,1.)); #447609=DIRECTION('ref_axis',(1.,0.,0.)); #447610=DIRECTION('center_axis',(1.,0.,0.)); #447611=DIRECTION('ref_axis',(0.,1.,0.)); #447612=DIRECTION('',(0.,1.,0.)); #447613=DIRECTION('',(0.,0.,1.)); #447614=DIRECTION('',(0.,1.,0.)); #447615=DIRECTION('',(0.,0.,1.)); #447616=DIRECTION('center_axis',(0.,-1.,0.)); #447617=DIRECTION('ref_axis',(1.,0.,0.)); #447618=DIRECTION('',(1.,0.,0.)); #447619=DIRECTION('',(1.,0.,0.)); #447620=DIRECTION('',(0.,0.,1.)); #447621=DIRECTION('center_axis',(-1.,0.,0.)); #447622=DIRECTION('ref_axis',(0.,-1.,0.)); #447623=DIRECTION('',(0.,-1.,0.)); #447624=DIRECTION('',(0.,-1.,0.)); #447625=DIRECTION('',(0.,0.,1.)); #447626=DIRECTION('center_axis',(0.,1.,0.)); #447627=DIRECTION('ref_axis',(-1.,0.,0.)); #447628=DIRECTION('',(-1.,0.,0.)); #447629=DIRECTION('',(-1.,0.,0.)); #447630=DIRECTION('center_axis',(0.,0.,1.)); #447631=DIRECTION('ref_axis',(1.,0.,0.)); #447632=DIRECTION('center_axis',(0.,0.,1.)); #447633=DIRECTION('ref_axis',(1.,0.,0.)); #447634=DIRECTION('center_axis',(1.,0.,0.)); #447635=DIRECTION('ref_axis',(0.,1.,0.)); #447636=DIRECTION('',(0.,1.,0.)); #447637=DIRECTION('',(0.,0.,1.)); #447638=DIRECTION('',(0.,1.,0.)); #447639=DIRECTION('',(0.,0.,1.)); #447640=DIRECTION('center_axis',(0.,-1.,0.)); #447641=DIRECTION('ref_axis',(1.,0.,0.)); #447642=DIRECTION('',(1.,0.,0.)); #447643=DIRECTION('',(1.,0.,0.)); #447644=DIRECTION('',(0.,0.,1.)); #447645=DIRECTION('center_axis',(-1.,0.,0.)); #447646=DIRECTION('ref_axis',(0.,-1.,0.)); #447647=DIRECTION('',(0.,-1.,0.)); #447648=DIRECTION('',(0.,-1.,0.)); #447649=DIRECTION('',(0.,0.,1.)); #447650=DIRECTION('center_axis',(0.,1.,0.)); #447651=DIRECTION('ref_axis',(-1.,0.,0.)); #447652=DIRECTION('',(-1.,0.,0.)); #447653=DIRECTION('',(-1.,0.,0.)); #447654=DIRECTION('center_axis',(0.,0.,1.)); #447655=DIRECTION('ref_axis',(1.,0.,0.)); #447656=DIRECTION('center_axis',(0.,0.,1.)); #447657=DIRECTION('ref_axis',(1.,0.,0.)); #447658=DIRECTION('center_axis',(1.,0.,0.)); #447659=DIRECTION('ref_axis',(0.,1.,0.)); #447660=DIRECTION('',(0.,1.,0.)); #447661=DIRECTION('',(0.,0.,1.)); #447662=DIRECTION('',(0.,1.,0.)); #447663=DIRECTION('',(0.,0.,1.)); #447664=DIRECTION('center_axis',(0.,-1.,0.)); #447665=DIRECTION('ref_axis',(1.,0.,0.)); #447666=DIRECTION('',(1.,0.,0.)); #447667=DIRECTION('',(1.,0.,0.)); #447668=DIRECTION('',(0.,0.,1.)); #447669=DIRECTION('center_axis',(-1.,0.,0.)); #447670=DIRECTION('ref_axis',(0.,-1.,0.)); #447671=DIRECTION('',(0.,-1.,0.)); #447672=DIRECTION('',(0.,-1.,0.)); #447673=DIRECTION('',(0.,0.,1.)); #447674=DIRECTION('center_axis',(0.,1.,0.)); #447675=DIRECTION('ref_axis',(-1.,0.,0.)); #447676=DIRECTION('',(-1.,0.,0.)); #447677=DIRECTION('',(-1.,0.,0.)); #447678=DIRECTION('center_axis',(0.,0.,1.)); #447679=DIRECTION('ref_axis',(1.,0.,0.)); #447680=DIRECTION('center_axis',(0.,0.,1.)); #447681=DIRECTION('ref_axis',(1.,0.,0.)); #447682=DIRECTION('center_axis',(1.,0.,0.)); #447683=DIRECTION('ref_axis',(0.,1.,0.)); #447684=DIRECTION('',(0.,1.,0.)); #447685=DIRECTION('',(0.,0.,1.)); #447686=DIRECTION('',(0.,1.,0.)); #447687=DIRECTION('',(0.,0.,1.)); #447688=DIRECTION('center_axis',(0.,-1.,0.)); #447689=DIRECTION('ref_axis',(1.,0.,0.)); #447690=DIRECTION('',(1.,0.,0.)); #447691=DIRECTION('',(1.,0.,0.)); #447692=DIRECTION('',(0.,0.,1.)); #447693=DIRECTION('center_axis',(-1.,0.,0.)); #447694=DIRECTION('ref_axis',(0.,-1.,0.)); #447695=DIRECTION('',(0.,-1.,0.)); #447696=DIRECTION('',(0.,-1.,0.)); #447697=DIRECTION('',(0.,0.,1.)); #447698=DIRECTION('center_axis',(0.,1.,0.)); #447699=DIRECTION('ref_axis',(-1.,0.,0.)); #447700=DIRECTION('',(-1.,0.,0.)); #447701=DIRECTION('',(-1.,0.,0.)); #447702=DIRECTION('center_axis',(0.,0.,1.)); #447703=DIRECTION('ref_axis',(1.,0.,0.)); #447704=DIRECTION('center_axis',(0.,0.,1.)); #447705=DIRECTION('ref_axis',(1.,0.,0.)); #447706=DIRECTION('center_axis',(1.,0.,0.)); #447707=DIRECTION('ref_axis',(0.,1.,0.)); #447708=DIRECTION('',(0.,1.,0.)); #447709=DIRECTION('',(0.,0.,1.)); #447710=DIRECTION('',(0.,1.,0.)); #447711=DIRECTION('',(0.,0.,1.)); #447712=DIRECTION('center_axis',(0.,-1.,0.)); #447713=DIRECTION('ref_axis',(1.,0.,0.)); #447714=DIRECTION('',(1.,0.,0.)); #447715=DIRECTION('',(1.,0.,0.)); #447716=DIRECTION('',(0.,0.,1.)); #447717=DIRECTION('center_axis',(-1.,0.,0.)); #447718=DIRECTION('ref_axis',(0.,-1.,0.)); #447719=DIRECTION('',(0.,-1.,0.)); #447720=DIRECTION('',(0.,-1.,0.)); #447721=DIRECTION('',(0.,0.,1.)); #447722=DIRECTION('center_axis',(0.,1.,0.)); #447723=DIRECTION('ref_axis',(-1.,0.,0.)); #447724=DIRECTION('',(-1.,0.,0.)); #447725=DIRECTION('',(-1.,0.,0.)); #447726=DIRECTION('center_axis',(0.,0.,1.)); #447727=DIRECTION('ref_axis',(1.,0.,0.)); #447728=DIRECTION('center_axis',(0.,0.,1.)); #447729=DIRECTION('ref_axis',(1.,0.,0.)); #447730=DIRECTION('center_axis',(1.,0.,0.)); #447731=DIRECTION('ref_axis',(0.,1.,0.)); #447732=DIRECTION('',(0.,1.,0.)); #447733=DIRECTION('',(0.,0.,1.)); #447734=DIRECTION('',(0.,1.,0.)); #447735=DIRECTION('',(0.,0.,1.)); #447736=DIRECTION('center_axis',(0.,-1.,0.)); #447737=DIRECTION('ref_axis',(1.,0.,0.)); #447738=DIRECTION('',(1.,0.,0.)); #447739=DIRECTION('',(1.,0.,0.)); #447740=DIRECTION('',(0.,0.,1.)); #447741=DIRECTION('center_axis',(-1.,0.,0.)); #447742=DIRECTION('ref_axis',(0.,-1.,0.)); #447743=DIRECTION('',(0.,-1.,0.)); #447744=DIRECTION('',(0.,-1.,0.)); #447745=DIRECTION('',(0.,0.,1.)); #447746=DIRECTION('center_axis',(0.,1.,0.)); #447747=DIRECTION('ref_axis',(-1.,0.,0.)); #447748=DIRECTION('',(-1.,0.,0.)); #447749=DIRECTION('',(-1.,0.,0.)); #447750=DIRECTION('center_axis',(0.,0.,1.)); #447751=DIRECTION('ref_axis',(1.,0.,0.)); #447752=DIRECTION('center_axis',(0.,0.,1.)); #447753=DIRECTION('ref_axis',(1.,0.,0.)); #447754=DIRECTION('center_axis',(1.,0.,0.)); #447755=DIRECTION('ref_axis',(0.,1.,0.)); #447756=DIRECTION('',(0.,1.,0.)); #447757=DIRECTION('',(0.,0.,1.)); #447758=DIRECTION('',(0.,1.,0.)); #447759=DIRECTION('',(0.,0.,1.)); #447760=DIRECTION('center_axis',(0.,-1.,0.)); #447761=DIRECTION('ref_axis',(1.,0.,0.)); #447762=DIRECTION('',(1.,0.,0.)); #447763=DIRECTION('',(1.,0.,0.)); #447764=DIRECTION('',(0.,0.,1.)); #447765=DIRECTION('center_axis',(-1.,0.,0.)); #447766=DIRECTION('ref_axis',(0.,-1.,0.)); #447767=DIRECTION('',(0.,-1.,0.)); #447768=DIRECTION('',(0.,-1.,0.)); #447769=DIRECTION('',(0.,0.,1.)); #447770=DIRECTION('center_axis',(0.,1.,0.)); #447771=DIRECTION('ref_axis',(-1.,0.,0.)); #447772=DIRECTION('',(-1.,0.,0.)); #447773=DIRECTION('',(-1.,0.,0.)); #447774=DIRECTION('center_axis',(0.,0.,1.)); #447775=DIRECTION('ref_axis',(1.,0.,0.)); #447776=DIRECTION('center_axis',(0.,0.,1.)); #447777=DIRECTION('ref_axis',(1.,0.,0.)); #447778=DIRECTION('center_axis',(1.,0.,0.)); #447779=DIRECTION('ref_axis',(0.,1.,0.)); #447780=DIRECTION('',(0.,1.,0.)); #447781=DIRECTION('',(0.,0.,1.)); #447782=DIRECTION('',(0.,1.,0.)); #447783=DIRECTION('',(0.,0.,1.)); #447784=DIRECTION('center_axis',(0.,-1.,0.)); #447785=DIRECTION('ref_axis',(1.,0.,0.)); #447786=DIRECTION('',(1.,0.,0.)); #447787=DIRECTION('',(1.,0.,0.)); #447788=DIRECTION('',(0.,0.,1.)); #447789=DIRECTION('center_axis',(-1.,0.,0.)); #447790=DIRECTION('ref_axis',(0.,-1.,0.)); #447791=DIRECTION('',(0.,-1.,0.)); #447792=DIRECTION('',(0.,-1.,0.)); #447793=DIRECTION('',(0.,0.,1.)); #447794=DIRECTION('center_axis',(0.,1.,0.)); #447795=DIRECTION('ref_axis',(-1.,0.,0.)); #447796=DIRECTION('',(-1.,0.,0.)); #447797=DIRECTION('',(-1.,0.,0.)); #447798=DIRECTION('center_axis',(0.,0.,1.)); #447799=DIRECTION('ref_axis',(1.,0.,0.)); #447800=DIRECTION('center_axis',(0.,0.,1.)); #447801=DIRECTION('ref_axis',(1.,0.,0.)); #447802=DIRECTION('center_axis',(1.,0.,0.)); #447803=DIRECTION('ref_axis',(0.,1.,0.)); #447804=DIRECTION('',(0.,1.,0.)); #447805=DIRECTION('',(0.,0.,1.)); #447806=DIRECTION('',(0.,1.,0.)); #447807=DIRECTION('',(0.,0.,1.)); #447808=DIRECTION('center_axis',(0.,-1.,0.)); #447809=DIRECTION('ref_axis',(1.,0.,0.)); #447810=DIRECTION('',(1.,0.,0.)); #447811=DIRECTION('',(1.,0.,0.)); #447812=DIRECTION('',(0.,0.,1.)); #447813=DIRECTION('center_axis',(-1.,0.,0.)); #447814=DIRECTION('ref_axis',(0.,-1.,0.)); #447815=DIRECTION('',(0.,-1.,0.)); #447816=DIRECTION('',(0.,-1.,0.)); #447817=DIRECTION('',(0.,0.,1.)); #447818=DIRECTION('center_axis',(0.,1.,0.)); #447819=DIRECTION('ref_axis',(-1.,0.,0.)); #447820=DIRECTION('',(-1.,0.,0.)); #447821=DIRECTION('',(-1.,0.,0.)); #447822=DIRECTION('center_axis',(0.,0.,1.)); #447823=DIRECTION('ref_axis',(1.,0.,0.)); #447824=DIRECTION('center_axis',(0.,0.,1.)); #447825=DIRECTION('ref_axis',(1.,0.,0.)); #447826=DIRECTION('center_axis',(1.,0.,0.)); #447827=DIRECTION('ref_axis',(0.,1.,0.)); #447828=DIRECTION('',(0.,1.,0.)); #447829=DIRECTION('',(0.,0.,1.)); #447830=DIRECTION('',(0.,1.,0.)); #447831=DIRECTION('',(0.,0.,1.)); #447832=DIRECTION('center_axis',(0.,-1.,0.)); #447833=DIRECTION('ref_axis',(1.,0.,0.)); #447834=DIRECTION('',(1.,0.,0.)); #447835=DIRECTION('',(1.,0.,0.)); #447836=DIRECTION('',(0.,0.,1.)); #447837=DIRECTION('center_axis',(-1.,0.,0.)); #447838=DIRECTION('ref_axis',(0.,-1.,0.)); #447839=DIRECTION('',(0.,-1.,0.)); #447840=DIRECTION('',(0.,-1.,0.)); #447841=DIRECTION('',(0.,0.,1.)); #447842=DIRECTION('center_axis',(0.,1.,0.)); #447843=DIRECTION('ref_axis',(-1.,0.,0.)); #447844=DIRECTION('',(-1.,0.,0.)); #447845=DIRECTION('',(-1.,0.,0.)); #447846=DIRECTION('center_axis',(0.,0.,1.)); #447847=DIRECTION('ref_axis',(1.,0.,0.)); #447848=DIRECTION('center_axis',(0.,0.,1.)); #447849=DIRECTION('ref_axis',(1.,0.,0.)); #447850=DIRECTION('center_axis',(1.,0.,0.)); #447851=DIRECTION('ref_axis',(0.,1.,0.)); #447852=DIRECTION('',(0.,1.,0.)); #447853=DIRECTION('',(0.,0.,1.)); #447854=DIRECTION('',(0.,1.,0.)); #447855=DIRECTION('',(0.,0.,1.)); #447856=DIRECTION('center_axis',(0.,-1.,0.)); #447857=DIRECTION('ref_axis',(1.,0.,0.)); #447858=DIRECTION('',(1.,0.,0.)); #447859=DIRECTION('',(1.,0.,0.)); #447860=DIRECTION('',(0.,0.,1.)); #447861=DIRECTION('center_axis',(-1.,0.,0.)); #447862=DIRECTION('ref_axis',(0.,-1.,0.)); #447863=DIRECTION('',(0.,-1.,0.)); #447864=DIRECTION('',(0.,-1.,0.)); #447865=DIRECTION('',(0.,0.,1.)); #447866=DIRECTION('center_axis',(0.,1.,0.)); #447867=DIRECTION('ref_axis',(-1.,0.,0.)); #447868=DIRECTION('',(-1.,0.,0.)); #447869=DIRECTION('',(-1.,0.,0.)); #447870=DIRECTION('center_axis',(0.,0.,1.)); #447871=DIRECTION('ref_axis',(1.,0.,0.)); #447872=DIRECTION('center_axis',(0.,0.,1.)); #447873=DIRECTION('ref_axis',(1.,0.,0.)); #447874=DIRECTION('center_axis',(1.,0.,0.)); #447875=DIRECTION('ref_axis',(0.,1.,0.)); #447876=DIRECTION('',(0.,1.,0.)); #447877=DIRECTION('',(0.,0.,1.)); #447878=DIRECTION('',(0.,1.,0.)); #447879=DIRECTION('',(0.,0.,1.)); #447880=DIRECTION('center_axis',(0.,-1.,0.)); #447881=DIRECTION('ref_axis',(1.,0.,0.)); #447882=DIRECTION('',(1.,0.,0.)); #447883=DIRECTION('',(1.,0.,0.)); #447884=DIRECTION('',(0.,0.,1.)); #447885=DIRECTION('center_axis',(-1.,0.,0.)); #447886=DIRECTION('ref_axis',(0.,-1.,0.)); #447887=DIRECTION('',(0.,-1.,0.)); #447888=DIRECTION('',(0.,-1.,0.)); #447889=DIRECTION('',(0.,0.,1.)); #447890=DIRECTION('center_axis',(0.,1.,0.)); #447891=DIRECTION('ref_axis',(-1.,0.,0.)); #447892=DIRECTION('',(-1.,0.,0.)); #447893=DIRECTION('',(-1.,0.,0.)); #447894=DIRECTION('center_axis',(0.,0.,1.)); #447895=DIRECTION('ref_axis',(1.,0.,0.)); #447896=DIRECTION('center_axis',(0.,0.,1.)); #447897=DIRECTION('ref_axis',(1.,0.,0.)); #447898=DIRECTION('center_axis',(1.,0.,0.)); #447899=DIRECTION('ref_axis',(0.,1.,0.)); #447900=DIRECTION('',(0.,1.,0.)); #447901=DIRECTION('',(0.,0.,1.)); #447902=DIRECTION('',(0.,1.,0.)); #447903=DIRECTION('',(0.,0.,1.)); #447904=DIRECTION('center_axis',(0.,-1.,0.)); #447905=DIRECTION('ref_axis',(1.,0.,0.)); #447906=DIRECTION('',(1.,0.,0.)); #447907=DIRECTION('',(1.,0.,0.)); #447908=DIRECTION('',(0.,0.,1.)); #447909=DIRECTION('center_axis',(-1.,0.,0.)); #447910=DIRECTION('ref_axis',(0.,-1.,0.)); #447911=DIRECTION('',(0.,-1.,0.)); #447912=DIRECTION('',(0.,-1.,0.)); #447913=DIRECTION('',(0.,0.,1.)); #447914=DIRECTION('center_axis',(0.,1.,0.)); #447915=DIRECTION('ref_axis',(-1.,0.,0.)); #447916=DIRECTION('',(-1.,0.,0.)); #447917=DIRECTION('',(-1.,0.,0.)); #447918=DIRECTION('center_axis',(0.,0.,1.)); #447919=DIRECTION('ref_axis',(1.,0.,0.)); #447920=DIRECTION('center_axis',(0.,0.,1.)); #447921=DIRECTION('ref_axis',(1.,0.,0.)); #447922=DIRECTION('center_axis',(1.,0.,0.)); #447923=DIRECTION('ref_axis',(0.,1.,0.)); #447924=DIRECTION('',(0.,1.,0.)); #447925=DIRECTION('',(0.,0.,1.)); #447926=DIRECTION('',(0.,1.,0.)); #447927=DIRECTION('',(0.,0.,1.)); #447928=DIRECTION('center_axis',(0.,-1.,0.)); #447929=DIRECTION('ref_axis',(1.,0.,0.)); #447930=DIRECTION('',(1.,0.,0.)); #447931=DIRECTION('',(1.,0.,0.)); #447932=DIRECTION('',(0.,0.,1.)); #447933=DIRECTION('center_axis',(-1.,0.,0.)); #447934=DIRECTION('ref_axis',(0.,-1.,0.)); #447935=DIRECTION('',(0.,-1.,0.)); #447936=DIRECTION('',(0.,-1.,0.)); #447937=DIRECTION('',(0.,0.,1.)); #447938=DIRECTION('center_axis',(0.,1.,0.)); #447939=DIRECTION('ref_axis',(-1.,0.,0.)); #447940=DIRECTION('',(-1.,0.,0.)); #447941=DIRECTION('',(-1.,0.,0.)); #447942=DIRECTION('center_axis',(0.,0.,1.)); #447943=DIRECTION('ref_axis',(1.,0.,0.)); #447944=DIRECTION('center_axis',(0.,0.,1.)); #447945=DIRECTION('ref_axis',(1.,0.,0.)); #447946=DIRECTION('center_axis',(1.,0.,0.)); #447947=DIRECTION('ref_axis',(0.,1.,0.)); #447948=DIRECTION('',(0.,1.,0.)); #447949=DIRECTION('',(0.,0.,1.)); #447950=DIRECTION('',(0.,1.,0.)); #447951=DIRECTION('',(0.,0.,1.)); #447952=DIRECTION('center_axis',(0.,-1.,0.)); #447953=DIRECTION('ref_axis',(1.,0.,0.)); #447954=DIRECTION('',(1.,0.,0.)); #447955=DIRECTION('',(1.,0.,0.)); #447956=DIRECTION('',(0.,0.,1.)); #447957=DIRECTION('center_axis',(-1.,0.,0.)); #447958=DIRECTION('ref_axis',(0.,-1.,0.)); #447959=DIRECTION('',(0.,-1.,0.)); #447960=DIRECTION('',(0.,-1.,0.)); #447961=DIRECTION('',(0.,0.,1.)); #447962=DIRECTION('center_axis',(0.,1.,0.)); #447963=DIRECTION('ref_axis',(-1.,0.,0.)); #447964=DIRECTION('',(-1.,0.,0.)); #447965=DIRECTION('',(-1.,0.,0.)); #447966=DIRECTION('center_axis',(0.,0.,1.)); #447967=DIRECTION('ref_axis',(1.,0.,0.)); #447968=DIRECTION('center_axis',(0.,0.,1.)); #447969=DIRECTION('ref_axis',(1.,0.,0.)); #447970=DIRECTION('center_axis',(0.,0.,1.)); #447971=DIRECTION('ref_axis',(1.,0.,0.)); #447972=DIRECTION('center_axis',(0.,0.,1.)); #447973=DIRECTION('ref_axis',(1.,0.,0.)); #447974=DIRECTION('',(0.,0.,1.)); #447975=DIRECTION('center_axis',(0.,0.,-1.)); #447976=DIRECTION('ref_axis',(1.,0.,0.)); #447977=DIRECTION('center_axis',(0.,0.,1.)); #447978=DIRECTION('ref_axis',(1.,0.,0.)); #447979=DIRECTION('center_axis',(0.,0.,1.)); #447980=DIRECTION('ref_axis',(1.,0.,0.)); #447981=DIRECTION('',(0.,0.,1.)); #447982=DIRECTION('center_axis',(0.,0.,-1.)); #447983=DIRECTION('ref_axis',(1.,0.,0.)); #447984=DIRECTION('center_axis',(0.,0.,1.)); #447985=DIRECTION('ref_axis',(1.,0.,0.)); #447986=DIRECTION('center_axis',(0.,0.,1.)); #447987=DIRECTION('ref_axis',(1.,0.,0.)); #447988=DIRECTION('',(0.,0.,1.)); #447989=DIRECTION('center_axis',(0.,0.,-1.)); #447990=DIRECTION('ref_axis',(1.,0.,0.)); #447991=DIRECTION('center_axis',(0.,0.,1.)); #447992=DIRECTION('ref_axis',(1.,0.,0.)); #447993=DIRECTION('center_axis',(0.,0.,1.)); #447994=DIRECTION('ref_axis',(1.,0.,0.)); #447995=DIRECTION('',(0.,0.,1.)); #447996=DIRECTION('center_axis',(0.,0.,-1.)); #447997=DIRECTION('ref_axis',(1.,0.,0.)); #447998=DIRECTION('center_axis',(0.,0.,1.)); #447999=DIRECTION('ref_axis',(1.,0.,0.)); #448000=DIRECTION('center_axis',(0.,0.,1.)); #448001=DIRECTION('ref_axis',(1.,0.,0.)); #448002=DIRECTION('',(0.,0.,1.)); #448003=DIRECTION('center_axis',(0.,0.,-1.)); #448004=DIRECTION('ref_axis',(1.,0.,0.)); #448005=DIRECTION('center_axis',(0.,0.,1.)); #448006=DIRECTION('ref_axis',(1.,0.,0.)); #448007=DIRECTION('center_axis',(0.,0.,1.)); #448008=DIRECTION('ref_axis',(1.,0.,0.)); #448009=DIRECTION('',(0.,0.,1.)); #448010=DIRECTION('center_axis',(0.,0.,-1.)); #448011=DIRECTION('ref_axis',(1.,0.,0.)); #448012=DIRECTION('center_axis',(0.,0.,1.)); #448013=DIRECTION('ref_axis',(1.,0.,0.)); #448014=DIRECTION('center_axis',(0.,0.,1.)); #448015=DIRECTION('ref_axis',(1.,0.,0.)); #448016=DIRECTION('',(0.,0.,1.)); #448017=DIRECTION('center_axis',(0.,0.,-1.)); #448018=DIRECTION('ref_axis',(1.,0.,0.)); #448019=DIRECTION('center_axis',(0.,0.,1.)); #448020=DIRECTION('ref_axis',(1.,0.,0.)); #448021=DIRECTION('center_axis',(0.,0.,1.)); #448022=DIRECTION('ref_axis',(1.,0.,0.)); #448023=DIRECTION('',(0.,0.,1.)); #448024=DIRECTION('center_axis',(0.,0.,-1.)); #448025=DIRECTION('ref_axis',(1.,0.,0.)); #448026=DIRECTION('center_axis',(0.,0.,1.)); #448027=DIRECTION('ref_axis',(1.,0.,0.)); #448028=DIRECTION('center_axis',(0.,0.,1.)); #448029=DIRECTION('ref_axis',(1.,0.,0.)); #448030=DIRECTION('',(0.,0.,1.)); #448031=DIRECTION('center_axis',(0.,0.,-1.)); #448032=DIRECTION('ref_axis',(1.,0.,0.)); #448033=DIRECTION('center_axis',(0.,0.,1.)); #448034=DIRECTION('ref_axis',(1.,0.,0.)); #448035=DIRECTION('center_axis',(0.,0.,1.)); #448036=DIRECTION('ref_axis',(1.,0.,0.)); #448037=DIRECTION('',(0.,0.,1.)); #448038=DIRECTION('center_axis',(0.,0.,-1.)); #448039=DIRECTION('ref_axis',(1.,0.,0.)); #448040=DIRECTION('center_axis',(0.,0.,1.)); #448041=DIRECTION('ref_axis',(1.,0.,0.)); #448042=DIRECTION('center_axis',(0.,0.,1.)); #448043=DIRECTION('ref_axis',(1.,0.,0.)); #448044=DIRECTION('',(0.,0.,1.)); #448045=DIRECTION('center_axis',(0.,0.,-1.)); #448046=DIRECTION('ref_axis',(1.,0.,0.)); #448047=DIRECTION('center_axis',(0.,0.,1.)); #448048=DIRECTION('ref_axis',(1.,0.,0.)); #448049=DIRECTION('center_axis',(0.,0.,1.)); #448050=DIRECTION('ref_axis',(1.,0.,0.)); #448051=DIRECTION('',(0.,0.,1.)); #448052=DIRECTION('center_axis',(0.,0.,-1.)); #448053=DIRECTION('ref_axis',(1.,0.,0.)); #448054=DIRECTION('center_axis',(0.,0.,1.)); #448055=DIRECTION('ref_axis',(1.,0.,0.)); #448056=DIRECTION('center_axis',(0.,0.,1.)); #448057=DIRECTION('ref_axis',(1.,0.,0.)); #448058=DIRECTION('',(0.,0.,1.)); #448059=DIRECTION('center_axis',(0.,0.,-1.)); #448060=DIRECTION('ref_axis',(1.,0.,0.)); #448061=DIRECTION('center_axis',(0.,0.,1.)); #448062=DIRECTION('ref_axis',(1.,0.,0.)); #448063=DIRECTION('center_axis',(0.,0.,1.)); #448064=DIRECTION('ref_axis',(1.,0.,0.)); #448065=DIRECTION('',(0.,0.,1.)); #448066=DIRECTION('center_axis',(0.,0.,-1.)); #448067=DIRECTION('ref_axis',(1.,0.,0.)); #448068=DIRECTION('center_axis',(0.,0.,1.)); #448069=DIRECTION('ref_axis',(1.,0.,0.)); #448070=DIRECTION('center_axis',(0.,0.,1.)); #448071=DIRECTION('ref_axis',(1.,0.,0.)); #448072=DIRECTION('',(0.,0.,1.)); #448073=DIRECTION('center_axis',(0.,0.,-1.)); #448074=DIRECTION('ref_axis',(1.,0.,0.)); #448075=DIRECTION('center_axis',(1.,0.,0.)); #448076=DIRECTION('ref_axis',(0.,1.,0.)); #448077=DIRECTION('',(0.,1.,0.)); #448078=DIRECTION('',(0.,0.,1.)); #448079=DIRECTION('',(0.,1.,0.)); #448080=DIRECTION('',(0.,0.,1.)); #448081=DIRECTION('center_axis',(0.,-1.,0.)); #448082=DIRECTION('ref_axis',(1.,0.,0.)); #448083=DIRECTION('',(1.,0.,0.)); #448084=DIRECTION('',(1.,0.,0.)); #448085=DIRECTION('',(0.,0.,1.)); #448086=DIRECTION('center_axis',(-1.,0.,0.)); #448087=DIRECTION('ref_axis',(0.,-1.,0.)); #448088=DIRECTION('',(0.,-1.,0.)); #448089=DIRECTION('',(0.,-1.,0.)); #448090=DIRECTION('',(0.,0.,1.)); #448091=DIRECTION('center_axis',(0.,1.,0.)); #448092=DIRECTION('ref_axis',(-1.,0.,0.)); #448093=DIRECTION('',(-1.,0.,0.)); #448094=DIRECTION('',(-1.,0.,0.)); #448095=DIRECTION('center_axis',(0.,0.,1.)); #448096=DIRECTION('ref_axis',(1.,0.,0.)); #448097=DIRECTION('center_axis',(0.,0.,1.)); #448098=DIRECTION('ref_axis',(1.,0.,0.)); #448099=DIRECTION('center_axis',(1.,0.,0.)); #448100=DIRECTION('ref_axis',(0.,1.,0.)); #448101=DIRECTION('',(0.,1.,0.)); #448102=DIRECTION('',(0.,0.,1.)); #448103=DIRECTION('',(0.,1.,0.)); #448104=DIRECTION('',(0.,0.,1.)); #448105=DIRECTION('center_axis',(0.,-1.,0.)); #448106=DIRECTION('ref_axis',(1.,0.,0.)); #448107=DIRECTION('',(1.,0.,0.)); #448108=DIRECTION('',(1.,0.,0.)); #448109=DIRECTION('',(0.,0.,1.)); #448110=DIRECTION('center_axis',(-1.,0.,0.)); #448111=DIRECTION('ref_axis',(0.,-1.,0.)); #448112=DIRECTION('',(0.,-1.,0.)); #448113=DIRECTION('',(0.,-1.,0.)); #448114=DIRECTION('',(0.,0.,1.)); #448115=DIRECTION('center_axis',(0.,1.,0.)); #448116=DIRECTION('ref_axis',(-1.,0.,0.)); #448117=DIRECTION('',(-1.,0.,0.)); #448118=DIRECTION('',(-1.,0.,0.)); #448119=DIRECTION('center_axis',(0.,0.,1.)); #448120=DIRECTION('ref_axis',(1.,0.,0.)); #448121=DIRECTION('center_axis',(0.,0.,1.)); #448122=DIRECTION('ref_axis',(1.,0.,0.)); #448123=DIRECTION('center_axis',(1.,0.,0.)); #448124=DIRECTION('ref_axis',(0.,1.,0.)); #448125=DIRECTION('',(0.,1.,0.)); #448126=DIRECTION('',(0.,0.,1.)); #448127=DIRECTION('',(0.,1.,0.)); #448128=DIRECTION('',(0.,0.,1.)); #448129=DIRECTION('center_axis',(0.,-1.,0.)); #448130=DIRECTION('ref_axis',(1.,0.,0.)); #448131=DIRECTION('',(1.,0.,0.)); #448132=DIRECTION('',(1.,0.,0.)); #448133=DIRECTION('',(0.,0.,1.)); #448134=DIRECTION('center_axis',(-1.,0.,0.)); #448135=DIRECTION('ref_axis',(0.,-1.,0.)); #448136=DIRECTION('',(0.,-1.,0.)); #448137=DIRECTION('',(0.,-1.,0.)); #448138=DIRECTION('',(0.,0.,1.)); #448139=DIRECTION('center_axis',(0.,1.,0.)); #448140=DIRECTION('ref_axis',(-1.,0.,0.)); #448141=DIRECTION('',(-1.,0.,0.)); #448142=DIRECTION('',(-1.,0.,0.)); #448143=DIRECTION('center_axis',(0.,0.,1.)); #448144=DIRECTION('ref_axis',(1.,0.,0.)); #448145=DIRECTION('center_axis',(0.,0.,1.)); #448146=DIRECTION('ref_axis',(1.,0.,0.)); #448147=DIRECTION('center_axis',(1.,0.,0.)); #448148=DIRECTION('ref_axis',(0.,1.,0.)); #448149=DIRECTION('',(0.,1.,0.)); #448150=DIRECTION('',(0.,0.,1.)); #448151=DIRECTION('',(0.,1.,0.)); #448152=DIRECTION('',(0.,0.,1.)); #448153=DIRECTION('center_axis',(0.,-1.,0.)); #448154=DIRECTION('ref_axis',(1.,0.,0.)); #448155=DIRECTION('',(1.,0.,0.)); #448156=DIRECTION('',(1.,0.,0.)); #448157=DIRECTION('',(0.,0.,1.)); #448158=DIRECTION('center_axis',(-1.,0.,0.)); #448159=DIRECTION('ref_axis',(0.,-1.,0.)); #448160=DIRECTION('',(0.,-1.,0.)); #448161=DIRECTION('',(0.,-1.,0.)); #448162=DIRECTION('',(0.,0.,1.)); #448163=DIRECTION('center_axis',(0.,1.,0.)); #448164=DIRECTION('ref_axis',(-1.,0.,0.)); #448165=DIRECTION('',(-1.,0.,0.)); #448166=DIRECTION('',(-1.,0.,0.)); #448167=DIRECTION('center_axis',(0.,0.,1.)); #448168=DIRECTION('ref_axis',(1.,0.,0.)); #448169=DIRECTION('center_axis',(0.,0.,1.)); #448170=DIRECTION('ref_axis',(1.,0.,0.)); #448171=DIRECTION('center_axis',(1.,0.,0.)); #448172=DIRECTION('ref_axis',(0.,1.,0.)); #448173=DIRECTION('',(0.,1.,0.)); #448174=DIRECTION('',(0.,0.,1.)); #448175=DIRECTION('',(0.,1.,0.)); #448176=DIRECTION('',(0.,0.,1.)); #448177=DIRECTION('center_axis',(0.,-1.,0.)); #448178=DIRECTION('ref_axis',(1.,0.,0.)); #448179=DIRECTION('',(1.,0.,0.)); #448180=DIRECTION('',(1.,0.,0.)); #448181=DIRECTION('',(0.,0.,1.)); #448182=DIRECTION('center_axis',(-1.,0.,0.)); #448183=DIRECTION('ref_axis',(0.,-1.,0.)); #448184=DIRECTION('',(0.,-1.,0.)); #448185=DIRECTION('',(0.,-1.,0.)); #448186=DIRECTION('',(0.,0.,1.)); #448187=DIRECTION('center_axis',(0.,1.,0.)); #448188=DIRECTION('ref_axis',(-1.,0.,0.)); #448189=DIRECTION('',(-1.,0.,0.)); #448190=DIRECTION('',(-1.,0.,0.)); #448191=DIRECTION('center_axis',(0.,0.,1.)); #448192=DIRECTION('ref_axis',(1.,0.,0.)); #448193=DIRECTION('center_axis',(0.,0.,1.)); #448194=DIRECTION('ref_axis',(1.,0.,0.)); #448195=DIRECTION('center_axis',(1.,0.,0.)); #448196=DIRECTION('ref_axis',(0.,1.,0.)); #448197=DIRECTION('',(0.,1.,0.)); #448198=DIRECTION('',(0.,0.,1.)); #448199=DIRECTION('',(0.,1.,0.)); #448200=DIRECTION('',(0.,0.,1.)); #448201=DIRECTION('center_axis',(0.,-1.,0.)); #448202=DIRECTION('ref_axis',(1.,0.,0.)); #448203=DIRECTION('',(1.,0.,0.)); #448204=DIRECTION('',(1.,0.,0.)); #448205=DIRECTION('',(0.,0.,1.)); #448206=DIRECTION('center_axis',(-1.,0.,0.)); #448207=DIRECTION('ref_axis',(0.,-1.,0.)); #448208=DIRECTION('',(0.,-1.,0.)); #448209=DIRECTION('',(0.,-1.,0.)); #448210=DIRECTION('',(0.,0.,1.)); #448211=DIRECTION('center_axis',(0.,1.,0.)); #448212=DIRECTION('ref_axis',(-1.,0.,0.)); #448213=DIRECTION('',(-1.,0.,0.)); #448214=DIRECTION('',(-1.,0.,0.)); #448215=DIRECTION('center_axis',(0.,0.,1.)); #448216=DIRECTION('ref_axis',(1.,0.,0.)); #448217=DIRECTION('center_axis',(0.,0.,1.)); #448218=DIRECTION('ref_axis',(1.,0.,0.)); #448219=DIRECTION('center_axis',(1.,0.,0.)); #448220=DIRECTION('ref_axis',(0.,1.,0.)); #448221=DIRECTION('',(0.,1.,0.)); #448222=DIRECTION('',(0.,0.,1.)); #448223=DIRECTION('',(0.,1.,0.)); #448224=DIRECTION('',(0.,0.,1.)); #448225=DIRECTION('center_axis',(0.,-1.,0.)); #448226=DIRECTION('ref_axis',(1.,0.,0.)); #448227=DIRECTION('',(1.,0.,0.)); #448228=DIRECTION('',(1.,0.,0.)); #448229=DIRECTION('',(0.,0.,1.)); #448230=DIRECTION('center_axis',(-1.,0.,0.)); #448231=DIRECTION('ref_axis',(0.,-1.,0.)); #448232=DIRECTION('',(0.,-1.,0.)); #448233=DIRECTION('',(0.,-1.,0.)); #448234=DIRECTION('',(0.,0.,1.)); #448235=DIRECTION('center_axis',(0.,1.,0.)); #448236=DIRECTION('ref_axis',(-1.,0.,0.)); #448237=DIRECTION('',(-1.,0.,0.)); #448238=DIRECTION('',(-1.,0.,0.)); #448239=DIRECTION('center_axis',(0.,0.,1.)); #448240=DIRECTION('ref_axis',(1.,0.,0.)); #448241=DIRECTION('center_axis',(0.,0.,1.)); #448242=DIRECTION('ref_axis',(1.,0.,0.)); #448243=DIRECTION('center_axis',(0.,0.,1.)); #448244=DIRECTION('ref_axis',(1.,0.,0.)); #448245=DIRECTION('center_axis',(0.,0.,1.)); #448246=DIRECTION('ref_axis',(1.,0.,0.)); #448247=DIRECTION('',(0.,0.,1.)); #448248=DIRECTION('center_axis',(0.,0.,-1.)); #448249=DIRECTION('ref_axis',(1.,0.,0.)); #448250=DIRECTION('center_axis',(1.,0.,0.)); #448251=DIRECTION('ref_axis',(0.,1.,0.)); #448252=DIRECTION('',(0.,1.,0.)); #448253=DIRECTION('',(0.,0.,1.)); #448254=DIRECTION('',(0.,1.,0.)); #448255=DIRECTION('',(0.,0.,1.)); #448256=DIRECTION('center_axis',(0.,-1.,0.)); #448257=DIRECTION('ref_axis',(1.,0.,0.)); #448258=DIRECTION('',(1.,0.,0.)); #448259=DIRECTION('',(1.,0.,0.)); #448260=DIRECTION('',(0.,0.,1.)); #448261=DIRECTION('center_axis',(-1.,0.,0.)); #448262=DIRECTION('ref_axis',(0.,-1.,0.)); #448263=DIRECTION('',(0.,-1.,0.)); #448264=DIRECTION('',(0.,-1.,0.)); #448265=DIRECTION('',(0.,0.,1.)); #448266=DIRECTION('center_axis',(0.,1.,0.)); #448267=DIRECTION('ref_axis',(-1.,0.,0.)); #448268=DIRECTION('',(-1.,0.,0.)); #448269=DIRECTION('',(-1.,0.,0.)); #448270=DIRECTION('center_axis',(0.,0.,1.)); #448271=DIRECTION('ref_axis',(1.,0.,0.)); #448272=DIRECTION('center_axis',(0.,0.,1.)); #448273=DIRECTION('ref_axis',(1.,0.,0.)); #448274=DIRECTION('center_axis',(0.,0.,1.)); #448275=DIRECTION('ref_axis',(1.,0.,0.)); #448276=DIRECTION('center_axis',(0.,0.,1.)); #448277=DIRECTION('ref_axis',(1.,0.,0.)); #448278=DIRECTION('',(0.,0.,1.)); #448279=DIRECTION('center_axis',(0.,0.,-1.)); #448280=DIRECTION('ref_axis',(1.,0.,0.)); #448281=DIRECTION('center_axis',(0.,0.,1.)); #448282=DIRECTION('ref_axis',(1.,0.,0.)); #448283=DIRECTION('center_axis',(0.,0.,1.)); #448284=DIRECTION('ref_axis',(1.,0.,0.)); #448285=DIRECTION('',(0.,0.,1.)); #448286=DIRECTION('center_axis',(0.,0.,-1.)); #448287=DIRECTION('ref_axis',(1.,0.,0.)); #448288=DIRECTION('center_axis',(-1.,0.,0.)); #448289=DIRECTION('ref_axis',(0.,-1.,0.)); #448290=DIRECTION('',(0.,-1.,0.)); #448291=DIRECTION('',(0.,0.,1.)); #448292=DIRECTION('',(0.,-1.,0.)); #448293=DIRECTION('',(0.,0.,1.)); #448294=DIRECTION('center_axis',(0.,1.,0.)); #448295=DIRECTION('ref_axis',(-1.,0.,0.)); #448296=DIRECTION('',(-1.,0.,0.)); #448297=DIRECTION('',(-1.,0.,0.)); #448298=DIRECTION('',(0.,0.,1.)); #448299=DIRECTION('center_axis',(1.,0.,0.)); #448300=DIRECTION('ref_axis',(0.,1.,0.)); #448301=DIRECTION('',(0.,1.,0.)); #448302=DIRECTION('',(0.,1.,0.)); #448303=DIRECTION('',(0.,0.,1.)); #448304=DIRECTION('center_axis',(0.,-1.,0.)); #448305=DIRECTION('ref_axis',(1.,0.,0.)); #448306=DIRECTION('',(1.,0.,0.)); #448307=DIRECTION('',(1.,0.,0.)); #448308=DIRECTION('center_axis',(0.,0.,1.)); #448309=DIRECTION('ref_axis',(1.,0.,0.)); #448310=DIRECTION('center_axis',(0.,0.,1.)); #448311=DIRECTION('ref_axis',(1.,0.,0.)); #448312=DIRECTION('center_axis',(0.,0.,1.)); #448313=DIRECTION('ref_axis',(1.,0.,0.)); #448314=DIRECTION('center_axis',(0.,0.,1.)); #448315=DIRECTION('ref_axis',(1.,0.,0.)); #448316=DIRECTION('',(0.,0.,1.)); #448317=DIRECTION('center_axis',(0.,0.,-1.)); #448318=DIRECTION('ref_axis',(1.,0.,0.)); #448319=DIRECTION('center_axis',(0.,0.,1.)); #448320=DIRECTION('ref_axis',(1.,0.,0.)); #448321=DIRECTION('center_axis',(0.,0.,1.)); #448322=DIRECTION('ref_axis',(1.,0.,0.)); #448323=DIRECTION('',(0.,0.,1.)); #448324=DIRECTION('center_axis',(0.,0.,-1.)); #448325=DIRECTION('ref_axis',(1.,0.,0.)); #448326=DIRECTION('center_axis',(-1.,0.,0.)); #448327=DIRECTION('ref_axis',(0.,-1.,0.)); #448328=DIRECTION('',(0.,-1.,0.)); #448329=DIRECTION('',(0.,0.,1.)); #448330=DIRECTION('',(0.,-1.,0.)); #448331=DIRECTION('',(0.,0.,1.)); #448332=DIRECTION('center_axis',(0.,1.,0.)); #448333=DIRECTION('ref_axis',(-1.,0.,0.)); #448334=DIRECTION('',(-1.,0.,0.)); #448335=DIRECTION('',(-1.,0.,0.)); #448336=DIRECTION('',(0.,0.,1.)); #448337=DIRECTION('center_axis',(1.,0.,0.)); #448338=DIRECTION('ref_axis',(0.,1.,0.)); #448339=DIRECTION('',(0.,1.,0.)); #448340=DIRECTION('',(0.,1.,0.)); #448341=DIRECTION('',(0.,0.,1.)); #448342=DIRECTION('center_axis',(0.,-1.,0.)); #448343=DIRECTION('ref_axis',(1.,0.,0.)); #448344=DIRECTION('',(1.,0.,0.)); #448345=DIRECTION('',(1.,0.,0.)); #448346=DIRECTION('center_axis',(0.,0.,1.)); #448347=DIRECTION('ref_axis',(1.,0.,0.)); #448348=DIRECTION('center_axis',(0.,0.,1.)); #448349=DIRECTION('ref_axis',(1.,0.,0.)); #448350=DIRECTION('center_axis',(0.,0.,1.)); #448351=DIRECTION('ref_axis',(1.,0.,0.)); #448352=DIRECTION('center_axis',(0.,0.,1.)); #448353=DIRECTION('ref_axis',(1.,0.,0.)); #448354=DIRECTION('',(0.,0.,1.)); #448355=DIRECTION('center_axis',(0.,0.,-1.)); #448356=DIRECTION('ref_axis',(1.,0.,0.)); #448357=DIRECTION('center_axis',(1.,0.,0.)); #448358=DIRECTION('ref_axis',(0.,1.,0.)); #448359=DIRECTION('',(0.,1.,0.)); #448360=DIRECTION('',(0.,0.,1.)); #448361=DIRECTION('',(0.,1.,0.)); #448362=DIRECTION('',(0.,0.,1.)); #448363=DIRECTION('center_axis',(0.,-1.,0.)); #448364=DIRECTION('ref_axis',(1.,0.,0.)); #448365=DIRECTION('',(1.,0.,0.)); #448366=DIRECTION('',(1.,0.,0.)); #448367=DIRECTION('',(0.,0.,1.)); #448368=DIRECTION('center_axis',(-1.,0.,0.)); #448369=DIRECTION('ref_axis',(0.,-1.,0.)); #448370=DIRECTION('',(0.,-1.,0.)); #448371=DIRECTION('',(0.,-1.,0.)); #448372=DIRECTION('',(0.,0.,1.)); #448373=DIRECTION('center_axis',(0.,1.,0.)); #448374=DIRECTION('ref_axis',(-1.,0.,0.)); #448375=DIRECTION('',(-1.,0.,0.)); #448376=DIRECTION('',(-1.,0.,0.)); #448377=DIRECTION('center_axis',(0.,0.,1.)); #448378=DIRECTION('ref_axis',(1.,0.,0.)); #448379=DIRECTION('center_axis',(0.,0.,1.)); #448380=DIRECTION('ref_axis',(1.,0.,0.)); #448381=DIRECTION('axis',(0.,0.,1.)); #448382=DIRECTION('refdir',(1.,0.,0.)); #448383=DIRECTION('center_axis',(0.,0.,-1.)); #448384=DIRECTION('ref_axis',(1.,0.,0.)); #448385=DIRECTION('center_axis',(0.,0.,-1.)); #448386=DIRECTION('ref_axis',(1.,0.,0.)); #448387=DIRECTION('',(0.,0.,-1.)); #448388=DIRECTION('center_axis',(0.,0.,1.)); #448389=DIRECTION('ref_axis',(1.,0.,0.)); #448390=DIRECTION('center_axis',(0.,0.,-1.)); #448391=DIRECTION('ref_axis',(1.,0.,0.)); #448392=DIRECTION('center_axis',(0.,0.,-1.)); #448393=DIRECTION('ref_axis',(1.,0.,0.)); #448394=DIRECTION('',(0.,0.,-1.)); #448395=DIRECTION('center_axis',(0.,0.,1.)); #448396=DIRECTION('ref_axis',(1.,0.,0.)); #448397=DIRECTION('center_axis',(0.,0.,1.)); #448398=DIRECTION('ref_axis',(1.,0.,0.)); #448399=DIRECTION('center_axis',(0.,0.,1.)); #448400=DIRECTION('ref_axis',(1.,0.,0.)); #448401=DIRECTION('',(0.,0.,1.)); #448402=DIRECTION('center_axis',(0.,0.,-1.)); #448403=DIRECTION('ref_axis',(1.,0.,0.)); #448404=DIRECTION('center_axis',(0.,0.,1.)); #448405=DIRECTION('ref_axis',(1.,0.,0.)); #448406=DIRECTION('center_axis',(0.,0.,1.)); #448407=DIRECTION('ref_axis',(1.,0.,0.)); #448408=DIRECTION('',(0.,0.,1.)); #448409=DIRECTION('center_axis',(0.,0.,-1.)); #448410=DIRECTION('ref_axis',(1.,0.,0.)); #448411=DIRECTION('center_axis',(0.,0.,1.)); #448412=DIRECTION('ref_axis',(1.,0.,0.)); #448413=DIRECTION('center_axis',(0.,0.,1.)); #448414=DIRECTION('ref_axis',(1.,0.,0.)); #448415=DIRECTION('',(0.,0.,1.)); #448416=DIRECTION('center_axis',(0.,0.,-1.)); #448417=DIRECTION('ref_axis',(1.,0.,0.)); #448418=DIRECTION('center_axis',(0.,0.,1.)); #448419=DIRECTION('ref_axis',(1.,0.,0.)); #448420=DIRECTION('center_axis',(0.,0.,1.)); #448421=DIRECTION('ref_axis',(1.,0.,0.)); #448422=DIRECTION('',(0.,0.,1.)); #448423=DIRECTION('center_axis',(0.,0.,-1.)); #448424=DIRECTION('ref_axis',(1.,0.,0.)); #448425=DIRECTION('center_axis',(0.,0.,1.)); #448426=DIRECTION('ref_axis',(1.,0.,0.)); #448427=DIRECTION('center_axis',(0.,0.,1.)); #448428=DIRECTION('ref_axis',(1.,0.,0.)); #448429=DIRECTION('',(0.,0.,1.)); #448430=DIRECTION('center_axis',(0.,0.,-1.)); #448431=DIRECTION('ref_axis',(1.,0.,0.)); #448432=DIRECTION('center_axis',(0.,0.,1.)); #448433=DIRECTION('ref_axis',(1.,0.,0.)); #448434=DIRECTION('center_axis',(0.,0.,1.)); #448435=DIRECTION('ref_axis',(1.,0.,0.)); #448436=DIRECTION('',(0.,0.,1.)); #448437=DIRECTION('center_axis',(0.,0.,-1.)); #448438=DIRECTION('ref_axis',(1.,0.,0.)); #448439=DIRECTION('center_axis',(0.,0.,1.)); #448440=DIRECTION('ref_axis',(1.,0.,0.)); #448441=DIRECTION('center_axis',(0.,0.,1.)); #448442=DIRECTION('ref_axis',(1.,0.,0.)); #448443=DIRECTION('',(0.,0.,1.)); #448444=DIRECTION('center_axis',(0.,0.,-1.)); #448445=DIRECTION('ref_axis',(1.,0.,0.)); #448446=DIRECTION('center_axis',(0.,0.,1.)); #448447=DIRECTION('ref_axis',(1.,0.,0.)); #448448=DIRECTION('center_axis',(0.,0.,1.)); #448449=DIRECTION('ref_axis',(1.,0.,0.)); #448450=DIRECTION('',(0.,0.,1.)); #448451=DIRECTION('center_axis',(0.,0.,-1.)); #448452=DIRECTION('ref_axis',(1.,0.,0.)); #448453=DIRECTION('center_axis',(0.,0.,1.)); #448454=DIRECTION('ref_axis',(1.,0.,0.)); #448455=DIRECTION('center_axis',(0.,0.,1.)); #448456=DIRECTION('ref_axis',(1.,0.,0.)); #448457=DIRECTION('',(0.,0.,1.)); #448458=DIRECTION('center_axis',(0.,0.,-1.)); #448459=DIRECTION('ref_axis',(1.,0.,0.)); #448460=DIRECTION('center_axis',(0.,0.,1.)); #448461=DIRECTION('ref_axis',(1.,0.,0.)); #448462=DIRECTION('center_axis',(0.,0.,1.)); #448463=DIRECTION('ref_axis',(1.,0.,0.)); #448464=DIRECTION('',(0.,0.,1.)); #448465=DIRECTION('center_axis',(0.,0.,-1.)); #448466=DIRECTION('ref_axis',(1.,0.,0.)); #448467=DIRECTION('center_axis',(0.,0.,1.)); #448468=DIRECTION('ref_axis',(1.,0.,0.)); #448469=DIRECTION('center_axis',(0.,0.,1.)); #448470=DIRECTION('ref_axis',(1.,0.,0.)); #448471=DIRECTION('',(0.,0.,1.)); #448472=DIRECTION('center_axis',(0.,0.,-1.)); #448473=DIRECTION('ref_axis',(1.,0.,0.)); #448474=DIRECTION('center_axis',(0.,0.,1.)); #448475=DIRECTION('ref_axis',(1.,0.,0.)); #448476=DIRECTION('center_axis',(0.,0.,1.)); #448477=DIRECTION('ref_axis',(1.,0.,0.)); #448478=DIRECTION('',(0.,0.,1.)); #448479=DIRECTION('center_axis',(0.,0.,-1.)); #448480=DIRECTION('ref_axis',(1.,0.,0.)); #448481=DIRECTION('center_axis',(0.,0.,1.)); #448482=DIRECTION('ref_axis',(1.,0.,0.)); #448483=DIRECTION('center_axis',(0.,0.,1.)); #448484=DIRECTION('ref_axis',(1.,0.,0.)); #448485=DIRECTION('',(0.,0.,1.)); #448486=DIRECTION('center_axis',(0.,0.,-1.)); #448487=DIRECTION('ref_axis',(1.,0.,0.)); #448488=DIRECTION('center_axis',(0.,0.,1.)); #448489=DIRECTION('ref_axis',(1.,0.,0.)); #448490=DIRECTION('center_axis',(0.,0.,1.)); #448491=DIRECTION('ref_axis',(1.,0.,0.)); #448492=DIRECTION('',(0.,0.,1.)); #448493=DIRECTION('center_axis',(0.,0.,-1.)); #448494=DIRECTION('ref_axis',(1.,0.,0.)); #448495=DIRECTION('center_axis',(0.,0.,1.)); #448496=DIRECTION('ref_axis',(1.,0.,0.)); #448497=DIRECTION('center_axis',(0.,0.,1.)); #448498=DIRECTION('ref_axis',(1.,0.,0.)); #448499=DIRECTION('',(0.,0.,1.)); #448500=DIRECTION('center_axis',(0.,0.,-1.)); #448501=DIRECTION('ref_axis',(1.,0.,0.)); #448502=DIRECTION('center_axis',(0.,0.,1.)); #448503=DIRECTION('ref_axis',(1.,0.,0.)); #448504=DIRECTION('center_axis',(0.,0.,1.)); #448505=DIRECTION('ref_axis',(1.,0.,0.)); #448506=DIRECTION('',(0.,0.,1.)); #448507=DIRECTION('center_axis',(0.,0.,-1.)); #448508=DIRECTION('ref_axis',(1.,0.,0.)); #448509=DIRECTION('center_axis',(0.,0.,1.)); #448510=DIRECTION('ref_axis',(1.,0.,0.)); #448511=DIRECTION('center_axis',(0.,0.,1.)); #448512=DIRECTION('ref_axis',(1.,0.,0.)); #448513=DIRECTION('',(0.,0.,1.)); #448514=DIRECTION('center_axis',(0.,0.,-1.)); #448515=DIRECTION('ref_axis',(1.,0.,0.)); #448516=DIRECTION('center_axis',(0.,0.,1.)); #448517=DIRECTION('ref_axis',(1.,0.,0.)); #448518=DIRECTION('center_axis',(0.,0.,1.)); #448519=DIRECTION('ref_axis',(1.,0.,0.)); #448520=DIRECTION('',(0.,0.,1.)); #448521=DIRECTION('center_axis',(0.,0.,-1.)); #448522=DIRECTION('ref_axis',(1.,0.,0.)); #448523=DIRECTION('center_axis',(0.,0.,1.)); #448524=DIRECTION('ref_axis',(1.,0.,0.)); #448525=DIRECTION('center_axis',(0.,0.,1.)); #448526=DIRECTION('ref_axis',(1.,0.,0.)); #448527=DIRECTION('',(0.,0.,1.)); #448528=DIRECTION('center_axis',(0.,0.,-1.)); #448529=DIRECTION('ref_axis',(1.,0.,0.)); #448530=DIRECTION('center_axis',(0.,0.,1.)); #448531=DIRECTION('ref_axis',(1.,0.,0.)); #448532=DIRECTION('center_axis',(0.,0.,1.)); #448533=DIRECTION('ref_axis',(1.,0.,0.)); #448534=DIRECTION('',(0.,0.,1.)); #448535=DIRECTION('center_axis',(0.,0.,-1.)); #448536=DIRECTION('ref_axis',(1.,0.,0.)); #448537=DIRECTION('center_axis',(0.,0.,1.)); #448538=DIRECTION('ref_axis',(1.,0.,0.)); #448539=DIRECTION('center_axis',(0.,0.,1.)); #448540=DIRECTION('ref_axis',(1.,0.,0.)); #448541=DIRECTION('',(0.,0.,1.)); #448542=DIRECTION('center_axis',(0.,0.,-1.)); #448543=DIRECTION('ref_axis',(1.,0.,0.)); #448544=DIRECTION('center_axis',(0.,0.,1.)); #448545=DIRECTION('ref_axis',(1.,0.,0.)); #448546=DIRECTION('center_axis',(0.,0.,1.)); #448547=DIRECTION('ref_axis',(1.,0.,0.)); #448548=DIRECTION('',(0.,0.,1.)); #448549=DIRECTION('center_axis',(0.,0.,-1.)); #448550=DIRECTION('ref_axis',(1.,0.,0.)); #448551=DIRECTION('center_axis',(0.,0.,1.)); #448552=DIRECTION('ref_axis',(1.,0.,0.)); #448553=DIRECTION('center_axis',(0.,0.,1.)); #448554=DIRECTION('ref_axis',(1.,0.,0.)); #448555=DIRECTION('',(0.,0.,1.)); #448556=DIRECTION('center_axis',(0.,0.,-1.)); #448557=DIRECTION('ref_axis',(1.,0.,0.)); #448558=DIRECTION('center_axis',(0.,0.,1.)); #448559=DIRECTION('ref_axis',(1.,0.,0.)); #448560=DIRECTION('center_axis',(0.,0.,1.)); #448561=DIRECTION('ref_axis',(1.,0.,0.)); #448562=DIRECTION('',(0.,0.,1.)); #448563=DIRECTION('center_axis',(0.,0.,-1.)); #448564=DIRECTION('ref_axis',(1.,0.,0.)); #448565=DIRECTION('center_axis',(0.,0.,1.)); #448566=DIRECTION('ref_axis',(1.,0.,0.)); #448567=DIRECTION('center_axis',(0.,0.,1.)); #448568=DIRECTION('ref_axis',(1.,0.,0.)); #448569=DIRECTION('',(0.,0.,1.)); #448570=DIRECTION('center_axis',(0.,0.,-1.)); #448571=DIRECTION('ref_axis',(1.,0.,0.)); #448572=DIRECTION('center_axis',(0.,0.,1.)); #448573=DIRECTION('ref_axis',(1.,0.,0.)); #448574=DIRECTION('center_axis',(0.,0.,1.)); #448575=DIRECTION('ref_axis',(1.,0.,0.)); #448576=DIRECTION('',(0.,0.,1.)); #448577=DIRECTION('center_axis',(0.,0.,-1.)); #448578=DIRECTION('ref_axis',(1.,0.,0.)); #448579=DIRECTION('center_axis',(0.,0.,1.)); #448580=DIRECTION('ref_axis',(1.,0.,0.)); #448581=DIRECTION('center_axis',(0.,0.,1.)); #448582=DIRECTION('ref_axis',(1.,0.,0.)); #448583=DIRECTION('',(0.,0.,1.)); #448584=DIRECTION('center_axis',(0.,0.,-1.)); #448585=DIRECTION('ref_axis',(1.,0.,0.)); #448586=DIRECTION('center_axis',(0.,0.,1.)); #448587=DIRECTION('ref_axis',(1.,0.,0.)); #448588=DIRECTION('center_axis',(0.,0.,1.)); #448589=DIRECTION('ref_axis',(1.,0.,0.)); #448590=DIRECTION('',(0.,0.,1.)); #448591=DIRECTION('center_axis',(0.,0.,-1.)); #448592=DIRECTION('ref_axis',(1.,0.,0.)); #448593=DIRECTION('center_axis',(0.,0.,1.)); #448594=DIRECTION('ref_axis',(1.,0.,0.)); #448595=DIRECTION('center_axis',(0.,0.,1.)); #448596=DIRECTION('ref_axis',(1.,0.,0.)); #448597=DIRECTION('',(0.,0.,1.)); #448598=DIRECTION('center_axis',(0.,0.,-1.)); #448599=DIRECTION('ref_axis',(1.,0.,0.)); #448600=DIRECTION('center_axis',(0.,0.,1.)); #448601=DIRECTION('ref_axis',(1.,0.,0.)); #448602=DIRECTION('center_axis',(0.,0.,1.)); #448603=DIRECTION('ref_axis',(1.,0.,0.)); #448604=DIRECTION('',(0.,0.,1.)); #448605=DIRECTION('center_axis',(0.,0.,-1.)); #448606=DIRECTION('ref_axis',(1.,0.,0.)); #448607=DIRECTION('center_axis',(0.,0.,1.)); #448608=DIRECTION('ref_axis',(1.,0.,0.)); #448609=DIRECTION('center_axis',(0.,0.,1.)); #448610=DIRECTION('ref_axis',(1.,0.,0.)); #448611=DIRECTION('',(0.,0.,1.)); #448612=DIRECTION('center_axis',(0.,0.,-1.)); #448613=DIRECTION('ref_axis',(1.,0.,0.)); #448614=DIRECTION('center_axis',(0.,0.,1.)); #448615=DIRECTION('ref_axis',(1.,0.,0.)); #448616=DIRECTION('center_axis',(0.,0.,1.)); #448617=DIRECTION('ref_axis',(1.,0.,0.)); #448618=DIRECTION('',(0.,0.,1.)); #448619=DIRECTION('center_axis',(0.,0.,-1.)); #448620=DIRECTION('ref_axis',(1.,0.,0.)); #448621=DIRECTION('center_axis',(0.,0.,1.)); #448622=DIRECTION('ref_axis',(1.,0.,0.)); #448623=DIRECTION('center_axis',(0.,0.,1.)); #448624=DIRECTION('ref_axis',(1.,0.,0.)); #448625=DIRECTION('',(0.,0.,1.)); #448626=DIRECTION('center_axis',(0.,0.,-1.)); #448627=DIRECTION('ref_axis',(1.,0.,0.)); #448628=DIRECTION('center_axis',(0.,0.,1.)); #448629=DIRECTION('ref_axis',(1.,0.,0.)); #448630=DIRECTION('center_axis',(0.,0.,1.)); #448631=DIRECTION('ref_axis',(1.,0.,0.)); #448632=DIRECTION('',(0.,0.,1.)); #448633=DIRECTION('center_axis',(0.,0.,-1.)); #448634=DIRECTION('ref_axis',(1.,0.,0.)); #448635=DIRECTION('center_axis',(0.,0.,1.)); #448636=DIRECTION('ref_axis',(1.,0.,0.)); #448637=DIRECTION('center_axis',(0.,0.,1.)); #448638=DIRECTION('ref_axis',(1.,0.,0.)); #448639=DIRECTION('',(0.,0.,1.)); #448640=DIRECTION('center_axis',(0.,0.,-1.)); #448641=DIRECTION('ref_axis',(1.,0.,0.)); #448642=DIRECTION('center_axis',(0.,0.,1.)); #448643=DIRECTION('ref_axis',(1.,0.,0.)); #448644=DIRECTION('center_axis',(0.,0.,1.)); #448645=DIRECTION('ref_axis',(1.,0.,0.)); #448646=DIRECTION('',(0.,0.,1.)); #448647=DIRECTION('center_axis',(0.,0.,-1.)); #448648=DIRECTION('ref_axis',(1.,0.,0.)); #448649=DIRECTION('center_axis',(0.,0.,1.)); #448650=DIRECTION('ref_axis',(1.,0.,0.)); #448651=DIRECTION('center_axis',(0.,0.,1.)); #448652=DIRECTION('ref_axis',(1.,0.,0.)); #448653=DIRECTION('',(0.,0.,1.)); #448654=DIRECTION('center_axis',(0.,0.,-1.)); #448655=DIRECTION('ref_axis',(1.,0.,0.)); #448656=DIRECTION('center_axis',(0.,0.,1.)); #448657=DIRECTION('ref_axis',(1.,0.,0.)); #448658=DIRECTION('center_axis',(0.,0.,1.)); #448659=DIRECTION('ref_axis',(1.,0.,0.)); #448660=DIRECTION('',(0.,0.,1.)); #448661=DIRECTION('center_axis',(0.,0.,-1.)); #448662=DIRECTION('ref_axis',(1.,0.,0.)); #448663=DIRECTION('center_axis',(0.,0.,1.)); #448664=DIRECTION('ref_axis',(1.,0.,0.)); #448665=DIRECTION('center_axis',(0.,0.,1.)); #448666=DIRECTION('ref_axis',(1.,0.,0.)); #448667=DIRECTION('',(0.,0.,1.)); #448668=DIRECTION('center_axis',(0.,0.,-1.)); #448669=DIRECTION('ref_axis',(1.,0.,0.)); #448670=DIRECTION('center_axis',(0.,0.,1.)); #448671=DIRECTION('ref_axis',(1.,0.,0.)); #448672=DIRECTION('center_axis',(0.,0.,1.)); #448673=DIRECTION('ref_axis',(1.,0.,0.)); #448674=DIRECTION('',(0.,0.,1.)); #448675=DIRECTION('center_axis',(0.,0.,-1.)); #448676=DIRECTION('ref_axis',(1.,0.,0.)); #448677=DIRECTION('center_axis',(0.,0.,1.)); #448678=DIRECTION('ref_axis',(1.,0.,0.)); #448679=DIRECTION('center_axis',(0.,0.,1.)); #448680=DIRECTION('ref_axis',(1.,0.,0.)); #448681=DIRECTION('',(0.,0.,1.)); #448682=DIRECTION('center_axis',(0.,0.,-1.)); #448683=DIRECTION('ref_axis',(1.,0.,0.)); #448684=DIRECTION('center_axis',(0.,0.,1.)); #448685=DIRECTION('ref_axis',(1.,0.,0.)); #448686=DIRECTION('center_axis',(0.,0.,1.)); #448687=DIRECTION('ref_axis',(1.,0.,0.)); #448688=DIRECTION('',(0.,0.,1.)); #448689=DIRECTION('center_axis',(0.,0.,-1.)); #448690=DIRECTION('ref_axis',(1.,0.,0.)); #448691=DIRECTION('center_axis',(0.,0.,1.)); #448692=DIRECTION('ref_axis',(1.,0.,0.)); #448693=DIRECTION('center_axis',(0.,0.,1.)); #448694=DIRECTION('ref_axis',(1.,0.,0.)); #448695=DIRECTION('',(0.,0.,1.)); #448696=DIRECTION('center_axis',(0.,0.,-1.)); #448697=DIRECTION('ref_axis',(1.,0.,0.)); #448698=DIRECTION('center_axis',(0.,0.,1.)); #448699=DIRECTION('ref_axis',(1.,0.,0.)); #448700=DIRECTION('center_axis',(0.,0.,1.)); #448701=DIRECTION('ref_axis',(1.,0.,0.)); #448702=DIRECTION('',(0.,0.,1.)); #448703=DIRECTION('center_axis',(0.,0.,-1.)); #448704=DIRECTION('ref_axis',(1.,0.,0.)); #448705=DIRECTION('center_axis',(0.,0.,1.)); #448706=DIRECTION('ref_axis',(1.,0.,0.)); #448707=DIRECTION('center_axis',(0.,0.,1.)); #448708=DIRECTION('ref_axis',(1.,0.,0.)); #448709=DIRECTION('',(0.,0.,1.)); #448710=DIRECTION('center_axis',(0.,0.,-1.)); #448711=DIRECTION('ref_axis',(1.,0.,0.)); #448712=DIRECTION('center_axis',(0.,0.,1.)); #448713=DIRECTION('ref_axis',(1.,0.,0.)); #448714=DIRECTION('center_axis',(0.,0.,1.)); #448715=DIRECTION('ref_axis',(1.,0.,0.)); #448716=DIRECTION('',(0.,0.,1.)); #448717=DIRECTION('center_axis',(0.,0.,-1.)); #448718=DIRECTION('ref_axis',(1.,0.,0.)); #448719=DIRECTION('center_axis',(0.,0.,1.)); #448720=DIRECTION('ref_axis',(1.,0.,0.)); #448721=DIRECTION('center_axis',(0.,0.,1.)); #448722=DIRECTION('ref_axis',(1.,0.,0.)); #448723=DIRECTION('',(0.,0.,1.)); #448724=DIRECTION('center_axis',(0.,0.,-1.)); #448725=DIRECTION('ref_axis',(1.,0.,0.)); #448726=DIRECTION('center_axis',(0.,0.,1.)); #448727=DIRECTION('ref_axis',(1.,0.,0.)); #448728=DIRECTION('center_axis',(0.,0.,1.)); #448729=DIRECTION('ref_axis',(1.,0.,0.)); #448730=DIRECTION('',(0.,0.,1.)); #448731=DIRECTION('center_axis',(0.,0.,-1.)); #448732=DIRECTION('ref_axis',(1.,0.,0.)); #448733=DIRECTION('center_axis',(0.,0.,1.)); #448734=DIRECTION('ref_axis',(1.,0.,0.)); #448735=DIRECTION('center_axis',(0.,0.,1.)); #448736=DIRECTION('ref_axis',(1.,0.,0.)); #448737=DIRECTION('',(0.,0.,1.)); #448738=DIRECTION('center_axis',(0.,0.,-1.)); #448739=DIRECTION('ref_axis',(1.,0.,0.)); #448740=DIRECTION('center_axis',(0.,0.,1.)); #448741=DIRECTION('ref_axis',(1.,0.,0.)); #448742=DIRECTION('center_axis',(0.,0.,1.)); #448743=DIRECTION('ref_axis',(1.,0.,0.)); #448744=DIRECTION('',(0.,0.,1.)); #448745=DIRECTION('center_axis',(0.,0.,-1.)); #448746=DIRECTION('ref_axis',(1.,0.,0.)); #448747=DIRECTION('center_axis',(0.,0.,1.)); #448748=DIRECTION('ref_axis',(1.,0.,0.)); #448749=DIRECTION('center_axis',(0.,0.,1.)); #448750=DIRECTION('ref_axis',(1.,0.,0.)); #448751=DIRECTION('',(0.,0.,1.)); #448752=DIRECTION('center_axis',(0.,0.,-1.)); #448753=DIRECTION('ref_axis',(1.,0.,0.)); #448754=DIRECTION('center_axis',(0.,0.,1.)); #448755=DIRECTION('ref_axis',(1.,0.,0.)); #448756=DIRECTION('center_axis',(0.,0.,1.)); #448757=DIRECTION('ref_axis',(1.,0.,0.)); #448758=DIRECTION('',(0.,0.,1.)); #448759=DIRECTION('center_axis',(0.,0.,-1.)); #448760=DIRECTION('ref_axis',(1.,0.,0.)); #448761=DIRECTION('center_axis',(0.,0.,1.)); #448762=DIRECTION('ref_axis',(1.,0.,0.)); #448763=DIRECTION('center_axis',(0.,0.,1.)); #448764=DIRECTION('ref_axis',(1.,0.,0.)); #448765=DIRECTION('',(0.,0.,1.)); #448766=DIRECTION('center_axis',(0.,0.,-1.)); #448767=DIRECTION('ref_axis',(1.,0.,0.)); #448768=DIRECTION('center_axis',(0.,0.,1.)); #448769=DIRECTION('ref_axis',(1.,0.,0.)); #448770=DIRECTION('center_axis',(0.,0.,1.)); #448771=DIRECTION('ref_axis',(1.,0.,0.)); #448772=DIRECTION('',(0.,0.,1.)); #448773=DIRECTION('center_axis',(0.,0.,-1.)); #448774=DIRECTION('ref_axis',(1.,0.,0.)); #448775=DIRECTION('center_axis',(0.,0.,1.)); #448776=DIRECTION('ref_axis',(1.,0.,0.)); #448777=DIRECTION('center_axis',(0.,0.,1.)); #448778=DIRECTION('ref_axis',(1.,0.,0.)); #448779=DIRECTION('',(0.,0.,1.)); #448780=DIRECTION('center_axis',(0.,0.,-1.)); #448781=DIRECTION('ref_axis',(1.,0.,0.)); #448782=DIRECTION('center_axis',(0.,0.,1.)); #448783=DIRECTION('ref_axis',(1.,0.,0.)); #448784=DIRECTION('center_axis',(0.,0.,1.)); #448785=DIRECTION('ref_axis',(1.,0.,0.)); #448786=DIRECTION('',(0.,0.,1.)); #448787=DIRECTION('center_axis',(0.,0.,-1.)); #448788=DIRECTION('ref_axis',(1.,0.,0.)); #448789=DIRECTION('center_axis',(0.,0.,1.)); #448790=DIRECTION('ref_axis',(1.,0.,0.)); #448791=DIRECTION('center_axis',(0.,0.,1.)); #448792=DIRECTION('ref_axis',(1.,0.,0.)); #448793=DIRECTION('',(0.,0.,1.)); #448794=DIRECTION('center_axis',(0.,0.,-1.)); #448795=DIRECTION('ref_axis',(1.,0.,0.)); #448796=DIRECTION('center_axis',(0.,0.,1.)); #448797=DIRECTION('ref_axis',(1.,0.,0.)); #448798=DIRECTION('center_axis',(0.,0.,1.)); #448799=DIRECTION('ref_axis',(1.,0.,0.)); #448800=DIRECTION('',(0.,0.,1.)); #448801=DIRECTION('center_axis',(0.,0.,-1.)); #448802=DIRECTION('ref_axis',(1.,0.,0.)); #448803=DIRECTION('center_axis',(0.,0.,1.)); #448804=DIRECTION('ref_axis',(1.,0.,0.)); #448805=DIRECTION('center_axis',(0.,0.,1.)); #448806=DIRECTION('ref_axis',(1.,0.,0.)); #448807=DIRECTION('',(0.,0.,1.)); #448808=DIRECTION('center_axis',(0.,0.,-1.)); #448809=DIRECTION('ref_axis',(1.,0.,0.)); #448810=DIRECTION('center_axis',(0.,0.,1.)); #448811=DIRECTION('ref_axis',(1.,0.,0.)); #448812=DIRECTION('center_axis',(0.,0.,1.)); #448813=DIRECTION('ref_axis',(1.,0.,0.)); #448814=DIRECTION('',(0.,0.,1.)); #448815=DIRECTION('center_axis',(0.,0.,-1.)); #448816=DIRECTION('ref_axis',(1.,0.,0.)); #448817=DIRECTION('center_axis',(0.,0.,1.)); #448818=DIRECTION('ref_axis',(1.,0.,0.)); #448819=DIRECTION('center_axis',(0.,0.,1.)); #448820=DIRECTION('ref_axis',(1.,0.,0.)); #448821=DIRECTION('',(0.,0.,1.)); #448822=DIRECTION('center_axis',(0.,0.,-1.)); #448823=DIRECTION('ref_axis',(1.,0.,0.)); #448824=DIRECTION('center_axis',(0.,0.,1.)); #448825=DIRECTION('ref_axis',(1.,0.,0.)); #448826=DIRECTION('center_axis',(0.,0.,1.)); #448827=DIRECTION('ref_axis',(1.,0.,0.)); #448828=DIRECTION('',(0.,0.,1.)); #448829=DIRECTION('center_axis',(0.,0.,-1.)); #448830=DIRECTION('ref_axis',(1.,0.,0.)); #448831=DIRECTION('center_axis',(0.,0.,1.)); #448832=DIRECTION('ref_axis',(1.,0.,0.)); #448833=DIRECTION('center_axis',(0.,0.,1.)); #448834=DIRECTION('ref_axis',(1.,0.,0.)); #448835=DIRECTION('',(0.,0.,1.)); #448836=DIRECTION('center_axis',(0.,0.,-1.)); #448837=DIRECTION('ref_axis',(1.,0.,0.)); #448838=DIRECTION('center_axis',(0.,0.,1.)); #448839=DIRECTION('ref_axis',(1.,0.,0.)); #448840=DIRECTION('center_axis',(0.,0.,1.)); #448841=DIRECTION('ref_axis',(1.,0.,0.)); #448842=DIRECTION('',(0.,0.,1.)); #448843=DIRECTION('center_axis',(0.,0.,-1.)); #448844=DIRECTION('ref_axis',(1.,0.,0.)); #448845=DIRECTION('center_axis',(0.,0.,1.)); #448846=DIRECTION('ref_axis',(1.,0.,0.)); #448847=DIRECTION('center_axis',(0.,0.,1.)); #448848=DIRECTION('ref_axis',(1.,0.,0.)); #448849=DIRECTION('',(0.,0.,1.)); #448850=DIRECTION('center_axis',(0.,0.,-1.)); #448851=DIRECTION('ref_axis',(1.,0.,0.)); #448852=DIRECTION('center_axis',(0.,0.,1.)); #448853=DIRECTION('ref_axis',(1.,0.,0.)); #448854=DIRECTION('center_axis',(0.,0.,1.)); #448855=DIRECTION('ref_axis',(1.,0.,0.)); #448856=DIRECTION('',(0.,0.,1.)); #448857=DIRECTION('center_axis',(0.,0.,-1.)); #448858=DIRECTION('ref_axis',(1.,0.,0.)); #448859=DIRECTION('center_axis',(0.,0.,1.)); #448860=DIRECTION('ref_axis',(1.,0.,0.)); #448861=DIRECTION('center_axis',(0.,0.,1.)); #448862=DIRECTION('ref_axis',(1.,0.,0.)); #448863=DIRECTION('',(0.,0.,1.)); #448864=DIRECTION('center_axis',(0.,0.,-1.)); #448865=DIRECTION('ref_axis',(1.,0.,0.)); #448866=DIRECTION('center_axis',(0.,0.,1.)); #448867=DIRECTION('ref_axis',(1.,0.,0.)); #448868=DIRECTION('center_axis',(0.,0.,1.)); #448869=DIRECTION('ref_axis',(1.,0.,0.)); #448870=DIRECTION('',(0.,0.,1.)); #448871=DIRECTION('center_axis',(0.,0.,-1.)); #448872=DIRECTION('ref_axis',(1.,0.,0.)); #448873=DIRECTION('center_axis',(0.,0.,1.)); #448874=DIRECTION('ref_axis',(1.,0.,0.)); #448875=DIRECTION('center_axis',(0.,0.,1.)); #448876=DIRECTION('ref_axis',(1.,0.,0.)); #448877=DIRECTION('',(0.,0.,1.)); #448878=DIRECTION('center_axis',(0.,0.,-1.)); #448879=DIRECTION('ref_axis',(1.,0.,0.)); #448880=DIRECTION('center_axis',(0.,0.,1.)); #448881=DIRECTION('ref_axis',(1.,0.,0.)); #448882=DIRECTION('center_axis',(0.,0.,1.)); #448883=DIRECTION('ref_axis',(1.,0.,0.)); #448884=DIRECTION('',(0.,0.,1.)); #448885=DIRECTION('center_axis',(0.,0.,-1.)); #448886=DIRECTION('ref_axis',(1.,0.,0.)); #448887=DIRECTION('center_axis',(0.,0.,1.)); #448888=DIRECTION('ref_axis',(1.,0.,0.)); #448889=DIRECTION('center_axis',(0.,0.,1.)); #448890=DIRECTION('ref_axis',(1.,0.,0.)); #448891=DIRECTION('',(0.,0.,1.)); #448892=DIRECTION('center_axis',(0.,0.,-1.)); #448893=DIRECTION('ref_axis',(1.,0.,0.)); #448894=DIRECTION('center_axis',(0.,0.,1.)); #448895=DIRECTION('ref_axis',(1.,0.,0.)); #448896=DIRECTION('center_axis',(0.,0.,1.)); #448897=DIRECTION('ref_axis',(1.,0.,0.)); #448898=DIRECTION('',(0.,0.,1.)); #448899=DIRECTION('center_axis',(0.,0.,-1.)); #448900=DIRECTION('ref_axis',(1.,0.,0.)); #448901=DIRECTION('center_axis',(0.,0.,1.)); #448902=DIRECTION('ref_axis',(1.,0.,0.)); #448903=DIRECTION('center_axis',(0.,0.,1.)); #448904=DIRECTION('ref_axis',(1.,0.,0.)); #448905=DIRECTION('',(0.,0.,1.)); #448906=DIRECTION('center_axis',(0.,0.,-1.)); #448907=DIRECTION('ref_axis',(1.,0.,0.)); #448908=DIRECTION('center_axis',(0.,0.,1.)); #448909=DIRECTION('ref_axis',(1.,0.,0.)); #448910=DIRECTION('center_axis',(0.,0.,1.)); #448911=DIRECTION('ref_axis',(1.,0.,0.)); #448912=DIRECTION('',(0.,0.,1.)); #448913=DIRECTION('center_axis',(0.,0.,-1.)); #448914=DIRECTION('ref_axis',(1.,0.,0.)); #448915=DIRECTION('center_axis',(0.,0.,1.)); #448916=DIRECTION('ref_axis',(1.,0.,0.)); #448917=DIRECTION('center_axis',(0.,0.,1.)); #448918=DIRECTION('ref_axis',(1.,0.,0.)); #448919=DIRECTION('',(0.,0.,1.)); #448920=DIRECTION('center_axis',(0.,0.,-1.)); #448921=DIRECTION('ref_axis',(1.,0.,0.)); #448922=DIRECTION('center_axis',(0.,0.,1.)); #448923=DIRECTION('ref_axis',(1.,0.,0.)); #448924=DIRECTION('center_axis',(0.,0.,1.)); #448925=DIRECTION('ref_axis',(1.,0.,0.)); #448926=DIRECTION('',(0.,0.,1.)); #448927=DIRECTION('center_axis',(0.,0.,-1.)); #448928=DIRECTION('ref_axis',(1.,0.,0.)); #448929=DIRECTION('center_axis',(0.,0.,1.)); #448930=DIRECTION('ref_axis',(1.,0.,0.)); #448931=DIRECTION('center_axis',(0.,0.,1.)); #448932=DIRECTION('ref_axis',(1.,0.,0.)); #448933=DIRECTION('',(0.,0.,1.)); #448934=DIRECTION('center_axis',(0.,0.,-1.)); #448935=DIRECTION('ref_axis',(1.,0.,0.)); #448936=DIRECTION('center_axis',(0.,0.,1.)); #448937=DIRECTION('ref_axis',(1.,0.,0.)); #448938=DIRECTION('center_axis',(0.,0.,1.)); #448939=DIRECTION('ref_axis',(1.,0.,0.)); #448940=DIRECTION('',(0.,0.,1.)); #448941=DIRECTION('center_axis',(0.,0.,-1.)); #448942=DIRECTION('ref_axis',(1.,0.,0.)); #448943=DIRECTION('center_axis',(0.,0.,1.)); #448944=DIRECTION('ref_axis',(1.,0.,0.)); #448945=DIRECTION('center_axis',(0.,0.,1.)); #448946=DIRECTION('ref_axis',(1.,0.,0.)); #448947=DIRECTION('',(0.,0.,1.)); #448948=DIRECTION('center_axis',(0.,0.,-1.)); #448949=DIRECTION('ref_axis',(1.,0.,0.)); #448950=DIRECTION('center_axis',(0.,0.,1.)); #448951=DIRECTION('ref_axis',(1.,0.,0.)); #448952=DIRECTION('center_axis',(0.,0.,1.)); #448953=DIRECTION('ref_axis',(1.,0.,0.)); #448954=DIRECTION('',(0.,0.,1.)); #448955=DIRECTION('center_axis',(0.,0.,-1.)); #448956=DIRECTION('ref_axis',(1.,0.,0.)); #448957=DIRECTION('center_axis',(0.,0.,1.)); #448958=DIRECTION('ref_axis',(1.,0.,0.)); #448959=DIRECTION('center_axis',(0.,0.,1.)); #448960=DIRECTION('ref_axis',(1.,0.,0.)); #448961=DIRECTION('',(0.,0.,1.)); #448962=DIRECTION('center_axis',(0.,0.,-1.)); #448963=DIRECTION('ref_axis',(1.,0.,0.)); #448964=DIRECTION('center_axis',(0.,0.,1.)); #448965=DIRECTION('ref_axis',(1.,0.,0.)); #448966=DIRECTION('center_axis',(0.,0.,1.)); #448967=DIRECTION('ref_axis',(1.,0.,0.)); #448968=DIRECTION('',(0.,0.,1.)); #448969=DIRECTION('center_axis',(0.,0.,-1.)); #448970=DIRECTION('ref_axis',(1.,0.,0.)); #448971=DIRECTION('center_axis',(0.,0.,1.)); #448972=DIRECTION('ref_axis',(1.,0.,0.)); #448973=DIRECTION('center_axis',(0.,0.,1.)); #448974=DIRECTION('ref_axis',(1.,0.,0.)); #448975=DIRECTION('',(0.,0.,1.)); #448976=DIRECTION('center_axis',(0.,0.,-1.)); #448977=DIRECTION('ref_axis',(1.,0.,0.)); #448978=DIRECTION('center_axis',(0.,0.,1.)); #448979=DIRECTION('ref_axis',(1.,0.,0.)); #448980=DIRECTION('center_axis',(0.,0.,1.)); #448981=DIRECTION('ref_axis',(1.,0.,0.)); #448982=DIRECTION('',(0.,0.,1.)); #448983=DIRECTION('center_axis',(0.,0.,-1.)); #448984=DIRECTION('ref_axis',(1.,0.,0.)); #448985=DIRECTION('center_axis',(0.,0.,1.)); #448986=DIRECTION('ref_axis',(1.,0.,0.)); #448987=DIRECTION('center_axis',(0.,0.,1.)); #448988=DIRECTION('ref_axis',(1.,0.,0.)); #448989=DIRECTION('',(0.,0.,1.)); #448990=DIRECTION('center_axis',(0.,0.,-1.)); #448991=DIRECTION('ref_axis',(1.,0.,0.)); #448992=DIRECTION('center_axis',(0.,0.,1.)); #448993=DIRECTION('ref_axis',(1.,0.,0.)); #448994=DIRECTION('center_axis',(0.,0.,1.)); #448995=DIRECTION('ref_axis',(1.,0.,0.)); #448996=DIRECTION('',(0.,0.,1.)); #448997=DIRECTION('center_axis',(0.,0.,-1.)); #448998=DIRECTION('ref_axis',(1.,0.,0.)); #448999=DIRECTION('center_axis',(0.,0.,1.)); #449000=DIRECTION('ref_axis',(1.,0.,0.)); #449001=DIRECTION('center_axis',(0.,0.,1.)); #449002=DIRECTION('ref_axis',(1.,0.,0.)); #449003=DIRECTION('',(0.,0.,1.)); #449004=DIRECTION('center_axis',(0.,0.,-1.)); #449005=DIRECTION('ref_axis',(1.,0.,0.)); #449006=DIRECTION('center_axis',(0.,0.,1.)); #449007=DIRECTION('ref_axis',(1.,0.,0.)); #449008=DIRECTION('center_axis',(0.,0.,1.)); #449009=DIRECTION('ref_axis',(1.,0.,0.)); #449010=DIRECTION('',(0.,0.,1.)); #449011=DIRECTION('center_axis',(0.,0.,-1.)); #449012=DIRECTION('ref_axis',(1.,0.,0.)); #449013=DIRECTION('center_axis',(0.,0.,1.)); #449014=DIRECTION('ref_axis',(1.,0.,0.)); #449015=DIRECTION('center_axis',(0.,0.,1.)); #449016=DIRECTION('ref_axis',(1.,0.,0.)); #449017=DIRECTION('',(0.,0.,1.)); #449018=DIRECTION('center_axis',(0.,0.,-1.)); #449019=DIRECTION('ref_axis',(1.,0.,0.)); #449020=DIRECTION('center_axis',(0.,0.,1.)); #449021=DIRECTION('ref_axis',(1.,0.,0.)); #449022=DIRECTION('center_axis',(0.,0.,1.)); #449023=DIRECTION('ref_axis',(1.,0.,0.)); #449024=DIRECTION('',(0.,0.,1.)); #449025=DIRECTION('center_axis',(0.,0.,-1.)); #449026=DIRECTION('ref_axis',(1.,0.,0.)); #449027=DIRECTION('center_axis',(0.,0.,1.)); #449028=DIRECTION('ref_axis',(1.,0.,0.)); #449029=DIRECTION('center_axis',(0.,0.,1.)); #449030=DIRECTION('ref_axis',(1.,0.,0.)); #449031=DIRECTION('',(0.,0.,1.)); #449032=DIRECTION('center_axis',(0.,0.,-1.)); #449033=DIRECTION('ref_axis',(1.,0.,0.)); #449034=DIRECTION('center_axis',(0.,0.,1.)); #449035=DIRECTION('ref_axis',(1.,0.,0.)); #449036=DIRECTION('center_axis',(0.,0.,1.)); #449037=DIRECTION('ref_axis',(1.,0.,0.)); #449038=DIRECTION('',(0.,0.,1.)); #449039=DIRECTION('center_axis',(0.,0.,-1.)); #449040=DIRECTION('ref_axis',(1.,0.,0.)); #449041=DIRECTION('center_axis',(0.,0.,1.)); #449042=DIRECTION('ref_axis',(1.,0.,0.)); #449043=DIRECTION('center_axis',(0.,0.,1.)); #449044=DIRECTION('ref_axis',(1.,0.,0.)); #449045=DIRECTION('',(0.,0.,1.)); #449046=DIRECTION('center_axis',(0.,0.,-1.)); #449047=DIRECTION('ref_axis',(1.,0.,0.)); #449048=DIRECTION('center_axis',(0.,0.,1.)); #449049=DIRECTION('ref_axis',(1.,0.,0.)); #449050=DIRECTION('center_axis',(0.,0.,1.)); #449051=DIRECTION('ref_axis',(1.,0.,0.)); #449052=DIRECTION('',(0.,0.,1.)); #449053=DIRECTION('center_axis',(0.,0.,-1.)); #449054=DIRECTION('ref_axis',(1.,0.,0.)); #449055=DIRECTION('center_axis',(0.,0.,1.)); #449056=DIRECTION('ref_axis',(1.,0.,0.)); #449057=DIRECTION('center_axis',(0.,0.,1.)); #449058=DIRECTION('ref_axis',(1.,0.,0.)); #449059=DIRECTION('',(0.,0.,1.)); #449060=DIRECTION('center_axis',(0.,0.,-1.)); #449061=DIRECTION('ref_axis',(1.,0.,0.)); #449062=DIRECTION('center_axis',(0.,0.,1.)); #449063=DIRECTION('ref_axis',(1.,0.,0.)); #449064=DIRECTION('center_axis',(0.,0.,1.)); #449065=DIRECTION('ref_axis',(1.,0.,0.)); #449066=DIRECTION('',(0.,0.,1.)); #449067=DIRECTION('center_axis',(0.,0.,-1.)); #449068=DIRECTION('ref_axis',(1.,0.,0.)); #449069=DIRECTION('center_axis',(0.,0.,1.)); #449070=DIRECTION('ref_axis',(1.,0.,0.)); #449071=DIRECTION('center_axis',(0.,0.,1.)); #449072=DIRECTION('ref_axis',(1.,0.,0.)); #449073=DIRECTION('',(0.,0.,1.)); #449074=DIRECTION('center_axis',(0.,0.,-1.)); #449075=DIRECTION('ref_axis',(1.,0.,0.)); #449076=DIRECTION('center_axis',(0.,0.,1.)); #449077=DIRECTION('ref_axis',(1.,0.,0.)); #449078=DIRECTION('center_axis',(0.,0.,1.)); #449079=DIRECTION('ref_axis',(1.,0.,0.)); #449080=DIRECTION('',(0.,0.,1.)); #449081=DIRECTION('center_axis',(0.,0.,-1.)); #449082=DIRECTION('ref_axis',(1.,0.,0.)); #449083=DIRECTION('center_axis',(0.,0.,1.)); #449084=DIRECTION('ref_axis',(1.,0.,0.)); #449085=DIRECTION('center_axis',(0.,0.,1.)); #449086=DIRECTION('ref_axis',(1.,0.,0.)); #449087=DIRECTION('',(0.,0.,1.)); #449088=DIRECTION('center_axis',(0.,0.,-1.)); #449089=DIRECTION('ref_axis',(1.,0.,0.)); #449090=DIRECTION('center_axis',(0.,0.,1.)); #449091=DIRECTION('ref_axis',(1.,0.,0.)); #449092=DIRECTION('center_axis',(0.,0.,1.)); #449093=DIRECTION('ref_axis',(1.,0.,0.)); #449094=DIRECTION('',(0.,0.,1.)); #449095=DIRECTION('center_axis',(0.,0.,-1.)); #449096=DIRECTION('ref_axis',(1.,0.,0.)); #449097=DIRECTION('center_axis',(0.,0.,1.)); #449098=DIRECTION('ref_axis',(1.,0.,0.)); #449099=DIRECTION('center_axis',(0.,0.,1.)); #449100=DIRECTION('ref_axis',(1.,0.,0.)); #449101=DIRECTION('',(0.,0.,1.)); #449102=DIRECTION('center_axis',(0.,0.,-1.)); #449103=DIRECTION('ref_axis',(1.,0.,0.)); #449104=DIRECTION('center_axis',(0.,0.,1.)); #449105=DIRECTION('ref_axis',(1.,0.,0.)); #449106=DIRECTION('center_axis',(0.,0.,1.)); #449107=DIRECTION('ref_axis',(1.,0.,0.)); #449108=DIRECTION('',(0.,0.,1.)); #449109=DIRECTION('center_axis',(0.,0.,-1.)); #449110=DIRECTION('ref_axis',(1.,0.,0.)); #449111=DIRECTION('center_axis',(0.,0.,1.)); #449112=DIRECTION('ref_axis',(1.,0.,0.)); #449113=DIRECTION('center_axis',(0.,0.,1.)); #449114=DIRECTION('ref_axis',(1.,0.,0.)); #449115=DIRECTION('',(0.,0.,1.)); #449116=DIRECTION('center_axis',(0.,0.,-1.)); #449117=DIRECTION('ref_axis',(1.,0.,0.)); #449118=DIRECTION('center_axis',(0.,0.,1.)); #449119=DIRECTION('ref_axis',(1.,0.,0.)); #449120=DIRECTION('center_axis',(0.,0.,1.)); #449121=DIRECTION('ref_axis',(1.,0.,0.)); #449122=DIRECTION('',(0.,0.,1.)); #449123=DIRECTION('center_axis',(0.,0.,-1.)); #449124=DIRECTION('ref_axis',(1.,0.,0.)); #449125=DIRECTION('center_axis',(0.,0.,1.)); #449126=DIRECTION('ref_axis',(1.,0.,0.)); #449127=DIRECTION('center_axis',(0.,0.,1.)); #449128=DIRECTION('ref_axis',(1.,0.,0.)); #449129=DIRECTION('',(0.,0.,1.)); #449130=DIRECTION('center_axis',(0.,0.,-1.)); #449131=DIRECTION('ref_axis',(1.,0.,0.)); #449132=DIRECTION('center_axis',(0.,0.,1.)); #449133=DIRECTION('ref_axis',(1.,0.,0.)); #449134=DIRECTION('center_axis',(0.,0.,1.)); #449135=DIRECTION('ref_axis',(1.,0.,0.)); #449136=DIRECTION('',(0.,0.,1.)); #449137=DIRECTION('center_axis',(0.,0.,-1.)); #449138=DIRECTION('ref_axis',(1.,0.,0.)); #449139=DIRECTION('center_axis',(0.,0.,1.)); #449140=DIRECTION('ref_axis',(1.,0.,0.)); #449141=DIRECTION('center_axis',(0.,0.,1.)); #449142=DIRECTION('ref_axis',(1.,0.,0.)); #449143=DIRECTION('',(0.,0.,1.)); #449144=DIRECTION('center_axis',(0.,0.,-1.)); #449145=DIRECTION('ref_axis',(1.,0.,0.)); #449146=DIRECTION('center_axis',(0.,0.,1.)); #449147=DIRECTION('ref_axis',(1.,0.,0.)); #449148=DIRECTION('center_axis',(0.,0.,1.)); #449149=DIRECTION('ref_axis',(1.,0.,0.)); #449150=DIRECTION('',(0.,0.,1.)); #449151=DIRECTION('center_axis',(0.,0.,-1.)); #449152=DIRECTION('ref_axis',(1.,0.,0.)); #449153=DIRECTION('center_axis',(0.,0.,1.)); #449154=DIRECTION('ref_axis',(1.,0.,0.)); #449155=DIRECTION('center_axis',(0.,0.,1.)); #449156=DIRECTION('ref_axis',(1.,0.,0.)); #449157=DIRECTION('',(0.,0.,1.)); #449158=DIRECTION('center_axis',(0.,0.,-1.)); #449159=DIRECTION('ref_axis',(1.,0.,0.)); #449160=DIRECTION('center_axis',(0.,0.,1.)); #449161=DIRECTION('ref_axis',(1.,0.,0.)); #449162=DIRECTION('center_axis',(0.,0.,1.)); #449163=DIRECTION('ref_axis',(1.,0.,0.)); #449164=DIRECTION('',(0.,0.,1.)); #449165=DIRECTION('center_axis',(0.,0.,-1.)); #449166=DIRECTION('ref_axis',(1.,0.,0.)); #449167=DIRECTION('center_axis',(0.,0.,1.)); #449168=DIRECTION('ref_axis',(1.,0.,0.)); #449169=DIRECTION('center_axis',(0.,0.,1.)); #449170=DIRECTION('ref_axis',(1.,0.,0.)); #449171=DIRECTION('',(0.,0.,1.)); #449172=DIRECTION('center_axis',(0.,0.,-1.)); #449173=DIRECTION('ref_axis',(1.,0.,0.)); #449174=DIRECTION('center_axis',(0.,0.,1.)); #449175=DIRECTION('ref_axis',(1.,0.,0.)); #449176=DIRECTION('center_axis',(0.,0.,1.)); #449177=DIRECTION('ref_axis',(1.,0.,0.)); #449178=DIRECTION('',(0.,0.,1.)); #449179=DIRECTION('center_axis',(0.,0.,-1.)); #449180=DIRECTION('ref_axis',(1.,0.,0.)); #449181=DIRECTION('center_axis',(0.,0.,1.)); #449182=DIRECTION('ref_axis',(1.,0.,0.)); #449183=DIRECTION('center_axis',(0.,0.,1.)); #449184=DIRECTION('ref_axis',(1.,0.,0.)); #449185=DIRECTION('',(0.,0.,1.)); #449186=DIRECTION('center_axis',(0.,0.,-1.)); #449187=DIRECTION('ref_axis',(1.,0.,0.)); #449188=DIRECTION('center_axis',(0.,0.,1.)); #449189=DIRECTION('ref_axis',(1.,0.,0.)); #449190=DIRECTION('center_axis',(0.,0.,1.)); #449191=DIRECTION('ref_axis',(1.,0.,0.)); #449192=DIRECTION('',(0.,0.,1.)); #449193=DIRECTION('center_axis',(0.,0.,-1.)); #449194=DIRECTION('ref_axis',(1.,0.,0.)); #449195=DIRECTION('center_axis',(0.,0.,1.)); #449196=DIRECTION('ref_axis',(1.,0.,0.)); #449197=DIRECTION('center_axis',(0.,0.,1.)); #449198=DIRECTION('ref_axis',(1.,0.,0.)); #449199=DIRECTION('',(0.,0.,1.)); #449200=DIRECTION('center_axis',(0.,0.,-1.)); #449201=DIRECTION('ref_axis',(1.,0.,0.)); #449202=DIRECTION('center_axis',(0.,0.,1.)); #449203=DIRECTION('ref_axis',(1.,0.,0.)); #449204=DIRECTION('center_axis',(0.,0.,1.)); #449205=DIRECTION('ref_axis',(1.,0.,0.)); #449206=DIRECTION('',(0.,0.,1.)); #449207=DIRECTION('center_axis',(0.,0.,-1.)); #449208=DIRECTION('ref_axis',(1.,0.,0.)); #449209=DIRECTION('center_axis',(0.,0.,1.)); #449210=DIRECTION('ref_axis',(1.,0.,0.)); #449211=DIRECTION('center_axis',(0.,0.,1.)); #449212=DIRECTION('ref_axis',(1.,0.,0.)); #449213=DIRECTION('',(0.,0.,1.)); #449214=DIRECTION('center_axis',(0.,0.,-1.)); #449215=DIRECTION('ref_axis',(1.,0.,0.)); #449216=DIRECTION('center_axis',(0.,0.,1.)); #449217=DIRECTION('ref_axis',(1.,0.,0.)); #449218=DIRECTION('center_axis',(0.,0.,1.)); #449219=DIRECTION('ref_axis',(1.,0.,0.)); #449220=DIRECTION('',(0.,0.,1.)); #449221=DIRECTION('center_axis',(0.,0.,-1.)); #449222=DIRECTION('ref_axis',(1.,0.,0.)); #449223=DIRECTION('center_axis',(0.,0.,1.)); #449224=DIRECTION('ref_axis',(1.,0.,0.)); #449225=DIRECTION('center_axis',(0.,0.,1.)); #449226=DIRECTION('ref_axis',(1.,0.,0.)); #449227=DIRECTION('',(0.,0.,1.)); #449228=DIRECTION('center_axis',(0.,0.,-1.)); #449229=DIRECTION('ref_axis',(1.,0.,0.)); #449230=DIRECTION('center_axis',(0.,0.,1.)); #449231=DIRECTION('ref_axis',(1.,0.,0.)); #449232=DIRECTION('center_axis',(0.,0.,1.)); #449233=DIRECTION('ref_axis',(1.,0.,0.)); #449234=DIRECTION('',(0.,0.,1.)); #449235=DIRECTION('center_axis',(0.,0.,-1.)); #449236=DIRECTION('ref_axis',(1.,0.,0.)); #449237=DIRECTION('center_axis',(0.,0.,1.)); #449238=DIRECTION('ref_axis',(1.,0.,0.)); #449239=DIRECTION('center_axis',(0.,0.,1.)); #449240=DIRECTION('ref_axis',(1.,0.,0.)); #449241=DIRECTION('',(0.,0.,1.)); #449242=DIRECTION('center_axis',(0.,0.,-1.)); #449243=DIRECTION('ref_axis',(1.,0.,0.)); #449244=DIRECTION('center_axis',(0.,0.,1.)); #449245=DIRECTION('ref_axis',(1.,0.,0.)); #449246=DIRECTION('center_axis',(0.,0.,1.)); #449247=DIRECTION('ref_axis',(1.,0.,0.)); #449248=DIRECTION('',(0.,0.,1.)); #449249=DIRECTION('center_axis',(0.,0.,-1.)); #449250=DIRECTION('ref_axis',(1.,0.,0.)); #449251=DIRECTION('center_axis',(0.,0.,1.)); #449252=DIRECTION('ref_axis',(1.,0.,0.)); #449253=DIRECTION('center_axis',(0.,0.,1.)); #449254=DIRECTION('ref_axis',(1.,0.,0.)); #449255=DIRECTION('',(0.,0.,1.)); #449256=DIRECTION('center_axis',(0.,0.,-1.)); #449257=DIRECTION('ref_axis',(1.,0.,0.)); #449258=DIRECTION('center_axis',(0.,0.,1.)); #449259=DIRECTION('ref_axis',(1.,0.,0.)); #449260=DIRECTION('center_axis',(0.,0.,1.)); #449261=DIRECTION('ref_axis',(1.,0.,0.)); #449262=DIRECTION('',(0.,0.,1.)); #449263=DIRECTION('center_axis',(0.,0.,-1.)); #449264=DIRECTION('ref_axis',(1.,0.,0.)); #449265=DIRECTION('center_axis',(0.,0.,1.)); #449266=DIRECTION('ref_axis',(1.,0.,0.)); #449267=DIRECTION('center_axis',(0.,0.,1.)); #449268=DIRECTION('ref_axis',(1.,0.,0.)); #449269=DIRECTION('',(0.,0.,1.)); #449270=DIRECTION('center_axis',(0.,0.,-1.)); #449271=DIRECTION('ref_axis',(1.,0.,0.)); #449272=DIRECTION('center_axis',(0.,0.,1.)); #449273=DIRECTION('ref_axis',(1.,0.,0.)); #449274=DIRECTION('center_axis',(0.,0.,1.)); #449275=DIRECTION('ref_axis',(1.,0.,0.)); #449276=DIRECTION('',(0.,0.,1.)); #449277=DIRECTION('center_axis',(0.,0.,-1.)); #449278=DIRECTION('ref_axis',(1.,0.,0.)); #449279=DIRECTION('center_axis',(0.,0.,1.)); #449280=DIRECTION('ref_axis',(1.,0.,0.)); #449281=DIRECTION('center_axis',(0.,0.,1.)); #449282=DIRECTION('ref_axis',(1.,0.,0.)); #449283=DIRECTION('',(0.,0.,1.)); #449284=DIRECTION('center_axis',(0.,0.,-1.)); #449285=DIRECTION('ref_axis',(1.,0.,0.)); #449286=DIRECTION('center_axis',(0.,0.,1.)); #449287=DIRECTION('ref_axis',(1.,0.,0.)); #449288=DIRECTION('center_axis',(0.,0.,1.)); #449289=DIRECTION('ref_axis',(1.,0.,0.)); #449290=DIRECTION('',(0.,0.,1.)); #449291=DIRECTION('center_axis',(0.,0.,-1.)); #449292=DIRECTION('ref_axis',(1.,0.,0.)); #449293=DIRECTION('center_axis',(0.,0.,1.)); #449294=DIRECTION('ref_axis',(1.,0.,0.)); #449295=DIRECTION('center_axis',(0.,0.,1.)); #449296=DIRECTION('ref_axis',(1.,0.,0.)); #449297=DIRECTION('',(0.,0.,1.)); #449298=DIRECTION('center_axis',(0.,0.,-1.)); #449299=DIRECTION('ref_axis',(1.,0.,0.)); #449300=DIRECTION('center_axis',(0.,0.,1.)); #449301=DIRECTION('ref_axis',(1.,0.,0.)); #449302=DIRECTION('center_axis',(0.,0.,1.)); #449303=DIRECTION('ref_axis',(1.,0.,0.)); #449304=DIRECTION('',(0.,0.,1.)); #449305=DIRECTION('center_axis',(0.,0.,-1.)); #449306=DIRECTION('ref_axis',(1.,0.,0.)); #449307=DIRECTION('center_axis',(0.,0.,1.)); #449308=DIRECTION('ref_axis',(1.,0.,0.)); #449309=DIRECTION('center_axis',(0.,0.,1.)); #449310=DIRECTION('ref_axis',(1.,0.,0.)); #449311=DIRECTION('',(0.,0.,1.)); #449312=DIRECTION('center_axis',(0.,0.,-1.)); #449313=DIRECTION('ref_axis',(1.,0.,0.)); #449314=DIRECTION('center_axis',(0.,0.,1.)); #449315=DIRECTION('ref_axis',(1.,0.,0.)); #449316=DIRECTION('center_axis',(0.,0.,1.)); #449317=DIRECTION('ref_axis',(1.,0.,0.)); #449318=DIRECTION('',(0.,0.,1.)); #449319=DIRECTION('center_axis',(0.,0.,-1.)); #449320=DIRECTION('ref_axis',(1.,0.,0.)); #449321=DIRECTION('center_axis',(0.,0.,1.)); #449322=DIRECTION('ref_axis',(1.,0.,0.)); #449323=DIRECTION('center_axis',(0.,0.,1.)); #449324=DIRECTION('ref_axis',(1.,0.,0.)); #449325=DIRECTION('',(0.,0.,1.)); #449326=DIRECTION('center_axis',(0.,0.,-1.)); #449327=DIRECTION('ref_axis',(1.,0.,0.)); #449328=DIRECTION('center_axis',(0.,0.,1.)); #449329=DIRECTION('ref_axis',(1.,0.,0.)); #449330=DIRECTION('center_axis',(0.,0.,1.)); #449331=DIRECTION('ref_axis',(1.,0.,0.)); #449332=DIRECTION('',(0.,0.,1.)); #449333=DIRECTION('center_axis',(0.,0.,-1.)); #449334=DIRECTION('ref_axis',(1.,0.,0.)); #449335=DIRECTION('center_axis',(0.,0.,1.)); #449336=DIRECTION('ref_axis',(1.,0.,0.)); #449337=DIRECTION('center_axis',(0.,0.,1.)); #449338=DIRECTION('ref_axis',(1.,0.,0.)); #449339=DIRECTION('',(0.,0.,1.)); #449340=DIRECTION('center_axis',(0.,0.,-1.)); #449341=DIRECTION('ref_axis',(1.,0.,0.)); #449342=DIRECTION('center_axis',(0.,0.,1.)); #449343=DIRECTION('ref_axis',(1.,0.,0.)); #449344=DIRECTION('center_axis',(0.,0.,1.)); #449345=DIRECTION('ref_axis',(1.,0.,0.)); #449346=DIRECTION('',(0.,0.,1.)); #449347=DIRECTION('center_axis',(0.,0.,-1.)); #449348=DIRECTION('ref_axis',(1.,0.,0.)); #449349=DIRECTION('center_axis',(0.,0.,1.)); #449350=DIRECTION('ref_axis',(1.,0.,0.)); #449351=DIRECTION('center_axis',(0.,0.,1.)); #449352=DIRECTION('ref_axis',(1.,0.,0.)); #449353=DIRECTION('',(0.,0.,1.)); #449354=DIRECTION('center_axis',(0.,0.,-1.)); #449355=DIRECTION('ref_axis',(1.,0.,0.)); #449356=DIRECTION('center_axis',(0.,0.,1.)); #449357=DIRECTION('ref_axis',(1.,0.,0.)); #449358=DIRECTION('center_axis',(0.,0.,1.)); #449359=DIRECTION('ref_axis',(1.,0.,0.)); #449360=DIRECTION('',(0.,0.,1.)); #449361=DIRECTION('center_axis',(0.,0.,-1.)); #449362=DIRECTION('ref_axis',(1.,0.,0.)); #449363=DIRECTION('center_axis',(0.,0.,1.)); #449364=DIRECTION('ref_axis',(1.,0.,0.)); #449365=DIRECTION('center_axis',(0.,0.,1.)); #449366=DIRECTION('ref_axis',(1.,0.,0.)); #449367=DIRECTION('',(0.,0.,1.)); #449368=DIRECTION('center_axis',(0.,0.,-1.)); #449369=DIRECTION('ref_axis',(1.,0.,0.)); #449370=DIRECTION('center_axis',(0.,0.,1.)); #449371=DIRECTION('ref_axis',(1.,0.,0.)); #449372=DIRECTION('center_axis',(0.,0.,1.)); #449373=DIRECTION('ref_axis',(1.,0.,0.)); #449374=DIRECTION('',(0.,0.,1.)); #449375=DIRECTION('center_axis',(0.,0.,-1.)); #449376=DIRECTION('ref_axis',(1.,0.,0.)); #449377=DIRECTION('center_axis',(0.,0.,1.)); #449378=DIRECTION('ref_axis',(1.,0.,0.)); #449379=DIRECTION('center_axis',(0.,0.,1.)); #449380=DIRECTION('ref_axis',(1.,0.,0.)); #449381=DIRECTION('',(0.,0.,1.)); #449382=DIRECTION('center_axis',(0.,0.,-1.)); #449383=DIRECTION('ref_axis',(1.,0.,0.)); #449384=DIRECTION('center_axis',(0.,0.,1.)); #449385=DIRECTION('ref_axis',(1.,0.,0.)); #449386=DIRECTION('center_axis',(0.,0.,1.)); #449387=DIRECTION('ref_axis',(1.,0.,0.)); #449388=DIRECTION('',(0.,0.,1.)); #449389=DIRECTION('center_axis',(0.,0.,-1.)); #449390=DIRECTION('ref_axis',(1.,0.,0.)); #449391=DIRECTION('center_axis',(0.,0.,1.)); #449392=DIRECTION('ref_axis',(1.,0.,0.)); #449393=DIRECTION('center_axis',(0.,0.,1.)); #449394=DIRECTION('ref_axis',(1.,0.,0.)); #449395=DIRECTION('',(0.,0.,1.)); #449396=DIRECTION('center_axis',(0.,0.,-1.)); #449397=DIRECTION('ref_axis',(1.,0.,0.)); #449398=DIRECTION('center_axis',(0.,0.,1.)); #449399=DIRECTION('ref_axis',(1.,0.,0.)); #449400=DIRECTION('center_axis',(0.,0.,1.)); #449401=DIRECTION('ref_axis',(1.,0.,0.)); #449402=DIRECTION('',(0.,0.,1.)); #449403=DIRECTION('center_axis',(0.,0.,-1.)); #449404=DIRECTION('ref_axis',(1.,0.,0.)); #449405=DIRECTION('center_axis',(0.,0.,1.)); #449406=DIRECTION('ref_axis',(1.,0.,0.)); #449407=DIRECTION('center_axis',(0.,0.,1.)); #449408=DIRECTION('ref_axis',(1.,0.,0.)); #449409=DIRECTION('',(0.,0.,1.)); #449410=DIRECTION('center_axis',(0.,0.,-1.)); #449411=DIRECTION('ref_axis',(1.,0.,0.)); #449412=DIRECTION('center_axis',(0.,0.,1.)); #449413=DIRECTION('ref_axis',(1.,0.,0.)); #449414=DIRECTION('center_axis',(0.,0.,1.)); #449415=DIRECTION('ref_axis',(1.,0.,0.)); #449416=DIRECTION('',(0.,0.,1.)); #449417=DIRECTION('center_axis',(0.,0.,-1.)); #449418=DIRECTION('ref_axis',(1.,0.,0.)); #449419=DIRECTION('center_axis',(0.,0.,1.)); #449420=DIRECTION('ref_axis',(1.,0.,0.)); #449421=DIRECTION('center_axis',(0.,0.,1.)); #449422=DIRECTION('ref_axis',(1.,0.,0.)); #449423=DIRECTION('',(0.,0.,1.)); #449424=DIRECTION('center_axis',(0.,0.,-1.)); #449425=DIRECTION('ref_axis',(1.,0.,0.)); #449426=DIRECTION('center_axis',(0.,0.,1.)); #449427=DIRECTION('ref_axis',(1.,0.,0.)); #449428=DIRECTION('center_axis',(0.,0.,1.)); #449429=DIRECTION('ref_axis',(1.,0.,0.)); #449430=DIRECTION('',(0.,0.,1.)); #449431=DIRECTION('center_axis',(0.,0.,-1.)); #449432=DIRECTION('ref_axis',(1.,0.,0.)); #449433=DIRECTION('center_axis',(0.,0.,1.)); #449434=DIRECTION('ref_axis',(1.,0.,0.)); #449435=DIRECTION('center_axis',(0.,0.,1.)); #449436=DIRECTION('ref_axis',(1.,0.,0.)); #449437=DIRECTION('',(0.,0.,1.)); #449438=DIRECTION('center_axis',(0.,0.,-1.)); #449439=DIRECTION('ref_axis',(1.,0.,0.)); #449440=DIRECTION('center_axis',(0.,0.,1.)); #449441=DIRECTION('ref_axis',(1.,0.,0.)); #449442=DIRECTION('center_axis',(0.,0.,1.)); #449443=DIRECTION('ref_axis',(1.,0.,0.)); #449444=DIRECTION('',(0.,0.,1.)); #449445=DIRECTION('center_axis',(0.,0.,-1.)); #449446=DIRECTION('ref_axis',(1.,0.,0.)); #449447=DIRECTION('center_axis',(0.,0.,1.)); #449448=DIRECTION('ref_axis',(1.,0.,0.)); #449449=DIRECTION('center_axis',(0.,0.,1.)); #449450=DIRECTION('ref_axis',(1.,0.,0.)); #449451=DIRECTION('',(0.,0.,1.)); #449452=DIRECTION('center_axis',(0.,0.,-1.)); #449453=DIRECTION('ref_axis',(1.,0.,0.)); #449454=DIRECTION('center_axis',(0.,0.,1.)); #449455=DIRECTION('ref_axis',(1.,0.,0.)); #449456=DIRECTION('center_axis',(0.,0.,1.)); #449457=DIRECTION('ref_axis',(1.,0.,0.)); #449458=DIRECTION('',(0.,0.,1.)); #449459=DIRECTION('center_axis',(0.,0.,-1.)); #449460=DIRECTION('ref_axis',(1.,0.,0.)); #449461=DIRECTION('center_axis',(0.,0.,1.)); #449462=DIRECTION('ref_axis',(1.,0.,0.)); #449463=DIRECTION('center_axis',(0.,0.,1.)); #449464=DIRECTION('ref_axis',(1.,0.,0.)); #449465=DIRECTION('',(0.,0.,1.)); #449466=DIRECTION('center_axis',(0.,0.,-1.)); #449467=DIRECTION('ref_axis',(1.,0.,0.)); #449468=DIRECTION('center_axis',(0.,0.,1.)); #449469=DIRECTION('ref_axis',(1.,0.,0.)); #449470=DIRECTION('center_axis',(0.,0.,1.)); #449471=DIRECTION('ref_axis',(1.,0.,0.)); #449472=DIRECTION('',(0.,0.,1.)); #449473=DIRECTION('center_axis',(0.,0.,-1.)); #449474=DIRECTION('ref_axis',(1.,0.,0.)); #449475=DIRECTION('center_axis',(0.,0.,1.)); #449476=DIRECTION('ref_axis',(1.,0.,0.)); #449477=DIRECTION('center_axis',(0.,0.,1.)); #449478=DIRECTION('ref_axis',(1.,0.,0.)); #449479=DIRECTION('',(0.,0.,1.)); #449480=DIRECTION('center_axis',(0.,0.,-1.)); #449481=DIRECTION('ref_axis',(1.,0.,0.)); #449482=DIRECTION('center_axis',(0.,0.,1.)); #449483=DIRECTION('ref_axis',(1.,0.,0.)); #449484=DIRECTION('center_axis',(0.,0.,1.)); #449485=DIRECTION('ref_axis',(1.,0.,0.)); #449486=DIRECTION('',(0.,0.,1.)); #449487=DIRECTION('center_axis',(0.,0.,-1.)); #449488=DIRECTION('ref_axis',(1.,0.,0.)); #449489=DIRECTION('center_axis',(0.,0.,1.)); #449490=DIRECTION('ref_axis',(1.,0.,0.)); #449491=DIRECTION('center_axis',(0.,0.,1.)); #449492=DIRECTION('ref_axis',(1.,0.,0.)); #449493=DIRECTION('',(0.,0.,1.)); #449494=DIRECTION('center_axis',(0.,0.,-1.)); #449495=DIRECTION('ref_axis',(1.,0.,0.)); #449496=DIRECTION('center_axis',(0.,0.,1.)); #449497=DIRECTION('ref_axis',(1.,0.,0.)); #449498=DIRECTION('center_axis',(0.,0.,1.)); #449499=DIRECTION('ref_axis',(1.,0.,0.)); #449500=DIRECTION('',(0.,0.,1.)); #449501=DIRECTION('center_axis',(0.,0.,-1.)); #449502=DIRECTION('ref_axis',(1.,0.,0.)); #449503=DIRECTION('center_axis',(0.,0.,1.)); #449504=DIRECTION('ref_axis',(1.,0.,0.)); #449505=DIRECTION('center_axis',(0.,0.,1.)); #449506=DIRECTION('ref_axis',(1.,0.,0.)); #449507=DIRECTION('',(0.,0.,1.)); #449508=DIRECTION('center_axis',(0.,0.,-1.)); #449509=DIRECTION('ref_axis',(1.,0.,0.)); #449510=DIRECTION('center_axis',(0.,0.,1.)); #449511=DIRECTION('ref_axis',(1.,0.,0.)); #449512=DIRECTION('center_axis',(0.,0.,1.)); #449513=DIRECTION('ref_axis',(1.,0.,0.)); #449514=DIRECTION('',(0.,0.,1.)); #449515=DIRECTION('center_axis',(0.,0.,-1.)); #449516=DIRECTION('ref_axis',(1.,0.,0.)); #449517=DIRECTION('center_axis',(0.,0.,1.)); #449518=DIRECTION('ref_axis',(1.,0.,0.)); #449519=DIRECTION('center_axis',(0.,0.,1.)); #449520=DIRECTION('ref_axis',(1.,0.,0.)); #449521=DIRECTION('',(0.,0.,1.)); #449522=DIRECTION('center_axis',(0.,0.,-1.)); #449523=DIRECTION('ref_axis',(1.,0.,0.)); #449524=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #449525=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #449526=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #449527=DIRECTION('',(0.,0.,1.)); #449528=DIRECTION('',(5.55111499297693E-15,1.,0.)); #449529=DIRECTION('',(0.,0.,1.)); #449530=DIRECTION('center_axis',(0.,0.,1.)); #449531=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #449532=DIRECTION('center_axis',(0.,0.,-1.)); #449533=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #449534=DIRECTION('center_axis',(0.,0.,1.)); #449535=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #449536=DIRECTION('',(0.,0.,1.)); #449537=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #449538=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #449539=DIRECTION('',(5.55111499297693E-15,1.,0.)); #449540=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #449541=DIRECTION('',(0.,0.,1.)); #449542=DIRECTION('center_axis',(0.,0.,1.)); #449543=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #449544=DIRECTION('center_axis',(0.,0.,-1.)); #449545=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #449546=DIRECTION('center_axis',(0.,0.,1.)); #449547=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #449548=DIRECTION('center_axis',(0.,0.,1.)); #449549=DIRECTION('ref_axis',(1.,0.,0.)); #449550=DIRECTION('center_axis',(0.,0.,1.)); #449551=DIRECTION('ref_axis',(1.,0.,0.)); #449552=DIRECTION('',(0.,0.,1.)); #449553=DIRECTION('center_axis',(0.,0.,-1.)); #449554=DIRECTION('ref_axis',(1.,0.,0.)); #449555=DIRECTION('center_axis',(7.40148643419025E-15,1.,0.)); #449556=DIRECTION('ref_axis',(-1.,7.40148643419025E-15,0.)); #449557=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #449558=DIRECTION('',(0.,0.,1.)); #449559=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #449560=DIRECTION('',(0.,0.,1.)); #449561=DIRECTION('center_axis',(0.,0.,1.)); #449562=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #449563=DIRECTION('center_axis',(0.,0.,-1.)); #449564=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #449565=DIRECTION('center_axis',(0.,0.,1.)); #449566=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #449567=DIRECTION('',(0.,0.,1.)); #449568=DIRECTION('center_axis',(-7.40148643419025E-15,-1.,0.)); #449569=DIRECTION('ref_axis',(1.,-7.40148643419025E-15,0.)); #449570=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #449571=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #449572=DIRECTION('',(0.,0.,1.)); #449573=DIRECTION('center_axis',(0.,0.,1.)); #449574=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #449575=DIRECTION('center_axis',(0.,0.,-1.)); #449576=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #449577=DIRECTION('center_axis',(0.,0.,1.)); #449578=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #449579=DIRECTION('center_axis',(0.,0.,1.)); #449580=DIRECTION('ref_axis',(1.,0.,0.)); #449581=DIRECTION('center_axis',(0.,0.,1.)); #449582=DIRECTION('ref_axis',(1.,0.,0.)); #449583=DIRECTION('',(0.,0.,1.)); #449584=DIRECTION('center_axis',(0.,0.,-1.)); #449585=DIRECTION('ref_axis',(1.,0.,0.)); #449586=DIRECTION('center_axis',(0.,0.,1.)); #449587=DIRECTION('ref_axis',(1.,0.,0.)); #449588=DIRECTION('center_axis',(0.,0.,1.)); #449589=DIRECTION('ref_axis',(1.,0.,0.)); #449590=DIRECTION('',(0.,0.,1.)); #449591=DIRECTION('center_axis',(0.,0.,-1.)); #449592=DIRECTION('ref_axis',(1.,0.,0.)); #449593=DIRECTION('center_axis',(0.,0.,1.)); #449594=DIRECTION('ref_axis',(1.,0.,0.)); #449595=DIRECTION('center_axis',(0.,0.,1.)); #449596=DIRECTION('ref_axis',(1.,0.,0.)); #449597=DIRECTION('',(0.,0.,1.)); #449598=DIRECTION('center_axis',(0.,0.,-1.)); #449599=DIRECTION('ref_axis',(1.,0.,0.)); #449600=DIRECTION('center_axis',(7.40148643419025E-15,1.,0.)); #449601=DIRECTION('ref_axis',(-1.,7.40148643419025E-15,0.)); #449602=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #449603=DIRECTION('',(0.,0.,1.)); #449604=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #449605=DIRECTION('',(0.,0.,1.)); #449606=DIRECTION('center_axis',(0.,0.,1.)); #449607=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #449608=DIRECTION('center_axis',(0.,0.,-1.)); #449609=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #449610=DIRECTION('center_axis',(0.,0.,1.)); #449611=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #449612=DIRECTION('',(0.,0.,1.)); #449613=DIRECTION('center_axis',(-7.40148643419025E-15,-1.,0.)); #449614=DIRECTION('ref_axis',(1.,-7.40148643419025E-15,0.)); #449615=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #449616=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #449617=DIRECTION('',(0.,0.,1.)); #449618=DIRECTION('center_axis',(0.,0.,1.)); #449619=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #449620=DIRECTION('center_axis',(0.,0.,-1.)); #449621=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #449622=DIRECTION('center_axis',(0.,0.,1.)); #449623=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #449624=DIRECTION('center_axis',(0.,0.,1.)); #449625=DIRECTION('ref_axis',(1.,0.,0.)); #449626=DIRECTION('center_axis',(0.,0.,1.)); #449627=DIRECTION('ref_axis',(1.,0.,0.)); #449628=DIRECTION('',(0.,0.,1.)); #449629=DIRECTION('center_axis',(0.,0.,-1.)); #449630=DIRECTION('ref_axis',(1.,0.,0.)); #449631=DIRECTION('center_axis',(0.,0.,1.)); #449632=DIRECTION('ref_axis',(1.,0.,0.)); #449633=DIRECTION('center_axis',(0.,0.,1.)); #449634=DIRECTION('ref_axis',(1.,0.,0.)); #449635=DIRECTION('',(0.,0.,1.)); #449636=DIRECTION('center_axis',(0.,0.,-1.)); #449637=DIRECTION('ref_axis',(1.,0.,0.)); #449638=DIRECTION('center_axis',(0.,0.,1.)); #449639=DIRECTION('ref_axis',(1.,0.,0.)); #449640=DIRECTION('center_axis',(0.,0.,1.)); #449641=DIRECTION('ref_axis',(1.,0.,0.)); #449642=DIRECTION('',(0.,0.,1.)); #449643=DIRECTION('center_axis',(0.,0.,-1.)); #449644=DIRECTION('ref_axis',(1.,0.,0.)); #449645=DIRECTION('center_axis',(0.,0.,1.)); #449646=DIRECTION('ref_axis',(1.,0.,0.)); #449647=DIRECTION('center_axis',(0.,0.,1.)); #449648=DIRECTION('ref_axis',(1.,0.,0.)); #449649=DIRECTION('',(0.,0.,1.)); #449650=DIRECTION('center_axis',(0.,0.,-1.)); #449651=DIRECTION('ref_axis',(1.,0.,0.)); #449652=DIRECTION('center_axis',(0.,0.,1.)); #449653=DIRECTION('ref_axis',(1.,0.,0.)); #449654=DIRECTION('center_axis',(0.,0.,1.)); #449655=DIRECTION('ref_axis',(1.,0.,0.)); #449656=DIRECTION('',(0.,0.,1.)); #449657=DIRECTION('center_axis',(0.,0.,-1.)); #449658=DIRECTION('ref_axis',(1.,0.,0.)); #449659=DIRECTION('center_axis',(0.,0.,1.)); #449660=DIRECTION('ref_axis',(1.,0.,0.)); #449661=DIRECTION('center_axis',(0.,0.,1.)); #449662=DIRECTION('ref_axis',(1.,0.,0.)); #449663=DIRECTION('',(0.,0.,1.)); #449664=DIRECTION('center_axis',(0.,0.,-1.)); #449665=DIRECTION('ref_axis',(1.,0.,0.)); #449666=DIRECTION('center_axis',(0.,0.,1.)); #449667=DIRECTION('ref_axis',(1.,0.,0.)); #449668=DIRECTION('center_axis',(0.,0.,1.)); #449669=DIRECTION('ref_axis',(1.,0.,0.)); #449670=DIRECTION('',(0.,0.,1.)); #449671=DIRECTION('center_axis',(0.,0.,-1.)); #449672=DIRECTION('ref_axis',(1.,0.,0.)); #449673=DIRECTION('center_axis',(0.,0.,1.)); #449674=DIRECTION('ref_axis',(1.,0.,0.)); #449675=DIRECTION('center_axis',(0.,0.,1.)); #449676=DIRECTION('ref_axis',(1.,0.,0.)); #449677=DIRECTION('',(0.,0.,1.)); #449678=DIRECTION('center_axis',(0.,0.,-1.)); #449679=DIRECTION('ref_axis',(1.,0.,0.)); #449680=DIRECTION('center_axis',(0.,0.,1.)); #449681=DIRECTION('ref_axis',(1.,0.,0.)); #449682=DIRECTION('center_axis',(0.,0.,1.)); #449683=DIRECTION('ref_axis',(1.,0.,0.)); #449684=DIRECTION('',(0.,0.,1.)); #449685=DIRECTION('center_axis',(0.,0.,-1.)); #449686=DIRECTION('ref_axis',(1.,0.,0.)); #449687=DIRECTION('center_axis',(0.,0.,1.)); #449688=DIRECTION('ref_axis',(1.,0.,0.)); #449689=DIRECTION('center_axis',(0.,0.,1.)); #449690=DIRECTION('ref_axis',(1.,0.,0.)); #449691=DIRECTION('',(0.,0.,1.)); #449692=DIRECTION('center_axis',(0.,0.,-1.)); #449693=DIRECTION('ref_axis',(1.,0.,0.)); #449694=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #449695=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #449696=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #449697=DIRECTION('',(0.,0.,1.)); #449698=DIRECTION('',(5.55111499297693E-15,1.,0.)); #449699=DIRECTION('',(0.,0.,1.)); #449700=DIRECTION('center_axis',(0.,0.,1.)); #449701=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #449702=DIRECTION('center_axis',(0.,0.,-1.)); #449703=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #449704=DIRECTION('center_axis',(0.,0.,1.)); #449705=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #449706=DIRECTION('',(0.,0.,1.)); #449707=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #449708=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #449709=DIRECTION('',(5.55111499297693E-15,1.,0.)); #449710=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #449711=DIRECTION('',(0.,0.,1.)); #449712=DIRECTION('center_axis',(0.,0.,1.)); #449713=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #449714=DIRECTION('center_axis',(0.,0.,-1.)); #449715=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #449716=DIRECTION('center_axis',(0.,0.,1.)); #449717=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #449718=DIRECTION('center_axis',(0.,0.,1.)); #449719=DIRECTION('ref_axis',(1.,0.,0.)); #449720=DIRECTION('center_axis',(0.,0.,1.)); #449721=DIRECTION('ref_axis',(1.,0.,0.)); #449722=DIRECTION('',(0.,0.,1.)); #449723=DIRECTION('center_axis',(0.,0.,-1.)); #449724=DIRECTION('ref_axis',(1.,0.,0.)); #449725=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #449726=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #449727=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #449728=DIRECTION('',(0.,0.,1.)); #449729=DIRECTION('',(5.55111499297693E-15,1.,0.)); #449730=DIRECTION('',(0.,0.,1.)); #449731=DIRECTION('center_axis',(0.,0.,1.)); #449732=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #449733=DIRECTION('center_axis',(0.,0.,-1.)); #449734=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #449735=DIRECTION('center_axis',(0.,0.,1.)); #449736=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #449737=DIRECTION('',(0.,0.,1.)); #449738=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #449739=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #449740=DIRECTION('',(5.55111499297693E-15,1.,0.)); #449741=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #449742=DIRECTION('',(0.,0.,1.)); #449743=DIRECTION('center_axis',(0.,0.,1.)); #449744=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #449745=DIRECTION('center_axis',(0.,0.,-1.)); #449746=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #449747=DIRECTION('center_axis',(0.,0.,1.)); #449748=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #449749=DIRECTION('center_axis',(0.,0.,1.)); #449750=DIRECTION('ref_axis',(1.,0.,0.)); #449751=DIRECTION('center_axis',(0.,0.,1.)); #449752=DIRECTION('ref_axis',(1.,0.,0.)); #449753=DIRECTION('',(0.,0.,1.)); #449754=DIRECTION('center_axis',(0.,0.,-1.)); #449755=DIRECTION('ref_axis',(1.,0.,0.)); #449756=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #449757=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #449758=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #449759=DIRECTION('',(0.,0.,1.)); #449760=DIRECTION('',(5.55111499297693E-15,1.,0.)); #449761=DIRECTION('',(0.,0.,1.)); #449762=DIRECTION('center_axis',(0.,0.,1.)); #449763=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #449764=DIRECTION('center_axis',(0.,0.,-1.)); #449765=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #449766=DIRECTION('center_axis',(0.,0.,1.)); #449767=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #449768=DIRECTION('',(0.,0.,1.)); #449769=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #449770=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #449771=DIRECTION('',(5.55111499297693E-15,1.,0.)); #449772=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #449773=DIRECTION('',(0.,0.,1.)); #449774=DIRECTION('center_axis',(0.,0.,1.)); #449775=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #449776=DIRECTION('center_axis',(0.,0.,-1.)); #449777=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #449778=DIRECTION('center_axis',(0.,0.,1.)); #449779=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #449780=DIRECTION('center_axis',(0.,0.,1.)); #449781=DIRECTION('ref_axis',(1.,0.,0.)); #449782=DIRECTION('center_axis',(0.,0.,1.)); #449783=DIRECTION('ref_axis',(1.,0.,0.)); #449784=DIRECTION('',(0.,0.,1.)); #449785=DIRECTION('center_axis',(0.,0.,-1.)); #449786=DIRECTION('ref_axis',(1.,0.,0.)); #449787=DIRECTION('center_axis',(0.,0.,1.)); #449788=DIRECTION('ref_axis',(1.,0.,0.)); #449789=DIRECTION('center_axis',(0.,0.,1.)); #449790=DIRECTION('ref_axis',(1.,0.,0.)); #449791=DIRECTION('',(0.,0.,1.)); #449792=DIRECTION('center_axis',(0.,0.,-1.)); #449793=DIRECTION('ref_axis',(1.,0.,0.)); #449794=DIRECTION('center_axis',(0.,0.,1.)); #449795=DIRECTION('ref_axis',(1.,0.,0.)); #449796=DIRECTION('center_axis',(0.,0.,1.)); #449797=DIRECTION('ref_axis',(1.,0.,0.)); #449798=DIRECTION('',(0.,0.,1.)); #449799=DIRECTION('center_axis',(0.,0.,-1.)); #449800=DIRECTION('ref_axis',(1.,0.,0.)); #449801=DIRECTION('center_axis',(0.,0.,1.)); #449802=DIRECTION('ref_axis',(1.,0.,0.)); #449803=DIRECTION('center_axis',(0.,0.,1.)); #449804=DIRECTION('ref_axis',(1.,0.,0.)); #449805=DIRECTION('',(0.,0.,1.)); #449806=DIRECTION('center_axis',(0.,0.,-1.)); #449807=DIRECTION('ref_axis',(1.,0.,0.)); #449808=DIRECTION('center_axis',(0.,0.,1.)); #449809=DIRECTION('ref_axis',(1.,0.,0.)); #449810=DIRECTION('center_axis',(0.,0.,1.)); #449811=DIRECTION('ref_axis',(1.,0.,0.)); #449812=DIRECTION('',(0.,0.,1.)); #449813=DIRECTION('center_axis',(0.,0.,-1.)); #449814=DIRECTION('ref_axis',(1.,0.,0.)); #449815=DIRECTION('center_axis',(0.,0.,1.)); #449816=DIRECTION('ref_axis',(1.,0.,0.)); #449817=DIRECTION('center_axis',(0.,0.,1.)); #449818=DIRECTION('ref_axis',(1.,0.,0.)); #449819=DIRECTION('',(0.,0.,1.)); #449820=DIRECTION('center_axis',(0.,0.,-1.)); #449821=DIRECTION('ref_axis',(1.,0.,0.)); #449822=DIRECTION('center_axis',(0.,0.,1.)); #449823=DIRECTION('ref_axis',(1.,0.,0.)); #449824=DIRECTION('center_axis',(0.,0.,1.)); #449825=DIRECTION('ref_axis',(1.,0.,0.)); #449826=DIRECTION('',(0.,0.,1.)); #449827=DIRECTION('center_axis',(0.,0.,-1.)); #449828=DIRECTION('ref_axis',(1.,0.,0.)); #449829=DIRECTION('center_axis',(0.,0.,1.)); #449830=DIRECTION('ref_axis',(1.,0.,0.)); #449831=DIRECTION('center_axis',(0.,0.,1.)); #449832=DIRECTION('ref_axis',(1.,0.,0.)); #449833=DIRECTION('',(0.,0.,1.)); #449834=DIRECTION('center_axis',(0.,0.,-1.)); #449835=DIRECTION('ref_axis',(1.,0.,0.)); #449836=DIRECTION('center_axis',(0.,0.,1.)); #449837=DIRECTION('ref_axis',(1.,0.,0.)); #449838=DIRECTION('center_axis',(0.,0.,1.)); #449839=DIRECTION('ref_axis',(1.,0.,0.)); #449840=DIRECTION('',(0.,0.,1.)); #449841=DIRECTION('center_axis',(0.,0.,-1.)); #449842=DIRECTION('ref_axis',(1.,0.,0.)); #449843=DIRECTION('center_axis',(0.,0.,1.)); #449844=DIRECTION('ref_axis',(1.,0.,0.)); #449845=DIRECTION('center_axis',(0.,0.,1.)); #449846=DIRECTION('ref_axis',(1.,0.,0.)); #449847=DIRECTION('',(0.,0.,1.)); #449848=DIRECTION('center_axis',(0.,0.,-1.)); #449849=DIRECTION('ref_axis',(1.,0.,0.)); #449850=DIRECTION('center_axis',(0.,0.,1.)); #449851=DIRECTION('ref_axis',(1.,0.,0.)); #449852=DIRECTION('center_axis',(0.,0.,1.)); #449853=DIRECTION('ref_axis',(1.,0.,0.)); #449854=DIRECTION('',(0.,0.,1.)); #449855=DIRECTION('center_axis',(0.,0.,-1.)); #449856=DIRECTION('ref_axis',(1.,0.,0.)); #449857=DIRECTION('center_axis',(0.,0.,1.)); #449858=DIRECTION('ref_axis',(1.,0.,0.)); #449859=DIRECTION('center_axis',(0.,0.,1.)); #449860=DIRECTION('ref_axis',(1.,0.,0.)); #449861=DIRECTION('',(0.,0.,1.)); #449862=DIRECTION('center_axis',(0.,0.,-1.)); #449863=DIRECTION('ref_axis',(1.,0.,0.)); #449864=DIRECTION('center_axis',(0.,0.,1.)); #449865=DIRECTION('ref_axis',(1.,0.,0.)); #449866=DIRECTION('center_axis',(0.,0.,1.)); #449867=DIRECTION('ref_axis',(1.,0.,0.)); #449868=DIRECTION('',(0.,0.,1.)); #449869=DIRECTION('center_axis',(0.,0.,-1.)); #449870=DIRECTION('ref_axis',(1.,0.,0.)); #449871=DIRECTION('center_axis',(0.,0.,1.)); #449872=DIRECTION('ref_axis',(1.,0.,0.)); #449873=DIRECTION('center_axis',(0.,0.,1.)); #449874=DIRECTION('ref_axis',(1.,0.,0.)); #449875=DIRECTION('',(0.,0.,1.)); #449876=DIRECTION('center_axis',(0.,0.,-1.)); #449877=DIRECTION('ref_axis',(1.,0.,0.)); #449878=DIRECTION('center_axis',(0.,0.,1.)); #449879=DIRECTION('ref_axis',(1.,0.,0.)); #449880=DIRECTION('center_axis',(0.,0.,1.)); #449881=DIRECTION('ref_axis',(1.,0.,0.)); #449882=DIRECTION('',(0.,0.,1.)); #449883=DIRECTION('center_axis',(0.,0.,-1.)); #449884=DIRECTION('ref_axis',(1.,0.,0.)); #449885=DIRECTION('center_axis',(0.,0.,1.)); #449886=DIRECTION('ref_axis',(1.,0.,0.)); #449887=DIRECTION('center_axis',(0.,0.,1.)); #449888=DIRECTION('ref_axis',(1.,0.,0.)); #449889=DIRECTION('',(0.,0.,1.)); #449890=DIRECTION('center_axis',(0.,0.,-1.)); #449891=DIRECTION('ref_axis',(1.,0.,0.)); #449892=DIRECTION('center_axis',(0.,0.,1.)); #449893=DIRECTION('ref_axis',(1.,0.,0.)); #449894=DIRECTION('center_axis',(0.,0.,1.)); #449895=DIRECTION('ref_axis',(1.,0.,0.)); #449896=DIRECTION('',(0.,0.,1.)); #449897=DIRECTION('center_axis',(0.,0.,-1.)); #449898=DIRECTION('ref_axis',(1.,0.,0.)); #449899=DIRECTION('center_axis',(0.,0.,1.)); #449900=DIRECTION('ref_axis',(1.,0.,0.)); #449901=DIRECTION('center_axis',(0.,0.,1.)); #449902=DIRECTION('ref_axis',(1.,0.,0.)); #449903=DIRECTION('',(0.,0.,1.)); #449904=DIRECTION('center_axis',(0.,0.,-1.)); #449905=DIRECTION('ref_axis',(1.,0.,0.)); #449906=DIRECTION('center_axis',(0.,0.,1.)); #449907=DIRECTION('ref_axis',(1.,0.,0.)); #449908=DIRECTION('center_axis',(0.,0.,1.)); #449909=DIRECTION('ref_axis',(1.,0.,0.)); #449910=DIRECTION('',(0.,0.,1.)); #449911=DIRECTION('center_axis',(0.,0.,-1.)); #449912=DIRECTION('ref_axis',(1.,0.,0.)); #449913=DIRECTION('center_axis',(0.,0.,1.)); #449914=DIRECTION('ref_axis',(1.,0.,0.)); #449915=DIRECTION('center_axis',(0.,0.,1.)); #449916=DIRECTION('ref_axis',(1.,0.,0.)); #449917=DIRECTION('',(0.,0.,1.)); #449918=DIRECTION('center_axis',(0.,0.,-1.)); #449919=DIRECTION('ref_axis',(1.,0.,0.)); #449920=DIRECTION('center_axis',(0.,0.,1.)); #449921=DIRECTION('ref_axis',(1.,0.,0.)); #449922=DIRECTION('center_axis',(0.,0.,1.)); #449923=DIRECTION('ref_axis',(1.,0.,0.)); #449924=DIRECTION('',(0.,0.,1.)); #449925=DIRECTION('center_axis',(0.,0.,-1.)); #449926=DIRECTION('ref_axis',(1.,0.,0.)); #449927=DIRECTION('center_axis',(0.,0.,1.)); #449928=DIRECTION('ref_axis',(1.,0.,0.)); #449929=DIRECTION('center_axis',(0.,0.,1.)); #449930=DIRECTION('ref_axis',(1.,0.,0.)); #449931=DIRECTION('',(0.,0.,1.)); #449932=DIRECTION('center_axis',(0.,0.,-1.)); #449933=DIRECTION('ref_axis',(1.,0.,0.)); #449934=DIRECTION('center_axis',(0.,0.,1.)); #449935=DIRECTION('ref_axis',(1.,0.,0.)); #449936=DIRECTION('center_axis',(0.,0.,1.)); #449937=DIRECTION('ref_axis',(1.,0.,0.)); #449938=DIRECTION('',(0.,0.,1.)); #449939=DIRECTION('center_axis',(0.,0.,-1.)); #449940=DIRECTION('ref_axis',(1.,0.,0.)); #449941=DIRECTION('center_axis',(0.,0.,1.)); #449942=DIRECTION('ref_axis',(1.,0.,0.)); #449943=DIRECTION('center_axis',(0.,0.,1.)); #449944=DIRECTION('ref_axis',(1.,0.,0.)); #449945=DIRECTION('',(0.,0.,1.)); #449946=DIRECTION('center_axis',(0.,0.,-1.)); #449947=DIRECTION('ref_axis',(1.,0.,0.)); #449948=DIRECTION('center_axis',(0.,0.,1.)); #449949=DIRECTION('ref_axis',(1.,0.,0.)); #449950=DIRECTION('center_axis',(0.,0.,1.)); #449951=DIRECTION('ref_axis',(1.,0.,0.)); #449952=DIRECTION('',(0.,0.,1.)); #449953=DIRECTION('center_axis',(0.,0.,-1.)); #449954=DIRECTION('ref_axis',(1.,0.,0.)); #449955=DIRECTION('center_axis',(0.,0.,1.)); #449956=DIRECTION('ref_axis',(1.,0.,0.)); #449957=DIRECTION('center_axis',(0.,0.,1.)); #449958=DIRECTION('ref_axis',(1.,0.,0.)); #449959=DIRECTION('',(0.,0.,1.)); #449960=DIRECTION('center_axis',(0.,0.,-1.)); #449961=DIRECTION('ref_axis',(1.,0.,0.)); #449962=DIRECTION('center_axis',(0.,0.,1.)); #449963=DIRECTION('ref_axis',(1.,0.,0.)); #449964=DIRECTION('center_axis',(0.,0.,1.)); #449965=DIRECTION('ref_axis',(1.,0.,0.)); #449966=DIRECTION('',(0.,0.,1.)); #449967=DIRECTION('center_axis',(0.,0.,-1.)); #449968=DIRECTION('ref_axis',(1.,0.,0.)); #449969=DIRECTION('center_axis',(0.,0.,1.)); #449970=DIRECTION('ref_axis',(1.,0.,0.)); #449971=DIRECTION('center_axis',(0.,0.,1.)); #449972=DIRECTION('ref_axis',(1.,0.,0.)); #449973=DIRECTION('',(0.,0.,1.)); #449974=DIRECTION('center_axis',(0.,0.,-1.)); #449975=DIRECTION('ref_axis',(1.,0.,0.)); #449976=DIRECTION('center_axis',(0.,0.,1.)); #449977=DIRECTION('ref_axis',(1.,0.,0.)); #449978=DIRECTION('center_axis',(0.,0.,1.)); #449979=DIRECTION('ref_axis',(1.,0.,0.)); #449980=DIRECTION('',(0.,0.,1.)); #449981=DIRECTION('center_axis',(0.,0.,-1.)); #449982=DIRECTION('ref_axis',(1.,0.,0.)); #449983=DIRECTION('center_axis',(0.,0.,1.)); #449984=DIRECTION('ref_axis',(1.,0.,0.)); #449985=DIRECTION('center_axis',(0.,0.,1.)); #449986=DIRECTION('ref_axis',(1.,0.,0.)); #449987=DIRECTION('',(0.,0.,1.)); #449988=DIRECTION('center_axis',(0.,0.,-1.)); #449989=DIRECTION('ref_axis',(1.,0.,0.)); #449990=DIRECTION('center_axis',(0.,0.,1.)); #449991=DIRECTION('ref_axis',(1.,0.,0.)); #449992=DIRECTION('center_axis',(0.,0.,1.)); #449993=DIRECTION('ref_axis',(1.,0.,0.)); #449994=DIRECTION('',(0.,0.,1.)); #449995=DIRECTION('center_axis',(0.,0.,-1.)); #449996=DIRECTION('ref_axis',(1.,0.,0.)); #449997=DIRECTION('center_axis',(0.,0.,1.)); #449998=DIRECTION('ref_axis',(1.,0.,0.)); #449999=DIRECTION('center_axis',(0.,0.,1.)); #450000=DIRECTION('ref_axis',(1.,0.,0.)); #450001=DIRECTION('',(0.,0.,1.)); #450002=DIRECTION('center_axis',(0.,0.,-1.)); #450003=DIRECTION('ref_axis',(1.,0.,0.)); #450004=DIRECTION('center_axis',(0.,0.,1.)); #450005=DIRECTION('ref_axis',(1.,0.,0.)); #450006=DIRECTION('center_axis',(0.,0.,1.)); #450007=DIRECTION('ref_axis',(1.,0.,0.)); #450008=DIRECTION('',(0.,0.,1.)); #450009=DIRECTION('center_axis',(0.,0.,-1.)); #450010=DIRECTION('ref_axis',(1.,0.,0.)); #450011=DIRECTION('center_axis',(0.,0.,1.)); #450012=DIRECTION('ref_axis',(1.,0.,0.)); #450013=DIRECTION('center_axis',(0.,0.,1.)); #450014=DIRECTION('ref_axis',(1.,0.,0.)); #450015=DIRECTION('',(0.,0.,1.)); #450016=DIRECTION('center_axis',(0.,0.,-1.)); #450017=DIRECTION('ref_axis',(1.,0.,0.)); #450018=DIRECTION('center_axis',(0.,0.,1.)); #450019=DIRECTION('ref_axis',(1.,0.,0.)); #450020=DIRECTION('center_axis',(0.,0.,1.)); #450021=DIRECTION('ref_axis',(1.,0.,0.)); #450022=DIRECTION('',(0.,0.,1.)); #450023=DIRECTION('center_axis',(0.,0.,-1.)); #450024=DIRECTION('ref_axis',(1.,0.,0.)); #450025=DIRECTION('center_axis',(0.,0.,1.)); #450026=DIRECTION('ref_axis',(1.,0.,0.)); #450027=DIRECTION('center_axis',(0.,0.,1.)); #450028=DIRECTION('ref_axis',(1.,0.,0.)); #450029=DIRECTION('',(0.,0.,1.)); #450030=DIRECTION('center_axis',(0.,0.,-1.)); #450031=DIRECTION('ref_axis',(1.,0.,0.)); #450032=DIRECTION('center_axis',(0.,0.,1.)); #450033=DIRECTION('ref_axis',(1.,0.,0.)); #450034=DIRECTION('center_axis',(0.,0.,1.)); #450035=DIRECTION('ref_axis',(1.,0.,0.)); #450036=DIRECTION('',(0.,0.,1.)); #450037=DIRECTION('center_axis',(0.,0.,-1.)); #450038=DIRECTION('ref_axis',(1.,0.,0.)); #450039=DIRECTION('center_axis',(0.,0.,1.)); #450040=DIRECTION('ref_axis',(1.,0.,0.)); #450041=DIRECTION('center_axis',(0.,0.,1.)); #450042=DIRECTION('ref_axis',(1.,0.,0.)); #450043=DIRECTION('',(0.,0.,1.)); #450044=DIRECTION('center_axis',(0.,0.,-1.)); #450045=DIRECTION('ref_axis',(1.,0.,0.)); #450046=DIRECTION('center_axis',(0.,0.,1.)); #450047=DIRECTION('ref_axis',(1.,0.,0.)); #450048=DIRECTION('center_axis',(0.,0.,1.)); #450049=DIRECTION('ref_axis',(1.,0.,0.)); #450050=DIRECTION('',(0.,0.,1.)); #450051=DIRECTION('center_axis',(0.,0.,-1.)); #450052=DIRECTION('ref_axis',(1.,0.,0.)); #450053=DIRECTION('center_axis',(0.,0.,1.)); #450054=DIRECTION('ref_axis',(1.,0.,0.)); #450055=DIRECTION('center_axis',(0.,0.,1.)); #450056=DIRECTION('ref_axis',(1.,0.,0.)); #450057=DIRECTION('',(0.,0.,1.)); #450058=DIRECTION('center_axis',(0.,0.,-1.)); #450059=DIRECTION('ref_axis',(1.,0.,0.)); #450060=DIRECTION('center_axis',(0.,0.,1.)); #450061=DIRECTION('ref_axis',(1.,0.,0.)); #450062=DIRECTION('center_axis',(0.,0.,1.)); #450063=DIRECTION('ref_axis',(1.,0.,0.)); #450064=DIRECTION('',(0.,0.,1.)); #450065=DIRECTION('center_axis',(0.,0.,-1.)); #450066=DIRECTION('ref_axis',(1.,0.,0.)); #450067=DIRECTION('center_axis',(0.,0.,1.)); #450068=DIRECTION('ref_axis',(1.,0.,0.)); #450069=DIRECTION('center_axis',(0.,0.,1.)); #450070=DIRECTION('ref_axis',(1.,0.,0.)); #450071=DIRECTION('',(0.,0.,1.)); #450072=DIRECTION('center_axis',(0.,0.,-1.)); #450073=DIRECTION('ref_axis',(1.,0.,0.)); #450074=DIRECTION('center_axis',(0.,0.,1.)); #450075=DIRECTION('ref_axis',(1.,0.,0.)); #450076=DIRECTION('center_axis',(0.,0.,1.)); #450077=DIRECTION('ref_axis',(1.,0.,0.)); #450078=DIRECTION('',(0.,0.,1.)); #450079=DIRECTION('center_axis',(0.,0.,-1.)); #450080=DIRECTION('ref_axis',(1.,0.,0.)); #450081=DIRECTION('center_axis',(0.,0.,1.)); #450082=DIRECTION('ref_axis',(1.,0.,0.)); #450083=DIRECTION('center_axis',(0.,0.,1.)); #450084=DIRECTION('ref_axis',(1.,0.,0.)); #450085=DIRECTION('',(0.,0.,1.)); #450086=DIRECTION('center_axis',(0.,0.,-1.)); #450087=DIRECTION('ref_axis',(1.,0.,0.)); #450088=DIRECTION('center_axis',(0.,0.,1.)); #450089=DIRECTION('ref_axis',(1.,0.,0.)); #450090=DIRECTION('center_axis',(0.,0.,1.)); #450091=DIRECTION('ref_axis',(1.,0.,0.)); #450092=DIRECTION('',(0.,0.,1.)); #450093=DIRECTION('center_axis',(0.,0.,-1.)); #450094=DIRECTION('ref_axis',(1.,0.,0.)); #450095=DIRECTION('center_axis',(0.,0.,1.)); #450096=DIRECTION('ref_axis',(1.,0.,0.)); #450097=DIRECTION('center_axis',(0.,0.,1.)); #450098=DIRECTION('ref_axis',(1.,0.,0.)); #450099=DIRECTION('',(0.,0.,1.)); #450100=DIRECTION('center_axis',(0.,0.,-1.)); #450101=DIRECTION('ref_axis',(1.,0.,0.)); #450102=DIRECTION('center_axis',(0.,0.,1.)); #450103=DIRECTION('ref_axis',(1.,0.,0.)); #450104=DIRECTION('center_axis',(0.,0.,1.)); #450105=DIRECTION('ref_axis',(1.,0.,0.)); #450106=DIRECTION('',(0.,0.,1.)); #450107=DIRECTION('center_axis',(0.,0.,-1.)); #450108=DIRECTION('ref_axis',(1.,0.,0.)); #450109=DIRECTION('center_axis',(0.,0.,1.)); #450110=DIRECTION('ref_axis',(1.,0.,0.)); #450111=DIRECTION('center_axis',(0.,0.,1.)); #450112=DIRECTION('ref_axis',(1.,0.,0.)); #450113=DIRECTION('',(0.,0.,1.)); #450114=DIRECTION('center_axis',(0.,0.,-1.)); #450115=DIRECTION('ref_axis',(1.,0.,0.)); #450116=DIRECTION('center_axis',(0.,0.,1.)); #450117=DIRECTION('ref_axis',(1.,0.,0.)); #450118=DIRECTION('center_axis',(0.,0.,1.)); #450119=DIRECTION('ref_axis',(1.,0.,0.)); #450120=DIRECTION('',(0.,0.,1.)); #450121=DIRECTION('center_axis',(0.,0.,-1.)); #450122=DIRECTION('ref_axis',(1.,0.,0.)); #450123=DIRECTION('center_axis',(0.,0.,1.)); #450124=DIRECTION('ref_axis',(1.,0.,0.)); #450125=DIRECTION('center_axis',(0.,0.,1.)); #450126=DIRECTION('ref_axis',(1.,0.,0.)); #450127=DIRECTION('',(0.,0.,1.)); #450128=DIRECTION('center_axis',(0.,0.,-1.)); #450129=DIRECTION('ref_axis',(1.,0.,0.)); #450130=DIRECTION('center_axis',(0.,0.,1.)); #450131=DIRECTION('ref_axis',(1.,0.,0.)); #450132=DIRECTION('center_axis',(0.,0.,1.)); #450133=DIRECTION('ref_axis',(1.,0.,0.)); #450134=DIRECTION('',(0.,0.,1.)); #450135=DIRECTION('center_axis',(0.,0.,-1.)); #450136=DIRECTION('ref_axis',(1.,0.,0.)); #450137=DIRECTION('center_axis',(0.,0.,1.)); #450138=DIRECTION('ref_axis',(1.,0.,0.)); #450139=DIRECTION('center_axis',(0.,0.,1.)); #450140=DIRECTION('ref_axis',(1.,0.,0.)); #450141=DIRECTION('',(0.,0.,1.)); #450142=DIRECTION('center_axis',(0.,0.,-1.)); #450143=DIRECTION('ref_axis',(1.,0.,0.)); #450144=DIRECTION('center_axis',(0.,0.,1.)); #450145=DIRECTION('ref_axis',(1.,0.,0.)); #450146=DIRECTION('center_axis',(0.,0.,1.)); #450147=DIRECTION('ref_axis',(1.,0.,0.)); #450148=DIRECTION('',(0.,0.,1.)); #450149=DIRECTION('center_axis',(0.,0.,-1.)); #450150=DIRECTION('ref_axis',(1.,0.,0.)); #450151=DIRECTION('center_axis',(0.,0.,1.)); #450152=DIRECTION('ref_axis',(1.,0.,0.)); #450153=DIRECTION('center_axis',(0.,0.,1.)); #450154=DIRECTION('ref_axis',(1.,0.,0.)); #450155=DIRECTION('',(0.,0.,1.)); #450156=DIRECTION('center_axis',(0.,0.,-1.)); #450157=DIRECTION('ref_axis',(1.,0.,0.)); #450158=DIRECTION('center_axis',(0.,0.,1.)); #450159=DIRECTION('ref_axis',(1.,0.,0.)); #450160=DIRECTION('center_axis',(0.,0.,1.)); #450161=DIRECTION('ref_axis',(1.,0.,0.)); #450162=DIRECTION('',(0.,0.,1.)); #450163=DIRECTION('center_axis',(0.,0.,-1.)); #450164=DIRECTION('ref_axis',(1.,0.,0.)); #450165=DIRECTION('center_axis',(0.,0.,1.)); #450166=DIRECTION('ref_axis',(1.,0.,0.)); #450167=DIRECTION('center_axis',(0.,0.,1.)); #450168=DIRECTION('ref_axis',(1.,0.,0.)); #450169=DIRECTION('',(0.,0.,1.)); #450170=DIRECTION('center_axis',(0.,0.,-1.)); #450171=DIRECTION('ref_axis',(1.,0.,0.)); #450172=DIRECTION('center_axis',(0.,0.,1.)); #450173=DIRECTION('ref_axis',(1.,0.,0.)); #450174=DIRECTION('center_axis',(0.,0.,1.)); #450175=DIRECTION('ref_axis',(1.,0.,0.)); #450176=DIRECTION('',(0.,0.,1.)); #450177=DIRECTION('center_axis',(0.,0.,-1.)); #450178=DIRECTION('ref_axis',(1.,0.,0.)); #450179=DIRECTION('center_axis',(0.,0.,1.)); #450180=DIRECTION('ref_axis',(1.,0.,0.)); #450181=DIRECTION('center_axis',(0.,0.,1.)); #450182=DIRECTION('ref_axis',(1.,0.,0.)); #450183=DIRECTION('',(0.,0.,1.)); #450184=DIRECTION('center_axis',(0.,0.,-1.)); #450185=DIRECTION('ref_axis',(1.,0.,0.)); #450186=DIRECTION('center_axis',(0.,0.,1.)); #450187=DIRECTION('ref_axis',(1.,0.,0.)); #450188=DIRECTION('center_axis',(0.,0.,1.)); #450189=DIRECTION('ref_axis',(1.,0.,0.)); #450190=DIRECTION('',(0.,0.,1.)); #450191=DIRECTION('center_axis',(0.,0.,-1.)); #450192=DIRECTION('ref_axis',(1.,0.,0.)); #450193=DIRECTION('center_axis',(0.,0.,1.)); #450194=DIRECTION('ref_axis',(1.,0.,0.)); #450195=DIRECTION('center_axis',(0.,0.,1.)); #450196=DIRECTION('ref_axis',(1.,0.,0.)); #450197=DIRECTION('',(0.,0.,1.)); #450198=DIRECTION('center_axis',(0.,0.,-1.)); #450199=DIRECTION('ref_axis',(1.,0.,0.)); #450200=DIRECTION('center_axis',(0.,0.,1.)); #450201=DIRECTION('ref_axis',(1.,0.,0.)); #450202=DIRECTION('center_axis',(0.,0.,1.)); #450203=DIRECTION('ref_axis',(1.,0.,0.)); #450204=DIRECTION('',(0.,0.,1.)); #450205=DIRECTION('center_axis',(0.,0.,-1.)); #450206=DIRECTION('ref_axis',(1.,0.,0.)); #450207=DIRECTION('center_axis',(0.,0.,1.)); #450208=DIRECTION('ref_axis',(1.,0.,0.)); #450209=DIRECTION('center_axis',(0.,0.,1.)); #450210=DIRECTION('ref_axis',(1.,0.,0.)); #450211=DIRECTION('',(0.,0.,1.)); #450212=DIRECTION('center_axis',(0.,0.,-1.)); #450213=DIRECTION('ref_axis',(1.,0.,0.)); #450214=DIRECTION('center_axis',(0.,0.,1.)); #450215=DIRECTION('ref_axis',(1.,0.,0.)); #450216=DIRECTION('center_axis',(0.,0.,1.)); #450217=DIRECTION('ref_axis',(1.,0.,0.)); #450218=DIRECTION('',(0.,0.,1.)); #450219=DIRECTION('center_axis',(0.,0.,-1.)); #450220=DIRECTION('ref_axis',(1.,0.,0.)); #450221=DIRECTION('center_axis',(0.,0.,1.)); #450222=DIRECTION('ref_axis',(1.,0.,0.)); #450223=DIRECTION('center_axis',(0.,0.,1.)); #450224=DIRECTION('ref_axis',(1.,0.,0.)); #450225=DIRECTION('',(0.,0.,1.)); #450226=DIRECTION('center_axis',(0.,0.,-1.)); #450227=DIRECTION('ref_axis',(1.,0.,0.)); #450228=DIRECTION('center_axis',(0.,0.,1.)); #450229=DIRECTION('ref_axis',(1.,0.,0.)); #450230=DIRECTION('center_axis',(0.,0.,1.)); #450231=DIRECTION('ref_axis',(1.,0.,0.)); #450232=DIRECTION('',(0.,0.,1.)); #450233=DIRECTION('center_axis',(0.,0.,-1.)); #450234=DIRECTION('ref_axis',(1.,0.,0.)); #450235=DIRECTION('center_axis',(0.,0.,1.)); #450236=DIRECTION('ref_axis',(1.,0.,0.)); #450237=DIRECTION('center_axis',(0.,0.,1.)); #450238=DIRECTION('ref_axis',(1.,0.,0.)); #450239=DIRECTION('',(0.,0.,1.)); #450240=DIRECTION('center_axis',(0.,0.,-1.)); #450241=DIRECTION('ref_axis',(1.,0.,0.)); #450242=DIRECTION('center_axis',(0.,0.,1.)); #450243=DIRECTION('ref_axis',(1.,0.,0.)); #450244=DIRECTION('center_axis',(0.,0.,1.)); #450245=DIRECTION('ref_axis',(1.,0.,0.)); #450246=DIRECTION('',(0.,0.,1.)); #450247=DIRECTION('center_axis',(0.,0.,-1.)); #450248=DIRECTION('ref_axis',(1.,0.,0.)); #450249=DIRECTION('center_axis',(0.,0.,1.)); #450250=DIRECTION('ref_axis',(1.,0.,0.)); #450251=DIRECTION('center_axis',(0.,0.,1.)); #450252=DIRECTION('ref_axis',(1.,0.,0.)); #450253=DIRECTION('',(0.,0.,1.)); #450254=DIRECTION('center_axis',(0.,0.,-1.)); #450255=DIRECTION('ref_axis',(1.,0.,0.)); #450256=DIRECTION('center_axis',(0.,0.,1.)); #450257=DIRECTION('ref_axis',(1.,0.,0.)); #450258=DIRECTION('center_axis',(0.,0.,1.)); #450259=DIRECTION('ref_axis',(1.,0.,0.)); #450260=DIRECTION('',(0.,0.,1.)); #450261=DIRECTION('center_axis',(0.,0.,-1.)); #450262=DIRECTION('ref_axis',(1.,0.,0.)); #450263=DIRECTION('center_axis',(0.,0.,1.)); #450264=DIRECTION('ref_axis',(1.,0.,0.)); #450265=DIRECTION('center_axis',(0.,0.,1.)); #450266=DIRECTION('ref_axis',(1.,0.,0.)); #450267=DIRECTION('',(0.,0.,1.)); #450268=DIRECTION('center_axis',(0.,0.,-1.)); #450269=DIRECTION('ref_axis',(1.,0.,0.)); #450270=DIRECTION('center_axis',(0.,0.,1.)); #450271=DIRECTION('ref_axis',(1.,0.,0.)); #450272=DIRECTION('center_axis',(0.,0.,1.)); #450273=DIRECTION('ref_axis',(1.,0.,0.)); #450274=DIRECTION('',(0.,0.,1.)); #450275=DIRECTION('center_axis',(0.,0.,-1.)); #450276=DIRECTION('ref_axis',(1.,0.,0.)); #450277=DIRECTION('center_axis',(0.,0.,1.)); #450278=DIRECTION('ref_axis',(1.,0.,0.)); #450279=DIRECTION('center_axis',(0.,0.,1.)); #450280=DIRECTION('ref_axis',(1.,0.,0.)); #450281=DIRECTION('',(0.,0.,1.)); #450282=DIRECTION('center_axis',(0.,0.,-1.)); #450283=DIRECTION('ref_axis',(1.,0.,0.)); #450284=DIRECTION('center_axis',(0.,0.,1.)); #450285=DIRECTION('ref_axis',(1.,0.,0.)); #450286=DIRECTION('center_axis',(0.,0.,1.)); #450287=DIRECTION('ref_axis',(1.,0.,0.)); #450288=DIRECTION('',(0.,0.,1.)); #450289=DIRECTION('center_axis',(0.,0.,-1.)); #450290=DIRECTION('ref_axis',(1.,0.,0.)); #450291=DIRECTION('center_axis',(0.,0.,1.)); #450292=DIRECTION('ref_axis',(1.,0.,0.)); #450293=DIRECTION('center_axis',(0.,0.,1.)); #450294=DIRECTION('ref_axis',(1.,0.,0.)); #450295=DIRECTION('',(0.,0.,1.)); #450296=DIRECTION('center_axis',(0.,0.,-1.)); #450297=DIRECTION('ref_axis',(1.,0.,0.)); #450298=DIRECTION('center_axis',(0.,0.,1.)); #450299=DIRECTION('ref_axis',(1.,0.,0.)); #450300=DIRECTION('center_axis',(0.,0.,1.)); #450301=DIRECTION('ref_axis',(1.,0.,0.)); #450302=DIRECTION('',(0.,0.,1.)); #450303=DIRECTION('center_axis',(0.,0.,-1.)); #450304=DIRECTION('ref_axis',(1.,0.,0.)); #450305=DIRECTION('center_axis',(0.,0.,1.)); #450306=DIRECTION('ref_axis',(1.,0.,0.)); #450307=DIRECTION('center_axis',(0.,0.,1.)); #450308=DIRECTION('ref_axis',(1.,0.,0.)); #450309=DIRECTION('',(0.,0.,1.)); #450310=DIRECTION('center_axis',(0.,0.,-1.)); #450311=DIRECTION('ref_axis',(1.,0.,0.)); #450312=DIRECTION('center_axis',(0.,0.,1.)); #450313=DIRECTION('ref_axis',(1.,0.,0.)); #450314=DIRECTION('center_axis',(0.,0.,1.)); #450315=DIRECTION('ref_axis',(1.,0.,0.)); #450316=DIRECTION('',(0.,0.,1.)); #450317=DIRECTION('center_axis',(0.,0.,-1.)); #450318=DIRECTION('ref_axis',(1.,0.,0.)); #450319=DIRECTION('center_axis',(0.,0.,1.)); #450320=DIRECTION('ref_axis',(1.,0.,0.)); #450321=DIRECTION('center_axis',(0.,0.,1.)); #450322=DIRECTION('ref_axis',(1.,0.,0.)); #450323=DIRECTION('',(0.,0.,1.)); #450324=DIRECTION('center_axis',(0.,0.,-1.)); #450325=DIRECTION('ref_axis',(1.,0.,0.)); #450326=DIRECTION('center_axis',(0.,0.,1.)); #450327=DIRECTION('ref_axis',(1.,0.,0.)); #450328=DIRECTION('center_axis',(0.,0.,1.)); #450329=DIRECTION('ref_axis',(1.,0.,0.)); #450330=DIRECTION('',(0.,0.,1.)); #450331=DIRECTION('center_axis',(0.,0.,-1.)); #450332=DIRECTION('ref_axis',(1.,0.,0.)); #450333=DIRECTION('center_axis',(0.,0.,1.)); #450334=DIRECTION('ref_axis',(1.,0.,0.)); #450335=DIRECTION('center_axis',(0.,0.,1.)); #450336=DIRECTION('ref_axis',(1.,0.,0.)); #450337=DIRECTION('',(0.,0.,1.)); #450338=DIRECTION('center_axis',(0.,0.,-1.)); #450339=DIRECTION('ref_axis',(1.,0.,0.)); #450340=DIRECTION('center_axis',(0.,0.,1.)); #450341=DIRECTION('ref_axis',(1.,0.,0.)); #450342=DIRECTION('center_axis',(0.,0.,1.)); #450343=DIRECTION('ref_axis',(1.,0.,0.)); #450344=DIRECTION('',(0.,0.,1.)); #450345=DIRECTION('center_axis',(0.,0.,-1.)); #450346=DIRECTION('ref_axis',(1.,0.,0.)); #450347=DIRECTION('center_axis',(0.,0.,1.)); #450348=DIRECTION('ref_axis',(1.,0.,0.)); #450349=DIRECTION('center_axis',(0.,0.,1.)); #450350=DIRECTION('ref_axis',(1.,0.,0.)); #450351=DIRECTION('',(0.,0.,1.)); #450352=DIRECTION('center_axis',(0.,0.,-1.)); #450353=DIRECTION('ref_axis',(1.,0.,0.)); #450354=DIRECTION('center_axis',(0.,0.,1.)); #450355=DIRECTION('ref_axis',(1.,0.,0.)); #450356=DIRECTION('center_axis',(0.,0.,1.)); #450357=DIRECTION('ref_axis',(1.,0.,0.)); #450358=DIRECTION('',(0.,0.,1.)); #450359=DIRECTION('center_axis',(0.,0.,-1.)); #450360=DIRECTION('ref_axis',(1.,0.,0.)); #450361=DIRECTION('center_axis',(0.,0.,1.)); #450362=DIRECTION('ref_axis',(1.,0.,0.)); #450363=DIRECTION('center_axis',(0.,0.,1.)); #450364=DIRECTION('ref_axis',(1.,0.,0.)); #450365=DIRECTION('',(0.,0.,1.)); #450366=DIRECTION('center_axis',(0.,0.,-1.)); #450367=DIRECTION('ref_axis',(1.,0.,0.)); #450368=DIRECTION('center_axis',(0.,0.,1.)); #450369=DIRECTION('ref_axis',(1.,0.,0.)); #450370=DIRECTION('center_axis',(0.,0.,1.)); #450371=DIRECTION('ref_axis',(1.,0.,0.)); #450372=DIRECTION('',(0.,0.,1.)); #450373=DIRECTION('center_axis',(0.,0.,-1.)); #450374=DIRECTION('ref_axis',(1.,0.,0.)); #450375=DIRECTION('center_axis',(0.,0.,1.)); #450376=DIRECTION('ref_axis',(1.,0.,0.)); #450377=DIRECTION('center_axis',(0.,0.,1.)); #450378=DIRECTION('ref_axis',(1.,0.,0.)); #450379=DIRECTION('',(0.,0.,1.)); #450380=DIRECTION('center_axis',(0.,0.,-1.)); #450381=DIRECTION('ref_axis',(1.,0.,0.)); #450382=DIRECTION('center_axis',(0.,0.,1.)); #450383=DIRECTION('ref_axis',(1.,0.,0.)); #450384=DIRECTION('center_axis',(0.,0.,1.)); #450385=DIRECTION('ref_axis',(1.,0.,0.)); #450386=DIRECTION('',(0.,0.,1.)); #450387=DIRECTION('center_axis',(0.,0.,-1.)); #450388=DIRECTION('ref_axis',(1.,0.,0.)); #450389=DIRECTION('center_axis',(0.,0.,1.)); #450390=DIRECTION('ref_axis',(1.,0.,0.)); #450391=DIRECTION('center_axis',(0.,0.,1.)); #450392=DIRECTION('ref_axis',(1.,0.,0.)); #450393=DIRECTION('',(0.,0.,1.)); #450394=DIRECTION('center_axis',(0.,0.,-1.)); #450395=DIRECTION('ref_axis',(1.,0.,0.)); #450396=DIRECTION('center_axis',(0.,0.,1.)); #450397=DIRECTION('ref_axis',(1.,0.,0.)); #450398=DIRECTION('center_axis',(0.,0.,1.)); #450399=DIRECTION('ref_axis',(1.,0.,0.)); #450400=DIRECTION('',(0.,0.,1.)); #450401=DIRECTION('center_axis',(0.,0.,-1.)); #450402=DIRECTION('ref_axis',(1.,0.,0.)); #450403=DIRECTION('center_axis',(0.,0.,1.)); #450404=DIRECTION('ref_axis',(1.,0.,0.)); #450405=DIRECTION('center_axis',(0.,0.,1.)); #450406=DIRECTION('ref_axis',(1.,0.,0.)); #450407=DIRECTION('',(0.,0.,1.)); #450408=DIRECTION('center_axis',(0.,0.,-1.)); #450409=DIRECTION('ref_axis',(1.,0.,0.)); #450410=DIRECTION('center_axis',(0.,0.,1.)); #450411=DIRECTION('ref_axis',(1.,0.,0.)); #450412=DIRECTION('center_axis',(0.,0.,1.)); #450413=DIRECTION('ref_axis',(1.,0.,0.)); #450414=DIRECTION('',(0.,0.,1.)); #450415=DIRECTION('center_axis',(0.,0.,-1.)); #450416=DIRECTION('ref_axis',(1.,0.,0.)); #450417=DIRECTION('center_axis',(0.,0.,1.)); #450418=DIRECTION('ref_axis',(1.,0.,0.)); #450419=DIRECTION('center_axis',(0.,0.,1.)); #450420=DIRECTION('ref_axis',(1.,0.,0.)); #450421=DIRECTION('',(0.,0.,1.)); #450422=DIRECTION('center_axis',(0.,0.,-1.)); #450423=DIRECTION('ref_axis',(1.,0.,0.)); #450424=DIRECTION('center_axis',(0.,0.,1.)); #450425=DIRECTION('ref_axis',(1.,0.,0.)); #450426=DIRECTION('center_axis',(0.,0.,1.)); #450427=DIRECTION('ref_axis',(1.,0.,0.)); #450428=DIRECTION('',(0.,0.,1.)); #450429=DIRECTION('center_axis',(0.,0.,-1.)); #450430=DIRECTION('ref_axis',(1.,0.,0.)); #450431=DIRECTION('center_axis',(0.,0.,1.)); #450432=DIRECTION('ref_axis',(1.,0.,0.)); #450433=DIRECTION('center_axis',(0.,0.,1.)); #450434=DIRECTION('ref_axis',(1.,0.,0.)); #450435=DIRECTION('',(0.,0.,1.)); #450436=DIRECTION('center_axis',(0.,0.,-1.)); #450437=DIRECTION('ref_axis',(1.,0.,0.)); #450438=DIRECTION('center_axis',(0.,0.,1.)); #450439=DIRECTION('ref_axis',(1.,0.,0.)); #450440=DIRECTION('center_axis',(0.,0.,1.)); #450441=DIRECTION('ref_axis',(1.,0.,0.)); #450442=DIRECTION('',(0.,0.,1.)); #450443=DIRECTION('center_axis',(0.,0.,-1.)); #450444=DIRECTION('ref_axis',(1.,0.,0.)); #450445=DIRECTION('center_axis',(0.,0.,1.)); #450446=DIRECTION('ref_axis',(1.,0.,0.)); #450447=DIRECTION('center_axis',(0.,0.,1.)); #450448=DIRECTION('ref_axis',(1.,0.,0.)); #450449=DIRECTION('',(0.,0.,1.)); #450450=DIRECTION('center_axis',(0.,0.,-1.)); #450451=DIRECTION('ref_axis',(1.,0.,0.)); #450452=DIRECTION('center_axis',(0.,0.,1.)); #450453=DIRECTION('ref_axis',(1.,0.,0.)); #450454=DIRECTION('center_axis',(0.,0.,1.)); #450455=DIRECTION('ref_axis',(1.,0.,0.)); #450456=DIRECTION('',(0.,0.,1.)); #450457=DIRECTION('center_axis',(0.,0.,-1.)); #450458=DIRECTION('ref_axis',(1.,0.,0.)); #450459=DIRECTION('center_axis',(0.,0.,1.)); #450460=DIRECTION('ref_axis',(1.,0.,0.)); #450461=DIRECTION('center_axis',(0.,0.,1.)); #450462=DIRECTION('ref_axis',(1.,0.,0.)); #450463=DIRECTION('',(0.,0.,1.)); #450464=DIRECTION('center_axis',(0.,0.,-1.)); #450465=DIRECTION('ref_axis',(1.,0.,0.)); #450466=DIRECTION('center_axis',(0.,0.,1.)); #450467=DIRECTION('ref_axis',(1.,0.,0.)); #450468=DIRECTION('center_axis',(0.,0.,1.)); #450469=DIRECTION('ref_axis',(1.,0.,0.)); #450470=DIRECTION('',(0.,0.,1.)); #450471=DIRECTION('center_axis',(0.,0.,-1.)); #450472=DIRECTION('ref_axis',(1.,0.,0.)); #450473=DIRECTION('center_axis',(0.,0.,1.)); #450474=DIRECTION('ref_axis',(1.,0.,0.)); #450475=DIRECTION('center_axis',(0.,0.,1.)); #450476=DIRECTION('ref_axis',(1.,0.,0.)); #450477=DIRECTION('',(0.,0.,1.)); #450478=DIRECTION('center_axis',(0.,0.,-1.)); #450479=DIRECTION('ref_axis',(1.,0.,0.)); #450480=DIRECTION('center_axis',(0.,0.,1.)); #450481=DIRECTION('ref_axis',(1.,0.,0.)); #450482=DIRECTION('center_axis',(0.,0.,1.)); #450483=DIRECTION('ref_axis',(1.,0.,0.)); #450484=DIRECTION('',(0.,0.,1.)); #450485=DIRECTION('center_axis',(0.,0.,-1.)); #450486=DIRECTION('ref_axis',(1.,0.,0.)); #450487=DIRECTION('center_axis',(0.,0.,1.)); #450488=DIRECTION('ref_axis',(1.,0.,0.)); #450489=DIRECTION('center_axis',(0.,0.,1.)); #450490=DIRECTION('ref_axis',(1.,0.,0.)); #450491=DIRECTION('',(0.,0.,1.)); #450492=DIRECTION('center_axis',(0.,0.,-1.)); #450493=DIRECTION('ref_axis',(1.,0.,0.)); #450494=DIRECTION('center_axis',(0.,0.,1.)); #450495=DIRECTION('ref_axis',(1.,0.,0.)); #450496=DIRECTION('center_axis',(0.,0.,1.)); #450497=DIRECTION('ref_axis',(1.,0.,0.)); #450498=DIRECTION('',(0.,0.,1.)); #450499=DIRECTION('center_axis',(0.,0.,-1.)); #450500=DIRECTION('ref_axis',(1.,0.,0.)); #450501=DIRECTION('center_axis',(0.,0.,1.)); #450502=DIRECTION('ref_axis',(1.,0.,0.)); #450503=DIRECTION('center_axis',(0.,0.,1.)); #450504=DIRECTION('ref_axis',(1.,0.,0.)); #450505=DIRECTION('',(0.,0.,1.)); #450506=DIRECTION('center_axis',(0.,0.,-1.)); #450507=DIRECTION('ref_axis',(1.,0.,0.)); #450508=DIRECTION('center_axis',(0.,0.,1.)); #450509=DIRECTION('ref_axis',(1.,0.,0.)); #450510=DIRECTION('center_axis',(0.,0.,1.)); #450511=DIRECTION('ref_axis',(1.,0.,0.)); #450512=DIRECTION('',(0.,0.,1.)); #450513=DIRECTION('center_axis',(0.,0.,-1.)); #450514=DIRECTION('ref_axis',(1.,0.,0.)); #450515=DIRECTION('center_axis',(0.,0.,1.)); #450516=DIRECTION('ref_axis',(1.,0.,0.)); #450517=DIRECTION('center_axis',(0.,0.,1.)); #450518=DIRECTION('ref_axis',(1.,0.,0.)); #450519=DIRECTION('',(0.,0.,1.)); #450520=DIRECTION('center_axis',(0.,0.,-1.)); #450521=DIRECTION('ref_axis',(1.,0.,0.)); #450522=DIRECTION('center_axis',(0.,0.,1.)); #450523=DIRECTION('ref_axis',(1.,0.,0.)); #450524=DIRECTION('center_axis',(0.,0.,1.)); #450525=DIRECTION('ref_axis',(1.,0.,0.)); #450526=DIRECTION('',(0.,0.,1.)); #450527=DIRECTION('center_axis',(0.,0.,-1.)); #450528=DIRECTION('ref_axis',(1.,0.,0.)); #450529=DIRECTION('center_axis',(0.,0.,1.)); #450530=DIRECTION('ref_axis',(1.,0.,0.)); #450531=DIRECTION('center_axis',(0.,0.,1.)); #450532=DIRECTION('ref_axis',(1.,0.,0.)); #450533=DIRECTION('',(0.,0.,1.)); #450534=DIRECTION('center_axis',(0.,0.,-1.)); #450535=DIRECTION('ref_axis',(1.,0.,0.)); #450536=DIRECTION('center_axis',(0.,0.,1.)); #450537=DIRECTION('ref_axis',(1.,0.,0.)); #450538=DIRECTION('center_axis',(0.,0.,1.)); #450539=DIRECTION('ref_axis',(1.,0.,0.)); #450540=DIRECTION('',(0.,0.,1.)); #450541=DIRECTION('center_axis',(0.,0.,-1.)); #450542=DIRECTION('ref_axis',(1.,0.,0.)); #450543=DIRECTION('center_axis',(0.,0.,1.)); #450544=DIRECTION('ref_axis',(1.,0.,0.)); #450545=DIRECTION('center_axis',(0.,0.,1.)); #450546=DIRECTION('ref_axis',(1.,0.,0.)); #450547=DIRECTION('',(0.,0.,1.)); #450548=DIRECTION('center_axis',(0.,0.,-1.)); #450549=DIRECTION('ref_axis',(1.,0.,0.)); #450550=DIRECTION('center_axis',(0.,0.,1.)); #450551=DIRECTION('ref_axis',(1.,0.,0.)); #450552=DIRECTION('center_axis',(0.,0.,1.)); #450553=DIRECTION('ref_axis',(1.,0.,0.)); #450554=DIRECTION('',(0.,0.,1.)); #450555=DIRECTION('center_axis',(0.,0.,-1.)); #450556=DIRECTION('ref_axis',(1.,0.,0.)); #450557=DIRECTION('center_axis',(0.,0.,1.)); #450558=DIRECTION('ref_axis',(1.,0.,0.)); #450559=DIRECTION('center_axis',(0.,0.,1.)); #450560=DIRECTION('ref_axis',(1.,0.,0.)); #450561=DIRECTION('',(0.,0.,1.)); #450562=DIRECTION('center_axis',(0.,0.,-1.)); #450563=DIRECTION('ref_axis',(1.,0.,0.)); #450564=DIRECTION('center_axis',(0.,0.,1.)); #450565=DIRECTION('ref_axis',(1.,0.,0.)); #450566=DIRECTION('center_axis',(0.,0.,1.)); #450567=DIRECTION('ref_axis',(1.,0.,0.)); #450568=DIRECTION('',(0.,0.,1.)); #450569=DIRECTION('center_axis',(0.,0.,-1.)); #450570=DIRECTION('ref_axis',(1.,0.,0.)); #450571=DIRECTION('center_axis',(0.,0.,1.)); #450572=DIRECTION('ref_axis',(1.,0.,0.)); #450573=DIRECTION('center_axis',(0.,0.,1.)); #450574=DIRECTION('ref_axis',(1.,0.,0.)); #450575=DIRECTION('',(0.,0.,1.)); #450576=DIRECTION('center_axis',(0.,0.,-1.)); #450577=DIRECTION('ref_axis',(1.,0.,0.)); #450578=DIRECTION('center_axis',(0.,0.,1.)); #450579=DIRECTION('ref_axis',(1.,0.,0.)); #450580=DIRECTION('center_axis',(0.,0.,1.)); #450581=DIRECTION('ref_axis',(1.,0.,0.)); #450582=DIRECTION('',(0.,0.,1.)); #450583=DIRECTION('center_axis',(0.,0.,-1.)); #450584=DIRECTION('ref_axis',(1.,0.,0.)); #450585=DIRECTION('center_axis',(0.,0.,1.)); #450586=DIRECTION('ref_axis',(1.,0.,0.)); #450587=DIRECTION('center_axis',(0.,0.,1.)); #450588=DIRECTION('ref_axis',(1.,0.,0.)); #450589=DIRECTION('',(0.,0.,1.)); #450590=DIRECTION('center_axis',(0.,0.,-1.)); #450591=DIRECTION('ref_axis',(1.,0.,0.)); #450592=DIRECTION('center_axis',(0.,0.,1.)); #450593=DIRECTION('ref_axis',(1.,0.,0.)); #450594=DIRECTION('center_axis',(0.,0.,1.)); #450595=DIRECTION('ref_axis',(1.,0.,0.)); #450596=DIRECTION('',(0.,0.,1.)); #450597=DIRECTION('center_axis',(0.,0.,-1.)); #450598=DIRECTION('ref_axis',(1.,0.,0.)); #450599=DIRECTION('center_axis',(0.,0.,1.)); #450600=DIRECTION('ref_axis',(1.,0.,0.)); #450601=DIRECTION('center_axis',(0.,0.,1.)); #450602=DIRECTION('ref_axis',(1.,0.,0.)); #450603=DIRECTION('',(0.,0.,1.)); #450604=DIRECTION('center_axis',(0.,0.,-1.)); #450605=DIRECTION('ref_axis',(1.,0.,0.)); #450606=DIRECTION('center_axis',(0.,0.,1.)); #450607=DIRECTION('ref_axis',(1.,0.,0.)); #450608=DIRECTION('center_axis',(0.,0.,1.)); #450609=DIRECTION('ref_axis',(1.,0.,0.)); #450610=DIRECTION('',(0.,0.,1.)); #450611=DIRECTION('center_axis',(0.,0.,-1.)); #450612=DIRECTION('ref_axis',(1.,0.,0.)); #450613=DIRECTION('center_axis',(0.,0.,1.)); #450614=DIRECTION('ref_axis',(1.,0.,0.)); #450615=DIRECTION('center_axis',(0.,0.,1.)); #450616=DIRECTION('ref_axis',(1.,0.,0.)); #450617=DIRECTION('',(0.,0.,1.)); #450618=DIRECTION('center_axis',(0.,0.,-1.)); #450619=DIRECTION('ref_axis',(1.,0.,0.)); #450620=DIRECTION('center_axis',(0.,0.,1.)); #450621=DIRECTION('ref_axis',(1.,0.,0.)); #450622=DIRECTION('center_axis',(0.,0.,1.)); #450623=DIRECTION('ref_axis',(1.,0.,0.)); #450624=DIRECTION('',(0.,0.,1.)); #450625=DIRECTION('center_axis',(0.,0.,-1.)); #450626=DIRECTION('ref_axis',(1.,0.,0.)); #450627=DIRECTION('center_axis',(0.,0.,1.)); #450628=DIRECTION('ref_axis',(1.,0.,0.)); #450629=DIRECTION('center_axis',(0.,0.,1.)); #450630=DIRECTION('ref_axis',(1.,0.,0.)); #450631=DIRECTION('',(0.,0.,1.)); #450632=DIRECTION('center_axis',(0.,0.,-1.)); #450633=DIRECTION('ref_axis',(1.,0.,0.)); #450634=DIRECTION('center_axis',(0.,0.,1.)); #450635=DIRECTION('ref_axis',(1.,0.,0.)); #450636=DIRECTION('center_axis',(0.,0.,1.)); #450637=DIRECTION('ref_axis',(1.,0.,0.)); #450638=DIRECTION('',(0.,0.,1.)); #450639=DIRECTION('center_axis',(0.,0.,-1.)); #450640=DIRECTION('ref_axis',(1.,0.,0.)); #450641=DIRECTION('center_axis',(0.,0.,1.)); #450642=DIRECTION('ref_axis',(1.,0.,0.)); #450643=DIRECTION('center_axis',(0.,0.,1.)); #450644=DIRECTION('ref_axis',(1.,0.,0.)); #450645=DIRECTION('',(0.,0.,1.)); #450646=DIRECTION('center_axis',(0.,0.,-1.)); #450647=DIRECTION('ref_axis',(1.,0.,0.)); #450648=DIRECTION('center_axis',(0.,0.,1.)); #450649=DIRECTION('ref_axis',(1.,0.,0.)); #450650=DIRECTION('center_axis',(0.,0.,1.)); #450651=DIRECTION('ref_axis',(1.,0.,0.)); #450652=DIRECTION('',(0.,0.,1.)); #450653=DIRECTION('center_axis',(0.,0.,-1.)); #450654=DIRECTION('ref_axis',(1.,0.,0.)); #450655=DIRECTION('center_axis',(0.,0.,1.)); #450656=DIRECTION('ref_axis',(1.,0.,0.)); #450657=DIRECTION('center_axis',(0.,0.,1.)); #450658=DIRECTION('ref_axis',(1.,0.,0.)); #450659=DIRECTION('',(0.,0.,1.)); #450660=DIRECTION('center_axis',(0.,0.,-1.)); #450661=DIRECTION('ref_axis',(1.,0.,0.)); #450662=DIRECTION('center_axis',(0.,0.,1.)); #450663=DIRECTION('ref_axis',(1.,0.,0.)); #450664=DIRECTION('center_axis',(0.,0.,1.)); #450665=DIRECTION('ref_axis',(1.,0.,0.)); #450666=DIRECTION('',(0.,0.,1.)); #450667=DIRECTION('center_axis',(0.,0.,-1.)); #450668=DIRECTION('ref_axis',(1.,0.,0.)); #450669=DIRECTION('center_axis',(0.,0.,1.)); #450670=DIRECTION('ref_axis',(1.,0.,0.)); #450671=DIRECTION('center_axis',(0.,0.,1.)); #450672=DIRECTION('ref_axis',(1.,0.,0.)); #450673=DIRECTION('',(0.,0.,1.)); #450674=DIRECTION('center_axis',(0.,0.,-1.)); #450675=DIRECTION('ref_axis',(1.,0.,0.)); #450676=DIRECTION('center_axis',(0.,0.,1.)); #450677=DIRECTION('ref_axis',(1.,0.,0.)); #450678=DIRECTION('center_axis',(0.,0.,1.)); #450679=DIRECTION('ref_axis',(1.,0.,0.)); #450680=DIRECTION('',(0.,0.,1.)); #450681=DIRECTION('center_axis',(0.,0.,-1.)); #450682=DIRECTION('ref_axis',(1.,0.,0.)); #450683=DIRECTION('center_axis',(0.,0.,1.)); #450684=DIRECTION('ref_axis',(1.,0.,0.)); #450685=DIRECTION('center_axis',(0.,0.,1.)); #450686=DIRECTION('ref_axis',(1.,0.,0.)); #450687=DIRECTION('',(0.,0.,1.)); #450688=DIRECTION('center_axis',(0.,0.,-1.)); #450689=DIRECTION('ref_axis',(1.,0.,0.)); #450690=DIRECTION('center_axis',(0.,0.,1.)); #450691=DIRECTION('ref_axis',(1.,0.,0.)); #450692=DIRECTION('center_axis',(0.,0.,1.)); #450693=DIRECTION('ref_axis',(1.,0.,0.)); #450694=DIRECTION('',(0.,0.,1.)); #450695=DIRECTION('center_axis',(0.,0.,-1.)); #450696=DIRECTION('ref_axis',(1.,0.,0.)); #450697=DIRECTION('center_axis',(0.,0.,1.)); #450698=DIRECTION('ref_axis',(1.,0.,0.)); #450699=DIRECTION('center_axis',(0.,0.,1.)); #450700=DIRECTION('ref_axis',(1.,0.,0.)); #450701=DIRECTION('',(0.,0.,1.)); #450702=DIRECTION('center_axis',(0.,0.,-1.)); #450703=DIRECTION('ref_axis',(1.,0.,0.)); #450704=DIRECTION('center_axis',(0.,0.,1.)); #450705=DIRECTION('ref_axis',(1.,0.,0.)); #450706=DIRECTION('center_axis',(0.,0.,1.)); #450707=DIRECTION('ref_axis',(1.,0.,0.)); #450708=DIRECTION('',(0.,0.,-1.)); #450709=DIRECTION('center_axis',(0.,0.,1.)); #450710=DIRECTION('ref_axis',(1.,0.,0.)); #450711=DIRECTION('center_axis',(0.,0.,1.)); #450712=DIRECTION('ref_axis',(1.,0.,0.)); #450713=DIRECTION('center_axis',(0.,0.,1.)); #450714=DIRECTION('ref_axis',(1.,0.,0.)); #450715=DIRECTION('axis',(0.,0.,1.)); #450716=DIRECTION('refdir',(1.,0.,0.)); #450717=DIRECTION('center_axis',(0.,0.,-1.)); #450718=DIRECTION('ref_axis',(1.,0.,0.)); #450719=DIRECTION('center_axis',(0.,0.,-1.)); #450720=DIRECTION('ref_axis',(1.,0.,0.)); #450721=DIRECTION('',(0.,0.,-1.)); #450722=DIRECTION('center_axis',(0.,0.,1.)); #450723=DIRECTION('ref_axis',(1.,0.,0.)); #450724=DIRECTION('center_axis',(0.,0.,-1.)); #450725=DIRECTION('ref_axis',(1.,0.,0.)); #450726=DIRECTION('center_axis',(0.,0.,-1.)); #450727=DIRECTION('ref_axis',(1.,0.,0.)); #450728=DIRECTION('',(0.,0.,-1.)); #450729=DIRECTION('center_axis',(0.,0.,1.)); #450730=DIRECTION('ref_axis',(1.,0.,0.)); #450731=DIRECTION('center_axis',(0.,0.,1.)); #450732=DIRECTION('ref_axis',(1.,0.,0.)); #450733=DIRECTION('center_axis',(0.,0.,1.)); #450734=DIRECTION('ref_axis',(1.,0.,0.)); #450735=DIRECTION('',(0.,0.,1.)); #450736=DIRECTION('center_axis',(0.,0.,-1.)); #450737=DIRECTION('ref_axis',(1.,0.,0.)); #450738=DIRECTION('center_axis',(0.,0.,1.)); #450739=DIRECTION('ref_axis',(1.,0.,0.)); #450740=DIRECTION('center_axis',(0.,0.,1.)); #450741=DIRECTION('ref_axis',(1.,0.,0.)); #450742=DIRECTION('',(0.,0.,1.)); #450743=DIRECTION('center_axis',(0.,0.,-1.)); #450744=DIRECTION('ref_axis',(1.,0.,0.)); #450745=DIRECTION('center_axis',(0.,0.,1.)); #450746=DIRECTION('ref_axis',(1.,0.,0.)); #450747=DIRECTION('center_axis',(0.,0.,1.)); #450748=DIRECTION('ref_axis',(1.,0.,0.)); #450749=DIRECTION('',(0.,0.,1.)); #450750=DIRECTION('center_axis',(0.,0.,-1.)); #450751=DIRECTION('ref_axis',(1.,0.,0.)); #450752=DIRECTION('center_axis',(0.,0.,1.)); #450753=DIRECTION('ref_axis',(1.,0.,0.)); #450754=DIRECTION('center_axis',(0.,0.,1.)); #450755=DIRECTION('ref_axis',(1.,0.,0.)); #450756=DIRECTION('',(0.,0.,1.)); #450757=DIRECTION('center_axis',(0.,0.,-1.)); #450758=DIRECTION('ref_axis',(1.,0.,0.)); #450759=DIRECTION('center_axis',(0.,0.,1.)); #450760=DIRECTION('ref_axis',(1.,0.,0.)); #450761=DIRECTION('center_axis',(0.,0.,1.)); #450762=DIRECTION('ref_axis',(1.,0.,0.)); #450763=DIRECTION('',(0.,0.,1.)); #450764=DIRECTION('center_axis',(0.,0.,-1.)); #450765=DIRECTION('ref_axis',(1.,0.,0.)); #450766=DIRECTION('center_axis',(0.,0.,1.)); #450767=DIRECTION('ref_axis',(1.,0.,0.)); #450768=DIRECTION('center_axis',(0.,0.,1.)); #450769=DIRECTION('ref_axis',(1.,0.,0.)); #450770=DIRECTION('',(0.,0.,1.)); #450771=DIRECTION('center_axis',(0.,0.,-1.)); #450772=DIRECTION('ref_axis',(1.,0.,0.)); #450773=DIRECTION('center_axis',(0.,0.,1.)); #450774=DIRECTION('ref_axis',(1.,0.,0.)); #450775=DIRECTION('center_axis',(0.,0.,1.)); #450776=DIRECTION('ref_axis',(1.,0.,0.)); #450777=DIRECTION('',(0.,0.,1.)); #450778=DIRECTION('center_axis',(0.,0.,-1.)); #450779=DIRECTION('ref_axis',(1.,0.,0.)); #450780=DIRECTION('center_axis',(0.,0.,1.)); #450781=DIRECTION('ref_axis',(1.,0.,0.)); #450782=DIRECTION('center_axis',(0.,0.,1.)); #450783=DIRECTION('ref_axis',(1.,0.,0.)); #450784=DIRECTION('',(0.,0.,1.)); #450785=DIRECTION('center_axis',(0.,0.,-1.)); #450786=DIRECTION('ref_axis',(1.,0.,0.)); #450787=DIRECTION('center_axis',(0.,0.,1.)); #450788=DIRECTION('ref_axis',(1.,0.,0.)); #450789=DIRECTION('center_axis',(0.,0.,1.)); #450790=DIRECTION('ref_axis',(1.,0.,0.)); #450791=DIRECTION('',(0.,0.,1.)); #450792=DIRECTION('center_axis',(0.,0.,-1.)); #450793=DIRECTION('ref_axis',(1.,0.,0.)); #450794=DIRECTION('center_axis',(0.,0.,1.)); #450795=DIRECTION('ref_axis',(1.,0.,0.)); #450796=DIRECTION('center_axis',(0.,0.,1.)); #450797=DIRECTION('ref_axis',(1.,0.,0.)); #450798=DIRECTION('',(0.,0.,1.)); #450799=DIRECTION('center_axis',(0.,0.,-1.)); #450800=DIRECTION('ref_axis',(1.,0.,0.)); #450801=DIRECTION('center_axis',(0.,0.,1.)); #450802=DIRECTION('ref_axis',(1.,0.,0.)); #450803=DIRECTION('center_axis',(0.,0.,1.)); #450804=DIRECTION('ref_axis',(1.,0.,0.)); #450805=DIRECTION('',(0.,0.,1.)); #450806=DIRECTION('center_axis',(0.,0.,-1.)); #450807=DIRECTION('ref_axis',(1.,0.,0.)); #450808=DIRECTION('center_axis',(0.,0.,1.)); #450809=DIRECTION('ref_axis',(1.,0.,0.)); #450810=DIRECTION('center_axis',(0.,0.,1.)); #450811=DIRECTION('ref_axis',(1.,0.,0.)); #450812=DIRECTION('',(0.,0.,1.)); #450813=DIRECTION('center_axis',(0.,0.,-1.)); #450814=DIRECTION('ref_axis',(1.,0.,0.)); #450815=DIRECTION('center_axis',(0.,0.,1.)); #450816=DIRECTION('ref_axis',(1.,0.,0.)); #450817=DIRECTION('center_axis',(0.,0.,1.)); #450818=DIRECTION('ref_axis',(1.,0.,0.)); #450819=DIRECTION('',(0.,0.,1.)); #450820=DIRECTION('center_axis',(0.,0.,-1.)); #450821=DIRECTION('ref_axis',(1.,0.,0.)); #450822=DIRECTION('center_axis',(0.,0.,1.)); #450823=DIRECTION('ref_axis',(1.,0.,0.)); #450824=DIRECTION('center_axis',(0.,0.,1.)); #450825=DIRECTION('ref_axis',(1.,0.,0.)); #450826=DIRECTION('',(0.,0.,1.)); #450827=DIRECTION('center_axis',(0.,0.,-1.)); #450828=DIRECTION('ref_axis',(1.,0.,0.)); #450829=DIRECTION('center_axis',(0.,0.,1.)); #450830=DIRECTION('ref_axis',(1.,0.,0.)); #450831=DIRECTION('center_axis',(0.,0.,1.)); #450832=DIRECTION('ref_axis',(1.,0.,0.)); #450833=DIRECTION('',(0.,0.,1.)); #450834=DIRECTION('center_axis',(0.,0.,-1.)); #450835=DIRECTION('ref_axis',(1.,0.,0.)); #450836=DIRECTION('center_axis',(0.,0.,1.)); #450837=DIRECTION('ref_axis',(1.,0.,0.)); #450838=DIRECTION('center_axis',(0.,0.,1.)); #450839=DIRECTION('ref_axis',(1.,0.,0.)); #450840=DIRECTION('',(0.,0.,1.)); #450841=DIRECTION('center_axis',(0.,0.,-1.)); #450842=DIRECTION('ref_axis',(1.,0.,0.)); #450843=DIRECTION('center_axis',(0.,0.,1.)); #450844=DIRECTION('ref_axis',(1.,0.,0.)); #450845=DIRECTION('center_axis',(0.,0.,1.)); #450846=DIRECTION('ref_axis',(1.,0.,0.)); #450847=DIRECTION('',(0.,0.,1.)); #450848=DIRECTION('center_axis',(0.,0.,-1.)); #450849=DIRECTION('ref_axis',(1.,0.,0.)); #450850=DIRECTION('center_axis',(0.,0.,1.)); #450851=DIRECTION('ref_axis',(1.,0.,0.)); #450852=DIRECTION('center_axis',(0.,0.,1.)); #450853=DIRECTION('ref_axis',(1.,0.,0.)); #450854=DIRECTION('',(0.,0.,1.)); #450855=DIRECTION('center_axis',(0.,0.,-1.)); #450856=DIRECTION('ref_axis',(1.,0.,0.)); #450857=DIRECTION('center_axis',(0.,0.,1.)); #450858=DIRECTION('ref_axis',(1.,0.,0.)); #450859=DIRECTION('center_axis',(0.,0.,1.)); #450860=DIRECTION('ref_axis',(1.,0.,0.)); #450861=DIRECTION('',(0.,0.,1.)); #450862=DIRECTION('center_axis',(0.,0.,-1.)); #450863=DIRECTION('ref_axis',(1.,0.,0.)); #450864=DIRECTION('center_axis',(0.,0.,1.)); #450865=DIRECTION('ref_axis',(1.,0.,0.)); #450866=DIRECTION('center_axis',(0.,0.,1.)); #450867=DIRECTION('ref_axis',(1.,0.,0.)); #450868=DIRECTION('',(0.,0.,1.)); #450869=DIRECTION('center_axis',(0.,0.,-1.)); #450870=DIRECTION('ref_axis',(1.,0.,0.)); #450871=DIRECTION('center_axis',(0.,0.,1.)); #450872=DIRECTION('ref_axis',(1.,0.,0.)); #450873=DIRECTION('center_axis',(0.,0.,1.)); #450874=DIRECTION('ref_axis',(1.,0.,0.)); #450875=DIRECTION('',(0.,0.,1.)); #450876=DIRECTION('center_axis',(0.,0.,-1.)); #450877=DIRECTION('ref_axis',(1.,0.,0.)); #450878=DIRECTION('center_axis',(0.,0.,1.)); #450879=DIRECTION('ref_axis',(1.,0.,0.)); #450880=DIRECTION('center_axis',(0.,0.,1.)); #450881=DIRECTION('ref_axis',(1.,0.,0.)); #450882=DIRECTION('',(0.,0.,1.)); #450883=DIRECTION('center_axis',(0.,0.,-1.)); #450884=DIRECTION('ref_axis',(1.,0.,0.)); #450885=DIRECTION('center_axis',(0.,0.,1.)); #450886=DIRECTION('ref_axis',(1.,0.,0.)); #450887=DIRECTION('center_axis',(0.,0.,1.)); #450888=DIRECTION('ref_axis',(1.,0.,0.)); #450889=DIRECTION('',(0.,0.,1.)); #450890=DIRECTION('center_axis',(0.,0.,-1.)); #450891=DIRECTION('ref_axis',(1.,0.,0.)); #450892=DIRECTION('center_axis',(0.,0.,1.)); #450893=DIRECTION('ref_axis',(1.,0.,0.)); #450894=DIRECTION('center_axis',(0.,0.,1.)); #450895=DIRECTION('ref_axis',(1.,0.,0.)); #450896=DIRECTION('',(0.,0.,1.)); #450897=DIRECTION('center_axis',(0.,0.,-1.)); #450898=DIRECTION('ref_axis',(1.,0.,0.)); #450899=DIRECTION('center_axis',(0.,0.,1.)); #450900=DIRECTION('ref_axis',(1.,0.,0.)); #450901=DIRECTION('center_axis',(0.,0.,1.)); #450902=DIRECTION('ref_axis',(1.,0.,0.)); #450903=DIRECTION('',(0.,0.,1.)); #450904=DIRECTION('center_axis',(0.,0.,-1.)); #450905=DIRECTION('ref_axis',(1.,0.,0.)); #450906=DIRECTION('center_axis',(0.,0.,1.)); #450907=DIRECTION('ref_axis',(1.,0.,0.)); #450908=DIRECTION('center_axis',(0.,0.,1.)); #450909=DIRECTION('ref_axis',(1.,0.,0.)); #450910=DIRECTION('',(0.,0.,1.)); #450911=DIRECTION('center_axis',(0.,0.,-1.)); #450912=DIRECTION('ref_axis',(1.,0.,0.)); #450913=DIRECTION('center_axis',(0.,0.,1.)); #450914=DIRECTION('ref_axis',(1.,0.,0.)); #450915=DIRECTION('center_axis',(0.,0.,1.)); #450916=DIRECTION('ref_axis',(1.,0.,0.)); #450917=DIRECTION('',(0.,0.,1.)); #450918=DIRECTION('center_axis',(0.,0.,-1.)); #450919=DIRECTION('ref_axis',(1.,0.,0.)); #450920=DIRECTION('center_axis',(0.,0.,1.)); #450921=DIRECTION('ref_axis',(1.,0.,0.)); #450922=DIRECTION('center_axis',(0.,0.,1.)); #450923=DIRECTION('ref_axis',(1.,0.,0.)); #450924=DIRECTION('',(0.,0.,1.)); #450925=DIRECTION('center_axis',(0.,0.,-1.)); #450926=DIRECTION('ref_axis',(1.,0.,0.)); #450927=DIRECTION('center_axis',(0.,0.,1.)); #450928=DIRECTION('ref_axis',(1.,0.,0.)); #450929=DIRECTION('center_axis',(0.,0.,1.)); #450930=DIRECTION('ref_axis',(1.,0.,0.)); #450931=DIRECTION('',(0.,0.,1.)); #450932=DIRECTION('center_axis',(0.,0.,-1.)); #450933=DIRECTION('ref_axis',(1.,0.,0.)); #450934=DIRECTION('center_axis',(0.,0.,1.)); #450935=DIRECTION('ref_axis',(1.,0.,0.)); #450936=DIRECTION('center_axis',(0.,0.,1.)); #450937=DIRECTION('ref_axis',(1.,0.,0.)); #450938=DIRECTION('',(0.,0.,1.)); #450939=DIRECTION('center_axis',(0.,0.,-1.)); #450940=DIRECTION('ref_axis',(1.,0.,0.)); #450941=DIRECTION('center_axis',(0.,0.,1.)); #450942=DIRECTION('ref_axis',(1.,0.,0.)); #450943=DIRECTION('center_axis',(0.,0.,1.)); #450944=DIRECTION('ref_axis',(1.,0.,0.)); #450945=DIRECTION('',(0.,0.,1.)); #450946=DIRECTION('center_axis',(0.,0.,-1.)); #450947=DIRECTION('ref_axis',(1.,0.,0.)); #450948=DIRECTION('center_axis',(0.,0.,1.)); #450949=DIRECTION('ref_axis',(1.,0.,0.)); #450950=DIRECTION('center_axis',(0.,0.,1.)); #450951=DIRECTION('ref_axis',(1.,0.,0.)); #450952=DIRECTION('',(0.,0.,1.)); #450953=DIRECTION('center_axis',(0.,0.,-1.)); #450954=DIRECTION('ref_axis',(1.,0.,0.)); #450955=DIRECTION('center_axis',(0.,0.,1.)); #450956=DIRECTION('ref_axis',(1.,0.,0.)); #450957=DIRECTION('center_axis',(0.,0.,1.)); #450958=DIRECTION('ref_axis',(1.,0.,0.)); #450959=DIRECTION('',(0.,0.,1.)); #450960=DIRECTION('center_axis',(0.,0.,-1.)); #450961=DIRECTION('ref_axis',(1.,0.,0.)); #450962=DIRECTION('center_axis',(0.,0.,1.)); #450963=DIRECTION('ref_axis',(1.,0.,0.)); #450964=DIRECTION('center_axis',(0.,0.,1.)); #450965=DIRECTION('ref_axis',(1.,0.,0.)); #450966=DIRECTION('',(0.,0.,1.)); #450967=DIRECTION('center_axis',(0.,0.,-1.)); #450968=DIRECTION('ref_axis',(1.,0.,0.)); #450969=DIRECTION('center_axis',(0.,0.,1.)); #450970=DIRECTION('ref_axis',(1.,0.,0.)); #450971=DIRECTION('center_axis',(0.,0.,1.)); #450972=DIRECTION('ref_axis',(1.,0.,0.)); #450973=DIRECTION('',(0.,0.,1.)); #450974=DIRECTION('center_axis',(0.,0.,-1.)); #450975=DIRECTION('ref_axis',(1.,0.,0.)); #450976=DIRECTION('center_axis',(0.,0.,1.)); #450977=DIRECTION('ref_axis',(1.,0.,0.)); #450978=DIRECTION('center_axis',(0.,0.,1.)); #450979=DIRECTION('ref_axis',(1.,0.,0.)); #450980=DIRECTION('',(0.,0.,1.)); #450981=DIRECTION('center_axis',(0.,0.,-1.)); #450982=DIRECTION('ref_axis',(1.,0.,0.)); #450983=DIRECTION('center_axis',(0.,0.,1.)); #450984=DIRECTION('ref_axis',(1.,0.,0.)); #450985=DIRECTION('center_axis',(0.,0.,1.)); #450986=DIRECTION('ref_axis',(1.,0.,0.)); #450987=DIRECTION('',(0.,0.,1.)); #450988=DIRECTION('center_axis',(0.,0.,-1.)); #450989=DIRECTION('ref_axis',(1.,0.,0.)); #450990=DIRECTION('center_axis',(0.,0.,1.)); #450991=DIRECTION('ref_axis',(1.,0.,0.)); #450992=DIRECTION('center_axis',(0.,0.,1.)); #450993=DIRECTION('ref_axis',(1.,0.,0.)); #450994=DIRECTION('',(0.,0.,1.)); #450995=DIRECTION('center_axis',(0.,0.,-1.)); #450996=DIRECTION('ref_axis',(1.,0.,0.)); #450997=DIRECTION('center_axis',(0.,0.,1.)); #450998=DIRECTION('ref_axis',(1.,0.,0.)); #450999=DIRECTION('center_axis',(0.,0.,1.)); #451000=DIRECTION('ref_axis',(1.,0.,0.)); #451001=DIRECTION('',(0.,0.,1.)); #451002=DIRECTION('center_axis',(0.,0.,-1.)); #451003=DIRECTION('ref_axis',(1.,0.,0.)); #451004=DIRECTION('center_axis',(0.,0.,1.)); #451005=DIRECTION('ref_axis',(1.,0.,0.)); #451006=DIRECTION('center_axis',(0.,0.,1.)); #451007=DIRECTION('ref_axis',(1.,0.,0.)); #451008=DIRECTION('',(0.,0.,1.)); #451009=DIRECTION('center_axis',(0.,0.,-1.)); #451010=DIRECTION('ref_axis',(1.,0.,0.)); #451011=DIRECTION('center_axis',(0.,0.,1.)); #451012=DIRECTION('ref_axis',(1.,0.,0.)); #451013=DIRECTION('center_axis',(0.,0.,1.)); #451014=DIRECTION('ref_axis',(1.,0.,0.)); #451015=DIRECTION('',(0.,0.,1.)); #451016=DIRECTION('center_axis',(0.,0.,-1.)); #451017=DIRECTION('ref_axis',(1.,0.,0.)); #451018=DIRECTION('center_axis',(0.,0.,1.)); #451019=DIRECTION('ref_axis',(1.,0.,0.)); #451020=DIRECTION('center_axis',(0.,0.,1.)); #451021=DIRECTION('ref_axis',(1.,0.,0.)); #451022=DIRECTION('',(0.,0.,1.)); #451023=DIRECTION('center_axis',(0.,0.,-1.)); #451024=DIRECTION('ref_axis',(1.,0.,0.)); #451025=DIRECTION('center_axis',(0.,0.,1.)); #451026=DIRECTION('ref_axis',(1.,0.,0.)); #451027=DIRECTION('center_axis',(0.,0.,1.)); #451028=DIRECTION('ref_axis',(1.,0.,0.)); #451029=DIRECTION('',(0.,0.,1.)); #451030=DIRECTION('center_axis',(0.,0.,-1.)); #451031=DIRECTION('ref_axis',(1.,0.,0.)); #451032=DIRECTION('center_axis',(0.,0.,1.)); #451033=DIRECTION('ref_axis',(1.,0.,0.)); #451034=DIRECTION('center_axis',(0.,0.,1.)); #451035=DIRECTION('ref_axis',(1.,0.,0.)); #451036=DIRECTION('',(0.,0.,1.)); #451037=DIRECTION('center_axis',(0.,0.,-1.)); #451038=DIRECTION('ref_axis',(1.,0.,0.)); #451039=DIRECTION('center_axis',(0.,0.,1.)); #451040=DIRECTION('ref_axis',(1.,0.,0.)); #451041=DIRECTION('center_axis',(0.,0.,1.)); #451042=DIRECTION('ref_axis',(1.,0.,0.)); #451043=DIRECTION('',(0.,0.,1.)); #451044=DIRECTION('center_axis',(0.,0.,-1.)); #451045=DIRECTION('ref_axis',(1.,0.,0.)); #451046=DIRECTION('center_axis',(0.,0.,1.)); #451047=DIRECTION('ref_axis',(1.,0.,0.)); #451048=DIRECTION('center_axis',(0.,0.,1.)); #451049=DIRECTION('ref_axis',(1.,0.,0.)); #451050=DIRECTION('',(0.,0.,1.)); #451051=DIRECTION('center_axis',(0.,0.,-1.)); #451052=DIRECTION('ref_axis',(1.,0.,0.)); #451053=DIRECTION('center_axis',(0.,0.,1.)); #451054=DIRECTION('ref_axis',(1.,0.,0.)); #451055=DIRECTION('center_axis',(0.,0.,1.)); #451056=DIRECTION('ref_axis',(1.,0.,0.)); #451057=DIRECTION('',(0.,0.,1.)); #451058=DIRECTION('center_axis',(0.,0.,-1.)); #451059=DIRECTION('ref_axis',(1.,0.,0.)); #451060=DIRECTION('center_axis',(0.,0.,1.)); #451061=DIRECTION('ref_axis',(1.,0.,0.)); #451062=DIRECTION('center_axis',(0.,0.,1.)); #451063=DIRECTION('ref_axis',(1.,0.,0.)); #451064=DIRECTION('',(0.,0.,1.)); #451065=DIRECTION('center_axis',(0.,0.,-1.)); #451066=DIRECTION('ref_axis',(1.,0.,0.)); #451067=DIRECTION('center_axis',(0.,0.,1.)); #451068=DIRECTION('ref_axis',(1.,0.,0.)); #451069=DIRECTION('center_axis',(0.,0.,1.)); #451070=DIRECTION('ref_axis',(1.,0.,0.)); #451071=DIRECTION('',(0.,0.,1.)); #451072=DIRECTION('center_axis',(0.,0.,-1.)); #451073=DIRECTION('ref_axis',(1.,0.,0.)); #451074=DIRECTION('center_axis',(0.,0.,1.)); #451075=DIRECTION('ref_axis',(1.,0.,0.)); #451076=DIRECTION('center_axis',(0.,0.,1.)); #451077=DIRECTION('ref_axis',(1.,0.,0.)); #451078=DIRECTION('',(0.,0.,1.)); #451079=DIRECTION('center_axis',(0.,0.,-1.)); #451080=DIRECTION('ref_axis',(1.,0.,0.)); #451081=DIRECTION('center_axis',(0.,0.,1.)); #451082=DIRECTION('ref_axis',(1.,0.,0.)); #451083=DIRECTION('center_axis',(0.,0.,1.)); #451084=DIRECTION('ref_axis',(1.,0.,0.)); #451085=DIRECTION('',(0.,0.,1.)); #451086=DIRECTION('center_axis',(0.,0.,-1.)); #451087=DIRECTION('ref_axis',(1.,0.,0.)); #451088=DIRECTION('center_axis',(0.,0.,1.)); #451089=DIRECTION('ref_axis',(1.,0.,0.)); #451090=DIRECTION('center_axis',(0.,0.,1.)); #451091=DIRECTION('ref_axis',(1.,0.,0.)); #451092=DIRECTION('',(0.,0.,1.)); #451093=DIRECTION('center_axis',(0.,0.,-1.)); #451094=DIRECTION('ref_axis',(1.,0.,0.)); #451095=DIRECTION('center_axis',(0.,0.,1.)); #451096=DIRECTION('ref_axis',(1.,0.,0.)); #451097=DIRECTION('center_axis',(0.,0.,1.)); #451098=DIRECTION('ref_axis',(1.,0.,0.)); #451099=DIRECTION('',(0.,0.,1.)); #451100=DIRECTION('center_axis',(0.,0.,-1.)); #451101=DIRECTION('ref_axis',(1.,0.,0.)); #451102=DIRECTION('center_axis',(0.,0.,1.)); #451103=DIRECTION('ref_axis',(1.,0.,0.)); #451104=DIRECTION('center_axis',(0.,0.,1.)); #451105=DIRECTION('ref_axis',(1.,0.,0.)); #451106=DIRECTION('',(0.,0.,1.)); #451107=DIRECTION('center_axis',(0.,0.,-1.)); #451108=DIRECTION('ref_axis',(1.,0.,0.)); #451109=DIRECTION('center_axis',(0.,0.,1.)); #451110=DIRECTION('ref_axis',(1.,0.,0.)); #451111=DIRECTION('center_axis',(0.,0.,1.)); #451112=DIRECTION('ref_axis',(1.,0.,0.)); #451113=DIRECTION('',(0.,0.,1.)); #451114=DIRECTION('center_axis',(0.,0.,-1.)); #451115=DIRECTION('ref_axis',(1.,0.,0.)); #451116=DIRECTION('center_axis',(0.,0.,1.)); #451117=DIRECTION('ref_axis',(1.,0.,0.)); #451118=DIRECTION('center_axis',(0.,0.,1.)); #451119=DIRECTION('ref_axis',(1.,0.,0.)); #451120=DIRECTION('',(0.,0.,1.)); #451121=DIRECTION('center_axis',(0.,0.,-1.)); #451122=DIRECTION('ref_axis',(1.,0.,0.)); #451123=DIRECTION('center_axis',(0.,0.,1.)); #451124=DIRECTION('ref_axis',(1.,0.,0.)); #451125=DIRECTION('center_axis',(0.,0.,1.)); #451126=DIRECTION('ref_axis',(1.,0.,0.)); #451127=DIRECTION('',(0.,0.,1.)); #451128=DIRECTION('center_axis',(0.,0.,-1.)); #451129=DIRECTION('ref_axis',(1.,0.,0.)); #451130=DIRECTION('center_axis',(0.,0.,1.)); #451131=DIRECTION('ref_axis',(1.,0.,0.)); #451132=DIRECTION('center_axis',(0.,0.,1.)); #451133=DIRECTION('ref_axis',(1.,0.,0.)); #451134=DIRECTION('',(0.,0.,1.)); #451135=DIRECTION('center_axis',(0.,0.,-1.)); #451136=DIRECTION('ref_axis',(1.,0.,0.)); #451137=DIRECTION('center_axis',(0.,0.,1.)); #451138=DIRECTION('ref_axis',(1.,0.,0.)); #451139=DIRECTION('center_axis',(0.,0.,1.)); #451140=DIRECTION('ref_axis',(1.,0.,0.)); #451141=DIRECTION('',(0.,0.,1.)); #451142=DIRECTION('center_axis',(0.,0.,-1.)); #451143=DIRECTION('ref_axis',(1.,0.,0.)); #451144=DIRECTION('center_axis',(0.,0.,1.)); #451145=DIRECTION('ref_axis',(1.,0.,0.)); #451146=DIRECTION('center_axis',(0.,0.,1.)); #451147=DIRECTION('ref_axis',(1.,0.,0.)); #451148=DIRECTION('',(0.,0.,1.)); #451149=DIRECTION('center_axis',(0.,0.,-1.)); #451150=DIRECTION('ref_axis',(1.,0.,0.)); #451151=DIRECTION('center_axis',(0.,0.,1.)); #451152=DIRECTION('ref_axis',(1.,0.,0.)); #451153=DIRECTION('center_axis',(0.,0.,1.)); #451154=DIRECTION('ref_axis',(1.,0.,0.)); #451155=DIRECTION('',(0.,0.,1.)); #451156=DIRECTION('center_axis',(0.,0.,-1.)); #451157=DIRECTION('ref_axis',(1.,0.,0.)); #451158=DIRECTION('center_axis',(0.,0.,1.)); #451159=DIRECTION('ref_axis',(1.,0.,0.)); #451160=DIRECTION('center_axis',(0.,0.,1.)); #451161=DIRECTION('ref_axis',(1.,0.,0.)); #451162=DIRECTION('',(0.,0.,1.)); #451163=DIRECTION('center_axis',(0.,0.,-1.)); #451164=DIRECTION('ref_axis',(1.,0.,0.)); #451165=DIRECTION('center_axis',(0.,0.,1.)); #451166=DIRECTION('ref_axis',(1.,0.,0.)); #451167=DIRECTION('center_axis',(0.,0.,1.)); #451168=DIRECTION('ref_axis',(1.,0.,0.)); #451169=DIRECTION('',(0.,0.,1.)); #451170=DIRECTION('center_axis',(0.,0.,-1.)); #451171=DIRECTION('ref_axis',(1.,0.,0.)); #451172=DIRECTION('center_axis',(0.,0.,1.)); #451173=DIRECTION('ref_axis',(1.,0.,0.)); #451174=DIRECTION('center_axis',(0.,0.,1.)); #451175=DIRECTION('ref_axis',(1.,0.,0.)); #451176=DIRECTION('',(0.,0.,1.)); #451177=DIRECTION('center_axis',(0.,0.,-1.)); #451178=DIRECTION('ref_axis',(1.,0.,0.)); #451179=DIRECTION('center_axis',(0.,0.,1.)); #451180=DIRECTION('ref_axis',(1.,0.,0.)); #451181=DIRECTION('center_axis',(0.,0.,1.)); #451182=DIRECTION('ref_axis',(1.,0.,0.)); #451183=DIRECTION('',(0.,0.,1.)); #451184=DIRECTION('center_axis',(0.,0.,-1.)); #451185=DIRECTION('ref_axis',(1.,0.,0.)); #451186=DIRECTION('center_axis',(0.,0.,1.)); #451187=DIRECTION('ref_axis',(1.,0.,0.)); #451188=DIRECTION('center_axis',(0.,0.,1.)); #451189=DIRECTION('ref_axis',(1.,0.,0.)); #451190=DIRECTION('',(0.,0.,1.)); #451191=DIRECTION('center_axis',(0.,0.,-1.)); #451192=DIRECTION('ref_axis',(1.,0.,0.)); #451193=DIRECTION('center_axis',(0.,0.,1.)); #451194=DIRECTION('ref_axis',(1.,0.,0.)); #451195=DIRECTION('center_axis',(0.,0.,1.)); #451196=DIRECTION('ref_axis',(1.,0.,0.)); #451197=DIRECTION('',(0.,0.,1.)); #451198=DIRECTION('center_axis',(0.,0.,-1.)); #451199=DIRECTION('ref_axis',(1.,0.,0.)); #451200=DIRECTION('center_axis',(0.,0.,1.)); #451201=DIRECTION('ref_axis',(1.,0.,0.)); #451202=DIRECTION('center_axis',(0.,0.,1.)); #451203=DIRECTION('ref_axis',(1.,0.,0.)); #451204=DIRECTION('',(0.,0.,1.)); #451205=DIRECTION('center_axis',(0.,0.,-1.)); #451206=DIRECTION('ref_axis',(1.,0.,0.)); #451207=DIRECTION('center_axis',(0.,0.,1.)); #451208=DIRECTION('ref_axis',(1.,0.,0.)); #451209=DIRECTION('center_axis',(0.,0.,1.)); #451210=DIRECTION('ref_axis',(1.,0.,0.)); #451211=DIRECTION('',(0.,0.,1.)); #451212=DIRECTION('center_axis',(0.,0.,-1.)); #451213=DIRECTION('ref_axis',(1.,0.,0.)); #451214=DIRECTION('center_axis',(0.,0.,1.)); #451215=DIRECTION('ref_axis',(1.,0.,0.)); #451216=DIRECTION('center_axis',(0.,0.,1.)); #451217=DIRECTION('ref_axis',(1.,0.,0.)); #451218=DIRECTION('',(0.,0.,1.)); #451219=DIRECTION('center_axis',(0.,0.,-1.)); #451220=DIRECTION('ref_axis',(1.,0.,0.)); #451221=DIRECTION('center_axis',(0.,0.,1.)); #451222=DIRECTION('ref_axis',(1.,0.,0.)); #451223=DIRECTION('center_axis',(0.,0.,1.)); #451224=DIRECTION('ref_axis',(1.,0.,0.)); #451225=DIRECTION('',(0.,0.,1.)); #451226=DIRECTION('center_axis',(0.,0.,-1.)); #451227=DIRECTION('ref_axis',(1.,0.,0.)); #451228=DIRECTION('center_axis',(0.,0.,1.)); #451229=DIRECTION('ref_axis',(1.,0.,0.)); #451230=DIRECTION('center_axis',(0.,0.,1.)); #451231=DIRECTION('ref_axis',(1.,0.,0.)); #451232=DIRECTION('',(0.,0.,1.)); #451233=DIRECTION('center_axis',(0.,0.,-1.)); #451234=DIRECTION('ref_axis',(1.,0.,0.)); #451235=DIRECTION('center_axis',(0.,0.,1.)); #451236=DIRECTION('ref_axis',(1.,0.,0.)); #451237=DIRECTION('center_axis',(0.,0.,1.)); #451238=DIRECTION('ref_axis',(1.,0.,0.)); #451239=DIRECTION('',(0.,0.,1.)); #451240=DIRECTION('center_axis',(0.,0.,-1.)); #451241=DIRECTION('ref_axis',(1.,0.,0.)); #451242=DIRECTION('center_axis',(0.,0.,1.)); #451243=DIRECTION('ref_axis',(1.,0.,0.)); #451244=DIRECTION('center_axis',(0.,0.,1.)); #451245=DIRECTION('ref_axis',(1.,0.,0.)); #451246=DIRECTION('',(0.,0.,1.)); #451247=DIRECTION('center_axis',(0.,0.,-1.)); #451248=DIRECTION('ref_axis',(1.,0.,0.)); #451249=DIRECTION('center_axis',(0.,0.,1.)); #451250=DIRECTION('ref_axis',(1.,0.,0.)); #451251=DIRECTION('center_axis',(0.,0.,1.)); #451252=DIRECTION('ref_axis',(1.,0.,0.)); #451253=DIRECTION('',(0.,0.,1.)); #451254=DIRECTION('center_axis',(0.,0.,-1.)); #451255=DIRECTION('ref_axis',(1.,0.,0.)); #451256=DIRECTION('center_axis',(0.,0.,1.)); #451257=DIRECTION('ref_axis',(1.,0.,0.)); #451258=DIRECTION('center_axis',(0.,0.,1.)); #451259=DIRECTION('ref_axis',(1.,0.,0.)); #451260=DIRECTION('',(0.,0.,1.)); #451261=DIRECTION('center_axis',(0.,0.,-1.)); #451262=DIRECTION('ref_axis',(1.,0.,0.)); #451263=DIRECTION('center_axis',(0.,0.,1.)); #451264=DIRECTION('ref_axis',(1.,0.,0.)); #451265=DIRECTION('center_axis',(0.,0.,1.)); #451266=DIRECTION('ref_axis',(1.,0.,0.)); #451267=DIRECTION('',(0.,0.,1.)); #451268=DIRECTION('center_axis',(0.,0.,-1.)); #451269=DIRECTION('ref_axis',(1.,0.,0.)); #451270=DIRECTION('center_axis',(0.,0.,1.)); #451271=DIRECTION('ref_axis',(1.,0.,0.)); #451272=DIRECTION('center_axis',(0.,0.,1.)); #451273=DIRECTION('ref_axis',(1.,0.,0.)); #451274=DIRECTION('',(0.,0.,1.)); #451275=DIRECTION('center_axis',(0.,0.,-1.)); #451276=DIRECTION('ref_axis',(1.,0.,0.)); #451277=DIRECTION('center_axis',(0.,0.,1.)); #451278=DIRECTION('ref_axis',(1.,0.,0.)); #451279=DIRECTION('center_axis',(0.,0.,1.)); #451280=DIRECTION('ref_axis',(1.,0.,0.)); #451281=DIRECTION('',(0.,0.,1.)); #451282=DIRECTION('center_axis',(0.,0.,-1.)); #451283=DIRECTION('ref_axis',(1.,0.,0.)); #451284=DIRECTION('center_axis',(0.,0.,1.)); #451285=DIRECTION('ref_axis',(1.,0.,0.)); #451286=DIRECTION('center_axis',(0.,0.,1.)); #451287=DIRECTION('ref_axis',(1.,0.,0.)); #451288=DIRECTION('',(0.,0.,1.)); #451289=DIRECTION('center_axis',(0.,0.,-1.)); #451290=DIRECTION('ref_axis',(1.,0.,0.)); #451291=DIRECTION('center_axis',(0.,0.,1.)); #451292=DIRECTION('ref_axis',(1.,0.,0.)); #451293=DIRECTION('center_axis',(0.,0.,1.)); #451294=DIRECTION('ref_axis',(1.,0.,0.)); #451295=DIRECTION('',(0.,0.,1.)); #451296=DIRECTION('center_axis',(0.,0.,-1.)); #451297=DIRECTION('ref_axis',(1.,0.,0.)); #451298=DIRECTION('center_axis',(0.,0.,1.)); #451299=DIRECTION('ref_axis',(1.,0.,0.)); #451300=DIRECTION('center_axis',(0.,0.,1.)); #451301=DIRECTION('ref_axis',(1.,0.,0.)); #451302=DIRECTION('',(0.,0.,1.)); #451303=DIRECTION('center_axis',(0.,0.,-1.)); #451304=DIRECTION('ref_axis',(1.,0.,0.)); #451305=DIRECTION('center_axis',(0.,0.,1.)); #451306=DIRECTION('ref_axis',(1.,0.,0.)); #451307=DIRECTION('center_axis',(0.,0.,1.)); #451308=DIRECTION('ref_axis',(1.,0.,0.)); #451309=DIRECTION('',(0.,0.,1.)); #451310=DIRECTION('center_axis',(0.,0.,-1.)); #451311=DIRECTION('ref_axis',(1.,0.,0.)); #451312=DIRECTION('center_axis',(0.,0.,1.)); #451313=DIRECTION('ref_axis',(1.,0.,0.)); #451314=DIRECTION('center_axis',(0.,0.,1.)); #451315=DIRECTION('ref_axis',(1.,0.,0.)); #451316=DIRECTION('',(0.,0.,1.)); #451317=DIRECTION('center_axis',(0.,0.,-1.)); #451318=DIRECTION('ref_axis',(1.,0.,0.)); #451319=DIRECTION('center_axis',(0.,0.,1.)); #451320=DIRECTION('ref_axis',(1.,0.,0.)); #451321=DIRECTION('center_axis',(0.,0.,1.)); #451322=DIRECTION('ref_axis',(1.,0.,0.)); #451323=DIRECTION('',(0.,0.,1.)); #451324=DIRECTION('center_axis',(0.,0.,-1.)); #451325=DIRECTION('ref_axis',(1.,0.,0.)); #451326=DIRECTION('center_axis',(0.,0.,1.)); #451327=DIRECTION('ref_axis',(1.,0.,0.)); #451328=DIRECTION('center_axis',(0.,0.,1.)); #451329=DIRECTION('ref_axis',(1.,0.,0.)); #451330=DIRECTION('',(0.,0.,1.)); #451331=DIRECTION('center_axis',(0.,0.,-1.)); #451332=DIRECTION('ref_axis',(1.,0.,0.)); #451333=DIRECTION('center_axis',(0.,0.,1.)); #451334=DIRECTION('ref_axis',(1.,0.,0.)); #451335=DIRECTION('center_axis',(0.,0.,1.)); #451336=DIRECTION('ref_axis',(1.,0.,0.)); #451337=DIRECTION('',(0.,0.,1.)); #451338=DIRECTION('center_axis',(0.,0.,-1.)); #451339=DIRECTION('ref_axis',(1.,0.,0.)); #451340=DIRECTION('center_axis',(0.,0.,1.)); #451341=DIRECTION('ref_axis',(1.,0.,0.)); #451342=DIRECTION('center_axis',(0.,0.,1.)); #451343=DIRECTION('ref_axis',(1.,0.,0.)); #451344=DIRECTION('',(0.,0.,1.)); #451345=DIRECTION('center_axis',(0.,0.,-1.)); #451346=DIRECTION('ref_axis',(1.,0.,0.)); #451347=DIRECTION('center_axis',(0.,0.,1.)); #451348=DIRECTION('ref_axis',(1.,0.,0.)); #451349=DIRECTION('center_axis',(0.,0.,1.)); #451350=DIRECTION('ref_axis',(1.,0.,0.)); #451351=DIRECTION('',(0.,0.,1.)); #451352=DIRECTION('center_axis',(0.,0.,-1.)); #451353=DIRECTION('ref_axis',(1.,0.,0.)); #451354=DIRECTION('center_axis',(0.,0.,1.)); #451355=DIRECTION('ref_axis',(1.,0.,0.)); #451356=DIRECTION('center_axis',(0.,0.,1.)); #451357=DIRECTION('ref_axis',(1.,0.,0.)); #451358=DIRECTION('',(0.,0.,1.)); #451359=DIRECTION('center_axis',(0.,0.,-1.)); #451360=DIRECTION('ref_axis',(1.,0.,0.)); #451361=DIRECTION('center_axis',(0.,0.,1.)); #451362=DIRECTION('ref_axis',(1.,0.,0.)); #451363=DIRECTION('center_axis',(0.,0.,1.)); #451364=DIRECTION('ref_axis',(1.,0.,0.)); #451365=DIRECTION('',(0.,0.,1.)); #451366=DIRECTION('center_axis',(0.,0.,-1.)); #451367=DIRECTION('ref_axis',(1.,0.,0.)); #451368=DIRECTION('center_axis',(0.,0.,1.)); #451369=DIRECTION('ref_axis',(1.,0.,0.)); #451370=DIRECTION('center_axis',(0.,0.,1.)); #451371=DIRECTION('ref_axis',(1.,0.,0.)); #451372=DIRECTION('',(0.,0.,1.)); #451373=DIRECTION('center_axis',(0.,0.,-1.)); #451374=DIRECTION('ref_axis',(1.,0.,0.)); #451375=DIRECTION('center_axis',(0.,0.,1.)); #451376=DIRECTION('ref_axis',(1.,0.,0.)); #451377=DIRECTION('center_axis',(0.,0.,1.)); #451378=DIRECTION('ref_axis',(1.,0.,0.)); #451379=DIRECTION('',(0.,0.,1.)); #451380=DIRECTION('center_axis',(0.,0.,-1.)); #451381=DIRECTION('ref_axis',(1.,0.,0.)); #451382=DIRECTION('center_axis',(0.,0.,1.)); #451383=DIRECTION('ref_axis',(1.,0.,0.)); #451384=DIRECTION('center_axis',(0.,0.,1.)); #451385=DIRECTION('ref_axis',(1.,0.,0.)); #451386=DIRECTION('',(0.,0.,1.)); #451387=DIRECTION('center_axis',(0.,0.,-1.)); #451388=DIRECTION('ref_axis',(1.,0.,0.)); #451389=DIRECTION('center_axis',(0.,0.,1.)); #451390=DIRECTION('ref_axis',(1.,0.,0.)); #451391=DIRECTION('center_axis',(0.,0.,1.)); #451392=DIRECTION('ref_axis',(1.,0.,0.)); #451393=DIRECTION('',(0.,0.,1.)); #451394=DIRECTION('center_axis',(0.,0.,-1.)); #451395=DIRECTION('ref_axis',(1.,0.,0.)); #451396=DIRECTION('center_axis',(0.,0.,1.)); #451397=DIRECTION('ref_axis',(1.,0.,0.)); #451398=DIRECTION('center_axis',(0.,0.,1.)); #451399=DIRECTION('ref_axis',(1.,0.,0.)); #451400=DIRECTION('',(0.,0.,1.)); #451401=DIRECTION('center_axis',(0.,0.,-1.)); #451402=DIRECTION('ref_axis',(1.,0.,0.)); #451403=DIRECTION('center_axis',(0.,0.,1.)); #451404=DIRECTION('ref_axis',(1.,0.,0.)); #451405=DIRECTION('center_axis',(0.,0.,1.)); #451406=DIRECTION('ref_axis',(1.,0.,0.)); #451407=DIRECTION('',(0.,0.,1.)); #451408=DIRECTION('center_axis',(0.,0.,-1.)); #451409=DIRECTION('ref_axis',(1.,0.,0.)); #451410=DIRECTION('center_axis',(0.,0.,1.)); #451411=DIRECTION('ref_axis',(1.,0.,0.)); #451412=DIRECTION('center_axis',(0.,0.,1.)); #451413=DIRECTION('ref_axis',(1.,0.,0.)); #451414=DIRECTION('',(0.,0.,1.)); #451415=DIRECTION('center_axis',(0.,0.,-1.)); #451416=DIRECTION('ref_axis',(1.,0.,0.)); #451417=DIRECTION('center_axis',(0.,0.,1.)); #451418=DIRECTION('ref_axis',(1.,0.,0.)); #451419=DIRECTION('center_axis',(0.,0.,1.)); #451420=DIRECTION('ref_axis',(1.,0.,0.)); #451421=DIRECTION('',(0.,0.,1.)); #451422=DIRECTION('center_axis',(0.,0.,-1.)); #451423=DIRECTION('ref_axis',(1.,0.,0.)); #451424=DIRECTION('center_axis',(0.,0.,1.)); #451425=DIRECTION('ref_axis',(1.,0.,0.)); #451426=DIRECTION('center_axis',(0.,0.,1.)); #451427=DIRECTION('ref_axis',(1.,0.,0.)); #451428=DIRECTION('',(0.,0.,1.)); #451429=DIRECTION('center_axis',(0.,0.,-1.)); #451430=DIRECTION('ref_axis',(1.,0.,0.)); #451431=DIRECTION('center_axis',(0.,0.,1.)); #451432=DIRECTION('ref_axis',(1.,0.,0.)); #451433=DIRECTION('center_axis',(0.,0.,1.)); #451434=DIRECTION('ref_axis',(1.,0.,0.)); #451435=DIRECTION('',(0.,0.,1.)); #451436=DIRECTION('center_axis',(0.,0.,-1.)); #451437=DIRECTION('ref_axis',(1.,0.,0.)); #451438=DIRECTION('center_axis',(0.,0.,1.)); #451439=DIRECTION('ref_axis',(1.,0.,0.)); #451440=DIRECTION('center_axis',(0.,0.,1.)); #451441=DIRECTION('ref_axis',(1.,0.,0.)); #451442=DIRECTION('',(0.,0.,1.)); #451443=DIRECTION('center_axis',(0.,0.,-1.)); #451444=DIRECTION('ref_axis',(1.,0.,0.)); #451445=DIRECTION('center_axis',(0.,0.,1.)); #451446=DIRECTION('ref_axis',(1.,0.,0.)); #451447=DIRECTION('center_axis',(0.,0.,1.)); #451448=DIRECTION('ref_axis',(1.,0.,0.)); #451449=DIRECTION('',(0.,0.,1.)); #451450=DIRECTION('center_axis',(0.,0.,-1.)); #451451=DIRECTION('ref_axis',(1.,0.,0.)); #451452=DIRECTION('center_axis',(0.,0.,1.)); #451453=DIRECTION('ref_axis',(1.,0.,0.)); #451454=DIRECTION('center_axis',(0.,0.,1.)); #451455=DIRECTION('ref_axis',(1.,0.,0.)); #451456=DIRECTION('',(0.,0.,1.)); #451457=DIRECTION('center_axis',(0.,0.,-1.)); #451458=DIRECTION('ref_axis',(1.,0.,0.)); #451459=DIRECTION('center_axis',(0.,0.,1.)); #451460=DIRECTION('ref_axis',(1.,0.,0.)); #451461=DIRECTION('center_axis',(0.,0.,1.)); #451462=DIRECTION('ref_axis',(1.,0.,0.)); #451463=DIRECTION('',(0.,0.,1.)); #451464=DIRECTION('center_axis',(0.,0.,-1.)); #451465=DIRECTION('ref_axis',(1.,0.,0.)); #451466=DIRECTION('center_axis',(0.,0.,1.)); #451467=DIRECTION('ref_axis',(1.,0.,0.)); #451468=DIRECTION('center_axis',(0.,0.,1.)); #451469=DIRECTION('ref_axis',(1.,0.,0.)); #451470=DIRECTION('',(0.,0.,1.)); #451471=DIRECTION('center_axis',(0.,0.,-1.)); #451472=DIRECTION('ref_axis',(1.,0.,0.)); #451473=DIRECTION('center_axis',(0.,0.,1.)); #451474=DIRECTION('ref_axis',(1.,0.,0.)); #451475=DIRECTION('center_axis',(0.,0.,1.)); #451476=DIRECTION('ref_axis',(1.,0.,0.)); #451477=DIRECTION('',(0.,0.,1.)); #451478=DIRECTION('center_axis',(0.,0.,-1.)); #451479=DIRECTION('ref_axis',(1.,0.,0.)); #451480=DIRECTION('center_axis',(0.,0.,1.)); #451481=DIRECTION('ref_axis',(1.,0.,0.)); #451482=DIRECTION('center_axis',(0.,0.,1.)); #451483=DIRECTION('ref_axis',(1.,0.,0.)); #451484=DIRECTION('',(0.,0.,1.)); #451485=DIRECTION('center_axis',(0.,0.,-1.)); #451486=DIRECTION('ref_axis',(1.,0.,0.)); #451487=DIRECTION('center_axis',(0.,0.,1.)); #451488=DIRECTION('ref_axis',(1.,0.,0.)); #451489=DIRECTION('center_axis',(0.,0.,1.)); #451490=DIRECTION('ref_axis',(1.,0.,0.)); #451491=DIRECTION('',(0.,0.,1.)); #451492=DIRECTION('center_axis',(0.,0.,-1.)); #451493=DIRECTION('ref_axis',(1.,0.,0.)); #451494=DIRECTION('center_axis',(0.,0.,1.)); #451495=DIRECTION('ref_axis',(1.,0.,0.)); #451496=DIRECTION('center_axis',(0.,0.,1.)); #451497=DIRECTION('ref_axis',(1.,0.,0.)); #451498=DIRECTION('',(0.,0.,1.)); #451499=DIRECTION('center_axis',(0.,0.,-1.)); #451500=DIRECTION('ref_axis',(1.,0.,0.)); #451501=DIRECTION('center_axis',(0.,0.,1.)); #451502=DIRECTION('ref_axis',(1.,0.,0.)); #451503=DIRECTION('center_axis',(0.,0.,1.)); #451504=DIRECTION('ref_axis',(1.,0.,0.)); #451505=DIRECTION('',(0.,0.,1.)); #451506=DIRECTION('center_axis',(0.,0.,-1.)); #451507=DIRECTION('ref_axis',(1.,0.,0.)); #451508=DIRECTION('center_axis',(0.,0.,1.)); #451509=DIRECTION('ref_axis',(1.,0.,0.)); #451510=DIRECTION('center_axis',(0.,0.,1.)); #451511=DIRECTION('ref_axis',(1.,0.,0.)); #451512=DIRECTION('',(0.,0.,1.)); #451513=DIRECTION('center_axis',(0.,0.,-1.)); #451514=DIRECTION('ref_axis',(1.,0.,0.)); #451515=DIRECTION('center_axis',(0.,0.,1.)); #451516=DIRECTION('ref_axis',(1.,0.,0.)); #451517=DIRECTION('center_axis',(0.,0.,1.)); #451518=DIRECTION('ref_axis',(1.,0.,0.)); #451519=DIRECTION('',(0.,0.,1.)); #451520=DIRECTION('center_axis',(0.,0.,-1.)); #451521=DIRECTION('ref_axis',(1.,0.,0.)); #451522=DIRECTION('center_axis',(0.,0.,1.)); #451523=DIRECTION('ref_axis',(1.,0.,0.)); #451524=DIRECTION('center_axis',(0.,0.,1.)); #451525=DIRECTION('ref_axis',(1.,0.,0.)); #451526=DIRECTION('',(0.,0.,1.)); #451527=DIRECTION('center_axis',(0.,0.,-1.)); #451528=DIRECTION('ref_axis',(1.,0.,0.)); #451529=DIRECTION('center_axis',(0.,0.,1.)); #451530=DIRECTION('ref_axis',(1.,0.,0.)); #451531=DIRECTION('center_axis',(0.,0.,1.)); #451532=DIRECTION('ref_axis',(1.,0.,0.)); #451533=DIRECTION('',(0.,0.,1.)); #451534=DIRECTION('center_axis',(0.,0.,-1.)); #451535=DIRECTION('ref_axis',(1.,0.,0.)); #451536=DIRECTION('center_axis',(0.,0.,1.)); #451537=DIRECTION('ref_axis',(1.,0.,0.)); #451538=DIRECTION('center_axis',(0.,0.,1.)); #451539=DIRECTION('ref_axis',(1.,0.,0.)); #451540=DIRECTION('',(0.,0.,1.)); #451541=DIRECTION('center_axis',(0.,0.,-1.)); #451542=DIRECTION('ref_axis',(1.,0.,0.)); #451543=DIRECTION('center_axis',(0.,0.,1.)); #451544=DIRECTION('ref_axis',(1.,0.,0.)); #451545=DIRECTION('center_axis',(0.,0.,1.)); #451546=DIRECTION('ref_axis',(1.,0.,0.)); #451547=DIRECTION('',(0.,0.,1.)); #451548=DIRECTION('center_axis',(0.,0.,-1.)); #451549=DIRECTION('ref_axis',(1.,0.,0.)); #451550=DIRECTION('center_axis',(0.,0.,1.)); #451551=DIRECTION('ref_axis',(1.,0.,0.)); #451552=DIRECTION('center_axis',(0.,0.,1.)); #451553=DIRECTION('ref_axis',(1.,0.,0.)); #451554=DIRECTION('',(0.,0.,1.)); #451555=DIRECTION('center_axis',(0.,0.,-1.)); #451556=DIRECTION('ref_axis',(1.,0.,0.)); #451557=DIRECTION('center_axis',(0.,0.,1.)); #451558=DIRECTION('ref_axis',(1.,0.,0.)); #451559=DIRECTION('center_axis',(0.,0.,1.)); #451560=DIRECTION('ref_axis',(1.,0.,0.)); #451561=DIRECTION('',(0.,0.,1.)); #451562=DIRECTION('center_axis',(0.,0.,-1.)); #451563=DIRECTION('ref_axis',(1.,0.,0.)); #451564=DIRECTION('center_axis',(0.,0.,1.)); #451565=DIRECTION('ref_axis',(1.,0.,0.)); #451566=DIRECTION('center_axis',(0.,0.,1.)); #451567=DIRECTION('ref_axis',(1.,0.,0.)); #451568=DIRECTION('',(0.,0.,1.)); #451569=DIRECTION('center_axis',(0.,0.,-1.)); #451570=DIRECTION('ref_axis',(1.,0.,0.)); #451571=DIRECTION('center_axis',(0.,0.,1.)); #451572=DIRECTION('ref_axis',(1.,0.,0.)); #451573=DIRECTION('center_axis',(0.,0.,1.)); #451574=DIRECTION('ref_axis',(1.,0.,0.)); #451575=DIRECTION('',(0.,0.,1.)); #451576=DIRECTION('center_axis',(0.,0.,-1.)); #451577=DIRECTION('ref_axis',(1.,0.,0.)); #451578=DIRECTION('center_axis',(0.,0.,1.)); #451579=DIRECTION('ref_axis',(1.,0.,0.)); #451580=DIRECTION('center_axis',(0.,0.,1.)); #451581=DIRECTION('ref_axis',(1.,0.,0.)); #451582=DIRECTION('',(0.,0.,1.)); #451583=DIRECTION('center_axis',(0.,0.,-1.)); #451584=DIRECTION('ref_axis',(1.,0.,0.)); #451585=DIRECTION('center_axis',(0.,0.,1.)); #451586=DIRECTION('ref_axis',(1.,0.,0.)); #451587=DIRECTION('center_axis',(0.,0.,1.)); #451588=DIRECTION('ref_axis',(1.,0.,0.)); #451589=DIRECTION('',(0.,0.,1.)); #451590=DIRECTION('center_axis',(0.,0.,-1.)); #451591=DIRECTION('ref_axis',(1.,0.,0.)); #451592=DIRECTION('center_axis',(0.,0.,1.)); #451593=DIRECTION('ref_axis',(1.,0.,0.)); #451594=DIRECTION('center_axis',(0.,0.,1.)); #451595=DIRECTION('ref_axis',(1.,0.,0.)); #451596=DIRECTION('',(0.,0.,1.)); #451597=DIRECTION('center_axis',(0.,0.,-1.)); #451598=DIRECTION('ref_axis',(1.,0.,0.)); #451599=DIRECTION('center_axis',(0.,0.,1.)); #451600=DIRECTION('ref_axis',(1.,0.,0.)); #451601=DIRECTION('center_axis',(0.,0.,1.)); #451602=DIRECTION('ref_axis',(1.,0.,0.)); #451603=DIRECTION('',(0.,0.,1.)); #451604=DIRECTION('center_axis',(0.,0.,-1.)); #451605=DIRECTION('ref_axis',(1.,0.,0.)); #451606=DIRECTION('center_axis',(0.,0.,1.)); #451607=DIRECTION('ref_axis',(1.,0.,0.)); #451608=DIRECTION('center_axis',(0.,0.,1.)); #451609=DIRECTION('ref_axis',(1.,0.,0.)); #451610=DIRECTION('',(0.,0.,1.)); #451611=DIRECTION('center_axis',(0.,0.,-1.)); #451612=DIRECTION('ref_axis',(1.,0.,0.)); #451613=DIRECTION('center_axis',(0.,0.,1.)); #451614=DIRECTION('ref_axis',(1.,0.,0.)); #451615=DIRECTION('center_axis',(0.,0.,1.)); #451616=DIRECTION('ref_axis',(1.,0.,0.)); #451617=DIRECTION('',(0.,0.,1.)); #451618=DIRECTION('center_axis',(0.,0.,-1.)); #451619=DIRECTION('ref_axis',(1.,0.,0.)); #451620=DIRECTION('center_axis',(0.,0.,1.)); #451621=DIRECTION('ref_axis',(1.,0.,0.)); #451622=DIRECTION('center_axis',(0.,0.,1.)); #451623=DIRECTION('ref_axis',(1.,0.,0.)); #451624=DIRECTION('',(0.,0.,1.)); #451625=DIRECTION('center_axis',(0.,0.,-1.)); #451626=DIRECTION('ref_axis',(1.,0.,0.)); #451627=DIRECTION('center_axis',(0.,0.,1.)); #451628=DIRECTION('ref_axis',(1.,0.,0.)); #451629=DIRECTION('center_axis',(0.,0.,1.)); #451630=DIRECTION('ref_axis',(1.,0.,0.)); #451631=DIRECTION('',(0.,0.,1.)); #451632=DIRECTION('center_axis',(0.,0.,-1.)); #451633=DIRECTION('ref_axis',(1.,0.,0.)); #451634=DIRECTION('center_axis',(0.,0.,1.)); #451635=DIRECTION('ref_axis',(1.,0.,0.)); #451636=DIRECTION('center_axis',(0.,0.,1.)); #451637=DIRECTION('ref_axis',(1.,0.,0.)); #451638=DIRECTION('',(0.,0.,1.)); #451639=DIRECTION('center_axis',(0.,0.,-1.)); #451640=DIRECTION('ref_axis',(1.,0.,0.)); #451641=DIRECTION('center_axis',(0.,0.,1.)); #451642=DIRECTION('ref_axis',(1.,0.,0.)); #451643=DIRECTION('center_axis',(0.,0.,1.)); #451644=DIRECTION('ref_axis',(1.,0.,0.)); #451645=DIRECTION('',(0.,0.,1.)); #451646=DIRECTION('center_axis',(0.,0.,-1.)); #451647=DIRECTION('ref_axis',(1.,0.,0.)); #451648=DIRECTION('center_axis',(0.,0.,1.)); #451649=DIRECTION('ref_axis',(1.,0.,0.)); #451650=DIRECTION('center_axis',(0.,0.,1.)); #451651=DIRECTION('ref_axis',(1.,0.,0.)); #451652=DIRECTION('',(0.,0.,1.)); #451653=DIRECTION('center_axis',(0.,0.,-1.)); #451654=DIRECTION('ref_axis',(1.,0.,0.)); #451655=DIRECTION('center_axis',(0.,0.,1.)); #451656=DIRECTION('ref_axis',(1.,0.,0.)); #451657=DIRECTION('center_axis',(0.,0.,1.)); #451658=DIRECTION('ref_axis',(1.,0.,0.)); #451659=DIRECTION('',(0.,0.,1.)); #451660=DIRECTION('center_axis',(0.,0.,-1.)); #451661=DIRECTION('ref_axis',(1.,0.,0.)); #451662=DIRECTION('center_axis',(0.,0.,1.)); #451663=DIRECTION('ref_axis',(1.,0.,0.)); #451664=DIRECTION('center_axis',(0.,0.,1.)); #451665=DIRECTION('ref_axis',(1.,0.,0.)); #451666=DIRECTION('',(0.,0.,1.)); #451667=DIRECTION('center_axis',(0.,0.,-1.)); #451668=DIRECTION('ref_axis',(1.,0.,0.)); #451669=DIRECTION('center_axis',(0.,0.,1.)); #451670=DIRECTION('ref_axis',(1.,0.,0.)); #451671=DIRECTION('center_axis',(0.,0.,1.)); #451672=DIRECTION('ref_axis',(1.,0.,0.)); #451673=DIRECTION('',(0.,0.,1.)); #451674=DIRECTION('center_axis',(0.,0.,-1.)); #451675=DIRECTION('ref_axis',(1.,0.,0.)); #451676=DIRECTION('center_axis',(0.,0.,1.)); #451677=DIRECTION('ref_axis',(1.,0.,0.)); #451678=DIRECTION('center_axis',(0.,0.,1.)); #451679=DIRECTION('ref_axis',(1.,0.,0.)); #451680=DIRECTION('',(0.,0.,1.)); #451681=DIRECTION('center_axis',(0.,0.,-1.)); #451682=DIRECTION('ref_axis',(1.,0.,0.)); #451683=DIRECTION('center_axis',(0.,0.,1.)); #451684=DIRECTION('ref_axis',(1.,0.,0.)); #451685=DIRECTION('center_axis',(0.,0.,1.)); #451686=DIRECTION('ref_axis',(1.,0.,0.)); #451687=DIRECTION('',(0.,0.,1.)); #451688=DIRECTION('center_axis',(0.,0.,-1.)); #451689=DIRECTION('ref_axis',(1.,0.,0.)); #451690=DIRECTION('center_axis',(0.,0.,1.)); #451691=DIRECTION('ref_axis',(1.,0.,0.)); #451692=DIRECTION('center_axis',(0.,0.,1.)); #451693=DIRECTION('ref_axis',(1.,0.,0.)); #451694=DIRECTION('',(0.,0.,1.)); #451695=DIRECTION('center_axis',(0.,0.,-1.)); #451696=DIRECTION('ref_axis',(1.,0.,0.)); #451697=DIRECTION('center_axis',(0.,0.,1.)); #451698=DIRECTION('ref_axis',(1.,0.,0.)); #451699=DIRECTION('center_axis',(0.,0.,1.)); #451700=DIRECTION('ref_axis',(1.,0.,0.)); #451701=DIRECTION('',(0.,0.,1.)); #451702=DIRECTION('center_axis',(0.,0.,-1.)); #451703=DIRECTION('ref_axis',(1.,0.,0.)); #451704=DIRECTION('center_axis',(0.,0.,1.)); #451705=DIRECTION('ref_axis',(1.,0.,0.)); #451706=DIRECTION('center_axis',(0.,0.,1.)); #451707=DIRECTION('ref_axis',(1.,0.,0.)); #451708=DIRECTION('',(0.,0.,1.)); #451709=DIRECTION('center_axis',(0.,0.,-1.)); #451710=DIRECTION('ref_axis',(1.,0.,0.)); #451711=DIRECTION('center_axis',(0.,0.,1.)); #451712=DIRECTION('ref_axis',(1.,0.,0.)); #451713=DIRECTION('center_axis',(0.,0.,1.)); #451714=DIRECTION('ref_axis',(1.,0.,0.)); #451715=DIRECTION('',(0.,0.,1.)); #451716=DIRECTION('center_axis',(0.,0.,-1.)); #451717=DIRECTION('ref_axis',(1.,0.,0.)); #451718=DIRECTION('center_axis',(0.,0.,1.)); #451719=DIRECTION('ref_axis',(1.,0.,0.)); #451720=DIRECTION('center_axis',(0.,0.,1.)); #451721=DIRECTION('ref_axis',(1.,0.,0.)); #451722=DIRECTION('',(0.,0.,1.)); #451723=DIRECTION('center_axis',(0.,0.,-1.)); #451724=DIRECTION('ref_axis',(1.,0.,0.)); #451725=DIRECTION('center_axis',(0.,0.,1.)); #451726=DIRECTION('ref_axis',(1.,0.,0.)); #451727=DIRECTION('center_axis',(0.,0.,1.)); #451728=DIRECTION('ref_axis',(1.,0.,0.)); #451729=DIRECTION('',(0.,0.,1.)); #451730=DIRECTION('center_axis',(0.,0.,-1.)); #451731=DIRECTION('ref_axis',(1.,0.,0.)); #451732=DIRECTION('center_axis',(0.,0.,1.)); #451733=DIRECTION('ref_axis',(1.,0.,0.)); #451734=DIRECTION('center_axis',(0.,0.,1.)); #451735=DIRECTION('ref_axis',(1.,0.,0.)); #451736=DIRECTION('',(0.,0.,1.)); #451737=DIRECTION('center_axis',(0.,0.,-1.)); #451738=DIRECTION('ref_axis',(1.,0.,0.)); #451739=DIRECTION('center_axis',(0.,0.,1.)); #451740=DIRECTION('ref_axis',(1.,0.,0.)); #451741=DIRECTION('center_axis',(0.,0.,1.)); #451742=DIRECTION('ref_axis',(1.,0.,0.)); #451743=DIRECTION('',(0.,0.,1.)); #451744=DIRECTION('center_axis',(0.,0.,-1.)); #451745=DIRECTION('ref_axis',(1.,0.,0.)); #451746=DIRECTION('center_axis',(0.,0.,1.)); #451747=DIRECTION('ref_axis',(1.,0.,0.)); #451748=DIRECTION('center_axis',(0.,0.,1.)); #451749=DIRECTION('ref_axis',(1.,0.,0.)); #451750=DIRECTION('',(0.,0.,1.)); #451751=DIRECTION('center_axis',(0.,0.,-1.)); #451752=DIRECTION('ref_axis',(1.,0.,0.)); #451753=DIRECTION('center_axis',(0.,0.,1.)); #451754=DIRECTION('ref_axis',(1.,0.,0.)); #451755=DIRECTION('center_axis',(0.,0.,1.)); #451756=DIRECTION('ref_axis',(1.,0.,0.)); #451757=DIRECTION('',(0.,0.,1.)); #451758=DIRECTION('center_axis',(0.,0.,-1.)); #451759=DIRECTION('ref_axis',(1.,0.,0.)); #451760=DIRECTION('center_axis',(0.,0.,1.)); #451761=DIRECTION('ref_axis',(1.,0.,0.)); #451762=DIRECTION('center_axis',(0.,0.,1.)); #451763=DIRECTION('ref_axis',(1.,0.,0.)); #451764=DIRECTION('',(0.,0.,1.)); #451765=DIRECTION('center_axis',(0.,0.,-1.)); #451766=DIRECTION('ref_axis',(1.,0.,0.)); #451767=DIRECTION('center_axis',(0.,0.,1.)); #451768=DIRECTION('ref_axis',(1.,0.,0.)); #451769=DIRECTION('center_axis',(0.,0.,1.)); #451770=DIRECTION('ref_axis',(1.,0.,0.)); #451771=DIRECTION('',(0.,0.,1.)); #451772=DIRECTION('center_axis',(0.,0.,-1.)); #451773=DIRECTION('ref_axis',(1.,0.,0.)); #451774=DIRECTION('center_axis',(0.,0.,1.)); #451775=DIRECTION('ref_axis',(1.,0.,0.)); #451776=DIRECTION('center_axis',(0.,0.,1.)); #451777=DIRECTION('ref_axis',(1.,0.,0.)); #451778=DIRECTION('',(0.,0.,1.)); #451779=DIRECTION('center_axis',(0.,0.,-1.)); #451780=DIRECTION('ref_axis',(1.,0.,0.)); #451781=DIRECTION('center_axis',(0.,0.,1.)); #451782=DIRECTION('ref_axis',(1.,0.,0.)); #451783=DIRECTION('center_axis',(0.,0.,1.)); #451784=DIRECTION('ref_axis',(1.,0.,0.)); #451785=DIRECTION('',(0.,0.,1.)); #451786=DIRECTION('center_axis',(0.,0.,-1.)); #451787=DIRECTION('ref_axis',(1.,0.,0.)); #451788=DIRECTION('center_axis',(0.,0.,1.)); #451789=DIRECTION('ref_axis',(1.,0.,0.)); #451790=DIRECTION('center_axis',(0.,0.,1.)); #451791=DIRECTION('ref_axis',(1.,0.,0.)); #451792=DIRECTION('',(0.,0.,1.)); #451793=DIRECTION('center_axis',(0.,0.,-1.)); #451794=DIRECTION('ref_axis',(1.,0.,0.)); #451795=DIRECTION('center_axis',(0.,0.,1.)); #451796=DIRECTION('ref_axis',(1.,0.,0.)); #451797=DIRECTION('center_axis',(0.,0.,1.)); #451798=DIRECTION('ref_axis',(1.,0.,0.)); #451799=DIRECTION('',(0.,0.,1.)); #451800=DIRECTION('center_axis',(0.,0.,-1.)); #451801=DIRECTION('ref_axis',(1.,0.,0.)); #451802=DIRECTION('center_axis',(0.,0.,1.)); #451803=DIRECTION('ref_axis',(1.,0.,0.)); #451804=DIRECTION('center_axis',(0.,0.,1.)); #451805=DIRECTION('ref_axis',(1.,0.,0.)); #451806=DIRECTION('',(0.,0.,1.)); #451807=DIRECTION('center_axis',(0.,0.,-1.)); #451808=DIRECTION('ref_axis',(1.,0.,0.)); #451809=DIRECTION('center_axis',(0.,0.,1.)); #451810=DIRECTION('ref_axis',(1.,0.,0.)); #451811=DIRECTION('center_axis',(0.,0.,1.)); #451812=DIRECTION('ref_axis',(1.,0.,0.)); #451813=DIRECTION('',(0.,0.,1.)); #451814=DIRECTION('center_axis',(0.,0.,-1.)); #451815=DIRECTION('ref_axis',(1.,0.,0.)); #451816=DIRECTION('center_axis',(0.,0.,1.)); #451817=DIRECTION('ref_axis',(1.,0.,0.)); #451818=DIRECTION('center_axis',(0.,0.,1.)); #451819=DIRECTION('ref_axis',(1.,0.,0.)); #451820=DIRECTION('',(0.,0.,1.)); #451821=DIRECTION('center_axis',(0.,0.,-1.)); #451822=DIRECTION('ref_axis',(1.,0.,0.)); #451823=DIRECTION('center_axis',(0.,0.,1.)); #451824=DIRECTION('ref_axis',(1.,0.,0.)); #451825=DIRECTION('center_axis',(0.,0.,1.)); #451826=DIRECTION('ref_axis',(1.,0.,0.)); #451827=DIRECTION('',(0.,0.,1.)); #451828=DIRECTION('center_axis',(0.,0.,-1.)); #451829=DIRECTION('ref_axis',(1.,0.,0.)); #451830=DIRECTION('center_axis',(0.,0.,1.)); #451831=DIRECTION('ref_axis',(1.,0.,0.)); #451832=DIRECTION('center_axis',(0.,0.,1.)); #451833=DIRECTION('ref_axis',(1.,0.,0.)); #451834=DIRECTION('',(0.,0.,1.)); #451835=DIRECTION('center_axis',(0.,0.,-1.)); #451836=DIRECTION('ref_axis',(1.,0.,0.)); #451837=DIRECTION('center_axis',(0.,0.,1.)); #451838=DIRECTION('ref_axis',(1.,0.,0.)); #451839=DIRECTION('center_axis',(0.,0.,1.)); #451840=DIRECTION('ref_axis',(1.,0.,0.)); #451841=DIRECTION('',(0.,0.,1.)); #451842=DIRECTION('center_axis',(0.,0.,-1.)); #451843=DIRECTION('ref_axis',(1.,0.,0.)); #451844=DIRECTION('center_axis',(0.,0.,1.)); #451845=DIRECTION('ref_axis',(1.,0.,0.)); #451846=DIRECTION('center_axis',(0.,0.,1.)); #451847=DIRECTION('ref_axis',(1.,0.,0.)); #451848=DIRECTION('',(0.,0.,1.)); #451849=DIRECTION('center_axis',(0.,0.,-1.)); #451850=DIRECTION('ref_axis',(1.,0.,0.)); #451851=DIRECTION('center_axis',(0.,0.,1.)); #451852=DIRECTION('ref_axis',(1.,0.,0.)); #451853=DIRECTION('center_axis',(0.,0.,1.)); #451854=DIRECTION('ref_axis',(1.,0.,0.)); #451855=DIRECTION('',(0.,0.,1.)); #451856=DIRECTION('center_axis',(0.,0.,-1.)); #451857=DIRECTION('ref_axis',(1.,0.,0.)); #451858=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #451859=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #451860=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #451861=DIRECTION('',(0.,0.,1.)); #451862=DIRECTION('',(5.55111499297693E-15,1.,0.)); #451863=DIRECTION('',(0.,0.,1.)); #451864=DIRECTION('center_axis',(0.,0.,1.)); #451865=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #451866=DIRECTION('center_axis',(0.,0.,-1.)); #451867=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #451868=DIRECTION('center_axis',(0.,0.,1.)); #451869=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #451870=DIRECTION('',(0.,0.,1.)); #451871=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #451872=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #451873=DIRECTION('',(5.55111499297693E-15,1.,0.)); #451874=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #451875=DIRECTION('',(0.,0.,1.)); #451876=DIRECTION('center_axis',(0.,0.,1.)); #451877=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #451878=DIRECTION('center_axis',(0.,0.,-1.)); #451879=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #451880=DIRECTION('center_axis',(0.,0.,1.)); #451881=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #451882=DIRECTION('center_axis',(0.,0.,1.)); #451883=DIRECTION('ref_axis',(1.,0.,0.)); #451884=DIRECTION('center_axis',(0.,0.,1.)); #451885=DIRECTION('ref_axis',(1.,0.,0.)); #451886=DIRECTION('',(0.,0.,1.)); #451887=DIRECTION('center_axis',(0.,0.,-1.)); #451888=DIRECTION('ref_axis',(1.,0.,0.)); #451889=DIRECTION('center_axis',(7.40148643419025E-15,1.,0.)); #451890=DIRECTION('ref_axis',(-1.,7.40148643419025E-15,0.)); #451891=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #451892=DIRECTION('',(0.,0.,1.)); #451893=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #451894=DIRECTION('',(0.,0.,1.)); #451895=DIRECTION('center_axis',(0.,0.,1.)); #451896=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #451897=DIRECTION('center_axis',(0.,0.,-1.)); #451898=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #451899=DIRECTION('center_axis',(0.,0.,1.)); #451900=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #451901=DIRECTION('',(0.,0.,1.)); #451902=DIRECTION('center_axis',(-7.40148643419025E-15,-1.,0.)); #451903=DIRECTION('ref_axis',(1.,-7.40148643419025E-15,0.)); #451904=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #451905=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #451906=DIRECTION('',(0.,0.,1.)); #451907=DIRECTION('center_axis',(0.,0.,1.)); #451908=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #451909=DIRECTION('center_axis',(0.,0.,-1.)); #451910=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #451911=DIRECTION('center_axis',(0.,0.,1.)); #451912=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #451913=DIRECTION('center_axis',(0.,0.,1.)); #451914=DIRECTION('ref_axis',(1.,0.,0.)); #451915=DIRECTION('center_axis',(0.,0.,1.)); #451916=DIRECTION('ref_axis',(1.,0.,0.)); #451917=DIRECTION('',(0.,0.,1.)); #451918=DIRECTION('center_axis',(0.,0.,-1.)); #451919=DIRECTION('ref_axis',(1.,0.,0.)); #451920=DIRECTION('center_axis',(0.,0.,1.)); #451921=DIRECTION('ref_axis',(1.,0.,0.)); #451922=DIRECTION('center_axis',(0.,0.,1.)); #451923=DIRECTION('ref_axis',(1.,0.,0.)); #451924=DIRECTION('',(0.,0.,1.)); #451925=DIRECTION('center_axis',(0.,0.,-1.)); #451926=DIRECTION('ref_axis',(1.,0.,0.)); #451927=DIRECTION('center_axis',(0.,0.,1.)); #451928=DIRECTION('ref_axis',(1.,0.,0.)); #451929=DIRECTION('center_axis',(0.,0.,1.)); #451930=DIRECTION('ref_axis',(1.,0.,0.)); #451931=DIRECTION('',(0.,0.,1.)); #451932=DIRECTION('center_axis',(0.,0.,-1.)); #451933=DIRECTION('ref_axis',(1.,0.,0.)); #451934=DIRECTION('center_axis',(7.40148643419025E-15,1.,0.)); #451935=DIRECTION('ref_axis',(-1.,7.40148643419025E-15,0.)); #451936=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #451937=DIRECTION('',(0.,0.,1.)); #451938=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #451939=DIRECTION('',(0.,0.,1.)); #451940=DIRECTION('center_axis',(0.,0.,1.)); #451941=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #451942=DIRECTION('center_axis',(0.,0.,-1.)); #451943=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #451944=DIRECTION('center_axis',(0.,0.,1.)); #451945=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #451946=DIRECTION('',(0.,0.,1.)); #451947=DIRECTION('center_axis',(-7.40148643419025E-15,-1.,0.)); #451948=DIRECTION('ref_axis',(1.,-7.40148643419025E-15,0.)); #451949=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #451950=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #451951=DIRECTION('',(0.,0.,1.)); #451952=DIRECTION('center_axis',(0.,0.,1.)); #451953=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #451954=DIRECTION('center_axis',(0.,0.,-1.)); #451955=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #451956=DIRECTION('center_axis',(0.,0.,1.)); #451957=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #451958=DIRECTION('center_axis',(0.,0.,1.)); #451959=DIRECTION('ref_axis',(1.,0.,0.)); #451960=DIRECTION('center_axis',(0.,0.,1.)); #451961=DIRECTION('ref_axis',(1.,0.,0.)); #451962=DIRECTION('',(0.,0.,1.)); #451963=DIRECTION('center_axis',(0.,0.,-1.)); #451964=DIRECTION('ref_axis',(1.,0.,0.)); #451965=DIRECTION('center_axis',(0.,0.,1.)); #451966=DIRECTION('ref_axis',(1.,0.,0.)); #451967=DIRECTION('center_axis',(0.,0.,1.)); #451968=DIRECTION('ref_axis',(1.,0.,0.)); #451969=DIRECTION('',(0.,0.,1.)); #451970=DIRECTION('center_axis',(0.,0.,-1.)); #451971=DIRECTION('ref_axis',(1.,0.,0.)); #451972=DIRECTION('center_axis',(0.,0.,1.)); #451973=DIRECTION('ref_axis',(1.,0.,0.)); #451974=DIRECTION('center_axis',(0.,0.,1.)); #451975=DIRECTION('ref_axis',(1.,0.,0.)); #451976=DIRECTION('',(0.,0.,1.)); #451977=DIRECTION('center_axis',(0.,0.,-1.)); #451978=DIRECTION('ref_axis',(1.,0.,0.)); #451979=DIRECTION('center_axis',(0.,0.,1.)); #451980=DIRECTION('ref_axis',(1.,0.,0.)); #451981=DIRECTION('center_axis',(0.,0.,1.)); #451982=DIRECTION('ref_axis',(1.,0.,0.)); #451983=DIRECTION('',(0.,0.,1.)); #451984=DIRECTION('center_axis',(0.,0.,-1.)); #451985=DIRECTION('ref_axis',(1.,0.,0.)); #451986=DIRECTION('center_axis',(0.,0.,1.)); #451987=DIRECTION('ref_axis',(1.,0.,0.)); #451988=DIRECTION('center_axis',(0.,0.,1.)); #451989=DIRECTION('ref_axis',(1.,0.,0.)); #451990=DIRECTION('',(0.,0.,1.)); #451991=DIRECTION('center_axis',(0.,0.,-1.)); #451992=DIRECTION('ref_axis',(1.,0.,0.)); #451993=DIRECTION('center_axis',(0.,0.,1.)); #451994=DIRECTION('ref_axis',(1.,0.,0.)); #451995=DIRECTION('center_axis',(0.,0.,1.)); #451996=DIRECTION('ref_axis',(1.,0.,0.)); #451997=DIRECTION('',(0.,0.,1.)); #451998=DIRECTION('center_axis',(0.,0.,-1.)); #451999=DIRECTION('ref_axis',(1.,0.,0.)); #452000=DIRECTION('center_axis',(0.,0.,1.)); #452001=DIRECTION('ref_axis',(1.,0.,0.)); #452002=DIRECTION('center_axis',(0.,0.,1.)); #452003=DIRECTION('ref_axis',(1.,0.,0.)); #452004=DIRECTION('',(0.,0.,1.)); #452005=DIRECTION('center_axis',(0.,0.,-1.)); #452006=DIRECTION('ref_axis',(1.,0.,0.)); #452007=DIRECTION('center_axis',(0.,0.,1.)); #452008=DIRECTION('ref_axis',(1.,0.,0.)); #452009=DIRECTION('center_axis',(0.,0.,1.)); #452010=DIRECTION('ref_axis',(1.,0.,0.)); #452011=DIRECTION('',(0.,0.,1.)); #452012=DIRECTION('center_axis',(0.,0.,-1.)); #452013=DIRECTION('ref_axis',(1.,0.,0.)); #452014=DIRECTION('center_axis',(0.,0.,1.)); #452015=DIRECTION('ref_axis',(1.,0.,0.)); #452016=DIRECTION('center_axis',(0.,0.,1.)); #452017=DIRECTION('ref_axis',(1.,0.,0.)); #452018=DIRECTION('',(0.,0.,1.)); #452019=DIRECTION('center_axis',(0.,0.,-1.)); #452020=DIRECTION('ref_axis',(1.,0.,0.)); #452021=DIRECTION('center_axis',(0.,0.,1.)); #452022=DIRECTION('ref_axis',(1.,0.,0.)); #452023=DIRECTION('center_axis',(0.,0.,1.)); #452024=DIRECTION('ref_axis',(1.,0.,0.)); #452025=DIRECTION('',(0.,0.,1.)); #452026=DIRECTION('center_axis',(0.,0.,-1.)); #452027=DIRECTION('ref_axis',(1.,0.,0.)); #452028=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #452029=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #452030=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #452031=DIRECTION('',(0.,0.,1.)); #452032=DIRECTION('',(5.55111499297693E-15,1.,0.)); #452033=DIRECTION('',(0.,0.,1.)); #452034=DIRECTION('center_axis',(0.,0.,1.)); #452035=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #452036=DIRECTION('center_axis',(0.,0.,-1.)); #452037=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #452038=DIRECTION('center_axis',(0.,0.,1.)); #452039=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #452040=DIRECTION('',(0.,0.,1.)); #452041=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #452042=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #452043=DIRECTION('',(5.55111499297693E-15,1.,0.)); #452044=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #452045=DIRECTION('',(0.,0.,1.)); #452046=DIRECTION('center_axis',(0.,0.,1.)); #452047=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #452048=DIRECTION('center_axis',(0.,0.,-1.)); #452049=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #452050=DIRECTION('center_axis',(0.,0.,1.)); #452051=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #452052=DIRECTION('center_axis',(0.,0.,1.)); #452053=DIRECTION('ref_axis',(1.,0.,0.)); #452054=DIRECTION('center_axis',(0.,0.,1.)); #452055=DIRECTION('ref_axis',(1.,0.,0.)); #452056=DIRECTION('',(0.,0.,1.)); #452057=DIRECTION('center_axis',(0.,0.,-1.)); #452058=DIRECTION('ref_axis',(1.,0.,0.)); #452059=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #452060=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #452061=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #452062=DIRECTION('',(0.,0.,1.)); #452063=DIRECTION('',(5.55111499297693E-15,1.,0.)); #452064=DIRECTION('',(0.,0.,1.)); #452065=DIRECTION('center_axis',(0.,0.,1.)); #452066=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #452067=DIRECTION('center_axis',(0.,0.,-1.)); #452068=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #452069=DIRECTION('center_axis',(0.,0.,1.)); #452070=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #452071=DIRECTION('',(0.,0.,1.)); #452072=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #452073=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #452074=DIRECTION('',(5.55111499297693E-15,1.,0.)); #452075=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #452076=DIRECTION('',(0.,0.,1.)); #452077=DIRECTION('center_axis',(0.,0.,1.)); #452078=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #452079=DIRECTION('center_axis',(0.,0.,-1.)); #452080=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #452081=DIRECTION('center_axis',(0.,0.,1.)); #452082=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #452083=DIRECTION('center_axis',(0.,0.,1.)); #452084=DIRECTION('ref_axis',(1.,0.,0.)); #452085=DIRECTION('center_axis',(0.,0.,1.)); #452086=DIRECTION('ref_axis',(1.,0.,0.)); #452087=DIRECTION('',(0.,0.,1.)); #452088=DIRECTION('center_axis',(0.,0.,-1.)); #452089=DIRECTION('ref_axis',(1.,0.,0.)); #452090=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #452091=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #452092=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #452093=DIRECTION('',(0.,0.,1.)); #452094=DIRECTION('',(5.55111499297693E-15,1.,0.)); #452095=DIRECTION('',(0.,0.,1.)); #452096=DIRECTION('center_axis',(0.,0.,1.)); #452097=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #452098=DIRECTION('center_axis',(0.,0.,-1.)); #452099=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #452100=DIRECTION('center_axis',(0.,0.,1.)); #452101=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #452102=DIRECTION('',(0.,0.,1.)); #452103=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #452104=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #452105=DIRECTION('',(5.55111499297693E-15,1.,0.)); #452106=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #452107=DIRECTION('',(0.,0.,1.)); #452108=DIRECTION('center_axis',(0.,0.,1.)); #452109=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #452110=DIRECTION('center_axis',(0.,0.,-1.)); #452111=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #452112=DIRECTION('center_axis',(0.,0.,1.)); #452113=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #452114=DIRECTION('center_axis',(0.,0.,1.)); #452115=DIRECTION('ref_axis',(1.,0.,0.)); #452116=DIRECTION('center_axis',(0.,0.,1.)); #452117=DIRECTION('ref_axis',(1.,0.,0.)); #452118=DIRECTION('',(0.,0.,1.)); #452119=DIRECTION('center_axis',(0.,0.,-1.)); #452120=DIRECTION('ref_axis',(1.,0.,0.)); #452121=DIRECTION('center_axis',(0.,0.,1.)); #452122=DIRECTION('ref_axis',(1.,0.,0.)); #452123=DIRECTION('center_axis',(0.,0.,1.)); #452124=DIRECTION('ref_axis',(1.,0.,0.)); #452125=DIRECTION('',(0.,0.,1.)); #452126=DIRECTION('center_axis',(0.,0.,-1.)); #452127=DIRECTION('ref_axis',(1.,0.,0.)); #452128=DIRECTION('center_axis',(0.,0.,1.)); #452129=DIRECTION('ref_axis',(1.,0.,0.)); #452130=DIRECTION('center_axis',(0.,0.,1.)); #452131=DIRECTION('ref_axis',(1.,0.,0.)); #452132=DIRECTION('',(0.,0.,1.)); #452133=DIRECTION('center_axis',(0.,0.,-1.)); #452134=DIRECTION('ref_axis',(1.,0.,0.)); #452135=DIRECTION('center_axis',(0.,0.,1.)); #452136=DIRECTION('ref_axis',(1.,0.,0.)); #452137=DIRECTION('center_axis',(0.,0.,1.)); #452138=DIRECTION('ref_axis',(1.,0.,0.)); #452139=DIRECTION('',(0.,0.,1.)); #452140=DIRECTION('center_axis',(0.,0.,-1.)); #452141=DIRECTION('ref_axis',(1.,0.,0.)); #452142=DIRECTION('center_axis',(0.,0.,1.)); #452143=DIRECTION('ref_axis',(1.,0.,0.)); #452144=DIRECTION('center_axis',(0.,0.,1.)); #452145=DIRECTION('ref_axis',(1.,0.,0.)); #452146=DIRECTION('',(0.,0.,1.)); #452147=DIRECTION('center_axis',(0.,0.,-1.)); #452148=DIRECTION('ref_axis',(1.,0.,0.)); #452149=DIRECTION('center_axis',(0.,0.,1.)); #452150=DIRECTION('ref_axis',(1.,0.,0.)); #452151=DIRECTION('center_axis',(0.,0.,1.)); #452152=DIRECTION('ref_axis',(1.,0.,0.)); #452153=DIRECTION('',(0.,0.,1.)); #452154=DIRECTION('center_axis',(0.,0.,-1.)); #452155=DIRECTION('ref_axis',(1.,0.,0.)); #452156=DIRECTION('center_axis',(0.,0.,1.)); #452157=DIRECTION('ref_axis',(1.,0.,0.)); #452158=DIRECTION('center_axis',(0.,0.,1.)); #452159=DIRECTION('ref_axis',(1.,0.,0.)); #452160=DIRECTION('',(0.,0.,1.)); #452161=DIRECTION('center_axis',(0.,0.,-1.)); #452162=DIRECTION('ref_axis',(1.,0.,0.)); #452163=DIRECTION('center_axis',(0.,0.,1.)); #452164=DIRECTION('ref_axis',(1.,0.,0.)); #452165=DIRECTION('center_axis',(0.,0.,1.)); #452166=DIRECTION('ref_axis',(1.,0.,0.)); #452167=DIRECTION('',(0.,0.,1.)); #452168=DIRECTION('center_axis',(0.,0.,-1.)); #452169=DIRECTION('ref_axis',(1.,0.,0.)); #452170=DIRECTION('center_axis',(0.,0.,1.)); #452171=DIRECTION('ref_axis',(1.,0.,0.)); #452172=DIRECTION('center_axis',(0.,0.,1.)); #452173=DIRECTION('ref_axis',(1.,0.,0.)); #452174=DIRECTION('',(0.,0.,1.)); #452175=DIRECTION('center_axis',(0.,0.,-1.)); #452176=DIRECTION('ref_axis',(1.,0.,0.)); #452177=DIRECTION('center_axis',(0.,0.,1.)); #452178=DIRECTION('ref_axis',(1.,0.,0.)); #452179=DIRECTION('center_axis',(0.,0.,1.)); #452180=DIRECTION('ref_axis',(1.,0.,0.)); #452181=DIRECTION('',(0.,0.,1.)); #452182=DIRECTION('center_axis',(0.,0.,-1.)); #452183=DIRECTION('ref_axis',(1.,0.,0.)); #452184=DIRECTION('center_axis',(0.,0.,1.)); #452185=DIRECTION('ref_axis',(1.,0.,0.)); #452186=DIRECTION('center_axis',(0.,0.,1.)); #452187=DIRECTION('ref_axis',(1.,0.,0.)); #452188=DIRECTION('',(0.,0.,1.)); #452189=DIRECTION('center_axis',(0.,0.,-1.)); #452190=DIRECTION('ref_axis',(1.,0.,0.)); #452191=DIRECTION('center_axis',(0.,0.,1.)); #452192=DIRECTION('ref_axis',(1.,0.,0.)); #452193=DIRECTION('center_axis',(0.,0.,1.)); #452194=DIRECTION('ref_axis',(1.,0.,0.)); #452195=DIRECTION('',(0.,0.,1.)); #452196=DIRECTION('center_axis',(0.,0.,-1.)); #452197=DIRECTION('ref_axis',(1.,0.,0.)); #452198=DIRECTION('center_axis',(0.,0.,1.)); #452199=DIRECTION('ref_axis',(1.,0.,0.)); #452200=DIRECTION('center_axis',(0.,0.,1.)); #452201=DIRECTION('ref_axis',(1.,0.,0.)); #452202=DIRECTION('',(0.,0.,1.)); #452203=DIRECTION('center_axis',(0.,0.,-1.)); #452204=DIRECTION('ref_axis',(1.,0.,0.)); #452205=DIRECTION('center_axis',(0.,0.,1.)); #452206=DIRECTION('ref_axis',(1.,0.,0.)); #452207=DIRECTION('center_axis',(0.,0.,1.)); #452208=DIRECTION('ref_axis',(1.,0.,0.)); #452209=DIRECTION('',(0.,0.,1.)); #452210=DIRECTION('center_axis',(0.,0.,-1.)); #452211=DIRECTION('ref_axis',(1.,0.,0.)); #452212=DIRECTION('center_axis',(0.,0.,1.)); #452213=DIRECTION('ref_axis',(1.,0.,0.)); #452214=DIRECTION('center_axis',(0.,0.,1.)); #452215=DIRECTION('ref_axis',(1.,0.,0.)); #452216=DIRECTION('',(0.,0.,1.)); #452217=DIRECTION('center_axis',(0.,0.,-1.)); #452218=DIRECTION('ref_axis',(1.,0.,0.)); #452219=DIRECTION('center_axis',(0.,0.,1.)); #452220=DIRECTION('ref_axis',(1.,0.,0.)); #452221=DIRECTION('center_axis',(0.,0.,1.)); #452222=DIRECTION('ref_axis',(1.,0.,0.)); #452223=DIRECTION('',(0.,0.,1.)); #452224=DIRECTION('center_axis',(0.,0.,-1.)); #452225=DIRECTION('ref_axis',(1.,0.,0.)); #452226=DIRECTION('center_axis',(0.,0.,1.)); #452227=DIRECTION('ref_axis',(1.,0.,0.)); #452228=DIRECTION('center_axis',(0.,0.,1.)); #452229=DIRECTION('ref_axis',(1.,0.,0.)); #452230=DIRECTION('',(0.,0.,1.)); #452231=DIRECTION('center_axis',(0.,0.,-1.)); #452232=DIRECTION('ref_axis',(1.,0.,0.)); #452233=DIRECTION('center_axis',(0.,0.,1.)); #452234=DIRECTION('ref_axis',(1.,0.,0.)); #452235=DIRECTION('center_axis',(0.,0.,1.)); #452236=DIRECTION('ref_axis',(1.,0.,0.)); #452237=DIRECTION('',(0.,0.,1.)); #452238=DIRECTION('center_axis',(0.,0.,-1.)); #452239=DIRECTION('ref_axis',(1.,0.,0.)); #452240=DIRECTION('center_axis',(0.,0.,1.)); #452241=DIRECTION('ref_axis',(1.,0.,0.)); #452242=DIRECTION('center_axis',(0.,0.,1.)); #452243=DIRECTION('ref_axis',(1.,0.,0.)); #452244=DIRECTION('',(0.,0.,1.)); #452245=DIRECTION('center_axis',(0.,0.,-1.)); #452246=DIRECTION('ref_axis',(1.,0.,0.)); #452247=DIRECTION('center_axis',(0.,0.,1.)); #452248=DIRECTION('ref_axis',(1.,0.,0.)); #452249=DIRECTION('center_axis',(0.,0.,1.)); #452250=DIRECTION('ref_axis',(1.,0.,0.)); #452251=DIRECTION('',(0.,0.,1.)); #452252=DIRECTION('center_axis',(0.,0.,-1.)); #452253=DIRECTION('ref_axis',(1.,0.,0.)); #452254=DIRECTION('center_axis',(0.,0.,1.)); #452255=DIRECTION('ref_axis',(1.,0.,0.)); #452256=DIRECTION('center_axis',(0.,0.,1.)); #452257=DIRECTION('ref_axis',(1.,0.,0.)); #452258=DIRECTION('',(0.,0.,1.)); #452259=DIRECTION('center_axis',(0.,0.,-1.)); #452260=DIRECTION('ref_axis',(1.,0.,0.)); #452261=DIRECTION('center_axis',(0.,0.,1.)); #452262=DIRECTION('ref_axis',(1.,0.,0.)); #452263=DIRECTION('center_axis',(0.,0.,1.)); #452264=DIRECTION('ref_axis',(1.,0.,0.)); #452265=DIRECTION('',(0.,0.,1.)); #452266=DIRECTION('center_axis',(0.,0.,-1.)); #452267=DIRECTION('ref_axis',(1.,0.,0.)); #452268=DIRECTION('center_axis',(0.,0.,1.)); #452269=DIRECTION('ref_axis',(1.,0.,0.)); #452270=DIRECTION('center_axis',(0.,0.,1.)); #452271=DIRECTION('ref_axis',(1.,0.,0.)); #452272=DIRECTION('',(0.,0.,1.)); #452273=DIRECTION('center_axis',(0.,0.,-1.)); #452274=DIRECTION('ref_axis',(1.,0.,0.)); #452275=DIRECTION('center_axis',(0.,0.,1.)); #452276=DIRECTION('ref_axis',(1.,0.,0.)); #452277=DIRECTION('center_axis',(0.,0.,1.)); #452278=DIRECTION('ref_axis',(1.,0.,0.)); #452279=DIRECTION('',(0.,0.,1.)); #452280=DIRECTION('center_axis',(0.,0.,-1.)); #452281=DIRECTION('ref_axis',(1.,0.,0.)); #452282=DIRECTION('center_axis',(0.,0.,1.)); #452283=DIRECTION('ref_axis',(1.,0.,0.)); #452284=DIRECTION('center_axis',(0.,0.,1.)); #452285=DIRECTION('ref_axis',(1.,0.,0.)); #452286=DIRECTION('',(0.,0.,1.)); #452287=DIRECTION('center_axis',(0.,0.,-1.)); #452288=DIRECTION('ref_axis',(1.,0.,0.)); #452289=DIRECTION('center_axis',(0.,0.,1.)); #452290=DIRECTION('ref_axis',(1.,0.,0.)); #452291=DIRECTION('center_axis',(0.,0.,1.)); #452292=DIRECTION('ref_axis',(1.,0.,0.)); #452293=DIRECTION('',(0.,0.,1.)); #452294=DIRECTION('center_axis',(0.,0.,-1.)); #452295=DIRECTION('ref_axis',(1.,0.,0.)); #452296=DIRECTION('center_axis',(0.,0.,1.)); #452297=DIRECTION('ref_axis',(1.,0.,0.)); #452298=DIRECTION('center_axis',(0.,0.,1.)); #452299=DIRECTION('ref_axis',(1.,0.,0.)); #452300=DIRECTION('',(0.,0.,1.)); #452301=DIRECTION('center_axis',(0.,0.,-1.)); #452302=DIRECTION('ref_axis',(1.,0.,0.)); #452303=DIRECTION('center_axis',(0.,0.,1.)); #452304=DIRECTION('ref_axis',(1.,0.,0.)); #452305=DIRECTION('center_axis',(0.,0.,1.)); #452306=DIRECTION('ref_axis',(1.,0.,0.)); #452307=DIRECTION('',(0.,0.,1.)); #452308=DIRECTION('center_axis',(0.,0.,-1.)); #452309=DIRECTION('ref_axis',(1.,0.,0.)); #452310=DIRECTION('center_axis',(0.,0.,1.)); #452311=DIRECTION('ref_axis',(1.,0.,0.)); #452312=DIRECTION('center_axis',(0.,0.,1.)); #452313=DIRECTION('ref_axis',(1.,0.,0.)); #452314=DIRECTION('',(0.,0.,1.)); #452315=DIRECTION('center_axis',(0.,0.,-1.)); #452316=DIRECTION('ref_axis',(1.,0.,0.)); #452317=DIRECTION('center_axis',(0.,0.,1.)); #452318=DIRECTION('ref_axis',(1.,0.,0.)); #452319=DIRECTION('center_axis',(0.,0.,1.)); #452320=DIRECTION('ref_axis',(1.,0.,0.)); #452321=DIRECTION('',(0.,0.,1.)); #452322=DIRECTION('center_axis',(0.,0.,-1.)); #452323=DIRECTION('ref_axis',(1.,0.,0.)); #452324=DIRECTION('center_axis',(0.,0.,1.)); #452325=DIRECTION('ref_axis',(1.,0.,0.)); #452326=DIRECTION('center_axis',(0.,0.,1.)); #452327=DIRECTION('ref_axis',(1.,0.,0.)); #452328=DIRECTION('',(0.,0.,1.)); #452329=DIRECTION('center_axis',(0.,0.,-1.)); #452330=DIRECTION('ref_axis',(1.,0.,0.)); #452331=DIRECTION('center_axis',(0.,0.,1.)); #452332=DIRECTION('ref_axis',(1.,0.,0.)); #452333=DIRECTION('center_axis',(0.,0.,1.)); #452334=DIRECTION('ref_axis',(1.,0.,0.)); #452335=DIRECTION('',(0.,0.,1.)); #452336=DIRECTION('center_axis',(0.,0.,-1.)); #452337=DIRECTION('ref_axis',(1.,0.,0.)); #452338=DIRECTION('center_axis',(0.,0.,1.)); #452339=DIRECTION('ref_axis',(1.,0.,0.)); #452340=DIRECTION('center_axis',(0.,0.,1.)); #452341=DIRECTION('ref_axis',(1.,0.,0.)); #452342=DIRECTION('',(0.,0.,1.)); #452343=DIRECTION('center_axis',(0.,0.,-1.)); #452344=DIRECTION('ref_axis',(1.,0.,0.)); #452345=DIRECTION('center_axis',(0.,0.,1.)); #452346=DIRECTION('ref_axis',(1.,0.,0.)); #452347=DIRECTION('center_axis',(0.,0.,1.)); #452348=DIRECTION('ref_axis',(1.,0.,0.)); #452349=DIRECTION('',(0.,0.,1.)); #452350=DIRECTION('center_axis',(0.,0.,-1.)); #452351=DIRECTION('ref_axis',(1.,0.,0.)); #452352=DIRECTION('center_axis',(0.,0.,1.)); #452353=DIRECTION('ref_axis',(1.,0.,0.)); #452354=DIRECTION('center_axis',(0.,0.,1.)); #452355=DIRECTION('ref_axis',(1.,0.,0.)); #452356=DIRECTION('',(0.,0.,1.)); #452357=DIRECTION('center_axis',(0.,0.,-1.)); #452358=DIRECTION('ref_axis',(1.,0.,0.)); #452359=DIRECTION('center_axis',(0.,0.,1.)); #452360=DIRECTION('ref_axis',(1.,0.,0.)); #452361=DIRECTION('center_axis',(0.,0.,1.)); #452362=DIRECTION('ref_axis',(1.,0.,0.)); #452363=DIRECTION('',(0.,0.,1.)); #452364=DIRECTION('center_axis',(0.,0.,-1.)); #452365=DIRECTION('ref_axis',(1.,0.,0.)); #452366=DIRECTION('center_axis',(0.,0.,1.)); #452367=DIRECTION('ref_axis',(1.,0.,0.)); #452368=DIRECTION('center_axis',(0.,0.,1.)); #452369=DIRECTION('ref_axis',(1.,0.,0.)); #452370=DIRECTION('',(0.,0.,1.)); #452371=DIRECTION('center_axis',(0.,0.,-1.)); #452372=DIRECTION('ref_axis',(1.,0.,0.)); #452373=DIRECTION('center_axis',(0.,0.,1.)); #452374=DIRECTION('ref_axis',(1.,0.,0.)); #452375=DIRECTION('center_axis',(0.,0.,1.)); #452376=DIRECTION('ref_axis',(1.,0.,0.)); #452377=DIRECTION('',(0.,0.,1.)); #452378=DIRECTION('center_axis',(0.,0.,-1.)); #452379=DIRECTION('ref_axis',(1.,0.,0.)); #452380=DIRECTION('center_axis',(0.,0.,1.)); #452381=DIRECTION('ref_axis',(1.,0.,0.)); #452382=DIRECTION('center_axis',(0.,0.,1.)); #452383=DIRECTION('ref_axis',(1.,0.,0.)); #452384=DIRECTION('',(0.,0.,1.)); #452385=DIRECTION('center_axis',(0.,0.,-1.)); #452386=DIRECTION('ref_axis',(1.,0.,0.)); #452387=DIRECTION('center_axis',(0.,0.,1.)); #452388=DIRECTION('ref_axis',(1.,0.,0.)); #452389=DIRECTION('center_axis',(0.,0.,1.)); #452390=DIRECTION('ref_axis',(1.,0.,0.)); #452391=DIRECTION('',(0.,0.,1.)); #452392=DIRECTION('center_axis',(0.,0.,-1.)); #452393=DIRECTION('ref_axis',(1.,0.,0.)); #452394=DIRECTION('center_axis',(0.,0.,1.)); #452395=DIRECTION('ref_axis',(1.,0.,0.)); #452396=DIRECTION('center_axis',(0.,0.,1.)); #452397=DIRECTION('ref_axis',(1.,0.,0.)); #452398=DIRECTION('',(0.,0.,1.)); #452399=DIRECTION('center_axis',(0.,0.,-1.)); #452400=DIRECTION('ref_axis',(1.,0.,0.)); #452401=DIRECTION('center_axis',(0.,0.,1.)); #452402=DIRECTION('ref_axis',(1.,0.,0.)); #452403=DIRECTION('center_axis',(0.,0.,1.)); #452404=DIRECTION('ref_axis',(1.,0.,0.)); #452405=DIRECTION('',(0.,0.,1.)); #452406=DIRECTION('center_axis',(0.,0.,-1.)); #452407=DIRECTION('ref_axis',(1.,0.,0.)); #452408=DIRECTION('center_axis',(0.,0.,1.)); #452409=DIRECTION('ref_axis',(1.,0.,0.)); #452410=DIRECTION('center_axis',(0.,0.,1.)); #452411=DIRECTION('ref_axis',(1.,0.,0.)); #452412=DIRECTION('',(0.,0.,1.)); #452413=DIRECTION('center_axis',(0.,0.,-1.)); #452414=DIRECTION('ref_axis',(1.,0.,0.)); #452415=DIRECTION('center_axis',(0.,0.,1.)); #452416=DIRECTION('ref_axis',(1.,0.,0.)); #452417=DIRECTION('center_axis',(0.,0.,1.)); #452418=DIRECTION('ref_axis',(1.,0.,0.)); #452419=DIRECTION('',(0.,0.,1.)); #452420=DIRECTION('center_axis',(0.,0.,-1.)); #452421=DIRECTION('ref_axis',(1.,0.,0.)); #452422=DIRECTION('center_axis',(0.,0.,1.)); #452423=DIRECTION('ref_axis',(1.,0.,0.)); #452424=DIRECTION('center_axis',(0.,0.,1.)); #452425=DIRECTION('ref_axis',(1.,0.,0.)); #452426=DIRECTION('',(0.,0.,1.)); #452427=DIRECTION('center_axis',(0.,0.,-1.)); #452428=DIRECTION('ref_axis',(1.,0.,0.)); #452429=DIRECTION('center_axis',(0.,0.,1.)); #452430=DIRECTION('ref_axis',(1.,0.,0.)); #452431=DIRECTION('center_axis',(0.,0.,1.)); #452432=DIRECTION('ref_axis',(1.,0.,0.)); #452433=DIRECTION('',(0.,0.,1.)); #452434=DIRECTION('center_axis',(0.,0.,-1.)); #452435=DIRECTION('ref_axis',(1.,0.,0.)); #452436=DIRECTION('center_axis',(0.,0.,1.)); #452437=DIRECTION('ref_axis',(1.,0.,0.)); #452438=DIRECTION('center_axis',(0.,0.,1.)); #452439=DIRECTION('ref_axis',(1.,0.,0.)); #452440=DIRECTION('',(0.,0.,1.)); #452441=DIRECTION('center_axis',(0.,0.,-1.)); #452442=DIRECTION('ref_axis',(1.,0.,0.)); #452443=DIRECTION('center_axis',(0.,0.,1.)); #452444=DIRECTION('ref_axis',(1.,0.,0.)); #452445=DIRECTION('center_axis',(0.,0.,1.)); #452446=DIRECTION('ref_axis',(1.,0.,0.)); #452447=DIRECTION('',(0.,0.,1.)); #452448=DIRECTION('center_axis',(0.,0.,-1.)); #452449=DIRECTION('ref_axis',(1.,0.,0.)); #452450=DIRECTION('center_axis',(0.,0.,1.)); #452451=DIRECTION('ref_axis',(1.,0.,0.)); #452452=DIRECTION('center_axis',(0.,0.,1.)); #452453=DIRECTION('ref_axis',(1.,0.,0.)); #452454=DIRECTION('',(0.,0.,1.)); #452455=DIRECTION('center_axis',(0.,0.,-1.)); #452456=DIRECTION('ref_axis',(1.,0.,0.)); #452457=DIRECTION('center_axis',(0.,0.,1.)); #452458=DIRECTION('ref_axis',(1.,0.,0.)); #452459=DIRECTION('center_axis',(0.,0.,1.)); #452460=DIRECTION('ref_axis',(1.,0.,0.)); #452461=DIRECTION('',(0.,0.,1.)); #452462=DIRECTION('center_axis',(0.,0.,-1.)); #452463=DIRECTION('ref_axis',(1.,0.,0.)); #452464=DIRECTION('center_axis',(0.,0.,1.)); #452465=DIRECTION('ref_axis',(1.,0.,0.)); #452466=DIRECTION('center_axis',(0.,0.,1.)); #452467=DIRECTION('ref_axis',(1.,0.,0.)); #452468=DIRECTION('',(0.,0.,1.)); #452469=DIRECTION('center_axis',(0.,0.,-1.)); #452470=DIRECTION('ref_axis',(1.,0.,0.)); #452471=DIRECTION('center_axis',(0.,0.,1.)); #452472=DIRECTION('ref_axis',(1.,0.,0.)); #452473=DIRECTION('center_axis',(0.,0.,1.)); #452474=DIRECTION('ref_axis',(1.,0.,0.)); #452475=DIRECTION('',(0.,0.,1.)); #452476=DIRECTION('center_axis',(0.,0.,-1.)); #452477=DIRECTION('ref_axis',(1.,0.,0.)); #452478=DIRECTION('center_axis',(0.,0.,1.)); #452479=DIRECTION('ref_axis',(1.,0.,0.)); #452480=DIRECTION('center_axis',(0.,0.,1.)); #452481=DIRECTION('ref_axis',(1.,0.,0.)); #452482=DIRECTION('',(0.,0.,1.)); #452483=DIRECTION('center_axis',(0.,0.,-1.)); #452484=DIRECTION('ref_axis',(1.,0.,0.)); #452485=DIRECTION('center_axis',(0.,0.,1.)); #452486=DIRECTION('ref_axis',(1.,0.,0.)); #452487=DIRECTION('center_axis',(0.,0.,1.)); #452488=DIRECTION('ref_axis',(1.,0.,0.)); #452489=DIRECTION('',(0.,0.,1.)); #452490=DIRECTION('center_axis',(0.,0.,-1.)); #452491=DIRECTION('ref_axis',(1.,0.,0.)); #452492=DIRECTION('center_axis',(0.,0.,1.)); #452493=DIRECTION('ref_axis',(1.,0.,0.)); #452494=DIRECTION('center_axis',(0.,0.,1.)); #452495=DIRECTION('ref_axis',(1.,0.,0.)); #452496=DIRECTION('',(0.,0.,1.)); #452497=DIRECTION('center_axis',(0.,0.,-1.)); #452498=DIRECTION('ref_axis',(1.,0.,0.)); #452499=DIRECTION('center_axis',(0.,0.,1.)); #452500=DIRECTION('ref_axis',(1.,0.,0.)); #452501=DIRECTION('center_axis',(0.,0.,1.)); #452502=DIRECTION('ref_axis',(1.,0.,0.)); #452503=DIRECTION('',(0.,0.,1.)); #452504=DIRECTION('center_axis',(0.,0.,-1.)); #452505=DIRECTION('ref_axis',(1.,0.,0.)); #452506=DIRECTION('center_axis',(0.,0.,1.)); #452507=DIRECTION('ref_axis',(1.,0.,0.)); #452508=DIRECTION('center_axis',(0.,0.,1.)); #452509=DIRECTION('ref_axis',(1.,0.,0.)); #452510=DIRECTION('',(0.,0.,1.)); #452511=DIRECTION('center_axis',(0.,0.,-1.)); #452512=DIRECTION('ref_axis',(1.,0.,0.)); #452513=DIRECTION('center_axis',(0.,0.,1.)); #452514=DIRECTION('ref_axis',(1.,0.,0.)); #452515=DIRECTION('center_axis',(0.,0.,1.)); #452516=DIRECTION('ref_axis',(1.,0.,0.)); #452517=DIRECTION('',(0.,0.,1.)); #452518=DIRECTION('center_axis',(0.,0.,-1.)); #452519=DIRECTION('ref_axis',(1.,0.,0.)); #452520=DIRECTION('center_axis',(0.,0.,1.)); #452521=DIRECTION('ref_axis',(1.,0.,0.)); #452522=DIRECTION('center_axis',(0.,0.,1.)); #452523=DIRECTION('ref_axis',(1.,0.,0.)); #452524=DIRECTION('',(0.,0.,1.)); #452525=DIRECTION('center_axis',(0.,0.,-1.)); #452526=DIRECTION('ref_axis',(1.,0.,0.)); #452527=DIRECTION('center_axis',(0.,0.,1.)); #452528=DIRECTION('ref_axis',(1.,0.,0.)); #452529=DIRECTION('center_axis',(0.,0.,1.)); #452530=DIRECTION('ref_axis',(1.,0.,0.)); #452531=DIRECTION('',(0.,0.,1.)); #452532=DIRECTION('center_axis',(0.,0.,-1.)); #452533=DIRECTION('ref_axis',(1.,0.,0.)); #452534=DIRECTION('center_axis',(0.,0.,1.)); #452535=DIRECTION('ref_axis',(1.,0.,0.)); #452536=DIRECTION('center_axis',(0.,0.,1.)); #452537=DIRECTION('ref_axis',(1.,0.,0.)); #452538=DIRECTION('',(0.,0.,1.)); #452539=DIRECTION('center_axis',(0.,0.,-1.)); #452540=DIRECTION('ref_axis',(1.,0.,0.)); #452541=DIRECTION('center_axis',(0.,0.,1.)); #452542=DIRECTION('ref_axis',(1.,0.,0.)); #452543=DIRECTION('center_axis',(0.,0.,1.)); #452544=DIRECTION('ref_axis',(1.,0.,0.)); #452545=DIRECTION('',(0.,0.,1.)); #452546=DIRECTION('center_axis',(0.,0.,-1.)); #452547=DIRECTION('ref_axis',(1.,0.,0.)); #452548=DIRECTION('center_axis',(0.,0.,1.)); #452549=DIRECTION('ref_axis',(1.,0.,0.)); #452550=DIRECTION('center_axis',(0.,0.,1.)); #452551=DIRECTION('ref_axis',(1.,0.,0.)); #452552=DIRECTION('',(0.,0.,1.)); #452553=DIRECTION('center_axis',(0.,0.,-1.)); #452554=DIRECTION('ref_axis',(1.,0.,0.)); #452555=DIRECTION('center_axis',(0.,0.,1.)); #452556=DIRECTION('ref_axis',(1.,0.,0.)); #452557=DIRECTION('center_axis',(0.,0.,1.)); #452558=DIRECTION('ref_axis',(1.,0.,0.)); #452559=DIRECTION('',(0.,0.,1.)); #452560=DIRECTION('center_axis',(0.,0.,-1.)); #452561=DIRECTION('ref_axis',(1.,0.,0.)); #452562=DIRECTION('center_axis',(0.,0.,1.)); #452563=DIRECTION('ref_axis',(1.,0.,0.)); #452564=DIRECTION('center_axis',(0.,0.,1.)); #452565=DIRECTION('ref_axis',(1.,0.,0.)); #452566=DIRECTION('',(0.,0.,1.)); #452567=DIRECTION('center_axis',(0.,0.,-1.)); #452568=DIRECTION('ref_axis',(1.,0.,0.)); #452569=DIRECTION('center_axis',(0.,0.,1.)); #452570=DIRECTION('ref_axis',(1.,0.,0.)); #452571=DIRECTION('center_axis',(0.,0.,1.)); #452572=DIRECTION('ref_axis',(1.,0.,0.)); #452573=DIRECTION('',(0.,0.,1.)); #452574=DIRECTION('center_axis',(0.,0.,-1.)); #452575=DIRECTION('ref_axis',(1.,0.,0.)); #452576=DIRECTION('center_axis',(0.,0.,1.)); #452577=DIRECTION('ref_axis',(1.,0.,0.)); #452578=DIRECTION('center_axis',(0.,0.,1.)); #452579=DIRECTION('ref_axis',(1.,0.,0.)); #452580=DIRECTION('',(0.,0.,1.)); #452581=DIRECTION('center_axis',(0.,0.,-1.)); #452582=DIRECTION('ref_axis',(1.,0.,0.)); #452583=DIRECTION('center_axis',(0.,0.,1.)); #452584=DIRECTION('ref_axis',(1.,0.,0.)); #452585=DIRECTION('center_axis',(0.,0.,1.)); #452586=DIRECTION('ref_axis',(1.,0.,0.)); #452587=DIRECTION('',(0.,0.,1.)); #452588=DIRECTION('center_axis',(0.,0.,-1.)); #452589=DIRECTION('ref_axis',(1.,0.,0.)); #452590=DIRECTION('center_axis',(0.,0.,1.)); #452591=DIRECTION('ref_axis',(1.,0.,0.)); #452592=DIRECTION('center_axis',(0.,0.,1.)); #452593=DIRECTION('ref_axis',(1.,0.,0.)); #452594=DIRECTION('',(0.,0.,1.)); #452595=DIRECTION('center_axis',(0.,0.,-1.)); #452596=DIRECTION('ref_axis',(1.,0.,0.)); #452597=DIRECTION('center_axis',(0.,0.,1.)); #452598=DIRECTION('ref_axis',(1.,0.,0.)); #452599=DIRECTION('center_axis',(0.,0.,1.)); #452600=DIRECTION('ref_axis',(1.,0.,0.)); #452601=DIRECTION('',(0.,0.,1.)); #452602=DIRECTION('center_axis',(0.,0.,-1.)); #452603=DIRECTION('ref_axis',(1.,0.,0.)); #452604=DIRECTION('center_axis',(0.,0.,1.)); #452605=DIRECTION('ref_axis',(1.,0.,0.)); #452606=DIRECTION('center_axis',(0.,0.,1.)); #452607=DIRECTION('ref_axis',(1.,0.,0.)); #452608=DIRECTION('',(0.,0.,1.)); #452609=DIRECTION('center_axis',(0.,0.,-1.)); #452610=DIRECTION('ref_axis',(1.,0.,0.)); #452611=DIRECTION('center_axis',(0.,0.,1.)); #452612=DIRECTION('ref_axis',(1.,0.,0.)); #452613=DIRECTION('center_axis',(0.,0.,1.)); #452614=DIRECTION('ref_axis',(1.,0.,0.)); #452615=DIRECTION('',(0.,0.,1.)); #452616=DIRECTION('center_axis',(0.,0.,-1.)); #452617=DIRECTION('ref_axis',(1.,0.,0.)); #452618=DIRECTION('center_axis',(0.,0.,1.)); #452619=DIRECTION('ref_axis',(1.,0.,0.)); #452620=DIRECTION('center_axis',(0.,0.,1.)); #452621=DIRECTION('ref_axis',(1.,0.,0.)); #452622=DIRECTION('',(0.,0.,1.)); #452623=DIRECTION('center_axis',(0.,0.,-1.)); #452624=DIRECTION('ref_axis',(1.,0.,0.)); #452625=DIRECTION('center_axis',(0.,0.,1.)); #452626=DIRECTION('ref_axis',(1.,0.,0.)); #452627=DIRECTION('center_axis',(0.,0.,1.)); #452628=DIRECTION('ref_axis',(1.,0.,0.)); #452629=DIRECTION('',(0.,0.,1.)); #452630=DIRECTION('center_axis',(0.,0.,-1.)); #452631=DIRECTION('ref_axis',(1.,0.,0.)); #452632=DIRECTION('center_axis',(0.,0.,1.)); #452633=DIRECTION('ref_axis',(1.,0.,0.)); #452634=DIRECTION('center_axis',(0.,0.,1.)); #452635=DIRECTION('ref_axis',(1.,0.,0.)); #452636=DIRECTION('',(0.,0.,1.)); #452637=DIRECTION('center_axis',(0.,0.,-1.)); #452638=DIRECTION('ref_axis',(1.,0.,0.)); #452639=DIRECTION('center_axis',(0.,0.,1.)); #452640=DIRECTION('ref_axis',(1.,0.,0.)); #452641=DIRECTION('center_axis',(0.,0.,1.)); #452642=DIRECTION('ref_axis',(1.,0.,0.)); #452643=DIRECTION('',(0.,0.,1.)); #452644=DIRECTION('center_axis',(0.,0.,-1.)); #452645=DIRECTION('ref_axis',(1.,0.,0.)); #452646=DIRECTION('center_axis',(0.,0.,1.)); #452647=DIRECTION('ref_axis',(1.,0.,0.)); #452648=DIRECTION('center_axis',(0.,0.,1.)); #452649=DIRECTION('ref_axis',(1.,0.,0.)); #452650=DIRECTION('',(0.,0.,1.)); #452651=DIRECTION('center_axis',(0.,0.,-1.)); #452652=DIRECTION('ref_axis',(1.,0.,0.)); #452653=DIRECTION('center_axis',(0.,0.,1.)); #452654=DIRECTION('ref_axis',(1.,0.,0.)); #452655=DIRECTION('center_axis',(0.,0.,1.)); #452656=DIRECTION('ref_axis',(1.,0.,0.)); #452657=DIRECTION('',(0.,0.,1.)); #452658=DIRECTION('center_axis',(0.,0.,-1.)); #452659=DIRECTION('ref_axis',(1.,0.,0.)); #452660=DIRECTION('center_axis',(0.,0.,1.)); #452661=DIRECTION('ref_axis',(1.,0.,0.)); #452662=DIRECTION('center_axis',(0.,0.,1.)); #452663=DIRECTION('ref_axis',(1.,0.,0.)); #452664=DIRECTION('',(0.,0.,1.)); #452665=DIRECTION('center_axis',(0.,0.,-1.)); #452666=DIRECTION('ref_axis',(1.,0.,0.)); #452667=DIRECTION('center_axis',(0.,0.,1.)); #452668=DIRECTION('ref_axis',(1.,0.,0.)); #452669=DIRECTION('center_axis',(0.,0.,1.)); #452670=DIRECTION('ref_axis',(1.,0.,0.)); #452671=DIRECTION('',(0.,0.,1.)); #452672=DIRECTION('center_axis',(0.,0.,-1.)); #452673=DIRECTION('ref_axis',(1.,0.,0.)); #452674=DIRECTION('center_axis',(0.,0.,1.)); #452675=DIRECTION('ref_axis',(1.,0.,0.)); #452676=DIRECTION('center_axis',(0.,0.,1.)); #452677=DIRECTION('ref_axis',(1.,0.,0.)); #452678=DIRECTION('',(0.,0.,1.)); #452679=DIRECTION('center_axis',(0.,0.,-1.)); #452680=DIRECTION('ref_axis',(1.,0.,0.)); #452681=DIRECTION('center_axis',(0.,0.,1.)); #452682=DIRECTION('ref_axis',(1.,0.,0.)); #452683=DIRECTION('center_axis',(0.,0.,1.)); #452684=DIRECTION('ref_axis',(1.,0.,0.)); #452685=DIRECTION('',(0.,0.,1.)); #452686=DIRECTION('center_axis',(0.,0.,-1.)); #452687=DIRECTION('ref_axis',(1.,0.,0.)); #452688=DIRECTION('center_axis',(0.,0.,1.)); #452689=DIRECTION('ref_axis',(1.,0.,0.)); #452690=DIRECTION('center_axis',(0.,0.,1.)); #452691=DIRECTION('ref_axis',(1.,0.,0.)); #452692=DIRECTION('',(0.,0.,1.)); #452693=DIRECTION('center_axis',(0.,0.,-1.)); #452694=DIRECTION('ref_axis',(1.,0.,0.)); #452695=DIRECTION('center_axis',(0.,0.,1.)); #452696=DIRECTION('ref_axis',(1.,0.,0.)); #452697=DIRECTION('center_axis',(0.,0.,1.)); #452698=DIRECTION('ref_axis',(1.,0.,0.)); #452699=DIRECTION('',(0.,0.,1.)); #452700=DIRECTION('center_axis',(0.,0.,-1.)); #452701=DIRECTION('ref_axis',(1.,0.,0.)); #452702=DIRECTION('center_axis',(0.,0.,1.)); #452703=DIRECTION('ref_axis',(1.,0.,0.)); #452704=DIRECTION('center_axis',(0.,0.,1.)); #452705=DIRECTION('ref_axis',(1.,0.,0.)); #452706=DIRECTION('',(0.,0.,1.)); #452707=DIRECTION('center_axis',(0.,0.,-1.)); #452708=DIRECTION('ref_axis',(1.,0.,0.)); #452709=DIRECTION('center_axis',(0.,0.,1.)); #452710=DIRECTION('ref_axis',(1.,0.,0.)); #452711=DIRECTION('center_axis',(0.,0.,1.)); #452712=DIRECTION('ref_axis',(1.,0.,0.)); #452713=DIRECTION('',(0.,0.,1.)); #452714=DIRECTION('center_axis',(0.,0.,-1.)); #452715=DIRECTION('ref_axis',(1.,0.,0.)); #452716=DIRECTION('center_axis',(0.,0.,1.)); #452717=DIRECTION('ref_axis',(1.,0.,0.)); #452718=DIRECTION('center_axis',(0.,0.,1.)); #452719=DIRECTION('ref_axis',(1.,0.,0.)); #452720=DIRECTION('',(0.,0.,1.)); #452721=DIRECTION('center_axis',(0.,0.,-1.)); #452722=DIRECTION('ref_axis',(1.,0.,0.)); #452723=DIRECTION('center_axis',(0.,0.,1.)); #452724=DIRECTION('ref_axis',(1.,0.,0.)); #452725=DIRECTION('center_axis',(0.,0.,1.)); #452726=DIRECTION('ref_axis',(1.,0.,0.)); #452727=DIRECTION('',(0.,0.,1.)); #452728=DIRECTION('center_axis',(0.,0.,-1.)); #452729=DIRECTION('ref_axis',(1.,0.,0.)); #452730=DIRECTION('center_axis',(0.,0.,1.)); #452731=DIRECTION('ref_axis',(1.,0.,0.)); #452732=DIRECTION('center_axis',(0.,0.,1.)); #452733=DIRECTION('ref_axis',(1.,0.,0.)); #452734=DIRECTION('',(0.,0.,1.)); #452735=DIRECTION('center_axis',(0.,0.,-1.)); #452736=DIRECTION('ref_axis',(1.,0.,0.)); #452737=DIRECTION('center_axis',(0.,0.,1.)); #452738=DIRECTION('ref_axis',(1.,0.,0.)); #452739=DIRECTION('center_axis',(0.,0.,1.)); #452740=DIRECTION('ref_axis',(1.,0.,0.)); #452741=DIRECTION('',(0.,0.,1.)); #452742=DIRECTION('center_axis',(0.,0.,-1.)); #452743=DIRECTION('ref_axis',(1.,0.,0.)); #452744=DIRECTION('center_axis',(0.,0.,1.)); #452745=DIRECTION('ref_axis',(1.,0.,0.)); #452746=DIRECTION('center_axis',(0.,0.,1.)); #452747=DIRECTION('ref_axis',(1.,0.,0.)); #452748=DIRECTION('',(0.,0.,1.)); #452749=DIRECTION('center_axis',(0.,0.,-1.)); #452750=DIRECTION('ref_axis',(1.,0.,0.)); #452751=DIRECTION('center_axis',(0.,0.,1.)); #452752=DIRECTION('ref_axis',(1.,0.,0.)); #452753=DIRECTION('center_axis',(0.,0.,1.)); #452754=DIRECTION('ref_axis',(1.,0.,0.)); #452755=DIRECTION('',(0.,0.,1.)); #452756=DIRECTION('center_axis',(0.,0.,-1.)); #452757=DIRECTION('ref_axis',(1.,0.,0.)); #452758=DIRECTION('center_axis',(0.,0.,1.)); #452759=DIRECTION('ref_axis',(1.,0.,0.)); #452760=DIRECTION('center_axis',(0.,0.,1.)); #452761=DIRECTION('ref_axis',(1.,0.,0.)); #452762=DIRECTION('',(0.,0.,1.)); #452763=DIRECTION('center_axis',(0.,0.,-1.)); #452764=DIRECTION('ref_axis',(1.,0.,0.)); #452765=DIRECTION('center_axis',(0.,0.,1.)); #452766=DIRECTION('ref_axis',(1.,0.,0.)); #452767=DIRECTION('center_axis',(0.,0.,1.)); #452768=DIRECTION('ref_axis',(1.,0.,0.)); #452769=DIRECTION('',(0.,0.,1.)); #452770=DIRECTION('center_axis',(0.,0.,-1.)); #452771=DIRECTION('ref_axis',(1.,0.,0.)); #452772=DIRECTION('center_axis',(0.,0.,1.)); #452773=DIRECTION('ref_axis',(1.,0.,0.)); #452774=DIRECTION('center_axis',(0.,0.,1.)); #452775=DIRECTION('ref_axis',(1.,0.,0.)); #452776=DIRECTION('',(0.,0.,1.)); #452777=DIRECTION('center_axis',(0.,0.,-1.)); #452778=DIRECTION('ref_axis',(1.,0.,0.)); #452779=DIRECTION('center_axis',(0.,0.,1.)); #452780=DIRECTION('ref_axis',(1.,0.,0.)); #452781=DIRECTION('center_axis',(0.,0.,1.)); #452782=DIRECTION('ref_axis',(1.,0.,0.)); #452783=DIRECTION('',(0.,0.,1.)); #452784=DIRECTION('center_axis',(0.,0.,-1.)); #452785=DIRECTION('ref_axis',(1.,0.,0.)); #452786=DIRECTION('center_axis',(0.,0.,1.)); #452787=DIRECTION('ref_axis',(1.,0.,0.)); #452788=DIRECTION('center_axis',(0.,0.,1.)); #452789=DIRECTION('ref_axis',(1.,0.,0.)); #452790=DIRECTION('',(0.,0.,1.)); #452791=DIRECTION('center_axis',(0.,0.,-1.)); #452792=DIRECTION('ref_axis',(1.,0.,0.)); #452793=DIRECTION('center_axis',(0.,0.,1.)); #452794=DIRECTION('ref_axis',(1.,0.,0.)); #452795=DIRECTION('center_axis',(0.,0.,1.)); #452796=DIRECTION('ref_axis',(1.,0.,0.)); #452797=DIRECTION('',(0.,0.,1.)); #452798=DIRECTION('center_axis',(0.,0.,-1.)); #452799=DIRECTION('ref_axis',(1.,0.,0.)); #452800=DIRECTION('center_axis',(0.,0.,1.)); #452801=DIRECTION('ref_axis',(1.,0.,0.)); #452802=DIRECTION('center_axis',(0.,0.,1.)); #452803=DIRECTION('ref_axis',(1.,0.,0.)); #452804=DIRECTION('',(0.,0.,1.)); #452805=DIRECTION('center_axis',(0.,0.,-1.)); #452806=DIRECTION('ref_axis',(1.,0.,0.)); #452807=DIRECTION('center_axis',(0.,0.,1.)); #452808=DIRECTION('ref_axis',(1.,0.,0.)); #452809=DIRECTION('center_axis',(0.,0.,1.)); #452810=DIRECTION('ref_axis',(1.,0.,0.)); #452811=DIRECTION('',(0.,0.,1.)); #452812=DIRECTION('center_axis',(0.,0.,-1.)); #452813=DIRECTION('ref_axis',(1.,0.,0.)); #452814=DIRECTION('center_axis',(0.,0.,1.)); #452815=DIRECTION('ref_axis',(1.,0.,0.)); #452816=DIRECTION('center_axis',(0.,0.,1.)); #452817=DIRECTION('ref_axis',(1.,0.,0.)); #452818=DIRECTION('',(0.,0.,1.)); #452819=DIRECTION('center_axis',(0.,0.,-1.)); #452820=DIRECTION('ref_axis',(1.,0.,0.)); #452821=DIRECTION('center_axis',(0.,0.,1.)); #452822=DIRECTION('ref_axis',(1.,0.,0.)); #452823=DIRECTION('center_axis',(0.,0.,1.)); #452824=DIRECTION('ref_axis',(1.,0.,0.)); #452825=DIRECTION('',(0.,0.,1.)); #452826=DIRECTION('center_axis',(0.,0.,-1.)); #452827=DIRECTION('ref_axis',(1.,0.,0.)); #452828=DIRECTION('center_axis',(0.,0.,1.)); #452829=DIRECTION('ref_axis',(1.,0.,0.)); #452830=DIRECTION('center_axis',(0.,0.,1.)); #452831=DIRECTION('ref_axis',(1.,0.,0.)); #452832=DIRECTION('',(0.,0.,1.)); #452833=DIRECTION('center_axis',(0.,0.,-1.)); #452834=DIRECTION('ref_axis',(1.,0.,0.)); #452835=DIRECTION('center_axis',(0.,0.,1.)); #452836=DIRECTION('ref_axis',(1.,0.,0.)); #452837=DIRECTION('center_axis',(0.,0.,1.)); #452838=DIRECTION('ref_axis',(1.,0.,0.)); #452839=DIRECTION('',(0.,0.,1.)); #452840=DIRECTION('center_axis',(0.,0.,-1.)); #452841=DIRECTION('ref_axis',(1.,0.,0.)); #452842=DIRECTION('center_axis',(0.,0.,1.)); #452843=DIRECTION('ref_axis',(1.,0.,0.)); #452844=DIRECTION('center_axis',(0.,0.,1.)); #452845=DIRECTION('ref_axis',(1.,0.,0.)); #452846=DIRECTION('',(0.,0.,1.)); #452847=DIRECTION('center_axis',(0.,0.,-1.)); #452848=DIRECTION('ref_axis',(1.,0.,0.)); #452849=DIRECTION('center_axis',(0.,0.,1.)); #452850=DIRECTION('ref_axis',(1.,0.,0.)); #452851=DIRECTION('center_axis',(0.,0.,1.)); #452852=DIRECTION('ref_axis',(1.,0.,0.)); #452853=DIRECTION('',(0.,0.,1.)); #452854=DIRECTION('center_axis',(0.,0.,-1.)); #452855=DIRECTION('ref_axis',(1.,0.,0.)); #452856=DIRECTION('center_axis',(0.,0.,1.)); #452857=DIRECTION('ref_axis',(1.,0.,0.)); #452858=DIRECTION('center_axis',(0.,0.,1.)); #452859=DIRECTION('ref_axis',(1.,0.,0.)); #452860=DIRECTION('',(0.,0.,1.)); #452861=DIRECTION('center_axis',(0.,0.,-1.)); #452862=DIRECTION('ref_axis',(1.,0.,0.)); #452863=DIRECTION('center_axis',(0.,0.,1.)); #452864=DIRECTION('ref_axis',(1.,0.,0.)); #452865=DIRECTION('center_axis',(0.,0.,1.)); #452866=DIRECTION('ref_axis',(1.,0.,0.)); #452867=DIRECTION('',(0.,0.,1.)); #452868=DIRECTION('center_axis',(0.,0.,-1.)); #452869=DIRECTION('ref_axis',(1.,0.,0.)); #452870=DIRECTION('center_axis',(0.,0.,1.)); #452871=DIRECTION('ref_axis',(1.,0.,0.)); #452872=DIRECTION('center_axis',(0.,0.,1.)); #452873=DIRECTION('ref_axis',(1.,0.,0.)); #452874=DIRECTION('',(0.,0.,1.)); #452875=DIRECTION('center_axis',(0.,0.,-1.)); #452876=DIRECTION('ref_axis',(1.,0.,0.)); #452877=DIRECTION('center_axis',(0.,0.,1.)); #452878=DIRECTION('ref_axis',(1.,0.,0.)); #452879=DIRECTION('center_axis',(0.,0.,1.)); #452880=DIRECTION('ref_axis',(1.,0.,0.)); #452881=DIRECTION('',(0.,0.,1.)); #452882=DIRECTION('center_axis',(0.,0.,-1.)); #452883=DIRECTION('ref_axis',(1.,0.,0.)); #452884=DIRECTION('center_axis',(0.,0.,1.)); #452885=DIRECTION('ref_axis',(1.,0.,0.)); #452886=DIRECTION('center_axis',(0.,0.,1.)); #452887=DIRECTION('ref_axis',(1.,0.,0.)); #452888=DIRECTION('',(0.,0.,1.)); #452889=DIRECTION('center_axis',(0.,0.,-1.)); #452890=DIRECTION('ref_axis',(1.,0.,0.)); #452891=DIRECTION('center_axis',(0.,0.,1.)); #452892=DIRECTION('ref_axis',(1.,0.,0.)); #452893=DIRECTION('center_axis',(0.,0.,1.)); #452894=DIRECTION('ref_axis',(1.,0.,0.)); #452895=DIRECTION('',(0.,0.,1.)); #452896=DIRECTION('center_axis',(0.,0.,-1.)); #452897=DIRECTION('ref_axis',(1.,0.,0.)); #452898=DIRECTION('center_axis',(0.,0.,1.)); #452899=DIRECTION('ref_axis',(1.,0.,0.)); #452900=DIRECTION('center_axis',(0.,0.,1.)); #452901=DIRECTION('ref_axis',(1.,0.,0.)); #452902=DIRECTION('',(0.,0.,1.)); #452903=DIRECTION('center_axis',(0.,0.,-1.)); #452904=DIRECTION('ref_axis',(1.,0.,0.)); #452905=DIRECTION('center_axis',(0.,0.,1.)); #452906=DIRECTION('ref_axis',(1.,0.,0.)); #452907=DIRECTION('center_axis',(0.,0.,1.)); #452908=DIRECTION('ref_axis',(1.,0.,0.)); #452909=DIRECTION('',(0.,0.,1.)); #452910=DIRECTION('center_axis',(0.,0.,-1.)); #452911=DIRECTION('ref_axis',(1.,0.,0.)); #452912=DIRECTION('center_axis',(0.,0.,1.)); #452913=DIRECTION('ref_axis',(1.,0.,0.)); #452914=DIRECTION('center_axis',(0.,0.,1.)); #452915=DIRECTION('ref_axis',(1.,0.,0.)); #452916=DIRECTION('',(0.,0.,1.)); #452917=DIRECTION('center_axis',(0.,0.,-1.)); #452918=DIRECTION('ref_axis',(1.,0.,0.)); #452919=DIRECTION('center_axis',(0.,0.,1.)); #452920=DIRECTION('ref_axis',(1.,0.,0.)); #452921=DIRECTION('center_axis',(0.,0.,1.)); #452922=DIRECTION('ref_axis',(1.,0.,0.)); #452923=DIRECTION('',(0.,0.,1.)); #452924=DIRECTION('center_axis',(0.,0.,-1.)); #452925=DIRECTION('ref_axis',(1.,0.,0.)); #452926=DIRECTION('center_axis',(0.,0.,1.)); #452927=DIRECTION('ref_axis',(1.,0.,0.)); #452928=DIRECTION('center_axis',(0.,0.,1.)); #452929=DIRECTION('ref_axis',(1.,0.,0.)); #452930=DIRECTION('',(0.,0.,1.)); #452931=DIRECTION('center_axis',(0.,0.,-1.)); #452932=DIRECTION('ref_axis',(1.,0.,0.)); #452933=DIRECTION('center_axis',(0.,0.,1.)); #452934=DIRECTION('ref_axis',(1.,0.,0.)); #452935=DIRECTION('center_axis',(0.,0.,1.)); #452936=DIRECTION('ref_axis',(1.,0.,0.)); #452937=DIRECTION('',(0.,0.,1.)); #452938=DIRECTION('center_axis',(0.,0.,-1.)); #452939=DIRECTION('ref_axis',(1.,0.,0.)); #452940=DIRECTION('center_axis',(0.,0.,1.)); #452941=DIRECTION('ref_axis',(1.,0.,0.)); #452942=DIRECTION('center_axis',(0.,0.,1.)); #452943=DIRECTION('ref_axis',(1.,0.,0.)); #452944=DIRECTION('',(0.,0.,1.)); #452945=DIRECTION('center_axis',(0.,0.,-1.)); #452946=DIRECTION('ref_axis',(1.,0.,0.)); #452947=DIRECTION('center_axis',(0.,0.,1.)); #452948=DIRECTION('ref_axis',(1.,0.,0.)); #452949=DIRECTION('center_axis',(0.,0.,1.)); #452950=DIRECTION('ref_axis',(1.,0.,0.)); #452951=DIRECTION('',(0.,0.,1.)); #452952=DIRECTION('center_axis',(0.,0.,-1.)); #452953=DIRECTION('ref_axis',(1.,0.,0.)); #452954=DIRECTION('center_axis',(0.,0.,1.)); #452955=DIRECTION('ref_axis',(1.,0.,0.)); #452956=DIRECTION('center_axis',(0.,0.,1.)); #452957=DIRECTION('ref_axis',(1.,0.,0.)); #452958=DIRECTION('',(0.,0.,1.)); #452959=DIRECTION('center_axis',(0.,0.,-1.)); #452960=DIRECTION('ref_axis',(1.,0.,0.)); #452961=DIRECTION('center_axis',(0.,0.,1.)); #452962=DIRECTION('ref_axis',(1.,0.,0.)); #452963=DIRECTION('center_axis',(0.,0.,1.)); #452964=DIRECTION('ref_axis',(1.,0.,0.)); #452965=DIRECTION('',(0.,0.,1.)); #452966=DIRECTION('center_axis',(0.,0.,-1.)); #452967=DIRECTION('ref_axis',(1.,0.,0.)); #452968=DIRECTION('center_axis',(0.,0.,1.)); #452969=DIRECTION('ref_axis',(1.,0.,0.)); #452970=DIRECTION('center_axis',(0.,0.,1.)); #452971=DIRECTION('ref_axis',(1.,0.,0.)); #452972=DIRECTION('',(0.,0.,1.)); #452973=DIRECTION('center_axis',(0.,0.,-1.)); #452974=DIRECTION('ref_axis',(1.,0.,0.)); #452975=DIRECTION('center_axis',(0.,0.,1.)); #452976=DIRECTION('ref_axis',(1.,0.,0.)); #452977=DIRECTION('center_axis',(0.,0.,1.)); #452978=DIRECTION('ref_axis',(1.,0.,0.)); #452979=DIRECTION('',(0.,0.,1.)); #452980=DIRECTION('center_axis',(0.,0.,-1.)); #452981=DIRECTION('ref_axis',(1.,0.,0.)); #452982=DIRECTION('center_axis',(0.,0.,1.)); #452983=DIRECTION('ref_axis',(1.,0.,0.)); #452984=DIRECTION('center_axis',(0.,0.,1.)); #452985=DIRECTION('ref_axis',(1.,0.,0.)); #452986=DIRECTION('',(0.,0.,1.)); #452987=DIRECTION('center_axis',(0.,0.,-1.)); #452988=DIRECTION('ref_axis',(1.,0.,0.)); #452989=DIRECTION('center_axis',(0.,0.,1.)); #452990=DIRECTION('ref_axis',(1.,0.,0.)); #452991=DIRECTION('center_axis',(0.,0.,1.)); #452992=DIRECTION('ref_axis',(1.,0.,0.)); #452993=DIRECTION('',(0.,0.,1.)); #452994=DIRECTION('center_axis',(0.,0.,-1.)); #452995=DIRECTION('ref_axis',(1.,0.,0.)); #452996=DIRECTION('center_axis',(0.,0.,1.)); #452997=DIRECTION('ref_axis',(1.,0.,0.)); #452998=DIRECTION('center_axis',(0.,0.,1.)); #452999=DIRECTION('ref_axis',(1.,0.,0.)); #453000=DIRECTION('',(0.,0.,1.)); #453001=DIRECTION('center_axis',(0.,0.,-1.)); #453002=DIRECTION('ref_axis',(1.,0.,0.)); #453003=DIRECTION('center_axis',(0.,0.,1.)); #453004=DIRECTION('ref_axis',(1.,0.,0.)); #453005=DIRECTION('center_axis',(0.,0.,1.)); #453006=DIRECTION('ref_axis',(1.,0.,0.)); #453007=DIRECTION('',(0.,0.,1.)); #453008=DIRECTION('center_axis',(0.,0.,-1.)); #453009=DIRECTION('ref_axis',(1.,0.,0.)); #453010=DIRECTION('center_axis',(0.,0.,1.)); #453011=DIRECTION('ref_axis',(1.,0.,0.)); #453012=DIRECTION('center_axis',(0.,0.,1.)); #453013=DIRECTION('ref_axis',(1.,0.,0.)); #453014=DIRECTION('',(0.,0.,1.)); #453015=DIRECTION('center_axis',(0.,0.,-1.)); #453016=DIRECTION('ref_axis',(1.,0.,0.)); #453017=DIRECTION('center_axis',(0.,0.,1.)); #453018=DIRECTION('ref_axis',(1.,0.,0.)); #453019=DIRECTION('center_axis',(0.,0.,1.)); #453020=DIRECTION('ref_axis',(1.,0.,0.)); #453021=DIRECTION('',(0.,0.,1.)); #453022=DIRECTION('center_axis',(0.,0.,-1.)); #453023=DIRECTION('ref_axis',(1.,0.,0.)); #453024=DIRECTION('center_axis',(0.,0.,1.)); #453025=DIRECTION('ref_axis',(1.,0.,0.)); #453026=DIRECTION('center_axis',(0.,0.,1.)); #453027=DIRECTION('ref_axis',(1.,0.,0.)); #453028=DIRECTION('',(0.,0.,1.)); #453029=DIRECTION('center_axis',(0.,0.,-1.)); #453030=DIRECTION('ref_axis',(1.,0.,0.)); #453031=DIRECTION('center_axis',(0.,0.,1.)); #453032=DIRECTION('ref_axis',(1.,0.,0.)); #453033=DIRECTION('center_axis',(0.,0.,1.)); #453034=DIRECTION('ref_axis',(1.,0.,0.)); #453035=DIRECTION('',(0.,0.,1.)); #453036=DIRECTION('center_axis',(0.,0.,-1.)); #453037=DIRECTION('ref_axis',(1.,0.,0.)); #453038=DIRECTION('center_axis',(0.,0.,-1.)); #453039=DIRECTION('ref_axis',(1.,0.,0.)); #453040=DIRECTION('center_axis',(0.,0.,-1.)); #453041=DIRECTION('ref_axis',(1.,0.,0.)); #453042=DIRECTION('',(0.,0.,1.)); #453043=DIRECTION('center_axis',(0.,0.,1.)); #453044=DIRECTION('ref_axis',(1.,0.,0.)); #453045=DIRECTION('center_axis',(0.,0.,1.)); #453046=DIRECTION('ref_axis',(1.,0.,0.)); #453047=DIRECTION('center_axis',(0.,0.,1.)); #453048=DIRECTION('ref_axis',(1.,0.,0.)); #453049=DIRECTION('axis',(0.,0.,1.)); #453050=DIRECTION('refdir',(1.,0.,0.)); #453051=DIRECTION('axis',(0.,0.,1.)); #453052=DIRECTION('refdir',(1.,0.,0.)); #453053=DIRECTION('axis',(0.,0.,1.)); #453054=DIRECTION('refdir',(1.,0.,0.)); #453055=DIRECTION('center_axis',(0.707106781186548,7.40655039324652E-15, 0.707106781186547)); #453056=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186548)); #453057=DIRECTION('',(-0.707106781186547,-2.46885013108216E-15,0.707106781186548)); #453058=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #453059=DIRECTION('',(0.707106781186547,2.46885013108216E-15,-0.707106781186548)); #453060=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453061=DIRECTION('center_axis',(2.438088387898E-29,-3.491481338843E-15, -1.)); #453062=DIRECTION('ref_axis',(6.982962677686E-15,-1.,3.491481338843E-15)); #453063=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #453064=DIRECTION('',(-6.99353086378051E-15,1.,-3.491481338843E-15)); #453065=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #453066=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.491481338843E-15)); #453067=DIRECTION('ref_axis',(1.,6.982962677686E-15,0.)); #453068=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #453069=DIRECTION('',(1.,6.982962677686E-15,0.)); #453070=DIRECTION('',(2.438088387898E-29,-3.491481338843E-15,-1.)); #453071=DIRECTION('',(1.,6.982962677686E-15,0.)); #453072=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #453073=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #453074=DIRECTION('',(2.438088387898E-29,-3.491481338843E-15,-1.)); #453075=DIRECTION('',(1.,6.982962677686E-15,0.)); #453076=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #453077=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #453078=DIRECTION('',(2.438088387898E-29,-3.491481338843E-15,-1.)); #453079=DIRECTION('',(1.,6.982962677686E-15,0.)); #453080=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #453081=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #453082=DIRECTION('',(2.438088387898E-29,-3.491481338843E-15,-1.)); #453083=DIRECTION('',(1.,6.982962677686E-15,0.)); #453084=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #453085=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #453086=DIRECTION('',(2.438088387898E-29,-3.491481338843E-15,-1.)); #453087=DIRECTION('',(1.,6.982962677686E-15,0.)); #453088=DIRECTION('',(2.438088387898E-29,-3.491481338843E-15,-1.)); #453089=DIRECTION('',(1.,6.982962677686E-15,0.)); #453090=DIRECTION('',(-2.44177825035122E-29,3.491481338843E-15,1.)); #453091=DIRECTION('',(-0.707106781186548,-7.40655039324652E-15,-0.707106781186548)); #453092=DIRECTION('center_axis',(-0.707106781186548,-2.47632296713444E-15, 0.707106781186548)); #453093=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #453094=DIRECTION('',(0.707106781186548,7.40655039324652E-15,0.707106781186548)); #453095=DIRECTION('',(6.99353086378051E-15,-1.,3.491481338843E-15)); #453096=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.491481338843E-15)); #453097=DIRECTION('ref_axis',(1.,6.982962677686E-15,0.)); #453098=DIRECTION('',(2.44177825035122E-29,-3.491481338843E-15,-1.)); #453099=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #453100=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #453101=DIRECTION('center_axis',(1.,6.99353086378051E-15,0.)); #453102=DIRECTION('ref_axis',(0.,0.,-1.)); #453103=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453104=DIRECTION('',(2.44177825035122E-29,-3.491481338843E-15,-1.)); #453105=DIRECTION('',(-4.94517309821662E-15,0.707106781186548,-0.707106781186548)); #453106=DIRECTION('',(2.50496492831706E-29,-3.581831519884E-15,-1.)); #453107=DIRECTION('',(4.94517309821662E-15,-0.707106781186548,-0.707106781186548)); #453108=DIRECTION('center_axis',(2.438088387898E-29,-3.491481338843E-15, -1.)); #453109=DIRECTION('ref_axis',(6.982962677686E-15,-1.,3.491481338843E-15)); #453110=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453111=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #453112=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453113=DIRECTION('',(1.,6.982962677686E-15,0.)); #453114=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453115=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #453116=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #453117=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #453118=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #453119=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #453120=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #453121=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #453122=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #453123=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #453124=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #453125=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #453126=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #453127=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #453128=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #453129=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #453130=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #453131=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #453132=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453133=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #453134=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #453135=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #453136=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453137=DIRECTION('',(1.,6.982962677686E-15,0.)); #453138=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453139=DIRECTION('',(1.,6.982962677686E-15,0.)); #453140=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #453141=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453142=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #453143=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #453144=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #453145=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453146=DIRECTION('',(1.,6.982962677686E-15,0.)); #453147=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453148=DIRECTION('',(1.,6.982962677686E-15,0.)); #453149=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #453150=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453151=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #453152=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #453153=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #453154=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453155=DIRECTION('',(1.,6.982962677686E-15,0.)); #453156=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453157=DIRECTION('',(1.,6.982962677686E-15,0.)); #453158=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #453159=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453160=DIRECTION('',(1.,6.982962677686E-15,0.)); #453161=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453162=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #453163=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #453164=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453165=DIRECTION('',(1.,6.982962677686E-15,0.)); #453166=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453167=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #453168=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #453169=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453170=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #453171=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #453172=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #453173=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453174=DIRECTION('',(1.,6.982962677686E-15,0.)); #453175=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453176=DIRECTION('',(1.,6.982962677686E-15,0.)); #453177=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #453178=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453179=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #453180=DIRECTION('',(1.,6.982962677686E-15,0.)); #453181=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453182=DIRECTION('center_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453183=DIRECTION('ref_axis',(-1.,-6.982962677686E-15,0.)); #453184=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #453185=DIRECTION('',(1.,6.982962677686E-15,0.)); #453186=DIRECTION('',(2.438088387898E-29,-3.491481338843E-15,-1.)); #453187=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #453188=DIRECTION('',(2.438088387898E-29,-3.491481338843E-15,-1.)); #453189=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #453190=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #453191=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #453192=DIRECTION('',(1.,6.982962677686E-15,0.)); #453193=DIRECTION('',(2.438088387898E-29,-3.491481338843E-15,-1.)); #453194=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #453195=DIRECTION('',(2.438088387898E-29,-3.491481338843E-15,-1.)); #453196=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #453197=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453198=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #453199=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #453200=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #453201=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453202=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #453203=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453204=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #453205=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453206=DIRECTION('',(4.93770026216184E-15,-0.707106781186548,-0.707106781186548)); #453207=DIRECTION('',(2.501179582111E-29,-3.581831519884E-15,-1.)); #453208=DIRECTION('',(-4.93770026216184E-15,0.707106781186548,-0.707106781186548)); #453209=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #453210=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.491481338843E-15)); #453211=DIRECTION('ref_axis',(1.,6.982962677686E-15,0.)); #453212=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #453213=DIRECTION('center_axis',(-4.93770026216184E-15,0.707106781186548, 0.707106781186548)); #453214=DIRECTION('ref_axis',(-4.93770026216184E-15,0.707106781186548,-0.707106781186548)); #453215=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #453216=DIRECTION('center_axis',(-6.982962677686E-15,1.,-3.581831519884E-15)); #453217=DIRECTION('ref_axis',(2.501179582111E-29,-3.581831519884E-15,-1.)); #453218=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #453219=DIRECTION('center_axis',(-4.93770026216184E-15,0.707106781186548, -0.707106781186548)); #453220=DIRECTION('ref_axis',(4.93770026216184E-15,-0.707106781186548,-0.707106781186548)); #453221=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #453222=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453223=DIRECTION('center_axis',(-1.,-6.982962677686E-15,0.)); #453224=DIRECTION('ref_axis',(6.982962677686E-15,-1.,3.491481338843E-15)); #453225=DIRECTION('center_axis',(1.491720474706E-29,-2.136228623236E-15, -1.)); #453226=DIRECTION('ref_axis',(6.982962677686E-15,-1.,2.136228623236E-15)); #453227=DIRECTION('',(-6.982962677686E-15,1.,-2.136228623236E-15)); #453228=DIRECTION('',(1.,6.982962677686E-15,0.)); #453229=DIRECTION('',(6.982962677686E-15,-1.,2.136228623236E-15)); #453230=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #453231=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #453232=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453233=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #453234=DIRECTION('',(-6.982962677686E-15,1.,-2.136228623236E-15)); #453235=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #453236=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #453237=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453238=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #453239=DIRECTION('',(6.982962677686E-15,-1.,2.136228623236E-15)); #453240=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #453241=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #453242=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #453243=DIRECTION('',(1.,6.982962677686E-15,0.)); #453244=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #453245=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #453246=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #453247=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #453248=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #453249=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #453250=DIRECTION('',(1.,6.982962677686E-15,0.)); #453251=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #453252=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #453253=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #453254=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #453255=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #453256=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #453257=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #453258=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453259=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453260=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #453261=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453262=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #453263=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #453264=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453265=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #453266=DIRECTION('',(1.,6.982962677686E-15,0.)); #453267=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453268=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #453269=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, 0.342020143325932)); #453270=DIRECTION('ref_axis',(1.,6.98296267768545E-15,-1.99581809020916E-28)); #453271=DIRECTION('',(-0.323615577118035,0.323615577118035,-0.889126490716097)); #453272=DIRECTION('',(-0.323615577118035,-0.323615577118035,0.889126490716097)); #453273=DIRECTION('center_axis',(-0.939692620785813,-7.29247171933955E-15, -0.342020143325932)); #453274=DIRECTION('ref_axis',(6.98296267768519E-15,-1.,2.1362286232357E-15)); #453275=DIRECTION('',(-0.323615577118035,0.323615577118035,0.889126490716097)); #453276=DIRECTION('center_axis',(-0.939692620785813,-5.83120527954884E-15, 0.342020143325932)); #453277=DIRECTION('ref_axis',(-6.98296267768666E-15,1.,-2.13622862323576E-15)); #453278=DIRECTION('',(-0.323615577118035,-0.323615577118035,-0.889126490716097)); #453279=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, -0.342020143325932)); #453280=DIRECTION('ref_axis',(-1.,-6.98296267768545E-15,-1.99187378568306E-28)); #453281=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, 0.342020143325932)); #453282=DIRECTION('ref_axis',(-1.,-6.98296267768545E-15,1.98792948115695E-28)); #453283=DIRECTION('',(-0.323615577118035,-0.323615577118035,0.889126490716097)); #453284=DIRECTION('',(-0.323615577118035,0.323615577118035,-0.889126490716097)); #453285=DIRECTION('center_axis',(-0.939692620785813,-5.36768155151393E-15, 0.342020143325932)); #453286=DIRECTION('ref_axis',(-6.98296267768652E-15,1.,-3.49148133884281E-15)); #453287=DIRECTION('',(-0.323615577118035,-0.323615577118035,-0.889126490716097)); #453288=DIRECTION('center_axis',(-0.939692620785813,-7.75599544737346E-15, -0.342020143325932)); #453289=DIRECTION('ref_axis',(6.98296267768439E-15,-1.,3.49148133884241E-15)); #453290=DIRECTION('',(-0.323615577118035,0.323615577118035,0.889126490716097)); #453291=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, -0.342020143325932)); #453292=DIRECTION('ref_axis',(1.,6.98296267768545E-15,1.99187378568306E-28)); #453293=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #453294=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453295=DIRECTION('center_axis',(-1.,-6.982962677686E-15,0.)); #453296=DIRECTION('ref_axis',(6.982962677686E-15,-1.,3.491481338843E-15)); #453297=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #453298=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453299=DIRECTION('center_axis',(-1.,-6.982962677686E-15,0.)); #453300=DIRECTION('ref_axis',(6.982962677686E-15,-1.,3.491481338843E-15)); #453301=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #453302=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #453303=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453304=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453305=DIRECTION('center_axis',(-1.,-6.982962677686E-15,0.)); #453306=DIRECTION('ref_axis',(6.982962677686E-15,-1.,3.491481338843E-15)); #453307=DIRECTION('center_axis',(1.491720474706E-29,-2.136228623236E-15, -1.)); #453308=DIRECTION('ref_axis',(6.982962677686E-15,-1.,2.136228623236E-15)); #453309=DIRECTION('',(-6.982962677686E-15,1.,-2.136228623236E-15)); #453310=DIRECTION('',(1.,6.982962677686E-15,0.)); #453311=DIRECTION('',(6.982962677686E-15,-1.,2.136228623236E-15)); #453312=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #453313=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #453314=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453315=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #453316=DIRECTION('',(-6.982962677686E-15,1.,-2.136228623236E-15)); #453317=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #453318=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #453319=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453320=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #453321=DIRECTION('',(6.982962677686E-15,-1.,2.136228623236E-15)); #453322=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #453323=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #453324=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #453325=DIRECTION('',(1.,6.982962677686E-15,0.)); #453326=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #453327=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #453328=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #453329=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #453330=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #453331=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #453332=DIRECTION('',(1.,6.982962677686E-15,0.)); #453333=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #453334=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #453335=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #453336=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #453337=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #453338=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #453339=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #453340=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453341=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453342=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #453343=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453344=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #453345=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #453346=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453347=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #453348=DIRECTION('',(1.,6.982962677686E-15,0.)); #453349=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453350=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #453351=DIRECTION('center_axis',(1.491720474706E-29,-2.136228623236E-15, -1.)); #453352=DIRECTION('ref_axis',(6.982962677686E-15,-1.,2.136228623236E-15)); #453353=DIRECTION('',(-6.982962677686E-15,1.,-2.136228623236E-15)); #453354=DIRECTION('',(1.,6.982962677686E-15,0.)); #453355=DIRECTION('',(6.982962677686E-15,-1.,2.136228623236E-15)); #453356=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #453357=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #453358=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453359=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #453360=DIRECTION('',(-6.982962677686E-15,1.,-2.136228623236E-15)); #453361=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #453362=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #453363=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453364=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #453365=DIRECTION('',(6.982962677686E-15,-1.,2.136228623236E-15)); #453366=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #453367=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #453368=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #453369=DIRECTION('',(1.,6.982962677686E-15,0.)); #453370=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #453371=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #453372=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #453373=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #453374=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #453375=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #453376=DIRECTION('',(1.,6.982962677686E-15,0.)); #453377=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #453378=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #453379=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #453380=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #453381=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #453382=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #453383=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #453384=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453385=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453386=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #453387=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453388=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #453389=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #453390=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453391=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #453392=DIRECTION('',(1.,6.982962677686E-15,0.)); #453393=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453394=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #453395=DIRECTION('center_axis',(1.491720474706E-29,-2.136228623236E-15, -1.)); #453396=DIRECTION('ref_axis',(6.982962677686E-15,-1.,2.136228623236E-15)); #453397=DIRECTION('',(-6.982962677686E-15,1.,-2.136228623236E-15)); #453398=DIRECTION('',(1.,6.982962677686E-15,0.)); #453399=DIRECTION('',(6.982962677686E-15,-1.,2.136228623236E-15)); #453400=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #453401=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #453402=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453403=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #453404=DIRECTION('',(-6.982962677686E-15,1.,-2.136228623236E-15)); #453405=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #453406=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #453407=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453408=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #453409=DIRECTION('',(6.982962677686E-15,-1.,2.136228623236E-15)); #453410=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #453411=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #453412=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #453413=DIRECTION('',(1.,6.982962677686E-15,0.)); #453414=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #453415=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #453416=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #453417=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #453418=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #453419=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #453420=DIRECTION('',(1.,6.982962677686E-15,0.)); #453421=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #453422=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #453423=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #453424=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #453425=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #453426=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #453427=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #453428=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453429=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453430=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #453431=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453432=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #453433=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #453434=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453435=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #453436=DIRECTION('',(1.,6.982962677686E-15,0.)); #453437=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453438=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #453439=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, 0.342020143325932)); #453440=DIRECTION('ref_axis',(1.,6.98296267768545E-15,-1.99581809020916E-28)); #453441=DIRECTION('',(-0.323615577118035,0.323615577118035,-0.889126490716097)); #453442=DIRECTION('',(-0.323615577118035,-0.323615577118035,0.889126490716097)); #453443=DIRECTION('center_axis',(-0.939692620785813,-7.29247171933955E-15, -0.342020143325932)); #453444=DIRECTION('ref_axis',(6.98296267768519E-15,-1.,2.1362286232357E-15)); #453445=DIRECTION('',(-0.323615577118035,0.323615577118035,0.889126490716097)); #453446=DIRECTION('center_axis',(-0.939692620785813,-5.83120527954884E-15, 0.342020143325932)); #453447=DIRECTION('ref_axis',(-6.98296267768666E-15,1.,-2.13622862323576E-15)); #453448=DIRECTION('',(-0.323615577118035,-0.323615577118035,-0.889126490716097)); #453449=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, -0.342020143325932)); #453450=DIRECTION('ref_axis',(-1.,-6.98296267768545E-15,-1.99187378568306E-28)); #453451=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, 0.342020143325932)); #453452=DIRECTION('ref_axis',(-1.,-6.98296267768545E-15,1.98792948115695E-28)); #453453=DIRECTION('',(-0.323615577118035,-0.323615577118035,0.889126490716097)); #453454=DIRECTION('',(-0.323615577118035,0.323615577118035,-0.889126490716097)); #453455=DIRECTION('center_axis',(-0.939692620785813,-5.36768155151393E-15, 0.342020143325932)); #453456=DIRECTION('ref_axis',(-6.98296267768652E-15,1.,-3.49148133884281E-15)); #453457=DIRECTION('',(-0.323615577118035,-0.323615577118035,-0.889126490716097)); #453458=DIRECTION('center_axis',(-0.939692620785813,-7.75599544737346E-15, -0.342020143325932)); #453459=DIRECTION('ref_axis',(6.98296267768439E-15,-1.,3.49148133884241E-15)); #453460=DIRECTION('',(-0.323615577118035,0.323615577118035,0.889126490716097)); #453461=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, -0.342020143325932)); #453462=DIRECTION('ref_axis',(1.,6.98296267768545E-15,1.99187378568306E-28)); #453463=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, 0.342020143325932)); #453464=DIRECTION('ref_axis',(1.,6.98296267768545E-15,-1.99581809020916E-28)); #453465=DIRECTION('',(-0.323615577118035,0.323615577118035,-0.889126490716097)); #453466=DIRECTION('',(-0.323615577118035,-0.323615577118035,0.889126490716097)); #453467=DIRECTION('center_axis',(-0.939692620785813,-7.29247171933955E-15, -0.342020143325932)); #453468=DIRECTION('ref_axis',(6.98296267768519E-15,-1.,2.1362286232357E-15)); #453469=DIRECTION('',(-0.323615577118035,0.323615577118035,0.889126490716097)); #453470=DIRECTION('center_axis',(-0.939692620785813,-5.83120527954884E-15, 0.342020143325932)); #453471=DIRECTION('ref_axis',(-6.98296267768666E-15,1.,-2.13622862323576E-15)); #453472=DIRECTION('',(-0.323615577118035,-0.323615577118035,-0.889126490716097)); #453473=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, -0.342020143325932)); #453474=DIRECTION('ref_axis',(-1.,-6.98296267768545E-15,-1.99187378568306E-28)); #453475=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, 0.342020143325932)); #453476=DIRECTION('ref_axis',(-1.,-6.98296267768545E-15,1.98792948115695E-28)); #453477=DIRECTION('',(-0.323615577118035,-0.323615577118035,0.889126490716097)); #453478=DIRECTION('',(-0.323615577118035,0.323615577118035,-0.889126490716097)); #453479=DIRECTION('center_axis',(-0.939692620785813,-5.36768155151393E-15, 0.342020143325932)); #453480=DIRECTION('ref_axis',(-6.98296267768652E-15,1.,-3.49148133884281E-15)); #453481=DIRECTION('',(-0.323615577118035,-0.323615577118035,-0.889126490716097)); #453482=DIRECTION('center_axis',(-0.939692620785813,-7.75599544737346E-15, -0.342020143325932)); #453483=DIRECTION('ref_axis',(6.98296267768439E-15,-1.,3.49148133884241E-15)); #453484=DIRECTION('',(-0.323615577118035,0.323615577118035,0.889126490716097)); #453485=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, -0.342020143325932)); #453486=DIRECTION('ref_axis',(1.,6.98296267768545E-15,1.98792948115695E-28)); #453487=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, 0.342020143325932)); #453488=DIRECTION('ref_axis',(1.,6.98296267768545E-15,-1.99581809020916E-28)); #453489=DIRECTION('',(-0.323615577118035,0.323615577118035,-0.889126490716097)); #453490=DIRECTION('',(-0.323615577118035,-0.323615577118035,0.889126490716097)); #453491=DIRECTION('center_axis',(-0.939692620785813,-7.29247171933955E-15, -0.342020143325932)); #453492=DIRECTION('ref_axis',(6.98296267768519E-15,-1.,2.1362286232357E-15)); #453493=DIRECTION('',(-0.323615577118035,0.323615577118035,0.889126490716097)); #453494=DIRECTION('center_axis',(-0.939692620785813,-5.83120527954884E-15, 0.342020143325932)); #453495=DIRECTION('ref_axis',(-6.98296267768666E-15,1.,-2.13622862323576E-15)); #453496=DIRECTION('',(-0.323615577118035,-0.323615577118035,-0.889126490716097)); #453497=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, -0.342020143325932)); #453498=DIRECTION('ref_axis',(-1.,-6.98296267768545E-15,-1.99187378568306E-28)); #453499=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, 0.342020143325932)); #453500=DIRECTION('ref_axis',(-1.,-6.98296267768545E-15,1.98792948115695E-28)); #453501=DIRECTION('',(-0.323615577118035,-0.323615577118035,0.889126490716097)); #453502=DIRECTION('',(-0.323615577118035,0.323615577118035,-0.889126490716097)); #453503=DIRECTION('center_axis',(-0.939692620785813,-5.36768155151393E-15, 0.342020143325932)); #453504=DIRECTION('ref_axis',(-6.98296267768652E-15,1.,-3.49148133884281E-15)); #453505=DIRECTION('',(-0.323615577118035,-0.323615577118035,-0.889126490716097)); #453506=DIRECTION('center_axis',(-0.939692620785813,-7.75599544737346E-15, -0.342020143325932)); #453507=DIRECTION('ref_axis',(6.98296267768439E-15,-1.,3.49148133884241E-15)); #453508=DIRECTION('',(-0.323615577118035,0.323615577118035,0.889126490716097)); #453509=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, -0.342020143325932)); #453510=DIRECTION('ref_axis',(1.,6.98296267768545E-15,1.98792948115695E-28)); #453511=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #453512=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #453513=DIRECTION('',(0.,0.,1.)); #453514=DIRECTION('',(1.,0.,0.)); #453515=DIRECTION('axis',(0.,0.,1.)); #453516=DIRECTION('refdir',(1.,0.,0.)); #453517=DIRECTION('axis',(0.,0.,1.)); #453518=DIRECTION('refdir',(1.,0.,0.)); #453519=DIRECTION('axis',(0.,0.,1.)); #453520=DIRECTION('refdir',(1.,0.,0.)); #453521=DIRECTION('axis',(0.,0.,1.)); #453522=DIRECTION('refdir',(1.,0.,0.)); #453523=DIRECTION('center_axis',(-0.408248290463862,-0.408248290463862, -0.816496580927727)); #453524=DIRECTION('ref_axis',(-0.577350269189628,-0.577350269189624,0.577350269189626)); #453525=DIRECTION('center_axis',(0.,-1.,0.)); #453526=DIRECTION('ref_axis',(-1.,0.,0.)); #453527=DIRECTION('center_axis',(0.,0.,1.)); #453528=DIRECTION('ref_axis',(0.,-1.,0.)); #453529=DIRECTION('center_axis',(-1.,0.,0.)); #453530=DIRECTION('ref_axis',(0.,0.,1.)); #453531=DIRECTION('center_axis',(0.408248290463867,-0.408248290463863,-0.816496580927724)); #453532=DIRECTION('ref_axis',(0.577350269189625,-0.577350269189625,0.577350269189627)); #453533=DIRECTION('center_axis',(1.,0.,0.)); #453534=DIRECTION('ref_axis',(0.,-1.,0.)); #453535=DIRECTION('center_axis',(0.,0.,1.)); #453536=DIRECTION('ref_axis',(1.,0.,0.)); #453537=DIRECTION('center_axis',(0.,-1.,0.)); #453538=DIRECTION('ref_axis',(0.,0.,1.)); #453539=DIRECTION('center_axis',(0.408248290463862,0.408248290463862,-0.816496580927727)); #453540=DIRECTION('ref_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189625)); #453541=DIRECTION('center_axis',(0.,0.,-1.)); #453542=DIRECTION('ref_axis',(-1.,0.,0.)); #453543=DIRECTION('center_axis',(0.,-1.,0.)); #453544=DIRECTION('ref_axis',(0.,0.,-1.)); #453545=DIRECTION('center_axis',(-1.,0.,0.)); #453546=DIRECTION('ref_axis',(0.,-1.,0.)); #453547=DIRECTION('center_axis',(-0.408248290463867,0.408248290463863,-0.816496580927724)); #453548=DIRECTION('ref_axis',(-0.577350269189625,0.577350269189625,0.577350269189627)); #453549=DIRECTION('center_axis',(-1.,0.,0.)); #453550=DIRECTION('ref_axis',(0.,1.,0.)); #453551=DIRECTION('center_axis',(0.,0.,1.)); #453552=DIRECTION('ref_axis',(-1.,0.,0.)); #453553=DIRECTION('center_axis',(0.,1.,0.)); #453554=DIRECTION('ref_axis',(0.,0.,1.)); #453555=DIRECTION('center_axis',(-0.408248290463862,0.408248290463862,-0.816496580927727)); #453556=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,-0.577350269189625)); #453557=DIRECTION('center_axis',(0.,0.,-1.)); #453558=DIRECTION('ref_axis',(0.,-1.,0.)); #453559=DIRECTION('center_axis',(1.,0.,0.)); #453560=DIRECTION('ref_axis',(0.,0.,-1.)); #453561=DIRECTION('center_axis',(0.,-1.,0.)); #453562=DIRECTION('ref_axis',(1.,0.,0.)); #453563=DIRECTION('center_axis',(1.,0.,0.)); #453564=DIRECTION('ref_axis',(0.,-0.707106781186545,-0.70710678118655)); #453565=DIRECTION('',(-1.,0.,0.)); #453566=DIRECTION('',(1.,0.,0.)); #453567=DIRECTION('center_axis',(0.408248290463862,0.408248290463862,-0.816496580927727)); #453568=DIRECTION('ref_axis',(0.577350269189628,0.577350269189624,0.577350269189626)); #453569=DIRECTION('center_axis',(0.,1.,0.)); #453570=DIRECTION('ref_axis',(1.,0.,0.)); #453571=DIRECTION('center_axis',(0.,0.,1.)); #453572=DIRECTION('ref_axis',(0.,1.,0.)); #453573=DIRECTION('center_axis',(1.,0.,0.)); #453574=DIRECTION('ref_axis',(0.,0.,1.)); #453575=DIRECTION('center_axis',(0.408248290463866,-0.408248290463863,-0.816496580927725)); #453576=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189626,-0.577350269189626)); #453577=DIRECTION('center_axis',(-1.,0.,0.)); #453578=DIRECTION('ref_axis',(0.,0.,-1.)); #453579=DIRECTION('center_axis',(0.,1.,0.)); #453580=DIRECTION('ref_axis',(-1.,0.,0.)); #453581=DIRECTION('center_axis',(0.,0.,-1.)); #453582=DIRECTION('ref_axis',(0.,1.,0.)); #453583=DIRECTION('center_axis',(0.,-1.,0.)); #453584=DIRECTION('ref_axis',(-0.707106781186545,0.,-0.70710678118655)); #453585=DIRECTION('',(0.,1.,0.)); #453586=DIRECTION('',(0.,-1.,0.)); #453587=DIRECTION('center_axis',(0.,0.,1.)); #453588=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #453589=DIRECTION('',(0.,0.,-1.)); #453590=DIRECTION('',(0.,0.,1.)); #453591=DIRECTION('center_axis',(-0.408248290463862,-0.408248290463862, -0.816496580927727)); #453592=DIRECTION('ref_axis',(0.577350269189626,0.577350269189626,-0.577350269189625)); #453593=DIRECTION('center_axis',(0.,0.,-1.)); #453594=DIRECTION('ref_axis',(1.,0.,0.)); #453595=DIRECTION('center_axis',(0.,1.,0.)); #453596=DIRECTION('ref_axis',(0.,0.,-1.)); #453597=DIRECTION('center_axis',(1.,0.,0.)); #453598=DIRECTION('ref_axis',(0.,1.,0.)); #453599=DIRECTION('center_axis',(0.,-1.,0.)); #453600=DIRECTION('ref_axis',(0.707106781186552,0.,-0.707106781186544)); #453601=DIRECTION('',(0.,1.,0.)); #453602=DIRECTION('',(0.,-1.,0.)); #453603=DIRECTION('center_axis',(-1.,0.,0.)); #453604=DIRECTION('ref_axis',(0.,0.707106781186545,-0.70710678118655)); #453605=DIRECTION('',(1.,0.,0.)); #453606=DIRECTION('',(-1.,0.,0.)); #453607=DIRECTION('center_axis',(0.,0.,1.)); #453608=DIRECTION('ref_axis',(0.707106781186554,0.707106781186541,0.)); #453609=DIRECTION('',(0.,0.,-1.)); #453610=DIRECTION('',(0.,0.,1.)); #453611=DIRECTION('center_axis',(-1.,0.,0.)); #453612=DIRECTION('ref_axis',(0.,0.707106781186545,0.707106781186551)); #453613=DIRECTION('',(1.,0.,0.)); #453614=DIRECTION('',(-1.,0.,0.)); #453615=DIRECTION('center_axis',(0.,1.,0.)); #453616=DIRECTION('ref_axis',(0.707106781186551,0.,0.707106781186545)); #453617=DIRECTION('',(0.,-1.,0.)); #453618=DIRECTION('',(0.,1.,0.)); #453619=DIRECTION('center_axis',(0.,0.,1.)); #453620=DIRECTION('ref_axis',(0.707106781186554,-0.707106781186541,0.)); #453621=DIRECTION('',(0.,0.,-1.)); #453622=DIRECTION('',(0.,0.,1.)); #453623=DIRECTION('center_axis',(0.,-1.,0.)); #453624=DIRECTION('ref_axis',(-0.707106781186545,0.,0.707106781186551)); #453625=DIRECTION('',(0.,1.,0.)); #453626=DIRECTION('',(0.,-1.,0.)); #453627=DIRECTION('center_axis',(0.,0.,1.)); #453628=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #453629=DIRECTION('',(0.,0.,-1.)); #453630=DIRECTION('',(0.,0.,1.)); #453631=DIRECTION('center_axis',(1.,0.,0.)); #453632=DIRECTION('ref_axis',(0.,-0.707106781186545,0.707106781186551)); #453633=DIRECTION('',(-1.,0.,0.)); #453634=DIRECTION('',(1.,0.,0.)); #453635=DIRECTION('center_axis',(0.,-1.,0.)); #453636=DIRECTION('ref_axis',(1.,0.,0.)); #453637=DIRECTION('center_axis',(0.,0.,1.)); #453638=DIRECTION('ref_axis',(1.,0.,0.)); #453639=DIRECTION('center_axis',(-1.,0.,0.)); #453640=DIRECTION('ref_axis',(0.,-1.,0.)); #453641=DIRECTION('center_axis',(0.,1.,0.)); #453642=DIRECTION('ref_axis',(-1.,0.,0.)); #453643=DIRECTION('center_axis',(1.,0.,0.)); #453644=DIRECTION('ref_axis',(0.,1.,0.)); #453645=DIRECTION('center_axis',(0.,0.,1.)); #453646=DIRECTION('ref_axis',(1.,0.,0.)); #453647=DIRECTION('center_axis',(-0.408248290463862,-0.408248290463862, -0.816496580927727)); #453648=DIRECTION('ref_axis',(-0.577350269189628,-0.577350269189624,0.577350269189626)); #453649=DIRECTION('center_axis',(0.,-1.,0.)); #453650=DIRECTION('ref_axis',(-1.,0.,0.)); #453651=DIRECTION('center_axis',(0.,0.,1.)); #453652=DIRECTION('ref_axis',(0.,-1.,0.)); #453653=DIRECTION('center_axis',(-1.,0.,0.)); #453654=DIRECTION('ref_axis',(0.,0.,1.)); #453655=DIRECTION('center_axis',(0.408248290463867,-0.408248290463863,-0.816496580927724)); #453656=DIRECTION('ref_axis',(0.577350269189625,-0.577350269189625,0.577350269189627)); #453657=DIRECTION('center_axis',(1.,0.,0.)); #453658=DIRECTION('ref_axis',(0.,-1.,0.)); #453659=DIRECTION('center_axis',(0.,0.,1.)); #453660=DIRECTION('ref_axis',(1.,0.,0.)); #453661=DIRECTION('center_axis',(0.,-1.,0.)); #453662=DIRECTION('ref_axis',(0.,0.,1.)); #453663=DIRECTION('center_axis',(0.408248290463861,-0.816496580927725,0.408248290463867)); #453664=DIRECTION('ref_axis',(-0.57735026918962,-0.577350269189627,-0.57735026918963)); #453665=DIRECTION('center_axis',(0.,0.,-1.)); #453666=DIRECTION('ref_axis',(-1.,0.,0.)); #453667=DIRECTION('center_axis',(0.,-1.,0.)); #453668=DIRECTION('ref_axis',(0.,0.,-1.)); #453669=DIRECTION('center_axis',(-1.,0.,8.67361737988404E-15)); #453670=DIRECTION('ref_axis',(0.,-1.,0.)); #453671=DIRECTION('center_axis',(-0.408248290463867,0.408248290463863,-0.816496580927724)); #453672=DIRECTION('ref_axis',(-0.577350269189625,0.577350269189625,0.577350269189627)); #453673=DIRECTION('center_axis',(-1.,0.,0.)); #453674=DIRECTION('ref_axis',(0.,1.,0.)); #453675=DIRECTION('center_axis',(0.,0.,1.)); #453676=DIRECTION('ref_axis',(-1.,0.,0.)); #453677=DIRECTION('center_axis',(0.,1.,0.)); #453678=DIRECTION('ref_axis',(0.,0.,1.)); #453679=DIRECTION('center_axis',(-0.408248290463862,0.408248290463862,-0.816496580927727)); #453680=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,-0.577350269189625)); #453681=DIRECTION('center_axis',(0.,0.,-1.)); #453682=DIRECTION('ref_axis',(0.,-1.,0.)); #453683=DIRECTION('center_axis',(1.,0.,0.)); #453684=DIRECTION('ref_axis',(0.,0.,-1.)); #453685=DIRECTION('center_axis',(0.,-1.,0.)); #453686=DIRECTION('ref_axis',(1.,0.,0.)); #453687=DIRECTION('center_axis',(1.,0.,0.)); #453688=DIRECTION('ref_axis',(0.,-0.707106781186545,-0.70710678118655)); #453689=DIRECTION('',(-1.,0.,0.)); #453690=DIRECTION('',(1.,0.,0.)); #453691=DIRECTION('center_axis',(0.408248290463862,0.408248290463862,-0.816496580927727)); #453692=DIRECTION('ref_axis',(0.577350269189628,0.577350269189624,0.577350269189626)); #453693=DIRECTION('center_axis',(0.,1.,0.)); #453694=DIRECTION('ref_axis',(1.,0.,0.)); #453695=DIRECTION('center_axis',(0.,0.,1.)); #453696=DIRECTION('ref_axis',(0.,1.,0.)); #453697=DIRECTION('center_axis',(1.,0.,0.)); #453698=DIRECTION('ref_axis',(0.,0.,1.)); #453699=DIRECTION('center_axis',(-0.408248290463864,-0.816496580927724, -0.408248290463867)); #453700=DIRECTION('ref_axis',(-0.577350269189621,0.577350269189628,-0.577350269189628)); #453701=DIRECTION('center_axis',(-1.,8.67361737988405E-15,0.)); #453702=DIRECTION('ref_axis',(0.,0.,-1.)); #453703=DIRECTION('center_axis',(0.,1.,0.)); #453704=DIRECTION('ref_axis',(-1.,0.,0.)); #453705=DIRECTION('center_axis',(0.,0.,-1.)); #453706=DIRECTION('ref_axis',(0.,1.,0.)); #453707=DIRECTION('center_axis',(0.,-1.,0.)); #453708=DIRECTION('ref_axis',(-0.707106781186545,0.,-0.70710678118655)); #453709=DIRECTION('',(0.,1.,0.)); #453710=DIRECTION('',(0.,-1.,0.)); #453711=DIRECTION('center_axis',(0.,0.,1.)); #453712=DIRECTION('ref_axis',(-0.707106781186554,0.707106781186541,0.)); #453713=DIRECTION('',(0.,0.,-1.)); #453714=DIRECTION('',(0.,0.,1.)); #453715=DIRECTION('center_axis',(-0.408248290463862,-0.408248290463862, -0.816496580927727)); #453716=DIRECTION('ref_axis',(0.577350269189626,0.577350269189626,-0.577350269189625)); #453717=DIRECTION('center_axis',(0.,0.,-1.)); #453718=DIRECTION('ref_axis',(1.,0.,0.)); #453719=DIRECTION('center_axis',(0.,1.,0.)); #453720=DIRECTION('ref_axis',(0.,0.,-1.)); #453721=DIRECTION('center_axis',(1.,0.,0.)); #453722=DIRECTION('ref_axis',(0.,1.,0.)); #453723=DIRECTION('center_axis',(0.,1.,0.)); #453724=DIRECTION('ref_axis',(0.707106781186545,0.,-0.70710678118655)); #453725=DIRECTION('',(0.,-1.,0.)); #453726=DIRECTION('',(0.,1.,0.)); #453727=DIRECTION('center_axis',(-1.,0.,0.)); #453728=DIRECTION('ref_axis',(0.,0.707106781186545,-0.70710678118655)); #453729=DIRECTION('',(1.,0.,0.)); #453730=DIRECTION('',(-1.,0.,0.)); #453731=DIRECTION('center_axis',(0.,0.,1.)); #453732=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #453733=DIRECTION('',(0.,0.,-1.)); #453734=DIRECTION('',(0.,0.,1.)); #453735=DIRECTION('center_axis',(-1.,0.,0.)); #453736=DIRECTION('ref_axis',(0.,0.707106781186545,0.707106781186551)); #453737=DIRECTION('',(1.,0.,0.)); #453738=DIRECTION('',(-1.,0.,0.)); #453739=DIRECTION('center_axis',(0.,1.,0.)); #453740=DIRECTION('ref_axis',(0.707106781186545,0.,0.707106781186551)); #453741=DIRECTION('',(0.,-1.,0.)); #453742=DIRECTION('',(0.,1.,0.)); #453743=DIRECTION('center_axis',(0.,0.,1.)); #453744=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #453745=DIRECTION('',(0.,0.,-1.)); #453746=DIRECTION('',(0.,0.,1.)); #453747=DIRECTION('center_axis',(0.,-1.,0.)); #453748=DIRECTION('ref_axis',(-0.707106781186551,0.,0.707106781186545)); #453749=DIRECTION('',(0.,1.,0.)); #453750=DIRECTION('',(0.,-1.,0.)); #453751=DIRECTION('center_axis',(0.,0.,1.)); #453752=DIRECTION('ref_axis',(-0.707106781186554,-0.707106781186541,0.)); #453753=DIRECTION('',(0.,0.,-1.)); #453754=DIRECTION('',(0.,0.,1.)); #453755=DIRECTION('center_axis',(1.,0.,0.)); #453756=DIRECTION('ref_axis',(0.,-0.707106781186545,0.707106781186551)); #453757=DIRECTION('',(-1.,0.,0.)); #453758=DIRECTION('',(1.,0.,0.)); #453759=DIRECTION('center_axis',(0.,-1.,0.)); #453760=DIRECTION('ref_axis',(1.,0.,0.)); #453761=DIRECTION('center_axis',(0.,0.,1.)); #453762=DIRECTION('ref_axis',(1.,0.,0.)); #453763=DIRECTION('center_axis',(-1.,0.,0.)); #453764=DIRECTION('ref_axis',(0.,-1.,0.)); #453765=DIRECTION('center_axis',(0.,1.,0.)); #453766=DIRECTION('ref_axis',(-1.,0.,0.)); #453767=DIRECTION('center_axis',(1.,0.,0.)); #453768=DIRECTION('ref_axis',(0.,1.,0.)); #453769=DIRECTION('center_axis',(0.,0.,1.)); #453770=DIRECTION('ref_axis',(1.,0.,0.)); #453771=DIRECTION('center_axis',(1.,0.,0.)); #453772=DIRECTION('ref_axis',(0.,1.,0.)); #453773=DIRECTION('',(0.,-1.,0.)); #453774=DIRECTION('',(0.,0.,1.)); #453775=DIRECTION('',(0.,1.,0.)); #453776=DIRECTION('',(0.,0.,1.)); #453777=DIRECTION('center_axis',(0.,-1.,0.)); #453778=DIRECTION('ref_axis',(1.,0.,0.)); #453779=DIRECTION('',(1.,0.,0.)); #453780=DIRECTION('',(1.,0.,0.)); #453781=DIRECTION('',(0.,0.,1.)); #453782=DIRECTION('center_axis',(-1.,0.,0.)); #453783=DIRECTION('ref_axis',(0.,-1.,0.)); #453784=DIRECTION('',(0.,-1.,0.)); #453785=DIRECTION('',(0.,-1.,0.)); #453786=DIRECTION('',(0.,0.,1.)); #453787=DIRECTION('center_axis',(0.,1.,0.)); #453788=DIRECTION('ref_axis',(-1.,0.,0.)); #453789=DIRECTION('',(-1.,0.,0.)); #453790=DIRECTION('',(-1.,0.,0.)); #453791=DIRECTION('center_axis',(0.,0.,1.)); #453792=DIRECTION('ref_axis',(1.,0.,0.)); #453793=DIRECTION('center_axis',(0.,0.,1.)); #453794=DIRECTION('ref_axis',(1.,0.,0.)); #453795=DIRECTION('center_axis',(-2.02605534853945E-32,3.94310329911601E-32, 1.)); #453796=DIRECTION('ref_axis',(1.,0.,2.02605534853945E-32)); #453797=DIRECTION('',(0.,-1.,0.)); #453798=DIRECTION('',(1.,0.,0.)); #453799=DIRECTION('',(0.,1.,0.)); #453800=DIRECTION('',(-1.,0.,0.)); #453801=DIRECTION('center_axis',(8.50450386111117E-33,1.35921895148378E-48, 1.)); #453802=DIRECTION('ref_axis',(1.,0.,-8.50450386111117E-33)); #453803=DIRECTION('',(0.,-1.,0.)); #453804=DIRECTION('',(-1.,0.,0.)); #453805=DIRECTION('',(0.,1.,0.)); #453806=DIRECTION('',(1.,0.,0.)); #453807=DIRECTION('center_axis',(-0.932798134405597,-1.98402869123086E-16, -0.360399279202162)); #453808=DIRECTION('ref_axis',(-0.360399279202162,0.,0.932798134405597)); #453809=DIRECTION('',(-0.354013048499967,-0.187418672735276,0.916269066705794)); #453810=DIRECTION('',(-0.354013048499967,0.187418672735276,0.916269066705794)); #453811=DIRECTION('center_axis',(0.,0.979714932282565,-0.200396236148706)); #453812=DIRECTION('ref_axis',(0.,0.200396236148706,0.979714932282566)); #453813=DIRECTION('',(0.354013048499966,0.187418672735276,0.916269066705795)); #453814=DIRECTION('center_axis',(0.932798134405597,1.98402869123086E-16, -0.360399279202162)); #453815=DIRECTION('ref_axis',(-0.360399279202162,0.,-0.932798134405597)); #453816=DIRECTION('',(0.354013048499967,-0.187418672735276,0.916269066705794)); #453817=DIRECTION('center_axis',(0.,-0.979714932282565,-0.200396236148706)); #453818=DIRECTION('ref_axis',(0.,0.200396236148706,-0.979714932282566)); #453819=DIRECTION('center_axis',(0.,-1.,0.)); #453820=DIRECTION('ref_axis',(1.,0.,0.)); #453821=DIRECTION('',(1.,0.,0.)); #453822=DIRECTION('',(0.,0.,1.)); #453823=DIRECTION('',(1.,0.,0.)); #453824=DIRECTION('',(0.,0.,1.)); #453825=DIRECTION('center_axis',(-1.,0.,0.)); #453826=DIRECTION('ref_axis',(0.,-1.,0.)); #453827=DIRECTION('',(0.,-1.,0.)); #453828=DIRECTION('',(0.,-1.,0.)); #453829=DIRECTION('',(0.,0.,1.)); #453830=DIRECTION('center_axis',(0.,1.,0.)); #453831=DIRECTION('ref_axis',(-1.,0.,0.)); #453832=DIRECTION('',(-1.,0.,0.)); #453833=DIRECTION('',(-1.,0.,0.)); #453834=DIRECTION('',(0.,0.,1.)); #453835=DIRECTION('center_axis',(1.,0.,0.)); #453836=DIRECTION('ref_axis',(0.,1.,0.)); #453837=DIRECTION('',(0.,1.,0.)); #453838=DIRECTION('',(0.,1.,0.)); #453839=DIRECTION('center_axis',(0.,0.,1.)); #453840=DIRECTION('ref_axis',(1.,0.,0.)); #453841=DIRECTION('center_axis',(0.,0.,1.)); #453842=DIRECTION('ref_axis',(1.,0.,0.)); #453843=DIRECTION('',(0.,0.,1.)); #453844=DIRECTION('',(1.,1.83667601732677E-48,0.)); #453845=DIRECTION('',(0.,0.,1.)); #453846=DIRECTION('',(1.,1.83667601732677E-48,0.)); #453847=DIRECTION('',(0.,0.,1.)); #453848=DIRECTION('',(1.,1.83667601732677E-48,0.)); #453849=DIRECTION('axis',(0.,0.,1.)); #453850=DIRECTION('refdir',(1.,0.,0.)); #453851=DIRECTION('axis',(0.,0.,1.)); #453852=DIRECTION('refdir',(1.,0.,0.)); #453853=DIRECTION('axis',(0.,0.,1.)); #453854=DIRECTION('refdir',(1.,0.,0.)); #453855=DIRECTION('axis',(0.,0.,1.)); #453856=DIRECTION('refdir',(1.,0.,0.)); #453857=DIRECTION('axis',(0.,0.,1.)); #453858=DIRECTION('refdir',(1.,0.,0.)); #453859=DIRECTION('axis',(0.,0.,1.)); #453860=DIRECTION('refdir',(1.,0.,0.)); #453861=DIRECTION('axis',(0.,0.,1.)); #453862=DIRECTION('refdir',(1.,0.,0.)); #453863=DIRECTION('axis',(0.,0.,1.)); #453864=DIRECTION('refdir',(1.,0.,0.)); #453865=DIRECTION('axis',(0.,0.,1.)); #453866=DIRECTION('refdir',(1.,0.,0.)); #453867=DIRECTION('axis',(0.,0.,1.)); #453868=DIRECTION('refdir',(1.,0.,0.)); #453869=DIRECTION('axis',(0.,0.,1.)); #453870=DIRECTION('refdir',(1.,0.,0.)); #453871=DIRECTION('axis',(0.,0.,1.)); #453872=DIRECTION('refdir',(1.,0.,0.)); #453873=DIRECTION('axis',(0.,0.,1.)); #453874=DIRECTION('refdir',(1.,0.,0.)); #453875=DIRECTION('axis',(0.,0.,1.)); #453876=DIRECTION('refdir',(1.,0.,0.)); #453877=DIRECTION('axis',(0.,0.,1.)); #453878=DIRECTION('refdir',(1.,0.,0.)); #453879=DIRECTION('axis',(0.,0.,1.)); #453880=DIRECTION('refdir',(1.,0.,0.)); #453881=DIRECTION('axis',(0.,0.,1.)); #453882=DIRECTION('refdir',(1.,0.,0.)); #453883=DIRECTION('axis',(0.,0.,1.)); #453884=DIRECTION('refdir',(1.,0.,0.)); #453885=DIRECTION('axis',(0.,0.,1.)); #453886=DIRECTION('refdir',(1.,0.,0.)); #453887=DIRECTION('axis',(0.,0.,1.)); #453888=DIRECTION('refdir',(1.,0.,0.)); #453889=DIRECTION('axis',(0.,0.,1.)); #453890=DIRECTION('refdir',(1.,0.,0.)); #453891=DIRECTION('axis',(0.,0.,1.)); #453892=DIRECTION('refdir',(1.,0.,0.)); #453893=DIRECTION('axis',(0.,0.,1.)); #453894=DIRECTION('refdir',(1.,0.,0.)); #453895=DIRECTION('axis',(0.,0.,1.)); #453896=DIRECTION('refdir',(1.,0.,0.)); #453897=DIRECTION('axis',(0.,0.,1.)); #453898=DIRECTION('refdir',(1.,0.,0.)); #453899=DIRECTION('axis',(0.,0.,1.)); #453900=DIRECTION('refdir',(1.,0.,0.)); #453901=DIRECTION('center_axis',(-7.10886483625716E-17,0.716873494897815, 0.697203264703337)); #453902=DIRECTION('ref_axis',(1.23259516440783E-32,-0.697203264703337,0.716873494897815)); #453903=DIRECTION('',(0.,0.697203264703337,-0.716873494897815)); #453904=DIRECTION('',(-1.,0.,0.)); #453905=DIRECTION('',(-7.30942759152496E-17,-0.697203264703337,0.716873494897815)); #453906=DIRECTION('',(1.,0.,1.01962586754123E-16)); #453907=DIRECTION('',(-7.30942759152496E-17,-0.697203264703337,0.716873494897815)); #453908=DIRECTION('',(1.,0.,1.01962586754123E-16)); #453909=DIRECTION('',(1.48865442512566E-16,0.697203264703337,-0.716873494897815)); #453910=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #453911=DIRECTION('',(2.96089828786853E-16,-0.697203264703337,0.716873494897815)); #453912=DIRECTION('',(-1.,0.,0.)); #453913=DIRECTION('',(-1.37807766057711E-17,-0.697203264703337,0.716873494897815)); #453914=DIRECTION('',(1.,0.,1.01962586754123E-16)); #453915=DIRECTION('',(6.89038830288553E-17,0.697203264703337,-0.716873494897815)); #453916=DIRECTION('',(-1.,0.,-3.70034871155462E-17)); #453917=DIRECTION('',(0.,-0.697203264703337,0.716873494897815)); #453918=DIRECTION('',(1.,0.,1.01962586754123E-16)); #453919=DIRECTION('center_axis',(-1.,0.,0.)); #453920=DIRECTION('ref_axis',(0.,0.,1.)); #453921=DIRECTION('',(0.,1.,0.)); #453922=DIRECTION('',(1.12352556734249E-16,0.,1.)); #453923=DIRECTION('',(0.,1.,0.)); #453924=DIRECTION('',(0.,0.,1.)); #453925=DIRECTION('center_axis',(0.,1.,0.)); #453926=DIRECTION('ref_axis',(0.,0.,1.)); #453927=DIRECTION('center_axis',(0.,-1.,0.)); #453928=DIRECTION('ref_axis',(0.,0.,-1.)); #453929=DIRECTION('',(0.,1.,0.)); #453930=DIRECTION('center_axis',(0.,-1.,0.)); #453931=DIRECTION('ref_axis',(1.,0.,0.)); #453932=DIRECTION('',(0.,1.,0.)); #453933=DIRECTION('center_axis',(0.,-1.,0.)); #453934=DIRECTION('ref_axis',(0.,0.,-1.)); #453935=DIRECTION('',(0.,1.,0.)); #453936=DIRECTION('center_axis',(0.,1.,0.)); #453937=DIRECTION('ref_axis',(0.,0.,1.)); #453938=DIRECTION('',(0.,1.,0.)); #453939=DIRECTION('center_axis',(0.,1.,0.)); #453940=DIRECTION('ref_axis',(0.,0.,1.)); #453941=DIRECTION('center_axis',(0.,-1.,0.)); #453942=DIRECTION('ref_axis',(0.,0.,-1.)); #453943=DIRECTION('',(0.,1.,0.)); #453944=DIRECTION('center_axis',(0.,1.,0.)); #453945=DIRECTION('ref_axis',(0.,0.,1.)); #453946=DIRECTION('',(0.,1.,0.)); #453947=DIRECTION('center_axis',(0.,-1.,0.)); #453948=DIRECTION('ref_axis',(0.,0.,-1.)); #453949=DIRECTION('',(0.,1.,0.)); #453950=DIRECTION('center_axis',(0.,-1.,0.)); #453951=DIRECTION('ref_axis',(1.,0.,0.)); #453952=DIRECTION('',(0.,1.,0.)); #453953=DIRECTION('center_axis',(1.,0.,0.)); #453954=DIRECTION('ref_axis',(0.,0.,-1.)); #453955=DIRECTION('',(0.,0.,-1.)); #453956=DIRECTION('',(0.,0.,-1.)); #453957=DIRECTION('center_axis',(1.,0.,0.)); #453958=DIRECTION('ref_axis',(0.,0.,-1.)); #453959=DIRECTION('',(0.,0.,1.)); #453960=DIRECTION('',(0.,0.,1.)); #453961=DIRECTION('center_axis',(1.01962586754123E-16,0.,1.)); #453962=DIRECTION('ref_axis',(1.,0.,-1.01962586754123E-16)); #453963=DIRECTION('',(1.,0.,0.)); #453964=DIRECTION('',(0.,1.,0.)); #453965=DIRECTION('',(-1.,0.,1.01962586754123E-16)); #453966=DIRECTION('',(0.,-1.,0.)); #453967=DIRECTION('',(1.,0.,-1.01962586754123E-16)); #453968=DIRECTION('',(0.,-1.,0.)); #453969=DIRECTION('',(1.,0.,-1.66800334228539E-16)); #453970=DIRECTION('',(0.,-1.,0.)); #453971=DIRECTION('',(1.,0.,-1.01962586754123E-16)); #453972=DIRECTION('',(0.,-1.,0.)); #453973=DIRECTION('',(-1.,0.,-1.66800334228539E-16)); #453974=DIRECTION('',(0.,-1.,0.)); #453975=DIRECTION('',(1.,0.,-1.01962586754123E-16)); #453976=DIRECTION('',(0.,-1.,0.)); #453977=DIRECTION('',(-1.,0.,1.01962586754123E-16)); #453978=DIRECTION('',(0.,1.,0.)); #453979=DIRECTION('center_axis',(7.20984365211618E-17,0.707106781186548, 0.707106781186548)); #453980=DIRECTION('ref_axis',(1.,2.83496887813801E-31,-1.01962586754123E-16)); #453981=DIRECTION('',(0.,0.707106781186548,-0.707106781186548)); #453982=DIRECTION('',(0.,-0.707106781186548,0.707106781186548)); #453983=DIRECTION('',(1.,0.,0.)); #453984=DIRECTION('center_axis',(0.,1.,0.)); #453985=DIRECTION('ref_axis',(0.,0.,1.)); #453986=DIRECTION('',(-1.,0.,0.)); #453987=DIRECTION('',(0.,0.,-1.)); #453988=DIRECTION('',(-1.,0.,-3.70034871155462E-17)); #453989=DIRECTION('',(1.53012403374971E-16,0.,1.)); #453990=DIRECTION('',(-1.,0.,0.)); #453991=DIRECTION('',(-0.173648177666929,0.,0.984807753012208)); #453992=DIRECTION('',(-1.,0.,0.)); #453993=DIRECTION('',(-0.17364817766693,0.,-0.984807753012208)); #453994=DIRECTION('',(-1.,0.,1.12937726300573E-16)); #453995=DIRECTION('',(-0.17364817766693,0.,0.984807753012208)); #453996=DIRECTION('',(-1.,0.,-3.87215061601966E-16)); #453997=DIRECTION('',(0.,0.,1.)); #453998=DIRECTION('',(-0.522833804229875,0.,0.852434638640709)); #453999=DIRECTION('',(-1.,0.,1.71362893848849E-15)); #454000=DIRECTION('',(-0.522833804229874,0.,-0.85243463864071)); #454001=DIRECTION('',(0.,0.,-1.)); #454002=DIRECTION('',(-0.4226182617407,0.,-0.90630778703665)); #454003=DIRECTION('',(-1.,0.,0.)); #454004=DIRECTION('',(-0.17364817766693,0.,0.984807753012208)); #454005=DIRECTION('center_axis',(0.,-1.,0.)); #454006=DIRECTION('ref_axis',(0.,0.,-1.)); #454007=DIRECTION('',(0.,0.,-1.)); #454008=DIRECTION('center_axis',(0.,-1.,0.)); #454009=DIRECTION('ref_axis',(0.,0.,-1.)); #454010=DIRECTION('',(0.,0.,-1.)); #454011=DIRECTION('',(1.,0.,1.01962586754123E-16)); #454012=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #454013=DIRECTION('center_axis',(0.,-1.,0.)); #454014=DIRECTION('ref_axis',(0.,0.,-1.)); #454015=DIRECTION('center_axis',(0.,-1.,0.)); #454016=DIRECTION('ref_axis',(0.,0.,-1.)); #454017=DIRECTION('',(2.04483226766084E-16,0.,-1.)); #454018=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #454019=DIRECTION('',(1.,0.,1.01962586754123E-16)); #454020=DIRECTION('',(0.,0.,1.)); #454021=DIRECTION('center_axis',(0.,-1.,0.)); #454022=DIRECTION('ref_axis',(0.,0.,-1.)); #454023=DIRECTION('center_axis',(0.,-1.,0.)); #454024=DIRECTION('ref_axis',(0.,0.,-1.)); #454025=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #454026=DIRECTION('center_axis',(0.,-1.,0.)); #454027=DIRECTION('ref_axis',(0.,0.,-1.)); #454028=DIRECTION('',(0.,-0.707106781186548,0.707106781186547)); #454029=DIRECTION('center_axis',(0.,1.,0.)); #454030=DIRECTION('ref_axis',(0.,0.,1.)); #454031=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #454032=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #454033=DIRECTION('',(0.,1.,0.)); #454034=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454035=DIRECTION('',(0.,1.,0.)); #454036=DIRECTION('',(1.,0.,1.01962586754123E-16)); #454037=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #454038=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #454039=DIRECTION('',(0.,1.,0.)); #454040=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454041=DIRECTION('',(0.,1.,0.)); #454042=DIRECTION('',(1.,0.,1.01962586754123E-16)); #454043=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #454044=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #454045=DIRECTION('',(0.,1.,0.)); #454046=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454047=DIRECTION('',(0.,1.,0.)); #454048=DIRECTION('',(1.,0.,1.01962586754123E-16)); #454049=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #454050=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #454051=DIRECTION('',(0.,1.,0.)); #454052=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454053=DIRECTION('',(0.,1.,0.)); #454054=DIRECTION('',(1.,0.,1.01962586754123E-16)); #454055=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #454056=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #454057=DIRECTION('',(0.,1.,0.)); #454058=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454059=DIRECTION('',(0.,1.,0.)); #454060=DIRECTION('',(1.,0.,1.01962586754123E-16)); #454061=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #454062=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #454063=DIRECTION('',(0.,1.,0.)); #454064=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454065=DIRECTION('',(0.,1.,0.)); #454066=DIRECTION('',(1.,0.,1.01962586754123E-16)); #454067=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #454068=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #454069=DIRECTION('',(0.,1.,0.)); #454070=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454071=DIRECTION('',(0.,1.,0.)); #454072=DIRECTION('',(1.,0.,1.01962586754123E-16)); #454073=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #454074=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #454075=DIRECTION('',(0.,1.,0.)); #454076=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454077=DIRECTION('',(0.,1.,0.)); #454078=DIRECTION('',(1.,0.,1.01962586754123E-16)); #454079=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #454080=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #454081=DIRECTION('',(0.,1.,0.)); #454082=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454083=DIRECTION('',(0.,1.,0.)); #454084=DIRECTION('',(1.,0.,1.01962586754123E-16)); #454085=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #454086=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #454087=DIRECTION('',(0.,1.,0.)); #454088=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454089=DIRECTION('',(0.,1.,0.)); #454090=DIRECTION('',(1.,0.,1.01962586754123E-16)); #454091=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #454092=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #454093=DIRECTION('',(0.,1.,0.)); #454094=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454095=DIRECTION('',(0.,1.,0.)); #454096=DIRECTION('',(1.,0.,1.01962586754123E-16)); #454097=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #454098=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #454099=DIRECTION('',(0.,1.,0.)); #454100=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454101=DIRECTION('',(0.,1.,0.)); #454102=DIRECTION('',(1.,0.,1.01962586754123E-16)); #454103=DIRECTION('center_axis',(-1.,0.,0.)); #454104=DIRECTION('ref_axis',(0.,0.,1.)); #454105=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #454106=DIRECTION('',(0.,1.,0.)); #454107=DIRECTION('',(0.,0.,1.)); #454108=DIRECTION('center_axis',(-0.707106781186548,0.,-0.707106781186548)); #454109=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #454110=DIRECTION('',(-0.577350269189626,-0.577350269189626,0.577350269189626)); #454111=DIRECTION('',(0.,1.,0.)); #454112=DIRECTION('',(-0.707106781186548,0.,0.707106781186548)); #454113=DIRECTION('center_axis',(0.707106781186547,0.,-0.707106781186548)); #454114=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #454115=DIRECTION('',(0.,1.,0.)); #454116=DIRECTION('',(-0.577350269189626,0.577350269189625,-0.577350269189626)); #454117=DIRECTION('',(0.,1.,0.)); #454118=DIRECTION('',(-0.707106781186548,0.,-0.707106781186547)); #454119=DIRECTION('center_axis',(1.,0.,4.33680868994199E-15)); #454120=DIRECTION('ref_axis',(4.33680868994199E-15,0.,-1.)); #454121=DIRECTION('',(4.27086760731181E-15,0.173720365850368,-0.984795021559723)); #454122=DIRECTION('',(4.33680868994199E-15,0.,-1.)); #454123=DIRECTION('center_axis',(-1.,0.,0.)); #454124=DIRECTION('ref_axis',(0.,0.,1.)); #454125=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #454126=DIRECTION('',(0.,1.,0.)); #454127=DIRECTION('',(0.,0.,1.)); #454128=DIRECTION('center_axis',(-0.707106781186548,0.,-0.707106781186547)); #454129=DIRECTION('ref_axis',(-0.707106781186547,0.,0.707106781186548)); #454130=DIRECTION('',(-0.577350269189626,-0.577350269189626,0.577350269189626)); #454131=DIRECTION('',(0.,1.,0.)); #454132=DIRECTION('',(-0.707106781186547,0.,0.707106781186548)); #454133=DIRECTION('center_axis',(0.707106781186547,0.,-0.707106781186548)); #454134=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #454135=DIRECTION('',(0.,1.,0.)); #454136=DIRECTION('',(-0.577350269189626,0.577350269189625,-0.577350269189626)); #454137=DIRECTION('',(0.,1.,0.)); #454138=DIRECTION('',(-0.707106781186548,0.,-0.707106781186547)); #454139=DIRECTION('center_axis',(1.,0.,0.)); #454140=DIRECTION('ref_axis',(0.,0.,-1.)); #454141=DIRECTION('',(0.,0.173720365850368,-0.984795021559723)); #454142=DIRECTION('',(0.,0.,-1.)); #454143=DIRECTION('center_axis',(-1.,0.,0.)); #454144=DIRECTION('ref_axis',(0.,0.,1.)); #454145=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #454146=DIRECTION('',(0.,1.,0.)); #454147=DIRECTION('',(0.,0.,1.)); #454148=DIRECTION('center_axis',(-0.707106781186549,0.,-0.707106781186546)); #454149=DIRECTION('ref_axis',(-0.707106781186546,0.,0.707106781186549)); #454150=DIRECTION('',(-0.577350269189625,-0.577350269189626,0.577350269189627)); #454151=DIRECTION('',(0.,1.,0.)); #454152=DIRECTION('',(-0.707106781186546,0.,0.707106781186549)); #454153=DIRECTION('center_axis',(0.707106781186547,0.,-0.707106781186548)); #454154=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #454155=DIRECTION('',(0.,1.,0.)); #454156=DIRECTION('',(-0.577350269189626,0.577350269189625,-0.577350269189626)); #454157=DIRECTION('',(0.,1.,0.)); #454158=DIRECTION('',(-0.707106781186548,0.,-0.707106781186547)); #454159=DIRECTION('center_axis',(1.,0.,0.)); #454160=DIRECTION('ref_axis',(0.,0.,-1.)); #454161=DIRECTION('',(0.,0.173720365850368,-0.984795021559723)); #454162=DIRECTION('',(0.,0.,-1.)); #454163=DIRECTION('center_axis',(-1.,0.,0.)); #454164=DIRECTION('ref_axis',(0.,0.,1.)); #454165=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #454166=DIRECTION('',(0.,1.,0.)); #454167=DIRECTION('',(0.,0.,1.)); #454168=DIRECTION('center_axis',(-0.707106781186547,0.,-0.707106781186548)); #454169=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186547)); #454170=DIRECTION('',(-0.577350269189626,-0.577350269189626,0.577350269189626)); #454171=DIRECTION('',(0.,1.,0.)); #454172=DIRECTION('',(-0.707106781186548,0.,0.707106781186547)); #454173=DIRECTION('center_axis',(0.707106781186547,0.,-0.707106781186548)); #454174=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #454175=DIRECTION('',(0.,1.,0.)); #454176=DIRECTION('',(-0.577350269189626,0.577350269189625,-0.577350269189626)); #454177=DIRECTION('',(0.,1.,0.)); #454178=DIRECTION('',(-0.707106781186548,0.,-0.707106781186547)); #454179=DIRECTION('center_axis',(1.,0.,0.)); #454180=DIRECTION('ref_axis',(0.,0.,-1.)); #454181=DIRECTION('',(0.,0.173720365850368,-0.984795021559723)); #454182=DIRECTION('',(0.,0.,-1.)); #454183=DIRECTION('center_axis',(-1.,0.,0.)); #454184=DIRECTION('ref_axis',(0.,0.,1.)); #454185=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #454186=DIRECTION('',(0.,1.,0.)); #454187=DIRECTION('',(0.,0.,1.)); #454188=DIRECTION('center_axis',(-0.707106781186548,0.,-0.707106781186548)); #454189=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #454190=DIRECTION('',(-0.577350269189626,-0.577350269189626,0.577350269189626)); #454191=DIRECTION('',(0.,1.,0.)); #454192=DIRECTION('',(-0.707106781186548,0.,0.707106781186548)); #454193=DIRECTION('center_axis',(0.707106781186548,0.,-0.707106781186547)); #454194=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186548)); #454195=DIRECTION('',(0.,1.,0.)); #454196=DIRECTION('',(-0.577350269189626,0.577350269189626,-0.577350269189626)); #454197=DIRECTION('',(0.,1.,0.)); #454198=DIRECTION('',(-0.707106781186547,0.,-0.707106781186548)); #454199=DIRECTION('center_axis',(1.,0.,0.)); #454200=DIRECTION('ref_axis',(0.,0.,-1.)); #454201=DIRECTION('',(0.,0.173720365850368,-0.984795021559723)); #454202=DIRECTION('',(0.,0.,-1.)); #454203=DIRECTION('center_axis',(-1.,0.,0.)); #454204=DIRECTION('ref_axis',(0.,0.,1.)); #454205=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #454206=DIRECTION('',(0.,1.,0.)); #454207=DIRECTION('',(0.,0.,1.)); #454208=DIRECTION('center_axis',(-0.707106781186547,0.,-0.707106781186548)); #454209=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186547)); #454210=DIRECTION('',(-0.577350269189626,-0.577350269189626,0.577350269189626)); #454211=DIRECTION('',(0.,1.,0.)); #454212=DIRECTION('',(-0.707106781186548,0.,0.707106781186547)); #454213=DIRECTION('center_axis',(0.707106781186547,0.,-0.707106781186548)); #454214=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #454215=DIRECTION('',(0.,1.,0.)); #454216=DIRECTION('',(-0.577350269189626,0.577350269189625,-0.577350269189626)); #454217=DIRECTION('',(0.,1.,0.)); #454218=DIRECTION('',(-0.707106781186548,0.,-0.707106781186547)); #454219=DIRECTION('center_axis',(1.,0.,0.)); #454220=DIRECTION('ref_axis',(0.,0.,-1.)); #454221=DIRECTION('',(0.,0.173720365850368,-0.984795021559723)); #454222=DIRECTION('',(0.,0.,-1.)); #454223=DIRECTION('center_axis',(-1.,0.,0.)); #454224=DIRECTION('ref_axis',(0.,0.,1.)); #454225=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #454226=DIRECTION('',(0.,1.,0.)); #454227=DIRECTION('',(0.,0.,1.)); #454228=DIRECTION('center_axis',(-0.707106781186545,0.,-0.70710678118655)); #454229=DIRECTION('ref_axis',(-0.70710678118655,0.,0.707106781186545)); #454230=DIRECTION('',(-0.577350269189629,-0.577350269189624,0.577350269189624)); #454231=DIRECTION('',(0.,1.,0.)); #454232=DIRECTION('',(-0.70710678118655,0.,0.707106781186545)); #454233=DIRECTION('center_axis',(-1.,0.,0.)); #454234=DIRECTION('ref_axis',(0.,0.,1.)); #454235=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #454236=DIRECTION('',(0.,0.,1.)); #454237=DIRECTION('',(0.,1.,0.)); #454238=DIRECTION('center_axis',(-0.707106781186548,0.,0.707106781186548)); #454239=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #454240=DIRECTION('',(0.577350269189626,-0.577350269189626,0.577350269189626)); #454241=DIRECTION('',(0.707106781186548,0.,0.707106781186548)); #454242=DIRECTION('',(0.,1.,0.)); #454243=DIRECTION('center_axis',(0.707106781186547,0.,0.707106781186548)); #454244=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #454245=DIRECTION('',(0.577350269189626,0.577350269189626,-0.577350269189626)); #454246=DIRECTION('',(0.,1.,0.)); #454247=DIRECTION('',(0.707106781186548,0.,-0.707106781186547)); #454248=DIRECTION('',(0.,1.,0.)); #454249=DIRECTION('center_axis',(1.,0.,-4.33680868994199E-15)); #454250=DIRECTION('ref_axis',(-4.33680868994199E-15,0.,-1.)); #454251=DIRECTION('',(-4.27086760731181E-15,0.173720365850368,-0.984795021559723)); #454252=DIRECTION('',(-4.33680868994199E-15,0.,-1.)); #454253=DIRECTION('center_axis',(-1.,0.,0.)); #454254=DIRECTION('ref_axis',(0.,0.,1.)); #454255=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #454256=DIRECTION('',(0.,0.,1.)); #454257=DIRECTION('',(0.,1.,0.)); #454258=DIRECTION('center_axis',(-0.707106781186548,0.,0.707106781186547)); #454259=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186548)); #454260=DIRECTION('',(0.577350269189626,-0.577350269189626,0.577350269189626)); #454261=DIRECTION('',(0.707106781186547,0.,0.707106781186548)); #454262=DIRECTION('',(0.,1.,0.)); #454263=DIRECTION('center_axis',(0.707106781186547,0.,0.707106781186548)); #454264=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #454265=DIRECTION('',(0.577350269189626,0.577350269189626,-0.577350269189626)); #454266=DIRECTION('',(0.,1.,0.)); #454267=DIRECTION('',(0.707106781186548,0.,-0.707106781186547)); #454268=DIRECTION('',(0.,1.,0.)); #454269=DIRECTION('center_axis',(1.,0.,0.)); #454270=DIRECTION('ref_axis',(0.,0.,-1.)); #454271=DIRECTION('',(0.,0.173720365850368,-0.984795021559723)); #454272=DIRECTION('',(0.,0.,-1.)); #454273=DIRECTION('center_axis',(-1.,0.,0.)); #454274=DIRECTION('ref_axis',(0.,0.,1.)); #454275=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #454276=DIRECTION('',(0.,0.,1.)); #454277=DIRECTION('',(0.,1.,0.)); #454278=DIRECTION('center_axis',(-0.707106781186549,0.,0.707106781186546)); #454279=DIRECTION('ref_axis',(0.707106781186546,0.,0.707106781186549)); #454280=DIRECTION('',(0.577350269189625,-0.577350269189626,0.577350269189627)); #454281=DIRECTION('',(0.707106781186546,0.,0.707106781186549)); #454282=DIRECTION('',(0.,1.,0.)); #454283=DIRECTION('center_axis',(0.707106781186547,0.,0.707106781186548)); #454284=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #454285=DIRECTION('',(0.577350269189626,0.577350269189626,-0.577350269189626)); #454286=DIRECTION('',(0.,1.,0.)); #454287=DIRECTION('',(0.707106781186548,0.,-0.707106781186547)); #454288=DIRECTION('',(0.,1.,0.)); #454289=DIRECTION('center_axis',(1.,0.,0.)); #454290=DIRECTION('ref_axis',(0.,0.,-1.)); #454291=DIRECTION('',(0.,0.173720365850368,-0.984795021559723)); #454292=DIRECTION('',(0.,0.,-1.)); #454293=DIRECTION('center_axis',(-1.,0.,0.)); #454294=DIRECTION('ref_axis',(0.,0.,1.)); #454295=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #454296=DIRECTION('',(0.,0.,1.)); #454297=DIRECTION('',(0.,1.,0.)); #454298=DIRECTION('center_axis',(-0.707106781186547,0.,0.707106781186548)); #454299=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186547)); #454300=DIRECTION('',(0.577350269189626,-0.577350269189625,0.577350269189626)); #454301=DIRECTION('',(0.707106781186548,0.,0.707106781186547)); #454302=DIRECTION('',(0.,1.,0.)); #454303=DIRECTION('center_axis',(0.707106781186547,0.,0.707106781186548)); #454304=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #454305=DIRECTION('',(0.577350269189626,0.577350269189626,-0.577350269189626)); #454306=DIRECTION('',(0.,1.,0.)); #454307=DIRECTION('',(0.707106781186548,0.,-0.707106781186547)); #454308=DIRECTION('',(0.,1.,0.)); #454309=DIRECTION('center_axis',(1.,0.,0.)); #454310=DIRECTION('ref_axis',(0.,0.,-1.)); #454311=DIRECTION('',(0.,0.173720365850368,-0.984795021559723)); #454312=DIRECTION('',(0.,0.,-1.)); #454313=DIRECTION('center_axis',(-1.,0.,0.)); #454314=DIRECTION('ref_axis',(0.,0.,1.)); #454315=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #454316=DIRECTION('',(0.,0.,1.)); #454317=DIRECTION('',(0.,1.,0.)); #454318=DIRECTION('center_axis',(-0.707106781186548,0.,0.707106781186548)); #454319=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #454320=DIRECTION('',(0.577350269189626,-0.577350269189626,0.577350269189626)); #454321=DIRECTION('',(0.707106781186548,0.,0.707106781186548)); #454322=DIRECTION('',(0.,1.,0.)); #454323=DIRECTION('center_axis',(0.707106781186548,0.,0.707106781186547)); #454324=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186548)); #454325=DIRECTION('',(0.577350269189626,0.577350269189626,-0.577350269189626)); #454326=DIRECTION('',(0.,1.,0.)); #454327=DIRECTION('',(0.707106781186547,0.,-0.707106781186548)); #454328=DIRECTION('',(0.,1.,0.)); #454329=DIRECTION('center_axis',(1.,0.,0.)); #454330=DIRECTION('ref_axis',(0.,0.,-1.)); #454331=DIRECTION('',(0.,0.173720365850368,-0.984795021559723)); #454332=DIRECTION('',(0.,0.,-1.)); #454333=DIRECTION('center_axis',(-1.,0.,0.)); #454334=DIRECTION('ref_axis',(0.,0.,1.)); #454335=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #454336=DIRECTION('',(0.,0.,1.)); #454337=DIRECTION('',(0.,1.,0.)); #454338=DIRECTION('center_axis',(-0.707106781186545,0.,0.70710678118655)); #454339=DIRECTION('ref_axis',(0.70710678118655,0.,0.707106781186545)); #454340=DIRECTION('',(0.577350269189629,-0.577350269189624,0.577350269189624)); #454341=DIRECTION('',(0.70710678118655,0.,0.707106781186545)); #454342=DIRECTION('',(0.,1.,0.)); #454343=DIRECTION('center_axis',(1.,0.,1.01962586754123E-16)); #454344=DIRECTION('ref_axis',(1.01962586754123E-16,0.,-1.)); #454345=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #454346=DIRECTION('',(0.,1.,0.)); #454347=DIRECTION('',(-1.01962586754123E-16,0.,1.)); #454348=DIRECTION('',(0.,-1.,0.)); #454349=DIRECTION('center_axis',(-1.20466908053945E-16,-1.,-9.91978355124293E-33)); #454350=DIRECTION('ref_axis',(1.,-1.20466908053945E-16,0.)); #454351=DIRECTION('',(-1.,0.,0.)); #454352=DIRECTION('',(8.23444687963674E-17,0.,-1.)); #454353=DIRECTION('',(-1.,1.20466908053945E-16,-7.83760606616027E-17)); #454354=DIRECTION('',(8.23444687963674E-17,0.,-1.)); #454355=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #454356=DIRECTION('center_axis',(1.,0.,1.01962586754123E-16)); #454357=DIRECTION('ref_axis',(1.01962586754123E-16,0.,-1.)); #454358=DIRECTION('',(0.,1.,0.)); #454359=DIRECTION('',(0.,-1.,0.)); #454360=DIRECTION('',(-1.01962586754123E-16,0.,1.)); #454361=DIRECTION('center_axis',(0.,1.,0.)); #454362=DIRECTION('ref_axis',(0.,0.,1.)); #454363=DIRECTION('',(-1.,0.,0.)); #454364=DIRECTION('',(-1.,0.,0.)); #454365=DIRECTION('',(-1.,0.,0.)); #454366=DIRECTION('',(-1.,0.,0.)); #454367=DIRECTION('',(-1.,0.,0.)); #454368=DIRECTION('',(-1.,0.,0.)); #454369=DIRECTION('',(1.,0.,0.)); #454370=DIRECTION('',(1.,0.,0.)); #454371=DIRECTION('',(1.,0.,0.)); #454372=DIRECTION('',(1.,0.,0.)); #454373=DIRECTION('',(1.,0.,0.)); #454374=DIRECTION('',(-1.,0.,0.)); #454375=DIRECTION('',(1.,0.,0.)); #454376=DIRECTION('center_axis',(1.01962586754123E-16,0.,-1.)); #454377=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #454378=DIRECTION('',(0.,-1.,0.)); #454379=DIRECTION('',(0.,1.,0.)); #454380=DIRECTION('',(1.,2.25875452601147E-16,1.01962586754123E-16)); #454381=DIRECTION('',(0.,-1.,0.)); #454382=DIRECTION('',(0.707106781186547,0.707106781186548,7.20984365211617E-17)); #454383=DIRECTION('center_axis',(1.01962586754123E-16,0.,-1.)); #454384=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #454385=DIRECTION('',(0.707106781186547,-0.707106781186548,7.20984365211617E-17)); #454386=DIRECTION('',(0.,-1.,0.)); #454387=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454388=DIRECTION('',(-2.16840434497101E-15,-1.,-2.21096116142123E-31)); #454389=DIRECTION('',(0.,1.,0.)); #454390=DIRECTION('center_axis',(0.,-1.,0.)); #454391=DIRECTION('ref_axis',(0.,0.,-1.)); #454392=DIRECTION('',(0.,-1.,0.)); #454393=DIRECTION('center_axis',(-2.25875452601147E-16,1.,-2.30308454314712E-32)); #454394=DIRECTION('ref_axis',(-1.,-2.25875452601147E-16,0.)); #454395=DIRECTION('',(0.,-1.,0.)); #454396=DIRECTION('center_axis',(0.,-1.,0.)); #454397=DIRECTION('ref_axis',(0.,0.,-1.)); #454398=DIRECTION('center_axis',(1.01962586754123E-16,0.,-1.)); #454399=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #454400=DIRECTION('',(-1.,1.30104260698261E-16,-1.01962586754123E-16)); #454401=DIRECTION('',(0.,1.,0.)); #454402=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454403=DIRECTION('center_axis',(1.01962586754123E-16,0.,-1.)); #454404=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #454405=DIRECTION('',(-3.99582355041204E-16,1.,-4.07424505413054E-32)); #454406=DIRECTION('',(-1.,1.95156391047391E-15,-1.01962586754123E-16)); #454407=DIRECTION('',(0.,-1.,0.)); #454408=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454409=DIRECTION('center_axis',(1.01962586754123E-16,0.,-1.)); #454410=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #454411=DIRECTION('',(1.,0.,1.01962586754123E-16)); #454412=DIRECTION('',(-0.702780204718795,-0.711407045126353,-7.16572875927202E-17)); #454413=DIRECTION('',(-1.,1.23830197365096E-16,-1.01962586754123E-16)); #454414=DIRECTION('',(0.,-1.,0.)); #454415=DIRECTION('',(1.,0.,1.01962586754123E-16)); #454416=DIRECTION('',(0.,1.,0.)); #454417=DIRECTION('',(-1.,1.23830197365096E-16,-1.01962586754123E-16)); #454418=DIRECTION('',(-0.70278020471879,0.711407045126358,-7.16572875927197E-17)); #454419=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454420=DIRECTION('',(-0.816950214783727,-0.576708198801458,-8.32983571486849E-17)); #454421=DIRECTION('',(-4.8186763221578E-16,-1.,-4.91324702538051E-32)); #454422=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454423=DIRECTION('',(0.,-1.,0.)); #454424=DIRECTION('',(0.816950214783727,-0.576708198801458,8.32983571486849E-17)); #454425=DIRECTION('center_axis',(0.,-1.,0.)); #454426=DIRECTION('ref_axis',(0.,0.,-1.)); #454427=DIRECTION('',(1.,0.,1.12352556734249E-16)); #454428=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #454429=DIRECTION('',(1.,0.,1.01962586754123E-16)); #454430=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #454431=DIRECTION('center_axis',(-7.20984365211619E-17,0.707106781186547, 0.707106781186549)); #454432=DIRECTION('ref_axis',(1.,-2.95822839457879E-31,1.01962586754123E-16)); #454433=DIRECTION('',(-7.20984365211617E-17,-0.707106781186549,0.707106781186546)); #454434=DIRECTION('',(1.,0.,1.01962586754123E-16)); #454435=DIRECTION('',(0.,0.707106781186548,-0.707106781186547)); #454436=DIRECTION('center_axis',(0.,-1.,0.)); #454437=DIRECTION('ref_axis',(0.,0.,-1.)); #454438=DIRECTION('',(1.,0.,1.12352556734249E-16)); #454439=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #454440=DIRECTION('',(1.,0.,1.01962586754123E-16)); #454441=DIRECTION('center_axis',(0.,-1.,0.)); #454442=DIRECTION('ref_axis',(0.,0.,-1.)); #454443=DIRECTION('',(0.,0.,1.)); #454444=DIRECTION('center_axis',(0.,-1.,0.)); #454445=DIRECTION('ref_axis',(0.,0.,-1.)); #454446=DIRECTION('',(-1.,0.,1.01962586754123E-16)); #454447=DIRECTION('',(0.,0.,1.)); #454448=DIRECTION('',(-1.,0.,1.12352556734249E-16)); #454449=DIRECTION('center_axis',(0.,-1.,0.)); #454450=DIRECTION('ref_axis',(1.,0.,0.)); #454451=DIRECTION('center_axis',(0.,-1.,0.)); #454452=DIRECTION('ref_axis',(-1.,0.,0.)); #454453=DIRECTION('center_axis',(-7.20984365211619E-17,0.707106781186547, 0.707106781186549)); #454454=DIRECTION('ref_axis',(1.,-2.95822839457879E-31,1.01962586754123E-16)); #454455=DIRECTION('',(2.10448956370965E-16,-0.707106781186549,0.707106781186546)); #454456=DIRECTION('',(0.,0.707106781186549,-0.707106781186546)); #454457=DIRECTION('center_axis',(-7.20984365211619E-17,0.707106781186547, 0.707106781186549)); #454458=DIRECTION('ref_axis',(1.,-2.95822839457879E-31,1.01962586754123E-16)); #454459=DIRECTION('',(7.20984365211617E-17,0.707106781186549,-0.707106781186546)); #454460=DIRECTION('',(4.12830306895245E-16,0.707106781186549,-0.707106781186546)); #454461=DIRECTION('center_axis',(0.,-1.,0.)); #454462=DIRECTION('ref_axis',(0.,0.,-1.)); #454463=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #454464=DIRECTION('',(1.,0.,1.12352556734249E-16)); #454465=DIRECTION('',(-1.,0.,1.12352556734249E-16)); #454466=DIRECTION('',(0.,0.,1.)); #454467=DIRECTION('',(-1.,0.,1.01962586754123E-16)); #454468=DIRECTION('center_axis',(0.,-1.,0.)); #454469=DIRECTION('ref_axis',(0.,0.,-1.)); #454470=DIRECTION('',(0.,0.,-1.)); #454471=DIRECTION('center_axis',(0.,-1.,0.)); #454472=DIRECTION('ref_axis',(0.,0.,-1.)); #454473=DIRECTION('center_axis',(0.,-1.,0.)); #454474=DIRECTION('ref_axis',(0.,0.,-1.)); #454475=DIRECTION('',(-1.,0.,1.01962586754123E-16)); #454476=DIRECTION('',(0.,0.,-1.)); #454477=DIRECTION('',(-1.,0.,1.12352556734249E-16)); #454478=DIRECTION('',(0.,0.,-1.)); #454479=DIRECTION('center_axis',(-7.20984365211619E-17,0.707106781186547, -0.707106781186549)); #454480=DIRECTION('ref_axis',(-1.,2.95822839457879E-31,1.01962586754123E-16)); #454481=DIRECTION('',(0.,-0.707106781186549,-0.707106781186546)); #454482=DIRECTION('',(0.,-0.707106781186549,-0.707106781186546)); #454483=DIRECTION('center_axis',(-7.20984365211619E-17,0.707106781186547, -0.707106781186549)); #454484=DIRECTION('ref_axis',(-1.,2.95822839457879E-31,1.01962586754123E-16)); #454485=DIRECTION('',(0.,0.707106781186549,0.707106781186546)); #454486=DIRECTION('',(0.,0.707106781186549,0.707106781186546)); #454487=DIRECTION('center_axis',(-7.20984365211619E-17,0.707106781186547, -0.707106781186549)); #454488=DIRECTION('ref_axis',(-1.,2.95822839457879E-31,1.01962586754123E-16)); #454489=DIRECTION('',(0.,-0.707106781186549,-0.707106781186546)); #454490=DIRECTION('',(0.,-0.707106781186549,-0.707106781186546)); #454491=DIRECTION('center_axis',(0.,-1.,0.)); #454492=DIRECTION('ref_axis',(0.,0.,-1.)); #454493=DIRECTION('center_axis',(0.,-1.,0.)); #454494=DIRECTION('ref_axis',(0.,0.,-1.)); #454495=DIRECTION('',(0.,-1.,0.)); #454496=DIRECTION('center_axis',(0.,-1.,0.)); #454497=DIRECTION('ref_axis',(0.,0.,-1.)); #454498=DIRECTION('center_axis',(1.,0.,0.)); #454499=DIRECTION('ref_axis',(0.,0.,-1.)); #454500=DIRECTION('',(0.,0.,1.)); #454501=DIRECTION('',(0.,-1.,0.)); #454502=DIRECTION('',(0.,0.,-1.)); #454503=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #454504=DIRECTION('center_axis',(0.,-1.,0.)); #454505=DIRECTION('ref_axis',(0.,0.,-1.)); #454506=DIRECTION('center_axis',(0.,-1.,0.)); #454507=DIRECTION('ref_axis',(0.,0.,-1.)); #454508=DIRECTION('center_axis',(0.,-1.,0.)); #454509=DIRECTION('ref_axis',(0.,0.,-1.)); #454510=DIRECTION('center_axis',(0.,-1.,0.)); #454511=DIRECTION('ref_axis',(0.,0.,-1.)); #454512=DIRECTION('',(0.,-1.,0.)); #454513=DIRECTION('center_axis',(0.,-1.,0.)); #454514=DIRECTION('ref_axis',(0.,0.,-1.)); #454515=DIRECTION('center_axis',(-1.,0.,0.)); #454516=DIRECTION('ref_axis',(0.,0.,1.)); #454517=DIRECTION('',(0.,0.,-1.)); #454518=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #454519=DIRECTION('',(0.,0.,1.)); #454520=DIRECTION('center_axis',(-1.12352556734249E-16,0.,1.)); #454521=DIRECTION('ref_axis',(1.,0.,1.12352556734249E-16)); #454522=DIRECTION('',(0.,1.,0.)); #454523=DIRECTION('',(-1.,0.,-1.12352556734249E-16)); #454524=DIRECTION('',(0.,1.,0.)); #454525=DIRECTION('',(1.,0.,1.01962586754123E-16)); #454526=DIRECTION('',(0.816950214783727,-0.576708198801458,8.32983571486849E-17)); #454527=DIRECTION('',(0.,-1.,0.)); #454528=DIRECTION('',(-4.8186763221578E-16,-1.,-4.91324702538051E-32)); #454529=DIRECTION('',(-0.816950214783727,-0.576708198801458,-8.32983571486849E-17)); #454530=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454531=DIRECTION('',(-3.99582355041204E-16,1.,-4.07424505413054E-32)); #454532=DIRECTION('center_axis',(0.,1.,0.)); #454533=DIRECTION('ref_axis',(0.,0.,1.)); #454534=DIRECTION('center_axis',(0.,1.,0.)); #454535=DIRECTION('ref_axis',(0.,0.,1.)); #454536=DIRECTION('',(0.,1.,0.)); #454537=DIRECTION('center_axis',(0.,-1.,0.)); #454538=DIRECTION('ref_axis',(0.,0.,-1.)); #454539=DIRECTION('',(0.,1.,0.)); #454540=DIRECTION('center_axis',(0.,-1.,0.)); #454541=DIRECTION('ref_axis',(0.,0.,-1.)); #454542=DIRECTION('center_axis',(-1.,0.,0.)); #454543=DIRECTION('ref_axis',(0.,0.,1.)); #454544=DIRECTION('',(1.12352556734249E-16,0.,1.)); #454545=DIRECTION('',(0.,1.,0.)); #454546=DIRECTION('',(0.,0.,-1.)); #454547=DIRECTION('center_axis',(0.,1.,0.)); #454548=DIRECTION('ref_axis',(0.,0.,1.)); #454549=DIRECTION('',(0.,1.,0.)); #454550=DIRECTION('center_axis',(0.,1.,0.)); #454551=DIRECTION('ref_axis',(0.,0.,1.)); #454552=DIRECTION('',(0.,1.,0.)); #454553=DIRECTION('center_axis',(0.,-1.,0.)); #454554=DIRECTION('ref_axis',(0.,0.,-1.)); #454555=DIRECTION('center_axis',(0.,-1.,0.)); #454556=DIRECTION('ref_axis',(0.,0.,-1.)); #454557=DIRECTION('center_axis',(-1.12352556734249E-16,0.,-1.)); #454558=DIRECTION('ref_axis',(-1.,0.,1.12352556734249E-16)); #454559=DIRECTION('',(1.,0.,-1.12352556734249E-16)); #454560=DIRECTION('',(0.,-1.,0.)); #454561=DIRECTION('',(1.,0.,8.34001671142696E-17)); #454562=DIRECTION('',(0.,-1.,0.)); #454563=DIRECTION('',(0.,-1.,0.)); #454564=DIRECTION('',(-1.,0.,8.34001671142696E-17)); #454565=DIRECTION('',(0.,-1.,0.)); #454566=DIRECTION('center_axis',(0.,-1.,0.)); #454567=DIRECTION('ref_axis',(0.,0.,-1.)); #454568=DIRECTION('',(0.,0.,1.)); #454569=DIRECTION('center_axis',(0.,-1.,0.)); #454570=DIRECTION('ref_axis',(0.,0.,-1.)); #454571=DIRECTION('',(-1.,0.,1.12352556734249E-16)); #454572=DIRECTION('center_axis',(0.,-1.,0.)); #454573=DIRECTION('ref_axis',(0.,0.,-1.)); #454574=DIRECTION('',(0.,0.,-1.)); #454575=DIRECTION('center_axis',(0.,-1.,0.)); #454576=DIRECTION('ref_axis',(0.,0.,-1.)); #454577=DIRECTION('',(1.,0.,1.12352556734249E-16)); #454578=DIRECTION('center_axis',(0.,-1.,0.)); #454579=DIRECTION('ref_axis',(0.,0.,-1.)); #454580=DIRECTION('',(1.,0.,0.)); #454581=DIRECTION('',(0.,0.,-1.)); #454582=DIRECTION('',(1.,0.,0.)); #454583=DIRECTION('',(0.,0.,-1.)); #454584=DIRECTION('center_axis',(-1.,0.,0.)); #454585=DIRECTION('ref_axis',(0.,0.,1.)); #454586=DIRECTION('',(0.,1.,0.)); #454587=DIRECTION('',(0.,0.,-1.)); #454588=DIRECTION('',(0.,1.,0.)); #454589=DIRECTION('center_axis',(1.,0.,0.)); #454590=DIRECTION('ref_axis',(0.,0.,-1.)); #454591=DIRECTION('',(0.,-1.,0.)); #454592=DIRECTION('',(0.,-1.,0.)); #454593=DIRECTION('',(0.,0.,-1.)); #454594=DIRECTION('center_axis',(0.,0.,-1.)); #454595=DIRECTION('ref_axis',(-1.,0.,0.)); #454596=DIRECTION('center_axis',(0.,0.,1.)); #454597=DIRECTION('ref_axis',(1.,0.,0.)); #454598=DIRECTION('center_axis',(0.,0.,1.)); #454599=DIRECTION('ref_axis',(1.,0.,0.)); #454600=DIRECTION('',(0.,0.,-1.)); #454601=DIRECTION('center_axis',(1.,0.,0.)); #454602=DIRECTION('ref_axis',(0.,0.,-1.)); #454603=DIRECTION('',(0.,-1.,0.)); #454604=DIRECTION('',(0.,-1.,0.)); #454605=DIRECTION('',(0.,0.,-1.)); #454606=DIRECTION('center_axis',(0.959365501571271,-0.28216632399155,0.)); #454607=DIRECTION('ref_axis',(0.28216632399155,0.959365501571271,0.)); #454608=DIRECTION('',(-0.28216632399155,-0.959365501571271,0.)); #454609=DIRECTION('',(0.,0.,-1.)); #454610=DIRECTION('',(-0.28216632399155,-0.959365501571271,0.)); #454611=DIRECTION('center_axis',(0.,-1.,0.)); #454612=DIRECTION('ref_axis',(0.,0.,-1.)); #454613=DIRECTION('',(1.,0.,0.)); #454614=DIRECTION('',(0.,0.,-1.)); #454615=DIRECTION('',(-1.,0.,0.)); #454616=DIRECTION('',(0.,0.,-1.)); #454617=DIRECTION('center_axis',(-0.95936550157128,-0.282166323991518,0.)); #454618=DIRECTION('ref_axis',(0.282166323991518,-0.95936550157128,0.)); #454619=DIRECTION('',(-0.282166323991518,0.95936550157128,0.)); #454620=DIRECTION('',(0.,0.,-1.)); #454621=DIRECTION('',(-0.282166323991518,0.95936550157128,0.)); #454622=DIRECTION('',(0.,0.,-1.)); #454623=DIRECTION('center_axis',(-1.,-6.99485272571307E-14,0.)); #454624=DIRECTION('ref_axis',(6.99485272571307E-14,-1.,0.)); #454625=DIRECTION('',(-6.99485272571307E-14,1.,0.)); #454626=DIRECTION('',(-6.99485272571307E-14,1.,0.)); #454627=DIRECTION('',(0.,0.,-1.)); #454628=DIRECTION('center_axis',(0.,0.,-1.)); #454629=DIRECTION('ref_axis',(-1.,0.,0.)); #454630=DIRECTION('center_axis',(0.,0.,1.)); #454631=DIRECTION('ref_axis',(-1.,0.,0.)); #454632=DIRECTION('center_axis',(0.,0.,1.)); #454633=DIRECTION('ref_axis',(-1.,0.,0.)); #454634=DIRECTION('center_axis',(0.,0.,1.)); #454635=DIRECTION('ref_axis',(1.,0.,0.)); #454636=DIRECTION('',(-0.282166323991518,0.95936550157128,0.)); #454637=DIRECTION('',(1.,0.,0.)); #454638=DIRECTION('',(-0.28216632399155,-0.959365501571271,0.)); #454639=DIRECTION('center_axis',(0.,0.,1.)); #454640=DIRECTION('ref_axis',(1.,0.,0.)); #454641=DIRECTION('',(-0.28216632399155,-0.959365501571271,0.)); #454642=DIRECTION('',(-1.,0.,0.)); #454643=DIRECTION('',(-0.282166323991518,0.95936550157128,0.)); #454644=DIRECTION('center_axis',(0.,1.,0.)); #454645=DIRECTION('ref_axis',(0.,0.,1.)); #454646=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #454647=DIRECTION('',(0.,0.707106781186547,-0.707106781186548)); #454648=DIRECTION('center_axis',(7.94452547504339E-17,-0.707106781186547, -0.707106781186548)); #454649=DIRECTION('ref_axis',(-1.,-7.39557098644699E-32,-1.12352556734249E-16)); #454650=DIRECTION('',(0.,0.707106781186548,-0.707106781186547)); #454651=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #454652=DIRECTION('ref_axis',(0.,0.,1.)); #454653=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #454654=DIRECTION('center_axis',(0.,1.,0.)); #454655=DIRECTION('ref_axis',(0.,0.,1.)); #454656=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #454657=DIRECTION('center_axis',(0.,1.,0.)); #454658=DIRECTION('ref_axis',(0.,0.,1.)); #454659=DIRECTION('',(0.,-0.707106781186548,-0.707106781186548)); #454660=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #454661=DIRECTION('ref_axis',(0.,0.,-1.)); #454662=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #454663=DIRECTION('center_axis',(7.94452547504339E-17,-0.707106781186548, 0.707106781186548)); #454664=DIRECTION('ref_axis',(1.,1.72563323017096E-31,-1.12352556734249E-16)); #454665=DIRECTION('',(0.,-0.707106781186548,-0.707106781186548)); #454666=DIRECTION('center_axis',(0.,1.,0.)); #454667=DIRECTION('ref_axis',(0.,0.,1.)); #454668=DIRECTION('center_axis',(0.,1.,0.)); #454669=DIRECTION('ref_axis',(0.,0.,1.)); #454670=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #454671=DIRECTION('center_axis',(0.707106781186549,0.707106781186547,0.)); #454672=DIRECTION('ref_axis',(0.,0.,-1.)); #454673=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #454674=DIRECTION('center_axis',(0.,1.,0.)); #454675=DIRECTION('ref_axis',(0.,0.,1.)); #454676=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #454677=DIRECTION('center_axis',(0.,1.,0.)); #454678=DIRECTION('ref_axis',(0.,0.,1.)); #454679=DIRECTION('center_axis',(-0.707106781186549,0.707106781186547,0.)); #454680=DIRECTION('ref_axis',(0.,0.,1.)); #454681=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #454682=DIRECTION('center_axis',(0.,1.,0.)); #454683=DIRECTION('ref_axis',(0.,0.,1.)); #454684=DIRECTION('center_axis',(0.,-0.707106781186546,-0.707106781186549)); #454685=DIRECTION('ref_axis',(1.,0.,0.)); #454686=DIRECTION('center_axis',(0.,-0.707106781186546,-0.707106781186549)); #454687=DIRECTION('ref_axis',(0.,0.707106781186549,-0.707106781186546)); #454688=DIRECTION('center_axis',(0.,-0.707106781186546,-0.707106781186549)); #454689=DIRECTION('ref_axis',(0.,0.707106781186549,-0.707106781186546)); #454690=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186548)); #454691=DIRECTION('ref_axis',(-1.,0.,0.)); #454692=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186548)); #454693=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #454694=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186548)); #454695=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #454696=DIRECTION('center_axis',(0.,0.,-1.)); #454697=DIRECTION('ref_axis',(-1.,0.,0.)); #454698=DIRECTION('center_axis',(0.,0.,-1.)); #454699=DIRECTION('ref_axis',(-1.,0.,0.)); #454700=DIRECTION('center_axis',(1.,0.,0.)); #454701=DIRECTION('ref_axis',(0.,0.,-1.)); #454702=DIRECTION('',(0.,0.,1.)); #454703=DIRECTION('center_axis',(-1.,0.,0.)); #454704=DIRECTION('ref_axis',(0.,0.,1.)); #454705=DIRECTION('',(0.,0.,-1.)); #454706=DIRECTION('center_axis',(0.,-1.,0.)); #454707=DIRECTION('ref_axis',(0.,0.,-1.)); #454708=DIRECTION('center_axis',(1.,0.,0.)); #454709=DIRECTION('ref_axis',(0.,0.,-1.)); #454710=DIRECTION('',(0.,0.,1.)); #454711=DIRECTION('center_axis',(-1.,0.,0.)); #454712=DIRECTION('ref_axis',(0.,0.,1.)); #454713=DIRECTION('',(0.,0.,-1.)); #454714=DIRECTION('center_axis',(0.,1.,0.)); #454715=DIRECTION('ref_axis',(0.,0.,1.)); #454716=DIRECTION('center_axis',(-1.,-3.99582355041204E-16,-1.01962586754123E-16)); #454717=DIRECTION('ref_axis',(3.99582355041204E-16,-1.,0.)); #454718=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #454719=DIRECTION('center_axis',(0.,-1.,0.)); #454720=DIRECTION('ref_axis',(0.,0.,-1.)); #454721=DIRECTION('',(-1.01962586754123E-16,0.,1.)); #454722=DIRECTION('',(-1.,1.95156391047391E-15,-1.01962586754123E-16)); #454723=DIRECTION('',(-1.01962586754123E-16,0.,1.)); #454724=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #454725=DIRECTION('center_axis',(0.576708198801458,-0.816950214783727,5.88026597521075E-17)); #454726=DIRECTION('ref_axis',(0.816950214783727,0.576708198801458,0.)); #454727=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #454728=DIRECTION('center_axis',(1.,-4.8186763221578E-16,1.01962586754123E-16)); #454729=DIRECTION('ref_axis',(4.8186763221578E-16,1.,0.)); #454730=DIRECTION('center_axis',(0.,1.,0.)); #454731=DIRECTION('ref_axis',(0.,0.,1.)); #454732=DIRECTION('',(-0.696145836073751,0.,-0.717900393451054)); #454733=DIRECTION('',(-1.01962586754123E-16,0.,1.)); #454734=DIRECTION('',(-1.,1.23830197365096E-16,-1.01962586754123E-16)); #454735=DIRECTION('',(-1.01962586754123E-16,0.,1.)); #454736=DIRECTION('',(-0.69614583607375,0.,0.717900393451055)); #454737=DIRECTION('',(1.,0.,1.01962586754123E-16)); #454738=DIRECTION('center_axis',(0.,-1.,0.)); #454739=DIRECTION('ref_axis',(0.,0.,-1.)); #454740=DIRECTION('',(0.69614583607375,0.,-0.717900393451055)); #454741=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454742=DIRECTION('',(0.696145836073751,0.,0.717900393451054)); #454743=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454744=DIRECTION('center_axis',(1.01962586754123E-16,0.,-1.)); #454745=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #454746=DIRECTION('',(0.,1.,0.)); #454747=DIRECTION('',(0.,-1.,0.)); #454748=DIRECTION('center_axis',(-1.,0.,-1.01962586754123E-16)); #454749=DIRECTION('ref_axis',(-1.01962586754123E-16,0.,1.)); #454750=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #454751=DIRECTION('center_axis',(0.,1.,0.)); #454752=DIRECTION('ref_axis',(0.,0.,1.)); #454753=DIRECTION('',(-1.01962586754123E-16,0.,1.)); #454754=DIRECTION('',(-1.,1.30104260698261E-16,-1.01962586754123E-16)); #454755=DIRECTION('',(-1.01962586754123E-16,0.,1.)); #454756=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #454757=DIRECTION('center_axis',(0.576708198801458,0.816950214783727,5.88026597521075E-17)); #454758=DIRECTION('ref_axis',(-0.816950214783727,0.576708198801458,0.)); #454759=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #454760=DIRECTION('center_axis',(1.,0.,1.01962586754123E-16)); #454761=DIRECTION('ref_axis',(1.01962586754123E-16,0.,-1.)); #454762=DIRECTION('center_axis',(-0.717900393451055,0.,-0.69614583607375)); #454763=DIRECTION('ref_axis',(0.,1.,0.)); #454764=DIRECTION('',(-0.565508731074882,0.583180878920974,0.583180878920972)); #454765=DIRECTION('center_axis',(0.717900393451054,0.,-0.696145836073751)); #454766=DIRECTION('ref_axis',(0.,-1.,0.)); #454767=DIRECTION('',(-0.565508731074882,-0.583180878920974,-0.583180878920972)); #454768=DIRECTION('center_axis',(7.20984365211619E-17,0.707106781186546, -0.707106781186549)); #454769=DIRECTION('ref_axis',(1.,2.83496887813801E-31,1.01962586754123E-16)); #454770=DIRECTION('center_axis',(-0.711407045126353,0.702780204718795,-7.25369025561898E-17)); #454771=DIRECTION('ref_axis',(-0.702780204718795,-0.711407045126353,6.16297582203915E-33)); #454772=DIRECTION('',(-0.702780204718795,-0.711407045126353,-7.16572875927202E-17)); #454773=DIRECTION('center_axis',(1.,0.,1.01962586754123E-16)); #454774=DIRECTION('ref_axis',(1.01962586754123E-16,0.,-1.)); #454775=DIRECTION('',(-1.01962586754123E-16,0.,1.)); #454776=DIRECTION('',(0.,1.,0.)); #454777=DIRECTION('center_axis',(-1.03902708196528E-15,-1.,-1.05941888984767E-31)); #454778=DIRECTION('ref_axis',(1.,-1.03902708196528E-15,0.)); #454779=DIRECTION('',(8.23444687963674E-17,0.,-1.)); #454780=DIRECTION('',(1.,0.,7.83760606616027E-17)); #454781=DIRECTION('',(8.23444687963674E-17,0.,-1.)); #454782=DIRECTION('',(-1.01962586754123E-16,0.,1.)); #454783=DIRECTION('',(1.,-1.03902708196528E-15,1.01962586754123E-16)); #454784=DIRECTION('center_axis',(-1.,2.16840434497101E-15,-1.01962586754123E-16)); #454785=DIRECTION('ref_axis',(-2.16840434497101E-15,-1.,0.)); #454786=DIRECTION('',(-2.16840434497101E-15,-1.,-2.21096116142123E-31)); #454787=DIRECTION('center_axis',(0.711407045126358,0.70278020471879,7.25369025561903E-17)); #454788=DIRECTION('ref_axis',(-0.70278020471879,0.711407045126358,0.)); #454789=DIRECTION('',(-0.70278020471879,0.711407045126358,-7.16572875927197E-17)); #454790=DIRECTION('center_axis',(1.01962586754123E-16,0.,-1.)); #454791=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #454792=DIRECTION('center_axis',(1.20466908053945E-16,0.,-1.)); #454793=DIRECTION('ref_axis',(1.,0.,1.20466908053945E-16)); #454794=DIRECTION('center_axis',(-1.20466908053945E-16,0.,1.)); #454795=DIRECTION('ref_axis',(1.,0.,1.20466908053945E-16)); #454796=DIRECTION('center_axis',(-1.20466908053945E-16,0.,1.)); #454797=DIRECTION('ref_axis',(1.,0.,1.20466908053945E-16)); #454798=DIRECTION('',(1.20466908053945E-16,0.,-1.)); #454799=DIRECTION('center_axis',(0.,0.,1.)); #454800=DIRECTION('ref_axis',(1.,0.,0.)); #454801=DIRECTION('center_axis',(-1.20466908053945E-16,0.,1.)); #454802=DIRECTION('ref_axis',(1.,0.,1.20466908053945E-16)); #454803=DIRECTION('center_axis',(-1.20466908053945E-16,0.,1.)); #454804=DIRECTION('ref_axis',(1.,0.,1.20466908053945E-16)); #454805=DIRECTION('center_axis',(-1.20466908053945E-16,0.,1.)); #454806=DIRECTION('ref_axis',(1.,0.,1.20466908053945E-16)); #454807=DIRECTION('',(1.20466908053945E-16,0.,-1.)); #454808=DIRECTION('center_axis',(0.,0.,-1.)); #454809=DIRECTION('ref_axis',(-1.,0.,0.)); #454810=DIRECTION('center_axis',(1.20466908053945E-16,0.,-1.)); #454811=DIRECTION('ref_axis',(-1.,0.,-1.20466908053945E-16)); #454812=DIRECTION('center_axis',(1.20466908053945E-16,0.,-1.)); #454813=DIRECTION('ref_axis',(-1.,0.,-1.20466908053945E-16)); #454814=DIRECTION('center_axis',(0.,0.,1.)); #454815=DIRECTION('ref_axis',(1.,0.,0.)); #454816=DIRECTION('',(0.707106781186546,8.65956056235492E-17,-0.707106781186548)); #454817=DIRECTION('center_axis',(0.,0.,1.)); #454818=DIRECTION('ref_axis',(1.,0.,0.)); #454819=DIRECTION('',(0.707106781186547,8.65956056235492E-17,-0.707106781186548)); #454820=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,0.)); #454821=DIRECTION('ref_axis',(0.,0.,1.)); #454822=DIRECTION('center_axis',(7.20984365211618E-17,0.707106781186548, 0.707106781186548)); #454823=DIRECTION('ref_axis',(1.,2.83496887813801E-31,-1.01962586754123E-16)); #454824=DIRECTION('',(2.8794242566824E-16,-0.707106781186548,0.707106781186548)); #454825=DIRECTION('',(1.,0.,-9.63735264431562E-15)); #454826=DIRECTION('center_axis',(0.,-1.,0.)); #454827=DIRECTION('ref_axis',(0.,0.,-1.)); #454828=DIRECTION('center_axis',(0.,1.,0.)); #454829=DIRECTION('ref_axis',(0.,0.,1.)); #454830=DIRECTION('center_axis',(0.,-1.,0.)); #454831=DIRECTION('ref_axis',(0.,0.,-1.)); #454832=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #454833=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #454834=DIRECTION('ref_axis',(0.,0.,-1.)); #454835=DIRECTION('center_axis',(1.,0.,8.23444687963674E-17)); #454836=DIRECTION('ref_axis',(8.23444687963674E-17,0.,-1.)); #454837=DIRECTION('',(0.,-1.,0.)); #454838=DIRECTION('center_axis',(-1.,0.,-8.23444687963674E-17)); #454839=DIRECTION('ref_axis',(-8.23444687963674E-17,0.,1.)); #454840=DIRECTION('',(0.,1.,0.)); #454841=DIRECTION('center_axis',(-7.83760606616027E-17,0.,1.)); #454842=DIRECTION('ref_axis',(1.,0.,7.83760606616027E-17)); #454843=DIRECTION('center_axis',(0.,0.,-1.)); #454844=DIRECTION('ref_axis',(-1.,0.,0.)); #454845=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454846=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454847=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454848=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454849=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454850=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454851=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454852=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454853=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454854=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454855=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454856=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454857=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #454858=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #454859=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454860=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #454861=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #454862=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #454863=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #454864=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454865=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #454866=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #454867=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #454868=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #454869=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454870=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #454871=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #454872=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #454873=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #454874=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454875=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #454876=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #454877=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #454878=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #454879=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454880=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #454881=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #454882=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #454883=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #454884=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454885=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #454886=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #454887=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #454888=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #454889=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454890=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #454891=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #454892=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #454893=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #454894=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454895=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #454896=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #454897=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #454898=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #454899=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454900=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #454901=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #454902=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #454903=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #454904=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454905=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #454906=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #454907=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #454908=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #454909=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454910=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #454911=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #454912=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #454913=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #454914=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #454915=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #454916=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #454917=DIRECTION('center_axis',(1.,0.,0.)); #454918=DIRECTION('ref_axis',(0.,0.,-1.)); #454919=DIRECTION('',(0.,1.,0.)); #454920=DIRECTION('',(0.,-0.707106781186547,0.707106781186548)); #454921=DIRECTION('',(1.92234427745657E-17,0.,-1.)); #454922=DIRECTION('',(0.,1.,0.)); #454923=DIRECTION('',(0.,0.,-1.)); #454924=DIRECTION('center_axis',(0.,0.,-1.)); #454925=DIRECTION('ref_axis',(-1.,0.,0.)); #454926=DIRECTION('',(0.,1.,0.)); #454927=DIRECTION('',(-1.,0.,0.)); #454928=DIRECTION('center_axis',(-1.,0.,4.07212083562631E-16)); #454929=DIRECTION('ref_axis',(4.07212083562631E-16,0.,1.)); #454930=DIRECTION('',(-4.13029399042097E-16,0.,-1.)); #454931=DIRECTION('',(0.,0.,1.)); #454932=DIRECTION('',(0.,1.,0.)); #454933=DIRECTION('',(4.07212083562631E-16,0.,1.)); #454934=DIRECTION('center_axis',(1.,0.,0.)); #454935=DIRECTION('ref_axis',(0.,0.,-1.)); #454936=DIRECTION('',(0.,0.,-1.)); #454937=DIRECTION('',(0.,1.,0.)); #454938=DIRECTION('',(0.,1.,0.)); #454939=DIRECTION('',(0.,0.,-1.)); #454940=DIRECTION('center_axis',(3.70034871155462E-17,0.,-1.)); #454941=DIRECTION('ref_axis',(-1.,0.,-3.70034871155462E-17)); #454942=DIRECTION('',(0.,1.,0.)); #454943=DIRECTION('center_axis',(-1.,0.,1.53012403374971E-16)); #454944=DIRECTION('ref_axis',(1.53012403374971E-16,0.,1.)); #454945=DIRECTION('',(-9.61172138728285E-17,0.,1.)); #454946=DIRECTION('',(-1.08196108032093E-16,0.707106781186547,-0.707106781186548)); #454947=DIRECTION('',(0.,1.,0.)); #454948=DIRECTION('center_axis',(-1.59441495953751E-16,0.,-1.)); #454949=DIRECTION('ref_axis',(-1.,0.,1.59441495953751E-16)); #454950=DIRECTION('',(-1.,0.,1.59441495953751E-16)); #454951=DIRECTION('',(-1.,0.,0.)); #454952=DIRECTION('',(0.,1.,0.)); #454953=DIRECTION('',(-1.,0.,0.)); #454954=DIRECTION('',(0.,1.,0.)); #454955=DIRECTION('center_axis',(0.,1.,0.)); #454956=DIRECTION('ref_axis',(0.,0.,1.)); #454957=DIRECTION('',(-1.,0.,0.)); #454958=DIRECTION('',(-0.17364817766693,0.,-0.984807753012208)); #454959=DIRECTION('',(-1.,0.,0.)); #454960=DIRECTION('',(-0.4226182617407,0.,0.90630778703665)); #454961=DIRECTION('',(0.,0.,1.)); #454962=DIRECTION('',(-0.522833804229874,0.,0.85243463864071)); #454963=DIRECTION('',(-1.,0.,-1.71362893848849E-15)); #454964=DIRECTION('',(-0.522833804229875,0.,-0.852434638640709)); #454965=DIRECTION('',(0.,0.,-1.)); #454966=DIRECTION('',(-1.,0.,3.87215061601966E-16)); #454967=DIRECTION('',(-0.17364817766693,0.,-0.984807753012208)); #454968=DIRECTION('',(-1.,0.,-1.12937726300573E-16)); #454969=DIRECTION('',(-0.17364817766693,0.,0.984807753012208)); #454970=DIRECTION('',(-1.,0.,0.)); #454971=DIRECTION('',(-0.173648177666929,0.,-0.984807753012208)); #454972=DIRECTION('center_axis',(1.,0.,2.04483226766084E-16)); #454973=DIRECTION('ref_axis',(2.04483226766084E-16,0.,-1.)); #454974=DIRECTION('',(2.04483226766084E-16,0.,-1.)); #454975=DIRECTION('',(0.,1.,0.)); #454976=DIRECTION('center_axis',(0.,0.,1.)); #454977=DIRECTION('ref_axis',(1.,0.,0.)); #454978=DIRECTION('',(1.,0.,0.)); #454979=DIRECTION('',(0.,1.,0.)); #454980=DIRECTION('center_axis',(-1.,0.,-2.04483226766084E-16)); #454981=DIRECTION('ref_axis',(-2.04483226766084E-16,0.,1.)); #454982=DIRECTION('',(-2.0765929215138E-16,0.,1.)); #454983=DIRECTION('',(-2.04483226766084E-16,0.,1.)); #454984=DIRECTION('center_axis',(0.,-1.,0.)); #454985=DIRECTION('ref_axis',(0.,0.,-1.)); #454986=DIRECTION('center_axis',(1.,0.,0.)); #454987=DIRECTION('ref_axis',(0.,0.,-1.)); #454988=DIRECTION('',(0.,1.,0.)); #454989=DIRECTION('',(0.,0.,-1.)); #454990=DIRECTION('center_axis',(0.,0.,-1.)); #454991=DIRECTION('ref_axis',(-1.,0.,0.)); #454992=DIRECTION('',(0.,1.,0.)); #454993=DIRECTION('',(-1.,0.,0.)); #454994=DIRECTION('center_axis',(-1.,0.,0.)); #454995=DIRECTION('ref_axis',(0.,0.,1.)); #454996=DIRECTION('',(0.,0.,1.)); #454997=DIRECTION('',(0.,0.,1.)); #454998=DIRECTION('center_axis',(0.,1.,0.)); #454999=DIRECTION('ref_axis',(0.,0.,1.)); #455000=DIRECTION('center_axis',(0.,0.,-1.)); #455001=DIRECTION('ref_axis',(-1.,0.,0.)); #455002=DIRECTION('',(0.,1.,0.)); #455003=DIRECTION('',(-1.,0.,0.)); #455004=DIRECTION('center_axis',(-0.984807753012208,0.,-0.17364817766693)); #455005=DIRECTION('ref_axis',(-0.17364817766693,0.,0.984807753012208)); #455006=DIRECTION('',(0.,1.,0.)); #455007=DIRECTION('',(-0.17364817766693,0.,0.984807753012208)); #455008=DIRECTION('center_axis',(0.,0.,-1.)); #455009=DIRECTION('ref_axis',(-1.,0.,0.)); #455010=DIRECTION('',(0.,1.,0.)); #455011=DIRECTION('',(-1.,0.,0.)); #455012=DIRECTION('center_axis',(0.90630778703665,0.,-0.4226182617407)); #455013=DIRECTION('ref_axis',(-0.4226182617407,0.,-0.90630778703665)); #455014=DIRECTION('',(0.,1.,0.)); #455015=DIRECTION('',(-0.4226182617407,0.,-0.90630778703665)); #455016=DIRECTION('center_axis',(1.,0.,0.)); #455017=DIRECTION('ref_axis',(0.,0.,-1.)); #455018=DIRECTION('',(0.,1.,0.)); #455019=DIRECTION('',(0.,0.,-1.)); #455020=DIRECTION('center_axis',(0.85243463864071,0.,-0.522833804229874)); #455021=DIRECTION('ref_axis',(-0.522833804229874,0.,-0.85243463864071)); #455022=DIRECTION('',(0.,1.,0.)); #455023=DIRECTION('',(-0.522833804229874,0.,-0.85243463864071)); #455024=DIRECTION('center_axis',(-1.71362893848849E-15,0.,-1.)); #455025=DIRECTION('ref_axis',(-1.,0.,1.71362893848849E-15)); #455026=DIRECTION('',(0.,1.,0.)); #455027=DIRECTION('',(-1.,0.,1.71362893848849E-15)); #455028=DIRECTION('center_axis',(-0.852434638640709,0.,-0.522833804229875)); #455029=DIRECTION('ref_axis',(-0.522833804229875,0.,0.852434638640709)); #455030=DIRECTION('',(0.,1.,0.)); #455031=DIRECTION('',(-0.522833804229875,0.,0.852434638640709)); #455032=DIRECTION('center_axis',(-1.,0.,0.)); #455033=DIRECTION('ref_axis',(0.,0.,1.)); #455034=DIRECTION('',(0.,1.,0.)); #455035=DIRECTION('',(0.,0.,1.)); #455036=DIRECTION('center_axis',(3.87215061601966E-16,0.,-1.)); #455037=DIRECTION('ref_axis',(-1.,0.,-3.87215061601966E-16)); #455038=DIRECTION('',(0.,1.,0.)); #455039=DIRECTION('',(-1.,0.,-3.87215061601966E-16)); #455040=DIRECTION('center_axis',(-0.984807753012208,0.,-0.17364817766693)); #455041=DIRECTION('ref_axis',(-0.17364817766693,0.,0.984807753012208)); #455042=DIRECTION('',(0.,1.,0.)); #455043=DIRECTION('',(-0.17364817766693,0.,0.984807753012208)); #455044=DIRECTION('center_axis',(-1.12937726300573E-16,0.,-1.)); #455045=DIRECTION('ref_axis',(-1.,0.,1.12937726300573E-16)); #455046=DIRECTION('',(0.,1.,0.)); #455047=DIRECTION('',(-1.,0.,1.12937726300573E-16)); #455048=DIRECTION('center_axis',(0.984807753012208,0.,-0.17364817766693)); #455049=DIRECTION('ref_axis',(-0.17364817766693,0.,-0.984807753012208)); #455050=DIRECTION('',(0.,1.,0.)); #455051=DIRECTION('',(-0.17364817766693,0.,-0.984807753012208)); #455052=DIRECTION('center_axis',(0.,0.,-1.)); #455053=DIRECTION('ref_axis',(-1.,0.,0.)); #455054=DIRECTION('',(0.,1.,0.)); #455055=DIRECTION('',(-1.,0.,0.)); #455056=DIRECTION('center_axis',(-0.984807753012208,0.,-0.173648177666929)); #455057=DIRECTION('ref_axis',(-0.173648177666929,0.,0.984807753012208)); #455058=DIRECTION('',(-0.173648177666929,0.,0.984807753012208)); #455059=DIRECTION('center_axis',(0.984807753012208,0.,-0.173648177666929)); #455060=DIRECTION('ref_axis',(-0.173648177666929,0.,-0.984807753012208)); #455061=DIRECTION('',(0.,1.,0.)); #455062=DIRECTION('',(-0.173648177666929,0.,-0.984807753012208)); #455063=DIRECTION('center_axis',(0.,0.,-1.)); #455064=DIRECTION('ref_axis',(-1.,0.,0.)); #455065=DIRECTION('',(0.,1.,0.)); #455066=DIRECTION('',(-1.,0.,0.)); #455067=DIRECTION('center_axis',(-0.984807753012208,0.,-0.17364817766693)); #455068=DIRECTION('ref_axis',(-0.17364817766693,0.,0.984807753012208)); #455069=DIRECTION('',(0.,1.,0.)); #455070=DIRECTION('',(-0.17364817766693,0.,0.984807753012208)); #455071=DIRECTION('center_axis',(1.12937726300573E-16,0.,-1.)); #455072=DIRECTION('ref_axis',(-1.,0.,-1.12937726300573E-16)); #455073=DIRECTION('',(0.,1.,0.)); #455074=DIRECTION('',(-1.,0.,-1.12937726300573E-16)); #455075=DIRECTION('center_axis',(0.984807753012208,0.,-0.17364817766693)); #455076=DIRECTION('ref_axis',(-0.17364817766693,0.,-0.984807753012208)); #455077=DIRECTION('',(0.,1.,0.)); #455078=DIRECTION('',(-0.17364817766693,0.,-0.984807753012208)); #455079=DIRECTION('center_axis',(-3.87215061601966E-16,0.,-1.)); #455080=DIRECTION('ref_axis',(-1.,0.,3.87215061601966E-16)); #455081=DIRECTION('',(0.,1.,0.)); #455082=DIRECTION('',(-1.,0.,3.87215061601966E-16)); #455083=DIRECTION('center_axis',(1.,0.,0.)); #455084=DIRECTION('ref_axis',(0.,0.,-1.)); #455085=DIRECTION('',(0.,1.,0.)); #455086=DIRECTION('',(0.,0.,-1.)); #455087=DIRECTION('center_axis',(0.852434638640709,0.,-0.522833804229875)); #455088=DIRECTION('ref_axis',(-0.522833804229875,0.,-0.852434638640709)); #455089=DIRECTION('',(0.,1.,0.)); #455090=DIRECTION('',(-0.522833804229875,0.,-0.852434638640709)); #455091=DIRECTION('center_axis',(1.71362893848849E-15,0.,-1.)); #455092=DIRECTION('ref_axis',(-1.,0.,-1.71362893848849E-15)); #455093=DIRECTION('',(0.,1.,0.)); #455094=DIRECTION('',(-1.,0.,-1.71362893848849E-15)); #455095=DIRECTION('center_axis',(-0.85243463864071,0.,-0.522833804229874)); #455096=DIRECTION('ref_axis',(-0.522833804229874,0.,0.85243463864071)); #455097=DIRECTION('',(0.,1.,0.)); #455098=DIRECTION('',(-0.522833804229874,0.,0.85243463864071)); #455099=DIRECTION('center_axis',(-1.,0.,0.)); #455100=DIRECTION('ref_axis',(0.,0.,1.)); #455101=DIRECTION('',(0.,1.,0.)); #455102=DIRECTION('',(0.,0.,1.)); #455103=DIRECTION('center_axis',(-0.90630778703665,0.,-0.4226182617407)); #455104=DIRECTION('ref_axis',(-0.4226182617407,0.,0.90630778703665)); #455105=DIRECTION('',(0.,1.,0.)); #455106=DIRECTION('',(-0.4226182617407,0.,0.90630778703665)); #455107=DIRECTION('center_axis',(0.,0.,-1.)); #455108=DIRECTION('ref_axis',(-1.,0.,0.)); #455109=DIRECTION('',(0.,1.,0.)); #455110=DIRECTION('',(-1.,0.,0.)); #455111=DIRECTION('center_axis',(0.984807753012208,0.,-0.17364817766693)); #455112=DIRECTION('ref_axis',(-0.17364817766693,0.,-0.984807753012208)); #455113=DIRECTION('',(0.,1.,0.)); #455114=DIRECTION('',(-0.17364817766693,0.,-0.984807753012208)); #455115=DIRECTION('center_axis',(0.,0.,-1.)); #455116=DIRECTION('ref_axis',(-1.,0.,0.)); #455117=DIRECTION('',(-1.,0.,0.)); #455118=DIRECTION('center_axis',(0.,1.,0.)); #455119=DIRECTION('ref_axis',(0.,0.,1.)); #455120=DIRECTION('center_axis',(0.,-1.,0.)); #455121=DIRECTION('ref_axis',(0.,0.,-1.)); #455122=DIRECTION('',(1.12352556734249E-16,0.,1.)); #455123=DIRECTION('center_axis',(-1.,0.,1.12352556734249E-16)); #455124=DIRECTION('ref_axis',(1.12352556734249E-16,0.,1.)); #455125=DIRECTION('',(1.12352556734249E-16,0.,1.)); #455126=DIRECTION('center_axis',(0.,1.,0.)); #455127=DIRECTION('ref_axis',(0.,0.,1.)); #455128=DIRECTION('center_axis',(0.,1.,0.)); #455129=DIRECTION('ref_axis',(0.,0.,1.)); #455130=DIRECTION('',(1.12352556734249E-16,0.,1.)); #455131=DIRECTION('center_axis',(0.,-1.,0.)); #455132=DIRECTION('ref_axis',(0.,0.,-1.)); #455133=DIRECTION('',(1.12352556734249E-16,0.,1.)); #455134=DIRECTION('center_axis',(-1.,0.,1.12352556734249E-16)); #455135=DIRECTION('ref_axis',(1.12352556734249E-16,0.,1.)); #455136=DIRECTION('center_axis',(0.,1.,0.)); #455137=DIRECTION('ref_axis',(0.,0.,1.)); #455138=DIRECTION('center_axis',(0.,-1.,0.)); #455139=DIRECTION('ref_axis',(0.,0.,-1.)); #455140=DIRECTION('',(1.,0.,-1.59441495953751E-16)); #455141=DIRECTION('center_axis',(0.,-1.,0.)); #455142=DIRECTION('ref_axis',(0.,0.,-1.)); #455143=DIRECTION('center_axis',(-1.12742162991424E-16,-0.707106781186548, -0.707106781186547)); #455144=DIRECTION('ref_axis',(-1.,-2.95822839457879E-31,1.5944149595375E-16)); #455145=DIRECTION('axis',(0.,0.,1.)); #455146=DIRECTION('refdir',(1.,0.,0.)); #455147=DIRECTION('center_axis',(0.883572899969473,0.468293636983822,0.)); #455148=DIRECTION('ref_axis',(-0.468293636983822,0.883572899969473,0.)); #455149=DIRECTION('',(-0.468293636983822,0.883572899969473,0.)); #455150=DIRECTION('',(0.,0.,1.)); #455151=DIRECTION('',(-0.468293636983822,0.883572899969473,0.)); #455152=DIRECTION('',(0.,0.,1.)); #455153=DIRECTION('center_axis',(1.,-3.27971157176865E-15,0.)); #455154=DIRECTION('ref_axis',(3.27971157176865E-15,1.,0.)); #455155=DIRECTION('',(3.27971157176865E-15,1.,0.)); #455156=DIRECTION('center_axis',(-1.,0.,0.)); #455157=DIRECTION('ref_axis',(0.,0.,1.)); #455158=DIRECTION('',(0.,-3.01823612255331E-16,1.)); #455159=DIRECTION('center_axis',(-1.,0.,0.)); #455160=DIRECTION('ref_axis',(0.,0.,1.)); #455161=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #455162=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #455163=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #455164=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #455165=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #455166=DIRECTION('',(7.62674925284688E-31,3.01823612255331E-16,-1.)); #455167=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #455168=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #455169=DIRECTION('',(3.27971157176865E-15,1.,0.)); #455170=DIRECTION('center_axis',(-1.,-1.0842021724855E-16,0.)); #455171=DIRECTION('ref_axis',(1.0842021724855E-16,-1.,0.)); #455172=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #455173=DIRECTION('',(0.,0.,1.)); #455174=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #455175=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #455176=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #455177=DIRECTION('',(7.62674925284688E-31,3.01823612255331E-16,-1.)); #455178=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #455179=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #455180=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #455181=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #455182=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #455183=DIRECTION('center_axis',(-1.,0.,0.)); #455184=DIRECTION('ref_axis',(0.,0.,1.)); #455185=DIRECTION('',(0.,-3.01823612255331E-16,1.)); #455186=DIRECTION('center_axis',(-1.,0.,0.)); #455187=DIRECTION('ref_axis',(0.,0.,1.)); #455188=DIRECTION('center_axis',(-0.842271400661511,-0.539053696423368, 0.)); #455189=DIRECTION('ref_axis',(0.539053696423368,-0.842271400661511,0.)); #455190=DIRECTION('',(0.539053696423367,-0.842271400661511,0.)); #455191=DIRECTION('',(0.,0.,1.)); #455192=DIRECTION('',(0.539053696423367,-0.842271400661511,0.)); #455193=DIRECTION('center_axis',(-1.,1.19669113960872E-16,0.)); #455194=DIRECTION('ref_axis',(-1.19669113960872E-16,-1.,0.)); #455195=DIRECTION('',(0.,0.,1.)); #455196=DIRECTION('',(8.46188419803187E-17,0.707106781186549,-0.707106781186546)); #455197=DIRECTION('',(-1.19669113960872E-16,-1.,0.)); #455198=DIRECTION('',(-1.19669113960872E-16,-1.,0.)); #455199=DIRECTION('',(8.46188419803187E-17,0.707106781186548,0.707106781186547)); #455200=DIRECTION('center_axis',(0.,1.,0.)); #455201=DIRECTION('ref_axis',(0.,0.,1.)); #455202=DIRECTION('',(0.,0.,1.)); #455203=DIRECTION('',(1.,0.,0.)); #455204=DIRECTION('',(-1.,0.,0.)); #455205=DIRECTION('center_axis',(1.,1.19669113960872E-16,0.)); #455206=DIRECTION('ref_axis',(-1.19669113960872E-16,1.,0.)); #455207=DIRECTION('',(-1.19669113960872E-16,1.,0.)); #455208=DIRECTION('',(8.46188419803187E-17,-0.707106781186549,0.707106781186546)); #455209=DIRECTION('',(8.46188419803187E-17,-0.707106781186548,-0.707106781186547)); #455210=DIRECTION('',(-1.19669113960872E-16,1.,0.)); #455211=DIRECTION('center_axis',(0.,0.,-1.)); #455212=DIRECTION('ref_axis',(-1.,0.,0.)); #455213=DIRECTION('',(-1.,0.,0.)); #455214=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #455215=DIRECTION('center_axis',(0.,0.,-1.)); #455216=DIRECTION('ref_axis',(-1.,0.,0.)); #455217=DIRECTION('',(1.,0.,0.)); #455218=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #455219=DIRECTION('center_axis',(0.,1.,2.25875452601147E-16)); #455220=DIRECTION('ref_axis',(-1.,0.,0.)); #455221=DIRECTION('',(1.,0.,0.)); #455222=DIRECTION('',(-1.,0.,0.)); #455223=DIRECTION('center_axis',(-1.,0.,0.)); #455224=DIRECTION('ref_axis',(0.,0.,1.)); #455225=DIRECTION('',(-1.,0.,0.)); #455226=DIRECTION('center_axis',(0.,1.,3.01823612255331E-16)); #455227=DIRECTION('ref_axis',(0.,-3.01823612255331E-16,1.)); #455228=DIRECTION('',(-1.,0.,0.)); #455229=DIRECTION('center_axis',(-1.,0.,0.)); #455230=DIRECTION('ref_axis',(0.,0.,1.)); #455231=DIRECTION('',(-1.,0.,0.)); #455232=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #455233=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #455234=DIRECTION('center_axis',(-1.,0.,0.)); #455235=DIRECTION('ref_axis',(0.,0.,1.)); #455236=DIRECTION('',(1.,0.,0.)); #455237=DIRECTION('center_axis',(0.,1.,3.01823612255331E-16)); #455238=DIRECTION('ref_axis',(0.,-3.01823612255331E-16,1.)); #455239=DIRECTION('',(-1.,0.,0.)); #455240=DIRECTION('center_axis',(-1.,0.,0.)); #455241=DIRECTION('ref_axis',(0.,0.,1.)); #455242=DIRECTION('',(1.,0.,0.)); #455243=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #455244=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #455245=DIRECTION('center_axis',(0.,-0.707106781186547,-0.707106781186549)); #455246=DIRECTION('ref_axis',(1.,0.,0.)); #455247=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186548)); #455248=DIRECTION('ref_axis',(-1.,0.,0.)); #455249=DIRECTION('axis',(0.,0.,1.)); #455250=DIRECTION('refdir',(1.,0.,0.)); #455251=DIRECTION('center_axis',(0.896212431824827,0.44362515375329,0.)); #455252=DIRECTION('ref_axis',(-0.44362515375329,0.896212431824827,0.)); #455253=DIRECTION('',(-0.44362515375329,0.896212431824827,0.)); #455254=DIRECTION('',(0.,0.,1.)); #455255=DIRECTION('',(-0.44362515375329,0.896212431824827,0.)); #455256=DIRECTION('',(0.,0.,1.)); #455257=DIRECTION('center_axis',(1.,-3.27971157176865E-15,0.)); #455258=DIRECTION('ref_axis',(3.27971157176865E-15,1.,0.)); #455259=DIRECTION('',(3.27971157176865E-15,1.,0.)); #455260=DIRECTION('center_axis',(-1.,0.,0.)); #455261=DIRECTION('ref_axis',(0.,0.,1.)); #455262=DIRECTION('',(0.,-2.91792413476968E-16,1.)); #455263=DIRECTION('center_axis',(-1.,0.,0.)); #455264=DIRECTION('ref_axis',(0.,0.,1.)); #455265=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #455266=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #455267=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #455268=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #455269=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #455270=DIRECTION('',(7.37751108078545E-31,2.91792413476968E-16,-1.)); #455271=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #455272=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #455273=DIRECTION('',(3.27971157176865E-15,1.,0.)); #455274=DIRECTION('center_axis',(-1.,-1.0842021724855E-16,0.)); #455275=DIRECTION('ref_axis',(1.0842021724855E-16,-1.,0.)); #455276=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #455277=DIRECTION('',(0.,0.,1.)); #455278=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #455279=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #455280=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #455281=DIRECTION('',(7.37751108078545E-31,2.91792413476968E-16,-1.)); #455282=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #455283=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #455284=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #455285=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #455286=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #455287=DIRECTION('center_axis',(-1.,0.,0.)); #455288=DIRECTION('ref_axis',(0.,0.,1.)); #455289=DIRECTION('',(0.,-2.91792413476968E-16,1.)); #455290=DIRECTION('center_axis',(-1.,0.,0.)); #455291=DIRECTION('ref_axis',(0.,0.,1.)); #455292=DIRECTION('center_axis',(-0.892634794614373,-0.450780571280259, 0.)); #455293=DIRECTION('ref_axis',(0.450780571280259,-0.892634794614373,0.)); #455294=DIRECTION('',(0.450780571280259,-0.892634794614373,0.)); #455295=DIRECTION('',(0.,0.,1.)); #455296=DIRECTION('',(0.450780571280259,-0.892634794614373,0.)); #455297=DIRECTION('center_axis',(-1.,1.35187303302432E-16,0.)); #455298=DIRECTION('ref_axis',(-1.35187303302432E-16,-1.,0.)); #455299=DIRECTION('',(0.,0.,1.)); #455300=DIRECTION('',(9.55918588954724E-17,0.707106781186549,-0.707106781186546)); #455301=DIRECTION('',(-1.35187303302432E-16,-1.,0.)); #455302=DIRECTION('',(-1.35187303302432E-16,-1.,0.)); #455303=DIRECTION('',(9.55918588954724E-17,0.707106781186549,0.707106781186546)); #455304=DIRECTION('center_axis',(0.,1.,0.)); #455305=DIRECTION('ref_axis',(0.,0.,1.)); #455306=DIRECTION('',(0.,0.,1.)); #455307=DIRECTION('',(1.,0.,0.)); #455308=DIRECTION('',(-1.,0.,0.)); #455309=DIRECTION('center_axis',(1.,1.35187303302432E-16,0.)); #455310=DIRECTION('ref_axis',(-1.35187303302432E-16,1.,0.)); #455311=DIRECTION('',(-1.35187303302432E-16,1.,0.)); #455312=DIRECTION('',(9.55918588954724E-17,-0.707106781186549,0.707106781186546)); #455313=DIRECTION('',(9.55918588954724E-17,-0.707106781186549,-0.707106781186546)); #455314=DIRECTION('',(-1.35187303302432E-16,1.,0.)); #455315=DIRECTION('center_axis',(0.,0.,-1.)); #455316=DIRECTION('ref_axis',(-1.,0.,0.)); #455317=DIRECTION('',(-1.,0.,0.)); #455318=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #455319=DIRECTION('center_axis',(0.,0.,-1.)); #455320=DIRECTION('ref_axis',(-1.,0.,0.)); #455321=DIRECTION('',(1.,0.,0.)); #455322=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #455323=DIRECTION('center_axis',(0.,1.,2.25875452601147E-16)); #455324=DIRECTION('ref_axis',(-1.,0.,0.)); #455325=DIRECTION('',(1.,0.,0.)); #455326=DIRECTION('',(-1.,0.,0.)); #455327=DIRECTION('center_axis',(-1.,0.,0.)); #455328=DIRECTION('ref_axis',(0.,0.,1.)); #455329=DIRECTION('',(-1.,0.,0.)); #455330=DIRECTION('center_axis',(0.,1.,2.91792413476968E-16)); #455331=DIRECTION('ref_axis',(0.,-2.91792413476968E-16,1.)); #455332=DIRECTION('',(-1.,0.,0.)); #455333=DIRECTION('center_axis',(-1.,0.,0.)); #455334=DIRECTION('ref_axis',(0.,0.,1.)); #455335=DIRECTION('',(-1.,0.,0.)); #455336=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #455337=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #455338=DIRECTION('center_axis',(-1.,0.,0.)); #455339=DIRECTION('ref_axis',(0.,0.,1.)); #455340=DIRECTION('',(1.,0.,0.)); #455341=DIRECTION('center_axis',(0.,1.,2.91792413476968E-16)); #455342=DIRECTION('ref_axis',(0.,-2.91792413476968E-16,1.)); #455343=DIRECTION('',(-1.,0.,0.)); #455344=DIRECTION('center_axis',(-1.,0.,0.)); #455345=DIRECTION('ref_axis',(0.,0.,1.)); #455346=DIRECTION('',(1.,0.,0.)); #455347=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #455348=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #455349=DIRECTION('center_axis',(0.,-0.707106781186546,-0.707106781186549)); #455350=DIRECTION('ref_axis',(1.,0.,0.)); #455351=DIRECTION('center_axis',(0.,0.707106781186546,-0.707106781186549)); #455352=DIRECTION('ref_axis',(-1.,0.,0.)); #455353=DIRECTION('axis',(0.,0.,1.)); #455354=DIRECTION('refdir',(1.,0.,0.)); #455355=DIRECTION('center_axis',(0.965429082558387,0.260665852290766,0.)); #455356=DIRECTION('ref_axis',(-0.260665852290766,0.965429082558387,0.)); #455357=DIRECTION('',(-0.260665852290766,0.965429082558387,0.)); #455358=DIRECTION('',(0.,0.,1.)); #455359=DIRECTION('',(-0.260665852290766,0.965429082558387,0.)); #455360=DIRECTION('',(0.,0.,1.)); #455361=DIRECTION('center_axis',(1.,-3.27971157176865E-15,0.)); #455362=DIRECTION('ref_axis',(3.27971157176865E-15,1.,0.)); #455363=DIRECTION('',(3.27971157176865E-15,1.,0.)); #455364=DIRECTION('center_axis',(-1.,0.,0.)); #455365=DIRECTION('ref_axis',(0.,0.,1.)); #455366=DIRECTION('',(0.,-2.91792413476968E-16,1.)); #455367=DIRECTION('center_axis',(-1.,0.,0.)); #455368=DIRECTION('ref_axis',(0.,0.,1.)); #455369=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #455370=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #455371=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #455372=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #455373=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #455374=DIRECTION('',(7.37751108078545E-31,2.91792413476968E-16,-1.)); #455375=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #455376=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #455377=DIRECTION('',(3.27971157176865E-15,1.,0.)); #455378=DIRECTION('center_axis',(-1.,-1.0842021724855E-16,0.)); #455379=DIRECTION('ref_axis',(1.0842021724855E-16,-1.,0.)); #455380=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #455381=DIRECTION('',(0.,0.,1.)); #455382=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #455383=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #455384=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #455385=DIRECTION('',(7.37751108078545E-31,2.91792413476968E-16,-1.)); #455386=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #455387=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #455388=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #455389=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #455390=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #455391=DIRECTION('center_axis',(-1.,0.,0.)); #455392=DIRECTION('ref_axis',(0.,0.,1.)); #455393=DIRECTION('',(0.,-2.91792413476968E-16,1.)); #455394=DIRECTION('center_axis',(-1.,0.,0.)); #455395=DIRECTION('ref_axis',(0.,0.,1.)); #455396=DIRECTION('center_axis',(-0.934783580588349,-0.355217760623573, 0.)); #455397=DIRECTION('ref_axis',(0.355217760623573,-0.934783580588349,0.)); #455398=DIRECTION('',(0.355217760623573,-0.934783580588349,0.)); #455399=DIRECTION('',(0.,0.,1.)); #455400=DIRECTION('',(0.355217760623573,-0.934783580588349,0.)); #455401=DIRECTION('center_axis',(-1.,1.19669113960872E-16,0.)); #455402=DIRECTION('ref_axis',(-1.19669113960872E-16,-1.,0.)); #455403=DIRECTION('',(0.,0.,1.)); #455404=DIRECTION('',(8.46188419803187E-17,0.707106781186549,-0.707106781186546)); #455405=DIRECTION('',(-1.19669113960872E-16,-1.,0.)); #455406=DIRECTION('',(-1.19669113960872E-16,-1.,0.)); #455407=DIRECTION('',(8.46188419803187E-17,0.707106781186548,0.707106781186547)); #455408=DIRECTION('center_axis',(0.,1.,0.)); #455409=DIRECTION('ref_axis',(0.,0.,1.)); #455410=DIRECTION('',(0.,0.,1.)); #455411=DIRECTION('',(1.,0.,0.)); #455412=DIRECTION('',(-1.,0.,0.)); #455413=DIRECTION('center_axis',(1.,1.19669113960872E-16,0.)); #455414=DIRECTION('ref_axis',(-1.19669113960872E-16,1.,0.)); #455415=DIRECTION('',(-1.19669113960872E-16,1.,0.)); #455416=DIRECTION('',(8.46188419803187E-17,-0.707106781186549,0.707106781186546)); #455417=DIRECTION('',(8.46188419803187E-17,-0.707106781186548,-0.707106781186547)); #455418=DIRECTION('',(-1.19669113960872E-16,1.,0.)); #455419=DIRECTION('center_axis',(0.,0.,-1.)); #455420=DIRECTION('ref_axis',(-1.,0.,0.)); #455421=DIRECTION('',(-1.,0.,0.)); #455422=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #455423=DIRECTION('center_axis',(0.,0.,-1.)); #455424=DIRECTION('ref_axis',(-1.,0.,0.)); #455425=DIRECTION('',(1.,0.,0.)); #455426=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #455427=DIRECTION('center_axis',(0.,1.,2.25875452601147E-16)); #455428=DIRECTION('ref_axis',(-1.,0.,0.)); #455429=DIRECTION('',(1.,0.,0.)); #455430=DIRECTION('',(-1.,0.,0.)); #455431=DIRECTION('center_axis',(-1.,0.,0.)); #455432=DIRECTION('ref_axis',(0.,0.,1.)); #455433=DIRECTION('',(-1.,0.,0.)); #455434=DIRECTION('center_axis',(0.,1.,2.91792413476968E-16)); #455435=DIRECTION('ref_axis',(0.,-2.91792413476968E-16,1.)); #455436=DIRECTION('',(-1.,0.,0.)); #455437=DIRECTION('center_axis',(-1.,0.,0.)); #455438=DIRECTION('ref_axis',(0.,0.,1.)); #455439=DIRECTION('',(-1.,0.,0.)); #455440=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #455441=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #455442=DIRECTION('center_axis',(-1.,0.,0.)); #455443=DIRECTION('ref_axis',(0.,0.,1.)); #455444=DIRECTION('',(1.,0.,0.)); #455445=DIRECTION('center_axis',(0.,1.,2.91792413476968E-16)); #455446=DIRECTION('ref_axis',(0.,-2.91792413476968E-16,1.)); #455447=DIRECTION('',(-1.,0.,0.)); #455448=DIRECTION('center_axis',(-1.,0.,0.)); #455449=DIRECTION('ref_axis',(0.,0.,1.)); #455450=DIRECTION('',(1.,0.,0.)); #455451=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #455452=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #455453=DIRECTION('center_axis',(0.,-0.707106781186547,-0.707106781186549)); #455454=DIRECTION('ref_axis',(1.,0.,0.)); #455455=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186548)); #455456=DIRECTION('ref_axis',(-1.,0.,0.)); #455457=DIRECTION('axis',(0.,0.,1.)); #455458=DIRECTION('refdir',(1.,0.,0.)); #455459=DIRECTION('center_axis',(0.708876752278901,0.705332368517507,0.)); #455460=DIRECTION('ref_axis',(-0.705332368517507,0.708876752278901,0.)); #455461=DIRECTION('',(-0.705332368517508,0.708876752278901,0.)); #455462=DIRECTION('',(0.,0.,1.)); #455463=DIRECTION('',(-0.705332368517508,0.708876752278901,0.)); #455464=DIRECTION('',(0.,0.,1.)); #455465=DIRECTION('center_axis',(1.,-3.27971157176865E-15,0.)); #455466=DIRECTION('ref_axis',(3.27971157176865E-15,1.,0.)); #455467=DIRECTION('',(3.27971157176865E-15,1.,0.)); #455468=DIRECTION('center_axis',(-1.,0.,0.)); #455469=DIRECTION('ref_axis',(0.,0.,1.)); #455470=DIRECTION('',(0.,-2.91792413476968E-16,1.)); #455471=DIRECTION('center_axis',(-1.,0.,0.)); #455472=DIRECTION('ref_axis',(0.,0.,1.)); #455473=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #455474=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #455475=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #455476=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #455477=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #455478=DIRECTION('',(7.37751108078545E-31,2.91792413476968E-16,-1.)); #455479=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #455480=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #455481=DIRECTION('',(3.27971157176865E-15,1.,0.)); #455482=DIRECTION('center_axis',(-1.,-1.0842021724855E-16,0.)); #455483=DIRECTION('ref_axis',(1.0842021724855E-16,-1.,0.)); #455484=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #455485=DIRECTION('',(0.,0.,1.)); #455486=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #455487=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #455488=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #455489=DIRECTION('',(7.37751108078545E-31,2.91792413476968E-16,-1.)); #455490=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #455491=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #455492=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #455493=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #455494=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #455495=DIRECTION('center_axis',(-1.,0.,0.)); #455496=DIRECTION('ref_axis',(0.,0.,1.)); #455497=DIRECTION('',(0.,-2.91792413476968E-16,1.)); #455498=DIRECTION('center_axis',(-1.,0.,0.)); #455499=DIRECTION('ref_axis',(0.,0.,1.)); #455500=DIRECTION('center_axis',(-0.705341229421806,-0.708867935568915, 0.)); #455501=DIRECTION('ref_axis',(0.708867935568915,-0.705341229421806,0.)); #455502=DIRECTION('',(0.708867935568915,-0.705341229421806,0.)); #455503=DIRECTION('',(0.,0.,1.)); #455504=DIRECTION('',(0.708867935568915,-0.705341229421806,0.)); #455505=DIRECTION('center_axis',(-1.,1.08149842641946E-16,0.)); #455506=DIRECTION('ref_axis',(-1.08149842641946E-16,-1.,0.)); #455507=DIRECTION('',(0.,0.,1.)); #455508=DIRECTION('',(7.64734871163779E-17,0.707106781186549,-0.707106781186546)); #455509=DIRECTION('',(-1.08149842641946E-16,-1.,0.)); #455510=DIRECTION('',(-1.08149842641946E-16,-1.,0.)); #455511=DIRECTION('',(7.64734871163779E-17,0.707106781186549,0.707106781186546)); #455512=DIRECTION('center_axis',(0.,1.,0.)); #455513=DIRECTION('ref_axis',(0.,0.,1.)); #455514=DIRECTION('',(0.,0.,1.)); #455515=DIRECTION('',(1.,0.,0.)); #455516=DIRECTION('',(-1.,0.,0.)); #455517=DIRECTION('center_axis',(1.,1.08149842641946E-16,0.)); #455518=DIRECTION('ref_axis',(-1.08149842641946E-16,1.,0.)); #455519=DIRECTION('',(-1.08149842641946E-16,1.,0.)); #455520=DIRECTION('',(7.64734871163779E-17,-0.707106781186549,0.707106781186546)); #455521=DIRECTION('',(7.64734871163779E-17,-0.707106781186549,-0.707106781186546)); #455522=DIRECTION('',(-1.08149842641946E-16,1.,0.)); #455523=DIRECTION('center_axis',(0.,0.,-1.)); #455524=DIRECTION('ref_axis',(-1.,0.,0.)); #455525=DIRECTION('',(-1.,0.,0.)); #455526=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #455527=DIRECTION('center_axis',(0.,0.,-1.)); #455528=DIRECTION('ref_axis',(-1.,0.,0.)); #455529=DIRECTION('',(1.,0.,0.)); #455530=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #455531=DIRECTION('center_axis',(0.,1.,2.25875452601147E-16)); #455532=DIRECTION('ref_axis',(-1.,0.,0.)); #455533=DIRECTION('',(1.,0.,0.)); #455534=DIRECTION('',(-1.,0.,0.)); #455535=DIRECTION('center_axis',(-1.,0.,0.)); #455536=DIRECTION('ref_axis',(0.,0.,1.)); #455537=DIRECTION('',(-1.,0.,0.)); #455538=DIRECTION('center_axis',(0.,1.,2.91792413476968E-16)); #455539=DIRECTION('ref_axis',(0.,-2.91792413476968E-16,1.)); #455540=DIRECTION('',(-1.,0.,0.)); #455541=DIRECTION('center_axis',(-1.,0.,0.)); #455542=DIRECTION('ref_axis',(0.,0.,1.)); #455543=DIRECTION('',(-1.,0.,0.)); #455544=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #455545=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #455546=DIRECTION('center_axis',(-1.,0.,0.)); #455547=DIRECTION('ref_axis',(0.,0.,1.)); #455548=DIRECTION('',(1.,0.,0.)); #455549=DIRECTION('center_axis',(0.,1.,2.91792413476968E-16)); #455550=DIRECTION('ref_axis',(0.,-2.91792413476968E-16,1.)); #455551=DIRECTION('',(-1.,0.,0.)); #455552=DIRECTION('center_axis',(-1.,0.,0.)); #455553=DIRECTION('ref_axis',(0.,0.,1.)); #455554=DIRECTION('',(1.,0.,0.)); #455555=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #455556=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #455557=DIRECTION('center_axis',(0.,-0.707106781186546,-0.707106781186549)); #455558=DIRECTION('ref_axis',(1.,0.,0.)); #455559=DIRECTION('center_axis',(0.,0.707106781186546,-0.707106781186549)); #455560=DIRECTION('ref_axis',(-1.,0.,0.)); #455561=DIRECTION('axis',(0.,0.,1.)); #455562=DIRECTION('refdir',(1.,0.,0.)); #455563=DIRECTION('center_axis',(0.896212431824827,0.44362515375329,0.)); #455564=DIRECTION('ref_axis',(-0.44362515375329,0.896212431824827,0.)); #455565=DIRECTION('',(-0.44362515375329,0.896212431824827,0.)); #455566=DIRECTION('',(0.,0.,1.)); #455567=DIRECTION('',(-0.44362515375329,0.896212431824827,0.)); #455568=DIRECTION('',(0.,0.,1.)); #455569=DIRECTION('center_axis',(1.,-3.27971157176865E-15,0.)); #455570=DIRECTION('ref_axis',(3.27971157176865E-15,1.,0.)); #455571=DIRECTION('',(3.27971157176865E-15,1.,0.)); #455572=DIRECTION('center_axis',(-1.,0.,0.)); #455573=DIRECTION('ref_axis',(0.,0.,1.)); #455574=DIRECTION('',(0.,-3.01823612255331E-16,1.)); #455575=DIRECTION('center_axis',(-1.,0.,0.)); #455576=DIRECTION('ref_axis',(0.,0.,1.)); #455577=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #455578=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #455579=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #455580=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #455581=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #455582=DIRECTION('',(7.62674925284688E-31,3.01823612255331E-16,-1.)); #455583=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #455584=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #455585=DIRECTION('',(3.27971157176865E-15,1.,0.)); #455586=DIRECTION('center_axis',(-1.,-1.0842021724855E-16,0.)); #455587=DIRECTION('ref_axis',(1.0842021724855E-16,-1.,0.)); #455588=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #455589=DIRECTION('',(0.,0.,1.)); #455590=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #455591=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #455592=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #455593=DIRECTION('',(7.62674925284688E-31,3.01823612255331E-16,-1.)); #455594=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #455595=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #455596=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #455597=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #455598=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #455599=DIRECTION('center_axis',(-1.,0.,0.)); #455600=DIRECTION('ref_axis',(0.,0.,1.)); #455601=DIRECTION('',(0.,-3.01823612255331E-16,1.)); #455602=DIRECTION('center_axis',(-1.,0.,0.)); #455603=DIRECTION('ref_axis',(0.,0.,1.)); #455604=DIRECTION('center_axis',(-0.892634794614373,-0.450780571280259, 0.)); #455605=DIRECTION('ref_axis',(0.450780571280259,-0.892634794614373,0.)); #455606=DIRECTION('',(0.450780571280259,-0.892634794614373,0.)); #455607=DIRECTION('',(0.,0.,1.)); #455608=DIRECTION('',(0.450780571280259,-0.892634794614373,0.)); #455609=DIRECTION('center_axis',(-1.,1.35187303302432E-16,0.)); #455610=DIRECTION('ref_axis',(-1.35187303302432E-16,-1.,0.)); #455611=DIRECTION('',(0.,0.,1.)); #455612=DIRECTION('',(9.55918588954724E-17,0.707106781186549,-0.707106781186546)); #455613=DIRECTION('',(-1.35187303302432E-16,-1.,0.)); #455614=DIRECTION('',(-1.35187303302432E-16,-1.,0.)); #455615=DIRECTION('',(9.55918588954724E-17,0.707106781186549,0.707106781186546)); #455616=DIRECTION('center_axis',(0.,1.,0.)); #455617=DIRECTION('ref_axis',(0.,0.,1.)); #455618=DIRECTION('',(0.,0.,1.)); #455619=DIRECTION('',(1.,0.,0.)); #455620=DIRECTION('',(-1.,0.,0.)); #455621=DIRECTION('center_axis',(1.,1.35187303302432E-16,0.)); #455622=DIRECTION('ref_axis',(-1.35187303302432E-16,1.,0.)); #455623=DIRECTION('',(-1.35187303302432E-16,1.,0.)); #455624=DIRECTION('',(9.55918588954724E-17,-0.707106781186549,0.707106781186546)); #455625=DIRECTION('',(9.55918588954724E-17,-0.707106781186549,-0.707106781186546)); #455626=DIRECTION('',(-1.35187303302432E-16,1.,0.)); #455627=DIRECTION('center_axis',(0.,0.,-1.)); #455628=DIRECTION('ref_axis',(-1.,0.,0.)); #455629=DIRECTION('',(-1.,0.,0.)); #455630=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #455631=DIRECTION('center_axis',(0.,0.,-1.)); #455632=DIRECTION('ref_axis',(-1.,0.,0.)); #455633=DIRECTION('',(1.,0.,0.)); #455634=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #455635=DIRECTION('center_axis',(0.,1.,2.25875452601147E-16)); #455636=DIRECTION('ref_axis',(-1.,0.,0.)); #455637=DIRECTION('',(1.,0.,0.)); #455638=DIRECTION('',(-1.,0.,0.)); #455639=DIRECTION('center_axis',(-1.,0.,0.)); #455640=DIRECTION('ref_axis',(0.,0.,1.)); #455641=DIRECTION('',(-1.,0.,0.)); #455642=DIRECTION('center_axis',(0.,1.,3.01823612255331E-16)); #455643=DIRECTION('ref_axis',(0.,-3.01823612255331E-16,1.)); #455644=DIRECTION('',(-1.,0.,0.)); #455645=DIRECTION('center_axis',(-1.,0.,0.)); #455646=DIRECTION('ref_axis',(0.,0.,1.)); #455647=DIRECTION('',(-1.,0.,0.)); #455648=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #455649=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #455650=DIRECTION('center_axis',(-1.,0.,0.)); #455651=DIRECTION('ref_axis',(0.,0.,1.)); #455652=DIRECTION('',(1.,0.,0.)); #455653=DIRECTION('center_axis',(0.,1.,3.01823612255331E-16)); #455654=DIRECTION('ref_axis',(0.,-3.01823612255331E-16,1.)); #455655=DIRECTION('',(-1.,0.,0.)); #455656=DIRECTION('center_axis',(-1.,0.,0.)); #455657=DIRECTION('ref_axis',(0.,0.,1.)); #455658=DIRECTION('',(1.,0.,0.)); #455659=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #455660=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #455661=DIRECTION('center_axis',(0.,-0.707106781186546,-0.707106781186549)); #455662=DIRECTION('ref_axis',(1.,0.,0.)); #455663=DIRECTION('center_axis',(0.,0.707106781186546,-0.707106781186549)); #455664=DIRECTION('ref_axis',(-1.,0.,0.)); #455665=DIRECTION('axis',(0.,0.,1.)); #455666=DIRECTION('refdir',(1.,0.,0.)); #455667=DIRECTION('center_axis',(0.632376489912261,0.774661200142519,0.)); #455668=DIRECTION('ref_axis',(-0.774661200142519,0.632376489912261,0.)); #455669=DIRECTION('',(-0.77466120014252,0.63237648991226,0.)); #455670=DIRECTION('',(0.,0.,1.)); #455671=DIRECTION('',(-0.77466120014252,0.63237648991226,0.)); #455672=DIRECTION('',(0.,0.,1.)); #455673=DIRECTION('center_axis',(1.,-3.27971157176865E-15,0.)); #455674=DIRECTION('ref_axis',(3.27971157176865E-15,1.,0.)); #455675=DIRECTION('',(3.27971157176865E-15,1.,0.)); #455676=DIRECTION('center_axis',(-1.,0.,0.)); #455677=DIRECTION('ref_axis',(0.,0.,1.)); #455678=DIRECTION('',(0.,-3.01823612255331E-16,1.)); #455679=DIRECTION('center_axis',(-1.,0.,0.)); #455680=DIRECTION('ref_axis',(0.,0.,1.)); #455681=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #455682=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #455683=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #455684=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #455685=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #455686=DIRECTION('',(7.62674925284688E-31,3.01823612255331E-16,-1.)); #455687=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #455688=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #455689=DIRECTION('',(3.27971157176865E-15,1.,0.)); #455690=DIRECTION('center_axis',(-1.,-1.0842021724855E-16,0.)); #455691=DIRECTION('ref_axis',(1.0842021724855E-16,-1.,0.)); #455692=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #455693=DIRECTION('',(0.,0.,1.)); #455694=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #455695=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #455696=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #455697=DIRECTION('',(7.62674925284688E-31,3.01823612255331E-16,-1.)); #455698=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #455699=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #455700=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #455701=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #455702=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #455703=DIRECTION('center_axis',(-1.,0.,0.)); #455704=DIRECTION('ref_axis',(0.,0.,1.)); #455705=DIRECTION('',(0.,-3.01823612255331E-16,1.)); #455706=DIRECTION('center_axis',(-1.,0.,0.)); #455707=DIRECTION('ref_axis',(0.,0.,1.)); #455708=DIRECTION('center_axis',(-0.59952027595186,-0.800359568395734,0.)); #455709=DIRECTION('ref_axis',(0.800359568395734,-0.59952027595186,0.)); #455710=DIRECTION('',(0.800359568395734,-0.59952027595186,0.)); #455711=DIRECTION('',(0.,0.,1.)); #455712=DIRECTION('',(0.800359568395734,-0.59952027595186,0.)); #455713=DIRECTION('center_axis',(-1.,1.03503787349451E-16,0.)); #455714=DIRECTION('ref_axis',(-1.03503787349451E-16,-1.,0.)); #455715=DIRECTION('',(0.,0.,1.)); #455716=DIRECTION('',(7.31882299132876E-17,0.707106781186549,-0.707106781186546)); #455717=DIRECTION('',(-1.03503787349451E-16,-1.,0.)); #455718=DIRECTION('',(-1.03503787349451E-16,-1.,0.)); #455719=DIRECTION('',(7.31882299132876E-17,0.707106781186548,0.707106781186547)); #455720=DIRECTION('center_axis',(0.,1.,0.)); #455721=DIRECTION('ref_axis',(0.,0.,1.)); #455722=DIRECTION('',(0.,0.,1.)); #455723=DIRECTION('',(1.,0.,0.)); #455724=DIRECTION('',(-1.,0.,0.)); #455725=DIRECTION('center_axis',(1.,1.03503787349451E-16,0.)); #455726=DIRECTION('ref_axis',(-1.03503787349451E-16,1.,0.)); #455727=DIRECTION('',(-1.03503787349451E-16,1.,0.)); #455728=DIRECTION('',(7.31882299132876E-17,-0.707106781186549,0.707106781186546)); #455729=DIRECTION('',(7.31882299132876E-17,-0.707106781186548,-0.707106781186547)); #455730=DIRECTION('',(-1.03503787349451E-16,1.,0.)); #455731=DIRECTION('center_axis',(0.,0.,-1.)); #455732=DIRECTION('ref_axis',(-1.,0.,0.)); #455733=DIRECTION('',(-1.,0.,0.)); #455734=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #455735=DIRECTION('center_axis',(0.,0.,-1.)); #455736=DIRECTION('ref_axis',(-1.,0.,0.)); #455737=DIRECTION('',(1.,0.,0.)); #455738=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #455739=DIRECTION('center_axis',(0.,1.,2.25875452601147E-16)); #455740=DIRECTION('ref_axis',(-1.,0.,0.)); #455741=DIRECTION('',(1.,0.,0.)); #455742=DIRECTION('',(-1.,0.,0.)); #455743=DIRECTION('center_axis',(-1.,0.,0.)); #455744=DIRECTION('ref_axis',(0.,0.,1.)); #455745=DIRECTION('',(-1.,0.,0.)); #455746=DIRECTION('center_axis',(0.,1.,3.01823612255331E-16)); #455747=DIRECTION('ref_axis',(0.,-3.01823612255331E-16,1.)); #455748=DIRECTION('',(-1.,0.,0.)); #455749=DIRECTION('center_axis',(-1.,0.,0.)); #455750=DIRECTION('ref_axis',(0.,0.,1.)); #455751=DIRECTION('',(-1.,0.,0.)); #455752=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #455753=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #455754=DIRECTION('center_axis',(-1.,0.,0.)); #455755=DIRECTION('ref_axis',(0.,0.,1.)); #455756=DIRECTION('',(1.,0.,0.)); #455757=DIRECTION('center_axis',(0.,1.,3.01823612255331E-16)); #455758=DIRECTION('ref_axis',(0.,-3.01823612255331E-16,1.)); #455759=DIRECTION('',(-1.,0.,0.)); #455760=DIRECTION('center_axis',(-1.,0.,0.)); #455761=DIRECTION('ref_axis',(0.,0.,1.)); #455762=DIRECTION('',(1.,0.,0.)); #455763=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #455764=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #455765=DIRECTION('center_axis',(0.,-0.707106781186547,-0.707106781186549)); #455766=DIRECTION('ref_axis',(1.,0.,0.)); #455767=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186548)); #455768=DIRECTION('ref_axis',(-1.,0.,0.)); #455769=DIRECTION('axis',(0.,0.,1.)); #455770=DIRECTION('refdir',(1.,0.,0.)); #455771=DIRECTION('center_axis',(0.719587420499984,0.694401860782486,0.)); #455772=DIRECTION('ref_axis',(-0.694401860782486,0.719587420499984,0.)); #455773=DIRECTION('',(-0.694401860782486,0.719587420499984,0.)); #455774=DIRECTION('',(0.,0.,1.)); #455775=DIRECTION('',(-0.694401860782486,0.719587420499984,0.)); #455776=DIRECTION('',(0.,0.,1.)); #455777=DIRECTION('center_axis',(1.,-3.27971157176865E-15,0.)); #455778=DIRECTION('ref_axis',(3.27971157176865E-15,1.,0.)); #455779=DIRECTION('',(3.27971157176865E-15,1.,0.)); #455780=DIRECTION('center_axis',(-1.,0.,0.)); #455781=DIRECTION('ref_axis',(0.,0.,1.)); #455782=DIRECTION('',(0.,-2.91792413476968E-16,1.)); #455783=DIRECTION('center_axis',(-1.,0.,0.)); #455784=DIRECTION('ref_axis',(0.,0.,1.)); #455785=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #455786=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #455787=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #455788=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #455789=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #455790=DIRECTION('',(7.37751108078545E-31,2.91792413476968E-16,-1.)); #455791=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #455792=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #455793=DIRECTION('',(3.27971157176865E-15,1.,0.)); #455794=DIRECTION('center_axis',(-1.,-1.0842021724855E-16,0.)); #455795=DIRECTION('ref_axis',(1.0842021724855E-16,-1.,0.)); #455796=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #455797=DIRECTION('',(0.,0.,1.)); #455798=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #455799=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #455800=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #455801=DIRECTION('',(7.37751108078545E-31,2.91792413476968E-16,-1.)); #455802=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #455803=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #455804=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #455805=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #455806=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #455807=DIRECTION('center_axis',(-1.,0.,0.)); #455808=DIRECTION('ref_axis',(0.,0.,1.)); #455809=DIRECTION('',(0.,-2.91792413476968E-16,1.)); #455810=DIRECTION('center_axis',(-1.,0.,0.)); #455811=DIRECTION('ref_axis',(0.,0.,1.)); #455812=DIRECTION('center_axis',(-0.681103942477287,-0.732186738163084, 0.)); #455813=DIRECTION('ref_axis',(0.732186738163084,-0.681103942477287,0.)); #455814=DIRECTION('',(0.732186738163084,-0.681103942477287,0.)); #455815=DIRECTION('',(0.,0.,1.)); #455816=DIRECTION('',(0.732186738163084,-0.681103942477287,0.)); #455817=DIRECTION('center_axis',(-1.,1.03503787349451E-16,0.)); #455818=DIRECTION('ref_axis',(-1.03503787349451E-16,-1.,0.)); #455819=DIRECTION('',(0.,0.,1.)); #455820=DIRECTION('',(7.31882299132876E-17,0.707106781186549,-0.707106781186546)); #455821=DIRECTION('',(-1.03503787349451E-16,-1.,0.)); #455822=DIRECTION('',(-1.03503787349451E-16,-1.,0.)); #455823=DIRECTION('',(7.31882299132876E-17,0.707106781186548,0.707106781186547)); #455824=DIRECTION('center_axis',(0.,1.,0.)); #455825=DIRECTION('ref_axis',(0.,0.,1.)); #455826=DIRECTION('',(0.,0.,1.)); #455827=DIRECTION('',(1.,0.,0.)); #455828=DIRECTION('',(-1.,0.,0.)); #455829=DIRECTION('center_axis',(1.,1.03503787349451E-16,0.)); #455830=DIRECTION('ref_axis',(-1.03503787349451E-16,1.,0.)); #455831=DIRECTION('',(-1.03503787349451E-16,1.,0.)); #455832=DIRECTION('',(7.31882299132876E-17,-0.707106781186549,0.707106781186546)); #455833=DIRECTION('',(7.31882299132876E-17,-0.707106781186548,-0.707106781186547)); #455834=DIRECTION('',(-1.03503787349451E-16,1.,0.)); #455835=DIRECTION('center_axis',(0.,0.,-1.)); #455836=DIRECTION('ref_axis',(-1.,0.,0.)); #455837=DIRECTION('',(-1.,0.,0.)); #455838=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #455839=DIRECTION('center_axis',(0.,0.,-1.)); #455840=DIRECTION('ref_axis',(-1.,0.,0.)); #455841=DIRECTION('',(1.,0.,0.)); #455842=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #455843=DIRECTION('center_axis',(0.,1.,2.25875452601147E-16)); #455844=DIRECTION('ref_axis',(-1.,0.,0.)); #455845=DIRECTION('',(1.,0.,0.)); #455846=DIRECTION('',(-1.,0.,0.)); #455847=DIRECTION('center_axis',(-1.,0.,0.)); #455848=DIRECTION('ref_axis',(0.,0.,1.)); #455849=DIRECTION('',(-1.,0.,0.)); #455850=DIRECTION('center_axis',(0.,1.,2.91792413476968E-16)); #455851=DIRECTION('ref_axis',(0.,-2.91792413476968E-16,1.)); #455852=DIRECTION('',(-1.,0.,0.)); #455853=DIRECTION('center_axis',(-1.,0.,0.)); #455854=DIRECTION('ref_axis',(0.,0.,1.)); #455855=DIRECTION('',(-1.,0.,0.)); #455856=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #455857=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #455858=DIRECTION('center_axis',(-1.,0.,0.)); #455859=DIRECTION('ref_axis',(0.,0.,1.)); #455860=DIRECTION('',(1.,0.,0.)); #455861=DIRECTION('center_axis',(0.,1.,2.91792413476968E-16)); #455862=DIRECTION('ref_axis',(0.,-2.91792413476968E-16,1.)); #455863=DIRECTION('',(-1.,0.,0.)); #455864=DIRECTION('center_axis',(-1.,0.,0.)); #455865=DIRECTION('ref_axis',(0.,0.,1.)); #455866=DIRECTION('',(1.,0.,0.)); #455867=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #455868=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #455869=DIRECTION('center_axis',(0.,-0.707106781186547,-0.707106781186549)); #455870=DIRECTION('ref_axis',(1.,0.,0.)); #455871=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186548)); #455872=DIRECTION('ref_axis',(-1.,0.,0.)); #455873=DIRECTION('axis',(0.,0.,1.)); #455874=DIRECTION('refdir',(1.,0.,0.)); #455875=DIRECTION('center_axis',(0.883572899969473,-0.468293636983822,0.)); #455876=DIRECTION('ref_axis',(-0.468293636983822,-0.883572899969473,0.)); #455877=DIRECTION('',(-0.468293636983822,-0.883572899969473,0.)); #455878=DIRECTION('',(0.,0.,1.)); #455879=DIRECTION('',(-0.468293636983822,-0.883572899969473,0.)); #455880=DIRECTION('',(0.,0.,1.)); #455881=DIRECTION('center_axis',(1.,3.27971157176865E-15,0.)); #455882=DIRECTION('ref_axis',(3.27971157176865E-15,-1.,0.)); #455883=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #455884=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #455885=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #455886=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #455887=DIRECTION('',(7.62674925284688E-31,-3.01823612255331E-16,-1.)); #455888=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #455889=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #455890=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #455891=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #455892=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #455893=DIRECTION('center_axis',(1.,0.,0.)); #455894=DIRECTION('ref_axis',(0.,0.,1.)); #455895=DIRECTION('',(0.,3.01823612255331E-16,1.)); #455896=DIRECTION('center_axis',(1.,0.,0.)); #455897=DIRECTION('ref_axis',(0.,0.,1.)); #455898=DIRECTION('center_axis',(-1.,1.0842021724855E-16,0.)); #455899=DIRECTION('ref_axis',(1.0842021724855E-16,1.,0.)); #455900=DIRECTION('',(1.0842021724855E-16,1.,0.)); #455901=DIRECTION('center_axis',(1.,0.,0.)); #455902=DIRECTION('ref_axis',(0.,0.,1.)); #455903=DIRECTION('',(0.,3.01823612255331E-16,1.)); #455904=DIRECTION('center_axis',(1.,0.,0.)); #455905=DIRECTION('ref_axis',(0.,0.,1.)); #455906=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #455907=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #455908=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #455909=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #455910=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #455911=DIRECTION('',(7.62674925284688E-31,-3.01823612255331E-16,-1.)); #455912=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #455913=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #455914=DIRECTION('',(1.0842021724855E-16,1.,0.)); #455915=DIRECTION('',(0.,0.,1.)); #455916=DIRECTION('center_axis',(-0.842271400661511,0.539053696423368,0.)); #455917=DIRECTION('ref_axis',(0.539053696423368,0.842271400661511,0.)); #455918=DIRECTION('',(0.539053696423367,0.842271400661511,0.)); #455919=DIRECTION('',(0.539053696423367,0.842271400661511,0.)); #455920=DIRECTION('',(0.,0.,1.)); #455921=DIRECTION('center_axis',(-1.,-1.19669113960872E-16,0.)); #455922=DIRECTION('ref_axis',(-1.19669113960872E-16,1.,0.)); #455923=DIRECTION('',(0.,0.,1.)); #455924=DIRECTION('',(8.46188419803187E-17,-0.707106781186548,0.707106781186547)); #455925=DIRECTION('',(-1.19669113960872E-16,1.,0.)); #455926=DIRECTION('',(-1.19669113960872E-16,1.,0.)); #455927=DIRECTION('',(8.46188419803187E-17,-0.707106781186549,-0.707106781186546)); #455928=DIRECTION('center_axis',(0.,-1.,0.)); #455929=DIRECTION('ref_axis',(0.,0.,1.)); #455930=DIRECTION('',(0.,0.,1.)); #455931=DIRECTION('',(-1.,0.,0.)); #455932=DIRECTION('',(1.,0.,0.)); #455933=DIRECTION('center_axis',(1.,-1.19669113960872E-16,0.)); #455934=DIRECTION('ref_axis',(-1.19669113960872E-16,-1.,0.)); #455935=DIRECTION('',(-1.19669113960872E-16,-1.,0.)); #455936=DIRECTION('',(-1.19669113960872E-16,-1.,0.)); #455937=DIRECTION('',(8.46188419803187E-17,0.707106781186548,-0.707106781186547)); #455938=DIRECTION('',(8.46188419803187E-17,0.707106781186549,0.707106781186546)); #455939=DIRECTION('center_axis',(0.,0.,-1.)); #455940=DIRECTION('ref_axis',(-1.,0.,0.)); #455941=DIRECTION('',(1.,1.58112816820803E-15,0.)); #455942=DIRECTION('',(-1.,0.,0.)); #455943=DIRECTION('center_axis',(0.,0.,-1.)); #455944=DIRECTION('ref_axis',(-1.,0.,0.)); #455945=DIRECTION('',(1.,1.58112816820803E-15,0.)); #455946=DIRECTION('',(1.,0.,0.)); #455947=DIRECTION('center_axis',(0.,-1.,2.25875452601147E-16)); #455948=DIRECTION('ref_axis',(-1.,0.,0.)); #455949=DIRECTION('',(-1.,0.,0.)); #455950=DIRECTION('',(1.,0.,0.)); #455951=DIRECTION('center_axis',(-1.,0.,0.)); #455952=DIRECTION('ref_axis',(0.,0.,1.)); #455953=DIRECTION('',(-1.,0.,0.)); #455954=DIRECTION('center_axis',(0.,-1.,3.01823612255331E-16)); #455955=DIRECTION('ref_axis',(0.,3.01823612255331E-16,1.)); #455956=DIRECTION('',(-1.,0.,0.)); #455957=DIRECTION('center_axis',(-1.,0.,0.)); #455958=DIRECTION('ref_axis',(0.,0.,1.)); #455959=DIRECTION('',(-1.,0.,0.)); #455960=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #455961=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #455962=DIRECTION('center_axis',(-1.,0.,0.)); #455963=DIRECTION('ref_axis',(0.,0.,1.)); #455964=DIRECTION('',(1.,0.,0.)); #455965=DIRECTION('center_axis',(0.,-1.,3.01823612255331E-16)); #455966=DIRECTION('ref_axis',(0.,3.01823612255331E-16,1.)); #455967=DIRECTION('',(-1.,0.,0.)); #455968=DIRECTION('center_axis',(-1.,0.,0.)); #455969=DIRECTION('ref_axis',(0.,0.,1.)); #455970=DIRECTION('',(1.,0.,0.)); #455971=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #455972=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #455973=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186549)); #455974=DIRECTION('ref_axis',(1.,0.,0.)); #455975=DIRECTION('center_axis',(0.,-0.707106781186547,-0.707106781186548)); #455976=DIRECTION('ref_axis',(-1.,0.,0.)); #455977=DIRECTION('axis',(0.,0.,1.)); #455978=DIRECTION('refdir',(1.,0.,0.)); #455979=DIRECTION('center_axis',(0.965429082558387,-0.260665852290766,0.)); #455980=DIRECTION('ref_axis',(-0.260665852290766,-0.965429082558387,0.)); #455981=DIRECTION('',(-0.260665852290766,-0.965429082558387,0.)); #455982=DIRECTION('',(0.,0.,1.)); #455983=DIRECTION('',(-0.260665852290766,-0.965429082558387,0.)); #455984=DIRECTION('',(0.,0.,1.)); #455985=DIRECTION('center_axis',(1.,3.27971157176865E-15,0.)); #455986=DIRECTION('ref_axis',(3.27971157176865E-15,-1.,0.)); #455987=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #455988=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #455989=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #455990=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #455991=DIRECTION('',(7.37751108078545E-31,-2.91792413476968E-16,-1.)); #455992=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #455993=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #455994=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #455995=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #455996=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #455997=DIRECTION('center_axis',(1.,0.,0.)); #455998=DIRECTION('ref_axis',(0.,0.,1.)); #455999=DIRECTION('',(0.,2.91792413476968E-16,1.)); #456000=DIRECTION('center_axis',(1.,0.,0.)); #456001=DIRECTION('ref_axis',(0.,0.,1.)); #456002=DIRECTION('center_axis',(-1.,1.0842021724855E-16,0.)); #456003=DIRECTION('ref_axis',(1.0842021724855E-16,1.,0.)); #456004=DIRECTION('',(1.0842021724855E-16,1.,0.)); #456005=DIRECTION('center_axis',(1.,0.,0.)); #456006=DIRECTION('ref_axis',(0.,0.,1.)); #456007=DIRECTION('',(0.,2.91792413476968E-16,1.)); #456008=DIRECTION('center_axis',(1.,0.,0.)); #456009=DIRECTION('ref_axis',(0.,0.,1.)); #456010=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #456011=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #456012=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #456013=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #456014=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #456015=DIRECTION('',(7.37751108078545E-31,-2.91792413476968E-16,-1.)); #456016=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #456017=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #456018=DIRECTION('',(1.0842021724855E-16,1.,0.)); #456019=DIRECTION('',(0.,0.,1.)); #456020=DIRECTION('center_axis',(-0.934783580588349,0.355217760623573,0.)); #456021=DIRECTION('ref_axis',(0.355217760623573,0.934783580588349,0.)); #456022=DIRECTION('',(0.355217760623573,0.934783580588349,0.)); #456023=DIRECTION('',(0.355217760623573,0.934783580588349,0.)); #456024=DIRECTION('',(0.,0.,1.)); #456025=DIRECTION('center_axis',(-1.,-1.19669113960872E-16,0.)); #456026=DIRECTION('ref_axis',(-1.19669113960872E-16,1.,0.)); #456027=DIRECTION('',(0.,0.,1.)); #456028=DIRECTION('',(8.46188419803187E-17,-0.707106781186548,0.707106781186547)); #456029=DIRECTION('',(-1.19669113960872E-16,1.,0.)); #456030=DIRECTION('',(-1.19669113960872E-16,1.,0.)); #456031=DIRECTION('',(8.46188419803187E-17,-0.707106781186549,-0.707106781186546)); #456032=DIRECTION('center_axis',(0.,-1.,0.)); #456033=DIRECTION('ref_axis',(0.,0.,1.)); #456034=DIRECTION('',(0.,0.,1.)); #456035=DIRECTION('',(-1.,0.,0.)); #456036=DIRECTION('',(1.,0.,0.)); #456037=DIRECTION('center_axis',(1.,-1.19669113960872E-16,0.)); #456038=DIRECTION('ref_axis',(-1.19669113960872E-16,-1.,0.)); #456039=DIRECTION('',(-1.19669113960872E-16,-1.,0.)); #456040=DIRECTION('',(-1.19669113960872E-16,-1.,0.)); #456041=DIRECTION('',(8.46188419803187E-17,0.707106781186548,-0.707106781186547)); #456042=DIRECTION('',(8.46188419803187E-17,0.707106781186549,0.707106781186546)); #456043=DIRECTION('center_axis',(0.,0.,-1.)); #456044=DIRECTION('ref_axis',(-1.,0.,0.)); #456045=DIRECTION('',(1.,1.58112816820803E-15,0.)); #456046=DIRECTION('',(-1.,0.,0.)); #456047=DIRECTION('center_axis',(0.,0.,-1.)); #456048=DIRECTION('ref_axis',(-1.,0.,0.)); #456049=DIRECTION('',(1.,1.58112816820803E-15,0.)); #456050=DIRECTION('',(1.,0.,0.)); #456051=DIRECTION('center_axis',(0.,-1.,2.25875452601147E-16)); #456052=DIRECTION('ref_axis',(-1.,0.,0.)); #456053=DIRECTION('',(-1.,0.,0.)); #456054=DIRECTION('',(1.,0.,0.)); #456055=DIRECTION('center_axis',(-1.,0.,0.)); #456056=DIRECTION('ref_axis',(0.,0.,1.)); #456057=DIRECTION('',(-1.,0.,0.)); #456058=DIRECTION('center_axis',(0.,-1.,2.91792413476968E-16)); #456059=DIRECTION('ref_axis',(0.,2.91792413476968E-16,1.)); #456060=DIRECTION('',(-1.,0.,0.)); #456061=DIRECTION('center_axis',(-1.,0.,0.)); #456062=DIRECTION('ref_axis',(0.,0.,1.)); #456063=DIRECTION('',(-1.,0.,0.)); #456064=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #456065=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #456066=DIRECTION('center_axis',(-1.,0.,0.)); #456067=DIRECTION('ref_axis',(0.,0.,1.)); #456068=DIRECTION('',(1.,0.,0.)); #456069=DIRECTION('center_axis',(0.,-1.,2.91792413476968E-16)); #456070=DIRECTION('ref_axis',(0.,2.91792413476968E-16,1.)); #456071=DIRECTION('',(-1.,0.,0.)); #456072=DIRECTION('center_axis',(-1.,0.,0.)); #456073=DIRECTION('ref_axis',(0.,0.,1.)); #456074=DIRECTION('',(1.,0.,0.)); #456075=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #456076=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #456077=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186549)); #456078=DIRECTION('ref_axis',(1.,0.,0.)); #456079=DIRECTION('center_axis',(0.,-0.707106781186547,-0.707106781186548)); #456080=DIRECTION('ref_axis',(-1.,0.,0.)); #456081=DIRECTION('axis',(0.,0.,1.)); #456082=DIRECTION('refdir',(1.,0.,0.)); #456083=DIRECTION('center_axis',(0.632376489912261,-0.774661200142519,0.)); #456084=DIRECTION('ref_axis',(-0.774661200142519,-0.632376489912261,0.)); #456085=DIRECTION('',(-0.77466120014252,-0.63237648991226,0.)); #456086=DIRECTION('',(0.,0.,1.)); #456087=DIRECTION('',(-0.77466120014252,-0.63237648991226,0.)); #456088=DIRECTION('',(0.,0.,1.)); #456089=DIRECTION('center_axis',(1.,3.27971157176865E-15,0.)); #456090=DIRECTION('ref_axis',(3.27971157176865E-15,-1.,0.)); #456091=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #456092=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #456093=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #456094=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #456095=DIRECTION('',(7.62674925284688E-31,-3.01823612255331E-16,-1.)); #456096=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #456097=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #456098=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #456099=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #456100=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #456101=DIRECTION('center_axis',(1.,0.,0.)); #456102=DIRECTION('ref_axis',(0.,0.,1.)); #456103=DIRECTION('',(0.,3.01823612255331E-16,1.)); #456104=DIRECTION('center_axis',(1.,0.,0.)); #456105=DIRECTION('ref_axis',(0.,0.,1.)); #456106=DIRECTION('center_axis',(-1.,1.0842021724855E-16,0.)); #456107=DIRECTION('ref_axis',(1.0842021724855E-16,1.,0.)); #456108=DIRECTION('',(1.0842021724855E-16,1.,0.)); #456109=DIRECTION('center_axis',(1.,0.,0.)); #456110=DIRECTION('ref_axis',(0.,0.,1.)); #456111=DIRECTION('',(0.,3.01823612255331E-16,1.)); #456112=DIRECTION('center_axis',(1.,0.,0.)); #456113=DIRECTION('ref_axis',(0.,0.,1.)); #456114=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #456115=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #456116=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #456117=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #456118=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #456119=DIRECTION('',(7.62674925284688E-31,-3.01823612255331E-16,-1.)); #456120=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #456121=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #456122=DIRECTION('',(1.0842021724855E-16,1.,0.)); #456123=DIRECTION('',(0.,0.,1.)); #456124=DIRECTION('center_axis',(-0.59952027595186,0.800359568395734,0.)); #456125=DIRECTION('ref_axis',(0.800359568395734,0.59952027595186,0.)); #456126=DIRECTION('',(0.800359568395734,0.59952027595186,0.)); #456127=DIRECTION('',(0.800359568395734,0.59952027595186,0.)); #456128=DIRECTION('',(0.,0.,1.)); #456129=DIRECTION('center_axis',(-1.,-1.03503787349451E-16,0.)); #456130=DIRECTION('ref_axis',(-1.03503787349451E-16,1.,0.)); #456131=DIRECTION('',(0.,0.,1.)); #456132=DIRECTION('',(7.31882299132876E-17,-0.707106781186548,0.707106781186547)); #456133=DIRECTION('',(-1.03503787349451E-16,1.,0.)); #456134=DIRECTION('',(-1.03503787349451E-16,1.,0.)); #456135=DIRECTION('',(7.31882299132876E-17,-0.707106781186549,-0.707106781186546)); #456136=DIRECTION('center_axis',(0.,-1.,0.)); #456137=DIRECTION('ref_axis',(0.,0.,1.)); #456138=DIRECTION('',(0.,0.,1.)); #456139=DIRECTION('',(-1.,0.,0.)); #456140=DIRECTION('',(1.,0.,0.)); #456141=DIRECTION('center_axis',(1.,-1.03503787349451E-16,0.)); #456142=DIRECTION('ref_axis',(-1.03503787349451E-16,-1.,0.)); #456143=DIRECTION('',(-1.03503787349451E-16,-1.,0.)); #456144=DIRECTION('',(-1.03503787349451E-16,-1.,0.)); #456145=DIRECTION('',(7.31882299132876E-17,0.707106781186548,-0.707106781186547)); #456146=DIRECTION('',(7.31882299132876E-17,0.707106781186549,0.707106781186546)); #456147=DIRECTION('center_axis',(0.,0.,-1.)); #456148=DIRECTION('ref_axis',(-1.,0.,0.)); #456149=DIRECTION('',(1.,1.58112816820803E-15,0.)); #456150=DIRECTION('',(-1.,0.,0.)); #456151=DIRECTION('center_axis',(0.,0.,-1.)); #456152=DIRECTION('ref_axis',(-1.,0.,0.)); #456153=DIRECTION('',(1.,1.58112816820803E-15,0.)); #456154=DIRECTION('',(1.,0.,0.)); #456155=DIRECTION('center_axis',(0.,-1.,2.25875452601147E-16)); #456156=DIRECTION('ref_axis',(-1.,0.,0.)); #456157=DIRECTION('',(-1.,0.,0.)); #456158=DIRECTION('',(1.,0.,0.)); #456159=DIRECTION('center_axis',(-1.,0.,0.)); #456160=DIRECTION('ref_axis',(0.,0.,1.)); #456161=DIRECTION('',(-1.,0.,0.)); #456162=DIRECTION('center_axis',(0.,-1.,3.01823612255331E-16)); #456163=DIRECTION('ref_axis',(0.,3.01823612255331E-16,1.)); #456164=DIRECTION('',(-1.,0.,0.)); #456165=DIRECTION('center_axis',(-1.,0.,0.)); #456166=DIRECTION('ref_axis',(0.,0.,1.)); #456167=DIRECTION('',(-1.,0.,0.)); #456168=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #456169=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #456170=DIRECTION('center_axis',(-1.,0.,0.)); #456171=DIRECTION('ref_axis',(0.,0.,1.)); #456172=DIRECTION('',(1.,0.,0.)); #456173=DIRECTION('center_axis',(0.,-1.,3.01823612255331E-16)); #456174=DIRECTION('ref_axis',(0.,3.01823612255331E-16,1.)); #456175=DIRECTION('',(-1.,0.,0.)); #456176=DIRECTION('center_axis',(-1.,0.,0.)); #456177=DIRECTION('ref_axis',(0.,0.,1.)); #456178=DIRECTION('',(1.,0.,0.)); #456179=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #456180=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #456181=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186549)); #456182=DIRECTION('ref_axis',(1.,0.,0.)); #456183=DIRECTION('center_axis',(0.,-0.707106781186547,-0.707106781186548)); #456184=DIRECTION('ref_axis',(-1.,0.,0.)); #456185=DIRECTION('axis',(0.,0.,1.)); #456186=DIRECTION('refdir',(1.,0.,0.)); #456187=DIRECTION('center_axis',(0.719587420499984,-0.694401860782486,0.)); #456188=DIRECTION('ref_axis',(-0.694401860782486,-0.719587420499984,0.)); #456189=DIRECTION('',(-0.694401860782486,-0.719587420499984,0.)); #456190=DIRECTION('',(0.,0.,1.)); #456191=DIRECTION('',(-0.694401860782486,-0.719587420499984,0.)); #456192=DIRECTION('',(0.,0.,1.)); #456193=DIRECTION('center_axis',(1.,3.27971157176865E-15,0.)); #456194=DIRECTION('ref_axis',(3.27971157176865E-15,-1.,0.)); #456195=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #456196=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #456197=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #456198=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #456199=DIRECTION('',(7.37751108078545E-31,-2.91792413476968E-16,-1.)); #456200=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #456201=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #456202=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #456203=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #456204=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #456205=DIRECTION('center_axis',(1.,0.,0.)); #456206=DIRECTION('ref_axis',(0.,0.,1.)); #456207=DIRECTION('',(0.,2.91792413476968E-16,1.)); #456208=DIRECTION('center_axis',(1.,0.,0.)); #456209=DIRECTION('ref_axis',(0.,0.,1.)); #456210=DIRECTION('center_axis',(-1.,1.0842021724855E-16,0.)); #456211=DIRECTION('ref_axis',(1.0842021724855E-16,1.,0.)); #456212=DIRECTION('',(1.0842021724855E-16,1.,0.)); #456213=DIRECTION('center_axis',(1.,0.,0.)); #456214=DIRECTION('ref_axis',(0.,0.,1.)); #456215=DIRECTION('',(0.,2.91792413476968E-16,1.)); #456216=DIRECTION('center_axis',(1.,0.,0.)); #456217=DIRECTION('ref_axis',(0.,0.,1.)); #456218=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #456219=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #456220=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #456221=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #456222=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #456223=DIRECTION('',(7.37751108078545E-31,-2.91792413476968E-16,-1.)); #456224=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #456225=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #456226=DIRECTION('',(1.0842021724855E-16,1.,0.)); #456227=DIRECTION('',(0.,0.,1.)); #456228=DIRECTION('center_axis',(-0.681103942477287,0.732186738163084,0.)); #456229=DIRECTION('ref_axis',(0.732186738163084,0.681103942477287,0.)); #456230=DIRECTION('',(0.732186738163084,0.681103942477287,0.)); #456231=DIRECTION('',(0.732186738163084,0.681103942477287,0.)); #456232=DIRECTION('',(0.,0.,1.)); #456233=DIRECTION('center_axis',(-1.,-1.03503787349451E-16,0.)); #456234=DIRECTION('ref_axis',(-1.03503787349451E-16,1.,0.)); #456235=DIRECTION('',(0.,0.,1.)); #456236=DIRECTION('',(7.31882299132876E-17,-0.707106781186548,0.707106781186547)); #456237=DIRECTION('',(-1.03503787349451E-16,1.,0.)); #456238=DIRECTION('',(-1.03503787349451E-16,1.,0.)); #456239=DIRECTION('',(7.31882299132876E-17,-0.707106781186549,-0.707106781186546)); #456240=DIRECTION('center_axis',(0.,-1.,0.)); #456241=DIRECTION('ref_axis',(0.,0.,1.)); #456242=DIRECTION('',(0.,0.,1.)); #456243=DIRECTION('',(-1.,0.,0.)); #456244=DIRECTION('',(1.,0.,0.)); #456245=DIRECTION('center_axis',(1.,-1.03503787349451E-16,0.)); #456246=DIRECTION('ref_axis',(-1.03503787349451E-16,-1.,0.)); #456247=DIRECTION('',(-1.03503787349451E-16,-1.,0.)); #456248=DIRECTION('',(-1.03503787349451E-16,-1.,0.)); #456249=DIRECTION('',(7.31882299132876E-17,0.707106781186548,-0.707106781186547)); #456250=DIRECTION('',(7.31882299132876E-17,0.707106781186549,0.707106781186546)); #456251=DIRECTION('center_axis',(0.,0.,-1.)); #456252=DIRECTION('ref_axis',(-1.,0.,0.)); #456253=DIRECTION('',(1.,1.58112816820803E-15,0.)); #456254=DIRECTION('',(-1.,0.,0.)); #456255=DIRECTION('center_axis',(0.,0.,-1.)); #456256=DIRECTION('ref_axis',(-1.,0.,0.)); #456257=DIRECTION('',(1.,1.58112816820803E-15,0.)); #456258=DIRECTION('',(1.,0.,0.)); #456259=DIRECTION('center_axis',(0.,-1.,2.25875452601147E-16)); #456260=DIRECTION('ref_axis',(-1.,0.,0.)); #456261=DIRECTION('',(-1.,0.,0.)); #456262=DIRECTION('',(1.,0.,0.)); #456263=DIRECTION('center_axis',(-1.,0.,0.)); #456264=DIRECTION('ref_axis',(0.,0.,1.)); #456265=DIRECTION('',(-1.,0.,0.)); #456266=DIRECTION('center_axis',(0.,-1.,2.91792413476968E-16)); #456267=DIRECTION('ref_axis',(0.,2.91792413476968E-16,1.)); #456268=DIRECTION('',(-1.,0.,0.)); #456269=DIRECTION('center_axis',(-1.,0.,0.)); #456270=DIRECTION('ref_axis',(0.,0.,1.)); #456271=DIRECTION('',(-1.,0.,0.)); #456272=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #456273=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #456274=DIRECTION('center_axis',(-1.,0.,0.)); #456275=DIRECTION('ref_axis',(0.,0.,1.)); #456276=DIRECTION('',(1.,0.,0.)); #456277=DIRECTION('center_axis',(0.,-1.,2.91792413476968E-16)); #456278=DIRECTION('ref_axis',(0.,2.91792413476968E-16,1.)); #456279=DIRECTION('',(-1.,0.,0.)); #456280=DIRECTION('center_axis',(-1.,0.,0.)); #456281=DIRECTION('ref_axis',(0.,0.,1.)); #456282=DIRECTION('',(1.,0.,0.)); #456283=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #456284=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #456285=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186549)); #456286=DIRECTION('ref_axis',(1.,0.,0.)); #456287=DIRECTION('center_axis',(0.,-0.707106781186547,-0.707106781186548)); #456288=DIRECTION('ref_axis',(-1.,0.,0.)); #456289=DIRECTION('axis',(0.,0.,1.)); #456290=DIRECTION('refdir',(1.,0.,0.)); #456291=DIRECTION('center_axis',(0.896212431824827,-0.44362515375329,0.)); #456292=DIRECTION('ref_axis',(-0.44362515375329,-0.896212431824827,0.)); #456293=DIRECTION('',(-0.44362515375329,-0.896212431824827,0.)); #456294=DIRECTION('',(0.,0.,1.)); #456295=DIRECTION('',(-0.44362515375329,-0.896212431824827,0.)); #456296=DIRECTION('',(0.,0.,1.)); #456297=DIRECTION('center_axis',(1.,3.27971157176865E-15,0.)); #456298=DIRECTION('ref_axis',(3.27971157176865E-15,-1.,0.)); #456299=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #456300=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #456301=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #456302=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #456303=DIRECTION('',(7.62674925284688E-31,-3.01823612255331E-16,-1.)); #456304=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #456305=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #456306=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #456307=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #456308=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #456309=DIRECTION('center_axis',(1.,0.,0.)); #456310=DIRECTION('ref_axis',(0.,0.,1.)); #456311=DIRECTION('',(0.,3.01823612255331E-16,1.)); #456312=DIRECTION('center_axis',(1.,0.,0.)); #456313=DIRECTION('ref_axis',(0.,0.,1.)); #456314=DIRECTION('center_axis',(-1.,1.0842021724855E-16,0.)); #456315=DIRECTION('ref_axis',(1.0842021724855E-16,1.,0.)); #456316=DIRECTION('',(1.0842021724855E-16,1.,0.)); #456317=DIRECTION('center_axis',(1.,0.,0.)); #456318=DIRECTION('ref_axis',(0.,0.,1.)); #456319=DIRECTION('',(0.,3.01823612255331E-16,1.)); #456320=DIRECTION('center_axis',(1.,0.,0.)); #456321=DIRECTION('ref_axis',(0.,0.,1.)); #456322=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #456323=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #456324=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #456325=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #456326=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #456327=DIRECTION('',(7.62674925284688E-31,-3.01823612255331E-16,-1.)); #456328=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #456329=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #456330=DIRECTION('',(1.0842021724855E-16,1.,0.)); #456331=DIRECTION('',(0.,0.,1.)); #456332=DIRECTION('center_axis',(-0.892634794614373,0.450780571280259,0.)); #456333=DIRECTION('ref_axis',(0.450780571280259,0.892634794614373,0.)); #456334=DIRECTION('',(0.450780571280259,0.892634794614373,0.)); #456335=DIRECTION('',(0.450780571280259,0.892634794614373,0.)); #456336=DIRECTION('',(0.,0.,1.)); #456337=DIRECTION('center_axis',(-1.,-1.35187303302432E-16,0.)); #456338=DIRECTION('ref_axis',(-1.35187303302432E-16,1.,0.)); #456339=DIRECTION('',(0.,0.,1.)); #456340=DIRECTION('',(9.55918588954724E-17,-0.707106781186549,0.707106781186546)); #456341=DIRECTION('',(-1.35187303302432E-16,1.,0.)); #456342=DIRECTION('',(-1.35187303302432E-16,1.,0.)); #456343=DIRECTION('',(9.55918588954724E-17,-0.707106781186549,-0.707106781186546)); #456344=DIRECTION('center_axis',(0.,-1.,0.)); #456345=DIRECTION('ref_axis',(0.,0.,1.)); #456346=DIRECTION('',(0.,0.,1.)); #456347=DIRECTION('',(-1.,0.,0.)); #456348=DIRECTION('',(1.,0.,0.)); #456349=DIRECTION('center_axis',(1.,-1.35187303302432E-16,0.)); #456350=DIRECTION('ref_axis',(-1.35187303302432E-16,-1.,0.)); #456351=DIRECTION('',(-1.35187303302432E-16,-1.,0.)); #456352=DIRECTION('',(-1.35187303302432E-16,-1.,0.)); #456353=DIRECTION('',(9.55918588954724E-17,0.707106781186549,-0.707106781186546)); #456354=DIRECTION('',(9.55918588954724E-17,0.707106781186549,0.707106781186546)); #456355=DIRECTION('center_axis',(0.,0.,-1.)); #456356=DIRECTION('ref_axis',(-1.,0.,0.)); #456357=DIRECTION('',(1.,1.58112816820803E-15,0.)); #456358=DIRECTION('',(-1.,0.,0.)); #456359=DIRECTION('center_axis',(0.,0.,-1.)); #456360=DIRECTION('ref_axis',(-1.,0.,0.)); #456361=DIRECTION('',(1.,1.58112816820803E-15,0.)); #456362=DIRECTION('',(1.,0.,0.)); #456363=DIRECTION('center_axis',(0.,-1.,2.25875452601147E-16)); #456364=DIRECTION('ref_axis',(-1.,0.,0.)); #456365=DIRECTION('',(-1.,0.,0.)); #456366=DIRECTION('',(1.,0.,0.)); #456367=DIRECTION('center_axis',(-1.,0.,0.)); #456368=DIRECTION('ref_axis',(0.,0.,1.)); #456369=DIRECTION('',(-1.,0.,0.)); #456370=DIRECTION('center_axis',(0.,-1.,3.01823612255331E-16)); #456371=DIRECTION('ref_axis',(0.,3.01823612255331E-16,1.)); #456372=DIRECTION('',(-1.,0.,0.)); #456373=DIRECTION('center_axis',(-1.,0.,0.)); #456374=DIRECTION('ref_axis',(0.,0.,1.)); #456375=DIRECTION('',(-1.,0.,0.)); #456376=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #456377=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #456378=DIRECTION('center_axis',(-1.,0.,0.)); #456379=DIRECTION('ref_axis',(0.,0.,1.)); #456380=DIRECTION('',(1.,0.,0.)); #456381=DIRECTION('center_axis',(0.,-1.,3.01823612255331E-16)); #456382=DIRECTION('ref_axis',(0.,3.01823612255331E-16,1.)); #456383=DIRECTION('',(-1.,0.,0.)); #456384=DIRECTION('center_axis',(-1.,0.,0.)); #456385=DIRECTION('ref_axis',(0.,0.,1.)); #456386=DIRECTION('',(1.,0.,0.)); #456387=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #456388=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #456389=DIRECTION('center_axis',(0.,0.707106781186546,-0.707106781186549)); #456390=DIRECTION('ref_axis',(1.,0.,0.)); #456391=DIRECTION('center_axis',(0.,-0.707106781186546,-0.707106781186549)); #456392=DIRECTION('ref_axis',(-1.,0.,0.)); #456393=DIRECTION('axis',(0.,0.,1.)); #456394=DIRECTION('refdir',(1.,0.,0.)); #456395=DIRECTION('center_axis',(0.708876752278901,-0.705332368517507,0.)); #456396=DIRECTION('ref_axis',(-0.705332368517507,-0.708876752278901,0.)); #456397=DIRECTION('',(-0.705332368517508,-0.708876752278901,0.)); #456398=DIRECTION('',(0.,0.,1.)); #456399=DIRECTION('',(-0.705332368517508,-0.708876752278901,0.)); #456400=DIRECTION('',(0.,0.,1.)); #456401=DIRECTION('center_axis',(1.,3.27971157176865E-15,0.)); #456402=DIRECTION('ref_axis',(3.27971157176865E-15,-1.,0.)); #456403=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #456404=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #456405=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #456406=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #456407=DIRECTION('',(7.37751108078545E-31,-2.91792413476968E-16,-1.)); #456408=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #456409=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #456410=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #456411=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #456412=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #456413=DIRECTION('center_axis',(1.,0.,0.)); #456414=DIRECTION('ref_axis',(0.,0.,1.)); #456415=DIRECTION('',(0.,2.91792413476968E-16,1.)); #456416=DIRECTION('center_axis',(1.,0.,0.)); #456417=DIRECTION('ref_axis',(0.,0.,1.)); #456418=DIRECTION('center_axis',(-1.,1.0842021724855E-16,0.)); #456419=DIRECTION('ref_axis',(1.0842021724855E-16,1.,0.)); #456420=DIRECTION('',(1.0842021724855E-16,1.,0.)); #456421=DIRECTION('center_axis',(1.,0.,0.)); #456422=DIRECTION('ref_axis',(0.,0.,1.)); #456423=DIRECTION('',(0.,2.91792413476968E-16,1.)); #456424=DIRECTION('center_axis',(1.,0.,0.)); #456425=DIRECTION('ref_axis',(0.,0.,1.)); #456426=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #456427=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #456428=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #456429=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #456430=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #456431=DIRECTION('',(7.37751108078545E-31,-2.91792413476968E-16,-1.)); #456432=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #456433=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #456434=DIRECTION('',(1.0842021724855E-16,1.,0.)); #456435=DIRECTION('',(0.,0.,1.)); #456436=DIRECTION('center_axis',(-0.705341229421806,0.708867935568915,0.)); #456437=DIRECTION('ref_axis',(0.708867935568915,0.705341229421806,0.)); #456438=DIRECTION('',(0.708867935568915,0.705341229421806,0.)); #456439=DIRECTION('',(0.708867935568915,0.705341229421806,0.)); #456440=DIRECTION('',(0.,0.,1.)); #456441=DIRECTION('center_axis',(-1.,-1.08149842641946E-16,0.)); #456442=DIRECTION('ref_axis',(-1.08149842641946E-16,1.,0.)); #456443=DIRECTION('',(0.,0.,1.)); #456444=DIRECTION('',(7.64734871163779E-17,-0.707106781186549,0.707106781186546)); #456445=DIRECTION('',(-1.08149842641946E-16,1.,0.)); #456446=DIRECTION('',(-1.08149842641946E-16,1.,0.)); #456447=DIRECTION('',(7.64734871163779E-17,-0.707106781186549,-0.707106781186546)); #456448=DIRECTION('center_axis',(0.,-1.,0.)); #456449=DIRECTION('ref_axis',(0.,0.,1.)); #456450=DIRECTION('',(0.,0.,1.)); #456451=DIRECTION('',(-1.,0.,0.)); #456452=DIRECTION('',(1.,0.,0.)); #456453=DIRECTION('center_axis',(1.,-1.08149842641946E-16,0.)); #456454=DIRECTION('ref_axis',(-1.08149842641946E-16,-1.,0.)); #456455=DIRECTION('',(-1.08149842641946E-16,-1.,0.)); #456456=DIRECTION('',(-1.08149842641946E-16,-1.,0.)); #456457=DIRECTION('',(7.64734871163779E-17,0.707106781186549,-0.707106781186546)); #456458=DIRECTION('',(7.64734871163779E-17,0.707106781186549,0.707106781186546)); #456459=DIRECTION('center_axis',(0.,0.,-1.)); #456460=DIRECTION('ref_axis',(-1.,0.,0.)); #456461=DIRECTION('',(1.,1.58112816820803E-15,0.)); #456462=DIRECTION('',(-1.,0.,0.)); #456463=DIRECTION('center_axis',(0.,0.,-1.)); #456464=DIRECTION('ref_axis',(-1.,0.,0.)); #456465=DIRECTION('',(1.,1.58112816820803E-15,0.)); #456466=DIRECTION('',(1.,0.,0.)); #456467=DIRECTION('center_axis',(0.,-1.,2.25875452601147E-16)); #456468=DIRECTION('ref_axis',(-1.,0.,0.)); #456469=DIRECTION('',(-1.,0.,0.)); #456470=DIRECTION('',(1.,0.,0.)); #456471=DIRECTION('center_axis',(-1.,0.,0.)); #456472=DIRECTION('ref_axis',(0.,0.,1.)); #456473=DIRECTION('',(-1.,0.,0.)); #456474=DIRECTION('center_axis',(0.,-1.,2.91792413476968E-16)); #456475=DIRECTION('ref_axis',(0.,2.91792413476968E-16,1.)); #456476=DIRECTION('',(-1.,0.,0.)); #456477=DIRECTION('center_axis',(-1.,0.,0.)); #456478=DIRECTION('ref_axis',(0.,0.,1.)); #456479=DIRECTION('',(-1.,0.,0.)); #456480=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #456481=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #456482=DIRECTION('center_axis',(-1.,0.,0.)); #456483=DIRECTION('ref_axis',(0.,0.,1.)); #456484=DIRECTION('',(1.,0.,0.)); #456485=DIRECTION('center_axis',(0.,-1.,2.91792413476968E-16)); #456486=DIRECTION('ref_axis',(0.,2.91792413476968E-16,1.)); #456487=DIRECTION('',(-1.,0.,0.)); #456488=DIRECTION('center_axis',(-1.,0.,0.)); #456489=DIRECTION('ref_axis',(0.,0.,1.)); #456490=DIRECTION('',(1.,0.,0.)); #456491=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #456492=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #456493=DIRECTION('center_axis',(0.,0.707106781186546,-0.707106781186549)); #456494=DIRECTION('ref_axis',(1.,0.,0.)); #456495=DIRECTION('center_axis',(0.,-0.707106781186546,-0.707106781186549)); #456496=DIRECTION('ref_axis',(-1.,0.,0.)); #456497=DIRECTION('axis',(0.,0.,1.)); #456498=DIRECTION('refdir',(1.,0.,0.)); #456499=DIRECTION('center_axis',(0.896212431824827,-0.44362515375329,0.)); #456500=DIRECTION('ref_axis',(-0.44362515375329,-0.896212431824827,0.)); #456501=DIRECTION('',(-0.44362515375329,-0.896212431824827,0.)); #456502=DIRECTION('',(0.,0.,1.)); #456503=DIRECTION('',(-0.44362515375329,-0.896212431824827,0.)); #456504=DIRECTION('',(0.,0.,1.)); #456505=DIRECTION('center_axis',(1.,3.27971157176865E-15,0.)); #456506=DIRECTION('ref_axis',(3.27971157176865E-15,-1.,0.)); #456507=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #456508=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #456509=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #456510=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #456511=DIRECTION('',(7.37751108078545E-31,-2.91792413476968E-16,-1.)); #456512=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #456513=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #456514=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #456515=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #456516=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #456517=DIRECTION('center_axis',(1.,0.,0.)); #456518=DIRECTION('ref_axis',(0.,0.,1.)); #456519=DIRECTION('',(0.,2.91792413476968E-16,1.)); #456520=DIRECTION('center_axis',(1.,0.,0.)); #456521=DIRECTION('ref_axis',(0.,0.,1.)); #456522=DIRECTION('center_axis',(-1.,1.0842021724855E-16,0.)); #456523=DIRECTION('ref_axis',(1.0842021724855E-16,1.,0.)); #456524=DIRECTION('',(1.0842021724855E-16,1.,0.)); #456525=DIRECTION('center_axis',(1.,0.,0.)); #456526=DIRECTION('ref_axis',(0.,0.,1.)); #456527=DIRECTION('',(0.,2.91792413476968E-16,1.)); #456528=DIRECTION('center_axis',(1.,0.,0.)); #456529=DIRECTION('ref_axis',(0.,0.,1.)); #456530=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #456531=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #456532=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #456533=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #456534=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #456535=DIRECTION('',(7.37751108078545E-31,-2.91792413476968E-16,-1.)); #456536=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #456537=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #456538=DIRECTION('',(1.0842021724855E-16,1.,0.)); #456539=DIRECTION('',(0.,0.,1.)); #456540=DIRECTION('center_axis',(-0.892634794614373,0.450780571280259,0.)); #456541=DIRECTION('ref_axis',(0.450780571280259,0.892634794614373,0.)); #456542=DIRECTION('',(0.450780571280259,0.892634794614373,0.)); #456543=DIRECTION('',(0.450780571280259,0.892634794614373,0.)); #456544=DIRECTION('',(0.,0.,1.)); #456545=DIRECTION('center_axis',(-1.,-1.35187303302432E-16,0.)); #456546=DIRECTION('ref_axis',(-1.35187303302432E-16,1.,0.)); #456547=DIRECTION('',(0.,0.,1.)); #456548=DIRECTION('',(9.55918588954724E-17,-0.707106781186549,0.707106781186546)); #456549=DIRECTION('',(-1.35187303302432E-16,1.,0.)); #456550=DIRECTION('',(-1.35187303302432E-16,1.,0.)); #456551=DIRECTION('',(9.55918588954724E-17,-0.707106781186549,-0.707106781186546)); #456552=DIRECTION('center_axis',(0.,-1.,0.)); #456553=DIRECTION('ref_axis',(0.,0.,1.)); #456554=DIRECTION('',(0.,0.,1.)); #456555=DIRECTION('',(-1.,0.,0.)); #456556=DIRECTION('',(1.,0.,0.)); #456557=DIRECTION('center_axis',(1.,-1.35187303302432E-16,0.)); #456558=DIRECTION('ref_axis',(-1.35187303302432E-16,-1.,0.)); #456559=DIRECTION('',(-1.35187303302432E-16,-1.,0.)); #456560=DIRECTION('',(-1.35187303302432E-16,-1.,0.)); #456561=DIRECTION('',(9.55918588954724E-17,0.707106781186549,-0.707106781186546)); #456562=DIRECTION('',(9.55918588954724E-17,0.707106781186549,0.707106781186546)); #456563=DIRECTION('center_axis',(0.,0.,-1.)); #456564=DIRECTION('ref_axis',(-1.,0.,0.)); #456565=DIRECTION('',(1.,1.58112816820803E-15,0.)); #456566=DIRECTION('',(-1.,0.,0.)); #456567=DIRECTION('center_axis',(0.,0.,-1.)); #456568=DIRECTION('ref_axis',(-1.,0.,0.)); #456569=DIRECTION('',(1.,1.58112816820803E-15,0.)); #456570=DIRECTION('',(1.,0.,0.)); #456571=DIRECTION('center_axis',(0.,-1.,2.25875452601147E-16)); #456572=DIRECTION('ref_axis',(-1.,0.,0.)); #456573=DIRECTION('',(-1.,0.,0.)); #456574=DIRECTION('',(1.,0.,0.)); #456575=DIRECTION('center_axis',(-1.,0.,0.)); #456576=DIRECTION('ref_axis',(0.,0.,1.)); #456577=DIRECTION('',(-1.,0.,0.)); #456578=DIRECTION('center_axis',(0.,-1.,2.91792413476968E-16)); #456579=DIRECTION('ref_axis',(0.,2.91792413476968E-16,1.)); #456580=DIRECTION('',(-1.,0.,0.)); #456581=DIRECTION('center_axis',(-1.,0.,0.)); #456582=DIRECTION('ref_axis',(0.,0.,1.)); #456583=DIRECTION('',(-1.,0.,0.)); #456584=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #456585=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #456586=DIRECTION('center_axis',(-1.,0.,0.)); #456587=DIRECTION('ref_axis',(0.,0.,1.)); #456588=DIRECTION('',(1.,0.,0.)); #456589=DIRECTION('center_axis',(0.,-1.,2.91792413476968E-16)); #456590=DIRECTION('ref_axis',(0.,2.91792413476968E-16,1.)); #456591=DIRECTION('',(-1.,0.,0.)); #456592=DIRECTION('center_axis',(-1.,0.,0.)); #456593=DIRECTION('ref_axis',(0.,0.,1.)); #456594=DIRECTION('',(1.,0.,0.)); #456595=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #456596=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #456597=DIRECTION('center_axis',(0.,0.707106781186546,-0.707106781186549)); #456598=DIRECTION('ref_axis',(1.,0.,0.)); #456599=DIRECTION('center_axis',(0.,-0.707106781186546,-0.707106781186549)); #456600=DIRECTION('ref_axis',(-1.,0.,0.)); #456601=DIRECTION('axis',(0.,0.,1.)); #456602=DIRECTION('refdir',(1.,0.,0.)); #456603=DIRECTION('center_axis',(0.997558967141627,0.,0.0698291276999173)); #456604=DIRECTION('ref_axis',(0.0698291276999173,0.,-0.997558967141627)); #456605=DIRECTION('',(0.0698291276999173,0.,-0.997558967141627)); #456606=DIRECTION('',(0.,1.,0.)); #456607=DIRECTION('',(0.0698291276999173,0.,-0.997558967141627)); #456608=DIRECTION('',(0.,1.,0.)); #456609=DIRECTION('center_axis',(0.,0.,-1.)); #456610=DIRECTION('ref_axis',(-1.,0.,0.)); #456611=DIRECTION('',(-1.,0.,0.)); #456612=DIRECTION('',(0.,1.,0.)); #456613=DIRECTION('',(-1.,0.,0.)); #456614=DIRECTION('center_axis',(-0.997558967141627,0.,0.069829127699917)); #456615=DIRECTION('ref_axis',(0.069829127699917,0.,0.997558967141627)); #456616=DIRECTION('',(0.069829127699917,0.,0.997558967141627)); #456617=DIRECTION('',(0.,1.,0.)); #456618=DIRECTION('',(0.069829127699917,0.,0.997558967141627)); #456619=DIRECTION('center_axis',(-5.42101086242751E-15,0.,-1.)); #456620=DIRECTION('ref_axis',(-1.,0.,5.42101086242751E-15)); #456621=DIRECTION('',(-1.,0.,5.42101086242751E-15)); #456622=DIRECTION('',(0.,1.,0.)); #456623=DIRECTION('',(-1.,0.,5.42101086242751E-15)); #456624=DIRECTION('center_axis',(0.,1.,0.)); #456625=DIRECTION('ref_axis',(0.,0.,1.)); #456626=DIRECTION('center_axis',(0.,-1.,0.)); #456627=DIRECTION('ref_axis',(-1.,0.,0.)); #456628=DIRECTION('',(0.,1.,0.)); #456629=DIRECTION('center_axis',(0.,-1.,0.)); #456630=DIRECTION('ref_axis',(-1.,0.,0.)); #456631=DIRECTION('center_axis',(0.956304755963036,0.,-0.292371704722736)); #456632=DIRECTION('ref_axis',(-0.292371704722736,0.,-0.956304755963036)); #456633=DIRECTION('',(-0.292371704722736,0.,-0.956304755963036)); #456634=DIRECTION('',(0.,1.,0.)); #456635=DIRECTION('',(-0.292371704722736,0.,-0.956304755963036)); #456636=DIRECTION('center_axis',(1.,0.,1.2513388369406E-15)); #456637=DIRECTION('ref_axis',(1.2513388369406E-15,0.,-1.)); #456638=DIRECTION('',(1.2513388369406E-15,0.,-1.)); #456639=DIRECTION('',(0.,1.,0.)); #456640=DIRECTION('',(1.2513388369406E-15,0.,-1.)); #456641=DIRECTION('center_axis',(1.44560289664736E-14,0.,1.)); #456642=DIRECTION('ref_axis',(1.,0.,-1.44560289664736E-14)); #456643=DIRECTION('',(1.,0.,-1.44560289664736E-14)); #456644=DIRECTION('',(0.,1.,0.)); #456645=DIRECTION('',(1.,0.,-1.44560289664736E-14)); #456646=DIRECTION('center_axis',(0.,1.,0.)); #456647=DIRECTION('ref_axis',(0.,0.,1.)); #456648=DIRECTION('center_axis',(0.,1.,0.)); #456649=DIRECTION('ref_axis',(-1.,0.,0.)); #456650=DIRECTION('',(0.,1.,0.)); #456651=DIRECTION('center_axis',(0.,1.,0.)); #456652=DIRECTION('ref_axis',(-1.,0.,0.)); #456653=DIRECTION('center_axis',(1.,0.,-2.68209620251688E-16)); #456654=DIRECTION('ref_axis',(-2.68209620251688E-16,0.,-1.)); #456655=DIRECTION('',(-2.68209620251688E-16,0.,-1.)); #456656=DIRECTION('',(0.,1.,0.)); #456657=DIRECTION('',(-2.68209620251688E-16,0.,-1.)); #456658=DIRECTION('center_axis',(0.,1.,0.)); #456659=DIRECTION('ref_axis',(0.,0.,1.)); #456660=DIRECTION('center_axis',(0.,1.,0.)); #456661=DIRECTION('ref_axis',(-1.,0.,0.)); #456662=DIRECTION('',(0.,1.,0.)); #456663=DIRECTION('center_axis',(0.,1.,0.)); #456664=DIRECTION('ref_axis',(-1.,0.,0.)); #456665=DIRECTION('center_axis',(0.,1.,0.)); #456666=DIRECTION('ref_axis',(0.,0.,1.)); #456667=DIRECTION('center_axis',(0.,-1.,0.)); #456668=DIRECTION('ref_axis',(1.,0.,0.)); #456669=DIRECTION('',(0.,1.,0.)); #456670=DIRECTION('center_axis',(0.,-1.,0.)); #456671=DIRECTION('ref_axis',(1.,0.,0.)); #456672=DIRECTION('center_axis',(1.,0.,0.)); #456673=DIRECTION('ref_axis',(0.,0.,-1.)); #456674=DIRECTION('',(0.,0.,-1.)); #456675=DIRECTION('',(0.,1.,0.)); #456676=DIRECTION('',(0.,0.,-1.)); #456677=DIRECTION('center_axis',(0.,1.,0.)); #456678=DIRECTION('ref_axis',(0.,0.,1.)); #456679=DIRECTION('center_axis',(0.,1.,0.)); #456680=DIRECTION('ref_axis',(-1.,0.,0.)); #456681=DIRECTION('',(0.,1.,0.)); #456682=DIRECTION('center_axis',(0.,1.,0.)); #456683=DIRECTION('ref_axis',(-1.,0.,0.)); #456684=DIRECTION('center_axis',(1.,0.,1.58689772421089E-14)); #456685=DIRECTION('ref_axis',(1.58689772421089E-14,0.,-1.)); #456686=DIRECTION('',(1.58689772421089E-14,0.,-1.)); #456687=DIRECTION('',(0.,1.,0.)); #456688=DIRECTION('',(1.58689772421089E-14,0.,-1.)); #456689=DIRECTION('center_axis',(0.,1.,0.)); #456690=DIRECTION('ref_axis',(0.,0.,1.)); #456691=DIRECTION('center_axis',(0.,1.,0.)); #456692=DIRECTION('ref_axis',(-1.,0.,0.)); #456693=DIRECTION('',(0.,1.,0.)); #456694=DIRECTION('center_axis',(0.,1.,0.)); #456695=DIRECTION('ref_axis',(-1.,0.,0.)); #456696=DIRECTION('center_axis',(1.,0.,-8.35954740612944E-15)); #456697=DIRECTION('ref_axis',(-8.35954740612944E-15,0.,-1.)); #456698=DIRECTION('',(-8.35954740612944E-15,0.,-1.)); #456699=DIRECTION('',(0.,1.,0.)); #456700=DIRECTION('',(-8.35954740612944E-15,0.,-1.)); #456701=DIRECTION('center_axis',(0.,0.,-1.)); #456702=DIRECTION('ref_axis',(-1.,0.,0.)); #456703=DIRECTION('',(-1.,0.,0.)); #456704=DIRECTION('',(0.,1.,0.)); #456705=DIRECTION('',(-1.,0.,0.)); #456706=DIRECTION('center_axis',(1.,0.,0.)); #456707=DIRECTION('ref_axis',(0.,0.,-1.)); #456708=DIRECTION('',(0.,0.,-1.)); #456709=DIRECTION('',(0.,1.,0.)); #456710=DIRECTION('',(0.,0.,-1.)); #456711=DIRECTION('center_axis',(0.,0.,1.)); #456712=DIRECTION('ref_axis',(1.,0.,0.)); #456713=DIRECTION('',(1.,0.,0.)); #456714=DIRECTION('',(0.,1.,0.)); #456715=DIRECTION('',(1.,0.,0.)); #456716=DIRECTION('center_axis',(-1.,0.,0.)); #456717=DIRECTION('ref_axis',(0.,0.,1.)); #456718=DIRECTION('',(0.,0.,1.)); #456719=DIRECTION('',(0.,1.,0.)); #456720=DIRECTION('',(0.,0.,1.)); #456721=DIRECTION('center_axis',(0.,0.,-1.)); #456722=DIRECTION('ref_axis',(-1.,0.,0.)); #456723=DIRECTION('',(-1.,0.,0.)); #456724=DIRECTION('',(0.,1.,0.)); #456725=DIRECTION('',(-1.,0.,0.)); #456726=DIRECTION('center_axis',(-1.,0.,-8.35954740612944E-15)); #456727=DIRECTION('ref_axis',(-8.35954740612944E-15,0.,1.)); #456728=DIRECTION('',(-8.35954740612944E-15,0.,1.)); #456729=DIRECTION('',(0.,1.,0.)); #456730=DIRECTION('',(-8.35954740612944E-15,0.,1.)); #456731=DIRECTION('center_axis',(0.,1.,0.)); #456732=DIRECTION('ref_axis',(0.,0.,1.)); #456733=DIRECTION('center_axis',(0.,1.,0.)); #456734=DIRECTION('ref_axis',(1.,0.,0.)); #456735=DIRECTION('',(0.,1.,0.)); #456736=DIRECTION('center_axis',(0.,1.,0.)); #456737=DIRECTION('ref_axis',(1.,0.,0.)); #456738=DIRECTION('center_axis',(-1.,0.,1.58689772421089E-14)); #456739=DIRECTION('ref_axis',(1.58689772421089E-14,0.,1.)); #456740=DIRECTION('',(1.58689772421089E-14,0.,1.)); #456741=DIRECTION('',(0.,1.,0.)); #456742=DIRECTION('',(1.58689772421089E-14,0.,1.)); #456743=DIRECTION('center_axis',(0.,1.,0.)); #456744=DIRECTION('ref_axis',(0.,0.,1.)); #456745=DIRECTION('center_axis',(0.,1.,0.)); #456746=DIRECTION('ref_axis',(1.,0.,0.)); #456747=DIRECTION('',(0.,1.,0.)); #456748=DIRECTION('center_axis',(0.,1.,0.)); #456749=DIRECTION('ref_axis',(1.,0.,0.)); #456750=DIRECTION('center_axis',(-1.,0.,0.)); #456751=DIRECTION('ref_axis',(0.,0.,1.)); #456752=DIRECTION('',(0.,0.,1.)); #456753=DIRECTION('',(0.,1.,0.)); #456754=DIRECTION('',(0.,0.,1.)); #456755=DIRECTION('center_axis',(0.,1.,0.)); #456756=DIRECTION('ref_axis',(0.,0.,1.)); #456757=DIRECTION('center_axis',(0.,-1.,0.)); #456758=DIRECTION('ref_axis',(-1.,0.,0.)); #456759=DIRECTION('',(0.,1.,0.)); #456760=DIRECTION('center_axis',(0.,-1.,0.)); #456761=DIRECTION('ref_axis',(-1.,0.,0.)); #456762=DIRECTION('center_axis',(0.,1.,0.)); #456763=DIRECTION('ref_axis',(0.,0.,1.)); #456764=DIRECTION('center_axis',(0.,1.,0.)); #456765=DIRECTION('ref_axis',(1.,0.,0.)); #456766=DIRECTION('',(0.,1.,0.)); #456767=DIRECTION('center_axis',(0.,1.,0.)); #456768=DIRECTION('ref_axis',(1.,0.,0.)); #456769=DIRECTION('center_axis',(-1.,0.,-2.68209620251688E-16)); #456770=DIRECTION('ref_axis',(-2.68209620251688E-16,0.,1.)); #456771=DIRECTION('',(-2.68209620251688E-16,0.,1.)); #456772=DIRECTION('',(0.,1.,0.)); #456773=DIRECTION('',(-2.68209620251688E-16,0.,1.)); #456774=DIRECTION('center_axis',(0.,1.,0.)); #456775=DIRECTION('ref_axis',(0.,0.,1.)); #456776=DIRECTION('center_axis',(0.,1.,0.)); #456777=DIRECTION('ref_axis',(1.,0.,0.)); #456778=DIRECTION('',(0.,1.,0.)); #456779=DIRECTION('center_axis',(0.,1.,0.)); #456780=DIRECTION('ref_axis',(1.,0.,0.)); #456781=DIRECTION('center_axis',(-1.44560289664736E-14,0.,1.)); #456782=DIRECTION('ref_axis',(1.,0.,1.44560289664736E-14)); #456783=DIRECTION('',(1.,0.,1.44560289664736E-14)); #456784=DIRECTION('',(0.,1.,0.)); #456785=DIRECTION('',(1.,0.,1.44560289664736E-14)); #456786=DIRECTION('center_axis',(-1.,0.,1.2513388369406E-15)); #456787=DIRECTION('ref_axis',(1.2513388369406E-15,0.,1.)); #456788=DIRECTION('',(1.2513388369406E-15,0.,1.)); #456789=DIRECTION('',(0.,1.,0.)); #456790=DIRECTION('',(1.2513388369406E-15,0.,1.)); #456791=DIRECTION('center_axis',(-0.956304755963036,0.,-0.292371704722736)); #456792=DIRECTION('ref_axis',(-0.292371704722736,0.,0.956304755963036)); #456793=DIRECTION('',(-0.292371704722736,0.,0.956304755963036)); #456794=DIRECTION('',(0.,1.,0.)); #456795=DIRECTION('',(-0.292371704722736,0.,0.956304755963036)); #456796=DIRECTION('center_axis',(0.,1.,0.)); #456797=DIRECTION('ref_axis',(0.,0.,1.)); #456798=DIRECTION('center_axis',(0.,-1.,0.)); #456799=DIRECTION('ref_axis',(-1.,0.,0.)); #456800=DIRECTION('',(0.,1.,0.)); #456801=DIRECTION('center_axis',(0.,-1.,0.)); #456802=DIRECTION('ref_axis',(-1.,0.,0.)); #456803=DIRECTION('center_axis',(5.42101086242751E-15,0.,-1.)); #456804=DIRECTION('ref_axis',(-1.,0.,-5.42101086242751E-15)); #456805=DIRECTION('',(-1.,0.,-5.42101086242751E-15)); #456806=DIRECTION('',(-1.,0.,-5.42101086242751E-15)); #456807=DIRECTION('center_axis',(0.,-1.,0.)); #456808=DIRECTION('ref_axis',(0.,0.,-1.)); #456809=DIRECTION('center_axis',(0.,-1.,0.)); #456810=DIRECTION('ref_axis',(0.,0.,-1.)); #456811=DIRECTION('axis',(0.,0.,1.)); #456812=DIRECTION('refdir',(1.,0.,0.)); #456813=DIRECTION('center_axis',(-1.,0.,-1.61821219773956E-16)); #456814=DIRECTION('ref_axis',(0.,-1.,0.)); #456815=DIRECTION('',(0.,-1.,0.)); #456816=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #456817=DIRECTION('',(0.,1.,0.)); #456818=DIRECTION('',(-1.61821219773956E-16,0.,1.)); #456819=DIRECTION('center_axis',(-1.,0.,-1.61821219773956E-16)); #456820=DIRECTION('ref_axis',(0.,-1.,0.)); #456821=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #456822=DIRECTION('',(0.,-1.,0.)); #456823=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #456824=DIRECTION('',(0.,1.,0.)); #456825=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #456826=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #456827=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #456828=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #456829=DIRECTION('',(-0.901531566476721,-0.432713340037062,1.05955824708761E-16)); #456830=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #456831=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #456832=DIRECTION('',(-4.52510150421121E-16,1.,5.31829255456175E-32)); #456833=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #456834=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #456835=DIRECTION('',(0.901531566476721,-0.432713340037061,-1.05955824708761E-16)); #456836=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #456837=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #456838=DIRECTION('',(0.,-1.,0.)); #456839=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #456840=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #456841=DIRECTION('',(0.901531566476721,0.432713340037062,-1.05955824708761E-16)); #456842=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #456843=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #456844=DIRECTION('',(-9.11094262592861E-17,-1.,1.07079715863659E-32)); #456845=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #456846=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #456847=DIRECTION('',(-0.901531566476721,0.432713340037062,1.05955824708761E-16)); #456848=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #456849=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #456850=DIRECTION('',(0.,-1.,0.)); #456851=DIRECTION('',(1.,0.,-1.17528690784337E-16)); #456852=DIRECTION('',(0.,-1.,0.)); #456853=DIRECTION('',(1.,-2.15761626365275E-16,-1.17528690784337E-16)); #456854=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #456855=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #456856=DIRECTION('',(-1.58243987929475E-16,-1.,1.85982087258435E-32)); #456857=DIRECTION('',(1.,0.,-1.17528690784337E-16)); #456858=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #456859=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #456860=DIRECTION('',(-1.97127667724637E-16,-1.,2.31681567050463E-32)); #456861=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #456862=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #456863=DIRECTION('',(-1.,0.,1.17528690784337E-16)); #456864=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #456865=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #456866=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #456867=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #456868=DIRECTION('',(0.,-1.,0.)); #456869=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #456870=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #456871=DIRECTION('',(1.,-5.70632722360792E-16,-1.17528690784337E-16)); #456872=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #456873=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #456874=DIRECTION('',(0.706312443883352,-0.707900227161658,-8.30119768142955E-17)); #456875=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #456876=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #456877=DIRECTION('',(1.,-6.78686805937718E-16,-1.17528690784337E-16)); #456878=DIRECTION('',(-1.81867846984379E-16,-1.,2.13746899518401E-32)); #456879=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #456880=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #456881=DIRECTION('',(1.,0.,-1.17528690784337E-16)); #456882=DIRECTION('',(0.,-1.,0.)); #456883=DIRECTION('',(-1.,0.,0.)); #456884=DIRECTION('',(0.,1.,0.)); #456885=DIRECTION('',(0.,-1.,0.)); #456886=DIRECTION('',(0.,1.,0.)); #456887=DIRECTION('',(1.,8.470329472543E-17,0.)); #456888=DIRECTION('',(0.,-1.,0.)); #456889=DIRECTION('',(0.,-1.,0.)); #456890=DIRECTION('',(0.,-1.,0.)); #456891=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #456892=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #456893=DIRECTION('',(0.901531566476721,0.432713340037062,-1.05955824708761E-16)); #456894=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #456895=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #456896=DIRECTION('',(0.,1.,0.)); #456897=DIRECTION('',(-1.,0.,1.17528690784337E-16)); #456898=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #456899=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #456900=DIRECTION('',(-1.81867846984379E-16,-1.,2.13746899518401E-32)); #456901=DIRECTION('',(-1.,6.78686805937718E-16,1.17528690784337E-16)); #456902=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #456903=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #456904=DIRECTION('',(-0.706312443883352,0.707900227161658,8.30119768142955E-17)); #456905=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #456906=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #456907=DIRECTION('',(-1.,5.70632722360792E-16,1.17528690784337E-16)); #456908=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #456909=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #456910=DIRECTION('',(0.,-1.,0.)); #456911=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #456912=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #456913=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #456914=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #456915=DIRECTION('',(1.,0.,-1.17528690784337E-16)); #456916=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #456917=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #456918=DIRECTION('',(1.97127667724637E-16,1.,-2.31681567050463E-32)); #456919=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #456920=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #456921=DIRECTION('',(-1.,0.,1.17528690784337E-16)); #456922=DIRECTION('',(1.58243987929475E-16,1.,-1.85982087258435E-32)); #456923=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #456924=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #456925=DIRECTION('',(-1.,2.15761626365275E-16,1.17528690784337E-16)); #456926=DIRECTION('',(0.,-1.,0.)); #456927=DIRECTION('',(1.,0.,-1.17528690784337E-16)); #456928=DIRECTION('',(0.,1.,0.)); #456929=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #456930=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #456931=DIRECTION('',(0.901531566476721,-0.432713340037062,-1.05955824708761E-16)); #456932=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #456933=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #456934=DIRECTION('',(9.11094262592861E-17,1.,-1.07079715863659E-32)); #456935=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #456936=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #456937=DIRECTION('',(-0.901531566476721,-0.432713340037062,1.05955824708761E-16)); #456938=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #456939=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #456940=DIRECTION('',(0.,1.,0.)); #456941=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #456942=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #456943=DIRECTION('',(-0.901531566476721,0.432713340037061,1.05955824708761E-16)); #456944=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #456945=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #456946=DIRECTION('',(4.52510150421121E-16,-1.,-5.31829255456175E-32)); #456947=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #456948=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #456949=DIRECTION('',(0.,1.,0.)); #456950=DIRECTION('',(-1.,0.,0.)); #456951=DIRECTION('',(0.,-1.,0.)); #456952=DIRECTION('',(0.,-1.,0.)); #456953=DIRECTION('',(0.,-1.,0.)); #456954=DIRECTION('',(1.,8.470329472543E-17,0.)); #456955=DIRECTION('',(0.,1.,0.)); #456956=DIRECTION('',(0.,-1.,0.)); #456957=DIRECTION('center_axis',(-1.,0.,1.17528690784337E-16)); #456958=DIRECTION('ref_axis',(0.,-1.,0.)); #456959=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #456960=DIRECTION('',(1.17528690784337E-16,0.,1.)); #456961=DIRECTION('center_axis',(-1.,0.,1.17528690784337E-16)); #456962=DIRECTION('ref_axis',(0.,-1.,0.)); #456963=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #456964=DIRECTION('',(1.17528690784337E-16,0.,1.)); #456965=DIRECTION('',(8.31053342375812E-17,0.707106781186548,0.707106781186547)); #456966=DIRECTION('center_axis',(0.,0.,1.)); #456967=DIRECTION('ref_axis',(1.,0.,0.)); #456968=DIRECTION('center_axis',(0.,0.,-1.)); #456969=DIRECTION('ref_axis',(1.,0.,0.)); #456970=DIRECTION('',(0.,1.92747052886312E-15,1.)); #456971=DIRECTION('',(0.,1.92747052886312E-15,1.)); #456972=DIRECTION('center_axis',(0.,0.,-1.)); #456973=DIRECTION('ref_axis',(1.,0.,0.)); #456974=DIRECTION('',(0.,0.,1.)); #456975=DIRECTION('center_axis',(0.,0.,1.)); #456976=DIRECTION('ref_axis',(1.,0.,0.)); #456977=DIRECTION('center_axis',(0.,0.,-1.)); #456978=DIRECTION('ref_axis',(1.,0.,0.)); #456979=DIRECTION('',(0.,0.,1.)); #456980=DIRECTION('',(0.,0.,1.)); #456981=DIRECTION('center_axis',(0.,0.,-1.)); #456982=DIRECTION('ref_axis',(1.,0.,0.)); #456983=DIRECTION('',(0.,0.,1.)); #456984=DIRECTION('center_axis',(0.,0.,-1.)); #456985=DIRECTION('ref_axis',(-1.,0.,0.)); #456986=DIRECTION('center_axis',(0.,0.,-1.)); #456987=DIRECTION('ref_axis',(-1.,0.,0.)); #456988=DIRECTION('',(1.,8.31258950216367E-17,0.)); #456989=DIRECTION('',(1.,0.,0.)); #456990=DIRECTION('center_axis',(0.,0.,-1.)); #456991=DIRECTION('ref_axis',(1.,0.,0.)); #456992=DIRECTION('',(1.,8.31258950216367E-17,0.)); #456993=DIRECTION('',(1.,0.,0.)); #456994=DIRECTION('',(0.,-1.,0.)); #456995=DIRECTION('',(-1.,0.,0.)); #456996=DIRECTION('',(0.,-1.,0.)); #456997=DIRECTION('',(1.,0.,0.)); #456998=DIRECTION('',(0.,-1.,0.)); #456999=DIRECTION('',(0.,-1.,0.)); #457000=DIRECTION('',(0.,-1.,0.)); #457001=DIRECTION('',(1.,0.,0.)); #457002=DIRECTION('',(0.,1.,0.)); #457003=DIRECTION('',(0.,-1.,0.)); #457004=DIRECTION('',(0.,1.,0.)); #457005=DIRECTION('',(-1.,-8.470329472543E-17,0.)); #457006=DIRECTION('',(1.,0.,0.)); #457007=DIRECTION('',(0.,1.,0.)); #457008=DIRECTION('',(0.,-1.,0.)); #457009=DIRECTION('',(0.,1.,0.)); #457010=DIRECTION('',(-1.,8.470329472543E-17,0.)); #457011=DIRECTION('',(0.,-1.,0.)); #457012=DIRECTION('',(0.,-1.,0.)); #457013=DIRECTION('',(0.,-1.,0.)); #457014=DIRECTION('center_axis',(1.,0.,0.)); #457015=DIRECTION('ref_axis',(0.,0.,-1.)); #457016=DIRECTION('',(0.,0.,-1.)); #457017=DIRECTION('center_axis',(1.,0.,0.)); #457018=DIRECTION('ref_axis',(0.,0.,-1.)); #457019=DIRECTION('',(0.,-8.70845118462252E-16,-1.)); #457020=DIRECTION('center_axis',(1.,0.,0.)); #457021=DIRECTION('ref_axis',(0.,1.,0.)); #457022=DIRECTION('center_axis',(-1.,0.,0.)); #457023=DIRECTION('ref_axis',(0.,-1.,0.)); #457024=DIRECTION('',(0.,0.,-1.)); #457025=DIRECTION('center_axis',(1.,0.,0.)); #457026=DIRECTION('ref_axis',(0.,1.,0.)); #457027=DIRECTION('',(0.,0.434612234054019,-0.90061768026759)); #457028=DIRECTION('center_axis',(1.,0.,0.)); #457029=DIRECTION('ref_axis',(0.,1.,0.)); #457030=DIRECTION('',(0.,1.,0.)); #457031=DIRECTION('center_axis',(1.,0.,0.)); #457032=DIRECTION('ref_axis',(0.,1.,0.)); #457033=DIRECTION('',(0.,0.434612234054018,0.90061768026759)); #457034=DIRECTION('center_axis',(1.,0.,0.)); #457035=DIRECTION('ref_axis',(0.,-1.,0.)); #457036=DIRECTION('',(0.,9.63735264431559E-16,1.)); #457037=DIRECTION('',(0.,-0.697742347072106,0.716348809660705)); #457038=DIRECTION('',(0.,0.,1.)); #457039=DIRECTION('center_axis',(1.,0.,0.)); #457040=DIRECTION('ref_axis',(0.,1.,0.)); #457041=DIRECTION('center_axis',(-1.,0.,0.)); #457042=DIRECTION('ref_axis',(0.,1.,0.)); #457043=DIRECTION('',(0.,0.,-1.)); #457044=DIRECTION('',(0.,-1.,0.)); #457045=DIRECTION('',(0.,0.,-1.)); #457046=DIRECTION('',(0.,-1.,0.)); #457047=DIRECTION('',(0.,-2.53581814682099E-32,1.)); #457048=DIRECTION('center_axis',(1.,0.,0.)); #457049=DIRECTION('ref_axis',(0.,0.,-1.)); #457050=DIRECTION('',(0.,-1.04905870583987E-16,1.)); #457051=DIRECTION('center_axis',(-1.,0.,0.)); #457052=DIRECTION('ref_axis',(0.,1.,0.)); #457053=DIRECTION('',(0.,-0.338875305623472,0.940831295843521)); #457054=DIRECTION('center_axis',(-1.,0.,0.)); #457055=DIRECTION('ref_axis',(0.,1.,0.)); #457056=DIRECTION('',(0.,-1.,-1.87378280388019E-15)); #457057=DIRECTION('center_axis',(-1.,0.,0.)); #457058=DIRECTION('ref_axis',(0.,1.,0.)); #457059=DIRECTION('',(0.,-0.338875305623466,-0.940831295843523)); #457060=DIRECTION('center_axis',(-1.,0.,0.)); #457061=DIRECTION('ref_axis',(0.,1.,0.)); #457062=DIRECTION('',(0.,0.,-1.)); #457063=DIRECTION('center_axis',(-1.,0.,0.)); #457064=DIRECTION('ref_axis',(0.,-1.,0.)); #457065=DIRECTION('center_axis',(1.,0.,0.)); #457066=DIRECTION('ref_axis',(0.,1.,0.)); #457067=DIRECTION('center_axis',(-1.,0.,0.)); #457068=DIRECTION('ref_axis',(0.,1.,0.)); #457069=DIRECTION('',(0.,-1.,1.41725774181112E-15)); #457070=DIRECTION('center_axis',(-1.,0.,0.)); #457071=DIRECTION('ref_axis',(0.,1.,0.)); #457072=DIRECTION('',(0.,-1.80700362080917E-17,-1.)); #457073=DIRECTION('center_axis',(1.,0.,0.)); #457074=DIRECTION('ref_axis',(0.,0.,-1.)); #457075=DIRECTION('',(0.,1.0426138798441E-32,-1.)); #457076=DIRECTION('',(0.,-1.,0.)); #457077=DIRECTION('',(6.12323399573677E-17,0.,-1.)); #457078=DIRECTION('',(1.32219777132379E-16,1.,8.09612634245719E-33)); #457079=DIRECTION('',(6.12323399573677E-17,0.,-1.)); #457080=DIRECTION('',(1.32219777132379E-16,1.,8.09612634245719E-33)); #457081=DIRECTION('center_axis',(6.78686805937718E-16,-1.,-7.97651717544631E-32)); #457082=DIRECTION('ref_axis',(1.,6.78686805937718E-16,0.)); #457083=DIRECTION('',(1.,6.78686805937718E-16,-1.17528690784337E-16)); #457084=DIRECTION('center_axis',(-5.8860153673351E-32,-1.,-9.52482186350414E-48)); #457085=DIRECTION('ref_axis',(1.,-5.8860153673351E-32,0.)); #457086=DIRECTION('',(0.866025403784439,6.78686805937718E-16,0.5)); #457087=DIRECTION('',(0.5,0.,-0.866025403784439)); #457088=DIRECTION('',(-0.866025403784439,-6.78686805937718E-16,-0.5)); #457089=DIRECTION('center_axis',(5.8860153673351E-32,1.,9.52482186350414E-48)); #457090=DIRECTION('ref_axis',(-1.61821219773956E-16,1.58006247289443E-62, 1.)); #457091=DIRECTION('',(-1.,-6.78686805937718E-16,1.17528690784337E-16)); #457092=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457093=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #457094=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #457095=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #457096=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #457097=DIRECTION('',(-1.81867846984379E-16,1.,-2.13746899518401E-32)); #457098=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #457099=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #457100=DIRECTION('',(0.706312443883352,0.707900227161658,1.14296341210728E-16)); #457101=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #457102=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #457103=DIRECTION('',(1.,5.70632722360792E-16,1.61821219773956E-16)); #457104=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #457105=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #457106=DIRECTION('',(0.,-1.,0.)); #457107=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #457108=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #457109=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #457110=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #457111=DIRECTION('',(1.,0.,1.61821219773956E-16)); #457112=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #457113=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #457114=DIRECTION('',(0.,-1.,0.)); #457115=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #457116=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #457117=DIRECTION('',(-1.,0.,-1.61821219773956E-16)); #457118=DIRECTION('',(0.,-1.,0.)); #457119=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #457120=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #457121=DIRECTION('',(-1.,-2.15761626365275E-16,-1.61821219773956E-16)); #457122=DIRECTION('',(0.,-1.,0.)); #457123=DIRECTION('',(1.,0.,-1.17528690784337E-16)); #457124=DIRECTION('',(0.,-1.,0.)); #457125=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #457126=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #457127=DIRECTION('',(-0.901531566476721,0.432713340037062,-1.45886937751988E-16)); #457128=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #457129=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #457130=DIRECTION('',(0.,-1.,0.)); #457131=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #457132=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #457133=DIRECTION('',(0.901531566476721,0.432713340037061,1.45886937751988E-16)); #457134=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #457135=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #457136=DIRECTION('center_axis',(1.76772093340028E-16,0.,-1.)); #457137=DIRECTION('ref_axis',(1.,0.,1.76772093340028E-16)); #457138=DIRECTION('',(0.901531566476721,-0.432713340037061,1.59365622218205E-16)); #457139=DIRECTION('center_axis',(-1.76772093340028E-16,0.,1.)); #457140=DIRECTION('ref_axis',(-1.,0.,-1.76772093340028E-16)); #457141=DIRECTION('',(-3.64437705037144E-16,1.,-6.44224160114516E-32)); #457142=DIRECTION('center_axis',(-1.76772093340028E-16,0.,1.)); #457143=DIRECTION('ref_axis',(1.,0.,1.76772093340028E-16)); #457144=DIRECTION('',(-0.901531566476721,-0.432713340037062,-1.59365622218204E-16)); #457145=DIRECTION('center_axis',(1.76772093340028E-16,0.,-1.)); #457146=DIRECTION('ref_axis',(1.,0.,1.76772093340028E-16)); #457147=DIRECTION('',(1.,0.,1.61821219773956E-16)); #457148=DIRECTION('',(0.,-1.,0.)); #457149=DIRECTION('',(0.,-1.,0.)); #457150=DIRECTION('',(0.,-1.,0.)); #457151=DIRECTION('',(-1.,0.,0.)); #457152=DIRECTION('',(0.,1.,0.)); #457153=DIRECTION('',(0.,-1.,0.)); #457154=DIRECTION('',(0.,1.,0.)); #457155=DIRECTION('',(1.,-8.470329472543E-17,0.)); #457156=DIRECTION('center_axis',(1.,0.,0.)); #457157=DIRECTION('ref_axis',(0.,0.,-1.)); #457158=DIRECTION('',(2.11181198884419E-15,0.,-1.)); #457159=DIRECTION('',(0.,0.,-1.)); #457160=DIRECTION('',(0.,-1.,0.)); #457161=DIRECTION('center_axis',(-1.,0.,0.)); #457162=DIRECTION('ref_axis',(0.,0.,1.)); #457163=DIRECTION('',(-2.11181198884419E-15,0.,-1.)); #457164=DIRECTION('',(0.,0.,-1.)); #457165=DIRECTION('',(0.,1.,0.)); #457166=DIRECTION('center_axis',(1.,0.,0.)); #457167=DIRECTION('ref_axis',(0.,0.,-1.)); #457168=DIRECTION('',(1.58385899163314E-15,0.,1.)); #457169=DIRECTION('',(0.,0.,1.)); #457170=DIRECTION('',(0.,1.,0.)); #457171=DIRECTION('center_axis',(-1.,0.,0.)); #457172=DIRECTION('ref_axis',(0.,0.,1.)); #457173=DIRECTION('',(-2.11181198884419E-15,0.,1.)); #457174=DIRECTION('',(0.,0.,1.)); #457175=DIRECTION('',(0.,-1.,0.)); #457176=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #457177=DIRECTION('ref_axis',(0.,0.,1.)); #457178=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #457179=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #457180=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #457181=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #457182=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #457183=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #457184=DIRECTION('',(0.,0.,1.)); #457185=DIRECTION('',(-0.679586185331775,-0.679586185331775,-0.276270218106138)); #457186=DIRECTION('',(0.,0.,-1.)); #457187=DIRECTION('center_axis',(0.,1.,0.)); #457188=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #457189=DIRECTION('',(-1.,0.,0.)); #457190=DIRECTION('center_axis',(0.,-1.,0.)); #457191=DIRECTION('ref_axis',(0.,0.,-1.)); #457192=DIRECTION('',(-1.,0.,0.)); #457193=DIRECTION('',(0.,0.,1.)); #457194=DIRECTION('center_axis',(0.,1.,0.)); #457195=DIRECTION('ref_axis',(1.,0.,0.)); #457196=DIRECTION('center_axis',(-1.,0.,0.)); #457197=DIRECTION('ref_axis',(0.,0.,1.)); #457198=DIRECTION('',(0.,-1.,0.)); #457199=DIRECTION('',(0.,0.,1.)); #457200=DIRECTION('',(0.,-1.,0.)); #457201=DIRECTION('',(0.,0.,1.)); #457202=DIRECTION('center_axis',(1.,0.,0.)); #457203=DIRECTION('ref_axis',(0.,1.,0.)); #457204=DIRECTION('center_axis',(-1.,0.,0.)); #457205=DIRECTION('ref_axis',(0.,-1.,0.)); #457206=DIRECTION('',(0.,0.,-1.)); #457207=DIRECTION('',(0.,0.697742347072106,-0.716348809660705)); #457208=DIRECTION('',(0.,-9.63735264431559E-16,-1.)); #457209=DIRECTION('center_axis',(-1.,0.,0.)); #457210=DIRECTION('ref_axis',(0.,1.,0.)); #457211=DIRECTION('',(0.,-0.434612234054018,-0.90061768026759)); #457212=DIRECTION('center_axis',(-1.,0.,0.)); #457213=DIRECTION('ref_axis',(0.,1.,0.)); #457214=DIRECTION('',(0.,-1.,0.)); #457215=DIRECTION('center_axis',(-1.,0.,0.)); #457216=DIRECTION('ref_axis',(0.,1.,0.)); #457217=DIRECTION('',(0.,-0.434612234054019,0.90061768026759)); #457218=DIRECTION('center_axis',(-1.,0.,0.)); #457219=DIRECTION('ref_axis',(0.,1.,0.)); #457220=DIRECTION('',(0.,0.,1.)); #457221=DIRECTION('center_axis',(1.,0.,0.)); #457222=DIRECTION('ref_axis',(0.,1.,0.)); #457223=DIRECTION('center_axis',(-1.,0.,0.)); #457224=DIRECTION('ref_axis',(0.,1.,0.)); #457225=DIRECTION('',(0.,8.70845118462252E-16,1.)); #457226=DIRECTION('center_axis',(-1.,0.,0.)); #457227=DIRECTION('ref_axis',(0.,0.,1.)); #457228=DIRECTION('',(0.,0.,1.)); #457229=DIRECTION('',(0.,-1.,0.)); #457230=DIRECTION('',(0.,-1.0426138798441E-32,-1.)); #457231=DIRECTION('center_axis',(-1.,0.,0.)); #457232=DIRECTION('ref_axis',(0.,0.,1.)); #457233=DIRECTION('',(0.,1.80700362080917E-17,1.)); #457234=DIRECTION('center_axis',(1.,0.,0.)); #457235=DIRECTION('ref_axis',(0.,-1.,0.)); #457236=DIRECTION('',(0.,1.,-1.41725774181112E-15)); #457237=DIRECTION('center_axis',(1.,0.,0.)); #457238=DIRECTION('ref_axis',(0.,1.,0.)); #457239=DIRECTION('center_axis',(-1.,0.,0.)); #457240=DIRECTION('ref_axis',(0.,1.,0.)); #457241=DIRECTION('center_axis',(1.,0.,0.)); #457242=DIRECTION('ref_axis',(0.,1.,0.)); #457243=DIRECTION('',(0.,0.,1.)); #457244=DIRECTION('center_axis',(1.,0.,0.)); #457245=DIRECTION('ref_axis',(0.,-1.,0.)); #457246=DIRECTION('',(0.,0.338875305623466,0.940831295843523)); #457247=DIRECTION('center_axis',(1.,0.,0.)); #457248=DIRECTION('ref_axis',(0.,1.,0.)); #457249=DIRECTION('',(0.,1.,1.87378280388019E-15)); #457250=DIRECTION('center_axis',(1.,0.,0.)); #457251=DIRECTION('ref_axis',(0.,1.,0.)); #457252=DIRECTION('',(0.,0.338875305623472,-0.940831295843521)); #457253=DIRECTION('center_axis',(1.,0.,0.)); #457254=DIRECTION('ref_axis',(0.,1.,0.)); #457255=DIRECTION('',(0.,1.04905870583987E-16,-1.)); #457256=DIRECTION('center_axis',(-1.,0.,0.)); #457257=DIRECTION('ref_axis',(0.,0.,1.)); #457258=DIRECTION('',(0.,2.53581814682099E-32,1.)); #457259=DIRECTION('',(-6.12323399573677E-17,0.,-1.)); #457260=DIRECTION('',(1.32219777132379E-16,-1.,-8.09612634245719E-33)); #457261=DIRECTION('',(-6.12323399573677E-17,0.,-1.)); #457262=DIRECTION('',(1.32219777132379E-16,-1.,-8.09612634245719E-33)); #457263=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #457264=DIRECTION('ref_axis',(0.824321232027378,-0.56612234228024,-9.68813951859095E-17)); #457265=DIRECTION('',(0.,0.,-1.)); #457266=DIRECTION('',(0.,0.,-1.)); #457267=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457268=DIRECTION('center_axis',(-1.,0.,0.)); #457269=DIRECTION('ref_axis',(0.,0.,1.)); #457270=DIRECTION('',(-1.58385899163314E-15,0.,-1.)); #457271=DIRECTION('',(0.,0.,-1.)); #457272=DIRECTION('center_axis',(1.,0.,0.)); #457273=DIRECTION('ref_axis',(0.,0.,-1.)); #457274=DIRECTION('',(2.11181198884419E-15,0.,-1.)); #457275=DIRECTION('',(0.,0.,-1.)); #457276=DIRECTION('center_axis',(-1.,0.,0.)); #457277=DIRECTION('ref_axis',(0.,0.,1.)); #457278=DIRECTION('',(-2.11181198884419E-15,0.,1.)); #457279=DIRECTION('',(0.,0.,1.)); #457280=DIRECTION('',(0.,-1.,0.)); #457281=DIRECTION('center_axis',(1.,0.,0.)); #457282=DIRECTION('ref_axis',(0.,0.,-1.)); #457283=DIRECTION('',(2.11181198884419E-15,0.,1.)); #457284=DIRECTION('',(0.,0.,1.)); #457285=DIRECTION('',(0.,1.,0.)); #457286=DIRECTION('center_axis',(-1.,0.,0.)); #457287=DIRECTION('ref_axis',(0.,0.,1.)); #457288=DIRECTION('',(0.,0.,1.)); #457289=DIRECTION('',(0.,-1.,0.)); #457290=DIRECTION('',(0.,0.,1.)); #457291=DIRECTION('',(0.,1.,0.)); #457292=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457293=DIRECTION('center_axis',(-1.,0.,0.)); #457294=DIRECTION('ref_axis',(0.,0.,1.)); #457295=DIRECTION('',(0.,1.04905870583987E-16,-1.)); #457296=DIRECTION('center_axis',(1.,0.,0.)); #457297=DIRECTION('ref_axis',(0.,1.,0.)); #457298=DIRECTION('',(0.,0.338875305623472,-0.940831295843521)); #457299=DIRECTION('center_axis',(1.,0.,0.)); #457300=DIRECTION('ref_axis',(0.,1.,0.)); #457301=DIRECTION('',(0.,1.,1.87378280388019E-15)); #457302=DIRECTION('center_axis',(1.,0.,0.)); #457303=DIRECTION('ref_axis',(0.,1.,0.)); #457304=DIRECTION('',(0.,0.338875305623466,0.940831295843523)); #457305=DIRECTION('center_axis',(1.,0.,0.)); #457306=DIRECTION('ref_axis',(0.,-1.,0.)); #457307=DIRECTION('',(0.,0.,1.)); #457308=DIRECTION('center_axis',(1.,0.,0.)); #457309=DIRECTION('ref_axis',(0.,1.,0.)); #457310=DIRECTION('center_axis',(-1.,0.,0.)); #457311=DIRECTION('ref_axis',(0.,1.,0.)); #457312=DIRECTION('center_axis',(1.,0.,0.)); #457313=DIRECTION('ref_axis',(0.,1.,0.)); #457314=DIRECTION('',(0.,1.,-1.41725774181112E-15)); #457315=DIRECTION('center_axis',(1.,0.,0.)); #457316=DIRECTION('ref_axis',(0.,-1.,0.)); #457317=DIRECTION('',(0.,1.80700362080917E-17,1.)); #457318=DIRECTION('center_axis',(-1.,0.,0.)); #457319=DIRECTION('ref_axis',(0.,0.,1.)); #457320=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457321=DIRECTION('',(0.,1.,0.)); #457322=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457323=DIRECTION('center_axis',(-1.,0.,0.)); #457324=DIRECTION('ref_axis',(0.,0.,1.)); #457325=DIRECTION('',(0.,8.70845118462252E-16,1.)); #457326=DIRECTION('center_axis',(-1.,0.,0.)); #457327=DIRECTION('ref_axis',(0.,1.,0.)); #457328=DIRECTION('center_axis',(1.,0.,0.)); #457329=DIRECTION('ref_axis',(0.,1.,0.)); #457330=DIRECTION('',(0.,0.,1.)); #457331=DIRECTION('center_axis',(-1.,0.,0.)); #457332=DIRECTION('ref_axis',(0.,1.,0.)); #457333=DIRECTION('',(0.,-0.434612234054019,0.90061768026759)); #457334=DIRECTION('center_axis',(-1.,0.,0.)); #457335=DIRECTION('ref_axis',(0.,1.,0.)); #457336=DIRECTION('',(0.,-1.,0.)); #457337=DIRECTION('center_axis',(-1.,0.,0.)); #457338=DIRECTION('ref_axis',(0.,1.,0.)); #457339=DIRECTION('',(0.,-0.434612234054018,-0.90061768026759)); #457340=DIRECTION('center_axis',(-1.,0.,0.)); #457341=DIRECTION('ref_axis',(0.,1.,0.)); #457342=DIRECTION('',(0.,-9.63735264431559E-16,-1.)); #457343=DIRECTION('',(0.,-0.697742347072106,0.716348809660705)); #457344=DIRECTION('',(0.,-1.,8.70845118462252E-16)); #457345=DIRECTION('',(0.,-8.70845118462252E-16,-1.)); #457346=DIRECTION('',(0.,-1.,8.70845118462252E-16)); #457347=DIRECTION('center_axis',(-1.,0.,0.)); #457348=DIRECTION('ref_axis',(0.,0.,1.)); #457349=DIRECTION('',(0.,-8.70845118462252E-16,-1.)); #457350=DIRECTION('center_axis',(-1.,0.,0.)); #457351=DIRECTION('ref_axis',(0.,0.,1.)); #457352=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #457353=DIRECTION('ref_axis',(0.,0.,-1.)); #457354=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #457355=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #457356=DIRECTION('',(-0.679586185331775,0.679586185331775,0.276270218106137)); #457357=DIRECTION('',(0.,0.,-1.)); #457358=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #457359=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #457360=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #457361=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #457362=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #457363=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #457364=DIRECTION('',(-1.81867846984379E-16,1.,-2.13746899518401E-32)); #457365=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #457366=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #457367=DIRECTION('',(-1.,0.,1.17528690784337E-16)); #457368=DIRECTION('center_axis',(1.76772093340028E-16,0.,-1.)); #457369=DIRECTION('ref_axis',(1.,0.,1.76772093340028E-16)); #457370=DIRECTION('',(-0.901531566476721,-0.432713340037062,-1.59365622218204E-16)); #457371=DIRECTION('center_axis',(-1.76772093340028E-16,0.,1.)); #457372=DIRECTION('ref_axis',(1.,0.,1.76772093340028E-16)); #457373=DIRECTION('',(-3.64437705037144E-16,1.,-6.44224160114516E-32)); #457374=DIRECTION('center_axis',(-1.76772093340028E-16,0.,1.)); #457375=DIRECTION('ref_axis',(-1.,0.,-1.76772093340028E-16)); #457376=DIRECTION('',(0.901531566476721,-0.432713340037061,1.59365622218205E-16)); #457377=DIRECTION('center_axis',(1.76772093340028E-16,0.,-1.)); #457378=DIRECTION('ref_axis',(1.,0.,1.76772093340028E-16)); #457379=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #457380=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #457381=DIRECTION('',(0.901531566476721,0.432713340037061,1.45886937751988E-16)); #457382=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #457383=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #457384=DIRECTION('',(0.,-1.,0.)); #457385=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #457386=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #457387=DIRECTION('',(-0.901531566476721,0.432713340037062,-1.45886937751988E-16)); #457388=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #457389=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #457390=DIRECTION('',(0.,1.,0.)); #457391=DIRECTION('',(1.,0.,1.61821219773956E-16)); #457392=DIRECTION('',(0.,1.,0.)); #457393=DIRECTION('',(1.,2.15761626365275E-16,-1.17528690784337E-16)); #457394=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #457395=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #457396=DIRECTION('',(0.,1.,0.)); #457397=DIRECTION('',(1.,0.,-1.17528690784337E-16)); #457398=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #457399=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #457400=DIRECTION('',(0.,1.,0.)); #457401=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #457402=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #457403=DIRECTION('',(-1.,0.,1.17528690784337E-16)); #457404=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #457405=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #457406=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #457407=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #457408=DIRECTION('',(0.,1.,0.)); #457409=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #457410=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #457411=DIRECTION('',(-1.,-5.70632722360792E-16,1.17528690784337E-16)); #457412=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #457413=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #457414=DIRECTION('',(-0.706312443883352,-0.707900227161658,8.30119768142955E-17)); #457415=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #457416=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #457417=DIRECTION('',(-1.,0.,0.)); #457418=DIRECTION('',(0.,-1.,0.)); #457419=DIRECTION('',(0.,-1.,0.)); #457420=DIRECTION('',(1.,-8.470329472543E-17,0.)); #457421=DIRECTION('',(0.,1.,0.)); #457422=DIRECTION('',(0.,-1.,0.)); #457423=DIRECTION('center_axis',(0.,-1.,0.)); #457424=DIRECTION('ref_axis',(0.,0.,-1.)); #457425=DIRECTION('center_axis',(0.,-1.,0.)); #457426=DIRECTION('ref_axis',(0.,0.,-1.)); #457427=DIRECTION('center_axis',(-2.15761626365275E-16,1.,2.53581814682099E-32)); #457428=DIRECTION('ref_axis',(-1.,-2.15761626365275E-16,0.)); #457429=DIRECTION('center_axis',(0.,-1.,0.)); #457430=DIRECTION('ref_axis',(0.,0.,-1.)); #457431=DIRECTION('center_axis',(8.87114348748494E-17,-1.,-1.0426138798441E-32)); #457432=DIRECTION('ref_axis',(1.,8.87114348748494E-17,0.)); #457433=DIRECTION('center_axis',(0.,-1.,0.)); #457434=DIRECTION('ref_axis',(0.,0.,-1.)); #457435=DIRECTION('center_axis',(0.,-1.,0.)); #457436=DIRECTION('ref_axis',(0.,0.,-1.)); #457437=DIRECTION('center_axis',(-2.15761626365275E-16,1.,2.53581814682099E-32)); #457438=DIRECTION('ref_axis',(-1.,-2.15761626365275E-16,0.)); #457439=DIRECTION('center_axis',(0.,-1.,0.)); #457440=DIRECTION('ref_axis',(0.,0.,-1.)); #457441=DIRECTION('',(0.,-1.,0.)); #457442=DIRECTION('center_axis',(0.,-1.,0.)); #457443=DIRECTION('ref_axis',(0.,0.,-1.)); #457444=DIRECTION('center_axis',(8.87114348748494E-17,-1.,-1.0426138798441E-32)); #457445=DIRECTION('ref_axis',(1.,8.87114348748494E-17,0.)); #457446=DIRECTION('',(0.,-1.,0.)); #457447=DIRECTION('center_axis',(0.,-1.,0.)); #457448=DIRECTION('ref_axis',(0.,0.,-1.)); #457449=DIRECTION('center_axis',(0.,-1.,0.)); #457450=DIRECTION('ref_axis',(0.,0.,-1.)); #457451=DIRECTION('center_axis',(0.,-1.,0.)); #457452=DIRECTION('ref_axis',(0.,0.,-1.)); #457453=DIRECTION('center_axis',(-2.15761626365275E-16,-1.,2.53581814682099E-32)); #457454=DIRECTION('ref_axis',(1.,-2.15761626365275E-16,0.)); #457455=DIRECTION('center_axis',(0.,-1.,0.)); #457456=DIRECTION('ref_axis',(0.,0.,-1.)); #457457=DIRECTION('center_axis',(-2.15761626365275E-16,-1.,2.53581814682099E-32)); #457458=DIRECTION('ref_axis',(1.,-2.15761626365275E-16,0.)); #457459=DIRECTION('center_axis',(0.,-1.,0.)); #457460=DIRECTION('ref_axis',(0.,0.,-1.)); #457461=DIRECTION('center_axis',(-1.,0.,1.17528690784337E-16)); #457462=DIRECTION('ref_axis',(0.,-1.,0.)); #457463=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457464=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457465=DIRECTION('center_axis',(-1.,0.,-1.61821219773956E-16)); #457466=DIRECTION('ref_axis',(0.,-1.,0.)); #457467=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #457468=DIRECTION('',(-1.14424881842043E-16,0.707106781186548,0.707106781186547)); #457469=DIRECTION('',(-1.61821219773956E-16,0.,1.)); #457470=DIRECTION('center_axis',(0.,1.,0.)); #457471=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #457472=DIRECTION('',(1.,0.,0.)); #457473=DIRECTION('center_axis',(0.,1.,0.)); #457474=DIRECTION('ref_axis',(-1.,0.,0.)); #457475=DIRECTION('',(-1.,0.,0.)); #457476=DIRECTION('center_axis',(0.,-1.,0.)); #457477=DIRECTION('ref_axis',(0.,0.,-1.)); #457478=DIRECTION('center_axis',(0.,1.,0.)); #457479=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #457480=DIRECTION('',(0.,0.,1.)); #457481=DIRECTION('',(-1.,0.,0.)); #457482=DIRECTION('center_axis',(0.,1.,0.)); #457483=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #457484=DIRECTION('',(1.,0.,-1.17528690784337E-16)); #457485=DIRECTION('center_axis',(0.,1.,0.)); #457486=DIRECTION('ref_axis',(0.,0.,1.)); #457487=DIRECTION('',(0.,0.,-1.)); #457488=DIRECTION('center_axis',(0.,1.,0.)); #457489=DIRECTION('ref_axis',(0.,0.,1.)); #457490=DIRECTION('',(-1.,0.,0.)); #457491=DIRECTION('center_axis',(0.,1.,0.)); #457492=DIRECTION('ref_axis',(0.,0.,1.)); #457493=DIRECTION('',(0.,0.,1.)); #457494=DIRECTION('center_axis',(0.,1.,0.)); #457495=DIRECTION('ref_axis',(0.,0.,1.)); #457496=DIRECTION('',(1.,0.,-1.17528690784337E-16)); #457497=DIRECTION('center_axis',(0.,-1.,0.)); #457498=DIRECTION('ref_axis',(0.,0.,-1.)); #457499=DIRECTION('',(-1.,0.,0.)); #457500=DIRECTION('center_axis',(0.,-1.,0.)); #457501=DIRECTION('ref_axis',(0.,0.,-1.)); #457502=DIRECTION('',(0.,0.,-1.)); #457503=DIRECTION('center_axis',(0.,-1.,0.)); #457504=DIRECTION('ref_axis',(0.,0.,-1.)); #457505=DIRECTION('center_axis',(0.,-1.,0.)); #457506=DIRECTION('ref_axis',(0.,0.,-1.)); #457507=DIRECTION('center_axis',(0.,-1.,0.)); #457508=DIRECTION('ref_axis',(0.,0.,-1.)); #457509=DIRECTION('center_axis',(0.,-1.,0.)); #457510=DIRECTION('ref_axis',(0.,0.,-1.)); #457511=DIRECTION('center_axis',(0.,-1.,0.)); #457512=DIRECTION('ref_axis',(0.,0.,-1.)); #457513=DIRECTION('center_axis',(8.87114348748494E-17,1.,-1.0426138798441E-32)); #457514=DIRECTION('ref_axis',(-1.,8.87114348748494E-17,0.)); #457515=DIRECTION('center_axis',(0.,-1.,0.)); #457516=DIRECTION('ref_axis',(0.,0.,-1.)); #457517=DIRECTION('center_axis',(1.,0.,0.)); #457518=DIRECTION('ref_axis',(0.,0.,-1.)); #457519=DIRECTION('',(0.,1.,0.)); #457520=DIRECTION('',(0.,0.697742347072106,-0.716348809660705)); #457521=DIRECTION('',(0.,9.63735264431559E-16,1.)); #457522=DIRECTION('center_axis',(1.,0.,0.)); #457523=DIRECTION('ref_axis',(0.,-1.,0.)); #457524=DIRECTION('',(0.,0.434612234054018,0.90061768026759)); #457525=DIRECTION('center_axis',(1.,0.,0.)); #457526=DIRECTION('ref_axis',(0.,1.,0.)); #457527=DIRECTION('',(0.,1.,0.)); #457528=DIRECTION('center_axis',(1.,0.,0.)); #457529=DIRECTION('ref_axis',(0.,1.,0.)); #457530=DIRECTION('',(0.,0.434612234054019,-0.90061768026759)); #457531=DIRECTION('center_axis',(1.,0.,0.)); #457532=DIRECTION('ref_axis',(0.,1.,0.)); #457533=DIRECTION('',(0.,0.,-1.)); #457534=DIRECTION('center_axis',(-1.,0.,0.)); #457535=DIRECTION('ref_axis',(0.,-1.,0.)); #457536=DIRECTION('center_axis',(1.,0.,0.)); #457537=DIRECTION('ref_axis',(0.,1.,0.)); #457538=DIRECTION('',(0.,-8.70845118462252E-16,-1.)); #457539=DIRECTION('center_axis',(1.,0.,0.)); #457540=DIRECTION('ref_axis',(0.,0.,-1.)); #457541=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457542=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457543=DIRECTION('center_axis',(1.,0.,0.)); #457544=DIRECTION('ref_axis',(0.,0.,-1.)); #457545=DIRECTION('',(0.,-1.80700362080917E-17,-1.)); #457546=DIRECTION('center_axis',(-1.,0.,0.)); #457547=DIRECTION('ref_axis',(0.,1.,0.)); #457548=DIRECTION('',(0.,-1.,1.41725774181112E-15)); #457549=DIRECTION('center_axis',(-1.,0.,0.)); #457550=DIRECTION('ref_axis',(0.,1.,0.)); #457551=DIRECTION('center_axis',(1.,0.,0.)); #457552=DIRECTION('ref_axis',(0.,1.,0.)); #457553=DIRECTION('center_axis',(-1.,0.,0.)); #457554=DIRECTION('ref_axis',(0.,-1.,0.)); #457555=DIRECTION('',(0.,0.,-1.)); #457556=DIRECTION('center_axis',(-1.,0.,0.)); #457557=DIRECTION('ref_axis',(0.,1.,0.)); #457558=DIRECTION('',(0.,-0.338875305623466,-0.940831295843523)); #457559=DIRECTION('center_axis',(-1.,0.,0.)); #457560=DIRECTION('ref_axis',(0.,1.,0.)); #457561=DIRECTION('',(0.,-1.,-1.87378280388019E-15)); #457562=DIRECTION('center_axis',(-1.,0.,0.)); #457563=DIRECTION('ref_axis',(0.,1.,0.)); #457564=DIRECTION('',(0.,-0.338875305623472,0.940831295843521)); #457565=DIRECTION('center_axis',(-1.,0.,0.)); #457566=DIRECTION('ref_axis',(0.,1.,0.)); #457567=DIRECTION('',(0.,-1.04905870583987E-16,1.)); #457568=DIRECTION('center_axis',(1.,0.,0.)); #457569=DIRECTION('ref_axis',(0.,0.,-1.)); #457570=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457571=DIRECTION('',(0.,-1.,8.70845118462252E-16)); #457572=DIRECTION('',(0.,8.70845118462252E-16,1.)); #457573=DIRECTION('',(0.,-1.,8.70845118462252E-16)); #457574=DIRECTION('center_axis',(1.,0.,0.)); #457575=DIRECTION('ref_axis',(0.,0.,-1.)); #457576=DIRECTION('',(0.,8.70845118462252E-16,1.)); #457577=DIRECTION('center_axis',(1.,0.,0.)); #457578=DIRECTION('ref_axis',(0.,0.,-1.)); #457579=DIRECTION('center_axis',(0.,-1.,0.)); #457580=DIRECTION('ref_axis',(0.,0.,-1.)); #457581=DIRECTION('',(0.,-1.,0.)); #457582=DIRECTION('center_axis',(0.,0.,-1.)); #457583=DIRECTION('ref_axis',(-1.,0.,0.)); #457584=DIRECTION('',(1.,8.31258950216367E-17,0.)); #457585=DIRECTION('center_axis',(0.,0.,-1.)); #457586=DIRECTION('ref_axis',(-1.,0.,0.)); #457587=DIRECTION('',(0.,1.,0.)); #457588=DIRECTION('',(1.,8.31258950216367E-17,0.)); #457589=DIRECTION('center_axis',(0.,0.,-1.)); #457590=DIRECTION('ref_axis',(1.,0.,0.)); #457591=DIRECTION('',(1.,0.,0.)); #457592=DIRECTION('',(0.,-1.,0.)); #457593=DIRECTION('',(0.,-1.,0.)); #457594=DIRECTION('',(-1.,-8.470329472543E-17,0.)); #457595=DIRECTION('',(0.,1.,0.)); #457596=DIRECTION('',(0.,-1.,0.)); #457597=DIRECTION('',(1.,0.,0.)); #457598=DIRECTION('',(0.,-1.,0.)); #457599=DIRECTION('',(0.,-1.,0.)); #457600=DIRECTION('',(-1.,8.470329472543E-17,0.)); #457601=DIRECTION('',(0.,1.,0.)); #457602=DIRECTION('',(0.,-1.,0.)); #457603=DIRECTION('center_axis',(0.,-1.,0.)); #457604=DIRECTION('ref_axis',(0.,0.,-1.)); #457605=DIRECTION('center_axis',(0.,-1.,0.)); #457606=DIRECTION('ref_axis',(0.,0.,-1.)); #457607=DIRECTION('center_axis',(8.87114348748494E-17,1.,-1.0426138798441E-32)); #457608=DIRECTION('ref_axis',(-1.,8.87114348748494E-17,0.)); #457609=DIRECTION('center_axis',(0.,-1.,0.)); #457610=DIRECTION('ref_axis',(0.,0.,-1.)); #457611=DIRECTION('center_axis',(0.,0.,1.)); #457612=DIRECTION('ref_axis',(1.,0.,0.)); #457613=DIRECTION('',(0.,1.92747052886312E-15,1.)); #457614=DIRECTION('',(0.,1.92747052886312E-15,1.)); #457615=DIRECTION('center_axis',(0.,0.,1.)); #457616=DIRECTION('ref_axis',(1.,0.,0.)); #457617=DIRECTION('',(0.,0.,1.)); #457618=DIRECTION('',(0.,0.,1.)); #457619=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #457620=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #457621=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457622=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457623=DIRECTION('center_axis',(0.,-1.,0.)); #457624=DIRECTION('ref_axis',(0.,0.,-1.)); #457625=DIRECTION('',(-1.,0.,1.17528690784337E-16)); #457626=DIRECTION('center_axis',(-6.78686805937718E-16,-1.,7.97651717544631E-32)); #457627=DIRECTION('ref_axis',(1.,-6.78686805937718E-16,0.)); #457628=DIRECTION('center_axis',(0.,1.,0.)); #457629=DIRECTION('ref_axis',(1.17528690784337E-16,0.,1.)); #457630=DIRECTION('',(-0.866025403784439,6.78686805937718E-16,0.5)); #457631=DIRECTION('',(-0.5,0.,-0.866025403784439)); #457632=DIRECTION('',(0.866025403784439,-6.78686805937718E-16,-0.5)); #457633=DIRECTION('center_axis',(0.,1.,0.)); #457634=DIRECTION('ref_axis',(0.,0.,1.)); #457635=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457636=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #457637=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #457638=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457639=DIRECTION('center_axis',(-0.707900227161658,-0.706312443883352, 8.31985869042441E-17)); #457640=DIRECTION('ref_axis',(0.706312443883352,-0.707900227161658,0.)); #457641=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457642=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #457643=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #457644=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457645=DIRECTION('center_axis',(-5.70632722360792E-16,-1.,6.70657167777656E-32)); #457646=DIRECTION('ref_axis',(1.,-5.70632722360792E-16,0.)); #457647=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457648=DIRECTION('center_axis',(0.,-1.,0.)); #457649=DIRECTION('ref_axis',(0.,0.,-1.)); #457650=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #457651=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #457652=DIRECTION('center_axis',(5.70632722360792E-16,-1.,-6.70657167777656E-32)); #457653=DIRECTION('ref_axis',(1.,5.70632722360792E-16,0.)); #457654=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457655=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457656=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #457657=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #457658=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457659=DIRECTION('center_axis',(0.707900227161658,-0.706312443883352,-8.3198586904244E-17)); #457660=DIRECTION('ref_axis',(0.706312443883352,0.707900227161658,0.)); #457661=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457662=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #457663=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #457664=DIRECTION('center_axis',(0.,-1.,0.)); #457665=DIRECTION('ref_axis',(0.,0.,-1.)); #457666=DIRECTION('',(-1.,0.,1.17528690784337E-16)); #457667=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457668=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #457669=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #457670=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457671=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #457672=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #457673=DIRECTION('center_axis',(0.,-1.,0.)); #457674=DIRECTION('ref_axis',(0.,0.,-1.)); #457675=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457676=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #457677=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #457678=DIRECTION('',(5.60632478597147E-79,9.52482186350414E-48,-1.)); #457679=DIRECTION('',(5.60632478597147E-79,9.52482186350414E-48,-1.)); #457680=DIRECTION('center_axis',(-2.15761626365275E-16,-1.,2.53581814682099E-32)); #457681=DIRECTION('ref_axis',(1.,-2.15761626365275E-16,0.)); #457682=DIRECTION('',(-1.,2.15761626365275E-16,1.17528690784337E-16)); #457683=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457684=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #457685=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #457686=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457687=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457688=DIRECTION('center_axis',(8.87114348748494E-17,1.,-1.0426138798441E-32)); #457689=DIRECTION('ref_axis',(-1.,8.87114348748494E-17,0.)); #457690=DIRECTION('',(1.,-8.87114348748494E-17,-1.17528690784337E-16)); #457691=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #457692=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #457693=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457694=DIRECTION('center_axis',(-1.,1.58243987929475E-16,1.17528690784337E-16)); #457695=DIRECTION('ref_axis',(-1.58243987929475E-16,-1.,0.)); #457696=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457697=DIRECTION('center_axis',(0.,-1.,0.)); #457698=DIRECTION('ref_axis',(0.,0.,-1.)); #457699=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457700=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #457701=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #457702=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457703=DIRECTION('center_axis',(-1.,1.97127667724637E-16,1.17528690784337E-16)); #457704=DIRECTION('ref_axis',(-1.97127667724637E-16,-1.,0.)); #457705=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457706=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #457707=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #457708=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457709=DIRECTION('center_axis',(0.,1.,0.)); #457710=DIRECTION('ref_axis',(0.,0.,1.)); #457711=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457712=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #457713=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #457714=DIRECTION('center_axis',(-2.15761626365275E-16,1.,2.53581814682099E-32)); #457715=DIRECTION('ref_axis',(-1.,-2.15761626365275E-16,0.)); #457716=DIRECTION('',(1.,2.15761626365275E-16,-1.17528690784337E-16)); #457717=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457718=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #457719=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #457720=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457721=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457722=DIRECTION('center_axis',(8.87114348748494E-17,-1.,-1.0426138798441E-32)); #457723=DIRECTION('ref_axis',(1.,8.87114348748494E-17,0.)); #457724=DIRECTION('',(-1.,-8.87114348748494E-17,1.17528690784337E-16)); #457725=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #457726=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #457727=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457728=DIRECTION('center_axis',(-1.,0.,1.17528690784337E-16)); #457729=DIRECTION('ref_axis',(1.17528690784337E-16,0.,1.)); #457730=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457731=DIRECTION('center_axis',(0.,1.,0.)); #457732=DIRECTION('ref_axis',(0.,0.,1.)); #457733=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457734=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #457735=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #457736=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457737=DIRECTION('center_axis',(-1.,0.,1.17528690784337E-16)); #457738=DIRECTION('ref_axis',(1.17528690784337E-16,0.,1.)); #457739=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457740=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #457741=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #457742=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457743=DIRECTION('center_axis',(0.,-1.,0.)); #457744=DIRECTION('ref_axis',(0.,0.,-1.)); #457745=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #457746=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #457747=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #457748=DIRECTION('center_axis',(0.,-1.,-1.04905870583987E-16)); #457749=DIRECTION('ref_axis',(0.,1.04905870583987E-16,-1.)); #457750=DIRECTION('',(1.,1.2329449625327E-32,-1.17528690784337E-16)); #457751=DIRECTION('',(1.,0.,0.)); #457752=DIRECTION('center_axis',(1.,0.,0.)); #457753=DIRECTION('ref_axis',(0.,0.,-1.)); #457754=DIRECTION('',(1.,0.,0.)); #457755=DIRECTION('center_axis',(0.,-0.940831295843521,-0.338875305623472)); #457756=DIRECTION('ref_axis',(0.,0.338875305623472,-0.940831295843521)); #457757=DIRECTION('',(1.,0.,0.)); #457758=DIRECTION('center_axis',(1.,0.,0.)); #457759=DIRECTION('ref_axis',(0.,0.,-1.)); #457760=DIRECTION('',(1.,0.,0.)); #457761=DIRECTION('center_axis',(0.,1.87378280388019E-15,-1.)); #457762=DIRECTION('ref_axis',(0.,1.,1.87378280388019E-15)); #457763=DIRECTION('',(1.,0.,0.)); #457764=DIRECTION('center_axis',(1.,0.,0.)); #457765=DIRECTION('ref_axis',(0.,0.,-1.)); #457766=DIRECTION('',(1.,0.,0.)); #457767=DIRECTION('center_axis',(0.,0.940831295843523,-0.338875305623466)); #457768=DIRECTION('ref_axis',(0.,0.338875305623466,0.940831295843523)); #457769=DIRECTION('',(1.,0.,0.)); #457770=DIRECTION('center_axis',(1.,0.,0.)); #457771=DIRECTION('ref_axis',(0.,0.,-1.)); #457772=DIRECTION('',(1.,0.,0.)); #457773=DIRECTION('center_axis',(0.,1.,0.)); #457774=DIRECTION('ref_axis',(0.,0.,1.)); #457775=DIRECTION('',(1.,0.,0.)); #457776=DIRECTION('center_axis',(1.,0.,0.)); #457777=DIRECTION('ref_axis',(0.,0.,-1.)); #457778=DIRECTION('',(1.,0.,0.)); #457779=DIRECTION('center_axis',(1.,0.,0.)); #457780=DIRECTION('ref_axis',(0.,-0.714472947821408,0.699663066648074)); #457781=DIRECTION('',(1.,0.,0.)); #457782=DIRECTION('center_axis',(1.,0.,0.)); #457783=DIRECTION('ref_axis',(0.,0.,-1.)); #457784=DIRECTION('',(1.,0.,0.)); #457785=DIRECTION('center_axis',(0.,-1.41725774181112E-15,-1.)); #457786=DIRECTION('ref_axis',(0.,1.,-1.41725774181112E-15)); #457787=DIRECTION('',(1.,0.,0.)); #457788=DIRECTION('center_axis',(1.,0.,0.)); #457789=DIRECTION('ref_axis',(0.,0.,-1.)); #457790=DIRECTION('',(1.,0.,0.)); #457791=DIRECTION('center_axis',(0.,1.,-1.80700362080917E-17)); #457792=DIRECTION('ref_axis',(0.,1.80700362080917E-17,1.)); #457793=DIRECTION('',(1.,-2.12374769796258E-33,-1.17528690784337E-16)); #457794=DIRECTION('center_axis',(1.,0.,0.)); #457795=DIRECTION('ref_axis',(0.,0.,-1.)); #457796=DIRECTION('',(1.,0.,0.)); #457797=DIRECTION('center_axis',(1.,0.,0.)); #457798=DIRECTION('ref_axis',(0.,0.,-1.)); #457799=DIRECTION('',(1.,0.,0.)); #457800=DIRECTION('center_axis',(0.,-1.,0.)); #457801=DIRECTION('ref_axis',(0.,0.,-1.)); #457802=DIRECTION('',(1.,0.,0.)); #457803=DIRECTION('center_axis',(0.,-0.716348809660705,-0.697742347072106)); #457804=DIRECTION('ref_axis',(0.,0.697742347072106,-0.716348809660705)); #457805=DIRECTION('',(0.,0.697742347072106,-0.716348809660705)); #457806=DIRECTION('',(1.,0.,0.)); #457807=DIRECTION('center_axis',(0.,-1.,9.63735264431559E-16)); #457808=DIRECTION('ref_axis',(0.,-9.63735264431559E-16,-1.)); #457809=DIRECTION('',(-0.572219065214358,7.90360072656087E-16,0.820100811733049)); #457810=DIRECTION('',(1.,0.,0.)); #457811=DIRECTION('center_axis',(1.,0.,0.)); #457812=DIRECTION('ref_axis',(0.,0.,-1.)); #457813=DIRECTION('',(1.,0.,0.)); #457814=DIRECTION('center_axis',(0.,-0.90061768026759,0.434612234054018)); #457815=DIRECTION('ref_axis',(0.,-0.434612234054018,-0.90061768026759)); #457816=DIRECTION('',(1.,0.,0.)); #457817=DIRECTION('center_axis',(1.,0.,0.)); #457818=DIRECTION('ref_axis',(0.,0.,-1.)); #457819=DIRECTION('',(1.,0.,0.)); #457820=DIRECTION('center_axis',(0.,0.,1.)); #457821=DIRECTION('ref_axis',(1.,0.,0.)); #457822=DIRECTION('',(1.,0.,0.)); #457823=DIRECTION('center_axis',(1.,0.,0.)); #457824=DIRECTION('ref_axis',(0.,0.,-1.)); #457825=DIRECTION('',(1.,0.,0.)); #457826=DIRECTION('center_axis',(0.,0.90061768026759,0.434612234054019)); #457827=DIRECTION('ref_axis',(0.,-0.434612234054019,0.90061768026759)); #457828=DIRECTION('',(1.,0.,0.)); #457829=DIRECTION('center_axis',(1.,0.,0.)); #457830=DIRECTION('ref_axis',(0.,0.,-1.)); #457831=DIRECTION('',(1.,0.,0.)); #457832=DIRECTION('center_axis',(0.,1.,0.)); #457833=DIRECTION('ref_axis',(0.,0.,1.)); #457834=DIRECTION('',(1.,0.,0.)); #457835=DIRECTION('center_axis',(1.,0.,0.)); #457836=DIRECTION('ref_axis',(0.,0.,-1.)); #457837=DIRECTION('',(1.,0.,0.)); #457838=DIRECTION('center_axis',(1.,0.,0.)); #457839=DIRECTION('ref_axis',(0.,0.,-1.)); #457840=DIRECTION('',(1.,0.,0.)); #457841=DIRECTION('center_axis',(0.,1.,-8.70845118462252E-16)); #457842=DIRECTION('ref_axis',(0.,8.70845118462252E-16,1.)); #457843=DIRECTION('',(1.,-1.02349286648799E-31,-1.17528690784337E-16)); #457844=DIRECTION('center_axis',(-0.707106781186548,-0.506535101005996, -0.493378345135704)); #457845=DIRECTION('ref_axis',(1.38777878078145E-16,0.697742347072106,-0.716348809660705)); #457846=DIRECTION('center_axis',(-1.,0.,1.17528690784337E-16)); #457847=DIRECTION('ref_axis',(1.17528690784337E-16,0.,1.)); #457848=DIRECTION('center_axis',(1.,-8.87114348748494E-17,-1.17528690784337E-16)); #457849=DIRECTION('ref_axis',(1.17528690784337E-16,1.22464679914735E-16, 1.)); #457850=DIRECTION('center_axis',(-1.,2.15761626365275E-16,1.17528690784337E-16)); #457851=DIRECTION('ref_axis',(-2.15761626365275E-16,-1.,0.)); #457852=DIRECTION('center_axis',(8.31053342375813E-17,-0.707106781186547, 0.707106781186548)); #457853=DIRECTION('ref_axis',(1.,-3.69778549322349E-31,-1.17528690784337E-16)); #457854=DIRECTION('',(0.,-0.707106781186548,-0.707106781186547)); #457855=DIRECTION('center_axis',(0.,-1.,0.)); #457856=DIRECTION('ref_axis',(0.,0.,-1.)); #457857=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #457858=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #457859=DIRECTION('ref_axis',(0.,0.,1.)); #457860=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #457861=DIRECTION('center_axis',(0.,-1.,0.)); #457862=DIRECTION('ref_axis',(0.,0.,-1.)); #457863=DIRECTION('',(0.,-0.707106781186547,0.707106781186547)); #457864=DIRECTION('center_axis',(0.,-0.707106781186548,-0.707106781186548)); #457865=DIRECTION('ref_axis',(-1.,0.,0.)); #457866=DIRECTION('',(0.,-0.707106781186547,0.707106781186547)); #457867=DIRECTION('center_axis',(0.,-1.,0.)); #457868=DIRECTION('ref_axis',(0.,0.,-1.)); #457869=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #457870=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #457871=DIRECTION('ref_axis',(0.,0.,-1.)); #457872=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #457873=DIRECTION('center_axis',(0.,-1.,0.)); #457874=DIRECTION('ref_axis',(0.,0.,-1.)); #457875=DIRECTION('',(0.,-0.707106781186547,-0.707106781186547)); #457876=DIRECTION('center_axis',(8.31053342375813E-17,-0.707106781186547, 0.707106781186548)); #457877=DIRECTION('ref_axis',(1.,-3.69778549322349E-31,-1.17528690784337E-16)); #457878=DIRECTION('center_axis',(-1.,0.,0.)); #457879=DIRECTION('ref_axis',(0.,0.,1.)); #457880=DIRECTION('',(-2.11181198884419E-15,0.,1.)); #457881=DIRECTION('',(0.,0.,1.)); #457882=DIRECTION('center_axis',(0.,-1.,0.)); #457883=DIRECTION('ref_axis',(0.,0.,-1.)); #457884=DIRECTION('center_axis',(1.,0.,0.)); #457885=DIRECTION('ref_axis',(0.,0.,-1.)); #457886=DIRECTION('',(2.11181198884419E-15,0.,1.)); #457887=DIRECTION('',(0.,0.,1.)); #457888=DIRECTION('center_axis',(-8.470329472543E-17,1.,0.)); #457889=DIRECTION('ref_axis',(-1.,-8.470329472543E-17,0.)); #457890=DIRECTION('center_axis',(0.,-1.,0.)); #457891=DIRECTION('ref_axis',(0.,0.,-1.)); #457892=DIRECTION('center_axis',(0.,1.,0.)); #457893=DIRECTION('ref_axis',(-1.,0.,0.)); #457894=DIRECTION('',(0.389102662767433,0.,-0.92119439741419)); #457895=DIRECTION('center_axis',(0.,-1.,0.)); #457896=DIRECTION('ref_axis',(-1.,0.,0.)); #457897=DIRECTION('',(1.,0.,0.)); #457898=DIRECTION('center_axis',(0.,-1.,0.)); #457899=DIRECTION('ref_axis',(-1.,0.,0.)); #457900=DIRECTION('',(0.389102662767433,0.,0.92119439741419)); #457901=DIRECTION('center_axis',(0.,1.,0.)); #457902=DIRECTION('ref_axis',(-1.,0.,0.)); #457903=DIRECTION('center_axis',(0.,-1.,0.)); #457904=DIRECTION('ref_axis',(0.,0.,-1.)); #457905=DIRECTION('',(-0.389102662767433,0.,-0.92119439741419)); #457906=DIRECTION('center_axis',(0.,-1.,0.)); #457907=DIRECTION('ref_axis',(0.,0.,-1.)); #457908=DIRECTION('',(-1.,0.,0.)); #457909=DIRECTION('center_axis',(0.,-1.,0.)); #457910=DIRECTION('ref_axis',(0.,0.,-1.)); #457911=DIRECTION('',(-0.389102662767433,0.,0.92119439741419)); #457912=DIRECTION('center_axis',(0.,-1.,0.)); #457913=DIRECTION('ref_axis',(0.,0.,-1.)); #457914=DIRECTION('center_axis',(0.,1.,0.)); #457915=DIRECTION('ref_axis',(0.389102662767433,0.,-0.92119439741419)); #457916=DIRECTION('',(-0.389102662767433,0.,0.92119439741419)); #457917=DIRECTION('center_axis',(0.,-1.,0.)); #457918=DIRECTION('ref_axis',(0.,0.,-1.)); #457919=DIRECTION('',(-1.,0.,0.)); #457920=DIRECTION('center_axis',(0.,-1.,0.)); #457921=DIRECTION('ref_axis',(0.,0.,-1.)); #457922=DIRECTION('',(-0.389102662767433,0.,-0.92119439741419)); #457923=DIRECTION('center_axis',(0.,-1.,0.)); #457924=DIRECTION('ref_axis',(0.,0.,-1.)); #457925=DIRECTION('center_axis',(0.,1.,0.)); #457926=DIRECTION('ref_axis',(-1.,0.,0.)); #457927=DIRECTION('',(0.389102662767433,0.,0.92119439741419)); #457928=DIRECTION('center_axis',(0.,-1.,0.)); #457929=DIRECTION('ref_axis',(-1.,0.,0.)); #457930=DIRECTION('',(1.,0.,0.)); #457931=DIRECTION('center_axis',(0.,-1.,0.)); #457932=DIRECTION('ref_axis',(-1.,0.,0.)); #457933=DIRECTION('',(0.389102662767433,0.,-0.92119439741419)); #457934=DIRECTION('center_axis',(0.,1.,0.)); #457935=DIRECTION('ref_axis',(-1.,0.,0.)); #457936=DIRECTION('center_axis',(0.,-1.,0.)); #457937=DIRECTION('ref_axis',(0.,0.,-1.)); #457938=DIRECTION('center_axis',(0.,-1.,0.)); #457939=DIRECTION('ref_axis',(0.,0.,-1.)); #457940=DIRECTION('',(0.,-1.,0.)); #457941=DIRECTION('center_axis',(-0.92119439741419,0.,-0.389102662767433)); #457942=DIRECTION('ref_axis',(-0.389102662767433,0.,0.92119439741419)); #457943=DIRECTION('',(0.,-1.,0.)); #457944=DIRECTION('center_axis',(0.,-1.,0.)); #457945=DIRECTION('ref_axis',(0.,0.,-1.)); #457946=DIRECTION('',(0.,-1.,0.)); #457947=DIRECTION('center_axis',(0.,0.,-1.)); #457948=DIRECTION('ref_axis',(-1.,0.,0.)); #457949=DIRECTION('',(0.,-1.,0.)); #457950=DIRECTION('center_axis',(0.,-1.,0.)); #457951=DIRECTION('ref_axis',(0.,0.,-1.)); #457952=DIRECTION('',(0.,-1.,0.)); #457953=DIRECTION('center_axis',(0.92119439741419,0.,-0.389102662767433)); #457954=DIRECTION('ref_axis',(-0.389102662767433,0.,-0.92119439741419)); #457955=DIRECTION('',(0.,-1.,0.)); #457956=DIRECTION('center_axis',(0.,-1.,0.)); #457957=DIRECTION('ref_axis',(0.,0.,-1.)); #457958=DIRECTION('center_axis',(0.,-1.,0.)); #457959=DIRECTION('ref_axis',(0.,0.,-1.)); #457960=DIRECTION('',(0.,1.,0.)); #457961=DIRECTION('center_axis',(-0.92119439741419,0.,-0.389102662767433)); #457962=DIRECTION('ref_axis',(-0.389102662767433,0.,0.92119439741419)); #457963=DIRECTION('',(0.,-1.,0.)); #457964=DIRECTION('center_axis',(0.,-1.,0.)); #457965=DIRECTION('ref_axis',(0.,0.,-1.)); #457966=DIRECTION('',(0.,1.,0.)); #457967=DIRECTION('center_axis',(0.,0.,-1.)); #457968=DIRECTION('ref_axis',(-1.,0.,0.)); #457969=DIRECTION('',(0.,-1.,0.)); #457970=DIRECTION('center_axis',(0.,-1.,0.)); #457971=DIRECTION('ref_axis',(0.,0.,-1.)); #457972=DIRECTION('',(0.,1.,0.)); #457973=DIRECTION('center_axis',(0.92119439741419,0.,-0.389102662767433)); #457974=DIRECTION('ref_axis',(-0.389102662767433,0.,-0.92119439741419)); #457975=DIRECTION('',(0.,-1.,0.)); #457976=DIRECTION('center_axis',(0.,-1.,0.)); #457977=DIRECTION('ref_axis',(0.,0.,-1.)); #457978=DIRECTION('center_axis',(0.,-1.,0.)); #457979=DIRECTION('ref_axis',(0.,0.,-1.)); #457980=DIRECTION('',(0.,0.,-1.)); #457981=DIRECTION('center_axis',(-1.,0.,0.)); #457982=DIRECTION('ref_axis',(0.,0.,1.)); #457983=DIRECTION('',(-1.58385899163314E-15,0.,-1.)); #457984=DIRECTION('',(0.,0.,-1.)); #457985=DIRECTION('center_axis',(-8.470329472543E-17,1.,0.)); #457986=DIRECTION('ref_axis',(-1.,-8.470329472543E-17,0.)); #457987=DIRECTION('center_axis',(1.,0.,0.)); #457988=DIRECTION('ref_axis',(0.,0.,-1.)); #457989=DIRECTION('',(2.11181198884419E-15,0.,-1.)); #457990=DIRECTION('center_axis',(0.,-1.,0.)); #457991=DIRECTION('ref_axis',(0.,0.,-1.)); #457992=DIRECTION('center_axis',(0.,1.,0.)); #457993=DIRECTION('ref_axis',(-1.,0.,0.)); #457994=DIRECTION('',(-0.389102662767433,0.,0.92119439741419)); #457995=DIRECTION('center_axis',(0.,-1.,0.)); #457996=DIRECTION('ref_axis',(-1.,0.,0.)); #457997=DIRECTION('',(-1.,0.,0.)); #457998=DIRECTION('center_axis',(0.,-1.,0.)); #457999=DIRECTION('ref_axis',(-1.,0.,0.)); #458000=DIRECTION('',(-0.389102662767433,0.,-0.92119439741419)); #458001=DIRECTION('center_axis',(0.,1.,0.)); #458002=DIRECTION('ref_axis',(-1.,0.,0.)); #458003=DIRECTION('center_axis',(0.,-1.,0.)); #458004=DIRECTION('ref_axis',(0.,0.,-1.)); #458005=DIRECTION('',(0.389102662767433,0.,0.92119439741419)); #458006=DIRECTION('center_axis',(0.,-1.,0.)); #458007=DIRECTION('ref_axis',(0.,0.,-1.)); #458008=DIRECTION('',(1.,0.,0.)); #458009=DIRECTION('center_axis',(0.,-1.,0.)); #458010=DIRECTION('ref_axis',(0.,0.,-1.)); #458011=DIRECTION('',(0.389102662767433,0.,-0.92119439741419)); #458012=DIRECTION('center_axis',(0.,-1.,0.)); #458013=DIRECTION('ref_axis',(0.,0.,-1.)); #458014=DIRECTION('center_axis',(0.,1.,0.)); #458015=DIRECTION('ref_axis',(-0.389102662767433,0.,0.92119439741419)); #458016=DIRECTION('',(0.389102662767433,0.,-0.92119439741419)); #458017=DIRECTION('center_axis',(0.,-1.,0.)); #458018=DIRECTION('ref_axis',(0.,0.,-1.)); #458019=DIRECTION('',(1.,0.,0.)); #458020=DIRECTION('center_axis',(0.,-1.,0.)); #458021=DIRECTION('ref_axis',(0.,0.,-1.)); #458022=DIRECTION('',(0.389102662767433,0.,0.92119439741419)); #458023=DIRECTION('center_axis',(0.,-1.,0.)); #458024=DIRECTION('ref_axis',(0.,0.,-1.)); #458025=DIRECTION('center_axis',(0.,1.,0.)); #458026=DIRECTION('ref_axis',(-1.,0.,0.)); #458027=DIRECTION('',(-0.389102662767433,0.,-0.92119439741419)); #458028=DIRECTION('center_axis',(0.,-1.,0.)); #458029=DIRECTION('ref_axis',(-1.,0.,0.)); #458030=DIRECTION('',(-1.,0.,0.)); #458031=DIRECTION('center_axis',(0.,-1.,0.)); #458032=DIRECTION('ref_axis',(-1.,0.,0.)); #458033=DIRECTION('',(-0.389102662767433,0.,0.92119439741419)); #458034=DIRECTION('center_axis',(0.,1.,0.)); #458035=DIRECTION('ref_axis',(-1.,0.,0.)); #458036=DIRECTION('center_axis',(0.,-1.,0.)); #458037=DIRECTION('ref_axis',(0.,0.,-1.)); #458038=DIRECTION('center_axis',(0.,-1.,0.)); #458039=DIRECTION('ref_axis',(0.,0.,-1.)); #458040=DIRECTION('',(0.,-1.,0.)); #458041=DIRECTION('center_axis',(0.92119439741419,0.,0.389102662767433)); #458042=DIRECTION('ref_axis',(0.389102662767433,0.,-0.92119439741419)); #458043=DIRECTION('',(0.,-1.,0.)); #458044=DIRECTION('center_axis',(0.,-1.,0.)); #458045=DIRECTION('ref_axis',(0.,0.,-1.)); #458046=DIRECTION('',(0.,-1.,0.)); #458047=DIRECTION('center_axis',(0.,0.,1.)); #458048=DIRECTION('ref_axis',(1.,0.,0.)); #458049=DIRECTION('',(0.,-1.,0.)); #458050=DIRECTION('center_axis',(0.,-1.,0.)); #458051=DIRECTION('ref_axis',(0.,0.,-1.)); #458052=DIRECTION('',(0.,-1.,0.)); #458053=DIRECTION('center_axis',(-0.92119439741419,0.,0.389102662767433)); #458054=DIRECTION('ref_axis',(0.389102662767433,0.,0.92119439741419)); #458055=DIRECTION('',(0.,-1.,0.)); #458056=DIRECTION('center_axis',(0.,-1.,0.)); #458057=DIRECTION('ref_axis',(0.,0.,-1.)); #458058=DIRECTION('center_axis',(0.,-1.,0.)); #458059=DIRECTION('ref_axis',(0.,0.,-1.)); #458060=DIRECTION('',(0.,1.,0.)); #458061=DIRECTION('center_axis',(0.92119439741419,0.,0.389102662767433)); #458062=DIRECTION('ref_axis',(0.389102662767433,0.,-0.92119439741419)); #458063=DIRECTION('',(0.,-1.,0.)); #458064=DIRECTION('center_axis',(0.,-1.,0.)); #458065=DIRECTION('ref_axis',(0.,0.,-1.)); #458066=DIRECTION('',(0.,1.,0.)); #458067=DIRECTION('center_axis',(0.,0.,1.)); #458068=DIRECTION('ref_axis',(1.,0.,0.)); #458069=DIRECTION('',(0.,-1.,0.)); #458070=DIRECTION('center_axis',(0.,-1.,0.)); #458071=DIRECTION('ref_axis',(0.,0.,-1.)); #458072=DIRECTION('',(0.,1.,0.)); #458073=DIRECTION('center_axis',(-0.92119439741419,0.,0.389102662767433)); #458074=DIRECTION('ref_axis',(0.389102662767433,0.,0.92119439741419)); #458075=DIRECTION('',(0.,-1.,0.)); #458076=DIRECTION('center_axis',(0.,-1.,0.)); #458077=DIRECTION('ref_axis',(0.,0.,-1.)); #458078=DIRECTION('center_axis',(0.866025403784439,8.64686972952067E-16, -0.5)); #458079=DIRECTION('ref_axis',(-7.48840884897957E-16,1.,4.32343486476034E-16)); #458080=DIRECTION('',(-7.48840884897958E-16,1.,4.32343486476034E-16)); #458081=DIRECTION('',(-0.5,0.,-0.866025403784439)); #458082=DIRECTION('',(7.48840884897958E-16,-1.,-4.32343486476034E-16)); #458083=DIRECTION('',(-0.5,0.,-0.866025403784439)); #458084=DIRECTION('center_axis',(-0.5,0.,-0.866025403784439)); #458085=DIRECTION('ref_axis',(-0.866025403784439,0.,0.5)); #458086=DIRECTION('center_axis',(0.5,0.,0.866025403784439)); #458087=DIRECTION('ref_axis',(0.866025403784439,0.,-0.5)); #458088=DIRECTION('center_axis',(0.5,0.,0.866025403784439)); #458089=DIRECTION('ref_axis',(0.866025403784439,0.,-0.5)); #458090=DIRECTION('',(-0.5,0.,-0.866025403784439)); #458091=DIRECTION('center_axis',(8.02518482231389E-16,1.,-4.63334261745942E-16)); #458092=DIRECTION('ref_axis',(-0.866025403784439,9.26668523491884E-16,0.5)); #458093=DIRECTION('',(-0.866025403784439,9.26668523491884E-16,0.5)); #458094=DIRECTION('',(0.866025403784439,-9.26668523491884E-16,-0.5)); #458095=DIRECTION('',(-0.5,0.,-0.866025403784439)); #458096=DIRECTION('center_axis',(-0.5,0.,-0.866025403784439)); #458097=DIRECTION('ref_axis',(-0.866025403784439,0.,0.5)); #458098=DIRECTION('center_axis',(0.5,0.,0.866025403784439)); #458099=DIRECTION('ref_axis',(0.866025403784439,0.,-0.5)); #458100=DIRECTION('center_axis',(0.5,0.,0.866025403784439)); #458101=DIRECTION('ref_axis',(0.866025403784439,0.,-0.5)); #458102=DIRECTION('center_axis',(0.5,0.,0.866025403784439)); #458103=DIRECTION('ref_axis',(0.866025403784439,0.,-0.5)); #458104=DIRECTION('',(-1.57502175620053E-16,-1.,9.09339234921896E-17)); #458105=DIRECTION('center_axis',(-0.5,0.,-0.866025403784439)); #458106=DIRECTION('ref_axis',(-0.866025403784439,0.,0.5)); #458107=DIRECTION('center_axis',(-0.5,0.,-0.866025403784439)); #458108=DIRECTION('ref_axis',(-0.866025403784439,0.,0.5)); #458109=DIRECTION('',(-0.499999999999999,1.94485322829732E-18,-0.866025403784439)); #458110=DIRECTION('center_axis',(0.5,0.,0.866025403784439)); #458111=DIRECTION('ref_axis',(0.866025403784439,0.,-0.5)); #458112=DIRECTION('',(-0.499999999999999,1.94485322829732E-18,-0.866025403784439)); #458113=DIRECTION('center_axis',(0.5,0.,0.866025403784439)); #458114=DIRECTION('ref_axis',(0.866025403784439,0.,-0.5)); #458115=DIRECTION('',(-1.57502175620053E-16,-1.,9.09339234921896E-17)); #458116=DIRECTION('center_axis',(0.,1.,0.)); #458117=DIRECTION('ref_axis',(1.17528690784337E-16,0.,1.)); #458118=DIRECTION('center_axis',(0.,1.,0.)); #458119=DIRECTION('ref_axis',(1.17528690784337E-16,0.,1.)); #458120=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #458121=DIRECTION('ref_axis',(0.,-8.70845118462252E-16,-1.)); #458122=DIRECTION('',(0.,-1.,8.70845118462252E-16)); #458123=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #458124=DIRECTION('ref_axis',(0.,-8.70845118462252E-16,-1.)); #458125=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #458126=DIRECTION('ref_axis',(-1.,0.,0.)); #458127=DIRECTION('center_axis',(1.,0.,0.)); #458128=DIRECTION('ref_axis',(0.,0.,-1.)); #458129=DIRECTION('',(0.,-1.,8.70845118462252E-16)); #458130=DIRECTION('',(0.,8.70845118462252E-16,1.)); #458131=DIRECTION('',(0.,8.70845118462252E-16,1.)); #458132=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #458133=DIRECTION('ref_axis',(0.,-8.70845118462252E-16,-1.)); #458134=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #458135=DIRECTION('ref_axis',(0.,-8.70845118462252E-16,-1.)); #458136=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #458137=DIRECTION('ref_axis',(1.,0.,0.)); #458138=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #458139=DIRECTION('ref_axis',(0.,-8.70845118462252E-16,-1.)); #458140=DIRECTION('center_axis',(0.,-1.,7.40148683083438E-16)); #458141=DIRECTION('ref_axis',(0.,0.,-1.)); #458142=DIRECTION('center_axis',(-7.2280144832367E-16,-7.2280144832367E-16, -1.)); #458143=DIRECTION('ref_axis',(-1.,0.,7.2280144832367E-16)); #458144=DIRECTION('center_axis',(0.,8.70845118462252E-16,1.)); #458145=DIRECTION('ref_axis',(0.,-1.,8.70845118462252E-16)); #458146=DIRECTION('center_axis',(0.,-7.2280144832367E-16,-1.)); #458147=DIRECTION('ref_axis',(0.,1.,-7.2280144832367E-16)); #458148=DIRECTION('center_axis',(0.,-1.,7.40148683083438E-16)); #458149=DIRECTION('ref_axis',(0.,0.,-1.)); #458150=DIRECTION('center_axis',(0.,-1.,0.)); #458151=DIRECTION('ref_axis',(0.,0.,-1.)); #458152=DIRECTION('',(-1.,0.,6.12323399573677E-17)); #458153=DIRECTION('',(-6.12323399573677E-17,0.,-1.)); #458154=DIRECTION('',(-1.,0.,6.12323399573677E-17)); #458155=DIRECTION('center_axis',(-1.80700362080917E-16,1.,1.10647060013582E-32)); #458156=DIRECTION('ref_axis',(-1.,-1.80700362080917E-16,0.)); #458157=DIRECTION('',(1.,1.80700362080917E-16,-6.12323399573677E-17)); #458158=DIRECTION('',(1.,1.80700362080917E-16,-6.12323399573677E-17)); #458159=DIRECTION('',(-6.12323399573677E-17,0.,-1.)); #458160=DIRECTION('center_axis',(-1.,0.,6.12323399573677E-17)); #458161=DIRECTION('ref_axis',(6.12323399573677E-17,0.,1.)); #458162=DIRECTION('',(0.,1.,0.)); #458163=DIRECTION('',(0.,1.,0.)); #458164=DIRECTION('center_axis',(6.12323399573677E-17,0.,1.)); #458165=DIRECTION('ref_axis',(1.,0.,-6.12323399573677E-17)); #458166=DIRECTION('center_axis',(6.12323399573677E-17,0.,1.)); #458167=DIRECTION('ref_axis',(1.,0.,-6.12323399573677E-17)); #458168=DIRECTION('center_axis',(0.,-1.,-1.04905870583987E-16)); #458169=DIRECTION('ref_axis',(0.,1.04905870583987E-16,-1.)); #458170=DIRECTION('',(-1.,-1.2329449625327E-32,1.17528690784337E-16)); #458171=DIRECTION('',(-1.,0.,0.)); #458172=DIRECTION('center_axis',(0.,1.,-1.80700362080917E-17)); #458173=DIRECTION('ref_axis',(0.,1.80700362080917E-17,1.)); #458174=DIRECTION('',(-1.,2.12374769796258E-33,1.17528690784337E-16)); #458175=DIRECTION('',(-1.,0.,0.)); #458176=DIRECTION('center_axis',(-1.,0.,0.)); #458177=DIRECTION('ref_axis',(0.,0.,1.)); #458178=DIRECTION('',(-1.,0.,0.)); #458179=DIRECTION('center_axis',(0.,-1.41725774181112E-15,-1.)); #458180=DIRECTION('ref_axis',(0.,1.,-1.41725774181112E-15)); #458181=DIRECTION('',(-1.,0.,0.)); #458182=DIRECTION('center_axis',(-1.,0.,0.)); #458183=DIRECTION('ref_axis',(0.,0.,1.)); #458184=DIRECTION('',(-1.,0.,0.)); #458185=DIRECTION('center_axis',(-1.,0.,0.)); #458186=DIRECTION('ref_axis',(0.,0.,1.)); #458187=DIRECTION('',(-1.,0.,0.)); #458188=DIRECTION('center_axis',(-1.,0.,0.)); #458189=DIRECTION('ref_axis',(0.,0.,1.)); #458190=DIRECTION('',(-1.,0.,0.)); #458191=DIRECTION('center_axis',(0.,1.,0.)); #458192=DIRECTION('ref_axis',(0.,0.,1.)); #458193=DIRECTION('',(-1.,0.,0.)); #458194=DIRECTION('center_axis',(-1.,0.,0.)); #458195=DIRECTION('ref_axis',(0.,0.,1.)); #458196=DIRECTION('',(-1.,0.,0.)); #458197=DIRECTION('center_axis',(0.,0.940831295843523,-0.338875305623466)); #458198=DIRECTION('ref_axis',(0.,0.338875305623466,0.940831295843523)); #458199=DIRECTION('',(-1.,0.,0.)); #458200=DIRECTION('center_axis',(-1.,0.,0.)); #458201=DIRECTION('ref_axis',(0.,0.,1.)); #458202=DIRECTION('',(-1.,0.,0.)); #458203=DIRECTION('center_axis',(0.,1.87378280388019E-15,-1.)); #458204=DIRECTION('ref_axis',(0.,1.,1.87378280388019E-15)); #458205=DIRECTION('',(-1.,0.,0.)); #458206=DIRECTION('center_axis',(-1.,0.,0.)); #458207=DIRECTION('ref_axis',(0.,0.,1.)); #458208=DIRECTION('',(-1.,0.,0.)); #458209=DIRECTION('center_axis',(0.,-0.940831295843521,-0.338875305623472)); #458210=DIRECTION('ref_axis',(0.,0.338875305623472,-0.940831295843521)); #458211=DIRECTION('',(-1.,0.,0.)); #458212=DIRECTION('center_axis',(-1.,0.,0.)); #458213=DIRECTION('ref_axis',(0.,0.,1.)); #458214=DIRECTION('center_axis',(0.,1.,-8.70845118462252E-16)); #458215=DIRECTION('ref_axis',(0.,8.70845118462252E-16,1.)); #458216=DIRECTION('',(-1.,1.02349286648799E-31,1.17528690784337E-16)); #458217=DIRECTION('',(-1.,0.,0.)); #458218=DIRECTION('center_axis',(-1.,0.,0.)); #458219=DIRECTION('ref_axis',(0.,0.,1.)); #458220=DIRECTION('',(-1.,0.,0.)); #458221=DIRECTION('center_axis',(-1.,0.,0.)); #458222=DIRECTION('ref_axis',(0.,0.,1.)); #458223=DIRECTION('',(-1.,0.,0.)); #458224=DIRECTION('center_axis',(0.,1.,0.)); #458225=DIRECTION('ref_axis',(0.,0.,1.)); #458226=DIRECTION('',(-1.,0.,0.)); #458227=DIRECTION('center_axis',(-1.,0.,0.)); #458228=DIRECTION('ref_axis',(0.,0.,1.)); #458229=DIRECTION('',(-1.,0.,0.)); #458230=DIRECTION('center_axis',(0.,0.90061768026759,0.434612234054019)); #458231=DIRECTION('ref_axis',(0.,-0.434612234054019,0.90061768026759)); #458232=DIRECTION('',(-1.,0.,0.)); #458233=DIRECTION('center_axis',(-1.,0.,0.)); #458234=DIRECTION('ref_axis',(0.,0.,1.)); #458235=DIRECTION('',(-1.,0.,0.)); #458236=DIRECTION('center_axis',(0.,0.,1.)); #458237=DIRECTION('ref_axis',(1.,0.,0.)); #458238=DIRECTION('',(-1.,0.,0.)); #458239=DIRECTION('center_axis',(-1.,0.,0.)); #458240=DIRECTION('ref_axis',(0.,0.,1.)); #458241=DIRECTION('',(-1.,0.,0.)); #458242=DIRECTION('center_axis',(0.,-0.90061768026759,0.434612234054018)); #458243=DIRECTION('ref_axis',(0.,-0.434612234054018,-0.90061768026759)); #458244=DIRECTION('',(-1.,0.,0.)); #458245=DIRECTION('center_axis',(-1.,0.,0.)); #458246=DIRECTION('ref_axis',(0.,0.,1.)); #458247=DIRECTION('',(-1.,0.,0.)); #458248=DIRECTION('center_axis',(0.,-1.,9.63735264431559E-16)); #458249=DIRECTION('ref_axis',(0.,-9.63735264431559E-16,-1.)); #458250=DIRECTION('',(-0.572219065214357,-7.90360072656087E-16,-0.82010081173305)); #458251=DIRECTION('',(-1.,0.,0.)); #458252=DIRECTION('center_axis',(0.,-0.716348809660705,-0.697742347072106)); #458253=DIRECTION('ref_axis',(0.,0.697742347072106,-0.716348809660705)); #458254=DIRECTION('',(0.,-0.697742347072106,0.716348809660705)); #458255=DIRECTION('',(-1.,0.,0.)); #458256=DIRECTION('center_axis',(0.,-1.,0.)); #458257=DIRECTION('ref_axis',(0.,0.,-1.)); #458258=DIRECTION('',(-1.,0.,0.)); #458259=DIRECTION('center_axis',(-1.,0.,0.)); #458260=DIRECTION('ref_axis',(0.,0.,1.)); #458261=DIRECTION('',(-1.,0.,0.)); #458262=DIRECTION('center_axis',(-1.,0.,0.)); #458263=DIRECTION('ref_axis',(0.,0.,1.)); #458264=DIRECTION('center_axis',(0.707106781186548,-0.506535101005996,-0.493378345135703)); #458265=DIRECTION('ref_axis',(-6.10622663543836E-16,-0.697742347072106, 0.716348809660705)); #458266=DIRECTION('center_axis',(-1.,0.,1.17528690784337E-16)); #458267=DIRECTION('ref_axis',(1.17528690784337E-16,0.,1.)); #458268=DIRECTION('center_axis',(-1.,-8.87114348748494E-17,1.17528690784337E-16)); #458269=DIRECTION('ref_axis',(1.17528690784337E-16,0.,1.)); #458270=DIRECTION('center_axis',(1.,2.15761626365275E-16,-1.17528690784337E-16)); #458271=DIRECTION('ref_axis',(-2.15761626365275E-16,1.,0.)); #458272=DIRECTION('center_axis',(0.,-1.,0.)); #458273=DIRECTION('ref_axis',(0.,0.,-1.)); #458274=DIRECTION('',(0.,0.,1.)); #458275=DIRECTION('center_axis',(1.,0.,0.)); #458276=DIRECTION('ref_axis',(0.,0.,-1.)); #458277=DIRECTION('',(1.58385899163314E-15,0.,1.)); #458278=DIRECTION('',(0.,0.,1.)); #458279=DIRECTION('center_axis',(8.470329472543E-17,1.,0.)); #458280=DIRECTION('ref_axis',(-1.,8.470329472543E-17,0.)); #458281=DIRECTION('center_axis',(-1.,0.,0.)); #458282=DIRECTION('ref_axis',(0.,0.,1.)); #458283=DIRECTION('',(-2.11181198884419E-15,0.,1.)); #458284=DIRECTION('center_axis',(0.,-1.,0.)); #458285=DIRECTION('ref_axis',(0.,0.,-1.)); #458286=DIRECTION('center_axis',(0.,1.,0.)); #458287=DIRECTION('ref_axis',(1.,0.,0.)); #458288=DIRECTION('',(0.389102662767433,0.,-0.92119439741419)); #458289=DIRECTION('center_axis',(0.,-1.,0.)); #458290=DIRECTION('ref_axis',(1.,0.,0.)); #458291=DIRECTION('',(1.,0.,0.)); #458292=DIRECTION('center_axis',(0.,-1.,0.)); #458293=DIRECTION('ref_axis',(1.,0.,0.)); #458294=DIRECTION('',(0.389102662767433,0.,0.92119439741419)); #458295=DIRECTION('center_axis',(0.,1.,0.)); #458296=DIRECTION('ref_axis',(1.,0.,0.)); #458297=DIRECTION('center_axis',(0.,-1.,0.)); #458298=DIRECTION('ref_axis',(0.,0.,-1.)); #458299=DIRECTION('',(-0.389102662767433,0.,-0.92119439741419)); #458300=DIRECTION('center_axis',(0.,-1.,0.)); #458301=DIRECTION('ref_axis',(0.,0.,-1.)); #458302=DIRECTION('',(-1.,0.,0.)); #458303=DIRECTION('center_axis',(0.,-1.,0.)); #458304=DIRECTION('ref_axis',(0.,0.,-1.)); #458305=DIRECTION('',(-0.389102662767433,0.,0.92119439741419)); #458306=DIRECTION('center_axis',(0.,-1.,0.)); #458307=DIRECTION('ref_axis',(0.,0.,-1.)); #458308=DIRECTION('center_axis',(0.,1.,0.)); #458309=DIRECTION('ref_axis',(0.389102662767433,0.,-0.92119439741419)); #458310=DIRECTION('',(-0.389102662767433,0.,0.92119439741419)); #458311=DIRECTION('center_axis',(0.,-1.,0.)); #458312=DIRECTION('ref_axis',(0.,0.,-1.)); #458313=DIRECTION('',(-1.,0.,0.)); #458314=DIRECTION('center_axis',(0.,-1.,0.)); #458315=DIRECTION('ref_axis',(0.,0.,-1.)); #458316=DIRECTION('',(-0.389102662767433,0.,-0.92119439741419)); #458317=DIRECTION('center_axis',(0.,-1.,0.)); #458318=DIRECTION('ref_axis',(0.,0.,-1.)); #458319=DIRECTION('center_axis',(0.,1.,0.)); #458320=DIRECTION('ref_axis',(1.,0.,0.)); #458321=DIRECTION('',(0.389102662767433,0.,0.92119439741419)); #458322=DIRECTION('center_axis',(0.,-1.,0.)); #458323=DIRECTION('ref_axis',(1.,0.,0.)); #458324=DIRECTION('',(1.,0.,0.)); #458325=DIRECTION('center_axis',(0.,-1.,0.)); #458326=DIRECTION('ref_axis',(1.,0.,0.)); #458327=DIRECTION('',(0.389102662767433,0.,-0.92119439741419)); #458328=DIRECTION('center_axis',(0.,1.,0.)); #458329=DIRECTION('ref_axis',(1.,0.,0.)); #458330=DIRECTION('center_axis',(0.,-1.,0.)); #458331=DIRECTION('ref_axis',(0.,0.,-1.)); #458332=DIRECTION('center_axis',(0.,-1.,0.)); #458333=DIRECTION('ref_axis',(0.,0.,-1.)); #458334=DIRECTION('',(0.,-1.,0.)); #458335=DIRECTION('center_axis',(-0.92119439741419,0.,-0.389102662767433)); #458336=DIRECTION('ref_axis',(-0.389102662767433,0.,0.92119439741419)); #458337=DIRECTION('',(0.,-1.,0.)); #458338=DIRECTION('center_axis',(0.,-1.,0.)); #458339=DIRECTION('ref_axis',(0.,0.,-1.)); #458340=DIRECTION('',(0.,-1.,0.)); #458341=DIRECTION('center_axis',(0.,0.,-1.)); #458342=DIRECTION('ref_axis',(-1.,0.,0.)); #458343=DIRECTION('',(0.,-1.,0.)); #458344=DIRECTION('center_axis',(0.,-1.,0.)); #458345=DIRECTION('ref_axis',(0.,0.,-1.)); #458346=DIRECTION('',(0.,-1.,0.)); #458347=DIRECTION('center_axis',(0.92119439741419,0.,-0.389102662767433)); #458348=DIRECTION('ref_axis',(-0.389102662767433,0.,-0.92119439741419)); #458349=DIRECTION('',(0.,-1.,0.)); #458350=DIRECTION('center_axis',(0.,-1.,0.)); #458351=DIRECTION('ref_axis',(0.,0.,-1.)); #458352=DIRECTION('center_axis',(0.,-1.,0.)); #458353=DIRECTION('ref_axis',(0.,0.,-1.)); #458354=DIRECTION('',(0.,1.,0.)); #458355=DIRECTION('center_axis',(-0.92119439741419,0.,-0.389102662767433)); #458356=DIRECTION('ref_axis',(-0.389102662767433,0.,0.92119439741419)); #458357=DIRECTION('',(0.,-1.,0.)); #458358=DIRECTION('center_axis',(0.,-1.,0.)); #458359=DIRECTION('ref_axis',(0.,0.,-1.)); #458360=DIRECTION('',(0.,1.,0.)); #458361=DIRECTION('center_axis',(0.,0.,-1.)); #458362=DIRECTION('ref_axis',(-1.,0.,0.)); #458363=DIRECTION('',(0.,-1.,0.)); #458364=DIRECTION('center_axis',(0.,-1.,0.)); #458365=DIRECTION('ref_axis',(0.,0.,-1.)); #458366=DIRECTION('',(0.,1.,0.)); #458367=DIRECTION('center_axis',(0.92119439741419,0.,-0.389102662767433)); #458368=DIRECTION('ref_axis',(-0.389102662767433,0.,-0.92119439741419)); #458369=DIRECTION('',(0.,-1.,0.)); #458370=DIRECTION('center_axis',(0.,-1.,0.)); #458371=DIRECTION('ref_axis',(0.,0.,-1.)); #458372=DIRECTION('center_axis',(1.,0.,0.)); #458373=DIRECTION('ref_axis',(0.,0.,-1.)); #458374=DIRECTION('',(2.11181198884419E-15,0.,-1.)); #458375=DIRECTION('',(0.,0.,-1.)); #458376=DIRECTION('center_axis',(0.,-1.,0.)); #458377=DIRECTION('ref_axis',(0.,0.,-1.)); #458378=DIRECTION('center_axis',(-1.,0.,0.)); #458379=DIRECTION('ref_axis',(0.,0.,1.)); #458380=DIRECTION('',(-2.11181198884419E-15,0.,-1.)); #458381=DIRECTION('',(0.,0.,-1.)); #458382=DIRECTION('center_axis',(8.470329472543E-17,1.,0.)); #458383=DIRECTION('ref_axis',(-1.,8.470329472543E-17,0.)); #458384=DIRECTION('center_axis',(0.,-1.,0.)); #458385=DIRECTION('ref_axis',(0.,0.,-1.)); #458386=DIRECTION('center_axis',(0.,1.,0.)); #458387=DIRECTION('ref_axis',(1.,0.,0.)); #458388=DIRECTION('',(-0.389102662767433,0.,0.92119439741419)); #458389=DIRECTION('center_axis',(0.,-1.,0.)); #458390=DIRECTION('ref_axis',(1.,0.,0.)); #458391=DIRECTION('',(-1.,0.,0.)); #458392=DIRECTION('center_axis',(0.,-1.,0.)); #458393=DIRECTION('ref_axis',(1.,0.,0.)); #458394=DIRECTION('',(-0.389102662767433,0.,-0.92119439741419)); #458395=DIRECTION('center_axis',(0.,1.,0.)); #458396=DIRECTION('ref_axis',(1.,0.,0.)); #458397=DIRECTION('center_axis',(0.,-1.,0.)); #458398=DIRECTION('ref_axis',(0.,0.,-1.)); #458399=DIRECTION('',(0.389102662767433,0.,0.92119439741419)); #458400=DIRECTION('center_axis',(0.,-1.,0.)); #458401=DIRECTION('ref_axis',(0.,0.,-1.)); #458402=DIRECTION('',(1.,0.,0.)); #458403=DIRECTION('center_axis',(0.,-1.,0.)); #458404=DIRECTION('ref_axis',(0.,0.,-1.)); #458405=DIRECTION('',(0.389102662767433,0.,-0.92119439741419)); #458406=DIRECTION('center_axis',(0.,-1.,0.)); #458407=DIRECTION('ref_axis',(0.,0.,-1.)); #458408=DIRECTION('center_axis',(0.,1.,0.)); #458409=DIRECTION('ref_axis',(-0.389102662767433,0.,0.92119439741419)); #458410=DIRECTION('',(0.389102662767433,0.,-0.92119439741419)); #458411=DIRECTION('center_axis',(0.,-1.,0.)); #458412=DIRECTION('ref_axis',(0.,0.,-1.)); #458413=DIRECTION('',(1.,0.,0.)); #458414=DIRECTION('center_axis',(0.,-1.,0.)); #458415=DIRECTION('ref_axis',(0.,0.,-1.)); #458416=DIRECTION('',(0.389102662767433,0.,0.92119439741419)); #458417=DIRECTION('center_axis',(0.,-1.,0.)); #458418=DIRECTION('ref_axis',(0.,0.,-1.)); #458419=DIRECTION('center_axis',(0.,1.,0.)); #458420=DIRECTION('ref_axis',(1.,0.,0.)); #458421=DIRECTION('',(-0.389102662767433,0.,-0.92119439741419)); #458422=DIRECTION('center_axis',(0.,-1.,0.)); #458423=DIRECTION('ref_axis',(1.,0.,0.)); #458424=DIRECTION('',(-1.,0.,0.)); #458425=DIRECTION('center_axis',(0.,-1.,0.)); #458426=DIRECTION('ref_axis',(1.,0.,0.)); #458427=DIRECTION('',(-0.389102662767433,0.,0.92119439741419)); #458428=DIRECTION('center_axis',(0.,1.,0.)); #458429=DIRECTION('ref_axis',(1.,0.,0.)); #458430=DIRECTION('center_axis',(0.,-1.,0.)); #458431=DIRECTION('ref_axis',(0.,0.,-1.)); #458432=DIRECTION('center_axis',(0.,-1.,0.)); #458433=DIRECTION('ref_axis',(0.,0.,-1.)); #458434=DIRECTION('',(0.,-1.,0.)); #458435=DIRECTION('center_axis',(0.92119439741419,0.,0.389102662767433)); #458436=DIRECTION('ref_axis',(0.389102662767433,0.,-0.92119439741419)); #458437=DIRECTION('',(0.,-1.,0.)); #458438=DIRECTION('center_axis',(0.,-1.,0.)); #458439=DIRECTION('ref_axis',(0.,0.,-1.)); #458440=DIRECTION('',(0.,-1.,0.)); #458441=DIRECTION('center_axis',(0.,0.,1.)); #458442=DIRECTION('ref_axis',(1.,0.,0.)); #458443=DIRECTION('',(0.,-1.,0.)); #458444=DIRECTION('center_axis',(0.,-1.,0.)); #458445=DIRECTION('ref_axis',(0.,0.,-1.)); #458446=DIRECTION('',(0.,-1.,0.)); #458447=DIRECTION('center_axis',(-0.92119439741419,0.,0.389102662767433)); #458448=DIRECTION('ref_axis',(0.389102662767433,0.,0.92119439741419)); #458449=DIRECTION('',(0.,-1.,0.)); #458450=DIRECTION('center_axis',(0.,-1.,0.)); #458451=DIRECTION('ref_axis',(0.,0.,-1.)); #458452=DIRECTION('center_axis',(0.,-1.,0.)); #458453=DIRECTION('ref_axis',(0.,0.,-1.)); #458454=DIRECTION('',(0.,1.,0.)); #458455=DIRECTION('center_axis',(0.92119439741419,0.,0.389102662767433)); #458456=DIRECTION('ref_axis',(0.389102662767433,0.,-0.92119439741419)); #458457=DIRECTION('',(0.,-1.,0.)); #458458=DIRECTION('center_axis',(0.,-1.,0.)); #458459=DIRECTION('ref_axis',(0.,0.,-1.)); #458460=DIRECTION('',(0.,1.,0.)); #458461=DIRECTION('center_axis',(0.,0.,1.)); #458462=DIRECTION('ref_axis',(1.,0.,0.)); #458463=DIRECTION('',(0.,-1.,0.)); #458464=DIRECTION('center_axis',(0.,-1.,0.)); #458465=DIRECTION('ref_axis',(0.,0.,-1.)); #458466=DIRECTION('',(0.,1.,0.)); #458467=DIRECTION('center_axis',(-0.92119439741419,0.,0.389102662767433)); #458468=DIRECTION('ref_axis',(0.389102662767433,0.,0.92119439741419)); #458469=DIRECTION('',(0.,-1.,0.)); #458470=DIRECTION('center_axis',(0.,-1.,0.)); #458471=DIRECTION('ref_axis',(0.,0.,-1.)); #458472=DIRECTION('center_axis',(0.5,0.,-0.866025403784439)); #458473=DIRECTION('ref_axis',(-0.866025403784439,0.,-0.5)); #458474=DIRECTION('center_axis',(-0.5,0.,0.866025403784439)); #458475=DIRECTION('ref_axis',(0.866025403784439,0.,0.5)); #458476=DIRECTION('',(0.5,0.,-0.866025403784439)); #458477=DIRECTION('center_axis',(-0.5,0.,0.866025403784439)); #458478=DIRECTION('ref_axis',(0.866025403784439,0.,0.5)); #458479=DIRECTION('',(0.5,0.,-0.866025403784439)); #458480=DIRECTION('center_axis',(-0.866025403784439,8.64686972952067E-16, -0.5)); #458481=DIRECTION('ref_axis',(-7.48840884897957E-16,-1.,-4.32343486476034E-16)); #458482=DIRECTION('',(-7.48840884897958E-16,-1.,-4.32343486476033E-16)); #458483=DIRECTION('',(7.48840884897958E-16,1.,4.32343486476033E-16)); #458484=DIRECTION('',(0.5,0.,-0.866025403784439)); #458485=DIRECTION('center_axis',(0.5,0.,-0.866025403784439)); #458486=DIRECTION('ref_axis',(0.671860342095719,0.630981965636656,0.387898749366797)); #458487=DIRECTION('center_axis',(-0.5,0.,0.866025403784439)); #458488=DIRECTION('ref_axis',(0.866025403784439,0.,0.5)); #458489=DIRECTION('center_axis',(-0.5,0.,0.866025403784439)); #458490=DIRECTION('ref_axis',(-0.866025403784439,0.,-0.5)); #458491=DIRECTION('center_axis',(-8.02518482231389E-16,1.,-4.63334261745942E-16)); #458492=DIRECTION('ref_axis',(-0.866025403784439,-9.26668523491884E-16, -0.5)); #458493=DIRECTION('',(0.5,0.,-0.866025403784439)); #458494=DIRECTION('',(0.866025403784439,9.26668523491884E-16,0.5)); #458495=DIRECTION('',(-0.866025403784439,-9.26668523491884E-16,-0.5)); #458496=DIRECTION('center_axis',(0.5,0.,-0.866025403784439)); #458497=DIRECTION('ref_axis',(-0.866025403784439,0.,-0.5)); #458498=DIRECTION('center_axis',(-0.5,0.,0.866025403784439)); #458499=DIRECTION('ref_axis',(0.866025403784439,0.,0.5)); #458500=DIRECTION('',(0.5,-2.94300768366755E-32,-0.866025403784439)); #458501=DIRECTION('',(0.5,-2.94300768366755E-32,-0.866025403784439)); #458502=DIRECTION('center_axis',(0.5,0.,-0.866025403784439)); #458503=DIRECTION('ref_axis',(0.866025403784439,0.,0.5)); #458504=DIRECTION('center_axis',(-0.5,0.,0.866025403784439)); #458505=DIRECTION('ref_axis',(0.866025403784439,0.,0.5)); #458506=DIRECTION('',(-1.57502175620053E-16,1.,-9.09339234921896E-17)); #458507=DIRECTION('center_axis',(-0.5,0.,0.866025403784439)); #458508=DIRECTION('ref_axis',(0.866025403784439,0.,0.5)); #458509=DIRECTION('',(-1.57502175620053E-16,1.,-9.09339234921896E-17)); #458510=DIRECTION('center_axis',(-5.8860153673351E-32,-1.,-9.52482186350414E-48)); #458511=DIRECTION('ref_axis',(-1.61821219773956E-16,1.58006247289443E-62, 1.)); #458512=DIRECTION('center_axis',(-5.8860153673351E-32,-1.,-9.52482186350414E-48)); #458513=DIRECTION('ref_axis',(-1.61821219773956E-16,1.58006247289443E-62, 1.)); #458514=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #458515=DIRECTION('ref_axis',(0.,-8.70845118462252E-16,-1.)); #458516=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #458517=DIRECTION('ref_axis',(0.,-8.70845118462252E-16,-1.)); #458518=DIRECTION('',(0.,-1.,8.70845118462252E-16)); #458519=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #458520=DIRECTION('ref_axis',(-1.,0.,0.)); #458521=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #458522=DIRECTION('ref_axis',(0.,-8.70845118462252E-16,-1.)); #458523=DIRECTION('',(0.,-1.,8.70845118462252E-16)); #458524=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #458525=DIRECTION('ref_axis',(0.,-8.70845118462252E-16,-1.)); #458526=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #458527=DIRECTION('ref_axis',(1.,0.,0.)); #458528=DIRECTION('center_axis',(-1.,0.,0.)); #458529=DIRECTION('ref_axis',(0.,0.,1.)); #458530=DIRECTION('',(0.,-8.70845118462252E-16,-1.)); #458531=DIRECTION('',(0.,-8.70845118462252E-16,-1.)); #458532=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #458533=DIRECTION('ref_axis',(0.,-8.70845118462252E-16,-1.)); #458534=DIRECTION('center_axis',(0.,-1.,7.40148683083438E-16)); #458535=DIRECTION('ref_axis',(0.,0.,-1.)); #458536=DIRECTION('center_axis',(0.,-7.2280144832367E-16,-1.)); #458537=DIRECTION('ref_axis',(0.,1.,-7.2280144832367E-16)); #458538=DIRECTION('center_axis',(0.,-8.70845118462252E-16,-1.)); #458539=DIRECTION('ref_axis',(0.,1.,-8.70845118462252E-16)); #458540=DIRECTION('center_axis',(0.,-7.2280144832367E-16,-1.)); #458541=DIRECTION('ref_axis',(0.,1.,-7.2280144832367E-16)); #458542=DIRECTION('center_axis',(0.,-1.,7.40148683083438E-16)); #458543=DIRECTION('ref_axis',(0.,0.,-1.)); #458544=DIRECTION('center_axis',(0.,-1.,0.)); #458545=DIRECTION('ref_axis',(0.,0.,-1.)); #458546=DIRECTION('',(-1.,0.,-6.12323399573677E-17)); #458547=DIRECTION('',(-1.,0.,-6.12323399573677E-17)); #458548=DIRECTION('',(6.12323399573677E-17,0.,-1.)); #458549=DIRECTION('center_axis',(1.,0.,6.12323399573677E-17)); #458550=DIRECTION('ref_axis',(6.12323399573677E-17,0.,-1.)); #458551=DIRECTION('',(0.,-1.,0.)); #458552=DIRECTION('',(0.,-1.,0.)); #458553=DIRECTION('',(6.12323399573677E-17,0.,-1.)); #458554=DIRECTION('center_axis',(1.80700362080917E-16,1.,1.10647060013582E-32)); #458555=DIRECTION('ref_axis',(-1.,1.80700362080917E-16,0.)); #458556=DIRECTION('',(1.,-1.80700362080917E-16,6.12323399573677E-17)); #458557=DIRECTION('',(1.,-1.80700362080917E-16,6.12323399573677E-17)); #458558=DIRECTION('center_axis',(-6.12323399573677E-17,0.,1.)); #458559=DIRECTION('ref_axis',(1.,0.,6.12323399573677E-17)); #458560=DIRECTION('center_axis',(-6.12323399573677E-17,0.,1.)); #458561=DIRECTION('ref_axis',(1.,0.,6.12323399573677E-17)); #458562=DIRECTION('center_axis',(-6.12323399573677E-17,-1.,-6.12323399573677E-17)); #458563=DIRECTION('ref_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #458564=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #458565=DIRECTION('',(0.,-6.12323399573677E-17,1.)); #458566=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #458567=DIRECTION('',(0.,-6.12323399573677E-17,1.)); #458568=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #458569=DIRECTION('ref_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #458570=DIRECTION('center_axis',(-0.894427190999916,0.447213595499957,2.73839349132101E-17)); #458571=DIRECTION('ref_axis',(-0.447213595499957,-0.894427190999916,-5.47678698264203E-17)); #458572=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #458573=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #458574=DIRECTION('ref_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #458575=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #458576=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #458577=DIRECTION('',(-6.12323399573677E-17,-1.,-6.12323399573677E-17)); #458578=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #458579=DIRECTION('',(-6.12323399573677E-17,-1.,-6.12323399573677E-17)); #458580=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #458581=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #458582=DIRECTION('ref_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #458583=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #458584=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #458585=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #458586=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #458587=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #458588=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #458589=DIRECTION('ref_axis',(-4.34020088631257E-17,-0.708808595153212, 0.705400861522674)); #458590=DIRECTION('center_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #458591=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #458592=DIRECTION('center_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #458593=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #458594=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #458595=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #458596=DIRECTION('ref_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #458597=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #458598=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #458599=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #458600=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #458601=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #458602=DIRECTION('center_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #458603=DIRECTION('ref_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #458604=DIRECTION('',(0.,6.12323399573677E-17,-1.)); #458605=DIRECTION('',(0.,6.12323399573677E-17,-1.)); #458606=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #458607=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #458608=DIRECTION('ref_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #458609=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #458610=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #458611=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #458612=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #458613=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #458614=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #458615=DIRECTION('ref_axis',(-4.34020088631253E-17,-0.708808595153206, -0.70540086152268)); #458616=DIRECTION('center_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #458617=DIRECTION('ref_axis',(-8.89496095518683E-48,-6.12323399573677E-17, 1.)); #458618=DIRECTION('center_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #458619=DIRECTION('ref_axis',(-8.89496095518683E-48,-6.12323399573677E-17, 1.)); #458620=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #458621=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #458622=DIRECTION('ref_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #458623=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #458624=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #458625=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #458626=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #458627=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #458628=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #458629=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #458630=DIRECTION('',(6.12323399573677E-17,1.,6.12323399573677E-17)); #458631=DIRECTION('',(6.12323399573677E-17,1.,6.12323399573677E-17)); #458632=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #458633=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #458634=DIRECTION('ref_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #458635=DIRECTION('center_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #458636=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #458637=DIRECTION('center_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #458638=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #458639=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #458640=DIRECTION('center_axis',(-6.12323399573677E-17,-1.,-6.12323399573677E-17)); #458641=DIRECTION('ref_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #458642=DIRECTION('',(0.,-6.12323399573677E-17,1.)); #458643=DIRECTION('',(0.,-6.12323399573677E-17,1.)); #458644=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #458645=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #458646=DIRECTION('ref_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #458647=DIRECTION('center_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #458648=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #458649=DIRECTION('center_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #458650=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #458651=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #458652=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #458653=DIRECTION('',(-6.12323399573677E-17,1.,6.12323399573677E-17)); #458654=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #458655=DIRECTION('',(-6.12323399573677E-17,1.,6.12323399573677E-17)); #458656=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #458657=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #458658=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #458659=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #458660=DIRECTION('ref_axis',(8.89496095518683E-48,-6.12323399573677E-17, 1.)); #458661=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #458662=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #458663=DIRECTION('ref_axis',(8.89496095518683E-48,-6.12323399573677E-17, 1.)); #458664=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #458665=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #458666=DIRECTION('center_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #458667=DIRECTION('ref_axis',(-8.89496095518683E-48,6.12323399573677E-17, -1.)); #458668=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #458669=DIRECTION('center_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #458670=DIRECTION('ref_axis',(-8.89496095518683E-48,6.12323399573677E-17, -1.)); #458671=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #458672=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #458673=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #458674=DIRECTION('ref_axis',(-8.89496095518683E-48,6.12323399573677E-17, -1.)); #458675=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #458676=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #458677=DIRECTION('ref_axis',(-8.89496095518683E-48,6.12323399573677E-17, -1.)); #458678=DIRECTION('center_axis',(-6.12323399573677E-17,1.,6.12323399573677E-17)); #458679=DIRECTION('ref_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #458680=DIRECTION('',(0.,-6.12323399573677E-17,1.)); #458681=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #458682=DIRECTION('',(0.,-6.12323399573677E-17,1.)); #458683=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #458684=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #458685=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #458686=DIRECTION('ref_axis',(-8.89496095518683E-48,6.12323399573677E-17, -1.)); #458687=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #458688=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #458689=DIRECTION('ref_axis',(-8.89496095518683E-48,6.12323399573677E-17, -1.)); #458690=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #458691=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #458692=DIRECTION('center_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #458693=DIRECTION('ref_axis',(8.89496095518683E-48,-6.12323399573677E-17, 1.)); #458694=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #458695=DIRECTION('center_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #458696=DIRECTION('ref_axis',(8.89496095518683E-48,-6.12323399573677E-17, 1.)); #458697=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #458698=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #458699=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #458700=DIRECTION('ref_axis',(-8.89496095518683E-48,6.12323399573677E-17, -1.)); #458701=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #458702=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #458703=DIRECTION('ref_axis',(-8.89496095518683E-48,6.12323399573677E-17, -1.)); #458704=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #458705=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #458706=DIRECTION('',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #458707=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #458708=DIRECTION('',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #458709=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #458710=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #458711=DIRECTION('center_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #458712=DIRECTION('ref_axis',(8.89496095518683E-48,-6.12323399573677E-17, 1.)); #458713=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #458714=DIRECTION('center_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #458715=DIRECTION('ref_axis',(8.89496095518683E-48,-6.12323399573677E-17, 1.)); #458716=DIRECTION('center_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #458717=DIRECTION('ref_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #458718=DIRECTION('',(0.,6.12323399573677E-17,-1.)); #458719=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #458720=DIRECTION('',(0.,6.12323399573677E-17,-1.)); #458721=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #458722=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #458723=DIRECTION('center_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #458724=DIRECTION('ref_axis',(-8.89496095518683E-48,6.12323399573677E-17, -1.)); #458725=DIRECTION('center_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #458726=DIRECTION('ref_axis',(-8.89496095518683E-48,6.12323399573677E-17, -1.)); #458727=DIRECTION('center_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #458728=DIRECTION('ref_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #458729=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #458730=DIRECTION('',(0.,6.12323399573677E-17,-1.)); #458731=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #458732=DIRECTION('',(0.,6.12323399573677E-17,-1.)); #458733=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #458734=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #458735=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #458736=DIRECTION('center_axis',(0.894427190999916,0.447213595499958,2.73839349132101E-17)); #458737=DIRECTION('ref_axis',(0.447213595499958,-0.894427190999916,-5.47678698264203E-17)); #458738=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #458739=DIRECTION('ref_axis',(-6.12323399573677E-17,1.,6.12323399573677E-17)); #458740=DIRECTION('',(-0.0803254032550899,0.996768694127132,6.1034479537654E-17)); #458741=DIRECTION('',(0.,1.,6.12323399573677E-17)); #458742=DIRECTION('',(0.,1.,6.12323399573677E-17)); #458743=DIRECTION('',(-0.630914168390843,0.775852635571789,4.75072723381514E-17)); #458744=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #458745=DIRECTION('ref_axis',(1.,-6.12323399573677E-17,-3.74939945665465E-33)); #458746=DIRECTION('',(-0.447213595499957,-0.894427190999917,-5.47678698264203E-17)); #458747=DIRECTION('',(6.12323399573677E-17,1.,6.12323399573677E-17)); #458748=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #458749=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #458750=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #458751=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #458752=DIRECTION('',(6.12323399573677E-17,1.,6.12323399573677E-17)); #458753=DIRECTION('center_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #458754=DIRECTION('ref_axis',(-6.12323399573677E-17,-1.,-6.12323399573677E-17)); #458755=DIRECTION('',(4.08122526502286E-32,7.27747006531333E-16,-1.)); #458756=DIRECTION('',(-6.12323399573677E-17,-1.,-6.12323399573677E-17)); #458757=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #458758=DIRECTION('ref_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #458759=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #458760=DIRECTION('ref_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #458761=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #458762=DIRECTION('center_axis',(-0.894427190999916,0.447213595499957,2.73839349132101E-17)); #458763=DIRECTION('ref_axis',(-0.447213595499957,-0.894427190999916,-5.47678698264203E-17)); #458764=DIRECTION('center_axis',(-0.894427190999916,0.447213595499957,2.73839349132101E-17)); #458765=DIRECTION('ref_axis',(1.23259516440783E-32,-6.12323399573677E-17, 1.)); #458766=DIRECTION('',(0.447213595499957,0.894427190999917,5.47678698264203E-17)); #458767=DIRECTION('',(0.,6.12323399573677E-17,-1.)); #458768=DIRECTION('center_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #458769=DIRECTION('ref_axis',(-6.12323399573677E-17,-1.,-6.12323399573677E-17)); #458770=DIRECTION('',(-6.12323399573677E-17,-1.,-6.12323399573677E-17)); #458771=DIRECTION('',(4.08122526502286E-32,7.27747006531333E-16,-1.)); #458772=DIRECTION('center_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #458773=DIRECTION('ref_axis',(-6.12323399573677E-17,1.,6.12323399573677E-17)); #458774=DIRECTION('',(-6.12323399573677E-17,1.,6.12323399573677E-17)); #458775=DIRECTION('',(0.,-6.12323399573677E-17,1.)); #458776=DIRECTION('',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #458777=DIRECTION('',(4.08122526502286E-32,-7.27747006531333E-16,1.)); #458778=DIRECTION('center_axis',(0.894427190999916,0.447213595499958,2.73839349132101E-17)); #458779=DIRECTION('ref_axis',(-5.54667823983524E-32,6.12323399573677E-17, -1.)); #458780=DIRECTION('',(-0.447213595499958,0.894427190999916,5.47678698264203E-17)); #458781=DIRECTION('',(0.447213595499958,-0.894427190999916,-5.47678698264203E-17)); #458782=DIRECTION('center_axis',(0.894427190999916,0.447213595499958,2.73839349132101E-17)); #458783=DIRECTION('ref_axis',(0.447213595499958,-0.894427190999916,-5.47678698264203E-17)); #458784=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #458785=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #458786=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #458787=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #458788=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #458789=DIRECTION('',(0.080325403255087,0.996768694127132,6.1034479537654E-17)); #458790=DIRECTION('',(-0.630914168390842,-0.77585263557179,-4.75072723381515E-17)); #458791=DIRECTION('center_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #458792=DIRECTION('ref_axis',(-6.12323399573677E-17,1.,6.12323399573677E-17)); #458793=DIRECTION('',(-6.12323399573677E-17,1.,6.12323399573677E-17)); #458794=DIRECTION('',(4.08122526502286E-32,-7.27747006531333E-16,1.)); #458795=DIRECTION('',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #458796=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #458797=DIRECTION('ref_axis',(-1.,-6.12323399573677E-17,-3.74939945665465E-33)); #458798=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #458799=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #458800=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #458801=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #458802=DIRECTION('',(0.,1.,6.12323399573677E-17)); #458803=DIRECTION('',(0.,1.,6.12323399573677E-17)); #458804=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #458805=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #458806=DIRECTION('',(6.66514666573965E-16,-6.12323399573677E-17,1.)); #458807=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #458808=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #458809=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #458810=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #458811=DIRECTION('',(0.,1.,6.12323399573677E-17)); #458812=DIRECTION('',(1.,0.,0.)); #458813=DIRECTION('',(0.,1.,6.12323399573677E-17)); #458814=DIRECTION('',(-1.,0.,0.)); #458815=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #458816=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #458817=DIRECTION('',(0.,1.,6.12323399573677E-17)); #458818=DIRECTION('',(1.,0.,0.)); #458819=DIRECTION('',(0.,1.,6.12323399573677E-17)); #458820=DIRECTION('',(-1.,0.,0.)); #458821=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #458822=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #458823=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #458824=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #458825=DIRECTION('',(6.66514666573965E-16,6.12323399573677E-17,-1.)); #458826=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #458827=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #458828=DIRECTION('',(1.,0.,0.)); #458829=DIRECTION('',(0.,1.,6.12323399573677E-17)); #458830=DIRECTION('',(1.,0.,0.)); #458831=DIRECTION('',(0.,1.,6.12323399573677E-17)); #458832=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #458833=DIRECTION('ref_axis',(1.,0.,0.)); #458834=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #458835=DIRECTION('ref_axis',(1.,0.,0.)); #458836=DIRECTION('',(0.,1.,6.12323399573677E-17)); #458837=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #458838=DIRECTION('ref_axis',(1.,0.,0.)); #458839=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #458840=DIRECTION('ref_axis',(1.,0.,0.)); #458841=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #458842=DIRECTION('ref_axis',(1.,0.,0.)); #458843=DIRECTION('',(0.,1.,6.12323399573677E-17)); #458844=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #458845=DIRECTION('ref_axis',(1.,0.,0.)); #458846=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #458847=DIRECTION('ref_axis',(1.,0.,0.)); #458848=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #458849=DIRECTION('ref_axis',(1.,0.,0.)); #458850=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #458851=DIRECTION('ref_axis',(1.,0.,0.)); #458852=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #458853=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #458854=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #458855=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #458856=DIRECTION('',(6.66514666573965E-16,6.12323399573677E-17,-1.)); #458857=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #458858=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #458859=DIRECTION('',(-1.,0.,0.)); #458860=DIRECTION('',(0.,1.,6.12323399573677E-17)); #458861=DIRECTION('',(-1.,0.,0.)); #458862=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #458863=DIRECTION('ref_axis',(1.,0.,0.)); #458864=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #458865=DIRECTION('ref_axis',(1.,0.,0.)); #458866=DIRECTION('',(0.,1.,6.12323399573677E-17)); #458867=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #458868=DIRECTION('ref_axis',(1.,0.,0.)); #458869=DIRECTION('center_axis',(0.766736137799706,3.93088585802465E-17, -0.641962378174913)); #458870=DIRECTION('ref_axis',(-0.641962378174913,4.69490478473507E-17,-0.766736137799706)); #458871=DIRECTION('',(-0.641962378174913,4.69490478473507E-17,-0.766736137799706)); #458872=DIRECTION('',(0.,1.,6.12323399573677E-17)); #458873=DIRECTION('',(-0.641962378174913,4.69490478473507E-17,-0.766736137799706)); #458874=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #458875=DIRECTION('ref_axis',(1.,0.,0.)); #458876=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #458877=DIRECTION('ref_axis',(1.,0.,0.)); #458878=DIRECTION('',(0.,1.,6.12323399573677E-17)); #458879=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #458880=DIRECTION('ref_axis',(1.,0.,0.)); #458881=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #458882=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #458883=DIRECTION('',(-1.,0.,0.)); #458884=DIRECTION('',(0.,1.,6.12323399573677E-17)); #458885=DIRECTION('',(-1.,0.,0.)); #458886=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #458887=DIRECTION('ref_axis',(1.,0.,0.)); #458888=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #458889=DIRECTION('ref_axis',(1.,0.,0.)); #458890=DIRECTION('',(0.,1.,6.12323399573677E-17)); #458891=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #458892=DIRECTION('ref_axis',(1.,0.,0.)); #458893=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #458894=DIRECTION('ref_axis',(1.,0.,0.)); #458895=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #458896=DIRECTION('ref_axis',(-1.,0.,0.)); #458897=DIRECTION('center_axis',(0.,0.707106781186549,-0.707106781186547)); #458898=DIRECTION('ref_axis',(0.,0.707106781186546,0.707106781186549)); #458899=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #458900=DIRECTION('ref_axis',(-1.,0.,0.)); #458901=DIRECTION('center_axis',(0.,0.707106781186549,-0.707106781186547)); #458902=DIRECTION('ref_axis',(1.,0.,0.)); #458903=DIRECTION('center_axis',(0.,0.707106781186549,-0.707106781186547)); #458904=DIRECTION('ref_axis',(0.,0.707106781186546,0.707106781186549)); #458905=DIRECTION('',(-1.,0.,0.)); #458906=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #458907=DIRECTION('ref_axis',(-0.232364067292044,-5.95563422961443E-17, 0.972628881039165)); #458908=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #458909=DIRECTION('ref_axis',(1.,0.,0.)); #458910=DIRECTION('',(0.,1.,6.12323399573677E-17)); #458911=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #458912=DIRECTION('ref_axis',(1.,0.,0.)); #458913=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #458914=DIRECTION('ref_axis',(1.,0.,0.)); #458915=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #458916=DIRECTION('ref_axis',(1.,0.,0.)); #458917=DIRECTION('',(0.,1.,6.12323399573677E-17)); #458918=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #458919=DIRECTION('ref_axis',(1.,0.,0.)); #458920=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #458921=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #458922=DIRECTION('',(-1.,0.,0.)); #458923=DIRECTION('',(0.,1.,6.12323399573677E-17)); #458924=DIRECTION('',(-1.,0.,0.)); #458925=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #458926=DIRECTION('ref_axis',(1.,0.,0.)); #458927=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #458928=DIRECTION('ref_axis',(1.,0.,0.)); #458929=DIRECTION('',(0.,1.,6.12323399573677E-17)); #458930=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #458931=DIRECTION('ref_axis',(1.,0.,0.)); #458932=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #458933=DIRECTION('ref_axis',(1.,0.,0.)); #458934=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #458935=DIRECTION('ref_axis',(-1.,0.,0.)); #458936=DIRECTION('center_axis',(0.,0.707106781186549,-0.707106781186547)); #458937=DIRECTION('ref_axis',(0.,0.707106781186546,0.707106781186549)); #458938=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #458939=DIRECTION('ref_axis',(-1.,0.,0.)); #458940=DIRECTION('center_axis',(0.,0.707106781186549,-0.707106781186547)); #458941=DIRECTION('ref_axis',(1.,0.,0.)); #458942=DIRECTION('center_axis',(0.,0.707106781186549,-0.707106781186547)); #458943=DIRECTION('ref_axis',(0.,0.707106781186546,0.707106781186549)); #458944=DIRECTION('',(-1.,0.,0.)); #458945=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #458946=DIRECTION('ref_axis',(-0.232364067292051,-5.95563422961442E-17, 0.972628881039164)); #458947=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #458948=DIRECTION('ref_axis',(1.,0.,0.)); #458949=DIRECTION('',(0.,1.,6.12323399573677E-17)); #458950=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #458951=DIRECTION('ref_axis',(1.,0.,0.)); #458952=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #458953=DIRECTION('ref_axis',(1.,0.,0.)); #458954=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #458955=DIRECTION('ref_axis',(1.,0.,0.)); #458956=DIRECTION('',(0.,1.,6.12323399573677E-17)); #458957=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #458958=DIRECTION('ref_axis',(1.,0.,0.)); #458959=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #458960=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #458961=DIRECTION('',(-1.,0.,0.)); #458962=DIRECTION('',(0.,1.,6.12323399573677E-17)); #458963=DIRECTION('',(-1.,0.,0.)); #458964=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #458965=DIRECTION('ref_axis',(1.,0.,0.)); #458966=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #458967=DIRECTION('ref_axis',(1.,0.,0.)); #458968=DIRECTION('',(0.,1.,6.12323399573677E-17)); #458969=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #458970=DIRECTION('ref_axis',(1.,0.,0.)); #458971=DIRECTION('center_axis',(-0.766736137799706,3.93088585802465E-17, -0.641962378174913)); #458972=DIRECTION('ref_axis',(-0.641962378174913,-4.69490478473507E-17, 0.766736137799706)); #458973=DIRECTION('',(-0.641962378174913,-4.69490478473507E-17,0.766736137799706)); #458974=DIRECTION('',(0.,1.,6.12323399573677E-17)); #458975=DIRECTION('',(-0.641962378174913,-4.69490478473507E-17,0.766736137799706)); #458976=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #458977=DIRECTION('ref_axis',(1.,0.,0.)); #458978=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #458979=DIRECTION('ref_axis',(1.,0.,0.)); #458980=DIRECTION('',(0.,1.,6.12323399573677E-17)); #458981=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #458982=DIRECTION('ref_axis',(1.,0.,0.)); #458983=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #458984=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #458985=DIRECTION('',(-1.,0.,0.)); #458986=DIRECTION('',(-1.,0.,0.)); #458987=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #458988=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #458989=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #458990=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #458991=DIRECTION('',(6.66514666573965E-16,-6.12323399573677E-17,1.)); #458992=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #458993=DIRECTION('ref_axis',(1.,0.,0.)); #458994=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #458995=DIRECTION('ref_axis',(1.,0.,0.)); #458996=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #458997=DIRECTION('ref_axis',(1.,0.,0.)); #458998=DIRECTION('',(0.,1.,6.12323399573677E-17)); #458999=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #459000=DIRECTION('ref_axis',(1.,0.,0.)); #459001=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #459002=DIRECTION('ref_axis',(1.,0.,0.)); #459003=DIRECTION('',(0.,1.,6.12323399573677E-17)); #459004=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #459005=DIRECTION('ref_axis',(1.,0.,0.)); #459006=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #459007=DIRECTION('ref_axis',(1.,0.,0.)); #459008=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #459009=DIRECTION('ref_axis',(1.,0.,0.)); #459010=DIRECTION('',(0.,1.,6.12323399573677E-17)); #459011=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #459012=DIRECTION('ref_axis',(1.,0.,0.)); #459013=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #459014=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #459015=DIRECTION('',(1.,0.,0.)); #459016=DIRECTION('',(0.,1.,6.12323399573677E-17)); #459017=DIRECTION('',(1.,0.,0.)); #459018=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #459019=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #459020=DIRECTION('',(1.,0.,0.)); #459021=DIRECTION('',(0.,1.,6.12323399573677E-17)); #459022=DIRECTION('',(1.,0.,0.)); #459023=DIRECTION('',(0.,1.,6.12323399573677E-17)); #459024=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #459025=DIRECTION('ref_axis',(1.,0.,0.)); #459026=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #459027=DIRECTION('ref_axis',(1.,0.,0.)); #459028=DIRECTION('',(0.,1.,6.12323399573677E-17)); #459029=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #459030=DIRECTION('ref_axis',(1.,0.,0.)); #459031=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #459032=DIRECTION('ref_axis',(1.,0.,0.)); #459033=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #459034=DIRECTION('ref_axis',(-1.,0.,0.)); #459035=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #459036=DIRECTION('ref_axis',(-1.,0.,0.)); #459037=DIRECTION('',(0.,1.,6.12323399573677E-17)); #459038=DIRECTION('center_axis',(-1.,6.80904984698964E-32,-1.11200222819026E-15)); #459039=DIRECTION('ref_axis',(-1.11200222819026E-15,-6.12323399573677E-17, 1.)); #459040=DIRECTION('',(-1.11200222819026E-15,-6.12323399573677E-17,1.)); #459041=DIRECTION('center_axis',(-1.,0.,0.)); #459042=DIRECTION('ref_axis',(0.,0.,1.)); #459043=DIRECTION('',(0.,0.19945646869513,0.979906687953332)); #459044=DIRECTION('center_axis',(1.,0.,0.)); #459045=DIRECTION('ref_axis',(0.,0.,-1.)); #459046=DIRECTION('',(-1.11200222819026E-15,-6.12323399573677E-17,1.)); #459047=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #459048=DIRECTION('ref_axis',(-1.,0.,0.)); #459049=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #459050=DIRECTION('ref_axis',(-1.,0.,0.)); #459051=DIRECTION('',(1.,5.08999306322532E-33,-8.31258950216367E-17)); #459052=DIRECTION('',(-1.11200222819026E-15,6.12323399573677E-17,-1.)); #459053=DIRECTION('',(1.,5.08999306322532E-33,-8.31258950216367E-17)); #459054=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #459055=DIRECTION('ref_axis',(1.,0.,0.)); #459056=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #459057=DIRECTION('ref_axis',(1.,0.,0.)); #459058=DIRECTION('',(-3.62227603288619E-30,1.,-1.92747052886312E-15)); #459059=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #459060=DIRECTION('ref_axis',(1.,0.,0.)); #459061=DIRECTION('',(-3.62227603288619E-30,1.,-1.92747052886312E-15)); #459062=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #459063=DIRECTION('ref_axis',(-1.,0.,0.)); #459064=DIRECTION('',(1.,5.08999306322532E-33,-8.31258950216367E-17)); #459065=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #459066=DIRECTION('ref_axis',(-1.,0.,0.)); #459067=DIRECTION('',(1.,5.08999306322532E-33,-8.31258950216367E-17)); #459068=DIRECTION('',(-1.11200222819026E-15,6.12323399573677E-17,-1.)); #459069=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #459070=DIRECTION('ref_axis',(1.,0.,0.)); #459071=DIRECTION('',(0.,1.,0.)); #459072=DIRECTION('',(0.,1.,0.)); #459073=DIRECTION('center_axis',(1.,6.80904984698964E-32,-1.11200222819026E-15)); #459074=DIRECTION('ref_axis',(-1.11200222819026E-15,6.12323399573677E-17, -1.)); #459075=DIRECTION('center_axis',(-1.,0.,0.)); #459076=DIRECTION('ref_axis',(0.,0.,-1.)); #459077=DIRECTION('',(0.,-0.19945646869513,-0.979906687953332)); #459078=DIRECTION('center_axis',(-1.,0.,0.)); #459079=DIRECTION('ref_axis',(0.,0.,1.)); #459080=DIRECTION('center_axis',(0.339799945429367,-0.93890094162551,-0.0547815562112893)); #459081=DIRECTION('ref_axis',(0.940364424215367,0.33819424037998,0.0365978885170823)); #459082=DIRECTION('',(0.,-0.998299153136683,-0.0582992353859162)); #459083=DIRECTION('center_axis',(0.734978321930246,-0.676939326426127,-0.0394970205248571)); #459084=DIRECTION('ref_axis',(-0.0113994639736623,0.0459043449844808,-0.998880795356813)); #459085=DIRECTION('center_axis',(-1.,0.,0.)); #459086=DIRECTION('ref_axis',(0.,0.,1.)); #459087=DIRECTION('center_axis',(-1.,0.,0.)); #459088=DIRECTION('ref_axis',(0.,0.,1.)); #459089=DIRECTION('',(0.,0.199456468695128,0.979906687953332)); #459090=DIRECTION('center_axis',(1.,0.,0.)); #459091=DIRECTION('ref_axis',(0.,0.,-1.)); #459092=DIRECTION('center_axis',(-1.,0.,0.)); #459093=DIRECTION('ref_axis',(0.,0.,-1.)); #459094=DIRECTION('center_axis',(-1.,0.,0.)); #459095=DIRECTION('ref_axis',(0.,0.,-1.)); #459096=DIRECTION('',(0.,-0.199456468695128,-0.979906687953332)); #459097=DIRECTION('center_axis',(1.,0.,0.)); #459098=DIRECTION('ref_axis',(0.,0.,-1.)); #459099=DIRECTION('center_axis',(-1.,0.,0.)); #459100=DIRECTION('ref_axis',(0.,0.,1.)); #459101=DIRECTION('',(0.,0.998299153136683,0.0582992353859162)); #459102=DIRECTION('center_axis',(-1.,0.,0.)); #459103=DIRECTION('ref_axis',(0.,0.,-1.)); #459104=DIRECTION('center_axis',(-0.339799945430312,-0.938900941625164, -0.0547815562113553)); #459105=DIRECTION('ref_axis',(0.940364424215158,-0.338194240381452,-0.0365978885088607)); #459106=DIRECTION('center_axis',(-0.734978321930261,-0.676939326426111, -0.0394970205248571)); #459107=DIRECTION('ref_axis',(0.0113994637719541,0.0459043452030322,-0.998880795349071)); #459108=DIRECTION('center_axis',(-1.,0.,0.)); #459109=DIRECTION('ref_axis',(0.,0.,-1.)); #459110=DIRECTION('center_axis',(-1.,0.,0.)); #459111=DIRECTION('ref_axis',(0.,0.,-1.)); #459112=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #459113=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #459114=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459115=DIRECTION('center_axis',(0.432713340037062,0.901531566476721,-5.08562323394733E-17)); #459116=DIRECTION('ref_axis',(-0.901531566476721,0.432713340037062,0.)); #459117=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459118=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #459119=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #459120=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459121=DIRECTION('center_axis',(-1.,9.11094262592861E-17,1.17528690784337E-16)); #459122=DIRECTION('ref_axis',(1.17528690784337E-16,0.,1.)); #459123=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459124=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #459125=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #459126=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459127=DIRECTION('center_axis',(0.432713340037062,-0.901531566476721,-5.08562323394733E-17)); #459128=DIRECTION('ref_axis',(0.901531566476721,0.432713340037062,0.)); #459129=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459130=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #459131=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #459132=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #459133=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #459134=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459135=DIRECTION('center_axis',(-0.432713340037062,0.901531566476721,5.08562323394734E-17)); #459136=DIRECTION('ref_axis',(-0.901531566476721,-0.432713340037062,0.)); #459137=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459138=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #459139=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #459140=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459141=DIRECTION('center_axis',(1.,4.52510150421121E-16,-1.17528690784337E-16)); #459142=DIRECTION('ref_axis',(-4.52510150421121E-16,1.,0.)); #459143=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459144=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #459145=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #459146=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459147=DIRECTION('center_axis',(-0.432713340037061,-0.901531566476721, 5.08562323394732E-17)); #459148=DIRECTION('ref_axis',(0.901531566476721,-0.432713340037061,0.)); #459149=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459150=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #459151=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #459152=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #459153=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #459154=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #459155=DIRECTION('center_axis',(-0.432713340037061,0.901531566476721,-7.00222004972597E-17)); #459156=DIRECTION('ref_axis',(-0.901531566476721,-0.432713340037061,-3.08148791101958E-33)); #459157=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #459158=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #459159=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #459160=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #459161=DIRECTION('center_axis',(1.,0.,1.61821219773956E-16)); #459162=DIRECTION('ref_axis',(1.61821219773956E-16,0.,-1.)); #459163=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #459164=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #459165=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #459166=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #459167=DIRECTION('center_axis',(-0.432713340037062,-0.901531566476721, -7.002220049726E-17)); #459168=DIRECTION('ref_axis',(0.901531566476721,-0.432713340037062,3.08148791101958E-32)); #459169=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #459170=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #459171=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #459172=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #459173=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #459174=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #459175=DIRECTION('center_axis',(0.432713340037061,0.901531566476721,7.00222004972597E-17)); #459176=DIRECTION('ref_axis',(-0.901531566476721,0.432713340037061,-3.08148791101958E-33)); #459177=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #459178=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #459179=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #459180=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #459181=DIRECTION('center_axis',(-1.,-3.64437705037144E-16,-1.61821219773956E-16)); #459182=DIRECTION('ref_axis',(3.64437705037144E-16,-1.,0.)); #459183=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #459184=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #459185=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #459186=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #459187=DIRECTION('center_axis',(0.432713340037062,-0.901531566476721,7.00222004972599E-17)); #459188=DIRECTION('ref_axis',(0.901531566476721,0.432713340037062,0.)); #459189=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #459190=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #459191=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #459192=DIRECTION('axis',(0.,0.,1.)); #459193=DIRECTION('refdir',(1.,0.,0.)); #459194=DIRECTION('center_axis',(0.99998750023437,-0.00499993750117045, 0.)); #459195=DIRECTION('ref_axis',(0.00499993750117045,0.99998750023437,0.)); #459196=DIRECTION('',(0.00499993750117045,0.99998750023437,0.)); #459197=DIRECTION('',(0.,0.,1.)); #459198=DIRECTION('',(0.00499993750117045,0.99998750023437,0.)); #459199=DIRECTION('',(0.,0.,1.)); #459200=DIRECTION('center_axis',(1.,-3.27971157176865E-15,0.)); #459201=DIRECTION('ref_axis',(3.27971157176865E-15,1.,0.)); #459202=DIRECTION('',(3.27971157176865E-15,1.,0.)); #459203=DIRECTION('center_axis',(-1.,0.,0.)); #459204=DIRECTION('ref_axis',(0.,0.,1.)); #459205=DIRECTION('',(0.,-3.01823612255331E-16,1.)); #459206=DIRECTION('center_axis',(-1.,0.,0.)); #459207=DIRECTION('ref_axis',(0.,0.,1.)); #459208=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #459209=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #459210=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #459211=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #459212=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #459213=DIRECTION('',(7.62674925284688E-31,3.01823612255331E-16,-1.)); #459214=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #459215=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #459216=DIRECTION('',(3.27971157176865E-15,1.,0.)); #459217=DIRECTION('center_axis',(-1.,-1.0842021724855E-16,0.)); #459218=DIRECTION('ref_axis',(1.0842021724855E-16,-1.,0.)); #459219=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #459220=DIRECTION('',(0.,0.,1.)); #459221=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #459222=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #459223=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #459224=DIRECTION('',(7.62674925284688E-31,3.01823612255331E-16,-1.)); #459225=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #459226=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #459227=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #459228=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #459229=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #459230=DIRECTION('center_axis',(-1.,0.,0.)); #459231=DIRECTION('ref_axis',(0.,0.,1.)); #459232=DIRECTION('',(0.,-3.01823612255331E-16,1.)); #459233=DIRECTION('center_axis',(-1.,0.,0.)); #459234=DIRECTION('ref_axis',(0.,0.,1.)); #459235=DIRECTION('center_axis',(-0.99998750023437,-0.00499993750117207, 0.)); #459236=DIRECTION('ref_axis',(0.00499993750117207,-0.99998750023437,0.)); #459237=DIRECTION('',(0.00499993750117207,-0.99998750023437,0.)); #459238=DIRECTION('',(0.,0.,1.)); #459239=DIRECTION('',(0.00499993750117207,-0.99998750023437,0.)); #459240=DIRECTION('center_axis',(-1.,1.35187303302432E-16,0.)); #459241=DIRECTION('ref_axis',(-1.35187303302432E-16,-1.,0.)); #459242=DIRECTION('',(0.,0.,1.)); #459243=DIRECTION('',(9.55918588954723E-17,0.707106781186549,-0.707106781186546)); #459244=DIRECTION('',(-1.35187303302432E-16,-1.,0.)); #459245=DIRECTION('',(-1.35187303302432E-16,-1.,0.)); #459246=DIRECTION('',(9.55918588954723E-17,0.707106781186548,0.707106781186547)); #459247=DIRECTION('center_axis',(0.,1.,0.)); #459248=DIRECTION('ref_axis',(0.,0.,1.)); #459249=DIRECTION('',(0.,0.,1.)); #459250=DIRECTION('',(1.,0.,0.)); #459251=DIRECTION('',(-1.,0.,0.)); #459252=DIRECTION('center_axis',(1.,1.35187303302432E-16,0.)); #459253=DIRECTION('ref_axis',(-1.35187303302432E-16,1.,0.)); #459254=DIRECTION('',(-1.35187303302432E-16,1.,0.)); #459255=DIRECTION('',(9.55918588954723E-17,-0.707106781186549,0.707106781186546)); #459256=DIRECTION('',(9.55918588954723E-17,-0.707106781186548,-0.707106781186547)); #459257=DIRECTION('',(-1.35187303302432E-16,1.,0.)); #459258=DIRECTION('center_axis',(0.,0.,-1.)); #459259=DIRECTION('ref_axis',(-1.,0.,0.)); #459260=DIRECTION('',(-1.,0.,0.)); #459261=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #459262=DIRECTION('center_axis',(0.,0.,-1.)); #459263=DIRECTION('ref_axis',(-1.,0.,0.)); #459264=DIRECTION('',(1.,0.,0.)); #459265=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #459266=DIRECTION('center_axis',(0.,1.,2.25875452601147E-16)); #459267=DIRECTION('ref_axis',(-1.,0.,0.)); #459268=DIRECTION('',(1.,0.,0.)); #459269=DIRECTION('',(-1.,0.,0.)); #459270=DIRECTION('center_axis',(-1.,0.,0.)); #459271=DIRECTION('ref_axis',(0.,0.,1.)); #459272=DIRECTION('',(-1.,0.,0.)); #459273=DIRECTION('center_axis',(0.,1.,3.01823612255331E-16)); #459274=DIRECTION('ref_axis',(0.,-3.01823612255331E-16,1.)); #459275=DIRECTION('',(-1.,0.,0.)); #459276=DIRECTION('center_axis',(-1.,0.,0.)); #459277=DIRECTION('ref_axis',(0.,0.,1.)); #459278=DIRECTION('',(-1.,0.,0.)); #459279=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #459280=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #459281=DIRECTION('center_axis',(-1.,0.,0.)); #459282=DIRECTION('ref_axis',(0.,0.,1.)); #459283=DIRECTION('',(1.,0.,0.)); #459284=DIRECTION('center_axis',(0.,1.,3.01823612255331E-16)); #459285=DIRECTION('ref_axis',(0.,-3.01823612255331E-16,1.)); #459286=DIRECTION('',(-1.,0.,0.)); #459287=DIRECTION('center_axis',(-1.,0.,0.)); #459288=DIRECTION('ref_axis',(0.,0.,1.)); #459289=DIRECTION('',(1.,0.,0.)); #459290=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #459291=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #459292=DIRECTION('center_axis',(0.,-0.707106781186547,-0.707106781186549)); #459293=DIRECTION('ref_axis',(1.,0.,0.)); #459294=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186548)); #459295=DIRECTION('ref_axis',(-1.,0.,0.)); #459296=DIRECTION('',(0.,0.,1.)); #459297=DIRECTION('',(1.,0.,0.)); #459298=DIRECTION('',(-7.39557098644699E-32,1.08694881798913E-15,1.)); #459299=DIRECTION('',(1.,-1.0842021724855E-16,1.97215226305253E-31)); #459300=DIRECTION('',(-9.86076131526265E-32,9.66963777567405E-16,1.)); #459301=DIRECTION('',(1.,3.27971157176865E-15,-3.08148791101958E-30)); #459302=DIRECTION('',(0.,2.77700021424954E-15,1.)); #459303=DIRECTION('',(1.,3.27971157176865E-15,-9.12120421661795E-30)); #459304=DIRECTION('',(6.80836812848299E-17,-4.44089209850069E-16,1.)); #459305=DIRECTION('',(1.,3.38150651353554E-15,-6.80836812848284E-17)); #459306=DIRECTION('',(1.18329135783152E-29,2.10942374678779E-15,1.)); #459307=DIRECTION('',(1.,-2.25645248027861E-15,-7.07509624370095E-30)); #459308=DIRECTION('',(-8.73390613065168E-16,6.98370759370329E-16,1.)); #459309=DIRECTION('',(1.,-2.91436602748403E-15,8.7339061306517E-16)); #459310=DIRECTION('',(4.33680868994199E-15,9.83880281668189E-16,1.)); #459311=DIRECTION('',(1.,3.27971157176865E-15,-4.33680868994199E-15)); #459312=DIRECTION('',(-1.27182698291844E-15,-2.02167565096131E-15,1.)); #459313=DIRECTION('',(1.,-1.47360374210741E-15,1.27182698291844E-15)); #459314=DIRECTION('',(7.39557098644699E-32,1.08694881798913E-15,1.)); #459315=DIRECTION('',(-1.,-6.67538261041712E-15,7.34626717987067E-30)); #459316=DIRECTION('',(-6.80836812848299E-17,-4.44089209850069E-16,1.)); #459317=DIRECTION('',(-1.,4.92831695200288E-16,-6.80836812848297E-17)); #459318=DIRECTION('',(-4.33680868994199E-15,9.83880281668189E-16,1.)); #459319=DIRECTION('',(-1.,7.25596478471811E-15,-4.336808689942E-15)); #459320=DIRECTION('',(1.27182698291844E-15,-2.02167565096131E-15,1.)); #459321=DIRECTION('',(-1.,-5.31019908555827E-15,1.27182698291843E-15)); #459322=DIRECTION('',(8.73390613065168E-16,6.98370759370329E-16,1.)); #459323=DIRECTION('',(-1.,-3.86943680018164E-15,8.73390613065171E-16)); #459324=DIRECTION('',(-1.18329135783152E-29,2.10942374678779E-15,1.)); #459325=DIRECTION('',(-1.,-4.52735034738706E-15,-2.26797510251041E-30)); #459326=DIRECTION('',(9.86076131526265E-32,9.66963777567405E-16,1.)); #459327=DIRECTION('',(-1.,2.81507268621749E-15,-2.63775365183276E-30)); #459328=DIRECTION('',(-1.80411241501588E-16,-1.,5.47511070677026E-16)); #459329=DIRECTION('',(-1.,1.80411241501588E-16,3.8282599880158E-17)); #459330=DIRECTION('',(-5.93452103896745E-16,9.78384040450918E-16,1.)); #459331=DIRECTION('',(1.,-1.14270645880125E-15,5.93452103896746E-16)); #459332=DIRECTION('',(0.,2.77700021424954E-15,1.)); #459333=DIRECTION('',(1.,3.27971157176865E-15,-9.12120421661795E-30)); #459334=DIRECTION('',(0.,0.,1.)); #459335=DIRECTION('',(1.,0.,0.)); #459336=DIRECTION('',(0.,0.,1.)); #459337=DIRECTION('',(1.,0.,0.)); #459338=DIRECTION('axis',(0.,0.,1.)); #459339=DIRECTION('refdir',(1.,0.,0.)); #459340=DIRECTION('axis',(0.,0.,1.)); #459341=DIRECTION('refdir',(1.,0.,0.)); #459342=DIRECTION('axis',(0.,0.,1.)); #459343=DIRECTION('refdir',(1.,0.,0.)); #459344=DIRECTION('center_axis',(0.,-1.,0.)); #459345=DIRECTION('ref_axis',(0.,0.,-1.)); #459346=DIRECTION('',(-1.,0.,0.)); #459347=DIRECTION('',(0.,0.,-1.)); #459348=DIRECTION('',(1.,0.,0.)); #459349=DIRECTION('',(0.,0.,-1.)); #459350=DIRECTION('',(-1.,0.,0.)); #459351=DIRECTION('',(0.,0.,-1.)); #459352=DIRECTION('',(-1.,0.,0.)); #459353=DIRECTION('',(0.,0.,-1.)); #459354=DIRECTION('',(-1.,0.,0.)); #459355=DIRECTION('',(0.,0.,-1.)); #459356=DIRECTION('',(1.,0.,0.)); #459357=DIRECTION('',(0.,0.,-1.)); #459358=DIRECTION('center_axis',(0.,0.,1.)); #459359=DIRECTION('ref_axis',(1.,0.,0.)); #459360=DIRECTION('',(1.,0.,0.)); #459361=DIRECTION('',(0.,-1.,0.)); #459362=DIRECTION('',(1.,0.,0.)); #459363=DIRECTION('',(0.,-1.,0.)); #459364=DIRECTION('center_axis',(0.,0.,1.)); #459365=DIRECTION('ref_axis',(1.,0.,0.)); #459366=DIRECTION('',(0.,1.,0.)); #459367=DIRECTION('',(1.,0.,0.)); #459368=DIRECTION('',(0.,-1.,0.)); #459369=DIRECTION('',(1.,0.,0.)); #459370=DIRECTION('center_axis',(0.,0.,-1.)); #459371=DIRECTION('ref_axis',(-1.,0.,0.)); #459372=DIRECTION('',(1.445602896647E-16,1.,0.)); #459373=DIRECTION('',(1.,1.807003620809E-16,0.)); #459374=DIRECTION('',(-1.445602896647E-16,-1.,0.)); #459375=DIRECTION('',(-1.,1.807003620809E-16,0.)); #459376=DIRECTION('',(1.,0.,0.)); #459377=DIRECTION('',(0.,1.,0.)); #459378=DIRECTION('',(1.,0.,0.)); #459379=DIRECTION('',(0.,1.,0.)); #459380=DIRECTION('center_axis',(0.,0.,-1.)); #459381=DIRECTION('ref_axis',(-1.,0.,0.)); #459382=DIRECTION('',(1.445602896647E-16,1.,0.)); #459383=DIRECTION('',(1.,1.807003620809E-16,0.)); #459384=DIRECTION('',(-1.445602896647E-16,-1.,0.)); #459385=DIRECTION('',(-1.,1.807003620809E-16,0.)); #459386=DIRECTION('',(1.,0.,0.)); #459387=DIRECTION('',(0.,1.,0.)); #459388=DIRECTION('',(1.,0.,0.)); #459389=DIRECTION('',(0.,1.,0.)); #459390=DIRECTION('center_axis',(0.,0.,1.)); #459391=DIRECTION('ref_axis',(1.,0.,0.)); #459392=DIRECTION('',(1.,0.,0.)); #459393=DIRECTION('',(0.,1.,0.)); #459394=DIRECTION('',(-1.,0.,0.)); #459395=DIRECTION('',(0.,-1.,0.)); #459396=DIRECTION('',(-1.,0.,0.)); #459397=DIRECTION('',(0.,1.,0.)); #459398=DIRECTION('',(1.,0.,0.)); #459399=DIRECTION('',(1.807003620809E-16,-1.,0.)); #459400=DIRECTION('',(-1.,0.,0.)); #459401=DIRECTION('',(0.,1.,0.)); #459402=DIRECTION('',(1.,0.,0.)); #459403=DIRECTION('',(1.807003620809E-16,-1.,0.)); #459404=DIRECTION('center_axis',(1.,0.,0.)); #459405=DIRECTION('ref_axis',(0.,0.,-1.)); #459406=DIRECTION('',(0.,0.978147600733765,-0.20791169081795)); #459407=DIRECTION('',(0.,0.978147600733765,0.20791169081795)); #459408=DIRECTION('',(0.,-1.,0.)); #459409=DIRECTION('',(0.,0.,-1.)); #459410=DIRECTION('center_axis',(-1.,0.,0.)); #459411=DIRECTION('ref_axis',(0.,0.,1.)); #459412=DIRECTION('',(0.,-0.978147600733765,0.20791169081795)); #459413=DIRECTION('',(0.,0.,-1.)); #459414=DIRECTION('',(0.,1.,0.)); #459415=DIRECTION('',(0.,-0.978147600733765,-0.20791169081795)); #459416=DIRECTION('center_axis',(0.,0.,1.)); #459417=DIRECTION('ref_axis',(1.,0.,0.)); #459418=DIRECTION('',(1.,0.,0.)); #459419=DIRECTION('',(0.,1.,0.)); #459420=DIRECTION('center_axis',(1.,0.,0.)); #459421=DIRECTION('ref_axis',(0.,0.,-1.)); #459422=DIRECTION('',(0.,0.978147600733765,-0.20791169081795)); #459423=DIRECTION('',(0.,0.978147600733765,0.20791169081795)); #459424=DIRECTION('',(0.,-1.,0.)); #459425=DIRECTION('',(0.,0.,-1.)); #459426=DIRECTION('center_axis',(-1.,0.,0.)); #459427=DIRECTION('ref_axis',(0.,0.,1.)); #459428=DIRECTION('',(0.,-0.978147600733765,0.20791169081795)); #459429=DIRECTION('',(0.,0.,-1.)); #459430=DIRECTION('',(0.,-0.978147600733765,-0.20791169081795)); #459431=DIRECTION('center_axis',(0.,0.,1.)); #459432=DIRECTION('ref_axis',(1.,0.,0.)); #459433=DIRECTION('',(1.,0.,0.)); #459434=DIRECTION('',(0.,1.,0.)); #459435=DIRECTION('center_axis',(0.,0.,1.)); #459436=DIRECTION('ref_axis',(1.,0.,0.)); #459437=DIRECTION('',(-1.,-2.710505431214E-16,0.)); #459438=DIRECTION('',(0.,1.,0.)); #459439=DIRECTION('',(1.,0.,0.)); #459440=DIRECTION('',(0.,-1.,0.)); #459441=DIRECTION('',(-1.,0.,0.)); #459442=DIRECTION('',(0.,1.,0.)); #459443=DIRECTION('',(0.,-1.,0.)); #459444=DIRECTION('center_axis',(-1.,0.,0.)); #459445=DIRECTION('ref_axis',(0.,0.,1.)); #459446=DIRECTION('',(-8.673617379884E-16,1.,0.)); #459447=DIRECTION('center_axis',(-1.,0.,0.)); #459448=DIRECTION('ref_axis',(0.,0.,1.)); #459449=DIRECTION('',(0.,0.,1.)); #459450=DIRECTION('',(0.,0.,-1.)); #459451=DIRECTION('',(0.,0.,1.)); #459452=DIRECTION('center_axis',(1.,0.,0.)); #459453=DIRECTION('ref_axis',(0.,0.,-1.)); #459454=DIRECTION('',(0.,0.,1.)); #459455=DIRECTION('center_axis',(1.,0.,0.)); #459456=DIRECTION('ref_axis',(0.,0.,-1.)); #459457=DIRECTION('',(0.,-1.,0.)); #459458=DIRECTION('',(0.,0.,1.)); #459459=DIRECTION('',(0.,-1.,0.)); #459460=DIRECTION('',(0.,0.,-1.)); #459461=DIRECTION('center_axis',(0.,1.,0.)); #459462=DIRECTION('ref_axis',(0.,0.,1.)); #459463=DIRECTION('center_axis',(0.,-1.,0.)); #459464=DIRECTION('ref_axis',(0.,0.,1.)); #459465=DIRECTION('',(1.,0.,0.)); #459466=DIRECTION('center_axis',(0.,-1.,0.)); #459467=DIRECTION('ref_axis',(0.,0.,-1.)); #459468=DIRECTION('',(8.673617379884E-16,0.,-1.)); #459469=DIRECTION('',(-0.707106781186548,0.,-0.707106781186548)); #459470=DIRECTION('',(0.707106781186548,0.,-0.707106781186548)); #459471=DIRECTION('',(-1.084202172486E-15,0.,-1.)); #459472=DIRECTION('',(1.,0.,0.)); #459473=DIRECTION('',(-1.,0.,0.)); #459474=DIRECTION('',(-1.,0.,0.)); #459475=DIRECTION('',(-1.084202172486E-15,0.,1.)); #459476=DIRECTION('',(0.707106781186548,0.,0.707106781186548)); #459477=DIRECTION('',(-0.707106781186548,0.,0.707106781186548)); #459478=DIRECTION('',(8.673617379884E-16,0.,1.)); #459479=DIRECTION('center_axis',(0.,0.,1.)); #459480=DIRECTION('ref_axis',(1.,0.,0.)); #459481=DIRECTION('center_axis',(0.,-1.,0.)); #459482=DIRECTION('ref_axis',(0.,0.,-1.)); #459483=DIRECTION('center_axis',(0.,-1.,0.)); #459484=DIRECTION('ref_axis',(0.,0.,-1.)); #459485=DIRECTION('',(1.,0.,0.)); #459486=DIRECTION('center_axis',(0.,-1.,0.)); #459487=DIRECTION('ref_axis',(0.,0.,-1.)); #459488=DIRECTION('',(8.673617379884E-16,0.,1.)); #459489=DIRECTION('',(-0.707106781186548,0.,0.707106781186548)); #459490=DIRECTION('',(0.707106781186548,0.,0.707106781186548)); #459491=DIRECTION('',(-1.084202172486E-15,0.,1.)); #459492=DIRECTION('',(-1.,0.,0.)); #459493=DIRECTION('',(0.,0.,1.)); #459494=DIRECTION('',(0.,0.,1.)); #459495=DIRECTION('',(-1.,0.,0.)); #459496=DIRECTION('',(-1.084202172486E-15,0.,-1.)); #459497=DIRECTION('',(0.707106781186548,0.,-0.707106781186548)); #459498=DIRECTION('',(-0.707106781186548,0.,-0.707106781186548)); #459499=DIRECTION('',(8.673617379884E-16,0.,-1.)); #459500=DIRECTION('center_axis',(-1.,0.,0.)); #459501=DIRECTION('ref_axis',(0.,0.,1.)); #459502=DIRECTION('',(0.,0.,1.)); #459503=DIRECTION('',(0.,-1.,0.)); #459504=DIRECTION('',(0.,0.,1.)); #459505=DIRECTION('',(0.,1.,0.)); #459506=DIRECTION('center_axis',(-1.,0.,0.)); #459507=DIRECTION('ref_axis',(0.,0.,1.)); #459508=DIRECTION('center_axis',(0.,1.,0.)); #459509=DIRECTION('ref_axis',(0.,0.,1.)); #459510=DIRECTION('',(0.,0.,1.)); #459511=DIRECTION('',(-1.,0.,0.)); #459512=DIRECTION('center_axis',(1.,0.,0.)); #459513=DIRECTION('ref_axis',(0.,0.,-1.)); #459514=DIRECTION('',(0.,0.,1.)); #459515=DIRECTION('',(0.,1.,0.)); #459516=DIRECTION('center_axis',(1.,0.,0.)); #459517=DIRECTION('ref_axis',(0.,0.,-1.)); #459518=DIRECTION('',(8.673617379884E-16,-1.,0.)); #459519=DIRECTION('center_axis',(1.,0.,1.084202172486E-15)); #459520=DIRECTION('ref_axis',(1.084202172486E-15,0.,-1.)); #459521=DIRECTION('',(0.,-1.,0.)); #459522=DIRECTION('center_axis',(0.707106781186548,0.,-0.707106781186548)); #459523=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #459524=DIRECTION('',(0.,-1.,0.)); #459525=DIRECTION('center_axis',(0.707106781186548,0.,0.707106781186548)); #459526=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #459527=DIRECTION('',(0.,-1.,0.)); #459528=DIRECTION('center_axis',(1.,0.,-8.673617379884E-16)); #459529=DIRECTION('ref_axis',(-8.673617379884E-16,0.,-1.)); #459530=DIRECTION('',(0.,-1.,0.)); #459531=DIRECTION('center_axis',(0.,-1.,0.)); #459532=DIRECTION('ref_axis',(0.,0.,-1.)); #459533=DIRECTION('',(0.,-1.,0.)); #459534=DIRECTION('center_axis',(-1.,0.,1.084202172486E-15)); #459535=DIRECTION('ref_axis',(1.084202172486E-15,0.,1.)); #459536=DIRECTION('',(0.,-1.,0.)); #459537=DIRECTION('center_axis',(0.,0.,-1.)); #459538=DIRECTION('ref_axis',(-1.,0.,0.)); #459539=DIRECTION('',(0.,-1.,0.)); #459540=DIRECTION('center_axis',(0.,-1.,0.)); #459541=DIRECTION('ref_axis',(0.,0.,-1.)); #459542=DIRECTION('',(0.,-1.,0.)); #459543=DIRECTION('center_axis',(-1.,0.,-8.673617379884E-16)); #459544=DIRECTION('ref_axis',(-8.673617379884E-16,0.,1.)); #459545=DIRECTION('',(0.,-1.,0.)); #459546=DIRECTION('center_axis',(-0.707106781186548,0.,0.707106781186548)); #459547=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #459548=DIRECTION('',(0.,-1.,0.)); #459549=DIRECTION('center_axis',(-0.707106781186548,0.,-0.707106781186548)); #459550=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #459551=DIRECTION('center_axis',(3.7569717811621E-17,0.20791169081795,0.978147600733765)); #459552=DIRECTION('ref_axis',(-1.,1.80700362080893E-16,-3.39764857069019E-29)); #459553=DIRECTION('center_axis',(3.7569717811621E-17,-0.20791169081795, 0.978147600733765)); #459554=DIRECTION('ref_axis',(1.,1.80700362080893E-16,3.39764857069019E-29)); #459555=DIRECTION('center_axis',(3.7569717811621E-17,0.20791169081795,0.978147600733765)); #459556=DIRECTION('ref_axis',(-1.,1.80700362080893E-16,-3.39764857069019E-29)); #459557=DIRECTION('center_axis',(3.7569717811621E-17,-0.20791169081795, 0.978147600733765)); #459558=DIRECTION('ref_axis',(1.,1.80700362080893E-16,3.39764857069019E-29)); #459559=DIRECTION('center_axis',(-1.,0.,0.)); #459560=DIRECTION('ref_axis',(0.,0.,1.)); #459561=DIRECTION('',(0.,0.,-1.)); #459562=DIRECTION('',(0.,-1.,0.)); #459563=DIRECTION('',(0.,0.,-1.)); #459564=DIRECTION('center_axis',(0.,-1.,0.)); #459565=DIRECTION('ref_axis',(0.,0.,-1.)); #459566=DIRECTION('',(0.,0.,-1.)); #459567=DIRECTION('',(1.,0.,0.)); #459568=DIRECTION('center_axis',(1.,1.807003620809E-16,0.)); #459569=DIRECTION('ref_axis',(-1.807003620809E-16,1.,0.)); #459570=DIRECTION('',(0.,0.,-1.)); #459571=DIRECTION('',(-1.807003620809E-16,1.,0.)); #459572=DIRECTION('center_axis',(0.,1.,0.)); #459573=DIRECTION('ref_axis',(0.,0.,1.)); #459574=DIRECTION('',(-1.,0.,0.)); #459575=DIRECTION('center_axis',(0.,0.,1.)); #459576=DIRECTION('ref_axis',(1.,0.,0.)); #459577=DIRECTION('',(1.807003620809E-16,-1.,0.)); #459578=DIRECTION('',(1.,0.,0.)); #459579=DIRECTION('',(0.,1.,0.)); #459580=DIRECTION('',(-1.,0.,0.)); #459581=DIRECTION('center_axis',(0.,0.939692620785813,0.342020143325932)); #459582=DIRECTION('ref_axis',(1.,0.,0.)); #459583=DIRECTION('',(-0.323615577118035,-0.323615577118035,0.889126490716097)); #459584=DIRECTION('',(-0.323615577118035,0.323615577118035,-0.889126490716097)); #459585=DIRECTION('center_axis',(-0.939692620785813,-1.69802796820766E-16, 0.342020143325932)); #459586=DIRECTION('ref_axis',(-1.80700362080919E-16,1.,6.80392530753123E-30)); #459587=DIRECTION('',(-0.323615577118035,-0.323615577118035,-0.889126490716097)); #459588=DIRECTION('center_axis',(0.939692620785813,0.,0.342020143325932)); #459589=DIRECTION('ref_axis',(0.,-1.,0.)); #459590=DIRECTION('',(-0.323615577118035,0.323615577118035,0.889126490716097)); #459591=DIRECTION('center_axis',(0.,-0.939692620785813,0.342020143325932)); #459592=DIRECTION('ref_axis',(-1.,0.,0.)); #459593=DIRECTION('center_axis',(-1.,0.,0.)); #459594=DIRECTION('ref_axis',(0.,0.,1.)); #459595=DIRECTION('',(0.,0.,-1.)); #459596=DIRECTION('',(0.,-1.,0.)); #459597=DIRECTION('',(0.,0.,-1.)); #459598=DIRECTION('center_axis',(0.,-1.,0.)); #459599=DIRECTION('ref_axis',(0.,0.,-1.)); #459600=DIRECTION('',(0.,0.,-1.)); #459601=DIRECTION('',(1.,0.,0.)); #459602=DIRECTION('center_axis',(1.,1.807003620809E-16,0.)); #459603=DIRECTION('ref_axis',(-1.807003620809E-16,1.,0.)); #459604=DIRECTION('',(0.,0.,-1.)); #459605=DIRECTION('',(-1.807003620809E-16,1.,0.)); #459606=DIRECTION('center_axis',(0.,1.,0.)); #459607=DIRECTION('ref_axis',(0.,0.,1.)); #459608=DIRECTION('',(-1.,0.,0.)); #459609=DIRECTION('center_axis',(0.,0.,1.)); #459610=DIRECTION('ref_axis',(1.,0.,0.)); #459611=DIRECTION('',(1.807003620809E-16,-1.,0.)); #459612=DIRECTION('',(1.,0.,0.)); #459613=DIRECTION('',(0.,1.,0.)); #459614=DIRECTION('',(-1.,0.,0.)); #459615=DIRECTION('center_axis',(0.,0.939692620785813,0.342020143325932)); #459616=DIRECTION('ref_axis',(1.,0.,0.)); #459617=DIRECTION('',(-0.323615577118035,-0.323615577118035,0.889126490716097)); #459618=DIRECTION('',(-0.323615577118035,0.323615577118035,-0.889126490716097)); #459619=DIRECTION('center_axis',(-0.939692620785813,-1.69802796820766E-16, 0.342020143325932)); #459620=DIRECTION('ref_axis',(-1.80700362080919E-16,1.,6.80392530753123E-30)); #459621=DIRECTION('',(-0.323615577118035,-0.323615577118035,-0.889126490716097)); #459622=DIRECTION('center_axis',(0.939692620785813,0.,0.342020143325932)); #459623=DIRECTION('ref_axis',(0.,-1.,0.)); #459624=DIRECTION('',(-0.323615577118035,0.323615577118035,0.889126490716097)); #459625=DIRECTION('center_axis',(0.,-0.939692620785813,0.342020143325932)); #459626=DIRECTION('ref_axis',(-1.,0.,0.)); #459627=DIRECTION('center_axis',(0.,1.,4.015563601798E-17)); #459628=DIRECTION('ref_axis',(0.,-4.015563601798E-17,1.)); #459629=DIRECTION('',(0.,4.015563601798E-17,-1.)); #459630=DIRECTION('',(1.,0.,0.)); #459631=DIRECTION('',(0.,4.015563601798E-17,-1.)); #459632=DIRECTION('center_axis',(0.,0.,-1.)); #459633=DIRECTION('ref_axis',(-1.,0.,0.)); #459634=DIRECTION('',(0.,-1.,0.)); #459635=DIRECTION('',(-1.,0.,0.)); #459636=DIRECTION('',(0.,1.,0.)); #459637=DIRECTION('',(1.,0.,0.)); #459638=DIRECTION('center_axis',(0.,1.,4.015563601798E-17)); #459639=DIRECTION('ref_axis',(0.,-4.015563601798E-17,1.)); #459640=DIRECTION('',(0.,4.015563601798E-17,-1.)); #459641=DIRECTION('',(-1.,0.,0.)); #459642=DIRECTION('',(0.,4.015563601798E-17,-1.)); #459643=DIRECTION('center_axis',(-1.,0.,0.)); #459644=DIRECTION('ref_axis',(0.,0.,1.)); #459645=DIRECTION('',(0.,1.,0.)); #459646=DIRECTION('center_axis',(-1.,0.,0.)); #459647=DIRECTION('ref_axis',(0.,0.,1.)); #459648=DIRECTION('',(0.,-1.,0.)); #459649=DIRECTION('center_axis',(0.,-0.939692620785813,0.342020143325932)); #459650=DIRECTION('ref_axis',(-1.,0.,0.)); #459651=DIRECTION('',(0.323615577118035,-0.323615577118035,-0.889126490716097)); #459652=DIRECTION('',(0.323615577118035,0.323615577118035,0.889126490716097)); #459653=DIRECTION('center_axis',(0.939692620785813,0.,-0.342020143325932)); #459654=DIRECTION('ref_axis',(0.,1.,0.)); #459655=DIRECTION('',(0.323615577118035,-0.323615577118035,0.889126490716097)); #459656=DIRECTION('center_axis',(0.939692620785813,0.,0.342020143325932)); #459657=DIRECTION('ref_axis',(0.,-1.,0.)); #459658=DIRECTION('',(0.323615577118035,0.323615577118035,-0.889126490716097)); #459659=DIRECTION('center_axis',(0.,-0.939692620785813,-0.342020143325932)); #459660=DIRECTION('ref_axis',(1.,0.,0.)); #459661=DIRECTION('center_axis',(0.,1.,4.015563601798E-17)); #459662=DIRECTION('ref_axis',(0.,-4.015563601798E-17,1.)); #459663=DIRECTION('',(0.,4.015563601798E-17,-1.)); #459664=DIRECTION('',(1.,0.,0.)); #459665=DIRECTION('',(0.,4.015563601798E-17,-1.)); #459666=DIRECTION('center_axis',(0.,0.,-1.)); #459667=DIRECTION('ref_axis',(-1.,0.,0.)); #459668=DIRECTION('',(0.,-1.,0.)); #459669=DIRECTION('',(-1.,0.,0.)); #459670=DIRECTION('',(0.,1.,0.)); #459671=DIRECTION('',(1.,0.,0.)); #459672=DIRECTION('center_axis',(0.,1.,4.015563601798E-17)); #459673=DIRECTION('ref_axis',(0.,-4.015563601798E-17,1.)); #459674=DIRECTION('',(0.,4.015563601798E-17,-1.)); #459675=DIRECTION('',(-1.,0.,0.)); #459676=DIRECTION('',(0.,4.015563601798E-17,-1.)); #459677=DIRECTION('center_axis',(-1.,0.,0.)); #459678=DIRECTION('ref_axis',(0.,0.,1.)); #459679=DIRECTION('',(0.,1.,0.)); #459680=DIRECTION('center_axis',(-1.,0.,0.)); #459681=DIRECTION('ref_axis',(0.,0.,1.)); #459682=DIRECTION('',(0.,-1.,0.)); #459683=DIRECTION('center_axis',(0.,-0.939692620785813,0.342020143325932)); #459684=DIRECTION('ref_axis',(-1.,0.,0.)); #459685=DIRECTION('',(0.323615577118035,-0.323615577118035,-0.889126490716097)); #459686=DIRECTION('',(0.323615577118035,0.323615577118035,0.889126490716097)); #459687=DIRECTION('center_axis',(0.939692620785813,0.,-0.342020143325932)); #459688=DIRECTION('ref_axis',(0.,1.,0.)); #459689=DIRECTION('',(0.323615577118035,-0.323615577118035,0.889126490716097)); #459690=DIRECTION('center_axis',(0.939692620785813,0.,0.342020143325932)); #459691=DIRECTION('ref_axis',(0.,-1.,0.)); #459692=DIRECTION('',(0.323615577118035,0.323615577118035,-0.889126490716097)); #459693=DIRECTION('center_axis',(0.,-0.939692620785813,-0.342020143325932)); #459694=DIRECTION('ref_axis',(1.,0.,0.)); #459695=DIRECTION('center_axis',(0.,1.,0.)); #459696=DIRECTION('ref_axis',(0.,0.,1.)); #459697=DIRECTION('',(-0.707106781186548,0.,-0.707106781186548)); #459698=DIRECTION('',(-1.,0.,0.)); #459699=DIRECTION('center_axis',(0.,0.,1.)); #459700=DIRECTION('ref_axis',(1.,0.,0.)); #459701=DIRECTION('',(1.,0.,0.)); #459702=DIRECTION('center_axis',(-2.710505431214E-16,1.,0.)); #459703=DIRECTION('ref_axis',(-1.,-2.710505431214E-16,0.)); #459704=DIRECTION('',(-0.707106781186548,-1.91661677085277E-16,0.707106781186548)); #459705=DIRECTION('',(-1.,-2.710505431214E-16,0.)); #459706=DIRECTION('center_axis',(0.,0.,1.)); #459707=DIRECTION('ref_axis',(1.,0.,0.)); #459708=DIRECTION('',(1.,0.,0.)); #459709=DIRECTION('center_axis',(1.,0.,0.)); #459710=DIRECTION('ref_axis',(0.,0.,-1.)); #459711=DIRECTION('center_axis',(1.,0.,0.)); #459712=DIRECTION('ref_axis',(0.,0.,-1.)); #459713=DIRECTION('center_axis',(-0.707106781186548,0.,0.707106781186548)); #459714=DIRECTION('ref_axis',(0.,-1.,0.)); #459715=DIRECTION('',(0.577350269189626,0.577350269189626,0.577350269189626)); #459716=DIRECTION('center_axis',(0.,-0.707106781186548,0.707106781186548)); #459717=DIRECTION('ref_axis',(1.,0.,0.)); #459718=DIRECTION('',(-0.577350269189626,0.577350269189626,0.577350269189626)); #459719=DIRECTION('center_axis',(0.707106781186548,0.,0.707106781186548)); #459720=DIRECTION('ref_axis',(0.,1.,0.)); #459721=DIRECTION('',(0.,0.,1.)); #459722=DIRECTION('',(1.,0.,0.)); #459723=DIRECTION('',(0.,0.,1.)); #459724=DIRECTION('',(1.,0.,0.)); #459725=DIRECTION('axis',(0.,0.,1.)); #459726=DIRECTION('refdir',(1.,0.,0.)); #459727=DIRECTION('axis',(0.,0.,1.)); #459728=DIRECTION('refdir',(1.,0.,0.)); #459729=DIRECTION('center_axis',(-0.408248290463864,-0.408248290463863, -0.816496580927726)); #459730=DIRECTION('ref_axis',(-0.577350269189626,-0.577350269189626,0.577350269189626)); #459731=DIRECTION('center_axis',(0.,-1.,0.)); #459732=DIRECTION('ref_axis',(-1.,0.,0.)); #459733=DIRECTION('center_axis',(0.,0.,1.)); #459734=DIRECTION('ref_axis',(0.,-1.,0.)); #459735=DIRECTION('center_axis',(-1.,0.,0.)); #459736=DIRECTION('ref_axis',(0.,0.,1.)); #459737=DIRECTION('center_axis',(0.408248290463864,-0.408248290463862,-0.816496580927726)); #459738=DIRECTION('ref_axis',(0.577350269189627,-0.577350269189625,0.577350269189625)); #459739=DIRECTION('center_axis',(1.,0.,0.)); #459740=DIRECTION('ref_axis',(0.,-1.,0.)); #459741=DIRECTION('center_axis',(0.,0.,1.)); #459742=DIRECTION('ref_axis',(1.,0.,0.)); #459743=DIRECTION('center_axis',(0.,-1.,0.)); #459744=DIRECTION('ref_axis',(0.,0.,1.)); #459745=DIRECTION('center_axis',(0.408248290463864,0.408248290463863,-0.816496580927726)); #459746=DIRECTION('ref_axis',(-0.577350269189627,-0.577350269189625,-0.577350269189626)); #459747=DIRECTION('center_axis',(0.,0.,-1.)); #459748=DIRECTION('ref_axis',(-1.,0.,0.)); #459749=DIRECTION('center_axis',(0.,-1.,0.)); #459750=DIRECTION('ref_axis',(0.,0.,-1.)); #459751=DIRECTION('center_axis',(-1.,0.,0.)); #459752=DIRECTION('ref_axis',(0.,-1.,0.)); #459753=DIRECTION('center_axis',(-0.408248290463864,0.408248290463862,-0.816496580927726)); #459754=DIRECTION('ref_axis',(-0.577350269189627,0.577350269189625,0.577350269189625)); #459755=DIRECTION('center_axis',(-1.,0.,0.)); #459756=DIRECTION('ref_axis',(0.,1.,0.)); #459757=DIRECTION('center_axis',(0.,0.,1.)); #459758=DIRECTION('ref_axis',(-1.,0.,0.)); #459759=DIRECTION('center_axis',(0.,1.,0.)); #459760=DIRECTION('ref_axis',(0.,0.,1.)); #459761=DIRECTION('center_axis',(-0.408248290463864,0.408248290463863,-0.816496580927726)); #459762=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189625,-0.577350269189626)); #459763=DIRECTION('center_axis',(0.,0.,-1.)); #459764=DIRECTION('ref_axis',(0.,-1.,0.)); #459765=DIRECTION('center_axis',(1.,0.,0.)); #459766=DIRECTION('ref_axis',(0.,0.,-1.)); #459767=DIRECTION('center_axis',(0.,-1.,0.)); #459768=DIRECTION('ref_axis',(1.,0.,0.)); #459769=DIRECTION('center_axis',(1.,0.,0.)); #459770=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #459771=DIRECTION('',(-1.,0.,0.)); #459772=DIRECTION('',(1.,0.,0.)); #459773=DIRECTION('center_axis',(0.408248290463863,0.408248290463863,-0.816496580927726)); #459774=DIRECTION('ref_axis',(0.577350269189627,0.577350269189625,0.577350269189625)); #459775=DIRECTION('center_axis',(0.,1.,0.)); #459776=DIRECTION('ref_axis',(1.,0.,0.)); #459777=DIRECTION('center_axis',(0.,0.,1.)); #459778=DIRECTION('ref_axis',(0.,1.,0.)); #459779=DIRECTION('center_axis',(1.,0.,0.)); #459780=DIRECTION('ref_axis',(0.,0.,1.)); #459781=DIRECTION('center_axis',(0.408248290463864,-0.408248290463862,-0.816496580927726)); #459782=DIRECTION('ref_axis',(-0.577350269189627,0.577350269189625,-0.577350269189625)); #459783=DIRECTION('center_axis',(-1.,0.,0.)); #459784=DIRECTION('ref_axis',(0.,0.,-1.)); #459785=DIRECTION('center_axis',(0.,1.,0.)); #459786=DIRECTION('ref_axis',(-1.,0.,0.)); #459787=DIRECTION('center_axis',(0.,0.,-1.)); #459788=DIRECTION('ref_axis',(0.,1.,0.)); #459789=DIRECTION('center_axis',(0.,-1.,0.)); #459790=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #459791=DIRECTION('',(0.,1.,0.)); #459792=DIRECTION('',(0.,-1.,0.)); #459793=DIRECTION('center_axis',(0.,0.,1.)); #459794=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #459795=DIRECTION('',(0.,0.,-1.)); #459796=DIRECTION('',(0.,0.,1.)); #459797=DIRECTION('center_axis',(-0.408248290463864,-0.408248290463863, -0.816496580927726)); #459798=DIRECTION('ref_axis',(0.577350269189626,0.577350269189625,-0.577350269189626)); #459799=DIRECTION('center_axis',(0.,0.,-1.)); #459800=DIRECTION('ref_axis',(1.,0.,0.)); #459801=DIRECTION('center_axis',(0.,1.,0.)); #459802=DIRECTION('ref_axis',(0.,0.,-1.)); #459803=DIRECTION('center_axis',(1.,0.,0.)); #459804=DIRECTION('ref_axis',(0.,1.,0.)); #459805=DIRECTION('center_axis',(0.,-1.,0.)); #459806=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #459807=DIRECTION('',(0.,1.,0.)); #459808=DIRECTION('',(0.,-1.,0.)); #459809=DIRECTION('center_axis',(-1.,0.,0.)); #459810=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); #459811=DIRECTION('',(1.,0.,0.)); #459812=DIRECTION('',(-1.,0.,0.)); #459813=DIRECTION('center_axis',(0.,0.,1.)); #459814=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #459815=DIRECTION('',(0.,0.,-1.)); #459816=DIRECTION('',(0.,0.,1.)); #459817=DIRECTION('center_axis',(-1.,0.,0.)); #459818=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548)); #459819=DIRECTION('',(1.,0.,0.)); #459820=DIRECTION('',(-1.,0.,0.)); #459821=DIRECTION('center_axis',(0.,1.,0.)); #459822=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #459823=DIRECTION('',(0.,-1.,0.)); #459824=DIRECTION('',(0.,1.,0.)); #459825=DIRECTION('center_axis',(0.,0.,1.)); #459826=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #459827=DIRECTION('',(0.,0.,-1.)); #459828=DIRECTION('',(0.,0.,1.)); #459829=DIRECTION('center_axis',(0.,-1.,0.)); #459830=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #459831=DIRECTION('',(0.,1.,0.)); #459832=DIRECTION('',(0.,-1.,0.)); #459833=DIRECTION('center_axis',(0.,0.,1.)); #459834=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #459835=DIRECTION('',(0.,0.,-1.)); #459836=DIRECTION('',(0.,0.,1.)); #459837=DIRECTION('center_axis',(1.,0.,0.)); #459838=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548)); #459839=DIRECTION('',(-1.,0.,0.)); #459840=DIRECTION('',(1.,0.,0.)); #459841=DIRECTION('center_axis',(0.,-1.,0.)); #459842=DIRECTION('ref_axis',(1.,0.,0.)); #459843=DIRECTION('center_axis',(0.,0.,1.)); #459844=DIRECTION('ref_axis',(1.,0.,0.)); #459845=DIRECTION('center_axis',(-1.,0.,0.)); #459846=DIRECTION('ref_axis',(0.,-1.,0.)); #459847=DIRECTION('center_axis',(0.,1.,0.)); #459848=DIRECTION('ref_axis',(-1.,0.,0.)); #459849=DIRECTION('center_axis',(1.,0.,0.)); #459850=DIRECTION('ref_axis',(0.,1.,0.)); #459851=DIRECTION('center_axis',(0.,0.,1.)); #459852=DIRECTION('ref_axis',(1.,0.,0.)); #459853=DIRECTION('center_axis',(-0.408248290463863,-0.408248290463863, -0.816496580927726)); #459854=DIRECTION('ref_axis',(-0.577350269189627,-0.577350269189625,0.577350269189625)); #459855=DIRECTION('center_axis',(0.,-1.,0.)); #459856=DIRECTION('ref_axis',(-1.,0.,0.)); #459857=DIRECTION('center_axis',(0.,0.,1.)); #459858=DIRECTION('ref_axis',(0.,-1.,0.)); #459859=DIRECTION('center_axis',(-1.,0.,0.)); #459860=DIRECTION('ref_axis',(0.,0.,1.)); #459861=DIRECTION('center_axis',(0.408248290463864,-0.408248290463862,-0.816496580927726)); #459862=DIRECTION('ref_axis',(0.577350269189627,-0.577350269189625,0.577350269189625)); #459863=DIRECTION('center_axis',(1.,0.,0.)); #459864=DIRECTION('ref_axis',(0.,-1.,0.)); #459865=DIRECTION('center_axis',(0.,0.,1.)); #459866=DIRECTION('ref_axis',(1.,0.,0.)); #459867=DIRECTION('center_axis',(0.,-1.,0.)); #459868=DIRECTION('ref_axis',(0.,0.,1.)); #459869=DIRECTION('center_axis',(0.408248290463864,0.408248290463863,-0.816496580927726)); #459870=DIRECTION('ref_axis',(-0.577350269189626,-0.577350269189625,-0.577350269189626)); #459871=DIRECTION('center_axis',(0.,0.,-1.)); #459872=DIRECTION('ref_axis',(-1.,0.,0.)); #459873=DIRECTION('center_axis',(0.,-1.,0.)); #459874=DIRECTION('ref_axis',(0.,0.,-1.)); #459875=DIRECTION('center_axis',(-1.,0.,0.)); #459876=DIRECTION('ref_axis',(0.,-1.,0.)); #459877=DIRECTION('center_axis',(-0.408248290463864,0.408248290463862,-0.816496580927726)); #459878=DIRECTION('ref_axis',(-0.577350269189627,0.577350269189625,0.577350269189625)); #459879=DIRECTION('center_axis',(-1.,0.,0.)); #459880=DIRECTION('ref_axis',(0.,1.,0.)); #459881=DIRECTION('center_axis',(0.,0.,1.)); #459882=DIRECTION('ref_axis',(-1.,0.,0.)); #459883=DIRECTION('center_axis',(0.,1.,0.)); #459884=DIRECTION('ref_axis',(0.,0.,1.)); #459885=DIRECTION('center_axis',(-0.408248290463864,0.408248290463863,-0.816496580927726)); #459886=DIRECTION('ref_axis',(0.577350269189627,-0.577350269189625,-0.577350269189626)); #459887=DIRECTION('center_axis',(0.,0.,-1.)); #459888=DIRECTION('ref_axis',(0.,-1.,0.)); #459889=DIRECTION('center_axis',(1.,0.,0.)); #459890=DIRECTION('ref_axis',(0.,0.,-1.)); #459891=DIRECTION('center_axis',(0.,-1.,0.)); #459892=DIRECTION('ref_axis',(1.,0.,0.)); #459893=DIRECTION('center_axis',(1.,0.,0.)); #459894=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #459895=DIRECTION('',(-1.,0.,0.)); #459896=DIRECTION('',(1.,0.,0.)); #459897=DIRECTION('center_axis',(0.408248290463864,0.408248290463863,-0.816496580927726)); #459898=DIRECTION('ref_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #459899=DIRECTION('center_axis',(0.,1.,0.)); #459900=DIRECTION('ref_axis',(1.,0.,0.)); #459901=DIRECTION('center_axis',(0.,0.,1.)); #459902=DIRECTION('ref_axis',(0.,1.,0.)); #459903=DIRECTION('center_axis',(1.,0.,0.)); #459904=DIRECTION('ref_axis',(0.,0.,1.)); #459905=DIRECTION('center_axis',(0.408248290463864,-0.408248290463862,-0.816496580927726)); #459906=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189626,-0.577350269189626)); #459907=DIRECTION('center_axis',(-1.,0.,0.)); #459908=DIRECTION('ref_axis',(0.,0.,-1.)); #459909=DIRECTION('center_axis',(0.,1.,0.)); #459910=DIRECTION('ref_axis',(-1.,0.,0.)); #459911=DIRECTION('center_axis',(0.,0.,-1.)); #459912=DIRECTION('ref_axis',(0.,1.,0.)); #459913=DIRECTION('center_axis',(0.,-1.,0.)); #459914=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #459915=DIRECTION('',(0.,1.,0.)); #459916=DIRECTION('',(0.,-1.,0.)); #459917=DIRECTION('center_axis',(0.,0.,1.)); #459918=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #459919=DIRECTION('',(0.,0.,-1.)); #459920=DIRECTION('',(0.,0.,1.)); #459921=DIRECTION('center_axis',(-0.408248290463864,-0.408248290463863, -0.816496580927726)); #459922=DIRECTION('ref_axis',(0.577350269189627,0.577350269189625,-0.577350269189626)); #459923=DIRECTION('center_axis',(0.,0.,-1.)); #459924=DIRECTION('ref_axis',(1.,0.,0.)); #459925=DIRECTION('center_axis',(0.,1.,0.)); #459926=DIRECTION('ref_axis',(0.,0.,-1.)); #459927=DIRECTION('center_axis',(1.,0.,0.)); #459928=DIRECTION('ref_axis',(0.,1.,0.)); #459929=DIRECTION('center_axis',(0.,1.,0.)); #459930=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #459931=DIRECTION('',(0.,-1.,0.)); #459932=DIRECTION('',(0.,1.,0.)); #459933=DIRECTION('center_axis',(-1.,0.,0.)); #459934=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); #459935=DIRECTION('',(1.,0.,0.)); #459936=DIRECTION('',(-1.,0.,0.)); #459937=DIRECTION('center_axis',(0.,0.,1.)); #459938=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #459939=DIRECTION('',(0.,0.,-1.)); #459940=DIRECTION('',(0.,0.,1.)); #459941=DIRECTION('center_axis',(-1.,0.,0.)); #459942=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548)); #459943=DIRECTION('',(1.,0.,0.)); #459944=DIRECTION('',(-1.,0.,0.)); #459945=DIRECTION('center_axis',(0.,1.,0.)); #459946=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #459947=DIRECTION('',(0.,-1.,0.)); #459948=DIRECTION('',(0.,1.,0.)); #459949=DIRECTION('center_axis',(0.,0.,1.)); #459950=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #459951=DIRECTION('',(0.,0.,-1.)); #459952=DIRECTION('',(0.,0.,1.)); #459953=DIRECTION('center_axis',(0.,-1.,0.)); #459954=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #459955=DIRECTION('',(0.,1.,0.)); #459956=DIRECTION('',(0.,-1.,0.)); #459957=DIRECTION('center_axis',(0.,0.,1.)); #459958=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #459959=DIRECTION('',(0.,0.,-1.)); #459960=DIRECTION('',(0.,0.,1.)); #459961=DIRECTION('center_axis',(1.,0.,0.)); #459962=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548)); #459963=DIRECTION('',(-1.,0.,0.)); #459964=DIRECTION('',(1.,0.,0.)); #459965=DIRECTION('center_axis',(0.,-1.,0.)); #459966=DIRECTION('ref_axis',(1.,0.,0.)); #459967=DIRECTION('center_axis',(0.,0.,1.)); #459968=DIRECTION('ref_axis',(1.,0.,0.)); #459969=DIRECTION('center_axis',(-1.,0.,0.)); #459970=DIRECTION('ref_axis',(0.,-1.,0.)); #459971=DIRECTION('center_axis',(0.,1.,0.)); #459972=DIRECTION('ref_axis',(-1.,0.,0.)); #459973=DIRECTION('center_axis',(1.,0.,0.)); #459974=DIRECTION('ref_axis',(0.,1.,0.)); #459975=DIRECTION('center_axis',(0.,0.,1.)); #459976=DIRECTION('ref_axis',(1.,0.,0.)); #459977=DIRECTION('center_axis',(1.,0.,0.)); #459978=DIRECTION('ref_axis',(0.,1.,0.)); #459979=DIRECTION('',(0.,-1.,0.)); #459980=DIRECTION('',(0.,0.,1.)); #459981=DIRECTION('',(0.,1.,0.)); #459982=DIRECTION('',(0.,0.,1.)); #459983=DIRECTION('center_axis',(0.,-1.,0.)); #459984=DIRECTION('ref_axis',(1.,0.,0.)); #459985=DIRECTION('',(1.,0.,0.)); #459986=DIRECTION('',(1.,0.,0.)); #459987=DIRECTION('',(0.,0.,1.)); #459988=DIRECTION('center_axis',(-1.,0.,0.)); #459989=DIRECTION('ref_axis',(0.,-1.,0.)); #459990=DIRECTION('',(0.,-1.,0.)); #459991=DIRECTION('',(0.,-1.,0.)); #459992=DIRECTION('',(0.,0.,1.)); #459993=DIRECTION('center_axis',(0.,1.,0.)); #459994=DIRECTION('ref_axis',(-1.,0.,0.)); #459995=DIRECTION('',(-1.,0.,0.)); #459996=DIRECTION('',(-1.,0.,0.)); #459997=DIRECTION('center_axis',(0.,0.,1.)); #459998=DIRECTION('ref_axis',(1.,0.,0.)); #459999=DIRECTION('center_axis',(0.,0.,1.)); #460000=DIRECTION('ref_axis',(1.,0.,0.)); #460001=DIRECTION('',(0.,0.,1.)); #460002=DIRECTION('',(1.,0.,0.)); #460003=DIRECTION('',(0.,0.,1.)); #460004=DIRECTION('',(1.,0.,0.)); #460005=DIRECTION('',(0.,0.,1.)); #460006=DIRECTION('',(1.,0.,0.)); #460007=DIRECTION('',(0.,0.,1.)); #460008=DIRECTION('',(1.,0.,0.)); #460009=DIRECTION('',(0.,0.,1.)); #460010=DIRECTION('',(1.,0.,0.)); #460011=DIRECTION('',(0.,0.,1.)); #460012=DIRECTION('',(1.,0.,0.)); #460013=DIRECTION('',(0.,0.,1.)); #460014=DIRECTION('',(1.,0.,0.)); #460015=DIRECTION('',(0.,0.,1.)); #460016=DIRECTION('',(1.,0.,0.)); #460017=DIRECTION('',(0.,0.,1.)); #460018=DIRECTION('',(1.,0.,0.)); #460019=DIRECTION('',(0.,0.,1.)); #460020=DIRECTION('',(1.,0.,0.)); #460021=DIRECTION('',(0.,0.,1.)); #460022=DIRECTION('',(1.,0.,0.)); #460023=DIRECTION('',(0.,0.,1.)); #460024=DIRECTION('',(1.,0.,0.)); #460025=DIRECTION('',(0.,0.,1.)); #460026=DIRECTION('',(1.,0.,0.)); #460027=DIRECTION('',(0.,0.,1.)); #460028=DIRECTION('',(1.,0.,0.)); #460029=DIRECTION('',(0.,0.,1.)); #460030=DIRECTION('',(1.,0.,0.)); #460031=DIRECTION('',(0.,0.,1.)); #460032=DIRECTION('',(1.,0.,0.)); #460033=DIRECTION('',(0.,0.,1.)); #460034=DIRECTION('',(1.,0.,0.)); #460035=DIRECTION('',(0.,0.,1.)); #460036=DIRECTION('',(1.,0.,0.)); #460037=DIRECTION('',(0.,0.,1.)); #460038=DIRECTION('',(1.,0.,0.)); #460039=DIRECTION('',(0.,0.,1.)); #460040=DIRECTION('',(1.,0.,0.)); #460041=DIRECTION('',(0.,0.,1.)); #460042=DIRECTION('',(1.,0.,0.)); #460043=DIRECTION('',(0.,0.,1.)); #460044=DIRECTION('',(1.,3.33013568423603E-48,0.)); #460045=DIRECTION('',(0.,0.,1.)); #460046=DIRECTION('',(1.,0.,0.)); #460047=DIRECTION('axis',(0.,0.,1.)); #460048=DIRECTION('refdir',(1.,0.,0.)); #460049=DIRECTION('axis',(0.,0.,1.)); #460050=DIRECTION('refdir',(1.,0.,0.)); #460051=DIRECTION('center_axis',(-0.816496580927727,0.408248290463863,-0.408248290463862)); #460052=DIRECTION('ref_axis',(-0.577350269189626,-0.577350269189626,0.577350269189626)); #460053=DIRECTION('center_axis',(0.,-1.,0.)); #460054=DIRECTION('ref_axis',(-1.,0.,0.)); #460055=DIRECTION('center_axis',(0.,0.,1.)); #460056=DIRECTION('ref_axis',(0.,-1.,0.)); #460057=DIRECTION('center_axis',(-1.,0.,0.)); #460058=DIRECTION('ref_axis',(0.,0.,1.)); #460059=DIRECTION('center_axis',(-0.816496580927725,-0.408248290463864, 0.408248290463864)); #460060=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,0.577350269189626)); #460061=DIRECTION('center_axis',(1.,0.,0.)); #460062=DIRECTION('ref_axis',(0.,-1.,0.)); #460063=DIRECTION('center_axis',(0.,0.,1.)); #460064=DIRECTION('ref_axis',(1.,0.,0.)); #460065=DIRECTION('center_axis',(0.,-1.,0.)); #460066=DIRECTION('ref_axis',(0.,0.,1.)); #460067=DIRECTION('center_axis',(-0.816496580927726,0.408248290463863,0.408248290463863)); #460068=DIRECTION('ref_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #460069=DIRECTION('center_axis',(0.,0.,-1.)); #460070=DIRECTION('ref_axis',(-1.,0.,0.)); #460071=DIRECTION('center_axis',(0.,-1.,0.)); #460072=DIRECTION('ref_axis',(0.,0.,-1.)); #460073=DIRECTION('center_axis',(-1.,0.,0.)); #460074=DIRECTION('ref_axis',(0.,-1.,0.)); #460075=DIRECTION('center_axis',(-0.816496580927727,-0.408248290463863, -0.408248290463862)); #460076=DIRECTION('ref_axis',(-0.577350269189625,0.577350269189628,0.577350269189625)); #460077=DIRECTION('center_axis',(-1.,0.,0.)); #460078=DIRECTION('ref_axis',(0.,1.,0.)); #460079=DIRECTION('center_axis',(0.,0.,1.)); #460080=DIRECTION('ref_axis',(-1.,0.,0.)); #460081=DIRECTION('center_axis',(0.,1.,0.)); #460082=DIRECTION('ref_axis',(0.,0.,1.)); #460083=DIRECTION('center_axis',(-0.816496580927726,-0.408248290463863, -0.408248290463863)); #460084=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,-0.577350269189625)); #460085=DIRECTION('center_axis',(0.,0.,-1.)); #460086=DIRECTION('ref_axis',(0.,-1.,0.)); #460087=DIRECTION('center_axis',(1.,0.,0.)); #460088=DIRECTION('ref_axis',(0.,0.,-1.)); #460089=DIRECTION('center_axis',(0.,-1.,0.)); #460090=DIRECTION('ref_axis',(1.,0.,0.)); #460091=DIRECTION('center_axis',(1.,0.,0.)); #460092=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #460093=DIRECTION('',(-1.,0.,0.)); #460094=DIRECTION('',(1.,0.,0.)); #460095=DIRECTION('center_axis',(0.408248290463863,0.408248290463862,-0.816496580927727)); #460096=DIRECTION('ref_axis',(0.577350269189628,0.577350269189626,0.577350269189623)); #460097=DIRECTION('center_axis',(0.,1.,0.)); #460098=DIRECTION('ref_axis',(1.,0.,0.)); #460099=DIRECTION('center_axis',(0.,0.,1.)); #460100=DIRECTION('ref_axis',(0.,1.,0.)); #460101=DIRECTION('center_axis',(1.,2.16840434497101E-15,0.)); #460102=DIRECTION('ref_axis',(0.,0.,1.)); #460103=DIRECTION('center_axis',(-0.816496580927727,-0.408248290463862, 0.408248290463862)); #460104=DIRECTION('ref_axis',(-0.577350269189625,0.577350269189626,-0.577350269189627)); #460105=DIRECTION('center_axis',(-1.,0.,0.)); #460106=DIRECTION('ref_axis',(0.,0.,-1.)); #460107=DIRECTION('center_axis',(0.,1.,0.)); #460108=DIRECTION('ref_axis',(-1.,0.,0.)); #460109=DIRECTION('center_axis',(0.,0.,-1.)); #460110=DIRECTION('ref_axis',(0.,1.,0.)); #460111=DIRECTION('center_axis',(0.,-1.,0.)); #460112=DIRECTION('ref_axis',(-0.707106781186545,0.,-0.70710678118655)); #460113=DIRECTION('',(0.,1.,0.)); #460114=DIRECTION('',(0.,-1.,0.)); #460115=DIRECTION('center_axis',(0.,0.,1.)); #460116=DIRECTION('ref_axis',(-0.707106781186545,0.707106781186551,0.)); #460117=DIRECTION('',(0.,0.,-1.)); #460118=DIRECTION('',(0.,0.,1.)); #460119=DIRECTION('center_axis',(-0.816496580927726,0.408248290463863,-0.408248290463863)); #460120=DIRECTION('ref_axis',(0.577350269189625,0.577350269189626,-0.577350269189626)); #460121=DIRECTION('center_axis',(0.,0.,-1.)); #460122=DIRECTION('ref_axis',(1.,0.,0.)); #460123=DIRECTION('center_axis',(0.,1.,0.)); #460124=DIRECTION('ref_axis',(0.,0.,-1.)); #460125=DIRECTION('center_axis',(1.,0.,2.16840434497101E-15)); #460126=DIRECTION('ref_axis',(0.,1.,0.)); #460127=DIRECTION('center_axis',(0.,-1.,0.)); #460128=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186548)); #460129=DIRECTION('',(0.,1.,0.)); #460130=DIRECTION('',(0.,-1.,0.)); #460131=DIRECTION('center_axis',(-1.,0.,0.)); #460132=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); #460133=DIRECTION('',(1.,0.,0.)); #460134=DIRECTION('',(-1.,0.,0.)); #460135=DIRECTION('center_axis',(0.,0.,1.)); #460136=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #460137=DIRECTION('',(0.,0.,-1.)); #460138=DIRECTION('',(0.,0.,1.)); #460139=DIRECTION('center_axis',(-1.,0.,0.)); #460140=DIRECTION('ref_axis',(0.,0.707106781186547,0.707106781186547)); #460141=DIRECTION('',(1.,0.,0.)); #460142=DIRECTION('',(-1.,0.,0.)); #460143=DIRECTION('center_axis',(0.,1.,0.)); #460144=DIRECTION('ref_axis',(0.707106781186546,0.,0.707106781186549)); #460145=DIRECTION('',(0.,-1.,0.)); #460146=DIRECTION('',(0.,1.,0.)); #460147=DIRECTION('center_axis',(0.,0.,1.)); #460148=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.)); #460149=DIRECTION('',(0.,0.,-1.)); #460150=DIRECTION('',(0.,0.,1.)); #460151=DIRECTION('center_axis',(0.,-1.,0.)); #460152=DIRECTION('ref_axis',(-0.707106781186545,0.,0.707106781186551)); #460153=DIRECTION('',(0.,1.,0.)); #460154=DIRECTION('',(0.,-1.,0.)); #460155=DIRECTION('center_axis',(0.,0.,1.)); #460156=DIRECTION('ref_axis',(-0.707106781186545,-0.707106781186551,0.)); #460157=DIRECTION('',(0.,0.,-1.)); #460158=DIRECTION('',(0.,0.,1.)); #460159=DIRECTION('center_axis',(1.,0.,0.)); #460160=DIRECTION('ref_axis',(0.,-0.707106781186547,0.707106781186547)); #460161=DIRECTION('',(-1.,0.,0.)); #460162=DIRECTION('',(1.,0.,0.)); #460163=DIRECTION('center_axis',(0.,-1.,0.)); #460164=DIRECTION('ref_axis',(1.,0.,0.)); #460165=DIRECTION('center_axis',(0.,0.,1.)); #460166=DIRECTION('ref_axis',(1.,0.,0.)); #460167=DIRECTION('center_axis',(-1.,0.,0.)); #460168=DIRECTION('ref_axis',(0.,-1.,0.)); #460169=DIRECTION('center_axis',(0.,1.,0.)); #460170=DIRECTION('ref_axis',(-1.,0.,0.)); #460171=DIRECTION('center_axis',(1.,0.,0.)); #460172=DIRECTION('ref_axis',(0.,1.,0.)); #460173=DIRECTION('center_axis',(0.,0.,1.)); #460174=DIRECTION('ref_axis',(1.,0.,0.)); #460175=DIRECTION('center_axis',(-0.408248290463863,-0.408248290463862, -0.816496580927727)); #460176=DIRECTION('ref_axis',(-0.577350269189628,-0.577350269189626,0.577350269189623)); #460177=DIRECTION('center_axis',(0.,-1.,0.)); #460178=DIRECTION('ref_axis',(-1.,0.,0.)); #460179=DIRECTION('center_axis',(0.,0.,1.)); #460180=DIRECTION('ref_axis',(0.,-1.,0.)); #460181=DIRECTION('center_axis',(-1.,-2.16840434497101E-15,0.)); #460182=DIRECTION('ref_axis',(0.,0.,1.)); #460183=DIRECTION('center_axis',(-0.816496580927727,-0.408248290463863, 0.408248290463862)); #460184=DIRECTION('ref_axis',(0.577350269189625,-0.577350269189628,0.577350269189625)); #460185=DIRECTION('center_axis',(1.,0.,0.)); #460186=DIRECTION('ref_axis',(0.,-1.,0.)); #460187=DIRECTION('center_axis',(0.,0.,1.)); #460188=DIRECTION('ref_axis',(1.,0.,0.)); #460189=DIRECTION('center_axis',(0.,-1.,0.)); #460190=DIRECTION('ref_axis',(0.,0.,1.)); #460191=DIRECTION('center_axis',(-0.816496580927728,0.408248290463861,0.408248290463861)); #460192=DIRECTION('ref_axis',(-0.577350269189623,-0.577350269189627,-0.577350269189627)); #460193=DIRECTION('center_axis',(0.,0.,-1.)); #460194=DIRECTION('ref_axis',(-1.,0.,0.)); #460195=DIRECTION('center_axis',(0.,-1.,0.)); #460196=DIRECTION('ref_axis',(0.,0.,-1.)); #460197=DIRECTION('center_axis',(-1.,0.,4.33680868994202E-15)); #460198=DIRECTION('ref_axis',(0.,-1.,0.)); #460199=DIRECTION('center_axis',(-0.816496580927725,-0.408248290463864, -0.408248290463864)); #460200=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189626,0.577350269189626)); #460201=DIRECTION('center_axis',(-1.,0.,0.)); #460202=DIRECTION('ref_axis',(0.,1.,0.)); #460203=DIRECTION('center_axis',(0.,0.,1.)); #460204=DIRECTION('ref_axis',(-1.,0.,0.)); #460205=DIRECTION('center_axis',(0.,1.,0.)); #460206=DIRECTION('ref_axis',(0.,0.,1.)); #460207=DIRECTION('center_axis',(-0.816496580927726,-0.408248290463863, -0.408248290463863)); #460208=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,-0.577350269189626)); #460209=DIRECTION('center_axis',(0.,0.,-1.)); #460210=DIRECTION('ref_axis',(0.,-1.,0.)); #460211=DIRECTION('center_axis',(1.,0.,0.)); #460212=DIRECTION('ref_axis',(0.,0.,-1.)); #460213=DIRECTION('center_axis',(0.,-1.,0.)); #460214=DIRECTION('ref_axis',(1.,0.,0.)); #460215=DIRECTION('center_axis',(1.,0.,0.)); #460216=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #460217=DIRECTION('',(-1.,0.,0.)); #460218=DIRECTION('',(1.,0.,0.)); #460219=DIRECTION('center_axis',(-0.816496580927727,0.408248290463863,0.408248290463862)); #460220=DIRECTION('ref_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #460221=DIRECTION('center_axis',(0.,1.,0.)); #460222=DIRECTION('ref_axis',(1.,0.,0.)); #460223=DIRECTION('center_axis',(0.,0.,1.)); #460224=DIRECTION('ref_axis',(0.,1.,0.)); #460225=DIRECTION('center_axis',(1.,0.,0.)); #460226=DIRECTION('ref_axis',(0.,0.,1.)); #460227=DIRECTION('center_axis',(-0.816496580927727,-0.408248290463862, 0.408248290463862)); #460228=DIRECTION('ref_axis',(-0.577350269189624,0.577350269189627,-0.577350269189627)); #460229=DIRECTION('center_axis',(-1.,2.16840434497101E-15,0.)); #460230=DIRECTION('ref_axis',(0.,0.,-1.)); #460231=DIRECTION('center_axis',(0.,1.,0.)); #460232=DIRECTION('ref_axis',(-1.,0.,0.)); #460233=DIRECTION('center_axis',(0.,0.,-1.)); #460234=DIRECTION('ref_axis',(0.,1.,0.)); #460235=DIRECTION('center_axis',(0.,-1.,0.)); #460236=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186548)); #460237=DIRECTION('',(0.,1.,0.)); #460238=DIRECTION('',(0.,-1.,0.)); #460239=DIRECTION('center_axis',(0.,0.,1.)); #460240=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.)); #460241=DIRECTION('',(0.,0.,-1.)); #460242=DIRECTION('',(0.,0.,1.)); #460243=DIRECTION('center_axis',(-0.816496580927726,0.408248290463863,-0.408248290463863)); #460244=DIRECTION('ref_axis',(0.577350269189626,0.577350269189626,-0.577350269189626)); #460245=DIRECTION('center_axis',(0.,0.,-1.)); #460246=DIRECTION('ref_axis',(1.,0.,0.)); #460247=DIRECTION('center_axis',(0.,1.,0.)); #460248=DIRECTION('ref_axis',(0.,0.,-1.)); #460249=DIRECTION('center_axis',(1.,0.,0.)); #460250=DIRECTION('ref_axis',(0.,1.,0.)); #460251=DIRECTION('center_axis',(0.,1.,0.)); #460252=DIRECTION('ref_axis',(0.707106781186545,0.,-0.70710678118655)); #460253=DIRECTION('',(0.,-1.,0.)); #460254=DIRECTION('',(0.,1.,0.)); #460255=DIRECTION('center_axis',(-1.,0.,0.)); #460256=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); #460257=DIRECTION('',(1.,0.,0.)); #460258=DIRECTION('',(-1.,0.,0.)); #460259=DIRECTION('center_axis',(0.,0.,1.)); #460260=DIRECTION('ref_axis',(0.707106781186545,0.707106781186551,0.)); #460261=DIRECTION('',(0.,0.,-1.)); #460262=DIRECTION('',(0.,0.,1.)); #460263=DIRECTION('center_axis',(-1.,0.,0.)); #460264=DIRECTION('ref_axis',(0.,0.707106781186547,0.707106781186547)); #460265=DIRECTION('',(1.,0.,0.)); #460266=DIRECTION('',(-1.,0.,0.)); #460267=DIRECTION('center_axis',(0.,1.,0.)); #460268=DIRECTION('ref_axis',(0.707106781186545,0.,0.707106781186551)); #460269=DIRECTION('',(0.,-1.,0.)); #460270=DIRECTION('',(0.,1.,0.)); #460271=DIRECTION('center_axis',(0.,0.,1.)); #460272=DIRECTION('ref_axis',(0.707106781186545,-0.707106781186551,0.)); #460273=DIRECTION('',(0.,0.,-1.)); #460274=DIRECTION('',(0.,0.,1.)); #460275=DIRECTION('center_axis',(0.,-1.,0.)); #460276=DIRECTION('ref_axis',(-0.707106781186546,0.,0.707106781186549)); #460277=DIRECTION('',(0.,1.,0.)); #460278=DIRECTION('',(0.,-1.,0.)); #460279=DIRECTION('center_axis',(0.,0.,1.)); #460280=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #460281=DIRECTION('',(0.,0.,-1.)); #460282=DIRECTION('',(0.,0.,1.)); #460283=DIRECTION('center_axis',(1.,0.,0.)); #460284=DIRECTION('ref_axis',(0.,-0.707106781186547,0.707106781186547)); #460285=DIRECTION('',(-1.,0.,0.)); #460286=DIRECTION('',(1.,0.,0.)); #460287=DIRECTION('center_axis',(0.,-1.,0.)); #460288=DIRECTION('ref_axis',(1.,0.,0.)); #460289=DIRECTION('center_axis',(0.,0.,1.)); #460290=DIRECTION('ref_axis',(1.,0.,0.)); #460291=DIRECTION('center_axis',(-1.,0.,0.)); #460292=DIRECTION('ref_axis',(0.,-1.,0.)); #460293=DIRECTION('center_axis',(0.,1.,0.)); #460294=DIRECTION('ref_axis',(-1.,0.,0.)); #460295=DIRECTION('center_axis',(1.,0.,0.)); #460296=DIRECTION('ref_axis',(0.,1.,0.)); #460297=DIRECTION('center_axis',(0.,0.,1.)); #460298=DIRECTION('ref_axis',(1.,0.,0.)); #460299=DIRECTION('center_axis',(1.,0.,0.)); #460300=DIRECTION('ref_axis',(0.,1.,0.)); #460301=DIRECTION('',(0.,-1.,0.)); #460302=DIRECTION('',(0.,0.,1.)); #460303=DIRECTION('',(0.,1.,0.)); #460304=DIRECTION('',(0.,0.,1.)); #460305=DIRECTION('center_axis',(0.,-1.,0.)); #460306=DIRECTION('ref_axis',(1.,0.,0.)); #460307=DIRECTION('',(1.,0.,0.)); #460308=DIRECTION('',(1.,0.,0.)); #460309=DIRECTION('',(0.,0.,1.)); #460310=DIRECTION('center_axis',(-1.,0.,0.)); #460311=DIRECTION('ref_axis',(0.,-1.,0.)); #460312=DIRECTION('',(0.,-1.,0.)); #460313=DIRECTION('',(0.,-1.,0.)); #460314=DIRECTION('',(0.,0.,1.)); #460315=DIRECTION('center_axis',(0.,1.,0.)); #460316=DIRECTION('ref_axis',(-1.,0.,0.)); #460317=DIRECTION('',(-1.,0.,0.)); #460318=DIRECTION('',(-1.,0.,0.)); #460319=DIRECTION('center_axis',(0.,0.,1.)); #460320=DIRECTION('ref_axis',(1.,0.,0.)); #460321=DIRECTION('center_axis',(0.,0.,1.)); #460322=DIRECTION('ref_axis',(1.,0.,0.)); #460323=DIRECTION('',(0.,0.,1.)); #460324=DIRECTION('',(1.,0.,0.)); #460325=DIRECTION('axis',(0.,0.,1.)); #460326=DIRECTION('refdir',(1.,0.,0.)); #460327=DIRECTION('axis',(0.,0.,1.)); #460328=DIRECTION('refdir',(1.,0.,0.)); #460329=DIRECTION('center_axis',(0.408248290463863,-0.816496580927726,-0.408248290463863)); #460330=DIRECTION('ref_axis',(-0.57735026918963,-0.577350269189624,0.577350269189624)); #460331=DIRECTION('center_axis',(0.,-1.,0.)); #460332=DIRECTION('ref_axis',(-1.,0.,0.)); #460333=DIRECTION('center_axis',(0.,0.,1.)); #460334=DIRECTION('ref_axis',(0.,-1.,0.)); #460335=DIRECTION('center_axis',(-1.,0.,0.)); #460336=DIRECTION('ref_axis',(0.,0.,1.)); #460337=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, -0.408248290463863)); #460338=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,0.577350269189626)); #460339=DIRECTION('center_axis',(1.,0.,0.)); #460340=DIRECTION('ref_axis',(0.,-1.,0.)); #460341=DIRECTION('center_axis',(0.,0.,1.)); #460342=DIRECTION('ref_axis',(1.,0.,0.)); #460343=DIRECTION('center_axis',(0.,-1.,0.)); #460344=DIRECTION('ref_axis',(0.,0.,1.)); #460345=DIRECTION('center_axis',(-0.816496580927733,0.408248290463858,0.408248290463854)); #460346=DIRECTION('ref_axis',(-0.577350269189618,-0.577350269189635,-0.577350269189624)); #460347=DIRECTION('center_axis',(0.,0.,-1.)); #460348=DIRECTION('ref_axis',(-1.,0.,0.)); #460349=DIRECTION('center_axis',(0.,-1.,0.)); #460350=DIRECTION('ref_axis',(0.,0.,-1.)); #460351=DIRECTION('center_axis',(-1.,0.,6.93889390390723E-15)); #460352=DIRECTION('ref_axis',(0.,-1.,0.)); #460353=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, 0.408248290463863)); #460354=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189626,0.577350269189626)); #460355=DIRECTION('center_axis',(-1.,0.,0.)); #460356=DIRECTION('ref_axis',(0.,1.,0.)); #460357=DIRECTION('center_axis',(0.,0.,1.)); #460358=DIRECTION('ref_axis',(-1.,0.,0.)); #460359=DIRECTION('center_axis',(0.,1.,0.)); #460360=DIRECTION('ref_axis',(0.,0.,1.)); #460361=DIRECTION('center_axis',(-0.816496580927725,-0.408248290463864, -0.408248290463863)); #460362=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,-0.577350269189626)); #460363=DIRECTION('center_axis',(0.,0.,-1.)); #460364=DIRECTION('ref_axis',(0.,-1.,0.)); #460365=DIRECTION('center_axis',(1.,0.,0.)); #460366=DIRECTION('ref_axis',(0.,0.,-1.)); #460367=DIRECTION('center_axis',(0.,-1.,0.)); #460368=DIRECTION('ref_axis',(1.,0.,0.)); #460369=DIRECTION('center_axis',(1.,0.,0.)); #460370=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #460371=DIRECTION('',(-1.,0.,0.)); #460372=DIRECTION('',(1.,0.,0.)); #460373=DIRECTION('center_axis',(0.408248290463861,-0.816496580927727,0.408248290463864)); #460374=DIRECTION('ref_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #460375=DIRECTION('center_axis',(0.,1.,0.)); #460376=DIRECTION('ref_axis',(1.,0.,0.)); #460377=DIRECTION('center_axis',(0.,0.,1.)); #460378=DIRECTION('ref_axis',(0.,1.,0.)); #460379=DIRECTION('center_axis',(1.,-3.46944695195361E-15,0.)); #460380=DIRECTION('ref_axis',(0.,0.,1.)); #460381=DIRECTION('center_axis',(-0.816496580927729,-0.408248290463862, 0.40824829046386)); #460382=DIRECTION('ref_axis',(-0.577350269189622,0.577350269189628,-0.577350269189628)); #460383=DIRECTION('center_axis',(-1.,6.93889390390722E-15,0.)); #460384=DIRECTION('ref_axis',(0.,0.,-1.)); #460385=DIRECTION('center_axis',(0.,1.,0.)); #460386=DIRECTION('ref_axis',(-1.,0.,0.)); #460387=DIRECTION('center_axis',(0.,0.,-1.)); #460388=DIRECTION('ref_axis',(0.,1.,0.)); #460389=DIRECTION('center_axis',(0.,-1.,0.)); #460390=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #460391=DIRECTION('',(0.,1.,0.)); #460392=DIRECTION('',(0.,-1.,0.)); #460393=DIRECTION('center_axis',(0.,0.,1.)); #460394=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #460395=DIRECTION('',(0.,0.,-1.)); #460396=DIRECTION('',(0.,0.,1.)); #460397=DIRECTION('center_axis',(-0.816496580927728,0.408248290463864,-0.408248290463859)); #460398=DIRECTION('ref_axis',(0.577350269189625,0.57735026918963,-0.577350269189622)); #460399=DIRECTION('center_axis',(0.,0.,-1.)); #460400=DIRECTION('ref_axis',(1.,0.,0.)); #460401=DIRECTION('center_axis',(0.,1.,0.)); #460402=DIRECTION('ref_axis',(0.,0.,-1.)); #460403=DIRECTION('center_axis',(1.,0.,-3.46944695195361E-15)); #460404=DIRECTION('ref_axis',(0.,1.,0.)); #460405=DIRECTION('center_axis',(0.,-1.,0.)); #460406=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #460407=DIRECTION('',(0.,1.,0.)); #460408=DIRECTION('',(0.,-1.,0.)); #460409=DIRECTION('center_axis',(-1.,0.,0.)); #460410=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); #460411=DIRECTION('',(1.,0.,0.)); #460412=DIRECTION('',(-1.,0.,0.)); #460413=DIRECTION('center_axis',(0.,0.,1.)); #460414=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #460415=DIRECTION('',(0.,0.,-1.)); #460416=DIRECTION('',(0.,0.,1.)); #460417=DIRECTION('center_axis',(-1.,0.,0.)); #460418=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548)); #460419=DIRECTION('',(1.,0.,0.)); #460420=DIRECTION('',(-1.,0.,0.)); #460421=DIRECTION('center_axis',(0.,1.,0.)); #460422=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #460423=DIRECTION('',(0.,-1.,0.)); #460424=DIRECTION('',(0.,1.,0.)); #460425=DIRECTION('center_axis',(0.,0.,1.)); #460426=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #460427=DIRECTION('',(0.,0.,-1.)); #460428=DIRECTION('',(0.,0.,1.)); #460429=DIRECTION('center_axis',(0.,-1.,0.)); #460430=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #460431=DIRECTION('',(0.,1.,0.)); #460432=DIRECTION('',(0.,-1.,0.)); #460433=DIRECTION('center_axis',(0.,0.,1.)); #460434=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #460435=DIRECTION('',(0.,0.,-1.)); #460436=DIRECTION('',(0.,0.,1.)); #460437=DIRECTION('center_axis',(1.,0.,0.)); #460438=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548)); #460439=DIRECTION('',(-1.,0.,0.)); #460440=DIRECTION('',(1.,0.,0.)); #460441=DIRECTION('center_axis',(0.,-1.,0.)); #460442=DIRECTION('ref_axis',(1.,0.,0.)); #460443=DIRECTION('center_axis',(0.,0.,1.)); #460444=DIRECTION('ref_axis',(1.,0.,0.)); #460445=DIRECTION('center_axis',(-1.,0.,0.)); #460446=DIRECTION('ref_axis',(0.,-1.,0.)); #460447=DIRECTION('center_axis',(0.,1.,0.)); #460448=DIRECTION('ref_axis',(-1.,0.,0.)); #460449=DIRECTION('center_axis',(1.,0.,0.)); #460450=DIRECTION('ref_axis',(0.,1.,0.)); #460451=DIRECTION('center_axis',(0.,0.,1.)); #460452=DIRECTION('ref_axis',(1.,0.,0.)); #460453=DIRECTION('center_axis',(0.408248290463861,-0.816496580927727,-0.408248290463864)); #460454=DIRECTION('ref_axis',(-0.577350269189626,-0.577350269189626,0.577350269189626)); #460455=DIRECTION('center_axis',(0.,-1.,0.)); #460456=DIRECTION('ref_axis',(-1.,0.,0.)); #460457=DIRECTION('center_axis',(0.,0.,1.)); #460458=DIRECTION('ref_axis',(0.,-1.,0.)); #460459=DIRECTION('center_axis',(-1.,3.46944695195361E-15,0.)); #460460=DIRECTION('ref_axis',(0.,0.,1.)); #460461=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, -0.408248290463863)); #460462=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,0.577350269189626)); #460463=DIRECTION('center_axis',(1.,0.,0.)); #460464=DIRECTION('ref_axis',(0.,-1.,0.)); #460465=DIRECTION('center_axis',(0.,0.,1.)); #460466=DIRECTION('ref_axis',(1.,0.,0.)); #460467=DIRECTION('center_axis',(0.,-1.,0.)); #460468=DIRECTION('ref_axis',(0.,0.,1.)); #460469=DIRECTION('center_axis',(-0.816496580927724,0.408248290463869,0.408248290463861)); #460470=DIRECTION('ref_axis',(-0.577350269189627,-0.57735026918963,-0.57735026918962)); #460471=DIRECTION('center_axis',(0.,0.,-1.)); #460472=DIRECTION('ref_axis',(-1.,0.,0.)); #460473=DIRECTION('center_axis',(0.,-1.,0.)); #460474=DIRECTION('ref_axis',(0.,0.,-1.)); #460475=DIRECTION('center_axis',(-1.,0.,-6.93889390390723E-15)); #460476=DIRECTION('ref_axis',(0.,-1.,0.)); #460477=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, 0.408248290463863)); #460478=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189626,0.577350269189626)); #460479=DIRECTION('center_axis',(-1.,0.,0.)); #460480=DIRECTION('ref_axis',(0.,1.,0.)); #460481=DIRECTION('center_axis',(0.,0.,1.)); #460482=DIRECTION('ref_axis',(-1.,0.,0.)); #460483=DIRECTION('center_axis',(0.,1.,0.)); #460484=DIRECTION('ref_axis',(0.,0.,1.)); #460485=DIRECTION('center_axis',(-0.816496580927729,-0.408248290463859, -0.40824829046386)); #460486=DIRECTION('ref_axis',(0.577350269189619,-0.57735026918963,-0.577350269189628)); #460487=DIRECTION('center_axis',(0.,0.,-1.)); #460488=DIRECTION('ref_axis',(0.,-1.,0.)); #460489=DIRECTION('center_axis',(1.,-6.93889390390722E-15,0.)); #460490=DIRECTION('ref_axis',(0.,0.,-1.)); #460491=DIRECTION('center_axis',(0.,-1.,0.)); #460492=DIRECTION('ref_axis',(1.,0.,0.)); #460493=DIRECTION('center_axis',(1.,0.,0.)); #460494=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #460495=DIRECTION('',(-1.,0.,0.)); #460496=DIRECTION('',(1.,0.,0.)); #460497=DIRECTION('center_axis',(0.408248290463863,-0.816496580927726,0.408248290463863)); #460498=DIRECTION('ref_axis',(0.57735026918963,0.577350269189624,0.577350269189624)); #460499=DIRECTION('center_axis',(0.,1.,0.)); #460500=DIRECTION('ref_axis',(1.,0.,0.)); #460501=DIRECTION('center_axis',(0.,0.,1.)); #460502=DIRECTION('ref_axis',(0.,1.,0.)); #460503=DIRECTION('center_axis',(1.,0.,0.)); #460504=DIRECTION('ref_axis',(0.,0.,1.)); #460505=DIRECTION('center_axis',(0.408248290463862,-0.408248290463862,-0.816496580927727)); #460506=DIRECTION('ref_axis',(-0.577350269189629,0.577350269189623,-0.577350269189625)); #460507=DIRECTION('center_axis',(-1.,-3.46944695195361E-15,0.)); #460508=DIRECTION('ref_axis',(0.,0.,-1.)); #460509=DIRECTION('center_axis',(0.,1.,0.)); #460510=DIRECTION('ref_axis',(-1.,0.,0.)); #460511=DIRECTION('center_axis',(0.,0.,-1.)); #460512=DIRECTION('ref_axis',(0.,1.,0.)); #460513=DIRECTION('center_axis',(0.,-1.,0.)); #460514=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #460515=DIRECTION('',(0.,1.,0.)); #460516=DIRECTION('',(0.,-1.,0.)); #460517=DIRECTION('center_axis',(0.,0.,1.)); #460518=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #460519=DIRECTION('',(0.,0.,-1.)); #460520=DIRECTION('',(0.,0.,1.)); #460521=DIRECTION('center_axis',(-0.816496580927733,0.408248290463858,-0.408248290463854)); #460522=DIRECTION('ref_axis',(0.577350269189618,0.577350269189635,-0.577350269189624)); #460523=DIRECTION('center_axis',(0.,0.,-1.)); #460524=DIRECTION('ref_axis',(1.,0.,0.)); #460525=DIRECTION('center_axis',(0.,1.,0.)); #460526=DIRECTION('ref_axis',(0.,0.,-1.)); #460527=DIRECTION('center_axis',(1.,0.,6.93889390390723E-15)); #460528=DIRECTION('ref_axis',(0.,1.,0.)); #460529=DIRECTION('center_axis',(0.,1.,0.)); #460530=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #460531=DIRECTION('',(0.,-1.,0.)); #460532=DIRECTION('',(0.,1.,0.)); #460533=DIRECTION('center_axis',(-1.,0.,0.)); #460534=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); #460535=DIRECTION('',(1.,0.,0.)); #460536=DIRECTION('',(-1.,0.,0.)); #460537=DIRECTION('center_axis',(0.,0.,1.)); #460538=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #460539=DIRECTION('',(0.,0.,-1.)); #460540=DIRECTION('',(0.,0.,1.)); #460541=DIRECTION('center_axis',(-1.,0.,0.)); #460542=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548)); #460543=DIRECTION('',(1.,0.,0.)); #460544=DIRECTION('',(-1.,0.,0.)); #460545=DIRECTION('center_axis',(0.,1.,0.)); #460546=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #460547=DIRECTION('',(0.,-1.,0.)); #460548=DIRECTION('',(0.,1.,0.)); #460549=DIRECTION('center_axis',(0.,0.,1.)); #460550=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #460551=DIRECTION('',(0.,0.,-1.)); #460552=DIRECTION('',(0.,0.,1.)); #460553=DIRECTION('center_axis',(0.,-1.,0.)); #460554=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #460555=DIRECTION('',(0.,1.,0.)); #460556=DIRECTION('',(0.,-1.,0.)); #460557=DIRECTION('center_axis',(0.,0.,1.)); #460558=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #460559=DIRECTION('',(0.,0.,-1.)); #460560=DIRECTION('',(0.,0.,1.)); #460561=DIRECTION('center_axis',(1.,0.,0.)); #460562=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548)); #460563=DIRECTION('',(-1.,0.,0.)); #460564=DIRECTION('',(1.,0.,0.)); #460565=DIRECTION('center_axis',(0.,-1.,0.)); #460566=DIRECTION('ref_axis',(1.,0.,0.)); #460567=DIRECTION('center_axis',(0.,0.,1.)); #460568=DIRECTION('ref_axis',(1.,0.,0.)); #460569=DIRECTION('center_axis',(-1.,0.,0.)); #460570=DIRECTION('ref_axis',(0.,-1.,0.)); #460571=DIRECTION('center_axis',(0.,1.,0.)); #460572=DIRECTION('ref_axis',(-1.,0.,0.)); #460573=DIRECTION('center_axis',(1.,0.,0.)); #460574=DIRECTION('ref_axis',(0.,1.,0.)); #460575=DIRECTION('center_axis',(0.,0.,1.)); #460576=DIRECTION('ref_axis',(1.,0.,0.)); #460577=DIRECTION('center_axis',(1.,0.,0.)); #460578=DIRECTION('ref_axis',(0.,1.,0.)); #460579=DIRECTION('',(0.,-1.,0.)); #460580=DIRECTION('',(0.,0.,1.)); #460581=DIRECTION('',(0.,1.,0.)); #460582=DIRECTION('',(0.,0.,1.)); #460583=DIRECTION('center_axis',(0.,-1.,0.)); #460584=DIRECTION('ref_axis',(1.,0.,0.)); #460585=DIRECTION('',(1.,0.,0.)); #460586=DIRECTION('',(1.,0.,0.)); #460587=DIRECTION('',(0.,0.,1.)); #460588=DIRECTION('center_axis',(-1.,0.,0.)); #460589=DIRECTION('ref_axis',(0.,-1.,0.)); #460590=DIRECTION('',(0.,-1.,0.)); #460591=DIRECTION('',(0.,-1.,0.)); #460592=DIRECTION('',(0.,0.,1.)); #460593=DIRECTION('center_axis',(0.,1.,0.)); #460594=DIRECTION('ref_axis',(-1.,0.,0.)); #460595=DIRECTION('',(-1.,0.,0.)); #460596=DIRECTION('',(-1.,0.,0.)); #460597=DIRECTION('center_axis',(0.,0.,1.)); #460598=DIRECTION('ref_axis',(1.,0.,0.)); #460599=DIRECTION('center_axis',(0.,0.,1.)); #460600=DIRECTION('ref_axis',(1.,0.,0.)); #460601=DIRECTION('',(0.,0.,1.)); #460602=DIRECTION('',(1.,0.,0.)); #460603=DIRECTION('axis',(0.,0.,1.)); #460604=DIRECTION('refdir',(1.,0.,0.)); #460605=DIRECTION('axis',(0.,0.,1.)); #460606=DIRECTION('refdir',(1.,0.,0.)); #460607=DIRECTION('center_axis',(0.,0.,-1.)); #460608=DIRECTION('ref_axis',(1.,0.,0.)); #460609=DIRECTION('center_axis',(0.,0.,-1.)); #460610=DIRECTION('ref_axis',(1.,0.,0.)); #460611=DIRECTION('',(0.,0.,-1.)); #460612=DIRECTION('center_axis',(0.,0.,-1.)); #460613=DIRECTION('ref_axis',(1.,0.,0.)); #460614=DIRECTION('center_axis',(0.,0.,1.)); #460615=DIRECTION('ref_axis',(1.,0.,0.)); #460616=DIRECTION('center_axis',(0.,0.,-1.)); #460617=DIRECTION('ref_axis',(-1.,0.,0.)); #460618=DIRECTION('center_axis',(0.,0.,1.)); #460619=DIRECTION('ref_axis',(1.,0.,0.)); #460620=DIRECTION('center_axis',(0.,0.,1.)); #460621=DIRECTION('ref_axis',(1.,0.,0.)); #460622=DIRECTION('center_axis',(0.,0.,1.)); #460623=DIRECTION('ref_axis',(1.,0.,0.)); #460624=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.)); #460625=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #460626=DIRECTION('center_axis',(0.,0.,1.)); #460627=DIRECTION('ref_axis',(1.,0.,0.)); #460628=DIRECTION('center_axis',(0.,0.,1.)); #460629=DIRECTION('ref_axis',(1.,0.,0.)); #460630=DIRECTION('',(0.,0.,-1.)); #460631=DIRECTION('center_axis',(0.,0.,1.)); #460632=DIRECTION('ref_axis',(1.,0.,0.)); #460633=DIRECTION('center_axis',(0.,0.,1.)); #460634=DIRECTION('ref_axis',(1.,0.,0.)); #460635=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.)); #460636=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #460637=DIRECTION('center_axis',(0.,0.,1.)); #460638=DIRECTION('ref_axis',(1.,0.,0.)); #460639=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.)); #460640=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #460641=DIRECTION('center_axis',(0.,0.,1.)); #460642=DIRECTION('ref_axis',(1.,0.,0.)); #460643=DIRECTION('center_axis',(0.,0.,1.)); #460644=DIRECTION('ref_axis',(1.,0.,0.)); #460645=DIRECTION('center_axis',(0.,0.,1.)); #460646=DIRECTION('ref_axis',(1.,0.,0.)); #460647=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.)); #460648=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #460649=DIRECTION('center_axis',(0.,0.,1.)); #460650=DIRECTION('ref_axis',(1.,0.,0.)); #460651=DIRECTION('center_axis',(0.,0.,1.)); #460652=DIRECTION('ref_axis',(1.,0.,0.)); #460653=DIRECTION('',(0.,0.,-1.)); #460654=DIRECTION('center_axis',(0.,0.,1.)); #460655=DIRECTION('ref_axis',(1.,0.,0.)); #460656=DIRECTION('center_axis',(0.,0.,1.)); #460657=DIRECTION('ref_axis',(1.,0.,0.)); #460658=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.)); #460659=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #460660=DIRECTION('center_axis',(0.,0.,1.)); #460661=DIRECTION('ref_axis',(1.,0.,0.)); #460662=DIRECTION('center_axis',(0.156434465040231,0.987688340595138,0.)); #460663=DIRECTION('ref_axis',(0.987688340595138,-0.156434465040231,0.)); #460664=DIRECTION('center_axis',(-0.156434465040231,-0.987688340595138, 0.)); #460665=DIRECTION('ref_axis',(0.251357811229301,-0.0398111662527533,0.967075137605972)); #460666=DIRECTION('center_axis',(0.156434465040231,0.987688340595138,0.)); #460667=DIRECTION('ref_axis',(-0.251357811229302,0.0398111662527534,-0.967075137605972)); #460668=DIRECTION('center_axis',(-0.156434465040231,-0.987688340595138, 0.)); #460669=DIRECTION('ref_axis',(0.987688340595138,-0.156434465040231,0.)); #460670=DIRECTION('',(0.,0.,1.)); #460671=DIRECTION('center_axis',(-0.156434465040231,-0.987688340595138, 0.)); #460672=DIRECTION('ref_axis',(0.,0.,1.)); #460673=DIRECTION('',(-0.987688340595138,0.156434465040231,0.)); #460674=DIRECTION('center_axis',(0.156434465040231,0.987688340595138,0.)); #460675=DIRECTION('ref_axis',(0.,0.,1.)); #460676=DIRECTION('',(0.,0.,-1.)); #460677=DIRECTION('center_axis',(0.156434465040231,0.987688340595138,0.)); #460678=DIRECTION('ref_axis',(0.987688340595138,-0.156434465040231,0.)); #460679=DIRECTION('center_axis',(-0.156434465040231,-0.987688340595138, 0.)); #460680=DIRECTION('ref_axis',(-0.246922085148784,0.0391086162600576,-0.968245836551854)); #460681=DIRECTION('center_axis',(0.156434465040231,0.987688340595138,0.)); #460682=DIRECTION('ref_axis',(0.246922085148784,-0.0391086162600577,0.968245836551854)); #460683=DIRECTION('',(-5.17408234786307E-16,8.19494136859355E-17,-1.)); #460684=DIRECTION('center_axis',(0.156434465040231,0.987688340595138,0.)); #460685=DIRECTION('ref_axis',(0.987688340595138,-0.156434465040231,-2.44902137784961E-16)); #460686=DIRECTION('',(0.987688340595138,-0.156434465040231,0.)); #460687=DIRECTION('center_axis',(-0.156434465040231,-0.987688340595138, 0.)); #460688=DIRECTION('ref_axis',(0.987688340595138,-0.156434465040231,0.)); #460689=DIRECTION('',(0.,0.,1.)); #460690=DIRECTION('center_axis',(0.,0.,1.)); #460691=DIRECTION('ref_axis',(1.,0.,0.)); #460692=DIRECTION('center_axis',(0.,0.,-1.)); #460693=DIRECTION('ref_axis',(1.,-2.41605739979525E-15,0.)); #460694=DIRECTION('center_axis',(0.156434465040231,-0.987688340595138,0.)); #460695=DIRECTION('ref_axis',(-0.251357811229302,-0.0398111662527534,-0.967075137605972)); #460696=DIRECTION('center_axis',(0.,0.,1.)); #460697=DIRECTION('ref_axis',(1.,2.41605739979525E-15,0.)); #460698=DIRECTION('center_axis',(0.,0.,1.)); #460699=DIRECTION('ref_axis',(1.,0.,0.)); #460700=DIRECTION('center_axis',(-0.156434465040231,0.987688340595138,0.)); #460701=DIRECTION('ref_axis',(0.987688340595138,0.156434465040231,0.)); #460702=DIRECTION('center_axis',(-2.10210788236898E-14,-1.65439478271922E-15, 1.)); #460703=DIRECTION('ref_axis',(1.,-2.24254650758595E-15,2.09954354188482E-14)); #460704=DIRECTION('center_axis',(0.,0.,1.)); #460705=DIRECTION('ref_axis',(1.,0.,0.)); #460706=DIRECTION('',(0.,0.,-1.)); #460707=DIRECTION('center_axis',(-1.68168630589519E-13,-1.32351582617538E-14, 1.)); #460708=DIRECTION('ref_axis',(1.,-2.24254650758595E-15,1.6822348874297E-13)); #460709=DIRECTION('center_axis',(0.,0.,1.)); #460710=DIRECTION('ref_axis',(1.,0.,0.)); #460711=DIRECTION('center_axis',(-0.156434465040231,0.987688340595138,0.)); #460712=DIRECTION('ref_axis',(0.,0.,1.)); #460713=DIRECTION('center_axis',(-1.73939455619841E-13,-1.36893320414264E-14, 1.)); #460714=DIRECTION('ref_axis',(1.,-6.0508723586757E-16,1.73996196269475E-13)); #460715=DIRECTION('center_axis',(0.,0.,1.)); #460716=DIRECTION('ref_axis',(1.,0.,0.)); #460717=DIRECTION('',(0.987688340595138,0.156434465040231,0.)); #460718=DIRECTION('center_axis',(-1.74786219703999E-13,-1.37559738201274E-14, 1.)); #460719=DIRECTION('ref_axis',(1.,-3.20906249511321E-16,1.74843236575853E-13)); #460720=DIRECTION('center_axis',(0.,0.,1.)); #460721=DIRECTION('ref_axis',(1.,0.,0.)); #460722=DIRECTION('center_axis',(0.156434465040231,-0.987688340595138,0.)); #460723=DIRECTION('ref_axis',(0.,0.,1.)); #460724=DIRECTION('center_axis',(-1.68960012380529E-13,-1.32974413594563E-14, 1.)); #460725=DIRECTION('ref_axis',(1.,1.19185707055348E-15,1.69015128689994E-13)); #460726=DIRECTION('center_axis',(0.,0.,1.)); #460727=DIRECTION('ref_axis',(1.,0.,0.)); #460728=DIRECTION('',(0.,0.,1.)); #460729=DIRECTION('center_axis',(-2.11200015475662E-14,-1.66218016993203E-15, 1.)); #460730=DIRECTION('ref_axis',(1.,1.19185707055348E-15,2.1094237467878E-14)); #460731=DIRECTION('center_axis',(0.,0.,1.)); #460732=DIRECTION('ref_axis',(1.,0.,0.)); #460733=DIRECTION('center_axis',(0.156434465040231,-0.987688340595138,0.)); #460734=DIRECTION('ref_axis',(0.987688340595138,0.156434465040231,0.)); #460735=DIRECTION('center_axis',(-2.16615400487854E-14,-1.70480017428924E-15, 1.)); #460736=DIRECTION('ref_axis',(1.,-1.57407185995123E-15,2.16351153516701E-14)); #460737=DIRECTION('center_axis',(0.,0.,1.)); #460738=DIRECTION('ref_axis',(1.,0.,0.)); #460739=DIRECTION('center_axis',(-0.156434465040231,0.987688340595138,0.)); #460740=DIRECTION('ref_axis',(-0.246922085148784,-0.0391086162600576,-0.968245836551854)); #460741=DIRECTION('center_axis',(0.,0.,1.)); #460742=DIRECTION('ref_axis',(1.,-1.57407185995123E-15,0.)); #460743=DIRECTION('center_axis',(0.,0.,1.)); #460744=DIRECTION('ref_axis',(1.,0.,0.)); #460745=DIRECTION('center_axis',(0.156434465040231,-0.987688340595138,0.)); #460746=DIRECTION('ref_axis',(0.246922085148784,0.0391086162600577,0.968245836551854)); #460747=DIRECTION('center_axis',(0.,0.,1.)); #460748=DIRECTION('ref_axis',(1.,1.19185707055348E-15,0.)); #460749=DIRECTION('center_axis',(0.,0.,1.)); #460750=DIRECTION('ref_axis',(1.,0.,0.)); #460751=DIRECTION('',(5.17408234786307E-16,8.19494136859355E-17,1.)); #460752=DIRECTION('center_axis',(2.04620423503529E-14,1.58430556709647E-15, 1.)); #460753=DIRECTION('ref_axis',(1.,-8.97974505211524E-16,-2.04615736119335E-14)); #460754=DIRECTION('center_axis',(0.,0.,1.)); #460755=DIRECTION('ref_axis',(1.,0.,0.)); #460756=DIRECTION('center_axis',(0.156434465040231,-0.987688340595138,0.)); #460757=DIRECTION('ref_axis',(0.987688340595138,0.156434465040231,-2.44902137784961E-16)); #460758=DIRECTION('center_axis',(2.18482774629999E-14,1.71949672751592E-15, 1.)); #460759=DIRECTION('ref_axis',(1.,-3.20906249511321E-16,-2.18482774629999E-14)); #460760=DIRECTION('center_axis',(0.,0.,-1.)); #460761=DIRECTION('ref_axis',(-1.,0.,0.)); #460762=DIRECTION('',(-0.987688340595138,-0.156434465040231,0.)); #460763=DIRECTION('center_axis',(2.44518319571531E-14,2.03184512807808E-15, 1.)); #460764=DIRECTION('ref_axis',(1.,-2.92458830669326E-15,-2.44518319571531E-14)); #460765=DIRECTION('center_axis',(0.,0.,1.)); #460766=DIRECTION('ref_axis',(1.,0.,0.)); #460767=DIRECTION('center_axis',(-0.156434465040231,0.987688340595138,0.)); #460768=DIRECTION('ref_axis',(0.987688340595138,0.156434465040231,0.)); #460769=DIRECTION('center_axis',(2.36405885081453E-14,1.96443418510905E-15, 1.)); #460770=DIRECTION('ref_axis',(1.,1.69003504919521E-15,-2.36401777674686E-14)); #460771=DIRECTION('center_axis',(0.,0.,1.)); #460772=DIRECTION('ref_axis',(1.,0.,0.)); #460773=DIRECTION('',(0.,0.,-1.)); #460774=DIRECTION('center_axis',(0.,0.,1.)); #460775=DIRECTION('ref_axis',(1.,1.69003504919521E-15,0.)); #460776=DIRECTION('center_axis',(0.,0.,1.)); #460777=DIRECTION('ref_axis',(1.,0.,0.)); #460778=DIRECTION('center_axis',(-0.156434465040231,0.987688340595138,0.)); #460779=DIRECTION('ref_axis',(0.251357811229301,0.0398111662527533,0.967075137605972)); #460780=DIRECTION('center_axis',(-0.156434465040231,0.987688340595138,0.)); #460781=DIRECTION('ref_axis',(0.987688340595138,0.156434465040231,0.)); #460782=DIRECTION('center_axis',(0.,0.,-1.)); #460783=DIRECTION('ref_axis',(1.,0.,0.)); #460784=DIRECTION('center_axis',(0.,0.,-1.)); #460785=DIRECTION('ref_axis',(1.,0.,0.)); #460786=DIRECTION('',(0.,0.,1.)); #460787=DIRECTION('center_axis',(0.,0.,1.)); #460788=DIRECTION('ref_axis',(1.,0.,0.)); #460789=DIRECTION('center_axis',(0.,0.,1.)); #460790=DIRECTION('ref_axis',(1.,0.,0.)); #460791=DIRECTION('center_axis',(0.,0.,1.)); #460792=DIRECTION('ref_axis',(1.,0.,0.)); #460793=DIRECTION('center_axis',(0.,0.,1.)); #460794=DIRECTION('ref_axis',(-1.,0.,0.)); #460795=DIRECTION('center_axis',(0.,0.,1.)); #460796=DIRECTION('ref_axis',(-1.,0.,0.)); #460797=DIRECTION('',(0.,0.,1.)); #460798=DIRECTION('center_axis',(0.,0.,-1.)); #460799=DIRECTION('ref_axis',(-1.,0.,0.)); #460800=DIRECTION('center_axis',(0.,0.,-1.)); #460801=DIRECTION('ref_axis',(-1.,0.,0.)); #460802=DIRECTION('center_axis',(0.,0.,-1.)); #460803=DIRECTION('ref_axis',(-1.,0.,0.)); #460804=DIRECTION('center_axis',(0.0523359562429438,0.998629534754574,0.)); #460805=DIRECTION('ref_axis',(0.998629534754574,-0.0523359562429438,0.)); #460806=DIRECTION('',(0.,0.,-1.)); #460807=DIRECTION('',(0.998629534754574,-0.0523359562429438,0.)); #460808=DIRECTION('',(0.,0.,1.)); #460809=DIRECTION('',(-0.998629534754574,0.0523359562429438,0.)); #460810=DIRECTION('center_axis',(0.,0.,-1.)); #460811=DIRECTION('ref_axis',(-1.,0.,0.)); #460812=DIRECTION('center_axis',(0.,0.,-1.)); #460813=DIRECTION('ref_axis',(1.,-4.4276983697799E-15,0.)); #460814=DIRECTION('',(-0.998629534754574,-0.0523359562429438,0.)); #460815=DIRECTION('center_axis',(0.,0.,1.)); #460816=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #460817=DIRECTION('center_axis',(0.,0.,1.)); #460818=DIRECTION('ref_axis',(1.,0.,0.)); #460819=DIRECTION('',(0.,0.,-1.)); #460820=DIRECTION('center_axis',(0.,0.,1.)); #460821=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #460822=DIRECTION('center_axis',(0.,0.,1.)); #460823=DIRECTION('ref_axis',(1.,0.,0.)); #460824=DIRECTION('',(0.998629534754574,0.0523359562429438,0.)); #460825=DIRECTION('center_axis',(0.,0.,1.)); #460826=DIRECTION('ref_axis',(1.,4.4276983697799E-15,0.)); #460827=DIRECTION('center_axis',(0.,0.,1.)); #460828=DIRECTION('ref_axis',(1.,0.,0.)); #460829=DIRECTION('',(0.,0.,1.)); #460830=DIRECTION('center_axis',(-0.0523359562429438,0.998629534754574, 0.)); #460831=DIRECTION('ref_axis',(0.998629534754574,0.0523359562429438,0.)); #460832=DIRECTION('center_axis',(0.0523359562429438,0.998629534754574,0.)); #460833=DIRECTION('ref_axis',(0.998629534754574,-0.0523359562429438,0.)); #460834=DIRECTION('',(0.,0.,-1.)); #460835=DIRECTION('',(0.998629534754574,-0.0523359562429438,0.)); #460836=DIRECTION('',(0.,0.,1.)); #460837=DIRECTION('',(-0.998629534754574,0.0523359562429438,0.)); #460838=DIRECTION('center_axis',(0.,0.,-1.)); #460839=DIRECTION('ref_axis',(-1.,0.,0.)); #460840=DIRECTION('center_axis',(0.,0.,-1.)); #460841=DIRECTION('ref_axis',(1.,-4.4276983697799E-15,0.)); #460842=DIRECTION('',(-0.998629534754574,-0.0523359562429438,0.)); #460843=DIRECTION('center_axis',(0.,0.,1.)); #460844=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #460845=DIRECTION('center_axis',(0.,0.,1.)); #460846=DIRECTION('ref_axis',(1.,0.,0.)); #460847=DIRECTION('',(0.,0.,-1.)); #460848=DIRECTION('center_axis',(0.,0.,1.)); #460849=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #460850=DIRECTION('center_axis',(0.,0.,1.)); #460851=DIRECTION('ref_axis',(1.,0.,0.)); #460852=DIRECTION('',(0.998629534754574,0.0523359562429438,0.)); #460853=DIRECTION('center_axis',(0.,0.,1.)); #460854=DIRECTION('ref_axis',(1.,4.4276983697799E-15,0.)); #460855=DIRECTION('center_axis',(0.,0.,1.)); #460856=DIRECTION('ref_axis',(1.,0.,0.)); #460857=DIRECTION('',(0.,0.,1.)); #460858=DIRECTION('center_axis',(-0.0523359562429438,0.998629534754574, 0.)); #460859=DIRECTION('ref_axis',(0.998629534754574,0.0523359562429438,0.)); #460860=DIRECTION('center_axis',(0.0523359562429438,0.998629534754574,0.)); #460861=DIRECTION('ref_axis',(0.998629534754574,-0.0523359562429438,0.)); #460862=DIRECTION('',(0.,0.,-1.)); #460863=DIRECTION('',(0.998629534754574,-0.0523359562429438,0.)); #460864=DIRECTION('',(0.,0.,1.)); #460865=DIRECTION('',(-0.998629534754574,0.0523359562429438,0.)); #460866=DIRECTION('center_axis',(0.,0.,-1.)); #460867=DIRECTION('ref_axis',(-1.,0.,0.)); #460868=DIRECTION('center_axis',(0.,0.,-1.)); #460869=DIRECTION('ref_axis',(1.,-4.4276983697799E-15,0.)); #460870=DIRECTION('',(-0.998629534754574,-0.0523359562429438,0.)); #460871=DIRECTION('center_axis',(0.,0.,1.)); #460872=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #460873=DIRECTION('center_axis',(0.,0.,1.)); #460874=DIRECTION('ref_axis',(1.,0.,0.)); #460875=DIRECTION('',(0.,0.,-1.)); #460876=DIRECTION('center_axis',(0.,0.,1.)); #460877=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #460878=DIRECTION('center_axis',(0.,0.,1.)); #460879=DIRECTION('ref_axis',(1.,0.,0.)); #460880=DIRECTION('',(0.998629534754574,0.0523359562429438,0.)); #460881=DIRECTION('center_axis',(0.,0.,1.)); #460882=DIRECTION('ref_axis',(1.,4.4276983697799E-15,0.)); #460883=DIRECTION('center_axis',(0.,0.,1.)); #460884=DIRECTION('ref_axis',(1.,0.,0.)); #460885=DIRECTION('',(0.,0.,1.)); #460886=DIRECTION('center_axis',(-0.0523359562429438,0.998629534754574, 0.)); #460887=DIRECTION('ref_axis',(0.998629534754574,0.0523359562429438,0.)); #460888=DIRECTION('center_axis',(0.0523359562429438,0.998629534754574,0.)); #460889=DIRECTION('ref_axis',(0.998629534754574,-0.0523359562429438,0.)); #460890=DIRECTION('',(0.,0.,-1.)); #460891=DIRECTION('',(0.998629534754574,-0.0523359562429438,0.)); #460892=DIRECTION('',(0.,0.,1.)); #460893=DIRECTION('',(-0.998629534754574,0.0523359562429438,0.)); #460894=DIRECTION('center_axis',(0.,0.,-1.)); #460895=DIRECTION('ref_axis',(-1.,0.,0.)); #460896=DIRECTION('center_axis',(0.,0.,-1.)); #460897=DIRECTION('ref_axis',(1.,-4.4276983697799E-15,0.)); #460898=DIRECTION('',(-0.998629534754574,-0.0523359562429438,0.)); #460899=DIRECTION('center_axis',(0.,0.,1.)); #460900=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #460901=DIRECTION('center_axis',(0.,0.,1.)); #460902=DIRECTION('ref_axis',(1.,0.,0.)); #460903=DIRECTION('',(0.,0.,-1.)); #460904=DIRECTION('center_axis',(0.,0.,1.)); #460905=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #460906=DIRECTION('center_axis',(0.,0.,1.)); #460907=DIRECTION('ref_axis',(1.,0.,0.)); #460908=DIRECTION('',(0.998629534754574,0.0523359562429438,0.)); #460909=DIRECTION('center_axis',(0.,0.,1.)); #460910=DIRECTION('ref_axis',(1.,4.4276983697799E-15,0.)); #460911=DIRECTION('center_axis',(0.,0.,1.)); #460912=DIRECTION('ref_axis',(1.,0.,0.)); #460913=DIRECTION('',(0.,0.,1.)); #460914=DIRECTION('center_axis',(-0.0523359562429438,0.998629534754574, 0.)); #460915=DIRECTION('ref_axis',(0.998629534754574,0.0523359562429438,0.)); #460916=DIRECTION('center_axis',(0.0523359562429438,0.998629534754574,0.)); #460917=DIRECTION('ref_axis',(0.998629534754574,-0.0523359562429438,0.)); #460918=DIRECTION('',(0.,0.,-1.)); #460919=DIRECTION('',(0.998629534754574,-0.0523359562429438,0.)); #460920=DIRECTION('',(0.,0.,1.)); #460921=DIRECTION('',(-0.998629534754574,0.0523359562429438,0.)); #460922=DIRECTION('center_axis',(0.,0.,-1.)); #460923=DIRECTION('ref_axis',(-1.,0.,0.)); #460924=DIRECTION('center_axis',(0.,0.,-1.)); #460925=DIRECTION('ref_axis',(1.,-4.4276983697799E-15,0.)); #460926=DIRECTION('',(-0.998629534754574,-0.0523359562429438,0.)); #460927=DIRECTION('center_axis',(0.,0.,1.)); #460928=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #460929=DIRECTION('center_axis',(0.,0.,1.)); #460930=DIRECTION('ref_axis',(1.,0.,0.)); #460931=DIRECTION('',(0.,0.,-1.)); #460932=DIRECTION('center_axis',(0.,0.,1.)); #460933=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #460934=DIRECTION('center_axis',(0.,0.,1.)); #460935=DIRECTION('ref_axis',(1.,0.,0.)); #460936=DIRECTION('',(0.998629534754574,0.0523359562429438,0.)); #460937=DIRECTION('center_axis',(0.,0.,1.)); #460938=DIRECTION('ref_axis',(1.,4.4276983697799E-15,0.)); #460939=DIRECTION('center_axis',(0.,0.,1.)); #460940=DIRECTION('ref_axis',(1.,0.,0.)); #460941=DIRECTION('',(0.,0.,1.)); #460942=DIRECTION('center_axis',(-0.0523359562429438,0.998629534754574, 0.)); #460943=DIRECTION('ref_axis',(0.998629534754574,0.0523359562429438,0.)); #460944=DIRECTION('center_axis',(0.0523359562429438,0.998629534754574,0.)); #460945=DIRECTION('ref_axis',(0.998629534754574,-0.0523359562429438,0.)); #460946=DIRECTION('',(0.,0.,-1.)); #460947=DIRECTION('',(0.998629534754574,-0.0523359562429438,0.)); #460948=DIRECTION('',(0.,0.,1.)); #460949=DIRECTION('',(-0.998629534754574,0.0523359562429438,0.)); #460950=DIRECTION('center_axis',(0.,0.,-1.)); #460951=DIRECTION('ref_axis',(-1.,0.,0.)); #460952=DIRECTION('center_axis',(0.,0.,-1.)); #460953=DIRECTION('ref_axis',(1.,-4.4276983697799E-15,0.)); #460954=DIRECTION('',(-0.998629534754574,-0.0523359562429438,0.)); #460955=DIRECTION('center_axis',(0.,0.,1.)); #460956=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #460957=DIRECTION('center_axis',(0.,0.,1.)); #460958=DIRECTION('ref_axis',(1.,0.,0.)); #460959=DIRECTION('',(0.,0.,-1.)); #460960=DIRECTION('center_axis',(0.,0.,1.)); #460961=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #460962=DIRECTION('center_axis',(0.,0.,1.)); #460963=DIRECTION('ref_axis',(1.,0.,0.)); #460964=DIRECTION('',(0.998629534754574,0.0523359562429438,0.)); #460965=DIRECTION('center_axis',(0.,0.,1.)); #460966=DIRECTION('ref_axis',(1.,4.4276983697799E-15,0.)); #460967=DIRECTION('center_axis',(0.,0.,1.)); #460968=DIRECTION('ref_axis',(1.,0.,0.)); #460969=DIRECTION('',(0.,0.,1.)); #460970=DIRECTION('center_axis',(-0.0523359562429438,0.998629534754574, 0.)); #460971=DIRECTION('ref_axis',(0.998629534754574,0.0523359562429438,0.)); #460972=DIRECTION('center_axis',(0.0523359562429438,0.998629534754574,0.)); #460973=DIRECTION('ref_axis',(0.998629534754574,-0.0523359562429438,0.)); #460974=DIRECTION('',(0.,0.,-1.)); #460975=DIRECTION('',(0.998629534754574,-0.0523359562429438,0.)); #460976=DIRECTION('',(0.,0.,1.)); #460977=DIRECTION('',(-0.998629534754574,0.0523359562429438,0.)); #460978=DIRECTION('center_axis',(0.,0.,-1.)); #460979=DIRECTION('ref_axis',(-1.,0.,0.)); #460980=DIRECTION('center_axis',(0.,0.,-1.)); #460981=DIRECTION('ref_axis',(1.,-4.4276983697799E-15,0.)); #460982=DIRECTION('',(-0.998629534754574,-0.0523359562429438,0.)); #460983=DIRECTION('center_axis',(0.,0.,1.)); #460984=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #460985=DIRECTION('center_axis',(0.,0.,1.)); #460986=DIRECTION('ref_axis',(1.,0.,0.)); #460987=DIRECTION('',(0.,0.,-1.)); #460988=DIRECTION('center_axis',(0.,0.,1.)); #460989=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #460990=DIRECTION('center_axis',(0.,0.,1.)); #460991=DIRECTION('ref_axis',(1.,0.,0.)); #460992=DIRECTION('',(0.998629534754574,0.0523359562429438,0.)); #460993=DIRECTION('center_axis',(0.,0.,1.)); #460994=DIRECTION('ref_axis',(1.,4.4276983697799E-15,0.)); #460995=DIRECTION('center_axis',(0.,0.,1.)); #460996=DIRECTION('ref_axis',(1.,0.,0.)); #460997=DIRECTION('',(0.,0.,1.)); #460998=DIRECTION('center_axis',(-0.0523359562429438,0.998629534754574, 0.)); #460999=DIRECTION('ref_axis',(0.998629534754574,0.0523359562429438,0.)); #461000=DIRECTION('center_axis',(0.0523359562429438,0.998629534754574,0.)); #461001=DIRECTION('ref_axis',(0.998629534754574,-0.0523359562429438,0.)); #461002=DIRECTION('',(0.,0.,-1.)); #461003=DIRECTION('',(0.998629534754574,-0.0523359562429438,0.)); #461004=DIRECTION('',(0.,0.,1.)); #461005=DIRECTION('',(-0.998629534754574,0.0523359562429438,0.)); #461006=DIRECTION('center_axis',(0.,0.,-1.)); #461007=DIRECTION('ref_axis',(-1.,0.,0.)); #461008=DIRECTION('center_axis',(0.,0.,-1.)); #461009=DIRECTION('ref_axis',(1.,-4.4276983697799E-15,0.)); #461010=DIRECTION('',(-0.998629534754574,-0.0523359562429438,0.)); #461011=DIRECTION('center_axis',(0.,0.,1.)); #461012=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #461013=DIRECTION('center_axis',(0.,0.,1.)); #461014=DIRECTION('ref_axis',(1.,0.,0.)); #461015=DIRECTION('',(0.,0.,-1.)); #461016=DIRECTION('center_axis',(0.,0.,1.)); #461017=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #461018=DIRECTION('center_axis',(0.,0.,1.)); #461019=DIRECTION('ref_axis',(1.,0.,0.)); #461020=DIRECTION('',(0.998629534754574,0.0523359562429438,0.)); #461021=DIRECTION('center_axis',(0.,0.,1.)); #461022=DIRECTION('ref_axis',(1.,4.4276983697799E-15,0.)); #461023=DIRECTION('center_axis',(0.,0.,1.)); #461024=DIRECTION('ref_axis',(1.,0.,0.)); #461025=DIRECTION('',(0.,0.,1.)); #461026=DIRECTION('center_axis',(-0.0523359562429438,0.998629534754574, 0.)); #461027=DIRECTION('ref_axis',(0.998629534754574,0.0523359562429438,0.)); #461028=DIRECTION('center_axis',(0.0523359562429438,0.998629534754574,0.)); #461029=DIRECTION('ref_axis',(0.998629534754574,-0.0523359562429438,0.)); #461030=DIRECTION('',(0.,0.,-1.)); #461031=DIRECTION('',(0.998629534754574,-0.0523359562429438,0.)); #461032=DIRECTION('',(0.,0.,1.)); #461033=DIRECTION('',(-0.998629534754574,0.0523359562429438,0.)); #461034=DIRECTION('center_axis',(0.,0.,-1.)); #461035=DIRECTION('ref_axis',(-1.,0.,0.)); #461036=DIRECTION('center_axis',(0.,0.,-1.)); #461037=DIRECTION('ref_axis',(1.,-4.4276983697799E-15,0.)); #461038=DIRECTION('',(-0.998629534754574,-0.0523359562429438,0.)); #461039=DIRECTION('center_axis',(0.,0.,1.)); #461040=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #461041=DIRECTION('center_axis',(0.,0.,1.)); #461042=DIRECTION('ref_axis',(1.,0.,0.)); #461043=DIRECTION('',(0.,0.,-1.)); #461044=DIRECTION('center_axis',(0.,0.,1.)); #461045=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #461046=DIRECTION('center_axis',(0.,0.,1.)); #461047=DIRECTION('ref_axis',(1.,0.,0.)); #461048=DIRECTION('',(0.998629534754574,0.0523359562429438,0.)); #461049=DIRECTION('center_axis',(0.,0.,1.)); #461050=DIRECTION('ref_axis',(1.,4.4276983697799E-15,0.)); #461051=DIRECTION('center_axis',(0.,0.,1.)); #461052=DIRECTION('ref_axis',(1.,0.,0.)); #461053=DIRECTION('',(0.,0.,1.)); #461054=DIRECTION('center_axis',(-0.0523359562429438,0.998629534754574, 0.)); #461055=DIRECTION('ref_axis',(0.998629534754574,0.0523359562429438,0.)); #461056=DIRECTION('',(0.,0.,1.)); #461057=DIRECTION('',(1.,0.,0.)); #461058=DIRECTION('axis',(0.,0.,1.)); #461059=DIRECTION('refdir',(1.,0.,0.)); #461060=DIRECTION('axis',(0.,0.,1.)); #461061=DIRECTION('refdir',(1.,0.,0.)); #461062=DIRECTION('center_axis',(0.,0.,-1.)); #461063=DIRECTION('ref_axis',(1.,0.,0.)); #461064=DIRECTION('center_axis',(0.,0.,-1.)); #461065=DIRECTION('ref_axis',(1.,0.,0.)); #461066=DIRECTION('',(0.,0.,-1.)); #461067=DIRECTION('center_axis',(0.,0.,-1.)); #461068=DIRECTION('ref_axis',(1.,0.,0.)); #461069=DIRECTION('center_axis',(0.,0.,1.)); #461070=DIRECTION('ref_axis',(1.,0.,0.)); #461071=DIRECTION('center_axis',(0.707106781186548,0.,0.707106781186548)); #461072=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #461073=DIRECTION('',(0.,-1.,0.)); #461074=DIRECTION('',(0.577350269189626,-0.577350269189626,-0.577350269189626)); #461075=DIRECTION('',(0.,1.,0.)); #461076=DIRECTION('',(-0.577350269189626,-0.577350269189626,0.577350269189626)); #461077=DIRECTION('center_axis',(0.,-0.707106781186548,0.707106781186548)); #461078=DIRECTION('ref_axis',(1.,0.,0.)); #461079=DIRECTION('',(1.,0.,0.)); #461080=DIRECTION('',(-1.,0.,0.)); #461081=DIRECTION('',(0.577350269189626,0.577350269189626,0.577350269189626)); #461082=DIRECTION('center_axis',(0.,0.707106781186548,0.707106781186548)); #461083=DIRECTION('ref_axis',(1.,0.,0.)); #461084=DIRECTION('',(1.,0.,0.)); #461085=DIRECTION('',(-1.,0.,0.)); #461086=DIRECTION('',(0.577350269189626,-0.577350269189626,0.577350269189626)); #461087=DIRECTION('center_axis',(-0.707106781186548,0.,0.707106781186548)); #461088=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #461089=DIRECTION('',(0.,1.,0.)); #461090=DIRECTION('',(0.,-1.,0.)); #461091=DIRECTION('center_axis',(0.,1.,0.)); #461092=DIRECTION('ref_axis',(1.,0.,0.)); #461093=DIRECTION('',(0.,0.,-1.)); #461094=DIRECTION('',(1.,0.,0.)); #461095=DIRECTION('',(0.,0.,-1.)); #461096=DIRECTION('center_axis',(1.,0.,0.)); #461097=DIRECTION('ref_axis',(0.,-1.,0.)); #461098=DIRECTION('',(0.,0.,-1.)); #461099=DIRECTION('',(0.,-1.,0.)); #461100=DIRECTION('center_axis',(0.,0.,1.)); #461101=DIRECTION('ref_axis',(1.,0.,0.)); #461102=DIRECTION('center_axis',(-1.,0.,0.)); #461103=DIRECTION('ref_axis',(0.,1.,0.)); #461104=DIRECTION('',(0.,1.,0.)); #461105=DIRECTION('',(0.,0.,-1.)); #461106=DIRECTION('center_axis',(0.,-1.,0.)); #461107=DIRECTION('ref_axis',(-1.,0.,0.)); #461108=DIRECTION('',(-1.,0.,0.)); #461109=DIRECTION('center_axis',(0.,0.,-1.)); #461110=DIRECTION('ref_axis',(-1.,0.,0.)); #461111=DIRECTION('center_axis',(0.,1.,0.)); #461112=DIRECTION('ref_axis',(-1.,0.,0.)); #461113=DIRECTION('',(-1.,0.,0.)); #461114=DIRECTION('',(0.,0.,1.)); #461115=DIRECTION('',(-1.,0.,0.)); #461116=DIRECTION('',(0.,0.,1.)); #461117=DIRECTION('center_axis',(1.,0.,0.)); #461118=DIRECTION('ref_axis',(0.,1.,0.)); #461119=DIRECTION('',(0.,1.,0.)); #461120=DIRECTION('',(0.,1.,0.)); #461121=DIRECTION('',(0.,0.,1.)); #461122=DIRECTION('center_axis',(0.,-1.,0.)); #461123=DIRECTION('ref_axis',(1.,0.,0.)); #461124=DIRECTION('',(1.,0.,0.)); #461125=DIRECTION('',(1.,0.,0.)); #461126=DIRECTION('',(0.,0.,1.)); #461127=DIRECTION('center_axis',(-1.,0.,0.)); #461128=DIRECTION('ref_axis',(0.,-1.,0.)); #461129=DIRECTION('',(0.,-1.,0.)); #461130=DIRECTION('',(0.,-1.,0.)); #461131=DIRECTION('center_axis',(0.,0.,1.)); #461132=DIRECTION('ref_axis',(1.,0.,0.)); #461133=DIRECTION('center_axis',(0.,0.,1.)); #461134=DIRECTION('ref_axis',(1.,0.,0.)); #461135=DIRECTION('center_axis',(1.,0.,0.)); #461136=DIRECTION('ref_axis',(0.,1.,0.)); #461137=DIRECTION('',(0.,-1.,0.)); #461138=DIRECTION('',(0.,0.,1.)); #461139=DIRECTION('',(0.,1.,0.)); #461140=DIRECTION('',(0.,0.,1.)); #461141=DIRECTION('center_axis',(0.,-1.,0.)); #461142=DIRECTION('ref_axis',(1.,0.,0.)); #461143=DIRECTION('',(1.,0.,0.)); #461144=DIRECTION('',(1.,0.,0.)); #461145=DIRECTION('',(0.,0.,1.)); #461146=DIRECTION('center_axis',(0.,0.,1.)); #461147=DIRECTION('ref_axis',(0.,1.,0.)); #461148=DIRECTION('center_axis',(0.,0.,1.)); #461149=DIRECTION('ref_axis',(0.,1.,0.)); #461150=DIRECTION('center_axis',(0.,0.,1.)); #461151=DIRECTION('ref_axis',(0.,1.,0.)); #461152=DIRECTION('',(0.,0.,1.)); #461153=DIRECTION('center_axis',(-1.,0.,0.)); #461154=DIRECTION('ref_axis',(0.,-1.,0.)); #461155=DIRECTION('',(0.,-1.,0.)); #461156=DIRECTION('',(0.,-1.,0.)); #461157=DIRECTION('',(0.,0.,1.)); #461158=DIRECTION('center_axis',(0.,0.,1.)); #461159=DIRECTION('ref_axis',(0.,1.,0.)); #461160=DIRECTION('center_axis',(0.,0.,1.)); #461161=DIRECTION('ref_axis',(0.,1.,0.)); #461162=DIRECTION('center_axis',(0.,0.,1.)); #461163=DIRECTION('ref_axis',(0.,1.,0.)); #461164=DIRECTION('',(0.,0.,1.)); #461165=DIRECTION('center_axis',(0.,1.,0.)); #461166=DIRECTION('ref_axis',(-1.,0.,0.)); #461167=DIRECTION('',(-1.,0.,0.)); #461168=DIRECTION('',(-1.,0.,0.)); #461169=DIRECTION('center_axis',(0.,0.,1.)); #461170=DIRECTION('ref_axis',(1.,0.,0.)); #461171=DIRECTION('center_axis',(0.,0.,1.)); #461172=DIRECTION('ref_axis',(1.,0.,0.)); #461173=DIRECTION('center_axis',(1.,0.,0.)); #461174=DIRECTION('ref_axis',(0.,1.,0.)); #461175=DIRECTION('',(0.,-1.,0.)); #461176=DIRECTION('',(0.,0.,1.)); #461177=DIRECTION('',(0.,1.,0.)); #461178=DIRECTION('',(0.,0.,1.)); #461179=DIRECTION('center_axis',(0.,1.,0.)); #461180=DIRECTION('ref_axis',(-1.,0.,0.)); #461181=DIRECTION('',(-1.,0.,0.)); #461182=DIRECTION('',(0.,0.,1.)); #461183=DIRECTION('',(-1.,0.,0.)); #461184=DIRECTION('center_axis',(0.,0.,-1.)); #461185=DIRECTION('ref_axis',(0.,1.,0.)); #461186=DIRECTION('center_axis',(0.,0.,-1.)); #461187=DIRECTION('ref_axis',(0.,1.,0.)); #461188=DIRECTION('',(0.,0.,1.)); #461189=DIRECTION('center_axis',(0.,0.,-1.)); #461190=DIRECTION('ref_axis',(0.,1.,0.)); #461191=DIRECTION('center_axis',(-1.,0.,0.)); #461192=DIRECTION('ref_axis',(0.,-1.,0.)); #461193=DIRECTION('',(0.,-1.,0.)); #461194=DIRECTION('',(0.,0.,1.)); #461195=DIRECTION('',(0.,-1.,0.)); #461196=DIRECTION('center_axis',(0.,0.,-1.)); #461197=DIRECTION('ref_axis',(0.,1.,0.)); #461198=DIRECTION('center_axis',(0.,0.,-1.)); #461199=DIRECTION('ref_axis',(0.,1.,0.)); #461200=DIRECTION('',(0.,0.,1.)); #461201=DIRECTION('center_axis',(0.,0.,-1.)); #461202=DIRECTION('ref_axis',(0.,1.,0.)); #461203=DIRECTION('center_axis',(0.,-1.,0.)); #461204=DIRECTION('ref_axis',(1.,0.,0.)); #461205=DIRECTION('',(1.,0.,0.)); #461206=DIRECTION('',(1.,0.,0.)); #461207=DIRECTION('center_axis',(0.,0.,-1.)); #461208=DIRECTION('ref_axis',(-1.,0.,0.)); #461209=DIRECTION('center_axis',(0.,0.,-1.)); #461210=DIRECTION('ref_axis',(-1.,0.,0.)); #461211=DIRECTION('center_axis',(1.,0.,0.)); #461212=DIRECTION('ref_axis',(0.,1.,0.)); #461213=DIRECTION('',(0.,-1.,0.)); #461214=DIRECTION('',(0.,0.,1.)); #461215=DIRECTION('',(0.,1.,0.)); #461216=DIRECTION('',(0.,0.,1.)); #461217=DIRECTION('center_axis',(0.,-1.,0.)); #461218=DIRECTION('ref_axis',(1.,0.,0.)); #461219=DIRECTION('',(1.,0.,0.)); #461220=DIRECTION('',(1.,0.,0.)); #461221=DIRECTION('',(0.,0.,1.)); #461222=DIRECTION('center_axis',(0.,0.,1.)); #461223=DIRECTION('ref_axis',(0.,1.,0.)); #461224=DIRECTION('center_axis',(0.,0.,1.)); #461225=DIRECTION('ref_axis',(0.,1.,0.)); #461226=DIRECTION('center_axis',(0.,0.,1.)); #461227=DIRECTION('ref_axis',(0.,1.,0.)); #461228=DIRECTION('',(0.,0.,1.)); #461229=DIRECTION('center_axis',(-1.,0.,0.)); #461230=DIRECTION('ref_axis',(0.,-1.,0.)); #461231=DIRECTION('',(0.,-1.,0.)); #461232=DIRECTION('',(0.,-1.,0.)); #461233=DIRECTION('',(0.,0.,1.)); #461234=DIRECTION('center_axis',(0.,0.,1.)); #461235=DIRECTION('ref_axis',(0.,1.,0.)); #461236=DIRECTION('center_axis',(0.,0.,1.)); #461237=DIRECTION('ref_axis',(0.,1.,0.)); #461238=DIRECTION('center_axis',(0.,0.,1.)); #461239=DIRECTION('ref_axis',(0.,1.,0.)); #461240=DIRECTION('',(0.,0.,1.)); #461241=DIRECTION('center_axis',(0.,1.,0.)); #461242=DIRECTION('ref_axis',(-1.,0.,0.)); #461243=DIRECTION('',(-1.,0.,0.)); #461244=DIRECTION('',(-1.,0.,0.)); #461245=DIRECTION('center_axis',(0.,0.,1.)); #461246=DIRECTION('ref_axis',(1.,0.,0.)); #461247=DIRECTION('center_axis',(0.,0.,1.)); #461248=DIRECTION('ref_axis',(1.,0.,0.)); #461249=DIRECTION('center_axis',(1.,0.,0.)); #461250=DIRECTION('ref_axis',(0.,1.,0.)); #461251=DIRECTION('',(0.,-1.,0.)); #461252=DIRECTION('',(0.,0.,1.)); #461253=DIRECTION('',(0.,1.,0.)); #461254=DIRECTION('',(0.,0.,1.)); #461255=DIRECTION('center_axis',(0.,-1.,0.)); #461256=DIRECTION('ref_axis',(1.,0.,0.)); #461257=DIRECTION('',(1.,0.,0.)); #461258=DIRECTION('',(1.,0.,0.)); #461259=DIRECTION('',(0.,0.,1.)); #461260=DIRECTION('center_axis',(0.,0.,1.)); #461261=DIRECTION('ref_axis',(0.,1.,0.)); #461262=DIRECTION('center_axis',(0.,0.,1.)); #461263=DIRECTION('ref_axis',(0.,1.,0.)); #461264=DIRECTION('center_axis',(0.,0.,1.)); #461265=DIRECTION('ref_axis',(0.,1.,0.)); #461266=DIRECTION('',(0.,0.,1.)); #461267=DIRECTION('center_axis',(-1.,0.,0.)); #461268=DIRECTION('ref_axis',(0.,-1.,0.)); #461269=DIRECTION('',(0.,-1.,0.)); #461270=DIRECTION('',(0.,-1.,0.)); #461271=DIRECTION('',(0.,0.,1.)); #461272=DIRECTION('center_axis',(0.,0.,1.)); #461273=DIRECTION('ref_axis',(0.,1.,0.)); #461274=DIRECTION('center_axis',(0.,0.,1.)); #461275=DIRECTION('ref_axis',(0.,1.,0.)); #461276=DIRECTION('center_axis',(0.,0.,1.)); #461277=DIRECTION('ref_axis',(0.,1.,0.)); #461278=DIRECTION('',(0.,0.,1.)); #461279=DIRECTION('center_axis',(0.,1.,0.)); #461280=DIRECTION('ref_axis',(-1.,0.,0.)); #461281=DIRECTION('',(-1.,0.,0.)); #461282=DIRECTION('',(-1.,0.,0.)); #461283=DIRECTION('center_axis',(0.,0.,1.)); #461284=DIRECTION('ref_axis',(1.,0.,0.)); #461285=DIRECTION('center_axis',(0.,0.,1.)); #461286=DIRECTION('ref_axis',(1.,0.,0.)); #461287=DIRECTION('center_axis',(1.,0.,0.)); #461288=DIRECTION('ref_axis',(0.,1.,0.)); #461289=DIRECTION('',(0.,-1.,0.)); #461290=DIRECTION('',(0.,0.,1.)); #461291=DIRECTION('',(0.,1.,0.)); #461292=DIRECTION('',(0.,0.,1.)); #461293=DIRECTION('center_axis',(0.,-1.,0.)); #461294=DIRECTION('ref_axis',(1.,0.,0.)); #461295=DIRECTION('',(1.,0.,0.)); #461296=DIRECTION('',(1.,0.,0.)); #461297=DIRECTION('',(0.,0.,1.)); #461298=DIRECTION('center_axis',(0.,0.,1.)); #461299=DIRECTION('ref_axis',(0.,1.,0.)); #461300=DIRECTION('center_axis',(0.,0.,1.)); #461301=DIRECTION('ref_axis',(0.,1.,0.)); #461302=DIRECTION('center_axis',(0.,0.,1.)); #461303=DIRECTION('ref_axis',(0.,1.,0.)); #461304=DIRECTION('',(0.,0.,1.)); #461305=DIRECTION('center_axis',(-1.,0.,0.)); #461306=DIRECTION('ref_axis',(0.,-1.,0.)); #461307=DIRECTION('',(0.,-1.,0.)); #461308=DIRECTION('',(0.,-1.,0.)); #461309=DIRECTION('',(0.,0.,1.)); #461310=DIRECTION('center_axis',(0.,0.,1.)); #461311=DIRECTION('ref_axis',(0.,1.,0.)); #461312=DIRECTION('center_axis',(0.,0.,1.)); #461313=DIRECTION('ref_axis',(0.,1.,0.)); #461314=DIRECTION('center_axis',(0.,0.,1.)); #461315=DIRECTION('ref_axis',(0.,1.,0.)); #461316=DIRECTION('',(0.,0.,1.)); #461317=DIRECTION('center_axis',(0.,1.,0.)); #461318=DIRECTION('ref_axis',(-1.,0.,0.)); #461319=DIRECTION('',(-1.,0.,0.)); #461320=DIRECTION('',(-1.,0.,0.)); #461321=DIRECTION('center_axis',(0.,0.,1.)); #461322=DIRECTION('ref_axis',(1.,0.,0.)); #461323=DIRECTION('center_axis',(0.,0.,1.)); #461324=DIRECTION('ref_axis',(1.,0.,0.)); #461325=DIRECTION('center_axis',(1.,0.,0.)); #461326=DIRECTION('ref_axis',(0.,1.,0.)); #461327=DIRECTION('',(0.,-1.,0.)); #461328=DIRECTION('',(0.,0.,1.)); #461329=DIRECTION('',(0.,1.,0.)); #461330=DIRECTION('',(0.,0.,1.)); #461331=DIRECTION('center_axis',(0.,1.,0.)); #461332=DIRECTION('ref_axis',(-1.,0.,0.)); #461333=DIRECTION('',(-1.,0.,0.)); #461334=DIRECTION('',(0.,0.,1.)); #461335=DIRECTION('',(-1.,0.,0.)); #461336=DIRECTION('center_axis',(0.,0.,-1.)); #461337=DIRECTION('ref_axis',(0.,1.,0.)); #461338=DIRECTION('center_axis',(0.,0.,-1.)); #461339=DIRECTION('ref_axis',(0.,1.,0.)); #461340=DIRECTION('',(0.,0.,1.)); #461341=DIRECTION('center_axis',(0.,0.,-1.)); #461342=DIRECTION('ref_axis',(0.,1.,0.)); #461343=DIRECTION('center_axis',(-1.,0.,0.)); #461344=DIRECTION('ref_axis',(0.,-1.,0.)); #461345=DIRECTION('',(0.,-1.,0.)); #461346=DIRECTION('',(0.,0.,1.)); #461347=DIRECTION('',(0.,-1.,0.)); #461348=DIRECTION('center_axis',(0.,0.,-1.)); #461349=DIRECTION('ref_axis',(0.,1.,0.)); #461350=DIRECTION('center_axis',(0.,0.,-1.)); #461351=DIRECTION('ref_axis',(0.,1.,0.)); #461352=DIRECTION('',(0.,0.,1.)); #461353=DIRECTION('center_axis',(0.,0.,-1.)); #461354=DIRECTION('ref_axis',(0.,1.,0.)); #461355=DIRECTION('center_axis',(0.,-1.,0.)); #461356=DIRECTION('ref_axis',(1.,0.,0.)); #461357=DIRECTION('',(1.,0.,0.)); #461358=DIRECTION('',(1.,0.,0.)); #461359=DIRECTION('center_axis',(0.,0.,-1.)); #461360=DIRECTION('ref_axis',(-1.,0.,0.)); #461361=DIRECTION('center_axis',(0.,0.,-1.)); #461362=DIRECTION('ref_axis',(-1.,0.,0.)); #461363=DIRECTION('center_axis',(1.,0.,0.)); #461364=DIRECTION('ref_axis',(0.,1.,0.)); #461365=DIRECTION('',(0.,-1.,0.)); #461366=DIRECTION('',(0.,0.,1.)); #461367=DIRECTION('',(0.,1.,0.)); #461368=DIRECTION('',(0.,0.,1.)); #461369=DIRECTION('center_axis',(0.,1.,0.)); #461370=DIRECTION('ref_axis',(-1.,0.,0.)); #461371=DIRECTION('',(-1.,0.,0.)); #461372=DIRECTION('',(0.,0.,1.)); #461373=DIRECTION('',(-1.,0.,0.)); #461374=DIRECTION('center_axis',(0.,0.,-1.)); #461375=DIRECTION('ref_axis',(0.,1.,0.)); #461376=DIRECTION('center_axis',(0.,0.,-1.)); #461377=DIRECTION('ref_axis',(0.,1.,0.)); #461378=DIRECTION('',(0.,0.,1.)); #461379=DIRECTION('center_axis',(0.,0.,-1.)); #461380=DIRECTION('ref_axis',(0.,1.,0.)); #461381=DIRECTION('center_axis',(-1.,0.,0.)); #461382=DIRECTION('ref_axis',(0.,-1.,0.)); #461383=DIRECTION('',(0.,-1.,0.)); #461384=DIRECTION('',(0.,0.,1.)); #461385=DIRECTION('',(0.,-1.,0.)); #461386=DIRECTION('center_axis',(0.,0.,-1.)); #461387=DIRECTION('ref_axis',(0.,1.,0.)); #461388=DIRECTION('center_axis',(0.,0.,-1.)); #461389=DIRECTION('ref_axis',(0.,1.,0.)); #461390=DIRECTION('',(0.,0.,1.)); #461391=DIRECTION('center_axis',(0.,0.,-1.)); #461392=DIRECTION('ref_axis',(0.,1.,0.)); #461393=DIRECTION('center_axis',(0.,-1.,0.)); #461394=DIRECTION('ref_axis',(1.,0.,0.)); #461395=DIRECTION('',(1.,0.,0.)); #461396=DIRECTION('',(1.,0.,0.)); #461397=DIRECTION('center_axis',(0.,0.,-1.)); #461398=DIRECTION('ref_axis',(-1.,0.,0.)); #461399=DIRECTION('center_axis',(0.,0.,-1.)); #461400=DIRECTION('ref_axis',(-1.,0.,0.)); #461401=DIRECTION('center_axis',(1.,0.,0.)); #461402=DIRECTION('ref_axis',(0.,1.,0.)); #461403=DIRECTION('',(0.,-1.,0.)); #461404=DIRECTION('',(0.,0.,1.)); #461405=DIRECTION('',(0.,1.,0.)); #461406=DIRECTION('',(0.,0.,1.)); #461407=DIRECTION('center_axis',(0.,1.,0.)); #461408=DIRECTION('ref_axis',(-1.,0.,0.)); #461409=DIRECTION('',(-1.,0.,0.)); #461410=DIRECTION('',(0.,0.,1.)); #461411=DIRECTION('',(-1.,0.,0.)); #461412=DIRECTION('center_axis',(0.,0.,-1.)); #461413=DIRECTION('ref_axis',(0.,1.,0.)); #461414=DIRECTION('center_axis',(0.,0.,-1.)); #461415=DIRECTION('ref_axis',(0.,1.,0.)); #461416=DIRECTION('',(0.,0.,1.)); #461417=DIRECTION('center_axis',(0.,0.,-1.)); #461418=DIRECTION('ref_axis',(0.,1.,0.)); #461419=DIRECTION('center_axis',(-1.,0.,0.)); #461420=DIRECTION('ref_axis',(0.,-1.,0.)); #461421=DIRECTION('',(0.,-1.,0.)); #461422=DIRECTION('',(0.,0.,1.)); #461423=DIRECTION('',(0.,-1.,0.)); #461424=DIRECTION('center_axis',(0.,0.,-1.)); #461425=DIRECTION('ref_axis',(0.,1.,0.)); #461426=DIRECTION('center_axis',(0.,0.,-1.)); #461427=DIRECTION('ref_axis',(0.,1.,0.)); #461428=DIRECTION('',(0.,0.,1.)); #461429=DIRECTION('center_axis',(0.,0.,-1.)); #461430=DIRECTION('ref_axis',(0.,1.,0.)); #461431=DIRECTION('center_axis',(0.,-1.,0.)); #461432=DIRECTION('ref_axis',(1.,0.,0.)); #461433=DIRECTION('',(1.,0.,0.)); #461434=DIRECTION('',(1.,0.,0.)); #461435=DIRECTION('center_axis',(0.,0.,-1.)); #461436=DIRECTION('ref_axis',(-1.,0.,0.)); #461437=DIRECTION('center_axis',(0.,0.,-1.)); #461438=DIRECTION('ref_axis',(-1.,0.,0.)); #461439=DIRECTION('center_axis',(0.,-1.,0.)); #461440=DIRECTION('ref_axis',(1.,0.,0.)); #461441=DIRECTION('',(1.,0.,0.)); #461442=DIRECTION('',(0.,0.,1.)); #461443=DIRECTION('',(1.,0.,0.)); #461444=DIRECTION('',(0.,0.,1.)); #461445=DIRECTION('center_axis',(1.,0.,0.)); #461446=DIRECTION('ref_axis',(0.,1.,0.)); #461447=DIRECTION('',(0.,1.,0.)); #461448=DIRECTION('',(0.,0.,1.)); #461449=DIRECTION('',(0.,1.,0.)); #461450=DIRECTION('center_axis',(0.,1.,0.)); #461451=DIRECTION('ref_axis',(-1.,0.,0.)); #461452=DIRECTION('',(-1.,0.,0.)); #461453=DIRECTION('',(0.,0.,1.)); #461454=DIRECTION('',(-1.,0.,0.)); #461455=DIRECTION('center_axis',(-1.,0.,0.)); #461456=DIRECTION('ref_axis',(0.,-1.,0.)); #461457=DIRECTION('',(0.,-1.,0.)); #461458=DIRECTION('',(0.,-1.,0.)); #461459=DIRECTION('center_axis',(0.,0.,-1.)); #461460=DIRECTION('ref_axis',(-1.,0.,0.)); #461461=DIRECTION('center_axis',(0.,0.,-1.)); #461462=DIRECTION('ref_axis',(-1.,0.,0.)); #461463=DIRECTION('center_axis',(0.,1.,0.)); #461464=DIRECTION('ref_axis',(-1.,0.,0.)); #461465=DIRECTION('',(-1.,0.,0.)); #461466=DIRECTION('',(0.,0.,1.)); #461467=DIRECTION('',(-1.,0.,0.)); #461468=DIRECTION('',(0.,0.,1.)); #461469=DIRECTION('center_axis',(1.,0.,0.)); #461470=DIRECTION('ref_axis',(0.,1.,0.)); #461471=DIRECTION('',(0.,1.,0.)); #461472=DIRECTION('',(0.,1.,0.)); #461473=DIRECTION('',(0.,0.,1.)); #461474=DIRECTION('center_axis',(0.,-1.,0.)); #461475=DIRECTION('ref_axis',(1.,0.,0.)); #461476=DIRECTION('',(1.,0.,0.)); #461477=DIRECTION('',(1.,0.,0.)); #461478=DIRECTION('',(0.,0.,1.)); #461479=DIRECTION('center_axis',(-1.,0.,0.)); #461480=DIRECTION('ref_axis',(0.,-1.,0.)); #461481=DIRECTION('',(0.,-1.,0.)); #461482=DIRECTION('',(0.,-1.,0.)); #461483=DIRECTION('center_axis',(0.,0.,1.)); #461484=DIRECTION('ref_axis',(1.,0.,0.)); #461485=DIRECTION('center_axis',(0.,0.,1.)); #461486=DIRECTION('ref_axis',(1.,0.,0.)); #461487=DIRECTION('center_axis',(0.,1.,0.)); #461488=DIRECTION('ref_axis',(-1.,0.,0.)); #461489=DIRECTION('',(-1.,0.,0.)); #461490=DIRECTION('',(0.,0.,1.)); #461491=DIRECTION('',(-1.,0.,0.)); #461492=DIRECTION('',(0.,0.,1.)); #461493=DIRECTION('center_axis',(1.,0.,0.)); #461494=DIRECTION('ref_axis',(0.,1.,0.)); #461495=DIRECTION('',(0.,1.,0.)); #461496=DIRECTION('',(0.,1.,0.)); #461497=DIRECTION('',(0.,0.,1.)); #461498=DIRECTION('center_axis',(0.,-1.,0.)); #461499=DIRECTION('ref_axis',(1.,0.,0.)); #461500=DIRECTION('',(1.,0.,0.)); #461501=DIRECTION('',(1.,0.,0.)); #461502=DIRECTION('',(0.,0.,1.)); #461503=DIRECTION('center_axis',(-1.,0.,0.)); #461504=DIRECTION('ref_axis',(0.,-1.,0.)); #461505=DIRECTION('',(0.,-1.,0.)); #461506=DIRECTION('',(0.,-1.,0.)); #461507=DIRECTION('center_axis',(0.,0.,1.)); #461508=DIRECTION('ref_axis',(1.,0.,0.)); #461509=DIRECTION('center_axis',(0.,0.,1.)); #461510=DIRECTION('ref_axis',(1.,0.,0.)); #461511=DIRECTION('center_axis',(0.,1.,0.)); #461512=DIRECTION('ref_axis',(-1.,0.,0.)); #461513=DIRECTION('',(-1.,0.,0.)); #461514=DIRECTION('',(0.,0.,1.)); #461515=DIRECTION('',(-1.,0.,0.)); #461516=DIRECTION('',(0.,0.,1.)); #461517=DIRECTION('center_axis',(1.,0.,0.)); #461518=DIRECTION('ref_axis',(0.,1.,0.)); #461519=DIRECTION('',(0.,1.,0.)); #461520=DIRECTION('',(0.,1.,0.)); #461521=DIRECTION('',(0.,0.,1.)); #461522=DIRECTION('center_axis',(0.,-1.,0.)); #461523=DIRECTION('ref_axis',(1.,0.,0.)); #461524=DIRECTION('',(1.,0.,0.)); #461525=DIRECTION('',(1.,0.,0.)); #461526=DIRECTION('',(0.,0.,1.)); #461527=DIRECTION('center_axis',(-1.,0.,0.)); #461528=DIRECTION('ref_axis',(0.,-1.,0.)); #461529=DIRECTION('',(0.,-1.,0.)); #461530=DIRECTION('',(0.,-1.,0.)); #461531=DIRECTION('center_axis',(0.,0.,1.)); #461532=DIRECTION('ref_axis',(1.,0.,0.)); #461533=DIRECTION('center_axis',(0.,0.,1.)); #461534=DIRECTION('ref_axis',(1.,0.,0.)); #461535=DIRECTION('center_axis',(0.,-1.,0.)); #461536=DIRECTION('ref_axis',(1.,0.,0.)); #461537=DIRECTION('',(1.,0.,0.)); #461538=DIRECTION('',(0.,0.,1.)); #461539=DIRECTION('',(1.,0.,0.)); #461540=DIRECTION('',(0.,0.,1.)); #461541=DIRECTION('center_axis',(1.,0.,0.)); #461542=DIRECTION('ref_axis',(0.,1.,0.)); #461543=DIRECTION('',(0.,1.,0.)); #461544=DIRECTION('',(0.,0.,1.)); #461545=DIRECTION('',(0.,1.,0.)); #461546=DIRECTION('center_axis',(0.,1.,0.)); #461547=DIRECTION('ref_axis',(-1.,0.,0.)); #461548=DIRECTION('',(-1.,0.,0.)); #461549=DIRECTION('',(0.,0.,1.)); #461550=DIRECTION('',(-1.,0.,0.)); #461551=DIRECTION('center_axis',(-1.,0.,0.)); #461552=DIRECTION('ref_axis',(0.,-1.,0.)); #461553=DIRECTION('',(0.,-1.,0.)); #461554=DIRECTION('',(0.,-1.,0.)); #461555=DIRECTION('center_axis',(0.,0.,-1.)); #461556=DIRECTION('ref_axis',(-1.,0.,0.)); #461557=DIRECTION('center_axis',(0.,0.,-1.)); #461558=DIRECTION('ref_axis',(-1.,0.,0.)); #461559=DIRECTION('center_axis',(0.,-1.,0.)); #461560=DIRECTION('ref_axis',(1.,0.,0.)); #461561=DIRECTION('',(1.,0.,0.)); #461562=DIRECTION('',(0.,0.,1.)); #461563=DIRECTION('',(1.,0.,0.)); #461564=DIRECTION('',(0.,0.,1.)); #461565=DIRECTION('center_axis',(1.,0.,0.)); #461566=DIRECTION('ref_axis',(0.,1.,0.)); #461567=DIRECTION('',(0.,1.,0.)); #461568=DIRECTION('',(0.,0.,1.)); #461569=DIRECTION('',(0.,1.,0.)); #461570=DIRECTION('center_axis',(0.,1.,0.)); #461571=DIRECTION('ref_axis',(-1.,0.,0.)); #461572=DIRECTION('',(-1.,0.,0.)); #461573=DIRECTION('',(0.,0.,1.)); #461574=DIRECTION('',(-1.,0.,0.)); #461575=DIRECTION('center_axis',(-1.,0.,0.)); #461576=DIRECTION('ref_axis',(0.,-1.,0.)); #461577=DIRECTION('',(0.,-1.,0.)); #461578=DIRECTION('',(0.,-1.,0.)); #461579=DIRECTION('center_axis',(0.,0.,-1.)); #461580=DIRECTION('ref_axis',(-1.,0.,0.)); #461581=DIRECTION('center_axis',(0.,0.,-1.)); #461582=DIRECTION('ref_axis',(-1.,0.,0.)); #461583=DIRECTION('center_axis',(0.,-1.,0.)); #461584=DIRECTION('ref_axis',(1.,0.,0.)); #461585=DIRECTION('',(1.,0.,0.)); #461586=DIRECTION('',(0.,0.,1.)); #461587=DIRECTION('',(1.,0.,0.)); #461588=DIRECTION('',(0.,0.,1.)); #461589=DIRECTION('center_axis',(1.,0.,0.)); #461590=DIRECTION('ref_axis',(0.,1.,0.)); #461591=DIRECTION('',(0.,1.,0.)); #461592=DIRECTION('',(0.,0.,1.)); #461593=DIRECTION('',(0.,1.,0.)); #461594=DIRECTION('center_axis',(0.,1.,0.)); #461595=DIRECTION('ref_axis',(-1.,0.,0.)); #461596=DIRECTION('',(-1.,0.,0.)); #461597=DIRECTION('',(0.,0.,1.)); #461598=DIRECTION('',(-1.,0.,0.)); #461599=DIRECTION('center_axis',(-1.,0.,0.)); #461600=DIRECTION('ref_axis',(0.,-1.,0.)); #461601=DIRECTION('',(0.,-1.,0.)); #461602=DIRECTION('',(0.,-1.,0.)); #461603=DIRECTION('center_axis',(0.,0.,-1.)); #461604=DIRECTION('ref_axis',(-1.,0.,0.)); #461605=DIRECTION('center_axis',(0.,0.,-1.)); #461606=DIRECTION('ref_axis',(-1.,0.,0.)); #461607=DIRECTION('center_axis',(2.22044604925031E-16,-1.,0.)); #461608=DIRECTION('ref_axis',(1.,2.22044604925031E-16,0.)); #461609=DIRECTION('',(1.,2.22044604925031E-16,0.)); #461610=DIRECTION('',(0.,0.,1.)); #461611=DIRECTION('',(1.,2.22044604925031E-16,0.)); #461612=DIRECTION('',(0.,0.,1.)); #461613=DIRECTION('center_axis',(-1.,0.,0.)); #461614=DIRECTION('ref_axis',(0.,-1.,0.)); #461615=DIRECTION('',(0.,-1.,0.)); #461616=DIRECTION('',(0.,-1.,0.)); #461617=DIRECTION('',(0.,0.,1.)); #461618=DIRECTION('center_axis',(-1.11022302462516E-16,1.,0.)); #461619=DIRECTION('ref_axis',(-1.,-1.11022302462516E-16,0.)); #461620=DIRECTION('',(-1.,-1.11022302462516E-16,0.)); #461621=DIRECTION('',(-1.,-1.11022302462516E-16,0.)); #461622=DIRECTION('',(0.,0.,1.)); #461623=DIRECTION('center_axis',(1.,2.95272081017329E-17,0.)); #461624=DIRECTION('ref_axis',(-2.95272081017329E-17,1.,0.)); #461625=DIRECTION('',(-2.95272081017329E-17,1.,0.)); #461626=DIRECTION('',(-2.95272081017329E-17,1.,0.)); #461627=DIRECTION('center_axis',(0.,0.,1.)); #461628=DIRECTION('ref_axis',(1.,0.,0.)); #461629=DIRECTION('center_axis',(0.,0.,1.)); #461630=DIRECTION('ref_axis',(1.,0.,0.)); #461631=DIRECTION('',(0.,0.,1.)); #461632=DIRECTION('',(1.,0.,0.)); #461633=DIRECTION('axis',(0.,0.,1.)); #461634=DIRECTION('refdir',(1.,0.,0.)); #461635=DIRECTION('axis',(0.,0.,1.)); #461636=DIRECTION('refdir',(1.,0.,0.)); #461637=DIRECTION('center_axis',(0.,0.,-1.)); #461638=DIRECTION('ref_axis',(1.,0.,0.)); #461639=DIRECTION('center_axis',(0.,0.,-1.)); #461640=DIRECTION('ref_axis',(1.,0.,0.)); #461641=DIRECTION('',(0.,0.,-1.)); #461642=DIRECTION('center_axis',(0.,0.,-1.)); #461643=DIRECTION('ref_axis',(1.,0.,0.)); #461644=DIRECTION('center_axis',(0.,0.,1.)); #461645=DIRECTION('ref_axis',(1.,0.,0.)); #461646=DIRECTION('center_axis',(0.707106781186548,0.,0.707106781186548)); #461647=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #461648=DIRECTION('',(0.,-1.,0.)); #461649=DIRECTION('',(0.577350269189626,-0.577350269189626,-0.577350269189626)); #461650=DIRECTION('',(0.,1.,0.)); #461651=DIRECTION('',(-0.577350269189624,-0.577350269189627,0.577350269189627)); #461652=DIRECTION('center_axis',(0.,-0.707106781186548,0.707106781186548)); #461653=DIRECTION('ref_axis',(1.,0.,0.)); #461654=DIRECTION('',(1.,0.,0.)); #461655=DIRECTION('',(-1.,0.,0.)); #461656=DIRECTION('',(0.577350269189624,0.577350269189627,0.577350269189627)); #461657=DIRECTION('center_axis',(0.,0.707106781186548,0.707106781186548)); #461658=DIRECTION('ref_axis',(1.,0.,0.)); #461659=DIRECTION('',(1.,0.,0.)); #461660=DIRECTION('',(-1.,0.,0.)); #461661=DIRECTION('',(0.577350269189626,-0.577350269189626,0.577350269189626)); #461662=DIRECTION('center_axis',(-0.707106781186548,0.,0.707106781186548)); #461663=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #461664=DIRECTION('',(0.,1.,0.)); #461665=DIRECTION('',(0.,-1.,0.)); #461666=DIRECTION('center_axis',(0.,1.,0.)); #461667=DIRECTION('ref_axis',(1.,0.,0.)); #461668=DIRECTION('',(0.,0.,-1.)); #461669=DIRECTION('',(1.,0.,0.)); #461670=DIRECTION('',(0.,0.,-1.)); #461671=DIRECTION('center_axis',(1.,0.,0.)); #461672=DIRECTION('ref_axis',(0.,-1.,0.)); #461673=DIRECTION('',(0.,0.,-1.)); #461674=DIRECTION('',(0.,-1.,0.)); #461675=DIRECTION('center_axis',(0.,0.,1.)); #461676=DIRECTION('ref_axis',(1.,0.,0.)); #461677=DIRECTION('center_axis',(-1.,0.,0.)); #461678=DIRECTION('ref_axis',(0.,1.,0.)); #461679=DIRECTION('',(0.,1.,0.)); #461680=DIRECTION('',(0.,0.,-1.)); #461681=DIRECTION('center_axis',(0.,-1.,0.)); #461682=DIRECTION('ref_axis',(-1.,0.,0.)); #461683=DIRECTION('',(-1.,0.,0.)); #461684=DIRECTION('center_axis',(0.,0.,-1.)); #461685=DIRECTION('ref_axis',(-1.,0.,0.)); #461686=DIRECTION('center_axis',(0.,1.,0.)); #461687=DIRECTION('ref_axis',(-1.,0.,0.)); #461688=DIRECTION('',(-1.,0.,0.)); #461689=DIRECTION('',(0.,0.,1.)); #461690=DIRECTION('',(-1.,0.,0.)); #461691=DIRECTION('',(0.,0.,1.)); #461692=DIRECTION('center_axis',(1.,0.,0.)); #461693=DIRECTION('ref_axis',(0.,1.,0.)); #461694=DIRECTION('',(0.,1.,0.)); #461695=DIRECTION('',(0.,1.,0.)); #461696=DIRECTION('',(0.,0.,1.)); #461697=DIRECTION('center_axis',(0.,-1.,0.)); #461698=DIRECTION('ref_axis',(1.,0.,0.)); #461699=DIRECTION('',(1.,0.,0.)); #461700=DIRECTION('',(1.,0.,0.)); #461701=DIRECTION('',(0.,0.,1.)); #461702=DIRECTION('center_axis',(-1.,0.,0.)); #461703=DIRECTION('ref_axis',(0.,-1.,0.)); #461704=DIRECTION('',(0.,-1.,0.)); #461705=DIRECTION('',(0.,-1.,0.)); #461706=DIRECTION('center_axis',(0.,0.,1.)); #461707=DIRECTION('ref_axis',(1.,0.,0.)); #461708=DIRECTION('center_axis',(0.,0.,1.)); #461709=DIRECTION('ref_axis',(1.,0.,0.)); #461710=DIRECTION('center_axis',(1.,0.,0.)); #461711=DIRECTION('ref_axis',(0.,1.,0.)); #461712=DIRECTION('',(0.,-1.,0.)); #461713=DIRECTION('',(0.,0.,1.)); #461714=DIRECTION('',(0.,1.,0.)); #461715=DIRECTION('',(0.,0.,1.)); #461716=DIRECTION('center_axis',(0.,-1.,0.)); #461717=DIRECTION('ref_axis',(1.,0.,0.)); #461718=DIRECTION('',(1.,0.,0.)); #461719=DIRECTION('',(1.,0.,0.)); #461720=DIRECTION('',(0.,0.,1.)); #461721=DIRECTION('center_axis',(0.,0.,1.)); #461722=DIRECTION('ref_axis',(0.,1.,0.)); #461723=DIRECTION('center_axis',(0.,0.,1.)); #461724=DIRECTION('ref_axis',(0.,1.,0.)); #461725=DIRECTION('center_axis',(0.,0.,1.)); #461726=DIRECTION('ref_axis',(0.,1.,0.)); #461727=DIRECTION('',(0.,0.,1.)); #461728=DIRECTION('center_axis',(-1.,0.,0.)); #461729=DIRECTION('ref_axis',(0.,-1.,0.)); #461730=DIRECTION('',(0.,-1.,0.)); #461731=DIRECTION('',(0.,-1.,0.)); #461732=DIRECTION('',(0.,0.,1.)); #461733=DIRECTION('center_axis',(0.,0.,1.)); #461734=DIRECTION('ref_axis',(0.,1.,0.)); #461735=DIRECTION('center_axis',(0.,0.,1.)); #461736=DIRECTION('ref_axis',(0.,1.,0.)); #461737=DIRECTION('center_axis',(0.,0.,1.)); #461738=DIRECTION('ref_axis',(0.,1.,0.)); #461739=DIRECTION('',(0.,0.,1.)); #461740=DIRECTION('center_axis',(0.,1.,0.)); #461741=DIRECTION('ref_axis',(-1.,0.,0.)); #461742=DIRECTION('',(-1.,0.,0.)); #461743=DIRECTION('',(-1.,0.,0.)); #461744=DIRECTION('center_axis',(0.,0.,1.)); #461745=DIRECTION('ref_axis',(1.,0.,0.)); #461746=DIRECTION('center_axis',(0.,0.,1.)); #461747=DIRECTION('ref_axis',(1.,0.,0.)); #461748=DIRECTION('center_axis',(1.,0.,0.)); #461749=DIRECTION('ref_axis',(0.,1.,0.)); #461750=DIRECTION('',(0.,-1.,0.)); #461751=DIRECTION('',(0.,0.,1.)); #461752=DIRECTION('',(0.,1.,0.)); #461753=DIRECTION('',(0.,0.,1.)); #461754=DIRECTION('center_axis',(0.,1.,0.)); #461755=DIRECTION('ref_axis',(-1.,0.,0.)); #461756=DIRECTION('',(-1.,0.,0.)); #461757=DIRECTION('',(0.,0.,1.)); #461758=DIRECTION('',(-1.,0.,0.)); #461759=DIRECTION('center_axis',(0.,0.,-1.)); #461760=DIRECTION('ref_axis',(0.,1.,0.)); #461761=DIRECTION('center_axis',(0.,0.,-1.)); #461762=DIRECTION('ref_axis',(0.,1.,0.)); #461763=DIRECTION('',(0.,0.,1.)); #461764=DIRECTION('center_axis',(0.,0.,-1.)); #461765=DIRECTION('ref_axis',(0.,1.,0.)); #461766=DIRECTION('center_axis',(-1.,0.,0.)); #461767=DIRECTION('ref_axis',(0.,-1.,0.)); #461768=DIRECTION('',(0.,-1.,0.)); #461769=DIRECTION('',(0.,0.,1.)); #461770=DIRECTION('',(0.,-1.,0.)); #461771=DIRECTION('center_axis',(0.,0.,-1.)); #461772=DIRECTION('ref_axis',(0.,1.,0.)); #461773=DIRECTION('center_axis',(0.,0.,-1.)); #461774=DIRECTION('ref_axis',(0.,1.,0.)); #461775=DIRECTION('',(0.,0.,1.)); #461776=DIRECTION('center_axis',(0.,0.,-1.)); #461777=DIRECTION('ref_axis',(0.,1.,0.)); #461778=DIRECTION('center_axis',(0.,-1.,0.)); #461779=DIRECTION('ref_axis',(1.,0.,0.)); #461780=DIRECTION('',(1.,0.,0.)); #461781=DIRECTION('',(1.,0.,0.)); #461782=DIRECTION('center_axis',(0.,0.,-1.)); #461783=DIRECTION('ref_axis',(-1.,0.,0.)); #461784=DIRECTION('center_axis',(0.,0.,-1.)); #461785=DIRECTION('ref_axis',(-1.,0.,0.)); #461786=DIRECTION('center_axis',(1.,0.,0.)); #461787=DIRECTION('ref_axis',(0.,1.,0.)); #461788=DIRECTION('',(0.,-1.,0.)); #461789=DIRECTION('',(0.,0.,1.)); #461790=DIRECTION('',(0.,1.,0.)); #461791=DIRECTION('',(0.,0.,1.)); #461792=DIRECTION('center_axis',(0.,-1.,0.)); #461793=DIRECTION('ref_axis',(1.,0.,0.)); #461794=DIRECTION('',(1.,0.,0.)); #461795=DIRECTION('',(1.,0.,0.)); #461796=DIRECTION('',(0.,0.,1.)); #461797=DIRECTION('center_axis',(0.,0.,1.)); #461798=DIRECTION('ref_axis',(0.,1.,0.)); #461799=DIRECTION('center_axis',(0.,0.,1.)); #461800=DIRECTION('ref_axis',(0.,1.,0.)); #461801=DIRECTION('center_axis',(0.,0.,1.)); #461802=DIRECTION('ref_axis',(0.,1.,0.)); #461803=DIRECTION('',(0.,0.,1.)); #461804=DIRECTION('center_axis',(-1.,0.,0.)); #461805=DIRECTION('ref_axis',(0.,-1.,0.)); #461806=DIRECTION('',(0.,-1.,0.)); #461807=DIRECTION('',(0.,-1.,0.)); #461808=DIRECTION('',(0.,0.,1.)); #461809=DIRECTION('center_axis',(0.,0.,1.)); #461810=DIRECTION('ref_axis',(0.,1.,0.)); #461811=DIRECTION('center_axis',(0.,0.,1.)); #461812=DIRECTION('ref_axis',(0.,1.,0.)); #461813=DIRECTION('center_axis',(0.,0.,1.)); #461814=DIRECTION('ref_axis',(0.,1.,0.)); #461815=DIRECTION('',(0.,0.,1.)); #461816=DIRECTION('center_axis',(0.,1.,0.)); #461817=DIRECTION('ref_axis',(-1.,0.,0.)); #461818=DIRECTION('',(-1.,0.,0.)); #461819=DIRECTION('',(-1.,0.,0.)); #461820=DIRECTION('center_axis',(0.,0.,1.)); #461821=DIRECTION('ref_axis',(1.,0.,0.)); #461822=DIRECTION('center_axis',(0.,0.,1.)); #461823=DIRECTION('ref_axis',(1.,0.,0.)); #461824=DIRECTION('center_axis',(1.,0.,0.)); #461825=DIRECTION('ref_axis',(0.,1.,0.)); #461826=DIRECTION('',(0.,-1.,0.)); #461827=DIRECTION('',(0.,0.,1.)); #461828=DIRECTION('',(0.,1.,0.)); #461829=DIRECTION('',(0.,0.,1.)); #461830=DIRECTION('center_axis',(0.,-1.,0.)); #461831=DIRECTION('ref_axis',(1.,0.,0.)); #461832=DIRECTION('',(1.,0.,0.)); #461833=DIRECTION('',(1.,0.,0.)); #461834=DIRECTION('',(0.,0.,1.)); #461835=DIRECTION('center_axis',(0.,0.,1.)); #461836=DIRECTION('ref_axis',(0.,1.,0.)); #461837=DIRECTION('center_axis',(0.,0.,1.)); #461838=DIRECTION('ref_axis',(0.,1.,0.)); #461839=DIRECTION('center_axis',(0.,0.,1.)); #461840=DIRECTION('ref_axis',(0.,1.,0.)); #461841=DIRECTION('',(0.,0.,1.)); #461842=DIRECTION('center_axis',(-1.,0.,0.)); #461843=DIRECTION('ref_axis',(0.,-1.,0.)); #461844=DIRECTION('',(0.,-1.,0.)); #461845=DIRECTION('',(0.,-1.,0.)); #461846=DIRECTION('',(0.,0.,1.)); #461847=DIRECTION('center_axis',(0.,0.,1.)); #461848=DIRECTION('ref_axis',(0.,1.,0.)); #461849=DIRECTION('center_axis',(0.,0.,1.)); #461850=DIRECTION('ref_axis',(0.,1.,0.)); #461851=DIRECTION('center_axis',(0.,0.,1.)); #461852=DIRECTION('ref_axis',(0.,1.,0.)); #461853=DIRECTION('',(0.,0.,1.)); #461854=DIRECTION('center_axis',(0.,1.,0.)); #461855=DIRECTION('ref_axis',(-1.,0.,0.)); #461856=DIRECTION('',(-1.,0.,0.)); #461857=DIRECTION('',(-1.,0.,0.)); #461858=DIRECTION('center_axis',(0.,0.,1.)); #461859=DIRECTION('ref_axis',(1.,0.,0.)); #461860=DIRECTION('center_axis',(0.,0.,1.)); #461861=DIRECTION('ref_axis',(1.,0.,0.)); #461862=DIRECTION('center_axis',(1.,0.,0.)); #461863=DIRECTION('ref_axis',(0.,1.,0.)); #461864=DIRECTION('',(0.,-1.,0.)); #461865=DIRECTION('',(0.,0.,1.)); #461866=DIRECTION('',(0.,1.,0.)); #461867=DIRECTION('',(0.,0.,1.)); #461868=DIRECTION('center_axis',(0.,-1.,0.)); #461869=DIRECTION('ref_axis',(1.,0.,0.)); #461870=DIRECTION('',(1.,0.,0.)); #461871=DIRECTION('',(1.,0.,0.)); #461872=DIRECTION('',(0.,0.,1.)); #461873=DIRECTION('center_axis',(0.,0.,1.)); #461874=DIRECTION('ref_axis',(0.,1.,0.)); #461875=DIRECTION('center_axis',(0.,0.,1.)); #461876=DIRECTION('ref_axis',(0.,1.,0.)); #461877=DIRECTION('center_axis',(0.,0.,1.)); #461878=DIRECTION('ref_axis',(0.,1.,0.)); #461879=DIRECTION('',(0.,0.,1.)); #461880=DIRECTION('center_axis',(-1.,0.,0.)); #461881=DIRECTION('ref_axis',(0.,-1.,0.)); #461882=DIRECTION('',(0.,-1.,0.)); #461883=DIRECTION('',(0.,-1.,0.)); #461884=DIRECTION('',(0.,0.,1.)); #461885=DIRECTION('center_axis',(0.,0.,1.)); #461886=DIRECTION('ref_axis',(0.,1.,0.)); #461887=DIRECTION('center_axis',(0.,0.,1.)); #461888=DIRECTION('ref_axis',(0.,1.,0.)); #461889=DIRECTION('center_axis',(0.,0.,1.)); #461890=DIRECTION('ref_axis',(0.,1.,0.)); #461891=DIRECTION('',(0.,0.,1.)); #461892=DIRECTION('center_axis',(0.,1.,0.)); #461893=DIRECTION('ref_axis',(-1.,0.,0.)); #461894=DIRECTION('',(-1.,0.,0.)); #461895=DIRECTION('',(-1.,0.,0.)); #461896=DIRECTION('center_axis',(0.,0.,1.)); #461897=DIRECTION('ref_axis',(1.,0.,0.)); #461898=DIRECTION('center_axis',(0.,0.,1.)); #461899=DIRECTION('ref_axis',(1.,0.,0.)); #461900=DIRECTION('center_axis',(1.,0.,0.)); #461901=DIRECTION('ref_axis',(0.,1.,0.)); #461902=DIRECTION('',(0.,-1.,0.)); #461903=DIRECTION('',(0.,0.,1.)); #461904=DIRECTION('',(0.,1.,0.)); #461905=DIRECTION('',(0.,0.,1.)); #461906=DIRECTION('center_axis',(0.,1.,0.)); #461907=DIRECTION('ref_axis',(-1.,0.,0.)); #461908=DIRECTION('',(-1.,0.,0.)); #461909=DIRECTION('',(0.,0.,1.)); #461910=DIRECTION('',(-1.,0.,0.)); #461911=DIRECTION('center_axis',(0.,0.,-1.)); #461912=DIRECTION('ref_axis',(0.,1.,0.)); #461913=DIRECTION('center_axis',(0.,0.,-1.)); #461914=DIRECTION('ref_axis',(0.,1.,0.)); #461915=DIRECTION('',(0.,0.,1.)); #461916=DIRECTION('center_axis',(0.,0.,-1.)); #461917=DIRECTION('ref_axis',(0.,1.,0.)); #461918=DIRECTION('center_axis',(-1.,0.,0.)); #461919=DIRECTION('ref_axis',(0.,-1.,0.)); #461920=DIRECTION('',(0.,-1.,0.)); #461921=DIRECTION('',(0.,0.,1.)); #461922=DIRECTION('',(0.,-1.,0.)); #461923=DIRECTION('center_axis',(0.,0.,-1.)); #461924=DIRECTION('ref_axis',(0.,1.,0.)); #461925=DIRECTION('center_axis',(0.,0.,-1.)); #461926=DIRECTION('ref_axis',(0.,1.,0.)); #461927=DIRECTION('',(0.,0.,1.)); #461928=DIRECTION('center_axis',(0.,0.,-1.)); #461929=DIRECTION('ref_axis',(0.,1.,0.)); #461930=DIRECTION('center_axis',(0.,-1.,0.)); #461931=DIRECTION('ref_axis',(1.,0.,0.)); #461932=DIRECTION('',(1.,0.,0.)); #461933=DIRECTION('',(1.,0.,0.)); #461934=DIRECTION('center_axis',(0.,0.,-1.)); #461935=DIRECTION('ref_axis',(-1.,0.,0.)); #461936=DIRECTION('center_axis',(0.,0.,-1.)); #461937=DIRECTION('ref_axis',(-1.,0.,0.)); #461938=DIRECTION('center_axis',(1.,0.,0.)); #461939=DIRECTION('ref_axis',(0.,1.,0.)); #461940=DIRECTION('',(0.,-1.,0.)); #461941=DIRECTION('',(0.,0.,1.)); #461942=DIRECTION('',(0.,1.,0.)); #461943=DIRECTION('',(0.,0.,1.)); #461944=DIRECTION('center_axis',(0.,1.,0.)); #461945=DIRECTION('ref_axis',(-1.,0.,0.)); #461946=DIRECTION('',(-1.,0.,0.)); #461947=DIRECTION('',(0.,0.,1.)); #461948=DIRECTION('',(-1.,0.,0.)); #461949=DIRECTION('center_axis',(0.,0.,-1.)); #461950=DIRECTION('ref_axis',(0.,1.,0.)); #461951=DIRECTION('center_axis',(0.,0.,-1.)); #461952=DIRECTION('ref_axis',(0.,1.,0.)); #461953=DIRECTION('',(0.,0.,1.)); #461954=DIRECTION('center_axis',(0.,0.,-1.)); #461955=DIRECTION('ref_axis',(0.,1.,0.)); #461956=DIRECTION('center_axis',(-1.,0.,0.)); #461957=DIRECTION('ref_axis',(0.,-1.,0.)); #461958=DIRECTION('',(0.,-1.,0.)); #461959=DIRECTION('',(0.,0.,1.)); #461960=DIRECTION('',(0.,-1.,0.)); #461961=DIRECTION('center_axis',(0.,0.,-1.)); #461962=DIRECTION('ref_axis',(0.,1.,0.)); #461963=DIRECTION('center_axis',(0.,0.,-1.)); #461964=DIRECTION('ref_axis',(0.,1.,0.)); #461965=DIRECTION('',(0.,0.,1.)); #461966=DIRECTION('center_axis',(0.,0.,-1.)); #461967=DIRECTION('ref_axis',(0.,1.,0.)); #461968=DIRECTION('center_axis',(0.,-1.,0.)); #461969=DIRECTION('ref_axis',(1.,0.,0.)); #461970=DIRECTION('',(1.,0.,0.)); #461971=DIRECTION('',(1.,0.,0.)); #461972=DIRECTION('center_axis',(0.,0.,-1.)); #461973=DIRECTION('ref_axis',(-1.,0.,0.)); #461974=DIRECTION('center_axis',(0.,0.,-1.)); #461975=DIRECTION('ref_axis',(-1.,0.,0.)); #461976=DIRECTION('center_axis',(1.,0.,0.)); #461977=DIRECTION('ref_axis',(0.,1.,0.)); #461978=DIRECTION('',(0.,-1.,0.)); #461979=DIRECTION('',(0.,0.,1.)); #461980=DIRECTION('',(0.,1.,0.)); #461981=DIRECTION('',(0.,0.,1.)); #461982=DIRECTION('center_axis',(0.,1.,0.)); #461983=DIRECTION('ref_axis',(-1.,0.,0.)); #461984=DIRECTION('',(-1.,0.,0.)); #461985=DIRECTION('',(0.,0.,1.)); #461986=DIRECTION('',(-1.,0.,0.)); #461987=DIRECTION('center_axis',(0.,0.,-1.)); #461988=DIRECTION('ref_axis',(0.,1.,0.)); #461989=DIRECTION('center_axis',(0.,0.,-1.)); #461990=DIRECTION('ref_axis',(0.,1.,0.)); #461991=DIRECTION('',(0.,0.,1.)); #461992=DIRECTION('center_axis',(0.,0.,-1.)); #461993=DIRECTION('ref_axis',(0.,1.,0.)); #461994=DIRECTION('center_axis',(-1.,0.,0.)); #461995=DIRECTION('ref_axis',(0.,-1.,0.)); #461996=DIRECTION('',(0.,-1.,0.)); #461997=DIRECTION('',(0.,0.,1.)); #461998=DIRECTION('',(0.,-1.,0.)); #461999=DIRECTION('center_axis',(0.,0.,-1.)); #462000=DIRECTION('ref_axis',(0.,1.,0.)); #462001=DIRECTION('center_axis',(0.,0.,-1.)); #462002=DIRECTION('ref_axis',(0.,1.,0.)); #462003=DIRECTION('',(0.,0.,1.)); #462004=DIRECTION('center_axis',(0.,0.,-1.)); #462005=DIRECTION('ref_axis',(0.,1.,0.)); #462006=DIRECTION('center_axis',(0.,-1.,0.)); #462007=DIRECTION('ref_axis',(1.,0.,0.)); #462008=DIRECTION('',(1.,0.,0.)); #462009=DIRECTION('',(1.,0.,0.)); #462010=DIRECTION('center_axis',(0.,0.,-1.)); #462011=DIRECTION('ref_axis',(-1.,0.,0.)); #462012=DIRECTION('center_axis',(0.,0.,-1.)); #462013=DIRECTION('ref_axis',(-1.,0.,0.)); #462014=DIRECTION('center_axis',(0.,-1.,0.)); #462015=DIRECTION('ref_axis',(1.,0.,0.)); #462016=DIRECTION('',(1.,0.,0.)); #462017=DIRECTION('',(0.,0.,1.)); #462018=DIRECTION('',(1.,0.,0.)); #462019=DIRECTION('',(0.,0.,1.)); #462020=DIRECTION('center_axis',(1.,0.,0.)); #462021=DIRECTION('ref_axis',(0.,1.,0.)); #462022=DIRECTION('',(0.,1.,0.)); #462023=DIRECTION('',(0.,0.,1.)); #462024=DIRECTION('',(0.,1.,0.)); #462025=DIRECTION('center_axis',(0.,1.,0.)); #462026=DIRECTION('ref_axis',(-1.,0.,0.)); #462027=DIRECTION('',(-1.,0.,0.)); #462028=DIRECTION('',(0.,0.,1.)); #462029=DIRECTION('',(-1.,0.,0.)); #462030=DIRECTION('center_axis',(-1.,0.,0.)); #462031=DIRECTION('ref_axis',(0.,-1.,0.)); #462032=DIRECTION('',(0.,-1.,0.)); #462033=DIRECTION('',(0.,-1.,0.)); #462034=DIRECTION('center_axis',(0.,0.,-1.)); #462035=DIRECTION('ref_axis',(-1.,0.,0.)); #462036=DIRECTION('center_axis',(0.,0.,-1.)); #462037=DIRECTION('ref_axis',(-1.,0.,0.)); #462038=DIRECTION('center_axis',(0.,1.,0.)); #462039=DIRECTION('ref_axis',(-1.,0.,0.)); #462040=DIRECTION('',(-1.,0.,0.)); #462041=DIRECTION('',(0.,0.,1.)); #462042=DIRECTION('',(-1.,0.,0.)); #462043=DIRECTION('',(0.,0.,1.)); #462044=DIRECTION('center_axis',(1.,0.,0.)); #462045=DIRECTION('ref_axis',(0.,1.,0.)); #462046=DIRECTION('',(0.,1.,0.)); #462047=DIRECTION('',(0.,1.,0.)); #462048=DIRECTION('',(0.,0.,1.)); #462049=DIRECTION('center_axis',(0.,-1.,0.)); #462050=DIRECTION('ref_axis',(1.,0.,0.)); #462051=DIRECTION('',(1.,0.,0.)); #462052=DIRECTION('',(1.,0.,0.)); #462053=DIRECTION('',(0.,0.,1.)); #462054=DIRECTION('center_axis',(-1.,0.,0.)); #462055=DIRECTION('ref_axis',(0.,-1.,0.)); #462056=DIRECTION('',(0.,-1.,0.)); #462057=DIRECTION('',(0.,-1.,0.)); #462058=DIRECTION('center_axis',(0.,0.,1.)); #462059=DIRECTION('ref_axis',(1.,0.,0.)); #462060=DIRECTION('center_axis',(0.,0.,1.)); #462061=DIRECTION('ref_axis',(1.,0.,0.)); #462062=DIRECTION('center_axis',(0.,1.,0.)); #462063=DIRECTION('ref_axis',(-1.,0.,0.)); #462064=DIRECTION('',(-1.,0.,0.)); #462065=DIRECTION('',(0.,0.,1.)); #462066=DIRECTION('',(-1.,0.,0.)); #462067=DIRECTION('',(0.,0.,1.)); #462068=DIRECTION('center_axis',(1.,0.,0.)); #462069=DIRECTION('ref_axis',(0.,1.,0.)); #462070=DIRECTION('',(0.,1.,0.)); #462071=DIRECTION('',(0.,1.,0.)); #462072=DIRECTION('',(0.,0.,1.)); #462073=DIRECTION('center_axis',(0.,-1.,0.)); #462074=DIRECTION('ref_axis',(1.,0.,0.)); #462075=DIRECTION('',(1.,0.,0.)); #462076=DIRECTION('',(1.,0.,0.)); #462077=DIRECTION('',(0.,0.,1.)); #462078=DIRECTION('center_axis',(-1.,0.,0.)); #462079=DIRECTION('ref_axis',(0.,-1.,0.)); #462080=DIRECTION('',(0.,-1.,0.)); #462081=DIRECTION('',(0.,-1.,0.)); #462082=DIRECTION('center_axis',(0.,0.,1.)); #462083=DIRECTION('ref_axis',(1.,0.,0.)); #462084=DIRECTION('center_axis',(0.,0.,1.)); #462085=DIRECTION('ref_axis',(1.,0.,0.)); #462086=DIRECTION('center_axis',(0.,1.,0.)); #462087=DIRECTION('ref_axis',(-1.,0.,0.)); #462088=DIRECTION('',(-1.,0.,0.)); #462089=DIRECTION('',(0.,0.,1.)); #462090=DIRECTION('',(-1.,0.,0.)); #462091=DIRECTION('',(0.,0.,1.)); #462092=DIRECTION('center_axis',(1.,0.,0.)); #462093=DIRECTION('ref_axis',(0.,1.,0.)); #462094=DIRECTION('',(0.,1.,0.)); #462095=DIRECTION('',(0.,1.,0.)); #462096=DIRECTION('',(0.,0.,1.)); #462097=DIRECTION('center_axis',(0.,-1.,0.)); #462098=DIRECTION('ref_axis',(1.,0.,0.)); #462099=DIRECTION('',(1.,0.,0.)); #462100=DIRECTION('',(1.,0.,0.)); #462101=DIRECTION('',(0.,0.,1.)); #462102=DIRECTION('center_axis',(-1.,0.,0.)); #462103=DIRECTION('ref_axis',(0.,-1.,0.)); #462104=DIRECTION('',(0.,-1.,0.)); #462105=DIRECTION('',(0.,-1.,0.)); #462106=DIRECTION('center_axis',(0.,0.,1.)); #462107=DIRECTION('ref_axis',(1.,0.,0.)); #462108=DIRECTION('center_axis',(0.,0.,1.)); #462109=DIRECTION('ref_axis',(1.,0.,0.)); #462110=DIRECTION('center_axis',(0.,-1.,0.)); #462111=DIRECTION('ref_axis',(1.,0.,0.)); #462112=DIRECTION('',(1.,0.,0.)); #462113=DIRECTION('',(0.,0.,1.)); #462114=DIRECTION('',(1.,0.,0.)); #462115=DIRECTION('',(0.,0.,1.)); #462116=DIRECTION('center_axis',(1.,0.,0.)); #462117=DIRECTION('ref_axis',(0.,1.,0.)); #462118=DIRECTION('',(0.,1.,0.)); #462119=DIRECTION('',(0.,0.,1.)); #462120=DIRECTION('',(0.,1.,0.)); #462121=DIRECTION('center_axis',(0.,1.,0.)); #462122=DIRECTION('ref_axis',(-1.,0.,0.)); #462123=DIRECTION('',(-1.,0.,0.)); #462124=DIRECTION('',(0.,0.,1.)); #462125=DIRECTION('',(-1.,0.,0.)); #462126=DIRECTION('center_axis',(-1.,0.,0.)); #462127=DIRECTION('ref_axis',(0.,-1.,0.)); #462128=DIRECTION('',(0.,-1.,0.)); #462129=DIRECTION('',(0.,-1.,0.)); #462130=DIRECTION('center_axis',(0.,0.,-1.)); #462131=DIRECTION('ref_axis',(-1.,0.,0.)); #462132=DIRECTION('center_axis',(0.,0.,-1.)); #462133=DIRECTION('ref_axis',(-1.,0.,0.)); #462134=DIRECTION('center_axis',(0.,-1.,0.)); #462135=DIRECTION('ref_axis',(1.,0.,0.)); #462136=DIRECTION('',(1.,0.,0.)); #462137=DIRECTION('',(0.,0.,1.)); #462138=DIRECTION('',(1.,0.,0.)); #462139=DIRECTION('',(0.,0.,1.)); #462140=DIRECTION('center_axis',(1.,0.,0.)); #462141=DIRECTION('ref_axis',(0.,1.,0.)); #462142=DIRECTION('',(0.,1.,0.)); #462143=DIRECTION('',(0.,0.,1.)); #462144=DIRECTION('',(0.,1.,0.)); #462145=DIRECTION('center_axis',(0.,1.,0.)); #462146=DIRECTION('ref_axis',(-1.,0.,0.)); #462147=DIRECTION('',(-1.,0.,0.)); #462148=DIRECTION('',(0.,0.,1.)); #462149=DIRECTION('',(-1.,0.,0.)); #462150=DIRECTION('center_axis',(-1.,0.,0.)); #462151=DIRECTION('ref_axis',(0.,-1.,0.)); #462152=DIRECTION('',(0.,-1.,0.)); #462153=DIRECTION('',(0.,-1.,0.)); #462154=DIRECTION('center_axis',(0.,0.,-1.)); #462155=DIRECTION('ref_axis',(-1.,0.,0.)); #462156=DIRECTION('center_axis',(0.,0.,-1.)); #462157=DIRECTION('ref_axis',(-1.,0.,0.)); #462158=DIRECTION('center_axis',(0.,-1.,0.)); #462159=DIRECTION('ref_axis',(1.,0.,0.)); #462160=DIRECTION('',(1.,0.,0.)); #462161=DIRECTION('',(0.,0.,1.)); #462162=DIRECTION('',(1.,0.,0.)); #462163=DIRECTION('',(0.,0.,1.)); #462164=DIRECTION('center_axis',(1.,0.,0.)); #462165=DIRECTION('ref_axis',(0.,1.,0.)); #462166=DIRECTION('',(0.,1.,0.)); #462167=DIRECTION('',(0.,0.,1.)); #462168=DIRECTION('',(0.,1.,0.)); #462169=DIRECTION('center_axis',(0.,1.,0.)); #462170=DIRECTION('ref_axis',(-1.,0.,0.)); #462171=DIRECTION('',(-1.,0.,0.)); #462172=DIRECTION('',(0.,0.,1.)); #462173=DIRECTION('',(-1.,0.,0.)); #462174=DIRECTION('center_axis',(-1.,0.,0.)); #462175=DIRECTION('ref_axis',(0.,-1.,0.)); #462176=DIRECTION('',(0.,-1.,0.)); #462177=DIRECTION('',(0.,-1.,0.)); #462178=DIRECTION('center_axis',(0.,0.,-1.)); #462179=DIRECTION('ref_axis',(-1.,0.,0.)); #462180=DIRECTION('center_axis',(0.,0.,-1.)); #462181=DIRECTION('ref_axis',(-1.,0.,0.)); #462182=DIRECTION('center_axis',(0.,-1.,0.)); #462183=DIRECTION('ref_axis',(1.,0.,0.)); #462184=DIRECTION('',(1.,0.,0.)); #462185=DIRECTION('',(0.,0.,1.)); #462186=DIRECTION('',(1.,0.,0.)); #462187=DIRECTION('',(0.,0.,1.)); #462188=DIRECTION('center_axis',(-1.,0.,0.)); #462189=DIRECTION('ref_axis',(0.,-1.,0.)); #462190=DIRECTION('',(0.,-1.,0.)); #462191=DIRECTION('',(0.,-1.,0.)); #462192=DIRECTION('',(0.,0.,1.)); #462193=DIRECTION('center_axis',(0.,1.,0.)); #462194=DIRECTION('ref_axis',(-1.,0.,0.)); #462195=DIRECTION('',(-1.,0.,0.)); #462196=DIRECTION('',(-1.,0.,0.)); #462197=DIRECTION('',(0.,0.,1.)); #462198=DIRECTION('center_axis',(1.,0.,0.)); #462199=DIRECTION('ref_axis',(0.,1.,0.)); #462200=DIRECTION('',(0.,1.,0.)); #462201=DIRECTION('',(0.,1.,0.)); #462202=DIRECTION('center_axis',(0.,0.,1.)); #462203=DIRECTION('ref_axis',(1.,0.,0.)); #462204=DIRECTION('center_axis',(0.,0.,1.)); #462205=DIRECTION('ref_axis',(1.,0.,0.)); #462206=DIRECTION('',(0.,0.,1.)); #462207=DIRECTION('',(1.,0.,0.)); #462208=DIRECTION('axis',(0.,0.,1.)); #462209=DIRECTION('refdir',(1.,0.,0.)); #462210=DIRECTION('axis',(0.,0.,1.)); #462211=DIRECTION('refdir',(1.,0.,0.)); #462212=DIRECTION('center_axis',(-0.994505452921406,0.,0.104684784518043)); #462213=DIRECTION('ref_axis',(0.104684784518043,0.,0.994505452921406)); #462214=DIRECTION('',(0.,1.,0.)); #462215=DIRECTION('',(-0.104115841259071,0.104115841259071,-0.989100491961172)); #462216=DIRECTION('',(0.,-1.,0.)); #462217=DIRECTION('',(-0.104115841259071,-0.104115841259071,-0.989100491961172)); #462218=DIRECTION('center_axis',(0.,0.994505452921406,0.104684784518043)); #462219=DIRECTION('ref_axis',(0.,-0.104684784518043,0.994505452921406)); #462220=DIRECTION('',(1.,0.,0.)); #462221=DIRECTION('',(0.104115841259071,0.104115841259071,-0.989100491961172)); #462222=DIRECTION('',(-1.,0.,0.)); #462223=DIRECTION('center_axis',(0.994505452921406,0.,0.104684784518043)); #462224=DIRECTION('ref_axis',(0.104684784518043,0.,-0.994505452921406)); #462225=DIRECTION('',(0.,-1.,0.)); #462226=DIRECTION('',(0.104115841259071,-0.104115841259071,-0.989100491961172)); #462227=DIRECTION('',(0.,1.,0.)); #462228=DIRECTION('center_axis',(0.,-0.994505452921406,0.104684784518043)); #462229=DIRECTION('ref_axis',(0.,-0.104684784518043,-0.994505452921406)); #462230=DIRECTION('',(1.,0.,0.)); #462231=DIRECTION('',(-1.,0.,0.)); #462232=DIRECTION('center_axis',(0.,-1.,0.)); #462233=DIRECTION('ref_axis',(1.,0.,0.)); #462234=DIRECTION('',(0.,0.,1.)); #462235=DIRECTION('',(1.,0.,0.)); #462236=DIRECTION('',(0.,0.,1.)); #462237=DIRECTION('center_axis',(-1.,0.,0.)); #462238=DIRECTION('ref_axis',(0.,-1.,0.)); #462239=DIRECTION('',(0.,0.,1.)); #462240=DIRECTION('',(0.,-1.,0.)); #462241=DIRECTION('center_axis',(0.,0.,1.)); #462242=DIRECTION('ref_axis',(1.,0.,0.)); #462243=DIRECTION('center_axis',(0.,1.,0.)); #462244=DIRECTION('ref_axis',(-1.,0.,0.)); #462245=DIRECTION('',(0.,0.,1.)); #462246=DIRECTION('',(-1.,0.,0.)); #462247=DIRECTION('center_axis',(1.,0.,0.)); #462248=DIRECTION('ref_axis',(0.,1.,0.)); #462249=DIRECTION('',(0.,1.,0.)); #462250=DIRECTION('center_axis',(0.,0.,1.)); #462251=DIRECTION('ref_axis',(1.,0.,0.)); #462252=DIRECTION('center_axis',(1.,0.,0.)); #462253=DIRECTION('ref_axis',(0.,1.,0.)); #462254=DIRECTION('',(0.,-1.,0.)); #462255=DIRECTION('',(0.,0.,1.)); #462256=DIRECTION('',(0.,1.,0.)); #462257=DIRECTION('',(0.,0.,1.)); #462258=DIRECTION('center_axis',(0.,-1.,0.)); #462259=DIRECTION('ref_axis',(1.,0.,0.)); #462260=DIRECTION('',(1.,0.,0.)); #462261=DIRECTION('',(1.,0.,0.)); #462262=DIRECTION('',(0.,0.,1.)); #462263=DIRECTION('center_axis',(-1.,0.,0.)); #462264=DIRECTION('ref_axis',(0.,-1.,0.)); #462265=DIRECTION('',(0.,-1.,0.)); #462266=DIRECTION('',(0.,-1.,0.)); #462267=DIRECTION('',(0.,0.,1.)); #462268=DIRECTION('center_axis',(0.,1.,0.)); #462269=DIRECTION('ref_axis',(-1.,0.,0.)); #462270=DIRECTION('',(-1.,0.,0.)); #462271=DIRECTION('',(-1.,0.,0.)); #462272=DIRECTION('center_axis',(0.,0.,1.)); #462273=DIRECTION('ref_axis',(1.,0.,0.)); #462274=DIRECTION('center_axis',(0.,0.,1.)); #462275=DIRECTION('ref_axis',(1.,0.,0.)); #462276=DIRECTION('center_axis',(0.,1.,0.)); #462277=DIRECTION('ref_axis',(-1.,0.,0.)); #462278=DIRECTION('',(-1.,0.,0.)); #462279=DIRECTION('',(0.,0.,1.)); #462280=DIRECTION('',(-1.,0.,0.)); #462281=DIRECTION('',(0.,0.,1.)); #462282=DIRECTION('center_axis',(1.,0.,0.)); #462283=DIRECTION('ref_axis',(0.,1.,0.)); #462284=DIRECTION('',(0.,1.,0.)); #462285=DIRECTION('',(0.,1.,0.)); #462286=DIRECTION('',(0.,0.,1.)); #462287=DIRECTION('center_axis',(0.,-1.,0.)); #462288=DIRECTION('ref_axis',(1.,0.,0.)); #462289=DIRECTION('',(1.,0.,0.)); #462290=DIRECTION('',(1.,0.,0.)); #462291=DIRECTION('',(0.,0.,1.)); #462292=DIRECTION('center_axis',(-1.,0.,0.)); #462293=DIRECTION('ref_axis',(0.,-1.,0.)); #462294=DIRECTION('',(0.,-1.,0.)); #462295=DIRECTION('',(0.,-1.,0.)); #462296=DIRECTION('center_axis',(0.,0.,1.)); #462297=DIRECTION('ref_axis',(1.,0.,0.)); #462298=DIRECTION('center_axis',(0.,0.,1.)); #462299=DIRECTION('ref_axis',(1.,0.,0.)); #462300=DIRECTION('center_axis',(0.,1.,0.)); #462301=DIRECTION('ref_axis',(-1.,0.,0.)); #462302=DIRECTION('',(1.,0.,0.)); #462303=DIRECTION('',(0.,0.,1.)); #462304=DIRECTION('',(-1.,0.,0.)); #462305=DIRECTION('',(0.,0.,1.)); #462306=DIRECTION('center_axis',(1.,0.,0.)); #462307=DIRECTION('ref_axis',(0.,1.,0.)); #462308=DIRECTION('',(0.,-1.,0.)); #462309=DIRECTION('',(0.,1.,0.)); #462310=DIRECTION('',(0.,0.,1.)); #462311=DIRECTION('center_axis',(0.,-1.,0.)); #462312=DIRECTION('ref_axis',(1.,0.,0.)); #462313=DIRECTION('',(-1.,0.,0.)); #462314=DIRECTION('',(1.,0.,0.)); #462315=DIRECTION('',(0.,0.,1.)); #462316=DIRECTION('center_axis',(-1.,0.,0.)); #462317=DIRECTION('ref_axis',(0.,-1.,0.)); #462318=DIRECTION('',(0.,1.,0.)); #462319=DIRECTION('',(0.,-1.,0.)); #462320=DIRECTION('center_axis',(0.,0.,1.)); #462321=DIRECTION('ref_axis',(1.,0.,0.)); #462322=DIRECTION('center_axis',(0.,0.,1.)); #462323=DIRECTION('ref_axis',(1.,0.,0.)); #462324=DIRECTION('center_axis',(0.,-1.,0.)); #462325=DIRECTION('ref_axis',(1.,0.,0.)); #462326=DIRECTION('',(-1.,0.,0.)); #462327=DIRECTION('',(0.,0.,1.)); #462328=DIRECTION('',(1.,0.,0.)); #462329=DIRECTION('',(0.,0.,1.)); #462330=DIRECTION('center_axis',(-1.,0.,0.)); #462331=DIRECTION('ref_axis',(0.,-1.,0.)); #462332=DIRECTION('',(0.,1.,0.)); #462333=DIRECTION('',(0.,-1.,0.)); #462334=DIRECTION('',(0.,0.,1.)); #462335=DIRECTION('center_axis',(0.,1.,0.)); #462336=DIRECTION('ref_axis',(-1.,0.,0.)); #462337=DIRECTION('',(1.,0.,0.)); #462338=DIRECTION('',(-1.,0.,0.)); #462339=DIRECTION('',(0.,0.,1.)); #462340=DIRECTION('center_axis',(1.,0.,0.)); #462341=DIRECTION('ref_axis',(0.,1.,0.)); #462342=DIRECTION('',(0.,1.,0.)); #462343=DIRECTION('',(0.,1.,0.)); #462344=DIRECTION('center_axis',(0.,0.,1.)); #462345=DIRECTION('ref_axis',(1.,0.,0.)); #462346=DIRECTION('center_axis',(0.,0.,1.)); #462347=DIRECTION('ref_axis',(1.,0.,0.)); #462348=DIRECTION('',(0.,0.,1.)); #462349=DIRECTION('',(1.,0.,0.)); #462350=DIRECTION('axis',(0.,0.,1.)); #462351=DIRECTION('refdir',(1.,0.,0.)); #462352=DIRECTION('axis',(0.,0.,1.)); #462353=DIRECTION('refdir',(1.,0.,0.)); #462354=DIRECTION('center_axis',(0.,0.,-1.)); #462355=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462356=DIRECTION('center_axis',(0.,0.,1.)); #462357=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #462358=DIRECTION('',(0.,0.,-1.)); #462359=DIRECTION('center_axis',(0.,0.,1.)); #462360=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #462361=DIRECTION('center_axis',(0.,0.,-1.)); #462362=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462363=DIRECTION('center_axis',(0.,0.,1.)); #462364=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #462365=DIRECTION('',(0.,0.,1.)); #462366=DIRECTION('center_axis',(0.,0.,1.)); #462367=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #462368=DIRECTION('center_axis',(0.,0.,1.)); #462369=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #462370=DIRECTION('center_axis',(0.,0.,1.)); #462371=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #462372=DIRECTION('center_axis',(0.,0.,1.)); #462373=DIRECTION('ref_axis',(-0.716996251031681,0.697077023008587,0.)); #462374=DIRECTION('',(0.,0.,1.)); #462375=DIRECTION('center_axis',(0.,0.,1.)); #462376=DIRECTION('ref_axis',(-1.,0.,0.)); #462377=DIRECTION('',(0.,0.,1.)); #462378=DIRECTION('center_axis',(0.,0.,1.)); #462379=DIRECTION('ref_axis',(-1.,0.,0.)); #462380=DIRECTION('',(0.,0.,1.)); #462381=DIRECTION('center_axis',(0.,0.,1.)); #462382=DIRECTION('ref_axis',(-1.,0.,0.)); #462383=DIRECTION('center_axis',(0.,0.,1.)); #462384=DIRECTION('ref_axis',(-0.936617633732484,-0.350353261981908,0.)); #462385=DIRECTION('',(0.,0.,-1.)); #462386=DIRECTION('center_axis',(0.,0.,-1.)); #462387=DIRECTION('ref_axis',(-1.,0.,0.)); #462388=DIRECTION('center_axis',(0.,0.,1.)); #462389=DIRECTION('ref_axis',(-1.,0.,0.)); #462390=DIRECTION('',(0.,0.,-1.)); #462391=DIRECTION('center_axis',(0.,0.,1.)); #462392=DIRECTION('ref_axis',(0.572926515548536,0.819606739712048,0.)); #462393=DIRECTION('',(0.,0.,-1.)); #462394=DIRECTION('center_axis',(0.,0.,1.)); #462395=DIRECTION('ref_axis',(-1.,0.,0.)); #462396=DIRECTION('center_axis',(0.,0.,1.)); #462397=DIRECTION('ref_axis',(-1.,0.,0.)); #462398=DIRECTION('center_axis',(0.,0.,1.)); #462399=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #462400=DIRECTION('',(-1.,-7.105427357601E-17,0.)); #462401=DIRECTION('',(-0.5,0.866025403784439,0.)); #462402=DIRECTION('',(0.5,0.866025403784439,0.)); #462403=DIRECTION('',(1.,3.5527136788005E-16,0.)); #462404=DIRECTION('',(0.5,-0.866025403784438,0.)); #462405=DIRECTION('',(-0.5,-0.866025403784438,0.)); #462406=DIRECTION('center_axis',(0.,-1.,0.)); #462407=DIRECTION('ref_axis',(1.,6.93889390390723E-17,0.)); #462408=DIRECTION('',(0.,0.,-1.)); #462409=DIRECTION('',(0.,0.,-1.)); #462410=DIRECTION('center_axis',(0.866025403784439,-0.5,0.)); #462411=DIRECTION('ref_axis',(0.5,0.866025403784438,0.)); #462412=DIRECTION('',(0.,0.,-1.)); #462413=DIRECTION('center_axis',(0.866025403784439,0.5,0.)); #462414=DIRECTION('ref_axis',(-0.5,0.866025403784439,0.)); #462415=DIRECTION('',(0.,0.,-1.)); #462416=DIRECTION('center_axis',(-2.22044604925031E-16,1.,0.)); #462417=DIRECTION('ref_axis',(-1.,-2.77555756156289E-16,0.)); #462418=DIRECTION('',(0.,0.,-1.)); #462419=DIRECTION('center_axis',(-0.866025403784439,0.5,0.)); #462420=DIRECTION('ref_axis',(-0.5,-0.866025403784439,0.)); #462421=DIRECTION('',(0.,0.,-1.)); #462422=DIRECTION('center_axis',(-0.866025403784439,-0.5,0.)); #462423=DIRECTION('ref_axis',(0.5,-0.866025403784439,0.)); #462424=DIRECTION('center_axis',(0.,0.,1.)); #462425=DIRECTION('ref_axis',(-1.,0.,0.)); #462426=DIRECTION('',(0.,0.,-1.)); #462427=DIRECTION('center_axis',(0.,0.,1.)); #462428=DIRECTION('ref_axis',(-1.,0.,0.)); #462429=DIRECTION('center_axis',(0.,0.,1.)); #462430=DIRECTION('ref_axis',(-1.,0.,0.)); #462431=DIRECTION('',(0.,0.,-1.)); #462432=DIRECTION('center_axis',(0.,0.,1.)); #462433=DIRECTION('ref_axis',(-1.,0.,0.)); #462434=DIRECTION('',(0.,0.,-1.)); #462435=DIRECTION('center_axis',(0.,0.,1.)); #462436=DIRECTION('ref_axis',(-1.,0.,0.)); #462437=DIRECTION('',(0.,0.,-1.)); #462438=DIRECTION('center_axis',(0.,0.,1.)); #462439=DIRECTION('ref_axis',(-1.,0.,0.)); #462440=DIRECTION('',(0.,0.,-1.)); #462441=DIRECTION('center_axis',(0.,0.,1.)); #462442=DIRECTION('ref_axis',(-1.,0.,0.)); #462443=DIRECTION('',(0.,0.,-1.)); #462444=DIRECTION('center_axis',(0.,0.,1.)); #462445=DIRECTION('ref_axis',(-1.,0.,0.)); #462446=DIRECTION('',(0.,0.,-1.)); #462447=DIRECTION('center_axis',(0.,0.,1.)); #462448=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #462449=DIRECTION('',(0.,0.,1.)); #462450=DIRECTION('center_axis',(0.,0.,1.)); #462451=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #462452=DIRECTION('center_axis',(0.,0.,1.)); #462453=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #462454=DIRECTION('',(0.,0.,1.)); #462455=DIRECTION('center_axis',(0.,0.,1.)); #462456=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #462457=DIRECTION('center_axis',(0.,0.,1.)); #462458=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #462459=DIRECTION('',(0.,0.,1.)); #462460=DIRECTION('center_axis',(0.,0.,1.)); #462461=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #462462=DIRECTION('',(0.,0.,1.)); #462463=DIRECTION('center_axis',(0.,0.,1.)); #462464=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #462465=DIRECTION('',(0.,0.,1.)); #462466=DIRECTION('center_axis',(0.,0.,1.)); #462467=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #462468=DIRECTION('',(0.,0.,1.)); #462469=DIRECTION('center_axis',(0.,0.,1.)); #462470=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #462471=DIRECTION('',(0.,0.,1.)); #462472=DIRECTION('center_axis',(0.,1.,0.)); #462473=DIRECTION('ref_axis',(0.,0.,1.)); #462474=DIRECTION('',(-1.,0.,0.)); #462475=DIRECTION('',(-7.137153981444E-15,0.,-1.)); #462476=DIRECTION('',(-1.,0.,0.)); #462477=DIRECTION('',(0.,0.,-1.)); #462478=DIRECTION('center_axis',(0.,1.,0.)); #462479=DIRECTION('ref_axis',(0.,0.,1.)); #462480=DIRECTION('',(-1.,0.,0.)); #462481=DIRECTION('',(7.13714620256007E-15,0.,1.)); #462482=DIRECTION('',(-1.,0.,0.)); #462483=DIRECTION('',(0.,0.,1.)); #462484=DIRECTION('center_axis',(-1.,0.,0.)); #462485=DIRECTION('ref_axis',(0.,0.,1.)); #462486=DIRECTION('',(-7.13715398144343E-15,-2.5469456057311E-29,-1.)); #462487=DIRECTION('',(3.56857699072173E-15,-1.,1.28102656504955E-15)); #462488=DIRECTION('',(7.13715398144343E-15,2.5469456057311E-29,1.)); #462489=DIRECTION('',(3.56857699072173E-15,-1.,1.28102656504955E-15)); #462490=DIRECTION('center_axis',(-1.,0.,0.)); #462491=DIRECTION('ref_axis',(0.,0.,1.)); #462492=DIRECTION('',(3.56857699072171E-15,-1.,-1.28102656504955E-15)); #462493=DIRECTION('',(-7.13715398144343E-15,0.,-1.)); #462494=DIRECTION('',(3.56857699072171E-15,-1.,-1.28102656504955E-15)); #462495=DIRECTION('',(-7.13715398144343E-15,-2.54694557473962E-29,-1.)); #462496=DIRECTION('center_axis',(1.,0.,0.)); #462497=DIRECTION('ref_axis',(0.,0.,-1.)); #462498=DIRECTION('',(-3.56857311546411E-15,1.,-1.28102656504955E-15)); #462499=DIRECTION('',(-7.13714620256007E-15,0.,-1.)); #462500=DIRECTION('',(-3.56857310128028E-15,1.,-1.28102656504955E-15)); #462501=DIRECTION('',(-7.13714620256054E-15,-2.54694279583621E-29,-1.)); #462502=DIRECTION('center_axis',(1.,0.,0.)); #462503=DIRECTION('ref_axis',(0.,0.,-1.)); #462504=DIRECTION('',(-7.13714620256054E-15,-2.54694279583621E-29,-1.)); #462505=DIRECTION('',(-3.56857310128026E-15,1.,1.28102656504955E-15)); #462506=DIRECTION('',(7.13714620256054E-15,2.54694279583621E-29,1.)); #462507=DIRECTION('',(-3.56857310128026E-15,1.,1.28102656504955E-15)); #462508=DIRECTION('center_axis',(0.866025403784439,-0.5,-8.05588776216133E-17)); #462509=DIRECTION('ref_axis',(-6.5209077923577E-18,1.49823211635391E-16, -1.)); #462510=DIRECTION('',(-6.5209077923577E-18,1.49823211635391E-16,-1.)); #462511=DIRECTION('',(-0.5,-0.866025403784439,0.)); #462512=DIRECTION('',(-6.5209077923577E-18,1.49823211635391E-16,-1.)); #462513=DIRECTION('',(0.5,0.866025403784439,0.)); #462514=DIRECTION('',(0.5,0.866025403784439,0.)); #462515=DIRECTION('',(0.5,0.866025403784439,0.)); #462516=DIRECTION('',(0.5,0.866025403784439,0.)); #462517=DIRECTION('center_axis',(-0.866025403784439,0.5,-8.05588776216158E-17)); #462518=DIRECTION('ref_axis',(-1.33011161249002E-16,-6.92643340137767E-17, 1.)); #462519=DIRECTION('',(-1.33011161249002E-16,-6.92643340137767E-17,1.)); #462520=DIRECTION('',(-1.33011161249002E-16,-6.92643340137767E-17,1.)); #462521=DIRECTION('',(0.5,0.866025403784439,0.)); #462522=DIRECTION('center_axis',(-0.5,-0.866025403784439,-1.26490253456642E-16)); #462523=DIRECTION('ref_axis',(6.32451267283211E-17,1.09543772824585E-16, -1.)); #462524=DIRECTION('',(0.866025403784439,-0.5,0.)); #462525=DIRECTION('',(0.866025403784438,-0.5,0.)); #462526=DIRECTION('center_axis',(0.,0.,-1.)); #462527=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462528=DIRECTION('',(0.0434828493834553,-0.999054173610969,0.)); #462529=DIRECTION('center_axis',(0.,0.,1.)); #462530=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462531=DIRECTION('center_axis',(0.,0.,1.)); #462532=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462533=DIRECTION('',(-0.886947718795699,-0.461869834610474,0.)); #462534=DIRECTION('',(-0.5,-0.866025403784438,0.)); #462535=DIRECTION('',(-0.866025403784439,0.5,0.)); #462536=DIRECTION('',(0.5,0.866025403784439,0.)); #462537=DIRECTION('',(-0.0434828493834488,0.999054173610969,0.)); #462538=DIRECTION('center_axis',(0.,0.,1.)); #462539=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462540=DIRECTION('',(0.886947718795702,0.461869834610467,0.)); #462541=DIRECTION('center_axis',(-0.866025403784439,0.5,1.49609344154423E-16)); #462542=DIRECTION('ref_axis',(-1.21102573286625E-17,2.78243107322868E-16, -1.)); #462543=DIRECTION('',(-1.21102573286625E-17,2.78243107322868E-16,-1.)); #462544=DIRECTION('',(-1.21102573286625E-17,2.78243107322868E-16,-1.)); #462545=DIRECTION('',(-0.5,-0.866025403784439,0.)); #462546=DIRECTION('',(-0.5,-0.866025403784439,0.)); #462547=DIRECTION('',(-0.5,-0.866025403784439,0.)); #462548=DIRECTION('',(-0.5,-0.866025403784439,0.)); #462549=DIRECTION('center_axis',(0.866025403784439,-0.5,1.49609344154427E-16)); #462550=DIRECTION('ref_axis',(-2.47020728033859E-16,-1.28633763168444E-16, 1.)); #462551=DIRECTION('',(-2.47020728033859E-16,-1.28633763168444E-16,1.)); #462552=DIRECTION('',(-2.47020728033859E-16,-1.28633763168444E-16,1.)); #462553=DIRECTION('center_axis',(0.5,0.866025403784439,2.34910470705193E-16)); #462554=DIRECTION('ref_axis',(-1.17455235352596E-16,-2.03438435245657E-16, 1.)); #462555=DIRECTION('',(-0.866025403784438,0.5,0.)); #462556=DIRECTION('center_axis',(-0.537184339000487,0.843464869412242,0.)); #462557=DIRECTION('ref_axis',(-0.843464869412241,-0.537184339000487,0.)); #462558=DIRECTION('',(-0.843464869412242,-0.537184339000487,0.)); #462559=DIRECTION('',(0.,0.,1.)); #462560=DIRECTION('',(0.,0.,1.)); #462561=DIRECTION('center_axis',(0.793270134887063,0.608869849061572,0.)); #462562=DIRECTION('ref_axis',(-0.608869849061572,0.793270134887063,0.)); #462563=DIRECTION('',(-0.608869849061572,0.793270134887063,0.)); #462564=DIRECTION('',(0.,0.,1.)); #462565=DIRECTION('center_axis',(-0.793270134887056,0.608869849061581,0.)); #462566=DIRECTION('ref_axis',(-0.608869849061581,-0.793270134887056,0.)); #462567=DIRECTION('',(-0.608869849061581,-0.793270134887056,0.)); #462568=DIRECTION('',(0.,0.,1.)); #462569=DIRECTION('',(0.,0.,1.)); #462570=DIRECTION('center_axis',(0.537184339000497,0.843464869412235,0.)); #462571=DIRECTION('ref_axis',(-0.843464869412235,0.537184339000497,0.)); #462572=DIRECTION('',(-0.843464869412235,0.537184339000497,0.)); #462573=DIRECTION('',(0.,0.,1.)); #462574=DIRECTION('center_axis',(-0.953675845085929,0.300836138952153,0.)); #462575=DIRECTION('ref_axis',(-0.300836138952153,-0.953675845085929,0.)); #462576=DIRECTION('',(-0.300836138952153,-0.953675845085929,0.)); #462577=DIRECTION('',(0.,0.,1.)); #462578=DIRECTION('',(0.,0.,1.)); #462579=DIRECTION('center_axis',(0.216306183833984,0.976325578296082,0.)); #462580=DIRECTION('ref_axis',(-0.976325578296082,0.216306183833984,0.)); #462581=DIRECTION('',(-0.976325578296082,0.216306183833984,0.)); #462582=DIRECTION('',(0.,0.,1.)); #462583=DIRECTION('center_axis',(-0.999054173610969,-0.0434828493834553, 0.)); #462584=DIRECTION('ref_axis',(0.0434828493834553,-0.999054173610969,0.)); #462585=DIRECTION('',(0.,0.,1.)); #462586=DIRECTION('center_axis',(0.461869834610467,-0.886947718795702,0.)); #462587=DIRECTION('ref_axis',(0.886947718795702,0.461869834610467,0.)); #462588=DIRECTION('',(0.,0.,1.)); #462589=DIRECTION('center_axis',(-0.216306183833972,0.976325578296085,0.)); #462590=DIRECTION('ref_axis',(-0.976325578296085,-0.216306183833972,0.)); #462591=DIRECTION('',(-0.976325578296085,-0.216306183833972,0.)); #462592=DIRECTION('',(0.,0.,1.)); #462593=DIRECTION('',(0.,0.,1.)); #462594=DIRECTION('center_axis',(0.953675845085932,0.300836138952143,0.)); #462595=DIRECTION('ref_axis',(-0.300836138952142,0.953675845085932,0.)); #462596=DIRECTION('',(-0.300836138952143,0.953675845085932,0.)); #462597=DIRECTION('',(0.,0.,1.)); #462598=DIRECTION('center_axis',(0.130661689442201,0.991427013406489,0.)); #462599=DIRECTION('ref_axis',(-0.991427013406489,0.130661689442201,0.)); #462600=DIRECTION('',(-0.991427013406489,0.130661689442201,0.)); #462601=DIRECTION('',(0.,0.,1.)); #462602=DIRECTION('',(0.,0.,1.)); #462603=DIRECTION('center_axis',(0.999054173610968,-0.043482849383467,0.)); #462604=DIRECTION('ref_axis',(0.0434828493834669,0.999054173610968,0.)); #462605=DIRECTION('',(0.043482849383467,0.999054173610968,0.)); #462606=DIRECTION('',(0.,0.,1.)); #462607=DIRECTION('center_axis',(0.461869834610483,0.886947718795694,0.)); #462608=DIRECTION('ref_axis',(-0.886947718795694,0.461869834610483,0.)); #462609=DIRECTION('',(-0.886947718795694,0.461869834610483,0.)); #462610=DIRECTION('',(0.,0.,1.)); #462611=DIRECTION('',(0.,0.,1.)); #462612=DIRECTION('center_axis',(0.923931824329249,-0.382557164344921,0.)); #462613=DIRECTION('ref_axis',(0.38255716434492,0.923931824329249,0.)); #462614=DIRECTION('',(0.38255716434492,0.923931824329249,0.)); #462615=DIRECTION('',(0.,0.,1.)); #462616=DIRECTION('center_axis',(0.737369661251958,0.675489439343927,0.)); #462617=DIRECTION('ref_axis',(-0.675489439343927,0.737369661251958,0.)); #462618=DIRECTION('',(-0.675489439343927,0.737369661251958,0.)); #462619=DIRECTION('',(0.,0.,1.)); #462620=DIRECTION('',(0.,0.,1.)); #462621=DIRECTION('center_axis',(0.737369661251945,-0.675489439343941,0.)); #462622=DIRECTION('ref_axis',(0.675489439343941,0.737369661251945,0.)); #462623=DIRECTION('',(0.675489439343941,0.737369661251945,0.)); #462624=DIRECTION('',(0.,0.,1.)); #462625=DIRECTION('center_axis',(0.,0.,-1.)); #462626=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462627=DIRECTION('center_axis',(0.,0.,1.)); #462628=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #462629=DIRECTION('center_axis',(0.,0.,-1.)); #462630=DIRECTION('ref_axis',(0.866025403784483,-0.499999999999924,0.)); #462631=DIRECTION('center_axis',(0.,0.,1.)); #462632=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #462633=DIRECTION('center_axis',(0.,0.,1.)); #462634=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #462635=DIRECTION('center_axis',(0.,0.,1.)); #462636=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #462637=DIRECTION('center_axis',(0.,0.,1.)); #462638=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #462639=DIRECTION('center_axis',(0.,0.,1.)); #462640=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #462641=DIRECTION('center_axis',(0.,0.,1.)); #462642=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #462643=DIRECTION('center_axis',(0.,0.,1.)); #462644=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #462645=DIRECTION('center_axis',(0.,0.,1.)); #462646=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #462647=DIRECTION('center_axis',(0.,0.,-1.)); #462648=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462649=DIRECTION('center_axis',(0.,0.,-1.)); #462650=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #462651=DIRECTION('center_axis',(0.,0.,-1.)); #462652=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462653=DIRECTION('center_axis',(0.,0.,-1.)); #462654=DIRECTION('ref_axis',(0.866025403784471,-0.499999999999943,0.)); #462655=DIRECTION('center_axis',(0.,0.,-1.)); #462656=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462657=DIRECTION('center_axis',(0.,0.,-1.)); #462658=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #462659=DIRECTION('center_axis',(0.,0.,-1.)); #462660=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462661=DIRECTION('center_axis',(0.,0.,-1.)); #462662=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #462663=DIRECTION('center_axis',(0.,0.,-1.)); #462664=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462665=DIRECTION('center_axis',(0.,0.,-1.)); #462666=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #462667=DIRECTION('center_axis',(0.,0.,-1.)); #462668=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462669=DIRECTION('center_axis',(0.,0.,-1.)); #462670=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #462671=DIRECTION('center_axis',(0.,0.,-1.)); #462672=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462673=DIRECTION('center_axis',(0.,0.,-1.)); #462674=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #462675=DIRECTION('center_axis',(0.,0.,-1.)); #462676=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462677=DIRECTION('center_axis',(0.,0.,1.)); #462678=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462679=DIRECTION('center_axis',(0.,0.,-1.)); #462680=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462681=DIRECTION('center_axis',(0.,0.,1.)); #462682=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462683=DIRECTION('center_axis',(0.,0.,-1.)); #462684=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462685=DIRECTION('center_axis',(0.,0.,1.)); #462686=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462687=DIRECTION('center_axis',(0.,0.,-1.)); #462688=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462689=DIRECTION('center_axis',(0.,0.,1.)); #462690=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462691=DIRECTION('center_axis',(0.,0.,-1.)); #462692=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462693=DIRECTION('center_axis',(0.,0.,1.)); #462694=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462695=DIRECTION('center_axis',(0.,0.,-1.)); #462696=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462697=DIRECTION('center_axis',(0.,0.,1.)); #462698=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462699=DIRECTION('center_axis',(0.216306183833979,-0.976325578296083,0.)); #462700=DIRECTION('ref_axis',(0.976325578296083,0.216306183833979,0.)); #462701=DIRECTION('',(0.976325578296083,0.216306183833979,0.)); #462702=DIRECTION('',(0.,0.,1.)); #462703=DIRECTION('',(0.,0.,1.)); #462704=DIRECTION('center_axis',(-0.95367584508593,-0.300836138952149,0.)); #462705=DIRECTION('ref_axis',(0.300836138952149,-0.95367584508593,0.)); #462706=DIRECTION('',(0.300836138952149,-0.95367584508593,0.)); #462707=DIRECTION('',(0.,0.,1.)); #462708=DIRECTION('center_axis',(0.537184339000482,-0.843464869412245,0.)); #462709=DIRECTION('ref_axis',(0.843464869412245,0.537184339000482,0.)); #462710=DIRECTION('',(0.843464869412245,0.537184339000482,0.)); #462711=DIRECTION('',(0.,0.,1.)); #462712=DIRECTION('',(0.,0.,1.)); #462713=DIRECTION('center_axis',(-0.793270134887066,-0.608869849061567, 0.)); #462714=DIRECTION('ref_axis',(0.608869849061567,-0.793270134887066,0.)); #462715=DIRECTION('',(0.608869849061567,-0.793270134887066,0.)); #462716=DIRECTION('',(0.,0.,1.)); #462717=DIRECTION('center_axis',(0.793270134887051,-0.608869849061587,0.)); #462718=DIRECTION('ref_axis',(0.608869849061587,0.793270134887051,0.)); #462719=DIRECTION('',(0.608869849061587,0.793270134887051,0.)); #462720=DIRECTION('',(0.,0.,1.)); #462721=DIRECTION('',(0.,0.,1.)); #462722=DIRECTION('center_axis',(-0.537184339000503,-0.843464869412232, 0.)); #462723=DIRECTION('ref_axis',(0.843464869412231,-0.537184339000503,0.)); #462724=DIRECTION('',(0.843464869412231,-0.537184339000503,0.)); #462725=DIRECTION('',(0.,0.,1.)); #462726=DIRECTION('center_axis',(0.953675845085927,-0.300836138952159,0.)); #462727=DIRECTION('ref_axis',(0.300836138952159,0.953675845085927,0.)); #462728=DIRECTION('',(0.300836138952159,0.953675845085927,0.)); #462729=DIRECTION('',(0.,0.,1.)); #462730=DIRECTION('',(0.,0.,1.)); #462731=DIRECTION('center_axis',(-0.216306183833991,-0.97632557829608,0.)); #462732=DIRECTION('ref_axis',(0.976325578296081,-0.216306183833991,0.)); #462733=DIRECTION('',(0.97632557829608,-0.216306183833991,0.)); #462734=DIRECTION('',(0.,0.,1.)); #462735=DIRECTION('center_axis',(0.,0.,-1.)); #462736=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462737=DIRECTION('center_axis',(0.,0.,1.)); #462738=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #462739=DIRECTION('center_axis',(0.,0.,-1.)); #462740=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #462741=DIRECTION('center_axis',(0.,0.,-1.)); #462742=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462743=DIRECTION('center_axis',(0.,0.,1.)); #462744=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #462745=DIRECTION('center_axis',(0.,0.,-1.)); #462746=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #462747=DIRECTION('center_axis',(0.,0.,-1.)); #462748=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462749=DIRECTION('center_axis',(0.,0.,1.)); #462750=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #462751=DIRECTION('center_axis',(0.,0.,-1.)); #462752=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #462753=DIRECTION('center_axis',(0.,0.,-1.)); #462754=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462755=DIRECTION('center_axis',(0.,0.,-1.)); #462756=DIRECTION('ref_axis',(0.866025403784323,-0.5000000000002,0.)); #462757=DIRECTION('center_axis',(0.,0.,1.)); #462758=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #462759=DIRECTION('center_axis',(0.,0.,-1.)); #462760=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462761=DIRECTION('center_axis',(0.,0.,1.)); #462762=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #462763=DIRECTION('center_axis',(0.,0.,-1.)); #462764=DIRECTION('ref_axis',(0.86602540378448,-0.499999999999929,0.)); #462765=DIRECTION('center_axis',(0.,0.,-1.)); #462766=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462767=DIRECTION('center_axis',(0.,0.,1.)); #462768=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #462769=DIRECTION('center_axis',(0.,0.,-1.)); #462770=DIRECTION('ref_axis',(0.866025403784351,-0.500000000000152,0.)); #462771=DIRECTION('center_axis',(0.,0.,-1.)); #462772=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462773=DIRECTION('center_axis',(0.,0.,1.)); #462774=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #462775=DIRECTION('center_axis',(0.,0.,-1.)); #462776=DIRECTION('ref_axis',(0.866025403784368,-0.500000000000123,0.)); #462777=DIRECTION('center_axis',(0.,0.,1.)); #462778=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #462779=DIRECTION('center_axis',(0.,0.,1.)); #462780=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #462781=DIRECTION('center_axis',(0.,0.,-1.)); #462782=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462783=DIRECTION('center_axis',(0.,0.,-1.)); #462784=DIRECTION('ref_axis',(0.86602540378445,-0.49999999999998,0.)); #462785=DIRECTION('center_axis',(0.999054173610969,0.0434828493834488,0.)); #462786=DIRECTION('ref_axis',(-0.0434828493834489,0.999054173610969,0.)); #462787=DIRECTION('',(0.,0.,1.)); #462788=DIRECTION('center_axis',(-0.461869834610474,0.886947718795699,0.)); #462789=DIRECTION('ref_axis',(-0.886947718795699,-0.461869834610474,0.)); #462790=DIRECTION('',(0.,0.,1.)); #462791=DIRECTION('center_axis',(-0.73736966125195,0.675489439343936,0.)); #462792=DIRECTION('ref_axis',(-0.675489439343936,-0.73736966125195,0.)); #462793=DIRECTION('',(-0.675489439343936,-0.73736966125195,0.)); #462794=DIRECTION('',(0.,0.,1.)); #462795=DIRECTION('',(0.,0.,1.)); #462796=DIRECTION('center_axis',(-0.737369661251953,-0.675489439343932, 0.)); #462797=DIRECTION('ref_axis',(0.675489439343932,-0.737369661251953,0.)); #462798=DIRECTION('',(0.675489439343932,-0.737369661251953,0.)); #462799=DIRECTION('',(0.,0.,1.)); #462800=DIRECTION('center_axis',(-0.923931824329251,0.382557164344914,0.)); #462801=DIRECTION('ref_axis',(-0.382557164344914,-0.923931824329251,0.)); #462802=DIRECTION('',(-0.382557164344914,-0.923931824329251,0.)); #462803=DIRECTION('',(0.,0.,1.)); #462804=DIRECTION('',(0.,0.,1.)); #462805=DIRECTION('center_axis',(-0.461869834610477,-0.886947718795697, 0.)); #462806=DIRECTION('ref_axis',(0.886947718795697,-0.461869834610477,0.)); #462807=DIRECTION('',(0.886947718795697,-0.461869834610477,0.)); #462808=DIRECTION('',(0.,0.,1.)); #462809=DIRECTION('center_axis',(-0.999054173610969,0.0434828493834601, 0.)); #462810=DIRECTION('ref_axis',(-0.0434828493834601,-0.999054173610969,0.)); #462811=DIRECTION('',(-0.0434828493834601,-0.999054173610969,0.)); #462812=DIRECTION('',(0.,0.,1.)); #462813=DIRECTION('',(0.,0.,1.)); #462814=DIRECTION('center_axis',(-0.130661689442194,-0.99142701340649,0.)); #462815=DIRECTION('ref_axis',(0.99142701340649,-0.130661689442194,0.)); #462816=DIRECTION('',(0.99142701340649,-0.130661689442194,0.)); #462817=DIRECTION('',(0.,0.,1.)); #462818=DIRECTION('center_axis',(0.,0.,-1.)); #462819=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462820=DIRECTION('center_axis',(0.,0.,1.)); #462821=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462822=DIRECTION('center_axis',(0.,0.,-1.)); #462823=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462824=DIRECTION('center_axis',(0.,0.,1.)); #462825=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462826=DIRECTION('center_axis',(0.,0.,-1.)); #462827=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462828=DIRECTION('center_axis',(0.,0.,1.)); #462829=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462830=DIRECTION('center_axis',(0.,0.,-1.)); #462831=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462832=DIRECTION('center_axis',(0.,0.,1.)); #462833=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462834=DIRECTION('center_axis',(0.,0.,-1.)); #462835=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462836=DIRECTION('center_axis',(0.,0.,1.)); #462837=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462838=DIRECTION('center_axis',(0.,0.,-1.)); #462839=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462840=DIRECTION('center_axis',(0.,0.,1.)); #462841=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462842=DIRECTION('center_axis',(0.,0.,-1.)); #462843=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462844=DIRECTION('center_axis',(0.,0.,1.)); #462845=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462846=DIRECTION('center_axis',(0.,0.,-1.)); #462847=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462848=DIRECTION('center_axis',(0.,0.,1.)); #462849=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462850=DIRECTION('center_axis',(0.,0.,-1.)); #462851=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462852=DIRECTION('center_axis',(0.,0.,-1.)); #462853=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #462854=DIRECTION('',(0.,0.,1.)); #462855=DIRECTION('center_axis',(0.,0.,1.)); #462856=DIRECTION('ref_axis',(1.,3.572543183515E-15,0.)); #462857=DIRECTION('',(1.,3.572543183515E-15,0.)); #462858=DIRECTION('',(-3.53252780562548E-15,1.,0.)); #462859=DIRECTION('',(1.,3.491481338843E-15,0.)); #462860=DIRECTION('',(-3.53252780562548E-15,1.,0.)); #462861=DIRECTION('center_axis',(0.,0.,-1.)); #462862=DIRECTION('ref_axis',(-1.,-3.491481338843E-15,0.)); #462863=DIRECTION('',(-1.,-3.572543183515E-15,0.)); #462864=DIRECTION('',(3.491481338843E-15,-1.,0.)); #462865=DIRECTION('',(1.,3.491481338843E-15,0.)); #462866=DIRECTION('',(-3.53252780562548E-15,1.,0.)); #462867=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #462868=DIRECTION('ref_axis',(0.,0.,-1.)); #462869=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #462870=DIRECTION('ref_axis',(0.,0.,1.)); #462871=DIRECTION('',(1.,3.491481338843E-15,0.)); #462872=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #462873=DIRECTION('ref_axis',(0.,0.,1.)); #462874=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #462875=DIRECTION('ref_axis',(0.,0.,-1.)); #462876=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #462877=DIRECTION('ref_axis',(0.,0.,-1.)); #462878=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #462879=DIRECTION('ref_axis',(0.,0.,-1.)); #462880=DIRECTION('',(1.,3.491481338843E-15,0.)); #462881=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #462882=DIRECTION('ref_axis',(-1.203328737303E-30,3.446470482073E-16,1.)); #462883=DIRECTION('',(1.203328737303E-30,-3.446470482073E-16,-1.)); #462884=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #462885=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #462886=DIRECTION('',(-1.,-3.491481338843E-15,-2.77403219247928E-16)); #462887=DIRECTION('',(2.77403219247929E-16,-3.44647048207299E-16,-1.)); #462888=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #462889=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186547)); #462890=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #462891=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #462892=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #462893=DIRECTION('',(-1.203328737303E-30,3.446470482073E-16,1.)); #462894=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #462895=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #462896=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186548)); #462897=DIRECTION('',(0.,0.,1.)); #462898=DIRECTION('center_axis',(1.,3.53252780562548E-15,0.)); #462899=DIRECTION('ref_axis',(0.,0.,-1.)); #462900=DIRECTION('',(0.,0.,1.)); #462901=DIRECTION('',(3.491481338843E-15,-1.,9.68548163339114E-31)); #462902=DIRECTION('',(0.,0.,-1.)); #462903=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #462904=DIRECTION('ref_axis',(0.,0.,1.)); #462905=DIRECTION('',(3.491481338843E-15,-1.,0.)); #462906=DIRECTION('',(3.491481338843E-15,-1.,0.)); #462907=DIRECTION('',(-2.77403219247928E-16,-9.68548163339114E-31,1.)); #462908=DIRECTION('center_axis',(0.,0.,1.)); #462909=DIRECTION('ref_axis',(1.,0.,0.)); #462910=DIRECTION('',(3.491481338843E-15,-1.,0.)); #462911=DIRECTION('',(-3.491481338843E-15,1.,0.)); #462912=DIRECTION('',(1.,3.491481338843E-15,0.)); #462913=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #462914=DIRECTION('ref_axis',(0.,0.,-1.)); #462915=DIRECTION('',(-3.491481338843E-15,1.,0.)); #462916=DIRECTION('',(0.,0.,-1.)); #462917=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #462918=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186548)); #462919=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #462920=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186548)); #462921=DIRECTION('',(-3.491481338843E-15,1.,0.)); #462922=DIRECTION('center_axis',(-2.77403219247928E-16,0.,1.)); #462923=DIRECTION('ref_axis',(1.,0.,2.77403219247928E-16)); #462924=DIRECTION('',(-3.491481338843E-15,1.,-9.68548163339114E-31)); #462925=DIRECTION('',(1.,3.491481338843E-15,2.77403219247928E-16)); #462926=DIRECTION('center_axis',(-3.491481338843E-15,1.,-9.68548163339114E-31)); #462927=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #462928=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #462929=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #462930=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #462931=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186547)); #462932=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #462933=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186547)); #462934=DIRECTION('',(-3.491481338843E-15,1.,0.)); #462935=DIRECTION('center_axis',(0.,0.,1.)); #462936=DIRECTION('ref_axis',(1.,0.,0.)); #462937=DIRECTION('',(3.491481338843E-15,-1.,0.)); #462938=DIRECTION('',(1.,3.491481338843E-15,0.)); #462939=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #462940=DIRECTION('ref_axis',(0.,0.,-1.)); #462941=DIRECTION('',(0.,0.,1.)); #462942=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #462943=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #462944=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #462945=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #462946=DIRECTION('center_axis',(-1.,-3.53252780562548E-15,0.)); #462947=DIRECTION('ref_axis',(0.,0.,1.)); #462948=DIRECTION('',(0.,0.,1.)); #462949=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #462950=DIRECTION('ref_axis',(0.,0.,-1.)); #462951=DIRECTION('',(1.,3.491481338843E-15,0.)); #462952=DIRECTION('',(0.,0.,1.)); #462953=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #462954=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #462955=DIRECTION('',(1.,3.491481338843E-15,-7.9044106289408E-31)); #462956=DIRECTION('',(0.,0.,-1.)); #462957=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #462958=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #462959=DIRECTION('',(1.,3.491481338843E-15,0.)); #462960=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #462961=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186548)); #462962=DIRECTION('',(-5.54806413473759E-16,-1.93709623931404E-30,1.)); #462963=DIRECTION('',(1.,3.491481338843E-15,5.54806413473759E-16)); #462964=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #462965=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #462966=DIRECTION('',(0.,0.,1.)); #462967=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #462968=DIRECTION('ref_axis',(0.,0.,-1.)); #462969=DIRECTION('',(3.491481338843E-15,-1.,0.)); #462970=DIRECTION('',(1.203328737303E-30,-3.446470482073E-16,-1.)); #462971=DIRECTION('',(-3.491481338843E-15,1.,-2.26391318235146E-16)); #462972=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #462973=DIRECTION('ref_axis',(-1.203328737303E-30,3.446470482073E-16,1.)); #462974=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #462975=DIRECTION('',(1.203328737303E-30,-3.446470482073E-16,-1.)); #462976=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #462977=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #462978=DIRECTION('',(-1.,-3.491481338843E-15,-5.54806413473759E-16)); #462979=DIRECTION('',(5.5480641347376E-16,-3.44647048207298E-16,-1.)); #462980=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #462981=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186548)); #462982=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #462983=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #462984=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #462985=DIRECTION('',(-1.203328737303E-30,3.446470482073E-16,1.)); #462986=DIRECTION('',(-1.,-3.491481338843E-15,7.9044106289408E-31)); #462987=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #462988=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #462989=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #462990=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #462991=DIRECTION('',(-3.491481338843E-15,1.,0.)); #462992=DIRECTION('',(3.491481338843E-15,-1.,0.)); #462993=DIRECTION('center_axis',(0.,0.,1.)); #462994=DIRECTION('ref_axis',(1.,0.,0.)); #462995=DIRECTION('',(-3.491481338843E-15,1.,0.)); #462996=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #462997=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186548)); #462998=DIRECTION('',(3.491481338843E-15,-1.,0.)); #462999=DIRECTION('center_axis',(-3.491481338843E-15,1.,-1.93709623931404E-30)); #463000=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #463001=DIRECTION('',(3.491481338843E-15,-1.,1.93709623931404E-30)); #463002=DIRECTION('',(-3.491481338843E-15,1.,-1.93709623931404E-30)); #463003=DIRECTION('center_axis',(-5.54806413473759E-16,0.,1.)); #463004=DIRECTION('ref_axis',(1.,0.,5.54806413473759E-16)); #463005=DIRECTION('',(3.491481338843E-15,-1.,0.)); #463006=DIRECTION('center_axis',(3.491481338843E-15,-1.,2.26391318235146E-16)); #463007=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #463008=DIRECTION('',(3.491481338843E-15,-1.,2.26391318235146E-16)); #463009=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #463010=DIRECTION('ref_axis',(0.,0.,-1.)); #463011=DIRECTION('',(-3.491481338843E-15,1.,-2.26391318235146E-16)); #463012=DIRECTION('center_axis',(0.,-2.26391318235146E-16,-1.)); #463013=DIRECTION('ref_axis',(-1.,0.,0.)); #463014=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #463015=DIRECTION('ref_axis',(0.,0.,1.)); #463016=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #463017=DIRECTION('ref_axis',(0.,0.,-1.)); #463018=DIRECTION('',(-3.491481338843E-15,1.,0.)); #463019=DIRECTION('center_axis',(0.,0.,-1.)); #463020=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #463021=DIRECTION('',(-3.491481338843E-15,1.,0.)); #463022=DIRECTION('',(1.,3.491481338843E-15,0.)); #463023=DIRECTION('',(-3.6334571715005E-15,1.,0.)); #463024=DIRECTION('',(1.,3.572543183515E-15,0.)); #463025=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #463026=DIRECTION('ref_axis',(0.,0.,-1.)); #463027=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #463028=DIRECTION('ref_axis',(0.,0.,-1.)); #463029=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #463030=DIRECTION('ref_axis',(0.,0.,-1.)); #463031=DIRECTION('',(1.,3.491481338843E-15,0.)); #463032=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #463033=DIRECTION('ref_axis',(0.,0.,-1.)); #463034=DIRECTION('',(1.,3.491481338843E-15,0.)); #463035=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #463036=DIRECTION('ref_axis',(0.,0.,1.)); #463037=DIRECTION('',(1.,3.491481338843E-15,0.)); #463038=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #463039=DIRECTION('ref_axis',(0.,0.,1.)); #463040=DIRECTION('center_axis',(0.,0.,1.)); #463041=DIRECTION('ref_axis',(-3.491481338843E-15,1.,0.)); #463042=DIRECTION('',(3.491481338843E-15,-1.,0.)); #463043=DIRECTION('',(-3.6334571715005E-15,1.,0.)); #463044=DIRECTION('',(-1.,-3.572543183515E-15,0.)); #463045=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #463046=DIRECTION('ref_axis',(-1.203328737303E-30,3.446470482073E-16,1.)); #463047=DIRECTION('',(1.203328737303E-30,-3.446470482073E-16,-1.)); #463048=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #463049=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #463050=DIRECTION('',(-1.,-3.491481338843E-15,-5.54806413473759E-16)); #463051=DIRECTION('',(5.5480641347376E-16,-3.44647048207298E-16,-1.)); #463052=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #463053=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186548)); #463054=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #463055=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #463056=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #463057=DIRECTION('',(-1.203328737303E-30,3.446470482073E-16,1.)); #463058=DIRECTION('',(-1.,-3.491481338843E-15,7.9044106289408E-31)); #463059=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #463060=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #463061=DIRECTION('',(0.,0.,1.)); #463062=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #463063=DIRECTION('ref_axis',(0.,0.,-1.)); #463064=DIRECTION('',(0.,0.,1.)); #463065=DIRECTION('',(3.491481338843E-15,-1.,1.93709623931404E-30)); #463066=DIRECTION('',(0.,0.,-1.)); #463067=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #463068=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #463069=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #463070=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #463071=DIRECTION('',(-3.491481338843E-15,1.,0.)); #463072=DIRECTION('',(3.491481338843E-15,-1.,0.)); #463073=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #463074=DIRECTION('ref_axis',(0.,0.,-1.)); #463075=DIRECTION('',(0.,0.,1.)); #463076=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #463077=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #463078=DIRECTION('',(1.,3.491481338843E-15,-7.9044106289408E-31)); #463079=DIRECTION('',(0.,0.,-1.)); #463080=DIRECTION('',(1.,3.491481338843E-15,0.)); #463081=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #463082=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186548)); #463083=DIRECTION('',(-5.54806413473759E-16,-1.93709623931404E-30,1.)); #463084=DIRECTION('',(1.,3.491481338843E-15,5.54806413473759E-16)); #463085=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #463086=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #463087=DIRECTION('center_axis',(0.,0.,1.)); #463088=DIRECTION('ref_axis',(1.,0.,0.)); #463089=DIRECTION('',(-3.491481338843E-15,1.,0.)); #463090=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #463091=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186548)); #463092=DIRECTION('',(3.491481338843E-15,-1.,0.)); #463093=DIRECTION('center_axis',(-3.491481338843E-15,1.,-1.93709623931404E-30)); #463094=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #463095=DIRECTION('',(-3.491481338843E-15,1.,-1.93709623931404E-30)); #463096=DIRECTION('center_axis',(-5.54806413473759E-16,0.,1.)); #463097=DIRECTION('ref_axis',(1.,0.,5.54806413473759E-16)); #463098=DIRECTION('',(3.491481338843E-15,-1.,0.)); #463099=DIRECTION('center_axis',(3.491481338843E-15,-1.,2.26391318235146E-16)); #463100=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #463101=DIRECTION('',(-3.491481338843E-15,1.,-2.26391318235146E-16)); #463102=DIRECTION('',(3.491481338843E-15,-1.,2.26391318235146E-16)); #463103=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #463104=DIRECTION('ref_axis',(0.,0.,-1.)); #463105=DIRECTION('',(0.,0.,1.)); #463106=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #463107=DIRECTION('ref_axis',(0.,0.,-1.)); #463108=DIRECTION('',(-3.491481338843E-15,1.,-2.26391318235146E-16)); #463109=DIRECTION('center_axis',(0.,-2.26391318235146E-16,-1.)); #463110=DIRECTION('ref_axis',(-1.,0.,0.)); #463111=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #463112=DIRECTION('ref_axis',(0.,0.,1.)); #463113=DIRECTION('center_axis',(0.,0.,1.)); #463114=DIRECTION('ref_axis',(1.,3.572543183515E-15,0.)); #463115=DIRECTION('',(1.,3.572543183515E-15,0.)); #463116=DIRECTION('',(-3.6334571715005E-15,1.,0.)); #463117=DIRECTION('',(1.,3.491481338843E-15,0.)); #463118=DIRECTION('',(-3.6334571715005E-15,1.,0.)); #463119=DIRECTION('center_axis',(0.,0.,-1.)); #463120=DIRECTION('ref_axis',(-1.,-3.491481338843E-15,0.)); #463121=DIRECTION('',(-1.,-3.572543183515E-15,0.)); #463122=DIRECTION('',(3.491481338843E-15,-1.,0.)); #463123=DIRECTION('',(1.,3.491481338843E-15,0.)); #463124=DIRECTION('',(-3.6334571715005E-15,1.,0.)); #463125=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #463126=DIRECTION('ref_axis',(0.,0.,-1.)); #463127=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #463128=DIRECTION('ref_axis',(0.,0.,1.)); #463129=DIRECTION('',(1.,3.491481338843E-15,0.)); #463130=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #463131=DIRECTION('ref_axis',(0.,0.,1.)); #463132=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #463133=DIRECTION('ref_axis',(0.,0.,-1.)); #463134=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #463135=DIRECTION('ref_axis',(0.,0.,-1.)); #463136=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #463137=DIRECTION('ref_axis',(0.,0.,-1.)); #463138=DIRECTION('',(1.,3.491481338843E-15,0.)); #463139=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #463140=DIRECTION('ref_axis',(-1.203328737303E-30,3.446470482073E-16,1.)); #463141=DIRECTION('',(1.203328737303E-30,-3.446470482073E-16,-1.)); #463142=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #463143=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #463144=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #463145=DIRECTION('',(1.20332873730311E-30,-3.446470482073E-16,-1.)); #463146=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #463147=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186547)); #463148=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #463149=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #463150=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186548)); #463151=DIRECTION('',(-1.203328737303E-30,3.446470482073E-16,1.)); #463152=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #463153=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #463154=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #463155=DIRECTION('',(0.,0.,1.)); #463156=DIRECTION('center_axis',(1.,3.6334571715005E-15,0.)); #463157=DIRECTION('ref_axis',(0.,0.,-1.)); #463158=DIRECTION('',(0.,0.,1.)); #463159=DIRECTION('',(3.491481338843E-15,-1.,0.)); #463160=DIRECTION('',(0.,0.,-1.)); #463161=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #463162=DIRECTION('ref_axis',(0.,0.,1.)); #463163=DIRECTION('',(3.491481338843E-15,-1.,0.)); #463164=DIRECTION('',(3.491481338843E-15,-1.,0.)); #463165=DIRECTION('',(0.,0.,1.)); #463166=DIRECTION('center_axis',(0.,0.,1.)); #463167=DIRECTION('ref_axis',(1.,0.,0.)); #463168=DIRECTION('',(3.491481338843E-15,-1.,0.)); #463169=DIRECTION('',(-3.491481338843E-15,1.,0.)); #463170=DIRECTION('',(1.,3.491481338843E-15,0.)); #463171=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #463172=DIRECTION('ref_axis',(0.,0.,-1.)); #463173=DIRECTION('',(-3.491481338843E-15,1.,0.)); #463174=DIRECTION('',(0.,0.,-1.)); #463175=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #463176=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #463177=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #463178=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #463179=DIRECTION('',(-3.491481338843E-15,1.,0.)); #463180=DIRECTION('center_axis',(0.,0.,1.)); #463181=DIRECTION('ref_axis',(1.,0.,0.)); #463182=DIRECTION('',(-3.491481338843E-15,1.,0.)); #463183=DIRECTION('',(1.,3.491481338843E-15,0.)); #463184=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #463185=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #463186=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #463187=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #463188=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #463189=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186547)); #463190=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #463191=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186547)); #463192=DIRECTION('',(-3.491481338843E-15,1.,0.)); #463193=DIRECTION('center_axis',(0.,0.,1.)); #463194=DIRECTION('ref_axis',(1.,0.,0.)); #463195=DIRECTION('',(3.491481338843E-15,-1.,0.)); #463196=DIRECTION('',(1.,3.491481338843E-15,0.)); #463197=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #463198=DIRECTION('ref_axis',(0.,0.,-1.)); #463199=DIRECTION('',(0.,0.,1.)); #463200=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #463201=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186548)); #463202=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #463203=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186548)); #463204=DIRECTION('center_axis',(-1.,-3.6334571715005E-15,0.)); #463205=DIRECTION('ref_axis',(0.,0.,1.)); #463206=DIRECTION('',(0.,0.,1.)); #463207=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #463208=DIRECTION('ref_axis',(0.,0.,-1.)); #463209=DIRECTION('',(1.,3.491481338843E-15,0.)); #463210=DIRECTION('',(0.,0.,1.)); #463211=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #463212=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #463213=DIRECTION('',(1.,3.491481338843E-15,0.)); #463214=DIRECTION('',(0.,0.,-1.)); #463215=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #463216=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186548)); #463217=DIRECTION('',(1.,3.491481338843E-15,0.)); #463218=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #463219=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186547)); #463220=DIRECTION('',(0.,0.,1.)); #463221=DIRECTION('',(1.,3.491481338843E-15,0.)); #463222=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #463223=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #463224=DIRECTION('',(0.,0.,1.)); #463225=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #463226=DIRECTION('ref_axis',(0.,0.,-1.)); #463227=DIRECTION('',(3.491481338843E-15,-1.,0.)); #463228=DIRECTION('',(1.203328737303E-30,-3.446470482073E-16,-1.)); #463229=DIRECTION('',(-3.491481338843E-15,1.,0.)); #463230=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #463231=DIRECTION('ref_axis',(-1.203328737303E-30,3.446470482073E-16,1.)); #463232=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #463233=DIRECTION('',(1.203328737303E-30,-3.446470482073E-16,-1.)); #463234=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #463235=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #463236=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #463237=DIRECTION('',(1.20332873730311E-30,-3.446470482073E-16,-1.)); #463238=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #463239=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186547)); #463240=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #463241=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #463242=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186548)); #463243=DIRECTION('',(-1.203328737303E-30,3.446470482073E-16,1.)); #463244=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #463245=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #463246=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #463247=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #463248=DIRECTION('ref_axis',(0.,0.,-1.)); #463249=DIRECTION('',(-3.491481338843E-15,1.,0.)); #463250=DIRECTION('',(3.491481338843E-15,-1.,0.)); #463251=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #463252=DIRECTION('ref_axis',(0.,0.,1.)); #463253=DIRECTION('',(3.491481338843E-15,-1.,0.)); #463254=DIRECTION('',(3.491481338843E-15,-1.,0.)); #463255=DIRECTION('center_axis',(0.,0.,1.)); #463256=DIRECTION('ref_axis',(1.,0.,0.)); #463257=DIRECTION('',(3.491481338843E-15,-1.,0.)); #463258=DIRECTION('',(-3.491481338843E-15,1.,0.)); #463259=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #463260=DIRECTION('ref_axis',(0.,0.,-1.)); #463261=DIRECTION('',(-3.491481338843E-15,1.,0.)); #463262=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #463263=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #463264=DIRECTION('center_axis',(0.,0.,1.)); #463265=DIRECTION('ref_axis',(1.,0.,0.)); #463266=DIRECTION('',(-3.491481338843E-15,1.,0.)); #463267=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #463268=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #463269=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #463270=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186547)); #463271=DIRECTION('',(-3.491481338843E-15,1.,0.)); #463272=DIRECTION('center_axis',(0.,0.,1.)); #463273=DIRECTION('ref_axis',(1.,0.,0.)); #463274=DIRECTION('',(3.491481338843E-15,-1.,0.)); #463275=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #463276=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186548)); #463277=DIRECTION('center_axis',(0.,0.,-1.)); #463278=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #463279=DIRECTION('',(1.,3.491481338843E-15,0.)); #463280=DIRECTION('center_axis',(0.,0.,1.)); #463281=DIRECTION('ref_axis',(2.891205793295E-15,-1.,0.)); #463282=DIRECTION('',(-1.323076993872E-15,1.,0.)); #463283=DIRECTION('center_axis',(0.,0.,1.)); #463284=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #463285=DIRECTION('',(-1.,-4.44089209850062E-15,0.)); #463286=DIRECTION('',(-3.70074341541719E-15,1.,0.)); #463287=DIRECTION('center_axis',(0.,0.,-1.)); #463288=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #463289=DIRECTION('',(-4.358843076832E-15,1.,0.)); #463290=DIRECTION('',(1.,5.55120961409737E-15,0.)); #463291=DIRECTION('',(-5.55111512312578E-15,1.,0.)); #463292=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #463293=DIRECTION('',(-3.491481338843E-15,1.,0.)); #463294=DIRECTION('',(1.,5.55120961409737E-15,0.)); #463295=DIRECTION('',(-5.55120961409737E-15,1.,0.)); #463296=DIRECTION('',(1.,0.,0.)); #463297=DIRECTION('center_axis',(0.,0.,-1.)); #463298=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #463299=DIRECTION('',(1.,4.730569535969E-15,0.)); #463300=DIRECTION('',(-3.70074341541719E-15,1.,0.)); #463301=DIRECTION('',(1.,4.44089209850063E-15,0.)); #463302=DIRECTION('center_axis',(0.,0.,1.)); #463303=DIRECTION('ref_axis',(0.707106781186543,0.707106781186552,0.)); #463304=DIRECTION('',(-5.659885683814E-15,1.,0.)); #463305=DIRECTION('center_axis',(0.,0.,1.)); #463306=DIRECTION('ref_axis',(5.782411586589E-15,-1.,0.)); #463307=DIRECTION('center_axis',(0.,0.,-1.)); #463308=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #463309=DIRECTION('',(-1.,-4.44089209850062E-15,0.)); #463310=DIRECTION('center_axis',(0.,0.,1.)); #463311=DIRECTION('ref_axis',(-0.707106781186542,-0.707106781186553,0.)); #463312=DIRECTION('',(-4.44089209850062E-15,1.,0.)); #463313=DIRECTION('center_axis',(0.,0.,1.)); #463314=DIRECTION('ref_axis',(-2.891205793295E-15,1.,0.)); #463315=DIRECTION('',(-1.,-4.730569535969E-15,0.)); #463316=DIRECTION('',(3.70074341541719E-15,-1.,0.)); #463317=DIRECTION('center_axis',(0.,0.,-1.)); #463318=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #463319=DIRECTION('center_axis',(0.,0.,-1.)); #463320=DIRECTION('ref_axis',(0.70710678118655,-0.707106781186545,-5.23364152894591E-15)); #463321=DIRECTION('',(3.70074341541719E-15,-1.,0.)); #463322=DIRECTION('center_axis',(0.,0.,1.)); #463323=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #463324=DIRECTION('',(1.,3.572543183515E-15,0.)); #463325=DIRECTION('center_axis',(0.,0.,1.)); #463326=DIRECTION('ref_axis',(-0.707106781186545,-0.70710678118655,0.)); #463327=DIRECTION('',(-3.70074341541719E-15,1.,0.)); #463328=DIRECTION('center_axis',(0.,0.,-1.)); #463329=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,5.2336415289459E-15)); #463330=DIRECTION('',(1.,0.,0.)); #463331=DIRECTION('',(3.491481338843E-15,-1.,0.)); #463332=DIRECTION('',(-1.,-5.55111512312578E-15,0.)); #463333=DIRECTION('',(5.55111512312578E-15,-1.,0.)); #463334=DIRECTION('',(1.,3.491481338843E-15,0.)); #463335=DIRECTION('',(3.491481338843E-15,-1.,0.)); #463336=DIRECTION('',(-1.,-5.55111512312578E-15,0.)); #463337=DIRECTION('',(5.55111512312578E-15,-1.,0.)); #463338=DIRECTION('',(1.,0.,0.)); #463339=DIRECTION('center_axis',(0.,0.,1.)); #463340=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #463341=DIRECTION('center_axis',(0.,0.,-1.)); #463342=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #463343=DIRECTION('center_axis',(0.,0.,1.)); #463344=DIRECTION('ref_axis',(-1.,0.,0.)); #463345=DIRECTION('center_axis',(0.,0.,-1.)); #463346=DIRECTION('ref_axis',(-1.,0.,0.)); #463347=DIRECTION('center_axis',(1.,0.,0.)); #463348=DIRECTION('ref_axis',(0.,1.,0.)); #463349=DIRECTION('',(0.,0.,-1.)); #463350=DIRECTION('',(0.,-1.,0.)); #463351=DIRECTION('',(0.,0.,1.)); #463352=DIRECTION('',(0.,1.,0.)); #463353=DIRECTION('',(0.,0.,1.)); #463354=DIRECTION('',(0.,-1.,0.)); #463355=DIRECTION('center_axis',(0.,1.,0.)); #463356=DIRECTION('ref_axis',(-1.,0.,0.)); #463357=DIRECTION('',(1.,0.,0.)); #463358=DIRECTION('',(0.,0.,-1.)); #463359=DIRECTION('',(-1.,0.,0.)); #463360=DIRECTION('center_axis',(0.,0.,-1.)); #463361=DIRECTION('ref_axis',(-1.,0.,0.)); #463362=DIRECTION('',(-1.,-3.572543183515E-15,0.)); #463363=DIRECTION('',(-1.,-2.77555756156289E-16,0.)); #463364=DIRECTION('',(0.,1.,0.)); #463365=DIRECTION('center_axis',(2.77555756156289E-16,-1.,0.)); #463366=DIRECTION('ref_axis',(1.,2.77555756156289E-16,0.)); #463367=DIRECTION('',(1.,2.77555756156289E-16,0.)); #463368=DIRECTION('',(0.,0.,1.)); #463369=DIRECTION('center_axis',(-1.,0.,0.)); #463370=DIRECTION('ref_axis',(0.,-1.,0.)); #463371=DIRECTION('',(0.,0.,1.)); #463372=DIRECTION('',(0.,-1.,0.)); #463373=DIRECTION('',(0.,1.,0.)); #463374=DIRECTION('center_axis',(0.,0.,1.)); #463375=DIRECTION('ref_axis',(1.,0.,0.)); #463376=DIRECTION('center_axis',(-3.572543183515E-15,1.,0.)); #463377=DIRECTION('ref_axis',(-1.,-3.572543183515E-15,0.)); #463378=DIRECTION('',(1.,3.572543183515E-15,0.)); #463379=DIRECTION('',(0.,0.,1.)); #463380=DIRECTION('',(1.,3.491481338843E-15,0.)); #463381=DIRECTION('',(0.,0.,1.)); #463382=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #463383=DIRECTION('',(0.,0.,-1.)); #463384=DIRECTION('',(1.,3.491481338843E-15,0.)); #463385=DIRECTION('',(0.,0.,1.)); #463386=DIRECTION('',(1.,3.572543183515E-15,0.)); #463387=DIRECTION('center_axis',(0.,0.,1.)); #463388=DIRECTION('ref_axis',(-1.,0.,0.)); #463389=DIRECTION('center_axis',(-3.644320411616E-15,1.,2.710505431214E-16)); #463390=DIRECTION('ref_axis',(-9.463679131916E-31,2.710505431214E-16,-1.)); #463391=DIRECTION('',(-1.00308851270177E-30,2.710505431214E-16,-1.)); #463392=DIRECTION('',(1.,3.70074341541719E-15,0.)); #463393=DIRECTION('',(9.463679131916E-31,-2.710505431214E-16,1.)); #463394=DIRECTION('',(-1.,-3.644320411616E-15,0.)); #463395=DIRECTION('center_axis',(-6.450906297972E-31,1.770125995895E-16, -1.)); #463396=DIRECTION('ref_axis',(3.491481338843E-15,-1.,-1.770125995895E-16)); #463397=DIRECTION('',(3.70074341541719E-15,-1.,-1.770125995895E-16)); #463398=DIRECTION('',(1.,3.70074341541719E-15,0.)); #463399=DIRECTION('',(-3.491481338843E-15,1.,1.770125995895E-16)); #463400=DIRECTION('center_axis',(-3.644320411616E-15,1.,0.)); #463401=DIRECTION('ref_axis',(-1.,-3.644320411616E-15,0.)); #463402=DIRECTION('',(0.,0.,-1.)); #463403=DIRECTION('',(-1.,-3.70074341541719E-15,0.)); #463404=DIRECTION('',(0.,0.,1.)); #463405=DIRECTION('',(1.,3.644320411616E-15,0.)); #463406=DIRECTION('center_axis',(-6.450906297972E-31,1.770125995895E-16, -1.)); #463407=DIRECTION('ref_axis',(3.491481338843E-15,-1.,-1.770125995895E-16)); #463408=DIRECTION('',(-3.70074341541719E-15,1.,1.770125995895E-16)); #463409=DIRECTION('',(-1.,-3.70074341541719E-15,0.)); #463410=DIRECTION('',(3.491481338843E-15,-1.,-1.770125995895E-16)); #463411=DIRECTION('',(-1.,-3.70074341541719E-15,0.)); #463412=DIRECTION('center_axis',(3.644320411616E-15,-1.,0.)); #463413=DIRECTION('ref_axis',(1.,3.644320411616E-15,0.)); #463414=DIRECTION('',(0.,0.,-1.)); #463415=DIRECTION('',(0.,0.,1.)); #463416=DIRECTION('',(1.,3.644320411616E-15,0.)); #463417=DIRECTION('center_axis',(-1.,5.446953552317E-15,0.)); #463418=DIRECTION('ref_axis',(-5.446953552317E-15,-1.,0.)); #463419=DIRECTION('',(0.,0.,1.)); #463420=DIRECTION('',(-5.446953552317E-15,-1.,0.)); #463421=DIRECTION('',(0.,0.,1.)); #463422=DIRECTION('',(-5.446953552317E-15,-1.,0.)); #463423=DIRECTION('center_axis',(0.,0.,1.)); #463424=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #463425=DIRECTION('center_axis',(0.,0.,1.)); #463426=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #463427=DIRECTION('',(0.,0.,-1.)); #463428=DIRECTION('center_axis',(0.,0.,-1.)); #463429=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #463430=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #463431=DIRECTION('ref_axis',(0.,0.,1.)); #463432=DIRECTION('',(0.,0.,-1.)); #463433=DIRECTION('',(1.,3.491481338843E-15,0.)); #463434=DIRECTION('',(1.,3.491481338843E-15,0.)); #463435=DIRECTION('center_axis',(0.,0.,1.)); #463436=DIRECTION('ref_axis',(-3.491481338843E-15,1.,0.)); #463437=DIRECTION('center_axis',(0.,0.,-1.)); #463438=DIRECTION('ref_axis',(-0.707106781186545,-0.70710678118655,0.)); #463439=DIRECTION('',(-4.279992009742E-15,1.,0.)); #463440=DIRECTION('',(0.510262918304127,-0.860018461548213,0.)); #463441=DIRECTION('center_axis',(0.,0.,-1.)); #463442=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #463443=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #463444=DIRECTION('',(3.644320411616E-15,-1.,0.)); #463445=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #463446=DIRECTION('ref_axis',(0.,0.,-1.)); #463447=DIRECTION('',(0.,0.,-1.)); #463448=DIRECTION('',(0.,0.,-1.)); #463449=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #463450=DIRECTION('center_axis',(1.,4.279992009742E-15,0.)); #463451=DIRECTION('ref_axis',(-4.279992009742E-15,1.,0.)); #463452=DIRECTION('',(-4.279992009742E-15,1.,0.)); #463453=DIRECTION('',(0.,0.,-1.)); #463454=DIRECTION('center_axis',(0.860018461548214,0.510262918304127,0.)); #463455=DIRECTION('ref_axis',(-0.510262918304127,0.860018461548214,0.)); #463456=DIRECTION('',(-0.510262918304127,0.860018461548213,0.)); #463457=DIRECTION('',(0.,0.,1.)); #463458=DIRECTION('center_axis',(0.,0.,1.)); #463459=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #463460=DIRECTION('center_axis',(0.,0.,1.)); #463461=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #463462=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #463463=DIRECTION('ref_axis',(0.,0.,1.)); #463464=DIRECTION('',(0.,0.,1.)); #463465=DIRECTION('',(1.,3.491481338843E-15,0.)); #463466=DIRECTION('',(0.,0.,1.)); #463467=DIRECTION('',(1.,3.491481338843E-15,0.)); #463468=DIRECTION('center_axis',(0.,0.,1.)); #463469=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #463470=DIRECTION('center_axis',(0.,0.,1.)); #463471=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #463472=DIRECTION('',(0.,0.,-1.)); #463473=DIRECTION('center_axis',(0.,0.,-1.)); #463474=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #463475=DIRECTION('center_axis',(1.,2.498321906492E-15,0.)); #463476=DIRECTION('ref_axis',(-2.498321906492E-15,1.,0.)); #463477=DIRECTION('',(0.,0.,-1.)); #463478=DIRECTION('',(-2.498321906492E-15,1.,0.)); #463479=DIRECTION('',(-2.498321906492E-15,1.,0.)); #463480=DIRECTION('center_axis',(-0.860018461548214,0.510262918304127,0.)); #463481=DIRECTION('ref_axis',(-0.510262918304127,-0.860018461548214,0.)); #463482=DIRECTION('',(-0.510262918304127,-0.860018461548213,0.)); #463483=DIRECTION('',(0.,0.,1.)); #463484=DIRECTION('',(0.510262918304127,0.860018461548213,0.)); #463485=DIRECTION('',(0.,0.,1.)); #463486=DIRECTION('center_axis',(-1.,-2.702970667945E-15,0.)); #463487=DIRECTION('ref_axis',(2.702970667945E-15,-1.,0.)); #463488=DIRECTION('',(2.702970667945E-15,-1.,0.)); #463489=DIRECTION('',(2.702970667945E-15,-1.,0.)); #463490=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #463491=DIRECTION('ref_axis',(0.,0.,-1.)); #463492=DIRECTION('',(0.,0.,1.)); #463493=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #463494=DIRECTION('',(0.,0.,1.)); #463495=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #463496=DIRECTION('center_axis',(0.,0.,1.)); #463497=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #463498=DIRECTION('center_axis',(0.,0.,1.)); #463499=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #463500=DIRECTION('center_axis',(0.,0.,-1.)); #463501=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #463502=DIRECTION('center_axis',(0.,0.,-1.)); #463503=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #463504=DIRECTION('',(-3.644320411616E-15,1.,0.)); #463505=DIRECTION('',(-1.,0.,0.)); #463506=DIRECTION('',(3.644320411616E-15,-1.,0.)); #463507=DIRECTION('center_axis',(0.,0.,-1.)); #463508=DIRECTION('ref_axis',(3.491130995403E-15,-1.,0.)); #463509=DIRECTION('center_axis',(0.,0.,1.)); #463510=DIRECTION('ref_axis',(3.491130995403E-15,-1.,0.)); #463511=DIRECTION('',(0.,0.,-1.)); #463512=DIRECTION('center_axis',(0.,0.,1.)); #463513=DIRECTION('ref_axis',(3.491130995403E-15,-1.,0.)); #463514=DIRECTION('',(0.,0.,-1.)); #463515=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #463516=DIRECTION('ref_axis',(0.,0.,-1.)); #463517=DIRECTION('',(0.,0.,1.)); #463518=DIRECTION('',(-3.491481338843E-15,1.,0.)); #463519=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #463520=DIRECTION('ref_axis',(0.,0.,-1.)); #463521=DIRECTION('',(-3.491481338843E-15,1.,0.)); #463522=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #463523=DIRECTION('ref_axis',(0.,0.,-1.)); #463524=DIRECTION('',(0.,0.,-1.)); #463525=DIRECTION('',(-3.491481338843E-15,1.,0.)); #463526=DIRECTION('',(-3.491481338843E-15,1.,0.)); #463527=DIRECTION('',(0.,0.,1.)); #463528=DIRECTION('',(3.491481338843E-15,-1.,0.)); #463529=DIRECTION('',(-9.463679131916E-31,2.710505431214E-16,-1.)); #463530=DIRECTION('',(3.491481338843E-15,-1.,0.)); #463531=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #463532=DIRECTION('ref_axis',(0.,0.,1.)); #463533=DIRECTION('',(0.,0.,-1.)); #463534=DIRECTION('',(1.,3.491481338843E-15,0.)); #463535=DIRECTION('',(1.,3.491481338843E-15,0.)); #463536=DIRECTION('center_axis',(0.,0.,-1.)); #463537=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #463538=DIRECTION('',(3.491481338843E-15,-1.,0.)); #463539=DIRECTION('',(1.,3.491481338843E-15,0.)); #463540=DIRECTION('',(1.,3.491481338843E-15,0.)); #463541=DIRECTION('center_axis',(0.,0.,1.)); #463542=DIRECTION('ref_axis',(-3.491481338843E-15,1.,0.)); #463543=DIRECTION('center_axis',(0.,0.,-1.)); #463544=DIRECTION('ref_axis',(-0.707106781186545,-0.70710678118655,0.)); #463545=DIRECTION('',(1.,3.491481338843E-15,0.)); #463546=DIRECTION('center_axis',(0.,0.,-1.)); #463547=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #463548=DIRECTION('',(-3.70074341541719E-15,1.,0.)); #463549=DIRECTION('',(-3.491481338843E-15,1.,0.)); #463550=DIRECTION('',(1.,3.644320411616E-15,0.)); #463551=DIRECTION('',(3.70074341541719E-15,-1.,0.)); #463552=DIRECTION('center_axis',(3.644320411616E-15,-1.,0.)); #463553=DIRECTION('ref_axis',(1.,3.644320411616E-15,0.)); #463554=DIRECTION('',(0.,0.,1.)); #463555=DIRECTION('',(0.,0.,-1.)); #463556=DIRECTION('center_axis',(0.,0.,1.)); #463557=DIRECTION('ref_axis',(-3.491481338843E-15,1.,0.)); #463558=DIRECTION('center_axis',(0.,0.,-1.)); #463559=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #463560=DIRECTION('',(3.70074341541719E-15,-1.,0.)); #463561=DIRECTION('',(-3.491481338843E-15,1.,0.)); #463562=DIRECTION('',(1.,3.491481338843E-15,0.)); #463563=DIRECTION('',(-1.,-3.644320411616E-15,0.)); #463564=DIRECTION('',(-3.70074341541719E-15,1.,0.)); #463565=DIRECTION('center_axis',(0.,0.,-1.)); #463566=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #463567=DIRECTION('',(-1.,0.,0.)); #463568=DIRECTION('center_axis',(-3.644320411616E-15,1.,2.710505431214E-16)); #463569=DIRECTION('ref_axis',(-9.463679131916E-31,2.710505431214E-16,-1.)); #463570=DIRECTION('',(1.00308851270177E-30,-2.710505431214E-16,1.)); #463571=DIRECTION('center_axis',(-3.644320411616E-15,1.,0.)); #463572=DIRECTION('ref_axis',(-1.,-3.644320411616E-15,0.)); #463573=DIRECTION('',(0.,0.,1.)); #463574=DIRECTION('',(-1.,-3.644320411616E-15,0.)); #463575=DIRECTION('',(0.,0.,-1.)); #463576=DIRECTION('',(1.,3.70074341541719E-15,0.)); #463577=DIRECTION('center_axis',(-1.,-3.644320411616E-15,0.)); #463578=DIRECTION('ref_axis',(3.644320411616E-15,-1.,0.)); #463579=DIRECTION('center_axis',(1.,3.644320411616E-15,0.)); #463580=DIRECTION('ref_axis',(3.644320411616E-15,-1.,0.)); #463581=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #463582=DIRECTION('ref_axis',(0.,0.,1.)); #463583=DIRECTION('',(1.,3.70074341541719E-15,0.)); #463584=DIRECTION('center_axis',(0.,0.,-1.)); #463585=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #463586=DIRECTION('',(-3.644320411616E-15,1.,0.)); #463587=DIRECTION('',(3.491481338843E-15,-1.,0.)); #463588=DIRECTION('',(1.,3.70074341541719E-15,0.)); #463589=DIRECTION('center_axis',(-1.,-3.644320411616E-15,0.)); #463590=DIRECTION('ref_axis',(3.644320411616E-15,-1.,0.)); #463591=DIRECTION('center_axis',(1.,3.644320411616E-15,0.)); #463592=DIRECTION('ref_axis',(3.644320411616E-15,-1.,0.)); #463593=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #463594=DIRECTION('ref_axis',(0.,0.,1.)); #463595=DIRECTION('',(1.,3.70074341541719E-15,0.)); #463596=DIRECTION('center_axis',(3.644320411616E-15,-1.,0.)); #463597=DIRECTION('ref_axis',(1.,3.644320411616E-15,0.)); #463598=DIRECTION('',(0.,0.,-1.)); #463599=DIRECTION('',(0.,0.,1.)); #463600=DIRECTION('',(-1.,-3.644320411616E-15,0.)); #463601=DIRECTION('center_axis',(0.,0.,1.)); #463602=DIRECTION('ref_axis',(-3.491481338843E-15,1.,0.)); #463603=DIRECTION('',(-3.644320411616E-15,1.,0.)); #463604=DIRECTION('',(3.491481338843E-15,-1.,0.)); #463605=DIRECTION('',(1.,3.491481338843E-15,0.)); #463606=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #463607=DIRECTION('ref_axis',(0.,0.,-1.)); #463608=DIRECTION('',(0.,0.,-1.)); #463609=DIRECTION('',(1.,3.491481338843E-15,0.)); #463610=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #463611=DIRECTION('ref_axis',(0.,0.,-1.)); #463612=DIRECTION('',(0.,0.,1.)); #463613=DIRECTION('',(1.,3.491481338843E-15,0.)); #463614=DIRECTION('',(1.,3.491481338843E-15,0.)); #463615=DIRECTION('center_axis',(0.,0.,1.)); #463616=DIRECTION('ref_axis',(-3.491481338843E-15,1.,0.)); #463617=DIRECTION('',(3.644320411616E-15,-1.,0.)); #463618=DIRECTION('',(1.,3.644320411616E-15,0.)); #463619=DIRECTION('center_axis',(3.644320411616E-15,-1.,0.)); #463620=DIRECTION('ref_axis',(1.,3.644320411616E-15,0.)); #463621=DIRECTION('',(0.,0.,1.)); #463622=DIRECTION('',(1.,3.644320411616E-15,0.)); #463623=DIRECTION('center_axis',(1.,3.644320411616E-15,0.)); #463624=DIRECTION('ref_axis',(-3.644320411616E-15,1.,0.)); #463625=DIRECTION('center_axis',(-1.,-3.644320411616E-15,0.)); #463626=DIRECTION('ref_axis',(-3.644320411616E-15,1.,0.)); #463627=DIRECTION('',(-1.,-3.70074341541719E-15,0.)); #463628=DIRECTION('center_axis',(0.,0.,-1.)); #463629=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #463630=DIRECTION('',(3.644320411616E-15,-1.,0.)); #463631=DIRECTION('',(-1.,-3.70074341541719E-15,0.)); #463632=DIRECTION('center_axis',(1.,3.644320411616E-15,0.)); #463633=DIRECTION('ref_axis',(-3.644320411616E-15,1.,0.)); #463634=DIRECTION('center_axis',(-1.,-3.644320411616E-15,0.)); #463635=DIRECTION('ref_axis',(-3.644320411616E-15,1.,0.)); #463636=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #463637=DIRECTION('ref_axis',(0.,0.,1.)); #463638=DIRECTION('',(0.,0.,-1.)); #463639=DIRECTION('',(3.491481338843E-15,-1.,0.)); #463640=DIRECTION('center_axis',(0.,-1.,0.)); #463641=DIRECTION('ref_axis',(0.,0.,-1.)); #463642=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #463643=DIRECTION('center_axis',(0.,0.,1.)); #463644=DIRECTION('ref_axis',(-3.491481338843E-15,1.,0.)); #463645=DIRECTION('center_axis',(0.,0.,-1.)); #463646=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #463647=DIRECTION('',(3.644320411616E-15,-1.,0.)); #463648=DIRECTION('',(-1.,0.,0.)); #463649=DIRECTION('center_axis',(0.,0.,-1.)); #463650=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #463651=DIRECTION('',(0.,0.,1.)); #463652=DIRECTION('',(0.,0.,-1.)); #463653=DIRECTION('center_axis',(-1.,-3.644320411616E-15,0.)); #463654=DIRECTION('ref_axis',(3.644320411616E-15,-1.,0.)); #463655=DIRECTION('',(0.,0.,-1.)); #463656=DIRECTION('',(-3.644320411616E-15,1.,0.)); #463657=DIRECTION('',(-3.644320411616E-15,1.,0.)); #463658=DIRECTION('',(0.,0.,1.)); #463659=DIRECTION('',(3.644320411616E-15,-1.,0.)); #463660=DIRECTION('',(3.644320411616E-15,-1.,0.)); #463661=DIRECTION('center_axis',(0.,0.,1.)); #463662=DIRECTION('ref_axis',(-3.491481338843E-15,1.,0.)); #463663=DIRECTION('center_axis',(0.,0.,-1.)); #463664=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #463665=DIRECTION('center_axis',(0.,0.,1.)); #463666=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #463667=DIRECTION('center_axis',(0.,0.,1.)); #463668=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #463669=DIRECTION('center_axis',(0.,0.,1.)); #463670=DIRECTION('ref_axis',(-3.491481338843E-15,1.,0.)); #463671=DIRECTION('center_axis',(0.,0.,-1.)); #463672=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #463673=DIRECTION('',(-1.,0.,0.)); #463674=DIRECTION('center_axis',(0.,0.,1.)); #463675=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #463676=DIRECTION('center_axis',(0.,0.,1.)); #463677=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #463678=DIRECTION('',(0.,0.,1.)); #463679=DIRECTION('center_axis',(0.,0.,1.)); #463680=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #463681=DIRECTION('',(0.,0.,-1.)); #463682=DIRECTION('center_axis',(0.,0.,-1.)); #463683=DIRECTION('ref_axis',(0.707106781186546,0.707106781186549,-5.23364152894592E-15)); #463684=DIRECTION('',(0.,0.,1.)); #463685=DIRECTION('center_axis',(-3.572543183515E-15,1.,0.)); #463686=DIRECTION('ref_axis',(-1.,-3.572543183515E-15,0.)); #463687=DIRECTION('',(0.,0.,1.)); #463688=DIRECTION('center_axis',(0.,0.,-1.)); #463689=DIRECTION('ref_axis',(-0.707106781186545,-0.70710678118655,0.)); #463690=DIRECTION('',(0.,0.,-1.)); #463691=DIRECTION('center_axis',(1.,3.644320411616E-15,0.)); #463692=DIRECTION('ref_axis',(-3.644320411616E-15,1.,0.)); #463693=DIRECTION('',(0.,0.,1.)); #463694=DIRECTION('',(-3.644320411616E-15,1.,0.)); #463695=DIRECTION('',(-3.644320411616E-15,1.,0.)); #463696=DIRECTION('',(0.,0.,-1.)); #463697=DIRECTION('center_axis',(0.,0.,-1.)); #463698=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #463699=DIRECTION('center_axis',(0.,0.,1.)); #463700=DIRECTION('ref_axis',(-0.707106781186545,-0.70710678118655,0.)); #463701=DIRECTION('center_axis',(0.,0.,-1.)); #463702=DIRECTION('ref_axis',(-0.707106781186545,-0.70710678118655,0.)); #463703=DIRECTION('center_axis',(0.,-1.,0.)); #463704=DIRECTION('ref_axis',(0.,0.,-1.)); #463705=DIRECTION('',(0.,0.,-1.)); #463706=DIRECTION('',(1.,0.,0.)); #463707=DIRECTION('center_axis',(0.,0.,1.)); #463708=DIRECTION('ref_axis',(-3.491481338843E-15,1.,0.)); #463709=DIRECTION('center_axis',(0.,0.,1.)); #463710=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #463711=DIRECTION('center_axis',(0.,0.,-1.)); #463712=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #463713=DIRECTION('center_axis',(0.,0.,-1.)); #463714=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #463715=DIRECTION('',(1.,4.44089209850063E-15,0.)); #463716=DIRECTION('',(3.70074341541719E-15,-1.,0.)); #463717=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #463718=DIRECTION('center_axis',(0.,0.,1.)); #463719=DIRECTION('ref_axis',(-2.891205793295E-15,1.,0.)); #463720=DIRECTION('',(3.491481338843E-15,-1.,0.)); #463721=DIRECTION('center_axis',(0.,0.,1.)); #463722=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #463723=DIRECTION('center_axis',(0.,0.,-1.)); #463724=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #463725=DIRECTION('center_axis',(0.,0.,-1.)); #463726=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,5.23364152894594E-15)); #463727=DIRECTION('',(0.,0.,1.)); #463728=DIRECTION('',(0.,0.,-1.)); #463729=DIRECTION('center_axis',(0.,0.,-1.)); #463730=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #463731=DIRECTION('',(-3.70074341541719E-15,1.,0.)); #463732=DIRECTION('',(-1.,-4.44089209850062E-15,0.)); #463733=DIRECTION('',(3.491481338843E-15,-1.,1.807003620809E-16)); #463734=DIRECTION('',(1.,4.44089209850063E-15,0.)); #463735=DIRECTION('center_axis',(-7.137153981444E-15,0.,-1.)); #463736=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,5.23364152894594E-15)); #463737=DIRECTION('center_axis',(0.,0.,1.)); #463738=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,5.23364152894594E-15)); #463739=DIRECTION('center_axis',(0.,0.,-1.)); #463740=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #463741=DIRECTION('center_axis',(0.,0.,1.)); #463742=DIRECTION('ref_axis',(0.70710678118655,-0.707106781186545,-5.23364152894591E-15)); #463743=DIRECTION('',(-1.,0.,0.)); #463744=DIRECTION('',(3.491481338843E-15,-1.,-1.51788304148E-15)); #463745=DIRECTION('',(1.,5.55111512312578E-15,0.)); #463746=DIRECTION('',(5.55111512312578E-15,-1.,0.)); #463747=DIRECTION('',(1.,3.491481338843E-15,0.)); #463748=DIRECTION('',(-5.55111512312578E-15,1.,0.)); #463749=DIRECTION('',(1.,5.55111512312578E-15,0.)); #463750=DIRECTION('',(5.55111512312578E-15,-1.,0.)); #463751=DIRECTION('',(-1.,0.,0.)); #463752=DIRECTION('center_axis',(0.,0.,1.)); #463753=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,5.2336415289459E-15)); #463754=DIRECTION('',(-1.,0.,0.)); #463755=DIRECTION('center_axis',(0.,0.,1.)); #463756=DIRECTION('ref_axis',(5.782411586589E-15,-1.,0.)); #463757=DIRECTION('',(-3.491481338843E-15,1.,0.)); #463758=DIRECTION('center_axis',(0.,0.,-1.)); #463759=DIRECTION('ref_axis',(0.707106781186543,0.707106781186552,0.)); #463760=DIRECTION('',(-1.,-4.44089209850063E-15,0.)); #463761=DIRECTION('',(3.491481338843E-15,-1.,1.807003620809E-16)); #463762=DIRECTION('',(1.,4.44089209850062E-15,0.)); #463763=DIRECTION('center_axis',(0.,0.,-1.)); #463764=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #463765=DIRECTION('',(-3.491481338843E-15,1.,0.)); #463766=DIRECTION('center_axis',(0.,0.,1.)); #463767=DIRECTION('ref_axis',(-2.891205793295E-15,-1.,0.)); #463768=DIRECTION('',(-1.,0.,0.)); #463769=DIRECTION('',(0.,1.,0.)); #463770=DIRECTION('',(-1.,-5.55120961409737E-15,0.)); #463771=DIRECTION('',(-5.55120961409737E-15,1.,0.)); #463772=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #463773=DIRECTION('',(-3.491481338843E-15,1.,0.)); #463774=DIRECTION('',(-1.,-5.55120961409737E-15,0.)); #463775=DIRECTION('',(0.,1.,0.)); #463776=DIRECTION('center_axis',(0.,0.,1.)); #463777=DIRECTION('ref_axis',(0.707106781186546,0.707106781186549,-5.23364152894592E-15)); #463778=DIRECTION('',(1.,0.,0.)); #463779=DIRECTION('center_axis',(0.,0.,1.)); #463780=DIRECTION('ref_axis',(2.891205793295E-15,-1.,0.)); #463781=DIRECTION('',(4.44089209850062E-15,-1.,0.)); #463782=DIRECTION('center_axis',(0.,0.,-1.)); #463783=DIRECTION('ref_axis',(-0.707106781186542,-0.707106781186553,0.)); #463784=DIRECTION('',(1.,4.44089209850062E-15,0.)); #463785=DIRECTION('',(-3.491481338843E-15,1.,-1.807003620809E-16)); #463786=DIRECTION('',(-1.,-4.44089209850063E-15,0.)); #463787=DIRECTION('center_axis',(0.,0.,-1.)); #463788=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #463789=DIRECTION('',(1.323076993872E-15,-1.,0.)); #463790=DIRECTION('center_axis',(0.,0.,1.)); #463791=DIRECTION('ref_axis',(2.891205793295E-15,-1.,0.)); #463792=DIRECTION('',(1.,0.,0.)); #463793=DIRECTION('center_axis',(7.13715398144343E-15,0.,1.)); #463794=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,5.2336415289459E-15)); #463795=DIRECTION('',(7.13715398144343E-15,0.,1.)); #463796=DIRECTION('center_axis',(0.,1.,0.)); #463797=DIRECTION('ref_axis',(0.,0.,1.)); #463798=DIRECTION('',(0.,0.,-1.)); #463799=DIRECTION('center_axis',(7.13714620256007E-15,0.,1.)); #463800=DIRECTION('ref_axis',(0.707106781186546,0.707106781186549,-5.23364152894592E-15)); #463801=DIRECTION('center_axis',(0.,-1.,0.)); #463802=DIRECTION('ref_axis',(0.,0.,-1.)); #463803=DIRECTION('center_axis',(-7.13714620256054E-15,0.,-1.)); #463804=DIRECTION('ref_axis',(0.70710678118655,-0.707106781186545,-5.23364152894591E-15)); #463805=DIRECTION('',(-7.13714620256054E-15,0.,-1.)); #463806=DIRECTION('center_axis',(-1.,-3.56857310128027E-15,7.13714620256054E-15)); #463807=DIRECTION('ref_axis',(7.13714620256054E-15,0.,1.)); #463808=DIRECTION('',(3.70074341541719E-15,-1.,0.)); #463809=DIRECTION('center_axis',(-1.,-4.358843076832E-15,0.)); #463810=DIRECTION('ref_axis',(4.358843076832E-15,-1.,0.)); #463811=DIRECTION('',(0.,0.,-1.)); #463812=DIRECTION('center_axis',(0.,1.,0.)); #463813=DIRECTION('ref_axis',(0.,0.,1.)); #463814=DIRECTION('',(0.,0.,1.)); #463815=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #463816=DIRECTION('ref_axis',(0.,0.,1.)); #463817=DIRECTION('',(0.,0.,1.)); #463818=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #463819=DIRECTION('ref_axis',(0.,0.,-1.)); #463820=DIRECTION('',(0.,0.,-1.)); #463821=DIRECTION('center_axis',(1.,2.624119600855E-15,0.)); #463822=DIRECTION('ref_axis',(-2.624119600855E-15,1.,0.)); #463823=DIRECTION('',(0.,0.,1.)); #463824=DIRECTION('center_axis',(5.55111512312578E-15,-1.,0.)); #463825=DIRECTION('ref_axis',(0.,0.,-1.)); #463826=DIRECTION('',(-9.227252531792E-17,-5.12215410741312E-31,-1.)); #463827=DIRECTION('center_axis',(1.,3.491481338843E-15,-9.227252531792E-17)); #463828=DIRECTION('ref_axis',(-9.227252531792E-17,-3.221678002354E-31,-1.)); #463829=DIRECTION('',(0.,0.,1.)); #463830=DIRECTION('center_axis',(1.,3.491481338843E-15,-9.227252531792E-17)); #463831=DIRECTION('ref_axis',(-9.227252531792E-17,-3.221678002354E-31,-1.)); #463832=DIRECTION('',(-3.491481338843E-15,1.,0.)); #463833=DIRECTION('',(9.227252531793E-17,-3.321810911445E-15,1.)); #463834=DIRECTION('',(-3.491481338843E-15,1.,3.391901413833E-15)); #463835=DIRECTION('',(9.227252531793E-17,-3.321810911445E-15,1.)); #463836=DIRECTION('center_axis',(-1.,-3.491481338843E-15,9.227252531792E-17)); #463837=DIRECTION('ref_axis',(9.227252531792E-17,3.221678002354E-31,1.)); #463838=DIRECTION('',(3.491481338843E-15,-1.,0.)); #463839=DIRECTION('',(-9.227252531793E-17,3.321810911445E-15,-1.)); #463840=DIRECTION('',(-3.491481338843E-15,1.,3.391901413833E-15)); #463841=DIRECTION('',(-9.227252531793E-17,3.321810911445E-15,-1.)); #463842=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #463843=DIRECTION('ref_axis',(-3.491481338843E-15,1.,3.252606517457E-15)); #463844=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #463845=DIRECTION('ref_axis',(0.,0.,-1.)); #463846=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #463847=DIRECTION('ref_axis',(0.,0.,-1.)); #463848=DIRECTION('',(1.,3.491481338843E-15,0.)); #463849=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #463850=DIRECTION('ref_axis',(-3.491481338843E-15,1.,3.252606517457E-15)); #463851=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #463852=DIRECTION('ref_axis',(0.,0.,-1.)); #463853=DIRECTION('',(-1.,-3.491481338843E-15,1.730597518943E-45)); #463854=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #463855=DIRECTION('ref_axis',(0.,0.,-1.)); #463856=DIRECTION('center_axis',(-1.,-3.491481338843E-15,9.227252531792E-17)); #463857=DIRECTION('ref_axis',(9.227252531792E-17,3.221678002354E-31,1.)); #463858=DIRECTION('',(0.,0.,1.)); #463859=DIRECTION('center_axis',(1.,3.491481338843E-15,-9.227252531792E-17)); #463860=DIRECTION('ref_axis',(-9.227252531792E-17,-3.221678002354E-31,-1.)); #463861=DIRECTION('center_axis',(-1.,-3.491481338843E-15,9.227252531792E-17)); #463862=DIRECTION('ref_axis',(9.227252531792E-17,3.221678002354E-31,1.)); #463863=DIRECTION('',(9.227252531792E-17,5.12215410741312E-31,1.)); #463864=DIRECTION('center_axis',(3.491481338843E-15,-1.,-3.321810911445E-15)); #463865=DIRECTION('ref_axis',(1.159804080848E-29,-3.321810911445E-15,1.)); #463866=DIRECTION('center_axis',(-3.491481338843E-15,1.,3.321810911445E-15)); #463867=DIRECTION('ref_axis',(-0.707106781186548,-5.23364152894591E-15, -0.707106781186547)); #463868=DIRECTION('',(1.,3.491481338843E-15,0.)); #463869=DIRECTION('center_axis',(-3.491481338843E-15,1.,3.321810911445E-15)); #463870=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #463871=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #463872=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #463873=DIRECTION('center_axis',(3.491481338843E-15,-1.,-3.321810911445E-15)); #463874=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #463875=DIRECTION('',(-3.491481338843E-15,1.,0.)); #463876=DIRECTION('center_axis',(3.491481338843E-15,-1.,-3.321810911445E-15)); #463877=DIRECTION('ref_axis',(1.159804080848E-29,-3.321810911445E-15,1.)); #463878=DIRECTION('center_axis',(3.491481338843E-15,-1.,-3.321810911445E-15)); #463879=DIRECTION('ref_axis',(-0.707106781186548,-5.23364152894591E-15, -0.707106781186547)); #463880=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #463881=DIRECTION('center_axis',(0.,0.,1.)); #463882=DIRECTION('ref_axis',(1.,0.,0.)); #463883=DIRECTION('',(3.491481338843E-15,-1.,0.)); #463884=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #463885=DIRECTION('ref_axis',(-0.707106781186548,-5.23364152894591E-15, -0.707106781186547)); #463886=DIRECTION('center_axis',(-5.55111512312578E-15,1.,0.)); #463887=DIRECTION('ref_axis',(0.,0.,1.)); #463888=DIRECTION('',(-9.227252531792E-17,-5.12215410741312E-31,-1.)); #463889=DIRECTION('center_axis',(-1.,-3.491481338843E-15,9.227252531792E-17)); #463890=DIRECTION('ref_axis',(9.227252531792E-17,3.221678002354E-31,1.)); #463891=DIRECTION('',(9.227252531792E-17,5.12215410741312E-31,1.)); #463892=DIRECTION('',(0.,0.,1.)); #463893=DIRECTION('center_axis',(1.,3.491481338843E-15,-9.227252531792E-17)); #463894=DIRECTION('ref_axis',(-9.227252531792E-17,-3.221678002354E-31,-1.)); #463895=DIRECTION('',(3.491481338843E-15,-1.,2.26391318235146E-16)); #463896=DIRECTION('',(-9.22725253179E-17,-3.321810911445E-15,-1.)); #463897=DIRECTION('',(3.491481338843E-15,-1.,3.391901413833E-15)); #463898=DIRECTION('',(-9.22725253179E-17,-3.321810911445E-15,-1.)); #463899=DIRECTION('center_axis',(-1.,-3.491481338843E-15,9.227252531792E-17)); #463900=DIRECTION('ref_axis',(9.227252531792E-17,3.221678002354E-31,1.)); #463901=DIRECTION('',(-3.491481338843E-15,1.,-2.26391318235146E-16)); #463902=DIRECTION('',(9.22725253179E-17,3.321810911445E-15,1.)); #463903=DIRECTION('',(3.491481338843E-15,-1.,3.391901413833E-15)); #463904=DIRECTION('',(9.22725253179E-17,3.321810911445E-15,1.)); #463905=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #463906=DIRECTION('ref_axis',(3.491481338843E-15,-1.,3.252606517457E-15)); #463907=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #463908=DIRECTION('ref_axis',(0.,0.,1.)); #463909=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #463910=DIRECTION('ref_axis',(0.,0.,1.)); #463911=DIRECTION('',(-1.,-3.780601918173E-15,0.)); #463912=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #463913=DIRECTION('ref_axis',(3.491481338843E-15,-1.,3.252606517457E-15)); #463914=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #463915=DIRECTION('ref_axis',(0.,0.,1.)); #463916=DIRECTION('',(1.,3.491481338843E-15,9.806685017958E-31)); #463917=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #463918=DIRECTION('ref_axis',(0.,0.,1.)); #463919=DIRECTION('center_axis',(-1.,-3.491481338843E-15,9.227252531792E-17)); #463920=DIRECTION('ref_axis',(9.227252531792E-17,3.221678002354E-31,1.)); #463921=DIRECTION('center_axis',(1.,3.491481338843E-15,-9.227252531792E-17)); #463922=DIRECTION('ref_axis',(-9.227252531792E-17,-3.221678002354E-31,-1.)); #463923=DIRECTION('',(0.,0.,1.)); #463924=DIRECTION('center_axis',(1.,3.491481338843E-15,-9.227252531792E-17)); #463925=DIRECTION('ref_axis',(-9.227252531792E-17,-3.221678002354E-31,-1.)); #463926=DIRECTION('center_axis',(-3.491481338843E-15,1.,-3.321810911445E-15)); #463927=DIRECTION('ref_axis',(-1.159804080848E-29,3.321810911445E-15,1.)); #463928=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.321810911445E-15)); #463929=DIRECTION('ref_axis',(0.707106781186547,2.61682076447296E-15,-0.707106781186548)); #463930=DIRECTION('',(-1.,-3.491481338843E-15,7.9044106289408E-31)); #463931=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.321810911445E-15)); #463932=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #463933=DIRECTION('center_axis',(3.491481338843E-15,-1.,2.26391318235146E-16)); #463934=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #463935=DIRECTION('center_axis',(-3.491481338843E-15,1.,-3.321810911445E-15)); #463936=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #463937=DIRECTION('',(3.491481338843E-15,-1.,2.26391318235146E-16)); #463938=DIRECTION('center_axis',(-3.491481338843E-15,1.,-3.321810911445E-15)); #463939=DIRECTION('ref_axis',(-1.159804080848E-29,3.321810911445E-15,1.)); #463940=DIRECTION('center_axis',(-3.491481338843E-15,1.,-3.321810911445E-15)); #463941=DIRECTION('ref_axis',(0.707106781186547,2.61682076447296E-15,-0.707106781186548)); #463942=DIRECTION('',(1.,3.491481338843E-15,-7.9044106289408E-31)); #463943=DIRECTION('center_axis',(0.,2.26391318235146E-16,1.)); #463944=DIRECTION('ref_axis',(1.,0.,0.)); #463945=DIRECTION('',(-3.491481338843E-15,1.,-2.26391318235146E-16)); #463946=DIRECTION('center_axis',(-3.491481338843E-15,1.,-2.26391318235146E-16)); #463947=DIRECTION('ref_axis',(0.707106781186547,2.61682076447296E-15,-0.707106781186548)); #463948=DIRECTION('center_axis',(-5.55111512312578E-15,1.,0.)); #463949=DIRECTION('ref_axis',(0.,0.,1.)); #463950=DIRECTION('center_axis',(5.55111512312578E-15,-1.,0.)); #463951=DIRECTION('ref_axis',(0.,0.,-1.)); #463952=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #463953=DIRECTION('ref_axis',(0.,0.,1.)); #463954=DIRECTION('',(0.,0.,-1.)); #463955=DIRECTION('center_axis',(1.,3.56857699072172E-15,-7.13715398144343E-15)); #463956=DIRECTION('ref_axis',(-7.13715398144343E-15,0.,-1.)); #463957=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #463958=DIRECTION('ref_axis',(0.,0.,-1.)); #463959=DIRECTION('',(0.,0.,-1.)); #463960=DIRECTION('center_axis',(-9.81833656705719E-31,1.73472347597681E-16, -1.)); #463961=DIRECTION('ref_axis',(0.707106781186543,0.707106781186552,0.)); #463962=DIRECTION('',(-9.81833656705719E-31,1.73472347597681E-16,-1.)); #463963=DIRECTION('',(9.81833656705719E-31,-1.73472347597681E-16,1.)); #463964=DIRECTION('center_axis',(-4.44089209850063E-15,1.,1.73472347597681E-16)); #463965=DIRECTION('ref_axis',(0.,-1.73472347597681E-16,1.)); #463966=DIRECTION('center_axis',(-4.44089209850063E-15,1.,1.73472347597681E-16)); #463967=DIRECTION('ref_axis',(-1.,-3.491481338843E-15,3.407492542097E-15)); #463968=DIRECTION('',(3.407492542097E-15,-1.73472347597666E-16,1.)); #463969=DIRECTION('',(-1.,-4.44089209850063E-15,1.0053794163196E-30)); #463970=DIRECTION('',(-3.407492542097E-15,1.73472347597666E-16,-1.)); #463971=DIRECTION('center_axis',(4.44089209850063E-15,-1.,-1.73472347597681E-16)); #463972=DIRECTION('ref_axis',(-1.,-3.491481338843E-15,3.252606517456E-15)); #463973=DIRECTION('center_axis',(-1.,-4.44089209850063E-15,1.0053794163196E-30)); #463974=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #463975=DIRECTION('center_axis',(1.,3.321410409826E-15,-3.407492542097E-15)); #463976=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #463977=DIRECTION('',(1.,4.44089209850063E-15,-1.0053794163196E-30)); #463978=DIRECTION('center_axis',(-1.,-3.321410409826E-15,3.407492542097E-15)); #463979=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #463980=DIRECTION('center_axis',(0.,2.26391318235146E-16,1.)); #463981=DIRECTION('ref_axis',(1.,0.,0.)); #463982=DIRECTION('',(3.321410409826E-15,-1.,2.26391318235146E-16)); #463983=DIRECTION('',(1.,3.491481338843E-15,-7.9044106289408E-31)); #463984=DIRECTION('',(-3.321410409826E-15,1.,-2.26391318235146E-16)); #463985=DIRECTION('center_axis',(1.,3.321410409826E-15,-3.407492542097E-15)); #463986=DIRECTION('ref_axis',(-3.407492542097E-15,-1.189719662298E-29,-1.)); #463987=DIRECTION('',(3.321410409826E-15,-1.,1.700709290173E-16)); #463988=DIRECTION('',(-3.407492542097E-15,1.73472347597665E-16,-1.)); #463989=DIRECTION('center_axis',(1.,3.321410409826E-15,-3.407492542097E-15)); #463990=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #463991=DIRECTION('',(3.321410409826E-15,-1.,2.26391318235146E-16)); #463992=DIRECTION('',(3.321410409826E-15,-1.,2.26391318235146E-16)); #463993=DIRECTION('center_axis',(2.29517272179458E-31,-1.73472347597681E-16, 1.)); #463994=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #463995=DIRECTION('',(2.29517272179458E-31,-1.73472347597681E-16,1.)); #463996=DIRECTION('',(-2.29517272179458E-31,1.73472347597681E-16,-1.)); #463997=DIRECTION('center_axis',(4.44089209850062E-15,-1.,-1.73472347597681E-16)); #463998=DIRECTION('ref_axis',(0.,1.73472347597681E-16,-1.)); #463999=DIRECTION('center_axis',(-4.44089209850062E-15,1.,1.73472347597681E-16)); #464000=DIRECTION('ref_axis',(-1.,-3.491481338843E-15,3.252606517456E-15)); #464001=DIRECTION('',(3.407492542097E-15,-1.73472347597665E-16,1.)); #464002=DIRECTION('',(1.,4.44089209850062E-15,-1.0053794163196E-30)); #464003=DIRECTION('center_axis',(4.44089209850062E-15,-1.,-1.73472347597681E-16)); #464004=DIRECTION('ref_axis',(-1.,-3.491481338843E-15,3.407492542097E-15)); #464005=DIRECTION('center_axis',(1.,4.44089209850062E-15,-1.0053794163196E-30)); #464006=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #464007=DIRECTION('center_axis',(-1.,-3.321410409826E-15,3.407492542097E-15)); #464008=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #464009=DIRECTION('',(-1.,-4.44089209850062E-15,1.0053794163196E-30)); #464010=DIRECTION('center_axis',(1.,3.321410409826E-15,-3.407492542097E-15)); #464011=DIRECTION('ref_axis',(-3.407492542097E-15,-1.189719662298E-29,-1.)); #464012=DIRECTION('',(-3.321410409826E-15,1.,-2.26391318235146E-16)); #464013=DIRECTION('',(-3.321410409826E-15,1.,-2.26391318235146E-16)); #464014=DIRECTION('',(-3.321410409826E-15,1.,-1.700709290173E-16)); #464015=DIRECTION('center_axis',(-1.,-4.44089209850063E-15,0.)); #464016=DIRECTION('ref_axis',(3.92523114670944E-15,-0.707106781186548,-0.707106781186547)); #464017=DIRECTION('center_axis',(1.,3.66155226786E-15,3.407492542097E-15)); #464018=DIRECTION('ref_axis',(3.92523114670944E-15,-0.707106781186548,-0.707106781186547)); #464019=DIRECTION('',(1.,4.44089209850063E-15,0.)); #464020=DIRECTION('center_axis',(-1.,-3.66155226786E-15,-3.407492542097E-15)); #464021=DIRECTION('ref_axis',(3.92523114670944E-15,-0.707106781186548,-0.707106781186547)); #464022=DIRECTION('',(-1.,-4.44089209850063E-15,0.)); #464023=DIRECTION('center_axis',(-1.,-3.66155226786E-15,-3.407492542097E-15)); #464024=DIRECTION('ref_axis',(3.407492542097E-15,1.189719662298E-29,-1.)); #464025=DIRECTION('',(-3.407492542097E-15,-1.51323067058984E-29,1.)); #464026=DIRECTION('',(3.66155226786E-15,-1.,1.700709290173E-16)); #464027=DIRECTION('',(3.407492542097E-15,1.51323067058984E-29,-1.)); #464028=DIRECTION('center_axis',(1.,3.66155226786E-15,3.407492542097E-15)); #464029=DIRECTION('ref_axis',(-2.61682076447296E-15,0.707106781186548,-0.707106781186547)); #464030=DIRECTION('',(3.66155226786E-15,-1.,0.)); #464031=DIRECTION('',(3.66155226786E-15,-1.,0.)); #464032=DIRECTION('',(3.66155226786E-15,-1.,0.)); #464033=DIRECTION('center_axis',(-4.44089209850063E-15,1.,0.)); #464034=DIRECTION('ref_axis',(0.,0.,1.)); #464035=DIRECTION('',(3.407492542097E-15,1.51323067058984E-29,-1.)); #464036=DIRECTION('center_axis',(-4.44089209850063E-15,1.,0.)); #464037=DIRECTION('ref_axis',(1.,3.491481338843E-15,3.407492542097E-15)); #464038=DIRECTION('',(1.,4.44089209850063E-15,0.)); #464039=DIRECTION('',(0.,0.,1.)); #464040=DIRECTION('center_axis',(4.44089209850063E-15,-1.,0.)); #464041=DIRECTION('ref_axis',(1.,3.491481338843E-15,3.252606517457E-15)); #464042=DIRECTION('center_axis',(0.,0.,1.)); #464043=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #464044=DIRECTION('',(0.,0.,-1.)); #464045=DIRECTION('center_axis',(1.,4.44089209850062E-15,0.)); #464046=DIRECTION('ref_axis',(-2.61682076447296E-15,0.707106781186548,-0.707106781186547)); #464047=DIRECTION('center_axis',(-1.,-3.66155226786E-15,-3.407492542097E-15)); #464048=DIRECTION('ref_axis',(-2.61682076447296E-15,0.707106781186548,-0.707106781186547)); #464049=DIRECTION('',(-1.,-4.44089209850062E-15,0.)); #464050=DIRECTION('',(1.,4.44089209850062E-15,0.)); #464051=DIRECTION('center_axis',(-1.,-3.66155226786E-15,-3.407492542097E-15)); #464052=DIRECTION('ref_axis',(3.407492542097E-15,1.189719662298E-29,-1.)); #464053=DIRECTION('',(-3.66155226786E-15,1.,0.)); #464054=DIRECTION('',(-3.66155226786E-15,1.,0.)); #464055=DIRECTION('',(-3.66155226786E-15,1.,0.)); #464056=DIRECTION('',(-3.407492542097E-15,-1.51323067058984E-29,1.)); #464057=DIRECTION('',(-3.66155226786E-15,1.,-1.700709290173E-16)); #464058=DIRECTION('center_axis',(4.44089209850062E-15,-1.,0.)); #464059=DIRECTION('ref_axis',(0.,0.,-1.)); #464060=DIRECTION('center_axis',(-4.44089209850062E-15,1.,0.)); #464061=DIRECTION('ref_axis',(1.,3.491481338843E-15,3.252606517457E-15)); #464062=DIRECTION('',(0.,0.,-1.)); #464063=DIRECTION('',(-1.,-4.44089209850062E-15,0.)); #464064=DIRECTION('center_axis',(4.44089209850062E-15,-1.,0.)); #464065=DIRECTION('ref_axis',(1.,3.491481338843E-15,3.407492542097E-15)); #464066=DIRECTION('center_axis',(0.,0.,-1.)); #464067=DIRECTION('ref_axis',(-0.707106781186542,-0.707106781186553,0.)); #464068=DIRECTION('',(0.,0.,1.)); #464069=DIRECTION('center_axis',(3.491481338843E-15,-1.,1.807003620809E-16)); #464070=DIRECTION('ref_axis',(1.,3.491481338843E-15,3.252606517457E-15)); #464071=DIRECTION('center_axis',(0.,0.,-1.)); #464072=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #464073=DIRECTION('',(-3.491481338843E-15,1.,-1.807003620809E-16)); #464074=DIRECTION('center_axis',(3.491481338843E-15,-1.,1.807003620809E-16)); #464075=DIRECTION('ref_axis',(1.,3.491481338843E-15,3.407492542097E-15)); #464076=DIRECTION('center_axis',(0.,0.,1.)); #464077=DIRECTION('ref_axis',(1.,0.,0.)); #464078=DIRECTION('',(1.,3.491481338843E-15,0.)); #464079=DIRECTION('center_axis',(-1.,-1.323076993872E-15,0.)); #464080=DIRECTION('ref_axis',(1.323076993872E-15,-1.,0.)); #464081=DIRECTION('',(0.,0.,-1.)); #464082=DIRECTION('center_axis',(-1.,-5.659885683814E-15,0.)); #464083=DIRECTION('ref_axis',(5.659885683814E-15,-1.,0.)); #464084=DIRECTION('',(0.,0.,-1.)); #464085=DIRECTION('center_axis',(-3.491481338843E-15,1.,-1.807003620809E-16)); #464086=DIRECTION('ref_axis',(-1.,-3.491481338843E-15,3.407492542097E-15)); #464087=DIRECTION('center_axis',(0.,2.26391318235146E-16,1.)); #464088=DIRECTION('ref_axis',(1.,0.,0.)); #464089=DIRECTION('',(-1.,-3.491481338843E-15,7.9044106289408E-31)); #464090=DIRECTION('center_axis',(-3.491481338843E-15,1.,-1.807003620809E-16)); #464091=DIRECTION('ref_axis',(-1.,-3.491481338843E-15,3.252606517456E-15)); #464092=DIRECTION('center_axis',(-1.,-5.659885683814E-15,0.)); #464093=DIRECTION('ref_axis',(-5.659885683814E-15,1.,0.)); #464094=DIRECTION('',(0.,0.,-1.)); #464095=DIRECTION('center_axis',(-1.,-1.323076993872E-15,0.)); #464096=DIRECTION('ref_axis',(-1.323076993872E-15,1.,0.)); #464097=DIRECTION('',(0.,0.,-1.)); #464098=DIRECTION('center_axis',(0.,0.,1.)); #464099=DIRECTION('ref_axis',(1.,0.,0.)); #464100=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #464101=DIRECTION('center_axis',(4.730569535969E-15,-1.,0.)); #464102=DIRECTION('ref_axis',(1.,4.730569535969E-15,0.)); #464103=DIRECTION('',(0.,0.,-1.)); #464104=DIRECTION('center_axis',(-4.730569535969E-15,1.,0.)); #464105=DIRECTION('ref_axis',(-1.,-4.730569535969E-15,0.)); #464106=DIRECTION('',(0.,0.,-1.)); #464107=DIRECTION('',(-6.89897388094E-15,-3.507712910983E-16,-1.)); #464108=DIRECTION('center_axis',(0.,0.,-1.)); #464109=DIRECTION('ref_axis',(2.891205793295E-15,-1.,0.)); #464110=DIRECTION('',(-6.89897388094E-15,-3.507712910983E-16,-1.)); #464111=DIRECTION('center_axis',(0.,0.,-1.)); #464112=DIRECTION('ref_axis',(2.891205793295E-15,-1.,0.)); #464113=DIRECTION('center_axis',(0.,0.,1.)); #464114=DIRECTION('ref_axis',(-2.891205793295E-15,1.,0.)); #464115=DIRECTION('center_axis',(0.,0.,1.)); #464116=DIRECTION('ref_axis',(-2.891205793295E-15,1.,0.)); #464117=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #464118=DIRECTION('ref_axis',(0.,0.,-1.)); #464119=DIRECTION('center_axis',(-1.,-3.321410409826E-15,0.)); #464120=DIRECTION('ref_axis',(3.321410409826E-15,-1.,0.)); #464121=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #464122=DIRECTION('center_axis',(1.,3.321410409826E-15,0.)); #464123=DIRECTION('ref_axis',(3.321410409826E-15,-1.,0.)); #464124=DIRECTION('',(1.,3.491481338843E-15,0.)); #464125=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #464126=DIRECTION('ref_axis',(0.,0.,-1.)); #464127=DIRECTION('center_axis',(-1.,-3.321410409826E-15,0.)); #464128=DIRECTION('ref_axis',(3.321410409826E-15,-1.,0.)); #464129=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #464130=DIRECTION('center_axis',(1.,3.321410409826E-15,0.)); #464131=DIRECTION('ref_axis',(3.321410409826E-15,-1.,0.)); #464132=DIRECTION('',(1.,3.491481338843E-15,0.)); #464133=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #464134=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #464135=DIRECTION('',(-0.548840864027039,-1.91626763474314E-15,-0.83592685444006)); #464136=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #464137=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #464138=DIRECTION('',(1.,3.491481338843E-15,0.)); #464139=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #464140=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #464141=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #464142=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #464143=DIRECTION('',(0.548840864027039,1.91626763474314E-15,0.83592685444006)); #464144=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #464145=DIRECTION('ref_axis',(-2.07276761098E-31,-1.213339382103E-16,1.)); #464146=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #464147=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #464148=DIRECTION('ref_axis',(-1.171464836512E-31,-1.213339382103E-16,1.)); #464149=DIRECTION('center_axis',(3.321410409826E-15,-1.,0.)); #464150=DIRECTION('ref_axis',(1.,3.321410409826E-15,0.)); #464151=DIRECTION('',(3.321410409826E-15,-1.,0.)); #464152=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #464153=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #464154=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #464155=DIRECTION('ref_axis',(2.478176394253E-15,-1.21333938210291E-16, 1.)); #464156=DIRECTION('center_axis',(3.321410409826E-15,-1.,0.)); #464157=DIRECTION('ref_axis',(1.,3.321410409826E-15,0.)); #464158=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #464159=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #464160=DIRECTION('',(3.34946334657E-15,-1.,6.311910767603E-17)); #464161=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #464162=DIRECTION('ref_axis',(-2.07276761098E-31,-1.213339382103E-16,1.)); #464163=DIRECTION('center_axis',(-1.,-3.321410409826E-15,0.)); #464164=DIRECTION('ref_axis',(3.321410409826E-15,-1.,0.)); #464165=DIRECTION('',(4.02999805437E-31,-1.213339382103E-16,1.)); #464166=DIRECTION('',(3.321410409826E-15,-1.,0.)); #464167=DIRECTION('',(-4.02999805437E-31,1.213339382103E-16,-1.)); #464168=DIRECTION('',(3.321410409826E-15,-1.,0.)); #464169=DIRECTION('center_axis',(3.321410409826E-15,-1.,0.)); #464170=DIRECTION('ref_axis',(1.,3.321410409826E-15,0.)); #464171=DIRECTION('',(3.321410409826E-15,-1.,0.)); #464172=DIRECTION('center_axis',(-0.548840864027039,-1.82292575911513E-15, -0.83592685444006)); #464173=DIRECTION('ref_axis',(-0.83592685444006,-2.91862301291621E-15,0.548840864027039)); #464174=DIRECTION('',(0.83592685444006,2.98521603640021E-15,-0.548840864027039)); #464175=DIRECTION('',(3.321410409826E-15,-1.,0.)); #464176=DIRECTION('',(-0.83592685444006,-2.98521603640021E-15,0.548840864027039)); #464177=DIRECTION('center_axis',(3.321410409826E-15,-1.,0.)); #464178=DIRECTION('ref_axis',(-0.959437118914254,-3.18668443433527E-15, -0.281922710772857)); #464179=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #464180=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #464181=DIRECTION('',(3.321410409826E-15,-1.,0.)); #464182=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #464183=DIRECTION('ref_axis',(1.156482317318E-14,-1.2133393821026E-16,1.)); #464184=DIRECTION('center_axis',(0.913811548622195,3.03514319021265E-15, -0.406138466049087)); #464185=DIRECTION('ref_axis',(-0.406138466049087,-1.4180248751983E-15,-0.913811548622195)); #464186=DIRECTION('',(0.406138466049087,1.30714852122128E-15,0.913811548622195)); #464187=DIRECTION('',(-0.406138466049087,-1.30714852122128E-15,-0.913811548622195)); #464188=DIRECTION('center_axis',(0.,0.,-1.)); #464189=DIRECTION('ref_axis',(3.321410409826E-15,-1.,0.)); #464190=DIRECTION('',(3.321410409826E-15,-1.,0.)); #464191=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #464192=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #464193=DIRECTION('',(4.02999805437E-31,-1.213339382103E-16,1.)); #464194=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #464195=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #464196=DIRECTION('',(-4.02999805437E-31,1.213339382103E-16,-1.)); #464197=DIRECTION('center_axis',(-1.,-3.321410409826E-15,0.)); #464198=DIRECTION('ref_axis',(3.321410409826E-15,-1.,0.)); #464199=DIRECTION('',(3.321410409826E-15,-1.,0.)); #464200=DIRECTION('center_axis',(3.321410409826E-15,-1.,0.)); #464201=DIRECTION('ref_axis',(1.,3.321410409826E-15,0.)); #464202=DIRECTION('',(3.440251503174E-15,-1.,-7.8026980481E-17)); #464203=DIRECTION('center_axis',(-0.548840864027039,-1.82292575911513E-15, -0.83592685444006)); #464204=DIRECTION('ref_axis',(-0.83592685444006,-2.91862301291621E-15,0.548840864027039)); #464205=DIRECTION('',(3.321410409826E-15,-1.,0.)); #464206=DIRECTION('',(0.83592685444006,2.98521603640021E-15,-0.548840864027039)); #464207=DIRECTION('',(-0.83592685444006,-2.98521603640021E-15,0.548840864027039)); #464208=DIRECTION('center_axis',(3.321410409826E-15,-1.,0.)); #464209=DIRECTION('ref_axis',(-0.959437118913447,-3.18668443433259E-15, -0.281922710775605)); #464210=DIRECTION('',(3.34946334657E-15,-1.,6.311910767603E-17)); #464211=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #464212=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #464213=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #464214=DIRECTION('ref_axis',(-1.171464836512E-31,-1.213339382103E-16,1.)); #464215=DIRECTION('center_axis',(0.913811548622195,3.03514319021265E-15, -0.406138466049087)); #464216=DIRECTION('ref_axis',(-0.406138466049087,-1.4180248751983E-15,-0.913811548622195)); #464217=DIRECTION('',(0.406138466049087,1.30714852122128E-15,0.913811548622195)); #464218=DIRECTION('',(-0.406138466049087,-1.30714852122128E-15,-0.913811548622195)); #464219=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #464220=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #464221=DIRECTION('',(-0.548840864027039,-1.91626763474314E-15,-0.83592685444006)); #464222=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #464223=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #464224=DIRECTION('',(0.913811548622195,3.19055596923368E-15,-0.406138466049087)); #464225=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #464226=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #464227=DIRECTION('',(-0.913811548622195,-3.19055596923368E-15,0.406138466049087)); #464228=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #464229=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #464230=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #464231=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #464232=DIRECTION('',(0.548840864027039,1.91626763474314E-15,0.83592685444006)); #464233=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #464234=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #464235=DIRECTION('',(-0.913811548622195,-3.19055596923368E-15,0.406138466049087)); #464236=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #464237=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #464238=DIRECTION('',(0.913811548622195,3.19055596923368E-15,-0.406138466049087)); #464239=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #464240=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #464241=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #464242=DIRECTION('ref_axis',(0.,0.,-1.)); #464243=DIRECTION('center_axis',(-1.,-3.66155226786E-15,0.)); #464244=DIRECTION('ref_axis',(3.66155226786E-15,-1.,0.)); #464245=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #464246=DIRECTION('center_axis',(1.,3.66155226786E-15,0.)); #464247=DIRECTION('ref_axis',(3.66155226786E-15,-1.,0.)); #464248=DIRECTION('',(1.,3.491481338843E-15,0.)); #464249=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #464250=DIRECTION('ref_axis',(0.,0.,-1.)); #464251=DIRECTION('center_axis',(-1.,-3.66155226786E-15,0.)); #464252=DIRECTION('ref_axis',(3.66155226786E-15,-1.,0.)); #464253=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #464254=DIRECTION('center_axis',(1.,3.66155226786E-15,0.)); #464255=DIRECTION('ref_axis',(3.66155226786E-15,-1.,0.)); #464256=DIRECTION('',(1.,3.491481338843E-15,0.)); #464257=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #464258=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #464259=DIRECTION('',(-0.548840864027039,-1.91626763474314E-15,0.83592685444006)); #464260=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #464261=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #464262=DIRECTION('',(1.,3.491481338843E-15,0.)); #464263=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #464264=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #464265=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #464266=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #464267=DIRECTION('',(0.548840864027039,1.91626763474314E-15,-0.83592685444006)); #464268=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #464269=DIRECTION('ref_axis',(-7.434529182758E-15,-1.21333938210326E-16, 1.)); #464270=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #464271=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #464272=DIRECTION('ref_axis',(-2.07276761098E-31,-1.213339382103E-16,1.)); #464273=DIRECTION('center_axis',(3.66155226786E-15,-1.,0.)); #464274=DIRECTION('ref_axis',(1.,3.66155226786E-15,0.)); #464275=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #464276=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #464277=DIRECTION('',(3.66155226786E-15,-1.,0.)); #464278=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #464279=DIRECTION('ref_axis',(-1.171464836512E-31,-1.213339382103E-16,1.)); #464280=DIRECTION('center_axis',(3.66155226786E-15,-1.,0.)); #464281=DIRECTION('ref_axis',(1.,3.66155226786E-15,0.)); #464282=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #464283=DIRECTION('ref_axis',(-2.07276761098E-31,-1.213339382103E-16,1.)); #464284=DIRECTION('',(3.633499331116E-15,-1.,6.311910767603E-17)); #464285=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #464286=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #464287=DIRECTION('center_axis',(-1.,-3.66155226786E-15,0.)); #464288=DIRECTION('ref_axis',(3.66155226786E-15,-1.,0.)); #464289=DIRECTION('',(3.66155226786E-15,-1.,0.)); #464290=DIRECTION('',(4.442705566225E-31,-1.213339382103E-16,1.)); #464291=DIRECTION('',(3.66155226786E-15,-1.,0.)); #464292=DIRECTION('',(-4.442705566225E-31,1.213339382103E-16,-1.)); #464293=DIRECTION('center_axis',(3.66155226786E-15,-1.,0.)); #464294=DIRECTION('ref_axis',(1.,3.66155226786E-15,0.)); #464295=DIRECTION('',(3.66155226786E-15,-1.,0.)); #464296=DIRECTION('center_axis',(-0.548840864027039,-2.00960951037114E-15, 0.83592685444006)); #464297=DIRECTION('ref_axis',(0.83592685444006,2.91862301291621E-15,0.548840864027039)); #464298=DIRECTION('',(3.66155226786E-15,-1.,0.)); #464299=DIRECTION('',(-0.83592685444006,-2.8520299894332E-15,-0.548840864027039)); #464300=DIRECTION('',(0.83592685444006,2.8520299894332E-15,0.548840864027039)); #464301=DIRECTION('center_axis',(0.913811548622195,3.34596874825472E-15, 0.406138466049087)); #464302=DIRECTION('ref_axis',(0.406138466049087,1.4180248751983E-15,-0.913811548622195)); #464303=DIRECTION('',(-0.406138466049087,-1.52890122917433E-15,0.913811548622195)); #464304=DIRECTION('',(3.66155226786E-15,-1.,0.)); #464305=DIRECTION('',(0.406138466049087,1.52890122917433E-15,-0.913811548622195)); #464306=DIRECTION('center_axis',(0.,0.,-1.)); #464307=DIRECTION('ref_axis',(3.66155226786E-15,-1.,0.)); #464308=DIRECTION('',(3.66155226786E-15,-1.,0.)); #464309=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #464310=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #464311=DIRECTION('',(4.442705566225E-31,-1.213339382103E-16,1.)); #464312=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #464313=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #464314=DIRECTION('',(-4.442705566225E-31,1.213339382103E-16,-1.)); #464315=DIRECTION('center_axis',(-1.,-3.66155226786E-15,0.)); #464316=DIRECTION('ref_axis',(3.66155226786E-15,-1.,0.)); #464317=DIRECTION('',(3.66155226786E-15,-1.,0.)); #464318=DIRECTION('center_axis',(3.66155226786E-15,-1.,0.)); #464319=DIRECTION('ref_axis',(1.,3.66155226786E-15,0.)); #464320=DIRECTION('',(3.542711174512E-15,-1.,-7.8026980481E-17)); #464321=DIRECTION('center_axis',(-0.548840864027039,-2.00960951037114E-15, 0.83592685444006)); #464322=DIRECTION('ref_axis',(0.83592685444006,2.91862301291621E-15,0.548840864027039)); #464323=DIRECTION('',(-0.83592685444006,-2.8520299894332E-15,-0.548840864027039)); #464324=DIRECTION('',(3.66155226786E-15,-1.,0.)); #464325=DIRECTION('',(0.83592685444006,2.8520299894332E-15,0.548840864027039)); #464326=DIRECTION('center_axis',(0.913811548622195,3.34596874825472E-15, 0.406138466049087)); #464327=DIRECTION('ref_axis',(0.406138466049087,1.4180248751983E-15,-0.913811548622195)); #464328=DIRECTION('',(3.633499331116E-15,-1.,6.311910767603E-17)); #464329=DIRECTION('',(-0.406138466049087,-1.52890122917433E-15,0.913811548622195)); #464330=DIRECTION('',(0.406138466049087,1.52890122917433E-15,-0.913811548622195)); #464331=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #464332=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #464333=DIRECTION('',(-0.548840864027039,-1.91626763474314E-15,0.83592685444006)); #464334=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #464335=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #464336=DIRECTION('',(0.913811548622195,3.19055596923368E-15,0.406138466049087)); #464337=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #464338=DIRECTION('ref_axis',(-2.07276761098E-31,-1.213339382103E-16,1.)); #464339=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #464340=DIRECTION('ref_axis',(-1.171464836512E-31,-1.213339382103E-16,1.)); #464341=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #464342=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #464343=DIRECTION('',(-0.913811548622195,-3.19055596923368E-15,-0.406138466049087)); #464344=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #464345=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #464346=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #464347=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #464348=DIRECTION('',(0.548840864027039,1.91626763474314E-15,-0.83592685444006)); #464349=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #464350=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #464351=DIRECTION('',(0.913811548622195,3.19055596923368E-15,0.406138466049087)); #464352=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #464353=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #464354=DIRECTION('',(-0.913811548622195,-3.19055596923368E-15,-0.406138466049087)); #464355=DIRECTION('center_axis',(3.66155226786E-15,-1.,0.)); #464356=DIRECTION('ref_axis',(1.,3.66155226786E-15,0.)); #464357=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #464358=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #464359=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #464360=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #464361=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #464362=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #464363=DIRECTION('center_axis',(3.66155226786E-15,-1.,0.)); #464364=DIRECTION('ref_axis',(1.,3.66155226786E-15,0.)); #464365=DIRECTION('',(0.,0.,1.)); #464366=DIRECTION('',(1.,0.,0.)); #464367=DIRECTION('axis',(0.,0.,1.)); #464368=DIRECTION('refdir',(1.,0.,0.)); #464369=DIRECTION('axis',(0.,0.,1.)); #464370=DIRECTION('refdir',(1.,0.,0.)); #464371=DIRECTION('center_axis',(0.,0.,-1.)); #464372=DIRECTION('ref_axis',(1.,0.,0.)); #464373=DIRECTION('center_axis',(0.,0.,-1.)); #464374=DIRECTION('ref_axis',(1.,0.,0.)); #464375=DIRECTION('',(0.,0.,-1.)); #464376=DIRECTION('center_axis',(0.,0.,-1.)); #464377=DIRECTION('ref_axis',(1.,0.,0.)); #464378=DIRECTION('center_axis',(0.,0.,1.)); #464379=DIRECTION('ref_axis',(1.,0.,0.)); #464380=DIRECTION('center_axis',(0.707106781186548,0.,0.707106781186548)); #464381=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #464382=DIRECTION('',(0.,-1.,0.)); #464383=DIRECTION('',(0.577350269189626,-0.577350269189626,-0.577350269189626)); #464384=DIRECTION('',(0.,1.,0.)); #464385=DIRECTION('',(-0.577350269189629,-0.577350269189624,0.577350269189624)); #464386=DIRECTION('center_axis',(0.,-0.707106781186548,0.707106781186548)); #464387=DIRECTION('ref_axis',(1.,0.,0.)); #464388=DIRECTION('',(1.,0.,0.)); #464389=DIRECTION('',(-1.,0.,0.)); #464390=DIRECTION('',(0.577350269189629,0.577350269189624,0.577350269189624)); #464391=DIRECTION('center_axis',(0.,0.707106781186548,0.707106781186548)); #464392=DIRECTION('ref_axis',(1.,0.,0.)); #464393=DIRECTION('',(1.,0.,0.)); #464394=DIRECTION('',(-1.,0.,0.)); #464395=DIRECTION('',(0.577350269189624,-0.577350269189629,0.577350269189624)); #464396=DIRECTION('center_axis',(-0.707106781186548,0.,0.707106781186548)); #464397=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #464398=DIRECTION('',(0.,1.,0.)); #464399=DIRECTION('',(0.,-1.,0.)); #464400=DIRECTION('center_axis',(0.,1.,0.)); #464401=DIRECTION('ref_axis',(1.,0.,0.)); #464402=DIRECTION('',(0.,0.,-1.)); #464403=DIRECTION('',(1.,0.,0.)); #464404=DIRECTION('',(0.,0.,-1.)); #464405=DIRECTION('center_axis',(1.,0.,0.)); #464406=DIRECTION('ref_axis',(0.,-1.,0.)); #464407=DIRECTION('',(0.,0.,-1.)); #464408=DIRECTION('',(0.,-1.,0.)); #464409=DIRECTION('center_axis',(0.,0.,1.)); #464410=DIRECTION('ref_axis',(1.,0.,0.)); #464411=DIRECTION('center_axis',(-1.,0.,0.)); #464412=DIRECTION('ref_axis',(0.,1.,0.)); #464413=DIRECTION('',(0.,1.,0.)); #464414=DIRECTION('',(0.,0.,-1.)); #464415=DIRECTION('center_axis',(0.,-1.,0.)); #464416=DIRECTION('ref_axis',(-1.,0.,0.)); #464417=DIRECTION('',(-1.,0.,0.)); #464418=DIRECTION('center_axis',(0.,0.,-1.)); #464419=DIRECTION('ref_axis',(-1.,0.,0.)); #464420=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #464421=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #464422=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #464423=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #464424=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #464425=DIRECTION('',(0.,1.,0.)); #464426=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #464427=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #464428=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #464429=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #464430=DIRECTION('center_axis',(0.,0.,1.)); #464431=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #464432=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #464433=DIRECTION('center_axis',(0.,0.,1.)); #464434=DIRECTION('ref_axis',(1.,0.,0.)); #464435=DIRECTION('',(1.,0.,0.)); #464436=DIRECTION('',(0.,1.,0.)); #464437=DIRECTION('',(1.,0.,0.)); #464438=DIRECTION('',(0.,1.,0.)); #464439=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #464440=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #464441=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #464442=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #464443=DIRECTION('center_axis',(0.,0.,-1.)); #464444=DIRECTION('ref_axis',(-1.,0.,0.)); #464445=DIRECTION('',(-1.,0.,0.)); #464446=DIRECTION('',(0.,1.,0.)); #464447=DIRECTION('',(-1.,0.,0.)); #464448=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #464449=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #464450=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #464451=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #464452=DIRECTION('center_axis',(0.,1.,0.)); #464453=DIRECTION('ref_axis',(0.,0.,1.)); #464454=DIRECTION('center_axis',(0.,1.,0.)); #464455=DIRECTION('ref_axis',(1.,0.,0.)); #464456=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #464457=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #464458=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #464459=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #464460=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #464461=DIRECTION('',(0.,1.,0.)); #464462=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #464463=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #464464=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #464465=DIRECTION('',(1.11022302462516E-14,0.,1.)); #464466=DIRECTION('center_axis',(0.,0.,-1.)); #464467=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #464468=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #464469=DIRECTION('center_axis',(0.,0.,-1.)); #464470=DIRECTION('ref_axis',(-1.,0.,0.)); #464471=DIRECTION('',(-1.,0.,0.)); #464472=DIRECTION('',(0.,1.,0.)); #464473=DIRECTION('',(-1.,0.,0.)); #464474=DIRECTION('',(0.,1.,0.)); #464475=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #464476=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #464477=DIRECTION('',(3.70074341541719E-15,0.,1.)); #464478=DIRECTION('',(3.70074341541719E-15,0.,1.)); #464479=DIRECTION('center_axis',(0.,0.,1.)); #464480=DIRECTION('ref_axis',(1.,0.,0.)); #464481=DIRECTION('',(1.,0.,0.)); #464482=DIRECTION('',(0.,1.,0.)); #464483=DIRECTION('',(1.,0.,0.)); #464484=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #464485=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #464486=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #464487=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #464488=DIRECTION('center_axis',(0.,-1.,0.)); #464489=DIRECTION('ref_axis',(0.,0.,1.)); #464490=DIRECTION('center_axis',(0.,-1.,0.)); #464491=DIRECTION('ref_axis',(-1.,0.,0.)); #464492=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #464493=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #464494=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #464495=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #464496=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #464497=DIRECTION('',(0.,1.,0.)); #464498=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #464499=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #464500=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #464501=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #464502=DIRECTION('center_axis',(0.,0.,1.)); #464503=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #464504=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #464505=DIRECTION('center_axis',(0.,0.,1.)); #464506=DIRECTION('ref_axis',(1.,0.,0.)); #464507=DIRECTION('',(1.,0.,0.)); #464508=DIRECTION('',(0.,1.,0.)); #464509=DIRECTION('',(1.,0.,0.)); #464510=DIRECTION('',(0.,1.,0.)); #464511=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #464512=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #464513=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #464514=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #464515=DIRECTION('center_axis',(0.,0.,-1.)); #464516=DIRECTION('ref_axis',(-1.,0.,0.)); #464517=DIRECTION('',(-1.,0.,0.)); #464518=DIRECTION('',(0.,1.,0.)); #464519=DIRECTION('',(-1.,0.,0.)); #464520=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #464521=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #464522=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #464523=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #464524=DIRECTION('center_axis',(0.,1.,0.)); #464525=DIRECTION('ref_axis',(0.,0.,1.)); #464526=DIRECTION('center_axis',(0.,1.,0.)); #464527=DIRECTION('ref_axis',(1.,0.,0.)); #464528=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #464529=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #464530=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #464531=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #464532=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #464533=DIRECTION('',(0.,1.,0.)); #464534=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #464535=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #464536=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #464537=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #464538=DIRECTION('center_axis',(0.,0.,1.)); #464539=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #464540=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #464541=DIRECTION('center_axis',(0.,0.,1.)); #464542=DIRECTION('ref_axis',(1.,0.,0.)); #464543=DIRECTION('',(1.,0.,0.)); #464544=DIRECTION('',(0.,1.,0.)); #464545=DIRECTION('',(1.,0.,0.)); #464546=DIRECTION('',(0.,1.,0.)); #464547=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #464548=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #464549=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #464550=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #464551=DIRECTION('center_axis',(0.,0.,-1.)); #464552=DIRECTION('ref_axis',(-1.,0.,0.)); #464553=DIRECTION('',(-1.,0.,0.)); #464554=DIRECTION('',(0.,1.,0.)); #464555=DIRECTION('',(-1.,0.,0.)); #464556=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #464557=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #464558=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #464559=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #464560=DIRECTION('center_axis',(0.,1.,0.)); #464561=DIRECTION('ref_axis',(0.,0.,1.)); #464562=DIRECTION('center_axis',(0.,1.,0.)); #464563=DIRECTION('ref_axis',(1.,0.,0.)); #464564=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #464565=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #464566=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #464567=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #464568=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #464569=DIRECTION('',(0.,1.,0.)); #464570=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #464571=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #464572=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #464573=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #464574=DIRECTION('center_axis',(0.,0.,1.)); #464575=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #464576=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #464577=DIRECTION('center_axis',(0.,0.,1.)); #464578=DIRECTION('ref_axis',(1.,0.,0.)); #464579=DIRECTION('',(1.,0.,0.)); #464580=DIRECTION('',(0.,1.,0.)); #464581=DIRECTION('',(1.,0.,0.)); #464582=DIRECTION('',(0.,1.,0.)); #464583=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #464584=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #464585=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #464586=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #464587=DIRECTION('center_axis',(0.,0.,-1.)); #464588=DIRECTION('ref_axis',(-1.,0.,0.)); #464589=DIRECTION('',(-1.,0.,0.)); #464590=DIRECTION('',(0.,1.,0.)); #464591=DIRECTION('',(-1.,0.,0.)); #464592=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #464593=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #464594=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #464595=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #464596=DIRECTION('center_axis',(0.,1.,0.)); #464597=DIRECTION('ref_axis',(0.,0.,1.)); #464598=DIRECTION('center_axis',(0.,1.,0.)); #464599=DIRECTION('ref_axis',(1.,0.,0.)); #464600=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #464601=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #464602=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #464603=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #464604=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #464605=DIRECTION('',(0.,1.,0.)); #464606=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #464607=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #464608=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #464609=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #464610=DIRECTION('center_axis',(0.,0.,1.)); #464611=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #464612=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #464613=DIRECTION('center_axis',(0.,0.,1.)); #464614=DIRECTION('ref_axis',(1.,0.,0.)); #464615=DIRECTION('',(1.,0.,0.)); #464616=DIRECTION('',(0.,1.,0.)); #464617=DIRECTION('',(1.,0.,0.)); #464618=DIRECTION('',(0.,1.,0.)); #464619=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #464620=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #464621=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #464622=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #464623=DIRECTION('center_axis',(0.,0.,-1.)); #464624=DIRECTION('ref_axis',(-1.,0.,0.)); #464625=DIRECTION('',(-1.,0.,0.)); #464626=DIRECTION('',(0.,1.,0.)); #464627=DIRECTION('',(-1.,0.,0.)); #464628=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #464629=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #464630=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #464631=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #464632=DIRECTION('center_axis',(0.,1.,0.)); #464633=DIRECTION('ref_axis',(0.,0.,1.)); #464634=DIRECTION('center_axis',(0.,1.,0.)); #464635=DIRECTION('ref_axis',(1.,0.,0.)); #464636=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #464637=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #464638=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #464639=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #464640=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #464641=DIRECTION('',(0.,1.,0.)); #464642=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #464643=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #464644=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #464645=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #464646=DIRECTION('center_axis',(0.,0.,1.)); #464647=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #464648=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #464649=DIRECTION('center_axis',(0.,0.,1.)); #464650=DIRECTION('ref_axis',(1.,0.,0.)); #464651=DIRECTION('',(1.,0.,0.)); #464652=DIRECTION('',(0.,1.,0.)); #464653=DIRECTION('',(1.,0.,0.)); #464654=DIRECTION('',(0.,1.,0.)); #464655=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #464656=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #464657=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #464658=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #464659=DIRECTION('center_axis',(0.,0.,-1.)); #464660=DIRECTION('ref_axis',(-1.,0.,0.)); #464661=DIRECTION('',(-1.,0.,0.)); #464662=DIRECTION('',(0.,1.,0.)); #464663=DIRECTION('',(-1.,0.,0.)); #464664=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #464665=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #464666=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #464667=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #464668=DIRECTION('center_axis',(0.,1.,0.)); #464669=DIRECTION('ref_axis',(0.,0.,1.)); #464670=DIRECTION('center_axis',(0.,1.,0.)); #464671=DIRECTION('ref_axis',(1.,0.,0.)); #464672=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #464673=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #464674=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #464675=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #464676=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #464677=DIRECTION('',(0.,1.,0.)); #464678=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #464679=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #464680=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #464681=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #464682=DIRECTION('center_axis',(0.,0.,1.)); #464683=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #464684=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #464685=DIRECTION('center_axis',(0.,0.,1.)); #464686=DIRECTION('ref_axis',(1.,0.,0.)); #464687=DIRECTION('',(1.,0.,0.)); #464688=DIRECTION('',(0.,1.,0.)); #464689=DIRECTION('',(1.,0.,0.)); #464690=DIRECTION('',(0.,1.,0.)); #464691=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #464692=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #464693=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #464694=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #464695=DIRECTION('center_axis',(0.,0.,-1.)); #464696=DIRECTION('ref_axis',(-1.,0.,0.)); #464697=DIRECTION('',(-1.,0.,0.)); #464698=DIRECTION('',(0.,1.,0.)); #464699=DIRECTION('',(-1.,0.,0.)); #464700=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #464701=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #464702=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #464703=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #464704=DIRECTION('center_axis',(0.,1.,0.)); #464705=DIRECTION('ref_axis',(0.,0.,1.)); #464706=DIRECTION('center_axis',(0.,1.,0.)); #464707=DIRECTION('ref_axis',(1.,0.,0.)); #464708=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #464709=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #464710=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #464711=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #464712=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #464713=DIRECTION('',(0.,1.,0.)); #464714=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #464715=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #464716=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #464717=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #464718=DIRECTION('center_axis',(0.,0.,1.)); #464719=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #464720=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #464721=DIRECTION('center_axis',(0.,0.,1.)); #464722=DIRECTION('ref_axis',(1.,0.,0.)); #464723=DIRECTION('',(1.,0.,0.)); #464724=DIRECTION('',(0.,1.,0.)); #464725=DIRECTION('',(1.,0.,0.)); #464726=DIRECTION('',(0.,1.,0.)); #464727=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #464728=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #464729=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #464730=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #464731=DIRECTION('center_axis',(0.,0.,-1.)); #464732=DIRECTION('ref_axis',(-1.,0.,0.)); #464733=DIRECTION('',(-1.,0.,0.)); #464734=DIRECTION('',(0.,1.,0.)); #464735=DIRECTION('',(-1.,0.,0.)); #464736=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #464737=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #464738=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #464739=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #464740=DIRECTION('center_axis',(0.,1.,0.)); #464741=DIRECTION('ref_axis',(0.,0.,1.)); #464742=DIRECTION('center_axis',(0.,1.,0.)); #464743=DIRECTION('ref_axis',(1.,0.,0.)); #464744=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #464745=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #464746=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #464747=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #464748=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #464749=DIRECTION('',(0.,1.,0.)); #464750=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #464751=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #464752=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #464753=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #464754=DIRECTION('center_axis',(0.,0.,1.)); #464755=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #464756=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #464757=DIRECTION('center_axis',(0.,0.,1.)); #464758=DIRECTION('ref_axis',(1.,0.,0.)); #464759=DIRECTION('',(1.,0.,0.)); #464760=DIRECTION('',(0.,1.,0.)); #464761=DIRECTION('',(1.,0.,0.)); #464762=DIRECTION('',(0.,1.,0.)); #464763=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #464764=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #464765=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #464766=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #464767=DIRECTION('center_axis',(0.,0.,-1.)); #464768=DIRECTION('ref_axis',(-1.,0.,0.)); #464769=DIRECTION('',(-1.,0.,0.)); #464770=DIRECTION('',(0.,1.,0.)); #464771=DIRECTION('',(-1.,0.,0.)); #464772=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #464773=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #464774=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #464775=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #464776=DIRECTION('center_axis',(0.,1.,0.)); #464777=DIRECTION('ref_axis',(0.,0.,1.)); #464778=DIRECTION('center_axis',(0.,1.,0.)); #464779=DIRECTION('ref_axis',(1.,0.,0.)); #464780=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #464781=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #464782=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #464783=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #464784=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #464785=DIRECTION('',(0.,1.,0.)); #464786=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #464787=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #464788=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #464789=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #464790=DIRECTION('center_axis',(0.,0.,1.)); #464791=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #464792=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #464793=DIRECTION('center_axis',(0.,0.,1.)); #464794=DIRECTION('ref_axis',(1.,0.,0.)); #464795=DIRECTION('',(1.,0.,0.)); #464796=DIRECTION('',(0.,1.,0.)); #464797=DIRECTION('',(1.,0.,0.)); #464798=DIRECTION('',(0.,1.,0.)); #464799=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #464800=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #464801=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #464802=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #464803=DIRECTION('center_axis',(0.,0.,-1.)); #464804=DIRECTION('ref_axis',(-1.,0.,0.)); #464805=DIRECTION('',(-1.,0.,0.)); #464806=DIRECTION('',(0.,1.,0.)); #464807=DIRECTION('',(-1.,0.,0.)); #464808=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #464809=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #464810=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #464811=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #464812=DIRECTION('center_axis',(0.,1.,0.)); #464813=DIRECTION('ref_axis',(0.,0.,1.)); #464814=DIRECTION('center_axis',(0.,1.,0.)); #464815=DIRECTION('ref_axis',(1.,0.,0.)); #464816=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #464817=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #464818=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #464819=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #464820=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #464821=DIRECTION('',(0.,1.,0.)); #464822=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #464823=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #464824=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #464825=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #464826=DIRECTION('center_axis',(0.,0.,1.)); #464827=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #464828=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #464829=DIRECTION('center_axis',(0.,0.,1.)); #464830=DIRECTION('ref_axis',(1.,0.,0.)); #464831=DIRECTION('',(1.,0.,0.)); #464832=DIRECTION('',(0.,1.,0.)); #464833=DIRECTION('',(1.,0.,0.)); #464834=DIRECTION('',(0.,1.,0.)); #464835=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #464836=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #464837=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #464838=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #464839=DIRECTION('center_axis',(0.,0.,-1.)); #464840=DIRECTION('ref_axis',(-1.,0.,0.)); #464841=DIRECTION('',(-1.,0.,0.)); #464842=DIRECTION('',(0.,1.,0.)); #464843=DIRECTION('',(-1.,0.,0.)); #464844=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #464845=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #464846=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #464847=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #464848=DIRECTION('center_axis',(0.,1.,0.)); #464849=DIRECTION('ref_axis',(0.,0.,1.)); #464850=DIRECTION('center_axis',(0.,1.,0.)); #464851=DIRECTION('ref_axis',(1.,0.,0.)); #464852=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #464853=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #464854=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #464855=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #464856=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #464857=DIRECTION('',(0.,1.,0.)); #464858=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #464859=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #464860=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #464861=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #464862=DIRECTION('center_axis',(0.,0.,1.)); #464863=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #464864=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #464865=DIRECTION('center_axis',(0.,0.,1.)); #464866=DIRECTION('ref_axis',(1.,0.,0.)); #464867=DIRECTION('',(1.,0.,0.)); #464868=DIRECTION('',(0.,1.,0.)); #464869=DIRECTION('',(1.,0.,0.)); #464870=DIRECTION('',(0.,1.,0.)); #464871=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #464872=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #464873=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #464874=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #464875=DIRECTION('center_axis',(0.,0.,-1.)); #464876=DIRECTION('ref_axis',(-1.,0.,0.)); #464877=DIRECTION('',(-1.,0.,0.)); #464878=DIRECTION('',(0.,1.,0.)); #464879=DIRECTION('',(-1.,0.,0.)); #464880=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #464881=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #464882=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #464883=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #464884=DIRECTION('center_axis',(0.,1.,0.)); #464885=DIRECTION('ref_axis',(0.,0.,1.)); #464886=DIRECTION('center_axis',(0.,1.,0.)); #464887=DIRECTION('ref_axis',(1.,0.,0.)); #464888=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #464889=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #464890=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #464891=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #464892=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #464893=DIRECTION('',(0.,1.,0.)); #464894=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #464895=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #464896=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #464897=DIRECTION('',(1.11022302462516E-14,0.,1.)); #464898=DIRECTION('center_axis',(0.,0.,-1.)); #464899=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #464900=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #464901=DIRECTION('center_axis',(0.,0.,-1.)); #464902=DIRECTION('ref_axis',(-1.,0.,0.)); #464903=DIRECTION('',(-1.,0.,0.)); #464904=DIRECTION('',(0.,1.,0.)); #464905=DIRECTION('',(-1.,0.,0.)); #464906=DIRECTION('',(0.,1.,0.)); #464907=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #464908=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #464909=DIRECTION('',(3.70074341541719E-15,0.,1.)); #464910=DIRECTION('',(3.70074341541719E-15,0.,1.)); #464911=DIRECTION('center_axis',(0.,0.,1.)); #464912=DIRECTION('ref_axis',(1.,0.,0.)); #464913=DIRECTION('',(1.,0.,0.)); #464914=DIRECTION('',(0.,1.,0.)); #464915=DIRECTION('',(1.,0.,0.)); #464916=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #464917=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #464918=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #464919=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #464920=DIRECTION('center_axis',(0.,-1.,0.)); #464921=DIRECTION('ref_axis',(0.,0.,1.)); #464922=DIRECTION('center_axis',(0.,-1.,0.)); #464923=DIRECTION('ref_axis',(-1.,0.,0.)); #464924=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #464925=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #464926=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #464927=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #464928=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #464929=DIRECTION('',(0.,1.,0.)); #464930=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #464931=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #464932=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #464933=DIRECTION('',(1.11022302462516E-14,0.,1.)); #464934=DIRECTION('center_axis',(0.,0.,-1.)); #464935=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #464936=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #464937=DIRECTION('center_axis',(0.,0.,-1.)); #464938=DIRECTION('ref_axis',(-1.,0.,0.)); #464939=DIRECTION('',(-1.,0.,0.)); #464940=DIRECTION('',(0.,1.,0.)); #464941=DIRECTION('',(-1.,0.,0.)); #464942=DIRECTION('',(0.,1.,0.)); #464943=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #464944=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #464945=DIRECTION('',(3.70074341541719E-15,0.,1.)); #464946=DIRECTION('',(3.70074341541719E-15,0.,1.)); #464947=DIRECTION('center_axis',(0.,0.,1.)); #464948=DIRECTION('ref_axis',(1.,0.,0.)); #464949=DIRECTION('',(1.,0.,0.)); #464950=DIRECTION('',(0.,1.,0.)); #464951=DIRECTION('',(1.,0.,0.)); #464952=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #464953=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #464954=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #464955=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #464956=DIRECTION('center_axis',(0.,-1.,0.)); #464957=DIRECTION('ref_axis',(0.,0.,1.)); #464958=DIRECTION('center_axis',(0.,-1.,0.)); #464959=DIRECTION('ref_axis',(-1.,0.,0.)); #464960=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #464961=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #464962=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #464963=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #464964=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #464965=DIRECTION('',(0.,1.,0.)); #464966=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #464967=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #464968=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #464969=DIRECTION('',(1.11022302462516E-14,0.,1.)); #464970=DIRECTION('center_axis',(0.,0.,-1.)); #464971=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #464972=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #464973=DIRECTION('center_axis',(0.,0.,-1.)); #464974=DIRECTION('ref_axis',(-1.,0.,0.)); #464975=DIRECTION('',(-1.,0.,0.)); #464976=DIRECTION('',(0.,1.,0.)); #464977=DIRECTION('',(-1.,0.,0.)); #464978=DIRECTION('',(0.,1.,0.)); #464979=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #464980=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #464981=DIRECTION('',(3.70074341541719E-15,0.,1.)); #464982=DIRECTION('',(3.70074341541719E-15,0.,1.)); #464983=DIRECTION('center_axis',(0.,0.,1.)); #464984=DIRECTION('ref_axis',(1.,0.,0.)); #464985=DIRECTION('',(1.,0.,0.)); #464986=DIRECTION('',(0.,1.,0.)); #464987=DIRECTION('',(1.,0.,0.)); #464988=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #464989=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #464990=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #464991=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #464992=DIRECTION('center_axis',(0.,-1.,0.)); #464993=DIRECTION('ref_axis',(0.,0.,1.)); #464994=DIRECTION('center_axis',(0.,-1.,0.)); #464995=DIRECTION('ref_axis',(-1.,0.,0.)); #464996=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #464997=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #464998=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #464999=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #465000=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #465001=DIRECTION('',(0.,1.,0.)); #465002=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #465003=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #465004=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #465005=DIRECTION('',(1.11022302462516E-14,0.,1.)); #465006=DIRECTION('center_axis',(0.,0.,-1.)); #465007=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #465008=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #465009=DIRECTION('center_axis',(0.,0.,-1.)); #465010=DIRECTION('ref_axis',(-1.,0.,0.)); #465011=DIRECTION('',(-1.,0.,0.)); #465012=DIRECTION('',(0.,1.,0.)); #465013=DIRECTION('',(-1.,0.,0.)); #465014=DIRECTION('',(0.,1.,0.)); #465015=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #465016=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #465017=DIRECTION('',(3.70074341541719E-15,0.,1.)); #465018=DIRECTION('',(3.70074341541719E-15,0.,1.)); #465019=DIRECTION('center_axis',(0.,0.,1.)); #465020=DIRECTION('ref_axis',(1.,0.,0.)); #465021=DIRECTION('',(1.,0.,0.)); #465022=DIRECTION('',(0.,1.,0.)); #465023=DIRECTION('',(1.,0.,0.)); #465024=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #465025=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #465026=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #465027=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #465028=DIRECTION('center_axis',(0.,-1.,0.)); #465029=DIRECTION('ref_axis',(0.,0.,1.)); #465030=DIRECTION('center_axis',(0.,-1.,0.)); #465031=DIRECTION('ref_axis',(-1.,0.,0.)); #465032=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #465033=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #465034=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #465035=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #465036=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #465037=DIRECTION('',(0.,1.,0.)); #465038=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #465039=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #465040=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #465041=DIRECTION('',(1.11022302462516E-14,0.,1.)); #465042=DIRECTION('center_axis',(0.,0.,-1.)); #465043=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #465044=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #465045=DIRECTION('center_axis',(0.,0.,-1.)); #465046=DIRECTION('ref_axis',(-1.,0.,0.)); #465047=DIRECTION('',(-1.,0.,0.)); #465048=DIRECTION('',(0.,1.,0.)); #465049=DIRECTION('',(-1.,0.,0.)); #465050=DIRECTION('',(0.,1.,0.)); #465051=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #465052=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #465053=DIRECTION('',(3.70074341541719E-15,0.,1.)); #465054=DIRECTION('',(3.70074341541719E-15,0.,1.)); #465055=DIRECTION('center_axis',(0.,0.,1.)); #465056=DIRECTION('ref_axis',(1.,0.,0.)); #465057=DIRECTION('',(1.,0.,0.)); #465058=DIRECTION('',(0.,1.,0.)); #465059=DIRECTION('',(1.,0.,0.)); #465060=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #465061=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #465062=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #465063=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #465064=DIRECTION('center_axis',(0.,-1.,0.)); #465065=DIRECTION('ref_axis',(0.,0.,1.)); #465066=DIRECTION('center_axis',(0.,-1.,0.)); #465067=DIRECTION('ref_axis',(-1.,0.,0.)); #465068=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #465069=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #465070=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #465071=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #465072=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #465073=DIRECTION('',(0.,1.,0.)); #465074=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #465075=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #465076=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #465077=DIRECTION('',(1.11022302462516E-14,0.,1.)); #465078=DIRECTION('center_axis',(0.,0.,-1.)); #465079=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #465080=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #465081=DIRECTION('center_axis',(0.,0.,-1.)); #465082=DIRECTION('ref_axis',(-1.,0.,0.)); #465083=DIRECTION('',(-1.,0.,0.)); #465084=DIRECTION('',(0.,1.,0.)); #465085=DIRECTION('',(-1.,0.,0.)); #465086=DIRECTION('',(0.,1.,0.)); #465087=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #465088=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #465089=DIRECTION('',(3.70074341541719E-15,0.,1.)); #465090=DIRECTION('',(3.70074341541719E-15,0.,1.)); #465091=DIRECTION('center_axis',(0.,0.,1.)); #465092=DIRECTION('ref_axis',(1.,0.,0.)); #465093=DIRECTION('',(1.,0.,0.)); #465094=DIRECTION('',(0.,1.,0.)); #465095=DIRECTION('',(1.,0.,0.)); #465096=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #465097=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #465098=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #465099=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #465100=DIRECTION('center_axis',(0.,-1.,0.)); #465101=DIRECTION('ref_axis',(0.,0.,1.)); #465102=DIRECTION('center_axis',(0.,-1.,0.)); #465103=DIRECTION('ref_axis',(-1.,0.,0.)); #465104=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #465105=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #465106=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #465107=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #465108=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #465109=DIRECTION('',(0.,1.,0.)); #465110=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #465111=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #465112=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #465113=DIRECTION('',(1.11022302462516E-14,0.,1.)); #465114=DIRECTION('center_axis',(0.,0.,-1.)); #465115=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #465116=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #465117=DIRECTION('center_axis',(0.,0.,-1.)); #465118=DIRECTION('ref_axis',(-1.,0.,0.)); #465119=DIRECTION('',(-1.,0.,0.)); #465120=DIRECTION('',(0.,1.,0.)); #465121=DIRECTION('',(-1.,0.,0.)); #465122=DIRECTION('',(0.,1.,0.)); #465123=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #465124=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #465125=DIRECTION('',(3.70074341541719E-15,0.,1.)); #465126=DIRECTION('',(3.70074341541719E-15,0.,1.)); #465127=DIRECTION('center_axis',(0.,0.,1.)); #465128=DIRECTION('ref_axis',(1.,0.,0.)); #465129=DIRECTION('',(1.,0.,0.)); #465130=DIRECTION('',(0.,1.,0.)); #465131=DIRECTION('',(1.,0.,0.)); #465132=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #465133=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #465134=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #465135=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #465136=DIRECTION('center_axis',(0.,-1.,0.)); #465137=DIRECTION('ref_axis',(0.,0.,1.)); #465138=DIRECTION('center_axis',(0.,-1.,0.)); #465139=DIRECTION('ref_axis',(-1.,0.,0.)); #465140=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #465141=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #465142=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #465143=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #465144=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #465145=DIRECTION('',(0.,1.,0.)); #465146=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #465147=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #465148=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #465149=DIRECTION('',(1.11022302462516E-14,0.,1.)); #465150=DIRECTION('center_axis',(0.,0.,-1.)); #465151=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #465152=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #465153=DIRECTION('center_axis',(0.,0.,-1.)); #465154=DIRECTION('ref_axis',(-1.,0.,0.)); #465155=DIRECTION('',(-1.,0.,0.)); #465156=DIRECTION('',(0.,1.,0.)); #465157=DIRECTION('',(-1.,0.,0.)); #465158=DIRECTION('',(0.,1.,0.)); #465159=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #465160=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #465161=DIRECTION('',(3.70074341541719E-15,0.,1.)); #465162=DIRECTION('',(3.70074341541719E-15,0.,1.)); #465163=DIRECTION('center_axis',(0.,0.,1.)); #465164=DIRECTION('ref_axis',(1.,0.,0.)); #465165=DIRECTION('',(1.,0.,0.)); #465166=DIRECTION('',(0.,1.,0.)); #465167=DIRECTION('',(1.,0.,0.)); #465168=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #465169=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #465170=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #465171=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #465172=DIRECTION('center_axis',(0.,-1.,0.)); #465173=DIRECTION('ref_axis',(0.,0.,1.)); #465174=DIRECTION('center_axis',(0.,-1.,0.)); #465175=DIRECTION('ref_axis',(-1.,0.,0.)); #465176=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #465177=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #465178=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #465179=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #465180=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #465181=DIRECTION('',(0.,1.,0.)); #465182=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #465183=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #465184=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #465185=DIRECTION('',(1.11022302462516E-14,0.,1.)); #465186=DIRECTION('center_axis',(0.,0.,-1.)); #465187=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #465188=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #465189=DIRECTION('center_axis',(0.,0.,-1.)); #465190=DIRECTION('ref_axis',(-1.,0.,0.)); #465191=DIRECTION('',(-1.,0.,0.)); #465192=DIRECTION('',(0.,1.,0.)); #465193=DIRECTION('',(-1.,0.,0.)); #465194=DIRECTION('',(0.,1.,0.)); #465195=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #465196=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #465197=DIRECTION('',(3.70074341541719E-15,0.,1.)); #465198=DIRECTION('',(3.70074341541719E-15,0.,1.)); #465199=DIRECTION('center_axis',(0.,0.,1.)); #465200=DIRECTION('ref_axis',(1.,0.,0.)); #465201=DIRECTION('',(1.,0.,0.)); #465202=DIRECTION('',(0.,1.,0.)); #465203=DIRECTION('',(1.,0.,0.)); #465204=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #465205=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #465206=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #465207=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #465208=DIRECTION('center_axis',(0.,-1.,0.)); #465209=DIRECTION('ref_axis',(0.,0.,1.)); #465210=DIRECTION('center_axis',(0.,-1.,0.)); #465211=DIRECTION('ref_axis',(-1.,0.,0.)); #465212=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #465213=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #465214=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #465215=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #465216=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #465217=DIRECTION('',(0.,1.,0.)); #465218=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #465219=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #465220=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #465221=DIRECTION('',(1.11022302462516E-14,0.,1.)); #465222=DIRECTION('center_axis',(0.,0.,-1.)); #465223=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #465224=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #465225=DIRECTION('center_axis',(0.,0.,-1.)); #465226=DIRECTION('ref_axis',(-1.,0.,0.)); #465227=DIRECTION('',(-1.,0.,0.)); #465228=DIRECTION('',(0.,1.,0.)); #465229=DIRECTION('',(-1.,0.,0.)); #465230=DIRECTION('',(0.,1.,0.)); #465231=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #465232=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #465233=DIRECTION('',(3.70074341541719E-15,0.,1.)); #465234=DIRECTION('',(3.70074341541719E-15,0.,1.)); #465235=DIRECTION('center_axis',(0.,0.,1.)); #465236=DIRECTION('ref_axis',(1.,0.,0.)); #465237=DIRECTION('',(1.,0.,0.)); #465238=DIRECTION('',(0.,1.,0.)); #465239=DIRECTION('',(1.,0.,0.)); #465240=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #465241=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #465242=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #465243=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #465244=DIRECTION('center_axis',(0.,-1.,0.)); #465245=DIRECTION('ref_axis',(0.,0.,1.)); #465246=DIRECTION('center_axis',(0.,-1.,0.)); #465247=DIRECTION('ref_axis',(-1.,0.,0.)); #465248=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #465249=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #465250=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #465251=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #465252=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #465253=DIRECTION('',(0.,1.,0.)); #465254=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #465255=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #465256=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #465257=DIRECTION('',(1.11022302462516E-14,0.,1.)); #465258=DIRECTION('center_axis',(0.,0.,-1.)); #465259=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #465260=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #465261=DIRECTION('center_axis',(0.,0.,-1.)); #465262=DIRECTION('ref_axis',(-1.,0.,0.)); #465263=DIRECTION('',(-1.,0.,0.)); #465264=DIRECTION('',(0.,1.,0.)); #465265=DIRECTION('',(-1.,0.,0.)); #465266=DIRECTION('',(0.,1.,0.)); #465267=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #465268=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #465269=DIRECTION('',(3.70074341541719E-15,0.,1.)); #465270=DIRECTION('',(3.70074341541719E-15,0.,1.)); #465271=DIRECTION('center_axis',(0.,0.,1.)); #465272=DIRECTION('ref_axis',(1.,0.,0.)); #465273=DIRECTION('',(1.,0.,0.)); #465274=DIRECTION('',(0.,1.,0.)); #465275=DIRECTION('',(1.,0.,0.)); #465276=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #465277=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #465278=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #465279=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #465280=DIRECTION('center_axis',(0.,-1.,0.)); #465281=DIRECTION('ref_axis',(0.,0.,1.)); #465282=DIRECTION('center_axis',(0.,-1.,0.)); #465283=DIRECTION('ref_axis',(-1.,0.,0.)); #465284=DIRECTION('center_axis',(0.,0.,-1.)); #465285=DIRECTION('ref_axis',(0.,1.,0.)); #465286=DIRECTION('center_axis',(0.,0.,1.)); #465287=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #465288=DIRECTION('',(0.,-1.,0.)); #465289=DIRECTION('',(1.,0.,0.)); #465290=DIRECTION('',(0.,1.,0.)); #465291=DIRECTION('center_axis',(0.,0.,1.)); #465292=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #465293=DIRECTION('center_axis',(0.,0.,-1.)); #465294=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #465295=DIRECTION('',(0.,0.,-1.)); #465296=DIRECTION('',(0.,0.,1.)); #465297=DIRECTION('center_axis',(0.,0.,1.)); #465298=DIRECTION('ref_axis',(0.,-1.,0.)); #465299=DIRECTION('',(0.,-1.,0.)); #465300=DIRECTION('',(1.,0.,0.)); #465301=DIRECTION('',(0.,1.,0.)); #465302=DIRECTION('center_axis',(0.,-1.,0.)); #465303=DIRECTION('ref_axis',(0.,0.,-1.)); #465304=DIRECTION('',(0.,0.,-1.)); #465305=DIRECTION('',(0.,0.,-1.)); #465306=DIRECTION('',(1.,0.,0.)); #465307=DIRECTION('center_axis',(0.,0.,1.)); #465308=DIRECTION('ref_axis',(0.,-1.,0.)); #465309=DIRECTION('',(0.,-1.,0.)); #465310=DIRECTION('',(0.,-1.,0.)); #465311=DIRECTION('',(1.,0.,0.)); #465312=DIRECTION('center_axis',(0.,1.,0.)); #465313=DIRECTION('ref_axis',(0.,0.,1.)); #465314=DIRECTION('',(0.,0.,1.)); #465315=DIRECTION('',(0.,0.,1.)); #465316=DIRECTION('center_axis',(1.,0.,0.)); #465317=DIRECTION('ref_axis',(0.,0.,-1.)); #465318=DIRECTION('center_axis',(1.,0.,0.)); #465319=DIRECTION('ref_axis',(0.,0.,-1.)); #465320=DIRECTION('center_axis',(0.,0.,1.)); #465321=DIRECTION('ref_axis',(0.,-1.,0.)); #465322=DIRECTION('center_axis',(0.,0.,-1.)); #465323=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #465324=DIRECTION('',(0.,-1.,0.)); #465325=DIRECTION('',(1.,0.,0.)); #465326=DIRECTION('',(0.,1.,0.)); #465327=DIRECTION('center_axis',(0.,0.,-1.)); #465328=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #465329=DIRECTION('center_axis',(0.,0.,1.)); #465330=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #465331=DIRECTION('',(0.,0.,1.)); #465332=DIRECTION('',(0.,0.,-1.)); #465333=DIRECTION('center_axis',(0.,0.,-1.)); #465334=DIRECTION('ref_axis',(0.,1.,0.)); #465335=DIRECTION('',(0.,-1.,0.)); #465336=DIRECTION('',(1.,0.,0.)); #465337=DIRECTION('',(0.,1.,0.)); #465338=DIRECTION('center_axis',(0.,-1.,0.)); #465339=DIRECTION('ref_axis',(0.,0.,-1.)); #465340=DIRECTION('',(0.,0.,-1.)); #465341=DIRECTION('',(1.,0.,0.)); #465342=DIRECTION('',(0.,0.,-1.)); #465343=DIRECTION('center_axis',(0.,0.,-1.)); #465344=DIRECTION('ref_axis',(0.,1.,0.)); #465345=DIRECTION('',(0.,1.,0.)); #465346=DIRECTION('',(1.,0.,0.)); #465347=DIRECTION('',(0.,1.,0.)); #465348=DIRECTION('center_axis',(0.,1.,0.)); #465349=DIRECTION('ref_axis',(0.,0.,1.)); #465350=DIRECTION('',(0.,0.,1.)); #465351=DIRECTION('',(0.,0.,1.)); #465352=DIRECTION('center_axis',(-1.,0.,0.)); #465353=DIRECTION('ref_axis',(0.,0.,-1.)); #465354=DIRECTION('center_axis',(-1.,0.,0.)); #465355=DIRECTION('ref_axis',(0.,0.,-1.)); #465356=DIRECTION('center_axis',(0.,0.,-1.)); #465357=DIRECTION('ref_axis',(0.,1.,0.)); #465358=DIRECTION('center_axis',(0.,0.,1.)); #465359=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #465360=DIRECTION('',(0.,-1.,0.)); #465361=DIRECTION('',(1.,0.,0.)); #465362=DIRECTION('',(0.,1.,0.)); #465363=DIRECTION('center_axis',(0.,0.,1.)); #465364=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #465365=DIRECTION('center_axis',(0.,0.,-1.)); #465366=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #465367=DIRECTION('',(0.,0.,-1.)); #465368=DIRECTION('',(0.,0.,1.)); #465369=DIRECTION('center_axis',(0.,0.,1.)); #465370=DIRECTION('ref_axis',(0.,-1.,0.)); #465371=DIRECTION('',(0.,-1.,0.)); #465372=DIRECTION('',(1.,0.,0.)); #465373=DIRECTION('',(0.,1.,0.)); #465374=DIRECTION('center_axis',(0.,-1.,0.)); #465375=DIRECTION('ref_axis',(0.,0.,-1.)); #465376=DIRECTION('',(0.,0.,-1.)); #465377=DIRECTION('',(0.,0.,-1.)); #465378=DIRECTION('',(1.,0.,0.)); #465379=DIRECTION('center_axis',(0.,0.,1.)); #465380=DIRECTION('ref_axis',(0.,-1.,0.)); #465381=DIRECTION('',(0.,-1.,0.)); #465382=DIRECTION('',(0.,-1.,0.)); #465383=DIRECTION('',(1.,0.,0.)); #465384=DIRECTION('center_axis',(0.,1.,0.)); #465385=DIRECTION('ref_axis',(0.,0.,1.)); #465386=DIRECTION('',(0.,0.,1.)); #465387=DIRECTION('',(0.,0.,1.)); #465388=DIRECTION('center_axis',(1.,0.,0.)); #465389=DIRECTION('ref_axis',(0.,0.,-1.)); #465390=DIRECTION('center_axis',(1.,0.,0.)); #465391=DIRECTION('ref_axis',(0.,0.,-1.)); #465392=DIRECTION('center_axis',(0.,0.,-1.)); #465393=DIRECTION('ref_axis',(0.,1.,0.)); #465394=DIRECTION('center_axis',(0.,0.,1.)); #465395=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #465396=DIRECTION('',(0.,-1.,0.)); #465397=DIRECTION('',(1.,0.,0.)); #465398=DIRECTION('',(0.,1.,0.)); #465399=DIRECTION('center_axis',(0.,0.,1.)); #465400=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #465401=DIRECTION('center_axis',(0.,0.,-1.)); #465402=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #465403=DIRECTION('',(0.,0.,-1.)); #465404=DIRECTION('',(0.,0.,1.)); #465405=DIRECTION('center_axis',(0.,0.,1.)); #465406=DIRECTION('ref_axis',(0.,-1.,0.)); #465407=DIRECTION('',(0.,-1.,0.)); #465408=DIRECTION('',(1.,0.,0.)); #465409=DIRECTION('',(0.,1.,0.)); #465410=DIRECTION('center_axis',(0.,-1.,0.)); #465411=DIRECTION('ref_axis',(0.,0.,-1.)); #465412=DIRECTION('',(0.,0.,-1.)); #465413=DIRECTION('',(0.,0.,-1.)); #465414=DIRECTION('',(1.,0.,0.)); #465415=DIRECTION('center_axis',(0.,0.,1.)); #465416=DIRECTION('ref_axis',(0.,-1.,0.)); #465417=DIRECTION('',(0.,-1.,0.)); #465418=DIRECTION('',(0.,-1.,0.)); #465419=DIRECTION('',(1.,0.,0.)); #465420=DIRECTION('center_axis',(0.,1.,0.)); #465421=DIRECTION('ref_axis',(0.,0.,1.)); #465422=DIRECTION('',(0.,0.,1.)); #465423=DIRECTION('',(0.,0.,1.)); #465424=DIRECTION('center_axis',(1.,0.,0.)); #465425=DIRECTION('ref_axis',(0.,0.,-1.)); #465426=DIRECTION('center_axis',(1.,0.,0.)); #465427=DIRECTION('ref_axis',(0.,0.,-1.)); #465428=DIRECTION('center_axis',(0.,0.,-1.)); #465429=DIRECTION('ref_axis',(0.,1.,0.)); #465430=DIRECTION('center_axis',(0.,0.,1.)); #465431=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #465432=DIRECTION('',(0.,-1.,0.)); #465433=DIRECTION('',(1.,0.,0.)); #465434=DIRECTION('',(0.,1.,0.)); #465435=DIRECTION('center_axis',(0.,0.,1.)); #465436=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #465437=DIRECTION('center_axis',(0.,0.,-1.)); #465438=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #465439=DIRECTION('',(0.,0.,-1.)); #465440=DIRECTION('',(0.,0.,1.)); #465441=DIRECTION('center_axis',(0.,0.,1.)); #465442=DIRECTION('ref_axis',(0.,-1.,0.)); #465443=DIRECTION('',(0.,-1.,0.)); #465444=DIRECTION('',(1.,0.,0.)); #465445=DIRECTION('',(0.,1.,0.)); #465446=DIRECTION('center_axis',(0.,-1.,0.)); #465447=DIRECTION('ref_axis',(0.,0.,-1.)); #465448=DIRECTION('',(0.,0.,-1.)); #465449=DIRECTION('',(0.,0.,-1.)); #465450=DIRECTION('',(1.,0.,0.)); #465451=DIRECTION('center_axis',(0.,0.,1.)); #465452=DIRECTION('ref_axis',(0.,-1.,0.)); #465453=DIRECTION('',(0.,-1.,0.)); #465454=DIRECTION('',(0.,-1.,0.)); #465455=DIRECTION('',(1.,0.,0.)); #465456=DIRECTION('center_axis',(0.,1.,0.)); #465457=DIRECTION('ref_axis',(0.,0.,1.)); #465458=DIRECTION('',(0.,0.,1.)); #465459=DIRECTION('',(0.,0.,1.)); #465460=DIRECTION('center_axis',(1.,0.,0.)); #465461=DIRECTION('ref_axis',(0.,0.,-1.)); #465462=DIRECTION('center_axis',(1.,0.,0.)); #465463=DIRECTION('ref_axis',(0.,0.,-1.)); #465464=DIRECTION('center_axis',(0.,0.,-1.)); #465465=DIRECTION('ref_axis',(0.,1.,0.)); #465466=DIRECTION('center_axis',(0.,0.,1.)); #465467=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #465468=DIRECTION('',(0.,-1.,0.)); #465469=DIRECTION('',(1.,0.,0.)); #465470=DIRECTION('',(0.,1.,0.)); #465471=DIRECTION('center_axis',(0.,0.,1.)); #465472=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #465473=DIRECTION('center_axis',(0.,0.,-1.)); #465474=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #465475=DIRECTION('',(0.,0.,-1.)); #465476=DIRECTION('',(0.,0.,1.)); #465477=DIRECTION('center_axis',(0.,0.,1.)); #465478=DIRECTION('ref_axis',(0.,-1.,0.)); #465479=DIRECTION('',(0.,-1.,0.)); #465480=DIRECTION('',(1.,0.,0.)); #465481=DIRECTION('',(0.,1.,0.)); #465482=DIRECTION('center_axis',(0.,-1.,0.)); #465483=DIRECTION('ref_axis',(0.,0.,-1.)); #465484=DIRECTION('',(0.,0.,-1.)); #465485=DIRECTION('',(0.,0.,-1.)); #465486=DIRECTION('',(1.,0.,0.)); #465487=DIRECTION('center_axis',(0.,0.,1.)); #465488=DIRECTION('ref_axis',(0.,-1.,0.)); #465489=DIRECTION('',(0.,-1.,0.)); #465490=DIRECTION('',(0.,-1.,0.)); #465491=DIRECTION('',(1.,0.,0.)); #465492=DIRECTION('center_axis',(0.,1.,0.)); #465493=DIRECTION('ref_axis',(0.,0.,1.)); #465494=DIRECTION('',(0.,0.,1.)); #465495=DIRECTION('',(0.,0.,1.)); #465496=DIRECTION('center_axis',(1.,0.,0.)); #465497=DIRECTION('ref_axis',(0.,0.,-1.)); #465498=DIRECTION('center_axis',(1.,0.,0.)); #465499=DIRECTION('ref_axis',(0.,0.,-1.)); #465500=DIRECTION('center_axis',(0.,0.,-1.)); #465501=DIRECTION('ref_axis',(0.,1.,0.)); #465502=DIRECTION('center_axis',(0.,0.,1.)); #465503=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #465504=DIRECTION('',(0.,-1.,0.)); #465505=DIRECTION('',(1.,0.,0.)); #465506=DIRECTION('',(0.,1.,0.)); #465507=DIRECTION('center_axis',(0.,0.,1.)); #465508=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #465509=DIRECTION('center_axis',(0.,0.,-1.)); #465510=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #465511=DIRECTION('',(0.,0.,-1.)); #465512=DIRECTION('',(0.,0.,1.)); #465513=DIRECTION('center_axis',(0.,0.,1.)); #465514=DIRECTION('ref_axis',(0.,-1.,0.)); #465515=DIRECTION('',(0.,-1.,0.)); #465516=DIRECTION('',(1.,0.,0.)); #465517=DIRECTION('',(0.,1.,0.)); #465518=DIRECTION('center_axis',(0.,-1.,0.)); #465519=DIRECTION('ref_axis',(0.,0.,-1.)); #465520=DIRECTION('',(0.,0.,-1.)); #465521=DIRECTION('',(0.,0.,-1.)); #465522=DIRECTION('',(1.,0.,0.)); #465523=DIRECTION('center_axis',(0.,0.,1.)); #465524=DIRECTION('ref_axis',(0.,-1.,0.)); #465525=DIRECTION('',(0.,-1.,0.)); #465526=DIRECTION('',(0.,-1.,0.)); #465527=DIRECTION('',(1.,0.,0.)); #465528=DIRECTION('center_axis',(0.,1.,0.)); #465529=DIRECTION('ref_axis',(0.,0.,1.)); #465530=DIRECTION('',(0.,0.,1.)); #465531=DIRECTION('',(0.,0.,1.)); #465532=DIRECTION('center_axis',(1.,0.,0.)); #465533=DIRECTION('ref_axis',(0.,0.,-1.)); #465534=DIRECTION('center_axis',(1.,0.,0.)); #465535=DIRECTION('ref_axis',(0.,0.,-1.)); #465536=DIRECTION('center_axis',(0.,0.,-1.)); #465537=DIRECTION('ref_axis',(0.,1.,0.)); #465538=DIRECTION('center_axis',(0.,0.,1.)); #465539=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #465540=DIRECTION('',(0.,-1.,0.)); #465541=DIRECTION('',(1.,0.,0.)); #465542=DIRECTION('',(0.,1.,0.)); #465543=DIRECTION('center_axis',(0.,0.,1.)); #465544=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #465545=DIRECTION('center_axis',(0.,0.,-1.)); #465546=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #465547=DIRECTION('',(0.,0.,-1.)); #465548=DIRECTION('',(0.,0.,1.)); #465549=DIRECTION('center_axis',(0.,0.,1.)); #465550=DIRECTION('ref_axis',(0.,-1.,0.)); #465551=DIRECTION('',(0.,-1.,0.)); #465552=DIRECTION('',(1.,0.,0.)); #465553=DIRECTION('',(0.,1.,0.)); #465554=DIRECTION('center_axis',(0.,-1.,0.)); #465555=DIRECTION('ref_axis',(0.,0.,-1.)); #465556=DIRECTION('',(0.,0.,-1.)); #465557=DIRECTION('',(0.,0.,-1.)); #465558=DIRECTION('',(1.,0.,0.)); #465559=DIRECTION('center_axis',(0.,0.,1.)); #465560=DIRECTION('ref_axis',(0.,-1.,0.)); #465561=DIRECTION('',(0.,-1.,0.)); #465562=DIRECTION('',(0.,-1.,0.)); #465563=DIRECTION('',(1.,0.,0.)); #465564=DIRECTION('center_axis',(0.,1.,0.)); #465565=DIRECTION('ref_axis',(0.,0.,1.)); #465566=DIRECTION('',(0.,0.,1.)); #465567=DIRECTION('',(0.,0.,1.)); #465568=DIRECTION('center_axis',(1.,0.,0.)); #465569=DIRECTION('ref_axis',(0.,0.,-1.)); #465570=DIRECTION('center_axis',(1.,0.,0.)); #465571=DIRECTION('ref_axis',(0.,0.,-1.)); #465572=DIRECTION('center_axis',(0.,0.,-1.)); #465573=DIRECTION('ref_axis',(0.,1.,0.)); #465574=DIRECTION('center_axis',(0.,0.,1.)); #465575=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #465576=DIRECTION('',(0.,-1.,0.)); #465577=DIRECTION('',(1.,0.,0.)); #465578=DIRECTION('',(0.,1.,0.)); #465579=DIRECTION('center_axis',(0.,0.,1.)); #465580=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #465581=DIRECTION('center_axis',(0.,0.,-1.)); #465582=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #465583=DIRECTION('',(0.,0.,-1.)); #465584=DIRECTION('',(0.,0.,1.)); #465585=DIRECTION('center_axis',(0.,0.,1.)); #465586=DIRECTION('ref_axis',(0.,-1.,0.)); #465587=DIRECTION('',(0.,-1.,0.)); #465588=DIRECTION('',(1.,0.,0.)); #465589=DIRECTION('',(0.,1.,0.)); #465590=DIRECTION('center_axis',(0.,-1.,0.)); #465591=DIRECTION('ref_axis',(0.,0.,-1.)); #465592=DIRECTION('',(0.,0.,-1.)); #465593=DIRECTION('',(0.,0.,-1.)); #465594=DIRECTION('',(1.,0.,0.)); #465595=DIRECTION('center_axis',(0.,0.,1.)); #465596=DIRECTION('ref_axis',(0.,-1.,0.)); #465597=DIRECTION('',(0.,-1.,0.)); #465598=DIRECTION('',(0.,-1.,0.)); #465599=DIRECTION('',(1.,0.,0.)); #465600=DIRECTION('center_axis',(0.,1.,0.)); #465601=DIRECTION('ref_axis',(0.,0.,1.)); #465602=DIRECTION('',(0.,0.,1.)); #465603=DIRECTION('',(0.,0.,1.)); #465604=DIRECTION('center_axis',(1.,0.,0.)); #465605=DIRECTION('ref_axis',(0.,0.,-1.)); #465606=DIRECTION('center_axis',(1.,0.,0.)); #465607=DIRECTION('ref_axis',(0.,0.,-1.)); #465608=DIRECTION('center_axis',(0.,0.,-1.)); #465609=DIRECTION('ref_axis',(0.,1.,0.)); #465610=DIRECTION('center_axis',(0.,0.,1.)); #465611=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #465612=DIRECTION('',(0.,-1.,0.)); #465613=DIRECTION('',(1.,0.,0.)); #465614=DIRECTION('',(0.,1.,0.)); #465615=DIRECTION('center_axis',(0.,0.,1.)); #465616=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #465617=DIRECTION('center_axis',(0.,0.,-1.)); #465618=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #465619=DIRECTION('',(0.,0.,-1.)); #465620=DIRECTION('',(0.,0.,1.)); #465621=DIRECTION('center_axis',(0.,0.,1.)); #465622=DIRECTION('ref_axis',(0.,-1.,0.)); #465623=DIRECTION('',(0.,-1.,0.)); #465624=DIRECTION('',(1.,0.,0.)); #465625=DIRECTION('',(0.,1.,0.)); #465626=DIRECTION('center_axis',(0.,-1.,0.)); #465627=DIRECTION('ref_axis',(0.,0.,-1.)); #465628=DIRECTION('',(0.,0.,-1.)); #465629=DIRECTION('',(0.,0.,-1.)); #465630=DIRECTION('',(1.,0.,0.)); #465631=DIRECTION('center_axis',(0.,0.,1.)); #465632=DIRECTION('ref_axis',(0.,-1.,0.)); #465633=DIRECTION('',(0.,-1.,0.)); #465634=DIRECTION('',(0.,-1.,0.)); #465635=DIRECTION('',(1.,0.,0.)); #465636=DIRECTION('center_axis',(0.,1.,0.)); #465637=DIRECTION('ref_axis',(0.,0.,1.)); #465638=DIRECTION('',(0.,0.,1.)); #465639=DIRECTION('',(0.,0.,1.)); #465640=DIRECTION('center_axis',(1.,0.,0.)); #465641=DIRECTION('ref_axis',(0.,0.,-1.)); #465642=DIRECTION('center_axis',(1.,0.,0.)); #465643=DIRECTION('ref_axis',(0.,0.,-1.)); #465644=DIRECTION('center_axis',(0.,0.,-1.)); #465645=DIRECTION('ref_axis',(0.,1.,0.)); #465646=DIRECTION('center_axis',(0.,0.,1.)); #465647=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #465648=DIRECTION('',(0.,-1.,0.)); #465649=DIRECTION('',(1.,0.,0.)); #465650=DIRECTION('',(0.,1.,0.)); #465651=DIRECTION('center_axis',(0.,0.,1.)); #465652=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #465653=DIRECTION('center_axis',(0.,0.,-1.)); #465654=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #465655=DIRECTION('',(0.,0.,-1.)); #465656=DIRECTION('',(0.,0.,1.)); #465657=DIRECTION('center_axis',(0.,0.,1.)); #465658=DIRECTION('ref_axis',(0.,-1.,0.)); #465659=DIRECTION('',(0.,-1.,0.)); #465660=DIRECTION('',(1.,0.,0.)); #465661=DIRECTION('',(0.,1.,0.)); #465662=DIRECTION('center_axis',(0.,-1.,0.)); #465663=DIRECTION('ref_axis',(0.,0.,-1.)); #465664=DIRECTION('',(0.,0.,-1.)); #465665=DIRECTION('',(0.,0.,-1.)); #465666=DIRECTION('',(1.,0.,0.)); #465667=DIRECTION('center_axis',(0.,0.,1.)); #465668=DIRECTION('ref_axis',(0.,-1.,0.)); #465669=DIRECTION('',(0.,-1.,0.)); #465670=DIRECTION('',(0.,-1.,0.)); #465671=DIRECTION('',(1.,0.,0.)); #465672=DIRECTION('center_axis',(0.,1.,0.)); #465673=DIRECTION('ref_axis',(0.,0.,1.)); #465674=DIRECTION('',(0.,0.,1.)); #465675=DIRECTION('',(0.,0.,1.)); #465676=DIRECTION('center_axis',(1.,0.,0.)); #465677=DIRECTION('ref_axis',(0.,0.,-1.)); #465678=DIRECTION('center_axis',(1.,0.,0.)); #465679=DIRECTION('ref_axis',(0.,0.,-1.)); #465680=DIRECTION('center_axis',(0.,0.,-1.)); #465681=DIRECTION('ref_axis',(0.,1.,0.)); #465682=DIRECTION('center_axis',(0.,0.,1.)); #465683=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #465684=DIRECTION('',(0.,-1.,0.)); #465685=DIRECTION('',(1.,0.,0.)); #465686=DIRECTION('',(0.,1.,0.)); #465687=DIRECTION('center_axis',(0.,0.,1.)); #465688=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #465689=DIRECTION('center_axis',(0.,0.,-1.)); #465690=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #465691=DIRECTION('',(0.,0.,-1.)); #465692=DIRECTION('',(0.,0.,1.)); #465693=DIRECTION('center_axis',(0.,0.,1.)); #465694=DIRECTION('ref_axis',(0.,-1.,0.)); #465695=DIRECTION('',(0.,-1.,0.)); #465696=DIRECTION('',(1.,0.,0.)); #465697=DIRECTION('',(0.,1.,0.)); #465698=DIRECTION('center_axis',(0.,-1.,0.)); #465699=DIRECTION('ref_axis',(0.,0.,-1.)); #465700=DIRECTION('',(0.,0.,-1.)); #465701=DIRECTION('',(0.,0.,-1.)); #465702=DIRECTION('',(1.,0.,0.)); #465703=DIRECTION('center_axis',(0.,0.,1.)); #465704=DIRECTION('ref_axis',(0.,-1.,0.)); #465705=DIRECTION('',(0.,-1.,0.)); #465706=DIRECTION('',(0.,-1.,0.)); #465707=DIRECTION('',(1.,0.,0.)); #465708=DIRECTION('center_axis',(0.,1.,0.)); #465709=DIRECTION('ref_axis',(0.,0.,1.)); #465710=DIRECTION('',(0.,0.,1.)); #465711=DIRECTION('',(0.,0.,1.)); #465712=DIRECTION('center_axis',(1.,0.,0.)); #465713=DIRECTION('ref_axis',(0.,0.,-1.)); #465714=DIRECTION('center_axis',(1.,0.,0.)); #465715=DIRECTION('ref_axis',(0.,0.,-1.)); #465716=DIRECTION('center_axis',(0.,0.,-1.)); #465717=DIRECTION('ref_axis',(0.,1.,0.)); #465718=DIRECTION('center_axis',(0.,0.,1.)); #465719=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #465720=DIRECTION('',(0.,-1.,0.)); #465721=DIRECTION('',(1.,0.,0.)); #465722=DIRECTION('',(0.,1.,0.)); #465723=DIRECTION('center_axis',(0.,0.,1.)); #465724=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #465725=DIRECTION('center_axis',(0.,0.,-1.)); #465726=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #465727=DIRECTION('',(0.,0.,-1.)); #465728=DIRECTION('',(0.,0.,1.)); #465729=DIRECTION('center_axis',(0.,0.,1.)); #465730=DIRECTION('ref_axis',(0.,-1.,0.)); #465731=DIRECTION('',(0.,-1.,0.)); #465732=DIRECTION('',(1.,0.,0.)); #465733=DIRECTION('',(0.,1.,0.)); #465734=DIRECTION('center_axis',(0.,-1.,0.)); #465735=DIRECTION('ref_axis',(0.,0.,-1.)); #465736=DIRECTION('',(0.,0.,-1.)); #465737=DIRECTION('',(0.,0.,-1.)); #465738=DIRECTION('',(1.,0.,0.)); #465739=DIRECTION('center_axis',(0.,0.,1.)); #465740=DIRECTION('ref_axis',(0.,-1.,0.)); #465741=DIRECTION('',(0.,-1.,0.)); #465742=DIRECTION('',(0.,-1.,0.)); #465743=DIRECTION('',(1.,0.,0.)); #465744=DIRECTION('center_axis',(0.,1.,0.)); #465745=DIRECTION('ref_axis',(0.,0.,1.)); #465746=DIRECTION('',(0.,0.,1.)); #465747=DIRECTION('',(0.,0.,1.)); #465748=DIRECTION('center_axis',(1.,0.,0.)); #465749=DIRECTION('ref_axis',(0.,0.,-1.)); #465750=DIRECTION('center_axis',(1.,0.,0.)); #465751=DIRECTION('ref_axis',(0.,0.,-1.)); #465752=DIRECTION('center_axis',(0.,0.,1.)); #465753=DIRECTION('ref_axis',(0.,-1.,0.)); #465754=DIRECTION('center_axis',(0.,0.,-1.)); #465755=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #465756=DIRECTION('',(0.,-1.,0.)); #465757=DIRECTION('',(1.,0.,0.)); #465758=DIRECTION('',(0.,1.,0.)); #465759=DIRECTION('center_axis',(0.,0.,-1.)); #465760=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #465761=DIRECTION('center_axis',(0.,0.,1.)); #465762=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #465763=DIRECTION('',(0.,0.,1.)); #465764=DIRECTION('',(0.,0.,-1.)); #465765=DIRECTION('center_axis',(0.,0.,-1.)); #465766=DIRECTION('ref_axis',(0.,1.,0.)); #465767=DIRECTION('',(0.,-1.,0.)); #465768=DIRECTION('',(1.,0.,0.)); #465769=DIRECTION('',(0.,1.,0.)); #465770=DIRECTION('center_axis',(0.,-1.,0.)); #465771=DIRECTION('ref_axis',(0.,0.,-1.)); #465772=DIRECTION('',(0.,0.,-1.)); #465773=DIRECTION('',(1.,0.,0.)); #465774=DIRECTION('',(0.,0.,-1.)); #465775=DIRECTION('center_axis',(0.,0.,-1.)); #465776=DIRECTION('ref_axis',(0.,1.,0.)); #465777=DIRECTION('',(0.,1.,0.)); #465778=DIRECTION('',(1.,0.,0.)); #465779=DIRECTION('',(0.,1.,0.)); #465780=DIRECTION('center_axis',(0.,1.,0.)); #465781=DIRECTION('ref_axis',(0.,0.,1.)); #465782=DIRECTION('',(0.,0.,1.)); #465783=DIRECTION('',(0.,0.,1.)); #465784=DIRECTION('center_axis',(-1.,0.,0.)); #465785=DIRECTION('ref_axis',(0.,0.,-1.)); #465786=DIRECTION('center_axis',(-1.,0.,0.)); #465787=DIRECTION('ref_axis',(0.,0.,-1.)); #465788=DIRECTION('center_axis',(0.,0.,1.)); #465789=DIRECTION('ref_axis',(0.,-1.,0.)); #465790=DIRECTION('center_axis',(0.,0.,-1.)); #465791=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #465792=DIRECTION('',(0.,-1.,0.)); #465793=DIRECTION('',(1.,0.,0.)); #465794=DIRECTION('',(0.,1.,0.)); #465795=DIRECTION('center_axis',(0.,0.,-1.)); #465796=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #465797=DIRECTION('center_axis',(0.,0.,1.)); #465798=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #465799=DIRECTION('',(0.,0.,1.)); #465800=DIRECTION('',(0.,0.,-1.)); #465801=DIRECTION('center_axis',(0.,0.,-1.)); #465802=DIRECTION('ref_axis',(0.,1.,0.)); #465803=DIRECTION('',(0.,-1.,0.)); #465804=DIRECTION('',(1.,0.,0.)); #465805=DIRECTION('',(0.,1.,0.)); #465806=DIRECTION('center_axis',(0.,-1.,0.)); #465807=DIRECTION('ref_axis',(0.,0.,-1.)); #465808=DIRECTION('',(0.,0.,-1.)); #465809=DIRECTION('',(1.,0.,0.)); #465810=DIRECTION('',(0.,0.,-1.)); #465811=DIRECTION('center_axis',(0.,0.,-1.)); #465812=DIRECTION('ref_axis',(0.,1.,0.)); #465813=DIRECTION('',(0.,1.,0.)); #465814=DIRECTION('',(1.,0.,0.)); #465815=DIRECTION('',(0.,1.,0.)); #465816=DIRECTION('center_axis',(0.,1.,0.)); #465817=DIRECTION('ref_axis',(0.,0.,1.)); #465818=DIRECTION('',(0.,0.,1.)); #465819=DIRECTION('',(0.,0.,1.)); #465820=DIRECTION('center_axis',(-1.,0.,0.)); #465821=DIRECTION('ref_axis',(0.,0.,-1.)); #465822=DIRECTION('center_axis',(-1.,0.,0.)); #465823=DIRECTION('ref_axis',(0.,0.,-1.)); #465824=DIRECTION('center_axis',(0.,0.,1.)); #465825=DIRECTION('ref_axis',(0.,-1.,0.)); #465826=DIRECTION('center_axis',(0.,0.,-1.)); #465827=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #465828=DIRECTION('',(0.,-1.,0.)); #465829=DIRECTION('',(1.,0.,0.)); #465830=DIRECTION('',(0.,1.,0.)); #465831=DIRECTION('center_axis',(0.,0.,-1.)); #465832=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #465833=DIRECTION('center_axis',(0.,0.,1.)); #465834=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #465835=DIRECTION('',(0.,0.,1.)); #465836=DIRECTION('',(0.,0.,-1.)); #465837=DIRECTION('center_axis',(0.,0.,-1.)); #465838=DIRECTION('ref_axis',(0.,1.,0.)); #465839=DIRECTION('',(0.,-1.,0.)); #465840=DIRECTION('',(1.,0.,0.)); #465841=DIRECTION('',(0.,1.,0.)); #465842=DIRECTION('center_axis',(0.,-1.,0.)); #465843=DIRECTION('ref_axis',(0.,0.,-1.)); #465844=DIRECTION('',(0.,0.,-1.)); #465845=DIRECTION('',(1.,0.,0.)); #465846=DIRECTION('',(0.,0.,-1.)); #465847=DIRECTION('center_axis',(0.,0.,-1.)); #465848=DIRECTION('ref_axis',(0.,1.,0.)); #465849=DIRECTION('',(0.,1.,0.)); #465850=DIRECTION('',(1.,0.,0.)); #465851=DIRECTION('',(0.,1.,0.)); #465852=DIRECTION('center_axis',(0.,1.,0.)); #465853=DIRECTION('ref_axis',(0.,0.,1.)); #465854=DIRECTION('',(0.,0.,1.)); #465855=DIRECTION('',(0.,0.,1.)); #465856=DIRECTION('center_axis',(-1.,0.,0.)); #465857=DIRECTION('ref_axis',(0.,0.,-1.)); #465858=DIRECTION('center_axis',(-1.,0.,0.)); #465859=DIRECTION('ref_axis',(0.,0.,-1.)); #465860=DIRECTION('center_axis',(0.,0.,1.)); #465861=DIRECTION('ref_axis',(0.,-1.,0.)); #465862=DIRECTION('center_axis',(0.,0.,-1.)); #465863=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #465864=DIRECTION('',(0.,-1.,0.)); #465865=DIRECTION('',(1.,0.,0.)); #465866=DIRECTION('',(0.,1.,0.)); #465867=DIRECTION('center_axis',(0.,0.,-1.)); #465868=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #465869=DIRECTION('center_axis',(0.,0.,1.)); #465870=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #465871=DIRECTION('',(0.,0.,1.)); #465872=DIRECTION('',(0.,0.,-1.)); #465873=DIRECTION('center_axis',(0.,0.,-1.)); #465874=DIRECTION('ref_axis',(0.,1.,0.)); #465875=DIRECTION('',(0.,-1.,0.)); #465876=DIRECTION('',(1.,0.,0.)); #465877=DIRECTION('',(0.,1.,0.)); #465878=DIRECTION('center_axis',(0.,-1.,0.)); #465879=DIRECTION('ref_axis',(0.,0.,-1.)); #465880=DIRECTION('',(0.,0.,-1.)); #465881=DIRECTION('',(1.,0.,0.)); #465882=DIRECTION('',(0.,0.,-1.)); #465883=DIRECTION('center_axis',(0.,0.,-1.)); #465884=DIRECTION('ref_axis',(0.,1.,0.)); #465885=DIRECTION('',(0.,1.,0.)); #465886=DIRECTION('',(1.,0.,0.)); #465887=DIRECTION('',(0.,1.,0.)); #465888=DIRECTION('center_axis',(0.,1.,0.)); #465889=DIRECTION('ref_axis',(0.,0.,1.)); #465890=DIRECTION('',(0.,0.,1.)); #465891=DIRECTION('',(0.,0.,1.)); #465892=DIRECTION('center_axis',(-1.,0.,0.)); #465893=DIRECTION('ref_axis',(0.,0.,-1.)); #465894=DIRECTION('center_axis',(-1.,0.,0.)); #465895=DIRECTION('ref_axis',(0.,0.,-1.)); #465896=DIRECTION('center_axis',(0.,0.,1.)); #465897=DIRECTION('ref_axis',(0.,-1.,0.)); #465898=DIRECTION('center_axis',(0.,0.,-1.)); #465899=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #465900=DIRECTION('',(0.,-1.,0.)); #465901=DIRECTION('',(1.,0.,0.)); #465902=DIRECTION('',(0.,1.,0.)); #465903=DIRECTION('center_axis',(0.,0.,-1.)); #465904=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #465905=DIRECTION('center_axis',(0.,0.,1.)); #465906=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #465907=DIRECTION('',(0.,0.,1.)); #465908=DIRECTION('',(0.,0.,-1.)); #465909=DIRECTION('center_axis',(0.,0.,-1.)); #465910=DIRECTION('ref_axis',(0.,1.,0.)); #465911=DIRECTION('',(0.,-1.,0.)); #465912=DIRECTION('',(1.,0.,0.)); #465913=DIRECTION('',(0.,1.,0.)); #465914=DIRECTION('center_axis',(0.,-1.,0.)); #465915=DIRECTION('ref_axis',(0.,0.,-1.)); #465916=DIRECTION('',(0.,0.,-1.)); #465917=DIRECTION('',(1.,0.,0.)); #465918=DIRECTION('',(0.,0.,-1.)); #465919=DIRECTION('center_axis',(0.,0.,-1.)); #465920=DIRECTION('ref_axis',(0.,1.,0.)); #465921=DIRECTION('',(0.,1.,0.)); #465922=DIRECTION('',(1.,0.,0.)); #465923=DIRECTION('',(0.,1.,0.)); #465924=DIRECTION('center_axis',(0.,1.,0.)); #465925=DIRECTION('ref_axis',(0.,0.,1.)); #465926=DIRECTION('',(0.,0.,1.)); #465927=DIRECTION('',(0.,0.,1.)); #465928=DIRECTION('center_axis',(-1.,0.,0.)); #465929=DIRECTION('ref_axis',(0.,0.,-1.)); #465930=DIRECTION('center_axis',(-1.,0.,0.)); #465931=DIRECTION('ref_axis',(0.,0.,-1.)); #465932=DIRECTION('center_axis',(0.,0.,1.)); #465933=DIRECTION('ref_axis',(0.,-1.,0.)); #465934=DIRECTION('center_axis',(0.,0.,-1.)); #465935=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #465936=DIRECTION('',(0.,-1.,0.)); #465937=DIRECTION('',(1.,0.,0.)); #465938=DIRECTION('',(0.,1.,0.)); #465939=DIRECTION('center_axis',(0.,0.,-1.)); #465940=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #465941=DIRECTION('center_axis',(0.,0.,1.)); #465942=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #465943=DIRECTION('',(0.,0.,1.)); #465944=DIRECTION('',(0.,0.,-1.)); #465945=DIRECTION('center_axis',(0.,0.,-1.)); #465946=DIRECTION('ref_axis',(0.,1.,0.)); #465947=DIRECTION('',(0.,-1.,0.)); #465948=DIRECTION('',(1.,0.,0.)); #465949=DIRECTION('',(0.,1.,0.)); #465950=DIRECTION('center_axis',(0.,-1.,0.)); #465951=DIRECTION('ref_axis',(0.,0.,-1.)); #465952=DIRECTION('',(0.,0.,-1.)); #465953=DIRECTION('',(1.,0.,0.)); #465954=DIRECTION('',(0.,0.,-1.)); #465955=DIRECTION('center_axis',(0.,0.,-1.)); #465956=DIRECTION('ref_axis',(0.,1.,0.)); #465957=DIRECTION('',(0.,1.,0.)); #465958=DIRECTION('',(1.,0.,0.)); #465959=DIRECTION('',(0.,1.,0.)); #465960=DIRECTION('center_axis',(0.,1.,0.)); #465961=DIRECTION('ref_axis',(0.,0.,1.)); #465962=DIRECTION('',(0.,0.,1.)); #465963=DIRECTION('',(0.,0.,1.)); #465964=DIRECTION('center_axis',(-1.,0.,0.)); #465965=DIRECTION('ref_axis',(0.,0.,-1.)); #465966=DIRECTION('center_axis',(-1.,0.,0.)); #465967=DIRECTION('ref_axis',(0.,0.,-1.)); #465968=DIRECTION('center_axis',(0.,0.,1.)); #465969=DIRECTION('ref_axis',(0.,-1.,0.)); #465970=DIRECTION('center_axis',(0.,0.,-1.)); #465971=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #465972=DIRECTION('',(0.,-1.,0.)); #465973=DIRECTION('',(1.,0.,0.)); #465974=DIRECTION('',(0.,1.,0.)); #465975=DIRECTION('center_axis',(0.,0.,-1.)); #465976=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #465977=DIRECTION('center_axis',(0.,0.,1.)); #465978=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #465979=DIRECTION('',(0.,0.,1.)); #465980=DIRECTION('',(0.,0.,-1.)); #465981=DIRECTION('center_axis',(0.,0.,-1.)); #465982=DIRECTION('ref_axis',(0.,1.,0.)); #465983=DIRECTION('',(0.,-1.,0.)); #465984=DIRECTION('',(1.,0.,0.)); #465985=DIRECTION('',(0.,1.,0.)); #465986=DIRECTION('center_axis',(0.,-1.,0.)); #465987=DIRECTION('ref_axis',(0.,0.,-1.)); #465988=DIRECTION('',(0.,0.,-1.)); #465989=DIRECTION('',(1.,0.,0.)); #465990=DIRECTION('',(0.,0.,-1.)); #465991=DIRECTION('center_axis',(0.,0.,-1.)); #465992=DIRECTION('ref_axis',(0.,1.,0.)); #465993=DIRECTION('',(0.,1.,0.)); #465994=DIRECTION('',(1.,0.,0.)); #465995=DIRECTION('',(0.,1.,0.)); #465996=DIRECTION('center_axis',(0.,1.,0.)); #465997=DIRECTION('ref_axis',(0.,0.,1.)); #465998=DIRECTION('',(0.,0.,1.)); #465999=DIRECTION('',(0.,0.,1.)); #466000=DIRECTION('center_axis',(-1.,0.,0.)); #466001=DIRECTION('ref_axis',(0.,0.,-1.)); #466002=DIRECTION('center_axis',(-1.,0.,0.)); #466003=DIRECTION('ref_axis',(0.,0.,-1.)); #466004=DIRECTION('center_axis',(0.,0.,1.)); #466005=DIRECTION('ref_axis',(0.,-1.,0.)); #466006=DIRECTION('center_axis',(0.,0.,-1.)); #466007=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #466008=DIRECTION('',(0.,-1.,0.)); #466009=DIRECTION('',(1.,0.,0.)); #466010=DIRECTION('',(0.,1.,0.)); #466011=DIRECTION('center_axis',(0.,0.,-1.)); #466012=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #466013=DIRECTION('center_axis',(0.,0.,1.)); #466014=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #466015=DIRECTION('',(0.,0.,1.)); #466016=DIRECTION('',(0.,0.,-1.)); #466017=DIRECTION('center_axis',(0.,0.,-1.)); #466018=DIRECTION('ref_axis',(0.,1.,0.)); #466019=DIRECTION('',(0.,-1.,0.)); #466020=DIRECTION('',(1.,0.,0.)); #466021=DIRECTION('',(0.,1.,0.)); #466022=DIRECTION('center_axis',(0.,-1.,0.)); #466023=DIRECTION('ref_axis',(0.,0.,-1.)); #466024=DIRECTION('',(0.,0.,-1.)); #466025=DIRECTION('',(1.,0.,0.)); #466026=DIRECTION('',(0.,0.,-1.)); #466027=DIRECTION('center_axis',(0.,0.,-1.)); #466028=DIRECTION('ref_axis',(0.,1.,0.)); #466029=DIRECTION('',(0.,1.,0.)); #466030=DIRECTION('',(1.,0.,0.)); #466031=DIRECTION('',(0.,1.,0.)); #466032=DIRECTION('center_axis',(0.,1.,0.)); #466033=DIRECTION('ref_axis',(0.,0.,1.)); #466034=DIRECTION('',(0.,0.,1.)); #466035=DIRECTION('',(0.,0.,1.)); #466036=DIRECTION('center_axis',(-1.,0.,0.)); #466037=DIRECTION('ref_axis',(0.,0.,-1.)); #466038=DIRECTION('center_axis',(-1.,0.,0.)); #466039=DIRECTION('ref_axis',(0.,0.,-1.)); #466040=DIRECTION('center_axis',(0.,0.,1.)); #466041=DIRECTION('ref_axis',(0.,-1.,0.)); #466042=DIRECTION('center_axis',(0.,0.,-1.)); #466043=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #466044=DIRECTION('',(0.,-1.,0.)); #466045=DIRECTION('',(1.,0.,0.)); #466046=DIRECTION('',(0.,1.,0.)); #466047=DIRECTION('center_axis',(0.,0.,-1.)); #466048=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #466049=DIRECTION('center_axis',(0.,0.,1.)); #466050=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #466051=DIRECTION('',(0.,0.,1.)); #466052=DIRECTION('',(0.,0.,-1.)); #466053=DIRECTION('center_axis',(0.,0.,-1.)); #466054=DIRECTION('ref_axis',(0.,1.,0.)); #466055=DIRECTION('',(0.,-1.,0.)); #466056=DIRECTION('',(1.,0.,0.)); #466057=DIRECTION('',(0.,1.,0.)); #466058=DIRECTION('center_axis',(0.,-1.,0.)); #466059=DIRECTION('ref_axis',(0.,0.,-1.)); #466060=DIRECTION('',(0.,0.,-1.)); #466061=DIRECTION('',(1.,0.,0.)); #466062=DIRECTION('',(0.,0.,-1.)); #466063=DIRECTION('center_axis',(0.,0.,-1.)); #466064=DIRECTION('ref_axis',(0.,1.,0.)); #466065=DIRECTION('',(0.,1.,0.)); #466066=DIRECTION('',(1.,0.,0.)); #466067=DIRECTION('',(0.,1.,0.)); #466068=DIRECTION('center_axis',(0.,1.,0.)); #466069=DIRECTION('ref_axis',(0.,0.,1.)); #466070=DIRECTION('',(0.,0.,1.)); #466071=DIRECTION('',(0.,0.,1.)); #466072=DIRECTION('center_axis',(-1.,0.,0.)); #466073=DIRECTION('ref_axis',(0.,0.,-1.)); #466074=DIRECTION('center_axis',(-1.,0.,0.)); #466075=DIRECTION('ref_axis',(0.,0.,-1.)); #466076=DIRECTION('center_axis',(0.,0.,1.)); #466077=DIRECTION('ref_axis',(0.,-1.,0.)); #466078=DIRECTION('center_axis',(0.,0.,-1.)); #466079=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #466080=DIRECTION('',(0.,-1.,0.)); #466081=DIRECTION('',(1.,0.,0.)); #466082=DIRECTION('',(0.,1.,0.)); #466083=DIRECTION('center_axis',(0.,0.,-1.)); #466084=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #466085=DIRECTION('center_axis',(0.,0.,1.)); #466086=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #466087=DIRECTION('',(0.,0.,1.)); #466088=DIRECTION('',(0.,0.,-1.)); #466089=DIRECTION('center_axis',(0.,0.,-1.)); #466090=DIRECTION('ref_axis',(0.,1.,0.)); #466091=DIRECTION('',(0.,-1.,0.)); #466092=DIRECTION('',(1.,0.,0.)); #466093=DIRECTION('',(0.,1.,0.)); #466094=DIRECTION('center_axis',(0.,-1.,0.)); #466095=DIRECTION('ref_axis',(0.,0.,-1.)); #466096=DIRECTION('',(0.,0.,-1.)); #466097=DIRECTION('',(1.,0.,0.)); #466098=DIRECTION('',(0.,0.,-1.)); #466099=DIRECTION('center_axis',(0.,0.,-1.)); #466100=DIRECTION('ref_axis',(0.,1.,0.)); #466101=DIRECTION('',(0.,1.,0.)); #466102=DIRECTION('',(1.,0.,0.)); #466103=DIRECTION('',(0.,1.,0.)); #466104=DIRECTION('center_axis',(0.,1.,0.)); #466105=DIRECTION('ref_axis',(0.,0.,1.)); #466106=DIRECTION('',(0.,0.,1.)); #466107=DIRECTION('',(0.,0.,1.)); #466108=DIRECTION('center_axis',(-1.,0.,0.)); #466109=DIRECTION('ref_axis',(0.,0.,-1.)); #466110=DIRECTION('center_axis',(-1.,0.,0.)); #466111=DIRECTION('ref_axis',(0.,0.,-1.)); #466112=DIRECTION('center_axis',(0.,0.,1.)); #466113=DIRECTION('ref_axis',(0.,-1.,0.)); #466114=DIRECTION('center_axis',(0.,0.,-1.)); #466115=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #466116=DIRECTION('',(0.,-1.,0.)); #466117=DIRECTION('',(1.,0.,0.)); #466118=DIRECTION('',(0.,1.,0.)); #466119=DIRECTION('center_axis',(0.,0.,-1.)); #466120=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #466121=DIRECTION('center_axis',(0.,0.,1.)); #466122=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #466123=DIRECTION('',(0.,0.,1.)); #466124=DIRECTION('',(0.,0.,-1.)); #466125=DIRECTION('center_axis',(0.,0.,-1.)); #466126=DIRECTION('ref_axis',(0.,1.,0.)); #466127=DIRECTION('',(0.,-1.,0.)); #466128=DIRECTION('',(1.,0.,0.)); #466129=DIRECTION('',(0.,1.,0.)); #466130=DIRECTION('center_axis',(0.,-1.,0.)); #466131=DIRECTION('ref_axis',(0.,0.,-1.)); #466132=DIRECTION('',(0.,0.,-1.)); #466133=DIRECTION('',(1.,0.,0.)); #466134=DIRECTION('',(0.,0.,-1.)); #466135=DIRECTION('center_axis',(0.,0.,-1.)); #466136=DIRECTION('ref_axis',(0.,1.,0.)); #466137=DIRECTION('',(0.,1.,0.)); #466138=DIRECTION('',(1.,0.,0.)); #466139=DIRECTION('',(0.,1.,0.)); #466140=DIRECTION('center_axis',(0.,1.,0.)); #466141=DIRECTION('ref_axis',(0.,0.,1.)); #466142=DIRECTION('',(0.,0.,1.)); #466143=DIRECTION('',(0.,0.,1.)); #466144=DIRECTION('center_axis',(-1.,0.,0.)); #466145=DIRECTION('ref_axis',(0.,0.,-1.)); #466146=DIRECTION('center_axis',(-1.,0.,0.)); #466147=DIRECTION('ref_axis',(0.,0.,-1.)); #466148=DIRECTION('center_axis',(0.,-1.,0.)); #466149=DIRECTION('ref_axis',(1.,0.,0.)); #466150=DIRECTION('',(1.,0.,0.)); #466151=DIRECTION('',(0.,0.,1.)); #466152=DIRECTION('',(1.,0.,0.)); #466153=DIRECTION('',(0.,0.,1.)); #466154=DIRECTION('center_axis',(-1.,0.,0.)); #466155=DIRECTION('ref_axis',(0.,-1.,0.)); #466156=DIRECTION('',(0.,-1.,0.)); #466157=DIRECTION('',(0.,-1.,0.)); #466158=DIRECTION('',(0.,0.,1.)); #466159=DIRECTION('center_axis',(0.,1.,0.)); #466160=DIRECTION('ref_axis',(-1.,0.,0.)); #466161=DIRECTION('',(-1.,0.,0.)); #466162=DIRECTION('',(-1.,0.,0.)); #466163=DIRECTION('',(0.,0.,1.)); #466164=DIRECTION('center_axis',(1.,0.,0.)); #466165=DIRECTION('ref_axis',(0.,1.,0.)); #466166=DIRECTION('',(0.,1.,0.)); #466167=DIRECTION('',(0.,1.,0.)); #466168=DIRECTION('center_axis',(0.,0.,1.)); #466169=DIRECTION('ref_axis',(1.,0.,0.)); #466170=DIRECTION('center_axis',(0.,0.,1.)); #466171=DIRECTION('ref_axis',(1.,0.,0.)); #466172=DIRECTION('',(0.,0.,1.)); #466173=DIRECTION('',(1.,0.,0.)); #466174=DIRECTION('axis',(0.,0.,1.)); #466175=DIRECTION('refdir',(1.,0.,0.)); #466176=DIRECTION('axis',(0.,0.,1.)); #466177=DIRECTION('refdir',(1.,0.,0.)); #466178=DIRECTION('center_axis',(0.408248290463863,-0.816496580927726,-0.408248290463862)); #466179=DIRECTION('ref_axis',(-0.577350269189625,-0.577350269189625,0.577350269189628)); #466180=DIRECTION('center_axis',(0.,-1.,0.)); #466181=DIRECTION('ref_axis',(-1.,0.,0.)); #466182=DIRECTION('center_axis',(0.,0.,1.)); #466183=DIRECTION('ref_axis',(0.,-1.,0.)); #466184=DIRECTION('center_axis',(-1.,0.,0.)); #466185=DIRECTION('ref_axis',(0.,0.,1.)); #466186=DIRECTION('center_axis',(-0.408248290463861,-0.816496580927727, -0.408248290463864)); #466187=DIRECTION('ref_axis',(0.577350269189628,-0.577350269189625,0.577350269189625)); #466188=DIRECTION('center_axis',(1.,0.,0.)); #466189=DIRECTION('ref_axis',(0.,-1.,0.)); #466190=DIRECTION('center_axis',(0.,0.,1.)); #466191=DIRECTION('ref_axis',(1.,0.,0.)); #466192=DIRECTION('center_axis',(0.,-1.,0.)); #466193=DIRECTION('ref_axis',(0.,0.,1.)); #466194=DIRECTION('center_axis',(-0.816496580927727,0.408248290463864,0.40824829046386)); #466195=DIRECTION('ref_axis',(-0.577350269189625,-0.577350269189628,-0.577350269189624)); #466196=DIRECTION('center_axis',(0.,0.,-1.)); #466197=DIRECTION('ref_axis',(-1.,0.,0.)); #466198=DIRECTION('center_axis',(0.,-1.,0.)); #466199=DIRECTION('ref_axis',(0.,0.,-1.)); #466200=DIRECTION('center_axis',(-1.,0.,0.)); #466201=DIRECTION('ref_axis',(0.,-1.,0.)); #466202=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, 0.408248290463863)); #466203=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189626,0.577350269189626)); #466204=DIRECTION('center_axis',(-1.,0.,0.)); #466205=DIRECTION('ref_axis',(0.,1.,0.)); #466206=DIRECTION('center_axis',(0.,0.,1.)); #466207=DIRECTION('ref_axis',(-1.,0.,0.)); #466208=DIRECTION('center_axis',(0.,1.,0.)); #466209=DIRECTION('ref_axis',(0.,0.,1.)); #466210=DIRECTION('center_axis',(-0.816496580927725,-0.408248290463864, -0.408248290463863)); #466211=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,-0.577350269189626)); #466212=DIRECTION('center_axis',(0.,0.,-1.)); #466213=DIRECTION('ref_axis',(0.,-1.,0.)); #466214=DIRECTION('center_axis',(1.,0.,0.)); #466215=DIRECTION('ref_axis',(0.,0.,-1.)); #466216=DIRECTION('center_axis',(0.,-1.,0.)); #466217=DIRECTION('ref_axis',(1.,0.,0.)); #466218=DIRECTION('center_axis',(1.,0.,0.)); #466219=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #466220=DIRECTION('',(-1.,0.,0.)); #466221=DIRECTION('',(1.,0.,0.)); #466222=DIRECTION('center_axis',(0.408248290463861,-0.816496580927728,0.408248290463861)); #466223=DIRECTION('ref_axis',(0.577350269189627,0.577350269189624,0.577350269189627)); #466224=DIRECTION('center_axis',(0.,1.,0.)); #466225=DIRECTION('ref_axis',(1.,0.,0.)); #466226=DIRECTION('center_axis',(0.,0.,1.)); #466227=DIRECTION('ref_axis',(0.,1.,0.)); #466228=DIRECTION('center_axis',(1.,0.,0.)); #466229=DIRECTION('ref_axis',(0.,0.,1.)); #466230=DIRECTION('center_axis',(-0.816496580927726,-0.408248290463863, 0.408248290463862)); #466231=DIRECTION('ref_axis',(-0.577350269189625,0.577350269189627,-0.577350269189626)); #466232=DIRECTION('center_axis',(-1.,0.,0.)); #466233=DIRECTION('ref_axis',(0.,0.,-1.)); #466234=DIRECTION('center_axis',(0.,1.,0.)); #466235=DIRECTION('ref_axis',(-1.,0.,0.)); #466236=DIRECTION('center_axis',(0.,0.,-1.)); #466237=DIRECTION('ref_axis',(0.,1.,0.)); #466238=DIRECTION('center_axis',(0.,-1.,0.)); #466239=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #466240=DIRECTION('',(0.,1.,0.)); #466241=DIRECTION('',(0.,-1.,0.)); #466242=DIRECTION('center_axis',(0.,0.,1.)); #466243=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #466244=DIRECTION('',(0.,0.,-1.)); #466245=DIRECTION('',(0.,0.,1.)); #466246=DIRECTION('center_axis',(-0.816496580927726,0.408248290463865,-0.408248290463861)); #466247=DIRECTION('ref_axis',(0.577350269189625,0.577350269189628,-0.577350269189624)); #466248=DIRECTION('center_axis',(0.,0.,-1.)); #466249=DIRECTION('ref_axis',(1.,0.,0.)); #466250=DIRECTION('center_axis',(0.,1.,0.)); #466251=DIRECTION('ref_axis',(0.,0.,-1.)); #466252=DIRECTION('center_axis',(1.,0.,0.)); #466253=DIRECTION('ref_axis',(0.,1.,0.)); #466254=DIRECTION('center_axis',(0.,-1.,0.)); #466255=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #466256=DIRECTION('',(0.,1.,0.)); #466257=DIRECTION('',(0.,-1.,0.)); #466258=DIRECTION('center_axis',(-1.,0.,0.)); #466259=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); #466260=DIRECTION('',(1.,0.,0.)); #466261=DIRECTION('',(-1.,0.,0.)); #466262=DIRECTION('center_axis',(0.,0.,1.)); #466263=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #466264=DIRECTION('',(0.,0.,-1.)); #466265=DIRECTION('',(0.,0.,1.)); #466266=DIRECTION('center_axis',(-1.,0.,0.)); #466267=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548)); #466268=DIRECTION('',(1.,0.,0.)); #466269=DIRECTION('',(-1.,0.,0.)); #466270=DIRECTION('center_axis',(0.,1.,0.)); #466271=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #466272=DIRECTION('',(0.,-1.,0.)); #466273=DIRECTION('',(0.,1.,0.)); #466274=DIRECTION('center_axis',(0.,0.,1.)); #466275=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #466276=DIRECTION('',(0.,0.,-1.)); #466277=DIRECTION('',(0.,0.,1.)); #466278=DIRECTION('center_axis',(0.,-1.,0.)); #466279=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #466280=DIRECTION('',(0.,1.,0.)); #466281=DIRECTION('',(0.,-1.,0.)); #466282=DIRECTION('center_axis',(0.,0.,1.)); #466283=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #466284=DIRECTION('',(0.,0.,-1.)); #466285=DIRECTION('',(0.,0.,1.)); #466286=DIRECTION('center_axis',(1.,0.,0.)); #466287=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548)); #466288=DIRECTION('',(-1.,0.,0.)); #466289=DIRECTION('',(1.,0.,0.)); #466290=DIRECTION('center_axis',(0.,-1.,0.)); #466291=DIRECTION('ref_axis',(1.,0.,0.)); #466292=DIRECTION('center_axis',(0.,0.,1.)); #466293=DIRECTION('ref_axis',(1.,0.,0.)); #466294=DIRECTION('center_axis',(-1.,0.,0.)); #466295=DIRECTION('ref_axis',(0.,-1.,0.)); #466296=DIRECTION('center_axis',(0.,1.,0.)); #466297=DIRECTION('ref_axis',(-1.,0.,0.)); #466298=DIRECTION('center_axis',(1.,0.,0.)); #466299=DIRECTION('ref_axis',(0.,1.,0.)); #466300=DIRECTION('center_axis',(0.,0.,1.)); #466301=DIRECTION('ref_axis',(1.,0.,0.)); #466302=DIRECTION('center_axis',(0.408248290463861,-0.816496580927727,-0.408248290463862)); #466303=DIRECTION('ref_axis',(-0.577350269189627,-0.577350269189624,0.577350269189627)); #466304=DIRECTION('center_axis',(0.,-1.,0.)); #466305=DIRECTION('ref_axis',(-1.,0.,0.)); #466306=DIRECTION('center_axis',(0.,0.,1.)); #466307=DIRECTION('ref_axis',(0.,-1.,0.)); #466308=DIRECTION('center_axis',(-1.,0.,0.)); #466309=DIRECTION('ref_axis',(0.,0.,1.)); #466310=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, -0.408248290463863)); #466311=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,0.577350269189626)); #466312=DIRECTION('center_axis',(1.,0.,0.)); #466313=DIRECTION('ref_axis',(0.,-1.,0.)); #466314=DIRECTION('center_axis',(0.,0.,1.)); #466315=DIRECTION('ref_axis',(1.,0.,0.)); #466316=DIRECTION('center_axis',(0.,-1.,0.)); #466317=DIRECTION('ref_axis',(0.,0.,1.)); #466318=DIRECTION('center_axis',(-0.816496580927727,0.408248290463864,0.40824829046386)); #466319=DIRECTION('ref_axis',(-0.577350269189625,-0.577350269189628,-0.577350269189624)); #466320=DIRECTION('center_axis',(0.,0.,-1.)); #466321=DIRECTION('ref_axis',(-1.,0.,0.)); #466322=DIRECTION('center_axis',(0.,-1.,0.)); #466323=DIRECTION('ref_axis',(0.,0.,-1.)); #466324=DIRECTION('center_axis',(-1.,0.,0.)); #466325=DIRECTION('ref_axis',(0.,-1.,0.)); #466326=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, 0.408248290463863)); #466327=DIRECTION('ref_axis',(-0.577350269189628,0.577350269189625,0.577350269189625)); #466328=DIRECTION('center_axis',(-1.,0.,0.)); #466329=DIRECTION('ref_axis',(0.,1.,0.)); #466330=DIRECTION('center_axis',(0.,0.,1.)); #466331=DIRECTION('ref_axis',(-1.,0.,0.)); #466332=DIRECTION('center_axis',(0.,1.,0.)); #466333=DIRECTION('ref_axis',(0.,0.,1.)); #466334=DIRECTION('center_axis',(-0.816496580927726,-0.408248290463863, -0.408248290463862)); #466335=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,-0.577350269189626)); #466336=DIRECTION('center_axis',(0.,0.,-1.)); #466337=DIRECTION('ref_axis',(0.,-1.,0.)); #466338=DIRECTION('center_axis',(1.,0.,0.)); #466339=DIRECTION('ref_axis',(0.,0.,-1.)); #466340=DIRECTION('center_axis',(0.,-1.,0.)); #466341=DIRECTION('ref_axis',(1.,0.,0.)); #466342=DIRECTION('center_axis',(1.,0.,0.)); #466343=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #466344=DIRECTION('',(-1.,0.,0.)); #466345=DIRECTION('',(1.,0.,0.)); #466346=DIRECTION('center_axis',(0.408248290463864,-0.816496580927727,0.408248290463861)); #466347=DIRECTION('ref_axis',(0.577350269189625,0.577350269189625,0.577350269189628)); #466348=DIRECTION('center_axis',(0.,1.,0.)); #466349=DIRECTION('ref_axis',(1.,0.,0.)); #466350=DIRECTION('center_axis',(0.,0.,1.)); #466351=DIRECTION('ref_axis',(0.,1.,0.)); #466352=DIRECTION('center_axis',(1.,0.,0.)); #466353=DIRECTION('ref_axis',(0.,0.,1.)); #466354=DIRECTION('center_axis',(-0.816496580927726,-0.408248290463863, 0.408248290463862)); #466355=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189626,-0.577350269189625)); #466356=DIRECTION('center_axis',(-1.,0.,0.)); #466357=DIRECTION('ref_axis',(0.,0.,-1.)); #466358=DIRECTION('center_axis',(0.,1.,0.)); #466359=DIRECTION('ref_axis',(-1.,0.,0.)); #466360=DIRECTION('center_axis',(0.,0.,-1.)); #466361=DIRECTION('ref_axis',(0.,1.,0.)); #466362=DIRECTION('center_axis',(0.,-1.,0.)); #466363=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #466364=DIRECTION('',(0.,1.,0.)); #466365=DIRECTION('',(0.,-1.,0.)); #466366=DIRECTION('center_axis',(0.,0.,1.)); #466367=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #466368=DIRECTION('',(0.,0.,-1.)); #466369=DIRECTION('',(0.,0.,1.)); #466370=DIRECTION('center_axis',(-0.816496580927727,0.408248290463864,-0.40824829046386)); #466371=DIRECTION('ref_axis',(0.577350269189625,0.577350269189628,-0.577350269189624)); #466372=DIRECTION('center_axis',(0.,0.,-1.)); #466373=DIRECTION('ref_axis',(1.,0.,0.)); #466374=DIRECTION('center_axis',(0.,1.,0.)); #466375=DIRECTION('ref_axis',(0.,0.,-1.)); #466376=DIRECTION('center_axis',(1.,0.,0.)); #466377=DIRECTION('ref_axis',(0.,1.,0.)); #466378=DIRECTION('center_axis',(0.,1.,0.)); #466379=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #466380=DIRECTION('',(0.,-1.,0.)); #466381=DIRECTION('',(0.,1.,0.)); #466382=DIRECTION('center_axis',(-1.,0.,0.)); #466383=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); #466384=DIRECTION('',(1.,0.,0.)); #466385=DIRECTION('',(-1.,0.,0.)); #466386=DIRECTION('center_axis',(0.,0.,1.)); #466387=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #466388=DIRECTION('',(0.,0.,-1.)); #466389=DIRECTION('',(0.,0.,1.)); #466390=DIRECTION('center_axis',(-1.,0.,0.)); #466391=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548)); #466392=DIRECTION('',(1.,0.,0.)); #466393=DIRECTION('',(-1.,0.,0.)); #466394=DIRECTION('center_axis',(0.,1.,0.)); #466395=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #466396=DIRECTION('',(0.,-1.,0.)); #466397=DIRECTION('',(0.,1.,0.)); #466398=DIRECTION('center_axis',(0.,0.,1.)); #466399=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #466400=DIRECTION('',(0.,0.,-1.)); #466401=DIRECTION('',(0.,0.,1.)); #466402=DIRECTION('center_axis',(0.,-1.,0.)); #466403=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #466404=DIRECTION('',(0.,1.,0.)); #466405=DIRECTION('',(0.,-1.,0.)); #466406=DIRECTION('center_axis',(0.,0.,1.)); #466407=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #466408=DIRECTION('',(0.,0.,-1.)); #466409=DIRECTION('',(0.,0.,1.)); #466410=DIRECTION('center_axis',(1.,0.,0.)); #466411=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548)); #466412=DIRECTION('',(-1.,0.,0.)); #466413=DIRECTION('',(1.,0.,0.)); #466414=DIRECTION('center_axis',(0.,-1.,0.)); #466415=DIRECTION('ref_axis',(1.,0.,0.)); #466416=DIRECTION('center_axis',(0.,0.,1.)); #466417=DIRECTION('ref_axis',(1.,0.,0.)); #466418=DIRECTION('center_axis',(-1.,0.,0.)); #466419=DIRECTION('ref_axis',(0.,-1.,0.)); #466420=DIRECTION('center_axis',(0.,1.,0.)); #466421=DIRECTION('ref_axis',(-1.,0.,0.)); #466422=DIRECTION('center_axis',(1.,0.,0.)); #466423=DIRECTION('ref_axis',(0.,1.,0.)); #466424=DIRECTION('center_axis',(0.,0.,1.)); #466425=DIRECTION('ref_axis',(1.,0.,0.)); #466426=DIRECTION('center_axis',(1.,0.,0.)); #466427=DIRECTION('ref_axis',(0.,1.,0.)); #466428=DIRECTION('',(0.,-1.,0.)); #466429=DIRECTION('',(0.,0.,1.)); #466430=DIRECTION('',(0.,1.,0.)); #466431=DIRECTION('',(0.,0.,1.)); #466432=DIRECTION('center_axis',(0.,-1.,0.)); #466433=DIRECTION('ref_axis',(1.,0.,0.)); #466434=DIRECTION('',(1.,0.,0.)); #466435=DIRECTION('',(1.,0.,0.)); #466436=DIRECTION('',(0.,0.,1.)); #466437=DIRECTION('center_axis',(-1.,0.,0.)); #466438=DIRECTION('ref_axis',(0.,-1.,0.)); #466439=DIRECTION('',(0.,-1.,0.)); #466440=DIRECTION('',(0.,-1.,0.)); #466441=DIRECTION('',(0.,0.,1.)); #466442=DIRECTION('center_axis',(0.,1.,0.)); #466443=DIRECTION('ref_axis',(-1.,0.,0.)); #466444=DIRECTION('',(-1.,0.,0.)); #466445=DIRECTION('',(-1.,0.,0.)); #466446=DIRECTION('center_axis',(0.,0.,1.)); #466447=DIRECTION('ref_axis',(1.,0.,0.)); #466448=DIRECTION('center_axis',(0.,0.,1.)); #466449=DIRECTION('ref_axis',(1.,0.,0.)); #466450=DIRECTION('',(0.,0.,1.)); #466451=DIRECTION('',(1.,0.,0.)); #466452=DIRECTION('axis',(0.,0.,1.)); #466453=DIRECTION('refdir',(1.,0.,0.)); #466454=DIRECTION('axis',(0.,0.,1.)); #466455=DIRECTION('refdir',(1.,0.,0.)); #466456=DIRECTION('center_axis',(-0.990678839845296,0.,0.136218340478728)); #466457=DIRECTION('ref_axis',(0.136218340478728,0.,0.990678839845296)); #466458=DIRECTION('',(0.,1.,0.)); #466459=DIRECTION('',(-0.134971865202591,0.13497186520259,-0.981613565109747)); #466460=DIRECTION('',(0.,-1.,0.)); #466461=DIRECTION('',(-0.13497186520259,-0.13497186520259,-0.981613565109747)); #466462=DIRECTION('center_axis',(0.,0.990678839845296,0.136218340478728)); #466463=DIRECTION('ref_axis',(0.,-0.136218340478728,0.990678839845296)); #466464=DIRECTION('',(1.,0.,0.)); #466465=DIRECTION('',(0.13497186520259,0.13497186520259,-0.981613565109747)); #466466=DIRECTION('',(-1.,0.,0.)); #466467=DIRECTION('center_axis',(0.990678839845296,0.,0.136218340478728)); #466468=DIRECTION('ref_axis',(0.136218340478728,0.,-0.990678839845296)); #466469=DIRECTION('',(0.,-1.,0.)); #466470=DIRECTION('',(0.134971865202591,-0.13497186520259,-0.981613565109747)); #466471=DIRECTION('',(0.,1.,0.)); #466472=DIRECTION('center_axis',(0.,-0.990678839845296,0.136218340478728)); #466473=DIRECTION('ref_axis',(0.,-0.136218340478728,-0.990678839845296)); #466474=DIRECTION('',(1.,0.,0.)); #466475=DIRECTION('',(-1.,0.,0.)); #466476=DIRECTION('center_axis',(0.,-1.,0.)); #466477=DIRECTION('ref_axis',(1.,0.,0.)); #466478=DIRECTION('',(0.,0.,1.)); #466479=DIRECTION('',(1.,0.,0.)); #466480=DIRECTION('',(0.,0.,1.)); #466481=DIRECTION('center_axis',(-1.,0.,0.)); #466482=DIRECTION('ref_axis',(0.,-1.,0.)); #466483=DIRECTION('',(0.,0.,1.)); #466484=DIRECTION('',(0.,-1.,0.)); #466485=DIRECTION('center_axis',(0.,0.,1.)); #466486=DIRECTION('ref_axis',(1.,0.,0.)); #466487=DIRECTION('center_axis',(0.,1.,0.)); #466488=DIRECTION('ref_axis',(-1.,0.,0.)); #466489=DIRECTION('',(0.,0.,1.)); #466490=DIRECTION('',(-1.,0.,0.)); #466491=DIRECTION('center_axis',(1.,0.,0.)); #466492=DIRECTION('ref_axis',(0.,1.,0.)); #466493=DIRECTION('',(0.,1.,0.)); #466494=DIRECTION('center_axis',(0.,0.,1.)); #466495=DIRECTION('ref_axis',(1.,0.,0.)); #466496=DIRECTION('center_axis',(1.,0.,0.)); #466497=DIRECTION('ref_axis',(0.,1.,0.)); #466498=DIRECTION('',(0.,-1.,0.)); #466499=DIRECTION('',(0.,0.,1.)); #466500=DIRECTION('',(0.,1.,0.)); #466501=DIRECTION('',(0.,0.,1.)); #466502=DIRECTION('center_axis',(0.,-1.,0.)); #466503=DIRECTION('ref_axis',(1.,0.,0.)); #466504=DIRECTION('',(1.,0.,0.)); #466505=DIRECTION('',(1.,0.,0.)); #466506=DIRECTION('',(0.,0.,1.)); #466507=DIRECTION('center_axis',(-1.,0.,0.)); #466508=DIRECTION('ref_axis',(0.,-1.,0.)); #466509=DIRECTION('',(0.,-1.,0.)); #466510=DIRECTION('',(0.,-1.,0.)); #466511=DIRECTION('',(0.,0.,1.)); #466512=DIRECTION('center_axis',(0.,1.,0.)); #466513=DIRECTION('ref_axis',(-1.,0.,0.)); #466514=DIRECTION('',(-1.,0.,0.)); #466515=DIRECTION('',(-1.,0.,0.)); #466516=DIRECTION('center_axis',(0.,0.,1.)); #466517=DIRECTION('ref_axis',(1.,0.,0.)); #466518=DIRECTION('center_axis',(0.,0.,1.)); #466519=DIRECTION('ref_axis',(1.,0.,0.)); #466520=DIRECTION('center_axis',(0.,1.,0.)); #466521=DIRECTION('ref_axis',(-1.,0.,0.)); #466522=DIRECTION('',(-1.,0.,0.)); #466523=DIRECTION('',(0.,0.,1.)); #466524=DIRECTION('',(-1.,0.,0.)); #466525=DIRECTION('',(0.,0.,1.)); #466526=DIRECTION('center_axis',(1.,0.,0.)); #466527=DIRECTION('ref_axis',(0.,1.,0.)); #466528=DIRECTION('',(0.,1.,0.)); #466529=DIRECTION('',(0.,1.,0.)); #466530=DIRECTION('',(0.,0.,1.)); #466531=DIRECTION('center_axis',(0.,-1.,0.)); #466532=DIRECTION('ref_axis',(1.,0.,0.)); #466533=DIRECTION('',(1.,0.,0.)); #466534=DIRECTION('',(1.,0.,0.)); #466535=DIRECTION('',(0.,0.,1.)); #466536=DIRECTION('center_axis',(-1.,0.,0.)); #466537=DIRECTION('ref_axis',(0.,-1.,0.)); #466538=DIRECTION('',(0.,-1.,0.)); #466539=DIRECTION('',(0.,-1.,0.)); #466540=DIRECTION('center_axis',(0.,0.,1.)); #466541=DIRECTION('ref_axis',(1.,0.,0.)); #466542=DIRECTION('center_axis',(0.,0.,1.)); #466543=DIRECTION('ref_axis',(1.,0.,0.)); #466544=DIRECTION('center_axis',(0.,1.,0.)); #466545=DIRECTION('ref_axis',(-1.,0.,0.)); #466546=DIRECTION('',(1.,0.,0.)); #466547=DIRECTION('',(0.,0.,1.)); #466548=DIRECTION('',(-1.,0.,0.)); #466549=DIRECTION('',(0.,0.,1.)); #466550=DIRECTION('center_axis',(1.,0.,0.)); #466551=DIRECTION('ref_axis',(0.,1.,0.)); #466552=DIRECTION('',(0.,-1.,0.)); #466553=DIRECTION('',(0.,1.,0.)); #466554=DIRECTION('',(0.,0.,1.)); #466555=DIRECTION('center_axis',(0.,-1.,0.)); #466556=DIRECTION('ref_axis',(1.,0.,0.)); #466557=DIRECTION('',(-1.,0.,0.)); #466558=DIRECTION('',(1.,0.,0.)); #466559=DIRECTION('',(0.,0.,1.)); #466560=DIRECTION('center_axis',(-1.,0.,0.)); #466561=DIRECTION('ref_axis',(0.,-1.,0.)); #466562=DIRECTION('',(0.,1.,0.)); #466563=DIRECTION('',(0.,-1.,0.)); #466564=DIRECTION('center_axis',(0.,0.,1.)); #466565=DIRECTION('ref_axis',(1.,0.,0.)); #466566=DIRECTION('center_axis',(0.,0.,1.)); #466567=DIRECTION('ref_axis',(1.,0.,0.)); #466568=DIRECTION('center_axis',(0.,-1.,0.)); #466569=DIRECTION('ref_axis',(1.,0.,0.)); #466570=DIRECTION('',(-1.,0.,0.)); #466571=DIRECTION('',(0.,0.,1.)); #466572=DIRECTION('',(1.,0.,0.)); #466573=DIRECTION('',(0.,0.,1.)); #466574=DIRECTION('center_axis',(-1.,0.,0.)); #466575=DIRECTION('ref_axis',(0.,-1.,0.)); #466576=DIRECTION('',(0.,1.,0.)); #466577=DIRECTION('',(0.,-1.,0.)); #466578=DIRECTION('',(0.,0.,1.)); #466579=DIRECTION('center_axis',(0.,1.,0.)); #466580=DIRECTION('ref_axis',(-1.,0.,0.)); #466581=DIRECTION('',(1.,0.,0.)); #466582=DIRECTION('',(-1.,0.,0.)); #466583=DIRECTION('',(0.,0.,1.)); #466584=DIRECTION('center_axis',(1.,0.,0.)); #466585=DIRECTION('ref_axis',(0.,1.,0.)); #466586=DIRECTION('',(0.,1.,0.)); #466587=DIRECTION('',(0.,1.,0.)); #466588=DIRECTION('center_axis',(0.,0.,1.)); #466589=DIRECTION('ref_axis',(1.,0.,0.)); #466590=DIRECTION('center_axis',(0.,0.,1.)); #466591=DIRECTION('ref_axis',(1.,0.,0.)); #466592=DIRECTION('center_axis',(1.,-1.16294311238669E-16,0.)); #466593=DIRECTION('ref_axis',(1.16294311238669E-16,1.,0.)); #466594=DIRECTION('',(-1.16294311238669E-16,-1.,0.)); #466595=DIRECTION('',(0.,0.,1.)); #466596=DIRECTION('',(1.16294311238669E-16,1.,0.)); #466597=DIRECTION('',(0.,0.,1.)); #466598=DIRECTION('center_axis',(0.,-1.,0.)); #466599=DIRECTION('ref_axis',(1.,0.,0.)); #466600=DIRECTION('',(1.,0.,0.)); #466601=DIRECTION('',(1.,0.,0.)); #466602=DIRECTION('',(0.,0.,1.)); #466603=DIRECTION('center_axis',(-1.,0.,0.)); #466604=DIRECTION('ref_axis',(0.,-1.,0.)); #466605=DIRECTION('',(0.,-1.,0.)); #466606=DIRECTION('',(0.,-1.,0.)); #466607=DIRECTION('',(0.,0.,1.)); #466608=DIRECTION('center_axis',(4.97411749384031E-17,1.,0.)); #466609=DIRECTION('ref_axis',(-1.,4.97411749384031E-17,0.)); #466610=DIRECTION('',(-1.,4.97411749384031E-17,0.)); #466611=DIRECTION('',(-1.,4.97411749384031E-17,0.)); #466612=DIRECTION('center_axis',(0.,0.,1.)); #466613=DIRECTION('ref_axis',(1.,0.,0.)); #466614=DIRECTION('center_axis',(0.,0.,1.)); #466615=DIRECTION('ref_axis',(1.,0.,0.)); #466616=DIRECTION('',(0.,0.,1.)); #466617=DIRECTION('',(1.,0.,0.)); #466618=DIRECTION('axis',(0.,0.,1.)); #466619=DIRECTION('refdir',(1.,0.,0.)); #466620=DIRECTION('axis',(0.,0.,1.)); #466621=DIRECTION('refdir',(1.,0.,0.)); #466622=DIRECTION('center_axis',(0.,0.,-1.)); #466623=DIRECTION('ref_axis',(1.,0.,0.)); #466624=DIRECTION('center_axis',(0.,0.,-1.)); #466625=DIRECTION('ref_axis',(1.,0.,0.)); #466626=DIRECTION('',(0.,0.,-1.)); #466627=DIRECTION('center_axis',(0.,0.,-1.)); #466628=DIRECTION('ref_axis',(1.,0.,0.)); #466629=DIRECTION('center_axis',(0.,0.,1.)); #466630=DIRECTION('ref_axis',(1.,0.,0.)); #466631=DIRECTION('center_axis',(-0.928476690885259,0.,0.371390676354104)); #466632=DIRECTION('ref_axis',(0.371390676354104,0.,0.928476690885259)); #466633=DIRECTION('',(0.,1.,0.)); #466634=DIRECTION('',(-0.348155311911396,0.348155311911396,-0.870388279778489)); #466635=DIRECTION('',(0.,-1.,0.)); #466636=DIRECTION('',(-0.348155311911396,-0.348155311911396,-0.870388279778489)); #466637=DIRECTION('center_axis',(0.,0.928476690885259,0.371390676354104)); #466638=DIRECTION('ref_axis',(0.,-0.371390676354104,0.928476690885259)); #466639=DIRECTION('',(1.,0.,0.)); #466640=DIRECTION('',(0.348155311911396,0.348155311911396,-0.870388279778489)); #466641=DIRECTION('',(-1.,0.,0.)); #466642=DIRECTION('center_axis',(0.928476690885259,0.,0.371390676354104)); #466643=DIRECTION('ref_axis',(0.371390676354104,0.,-0.928476690885259)); #466644=DIRECTION('',(0.,-1.,0.)); #466645=DIRECTION('',(0.348155311911396,-0.348155311911396,-0.870388279778489)); #466646=DIRECTION('',(0.,1.,0.)); #466647=DIRECTION('center_axis',(0.,-0.928476690885259,0.371390676354104)); #466648=DIRECTION('ref_axis',(0.,-0.371390676354104,-0.928476690885259)); #466649=DIRECTION('',(1.,0.,0.)); #466650=DIRECTION('',(-1.,0.,0.)); #466651=DIRECTION('center_axis',(0.,-1.,0.)); #466652=DIRECTION('ref_axis',(1.,0.,0.)); #466653=DIRECTION('',(0.,0.,1.)); #466654=DIRECTION('',(-1.,0.,0.)); #466655=DIRECTION('',(0.,0.,1.)); #466656=DIRECTION('center_axis',(-1.,0.,0.)); #466657=DIRECTION('ref_axis',(0.,-1.,0.)); #466658=DIRECTION('',(0.,0.,1.)); #466659=DIRECTION('',(0.,1.,0.)); #466660=DIRECTION('center_axis',(0.,0.,1.)); #466661=DIRECTION('ref_axis',(1.,0.,0.)); #466662=DIRECTION('center_axis',(0.928476690885259,0.,-0.371390676354104)); #466663=DIRECTION('ref_axis',(-0.371390676354104,0.,-0.928476690885259)); #466664=DIRECTION('',(0.,-1.,0.)); #466665=DIRECTION('',(-0.348155311911396,0.348155311911396,-0.870388279778489)); #466666=DIRECTION('',(0.,1.,0.)); #466667=DIRECTION('',(0.348155311911396,0.348155311911396,0.870388279778489)); #466668=DIRECTION('center_axis',(0.,-0.928476690885259,-0.371390676354104)); #466669=DIRECTION('ref_axis',(0.,0.371390676354104,-0.928476690885259)); #466670=DIRECTION('',(1.,0.,0.)); #466671=DIRECTION('',(-0.348155311911396,-0.348155311911396,0.870388279778489)); #466672=DIRECTION('center_axis',(0.,0.928476690885259,-0.371390676354104)); #466673=DIRECTION('ref_axis',(0.,0.371390676354104,0.928476690885259)); #466674=DIRECTION('',(1.,0.,0.)); #466675=DIRECTION('',(-1.,0.,0.)); #466676=DIRECTION('',(-0.348155311911396,0.348155311911396,0.870388279778489)); #466677=DIRECTION('center_axis',(-0.928476690885259,0.,-0.371390676354104)); #466678=DIRECTION('ref_axis',(-0.371390676354104,0.,0.928476690885259)); #466679=DIRECTION('',(0.,-1.,0.)); #466680=DIRECTION('center_axis',(1.,0.,0.)); #466681=DIRECTION('ref_axis',(0.,1.,0.)); #466682=DIRECTION('',(0.,0.,1.)); #466683=DIRECTION('center_axis',(0.,0.,1.)); #466684=DIRECTION('ref_axis',(1.,0.,0.)); #466685=DIRECTION('center_axis',(0.,1.,0.)); #466686=DIRECTION('ref_axis',(-1.,0.,0.)); #466687=DIRECTION('center_axis',(0.,1.,0.)); #466688=DIRECTION('ref_axis',(1.,0.,0.)); #466689=DIRECTION('center_axis',(0.,-1.,0.)); #466690=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #466691=DIRECTION('',(0.195809058033592,0.,0.980642041109801)); #466692=DIRECTION('center_axis',(0.,1.,0.)); #466693=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #466694=DIRECTION('',(0.999999999998559,0.,1.69737688680423E-6)); #466695=DIRECTION('',(-1.69737442573292E-6,0.,0.999999999998559)); #466696=DIRECTION('',(-0.999999999998559,0.,-1.69737442613814E-6)); #466697=DIRECTION('center_axis',(0.,-1.,0.)); #466698=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #466699=DIRECTION('',(-0.195809296716129,0.,-0.980641993450992)); #466700=DIRECTION('center_axis',(0.,1.,0.)); #466701=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #466702=DIRECTION('',(-1.,0.,-4.95238232924399E-13)); #466703=DIRECTION('',(0.,0.,-1.)); #466704=DIRECTION('',(1.,0.,0.)); #466705=DIRECTION('center_axis',(0.980642041109801,0.,-0.195809058033592)); #466706=DIRECTION('ref_axis',(-0.195809058033592,0.,-0.980642041109801)); #466707=DIRECTION('',(0.,-1.,0.)); #466708=DIRECTION('',(-0.195809058033592,0.,-0.980642041109801)); #466709=DIRECTION('',(0.,1.,0.)); #466710=DIRECTION('center_axis',(0.,1.,0.)); #466711=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #466712=DIRECTION('center_axis',(0.,-1.,0.)); #466713=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #466714=DIRECTION('',(0.,1.,0.)); #466715=DIRECTION('center_axis',(1.69737688680423E-6,0.,-0.999999999998559)); #466716=DIRECTION('ref_axis',(-0.999999999998559,0.,-1.69737688680423E-6)); #466717=DIRECTION('',(-0.999999999998559,0.,-1.69737688680423E-6)); #466718=DIRECTION('',(0.,1.,0.)); #466719=DIRECTION('center_axis',(0.999999999998559,0.,1.69737442573292E-6)); #466720=DIRECTION('ref_axis',(1.69737442573292E-6,0.,-0.999999999998559)); #466721=DIRECTION('',(1.69737442573292E-6,0.,-0.999999999998559)); #466722=DIRECTION('',(0.,1.,0.)); #466723=DIRECTION('center_axis',(-1.69737442613814E-6,0.,0.999999999998559)); #466724=DIRECTION('ref_axis',(0.999999999998559,0.,1.69737442613814E-6)); #466725=DIRECTION('',(0.999999999998559,0.,1.69737442613814E-6)); #466726=DIRECTION('',(0.,1.,0.)); #466727=DIRECTION('center_axis',(0.,1.,0.)); #466728=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #466729=DIRECTION('center_axis',(0.,1.,0.)); #466730=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #466731=DIRECTION('',(0.,1.,0.)); #466732=DIRECTION('center_axis',(-0.980641993450992,0.,0.195809296716129)); #466733=DIRECTION('ref_axis',(0.195809296716129,0.,0.980641993450992)); #466734=DIRECTION('',(0.195809296716129,0.,0.980641993450992)); #466735=DIRECTION('',(0.,1.,0.)); #466736=DIRECTION('center_axis',(0.,1.,0.)); #466737=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #466738=DIRECTION('center_axis',(0.,-1.,0.)); #466739=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #466740=DIRECTION('',(0.,1.,0.)); #466741=DIRECTION('center_axis',(-4.95238232924399E-13,0.,1.)); #466742=DIRECTION('ref_axis',(1.,0.,4.95238232924399E-13)); #466743=DIRECTION('',(1.,0.,4.95238232924399E-13)); #466744=DIRECTION('',(0.,1.,0.)); #466745=DIRECTION('center_axis',(-1.,0.,0.)); #466746=DIRECTION('ref_axis',(0.,0.,1.)); #466747=DIRECTION('',(0.,0.,1.)); #466748=DIRECTION('',(0.,1.,0.)); #466749=DIRECTION('center_axis',(0.,0.,-1.)); #466750=DIRECTION('ref_axis',(-1.,0.,0.)); #466751=DIRECTION('',(-1.,0.,0.)); #466752=DIRECTION('',(0.,1.,0.)); #466753=DIRECTION('center_axis',(0.,1.,0.)); #466754=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #466755=DIRECTION('center_axis',(0.,1.,0.)); #466756=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #466757=DIRECTION('center_axis',(0.,1.,0.)); #466758=DIRECTION('ref_axis',(1.,0.,0.)); #466759=DIRECTION('center_axis',(0.,-1.,0.)); #466760=DIRECTION('ref_axis',(-1.,0.,0.)); #466761=DIRECTION('center_axis',(0.,1.,0.)); #466762=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #466763=DIRECTION('',(-1.,0.,0.)); #466764=DIRECTION('',(0.,0.,-1.)); #466765=DIRECTION('',(1.,0.,-4.95238232924399E-13)); #466766=DIRECTION('center_axis',(0.,-1.,0.)); #466767=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #466768=DIRECTION('',(0.195809296716129,0.,-0.980641993450992)); #466769=DIRECTION('center_axis',(0.,1.,0.)); #466770=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #466771=DIRECTION('',(0.999999999998559,0.,-1.69737442613814E-6)); #466772=DIRECTION('',(1.69737442573292E-6,0.,0.999999999998559)); #466773=DIRECTION('',(-0.999999999998559,0.,1.69737688680423E-6)); #466774=DIRECTION('center_axis',(0.,-1.,0.)); #466775=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #466776=DIRECTION('',(-0.195809058033592,0.,0.980642041109801)); #466777=DIRECTION('center_axis',(0.980642041109801,0.,0.195809058033592)); #466778=DIRECTION('ref_axis',(0.195809058033592,0.,-0.980642041109801)); #466779=DIRECTION('',(0.,-1.,0.)); #466780=DIRECTION('',(0.,1.,0.)); #466781=DIRECTION('',(0.195809058033592,0.,-0.980642041109801)); #466782=DIRECTION('center_axis',(0.,-1.,0.)); #466783=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #466784=DIRECTION('',(0.,1.,0.)); #466785=DIRECTION('center_axis',(0.,1.,0.)); #466786=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #466787=DIRECTION('center_axis',(1.69737688680423E-6,0.,0.999999999998559)); #466788=DIRECTION('ref_axis',(0.999999999998559,0.,-1.69737688680423E-6)); #466789=DIRECTION('',(0.,1.,0.)); #466790=DIRECTION('',(0.999999999998559,0.,-1.69737688680423E-6)); #466791=DIRECTION('center_axis',(0.999999999998559,0.,-1.69737442573292E-6)); #466792=DIRECTION('ref_axis',(-1.69737442573292E-6,0.,-0.999999999998559)); #466793=DIRECTION('',(0.,1.,0.)); #466794=DIRECTION('',(-1.69737442573292E-6,0.,-0.999999999998559)); #466795=DIRECTION('center_axis',(-1.69737442613814E-6,0.,-0.999999999998559)); #466796=DIRECTION('ref_axis',(-0.999999999998559,0.,1.69737442613814E-6)); #466797=DIRECTION('',(0.,1.,0.)); #466798=DIRECTION('',(-0.999999999998559,0.,1.69737442613814E-6)); #466799=DIRECTION('center_axis',(0.,-1.,0.)); #466800=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #466801=DIRECTION('',(0.,1.,0.)); #466802=DIRECTION('center_axis',(0.,-1.,0.)); #466803=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #466804=DIRECTION('center_axis',(-0.980641993450992,0.,-0.195809296716129)); #466805=DIRECTION('ref_axis',(-0.195809296716129,0.,0.980641993450992)); #466806=DIRECTION('',(0.,1.,0.)); #466807=DIRECTION('',(-0.195809296716129,0.,0.980641993450992)); #466808=DIRECTION('center_axis',(0.,-1.,0.)); #466809=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #466810=DIRECTION('',(0.,1.,0.)); #466811=DIRECTION('center_axis',(0.,1.,0.)); #466812=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #466813=DIRECTION('center_axis',(-4.95238232924399E-13,0.,-1.)); #466814=DIRECTION('ref_axis',(-1.,0.,4.95238232924399E-13)); #466815=DIRECTION('',(0.,1.,0.)); #466816=DIRECTION('',(-1.,0.,4.95238232924399E-13)); #466817=DIRECTION('center_axis',(-1.,0.,0.)); #466818=DIRECTION('ref_axis',(0.,0.,1.)); #466819=DIRECTION('',(0.,1.,0.)); #466820=DIRECTION('',(0.,0.,1.)); #466821=DIRECTION('center_axis',(0.,0.,1.)); #466822=DIRECTION('ref_axis',(1.,0.,0.)); #466823=DIRECTION('',(0.,1.,0.)); #466824=DIRECTION('',(1.,0.,0.)); #466825=DIRECTION('center_axis',(0.,-1.,0.)); #466826=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #466827=DIRECTION('center_axis',(0.,-1.,0.)); #466828=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #466829=DIRECTION('center_axis',(0.,-1.,0.)); #466830=DIRECTION('ref_axis',(-1.,0.,0.)); #466831=DIRECTION('center_axis',(0.,1.,0.)); #466832=DIRECTION('ref_axis',(1.,0.,0.)); #466833=DIRECTION('center_axis',(0.,-1.,0.)); #466834=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #466835=DIRECTION('',(0.195809058033592,0.,0.980642041109801)); #466836=DIRECTION('center_axis',(0.,1.,0.)); #466837=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #466838=DIRECTION('',(0.999999999998559,0.,1.69737688680423E-6)); #466839=DIRECTION('',(-1.69737442573292E-6,0.,0.999999999998559)); #466840=DIRECTION('',(-0.999999999998559,0.,-1.69737442613814E-6)); #466841=DIRECTION('center_axis',(0.,-1.,0.)); #466842=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #466843=DIRECTION('',(-0.195809296716129,0.,-0.980641993450992)); #466844=DIRECTION('center_axis',(0.,1.,0.)); #466845=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #466846=DIRECTION('',(-1.,0.,-4.95238232924399E-13)); #466847=DIRECTION('',(0.,0.,-1.)); #466848=DIRECTION('',(1.,0.,0.)); #466849=DIRECTION('center_axis',(0.980642041109801,0.,-0.195809058033592)); #466850=DIRECTION('ref_axis',(-0.195809058033592,0.,-0.980642041109801)); #466851=DIRECTION('',(0.,-1.,0.)); #466852=DIRECTION('',(-0.195809058033592,0.,-0.980642041109801)); #466853=DIRECTION('',(0.,1.,0.)); #466854=DIRECTION('center_axis',(0.,1.,0.)); #466855=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #466856=DIRECTION('center_axis',(0.,-1.,0.)); #466857=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #466858=DIRECTION('',(0.,1.,0.)); #466859=DIRECTION('center_axis',(1.69737688680423E-6,0.,-0.999999999998559)); #466860=DIRECTION('ref_axis',(-0.999999999998559,0.,-1.69737688680423E-6)); #466861=DIRECTION('',(-0.999999999998559,0.,-1.69737688680423E-6)); #466862=DIRECTION('',(0.,1.,0.)); #466863=DIRECTION('center_axis',(0.999999999998559,0.,1.69737442573292E-6)); #466864=DIRECTION('ref_axis',(1.69737442573292E-6,0.,-0.999999999998559)); #466865=DIRECTION('',(1.69737442573292E-6,0.,-0.999999999998559)); #466866=DIRECTION('',(0.,1.,0.)); #466867=DIRECTION('center_axis',(-1.69737442613814E-6,0.,0.999999999998559)); #466868=DIRECTION('ref_axis',(0.999999999998559,0.,1.69737442613814E-6)); #466869=DIRECTION('',(0.999999999998559,0.,1.69737442613814E-6)); #466870=DIRECTION('',(0.,1.,0.)); #466871=DIRECTION('center_axis',(0.,1.,0.)); #466872=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #466873=DIRECTION('center_axis',(0.,1.,0.)); #466874=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #466875=DIRECTION('',(0.,1.,0.)); #466876=DIRECTION('center_axis',(-0.980641993450992,0.,0.195809296716129)); #466877=DIRECTION('ref_axis',(0.195809296716129,0.,0.980641993450992)); #466878=DIRECTION('',(0.195809296716129,0.,0.980641993450992)); #466879=DIRECTION('',(0.,1.,0.)); #466880=DIRECTION('center_axis',(0.,1.,0.)); #466881=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #466882=DIRECTION('center_axis',(0.,-1.,0.)); #466883=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #466884=DIRECTION('',(0.,1.,0.)); #466885=DIRECTION('center_axis',(-4.95238232924399E-13,0.,1.)); #466886=DIRECTION('ref_axis',(1.,0.,4.95238232924399E-13)); #466887=DIRECTION('',(1.,0.,4.95238232924399E-13)); #466888=DIRECTION('',(0.,1.,0.)); #466889=DIRECTION('center_axis',(-1.,0.,0.)); #466890=DIRECTION('ref_axis',(0.,0.,1.)); #466891=DIRECTION('',(0.,0.,1.)); #466892=DIRECTION('',(0.,1.,0.)); #466893=DIRECTION('center_axis',(0.,0.,-1.)); #466894=DIRECTION('ref_axis',(-1.,0.,0.)); #466895=DIRECTION('',(-1.,0.,0.)); #466896=DIRECTION('',(0.,1.,0.)); #466897=DIRECTION('center_axis',(0.,1.,0.)); #466898=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #466899=DIRECTION('center_axis',(0.,1.,0.)); #466900=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #466901=DIRECTION('center_axis',(0.,1.,0.)); #466902=DIRECTION('ref_axis',(1.,0.,0.)); #466903=DIRECTION('center_axis',(0.,1.,0.)); #466904=DIRECTION('ref_axis',(1.,0.,0.)); #466905=DIRECTION('center_axis',(0.,-1.,0.)); #466906=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #466907=DIRECTION('',(0.195809058033592,0.,0.980642041109801)); #466908=DIRECTION('center_axis',(0.,1.,0.)); #466909=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #466910=DIRECTION('',(0.999999999998559,0.,1.69737688680423E-6)); #466911=DIRECTION('',(-1.69737442573292E-6,0.,0.999999999998559)); #466912=DIRECTION('',(-0.999999999998559,0.,-1.69737442613814E-6)); #466913=DIRECTION('center_axis',(0.,-1.,0.)); #466914=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #466915=DIRECTION('',(-0.195809296716129,0.,-0.980641993450992)); #466916=DIRECTION('center_axis',(0.,1.,0.)); #466917=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #466918=DIRECTION('',(-1.,0.,-4.95238232924399E-13)); #466919=DIRECTION('',(0.,0.,-1.)); #466920=DIRECTION('',(1.,0.,0.)); #466921=DIRECTION('center_axis',(0.980642041109801,0.,-0.195809058033592)); #466922=DIRECTION('ref_axis',(-0.195809058033592,0.,-0.980642041109801)); #466923=DIRECTION('',(0.,-1.,0.)); #466924=DIRECTION('',(-0.195809058033592,0.,-0.980642041109801)); #466925=DIRECTION('',(0.,1.,0.)); #466926=DIRECTION('center_axis',(0.,1.,0.)); #466927=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #466928=DIRECTION('center_axis',(0.,-1.,0.)); #466929=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #466930=DIRECTION('',(0.,1.,0.)); #466931=DIRECTION('center_axis',(1.69737688680423E-6,0.,-0.999999999998559)); #466932=DIRECTION('ref_axis',(-0.999999999998559,0.,-1.69737688680423E-6)); #466933=DIRECTION('',(-0.999999999998559,0.,-1.69737688680423E-6)); #466934=DIRECTION('',(0.,1.,0.)); #466935=DIRECTION('center_axis',(0.999999999998559,0.,1.69737442573292E-6)); #466936=DIRECTION('ref_axis',(1.69737442573292E-6,0.,-0.999999999998559)); #466937=DIRECTION('',(1.69737442573292E-6,0.,-0.999999999998559)); #466938=DIRECTION('',(0.,1.,0.)); #466939=DIRECTION('center_axis',(-1.69737442613814E-6,0.,0.999999999998559)); #466940=DIRECTION('ref_axis',(0.999999999998559,0.,1.69737442613814E-6)); #466941=DIRECTION('',(0.999999999998559,0.,1.69737442613814E-6)); #466942=DIRECTION('',(0.,1.,0.)); #466943=DIRECTION('center_axis',(0.,1.,0.)); #466944=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #466945=DIRECTION('center_axis',(0.,1.,0.)); #466946=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #466947=DIRECTION('',(0.,1.,0.)); #466948=DIRECTION('center_axis',(-0.980641993450992,0.,0.195809296716129)); #466949=DIRECTION('ref_axis',(0.195809296716129,0.,0.980641993450992)); #466950=DIRECTION('',(0.195809296716129,0.,0.980641993450992)); #466951=DIRECTION('',(0.,1.,0.)); #466952=DIRECTION('center_axis',(0.,1.,0.)); #466953=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #466954=DIRECTION('center_axis',(0.,-1.,0.)); #466955=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #466956=DIRECTION('',(0.,1.,0.)); #466957=DIRECTION('center_axis',(-4.95238232924399E-13,0.,1.)); #466958=DIRECTION('ref_axis',(1.,0.,4.95238232924399E-13)); #466959=DIRECTION('',(1.,0.,4.95238232924399E-13)); #466960=DIRECTION('',(0.,1.,0.)); #466961=DIRECTION('center_axis',(-1.,0.,0.)); #466962=DIRECTION('ref_axis',(0.,0.,1.)); #466963=DIRECTION('',(0.,0.,1.)); #466964=DIRECTION('',(0.,1.,0.)); #466965=DIRECTION('center_axis',(0.,0.,-1.)); #466966=DIRECTION('ref_axis',(-1.,0.,0.)); #466967=DIRECTION('',(-1.,0.,0.)); #466968=DIRECTION('',(0.,1.,0.)); #466969=DIRECTION('center_axis',(0.,1.,0.)); #466970=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #466971=DIRECTION('center_axis',(0.,1.,0.)); #466972=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #466973=DIRECTION('center_axis',(0.,1.,0.)); #466974=DIRECTION('ref_axis',(1.,0.,0.)); #466975=DIRECTION('center_axis',(0.,1.,0.)); #466976=DIRECTION('ref_axis',(1.,0.,0.)); #466977=DIRECTION('center_axis',(0.,-1.,0.)); #466978=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #466979=DIRECTION('',(0.195809058033592,0.,0.980642041109801)); #466980=DIRECTION('center_axis',(0.,1.,0.)); #466981=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #466982=DIRECTION('',(0.999999999998559,0.,1.69737688680423E-6)); #466983=DIRECTION('',(-1.69737442573292E-6,0.,0.999999999998559)); #466984=DIRECTION('',(-0.999999999998559,0.,-1.69737442613814E-6)); #466985=DIRECTION('center_axis',(0.,-1.,0.)); #466986=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #466987=DIRECTION('',(-0.195809296716129,0.,-0.980641993450992)); #466988=DIRECTION('center_axis',(0.,1.,0.)); #466989=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #466990=DIRECTION('',(-1.,0.,-4.95238232924399E-13)); #466991=DIRECTION('',(0.,0.,-1.)); #466992=DIRECTION('',(1.,0.,0.)); #466993=DIRECTION('center_axis',(0.980642041109801,0.,-0.195809058033592)); #466994=DIRECTION('ref_axis',(-0.195809058033592,0.,-0.980642041109801)); #466995=DIRECTION('',(0.,-1.,0.)); #466996=DIRECTION('',(-0.195809058033592,0.,-0.980642041109801)); #466997=DIRECTION('',(0.,1.,0.)); #466998=DIRECTION('center_axis',(0.,1.,0.)); #466999=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #467000=DIRECTION('center_axis',(0.,-1.,0.)); #467001=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #467002=DIRECTION('',(0.,1.,0.)); #467003=DIRECTION('center_axis',(1.69737688680423E-6,0.,-0.999999999998559)); #467004=DIRECTION('ref_axis',(-0.999999999998559,0.,-1.69737688680423E-6)); #467005=DIRECTION('',(-0.999999999998559,0.,-1.69737688680423E-6)); #467006=DIRECTION('',(0.,1.,0.)); #467007=DIRECTION('center_axis',(0.999999999998559,0.,1.69737442573292E-6)); #467008=DIRECTION('ref_axis',(1.69737442573292E-6,0.,-0.999999999998559)); #467009=DIRECTION('',(1.69737442573292E-6,0.,-0.999999999998559)); #467010=DIRECTION('',(0.,1.,0.)); #467011=DIRECTION('center_axis',(-1.69737442613814E-6,0.,0.999999999998559)); #467012=DIRECTION('ref_axis',(0.999999999998559,0.,1.69737442613814E-6)); #467013=DIRECTION('',(0.999999999998559,0.,1.69737442613814E-6)); #467014=DIRECTION('',(0.,1.,0.)); #467015=DIRECTION('center_axis',(0.,1.,0.)); #467016=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #467017=DIRECTION('center_axis',(0.,1.,0.)); #467018=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #467019=DIRECTION('',(0.,1.,0.)); #467020=DIRECTION('center_axis',(-0.980641993450992,0.,0.195809296716129)); #467021=DIRECTION('ref_axis',(0.195809296716129,0.,0.980641993450992)); #467022=DIRECTION('',(0.195809296716129,0.,0.980641993450992)); #467023=DIRECTION('',(0.,1.,0.)); #467024=DIRECTION('center_axis',(0.,1.,0.)); #467025=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #467026=DIRECTION('center_axis',(0.,-1.,0.)); #467027=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #467028=DIRECTION('',(0.,1.,0.)); #467029=DIRECTION('center_axis',(-4.95238232924399E-13,0.,1.)); #467030=DIRECTION('ref_axis',(1.,0.,4.95238232924399E-13)); #467031=DIRECTION('',(1.,0.,4.95238232924399E-13)); #467032=DIRECTION('',(0.,1.,0.)); #467033=DIRECTION('center_axis',(-1.,0.,0.)); #467034=DIRECTION('ref_axis',(0.,0.,1.)); #467035=DIRECTION('',(0.,0.,1.)); #467036=DIRECTION('',(0.,1.,0.)); #467037=DIRECTION('center_axis',(0.,0.,-1.)); #467038=DIRECTION('ref_axis',(-1.,0.,0.)); #467039=DIRECTION('',(-1.,0.,0.)); #467040=DIRECTION('',(0.,1.,0.)); #467041=DIRECTION('center_axis',(0.,1.,0.)); #467042=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #467043=DIRECTION('center_axis',(0.,1.,0.)); #467044=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #467045=DIRECTION('center_axis',(0.,1.,0.)); #467046=DIRECTION('ref_axis',(1.,0.,0.)); #467047=DIRECTION('center_axis',(0.,-1.,0.)); #467048=DIRECTION('ref_axis',(-1.,0.,0.)); #467049=DIRECTION('center_axis',(0.,1.,0.)); #467050=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #467051=DIRECTION('',(-1.,0.,0.)); #467052=DIRECTION('',(0.,0.,-1.)); #467053=DIRECTION('',(1.,0.,-4.95238232924399E-13)); #467054=DIRECTION('center_axis',(0.,-1.,0.)); #467055=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #467056=DIRECTION('',(0.195809296716129,0.,-0.980641993450992)); #467057=DIRECTION('center_axis',(0.,1.,0.)); #467058=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #467059=DIRECTION('',(0.999999999998559,0.,-1.69737442613814E-6)); #467060=DIRECTION('',(1.69737442573292E-6,0.,0.999999999998559)); #467061=DIRECTION('',(-0.999999999998559,0.,1.69737688680423E-6)); #467062=DIRECTION('center_axis',(0.,-1.,0.)); #467063=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #467064=DIRECTION('',(-0.195809058033592,0.,0.980642041109801)); #467065=DIRECTION('center_axis',(0.980642041109801,0.,0.195809058033592)); #467066=DIRECTION('ref_axis',(0.195809058033592,0.,-0.980642041109801)); #467067=DIRECTION('',(0.,-1.,0.)); #467068=DIRECTION('',(0.,1.,0.)); #467069=DIRECTION('',(0.195809058033592,0.,-0.980642041109801)); #467070=DIRECTION('center_axis',(0.,-1.,0.)); #467071=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #467072=DIRECTION('',(0.,1.,0.)); #467073=DIRECTION('center_axis',(0.,1.,0.)); #467074=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #467075=DIRECTION('center_axis',(1.69737688680423E-6,0.,0.999999999998559)); #467076=DIRECTION('ref_axis',(0.999999999998559,0.,-1.69737688680423E-6)); #467077=DIRECTION('',(0.,1.,0.)); #467078=DIRECTION('',(0.999999999998559,0.,-1.69737688680423E-6)); #467079=DIRECTION('center_axis',(0.999999999998559,0.,-1.69737442573292E-6)); #467080=DIRECTION('ref_axis',(-1.69737442573292E-6,0.,-0.999999999998559)); #467081=DIRECTION('',(0.,1.,0.)); #467082=DIRECTION('',(-1.69737442573292E-6,0.,-0.999999999998559)); #467083=DIRECTION('center_axis',(-1.69737442613814E-6,0.,-0.999999999998559)); #467084=DIRECTION('ref_axis',(-0.999999999998559,0.,1.69737442613814E-6)); #467085=DIRECTION('',(0.,1.,0.)); #467086=DIRECTION('',(-0.999999999998559,0.,1.69737442613814E-6)); #467087=DIRECTION('center_axis',(0.,-1.,0.)); #467088=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #467089=DIRECTION('',(0.,1.,0.)); #467090=DIRECTION('center_axis',(0.,-1.,0.)); #467091=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #467092=DIRECTION('center_axis',(-0.980641993450992,0.,-0.195809296716129)); #467093=DIRECTION('ref_axis',(-0.195809296716129,0.,0.980641993450992)); #467094=DIRECTION('',(0.,1.,0.)); #467095=DIRECTION('',(-0.195809296716129,0.,0.980641993450992)); #467096=DIRECTION('center_axis',(0.,-1.,0.)); #467097=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #467098=DIRECTION('',(0.,1.,0.)); #467099=DIRECTION('center_axis',(0.,1.,0.)); #467100=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #467101=DIRECTION('center_axis',(-4.95238232924399E-13,0.,-1.)); #467102=DIRECTION('ref_axis',(-1.,0.,4.95238232924399E-13)); #467103=DIRECTION('',(0.,1.,0.)); #467104=DIRECTION('',(-1.,0.,4.95238232924399E-13)); #467105=DIRECTION('center_axis',(-1.,0.,0.)); #467106=DIRECTION('ref_axis',(0.,0.,1.)); #467107=DIRECTION('',(0.,1.,0.)); #467108=DIRECTION('',(0.,0.,1.)); #467109=DIRECTION('center_axis',(0.,0.,1.)); #467110=DIRECTION('ref_axis',(1.,0.,0.)); #467111=DIRECTION('',(0.,1.,0.)); #467112=DIRECTION('',(1.,0.,0.)); #467113=DIRECTION('center_axis',(0.,-1.,0.)); #467114=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #467115=DIRECTION('center_axis',(0.,-1.,0.)); #467116=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #467117=DIRECTION('center_axis',(0.,-1.,0.)); #467118=DIRECTION('ref_axis',(-1.,0.,0.)); #467119=DIRECTION('center_axis',(0.,-1.,0.)); #467120=DIRECTION('ref_axis',(-1.,0.,0.)); #467121=DIRECTION('center_axis',(0.,1.,0.)); #467122=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #467123=DIRECTION('',(-1.,0.,0.)); #467124=DIRECTION('',(0.,0.,-1.)); #467125=DIRECTION('',(1.,0.,-4.95238232924399E-13)); #467126=DIRECTION('center_axis',(0.,-1.,0.)); #467127=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #467128=DIRECTION('',(0.195809296716129,0.,-0.980641993450992)); #467129=DIRECTION('center_axis',(0.,1.,0.)); #467130=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #467131=DIRECTION('',(0.999999999998559,0.,-1.69737442613814E-6)); #467132=DIRECTION('',(1.69737442573292E-6,0.,0.999999999998559)); #467133=DIRECTION('',(-0.999999999998559,0.,1.69737688680423E-6)); #467134=DIRECTION('center_axis',(0.,-1.,0.)); #467135=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #467136=DIRECTION('',(-0.195809058033592,0.,0.980642041109801)); #467137=DIRECTION('center_axis',(0.980642041109801,0.,0.195809058033592)); #467138=DIRECTION('ref_axis',(0.195809058033592,0.,-0.980642041109801)); #467139=DIRECTION('',(0.,-1.,0.)); #467140=DIRECTION('',(0.,1.,0.)); #467141=DIRECTION('',(0.195809058033592,0.,-0.980642041109801)); #467142=DIRECTION('center_axis',(0.,-1.,0.)); #467143=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #467144=DIRECTION('',(0.,1.,0.)); #467145=DIRECTION('center_axis',(0.,1.,0.)); #467146=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #467147=DIRECTION('center_axis',(1.69737688680423E-6,0.,0.999999999998559)); #467148=DIRECTION('ref_axis',(0.999999999998559,0.,-1.69737688680423E-6)); #467149=DIRECTION('',(0.,1.,0.)); #467150=DIRECTION('',(0.999999999998559,0.,-1.69737688680423E-6)); #467151=DIRECTION('center_axis',(0.999999999998559,0.,-1.69737442573292E-6)); #467152=DIRECTION('ref_axis',(-1.69737442573292E-6,0.,-0.999999999998559)); #467153=DIRECTION('',(0.,1.,0.)); #467154=DIRECTION('',(-1.69737442573292E-6,0.,-0.999999999998559)); #467155=DIRECTION('center_axis',(-1.69737442613814E-6,0.,-0.999999999998559)); #467156=DIRECTION('ref_axis',(-0.999999999998559,0.,1.69737442613814E-6)); #467157=DIRECTION('',(0.,1.,0.)); #467158=DIRECTION('',(-0.999999999998559,0.,1.69737442613814E-6)); #467159=DIRECTION('center_axis',(0.,-1.,0.)); #467160=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #467161=DIRECTION('',(0.,1.,0.)); #467162=DIRECTION('center_axis',(0.,-1.,0.)); #467163=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #467164=DIRECTION('center_axis',(-0.980641993450992,0.,-0.195809296716129)); #467165=DIRECTION('ref_axis',(-0.195809296716129,0.,0.980641993450992)); #467166=DIRECTION('',(0.,1.,0.)); #467167=DIRECTION('',(-0.195809296716129,0.,0.980641993450992)); #467168=DIRECTION('center_axis',(0.,-1.,0.)); #467169=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #467170=DIRECTION('',(0.,1.,0.)); #467171=DIRECTION('center_axis',(0.,1.,0.)); #467172=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #467173=DIRECTION('center_axis',(-4.95238232924399E-13,0.,-1.)); #467174=DIRECTION('ref_axis',(-1.,0.,4.95238232924399E-13)); #467175=DIRECTION('',(0.,1.,0.)); #467176=DIRECTION('',(-1.,0.,4.95238232924399E-13)); #467177=DIRECTION('center_axis',(-1.,0.,0.)); #467178=DIRECTION('ref_axis',(0.,0.,1.)); #467179=DIRECTION('',(0.,1.,0.)); #467180=DIRECTION('',(0.,0.,1.)); #467181=DIRECTION('center_axis',(0.,0.,1.)); #467182=DIRECTION('ref_axis',(1.,0.,0.)); #467183=DIRECTION('',(0.,1.,0.)); #467184=DIRECTION('',(1.,0.,0.)); #467185=DIRECTION('center_axis',(0.,-1.,0.)); #467186=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #467187=DIRECTION('center_axis',(0.,-1.,0.)); #467188=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #467189=DIRECTION('center_axis',(0.,-1.,0.)); #467190=DIRECTION('ref_axis',(-1.,0.,0.)); #467191=DIRECTION('center_axis',(0.,-1.,0.)); #467192=DIRECTION('ref_axis',(-1.,0.,0.)); #467193=DIRECTION('center_axis',(0.,1.,0.)); #467194=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #467195=DIRECTION('',(-1.,0.,0.)); #467196=DIRECTION('',(0.,0.,-1.)); #467197=DIRECTION('',(1.,0.,-4.95238232924399E-13)); #467198=DIRECTION('center_axis',(0.,-1.,0.)); #467199=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #467200=DIRECTION('',(0.195809296716129,0.,-0.980641993450992)); #467201=DIRECTION('center_axis',(0.,1.,0.)); #467202=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #467203=DIRECTION('',(0.999999999998559,0.,-1.69737442613814E-6)); #467204=DIRECTION('',(1.69737442573292E-6,0.,0.999999999998559)); #467205=DIRECTION('',(-0.999999999998559,0.,1.69737688680423E-6)); #467206=DIRECTION('center_axis',(0.,-1.,0.)); #467207=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #467208=DIRECTION('',(-0.195809058033592,0.,0.980642041109801)); #467209=DIRECTION('center_axis',(0.980642041109801,0.,0.195809058033592)); #467210=DIRECTION('ref_axis',(0.195809058033592,0.,-0.980642041109801)); #467211=DIRECTION('',(0.,-1.,0.)); #467212=DIRECTION('',(0.,1.,0.)); #467213=DIRECTION('',(0.195809058033592,0.,-0.980642041109801)); #467214=DIRECTION('center_axis',(0.,-1.,0.)); #467215=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #467216=DIRECTION('',(0.,1.,0.)); #467217=DIRECTION('center_axis',(0.,1.,0.)); #467218=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #467219=DIRECTION('center_axis',(1.69737688680423E-6,0.,0.999999999998559)); #467220=DIRECTION('ref_axis',(0.999999999998559,0.,-1.69737688680423E-6)); #467221=DIRECTION('',(0.,1.,0.)); #467222=DIRECTION('',(0.999999999998559,0.,-1.69737688680423E-6)); #467223=DIRECTION('center_axis',(0.999999999998559,0.,-1.69737442573292E-6)); #467224=DIRECTION('ref_axis',(-1.69737442573292E-6,0.,-0.999999999998559)); #467225=DIRECTION('',(0.,1.,0.)); #467226=DIRECTION('',(-1.69737442573292E-6,0.,-0.999999999998559)); #467227=DIRECTION('center_axis',(-1.69737442613814E-6,0.,-0.999999999998559)); #467228=DIRECTION('ref_axis',(-0.999999999998559,0.,1.69737442613814E-6)); #467229=DIRECTION('',(0.,1.,0.)); #467230=DIRECTION('',(-0.999999999998559,0.,1.69737442613814E-6)); #467231=DIRECTION('center_axis',(0.,-1.,0.)); #467232=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #467233=DIRECTION('',(0.,1.,0.)); #467234=DIRECTION('center_axis',(0.,-1.,0.)); #467235=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #467236=DIRECTION('center_axis',(-0.980641993450992,0.,-0.195809296716129)); #467237=DIRECTION('ref_axis',(-0.195809296716129,0.,0.980641993450992)); #467238=DIRECTION('',(0.,1.,0.)); #467239=DIRECTION('',(-0.195809296716129,0.,0.980641993450992)); #467240=DIRECTION('center_axis',(0.,-1.,0.)); #467241=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #467242=DIRECTION('',(0.,1.,0.)); #467243=DIRECTION('center_axis',(0.,1.,0.)); #467244=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #467245=DIRECTION('center_axis',(-4.95238232924399E-13,0.,-1.)); #467246=DIRECTION('ref_axis',(-1.,0.,4.95238232924399E-13)); #467247=DIRECTION('',(0.,1.,0.)); #467248=DIRECTION('',(-1.,0.,4.95238232924399E-13)); #467249=DIRECTION('center_axis',(-1.,0.,0.)); #467250=DIRECTION('ref_axis',(0.,0.,1.)); #467251=DIRECTION('',(0.,1.,0.)); #467252=DIRECTION('',(0.,0.,1.)); #467253=DIRECTION('center_axis',(0.,0.,1.)); #467254=DIRECTION('ref_axis',(1.,0.,0.)); #467255=DIRECTION('',(0.,1.,0.)); #467256=DIRECTION('',(1.,0.,0.)); #467257=DIRECTION('center_axis',(0.,-1.,0.)); #467258=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #467259=DIRECTION('center_axis',(0.,-1.,0.)); #467260=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #467261=DIRECTION('center_axis',(0.,-1.,0.)); #467262=DIRECTION('ref_axis',(-1.,0.,0.)); #467263=DIRECTION('',(0.,0.,1.)); #467264=DIRECTION('',(1.,0.,0.)); #467265=DIRECTION('axis',(0.,0.,1.)); #467266=DIRECTION('refdir',(1.,0.,0.)); #467267=DIRECTION('axis',(0.,0.,1.)); #467268=DIRECTION('refdir',(1.,0.,0.)); #467269=DIRECTION('center_axis',(0.,0.,-1.)); #467270=DIRECTION('ref_axis',(1.,0.,0.)); #467271=DIRECTION('center_axis',(0.,0.,-1.)); #467272=DIRECTION('ref_axis',(1.,0.,0.)); #467273=DIRECTION('',(0.,0.,-1.)); #467274=DIRECTION('center_axis',(0.,0.,-1.)); #467275=DIRECTION('ref_axis',(1.,0.,0.)); #467276=DIRECTION('center_axis',(0.,0.,1.)); #467277=DIRECTION('ref_axis',(1.,0.,0.)); #467278=DIRECTION('center_axis',(-0.969483457617158,0.,0.245156736408936)); #467279=DIRECTION('ref_axis',(0.245156736408936,0.,0.969483457617158)); #467280=DIRECTION('',(0.,1.,0.)); #467281=DIRECTION('',(-0.238105835984066,0.238105835984066,-0.941600351391533)); #467282=DIRECTION('',(0.,-1.,0.)); #467283=DIRECTION('',(-0.238105835984066,-0.238105835984067,-0.941600351391533)); #467284=DIRECTION('center_axis',(0.,0.969483457617158,0.245156736408937)); #467285=DIRECTION('ref_axis',(0.,-0.245156736408937,0.969483457617158)); #467286=DIRECTION('',(1.,0.,0.)); #467287=DIRECTION('',(0.238105835984066,0.238105835984067,-0.941600351391533)); #467288=DIRECTION('',(-1.,0.,0.)); #467289=DIRECTION('center_axis',(0.969483457617158,0.,0.245156736408936)); #467290=DIRECTION('ref_axis',(0.245156736408936,0.,-0.969483457617158)); #467291=DIRECTION('',(0.,-1.,0.)); #467292=DIRECTION('',(0.238105835984066,-0.238105835984066,-0.941600351391533)); #467293=DIRECTION('',(0.,1.,0.)); #467294=DIRECTION('center_axis',(0.,-0.969483457617158,0.245156736408937)); #467295=DIRECTION('ref_axis',(0.,-0.245156736408937,-0.969483457617158)); #467296=DIRECTION('',(1.,0.,0.)); #467297=DIRECTION('',(-1.,0.,0.)); #467298=DIRECTION('center_axis',(0.,-1.,0.)); #467299=DIRECTION('ref_axis',(1.,0.,0.)); #467300=DIRECTION('',(0.,0.,1.)); #467301=DIRECTION('',(-1.,0.,0.)); #467302=DIRECTION('',(0.,0.,1.)); #467303=DIRECTION('center_axis',(-1.,0.,0.)); #467304=DIRECTION('ref_axis',(0.,-1.,0.)); #467305=DIRECTION('',(0.,0.,1.)); #467306=DIRECTION('',(0.,1.,0.)); #467307=DIRECTION('center_axis',(0.,0.,1.)); #467308=DIRECTION('ref_axis',(1.,0.,0.)); #467309=DIRECTION('center_axis',(0.969483457617158,0.,-0.245156736408936)); #467310=DIRECTION('ref_axis',(-0.245156736408936,0.,-0.969483457617158)); #467311=DIRECTION('',(0.,-1.,0.)); #467312=DIRECTION('',(-0.238105835984066,0.238105835984066,-0.941600351391533)); #467313=DIRECTION('',(0.,1.,0.)); #467314=DIRECTION('',(0.238105835984066,0.238105835984066,0.941600351391533)); #467315=DIRECTION('center_axis',(0.,-0.969483457617158,-0.245156736408937)); #467316=DIRECTION('ref_axis',(0.,0.245156736408937,-0.969483457617158)); #467317=DIRECTION('',(1.,0.,0.)); #467318=DIRECTION('',(-0.238105835984066,-0.238105835984066,0.941600351391533)); #467319=DIRECTION('center_axis',(0.,0.969483457617158,-0.245156736408937)); #467320=DIRECTION('ref_axis',(0.,0.245156736408937,0.969483457617158)); #467321=DIRECTION('',(1.,0.,0.)); #467322=DIRECTION('',(-1.,0.,0.)); #467323=DIRECTION('',(-0.238105835984066,0.238105835984066,0.941600351391533)); #467324=DIRECTION('center_axis',(-0.969483457617158,0.,-0.245156736408936)); #467325=DIRECTION('ref_axis',(-0.245156736408936,0.,0.969483457617158)); #467326=DIRECTION('',(0.,-1.,0.)); #467327=DIRECTION('center_axis',(1.,0.,0.)); #467328=DIRECTION('ref_axis',(0.,1.,0.)); #467329=DIRECTION('',(0.,0.,1.)); #467330=DIRECTION('center_axis',(0.,0.,1.)); #467331=DIRECTION('ref_axis',(1.,0.,0.)); #467332=DIRECTION('center_axis',(0.,1.,0.)); #467333=DIRECTION('ref_axis',(-1.,0.,0.)); #467334=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #467335=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #467336=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #467337=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #467338=DIRECTION('',(1.,-1.22464679914735E-16,-4.33680868994202E-17)); #467339=DIRECTION('',(0.,0.,1.)); #467340=DIRECTION('',(-1.,1.22464679914735E-16,4.72033598905254E-17)); #467341=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #467342=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,-3.20256641718795E-15)); #467343=DIRECTION('',(0.,0.,1.)); #467344=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #467345=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,1.06752213906265E-15)); #467346=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #467347=DIRECTION('',(0.,0.,-1.)); #467348=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #467349=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #467350=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,2.1350442781253E-15)); #467351=DIRECTION('',(0.,0.,-1.)); #467352=DIRECTION('center_axis',(-4.33680868994202E-17,5.31105888065192E-33, -1.)); #467353=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,4.33680868994202E-17)); #467354=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #467355=DIRECTION('',(-1.,1.22464679914735E-16,4.33680868994202E-17)); #467356=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #467357=DIRECTION('center_axis',(1.,-1.22464679914735E-16,0.)); #467358=DIRECTION('ref_axis',(0.,0.,-1.)); #467359=DIRECTION('',(0.,0.,-1.)); #467360=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #467361=DIRECTION('center_axis',(4.72033598905254E-17,-5.78074435989325E-33, 1.)); #467362=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,-4.72033598905254E-17)); #467363=DIRECTION('',(1.,-1.22464679914735E-16,-4.72033598905254E-17)); #467364=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #467365=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #467366=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,-3.20256641718795E-15)); #467367=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #467368=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,-3.20256641718795E-15)); #467369=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #467370=DIRECTION('center_axis',(1.,-1.22464679914735E-16,0.)); #467371=DIRECTION('ref_axis',(0.,0.,-1.)); #467372=DIRECTION('',(0.,0.,-1.)); #467373=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #467374=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #467375=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,1.06752213906265E-15)); #467376=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #467377=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,1.06752213906265E-15)); #467378=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #467379=DIRECTION('center_axis',(0.,0.,1.)); #467380=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,0.)); #467381=DIRECTION('',(1.,-1.22464679914735E-16,0.)); #467382=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #467383=DIRECTION('center_axis',(-1.,1.22464679914735E-16,0.)); #467384=DIRECTION('ref_axis',(0.,0.,1.)); #467385=DIRECTION('',(0.,0.,1.)); #467386=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #467387=DIRECTION('center_axis',(0.,0.,1.)); #467388=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,0.)); #467389=DIRECTION('',(1.,-1.22464679914735E-16,0.)); #467390=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #467391=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #467392=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,2.1350442781253E-15)); #467393=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #467394=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,2.1350442781253E-15)); #467395=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #467396=DIRECTION('center_axis',(-1.,1.22464679914735E-16,0.)); #467397=DIRECTION('ref_axis',(0.,0.,1.)); #467398=DIRECTION('',(0.,0.,1.)); #467399=DIRECTION('',(1.22464679914735E-16,1.,0.)); #467400=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #467401=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #467402=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #467403=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #467404=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #467405=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #467406=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #467407=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #467408=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #467409=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #467410=DIRECTION('',(1.,-1.22464679914735E-16,-4.33680868994202E-17)); #467411=DIRECTION('',(0.,0.,1.)); #467412=DIRECTION('',(-1.,1.22464679914735E-16,4.72033598905254E-17)); #467413=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #467414=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,-3.20256641718795E-15)); #467415=DIRECTION('',(0.,0.,1.)); #467416=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #467417=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,1.06752213906265E-15)); #467418=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #467419=DIRECTION('',(0.,0.,-1.)); #467420=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #467421=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #467422=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,2.1350442781253E-15)); #467423=DIRECTION('',(0.,0.,-1.)); #467424=DIRECTION('center_axis',(-4.33680868994202E-17,5.31105888065192E-33, -1.)); #467425=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,4.33680868994202E-17)); #467426=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #467427=DIRECTION('',(-1.,1.22464679914735E-16,4.33680868994202E-17)); #467428=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #467429=DIRECTION('center_axis',(1.,-1.22464679914735E-16,0.)); #467430=DIRECTION('ref_axis',(0.,0.,-1.)); #467431=DIRECTION('',(0.,0.,-1.)); #467432=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #467433=DIRECTION('center_axis',(4.72033598905254E-17,-5.78074435989325E-33, 1.)); #467434=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,-4.72033598905254E-17)); #467435=DIRECTION('',(1.,-1.22464679914735E-16,-4.72033598905254E-17)); #467436=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #467437=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #467438=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,-3.20256641718795E-15)); #467439=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #467440=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,-3.20256641718795E-15)); #467441=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #467442=DIRECTION('center_axis',(1.,-1.22464679914735E-16,0.)); #467443=DIRECTION('ref_axis',(0.,0.,-1.)); #467444=DIRECTION('',(0.,0.,-1.)); #467445=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #467446=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #467447=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,1.06752213906265E-15)); #467448=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #467449=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,1.06752213906265E-15)); #467450=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #467451=DIRECTION('center_axis',(0.,0.,1.)); #467452=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,0.)); #467453=DIRECTION('',(1.,-1.22464679914735E-16,0.)); #467454=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #467455=DIRECTION('center_axis',(-1.,1.22464679914735E-16,0.)); #467456=DIRECTION('ref_axis',(0.,0.,1.)); #467457=DIRECTION('',(0.,0.,1.)); #467458=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #467459=DIRECTION('center_axis',(0.,0.,1.)); #467460=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,0.)); #467461=DIRECTION('',(1.,-1.22464679914735E-16,0.)); #467462=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #467463=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #467464=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,2.1350442781253E-15)); #467465=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #467466=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,2.1350442781253E-15)); #467467=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #467468=DIRECTION('center_axis',(-1.,1.22464679914735E-16,0.)); #467469=DIRECTION('ref_axis',(0.,0.,1.)); #467470=DIRECTION('',(0.,0.,1.)); #467471=DIRECTION('',(1.22464679914735E-16,1.,0.)); #467472=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #467473=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #467474=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #467475=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #467476=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #467477=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #467478=DIRECTION('center_axis',(0.,1.,0.)); #467479=DIRECTION('ref_axis',(1.,0.,0.)); #467480=DIRECTION('center_axis',(0.,1.,0.)); #467481=DIRECTION('ref_axis',(1.,0.,0.)); #467482=DIRECTION('',(-1.,0.,-4.33680868994202E-17)); #467483=DIRECTION('',(0.,0.,1.)); #467484=DIRECTION('',(1.,0.,4.72033598905254E-17)); #467485=DIRECTION('center_axis',(0.,-1.,0.)); #467486=DIRECTION('ref_axis',(1.,0.,-3.20256641718795E-15)); #467487=DIRECTION('',(0.,0.,1.)); #467488=DIRECTION('center_axis',(0.,1.,0.)); #467489=DIRECTION('ref_axis',(-1.,0.,1.06752213906265E-15)); #467490=DIRECTION('',(1.,0.,0.)); #467491=DIRECTION('',(0.,0.,-1.)); #467492=DIRECTION('',(1.,0.,0.)); #467493=DIRECTION('center_axis',(0.,-1.,0.)); #467494=DIRECTION('ref_axis',(-1.,0.,2.1350442781253E-15)); #467495=DIRECTION('',(0.,0.,-1.)); #467496=DIRECTION('center_axis',(4.33680868994202E-17,0.,-1.)); #467497=DIRECTION('ref_axis',(1.,0.,4.33680868994202E-17)); #467498=DIRECTION('',(0.,1.,0.)); #467499=DIRECTION('',(1.,0.,4.33680868994202E-17)); #467500=DIRECTION('',(0.,1.,0.)); #467501=DIRECTION('center_axis',(-1.,0.,0.)); #467502=DIRECTION('ref_axis',(0.,0.,-1.)); #467503=DIRECTION('',(0.,0.,-1.)); #467504=DIRECTION('',(0.,1.,0.)); #467505=DIRECTION('center_axis',(-4.72033598905254E-17,0.,1.)); #467506=DIRECTION('ref_axis',(-1.,0.,-4.72033598905254E-17)); #467507=DIRECTION('',(-1.,0.,-4.72033598905254E-17)); #467508=DIRECTION('',(0.,1.,0.)); #467509=DIRECTION('center_axis',(0.,-1.,0.)); #467510=DIRECTION('ref_axis',(1.,0.,-3.20256641718795E-15)); #467511=DIRECTION('center_axis',(0.,1.,0.)); #467512=DIRECTION('ref_axis',(1.,0.,-3.20256641718795E-15)); #467513=DIRECTION('',(0.,1.,0.)); #467514=DIRECTION('center_axis',(-1.,0.,0.)); #467515=DIRECTION('ref_axis',(0.,0.,-1.)); #467516=DIRECTION('',(0.,0.,-1.)); #467517=DIRECTION('',(0.,1.,0.)); #467518=DIRECTION('center_axis',(0.,-1.,0.)); #467519=DIRECTION('ref_axis',(-1.,0.,1.06752213906265E-15)); #467520=DIRECTION('center_axis',(0.,-1.,0.)); #467521=DIRECTION('ref_axis',(-1.,0.,1.06752213906265E-15)); #467522=DIRECTION('',(0.,1.,0.)); #467523=DIRECTION('center_axis',(0.,0.,1.)); #467524=DIRECTION('ref_axis',(-1.,0.,0.)); #467525=DIRECTION('',(-1.,0.,0.)); #467526=DIRECTION('',(0.,1.,0.)); #467527=DIRECTION('center_axis',(1.,0.,0.)); #467528=DIRECTION('ref_axis',(0.,0.,1.)); #467529=DIRECTION('',(0.,0.,1.)); #467530=DIRECTION('',(0.,1.,0.)); #467531=DIRECTION('center_axis',(0.,0.,1.)); #467532=DIRECTION('ref_axis',(-1.,0.,0.)); #467533=DIRECTION('',(-1.,0.,0.)); #467534=DIRECTION('',(0.,1.,0.)); #467535=DIRECTION('center_axis',(0.,-1.,0.)); #467536=DIRECTION('ref_axis',(-1.,0.,2.1350442781253E-15)); #467537=DIRECTION('center_axis',(0.,1.,0.)); #467538=DIRECTION('ref_axis',(-1.,0.,2.1350442781253E-15)); #467539=DIRECTION('',(0.,1.,0.)); #467540=DIRECTION('center_axis',(1.,0.,0.)); #467541=DIRECTION('ref_axis',(0.,0.,1.)); #467542=DIRECTION('',(0.,0.,1.)); #467543=DIRECTION('',(0.,-1.,0.)); #467544=DIRECTION('center_axis',(0.,-1.,0.)); #467545=DIRECTION('ref_axis',(1.,0.,0.)); #467546=DIRECTION('center_axis',(0.,-1.,0.)); #467547=DIRECTION('ref_axis',(1.,0.,0.)); #467548=DIRECTION('center_axis',(0.,1.,0.)); #467549=DIRECTION('ref_axis',(1.,0.,0.)); #467550=DIRECTION('center_axis',(0.,1.,0.)); #467551=DIRECTION('ref_axis',(1.,0.,0.)); #467552=DIRECTION('center_axis',(0.,1.,0.)); #467553=DIRECTION('ref_axis',(1.,0.,0.)); #467554=DIRECTION('',(-1.,0.,-4.33680868994202E-17)); #467555=DIRECTION('',(0.,0.,1.)); #467556=DIRECTION('',(1.,0.,4.72033598905254E-17)); #467557=DIRECTION('center_axis',(0.,-1.,0.)); #467558=DIRECTION('ref_axis',(1.,0.,-3.20256641718795E-15)); #467559=DIRECTION('',(0.,0.,1.)); #467560=DIRECTION('center_axis',(0.,1.,0.)); #467561=DIRECTION('ref_axis',(-1.,0.,1.06752213906265E-15)); #467562=DIRECTION('',(1.,0.,0.)); #467563=DIRECTION('',(0.,0.,-1.)); #467564=DIRECTION('',(1.,0.,0.)); #467565=DIRECTION('center_axis',(0.,-1.,0.)); #467566=DIRECTION('ref_axis',(-1.,0.,2.1350442781253E-15)); #467567=DIRECTION('',(0.,0.,-1.)); #467568=DIRECTION('center_axis',(4.33680868994202E-17,0.,-1.)); #467569=DIRECTION('ref_axis',(1.,0.,4.33680868994202E-17)); #467570=DIRECTION('',(0.,1.,0.)); #467571=DIRECTION('',(1.,0.,4.33680868994202E-17)); #467572=DIRECTION('',(0.,1.,0.)); #467573=DIRECTION('center_axis',(-1.,0.,0.)); #467574=DIRECTION('ref_axis',(0.,0.,-1.)); #467575=DIRECTION('',(0.,0.,-1.)); #467576=DIRECTION('',(0.,1.,0.)); #467577=DIRECTION('center_axis',(-4.72033598905254E-17,0.,1.)); #467578=DIRECTION('ref_axis',(-1.,0.,-4.72033598905254E-17)); #467579=DIRECTION('',(-1.,0.,-4.72033598905254E-17)); #467580=DIRECTION('',(0.,1.,0.)); #467581=DIRECTION('center_axis',(0.,-1.,0.)); #467582=DIRECTION('ref_axis',(1.,0.,-3.20256641718795E-15)); #467583=DIRECTION('center_axis',(0.,1.,0.)); #467584=DIRECTION('ref_axis',(1.,0.,-3.20256641718795E-15)); #467585=DIRECTION('',(0.,1.,0.)); #467586=DIRECTION('center_axis',(-1.,0.,0.)); #467587=DIRECTION('ref_axis',(0.,0.,-1.)); #467588=DIRECTION('',(0.,0.,-1.)); #467589=DIRECTION('',(0.,1.,0.)); #467590=DIRECTION('center_axis',(0.,-1.,0.)); #467591=DIRECTION('ref_axis',(-1.,0.,1.06752213906265E-15)); #467592=DIRECTION('center_axis',(0.,-1.,0.)); #467593=DIRECTION('ref_axis',(-1.,0.,1.06752213906265E-15)); #467594=DIRECTION('',(0.,1.,0.)); #467595=DIRECTION('center_axis',(0.,0.,1.)); #467596=DIRECTION('ref_axis',(-1.,0.,0.)); #467597=DIRECTION('',(-1.,0.,0.)); #467598=DIRECTION('',(0.,1.,0.)); #467599=DIRECTION('center_axis',(1.,0.,0.)); #467600=DIRECTION('ref_axis',(0.,0.,1.)); #467601=DIRECTION('',(0.,0.,1.)); #467602=DIRECTION('',(0.,1.,0.)); #467603=DIRECTION('center_axis',(0.,0.,1.)); #467604=DIRECTION('ref_axis',(-1.,0.,0.)); #467605=DIRECTION('',(-1.,0.,0.)); #467606=DIRECTION('',(0.,1.,0.)); #467607=DIRECTION('center_axis',(0.,-1.,0.)); #467608=DIRECTION('ref_axis',(-1.,0.,2.1350442781253E-15)); #467609=DIRECTION('center_axis',(0.,1.,0.)); #467610=DIRECTION('ref_axis',(-1.,0.,2.1350442781253E-15)); #467611=DIRECTION('',(0.,1.,0.)); #467612=DIRECTION('center_axis',(1.,0.,0.)); #467613=DIRECTION('ref_axis',(0.,0.,1.)); #467614=DIRECTION('',(0.,0.,1.)); #467615=DIRECTION('',(0.,-1.,0.)); #467616=DIRECTION('center_axis',(0.,-1.,0.)); #467617=DIRECTION('ref_axis',(1.,0.,0.)); #467618=DIRECTION('center_axis',(0.,-1.,0.)); #467619=DIRECTION('ref_axis',(1.,0.,0.)); #467620=DIRECTION('center_axis',(0.,1.,0.)); #467621=DIRECTION('ref_axis',(1.,0.,0.)); #467622=DIRECTION('center_axis',(0.,1.,0.)); #467623=DIRECTION('ref_axis',(1.,0.,0.)); #467624=DIRECTION('center_axis',(0.,1.,0.)); #467625=DIRECTION('ref_axis',(1.,0.,0.)); #467626=DIRECTION('',(-1.,0.,-4.33680868994202E-17)); #467627=DIRECTION('',(0.,0.,1.)); #467628=DIRECTION('',(1.,0.,4.72033598905254E-17)); #467629=DIRECTION('center_axis',(0.,-1.,0.)); #467630=DIRECTION('ref_axis',(1.,0.,-3.20256641718795E-15)); #467631=DIRECTION('',(0.,0.,1.)); #467632=DIRECTION('center_axis',(0.,1.,0.)); #467633=DIRECTION('ref_axis',(-1.,0.,1.06752213906265E-15)); #467634=DIRECTION('',(1.,0.,0.)); #467635=DIRECTION('',(0.,0.,-1.)); #467636=DIRECTION('',(1.,0.,0.)); #467637=DIRECTION('center_axis',(0.,-1.,0.)); #467638=DIRECTION('ref_axis',(-1.,0.,2.1350442781253E-15)); #467639=DIRECTION('',(0.,0.,-1.)); #467640=DIRECTION('center_axis',(4.33680868994202E-17,0.,-1.)); #467641=DIRECTION('ref_axis',(1.,0.,4.33680868994202E-17)); #467642=DIRECTION('',(0.,1.,0.)); #467643=DIRECTION('',(1.,0.,4.33680868994202E-17)); #467644=DIRECTION('',(0.,1.,0.)); #467645=DIRECTION('center_axis',(-1.,0.,0.)); #467646=DIRECTION('ref_axis',(0.,0.,-1.)); #467647=DIRECTION('',(0.,0.,-1.)); #467648=DIRECTION('',(0.,1.,0.)); #467649=DIRECTION('center_axis',(-4.72033598905254E-17,0.,1.)); #467650=DIRECTION('ref_axis',(-1.,0.,-4.72033598905254E-17)); #467651=DIRECTION('',(-1.,0.,-4.72033598905254E-17)); #467652=DIRECTION('',(0.,1.,0.)); #467653=DIRECTION('center_axis',(0.,-1.,0.)); #467654=DIRECTION('ref_axis',(1.,0.,-3.20256641718795E-15)); #467655=DIRECTION('center_axis',(0.,1.,0.)); #467656=DIRECTION('ref_axis',(1.,0.,-3.20256641718795E-15)); #467657=DIRECTION('',(0.,1.,0.)); #467658=DIRECTION('center_axis',(-1.,0.,0.)); #467659=DIRECTION('ref_axis',(0.,0.,-1.)); #467660=DIRECTION('',(0.,0.,-1.)); #467661=DIRECTION('',(0.,1.,0.)); #467662=DIRECTION('center_axis',(0.,-1.,0.)); #467663=DIRECTION('ref_axis',(-1.,0.,1.06752213906265E-15)); #467664=DIRECTION('center_axis',(0.,-1.,0.)); #467665=DIRECTION('ref_axis',(-1.,0.,1.06752213906265E-15)); #467666=DIRECTION('',(0.,1.,0.)); #467667=DIRECTION('center_axis',(0.,0.,1.)); #467668=DIRECTION('ref_axis',(-1.,0.,0.)); #467669=DIRECTION('',(-1.,0.,0.)); #467670=DIRECTION('',(0.,1.,0.)); #467671=DIRECTION('center_axis',(1.,0.,0.)); #467672=DIRECTION('ref_axis',(0.,0.,1.)); #467673=DIRECTION('',(0.,0.,1.)); #467674=DIRECTION('',(0.,1.,0.)); #467675=DIRECTION('center_axis',(0.,0.,1.)); #467676=DIRECTION('ref_axis',(-1.,0.,0.)); #467677=DIRECTION('',(-1.,0.,0.)); #467678=DIRECTION('',(0.,1.,0.)); #467679=DIRECTION('center_axis',(0.,-1.,0.)); #467680=DIRECTION('ref_axis',(-1.,0.,2.1350442781253E-15)); #467681=DIRECTION('center_axis',(0.,1.,0.)); #467682=DIRECTION('ref_axis',(-1.,0.,2.1350442781253E-15)); #467683=DIRECTION('',(0.,1.,0.)); #467684=DIRECTION('center_axis',(1.,0.,0.)); #467685=DIRECTION('ref_axis',(0.,0.,1.)); #467686=DIRECTION('',(0.,0.,1.)); #467687=DIRECTION('',(0.,-1.,0.)); #467688=DIRECTION('center_axis',(0.,-1.,0.)); #467689=DIRECTION('ref_axis',(1.,0.,0.)); #467690=DIRECTION('center_axis',(0.,-1.,0.)); #467691=DIRECTION('ref_axis',(1.,0.,0.)); #467692=DIRECTION('center_axis',(0.,1.,0.)); #467693=DIRECTION('ref_axis',(1.,0.,0.)); #467694=DIRECTION('',(0.,0.,1.)); #467695=DIRECTION('',(1.,0.,0.)); #467696=DIRECTION('axis',(0.,0.,1.)); #467697=DIRECTION('refdir',(1.,0.,0.)); #467698=DIRECTION('axis',(0.,0.,1.)); #467699=DIRECTION('refdir',(1.,0.,0.)); #467700=DIRECTION('center_axis',(-0.816496580927726,0.408248290463863,-0.408248290463863)); #467701=DIRECTION('ref_axis',(-0.577350269189625,-0.577350269189628,0.577350269189625)); #467702=DIRECTION('center_axis',(0.,-1.,0.)); #467703=DIRECTION('ref_axis',(-1.,0.,0.)); #467704=DIRECTION('center_axis',(0.,0.,1.)); #467705=DIRECTION('ref_axis',(0.,-1.,0.)); #467706=DIRECTION('center_axis',(-1.,0.,0.)); #467707=DIRECTION('ref_axis',(0.,0.,1.)); #467708=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, -0.408248290463863)); #467709=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,0.577350269189626)); #467710=DIRECTION('center_axis',(1.,0.,0.)); #467711=DIRECTION('ref_axis',(0.,-1.,0.)); #467712=DIRECTION('center_axis',(0.,0.,1.)); #467713=DIRECTION('ref_axis',(1.,0.,0.)); #467714=DIRECTION('center_axis',(0.,-1.,0.)); #467715=DIRECTION('ref_axis',(0.,0.,1.)); #467716=DIRECTION('center_axis',(0.408248290463859,-0.816496580927726,0.408248290463866)); #467717=DIRECTION('ref_axis',(-0.577350269189621,-0.577350269189626,-0.577350269189631)); #467718=DIRECTION('center_axis',(0.,0.,-1.)); #467719=DIRECTION('ref_axis',(-1.,0.,0.)); #467720=DIRECTION('center_axis',(0.,-1.,0.)); #467721=DIRECTION('ref_axis',(0.,0.,-1.)); #467722=DIRECTION('center_axis',(-1.,0.,6.93889390390723E-15)); #467723=DIRECTION('ref_axis',(0.,-1.,0.)); #467724=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, 0.408248290463863)); #467725=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189626,0.577350269189626)); #467726=DIRECTION('center_axis',(-1.,0.,0.)); #467727=DIRECTION('ref_axis',(0.,1.,0.)); #467728=DIRECTION('center_axis',(0.,0.,1.)); #467729=DIRECTION('ref_axis',(-1.,0.,0.)); #467730=DIRECTION('center_axis',(0.,1.,0.)); #467731=DIRECTION('ref_axis',(0.,0.,1.)); #467732=DIRECTION('center_axis',(-0.816496580927725,-0.408248290463864, -0.408248290463863)); #467733=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,-0.577350269189626)); #467734=DIRECTION('center_axis',(0.,0.,-1.)); #467735=DIRECTION('ref_axis',(0.,-1.,0.)); #467736=DIRECTION('center_axis',(1.,0.,0.)); #467737=DIRECTION('ref_axis',(0.,0.,-1.)); #467738=DIRECTION('center_axis',(0.,-1.,0.)); #467739=DIRECTION('ref_axis',(1.,0.,0.)); #467740=DIRECTION('center_axis',(1.,0.,0.)); #467741=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #467742=DIRECTION('',(-1.,0.,0.)); #467743=DIRECTION('',(1.,0.,0.)); #467744=DIRECTION('center_axis',(-0.816496580927729,0.408248290463862,0.408248290463859)); #467745=DIRECTION('ref_axis',(0.577350269189622,0.57735026918963,0.577350269189625)); #467746=DIRECTION('center_axis',(0.,1.,0.)); #467747=DIRECTION('ref_axis',(1.,0.,0.)); #467748=DIRECTION('center_axis',(0.,0.,1.)); #467749=DIRECTION('ref_axis',(0.,1.,0.)); #467750=DIRECTION('center_axis',(1.,-3.46944695195361E-15,0.)); #467751=DIRECTION('ref_axis',(0.,0.,1.)); #467752=DIRECTION('center_axis',(-0.816496580927729,-0.408248290463862, 0.40824829046386)); #467753=DIRECTION('ref_axis',(-0.577350269189622,0.577350269189628,-0.577350269189628)); #467754=DIRECTION('center_axis',(-1.,6.93889390390722E-15,0.)); #467755=DIRECTION('ref_axis',(0.,0.,-1.)); #467756=DIRECTION('center_axis',(0.,1.,0.)); #467757=DIRECTION('ref_axis',(-1.,0.,0.)); #467758=DIRECTION('center_axis',(0.,0.,-1.)); #467759=DIRECTION('ref_axis',(0.,1.,0.)); #467760=DIRECTION('center_axis',(0.,-1.,0.)); #467761=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #467762=DIRECTION('',(0.,1.,0.)); #467763=DIRECTION('',(0.,-1.,0.)); #467764=DIRECTION('center_axis',(0.,0.,1.)); #467765=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #467766=DIRECTION('',(0.,0.,-1.)); #467767=DIRECTION('',(0.,0.,1.)); #467768=DIRECTION('center_axis',(-0.408248290463864,-0.408248290463862, -0.816496580927726)); #467769=DIRECTION('ref_axis',(0.577350269189628,0.577350269189623,-0.577350269189627)); #467770=DIRECTION('center_axis',(0.,0.,-1.)); #467771=DIRECTION('ref_axis',(1.,0.,0.)); #467772=DIRECTION('center_axis',(0.,1.,0.)); #467773=DIRECTION('ref_axis',(0.,0.,-1.)); #467774=DIRECTION('center_axis',(1.,0.,-3.46944695195361E-15)); #467775=DIRECTION('ref_axis',(0.,1.,0.)); #467776=DIRECTION('center_axis',(0.,-1.,0.)); #467777=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #467778=DIRECTION('',(0.,1.,0.)); #467779=DIRECTION('',(0.,-1.,0.)); #467780=DIRECTION('center_axis',(-1.,0.,0.)); #467781=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); #467782=DIRECTION('',(1.,0.,0.)); #467783=DIRECTION('',(-1.,0.,0.)); #467784=DIRECTION('center_axis',(0.,0.,1.)); #467785=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #467786=DIRECTION('',(0.,0.,-1.)); #467787=DIRECTION('',(0.,0.,1.)); #467788=DIRECTION('center_axis',(-1.,0.,0.)); #467789=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548)); #467790=DIRECTION('',(1.,0.,0.)); #467791=DIRECTION('',(-1.,0.,0.)); #467792=DIRECTION('center_axis',(0.,1.,0.)); #467793=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #467794=DIRECTION('',(0.,-1.,0.)); #467795=DIRECTION('',(0.,1.,0.)); #467796=DIRECTION('center_axis',(0.,0.,1.)); #467797=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #467798=DIRECTION('',(0.,0.,-1.)); #467799=DIRECTION('',(0.,0.,1.)); #467800=DIRECTION('center_axis',(0.,-1.,0.)); #467801=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #467802=DIRECTION('',(0.,1.,0.)); #467803=DIRECTION('',(0.,-1.,0.)); #467804=DIRECTION('center_axis',(0.,0.,1.)); #467805=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #467806=DIRECTION('',(0.,0.,-1.)); #467807=DIRECTION('',(0.,0.,1.)); #467808=DIRECTION('center_axis',(1.,0.,0.)); #467809=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548)); #467810=DIRECTION('',(-1.,0.,0.)); #467811=DIRECTION('',(1.,0.,0.)); #467812=DIRECTION('center_axis',(0.,-1.,0.)); #467813=DIRECTION('ref_axis',(1.,0.,0.)); #467814=DIRECTION('center_axis',(0.,0.,1.)); #467815=DIRECTION('ref_axis',(1.,0.,0.)); #467816=DIRECTION('center_axis',(-1.,0.,0.)); #467817=DIRECTION('ref_axis',(0.,-1.,0.)); #467818=DIRECTION('center_axis',(0.,1.,0.)); #467819=DIRECTION('ref_axis',(-1.,0.,0.)); #467820=DIRECTION('center_axis',(1.,0.,0.)); #467821=DIRECTION('ref_axis',(0.,1.,0.)); #467822=DIRECTION('center_axis',(0.,0.,1.)); #467823=DIRECTION('ref_axis',(1.,0.,0.)); #467824=DIRECTION('center_axis',(-0.816496580927729,0.408248290463862,-0.408248290463859)); #467825=DIRECTION('ref_axis',(-0.577350269189622,-0.57735026918963,0.577350269189625)); #467826=DIRECTION('center_axis',(0.,-1.,0.)); #467827=DIRECTION('ref_axis',(-1.,0.,0.)); #467828=DIRECTION('center_axis',(0.,0.,1.)); #467829=DIRECTION('ref_axis',(0.,-1.,0.)); #467830=DIRECTION('center_axis',(-1.,3.46944695195361E-15,0.)); #467831=DIRECTION('ref_axis',(0.,0.,1.)); #467832=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, -0.408248290463863)); #467833=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,0.577350269189626)); #467834=DIRECTION('center_axis',(1.,0.,0.)); #467835=DIRECTION('ref_axis',(0.,-1.,0.)); #467836=DIRECTION('center_axis',(0.,0.,1.)); #467837=DIRECTION('ref_axis',(1.,0.,0.)); #467838=DIRECTION('center_axis',(0.,-1.,0.)); #467839=DIRECTION('ref_axis',(0.,0.,1.)); #467840=DIRECTION('center_axis',(0.408248290463867,0.408248290463858,-0.816496580927727)); #467841=DIRECTION('ref_axis',(-0.57735026918963,-0.577350269189622,-0.577350269189625)); #467842=DIRECTION('center_axis',(0.,0.,-1.)); #467843=DIRECTION('ref_axis',(-1.,0.,0.)); #467844=DIRECTION('center_axis',(0.,-1.,0.)); #467845=DIRECTION('ref_axis',(0.,0.,-1.)); #467846=DIRECTION('center_axis',(-1.,0.,-6.93889390390723E-15)); #467847=DIRECTION('ref_axis',(0.,-1.,0.)); #467848=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, 0.408248290463863)); #467849=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189626,0.577350269189626)); #467850=DIRECTION('center_axis',(-1.,0.,0.)); #467851=DIRECTION('ref_axis',(0.,1.,0.)); #467852=DIRECTION('center_axis',(0.,0.,1.)); #467853=DIRECTION('ref_axis',(-1.,0.,0.)); #467854=DIRECTION('center_axis',(0.,1.,0.)); #467855=DIRECTION('ref_axis',(0.,0.,1.)); #467856=DIRECTION('center_axis',(-0.816496580927729,-0.408248290463859, -0.40824829046386)); #467857=DIRECTION('ref_axis',(0.57735026918962,-0.577350269189628,-0.577350269189629)); #467858=DIRECTION('center_axis',(0.,0.,-1.)); #467859=DIRECTION('ref_axis',(0.,-1.,0.)); #467860=DIRECTION('center_axis',(1.,-6.93889390390722E-15,0.)); #467861=DIRECTION('ref_axis',(0.,0.,-1.)); #467862=DIRECTION('center_axis',(0.,-1.,0.)); #467863=DIRECTION('ref_axis',(1.,0.,0.)); #467864=DIRECTION('center_axis',(1.,0.,0.)); #467865=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #467866=DIRECTION('',(-1.,0.,0.)); #467867=DIRECTION('',(1.,0.,0.)); #467868=DIRECTION('center_axis',(-0.816496580927726,0.408248290463863,0.408248290463863)); #467869=DIRECTION('ref_axis',(0.577350269189625,0.577350269189628,0.577350269189625)); #467870=DIRECTION('center_axis',(0.,1.,0.)); #467871=DIRECTION('ref_axis',(1.,0.,0.)); #467872=DIRECTION('center_axis',(0.,0.,1.)); #467873=DIRECTION('ref_axis',(0.,1.,0.)); #467874=DIRECTION('center_axis',(1.,0.,0.)); #467875=DIRECTION('ref_axis',(0.,0.,1.)); #467876=DIRECTION('center_axis',(0.408248290463863,-0.40824829046386,-0.816496580927728)); #467877=DIRECTION('ref_axis',(-0.577350269189628,0.577350269189625,-0.577350269189624)); #467878=DIRECTION('center_axis',(-1.,-3.46944695195361E-15,0.)); #467879=DIRECTION('ref_axis',(0.,0.,-1.)); #467880=DIRECTION('center_axis',(0.,1.,0.)); #467881=DIRECTION('ref_axis',(-1.,0.,0.)); #467882=DIRECTION('center_axis',(0.,0.,-1.)); #467883=DIRECTION('ref_axis',(0.,1.,0.)); #467884=DIRECTION('center_axis',(0.,-1.,0.)); #467885=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #467886=DIRECTION('',(0.,1.,0.)); #467887=DIRECTION('',(0.,-1.,0.)); #467888=DIRECTION('center_axis',(0.,0.,1.)); #467889=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #467890=DIRECTION('',(0.,0.,-1.)); #467891=DIRECTION('',(0.,0.,1.)); #467892=DIRECTION('center_axis',(0.408248290463859,-0.816496580927726,-0.408248290463866)); #467893=DIRECTION('ref_axis',(0.577350269189621,0.577350269189626,-0.577350269189631)); #467894=DIRECTION('center_axis',(0.,0.,-1.)); #467895=DIRECTION('ref_axis',(1.,0.,0.)); #467896=DIRECTION('center_axis',(0.,1.,0.)); #467897=DIRECTION('ref_axis',(0.,0.,-1.)); #467898=DIRECTION('center_axis',(1.,0.,6.93889390390723E-15)); #467899=DIRECTION('ref_axis',(0.,1.,0.)); #467900=DIRECTION('center_axis',(0.,1.,0.)); #467901=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #467902=DIRECTION('',(0.,-1.,0.)); #467903=DIRECTION('',(0.,1.,0.)); #467904=DIRECTION('center_axis',(-1.,0.,0.)); #467905=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); #467906=DIRECTION('',(1.,0.,0.)); #467907=DIRECTION('',(-1.,0.,0.)); #467908=DIRECTION('center_axis',(0.,0.,1.)); #467909=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #467910=DIRECTION('',(0.,0.,-1.)); #467911=DIRECTION('',(0.,0.,1.)); #467912=DIRECTION('center_axis',(-1.,0.,0.)); #467913=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548)); #467914=DIRECTION('',(1.,0.,0.)); #467915=DIRECTION('',(-1.,0.,0.)); #467916=DIRECTION('center_axis',(0.,1.,0.)); #467917=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #467918=DIRECTION('',(0.,-1.,0.)); #467919=DIRECTION('',(0.,1.,0.)); #467920=DIRECTION('center_axis',(0.,0.,1.)); #467921=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #467922=DIRECTION('',(0.,0.,-1.)); #467923=DIRECTION('',(0.,0.,1.)); #467924=DIRECTION('center_axis',(0.,-1.,0.)); #467925=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #467926=DIRECTION('',(0.,1.,0.)); #467927=DIRECTION('',(0.,-1.,0.)); #467928=DIRECTION('center_axis',(0.,0.,1.)); #467929=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #467930=DIRECTION('',(0.,0.,-1.)); #467931=DIRECTION('',(0.,0.,1.)); #467932=DIRECTION('center_axis',(1.,0.,0.)); #467933=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548)); #467934=DIRECTION('',(-1.,0.,0.)); #467935=DIRECTION('',(1.,0.,0.)); #467936=DIRECTION('center_axis',(0.,-1.,0.)); #467937=DIRECTION('ref_axis',(1.,0.,0.)); #467938=DIRECTION('center_axis',(0.,0.,1.)); #467939=DIRECTION('ref_axis',(1.,0.,0.)); #467940=DIRECTION('center_axis',(-1.,0.,0.)); #467941=DIRECTION('ref_axis',(0.,-1.,0.)); #467942=DIRECTION('center_axis',(0.,1.,0.)); #467943=DIRECTION('ref_axis',(-1.,0.,0.)); #467944=DIRECTION('center_axis',(1.,0.,0.)); #467945=DIRECTION('ref_axis',(0.,1.,0.)); #467946=DIRECTION('center_axis',(0.,0.,1.)); #467947=DIRECTION('ref_axis',(1.,0.,0.)); #467948=DIRECTION('center_axis',(1.,0.,0.)); #467949=DIRECTION('ref_axis',(0.,1.,0.)); #467950=DIRECTION('',(0.,-1.,0.)); #467951=DIRECTION('',(0.,0.,1.)); #467952=DIRECTION('',(0.,1.,0.)); #467953=DIRECTION('',(0.,0.,1.)); #467954=DIRECTION('center_axis',(0.,-1.,0.)); #467955=DIRECTION('ref_axis',(1.,0.,0.)); #467956=DIRECTION('',(1.,0.,0.)); #467957=DIRECTION('',(1.,0.,0.)); #467958=DIRECTION('',(0.,0.,1.)); #467959=DIRECTION('center_axis',(-1.,0.,0.)); #467960=DIRECTION('ref_axis',(0.,-1.,0.)); #467961=DIRECTION('',(0.,-1.,0.)); #467962=DIRECTION('',(0.,-1.,0.)); #467963=DIRECTION('',(0.,0.,1.)); #467964=DIRECTION('center_axis',(0.,1.,0.)); #467965=DIRECTION('ref_axis',(-1.,0.,0.)); #467966=DIRECTION('',(-1.,0.,0.)); #467967=DIRECTION('',(-1.,0.,0.)); #467968=DIRECTION('center_axis',(0.,0.,1.)); #467969=DIRECTION('ref_axis',(1.,0.,0.)); #467970=DIRECTION('center_axis',(0.,0.,1.)); #467971=DIRECTION('ref_axis',(1.,0.,0.)); #467972=DIRECTION('',(0.,0.,1.)); #467973=DIRECTION('',(1.,0.,0.)); #467974=DIRECTION('',(0.,0.,1.)); #467975=DIRECTION('',(1.,0.,0.)); #467976=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #467977=DIRECTION('',(1.,1.22464679914735E-16,1.22464679914735E-16)); #467978=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #467979=DIRECTION('',(1.,1.22464679914735E-16,1.22464679914735E-16)); #467980=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #467981=DIRECTION('',(1.,1.22464679914735E-16,1.22464679914735E-16)); #467982=DIRECTION('',(0.,0.,1.)); #467983=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #467984=DIRECTION('',(0.,0.,1.)); #467985=DIRECTION('',(1.,0.,0.)); #467986=DIRECTION('',(0.,0.,1.)); #467987=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #467988=DIRECTION('',(0.,0.,1.)); #467989=DIRECTION('',(1.,0.,0.)); #467990=DIRECTION('',(0.,0.,1.)); #467991=DIRECTION('',(-2.22044604925031E-16,1.,0.)); #467992=DIRECTION('',(0.,0.,1.)); #467993=DIRECTION('',(-2.22044604925031E-16,1.,0.)); #467994=DIRECTION('',(0.,0.,1.)); #467995=DIRECTION('',(-2.22044604925031E-16,-1.,0.)); #467996=DIRECTION('',(0.,0.,1.)); #467997=DIRECTION('',(-2.22044604925031E-16,-1.,0.)); #467998=DIRECTION('',(0.,0.,1.)); #467999=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #468000=DIRECTION('',(0.,0.,1.)); #468001=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #468002=DIRECTION('',(0.,0.,1.)); #468003=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #468004=DIRECTION('',(0.,0.,1.)); #468005=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #468006=DIRECTION('',(0.,0.,1.)); #468007=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #468008=DIRECTION('',(0.,0.,1.)); #468009=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #468010=DIRECTION('',(0.,0.,1.)); #468011=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #468012=DIRECTION('',(0.,0.,1.)); #468013=DIRECTION('',(1.,0.,0.)); #468014=DIRECTION('',(0.,0.,1.)); #468015=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #468016=DIRECTION('',(0.,0.,1.)); #468017=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #468018=DIRECTION('',(0.,0.,1.)); #468019=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #468020=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #468021=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #468022=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #468023=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #468024=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #468025=DIRECTION('',(2.22044604925031E-16,1.,2.71926214689378E-32)); #468026=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #468027=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #468028=DIRECTION('',(0.,0.,1.)); #468029=DIRECTION('',(1.,0.,0.)); #468030=DIRECTION('',(0.,0.,1.)); #468031=DIRECTION('',(1.,0.,0.)); #468032=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #468033=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #468034=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #468035=DIRECTION('',(2.22044604925031E-16,1.,2.71926214689378E-32)); #468036=DIRECTION('',(0.,0.,1.)); #468037=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #468038=DIRECTION('',(0.,0.,1.)); #468039=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #468040=DIRECTION('',(0.,0.,1.)); #468041=DIRECTION('',(1.,0.,0.)); #468042=DIRECTION('',(0.,0.,1.)); #468043=DIRECTION('',(1.,0.,0.)); #468044=DIRECTION('',(0.,0.,1.)); #468045=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #468046=DIRECTION('',(0.,0.,1.)); #468047=DIRECTION('',(1.,0.,0.)); #468048=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #468049=DIRECTION('',(1.,1.22464679914735E-16,1.22464679914735E-16)); #468050=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #468051=DIRECTION('',(1.,1.22464679914735E-16,1.22464679914735E-16)); #468052=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #468053=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #468054=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #468055=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #468056=DIRECTION('',(0.,0.,1.)); #468057=DIRECTION('',(-2.22044604925031E-16,1.,0.)); #468058=DIRECTION('',(0.,0.,1.)); #468059=DIRECTION('',(-2.22044604925031E-16,1.,0.)); #468060=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #468061=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #468062=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #468063=DIRECTION('',(1.,1.22464679914735E-16,1.22464679914735E-16)); #468064=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #468065=DIRECTION('',(1.,1.22464679914735E-16,1.22464679914735E-16)); #468066=DIRECTION('',(0.,0.,1.)); #468067=DIRECTION('',(1.,0.,0.)); #468068=DIRECTION('',(0.,0.,1.)); #468069=DIRECTION('',(1.,0.,0.)); #468070=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #468071=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #468072=DIRECTION('',(0.,0.,1.)); #468073=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #468074=DIRECTION('',(0.,0.,1.)); #468075=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #468076=DIRECTION('',(0.,0.,1.)); #468077=DIRECTION('',(1.,0.,0.)); #468078=DIRECTION('',(0.,0.,1.)); #468079=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #468080=DIRECTION('',(0.,0.,1.)); #468081=DIRECTION('',(1.,0.,0.)); #468082=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #468083=DIRECTION('',(2.22044604925031E-16,-1.,2.71926214689378E-32)); #468084=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #468085=DIRECTION('',(2.22044604925031E-16,-1.,2.71926214689378E-32)); #468086=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #468087=DIRECTION('',(2.22044604925031E-16,-1.,2.71926214689378E-32)); #468088=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #468089=DIRECTION('',(2.22044604925031E-16,-1.,2.71926214689378E-32)); #468090=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #468091=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #468092=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #468093=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #468094=DIRECTION('',(0.,0.,1.)); #468095=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #468096=DIRECTION('',(0.,0.,1.)); #468097=DIRECTION('',(1.,0.,0.)); #468098=DIRECTION('',(0.,0.,1.)); #468099=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #468100=DIRECTION('',(0.,0.,1.)); #468101=DIRECTION('',(1.,0.,0.)); #468102=DIRECTION('',(0.,0.,1.)); #468103=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #468104=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #468105=DIRECTION('',(2.22044604925031E-16,1.,2.71926214689378E-32)); #468106=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #468107=DIRECTION('',(2.22044604925031E-16,-1.,2.71926214689378E-32)); #468108=DIRECTION('',(0.,0.,1.)); #468109=DIRECTION('',(1.,0.,0.)); #468110=DIRECTION('',(0.,0.,1.)); #468111=DIRECTION('',(1.,0.,0.)); #468112=DIRECTION('',(0.,0.,1.)); #468113=DIRECTION('',(1.,0.,0.)); #468114=DIRECTION('',(0.,0.,1.)); #468115=DIRECTION('',(1.,0.,0.)); #468116=DIRECTION('',(0.,0.,1.)); #468117=DIRECTION('',(1.,0.,0.)); #468118=DIRECTION('',(0.,0.,1.)); #468119=DIRECTION('',(1.,0.,0.)); #468120=DIRECTION('',(0.,0.,1.)); #468121=DIRECTION('',(1.,0.,0.)); #468122=DIRECTION('axis',(0.,0.,1.)); #468123=DIRECTION('refdir',(1.,0.,0.)); #468124=DIRECTION('axis',(0.,0.,1.)); #468125=DIRECTION('refdir',(1.,0.,0.)); #468126=DIRECTION('center_axis',(0.,0.,-1.)); #468127=DIRECTION('ref_axis',(1.,0.,0.)); #468128=DIRECTION('center_axis',(0.,0.,-1.)); #468129=DIRECTION('ref_axis',(1.,0.,0.)); #468130=DIRECTION('',(0.,0.,-1.)); #468131=DIRECTION('center_axis',(0.,0.,1.)); #468132=DIRECTION('ref_axis',(1.,0.,0.)); #468133=DIRECTION('center_axis',(0.,0.,-1.)); #468134=DIRECTION('ref_axis',(1.,0.,0.)); #468135=DIRECTION('center_axis',(0.,0.,-1.)); #468136=DIRECTION('ref_axis',(1.,0.,0.)); #468137=DIRECTION('',(0.,0.,-1.)); #468138=DIRECTION('center_axis',(0.,0.,1.)); #468139=DIRECTION('ref_axis',(1.,0.,0.)); #468140=DIRECTION('center_axis',(0.,1.,0.)); #468141=DIRECTION('ref_axis',(-1.,0.,0.)); #468142=DIRECTION('',(-1.,0.,0.)); #468143=DIRECTION('',(0.,0.,1.)); #468144=DIRECTION('',(-1.,0.,0.)); #468145=DIRECTION('',(0.,0.,1.)); #468146=DIRECTION('center_axis',(-1.,-6.01370805005293E-16,0.)); #468147=DIRECTION('ref_axis',(6.01370805005293E-16,-1.,0.)); #468148=DIRECTION('',(6.01370805005293E-16,-1.,0.)); #468149=DIRECTION('',(6.01370805005293E-16,-1.,0.)); #468150=DIRECTION('',(0.,0.,1.)); #468151=DIRECTION('center_axis',(0.,1.,0.)); #468152=DIRECTION('ref_axis',(-1.,0.,0.)); #468153=DIRECTION('',(-1.,0.,0.)); #468154=DIRECTION('',(-1.,0.,0.)); #468155=DIRECTION('',(0.,0.,1.)); #468156=DIRECTION('center_axis',(1.,0.,0.)); #468157=DIRECTION('ref_axis',(0.,1.,0.)); #468158=DIRECTION('',(0.,1.,0.)); #468159=DIRECTION('',(0.,1.,0.)); #468160=DIRECTION('',(0.,0.,1.)); #468161=DIRECTION('center_axis',(0.,1.,0.)); #468162=DIRECTION('ref_axis',(-1.,0.,0.)); #468163=DIRECTION('',(-1.,0.,0.)); #468164=DIRECTION('',(-1.,0.,0.)); #468165=DIRECTION('',(0.,0.,1.)); #468166=DIRECTION('center_axis',(1.,0.,0.)); #468167=DIRECTION('ref_axis',(0.,1.,0.)); #468168=DIRECTION('',(0.,1.,0.)); #468169=DIRECTION('',(0.,1.,0.)); #468170=DIRECTION('',(0.,0.,1.)); #468171=DIRECTION('center_axis',(0.,-1.,0.)); #468172=DIRECTION('ref_axis',(1.,0.,0.)); #468173=DIRECTION('',(1.,0.,0.)); #468174=DIRECTION('',(1.,0.,0.)); #468175=DIRECTION('',(0.,0.,1.)); #468176=DIRECTION('center_axis',(1.,0.,0.)); #468177=DIRECTION('ref_axis',(0.,1.,0.)); #468178=DIRECTION('',(0.,1.,0.)); #468179=DIRECTION('',(0.,1.,0.)); #468180=DIRECTION('',(0.,0.,1.)); #468181=DIRECTION('center_axis',(0.,-1.,0.)); #468182=DIRECTION('ref_axis',(1.,0.,0.)); #468183=DIRECTION('',(1.,0.,0.)); #468184=DIRECTION('',(1.,0.,0.)); #468185=DIRECTION('',(0.,0.,1.)); #468186=DIRECTION('center_axis',(-1.,0.,0.)); #468187=DIRECTION('ref_axis',(0.,-1.,0.)); #468188=DIRECTION('',(0.,-1.,0.)); #468189=DIRECTION('',(0.,-1.,0.)); #468190=DIRECTION('',(0.,0.,1.)); #468191=DIRECTION('center_axis',(0.,-1.,0.)); #468192=DIRECTION('ref_axis',(1.,0.,0.)); #468193=DIRECTION('',(1.,0.,0.)); #468194=DIRECTION('',(1.,0.,0.)); #468195=DIRECTION('',(0.,0.,1.)); #468196=DIRECTION('center_axis',(-1.,0.,0.)); #468197=DIRECTION('ref_axis',(0.,-1.,0.)); #468198=DIRECTION('',(0.,-1.,0.)); #468199=DIRECTION('',(0.,-1.,0.)); #468200=DIRECTION('center_axis',(0.,0.,1.)); #468201=DIRECTION('ref_axis',(1.,0.,0.)); #468202=DIRECTION('center_axis',(0.,0.,1.)); #468203=DIRECTION('ref_axis',(1.,0.,0.)); #468204=DIRECTION('axis',(0.,0.,1.)); #468205=DIRECTION('refdir',(1.,0.,0.)); #468206=DIRECTION('center_axis',(0.,0.,1.)); #468207=DIRECTION('ref_axis',(-1.,0.,0.)); #468208=DIRECTION('center_axis',(0.,0.,1.)); #468209=DIRECTION('ref_axis',(-1.,0.,0.)); #468210=DIRECTION('',(0.,0.,1.)); #468211=DIRECTION('center_axis',(0.,0.,-1.)); #468212=DIRECTION('ref_axis',(-1.,0.,0.)); #468213=DIRECTION('center_axis',(0.,0.,1.)); #468214=DIRECTION('ref_axis',(-1.,0.,0.)); #468215=DIRECTION('center_axis',(0.,0.,1.)); #468216=DIRECTION('ref_axis',(-1.,0.,0.)); #468217=DIRECTION('',(0.,0.,1.)); #468218=DIRECTION('center_axis',(0.,0.,-1.)); #468219=DIRECTION('ref_axis',(-1.,0.,0.)); #468220=DIRECTION('center_axis',(0.,1.,0.)); #468221=DIRECTION('ref_axis',(-1.,0.,0.)); #468222=DIRECTION('',(-1.,0.,0.)); #468223=DIRECTION('',(0.,0.,1.)); #468224=DIRECTION('',(-1.,0.,0.)); #468225=DIRECTION('',(0.,0.,1.)); #468226=DIRECTION('center_axis',(-1.,-6.01370805005293E-16,0.)); #468227=DIRECTION('ref_axis',(6.01370805005293E-16,-1.,0.)); #468228=DIRECTION('',(6.01370805005293E-16,-1.,0.)); #468229=DIRECTION('',(6.01370805005293E-16,-1.,0.)); #468230=DIRECTION('',(0.,0.,1.)); #468231=DIRECTION('center_axis',(0.,1.,0.)); #468232=DIRECTION('ref_axis',(-1.,0.,0.)); #468233=DIRECTION('',(-1.,0.,0.)); #468234=DIRECTION('',(-1.,0.,0.)); #468235=DIRECTION('',(0.,0.,1.)); #468236=DIRECTION('center_axis',(1.,0.,0.)); #468237=DIRECTION('ref_axis',(0.,1.,0.)); #468238=DIRECTION('',(0.,1.,0.)); #468239=DIRECTION('',(0.,1.,0.)); #468240=DIRECTION('',(0.,0.,1.)); #468241=DIRECTION('center_axis',(0.,1.,0.)); #468242=DIRECTION('ref_axis',(-1.,0.,0.)); #468243=DIRECTION('',(-1.,0.,0.)); #468244=DIRECTION('',(-1.,0.,0.)); #468245=DIRECTION('',(0.,0.,1.)); #468246=DIRECTION('center_axis',(1.,0.,0.)); #468247=DIRECTION('ref_axis',(0.,1.,0.)); #468248=DIRECTION('',(0.,1.,0.)); #468249=DIRECTION('',(0.,1.,0.)); #468250=DIRECTION('',(0.,0.,1.)); #468251=DIRECTION('center_axis',(0.,-1.,0.)); #468252=DIRECTION('ref_axis',(1.,0.,0.)); #468253=DIRECTION('',(1.,0.,0.)); #468254=DIRECTION('',(1.,0.,0.)); #468255=DIRECTION('',(0.,0.,1.)); #468256=DIRECTION('center_axis',(1.,0.,0.)); #468257=DIRECTION('ref_axis',(0.,1.,0.)); #468258=DIRECTION('',(0.,1.,0.)); #468259=DIRECTION('',(0.,1.,0.)); #468260=DIRECTION('',(0.,0.,1.)); #468261=DIRECTION('center_axis',(0.,-1.,0.)); #468262=DIRECTION('ref_axis',(1.,0.,0.)); #468263=DIRECTION('',(1.,0.,0.)); #468264=DIRECTION('',(1.,0.,0.)); #468265=DIRECTION('',(0.,0.,1.)); #468266=DIRECTION('center_axis',(-1.,0.,0.)); #468267=DIRECTION('ref_axis',(0.,-1.,0.)); #468268=DIRECTION('',(0.,-1.,0.)); #468269=DIRECTION('',(0.,-1.,0.)); #468270=DIRECTION('',(0.,0.,1.)); #468271=DIRECTION('center_axis',(0.,-1.,0.)); #468272=DIRECTION('ref_axis',(1.,0.,0.)); #468273=DIRECTION('',(1.,0.,0.)); #468274=DIRECTION('',(1.,0.,0.)); #468275=DIRECTION('',(0.,0.,1.)); #468276=DIRECTION('center_axis',(-1.,0.,0.)); #468277=DIRECTION('ref_axis',(0.,-1.,0.)); #468278=DIRECTION('',(0.,-1.,0.)); #468279=DIRECTION('',(0.,-1.,0.)); #468280=DIRECTION('center_axis',(0.,0.,1.)); #468281=DIRECTION('ref_axis',(1.,0.,0.)); #468282=DIRECTION('center_axis',(0.,0.,1.)); #468283=DIRECTION('ref_axis',(1.,0.,0.)); #468284=DIRECTION('axis',(0.,0.,1.)); #468285=DIRECTION('refdir',(1.,0.,0.)); #468286=DIRECTION('center_axis',(0.,0.,-1.)); #468287=DIRECTION('ref_axis',(1.,0.,0.)); #468288=DIRECTION('center_axis',(0.,0.,-1.)); #468289=DIRECTION('ref_axis',(1.,0.,0.)); #468290=DIRECTION('',(0.,0.,-1.)); #468291=DIRECTION('center_axis',(0.,0.,1.)); #468292=DIRECTION('ref_axis',(1.,0.,0.)); #468293=DIRECTION('center_axis',(0.,0.,-1.)); #468294=DIRECTION('ref_axis',(1.,0.,0.)); #468295=DIRECTION('center_axis',(0.,0.,-1.)); #468296=DIRECTION('ref_axis',(1.,0.,0.)); #468297=DIRECTION('',(0.,0.,-1.)); #468298=DIRECTION('center_axis',(0.,0.,1.)); #468299=DIRECTION('ref_axis',(1.,0.,0.)); #468300=DIRECTION('center_axis',(0.,-1.,0.)); #468301=DIRECTION('ref_axis',(-1.,0.,0.)); #468302=DIRECTION('',(1.,0.,0.)); #468303=DIRECTION('',(0.,0.,-1.)); #468304=DIRECTION('',(-1.,0.,0.)); #468305=DIRECTION('',(0.,0.,-1.)); #468306=DIRECTION('center_axis',(-1.,0.,0.)); #468307=DIRECTION('ref_axis',(0.,1.,0.)); #468308=DIRECTION('',(0.,-1.,0.)); #468309=DIRECTION('',(0.,1.,0.)); #468310=DIRECTION('',(0.,0.,-1.)); #468311=DIRECTION('center_axis',(0.,-1.,0.)); #468312=DIRECTION('ref_axis',(-1.,0.,0.)); #468313=DIRECTION('',(1.,0.,0.)); #468314=DIRECTION('',(-1.,0.,0.)); #468315=DIRECTION('',(0.,0.,-1.)); #468316=DIRECTION('center_axis',(1.,0.,0.)); #468317=DIRECTION('ref_axis',(0.,-1.,0.)); #468318=DIRECTION('',(0.,1.,0.)); #468319=DIRECTION('',(0.,-1.,0.)); #468320=DIRECTION('',(0.,0.,-1.)); #468321=DIRECTION('center_axis',(0.,-1.,0.)); #468322=DIRECTION('ref_axis',(-1.,0.,0.)); #468323=DIRECTION('',(1.,0.,0.)); #468324=DIRECTION('',(-1.,0.,0.)); #468325=DIRECTION('',(0.,0.,-1.)); #468326=DIRECTION('center_axis',(1.,0.,0.)); #468327=DIRECTION('ref_axis',(0.,-1.,0.)); #468328=DIRECTION('',(0.,1.,0.)); #468329=DIRECTION('',(0.,-1.,0.)); #468330=DIRECTION('',(0.,0.,-1.)); #468331=DIRECTION('center_axis',(0.,1.,0.)); #468332=DIRECTION('ref_axis',(1.,0.,0.)); #468333=DIRECTION('',(-1.,0.,0.)); #468334=DIRECTION('',(1.,0.,0.)); #468335=DIRECTION('',(0.,0.,-1.)); #468336=DIRECTION('center_axis',(1.,0.,0.)); #468337=DIRECTION('ref_axis',(0.,-1.,0.)); #468338=DIRECTION('',(0.,1.,0.)); #468339=DIRECTION('',(0.,-1.,0.)); #468340=DIRECTION('',(0.,0.,-1.)); #468341=DIRECTION('center_axis',(0.,1.,0.)); #468342=DIRECTION('ref_axis',(1.,0.,0.)); #468343=DIRECTION('',(-1.,0.,0.)); #468344=DIRECTION('',(1.,0.,0.)); #468345=DIRECTION('',(0.,0.,-1.)); #468346=DIRECTION('center_axis',(-1.,-6.01370805005293E-16,0.)); #468347=DIRECTION('ref_axis',(-6.01370805005293E-16,1.,0.)); #468348=DIRECTION('',(6.01370805005293E-16,-1.,0.)); #468349=DIRECTION('',(-6.01370805005293E-16,1.,0.)); #468350=DIRECTION('',(0.,0.,-1.)); #468351=DIRECTION('center_axis',(0.,1.,0.)); #468352=DIRECTION('ref_axis',(1.,0.,0.)); #468353=DIRECTION('',(-1.,0.,0.)); #468354=DIRECTION('',(1.,0.,0.)); #468355=DIRECTION('',(0.,0.,-1.)); #468356=DIRECTION('center_axis',(-1.,0.,0.)); #468357=DIRECTION('ref_axis',(0.,1.,0.)); #468358=DIRECTION('',(0.,-1.,0.)); #468359=DIRECTION('',(0.,1.,0.)); #468360=DIRECTION('center_axis',(0.,0.,1.)); #468361=DIRECTION('ref_axis',(1.,0.,0.)); #468362=DIRECTION('center_axis',(0.,0.,-1.)); #468363=DIRECTION('ref_axis',(-1.,0.,0.)); #468364=DIRECTION('center_axis',(0.,0.,-1.)); #468365=DIRECTION('ref_axis',(-1.,0.,0.)); #468366=DIRECTION('',(1.,7.09407683466554E-17,0.)); #468367=DIRECTION('',(-1.11022302462516E-16,1.,0.)); #468368=DIRECTION('',(-1.,0.,0.)); #468369=DIRECTION('',(0.,-1.,0.)); #468370=DIRECTION('center_axis',(-1.,-1.11022302462516E-16,0.)); #468371=DIRECTION('ref_axis',(1.11022302462516E-16,-1.,1.44762674070265E-15)); #468372=DIRECTION('',(0.,0.,1.)); #468373=DIRECTION('',(-1.11022302462516E-16,1.,-1.44762674070265E-15)); #468374=DIRECTION('',(0.,0.,1.)); #468375=DIRECTION('center_axis',(-7.09407683466554E-17,1.,0.)); #468376=DIRECTION('ref_axis',(-1.,-7.09407683466554E-17,-9.25001112270061E-16)); #468377=DIRECTION('',(0.,0.,1.)); #468378=DIRECTION('',(1.,7.09407683466554E-17,9.25001112270061E-16)); #468379=DIRECTION('center_axis',(1.,0.,0.)); #468380=DIRECTION('ref_axis',(0.,1.,0.)); #468381=DIRECTION('',(0.,0.,1.)); #468382=DIRECTION('',(0.,-1.,0.)); #468383=DIRECTION('center_axis',(0.,-1.,0.)); #468384=DIRECTION('ref_axis',(1.,0.,0.)); #468385=DIRECTION('',(-1.,0.,0.)); #468386=DIRECTION('center_axis',(0.,0.,1.)); #468387=DIRECTION('ref_axis',(-1.,0.,0.)); #468388=DIRECTION('',(0.,0.,1.)); #468389=DIRECTION('',(1.,0.,0.)); #468390=DIRECTION('',(0.,0.,1.)); #468391=DIRECTION('',(1.,0.,0.)); #468392=DIRECTION('',(0.,0.,1.)); #468393=DIRECTION('',(1.,0.,0.)); #468394=DIRECTION('center_axis',(0.,0.,-1.)); #468395=DIRECTION('ref_axis',(1.,0.,0.)); #468396=DIRECTION('center_axis',(0.,0.,1.)); #468397=DIRECTION('ref_axis',(1.,0.,0.)); #468398=DIRECTION('',(-0.707106781186548,-8.65956056235493E-17,-0.707106781186548)); #468399=DIRECTION('center_axis',(0.,0.,-1.)); #468400=DIRECTION('ref_axis',(1.,0.,0.)); #468401=DIRECTION('center_axis',(0.,0.,1.)); #468402=DIRECTION('ref_axis',(1.,0.,0.)); #468403=DIRECTION('center_axis',(0.,0.,-1.)); #468404=DIRECTION('ref_axis',(1.,0.,0.)); #468405=DIRECTION('',(0.,1.,0.)); #468406=DIRECTION('',(-1.,0.,0.)); #468407=DIRECTION('',(0.,-1.,0.)); #468408=DIRECTION('center_axis',(0.,0.,1.)); #468409=DIRECTION('ref_axis',(1.,0.,0.)); #468410=DIRECTION('center_axis',(0.,0.,1.)); #468411=DIRECTION('ref_axis',(1.,0.,0.)); #468412=DIRECTION('',(0.,0.,-1.)); #468413=DIRECTION('center_axis',(0.,0.,1.)); #468414=DIRECTION('ref_axis',(1.,0.,0.)); #468415=DIRECTION('center_axis',(-1.,0.,0.)); #468416=DIRECTION('ref_axis',(0.,1.,0.)); #468417=DIRECTION('',(0.,0.,-1.)); #468418=DIRECTION('',(0.,1.,0.)); #468419=DIRECTION('',(0.,0.,-1.)); #468420=DIRECTION('center_axis',(0.,-1.,0.)); #468421=DIRECTION('ref_axis',(-1.,0.,0.)); #468422=DIRECTION('',(0.,0.,1.)); #468423=DIRECTION('',(-1.,0.,0.)); #468424=DIRECTION('center_axis',(1.,0.,0.)); #468425=DIRECTION('ref_axis',(0.,-1.,0.)); #468426=DIRECTION('',(0.,0.,1.)); #468427=DIRECTION('',(0.,1.,0.)); #468428=DIRECTION('center_axis',(0.,0.,-1.)); #468429=DIRECTION('ref_axis',(-1.83697019872103E-16,1.,0.)); #468430=DIRECTION('center_axis',(0.,0.,-1.)); #468431=DIRECTION('ref_axis',(1.,0.,0.)); #468432=DIRECTION('center_axis',(0.,0.,-1.)); #468433=DIRECTION('ref_axis',(-1.,0.,0.)); #468434=DIRECTION('center_axis',(0.,0.,-1.)); #468435=DIRECTION('ref_axis',(-1.,0.,0.)); #468436=DIRECTION('',(0.707106781186546,8.65956056235491E-17,-0.707106781186549)); #468437=DIRECTION('center_axis',(0.,0.,1.)); #468438=DIRECTION('ref_axis',(-1.,0.,0.)); #468439=DIRECTION('center_axis',(0.,0.,1.)); #468440=DIRECTION('ref_axis',(-1.,0.,0.)); #468441=DIRECTION('center_axis',(0.,0.,1.)); #468442=DIRECTION('ref_axis',(-1.,0.,0.)); #468443=DIRECTION('center_axis',(0.,0.,1.)); #468444=DIRECTION('ref_axis',(-1.,0.,0.)); #468445=DIRECTION('',(0.,0.,-1.)); #468446=DIRECTION('center_axis',(0.,0.,-1.)); #468447=DIRECTION('ref_axis',(-1.,0.,0.)); #468448=DIRECTION('center_axis',(0.,0.,1.)); #468449=DIRECTION('ref_axis',(-1.,0.,0.)); #468450=DIRECTION('',(0.,0.,-1.)); #468451=DIRECTION('center_axis',(0.,0.,-1.)); #468452=DIRECTION('ref_axis',(-1.,0.,0.)); #468453=DIRECTION('',(0.,0.,1.)); #468454=DIRECTION('',(0.,0.,-1.)); #468455=DIRECTION('center_axis',(0.,0.,-1.)); #468456=DIRECTION('ref_axis',(-1.,0.,0.)); #468457=DIRECTION('',(0.,0.,-1.)); #468458=DIRECTION('center_axis',(0.,0.,1.)); #468459=DIRECTION('ref_axis',(-1.,0.,0.)); #468460=DIRECTION('',(0.,0.,-1.)); #468461=DIRECTION('center_axis',(0.,0.,-1.)); #468462=DIRECTION('ref_axis',(-1.,0.,0.)); #468463=DIRECTION('',(0.,0.,1.)); #468464=DIRECTION('center_axis',(0.,0.,1.)); #468465=DIRECTION('ref_axis',(-1.,0.,0.)); #468466=DIRECTION('center_axis',(0.,0.,1.)); #468467=DIRECTION('ref_axis',(-1.,0.,0.)); #468468=DIRECTION('',(-0.707106781186546,-8.65956056235492E-17,-0.707106781186549)); #468469=DIRECTION('center_axis',(0.,0.,-1.)); #468470=DIRECTION('ref_axis',(-1.,0.,0.)); #468471=DIRECTION('center_axis',(0.,0.,1.)); #468472=DIRECTION('ref_axis',(-1.,0.,0.)); #468473=DIRECTION('',(0.,0.,-1.)); #468474=DIRECTION('center_axis',(0.,0.,1.)); #468475=DIRECTION('ref_axis',(-1.,0.,0.)); #468476=DIRECTION('center_axis',(0.,0.,-1.)); #468477=DIRECTION('ref_axis',(-1.,0.,0.)); #468478=DIRECTION('',(0.707106781186547,8.65956056235493E-17,-0.707106781186548)); #468479=DIRECTION('center_axis',(-0.901103262759533,0.433604554685631,0.)); #468480=DIRECTION('ref_axis',(0.,0.,1.)); #468481=DIRECTION('',(0.,0.,-1.)); #468482=DIRECTION('center_axis',(0.0750390718253738,-0.997180594325615, 0.)); #468483=DIRECTION('ref_axis',(0.,0.,-1.)); #468484=DIRECTION('',(0.,0.,-1.)); #468485=DIRECTION('center_axis',(-0.247057652721941,-0.969000782368892, 0.)); #468486=DIRECTION('ref_axis',(0.,0.,-1.)); #468487=DIRECTION('',(0.,0.,1.)); #468488=DIRECTION('center_axis',(0.962708120179425,0.2705421877279,0.)); #468489=DIRECTION('ref_axis',(0.,0.,-1.)); #468490=DIRECTION('',(0.,0.,1.)); #468491=DIRECTION('center_axis',(-0.715650467457489,0.698458594640997,0.)); #468492=DIRECTION('ref_axis',(0.,0.,1.)); #468493=DIRECTION('',(0.,0.,1.)); #468494=DIRECTION('center_axis',(0.826064190934161,0.563576039639985,0.)); #468495=DIRECTION('ref_axis',(0.,0.,-1.)); #468496=DIRECTION('',(0.,0.,-1.)); #468497=DIRECTION('center_axis',(0.,0.,1.)); #468498=DIRECTION('ref_axis',(-0.90630778703665,-0.422618261740699,0.)); #468499=DIRECTION('center_axis',(0.,0.,-1.)); #468500=DIRECTION('ref_axis',(-1.,0.,0.)); #468501=DIRECTION('center_axis',(0.,0.,-1.)); #468502=DIRECTION('ref_axis',(-0.90630778703665,-0.422618261740699,0.)); #468503=DIRECTION('center_axis',(0.,0.,1.)); #468504=DIRECTION('ref_axis',(-1.,0.,0.)); #468505=DIRECTION('center_axis',(0.,0.,1.)); #468506=DIRECTION('ref_axis',(-1.,0.,0.)); #468507=DIRECTION('center_axis',(0.,0.,1.)); #468508=DIRECTION('ref_axis',(1.,0.,0.)); #468509=DIRECTION('center_axis',(0.,0.,-1.)); #468510=DIRECTION('ref_axis',(1.,0.,0.)); #468511=DIRECTION('center_axis',(0.,0.,-1.)); #468512=DIRECTION('ref_axis',(1.,0.,0.)); #468513=DIRECTION('center_axis',(0.,0.,1.)); #468514=DIRECTION('ref_axis',(1.,0.,0.)); #468515=DIRECTION('center_axis',(0.,0.,1.)); #468516=DIRECTION('ref_axis',(-1.,0.,0.)); #468517=DIRECTION('center_axis',(0.,0.,1.)); #468518=DIRECTION('ref_axis',(1.,0.,0.)); #468519=DIRECTION('center_axis',(0.,0.,-1.)); #468520=DIRECTION('ref_axis',(1.,0.,0.)); #468521=DIRECTION('center_axis',(0.,0.,-1.)); #468522=DIRECTION('ref_axis',(1.,0.,0.)); #468523=DIRECTION('center_axis',(0.,0.,1.)); #468524=DIRECTION('ref_axis',(1.,0.,0.)); #468525=DIRECTION('center_axis',(0.,0.,1.)); #468526=DIRECTION('ref_axis',(-1.,0.,0.)); #468527=DIRECTION('center_axis',(0.,0.,-1.)); #468528=DIRECTION('ref_axis',(-1.,0.,0.)); #468529=DIRECTION('center_axis',(0.,0.,1.)); #468530=DIRECTION('ref_axis',(-1.,0.,0.)); #468531=DIRECTION('',(-0.707106781186548,8.65956056235493E-17,0.707106781186548)); #468532=DIRECTION('center_axis',(0.,0.,1.)); #468533=DIRECTION('ref_axis',(1.,0.,0.)); #468534=DIRECTION('center_axis',(0.629675643792731,0.776858148965569,0.)); #468535=DIRECTION('ref_axis',(0.,0.,-1.)); #468536=DIRECTION('',(0.,0.,-1.)); #468537=DIRECTION('',(0.,0.,1.)); #468538=DIRECTION('center_axis',(0.,0.,-1.)); #468539=DIRECTION('ref_axis',(1.,0.,0.)); #468540=DIRECTION('center_axis',(0.,0.,1.)); #468541=DIRECTION('ref_axis',(1.,0.,0.)); #468542=DIRECTION('center_axis',(0.,0.,-1.)); #468543=DIRECTION('ref_axis',(1.,0.,0.)); #468544=DIRECTION('center_axis',(-0.629675643792731,0.776858148965569,0.)); #468545=DIRECTION('ref_axis',(0.,0.,1.)); #468546=DIRECTION('',(0.,0.,-1.)); #468547=DIRECTION('',(0.,0.,1.)); #468548=DIRECTION('center_axis',(0.,0.,1.)); #468549=DIRECTION('ref_axis',(1.,0.,0.)); #468550=DIRECTION('center_axis',(0.,0.,-1.)); #468551=DIRECTION('ref_axis',(1.,0.,0.)); #468552=DIRECTION('center_axis',(0.,0.,1.)); #468553=DIRECTION('ref_axis',(1.,0.,0.)); #468554=DIRECTION('center_axis',(1.,0.,0.)); #468555=DIRECTION('ref_axis',(0.,0.,1.)); #468556=DIRECTION('',(0.,-1.,0.)); #468557=DIRECTION('',(0.,0.,1.)); #468558=DIRECTION('',(0.,-1.,0.)); #468559=DIRECTION('center_axis',(0.,0.,1.)); #468560=DIRECTION('ref_axis',(-0.97714816888322,0.21255930007452,0.)); #468561=DIRECTION('',(0.,-1.,0.)); #468562=DIRECTION('center_axis',(0.,0.,1.)); #468563=DIRECTION('ref_axis',(1.,0.,0.)); #468564=DIRECTION('center_axis',(0.,0.,-1.)); #468565=DIRECTION('ref_axis',(1.,0.,0.)); #468566=DIRECTION('center_axis',(0.,0.,-1.)); #468567=DIRECTION('ref_axis',(0.97714816888322,0.21255930007452,0.)); #468568=DIRECTION('center_axis',(0.,0.,-1.)); #468569=DIRECTION('ref_axis',(1.,0.,0.)); #468570=DIRECTION('',(0.,-1.,0.)); #468571=DIRECTION('center_axis',(-1.,0.,0.)); #468572=DIRECTION('ref_axis',(0.,0.,-1.)); #468573=DIRECTION('',(0.,0.,-1.)); #468574=DIRECTION('center_axis',(0.,-1.,0.)); #468575=DIRECTION('ref_axis',(-1.,0.,0.)); #468576=DIRECTION('center_axis',(0.,1.,0.)); #468577=DIRECTION('ref_axis',(3.62817981903646E-16,0.,-1.)); #468578=DIRECTION('',(-1.,0.,-6.96064592241477E-16)); #468579=DIRECTION('',(0.,0.,-1.)); #468580=DIRECTION('center_axis',(0.,-1.,0.)); #468581=DIRECTION('ref_axis',(-1.,0.,0.)); #468582=DIRECTION('center_axis',(0.,-1.,0.)); #468583=DIRECTION('ref_axis',(-7.25635963807292E-16,0.,1.)); #468584=DIRECTION('',(-1.,0.,-6.96064592241477E-16)); #468585=DIRECTION('center_axis',(0.,-1.,0.)); #468586=DIRECTION('ref_axis',(-1.,0.,-7.25635963807292E-16)); #468587=DIRECTION('',(0.,0.,-1.)); #468588=DIRECTION('',(-1.,0.,0.)); #468589=DIRECTION('',(0.,0.,1.)); #468590=DIRECTION('center_axis',(0.,0.,-1.)); #468591=DIRECTION('ref_axis',(-1.,0.,0.)); #468592=DIRECTION('',(0.,0.,-1.)); #468593=DIRECTION('center_axis',(0.,0.,1.)); #468594=DIRECTION('ref_axis',(1.,0.,0.)); #468595=DIRECTION('center_axis',(0.,0.,-1.)); #468596=DIRECTION('ref_axis',(-1.,0.,0.)); #468597=DIRECTION('center_axis',(0.,0.,1.)); #468598=DIRECTION('ref_axis',(1.,0.,0.)); #468599=DIRECTION('',(0.,0.,-1.)); #468600=DIRECTION('center_axis',(0.,0.,-1.)); #468601=DIRECTION('ref_axis',(-1.,0.,0.)); #468602=DIRECTION('',(0.,0.,-1.)); #468603=DIRECTION('center_axis',(-6.96064592241477E-16,0.,1.)); #468604=DIRECTION('ref_axis',(-1.,0.,0.)); #468605=DIRECTION('center_axis',(0.,0.,-1.)); #468606=DIRECTION('ref_axis',(-1.,0.,0.)); #468607=DIRECTION('center_axis',(-6.96064592241477E-16,0.,1.)); #468608=DIRECTION('ref_axis',(-1.,0.,0.)); #468609=DIRECTION('',(0.,0.,-1.)); #468610=DIRECTION('center_axis',(0.,-1.,0.)); #468611=DIRECTION('ref_axis',(-1.,0.,0.)); #468612=DIRECTION('center_axis',(0.,1.,0.)); #468613=DIRECTION('ref_axis',(1.,0.,7.25635963807292E-16)); #468614=DIRECTION('',(0.,0.,-1.)); #468615=DIRECTION('',(-1.,0.,-6.96064592241477E-16)); #468616=DIRECTION('center_axis',(0.,-1.,0.)); #468617=DIRECTION('ref_axis',(1.,0.,7.25635963807292E-16)); #468618=DIRECTION('',(0.,-1.,0.)); #468619=DIRECTION('',(0.,-1.,0.)); #468620=DIRECTION('center_axis',(6.96064592241477E-16,0.,-1.)); #468621=DIRECTION('ref_axis',(1.,0.,6.96064592241477E-16)); #468622=DIRECTION('',(0.,-1.,0.)); #468623=DIRECTION('center_axis',(6.96064592241477E-16,0.,-1.)); #468624=DIRECTION('ref_axis',(1.,0.,0.)); #468625=DIRECTION('',(0.,-1.,0.)); #468626=DIRECTION('',(0.,-1.,0.)); #468627=DIRECTION('center_axis',(-6.96064592241477E-16,0.,1.)); #468628=DIRECTION('ref_axis',(1.,0.,0.)); #468629=DIRECTION('',(0.,-1.,0.)); #468630=DIRECTION('',(0.,1.,0.)); #468631=DIRECTION('center_axis',(0.,-1.,0.)); #468632=DIRECTION('ref_axis',(3.62817981903646E-16,0.,-1.)); #468633=DIRECTION('',(0.,-1.,0.)); #468634=DIRECTION('center_axis',(0.,-1.,0.)); #468635=DIRECTION('ref_axis',(3.62817981903646E-16,0.,-1.)); #468636=DIRECTION('',(0.,-1.,0.)); #468637=DIRECTION('center_axis',(0.,0.,1.)); #468638=DIRECTION('ref_axis',(1.,0.,0.)); #468639=DIRECTION('center_axis',(0.,0.,1.)); #468640=DIRECTION('ref_axis',(1.,0.,0.)); #468641=DIRECTION('',(0.,-1.,0.)); #468642=DIRECTION('',(0.,1.,0.)); #468643=DIRECTION('center_axis',(0.,0.,1.)); #468644=DIRECTION('ref_axis',(1.,0.,0.)); #468645=DIRECTION('center_axis',(0.,-1.,0.)); #468646=DIRECTION('ref_axis',(-7.25635963807292E-16,0.,1.)); #468647=DIRECTION('',(0.,-1.,0.)); #468648=DIRECTION('',(0.,-1.,0.)); #468649=DIRECTION('center_axis',(0.,-1.,0.)); #468650=DIRECTION('ref_axis',(-7.25635963807292E-16,0.,1.)); #468651=DIRECTION('',(0.,-1.,0.)); #468652=DIRECTION('',(0.,-1.,0.)); #468653=DIRECTION('center_axis',(-1.,0.,0.)); #468654=DIRECTION('ref_axis',(0.,0.,-1.)); #468655=DIRECTION('',(0.,-1.,0.)); #468656=DIRECTION('',(0.,0.,1.)); #468657=DIRECTION('',(0.,0.,-1.)); #468658=DIRECTION('',(0.,-1.,0.)); #468659=DIRECTION('',(0.,-1.,0.)); #468660=DIRECTION('',(0.,0.,1.)); #468661=DIRECTION('center_axis',(0.,-1.,0.)); #468662=DIRECTION('ref_axis',(-1.,0.,-7.25635963807292E-16)); #468663=DIRECTION('',(0.,1.,0.)); #468664=DIRECTION('center_axis',(0.,-1.,0.)); #468665=DIRECTION('ref_axis',(1.,0.,7.25635963807292E-16)); #468666=DIRECTION('',(0.,-1.,0.)); #468667=DIRECTION('center_axis',(1.,0.,0.)); #468668=DIRECTION('ref_axis',(0.,0.,1.)); #468669=DIRECTION('',(0.,0.,-1.)); #468670=DIRECTION('',(0.,0.,-1.)); #468671=DIRECTION('',(0.,-1.,0.)); #468672=DIRECTION('',(0.,0.,1.)); #468673=DIRECTION('center_axis',(0.,-1.,0.)); #468674=DIRECTION('ref_axis',(-1.,0.,-7.25635963807292E-16)); #468675=DIRECTION('',(0.,-1.,0.)); #468676=DIRECTION('center_axis',(-6.96064592241477E-16,0.,1.)); #468677=DIRECTION('ref_axis',(-1.,0.,-6.96064592241477E-16)); #468678=DIRECTION('center_axis',(-6.96064592241477E-16,0.,1.)); #468679=DIRECTION('ref_axis',(1.,0.,0.)); #468680=DIRECTION('center_axis',(0.,0.,-1.)); #468681=DIRECTION('ref_axis',(1.,0.,0.)); #468682=DIRECTION('',(0.,0.,-1.)); #468683=DIRECTION('center_axis',(0.,0.,1.)); #468684=DIRECTION('ref_axis',(1.,0.,0.)); #468685=DIRECTION('',(0.,0.,1.)); #468686=DIRECTION('center_axis',(0.,0.,-1.)); #468687=DIRECTION('ref_axis',(1.,0.,0.)); #468688=DIRECTION('center_axis',(0.,0.,-1.)); #468689=DIRECTION('ref_axis',(1.,0.,0.)); #468690=DIRECTION('',(0.,0.,-1.)); #468691=DIRECTION('center_axis',(0.,0.,1.)); #468692=DIRECTION('ref_axis',(1.,0.,0.)); #468693=DIRECTION('',(0.,0.,1.)); #468694=DIRECTION('center_axis',(0.,0.,-1.)); #468695=DIRECTION('ref_axis',(1.,0.,0.)); #468696=DIRECTION('center_axis',(0.,0.,1.)); #468697=DIRECTION('ref_axis',(1.,0.,0.)); #468698=DIRECTION('',(0.,0.,1.)); #468699=DIRECTION('center_axis',(0.,0.707106781186546,-0.707106781186549)); #468700=DIRECTION('ref_axis',(0.,-0.707106781186549,-0.707106781186546)); #468701=DIRECTION('center_axis',(0.,0.,-1.)); #468702=DIRECTION('ref_axis',(1.,0.,0.)); #468703=DIRECTION('center_axis',(0.,0.707106781186546,-0.707106781186549)); #468704=DIRECTION('ref_axis',(0.,-0.707106781186549,-0.707106781186546)); #468705=DIRECTION('',(0.,0.,-1.)); #468706=DIRECTION('center_axis',(0.,0.,1.)); #468707=DIRECTION('ref_axis',(1.,0.,0.)); #468708=DIRECTION('',(0.,0.,1.)); #468709=DIRECTION('center_axis',(0.,-0.707106781186546,-0.707106781186549)); #468710=DIRECTION('ref_axis',(0.,-0.707106781186549,0.707106781186546)); #468711=DIRECTION('center_axis',(0.,0.,-1.)); #468712=DIRECTION('ref_axis',(1.,0.,0.)); #468713=DIRECTION('center_axis',(0.,-0.707106781186546,-0.707106781186549)); #468714=DIRECTION('ref_axis',(0.,-0.707106781186549,0.707106781186546)); #468715=DIRECTION('center_axis',(0.,0.,-1.)); #468716=DIRECTION('ref_axis',(-1.,0.,0.)); #468717=DIRECTION('center_axis',(0.,0.,-1.)); #468718=DIRECTION('ref_axis',(1.,0.,0.)); #468719=DIRECTION('',(0.,-1.,0.)); #468720=DIRECTION('center_axis',(0.,0.,-1.)); #468721=DIRECTION('ref_axis',(-1.,0.,0.)); #468722=DIRECTION('',(0.,1.,0.)); #468723=DIRECTION('center_axis',(0.,0.,1.)); #468724=DIRECTION('ref_axis',(1.,0.,0.)); #468725=DIRECTION('',(0.,1.,0.)); #468726=DIRECTION('center_axis',(-1.,0.,0.)); #468727=DIRECTION('ref_axis',(0.,0.,1.)); #468728=DIRECTION('',(0.,0.,1.)); #468729=DIRECTION('',(0.,1.,0.)); #468730=DIRECTION('',(0.,1.,0.)); #468731=DIRECTION('center_axis',(0.,0.,1.)); #468732=DIRECTION('ref_axis',(1.,0.,0.)); #468733=DIRECTION('center_axis',(0.,0.,-1.)); #468734=DIRECTION('ref_axis',(1.,0.,0.)); #468735=DIRECTION('',(0.,1.,0.)); #468736=DIRECTION('center_axis',(0.,0.,1.)); #468737=DIRECTION('ref_axis',(1.,0.,0.)); #468738=DIRECTION('center_axis',(1.,0.,0.)); #468739=DIRECTION('ref_axis',(0.,0.,-1.)); #468740=DIRECTION('',(0.,0.,-1.)); #468741=DIRECTION('center_axis',(0.,0.,-1.)); #468742=DIRECTION('ref_axis',(1.,0.,0.)); #468743=DIRECTION('center_axis',(0.,0.,-1.)); #468744=DIRECTION('ref_axis',(-1.,0.,0.)); #468745=DIRECTION('center_axis',(0.,0.,1.)); #468746=DIRECTION('ref_axis',(1.,0.,0.)); #468747=DIRECTION('center_axis',(0.,0.,-1.)); #468748=DIRECTION('ref_axis',(1.,0.,0.)); #468749=DIRECTION('center_axis',(0.,0.,-1.)); #468750=DIRECTION('ref_axis',(1.,0.,0.)); #468751=DIRECTION('center_axis',(0.,0.,1.)); #468752=DIRECTION('ref_axis',(1.,0.,0.)); #468753=DIRECTION('',(0.,0.,1.)); #468754=DIRECTION('center_axis',(0.,0.,-1.)); #468755=DIRECTION('ref_axis',(-1.,0.,0.)); #468756=DIRECTION('center_axis',(0.,0.,-1.)); #468757=DIRECTION('ref_axis',(1.,0.,0.)); #468758=DIRECTION('center_axis',(0.,0.,-1.)); #468759=DIRECTION('ref_axis',(1.,0.,0.)); #468760=DIRECTION('',(-1.,0.,0.)); #468761=DIRECTION('center_axis',(0.,0.,1.)); #468762=DIRECTION('ref_axis',(1.,0.,0.)); #468763=DIRECTION('',(-1.,0.,0.)); #468764=DIRECTION('center_axis',(0.,0.,-1.)); #468765=DIRECTION('ref_axis',(1.,0.,0.)); #468766=DIRECTION('',(-1.,0.,0.)); #468767=DIRECTION('',(-1.,0.,0.)); #468768=DIRECTION('center_axis',(0.,0.,1.)); #468769=DIRECTION('ref_axis',(1.,0.,0.)); #468770=DIRECTION('center_axis',(0.,0.,1.)); #468771=DIRECTION('ref_axis',(1.,0.,0.)); #468772=DIRECTION('center_axis',(0.,0.,-1.)); #468773=DIRECTION('ref_axis',(1.,0.,0.)); #468774=DIRECTION('',(0.,0.,1.)); #468775=DIRECTION('center_axis',(0.,0.,-1.)); #468776=DIRECTION('ref_axis',(1.,0.,0.)); #468777=DIRECTION('center_axis',(0.,0.,-1.)); #468778=DIRECTION('ref_axis',(1.,0.,0.)); #468779=DIRECTION('center_axis',(0.,0.,-1.)); #468780=DIRECTION('ref_axis',(-1.,0.,0.)); #468781=DIRECTION('',(-1.,0.,0.)); #468782=DIRECTION('center_axis',(0.,0.,-1.)); #468783=DIRECTION('ref_axis',(-1.,0.,0.)); #468784=DIRECTION('',(-1.,0.,0.)); #468785=DIRECTION('center_axis',(0.,-0.707106781186546,0.707106781186549)); #468786=DIRECTION('ref_axis',(1.,0.,0.)); #468787=DIRECTION('',(1.,0.,0.)); #468788=DIRECTION('',(-1.,0.,0.)); #468789=DIRECTION('center_axis',(0.,-1.,0.)); #468790=DIRECTION('ref_axis',(1.,0.,0.)); #468791=DIRECTION('center_axis',(0.,0.707106781186546,0.707106781186549)); #468792=DIRECTION('ref_axis',(1.,0.,0.)); #468793=DIRECTION('',(-1.,0.,0.)); #468794=DIRECTION('',(1.,0.,0.)); #468795=DIRECTION('center_axis',(0.,1.,0.)); #468796=DIRECTION('ref_axis',(-1.,0.,0.)); #468797=DIRECTION('center_axis',(0.,0.,-1.)); #468798=DIRECTION('ref_axis',(1.,0.,0.)); #468799=DIRECTION('center_axis',(0.,0.,-1.)); #468800=DIRECTION('ref_axis',(1.,0.,0.)); #468801=DIRECTION('center_axis',(0.,0.,-1.)); #468802=DIRECTION('ref_axis',(-1.,0.,0.)); #468803=DIRECTION('center_axis',(0.,0.,-1.)); #468804=DIRECTION('ref_axis',(1.,0.,0.)); #468805=DIRECTION('center_axis',(0.,0.,-1.)); #468806=DIRECTION('ref_axis',(-1.83697019872103E-16,1.,0.)); #468807=DIRECTION('center_axis',(0.,0.,-1.)); #468808=DIRECTION('ref_axis',(1.,0.,0.)); #468809=DIRECTION('',(0.,0.,1.)); #468810=DIRECTION('center_axis',(0.86112779872168,-0.508388546555441,0.)); #468811=DIRECTION('ref_axis',(0.,0.,-1.)); #468812=DIRECTION('',(-0.50838854655544,-0.86112779872168,0.)); #468813=DIRECTION('',(0.,0.,-1.)); #468814=DIRECTION('',(0.50838854655544,0.86112779872168,0.)); #468815=DIRECTION('',(0.,0.,1.)); #468816=DIRECTION('center_axis',(0.,0.,-1.)); #468817=DIRECTION('ref_axis',(1.,0.,0.)); #468818=DIRECTION('center_axis',(0.,0.,-1.)); #468819=DIRECTION('ref_axis',(1.,0.,0.)); #468820=DIRECTION('',(0.,0.,1.)); #468821=DIRECTION('center_axis',(0.,0.,1.)); #468822=DIRECTION('ref_axis',(1.,0.,0.)); #468823=DIRECTION('center_axis',(0.861127798721681,0.508388546555439,0.)); #468824=DIRECTION('ref_axis',(0.,0.,-1.)); #468825=DIRECTION('',(0.508388546555439,-0.861127798721681,0.)); #468826=DIRECTION('',(0.,0.,-1.)); #468827=DIRECTION('',(-0.508388546555439,0.861127798721681,0.)); #468828=DIRECTION('',(0.,0.,1.)); #468829=DIRECTION('center_axis',(0.,-1.,0.)); #468830=DIRECTION('ref_axis',(-1.,0.,0.)); #468831=DIRECTION('',(-1.,0.,0.)); #468832=DIRECTION('',(0.,0.,1.)); #468833=DIRECTION('',(1.,0.,0.)); #468834=DIRECTION('center_axis',(-0.86112779872168,-0.508388546555441,0.)); #468835=DIRECTION('ref_axis',(0.,0.,1.)); #468836=DIRECTION('',(-0.50838854655544,0.86112779872168,0.)); #468837=DIRECTION('',(0.,0.,-1.)); #468838=DIRECTION('',(0.50838854655544,-0.86112779872168,0.)); #468839=DIRECTION('center_axis',(0.,1.,0.)); #468840=DIRECTION('ref_axis',(1.,0.,0.)); #468841=DIRECTION('',(-1.,0.,0.)); #468842=DIRECTION('',(1.,0.,0.)); #468843=DIRECTION('center_axis',(-0.861127798721681,0.508388546555439,0.)); #468844=DIRECTION('ref_axis',(0.,0.,1.)); #468845=DIRECTION('',(0.508388546555439,0.861127798721681,0.)); #468846=DIRECTION('',(0.,0.,-1.)); #468847=DIRECTION('',(-0.508388546555439,-0.861127798721681,0.)); #468848=DIRECTION('center_axis',(0.,0.,-1.)); #468849=DIRECTION('ref_axis',(1.,0.,0.)); #468850=DIRECTION('center_axis',(0.,0.,1.)); #468851=DIRECTION('ref_axis',(1.,0.,0.)); #468852=DIRECTION('center_axis',(0.,0.,-1.)); #468853=DIRECTION('ref_axis',(1.,0.,0.)); #468854=DIRECTION('center_axis',(0.,0.,1.)); #468855=DIRECTION('ref_axis',(1.,0.,0.)); #468856=DIRECTION('center_axis',(0.,0.,1.)); #468857=DIRECTION('ref_axis',(1.,0.,0.)); #468858=DIRECTION('',(0.707106781186548,8.65956056235493E-17,-0.707106781186548)); #468859=DIRECTION('center_axis',(0.,0.,-1.)); #468860=DIRECTION('ref_axis',(1.,0.,0.)); #468861=DIRECTION('center_axis',(0.,0.,1.)); #468862=DIRECTION('ref_axis',(1.,0.,0.)); #468863=DIRECTION('',(1.,0.,0.)); #468864=DIRECTION('center_axis',(0.,0.,-1.)); #468865=DIRECTION('ref_axis',(1.,0.,0.)); #468866=DIRECTION('',(-1.,0.,0.)); #468867=DIRECTION('center_axis',(0.,0.,-1.)); #468868=DIRECTION('ref_axis',(1.,0.,0.)); #468869=DIRECTION('center_axis',(0.,0.,1.)); #468870=DIRECTION('ref_axis',(1.,0.,0.)); #468871=DIRECTION('center_axis',(0.,0.,1.)); #468872=DIRECTION('ref_axis',(-1.,0.,0.)); #468873=DIRECTION('',(0.866025403784439,0.5,0.)); #468874=DIRECTION('center_axis',(0.,0.,1.)); #468875=DIRECTION('ref_axis',(1.,0.,0.)); #468876=DIRECTION('',(-0.866025403784438,-0.500000000000001,0.)); #468877=DIRECTION('center_axis',(0.,0.,-1.)); #468878=DIRECTION('ref_axis',(1.,0.,0.)); #468879=DIRECTION('',(0.,0.,-1.)); #468880=DIRECTION('center_axis',(0.,0.,-1.)); #468881=DIRECTION('ref_axis',(1.,0.,0.)); #468882=DIRECTION('center_axis',(0.,0.,1.)); #468883=DIRECTION('ref_axis',(1.,0.,0.)); #468884=DIRECTION('',(0.707106781186548,8.65956056235493E-17,-0.707106781186548)); #468885=DIRECTION('center_axis',(0.,0.,-1.)); #468886=DIRECTION('ref_axis',(1.,0.,0.)); #468887=DIRECTION('center_axis',(0.,0.,-1.)); #468888=DIRECTION('ref_axis',(1.,0.,0.)); #468889=DIRECTION('',(0.,0.,-1.)); #468890=DIRECTION('center_axis',(0.,0.,-1.)); #468891=DIRECTION('ref_axis',(1.,0.,0.)); #468892=DIRECTION('center_axis',(0.,0.,-1.)); #468893=DIRECTION('ref_axis',(1.,0.,0.)); #468894=DIRECTION('center_axis',(0.,0.,-1.)); #468895=DIRECTION('ref_axis',(1.,0.,0.)); #468896=DIRECTION('',(0.707106781186547,-8.65956056235494E-17,0.707106781186547)); #468897=DIRECTION('center_axis',(0.,0.,1.)); #468898=DIRECTION('ref_axis',(1.,0.,0.)); #468899=DIRECTION('center_axis',(0.,0.,1.)); #468900=DIRECTION('ref_axis',(1.,0.,0.)); #468901=DIRECTION('center_axis',(0.,0.,-1.)); #468902=DIRECTION('ref_axis',(1.,0.,0.)); #468903=DIRECTION('center_axis',(0.,0.,-1.)); #468904=DIRECTION('ref_axis',(1.,0.,0.)); #468905=DIRECTION('center_axis',(0.,0.,-1.)); #468906=DIRECTION('ref_axis',(1.,0.,0.)); #468907=DIRECTION('',(-0.866025403784439,-0.5,0.)); #468908=DIRECTION('center_axis',(0.,0.,-1.)); #468909=DIRECTION('ref_axis',(-1.,0.,0.)); #468910=DIRECTION('',(0.866025403784438,0.500000000000001,0.)); #468911=DIRECTION('center_axis',(0.,0.,-1.)); #468912=DIRECTION('ref_axis',(1.,0.,0.)); #468913=DIRECTION('center_axis',(0.,0.,1.)); #468914=DIRECTION('ref_axis',(1.,0.,0.)); #468915=DIRECTION('',(0.,0.,1.)); #468916=DIRECTION('center_axis',(0.,0.,1.)); #468917=DIRECTION('ref_axis',(1.,0.,0.)); #468918=DIRECTION('center_axis',(0.,0.,-1.)); #468919=DIRECTION('ref_axis',(1.,0.,0.)); #468920=DIRECTION('',(0.707106781186547,-8.65956056235494E-17,0.707106781186547)); #468921=DIRECTION('center_axis',(0.,0.,1.)); #468922=DIRECTION('ref_axis',(1.,0.,0.)); #468923=DIRECTION('center_axis',(0.,0.,1.)); #468924=DIRECTION('ref_axis',(1.,0.,0.)); #468925=DIRECTION('',(0.,0.,1.)); #468926=DIRECTION('center_axis',(0.,0.,1.)); #468927=DIRECTION('ref_axis',(1.,0.,0.)); #468928=DIRECTION('center_axis',(0.353553390593277,-0.612372435695798,-0.707106781186543)); #468929=DIRECTION('ref_axis',(-0.894427190999913,0.,-0.447213595499964)); #468930=DIRECTION('',(-0.866025403784438,-0.500000000000001,0.)); #468931=DIRECTION('center_axis',(0.,0.,-1.)); #468932=DIRECTION('ref_axis',(1.,0.,0.)); #468933=DIRECTION('center_axis',(0.,0.,1.)); #468934=DIRECTION('ref_axis',(1.,0.,0.)); #468935=DIRECTION('center_axis',(0.500000000000001,-0.866025403784438,0.)); #468936=DIRECTION('ref_axis',(0.866025403784438,0.500000000000001,0.)); #468937=DIRECTION('',(0.,0.,1.)); #468938=DIRECTION('',(0.866025403784438,0.500000000000001,0.)); #468939=DIRECTION('',(0.,0.,1.)); #468940=DIRECTION('center_axis',(0.,0.,1.)); #468941=DIRECTION('ref_axis',(1.,0.,0.)); #468942=DIRECTION('center_axis',(0.,0.,-1.)); #468943=DIRECTION('ref_axis',(1.,0.,0.)); #468944=DIRECTION('center_axis',(0.353553390593274,-0.612372435695793,0.707106781186549)); #468945=DIRECTION('ref_axis',(0.894427190999916,0.,-0.447213595499957)); #468946=DIRECTION('center_axis',(0.,0.,1.)); #468947=DIRECTION('ref_axis',(1.,0.,0.)); #468948=DIRECTION('center_axis',(0.,0.,1.)); #468949=DIRECTION('ref_axis',(-1.,0.,0.)); #468950=DIRECTION('center_axis',(0.,0.,-1.)); #468951=DIRECTION('ref_axis',(-1.,0.,0.)); #468952=DIRECTION('center_axis',(-0.353553390593273,0.612372435695794,0.707106781186548)); #468953=DIRECTION('ref_axis',(0.894427190999916,0.,0.447213595499957)); #468954=DIRECTION('',(-0.866025403784439,-0.5,0.)); #468955=DIRECTION('center_axis',(0.,0.,1.)); #468956=DIRECTION('ref_axis',(-0.5,0.866025403784438,0.)); #468957=DIRECTION('',(0.,0.,1.)); #468958=DIRECTION('center_axis',(0.,0.,1.)); #468959=DIRECTION('ref_axis',(-1.,0.,0.)); #468960=DIRECTION('',(0.,0.,1.)); #468961=DIRECTION('center_axis',(-0.353553390593276,0.612372435695799,-0.707106781186542)); #468962=DIRECTION('ref_axis',(-0.894427190999913,0.,0.447213595499963)); #468963=DIRECTION('',(0.866025403784439,0.5,0.)); #468964=DIRECTION('center_axis',(0.,0.,-1.)); #468965=DIRECTION('ref_axis',(-1.,0.,0.)); #468966=DIRECTION('center_axis',(-0.5,0.866025403784439,0.)); #468967=DIRECTION('ref_axis',(-0.866025403784439,-0.5,0.)); #468968=DIRECTION('center_axis',(0.,0.,1.)); #468969=DIRECTION('ref_axis',(1.,0.,0.)); #468970=DIRECTION('center_axis',(0.,0.,1.)); #468971=DIRECTION('ref_axis',(1.,0.,0.)); #468972=DIRECTION('center_axis',(0.,0.,-1.)); #468973=DIRECTION('ref_axis',(-1.,0.,0.)); #468974=DIRECTION('',(1.,0.,0.)); #468975=DIRECTION('center_axis',(0.,0.,-1.)); #468976=DIRECTION('ref_axis',(1.,0.,0.)); #468977=DIRECTION('',(-1.,0.,0.)); #468978=DIRECTION('center_axis',(0.,0.,1.)); #468979=DIRECTION('ref_axis',(1.,0.,0.)); #468980=DIRECTION('center_axis',(0.,0.,-1.)); #468981=DIRECTION('ref_axis',(-1.,0.,0.)); #468982=DIRECTION('center_axis',(0.,0.,-1.)); #468983=DIRECTION('ref_axis',(1.,0.,0.)); #468984=DIRECTION('',(1.,0.,0.)); #468985=DIRECTION('center_axis',(0.,0.,1.)); #468986=DIRECTION('ref_axis',(1.,0.,0.)); #468987=DIRECTION('',(-1.,0.,0.)); #468988=DIRECTION('center_axis',(0.,0.,-1.)); #468989=DIRECTION('ref_axis',(1.,0.,0.)); #468990=DIRECTION('',(0.,0.,-1.)); #468991=DIRECTION('',(0.,0.,-1.)); #468992=DIRECTION('center_axis',(0.,-1.,0.)); #468993=DIRECTION('ref_axis',(-1.,0.,0.)); #468994=DIRECTION('',(1.,0.,0.)); #468995=DIRECTION('',(0.,0.,-1.)); #468996=DIRECTION('center_axis',(0.,0.,-1.)); #468997=DIRECTION('ref_axis',(1.,0.,0.)); #468998=DIRECTION('center_axis',(0.,0.,1.)); #468999=DIRECTION('ref_axis',(1.,0.,0.)); #469000=DIRECTION('',(0.,0.,-1.)); #469001=DIRECTION('center_axis',(0.,1.,0.)); #469002=DIRECTION('ref_axis',(1.,0.,0.)); #469003=DIRECTION('',(-1.,0.,0.)); #469004=DIRECTION('center_axis',(0.,-1.,0.)); #469005=DIRECTION('ref_axis',(-1.,0.,0.)); #469006=DIRECTION('',(1.,0.,0.)); #469007=DIRECTION('',(0.,0.,-1.)); #469008=DIRECTION('',(0.,0.,-1.)); #469009=DIRECTION('center_axis',(0.,0.,-1.)); #469010=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #469011=DIRECTION('',(0.,0.,-1.)); #469012=DIRECTION('center_axis',(0.,1.,0.)); #469013=DIRECTION('ref_axis',(1.,0.,0.)); #469014=DIRECTION('',(-1.,0.,0.)); #469015=DIRECTION('',(0.,0.,-1.)); #469016=DIRECTION('center_axis',(0.,0.,-1.)); #469017=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #469018=DIRECTION('center_axis',(0.,0.,1.)); #469019=DIRECTION('ref_axis',(1.,0.,0.)); #469020=DIRECTION('center_axis',(0.,0.,1.)); #469021=DIRECTION('ref_axis',(1.,0.,0.)); #469022=DIRECTION('',(1.,0.,0.)); #469023=DIRECTION('center_axis',(0.,0.,-1.)); #469024=DIRECTION('ref_axis',(1.,0.,0.)); #469025=DIRECTION('',(-1.,0.,0.)); #469026=DIRECTION('center_axis',(0.,0.,-1.)); #469027=DIRECTION('ref_axis',(1.,0.,0.)); #469028=DIRECTION('center_axis',(0.,0.,1.)); #469029=DIRECTION('ref_axis',(1.,0.,0.)); #469030=DIRECTION('',(0.,0.,1.)); #469031=DIRECTION('',(0.,0.,-1.)); #469032=DIRECTION('center_axis',(0.,-1.,0.)); #469033=DIRECTION('ref_axis',(1.,0.,0.)); #469034=DIRECTION('',(0.,0.,1.)); #469035=DIRECTION('center_axis',(0.,0.,1.)); #469036=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #469037=DIRECTION('',(0.,0.,-1.)); #469038=DIRECTION('center_axis',(0.,1.,0.)); #469039=DIRECTION('ref_axis',(-1.,0.,0.)); #469040=DIRECTION('center_axis',(0.,0.,-1.)); #469041=DIRECTION('ref_axis',(-1.,0.,0.)); #469042=DIRECTION('center_axis',(0.,0.,-1.)); #469043=DIRECTION('ref_axis',(1.,0.,0.)); #469044=DIRECTION('',(1.,-1.98254111540206E-16,0.)); #469045=DIRECTION('',(0.,-1.,0.)); #469046=DIRECTION('center_axis',(-1.98254111540206E-16,-1.,0.)); #469047=DIRECTION('ref_axis',(1.,-1.98254111540206E-16,0.)); #469048=DIRECTION('',(1.,-1.98254111540206E-16,0.)); #469049=DIRECTION('',(0.,0.,1.)); #469050=DIRECTION('',(1.,-1.98254111540206E-16,0.)); #469051=DIRECTION('',(0.,0.,1.)); #469052=DIRECTION('center_axis',(0.,0.,-1.)); #469053=DIRECTION('ref_axis',(-1.,0.,0.)); #469054=DIRECTION('center_axis',(0.,0.,-1.)); #469055=DIRECTION('ref_axis',(1.,0.,0.)); #469056=DIRECTION('',(0.,1.,0.)); #469057=DIRECTION('center_axis',(0.,0.,-1.)); #469058=DIRECTION('ref_axis',(-1.,0.,0.)); #469059=DIRECTION('center_axis',(0.,0.,-1.)); #469060=DIRECTION('ref_axis',(1.,0.,0.)); #469061=DIRECTION('',(-1.,0.,0.)); #469062=DIRECTION('',(0.,1.,0.)); #469063=DIRECTION('center_axis',(0.,1.,0.)); #469064=DIRECTION('ref_axis',(-1.,0.,0.)); #469065=DIRECTION('',(-1.,0.,0.)); #469066=DIRECTION('',(0.,0.,1.)); #469067=DIRECTION('',(-1.,0.,0.)); #469068=DIRECTION('',(0.,0.,1.)); #469069=DIRECTION('center_axis',(0.,0.,-1.)); #469070=DIRECTION('ref_axis',(-1.,0.,0.)); #469071=DIRECTION('center_axis',(0.,0.,-1.)); #469072=DIRECTION('ref_axis',(1.,0.,0.)); #469073=DIRECTION('',(0.,-1.,0.)); #469074=DIRECTION('center_axis',(0.,0.,-1.)); #469075=DIRECTION('ref_axis',(-1.,0.,0.)); #469076=DIRECTION('',(1.,1.98254111540206E-16,0.)); #469077=DIRECTION('center_axis',(0.,0.,-1.)); #469078=DIRECTION('ref_axis',(1.,0.,0.)); #469079=DIRECTION('',(0.,1.,0.)); #469080=DIRECTION('center_axis',(0.,0.,-1.)); #469081=DIRECTION('ref_axis',(-1.,0.,0.)); #469082=DIRECTION('',(0.,1.,0.)); #469083=DIRECTION('center_axis',(0.,0.,-1.)); #469084=DIRECTION('ref_axis',(1.,0.,0.)); #469085=DIRECTION('',(-1.,0.,0.)); #469086=DIRECTION('center_axis',(0.,1.,0.)); #469087=DIRECTION('ref_axis',(-1.,0.,0.)); #469088=DIRECTION('',(-1.,0.,0.)); #469089=DIRECTION('',(0.,0.,1.)); #469090=DIRECTION('',(-1.,0.,0.)); #469091=DIRECTION('',(0.,0.,1.)); #469092=DIRECTION('center_axis',(0.,0.,-1.)); #469093=DIRECTION('ref_axis',(-1.,0.,0.)); #469094=DIRECTION('center_axis',(0.,0.,-1.)); #469095=DIRECTION('ref_axis',(1.,0.,0.)); #469096=DIRECTION('',(0.,-1.,0.)); #469097=DIRECTION('center_axis',(1.98254111540206E-16,-1.,0.)); #469098=DIRECTION('ref_axis',(1.,1.98254111540206E-16,0.)); #469099=DIRECTION('',(1.,1.98254111540206E-16,0.)); #469100=DIRECTION('',(0.,0.,1.)); #469101=DIRECTION('',(1.,1.98254111540206E-16,0.)); #469102=DIRECTION('',(0.,0.,1.)); #469103=DIRECTION('center_axis',(0.,0.,-1.)); #469104=DIRECTION('ref_axis',(-1.,0.,0.)); #469105=DIRECTION('',(0.,-1.,0.)); #469106=DIRECTION('center_axis',(0.,0.,-1.)); #469107=DIRECTION('ref_axis',(1.,0.,0.)); #469108=DIRECTION('center_axis',(0.,0.,-1.)); #469109=DIRECTION('ref_axis',(-1.,0.,0.)); #469110=DIRECTION('',(0.,1.,0.)); #469111=DIRECTION('',(1.,2.64338815386789E-16,0.)); #469112=DIRECTION('',(0.,-1.,0.)); #469113=DIRECTION('center_axis',(0.,0.,-1.)); #469114=DIRECTION('ref_axis',(-1.,0.,0.)); #469115=DIRECTION('',(0.,1.,0.)); #469116=DIRECTION('',(1.,-1.32169407693395E-16,0.)); #469117=DIRECTION('',(0.,-1.,0.)); #469118=DIRECTION('center_axis',(1.,0.,0.)); #469119=DIRECTION('ref_axis',(0.,1.,0.)); #469120=DIRECTION('',(0.,1.,0.)); #469121=DIRECTION('',(0.,0.,1.)); #469122=DIRECTION('',(0.,1.,0.)); #469123=DIRECTION('',(0.,0.,1.)); #469124=DIRECTION('center_axis',(2.64338815386789E-16,-1.,0.)); #469125=DIRECTION('ref_axis',(1.,2.64338815386789E-16,0.)); #469126=DIRECTION('',(1.,0.,0.)); #469127=DIRECTION('',(0.,0.,1.)); #469128=DIRECTION('center_axis',(-1.,0.,0.)); #469129=DIRECTION('ref_axis',(0.,-1.,0.)); #469130=DIRECTION('',(0.,-1.,0.)); #469131=DIRECTION('',(0.,0.,1.)); #469132=DIRECTION('',(0.,-1.,0.)); #469133=DIRECTION('center_axis',(-1.32169407693394E-16,1.,0.)); #469134=DIRECTION('ref_axis',(-1.,-1.32169407693394E-16,0.)); #469135=DIRECTION('',(-1.,-2.64338815386789E-16,0.)); #469136=DIRECTION('',(-1.,-1.32169407693394E-16,0.)); #469137=DIRECTION('center_axis',(0.,0.,-1.)); #469138=DIRECTION('ref_axis',(-1.,0.,0.)); #469139=DIRECTION('center_axis',(1.32169407693394E-16,1.,0.)); #469140=DIRECTION('ref_axis',(-1.,1.32169407693394E-16,0.)); #469141=DIRECTION('',(-1.,2.64338815386789E-16,0.)); #469142=DIRECTION('',(0.,0.,1.)); #469143=DIRECTION('',(-1.,1.32169407693394E-16,0.)); #469144=DIRECTION('',(0.,0.,1.)); #469145=DIRECTION('center_axis',(0.,0.,-1.)); #469146=DIRECTION('ref_axis',(-1.,0.,0.)); #469147=DIRECTION('',(0.,-1.,0.)); #469148=DIRECTION('',(0.,1.,0.)); #469149=DIRECTION('center_axis',(1.,0.,0.)); #469150=DIRECTION('ref_axis',(0.,1.,0.)); #469151=DIRECTION('',(0.,1.,0.)); #469152=DIRECTION('',(0.,0.,1.)); #469153=DIRECTION('center_axis',(-1.32169407693395E-16,-1.,0.)); #469154=DIRECTION('ref_axis',(1.,-1.32169407693395E-16,0.)); #469155=DIRECTION('',(1.,0.,0.)); #469156=DIRECTION('',(0.,0.,1.)); #469157=DIRECTION('center_axis',(-1.,0.,0.)); #469158=DIRECTION('ref_axis',(0.,-1.,0.)); #469159=DIRECTION('',(0.,-1.,0.)); #469160=DIRECTION('center_axis',(0.,0.,1.)); #469161=DIRECTION('ref_axis',(1.,0.,0.)); #469162=DIRECTION('',(1.,0.,0.)); #469163=DIRECTION('',(3.55292653368372E-15,1.,0.)); #469164=DIRECTION('',(-1.,-2.64338815386789E-16,0.)); #469165=DIRECTION('center_axis',(0.,0.,1.)); #469166=DIRECTION('ref_axis',(1.,0.,0.)); #469167=DIRECTION('',(1.,0.,0.)); #469168=DIRECTION('',(7.65684800472817E-17,1.,0.)); #469169=DIRECTION('',(-1.,2.64338815386789E-16,0.)); #469170=DIRECTION('center_axis',(0.,0.,1.)); #469171=DIRECTION('ref_axis',(1.,0.,0.)); #469172=DIRECTION('',(-1.,2.64338815386789E-16,0.)); #469173=DIRECTION('',(-1.04497839225992E-16,-1.,0.)); #469174=DIRECTION('',(1.,0.,0.)); #469175=DIRECTION('center_axis',(0.,0.707106781186548,-0.707106781186547)); #469176=DIRECTION('ref_axis',(-1.,0.,0.)); #469177=DIRECTION('',(0.,0.707106781186547,0.707106781186548)); #469178=DIRECTION('',(1.,0.,0.)); #469179=DIRECTION('',(5.41420914665797E-17,-0.707106781186547,-0.707106781186548)); #469180=DIRECTION('',(-1.,0.,0.)); #469181=DIRECTION('center_axis',(0.,0.,1.)); #469182=DIRECTION('ref_axis',(1.,0.,0.)); #469183=DIRECTION('',(-1.,-2.64338815386789E-16,0.)); #469184=DIRECTION('',(7.65684800472817E-17,-1.,0.)); #469185=DIRECTION('center_axis',(1.86915768890817E-16,-0.707106781186548, -0.707106781186547)); #469186=DIRECTION('ref_axis',(-1.,0.,-2.64338815386789E-16)); #469187=DIRECTION('',(5.41420914665797E-17,-0.707106781186547,0.707106781186548)); #469188=DIRECTION('',(0.,0.707106781186547,-0.707106781186548)); #469189=DIRECTION('',(1.,2.64338815386789E-16,0.)); #469190=DIRECTION('center_axis',(0.,0.707106781186548,-0.707106781186547)); #469191=DIRECTION('ref_axis',(-1.,0.,0.)); #469192=DIRECTION('',(5.41420914665797E-17,0.707106781186547,0.707106781186548)); #469193=DIRECTION('',(0.,-0.707106781186547,-0.707106781186548)); #469194=DIRECTION('',(-1.,0.,0.)); #469195=DIRECTION('center_axis',(-1.86915768890818E-16,-0.707106781186548, -0.707106781186547)); #469196=DIRECTION('ref_axis',(-1.,0.,2.64338815386789E-16)); #469197=DIRECTION('',(0.,-0.707106781186547,0.707106781186548)); #469198=DIRECTION('',(5.41420914665797E-17,0.707106781186547,-0.707106781186548)); #469199=DIRECTION('',(1.,-2.64338815386789E-16,0.)); #469200=DIRECTION('center_axis',(1.86915768890818E-16,-0.707106781186548, 0.707106781186547)); #469201=DIRECTION('ref_axis',(1.,0.,-2.64338815386789E-16)); #469202=DIRECTION('',(-5.41420914665797E-17,0.707106781186547,0.707106781186548)); #469203=DIRECTION('',(-1.,-2.64338815386789E-16,0.)); #469204=DIRECTION('',(0.,-0.707106781186547,-0.707106781186548)); #469205=DIRECTION('',(1.,2.64338815386789E-16,0.)); #469206=DIRECTION('center_axis',(2.64338815386789E-16,-1.,0.)); #469207=DIRECTION('ref_axis',(1.,2.64338815386789E-16,0.)); #469208=DIRECTION('',(0.,0.,1.)); #469209=DIRECTION('',(0.,0.,1.)); #469210=DIRECTION('center_axis',(0.,0.707106781186548,0.707106781186547)); #469211=DIRECTION('ref_axis',(1.,0.,0.)); #469212=DIRECTION('',(-5.41420914665797E-17,0.707106781186547,-0.707106781186548)); #469213=DIRECTION('',(-1.,0.,0.)); #469214=DIRECTION('',(0.,-0.707106781186547,0.707106781186548)); #469215=DIRECTION('',(1.,0.,0.)); #469216=DIRECTION('center_axis',(0.,0.,-1.)); #469217=DIRECTION('ref_axis',(-1.,0.,0.)); #469218=DIRECTION('',(0.,-1.,0.)); #469219=DIRECTION('',(7.65684800472817E-17,-1.,0.)); #469220=DIRECTION('center_axis',(0.,0.,1.)); #469221=DIRECTION('ref_axis',(1.,0.,0.)); #469222=DIRECTION('',(7.65684800472817E-17,-1.,0.)); #469223=DIRECTION('center_axis',(0.,0.707106781186548,0.707106781186547)); #469224=DIRECTION('ref_axis',(1.,0.,0.)); #469225=DIRECTION('',(-5.41420914665797E-17,-0.707106781186547,0.707106781186548)); #469226=DIRECTION('',(1.,0.,0.)); #469227=DIRECTION('',(0.,0.707106781186547,-0.707106781186548)); #469228=DIRECTION('',(-1.,0.,0.)); #469229=DIRECTION('center_axis',(0.,1.,0.)); #469230=DIRECTION('ref_axis',(-1.,0.,0.)); #469231=DIRECTION('',(0.,0.,1.)); #469232=DIRECTION('',(0.,0.,1.)); #469233=DIRECTION('center_axis',(-1.86915768890818E-16,-0.707106781186548, 0.707106781186547)); #469234=DIRECTION('ref_axis',(1.,0.,2.6433881538679E-16)); #469235=DIRECTION('',(-5.41420914665797E-17,-0.707106781186547,-0.707106781186548)); #469236=DIRECTION('',(1.,-2.64338815386789E-16,0.)); #469237=DIRECTION('',(0.,0.707106781186547,0.707106781186548)); #469238=DIRECTION('',(-1.,2.64338815386789E-16,0.)); #469239=DIRECTION('center_axis',(0.,0.,-1.)); #469240=DIRECTION('ref_axis',(-1.,0.,0.)); #469241=DIRECTION('',(0.,1.,0.)); #469242=DIRECTION('',(7.65684800472817E-17,1.,0.)); #469243=DIRECTION('center_axis',(0.,0.,1.)); #469244=DIRECTION('ref_axis',(1.,0.,0.)); #469245=DIRECTION('center_axis',(0.,0.,1.)); #469246=DIRECTION('ref_axis',(1.,0.,0.)); #469247=DIRECTION('',(7.65684800472817E-17,1.,0.)); #469248=DIRECTION('center_axis',(0.,0.,-1.)); #469249=DIRECTION('ref_axis',(1.,0.,0.)); #469250=DIRECTION('center_axis',(0.,0.,-1.)); #469251=DIRECTION('ref_axis',(1.,0.,0.)); #469252=DIRECTION('',(0.,0.,-1.)); #469253=DIRECTION('center_axis',(0.,0.,-1.)); #469254=DIRECTION('ref_axis',(1.,0.,0.)); #469255=DIRECTION('center_axis',(0.,0.,-1.)); #469256=DIRECTION('ref_axis',(1.,0.,0.)); #469257=DIRECTION('',(0.,0.,-1.)); #469258=DIRECTION('center_axis',(-2.64338815386789E-16,-1.,0.)); #469259=DIRECTION('ref_axis',(1.,-2.64338815386789E-16,0.)); #469260=DIRECTION('',(0.,0.,1.)); #469261=DIRECTION('',(0.,0.,1.)); #469262=DIRECTION('center_axis',(-1.,7.65684800472817E-17,0.)); #469263=DIRECTION('ref_axis',(-7.65684800472817E-17,-1.,0.)); #469264=DIRECTION('',(0.,0.,1.)); #469265=DIRECTION('',(0.,0.,-1.)); #469266=DIRECTION('',(-7.65684800472817E-17,-1.,0.)); #469267=DIRECTION('center_axis',(-1.,0.,0.)); #469268=DIRECTION('ref_axis',(0.,-1.,0.)); #469269=DIRECTION('',(1.04497839225992E-16,1.,0.)); #469270=DIRECTION('',(0.,0.,1.)); #469271=DIRECTION('',(0.,-1.,0.)); #469272=DIRECTION('',(0.,0.,1.)); #469273=DIRECTION('',(0.,-1.,0.)); #469274=DIRECTION('',(0.,0.,1.)); #469275=DIRECTION('',(-1.04497839225992E-16,-1.,0.)); #469276=DIRECTION('',(0.,0.,-1.)); #469277=DIRECTION('center_axis',(0.,1.,0.)); #469278=DIRECTION('ref_axis',(-1.,0.,0.)); #469279=DIRECTION('',(0.,0.,1.)); #469280=DIRECTION('',(0.,0.,1.)); #469281=DIRECTION('center_axis',(1.,0.,0.)); #469282=DIRECTION('ref_axis',(0.,1.,0.)); #469283=DIRECTION('',(-3.55292653368372E-15,-1.,0.)); #469284=DIRECTION('',(0.,0.,1.)); #469285=DIRECTION('',(0.,1.,0.)); #469286=DIRECTION('',(0.,0.,1.)); #469287=DIRECTION('',(0.,1.,0.)); #469288=DIRECTION('',(0.,0.,1.)); #469289=DIRECTION('',(3.55292653368372E-15,1.,0.)); #469290=DIRECTION('',(0.,0.,-1.)); #469291=DIRECTION('center_axis',(0.,0.,-1.)); #469292=DIRECTION('ref_axis',(-1.,0.,0.)); #469293=DIRECTION('center_axis',(0.,0.,-1.)); #469294=DIRECTION('ref_axis',(1.,0.,0.)); #469295=DIRECTION('center_axis',(0.,0.,-1.)); #469296=DIRECTION('ref_axis',(1.,0.,0.)); #469297=DIRECTION('center_axis',(0.,0.,-1.)); #469298=DIRECTION('ref_axis',(-1.,0.,0.)); #469299=DIRECTION('center_axis',(0.,0.,-1.)); #469300=DIRECTION('ref_axis',(1.,0.,0.)); #469301=DIRECTION('',(1.,-6.98253474607017E-17,0.)); #469302=DIRECTION('',(-1.,0.,0.)); #469303=DIRECTION('center_axis',(1.,7.65684800472817E-17,0.)); #469304=DIRECTION('ref_axis',(-7.65684800472817E-17,1.,0.)); #469305=DIRECTION('',(0.,0.,1.)); #469306=DIRECTION('',(0.,0.,-1.)); #469307=DIRECTION('',(-7.65684800472817E-17,1.,0.)); #469308=DIRECTION('center_axis',(0.,0.,-1.)); #469309=DIRECTION('ref_axis',(-1.,0.,0.)); #469310=DIRECTION('center_axis',(0.,0.,-1.)); #469311=DIRECTION('ref_axis',(1.,0.,0.)); #469312=DIRECTION('center_axis',(0.,0.,-1.)); #469313=DIRECTION('ref_axis',(1.,0.,0.)); #469314=DIRECTION('center_axis',(0.,0.,-1.)); #469315=DIRECTION('ref_axis',(1.,0.,0.)); #469316=DIRECTION('center_axis',(0.,0.,1.)); #469317=DIRECTION('ref_axis',(1.,0.,0.)); #469318=DIRECTION('center_axis',(0.,0.,1.)); #469319=DIRECTION('ref_axis',(1.,0.,0.)); #469320=DIRECTION('',(0.,0.,1.)); #469321=DIRECTION('center_axis',(0.,0.,1.)); #469322=DIRECTION('ref_axis',(1.,0.,0.)); #469323=DIRECTION('center_axis',(0.,0.,1.)); #469324=DIRECTION('ref_axis',(1.,0.,0.)); #469325=DIRECTION('center_axis',(0.,0.,1.)); #469326=DIRECTION('ref_axis',(1.,0.,0.)); #469327=DIRECTION('center_axis',(0.,0.,-1.)); #469328=DIRECTION('ref_axis',(-1.,0.,0.)); #469329=DIRECTION('',(-1.,6.98253474607017E-17,0.)); #469330=DIRECTION('center_axis',(0.,0.,-1.)); #469331=DIRECTION('ref_axis',(-1.,0.,0.)); #469332=DIRECTION('',(1.,0.,0.)); #469333=DIRECTION('center_axis',(0.,0.,-1.)); #469334=DIRECTION('ref_axis',(1.,0.,0.)); #469335=DIRECTION('',(0.,0.,1.)); #469336=DIRECTION('center_axis',(0.,0.,-1.)); #469337=DIRECTION('ref_axis',(1.,0.,0.)); #469338=DIRECTION('center_axis',(0.,1.,0.)); #469339=DIRECTION('ref_axis',(-1.,0.,0.)); #469340=DIRECTION('center_axis',(-6.98253474607017E-17,-1.,0.)); #469341=DIRECTION('ref_axis',(1.,-6.98253474607017E-17,0.)); #469342=DIRECTION('center_axis',(0.,1.,0.)); #469343=DIRECTION('ref_axis',(-1.,0.,0.)); #469344=DIRECTION('',(-1.,0.,0.)); #469345=DIRECTION('',(0.,0.,-1.)); #469346=DIRECTION('',(1.,0.,0.)); #469347=DIRECTION('',(0.,0.,1.)); #469348=DIRECTION('',(-1.,0.,0.)); #469349=DIRECTION('center_axis',(0.,0.,-1.)); #469350=DIRECTION('ref_axis',(1.,0.,0.)); #469351=DIRECTION('center_axis',(0.,0.,1.)); #469352=DIRECTION('ref_axis',(1.,0.,0.)); #469353=DIRECTION('',(0.,0.,-1.)); #469354=DIRECTION('center_axis',(0.,0.,-1.)); #469355=DIRECTION('ref_axis',(1.,0.,0.)); #469356=DIRECTION('center_axis',(0.,0.,-1.)); #469357=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #469358=DIRECTION('center_axis',(0.,0.,-1.)); #469359=DIRECTION('ref_axis',(1.,0.,0.)); #469360=DIRECTION('',(0.,0.,1.)); #469361=DIRECTION('center_axis',(0.,0.,1.)); #469362=DIRECTION('ref_axis',(1.,0.,0.)); #469363=DIRECTION('center_axis',(0.,-1.,0.)); #469364=DIRECTION('ref_axis',(1.,0.,0.)); #469365=DIRECTION('',(1.,0.,0.)); #469366=DIRECTION('',(1.,0.,0.)); #469367=DIRECTION('',(-1.,0.,0.)); #469368=DIRECTION('center_axis',(0.,0.,-1.)); #469369=DIRECTION('ref_axis',(-1.,0.,0.)); #469370=DIRECTION('center_axis',(0.,0.,-1.)); #469371=DIRECTION('ref_axis',(-1.,0.,0.)); #469372=DIRECTION('center_axis',(0.,0.,-1.)); #469373=DIRECTION('ref_axis',(-1.,0.,0.)); #469374=DIRECTION('center_axis',(-0.861127798721681,0.508388546555439,0.)); #469375=DIRECTION('ref_axis',(0.,0.,1.)); #469376=DIRECTION('',(0.508388546555439,0.861127798721681,0.)); #469377=DIRECTION('',(0.,0.,-1.)); #469378=DIRECTION('',(-0.508388546555439,-0.861127798721681,0.)); #469379=DIRECTION('',(0.,0.,1.)); #469380=DIRECTION('center_axis',(0.,0.,-1.)); #469381=DIRECTION('ref_axis',(1.,0.,0.)); #469382=DIRECTION('center_axis',(0.,0.,-1.)); #469383=DIRECTION('ref_axis',(1.,0.,0.)); #469384=DIRECTION('',(0.,0.,1.)); #469385=DIRECTION('center_axis',(0.,0.,1.)); #469386=DIRECTION('ref_axis',(1.,0.,0.)); #469387=DIRECTION('center_axis',(-0.86112779872168,-0.508388546555441,0.)); #469388=DIRECTION('ref_axis',(0.,0.,1.)); #469389=DIRECTION('',(-0.50838854655544,0.86112779872168,0.)); #469390=DIRECTION('',(0.,0.,-1.)); #469391=DIRECTION('',(0.50838854655544,-0.86112779872168,0.)); #469392=DIRECTION('',(0.,0.,1.)); #469393=DIRECTION('center_axis',(0.,1.,0.)); #469394=DIRECTION('ref_axis',(1.,0.,0.)); #469395=DIRECTION('',(1.,0.,0.)); #469396=DIRECTION('',(0.,0.,1.)); #469397=DIRECTION('',(-1.,0.,0.)); #469398=DIRECTION('center_axis',(0.86112779872168,-0.508388546555441,0.)); #469399=DIRECTION('ref_axis',(0.,0.,-1.)); #469400=DIRECTION('',(-0.50838854655544,-0.86112779872168,0.)); #469401=DIRECTION('',(0.,0.,-1.)); #469402=DIRECTION('',(0.50838854655544,0.86112779872168,0.)); #469403=DIRECTION('center_axis',(0.,0.,-1.)); #469404=DIRECTION('ref_axis',(1.,0.,0.)); #469405=DIRECTION('center_axis',(0.,0.,1.)); #469406=DIRECTION('ref_axis',(1.,0.,0.)); #469407=DIRECTION('center_axis',(0.,0.,-1.)); #469408=DIRECTION('ref_axis',(1.,0.,0.)); #469409=DIRECTION('center_axis',(0.861127798721681,0.508388546555439,0.)); #469410=DIRECTION('ref_axis',(0.,0.,-1.)); #469411=DIRECTION('',(0.508388546555439,-0.861127798721681,0.)); #469412=DIRECTION('',(0.,0.,-1.)); #469413=DIRECTION('',(-0.508388546555439,0.861127798721681,0.)); #469414=DIRECTION('center_axis',(0.,-1.,0.)); #469415=DIRECTION('ref_axis',(-1.,0.,0.)); #469416=DIRECTION('',(1.,0.,0.)); #469417=DIRECTION('',(-1.,0.,0.)); #469418=DIRECTION('center_axis',(0.,-1.,0.)); #469419=DIRECTION('ref_axis',(1.,0.,0.)); #469420=DIRECTION('',(-1.,0.,0.)); #469421=DIRECTION('',(0.,0.,1.)); #469422=DIRECTION('',(1.,0.,0.)); #469423=DIRECTION('',(0.,0.,1.)); #469424=DIRECTION('center_axis',(1.,0.,0.)); #469425=DIRECTION('ref_axis',(0.,1.,0.)); #469426=DIRECTION('',(0.,-1.,0.)); #469427=DIRECTION('',(0.,1.,0.)); #469428=DIRECTION('',(0.,0.,1.)); #469429=DIRECTION('center_axis',(0.,1.,0.)); #469430=DIRECTION('ref_axis',(-1.,0.,0.)); #469431=DIRECTION('',(1.,0.,0.)); #469432=DIRECTION('',(-1.,0.,0.)); #469433=DIRECTION('',(0.,0.,1.)); #469434=DIRECTION('center_axis',(-1.,0.,0.)); #469435=DIRECTION('ref_axis',(0.,-1.,0.)); #469436=DIRECTION('',(0.,1.,0.)); #469437=DIRECTION('',(0.,-1.,0.)); #469438=DIRECTION('center_axis',(0.,0.,1.)); #469439=DIRECTION('ref_axis',(1.,0.,0.)); #469440=DIRECTION('center_axis',(0.,0.,-1.)); #469441=DIRECTION('ref_axis',(1.,0.,0.)); #469442=DIRECTION('',(0.,0.,-1.)); #469443=DIRECTION('center_axis',(0.,0.,1.)); #469444=DIRECTION('ref_axis',(1.,0.,0.)); #469445=DIRECTION('center_axis',(0.,-1.,0.)); #469446=DIRECTION('ref_axis',(1.,0.,0.)); #469447=DIRECTION('',(1.,0.,0.)); #469448=DIRECTION('',(0.,0.,1.)); #469449=DIRECTION('',(1.,0.,0.)); #469450=DIRECTION('',(0.,0.,1.)); #469451=DIRECTION('center_axis',(0.,0.,1.)); #469452=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #469453=DIRECTION('center_axis',(0.,0.,1.)); #469454=DIRECTION('ref_axis',(1.,0.,0.)); #469455=DIRECTION('center_axis',(0.,0.,1.)); #469456=DIRECTION('ref_axis',(1.,0.,0.)); #469457=DIRECTION('',(0.,0.,-1.)); #469458=DIRECTION('center_axis',(0.,1.,0.)); #469459=DIRECTION('ref_axis',(-1.,0.,0.)); #469460=DIRECTION('',(-1.,0.,0.)); #469461=DIRECTION('',(-1.,0.,0.)); #469462=DIRECTION('',(0.,0.,-1.)); #469463=DIRECTION('center_axis',(0.,0.,1.)); #469464=DIRECTION('ref_axis',(1.,0.,0.)); #469465=DIRECTION('center_axis',(0.,0.,1.)); #469466=DIRECTION('ref_axis',(1.,0.,0.)); #469467=DIRECTION('center_axis',(0.,0.,1.)); #469468=DIRECTION('ref_axis',(1.,0.,0.)); #469469=DIRECTION('center_axis',(0.,0.,-1.)); #469470=DIRECTION('ref_axis',(1.,0.,0.)); #469471=DIRECTION('center_axis',(0.,0.,-1.)); #469472=DIRECTION('ref_axis',(1.,0.,0.)); #469473=DIRECTION('',(0.,0.,1.)); #469474=DIRECTION('',(1.,0.,0.)); #469475=DIRECTION('',(0.,0.,-1.)); #469476=DIRECTION('',(1.,0.,0.)); #469477=DIRECTION('axis',(0.,0.,1.)); #469478=DIRECTION('refdir',(1.,0.,0.)); #469479=DIRECTION('axis',(0.,0.,1.)); #469480=DIRECTION('refdir',(1.,0.,0.)); #469481=DIRECTION('center_axis',(0.,0.,-1.)); #469482=DIRECTION('ref_axis',(-1.,0.,0.)); #469483=DIRECTION('center_axis',(0.,0.,-1.)); #469484=DIRECTION('ref_axis',(-1.,0.,0.)); #469485=DIRECTION('center_axis',(0.,0.,-1.)); #469486=DIRECTION('ref_axis',(-1.,0.,0.)); #469487=DIRECTION('center_axis',(0.,0.,-1.)); #469488=DIRECTION('ref_axis',(-1.,0.,0.)); #469489=DIRECTION('center_axis',(0.,0.,-1.)); #469490=DIRECTION('ref_axis',(-1.,0.,0.)); #469491=DIRECTION('center_axis',(0.,0.,-1.)); #469492=DIRECTION('ref_axis',(-1.,0.,0.)); #469493=DIRECTION('center_axis',(0.,0.,-1.)); #469494=DIRECTION('ref_axis',(-1.,0.,0.)); #469495=DIRECTION('center_axis',(0.,0.,-1.)); #469496=DIRECTION('ref_axis',(-1.,0.,0.)); #469497=DIRECTION('center_axis',(0.,0.,-1.)); #469498=DIRECTION('ref_axis',(-1.,0.,0.)); #469499=DIRECTION('center_axis',(0.,0.,1.)); #469500=DIRECTION('ref_axis',(1.,0.,0.)); #469501=DIRECTION('',(-4.44089209850063E-16,-1.,0.)); #469502=DIRECTION('center_axis',(0.,0.,1.)); #469503=DIRECTION('ref_axis',(-1.,6.3441315692866E-16,0.)); #469504=DIRECTION('',(1.,-1.48029736616688E-16,0.)); #469505=DIRECTION('center_axis',(0.,0.,1.)); #469506=DIRECTION('ref_axis',(-6.3441315692866E-16,-1.,0.)); #469507=DIRECTION('',(0.,1.,0.)); #469508=DIRECTION('center_axis',(0.,0.,1.)); #469509=DIRECTION('ref_axis',(1.,6.3441315692866E-16,0.)); #469510=DIRECTION('',(-1.,0.,0.)); #469511=DIRECTION('center_axis',(0.,0.,1.)); #469512=DIRECTION('ref_axis',(-9.5161973539299E-16,1.,0.)); #469513=DIRECTION('',(-0.29557883504027,0.0671770079637245,-0.952953515066333)); #469514=DIRECTION('center_axis',(0.,0.,1.)); #469515=DIRECTION('ref_axis',(-1.,0.,0.)); #469516=DIRECTION('',(-0.282744788589118,-0.11576182017847,-0.95218411324421)); #469517=DIRECTION('center_axis',(0.,0.,1.)); #469518=DIRECTION('ref_axis',(-1.,0.,0.)); #469519=DIRECTION('',(-0.197043814465196,-0.329766221077449,-0.923270260875603)); #469520=DIRECTION('center_axis',(0.,0.,1.)); #469521=DIRECTION('ref_axis',(-1.,0.,0.)); #469522=DIRECTION('',(0.233033333753111,-0.29706802864551,-0.925983829079418)); #469523=DIRECTION('center_axis',(0.,0.,1.)); #469524=DIRECTION('ref_axis',(-1.,0.,0.)); #469525=DIRECTION('',(0.295578835040344,-0.0671770079636881,-0.952953515066312)); #469526=DIRECTION('center_axis',(0.,0.,1.)); #469527=DIRECTION('ref_axis',(-1.,0.,0.)); #469528=DIRECTION('center_axis',(0.,0.,1.)); #469529=DIRECTION('ref_axis',(-1.,0.,0.)); #469530=DIRECTION('',(0.295578835040344,0.0671770079637218,-0.95295351506631)); #469531=DIRECTION('center_axis',(0.,0.,1.)); #469532=DIRECTION('ref_axis',(-1.,0.,0.)); #469533=DIRECTION('',(0.225597230150551,0.304207380074086,-0.925507298543269)); #469534=DIRECTION('center_axis',(0.,0.,1.)); #469535=DIRECTION('ref_axis',(-1.,0.,0.)); #469536=DIRECTION('',(-0.225597230150475,0.304207380074093,-0.925507298543285)); #469537=DIRECTION('center_axis',(0.,0.,1.)); #469538=DIRECTION('ref_axis',(-1.,0.,0.)); #469539=DIRECTION('center_axis',(0.,0.,1.)); #469540=DIRECTION('ref_axis',(-1.,0.,0.)); #469541=DIRECTION('center_axis',(0.,0.,-1.)); #469542=DIRECTION('ref_axis',(-1.,0.,0.)); #469543=DIRECTION('',(-0.707106781186549,-8.65956056235495E-17,-0.707106781186547)); #469544=DIRECTION('center_axis',(0.,0.,1.)); #469545=DIRECTION('ref_axis',(-1.,0.,0.)); #469546=DIRECTION('center_axis',(0.,0.,1.)); #469547=DIRECTION('ref_axis',(-1.,0.,0.)); #469548=DIRECTION('center_axis',(0.,0.,-1.)); #469549=DIRECTION('ref_axis',(-1.,0.,0.)); #469550=DIRECTION('',(0.,0.,-1.)); #469551=DIRECTION('center_axis',(0.,0.,1.)); #469552=DIRECTION('ref_axis',(-1.,0.,0.)); #469553=DIRECTION('center_axis',(0.,0.,-1.)); #469554=DIRECTION('ref_axis',(-1.,0.,0.)); #469555=DIRECTION('center_axis',(0.,0.,1.)); #469556=DIRECTION('ref_axis',(-1.,0.,0.)); #469557=DIRECTION('',(0.,0.,-1.)); #469558=DIRECTION('center_axis',(0.,0.,1.)); #469559=DIRECTION('ref_axis',(-1.,0.,0.)); #469560=DIRECTION('center_axis',(0.,0.,1.)); #469561=DIRECTION('ref_axis',(-1.,0.,0.)); #469562=DIRECTION('center_axis',(0.,0.,1.)); #469563=DIRECTION('ref_axis',(-1.,0.,0.)); #469564=DIRECTION('',(0.,0.,-1.)); #469565=DIRECTION('center_axis',(0.,0.,1.)); #469566=DIRECTION('ref_axis',(-1.,0.,0.)); #469567=DIRECTION('center_axis',(0.,0.,1.)); #469568=DIRECTION('ref_axis',(-1.,0.,0.)); #469569=DIRECTION('',(0.,0.,1.)); #469570=DIRECTION('center_axis',(0.,0.,1.)); #469571=DIRECTION('ref_axis',(-1.,0.,0.)); #469572=DIRECTION('center_axis',(0.,0.,-1.)); #469573=DIRECTION('ref_axis',(-1.,0.,0.)); #469574=DIRECTION('center_axis',(0.,0.,-1.)); #469575=DIRECTION('ref_axis',(-1.,0.,0.)); #469576=DIRECTION('center_axis',(0.,0.,1.)); #469577=DIRECTION('ref_axis',(-1.,0.,0.)); #469578=DIRECTION('center_axis',(0.,0.,1.)); #469579=DIRECTION('ref_axis',(-1.,0.,0.)); #469580=DIRECTION('',(0.,0.,1.)); #469581=DIRECTION('center_axis',(0.,0.,1.)); #469582=DIRECTION('ref_axis',(-1.,0.,0.)); #469583=DIRECTION('center_axis',(0.,0.,-1.)); #469584=DIRECTION('ref_axis',(-1.,0.,0.)); #469585=DIRECTION('center_axis',(0.,0.,-1.)); #469586=DIRECTION('ref_axis',(-1.,0.,0.)); #469587=DIRECTION('center_axis',(0.,0.,1.)); #469588=DIRECTION('ref_axis',(-1.,0.,0.)); #469589=DIRECTION('center_axis',(0.,0.,1.)); #469590=DIRECTION('ref_axis',(-1.,0.,0.)); #469591=DIRECTION('',(0.,0.,1.)); #469592=DIRECTION('center_axis',(0.,0.,1.)); #469593=DIRECTION('ref_axis',(-1.,0.,0.)); #469594=DIRECTION('center_axis',(0.,0.,-1.)); #469595=DIRECTION('ref_axis',(-1.,0.,0.)); #469596=DIRECTION('center_axis',(0.,0.,-1.)); #469597=DIRECTION('ref_axis',(-1.,0.,0.)); #469598=DIRECTION('center_axis',(0.,0.,1.)); #469599=DIRECTION('ref_axis',(-1.,0.,0.)); #469600=DIRECTION('center_axis',(0.,0.,1.)); #469601=DIRECTION('ref_axis',(-1.,0.,0.)); #469602=DIRECTION('',(0.,0.,1.)); #469603=DIRECTION('center_axis',(0.,0.,1.)); #469604=DIRECTION('ref_axis',(-1.,0.,0.)); #469605=DIRECTION('center_axis',(0.,0.,-1.)); #469606=DIRECTION('ref_axis',(-1.,0.,0.)); #469607=DIRECTION('center_axis',(0.,0.,-1.)); #469608=DIRECTION('ref_axis',(-1.,0.,0.)); #469609=DIRECTION('',(0.,0.,1.)); #469610=DIRECTION('',(1.,0.,0.)); #469611=DIRECTION('',(0.,0.,1.)); #469612=DIRECTION('',(1.,0.,0.)); #469613=DIRECTION('',(0.,0.,1.)); #469614=DIRECTION('',(1.,0.,0.)); #469615=DIRECTION('',(0.,0.,1.)); #469616=DIRECTION('',(1.,0.,0.)); #469617=DIRECTION('center_axis',(0.,0.,1.)); #469618=DIRECTION('ref_axis',(1.,0.,0.)); #469619=CARTESIAN_POINT('',(0.,0.,0.)); #469620=CARTESIAN_POINT('',(0.,0.,0.)); #469621=CARTESIAN_POINT('',(0.,0.,0.)); #469622=CARTESIAN_POINT('Origin',(0.,0.,-2.195754495236)); #469623=CARTESIAN_POINT('',(15.,1.00192587078112E-12,8.)); #469624=CARTESIAN_POINT('',(15.,5.4850064442175E-12,7.12544895083373)); #469625=CARTESIAN_POINT('',(15.,1.00192587078112E-12,-2.195754495236)); #469626=CARTESIAN_POINT('',(14.9417110028623,-1.32108081723345,8.00001322140626)); #469627=CARTESIAN_POINT('Origin',(0.,0.,8.)); #469628=CARTESIAN_POINT('',(14.99368775891,-0.4351176900248,7.464544251463)); #469629=CARTESIAN_POINT('Ctrl Pts',(14.9936877583816,-0.435117690009463, 7.464544251463)); #469630=CARTESIAN_POINT('Ctrl Pts',(14.9909797312447,-0.528433393468313, 7.532118674048)); #469631=CARTESIAN_POINT('Ctrl Pts',(14.9873485301414,-0.623532496766485, 7.59713429248999)); #469632=CARTESIAN_POINT('Ctrl Pts',(14.9826898839914,-0.720419211392161, 7.66003683076315)); #469633=CARTESIAN_POINT('Ctrl Pts',(14.9780312378413,-0.817305926017877, 7.72293936903633)); #469634=CARTESIAN_POINT('Ctrl Pts',(14.9723444885833,-0.915979991110032, 7.78372882714067)); #469635=CARTESIAN_POINT('Ctrl Pts',(14.965528742483,-1.01634121136276,7.84106858175235)); #469636=CARTESIAN_POINT('Ctrl Pts',(14.9609950329042,-1.08309965847981, 7.87920993721125)); #469637=CARTESIAN_POINT('Ctrl Pts',(14.9559575346548,-1.1506045510079,7.91582491376972)); #469638=CARTESIAN_POINT('Ctrl Pts',(14.9504768377901,-1.21788436343644, 7.95057604414077)); #469639=CARTESIAN_POINT('Ctrl Pts',(14.9478067557826,-1.25066169468946, 7.96750607516305)); #469640=CARTESIAN_POINT('Ctrl Pts',(14.9450322697152,-1.28338565621129, 7.98399373410833)); #469641=CARTESIAN_POINT('Ctrl Pts',(14.9421648591264,-1.31594427035827, 8.)); #469642=CARTESIAN_POINT('Ctrl Pts',(15.,7.34351978744002E-12,7.12544895083594)); #469643=CARTESIAN_POINT('Ctrl Pts',(15.,-0.0328645177238803,7.15313012649096)); #469644=CARTESIAN_POINT('Ctrl Pts',(14.999895513181,-0.0650167418784703, 7.17961036387732)); #469645=CARTESIAN_POINT('Ctrl Pts',(14.9996794039148,-0.0980702797773122, 7.20643128327261)); #469646=CARTESIAN_POINT('Ctrl Pts',(14.9995014754271,-0.125284133734607, 7.22851365719752)); #469647=CARTESIAN_POINT('Ctrl Pts',(14.9992444233065,-0.153108926483966, 7.25082696790726)); #469648=CARTESIAN_POINT('Ctrl Pts',(14.9989084489215,-0.180956737569892, 7.27283023112623)); #469649=CARTESIAN_POINT('Ctrl Pts',(14.9985724745364,-0.208804548655831, 7.29483349434522)); #469650=CARTESIAN_POINT('Ctrl Pts',(14.9981589695565,-0.236675401827603, 7.31652671007345)); #469651=CARTESIAN_POINT('Ctrl Pts',(14.9976636214249,-0.264737414406574, 7.33801457989019)); #469652=CARTESIAN_POINT('Ctrl Pts',(14.9966729253417,-0.320861429368583, 7.38099031171636)); #469653=CARTESIAN_POINT('Ctrl Pts',(14.9953525713632,-0.377750024670225, 7.423144660195)); #469654=CARTESIAN_POINT('Ctrl Pts',(14.9936877583816,-0.435117690009459, 7.464544251463)); #469655=CARTESIAN_POINT('Origin',(0.,0.,23.09993048552)); #469656=CARTESIAN_POINT('',(-21.28929947757,-14.92533844689,23.00424550476)); #469657=CARTESIAN_POINT('',(-21.28929947757,-14.92533844689,22.00424550476)); #469658=CARTESIAN_POINT('',(-21.28929947757,-14.92533844689,23.00424550476)); #469659=CARTESIAN_POINT('',(-24.,-10.,23.00424550476)); #469660=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #469661=CARTESIAN_POINT('',(-24.,-10.,22.00424550476)); #469662=CARTESIAN_POINT('',(-24.,-10.,22.00424550476)); #469663=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #469664=CARTESIAN_POINT('Origin',(-21.87644714648,-15.5124861158,16.00424550476)); #469665=CARTESIAN_POINT('',(-20.57091377762,-14.20695274694,22.00424550476)); #469666=CARTESIAN_POINT('',(-21.28929947757,-14.92533844689,22.00424550476)); #469667=CARTESIAN_POINT('',(-20.57091377762,-14.20695274694,16.50424550476)); #469668=CARTESIAN_POINT('',(-20.57091377762,-14.20695274694,22.00424550476)); #469669=CARTESIAN_POINT('',(-21.28929947757,-14.92533844689,16.50424550476)); #469670=CARTESIAN_POINT('',(-20.57091377762,-14.20695274694,16.50424550476)); #469671=CARTESIAN_POINT('',(-21.28929947757,-14.92533844689,15.50424550476)); #469672=CARTESIAN_POINT('',(-21.28929947757,-14.92533844689,16.50424550476)); #469673=CARTESIAN_POINT('',(-20.57091377762,-14.20695274694,15.50424550476)); #469674=CARTESIAN_POINT('',(-21.28929947757,-14.92533844689,15.50424550476)); #469675=CARTESIAN_POINT('',(-20.5709137770151,-14.2069527475515,7.00424550476252)); #469676=CARTESIAN_POINT('',(-20.57091377762,-14.20695274694,15.50424550476)); #469677=CARTESIAN_POINT('',(-21.2892994769658,-14.925338447502,4.00424550476543)); #469678=CARTESIAN_POINT('Origin',(5550.6286965141,5497.44832247386,-2648.58926862508)); #469679=CARTESIAN_POINT('',(-23.18198051534,-16.81801948466,4.004245504764)); #469680=CARTESIAN_POINT('',(-21.28929947757,-14.92533844689,4.004245504764)); #469681=CARTESIAN_POINT('',(-23.18198051534,-16.81801948466,28.00424550476)); #469682=CARTESIAN_POINT('',(-23.18198051534,-16.81801948466,4.004245504764)); #469683=CARTESIAN_POINT('',(-20.57091377762,-14.20695274694,28.00424550476)); #469684=CARTESIAN_POINT('',(-23.18198051534,-16.81801948466,28.00424550476)); #469685=CARTESIAN_POINT('',(-20.57091377762,-14.20695274694,23.00424550476)); #469686=CARTESIAN_POINT('',(-20.57091377762,-14.20695274694,28.00424550476)); #469687=CARTESIAN_POINT('',(-20.57091377762,-14.20695274694,23.00424550476)); #469688=CARTESIAN_POINT('Origin',(-22.28545688881,-12.46266922345,23.00424550476)); #469689=CARTESIAN_POINT('',(-22.91287847478,-10.,23.00424550476)); #469690=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #469691=CARTESIAN_POINT('',(-22.91287847478,-10.,23.00424550476)); #469692=CARTESIAN_POINT('Origin',(-23.90643923739,-10.,16.00424550476)); #469693=CARTESIAN_POINT('',(-24.9,-10.,28.00424550476)); #469694=CARTESIAN_POINT('',(-24.9,-10.,4.004245504764)); #469695=CARTESIAN_POINT('',(-24.9,-10.,28.00424550476)); #469696=CARTESIAN_POINT('',(-24.,-10.,4.004245504764)); #469697=CARTESIAN_POINT('',(-24.9,-10.,4.004245504764)); #469698=CARTESIAN_POINT('',(-22.91287847478,-10.,7.004245504761)); #469699=CARTESIAN_POINT('Ctrl Pts',(-23.9999999999999,-10.,4.00424550476396)); #469700=CARTESIAN_POINT('Ctrl Pts',(-23.445781183221,-10.,5.53900530507411)); #469701=CARTESIAN_POINT('Ctrl Pts',(-22.9128784747797,-10.,7.00424550476089)); #469702=CARTESIAN_POINT('',(-22.91287847478,-10.,15.50424550476)); #469703=CARTESIAN_POINT('',(-22.91287847478,-10.,7.004245504761)); #469704=CARTESIAN_POINT('',(-24.,-10.,15.50424550476)); #469705=CARTESIAN_POINT('',(-24.,-10.,15.50424550476)); #469706=CARTESIAN_POINT('',(-24.,-10.,16.50424550476)); #469707=CARTESIAN_POINT('',(-24.,-10.,15.50424550476)); #469708=CARTESIAN_POINT('',(-22.91287847478,-10.,16.50424550476)); #469709=CARTESIAN_POINT('',(-22.91287847478,-10.,16.50424550476)); #469710=CARTESIAN_POINT('',(-22.91287847478,-10.,22.00424550476)); #469711=CARTESIAN_POINT('',(-22.91287847478,-10.,16.50424550476)); #469712=CARTESIAN_POINT('',(-24.,-10.,22.00424550476)); #469713=CARTESIAN_POINT('',(-22.91287847478,-9.999999999999,28.00424550476)); #469714=CARTESIAN_POINT('',(-22.91287847478,-10.,23.00424550476)); #469715=CARTESIAN_POINT('',(-22.91287847478,-10.,28.00424550476)); #469716=CARTESIAN_POINT('Origin',(-24.9,-1.996625087486E-12,16.00424550476)); #469717=CARTESIAN_POINT('',(-24.9,9.999999999999,28.00424550476)); #469718=CARTESIAN_POINT('',(-24.9,9.999999999999,4.004245504764)); #469719=CARTESIAN_POINT('',(-24.9,9.999999999999,28.00424550476)); #469720=CARTESIAN_POINT('',(-24.9,9.999999999999,4.004245504764)); #469721=CARTESIAN_POINT('',(-24.9,-10.,28.00424550476)); #469722=CARTESIAN_POINT('Origin',(-23.90643923739,9.999999999999,16.00424550476)); #469723=CARTESIAN_POINT('',(-22.91287847478,9.999999999999,28.00424550476)); #469724=CARTESIAN_POINT('',(-24.9,9.999999999999,28.00424550476)); #469725=CARTESIAN_POINT('',(-22.91287847478,9.999999999999,23.00424550476)); #469726=CARTESIAN_POINT('',(-22.91287847478,9.999999999999,28.00424550476)); #469727=CARTESIAN_POINT('',(-24.,10.,23.00424550476)); #469728=CARTESIAN_POINT('',(-22.91287847478,9.999999999999,23.00424550476)); #469729=CARTESIAN_POINT('',(-24.,10.,22.00424550476)); #469730=CARTESIAN_POINT('',(-24.,10.,23.00424550476)); #469731=CARTESIAN_POINT('',(-22.91287847478,9.999999999999,22.00424550476)); #469732=CARTESIAN_POINT('',(-24.,10.,22.00424550476)); #469733=CARTESIAN_POINT('',(-22.91287847478,9.999999999999,16.50424550476)); #469734=CARTESIAN_POINT('',(-22.91287847478,9.999999999999,22.00424550476)); #469735=CARTESIAN_POINT('',(-24.,9.999999999999,16.50424550476)); #469736=CARTESIAN_POINT('',(-24.,9.999999999999,16.50424550476)); #469737=CARTESIAN_POINT('',(-24.,10.,15.50424550476)); #469738=CARTESIAN_POINT('',(-24.,9.999999999999,16.50424550476)); #469739=CARTESIAN_POINT('',(-22.91287847478,10.,15.50424550476)); #469740=CARTESIAN_POINT('',(-22.91287847478,10.,15.50424550476)); #469741=CARTESIAN_POINT('',(-22.91287847478,9.999999999999,7.004245504761)); #469742=CARTESIAN_POINT('',(-22.91287847478,10.,15.50424550476)); #469743=CARTESIAN_POINT('',(-24.,9.999999999999,4.004245504764)); #469744=CARTESIAN_POINT('Ctrl Pts',(-22.9128784747798,9.999999999999,7.00424550476092)); #469745=CARTESIAN_POINT('Ctrl Pts',(-23.4457811832211,9.999999999999,5.5390053050741)); #469746=CARTESIAN_POINT('Ctrl Pts',(-24.,9.999999999999,4.00424550476399)); #469747=CARTESIAN_POINT('',(-24.,9.999999999999,4.004245504764)); #469748=CARTESIAN_POINT('Origin',(4.973799150321E-13,-2.999378523327E-12, 4.004245504764)); #469749=CARTESIAN_POINT('',(24.4,-11.,4.004245504764)); #469750=CARTESIAN_POINT('',(24.10912690248,-11.,4.004245504764)); #469751=CARTESIAN_POINT('',(24.4,-11.,4.004245504764)); #469752=CARTESIAN_POINT('',(25.,-10.4,4.004245504764)); #469753=CARTESIAN_POINT('Origin',(24.4,-10.4,4.004245504764)); #469754=CARTESIAN_POINT('',(25.,20.,4.004245504764)); #469755=CARTESIAN_POINT('',(25.,-10.4,4.004245504764)); #469756=CARTESIAN_POINT('',(20.,25.,4.004245504764)); #469757=CARTESIAN_POINT('Origin',(20.,20.,4.004245504764)); #469758=CARTESIAN_POINT('',(-20.,25.,4.004245504764)); #469759=CARTESIAN_POINT('',(-20.,25.,4.004245504764)); #469760=CARTESIAN_POINT('',(-25.,20.,4.004245504764)); #469761=CARTESIAN_POINT('Origin',(-20.,20.,4.004245504764)); #469762=CARTESIAN_POINT('',(-25.,-20.,4.004245504764)); #469763=CARTESIAN_POINT('',(-25.,-20.,4.004245504764)); #469764=CARTESIAN_POINT('',(-20.,-25.,4.004245504764)); #469765=CARTESIAN_POINT('Origin',(-20.,-20.,4.004245504764)); #469766=CARTESIAN_POINT('',(20.,-25.,4.004245504764)); #469767=CARTESIAN_POINT('',(20.,-25.,4.004245504764)); #469768=CARTESIAN_POINT('',(25.,-20.,4.004245504764)); #469769=CARTESIAN_POINT('Origin',(20.,-20.,4.004245504764)); #469770=CARTESIAN_POINT('',(25.,-12.89984585655,4.004245504764)); #469771=CARTESIAN_POINT('',(25.,-12.89984585655,4.004245504764)); #469772=CARTESIAN_POINT('',(24.4135995728,-12.3,4.004245504764)); #469773=CARTESIAN_POINT('Origin',(24.4,-12.89984585655,4.004245504764)); #469774=CARTESIAN_POINT('',(24.,-13.73610252712,4.004245504764)); #469775=CARTESIAN_POINT('',(24.,-13.73610252712,4.004245504764)); #469776=CARTESIAN_POINT('',(24.,-16.31801948466,4.004245504764)); #469777=CARTESIAN_POINT('',(24.,-16.31801948466,4.004245504764)); #469778=CARTESIAN_POINT('',(23.5,-16.81801948466,4.004245504764)); #469779=CARTESIAN_POINT('Origin',(23.5,-16.31801948466,4.004245504764)); #469780=CARTESIAN_POINT('',(23.18198051534,-16.81801948466,4.004245504764)); #469781=CARTESIAN_POINT('',(23.18198051534,-16.81801948466,4.004245504764)); #469782=CARTESIAN_POINT('',(22.12132034356,-17.87867965644,4.004245504764)); #469783=CARTESIAN_POINT('Origin',(22.65165042945,-17.34834957055,4.004245504764)); #469784=CARTESIAN_POINT('',(20.38330271131,-16.14066202419,4.004245504764)); #469785=CARTESIAN_POINT('',(20.38330271131,-16.14066202419,4.004245504764)); #469786=CARTESIAN_POINT('',(19.6551090998436,-17.0198908980658,4.00424550605283)); #469787=CARTESIAN_POINT('Origin',(0.,0.,4.004245504764)); #469788=CARTESIAN_POINT('',(17.01989089636,-19.65510910364,4.004245504763)); #469789=CARTESIAN_POINT('Origin',(20.,-20.,4.004245504764)); #469790=CARTESIAN_POINT('',(16.14066202419,-20.38330271131,4.004245504764)); #469791=CARTESIAN_POINT('Origin',(0.,0.,4.004245504763)); #469792=CARTESIAN_POINT('',(17.87867965644,-22.12132034356,4.004245504764)); #469793=CARTESIAN_POINT('',(17.87867965644,-22.12132034356,4.004245504764)); #469794=CARTESIAN_POINT('',(16.81801948466,-23.18198051534,4.004245504764)); #469795=CARTESIAN_POINT('Origin',(17.34834957055,-22.65165042945,4.004245504764)); #469796=CARTESIAN_POINT('',(14.9253384463864,-21.2892994780705,4.00424550476296)); #469797=CARTESIAN_POINT('',(14.92533844689,-21.28929947757,4.004245504764)); #469798=CARTESIAN_POINT('',(10.,-24.,4.004245504764)); #469799=CARTESIAN_POINT('Origin',(0.,0.,4.004245504764)); #469800=CARTESIAN_POINT('',(10.,-24.9,4.004245504764)); #469801=CARTESIAN_POINT('',(10.,-24.9,4.004245504764)); #469802=CARTESIAN_POINT('',(-10.,-24.9,4.004245504764)); #469803=CARTESIAN_POINT('',(-10.,-24.9,4.004245504764)); #469804=CARTESIAN_POINT('',(-10.,-24.,4.004245504764)); #469805=CARTESIAN_POINT('',(-10.,-24.,4.004245504764)); #469806=CARTESIAN_POINT('',(-14.9253384463296,-21.2892994781322,4.00424550476389)); #469807=CARTESIAN_POINT('Origin',(0.,0.,4.004245504764)); #469808=CARTESIAN_POINT('',(-16.81801948466,-23.18198051534,4.004245504764)); #469809=CARTESIAN_POINT('',(-16.81801948466,-23.18198051534,4.004245504764)); #469810=CARTESIAN_POINT('',(-17.87867965644,-22.12132034356,4.004245504764)); #469811=CARTESIAN_POINT('Origin',(-17.34834957055,-22.65165042945,4.004245504764)); #469812=CARTESIAN_POINT('',(-16.14066202419,-20.38330271131,4.004245504764)); #469813=CARTESIAN_POINT('',(-16.14066202419,-20.38330271131,4.004245504764)); #469814=CARTESIAN_POINT('',(-17.0198908980658,-19.6551090998436,4.00424550605283)); #469815=CARTESIAN_POINT('Origin',(0.,0.,4.004245504764)); #469816=CARTESIAN_POINT('',(-19.65510910364,-17.01989089636,4.004245504763)); #469817=CARTESIAN_POINT('Origin',(-20.,-20.,4.004245504764)); #469818=CARTESIAN_POINT('',(-20.38330271131,-16.14066202419,4.004245504764)); #469819=CARTESIAN_POINT('Origin',(0.,0.,4.004245504763)); #469820=CARTESIAN_POINT('',(-22.12132034356,-17.87867965644,4.004245504764)); #469821=CARTESIAN_POINT('',(-22.12132034356,-17.87867965644,4.004245504764)); #469822=CARTESIAN_POINT('Origin',(-22.65165042945,-17.34834957055,4.004245504764)); #469823=CARTESIAN_POINT('Origin',(0.,0.,4.004245504764)); #469824=CARTESIAN_POINT('',(-21.28929947757,14.92533844689,4.004245504764)); #469825=CARTESIAN_POINT('Origin',(0.,0.,4.004245504764)); #469826=CARTESIAN_POINT('',(-23.18198051534,16.81801948466,4.004245504764)); #469827=CARTESIAN_POINT('',(-23.18198051534,16.81801948466,4.004245504764)); #469828=CARTESIAN_POINT('',(-22.12132034356,17.87867965644,4.004245504764)); #469829=CARTESIAN_POINT('Origin',(-22.65165042945,17.34834957055,4.004245504764)); #469830=CARTESIAN_POINT('',(-20.38330271131,16.14066202419,4.004245504764)); #469831=CARTESIAN_POINT('',(-20.38330271131,16.14066202419,4.004245504764)); #469832=CARTESIAN_POINT('',(-19.6551090998436,17.0198908980658,4.00424550605283)); #469833=CARTESIAN_POINT('Origin',(0.,0.,4.004245504764)); #469834=CARTESIAN_POINT('',(-17.01989089636,19.65510910364,4.004245504763)); #469835=CARTESIAN_POINT('Origin',(-20.,20.,4.004245504764)); #469836=CARTESIAN_POINT('',(-16.14066202419,20.38330271131,4.004245504764)); #469837=CARTESIAN_POINT('Origin',(0.,0.,4.004245504763)); #469838=CARTESIAN_POINT('',(-17.87867965644,22.12132034356,4.004245504764)); #469839=CARTESIAN_POINT('',(-17.87867965644,22.12132034356,4.004245504764)); #469840=CARTESIAN_POINT('',(-16.81801948466,23.18198051534,4.004245504764)); #469841=CARTESIAN_POINT('Origin',(-17.34834957055,22.65165042945,4.004245504764)); #469842=CARTESIAN_POINT('',(-14.92533844689,21.28929947757,4.004245504764)); #469843=CARTESIAN_POINT('',(-14.92533844689,21.28929947757,4.004245504764)); #469844=CARTESIAN_POINT('',(-10.,24.,4.004245504764)); #469845=CARTESIAN_POINT('Origin',(0.,0.,4.004245504764)); #469846=CARTESIAN_POINT('',(-10.,24.9,4.004245504764)); #469847=CARTESIAN_POINT('',(-10.,24.9,4.004245504764)); #469848=CARTESIAN_POINT('',(10.,24.9,4.004245504764)); #469849=CARTESIAN_POINT('',(10.,24.9,4.004245504764)); #469850=CARTESIAN_POINT('',(10.,24.,4.004245504764)); #469851=CARTESIAN_POINT('',(10.,24.,4.004245504764)); #469852=CARTESIAN_POINT('',(14.9253384471601,21.2892994773025,4.00424550476427)); #469853=CARTESIAN_POINT('Origin',(0.,0.,4.004245504764)); #469854=CARTESIAN_POINT('',(16.81801948466,23.18198051534,4.004245504764)); #469855=CARTESIAN_POINT('',(16.81801948466,23.18198051534,4.004245504764)); #469856=CARTESIAN_POINT('',(17.87867965644,22.12132034356,4.004245504764)); #469857=CARTESIAN_POINT('Origin',(17.34834957055,22.65165042945,4.004245504764)); #469858=CARTESIAN_POINT('',(16.14066202419,20.38330271131,4.004245504764)); #469859=CARTESIAN_POINT('',(16.14066202419,20.38330271131,4.004245504764)); #469860=CARTESIAN_POINT('',(17.0198908980658,19.6551090998436,4.00424550605283)); #469861=CARTESIAN_POINT('Origin',(0.,0.,4.004245504764)); #469862=CARTESIAN_POINT('',(19.65510910364,17.01989089636,4.004245504763)); #469863=CARTESIAN_POINT('Origin',(20.,20.,4.004245504764)); #469864=CARTESIAN_POINT('',(20.38330271131,16.14066202419,4.004245504764)); #469865=CARTESIAN_POINT('Origin',(0.,0.,4.004245504763)); #469866=CARTESIAN_POINT('',(22.12132034356,17.87867965644,4.004245504764)); #469867=CARTESIAN_POINT('',(22.12132034356,17.87867965644,4.004245504764)); #469868=CARTESIAN_POINT('',(23.18198051534,16.81801948466,4.004245504764)); #469869=CARTESIAN_POINT('Origin',(22.65165042945,17.34834957055,4.004245504764)); #469870=CARTESIAN_POINT('',(21.2892994778575,14.9253384466009,4.00424550476315)); #469871=CARTESIAN_POINT('',(21.28929947757,14.92533844689,4.004245504764)); #469872=CARTESIAN_POINT('',(24.,10.,4.004245504764)); #469873=CARTESIAN_POINT('Origin',(0.,0.,4.004245504764)); #469874=CARTESIAN_POINT('',(24.9,10.,4.004245504764)); #469875=CARTESIAN_POINT('',(24.9,10.,4.004245504764)); #469876=CARTESIAN_POINT('',(24.9,-10.,4.004245504764)); #469877=CARTESIAN_POINT('',(24.9,-10.,4.004245504764)); #469878=CARTESIAN_POINT('',(22.9100000008095,-10.0000000003369,4.004245504764)); #469879=CARTESIAN_POINT('',(22.91033397136,-10.,4.004245504764)); #469880=CARTESIAN_POINT('',(21.99697461715,-9.086974617145,4.004245504764)); #469881=CARTESIAN_POINT('',(14.4545005300104,-1.54450052999874,4.004245504764)); #469882=CARTESIAN_POINT('',(19.9513353351447,-12.9762944649641,4.00424550709039)); #469883=CARTESIAN_POINT('Origin',(0.,0.,4.004245504765)); #469884=CARTESIAN_POINT('',(20.5709137776217,-14.2069527469477,4.00424551736603)); #469885=CARTESIAN_POINT('',(20.57091377762,-14.20695274695,4.004245517785)); #469886=CARTESIAN_POINT('',(21.9436435546513,-15.5796825239713,4.00424550655511)); #469887=CARTESIAN_POINT('',(21.94364355465,-15.57968252397,4.004245508484)); #469888=CARTESIAN_POINT('',(21.88428589071,-14.94416377901,4.004245504764)); #469889=CARTESIAN_POINT('Origin',(22.29719694523,-15.22612913336,4.004245504764)); #469890=CARTESIAN_POINT('Origin',(0.,0.,4.004245504764)); #469891=CARTESIAN_POINT('',(22.25,20.,4.004245504764)); #469892=CARTESIAN_POINT('Origin',(20.,20.,4.004245504764)); #469893=CARTESIAN_POINT('',(-17.75,-20.,4.004245504764)); #469894=CARTESIAN_POINT('Origin',(-20.,-20.,4.004245504764)); #469895=CARTESIAN_POINT('',(-17.75,20.,4.004245504764)); #469896=CARTESIAN_POINT('Origin',(-20.,20.,4.004245504764)); #469897=CARTESIAN_POINT('',(22.25,-20.,4.004245504764)); #469898=CARTESIAN_POINT('Origin',(20.,-20.,4.004245504764)); #469899=CARTESIAN_POINT('Origin',(24.25456345124,-11.,2.004245504764)); #469900=CARTESIAN_POINT('',(24.10912690248,-11.,0.00424550476367)); #469901=CARTESIAN_POINT('',(24.4,-11.,0.00424550476367)); #469902=CARTESIAN_POINT('',(24.10912690248,-11.,0.00424550476367)); #469903=CARTESIAN_POINT('',(24.4,-11.,0.00424550476367)); #469904=CARTESIAN_POINT('',(24.10912690248,-11.,4.004245504764)); #469905=CARTESIAN_POINT('Origin',(4.902744876745E-13,-3.001154880167E-12, 0.00424550476367)); #469906=CARTESIAN_POINT('',(25.,-10.4,0.00424550476367)); #469907=CARTESIAN_POINT('',(25.,20.,0.00424550476367)); #469908=CARTESIAN_POINT('',(25.,-10.4,0.00424550476367)); #469909=CARTESIAN_POINT('Origin',(24.4,-10.4,0.004245504763669)); #469910=CARTESIAN_POINT('',(21.88428589071,-14.94416377901,0.004245504763669)); #469911=CARTESIAN_POINT('Origin',(0.,0.,0.004245504763669)); #469912=CARTESIAN_POINT('',(21.94364355464,-15.57968252396,0.004245504763671)); #469913=CARTESIAN_POINT('Origin',(22.29719694523,-15.22612913336,0.004245504763669)); #469914=CARTESIAN_POINT('',(23.18198051534,-16.81801948466,0.004245504763672)); #469915=CARTESIAN_POINT('',(23.18198051534,-16.81801948466,0.004245504763672)); #469916=CARTESIAN_POINT('',(23.5,-16.81801948466,0.00424550476367)); #469917=CARTESIAN_POINT('',(23.5,-16.81801948466,0.00424550476367)); #469918=CARTESIAN_POINT('',(24.,-16.31801948466,0.00424550476367)); #469919=CARTESIAN_POINT('Origin',(23.5,-16.31801948466,0.00424550476367)); #469920=CARTESIAN_POINT('',(24.,-13.73610252712,0.00424550476367)); #469921=CARTESIAN_POINT('',(24.,-13.73610252712,0.00424550476367)); #469922=CARTESIAN_POINT('',(24.4135995728,-12.3,0.00424550476367)); #469923=CARTESIAN_POINT('',(24.4135995728,-12.3,0.00424550476367)); #469924=CARTESIAN_POINT('',(25.,-12.89984585655,0.00424550476367)); #469925=CARTESIAN_POINT('Origin',(24.4,-12.89984585655,0.00424550476367)); #469926=CARTESIAN_POINT('',(25.,-20.,0.004245504763669)); #469927=CARTESIAN_POINT('',(25.,-20.,0.00424550476367)); #469928=CARTESIAN_POINT('',(20.,-25.,0.004245504763669)); #469929=CARTESIAN_POINT('Origin',(20.,-20.,0.004245504763669)); #469930=CARTESIAN_POINT('',(-20.,-25.,0.004245504763669)); #469931=CARTESIAN_POINT('',(-20.,-25.,0.004245504763669)); #469932=CARTESIAN_POINT('',(-25.,-20.,0.00424550476367)); #469933=CARTESIAN_POINT('Origin',(-20.,-20.,0.004245504763669)); #469934=CARTESIAN_POINT('',(-25.,20.,0.004245504763669)); #469935=CARTESIAN_POINT('',(-25.,20.,0.00424550476367)); #469936=CARTESIAN_POINT('',(-20.,25.,0.00424550476367)); #469937=CARTESIAN_POINT('Origin',(-20.,20.,0.004245504763669)); #469938=CARTESIAN_POINT('',(20.,25.,0.004245504763669)); #469939=CARTESIAN_POINT('',(20.,25.,0.00424550476367)); #469940=CARTESIAN_POINT('Origin',(20.,20.,0.004245504763669)); #469941=CARTESIAN_POINT('',(-19.6025424858,-16.7743950142,0.004245504764626)); #469942=CARTESIAN_POINT('',(-23.8,-9.959919678392,0.004245504763998)); #469943=CARTESIAN_POINT('Origin',(0.,0.,0.004245504764626)); #469944=CARTESIAN_POINT('',(-16.7743950142,-19.6025424858,0.004245504764242)); #469945=CARTESIAN_POINT('Origin',(-20.,-20.,0.00424550476367)); #469946=CARTESIAN_POINT('',(-9.959919678391,-23.8,0.004245504763835)); #469947=CARTESIAN_POINT('Origin',(0.,0.,0.004245504764242)); #469948=CARTESIAN_POINT('',(9.959919678393,-23.8,0.004245504765606)); #469949=CARTESIAN_POINT('',(9.959919678391,-23.8,0.00424550476429)); #469950=CARTESIAN_POINT('',(16.7743950142,-19.6025424858,0.00424550476367)); #469951=CARTESIAN_POINT('Origin',(0.,0.,0.004245504765606)); #469952=CARTESIAN_POINT('',(19.6025424858,-16.7743950142,0.004245504765258)); #469953=CARTESIAN_POINT('Origin',(20.,-20.,0.00424550476367)); #469954=CARTESIAN_POINT('',(23.8,-9.959919678393,0.004245504764211)); #469955=CARTESIAN_POINT('Origin',(0.,0.,0.004245504765258)); #469956=CARTESIAN_POINT('',(23.8,9.959919678392,0.004245504764626)); #469957=CARTESIAN_POINT('',(23.8,9.959919678391,0.004245504764)); #469958=CARTESIAN_POINT('',(19.6025424858,16.7743950142,0.00424550476367)); #469959=CARTESIAN_POINT('Origin',(0.,0.,0.004245504764626)); #469960=CARTESIAN_POINT('',(16.7743950142,19.6025424858,0.004245504764242)); #469961=CARTESIAN_POINT('Origin',(20.,20.,0.00424550476367)); #469962=CARTESIAN_POINT('',(9.959919678391,23.8,0.004245504763959)); #469963=CARTESIAN_POINT('Origin',(0.,0.,0.004245504764242)); #469964=CARTESIAN_POINT('',(-9.959919678391,23.8,0.004245504763615)); #469965=CARTESIAN_POINT('',(-9.959919678391,23.8,0.004245504763615)); #469966=CARTESIAN_POINT('',(-16.7743950142,19.6025424858,0.004245504763212)); #469967=CARTESIAN_POINT('Origin',(0.,0.,0.004245504763212)); #469968=CARTESIAN_POINT('',(-19.6025424858,16.7743950142,0.004245504763392)); #469969=CARTESIAN_POINT('Origin',(-20.,20.,0.00424550476367)); #469970=CARTESIAN_POINT('',(-23.8,9.959919678391,0.004245504763402)); #469971=CARTESIAN_POINT('Origin',(0.,0.,0.004245504762835)); #469972=CARTESIAN_POINT('',(-23.8,-9.959919678392,0.004245504763998)); #469973=CARTESIAN_POINT('',(22.25,-20.,0.00424550476367001)); #469974=CARTESIAN_POINT('Origin',(20.,-20.,0.00424550476367)); #469975=CARTESIAN_POINT('',(22.25,20.,0.00424550476367001)); #469976=CARTESIAN_POINT('Origin',(20.,20.,0.00424550476367)); #469977=CARTESIAN_POINT('',(-17.75,20.,0.00424550476367001)); #469978=CARTESIAN_POINT('Origin',(-20.,20.,0.00424550476367)); #469979=CARTESIAN_POINT('',(-17.75,-20.,0.00424550476367001)); #469980=CARTESIAN_POINT('Origin',(-20.,-20.,0.00424550476367)); #469981=CARTESIAN_POINT('Origin',(25.,4.799999999997,2.004245504764)); #469982=CARTESIAN_POINT('',(25.,-10.4,4.004245504764)); #469983=CARTESIAN_POINT('',(25.,20.,0.00424550476367)); #469984=CARTESIAN_POINT('Origin',(20.,20.,4.099930485524)); #469985=CARTESIAN_POINT('',(20.,25.,4.004245504764)); #469986=CARTESIAN_POINT('Origin',(4.902744876745E-13,25.,2.004245504764)); #469987=CARTESIAN_POINT('',(-20.,25.,0.00424550476367)); #469988=CARTESIAN_POINT('Origin',(-20.,20.,4.099930485524)); #469989=CARTESIAN_POINT('',(-25.,20.,4.004245504764)); #469990=CARTESIAN_POINT('Origin',(-25.,-3.000266701747E-12,2.004245504764)); #469991=CARTESIAN_POINT('',(-25.,-20.,0.00424550476367)); #469992=CARTESIAN_POINT('Origin',(-20.,-20.,4.099930485524)); #469993=CARTESIAN_POINT('',(-20.,-25.,4.004245504764)); #469994=CARTESIAN_POINT('Origin',(4.902744876745E-13,-25.,2.004245504764)); #469995=CARTESIAN_POINT('',(20.,-25.,0.004245504763669)); #469996=CARTESIAN_POINT('Origin',(20.,-20.,4.099930485524)); #469997=CARTESIAN_POINT('',(25.,-20.,4.004245504764)); #469998=CARTESIAN_POINT('Origin',(25.,-16.44992292828,2.004245504764)); #469999=CARTESIAN_POINT('',(25.,-12.89984585655,0.00424550476367)); #470000=CARTESIAN_POINT('Origin',(24.4,-12.89984585655,-0.09143947599633)); #470001=CARTESIAN_POINT('',(24.4135995728,-12.3,4.004245504764)); #470002=CARTESIAN_POINT('Origin',(24.2067997864,-13.01805126356,2.004245504764)); #470003=CARTESIAN_POINT('',(24.,-13.73610252712,0.00424550476367)); #470004=CARTESIAN_POINT('Origin',(24.,-15.02706100589,2.004245504764)); #470005=CARTESIAN_POINT('',(24.,-16.31801948466,0.00424550476367)); #470006=CARTESIAN_POINT('Origin',(23.5,-16.31801948466,-0.09143947599633)); #470007=CARTESIAN_POINT('',(23.5,-16.81801948466,4.004245504764)); #470008=CARTESIAN_POINT('Origin',(23.34099025767,-16.81801948466,2.004245504764)); #470009=CARTESIAN_POINT('',(23.18198051534,-16.81801948466,0.004245504763672)); #470010=CARTESIAN_POINT('Origin',(21.87644714648,-15.5124861158,16.00424550476)); #470011=CARTESIAN_POINT('',(20.57091377762,-14.20695274694,7.004245504761)); #470012=CARTESIAN_POINT('',(20.57091377762,-14.20695274694,15.50424550476)); #470013=CARTESIAN_POINT('',(20.57091377762,-14.20695274694,7.004245504761)); #470014=CARTESIAN_POINT('',(21.28929947757,-14.92533844689,15.50424550476)); #470015=CARTESIAN_POINT('',(20.57091377762,-14.20695274694,15.50424550476)); #470016=CARTESIAN_POINT('',(21.28929947757,-14.92533844689,16.50424550476)); #470017=CARTESIAN_POINT('',(21.28929947757,-14.92533844689,15.50424550476)); #470018=CARTESIAN_POINT('',(20.57091377762,-14.20695274694,16.50424550476)); #470019=CARTESIAN_POINT('',(21.28929947757,-14.92533844689,16.50424550476)); #470020=CARTESIAN_POINT('',(20.57091377762,-14.20695274694,22.00424550476)); #470021=CARTESIAN_POINT('',(20.57091377762,-14.20695274694,16.50424550476)); #470022=CARTESIAN_POINT('',(21.28929947757,-14.92533844689,22.00424550476)); #470023=CARTESIAN_POINT('',(20.57091377762,-14.20695274694,22.00424550476)); #470024=CARTESIAN_POINT('',(21.28929947757,-14.92533844689,23.00424550476)); #470025=CARTESIAN_POINT('',(21.28929947757,-14.92533844689,22.00424550476)); #470026=CARTESIAN_POINT('',(20.57091377762,-14.20695274694,23.00424550476)); #470027=CARTESIAN_POINT('',(21.28929947757,-14.92533844689,23.00424550476)); #470028=CARTESIAN_POINT('',(20.57091377762,-14.20695274694,28.00424550476)); #470029=CARTESIAN_POINT('',(20.57091377762,-14.20695274694,23.00424550476)); #470030=CARTESIAN_POINT('',(21.94364355463,-15.57968252395,28.00424550476)); #470031=CARTESIAN_POINT('',(20.57091377762,-14.20695274694,28.00424550476)); #470032=CARTESIAN_POINT('',(21.94364355463,-15.57968252395,32.00424550476)); #470033=CARTESIAN_POINT('',(21.94364355463,-15.57968252395,28.00424550476)); #470034=CARTESIAN_POINT('',(23.18198051534,-16.81801948466,32.00424550476)); #470035=CARTESIAN_POINT('',(21.94364355463,-15.57968252395,32.00424550476)); #470036=CARTESIAN_POINT('',(23.18198051534,-16.81801948466,28.00424550476)); #470037=CARTESIAN_POINT('',(23.18198051534,-16.81801948466,28.00424550476)); #470038=CARTESIAN_POINT('',(23.18198051534,-16.81801948466,28.00424550476)); #470039=CARTESIAN_POINT('',(21.94364355464,-15.57968252396,0.004245504763671)); #470040=CARTESIAN_POINT('',(20.57091377762,-14.20695274694,7.004245504761)); #470041=CARTESIAN_POINT('Origin',(0.,0.,15.67424550476)); #470042=CARTESIAN_POINT('',(22.9128784743619,-10.0000000002732,15.50424550476)); #470043=CARTESIAN_POINT('',(22.9114392373877,-10.,7.004245504761)); #470044=CARTESIAN_POINT('',(22.9128784747792,-10.,15.67424550476)); #470045=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #470046=CARTESIAN_POINT('Origin',(0.,0.,7.004245504761)); #470047=CARTESIAN_POINT('Origin',(23.905,-10.,16.00424550476)); #470048=CARTESIAN_POINT('',(22.9099999999962,-10.,10.754245504761)); #470049=CARTESIAN_POINT('',(24.9,-10.,28.00424550476)); #470050=CARTESIAN_POINT('',(24.9,-10.,28.00424550476)); #470051=CARTESIAN_POINT('',(22.91287847478,-10.,28.00424550476)); #470052=CARTESIAN_POINT('',(24.9,-10.,28.00424550476)); #470053=CARTESIAN_POINT('',(22.91287847478,-10.,23.00424550476)); #470054=CARTESIAN_POINT('',(22.91287847478,-10.,28.00424550476)); #470055=CARTESIAN_POINT('',(24.,-10.,23.00424550476)); #470056=CARTESIAN_POINT('',(24.,-10.,23.00424550476)); #470057=CARTESIAN_POINT('',(24.,-10.,22.00424550476)); #470058=CARTESIAN_POINT('',(24.,-10.,23.00424550476)); #470059=CARTESIAN_POINT('',(22.91287847478,-10.,22.00424550476)); #470060=CARTESIAN_POINT('',(22.91287847478,-10.,22.00424550476)); #470061=CARTESIAN_POINT('',(22.91287847478,-10.,16.50424550476)); #470062=CARTESIAN_POINT('',(22.91287847478,-10.,22.00424550476)); #470063=CARTESIAN_POINT('',(24.,-10.,16.50424550476)); #470064=CARTESIAN_POINT('',(24.,-10.,16.50424550476)); #470065=CARTESIAN_POINT('',(24.,-10.,15.50424550476)); #470066=CARTESIAN_POINT('',(24.,-10.,16.50424550476)); #470067=CARTESIAN_POINT('',(23.095228444405,-10.,15.50424550476)); #470068=CARTESIAN_POINT('Origin',(22.28545688881,-12.46266922345,15.50424550476)); #470069=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #470070=CARTESIAN_POINT('Origin',(0.,0.,16.59993048552)); #470071=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #470072=CARTESIAN_POINT('Origin',(22.28545688881,-12.46266922345,16.50424550476)); #470073=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #470074=CARTESIAN_POINT('Origin',(0.,0.,22.11424550476)); #470075=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #470076=CARTESIAN_POINT('Origin',(22.28545688881,-12.46266922345,22.00424550476)); #470077=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #470078=CARTESIAN_POINT('Origin',(0.,0.,23.09993048552)); #470079=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #470080=CARTESIAN_POINT('Origin',(22.28545688881,-12.46266922345,23.00424550476)); #470081=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #470082=CARTESIAN_POINT('Origin',(0.,0.,28.10424550476)); #470083=CARTESIAN_POINT('Origin',(0.,0.,28.00424550476)); #470084=CARTESIAN_POINT('Origin',(4.973799150321E-13,-2.999378523327E-12, 28.00424550476)); #470085=CARTESIAN_POINT('',(25.,-10.4,28.00424550476)); #470086=CARTESIAN_POINT('',(25.,20.,28.00424550476)); #470087=CARTESIAN_POINT('',(25.,-10.4,28.00424550476)); #470088=CARTESIAN_POINT('',(24.4,-11.,28.00424550476)); #470089=CARTESIAN_POINT('Origin',(24.4,-10.4,28.00424550476)); #470090=CARTESIAN_POINT('',(24.10912690248,-11.,28.00424550476)); #470091=CARTESIAN_POINT('',(24.10912690248,-11.,28.00424550476)); #470092=CARTESIAN_POINT('',(21.88428589071,-14.94416377901,28.00424550476)); #470093=CARTESIAN_POINT('Origin',(0.,0.,28.00424550476)); #470094=CARTESIAN_POINT('Origin',(22.29719694523,-15.22612913336,28.00424550476)); #470095=CARTESIAN_POINT('',(24.9,10.,28.00424550476)); #470096=CARTESIAN_POINT('',(24.9,10.,28.00424550476)); #470097=CARTESIAN_POINT('',(22.91287847478,10.,28.00424550476)); #470098=CARTESIAN_POINT('',(22.91287847478,10.,28.00424550476)); #470099=CARTESIAN_POINT('',(20.57091377762,14.20695274694,28.00424550476)); #470100=CARTESIAN_POINT('Origin',(0.,0.,28.00424550476)); #470101=CARTESIAN_POINT('',(23.18198051534,16.81801948466,28.00424550476)); #470102=CARTESIAN_POINT('',(23.18198051534,16.81801948466,28.00424550476)); #470103=CARTESIAN_POINT('',(22.12132034358,17.87867965645,28.00424550476)); #470104=CARTESIAN_POINT('Origin',(22.65165042945,17.34834957055,28.00424550476)); #470105=CARTESIAN_POINT('',(19.67124911835,15.42860843122,28.00424550476)); #470106=CARTESIAN_POINT('',(19.67124911834,15.42860843122,28.00424550476)); #470107=CARTESIAN_POINT('',(15.42860843123,19.67124911834,28.00424550476)); #470108=CARTESIAN_POINT('Origin',(0.,0.,28.00424550476)); #470109=CARTESIAN_POINT('',(17.87867965644,22.12132034356,28.00424550476)); #470110=CARTESIAN_POINT('',(17.87867965644,22.12132034356,28.00424550476)); #470111=CARTESIAN_POINT('',(16.81801948466,23.18198051534,28.00424550476)); #470112=CARTESIAN_POINT('Origin',(17.34834957055,22.65165042945,28.00424550476)); #470113=CARTESIAN_POINT('',(14.20695274694,20.57091377762,28.00424550476)); #470114=CARTESIAN_POINT('',(14.20695274694,20.57091377762,28.00424550476)); #470115=CARTESIAN_POINT('',(10.,22.91287847478,28.00424550476)); #470116=CARTESIAN_POINT('Origin',(0.,0.,28.00424550476)); #470117=CARTESIAN_POINT('',(10.,24.9,28.00424550476)); #470118=CARTESIAN_POINT('',(10.,24.9,28.00424550476)); #470119=CARTESIAN_POINT('',(-10.,24.9,28.00424550476)); #470120=CARTESIAN_POINT('',(-10.,24.9,28.00424550476)); #470121=CARTESIAN_POINT('',(-10.,22.91287847478,28.00424550476)); #470122=CARTESIAN_POINT('',(-10.,22.91287847478,28.00424550476)); #470123=CARTESIAN_POINT('',(-14.20695274694,20.57091377762,28.00424550476)); #470124=CARTESIAN_POINT('Origin',(0.,0.,28.00424550476)); #470125=CARTESIAN_POINT('',(-16.81801948466,23.18198051534,28.00424550476)); #470126=CARTESIAN_POINT('',(-16.81801948466,23.18198051534,28.00424550476)); #470127=CARTESIAN_POINT('',(-17.87867965643,22.12132034355,28.00424550476)); #470128=CARTESIAN_POINT('Origin',(-17.34834957055,22.65165042945,28.00424550476)); #470129=CARTESIAN_POINT('',(-15.42860843122,19.67124911834,28.00424550476)); #470130=CARTESIAN_POINT('',(-15.42860843122,19.67124911834,28.00424550476)); #470131=CARTESIAN_POINT('',(-19.67124911834,15.42860843122,28.00424550476)); #470132=CARTESIAN_POINT('Origin',(0.,0.,28.00424550476)); #470133=CARTESIAN_POINT('',(-22.12132034356,17.87867965644,28.00424550476)); #470134=CARTESIAN_POINT('',(-22.12132034356,17.87867965644,28.00424550476)); #470135=CARTESIAN_POINT('',(-23.18198051534,16.81801948466,28.00424550476)); #470136=CARTESIAN_POINT('Origin',(-22.65165042945,17.34834957055,28.00424550476)); #470137=CARTESIAN_POINT('',(-20.57091377762,14.20695274694,28.00424550476)); #470138=CARTESIAN_POINT('',(-20.57091377762,14.20695274694,28.00424550476)); #470139=CARTESIAN_POINT('Origin',(0.,0.,28.00424550476)); #470140=CARTESIAN_POINT('Origin',(0.,0.,28.00424550476)); #470141=CARTESIAN_POINT('',(-22.12132034356,-17.87867965644,28.00424550476)); #470142=CARTESIAN_POINT('Origin',(-22.65165042945,-17.34834957055,28.00424550476)); #470143=CARTESIAN_POINT('',(-19.67124911834,-15.42860843122,28.00424550476)); #470144=CARTESIAN_POINT('',(-19.67124911834,-15.42860843122,28.00424550476)); #470145=CARTESIAN_POINT('',(-15.42860843122,-19.67124911834,28.00424550476)); #470146=CARTESIAN_POINT('Origin',(0.,0.,28.00424550476)); #470147=CARTESIAN_POINT('',(-17.87867965644,-22.12132034356,28.00424550476)); #470148=CARTESIAN_POINT('',(-17.87867965644,-22.12132034356,28.00424550476)); #470149=CARTESIAN_POINT('',(-16.81801948465,-23.18198051534,28.00424550476)); #470150=CARTESIAN_POINT('Origin',(-17.34834957055,-22.65165042945,28.00424550476)); #470151=CARTESIAN_POINT('',(-14.20695274694,-20.57091377762,28.00424550476)); #470152=CARTESIAN_POINT('',(-14.20695274694,-20.57091377762,28.00424550476)); #470153=CARTESIAN_POINT('',(-10.,-22.91287847478,28.00424550476)); #470154=CARTESIAN_POINT('Origin',(0.,0.,28.00424550476)); #470155=CARTESIAN_POINT('',(-10.,-24.9,28.00424550476)); #470156=CARTESIAN_POINT('',(-10.,-24.9,28.00424550476)); #470157=CARTESIAN_POINT('',(10.,-24.9,28.00424550476)); #470158=CARTESIAN_POINT('',(10.,-24.9,28.00424550476)); #470159=CARTESIAN_POINT('',(10.,-22.91287847478,28.00424550476)); #470160=CARTESIAN_POINT('',(10.,-22.91287847478,28.00424550476)); #470161=CARTESIAN_POINT('',(14.20695274694,-20.57091377762,28.00424550476)); #470162=CARTESIAN_POINT('Origin',(0.,0.,28.00424550476)); #470163=CARTESIAN_POINT('',(16.81801948466,-23.18198051534,28.00424550476)); #470164=CARTESIAN_POINT('',(16.81801948466,-23.18198051534,28.00424550476)); #470165=CARTESIAN_POINT('',(17.87867965644,-22.12132034356,28.00424550476)); #470166=CARTESIAN_POINT('Origin',(17.34834957055,-22.65165042945,28.00424550476)); #470167=CARTESIAN_POINT('',(15.42860843122,-19.67124911834,28.00424550476)); #470168=CARTESIAN_POINT('',(15.42860843123,-19.67124911834,28.00424550476)); #470169=CARTESIAN_POINT('',(19.67124911834,-15.42860843122,28.00424550476)); #470170=CARTESIAN_POINT('Origin',(0.,0.,28.00424550476)); #470171=CARTESIAN_POINT('',(22.12132034356,-17.87867965644,28.00424550476)); #470172=CARTESIAN_POINT('',(22.12132034356,-17.87867965644,28.00424550476)); #470173=CARTESIAN_POINT('Origin',(22.65165042945,-17.34834957055,28.00424550476)); #470174=CARTESIAN_POINT('',(23.5,-16.81801948466,28.00424550476)); #470175=CARTESIAN_POINT('',(23.5,-16.81801948466,28.00424550476)); #470176=CARTESIAN_POINT('',(24.,-16.31801948466,28.00424550476)); #470177=CARTESIAN_POINT('Origin',(23.5,-16.31801948466,28.00424550476)); #470178=CARTESIAN_POINT('',(24.,-13.73610252712,28.00424550476)); #470179=CARTESIAN_POINT('',(24.,-13.73610252712,28.00424550476)); #470180=CARTESIAN_POINT('',(24.4135995728,-12.3,28.00424550476)); #470181=CARTESIAN_POINT('',(24.4135995728,-12.3,28.00424550476)); #470182=CARTESIAN_POINT('',(25.,-12.89984585655,28.00424550476)); #470183=CARTESIAN_POINT('Origin',(24.4,-12.89984585655,28.00424550476)); #470184=CARTESIAN_POINT('',(25.,-20.,28.00424550476)); #470185=CARTESIAN_POINT('',(25.,-20.,28.00424550476)); #470186=CARTESIAN_POINT('',(20.,-25.,28.00424550476)); #470187=CARTESIAN_POINT('Origin',(20.,-20.,28.00424550476)); #470188=CARTESIAN_POINT('',(-20.,-25.,28.00424550476)); #470189=CARTESIAN_POINT('',(-20.,-25.,28.00424550476)); #470190=CARTESIAN_POINT('',(-25.,-20.,28.00424550476)); #470191=CARTESIAN_POINT('Origin',(-20.,-20.,28.00424550476)); #470192=CARTESIAN_POINT('',(-25.,20.,28.00424550476)); #470193=CARTESIAN_POINT('',(-25.,20.,28.00424550476)); #470194=CARTESIAN_POINT('',(-20.,25.,28.00424550476)); #470195=CARTESIAN_POINT('Origin',(-20.,20.,28.00424550476)); #470196=CARTESIAN_POINT('',(20.,25.,28.00424550476)); #470197=CARTESIAN_POINT('',(20.,25.,28.00424550476)); #470198=CARTESIAN_POINT('Origin',(20.,20.,28.00424550476)); #470199=CARTESIAN_POINT('',(-17.75,-20.,28.00424550476)); #470200=CARTESIAN_POINT('Origin',(-20.,-20.,28.00424550476)); #470201=CARTESIAN_POINT('',(22.25,-20.,28.00424550476)); #470202=CARTESIAN_POINT('Origin',(20.,-20.,28.00424550476)); #470203=CARTESIAN_POINT('',(-17.75,20.,28.00424550476)); #470204=CARTESIAN_POINT('Origin',(-20.,20.,28.00424550476)); #470205=CARTESIAN_POINT('',(22.25,20.,28.00424550476)); #470206=CARTESIAN_POINT('Origin',(20.,20.,28.00424550476)); #470207=CARTESIAN_POINT('Origin',(25.,4.799999999997,30.00424550476)); #470208=CARTESIAN_POINT('',(25.,-10.4,32.00424550476)); #470209=CARTESIAN_POINT('',(25.,20.,32.00424550476)); #470210=CARTESIAN_POINT('',(25.,-10.4,32.00424550476)); #470211=CARTESIAN_POINT('',(25.,-10.4,32.00424550476)); #470212=CARTESIAN_POINT('',(25.,20.,28.00424550476)); #470213=CARTESIAN_POINT('Origin',(4.973799150321E-13,-3.001154880167E-12, 32.00424550476)); #470214=CARTESIAN_POINT('',(24.4,-11.,32.00424550476)); #470215=CARTESIAN_POINT('',(24.10912690248,-11.,32.00424550476)); #470216=CARTESIAN_POINT('',(24.4,-11.,32.00424550476)); #470217=CARTESIAN_POINT('Origin',(24.4,-10.4,32.00424550476)); #470218=CARTESIAN_POINT('',(20.,25.,32.00424550476)); #470219=CARTESIAN_POINT('Origin',(20.,20.,32.00424550476)); #470220=CARTESIAN_POINT('',(-20.,25.,32.00424550476)); #470221=CARTESIAN_POINT('',(-20.,25.,32.00424550476)); #470222=CARTESIAN_POINT('',(-25.,20.,32.00424550476)); #470223=CARTESIAN_POINT('Origin',(-20.,20.,32.00424550476)); #470224=CARTESIAN_POINT('',(-25.,-20.,32.00424550476)); #470225=CARTESIAN_POINT('',(-25.,-20.,32.00424550476)); #470226=CARTESIAN_POINT('',(-20.,-25.,32.00424550476)); #470227=CARTESIAN_POINT('Origin',(-20.,-20.,32.00424550476)); #470228=CARTESIAN_POINT('',(20.,-25.,32.00424550476)); #470229=CARTESIAN_POINT('',(20.,-25.,32.00424550476)); #470230=CARTESIAN_POINT('',(25.,-20.,32.00424550476)); #470231=CARTESIAN_POINT('Origin',(20.,-20.,32.00424550476)); #470232=CARTESIAN_POINT('',(25.,-12.89984585655,32.00424550476)); #470233=CARTESIAN_POINT('',(25.,-12.89984585655,32.00424550476)); #470234=CARTESIAN_POINT('',(24.4135995728,-12.3,32.00424550476)); #470235=CARTESIAN_POINT('Origin',(24.4,-12.89984585655,32.00424550476)); #470236=CARTESIAN_POINT('',(24.,-13.73610252712,32.00424550476)); #470237=CARTESIAN_POINT('',(24.,-13.73610252712,32.00424550476)); #470238=CARTESIAN_POINT('',(24.,-16.31801948466,32.00424550476)); #470239=CARTESIAN_POINT('',(24.,-16.31801948466,32.00424550476)); #470240=CARTESIAN_POINT('',(23.5,-16.81801948466,32.00424550476)); #470241=CARTESIAN_POINT('Origin',(23.5,-16.31801948466,32.00424550476)); #470242=CARTESIAN_POINT('',(23.18198051534,-16.81801948466,32.00424550476)); #470243=CARTESIAN_POINT('',(21.88428589071,-14.94416377901,32.00424550476)); #470244=CARTESIAN_POINT('Origin',(22.29719694523,-15.22612913336,32.00424550476)); #470245=CARTESIAN_POINT('Origin',(0.,0.,32.00424550476)); #470246=CARTESIAN_POINT('',(19.6025424858,-16.7743950142,32.00424550476)); #470247=CARTESIAN_POINT('',(23.8,-9.959919678392,32.00424550476)); #470248=CARTESIAN_POINT('Origin',(0.,0.,32.00424550476)); #470249=CARTESIAN_POINT('',(16.7743950142,-19.6025424858,32.00424550476)); #470250=CARTESIAN_POINT('Origin',(20.,-20.,32.00424550476)); #470251=CARTESIAN_POINT('',(9.959919678391,-23.8,32.00424550476)); #470252=CARTESIAN_POINT('Origin',(0.,0.,32.00424550476)); #470253=CARTESIAN_POINT('',(-9.959919678392,-23.8,32.00424550476)); #470254=CARTESIAN_POINT('',(-9.959919678391,-23.8,32.00424550476)); #470255=CARTESIAN_POINT('',(-16.7743950142,-19.6025424858,32.00424550476)); #470256=CARTESIAN_POINT('Origin',(0.,0.,32.00424550476)); #470257=CARTESIAN_POINT('',(-19.6025424858,-16.7743950142,32.00424550476)); #470258=CARTESIAN_POINT('Origin',(-20.,-20.,32.00424550476)); #470259=CARTESIAN_POINT('',(-23.8,-9.959919678392,32.00424550476)); #470260=CARTESIAN_POINT('Origin',(0.,0.,32.00424550476)); #470261=CARTESIAN_POINT('',(-23.8,9.959919678391,32.00424550476)); #470262=CARTESIAN_POINT('',(-23.8,9.95991967839,32.00424550476)); #470263=CARTESIAN_POINT('',(-19.6025424858,16.7743950142,32.00424550476)); #470264=CARTESIAN_POINT('Origin',(0.,0.,32.00424550476)); #470265=CARTESIAN_POINT('',(-16.7743950142,19.6025424858,32.00424550476)); #470266=CARTESIAN_POINT('Origin',(-20.,20.,32.00424550476)); #470267=CARTESIAN_POINT('',(-9.959919678391,23.8,32.00424550476)); #470268=CARTESIAN_POINT('Origin',(0.,0.,32.00424550476)); #470269=CARTESIAN_POINT('',(9.95991967839,23.8,32.00424550476)); #470270=CARTESIAN_POINT('',(9.95991967839,23.8,32.00424550476)); #470271=CARTESIAN_POINT('',(16.7743950142,19.6025424858,32.00424550476)); #470272=CARTESIAN_POINT('Origin',(0.,0.,32.00424550476)); #470273=CARTESIAN_POINT('',(19.6025424858,16.7743950142,32.00424550476)); #470274=CARTESIAN_POINT('Origin',(20.,20.,32.00424550476)); #470275=CARTESIAN_POINT('',(23.8,9.959919678391,32.00424550476)); #470276=CARTESIAN_POINT('Origin',(0.,0.,32.00424550476)); #470277=CARTESIAN_POINT('',(23.8,-9.959919678392,32.00424550476)); #470278=CARTESIAN_POINT('',(-17.75,-20.,32.00424550476)); #470279=CARTESIAN_POINT('Origin',(-20.,-20.,32.00424550476)); #470280=CARTESIAN_POINT('',(-17.75,20.,32.00424550476)); #470281=CARTESIAN_POINT('Origin',(-20.,20.,32.00424550476)); #470282=CARTESIAN_POINT('',(22.25,20.,32.00424550476)); #470283=CARTESIAN_POINT('Origin',(20.,20.,32.00424550476)); #470284=CARTESIAN_POINT('',(22.25,-20.,32.00424550476)); #470285=CARTESIAN_POINT('Origin',(20.,-20.,32.00424550476)); #470286=CARTESIAN_POINT('Origin',(24.25456345124,-11.,30.00424550476)); #470287=CARTESIAN_POINT('',(24.4,-11.,28.00424550476)); #470288=CARTESIAN_POINT('',(24.10912690248,-11.,32.00424550476)); #470289=CARTESIAN_POINT('Origin',(0.,0.,32.09993048552)); #470290=CARTESIAN_POINT('',(21.88428589069,-14.94416377903,28.00424550476)); #470291=CARTESIAN_POINT('Origin',(22.29719694523,-15.22612913336,32.09993048552)); #470292=CARTESIAN_POINT('Origin',(24.4,-10.4,32.09993048552)); #470293=CARTESIAN_POINT('Origin',(23.34099025767,-16.81801948466,30.00424550476)); #470294=CARTESIAN_POINT('',(23.5,-16.81801948466,32.00424550476)); #470295=CARTESIAN_POINT('Origin',(23.5,-16.31801948466,27.908560524)); #470296=CARTESIAN_POINT('',(24.,-16.31801948466,28.00424550476)); #470297=CARTESIAN_POINT('Origin',(24.,-15.02706100589,30.00424550476)); #470298=CARTESIAN_POINT('',(24.,-13.73610252712,28.00424550476)); #470299=CARTESIAN_POINT('Origin',(24.2067997864,-13.01805126356,30.00424550476)); #470300=CARTESIAN_POINT('',(24.4135995728,-12.3,32.00424550476)); #470301=CARTESIAN_POINT('Origin',(24.4,-12.89984585655,27.908560524)); #470302=CARTESIAN_POINT('',(25.,-12.89984585655,28.00424550476)); #470303=CARTESIAN_POINT('Origin',(25.,-16.44992292828,30.00424550476)); #470304=CARTESIAN_POINT('',(25.,-20.,32.00424550476)); #470305=CARTESIAN_POINT('Origin',(20.,-20.,32.09993048552)); #470306=CARTESIAN_POINT('',(20.,-25.,28.00424550476)); #470307=CARTESIAN_POINT('Origin',(4.902744876745E-13,-25.,30.00424550476)); #470308=CARTESIAN_POINT('',(-20.,-25.,32.00424550476)); #470309=CARTESIAN_POINT('Origin',(-20.,-20.,32.09993048552)); #470310=CARTESIAN_POINT('',(-25.,-20.,28.00424550476)); #470311=CARTESIAN_POINT('Origin',(-25.,-3.000266701747E-12,30.00424550476)); #470312=CARTESIAN_POINT('',(-25.,20.,32.00424550476)); #470313=CARTESIAN_POINT('Origin',(-20.,20.,32.09993048552)); #470314=CARTESIAN_POINT('',(-20.,25.,28.00424550476)); #470315=CARTESIAN_POINT('Origin',(4.902744876745E-13,25.,30.00424550476)); #470316=CARTESIAN_POINT('',(20.,25.,32.00424550476)); #470317=CARTESIAN_POINT('Origin',(20.,20.,32.09993048552)); #470318=CARTESIAN_POINT('Origin',(0.,0.,29.75424550476)); #470319=CARTESIAN_POINT('Ctrl Pts',(19.6025424857987,-16.774395014202,32.004245504761)); #470320=CARTESIAN_POINT('Ctrl Pts',(19.5021358463281,-16.7867670726248, 31.8506968168871)); #470321=CARTESIAN_POINT('Ctrl Pts',(19.3994373099917,-16.8041404015428, 31.7012622957841)); #470322=CARTESIAN_POINT('Ctrl Pts',(19.0690222069879,-16.8776856467104, 31.2497550083705)); #470323=CARTESIAN_POINT('Ctrl Pts',(18.8060912561654,-16.9616655363999, 30.9323266550127)); #470324=CARTESIAN_POINT('Ctrl Pts',(18.3949289451034,-17.1698329126581, 30.5700693836024)); #470325=CARTESIAN_POINT('Ctrl Pts',(18.255255559109,-17.2527293461742,30.4683578465142)); #470326=CARTESIAN_POINT('Ctrl Pts',(17.9766283133872,-17.4509227435091, 30.3240677548423)); #470327=CARTESIAN_POINT('Ctrl Pts',(17.8376781550644,-17.5661277672231, 30.2813558115492)); #470328=CARTESIAN_POINT('Ctrl Pts',(17.5661277672231,-17.8376781550644, 30.2813558115492)); #470329=CARTESIAN_POINT('Ctrl Pts',(17.4509227435091,-17.9766283133872, 30.3240677548423)); #470330=CARTESIAN_POINT('Ctrl Pts',(17.2527293461742,-18.255255559109,30.4683578465142)); #470331=CARTESIAN_POINT('Ctrl Pts',(17.1698329126581,-18.3949289451034, 30.5700693836024)); #470332=CARTESIAN_POINT('Ctrl Pts',(16.9616655363999,-18.8060912561654, 30.9323266550127)); #470333=CARTESIAN_POINT('Ctrl Pts',(16.8776856467104,-19.0690222069879, 31.2497550083705)); #470334=CARTESIAN_POINT('Ctrl Pts',(16.8041404015428,-19.3994373099917, 31.7012622957841)); #470335=CARTESIAN_POINT('Ctrl Pts',(16.7867670726248,-19.5021358463281, 31.8506968168871)); #470336=CARTESIAN_POINT('Ctrl Pts',(16.774395014202,-19.6025424857987,32.004245504761)); #470337=CARTESIAN_POINT('',(23.8,-3.134218349092E-13,27.50424550476)); #470338=CARTESIAN_POINT('Ctrl Pts',(23.8,-2.06122116807976E-13,27.5042455047602)); #470339=CARTESIAN_POINT('Ctrl Pts',(23.8,-4.77915500694306,27.5042455047603)); #470340=CARTESIAN_POINT('Ctrl Pts',(23.8,-9.95991967833018,32.004245504707)); #470341=CARTESIAN_POINT('',(-3.965190907737E-13,-23.8,27.50424550476)); #470342=CARTESIAN_POINT('Origin',(0.,0.,27.50424550476)); #470343=CARTESIAN_POINT('Ctrl Pts',(9.95991967832961,-23.8,32.0042455047065)); #470344=CARTESIAN_POINT('Ctrl Pts',(4.77915500694257,-23.8,27.5042455047601)); #470345=CARTESIAN_POINT('Ctrl Pts',(-2.5511835768856E-13,-23.8,27.5042455047602)); #470346=CARTESIAN_POINT('Origin',(20.,-20.,30.18591311927)); #470347=CARTESIAN_POINT('Origin',(0.,-23.8,29.75424550476)); #470348=CARTESIAN_POINT('Ctrl Pts',(-2.61041632359803E-13,-23.8,27.5042455047602)); #470349=CARTESIAN_POINT('Ctrl Pts',(-4.77915500694414,-23.8,27.5042455047603)); #470350=CARTESIAN_POINT('Ctrl Pts',(-9.95991967833255,-23.8,32.004245504709)); #470351=CARTESIAN_POINT('Origin',(0.,0.,29.75424550476)); #470352=CARTESIAN_POINT('Ctrl Pts',(-16.774395014202,-19.6025424857987, 32.004245504761)); #470353=CARTESIAN_POINT('Ctrl Pts',(-16.7867670726248,-19.5021358463281, 31.8506968168871)); #470354=CARTESIAN_POINT('Ctrl Pts',(-16.8041404015428,-19.3994373099917, 31.7012622957841)); #470355=CARTESIAN_POINT('Ctrl Pts',(-16.8776856467104,-19.0690222069879, 31.2497550083705)); #470356=CARTESIAN_POINT('Ctrl Pts',(-16.9616655363999,-18.8060912561654, 30.9323266550127)); #470357=CARTESIAN_POINT('Ctrl Pts',(-17.1698329126581,-18.3949289451034, 30.5700693836024)); #470358=CARTESIAN_POINT('Ctrl Pts',(-17.2527293461742,-18.255255559109, 30.4683578465142)); #470359=CARTESIAN_POINT('Ctrl Pts',(-17.4509227435091,-17.9766283133872, 30.3240677548423)); #470360=CARTESIAN_POINT('Ctrl Pts',(-17.5661277672231,-17.8376781550644, 30.2813558115492)); #470361=CARTESIAN_POINT('Ctrl Pts',(-17.8376781550644,-17.5661277672231, 30.2813558115492)); #470362=CARTESIAN_POINT('Ctrl Pts',(-17.9766283133872,-17.4509227435091, 30.3240677548423)); #470363=CARTESIAN_POINT('Ctrl Pts',(-18.255255559109,-17.2527293461742, 30.4683578465142)); #470364=CARTESIAN_POINT('Ctrl Pts',(-18.3949289451034,-17.1698329126581, 30.5700693836024)); #470365=CARTESIAN_POINT('Ctrl Pts',(-18.8060912561654,-16.9616655363999, 30.9323266550127)); #470366=CARTESIAN_POINT('Ctrl Pts',(-19.0690222069879,-16.8776856467104, 31.2497550083705)); #470367=CARTESIAN_POINT('Ctrl Pts',(-19.3994373099917,-16.8041404015428, 31.7012622957841)); #470368=CARTESIAN_POINT('Ctrl Pts',(-19.5021358463281,-16.7867670726248, 31.8506968168871)); #470369=CARTESIAN_POINT('Ctrl Pts',(-19.6025424857987,-16.774395014202, 32.004245504761)); #470370=CARTESIAN_POINT('',(-23.8,-9.896485012768E-13,27.50424550476)); #470371=CARTESIAN_POINT('Origin',(0.,0.,27.50424550476)); #470372=CARTESIAN_POINT('Ctrl Pts',(-23.8,-9.95991967833018,32.004245504707)); #470373=CARTESIAN_POINT('Ctrl Pts',(-23.8,-4.77915500694329,27.5042455047605)); #470374=CARTESIAN_POINT('Ctrl Pts',(-23.8,-6.53846386923662E-13,27.5042455047602)); #470375=CARTESIAN_POINT('Origin',(-20.,-20.,30.18591311927)); #470376=CARTESIAN_POINT('Origin',(-23.8,-1.498356994034E-12,29.75424550476)); #470377=CARTESIAN_POINT('Ctrl Pts',(-23.8,-6.53871273289605E-13,27.5042455047602)); #470378=CARTESIAN_POINT('Ctrl Pts',(-23.8,4.77915500694341,27.5042455047599)); #470379=CARTESIAN_POINT('Ctrl Pts',(-23.8,9.95991967833199,32.0042455047086)); #470380=CARTESIAN_POINT('Origin',(0.,0.,29.75424550476)); #470381=CARTESIAN_POINT('Ctrl Pts',(-19.6025424857987,16.774395014202,32.004245504761)); #470382=CARTESIAN_POINT('Ctrl Pts',(-19.5021358463281,16.7867670726248, 31.8506968168871)); #470383=CARTESIAN_POINT('Ctrl Pts',(-19.3994373099917,16.8041404015428, 31.7012622957841)); #470384=CARTESIAN_POINT('Ctrl Pts',(-19.0690222069879,16.8776856467104, 31.2497550083705)); #470385=CARTESIAN_POINT('Ctrl Pts',(-18.8060912561654,16.9616655363999, 30.9323266550127)); #470386=CARTESIAN_POINT('Ctrl Pts',(-18.3949289451034,17.1698329126581, 30.5700693836024)); #470387=CARTESIAN_POINT('Ctrl Pts',(-18.255255559109,17.2527293461742,30.4683578465142)); #470388=CARTESIAN_POINT('Ctrl Pts',(-17.9766283133872,17.4509227435091, 30.3240677548423)); #470389=CARTESIAN_POINT('Ctrl Pts',(-17.8376781550644,17.5661277672231, 30.2813558115492)); #470390=CARTESIAN_POINT('Ctrl Pts',(-17.5661277672231,17.8376781550644, 30.2813558115492)); #470391=CARTESIAN_POINT('Ctrl Pts',(-17.4509227435091,17.9766283133872, 30.3240677548423)); #470392=CARTESIAN_POINT('Ctrl Pts',(-17.2527293461742,18.255255559109,30.4683578465142)); #470393=CARTESIAN_POINT('Ctrl Pts',(-17.1698329126581,18.3949289451034, 30.5700693836024)); #470394=CARTESIAN_POINT('Ctrl Pts',(-16.9616655363999,18.8060912561654, 30.9323266550127)); #470395=CARTESIAN_POINT('Ctrl Pts',(-16.8776856467104,19.0690222069879, 31.2497550083705)); #470396=CARTESIAN_POINT('Ctrl Pts',(-16.8041404015428,19.3994373099917, 31.7012622957841)); #470397=CARTESIAN_POINT('Ctrl Pts',(-16.7867670726248,19.5021358463281, 31.8506968168871)); #470398=CARTESIAN_POINT('Ctrl Pts',(-16.774395014202,19.6025424857987,32.004245504761)); #470399=CARTESIAN_POINT('',(3.994337501557E-13,23.8,27.50424550476)); #470400=CARTESIAN_POINT('Origin',(0.,0.,27.50424550476)); #470401=CARTESIAN_POINT('Ctrl Pts',(-9.9599196783911,23.8,32.0042455047599)); #470402=CARTESIAN_POINT('Ctrl Pts',(-4.77915500696978,23.8,27.5042455047601)); #470403=CARTESIAN_POINT('Ctrl Pts',(2.66100273748002E-13,23.8,27.5042455047602)); #470404=CARTESIAN_POINT('Origin',(-20.,20.,30.18591311927)); #470405=CARTESIAN_POINT('Origin',(0.,23.8,29.75424550476)); #470406=CARTESIAN_POINT('Ctrl Pts',(2.66110401920188E-13,23.8,27.5042455047602)); #470407=CARTESIAN_POINT('Ctrl Pts',(4.7791550069698,23.8,27.5042455047603)); #470408=CARTESIAN_POINT('Ctrl Pts',(9.95991967839052,23.8,32.0042455047594)); #470409=CARTESIAN_POINT('Origin',(0.,0.,29.75424550476)); #470410=CARTESIAN_POINT('Ctrl Pts',(16.774395014202,19.6025424857987,32.004245504761)); #470411=CARTESIAN_POINT('Ctrl Pts',(16.7867670726248,19.5021358463281,31.8506968168871)); #470412=CARTESIAN_POINT('Ctrl Pts',(16.8041404015428,19.3994373099917,31.7012622957841)); #470413=CARTESIAN_POINT('Ctrl Pts',(16.8776856467104,19.0690222069879,31.2497550083705)); #470414=CARTESIAN_POINT('Ctrl Pts',(16.9616655363999,18.8060912561654,30.9323266550127)); #470415=CARTESIAN_POINT('Ctrl Pts',(17.1698329126581,18.3949289451034,30.5700693836024)); #470416=CARTESIAN_POINT('Ctrl Pts',(17.2527293461742,18.255255559109,30.4683578465142)); #470417=CARTESIAN_POINT('Ctrl Pts',(17.4509227435091,17.9766283133872,30.3240677548423)); #470418=CARTESIAN_POINT('Ctrl Pts',(17.5661277672231,17.8376781550644,30.2813558115492)); #470419=CARTESIAN_POINT('Ctrl Pts',(17.8376781550644,17.5661277672231,30.2813558115492)); #470420=CARTESIAN_POINT('Ctrl Pts',(17.9766283133872,17.4509227435091,30.3240677548423)); #470421=CARTESIAN_POINT('Ctrl Pts',(18.255255559109,17.2527293461742,30.4683578465142)); #470422=CARTESIAN_POINT('Ctrl Pts',(18.3949289451034,17.1698329126581,30.5700693836024)); #470423=CARTESIAN_POINT('Ctrl Pts',(18.8060912561654,16.9616655363999,30.9323266550127)); #470424=CARTESIAN_POINT('Ctrl Pts',(19.0690222069878,16.8776856467104,31.2497550083705)); #470425=CARTESIAN_POINT('Ctrl Pts',(19.3994373099917,16.8041404015428,31.7012622957841)); #470426=CARTESIAN_POINT('Ctrl Pts',(19.5021358463281,16.7867670726248,31.8506968168871)); #470427=CARTESIAN_POINT('Ctrl Pts',(19.6025424857987,16.774395014202,32.004245504761)); #470428=CARTESIAN_POINT('Origin',(0.,0.,27.50424550476)); #470429=CARTESIAN_POINT('Ctrl Pts',(23.8,9.9599196783911,32.0042455047599)); #470430=CARTESIAN_POINT('Ctrl Pts',(23.8,4.77915500696982,27.5042455047601)); #470431=CARTESIAN_POINT('Ctrl Pts',(23.8,-1.90916386879519E-13,27.5042455047602)); #470432=CARTESIAN_POINT('Origin',(20.,20.,30.18591311927)); #470433=CARTESIAN_POINT('Origin',(23.8,-9.992007221626E-13,29.75424550476)); #470434=CARTESIAN_POINT('Origin',(0.,0.,3.534245504764)); #470435=CARTESIAN_POINT('',(-23.7662146766702,-1.28746341173955,4.0021227523825)); #470436=CARTESIAN_POINT('',(-23.78348712375,-0.8864198972969,4.)); #470437=CARTESIAN_POINT('Origin',(0.,0.,4.)); #470438=CARTESIAN_POINT('',(-23.4191896793289,4.2404659686404,11.9800292028852)); #470439=CARTESIAN_POINT('Ctrl Pts',(-23.7834871237519,-0.886419897297954, 3.99999999999449)); #470440=CARTESIAN_POINT('Ctrl Pts',(-23.78391936086,-0.874822565464157, 4.04745546883266)); #470441=CARTESIAN_POINT('Ctrl Pts',(-23.7843466574488,-0.86313044903162, 4.09446607725933)); #470442=CARTESIAN_POINT('Ctrl Pts',(-23.7847700234872,-0.851301902868336, 4.14119406699909)); #470443=CARTESIAN_POINT('Ctrl Pts',(-23.7861244497976,-0.813460194539161, 4.29068555429865)); #470444=CARTESIAN_POINT('Ctrl Pts',(-23.7874387831524,-0.774253786510929, 4.43730624603191)); #470445=CARTESIAN_POINT('Ctrl Pts',(-23.7887132021093,-0.732887568315983, 4.58553631068587)); #470446=CARTESIAN_POINT('Ctrl Pts',(-23.7899481095878,-0.69280384864617, 4.72917072102104)); #470447=CARTESIAN_POINT('Ctrl Pts',(-23.7911740097638,-0.649387895408419, 4.87834713205869)); #470448=CARTESIAN_POINT('Ctrl Pts',(-23.7922175488024,-0.608591907978716, 5.01373284575581)); #470449=CARTESIAN_POINT('Ctrl Pts',(-23.7935044603559,-0.558281545246025, 5.18069300027255)); #470450=CARTESIAN_POINT('Ctrl Pts',(-23.7945020435965,-0.513224635682036, 5.32317462711952)); #470451=CARTESIAN_POINT('Ctrl Pts',(-23.795325642954,-0.471675254573134, 5.44958898287367)); #470452=CARTESIAN_POINT('Ctrl Pts',(-23.7964138626666,-0.416776164189641, 5.61661994596138)); #470453=CARTESIAN_POINT('Ctrl Pts',(-23.7972586748186,-0.363006120934056, 5.77239769818639)); #470454=CARTESIAN_POINT('Ctrl Pts',(-23.7977529508644,-0.327038972639509, 5.87951248815236)); #470455=CARTESIAN_POINT('Ctrl Pts',(-23.7983699918144,-0.282138550010221, 6.01323171715359)); #470456=CARTESIAN_POINT('Ctrl Pts',(-23.798638204252,-0.256211442493129, 6.09197160000094)); #470457=CARTESIAN_POINT('Ctrl Pts',(-23.7989127019093,-0.227495509623518, 6.17581964333557)); #470458=CARTESIAN_POINT('Ctrl Pts',(-23.7991080716374,-0.207057360703729, 6.2354972726185)); #470459=CARTESIAN_POINT('Ctrl Pts',(-23.7992548988458,-0.189087686954277, 6.2865958262392)); #470460=CARTESIAN_POINT('Ctrl Pts',(-23.7993776066414,-0.172120703271928, 6.33349147915959)); #470461=CARTESIAN_POINT('Ctrl Pts',(-23.7997475413101,-0.120969303685531, 6.47487066159444)); #470462=CARTESIAN_POINT('Ctrl Pts',(-23.7999815148616,-0.0795162138676129, 6.58047339938073)); #470463=CARTESIAN_POINT('Ctrl Pts',(-23.7999993078333,-0.00573995952279968, 6.76298305049508)); #470464=CARTESIAN_POINT('Ctrl Pts',(-23.8000164146775,0.0651913560070138, 6.93845481665339)); #470465=CARTESIAN_POINT('Ctrl Pts',(-23.799559265264,0.157854541792477, 7.16065760546741)); #470466=CARTESIAN_POINT('Ctrl Pts',(-23.7989774737966,0.220615506527177, 7.30548861909009)); #470467=CARTESIAN_POINT('Ctrl Pts',(-23.7985677365373,0.264816061416199, 7.40748850380064)); #470468=CARTESIAN_POINT('Ctrl Pts',(-23.7981353924413,0.301984953674523, 7.49145830107019)); #470469=CARTESIAN_POINT('Ctrl Pts',(-23.7974055967233,0.351406979218881, 7.59957878474942)); #470470=CARTESIAN_POINT('Ctrl Pts',(-23.7967033995917,0.398960018679723, 7.70361049065975)); #470471=CARTESIAN_POINT('Ctrl Pts',(-23.7957282337224,0.459019086461438, 7.82945651143015)); #470472=CARTESIAN_POINT('Ctrl Pts',(-23.793761278447,0.544907537363136, 8.0164841748275)); #470473=CARTESIAN_POINT('Ctrl Pts',(-23.7924713289576,0.601234066377558, 8.13913883908391)); #470474=CARTESIAN_POINT('Ctrl Pts',(-23.7909644047312,0.658346660253041, 8.26745098040484)); #470475=CARTESIAN_POINT('Ctrl Pts',(-23.7892065464984,0.716695115120799, 8.38893486620683)); #470476=CARTESIAN_POINT('Ctrl Pts',(-23.7889979576208,0.723618789899691, 8.4033502422108)); #470477=CARTESIAN_POINT('Ctrl Pts',(-23.7887810871279,0.730717781655498, 8.41800462599308)); #470478=CARTESIAN_POINT('Ctrl Pts',(-23.7885530165986,0.738068680054189, 8.43301502170394)); #470479=CARTESIAN_POINT('Ctrl Pts',(-23.7875455458355,0.770540281017132, 8.49932141765492)); #470480=CARTESIAN_POINT('Ctrl Pts',(-23.7863314596468,0.80792457204398, 8.57257402899938)); #470481=CARTESIAN_POINT('Ctrl Pts',(-23.7846043298068,0.855918731268242, 8.66329560876308)); #470482=CARTESIAN_POINT('Ctrl Pts',(-23.7838604392885,0.876590251817548, 8.70237021764596)); #470483=CARTESIAN_POINT('Ctrl Pts',(-23.7833169444621,0.891849706746439, 8.73100711315651)); #470484=CARTESIAN_POINT('Ctrl Pts',(-23.7817709935051,0.931326157948598, 8.80433436324218)); #470485=CARTESIAN_POINT('Ctrl Pts',(-23.7797933668938,0.981825612710319, 8.89813677039219)); #470486=CARTESIAN_POINT('Ctrl Pts',(-23.7761371559676,1.06894479503637, 9.05943139899598)); #470487=CARTESIAN_POINT('Ctrl Pts',(-23.7719808784024,1.15452376193525, 9.21154783605322)); #470488=CARTESIAN_POINT('Ctrl Pts',(-23.7679547071781,1.23742380133916, 9.35890248501655)); #470489=CARTESIAN_POINT('Ctrl Pts',(-23.763384098298,1.32184723263539,9.50370779436652)); #470490=CARTESIAN_POINT('Ctrl Pts',(-23.7589502508537,1.39724120231915, 9.63537335788151)); #470491=CARTESIAN_POINT('Ctrl Pts',(-23.7542808759292,1.47664012524643, 9.77403304079185)); #470492=CARTESIAN_POINT('Ctrl Pts',(-23.7500078241755,1.54306977320151, 9.89143621980811)); #470493=CARTESIAN_POINT('Ctrl Pts',(-23.7457752572372,1.60566417185581, 9.99753446218872)); #470494=CARTESIAN_POINT('Ctrl Pts',(-23.7397009822614,1.69549514084321, 10.149799011826)); #470495=CARTESIAN_POINT('Ctrl Pts',(-23.7337347984685,1.77725219398404, 10.2808992130742)); #470496=CARTESIAN_POINT('Ctrl Pts',(-23.7263642571452,1.87073224627769, 10.4180857138343)); #470497=CARTESIAN_POINT('Ctrl Pts',(-23.7216770509923,1.9301797491224,10.5053278012538)); #470498=CARTESIAN_POINT('Ctrl Pts',(-23.7166342386783,1.99124764401388, 10.5899287114873)); #470499=CARTESIAN_POINT('Ctrl Pts',(-23.71131276858,2.05271692899292,10.6720801098363)); #470500=CARTESIAN_POINT('Ctrl Pts',(-23.7092054197553,2.07705930488948, 10.7046127839958)); #470501=CARTESIAN_POINT('Ctrl Pts',(-23.706943587837,2.10291846875805,10.7388321348738)); #470502=CARTESIAN_POINT('Ctrl Pts',(-23.7035299436727,2.14071675132653, 10.787832754198)); #470503=CARTESIAN_POINT('Ctrl Pts',(-23.6956715924894,2.22772997363526, 10.9006342227513)); #470504=CARTESIAN_POINT('Ctrl Pts',(-23.6852184256444,2.33662698779357, 11.0347000927001)); #470505=CARTESIAN_POINT('Ctrl Pts',(-23.675308207622,2.43306006380996,11.1353869733459)); #470506=CARTESIAN_POINT('Ctrl Pts',(-23.6627558052956,2.55520336731618, 11.2629181973808)); #470507=CARTESIAN_POINT('Ctrl Pts',(-23.6497365899515,2.672231144689,11.3621797154686)); #470508=CARTESIAN_POINT('Ctrl Pts',(-23.6375826602092,2.77573161198603, 11.4482505096141)); #470509=CARTESIAN_POINT('Ctrl Pts',(-23.6225489921185,2.90375536278545, 11.5547148202452)); #470510=CARTESIAN_POINT('Ctrl Pts',(-23.6086035640848,3.01379112318055, 11.6395213907597)); #470511=CARTESIAN_POINT('Ctrl Pts',(-23.596145343769,3.10836370390075,11.7071786781595)); #470512=CARTESIAN_POINT('Ctrl Pts',(-23.594319140182,3.12222674226148,11.7170963050601)); #470513=CARTESIAN_POINT('Ctrl Pts',(-23.5925272272823,3.13573536883977, 11.7266387369366)); #470514=CARTESIAN_POINT('Ctrl Pts',(-23.590765623352,3.14893272460279,11.7358511238711)); #470515=CARTESIAN_POINT('Ctrl Pts',(-23.5708834230835,3.29788361292909, 11.8398259838457)); #470516=CARTESIAN_POINT('Ctrl Pts',(-23.5535942795207,3.41818248721178, 11.9084657866638)); #470517=CARTESIAN_POINT('Ctrl Pts',(-23.5349002412119,3.54238205678101, 11.9504454763768)); #470518=CARTESIAN_POINT('Ctrl Pts',(-23.5330209884655,3.55486744802516, 11.9546655622611)); #470519=CARTESIAN_POINT('Ctrl Pts',(-23.5311246794853,3.56739878880056, 11.9586036405294)); #470520=CARTESIAN_POINT('Ctrl Pts',(-23.5292054160382,3.58001291755738, 11.9622510872118)); #470521=CARTESIAN_POINT('Ctrl Pts',(-23.4974198832087,3.78891951885404, 12.0226576126653)); #470522=CARTESIAN_POINT('Ctrl Pts',(-23.459071777776,4.02020600814587,12.0018871584287)); #470523=CARTESIAN_POINT('Ctrl Pts',(-23.4191897477417,4.24046596015915, 11.9800291129308)); #470524=CARTESIAN_POINT('',(-23.7741510096746,1.10893812685384,4.00197404580722)); #470525=CARTESIAN_POINT('Ctrl Pts',(-23.4191897000595,4.24046622349782, 11.980029332178)); #470526=CARTESIAN_POINT('Ctrl Pts',(-23.4090583112989,4.29641972077983, 11.8041280163715)); #470527=CARTESIAN_POINT('Ctrl Pts',(-23.3986086253498,4.35261319809426, 11.6266034744379)); #470528=CARTESIAN_POINT('Ctrl Pts',(-23.3943350594061,4.37552645897146, 11.2615329282982)); #470529=CARTESIAN_POINT('Ctrl Pts',(-23.4023365721341,4.33298695681792, 11.1236859834579)); #470530=CARTESIAN_POINT('Ctrl Pts',(-23.4165303546932,4.25515288255406, 10.8793115998331)); #470531=CARTESIAN_POINT('Ctrl Pts',(-23.427081720069,4.19680425368875,10.6870292949114)); #470532=CARTESIAN_POINT('Ctrl Pts',(-23.4439261362945,4.10194133859286, 10.4066147512557)); #470533=CARTESIAN_POINT('Ctrl Pts',(-23.4520332774349,4.05574195739502, 10.3121665844317)); #470534=CARTESIAN_POINT('Ctrl Pts',(-23.4970108744979,3.7901419638062,9.76256711298323)); #470535=CARTESIAN_POINT('Ctrl Pts',(-23.500146805718,3.7669256975475,9.70683002236695)); #470536=CARTESIAN_POINT('Ctrl Pts',(-23.5449478539478,3.48118058907618, 9.23400451616215)); #470537=CARTESIAN_POINT('Ctrl Pts',(-23.5750547201581,3.27073647159371, 8.89711423681447)); #470538=CARTESIAN_POINT('Ctrl Pts',(-23.6068521681646,3.02629885937817, 8.47910771219878)); #470539=CARTESIAN_POINT('Ctrl Pts',(-23.6124282786312,2.98247280079548, 8.40458534718046)); #470540=CARTESIAN_POINT('Ctrl Pts',(-23.6311378706148,2.83212579400338, 8.14513768344616)); #470541=CARTESIAN_POINT('Ctrl Pts',(-23.6388763960394,2.76589968769908, 8.02397343416389)); #470542=CARTESIAN_POINT('Ctrl Pts',(-23.6595521258605,2.58377818507121, 7.68297769098529)); #470543=CARTESIAN_POINT('Ctrl Pts',(-23.6700911740135,2.48515765781278, 7.49084337478094)); #470544=CARTESIAN_POINT('Ctrl Pts',(-23.6861664643572,2.32585944187804, 7.16571618151082)); #470545=CARTESIAN_POINT('Ctrl Pts',(-23.6924249360276,2.26114014930797, 7.02963251199273)); #470546=CARTESIAN_POINT('Ctrl Pts',(-23.7034918217414,2.14193635160002, 6.76927156472818)); #470547=CARTESIAN_POINT('Ctrl Pts',(-23.7079984003398,2.09120376709495, 6.65279120182809)); #470548=CARTESIAN_POINT('Ctrl Pts',(-23.7183313837787,1.97128553149315, 6.37654834255095)); #470549=CARTESIAN_POINT('Ctrl Pts',(-23.727241088942,1.86154705625453,6.14063098508617)); #470550=CARTESIAN_POINT('Ctrl Pts',(-23.7381949569503,1.7151801300606,5.76132564623165)); #470551=CARTESIAN_POINT('Ctrl Pts',(-23.7422662106866,1.65785116273264, 5.60181511917181)); #470552=CARTESIAN_POINT('Ctrl Pts',(-23.7487639538346,1.5612560087428,5.34900206534582)); #470553=CARTESIAN_POINT('Ctrl Pts',(-23.7521453247979,1.50919331360284, 5.21277596842672)); #470554=CARTESIAN_POINT('Ctrl Pts',(-23.7595440446909,1.38912162393263, 4.8784421740808)); #470555=CARTESIAN_POINT('Ctrl Pts',(-23.7629357909849,1.32851770389818, 4.68573125757766)); #470556=CARTESIAN_POINT('Ctrl Pts',(-23.7705069699825,1.18827593398801, 4.24983786079489)); #470557=CARTESIAN_POINT('Ctrl Pts',(-23.7718108572414,1.15910786670225, 4.17793364696297)); #470558=CARTESIAN_POINT('Ctrl Pts',(-23.7741510096746,1.10893812685384, 4.00197404580722)); #470559=CARTESIAN_POINT('',(-8.19179628001235,22.3463425916291,4.00233887816857)); #470560=CARTESIAN_POINT('Origin',(0.,0.,4.004245504764)); #470561=CARTESIAN_POINT('',(-3.2040044956799,23.5833491091845,11.9800290786449)); #470562=CARTESIAN_POINT('Ctrl Pts',(-8.19209330895731,22.3456843085491, 4.00193246815102)); #470563=CARTESIAN_POINT('Ctrl Pts',(-8.18134094533182,22.3496262046618, 4.04873905695486)); #470564=CARTESIAN_POINT('Ctrl Pts',(-8.17050266470473,22.3535908196688, 4.0950985310357)); #470565=CARTESIAN_POINT('Ctrl Pts',(-8.15953436662918,22.3575937640838, 4.14119406699419)); #470566=CARTESIAN_POINT('Ctrl Pts',(-8.1241065548383,22.3705233508225,4.29008352651553)); #470567=CARTESIAN_POINT('Ctrl Pts',(-8.08716886751329,22.3839098494891, 4.43697543288272)); #470568=CARTESIAN_POINT('Ctrl Pts',(-8.04813415133133,22.3979359915635, 4.58553631068282)); #470569=CARTESIAN_POINT('Ctrl Pts',(-8.00908226536692,22.4119683031668, 4.73416253436478)); #470570=CARTESIAN_POINT('Ctrl Pts',(-7.9667818899127,22.427033379575,4.88784667756645)); #470571=CARTESIAN_POINT('Ctrl Pts',(-7.93100485629014,22.4396782946972, 5.01373284575619)); #470572=CARTESIAN_POINT('Ctrl Pts',(-7.88198055761967,22.4570052788778, 5.18623124718664)); #470573=CARTESIAN_POINT('Ctrl Pts',(-7.84182541721332,22.4710385504397, 5.32055279488827)); #470574=CARTESIAN_POINT('Ctrl Pts',(-7.80174983479405,22.4849438406079, 5.44958898287068)); #470575=CARTESIAN_POINT('Ctrl Pts',(-7.75683967773894,22.5005266151467, 5.59419163411737)); #470576=CARTESIAN_POINT('Ctrl Pts',(-7.70861640428901,22.5170904261575, 5.74213950614765)); #470577=CARTESIAN_POINT('Ctrl Pts',(-7.66494263575497,22.5319474167367, 5.87951248815166)); #470578=CARTESIAN_POINT('Ctrl Pts',(-7.61355801060148,22.5494274949952, 6.04113946524644)); #470579=CARTESIAN_POINT('Ctrl Pts',(-7.56682230595213,22.565146492944,6.19158766856902)); #470580=CARTESIAN_POINT('Ctrl Pts',(-7.51810865242631,22.5813649341733, 6.33349147916032)); #470581=CARTESIAN_POINT('Ctrl Pts',(-7.46649256892719,22.5985496936017, 6.48385012414352)); #470582=CARTESIAN_POINT('Ctrl Pts',(-7.41320237411061,22.6160905756535, 6.62475025122379)); #470583=CARTESIAN_POINT('Ctrl Pts',(-7.36006327812383,22.6333706845006, 6.76298305049743)); #470584=CARTESIAN_POINT('Ctrl Pts',(-7.26488296018884,22.6643220250057, 7.0105793172307)); #470585=CARTESIAN_POINT('Ctrl Pts',(-7.17103917391292,22.6942353647475, 7.24655083991244)); #470586=CARTESIAN_POINT('Ctrl Pts',(-7.06590495889846,22.7269220773913, 7.49241604126015)); #470587=CARTESIAN_POINT('Ctrl Pts',(-7.05139872313202,22.7314321330375, 7.5263400932638)); #470588=CARTESIAN_POINT('Ctrl Pts',(-7.03614726011833,22.7361591263933, 7.56168539099384)); #470589=CARTESIAN_POINT('Ctrl Pts',(-7.01959485394514,22.7412683922086, 7.59957878474953)); #470590=CARTESIAN_POINT('Ctrl Pts',(-6.96420375249911,22.7583660792839, 7.72638553391303)); #470591=CARTESIAN_POINT('Ctrl Pts',(-6.89636981649739,22.779031224272,7.87573242876819)); #470592=CARTESIAN_POINT('Ctrl Pts',(-6.83443873093603,22.7975974004517, 8.01648417482883)); #470593=CARTESIAN_POINT('Ctrl Pts',(-6.76340866638072,22.8188913383931, 8.17791531265467)); #470594=CARTESIAN_POINT('Ctrl Pts',(-6.69669194809237,22.8384931948599, 8.33189882905601)); #470595=CARTESIAN_POINT('Ctrl Pts',(-6.64912212607382,22.8523341248231, 8.43301502170742)); #470596=CARTESIAN_POINT('Ctrl Pts',(-6.59448684015861,22.8682308243226, 8.54914982864474)); #470597=CARTESIAN_POINT('Ctrl Pts',(-6.56001876526448,22.8782925115385, 8.61766822620813)); #470598=CARTESIAN_POINT('Ctrl Pts',(-6.46322758201896,22.9056038825225, 8.80433436324227)); #470599=CARTESIAN_POINT('Ctrl Pts',(-6.40218555143723,22.922827987931,8.92205665636178)); #470600=CARTESIAN_POINT('Ctrl Pts',(-6.32340236717095,22.9447314299094, 9.07268108498538)); #470601=CARTESIAN_POINT('Ctrl Pts',(-6.24792873438269,22.9652647824943, 9.21154783605049)); #470602=CARTESIAN_POINT('Ctrl Pts',(-6.16461566831445,22.987930930887,9.36483865270729)); #470603=CARTESIAN_POINT('Ctrl Pts',(-6.07611345409635,23.0114122028327, 9.52177031130421)); #470604=CARTESIAN_POINT('Ctrl Pts',(-6.01306404571777,23.0278757331651, 9.63537335787906)); #470605=CARTESIAN_POINT('Ctrl Pts',(-5.92469637168622,23.0509503966591, 9.79459510550339)); #470606=CARTESIAN_POINT('Ctrl Pts',(-5.88244328087514,23.0616658778539, 9.87188070413695)); #470607=CARTESIAN_POINT('Ctrl Pts',(-5.82449431638131,23.0762922922735, 9.97353064372905)); #470608=CARTESIAN_POINT('Ctrl Pts',(-5.8199221658409,23.077446310627,9.98155078404214)); #470609=CARTESIAN_POINT('Ctrl Pts',(-5.81534861530093,23.0785992375624, 9.98955096320977)); #470610=CARTESIAN_POINT('Ctrl Pts',(-5.8107707254654,23.0797518092391,9.99753446219004)); #470611=CARTESIAN_POINT('Ctrl Pts',(-5.72961391000451,23.1001845960104, 10.1390659050057)); #470612=CARTESIAN_POINT('Ctrl Pts',(-5.64077395948303,23.1220647186167, 10.2855424158304)); #470613=CARTESIAN_POINT('Ctrl Pts',(-5.55267767710443,23.1432013907797, 10.4180857138429)); #470614=CARTESIAN_POINT('Ctrl Pts',(-5.45338672598601,23.1670239656475, 10.5674717011821)); #470615=CARTESIAN_POINT('Ctrl Pts',(-5.36114191772537,23.1884379550582, 10.6921030946913)); #470616=CARTESIAN_POINT('Ctrl Pts',(-5.28885096337012,23.2049144684323, 10.7878327542)); #470617=CARTESIAN_POINT('Ctrl Pts',(-5.14107911100379,23.238594545332,10.9835162838775)); #470618=CARTESIAN_POINT('Ctrl Pts',(-5.10063317703957,23.2471101396891, 11.0275275369236)); #470619=CARTESIAN_POINT('Ctrl Pts',(-5.03442642885943,23.261439132874,11.1004137166491)); #470620=CARTESIAN_POINT('Ctrl Pts',(-5.02362225198015,23.2637774591191, 11.1123078996358)); #470621=CARTESIAN_POINT('Ctrl Pts',(-5.01284579285986,23.2661019076879, 11.123965607174)); #470622=CARTESIAN_POINT('Ctrl Pts',(-5.00209495499743,23.268413054207,11.1353869733392)); #470623=CARTESIAN_POINT('Ctrl Pts',(-4.88192102487674,23.2942472788349, 11.2630561352206)); #470624=CARTESIAN_POINT('Ctrl Pts',(-4.76666189856962,23.3180140756748, 11.3622351166577)); #470625=CARTESIAN_POINT('Ctrl Pts',(-4.66453711086836,23.3384252583873, 11.4482505096173)); #470626=CARTESIAN_POINT('Ctrl Pts',(-4.53833185534638,23.3636492866348, 11.5545478629987)); #470627=CARTESIAN_POINT('Ctrl Pts',(-4.42952577655646,23.3843637705025, 11.6392676600764)); #470628=CARTESIAN_POINT('Ctrl Pts',(-4.33538035734549,23.4018049978446, 11.7071786781654)); #470629=CARTESIAN_POINT('Ctrl Pts',(-4.32164649539585,23.4043493107868, 11.7170854856884)); #470630=CARTESIAN_POINT('Ctrl Pts',(-4.30824730725761,23.4068191532674, 11.726626771278)); #470631=CARTESIAN_POINT('Ctrl Pts',(-4.29513450081139,23.4092250965285, 11.7358511238774)); #470632=CARTESIAN_POINT('Ctrl Pts',(-4.14698072337579,23.4364084141422, 11.8400715810018)); #470633=CARTESIAN_POINT('Ctrl Pts',(-4.02724240420293,23.4571312981182, 11.9085788793855)); #470634=CARTESIAN_POINT('Ctrl Pts',(-3.90367859712285,23.4776764908788, 11.9504454763866)); #470635=CARTESIAN_POINT('Ctrl Pts',(-3.89122059615234,23.4797479067521, 11.9546665676463)); #470636=CARTESIAN_POINT('Ctrl Pts',(-3.87871670463923,23.4818167834428, 11.9586044673078)); #470637=CARTESIAN_POINT('Ctrl Pts',(-3.86612972401124,23.4838889657807, 11.9622510872213)); #470638=CARTESIAN_POINT('Ctrl Pts',(-3.65751905972293,23.5182323358727, 12.0226884411073)); #470639=CARTESIAN_POINT('Ctrl Pts',(-3.42579958247911,23.5532163040881, 12.0018862589174)); #470640=CARTESIAN_POINT('Ctrl Pts',(-3.20400449563487,23.5833491088936, 11.9800290787008)); #470641=CARTESIAN_POINT('',(-6.29196585480875,22.9532386752267,4.00193046088705)); #470642=CARTESIAN_POINT('Ctrl Pts',(-3.20400449650279,23.5833491087757, 11.9800290783635)); #470643=CARTESIAN_POINT('Ctrl Pts',(-3.14765783008424,23.591004296838,11.8041247744535)); #470644=CARTESIAN_POINT('Ctrl Pts',(-3.09098603936488,23.5984307222309, 11.6266044219632)); #470645=CARTESIAN_POINT('Ctrl Pts',(-3.06787451002478,23.6014467912834, 11.2615286821511)); #470646=CARTESIAN_POINT('Ctrl Pts',(-3.11080579603129,23.5959110933901, 11.1236810225436)); #470647=CARTESIAN_POINT('Ctrl Pts',(-3.18921672564386,23.5853580974217, 10.8793060899184)); #470648=CARTESIAN_POINT('Ctrl Pts',(-3.24797016678307,23.5773623141382, 10.6870232953858)); #470649=CARTESIAN_POINT('Ctrl Pts',(-3.34339560834014,23.5640680106057, 10.4066097814292)); #470650=CARTESIAN_POINT('Ctrl Pts',(-3.38983970292095,23.5575018767282, 10.3121610804064)); #470651=CARTESIAN_POINT('Ctrl Pts',(-3.65633529177285,23.5182037249756, 9.76256945988258)); #470652=CARTESIAN_POINT('Ctrl Pts',(-3.67938511569346,23.514011893396,9.70682980341868)); #470653=CARTESIAN_POINT('Ctrl Pts',(-3.96499370129062,23.4683193246956, 9.23399981069816)); #470654=CARTESIAN_POINT('Ctrl Pts',(-4.17443775334231,23.4319224659179, 8.89711354220133)); #470655=CARTESIAN_POINT('Ctrl Pts',(-4.4167378131998,23.3866282610547,8.47910673357272)); #470656=CARTESIAN_POINT('Ctrl Pts',(-4.46014483382126,23.3783879155072, 8.40457951421821)); #470657=CARTESIAN_POINT('Ctrl Pts',(-4.60891124507469,23.3497226814128, 8.14513786345251)); #470658=CARTESIAN_POINT('Ctrl Pts',(-4.67428665785125,23.3366176211326, 8.02397505588859)); #470659=CARTESIAN_POINT('Ctrl Pts',(-4.85387897434803,23.3000037591549, 7.68298862294105)); #470660=CARTESIAN_POINT('Ctrl Pts',(-4.95093010712704,23.2795514530339, 7.49085331380419)); #470661=CARTESIAN_POINT('Ctrl Pts',(-5.10740772172853,23.2456123039981, 7.16570906152208)); #470662=CARTESIAN_POINT('Ctrl Pts',(-5.17090224449081,23.231563121793,7.02960613205245)); #470663=CARTESIAN_POINT('Ctrl Pts',(-5.28770915217032,23.2052483064768, 6.76920380562448)); #470664=CARTESIAN_POINT('Ctrl Pts',(-5.33748625639615,23.1938256757763, 6.6524029219416)); #470665=CARTESIAN_POINT('Ctrl Pts',(-5.42829325636428,23.1727355486825, 6.43844563498127)); #470666=CARTESIAN_POINT('Ctrl Pts',(-5.47293009035167,23.1622352131232, 6.33507097414158)); #470667=CARTESIAN_POINT('Ctrl Pts',(-5.60480033334704,23.1308075640414, 6.0295656671791)); #470668=CARTESIAN_POINT('Ctrl Pts',(-5.63538515191751,23.1233165476811, 5.9484699219207)); #470669=CARTESIAN_POINT('Ctrl Pts',(-5.74843406990517,23.0953975996483, 5.63443266625125)); #470670=CARTESIAN_POINT('Ctrl Pts',(-5.91422755382209,23.0537059787018, 5.19822816620774)); #470671=CARTESIAN_POINT('Ctrl Pts',(-6.06972992748114,23.0130672884457, 4.72238516823504)); #470672=CARTESIAN_POINT('Ctrl Pts',(-6.105059034155,23.0037184073246,4.60143110002687)); #470673=CARTESIAN_POINT('Ctrl Pts',(-6.20685495622267,22.9764596503574, 4.28201183550225)); #470674=CARTESIAN_POINT('Ctrl Pts',(-6.24574189554732,22.9659096354106, 4.16985415440322)); #470675=CARTESIAN_POINT('Ctrl Pts',(-6.29196585480875,22.9532386752267, 4.00193046088705)); #470676=CARTESIAN_POINT('',(-5.728750807066E-13,23.8,4.004245504764)); #470677=CARTESIAN_POINT('Origin',(0.,0.,4.004245504764)); #470678=CARTESIAN_POINT('',(18.7205174275137,14.6963338028964,4.00196428368506)); #470679=CARTESIAN_POINT('Origin',(0.,0.,4.004245504765)); #470680=CARTESIAN_POINT('',(21.4390060071346,10.3348450128733,11.9800290786407)); #470681=CARTESIAN_POINT('Ctrl Pts',(21.4390060068708,10.33484501274,11.9800290786969)); #470682=CARTESIAN_POINT('Ctrl Pts',(21.3504569725354,10.5185345868633,11.9999416595864)); #470683=CARTESIAN_POINT('Ctrl Pts',(21.2560128414001,10.7079731843827,12.0192966219997)); #470684=CARTESIAN_POINT('Ctrl Pts',(21.1388424567132,10.9358520438084,11.963683739509)); #470685=CARTESIAN_POINT('Ctrl Pts',(21.1107628076521,10.990021638502,11.9444414492697)); #470686=CARTESIAN_POINT('Ctrl Pts',(21.0221228308012,11.1590768378028,11.8591337787136)); #470687=CARTESIAN_POINT('Ctrl Pts',(20.932529177641,11.3312169724091,11.7478262343444)); #470688=CARTESIAN_POINT('Ctrl Pts',(20.6665819517781,11.8053943397823,11.2997096049599)); #470689=CARTESIAN_POINT('Ctrl Pts',(20.6107784783173,11.9015056367241,11.1948624040579)); #470690=CARTESIAN_POINT('Ctrl Pts',(20.5251949492876,12.0482879153455,11.0094778961206)); #470691=CARTESIAN_POINT('Ctrl Pts',(20.4918624475295,12.104861255692,10.9298243338272)); #470692=CARTESIAN_POINT('Ctrl Pts',(20.3910842077981,12.2744224832113,10.6797391111996)); #470693=CARTESIAN_POINT('Ctrl Pts',(20.313053441253,12.4039119571972,10.484060125925)); #470694=CARTESIAN_POINT('Ctrl Pts',(20.1472955198799,12.6704833948921,9.97954648658728)); #470695=CARTESIAN_POINT('Ctrl Pts',(20.0969178396728,12.7508504033221,9.80831114986176)); #470696=CARTESIAN_POINT('Ctrl Pts',(19.9696341727978,12.948259043155,9.40117204466273)); #470697=CARTESIAN_POINT('Ctrl Pts',(19.9379385258783,12.9970228402532,9.30061970435508)); #470698=CARTESIAN_POINT('Ctrl Pts',(19.8470856562083,13.1355888453166,9.00528661819051)); #470699=CARTESIAN_POINT('Ctrl Pts',(19.7693602595532,13.2521892510246,8.74750396886341)); #470700=CARTESIAN_POINT('Ctrl Pts',(19.6559254539215,13.4200311996643,8.35597084689192)); #470701=CARTESIAN_POINT('Ctrl Pts',(19.6212976751812,13.4704274424557,8.21350701628426)); #470702=CARTESIAN_POINT('Ctrl Pts',(19.5075087557294,13.6348688149087,7.77777251404245)); #470703=CARTESIAN_POINT('Ctrl Pts',(19.4392971301654,13.7330260627149,7.53872751752987)); #470704=CARTESIAN_POINT('Ctrl Pts',(19.1990735350156,14.06690761253,6.55257243185671)); #470705=CARTESIAN_POINT('Ctrl Pts',(19.0939410102523,14.2088050629079,6.0473854982725)); #470706=CARTESIAN_POINT('Ctrl Pts',(18.9620923917311,14.3833751582334,5.39324889127028)); #470707=CARTESIAN_POINT('Ctrl Pts',(18.9413481798065,14.4106517070864,5.28587537498209)); #470708=CARTESIAN_POINT('Ctrl Pts',(18.8824522082462,14.4877990567183,4.97211803299898)); #470709=CARTESIAN_POINT('Ctrl Pts',(18.8473558739751,14.5334148724594,4.776705407611)); #470710=CARTESIAN_POINT('Ctrl Pts',(18.781485996284,14.6184334679379,4.39118862398213)); #470711=CARTESIAN_POINT('Ctrl Pts',(18.7504024996076,14.6582655322338,4.19976989113941)); #470712=CARTESIAN_POINT('Ctrl Pts',(18.7205174275137,14.6963338028964,4.00196428368506)); #470713=CARTESIAN_POINT('',(19.8855189343525,13.076931471546,4.00203311498567)); #470714=CARTESIAN_POINT('Ctrl Pts',(21.439006006489,10.3348450135321,11.9800290783582)); #470715=CARTESIAN_POINT('Ctrl Pts',(21.4561451966372,10.2992908083116,11.8579334688915)); #470716=CARTESIAN_POINT('Ctrl Pts',(21.4732600862857,10.2635126730569,11.7348922624282)); #470717=CARTESIAN_POINT('Ctrl Pts',(21.4975002497109,10.2126641065546,11.4500591094746)); #470718=CARTESIAN_POINT('Ctrl Pts',(21.4954618790564,10.2169147841843,11.3398797458493)); #470719=CARTESIAN_POINT('Ctrl Pts',(21.4718873901604,10.2664321220027,10.9984769766304)); #470720=CARTESIAN_POINT('Ctrl Pts',(21.4328124505026,10.3480370468453,10.7615402003301)); #470721=CARTESIAN_POINT('Ctrl Pts',(21.3672230613106,10.4826474639547,10.349778497623)); #470722=CARTESIAN_POINT('Ctrl Pts',(21.3406488110121,10.5367535187476,10.2383882374114)); #470723=CARTESIAN_POINT('Ctrl Pts',(21.2511191058089,10.7165499378935,9.82375600023187)); #470724=CARTESIAN_POINT('Ctrl Pts',(21.2435225372156,10.7323360558121,9.75816758692107)); #470725=CARTESIAN_POINT('Ctrl Pts',(21.0912083548236,11.0284265249746,9.23690226564183)); #470726=CARTESIAN_POINT('Ctrl Pts',(21.0183659138357,11.1664866504302,8.9861325350086)); #470727=CARTESIAN_POINT('Ctrl Pts',(20.8859252983462,11.4120589340778,8.5078017564717)); #470728=CARTESIAN_POINT('Ctrl Pts',(20.8524321337218,11.4727398814276,8.39352890518679)); #470729=CARTESIAN_POINT('Ctrl Pts',(20.7734658704271,11.6150225591219,8.11060132131043)); #470730=CARTESIAN_POINT('Ctrl Pts',(20.7383058794344,11.6776334943653,7.97998825300575)); #470731=CARTESIAN_POINT('Ctrl Pts',(20.6548686992232,11.8248424260299,7.66445677989435)); #470732=CARTESIAN_POINT('Ctrl Pts',(20.6055717308729,11.910484773235,7.47243997356827)); #470733=CARTESIAN_POINT('Ctrl Pts',(20.525231443816,12.0481901348601,7.14770288512232)); #470734=CARTESIAN_POINT('Ctrl Pts',(20.4924999119103,12.1037657277088,7.01234218619794)); #470735=CARTESIAN_POINT('Ctrl Pts',(20.406812405988,12.2479976983885,6.64627113800245)); #470736=CARTESIAN_POINT('Ctrl Pts',(20.3543279653115,12.3349896964441,6.41045866047019)); #470737=CARTESIAN_POINT('Ctrl Pts',(20.2465660313629,12.5110845753153,5.93986265219693)); #470738=CARTESIAN_POINT('Ctrl Pts',(20.2294291262192,12.5385769742755,5.84078660799375)); #470739=CARTESIAN_POINT('Ctrl Pts',(20.1601165041701,12.6496150213518,5.49053955375356)); #470740=CARTESIAN_POINT('Ctrl Pts',(20.1344445647673,12.6904169204056,5.36814247975264)); #470741=CARTESIAN_POINT('Ctrl Pts',(20.0540730396157,12.817336744431,4.96657109630004)); #470742=CARTESIAN_POINT('Ctrl Pts',(20.0210853519432,12.8685140062947,4.77626184859794)); #470743=CARTESIAN_POINT('Ctrl Pts',(19.9581101542461,12.9660399540149,4.41381767583154)); #470744=CARTESIAN_POINT('Ctrl Pts',(19.9181387544569,13.0273279348346,4.21001938789432)); #470745=CARTESIAN_POINT('Ctrl Pts',(19.8855189343525,13.076931471546,4.00203311498567)); #470746=CARTESIAN_POINT('',(23.8,-2.390407871644E-12,4.004245504765)); #470747=CARTESIAN_POINT('Origin',(0.,0.,4.004245504765)); #470748=CARTESIAN_POINT('',(23.8,1.0030035599642E-12,3.534245504764)); #470749=CARTESIAN_POINT('Origin',(0.,0.,4.004245504765)); #470750=CARTESIAN_POINT('',(21.99697461715,-9.086974617144,7.004245504761)); #470751=CARTESIAN_POINT('',(21.99697461715,-9.086974617145,7.004245504761)); #470752=CARTESIAN_POINT('',(19.9513353094985,-12.9762944896749,7.004245504761)); #470753=CARTESIAN_POINT('Origin',(0.,0.,7.004245504761)); #470754=CARTESIAN_POINT('',(19.95133532732,-12.97629445483,4.00424551174)); #470755=CARTESIAN_POINT('',(19.7620020901629,-13.2628531390646,4.00196404399307)); #470756=CARTESIAN_POINT('Origin',(0.,0.,4.004245504766)); #470757=CARTESIAN_POINT('',(16.4540388536853,-17.1960636767402,11.9800290082186)); #470758=CARTESIAN_POINT('Ctrl Pts',(19.7620020901629,-13.2628531390646, 4.00196404399307)); #470759=CARTESIAN_POINT('Ctrl Pts',(19.744130279846,-13.2894826092951,4.13304056628238)); #470760=CARTESIAN_POINT('Ctrl Pts',(19.7258161564768,-13.3166575146927, 4.26049768595259)); #470761=CARTESIAN_POINT('Ctrl Pts',(19.6870644736743,-13.3738808921026, 4.51805506917938)); #470762=CARTESIAN_POINT('Ctrl Pts',(19.6665883312964,-13.4039799198899, 4.6483525361953)); #470763=CARTESIAN_POINT('Ctrl Pts',(19.6066838575085,-13.4915794602083, 5.01527002265389)); #470764=CARTESIAN_POINT('Ctrl Pts',(19.5716654573327,-13.5425826723835, 5.22300581822737)); #470765=CARTESIAN_POINT('Ctrl Pts',(19.412461538104,-13.7704493330926,6.05359918171727)); #470766=CARTESIAN_POINT('Ctrl Pts',(19.3095369766913,-13.9149000536903, 6.56026510421133)); #470767=CARTESIAN_POINT('Ctrl Pts',(19.0666971917923,-14.2457934407532, 7.54313796529938)); #470768=CARTESIAN_POINT('Ctrl Pts',(18.9954790408021,-14.3396476578185, 7.77811250170312)); #470769=CARTESIAN_POINT('Ctrl Pts',(18.8715235965064,-14.5021796464383, 8.22434184319386)); #470770=CARTESIAN_POINT('Ctrl Pts',(18.8338474933165,-14.5512593374563, 8.36750767430492)); #470771=CARTESIAN_POINT('Ctrl Pts',(18.7088360115362,-14.7114731709651, 8.7587083831381)); #470772=CARTESIAN_POINT('Ctrl Pts',(18.622999424004,-14.8200951948106,9.01371981392867)); #470773=CARTESIAN_POINT('Ctrl Pts',(18.5176181516599,-14.9513091031091, 9.31293981021172)); #470774=CARTESIAN_POINT('Ctrl Pts',(18.479538121443,-14.9983547843722,9.41751254831832)); #470775=CARTESIAN_POINT('Ctrl Pts',(18.2706843603278,-15.2542957053082, 9.99025801281602)); #470776=CARTESIAN_POINT('Ctrl Pts',(18.138797219994,-15.4129619801003,10.3613835227223)); #470777=CARTESIAN_POINT('Ctrl Pts',(17.8538595822246,-15.7379742796872, 10.912797973608)); #470778=CARTESIAN_POINT('Ctrl Pts',(17.8102506574095,-15.7873322361461, 10.9935343613397)); #470779=CARTESIAN_POINT('Ctrl Pts',(17.6912173838902,-15.9208258701261, 11.1912980215091)); #470780=CARTESIAN_POINT('Ctrl Pts',(17.6166071693848,-16.0039792507433, 11.2958330190488)); #470781=CARTESIAN_POINT('Ctrl Pts',(17.2391286858306,-16.4132512885165, 11.7563565547787)); #470782=CARTESIAN_POINT('Ctrl Pts',(17.1017712399776,-16.5523467186929, 11.8629999509778)); #470783=CARTESIAN_POINT('Ctrl Pts',(16.9802892834532,-16.6767712067722, 11.9420304726393)); #470784=CARTESIAN_POINT('Ctrl Pts',(16.9370581762594,-16.7206353303041, 11.962009527247)); #470785=CARTESIAN_POINT('Ctrl Pts',(16.7551073937915,-16.9040160642923, 12.0196550705775)); #470786=CARTESIAN_POINT('Ctrl Pts',(16.6027155747063,-17.0538024665251, 12.0001228527944)); #470787=CARTESIAN_POINT('Ctrl Pts',(16.4540388408848,-17.1960636723249, 11.9800290716716)); #470788=CARTESIAN_POINT('',(18.5820445700829,-14.871301396668,4.00216484502935)); #470789=CARTESIAN_POINT('Ctrl Pts',(16.4540388741451,-17.1960636404999, 11.9800289953405)); #470790=CARTESIAN_POINT('Ctrl Pts',(16.4084722099583,-17.2396640643858, 11.7849403867197)); #470791=CARTESIAN_POINT('Ctrl Pts',(16.362427824009,-17.2832565328418,11.5879304685117)); #470792=CARTESIAN_POINT('Ctrl Pts',(16.3613046022826,-17.284319837248,11.2197433389086)); #470793=CARTESIAN_POINT('Ctrl Pts',(16.3962705954263,-17.2513710384923, 11.0866776094406)); #470794=CARTESIAN_POINT('Ctrl Pts',(16.4712933552902,-17.1795458221148, 10.7564878150086)); #470795=CARTESIAN_POINT('Ctrl Pts',(16.4834253559226,-17.1679061406696, 10.7022517598749)); #470796=CARTESIAN_POINT('Ctrl Pts',(16.535900070701,-17.1174485957679,10.4791368633169)); #470797=CARTESIAN_POINT('Ctrl Pts',(16.5651014098749,-17.0892677308748, 10.3830164306236)); #470798=CARTESIAN_POINT('Ctrl Pts',(16.6772267432555,-16.9798921889796, 10.0591438987117)); #470799=CARTESIAN_POINT('Ctrl Pts',(16.7320348281941,-16.9259201917849, 9.88843497703228)); #470800=CARTESIAN_POINT('Ctrl Pts',(16.832016005115,-16.8263497335828,9.62425458120151)); #470801=CARTESIAN_POINT('Ctrl Pts',(16.8682503276376,-16.7900931259823, 9.54263856639276)); #470802=CARTESIAN_POINT('Ctrl Pts',(17.0546294870986,-16.6017196746941, 9.13286528834598)); #470803=CARTESIAN_POINT('Ctrl Pts',(17.1776332561412,-16.4743054326421, 8.82918371122113)); #470804=CARTESIAN_POINT('Ctrl Pts',(17.3346975554768,-16.3079818579377, 8.44170739872419)); #470805=CARTESIAN_POINT('Ctrl Pts',(17.3652077931529,-16.2754872447447, 8.36636025020219)); #470806=CARTESIAN_POINT('Ctrl Pts',(17.4510901770471,-16.1835152531556, 8.14960303079884)); #470807=CARTESIAN_POINT('Ctrl Pts',(17.5000704579988,-16.1304624269534, 8.0190511647009)); #470808=CARTESIAN_POINT('Ctrl Pts',(17.6109664882462,-16.0094844820914, 7.71487393308687)); #470809=CARTESIAN_POINT('Ctrl Pts',(17.6787740561872,-15.9345597316356, 7.52015973408635)); #470810=CARTESIAN_POINT('Ctrl Pts',(17.7863160020579,-15.8142724639974, 7.1940703232758)); #470811=CARTESIAN_POINT('Ctrl Pts',(17.8298890142253,-15.7651162708918, 7.05717466347569)); #470812=CARTESIAN_POINT('Ctrl Pts',(17.9430278028385,-15.6364868200562, 6.68656098171496)); #470813=CARTESIAN_POINT('Ctrl Pts',(18.0101550050476,-15.5590946967578, 6.44674329787021)); #470814=CARTESIAN_POINT('Ctrl Pts',(18.1457601354398,-15.4007148267666, 5.97504924628931)); #470815=CARTESIAN_POINT('Ctrl Pts',(18.1662451107907,-15.3763812616705, 5.88321874951574)); #470816=CARTESIAN_POINT('Ctrl Pts',(18.2665614699131,-15.2571077565804, 5.46344707167509)); #470817=CARTESIAN_POINT('Ctrl Pts',(18.2834869041041,-15.2366865124789, 5.39923858995891)); #470818=CARTESIAN_POINT('Ctrl Pts',(18.3813374066169,-15.1187655887601, 4.99254459896841)); #470819=CARTESIAN_POINT('Ctrl Pts',(18.4067763838474,-15.0876150732015, 4.8689761685227)); #470820=CARTESIAN_POINT('Ctrl Pts',(18.4965950036173,-14.9773350384475, 4.42494367590713)); #470821=CARTESIAN_POINT('Ctrl Pts',(18.5437667226074,-14.9188616601091, 4.21563606903042)); #470822=CARTESIAN_POINT('Ctrl Pts',(18.5818641817905,-14.8712583035025, 4.00203311525899)); #470823=CARTESIAN_POINT('',(-7.611607409816E-13,-23.8,4.004245504765)); #470824=CARTESIAN_POINT('Origin',(0.,0.,4.004245504766)); #470825=CARTESIAN_POINT('',(-6.50692856096748,-22.8932278349399,4.00196466917811)); #470826=CARTESIAN_POINT('Origin',(0.,0.,4.004245504765)); #470827=CARTESIAN_POINT('',(-11.2698508020333,-20.9625967455005,11.9800289663363)); #470828=CARTESIAN_POINT('Ctrl Pts',(-6.50692856096748,-22.8932278349399, 4.00196466917811)); #470829=CARTESIAN_POINT('Ctrl Pts',(-6.55350402162462,-22.8799897193987, 4.19986357185192)); #470830=CARTESIAN_POINT('Ctrl Pts',(-6.60208828099477,-22.8660282171624, 4.39137189162224)); #470831=CARTESIAN_POINT('Ctrl Pts',(-6.70540231778535,-22.8359487217404, 4.77706162701279)); #470832=CARTESIAN_POINT('Ctrl Pts',(-6.76063872564239,-22.8196645560213, 4.97257036950289)); #470833=CARTESIAN_POINT('Ctrl Pts',(-6.85369547590923,-22.7918497404955, 5.28650870251439)); #470834=CARTESIAN_POINT('Ctrl Pts',(-6.88649248492685,-22.7819809376397, 5.39380563083008)); #470835=CARTESIAN_POINT('Ctrl Pts',(-7.09591162440225,-22.71820403973,6.04831780924926)); #470836=CARTESIAN_POINT('Ctrl Pts',(-7.26447973786685,-22.6651649103441, 6.5537264149624)); #470837=CARTESIAN_POINT('Ctrl Pts',(-7.65280650521716,-22.5369874563269, 7.53398616688774)); #470838=CARTESIAN_POINT('Ctrl Pts',(-7.76310762984933,-22.4986215307688, 7.76747991440927)); #470839=CARTESIAN_POINT('Ctrl Pts',(-7.95695141865197,-22.4306631428089, 8.21519803090237)); #470840=CARTESIAN_POINT('Ctrl Pts',(-8.01465225598151,-22.4102218076152, 8.35768484303466)); #470841=CARTESIAN_POINT('Ctrl Pts',(-8.20517752498505,-22.3410645879123, 8.74918977356093)); #470842=CARTESIAN_POINT('Ctrl Pts',(-8.3363418394114,-22.2925106799954, 9.0065273302118)); #470843=CARTESIAN_POINT('Ctrl Pts',(-8.4909756636829,-22.2339202559965, 9.30115810397917)); #470844=CARTESIAN_POINT('Ctrl Pts',(-8.54493439794553,-22.2132327243277, 9.40109377991377)); #470845=CARTESIAN_POINT('Ctrl Pts',(-8.81530552857708,-22.1084424626466, 9.90370568359177)); #470846=CARTESIAN_POINT('Ctrl Pts',(-9.01617079771633,-22.029845421688, 10.3093444752398)); #470847=CARTESIAN_POINT('Ctrl Pts',(-9.44903931571865,-21.8439876832925, 10.9107450546246)); #470848=CARTESIAN_POINT('Ctrl Pts',(-9.50948221954183,-21.8177596879923, 10.9916107127933)); #470849=CARTESIAN_POINT('Ctrl Pts',(-9.67324751380683,-21.7458076875132, 11.1897060029103)); #470850=CARTESIAN_POINT('Ctrl Pts',(-9.77525230392521,-21.7006169614857, 11.2943114541884)); #470851=CARTESIAN_POINT('Ctrl Pts',(-10.2859531976213,-21.4659095046325, 11.7594032714659)); #470852=CARTESIAN_POINT('Ctrl Pts',(-10.4615239682197,-21.3777033325751, 11.8649620845553)); #470853=CARTESIAN_POINT('Ctrl Pts',(-10.6205400819681,-21.299069650755, 11.94574437463)); #470854=CARTESIAN_POINT('Ctrl Pts',(-10.6765742150255,-21.2709490553608, 11.9640078878874)); #470855=CARTESIAN_POINT('Ctrl Pts',(-10.8986495769552,-21.1588963304928, 12.0196982055166)); #470856=CARTESIAN_POINT('Ctrl Pts',(-11.0884121356709,-21.0601412920666, 12.0001446453173)); #470857=CARTESIAN_POINT('Ctrl Pts',(-11.2698508165894,-20.9625967516389, 11.9800290678638)); #470858=CARTESIAN_POINT('',(-8.40129579638763,-22.2678743696294,4.00203498998032)); #470859=CARTESIAN_POINT('Ctrl Pts',(-11.2698507554505,-20.9625967845082, 11.9800289471017)); #470860=CARTESIAN_POINT('Ctrl Pts',(-11.3046330258027,-20.9438972407525, 11.857869638829)); #470861=CARTESIAN_POINT('Ctrl Pts',(-11.3395273412246,-20.9250020464311, 11.73476406132)); #470862=CARTESIAN_POINT('Ctrl Pts',(-11.3890088501458,-20.8981219612256, 11.4498417962179)); #470863=CARTESIAN_POINT('Ctrl Pts',(-11.3848362866687,-20.9003763960343, 11.3396170525048)); #470864=CARTESIAN_POINT('Ctrl Pts',(-11.3375579286747,-20.9260907078042, 11.0053730841182)); #470865=CARTESIAN_POINT('Ctrl Pts',(-11.2037100977936,-20.9980361766687, 10.5952132351072)); #470866=CARTESIAN_POINT('Ctrl Pts',(-11.1246302099806,-21.0401218348695, 10.349085364029)); #470867=CARTESIAN_POINT('Ctrl Pts',(-11.0711223758206,-21.0683815934596, 10.2373262413831)); #470868=CARTESIAN_POINT('Ctrl Pts',(-10.8929948774831,-21.1612190356633, 9.82253904898269)); #470869=CARTESIAN_POINT('Ctrl Pts',(-10.8788129182647,-21.1688987294476, 9.75937437152413)); #470870=CARTESIAN_POINT('Ctrl Pts',(-10.580010416132,-21.3196782683323, 9.23561876072471)); #470871=CARTESIAN_POINT('Ctrl Pts',(-10.4399453181722,-21.3885412107077, 8.98465360304864)); #470872=CARTESIAN_POINT('Ctrl Pts',(-10.2064672921876,-21.5007015063974, 8.5405949802872)); #470873=CARTESIAN_POINT('Ctrl Pts',(-10.1565392093645,-21.5241497296762, 8.44873413660112)); #470874=CARTESIAN_POINT('Ctrl Pts',(-9.99642874618162,-21.5991612900703, 8.14622308380451)); #470875=CARTESIAN_POINT('Ctrl Pts',(-9.93573698327667,-21.6270229694014, 8.02483727724684)); #470876=CARTESIAN_POINT('Ctrl Pts',(-9.76686183507006,-21.7038890342723, 7.67951402096349)); #470877=CARTESIAN_POINT('Ctrl Pts',(-9.67653615787982,-21.7442835663727, 7.48771922250872)); #470878=CARTESIAN_POINT('Ctrl Pts',(-9.53016011749587,-21.8087168961729, 7.16278762725908)); #470879=CARTESIAN_POINT('Ctrl Pts',(-9.47064569924497,-21.8346195651168, 7.02688317538274)); #470880=CARTESIAN_POINT('Ctrl Pts',(-9.36085416633844,-21.881906150218, 6.76676926147332)); #470881=CARTESIAN_POINT('Ctrl Pts',(-9.31146233634981,-21.9029384606152, 6.64390588327797)); #470882=CARTESIAN_POINT('Ctrl Pts',(-9.17282866823063,-21.9615642470394, 6.29844875472574)); #470883=CARTESIAN_POINT('Ctrl Pts',(-9.11223278581994,-21.9867026707517, 6.165626281584)); #470884=CARTESIAN_POINT('Ctrl Pts',(-8.97256021599502,-22.0439794599019, 5.77886802566332)); #470885=CARTESIAN_POINT('Ctrl Pts',(-8.91913500305428,-22.0656468248076, 5.61780795940468)); #470886=CARTESIAN_POINT('Ctrl Pts',(-8.82479184995478,-22.103499486734, 5.35227559536013)); #470887=CARTESIAN_POINT('Ctrl Pts',(-8.77962063936025,-22.1214917336763, 5.22572618456174)); #470888=CARTESIAN_POINT('Ctrl Pts',(-8.6615007042579,-22.1681061582632, 4.87394561925665)); #470889=CARTESIAN_POINT('Ctrl Pts',(-8.61623899997841,-22.185655465858, 4.71780430259565)); #470890=CARTESIAN_POINT('Ctrl Pts',(-8.47342333741982,-22.2407502923092, 4.24227637785881)); #470891=CARTESIAN_POINT('Ctrl Pts',(-8.447920411454,-22.2502836837803,4.17661515922057)); #470892=CARTESIAN_POINT('Ctrl Pts',(-8.40129579638763,-22.2678743696294, 4.00203498998032)); #470893=CARTESIAN_POINT('Origin',(0.,0.,4.004245504765)); #470894=CARTESIAN_POINT('Ctrl Pts',(-9.660618264889,-11.45787152487,-0.4418422915246)); #470895=CARTESIAN_POINT('Ctrl Pts',(-10.36353837749,-11.14769838957,-0.2671521862545)); #470896=CARTESIAN_POINT('Ctrl Pts',(-12.40964627453,-10.20385757513,0.2465349100831)); #470897=CARTESIAN_POINT('Ctrl Pts',(-17.25210611309,-7.417141522499,1.541964122114)); #470898=CARTESIAN_POINT('Ctrl Pts',(-21.22380711711,-3.982218791924,2.771900102525)); #470899=CARTESIAN_POINT('Ctrl Pts',(-23.6307270549,-1.139126142423,3.622469067872)); #470900=CARTESIAN_POINT('Ctrl Pts',(-23.89401037042,-0.8290194694558,3.715386562116)); #470901=CARTESIAN_POINT('Ctrl Pts',(-9.662507803714,-11.45627887505,-0.4315112997048)); #470902=CARTESIAN_POINT('Ctrl Pts',(-10.36537081624,-11.14602861772,-0.2567753487044)); #470903=CARTESIAN_POINT('Ctrl Pts',(-12.41130038542,-10.2019646441,0.2570529377072)); #470904=CARTESIAN_POINT('Ctrl Pts',(-17.25327176717,-7.414808891228,1.552813748728)); #470905=CARTESIAN_POINT('Ctrl Pts',(-21.22438177726,-3.979663042327,2.783081923947)); #470906=CARTESIAN_POINT('Ctrl Pts',(-23.63086140875,-1.136543604689,3.633874787186)); #470907=CARTESIAN_POINT('Ctrl Pts',(-23.89409665518,-0.8264338738795,3.726816745549)); #470908=CARTESIAN_POINT('Ctrl Pts',(-9.688337921915,-11.43445172413,-0.2906567616374)); #470909=CARTESIAN_POINT('Ctrl Pts',(-10.39041946956,-11.12314918236,-0.1152954676972)); #470910=CARTESIAN_POINT('Ctrl Pts',(-12.43390899528,-10.17604031212,0.4004586527311)); #470911=CARTESIAN_POINT('Ctrl Pts',(-17.26919898141,-7.382884220993,1.700742531795)); #470912=CARTESIAN_POINT('Ctrl Pts',(-21.23223059192,-3.944691843576,2.935541834324)); #470913=CARTESIAN_POINT('Ctrl Pts',(-23.63269214904,-1.101204340185,3.789388698526)); #470914=CARTESIAN_POINT('Ctrl Pts',(-23.89527038995,-0.7910526086203,3.882664351135)); #470915=CARTESIAN_POINT('Ctrl Pts',(-9.740305699862,-11.38885918561,-0.01533950244071)); #470916=CARTESIAN_POINT('Ctrl Pts',(-10.44079569042,-11.07545974581,0.1612458643276)); #470917=CARTESIAN_POINT('Ctrl Pts',(-12.47932709878,-10.12228192938,0.6807699282261)); #470918=CARTESIAN_POINT('Ctrl Pts',(-17.30108590778,-7.317114765563,1.989907386264)); #470919=CARTESIAN_POINT('Ctrl Pts',(-21.24787623577,-3.872716863036,3.233576809713)); #470920=CARTESIAN_POINT('Ctrl Pts',(-23.63625049106,-1.0283401447,4.093401720385)); #470921=CARTESIAN_POINT('Ctrl Pts',(-23.89750912631,-0.7180877660951,4.187330562401)); #470922=CARTESIAN_POINT('Ctrl Pts',(-9.809895274502,-11.32971608154,0.3827575228395)); #470923=CARTESIAN_POINT('Ctrl Pts',(-10.50830563998,-11.01254124217,0.5608815538243)); #470924=CARTESIAN_POINT('Ctrl Pts',(-12.54032042448,-10.04840715181,1.08517696916)); #470925=CARTESIAN_POINT('Ctrl Pts',(-17.34414268489,-7.220437838833,2.405434173054)); #470926=CARTESIAN_POINT('Ctrl Pts',(-21.26900268772,-3.76194008503,3.660350560699)); #470927=CARTESIAN_POINT('Ctrl Pts',(-23.64101567137,-0.912577001849,4.527692019725)); #470928=CARTESIAN_POINT('Ctrl Pts',(-23.90048813382,-0.6017749906274,4.622442217404)); #470929=CARTESIAN_POINT('Ctrl Pts',(-9.90443051005,-11.24702228095,0.7753357817561)); #470930=CARTESIAN_POINT('Ctrl Pts',(-10.59971198366,-10.92659103819,0.955287182755)); #470931=CARTESIAN_POINT('Ctrl Pts',(-12.62212894923,-9.953023872517,1.485203087125)); #470932=CARTESIAN_POINT('Ctrl Pts',(-17.40035533073,-7.106071563893,2.818679583084)); #470933=CARTESIAN_POINT('Ctrl Pts',(-21.29606994976,-3.637115291604,4.08681537633)); #470934=CARTESIAN_POINT('Ctrl Pts',(-23.64654912634,-0.7854210613237,4.963080735078)); #470935=CARTESIAN_POINT('Ctrl Pts',(-23.90367054533,-0.4743593378018,5.05880598549)); #470936=CARTESIAN_POINT('Ctrl Pts',(-9.985888056279,-11.17579435976,1.171145228401)); #470937=CARTESIAN_POINT('Ctrl Pts',(-10.67851966494,-10.85132719545,1.35260431211)); #470938=CARTESIAN_POINT('Ctrl Pts',(-12.69274567332,-9.866040236058,1.887201904643)); #470939=CARTESIAN_POINT('Ctrl Pts',(-17.44887419629,-6.994412180283,3.231572426174)); #470940=CARTESIAN_POINT('Ctrl Pts',(-21.31874087041,-3.509636454585,4.510747878484)); #470941=CARTESIAN_POINT('Ctrl Pts',(-23.65005049554,-0.6517482117852,5.39437885552)); #470942=CARTESIAN_POINT('Ctrl Pts',(-23.90507906113,-0.3400049486885,5.490908981328)); #470943=CARTESIAN_POINT('Ctrl Pts',(-10.09574333437,-11.07605381675,1.564748869977)); #470944=CARTESIAN_POINT('Ctrl Pts',(-10.78452289953,-10.74802152178,1.748081264193)); #470945=CARTESIAN_POINT('Ctrl Pts',(-12.78702171063,-9.752398424,2.28843936086)); #470946=CARTESIAN_POINT('Ctrl Pts',(-17.51223637145,-6.859636645483,3.646361875504)); #470947=CARTESIAN_POINT('Ctrl Pts',(-21.34787654614,-3.362537633126,4.939085230967)); #470948=CARTESIAN_POINT('Ctrl Pts',(-23.6540005789,-0.5010872526709,5.831864372736)); #470949=CARTESIAN_POINT('Ctrl Pts',(-23.9062793131,-0.1889497408595,5.9293940527)); #470950=CARTESIAN_POINT('Ctrl Pts',(-10.19617720462,-10.98424585009,1.963887890244)); #470951=CARTESIAN_POINT('Ctrl Pts',(-10.88149454418,-10.65256987311,2.149020937131)); #470952=CARTESIAN_POINT('Ctrl Pts',(-12.87340800874,-9.646380653229,2.694927318826)); #470953=CARTESIAN_POINT('Ctrl Pts',(-17.57053513734,-6.731929425303,4.065873018474)); #470954=CARTESIAN_POINT('Ctrl Pts',(-21.37457609016,-3.222011506049,5.371652304664)); #470955=CARTESIAN_POINT('Ctrl Pts',(-23.65739358884,-0.356661310535,6.273225523288)); #470956=CARTESIAN_POINT('Ctrl Pts',(-23.90712769967,-0.04409265619409,6.371716090267)); #470957=CARTESIAN_POINT('Ctrl Pts',(-10.34289727249,-10.845001271,2.485928990921)); #470958=CARTESIAN_POINT('Ctrl Pts',(-11.02301187887,-10.50766936839,2.673217712197)); #470959=CARTESIAN_POINT('Ctrl Pts',(-12.99909427454,-9.485051814997,3.225801077117)); #470960=CARTESIAN_POINT('Ctrl Pts',(-17.65452285184,-6.535849260333,4.612327769471)); #470961=CARTESIAN_POINT('Ctrl Pts',(-21.41248837913,-3.003337054707,5.933841087105)); #470962=CARTESIAN_POINT('Ctrl Pts',(-23.66141112192,-0.1288150816233,6.845944516274)); #470963=CARTESIAN_POINT('Ctrl Pts',(-23.90744450265,0.1847621697671,6.945585736045)); #470964=CARTESIAN_POINT('Ctrl Pts',(-10.4981058608,-10.69667566222,2.996316293105)); #470965=CARTESIAN_POINT('Ctrl Pts',(-11.17248800396,-10.35376309012,3.18580509544)); #470966=CARTESIAN_POINT('Ctrl Pts',(-13.13119202433,-9.314936164896,3.745191784167)); #470967=CARTESIAN_POINT('Ctrl Pts',(-17.74111071896,-6.331459557983,5.147623618685)); #470968=CARTESIAN_POINT('Ctrl Pts',(-21.44951201153,-2.776690724123,6.485161599516)); #470969=CARTESIAN_POINT('Ctrl Pts',(-23.66205172427,0.1068381663971,7.408011429611)); #470970=CARTESIAN_POINT('Ctrl Pts',(-23.90411262943,0.4214059343983,7.508826904167)); #470971=CARTESIAN_POINT('Ctrl Pts',(-10.66317010941,-10.52975623501,3.497359481817)); #470972=CARTESIAN_POINT('Ctrl Pts',(-11.3312351246,-10.18096381352,3.688929131822)); #470973=CARTESIAN_POINT('Ctrl Pts',(-13.2708464617,-9.12504997205,4.254769211672)); #470974=CARTESIAN_POINT('Ctrl Pts',(-17.83100470256,-6.105118464743,5.672238876662)); #470975=CARTESIAN_POINT('Ctrl Pts',(-21.4858693495,-2.52604551058,7.024989173135)); #470976=CARTESIAN_POINT('Ctrl Pts',(-23.6591821917,0.3679612564194,7.958004227557)); #470977=CARTESIAN_POINT('Ctrl Pts',(-23.89696005393,0.6836801749975,8.059930487963)); #470978=CARTESIAN_POINT('Ctrl Pts',(-10.79044351846,-10.39902964065,3.858619576466)); #470979=CARTESIAN_POINT('Ctrl Pts',(-11.45360394531,-10.04673785203,4.052149332355)); #470980=CARTESIAN_POINT('Ctrl Pts',(-13.37841716435,-8.980676295136,4.623994565129)); #470981=CARTESIAN_POINT('Ctrl Pts',(-17.90011212766,-5.939911003533,6.05565044266)); #470982=CARTESIAN_POINT('Ctrl Pts',(-21.51388324539,-2.348518435361,7.422509851214)); #470983=CARTESIAN_POINT('Ctrl Pts',(-23.6571185099,0.5492434303703,8.365095477367)); #470984=CARTESIAN_POINT('Ctrl Pts',(-23.89161227989,0.8653774755955,8.468067402627)); #470985=CARTESIAN_POINT('Ctrl Pts',(-10.9123878924,-10.27207612551,4.224701003037)); #470986=CARTESIAN_POINT('Ctrl Pts',(-11.57074243669,-9.914842901617,4.419424104393)); #470987=CARTESIAN_POINT('Ctrl Pts',(-13.48104569092,-8.834404705175,4.995026561492)); #470988=CARTESIAN_POINT('Ctrl Pts',(-17.96498647555,-5.762329949823,6.435290502534)); #470989=CARTESIAN_POINT('Ctrl Pts',(-21.53831116513,-2.148838670535,7.811042195504)); #470990=CARTESIAN_POINT('Ctrl Pts',(-23.65193011434,0.7596643742017,8.759461147236)); #470991=CARTESIAN_POINT('Ctrl Pts',(-23.88319016703,1.076976490024,8.863070606107)); #470992=CARTESIAN_POINT('Ctrl Pts',(-11.0534679643,-10.1185754104,4.583743399692)); #470993=CARTESIAN_POINT('Ctrl Pts',(-11.70604707936,-9.757248257978,4.780287806138)); #470994=CARTESIAN_POINT('Ctrl Pts',(-13.59898775787,-8.66492152834,5.361494822615)); #470995=CARTESIAN_POINT('Ctrl Pts',(-18.03805986911,-5.567804545173,6.81493340784)); #470996=CARTESIAN_POINT('Ctrl Pts',(-21.5641685404,-1.938237029558,8.203868774586)); #470997=CARTESIAN_POINT('Ctrl Pts',(-23.64330939419,0.9765830736852,9.161182185878)); #470998=CARTESIAN_POINT('Ctrl Pts',(-23.87080480284,1.294590227106,9.26576348598)); #470999=CARTESIAN_POINT('Ctrl Pts',(-11.18563876404,-9.971644861216,4.948431800555)); #471000=CARTESIAN_POINT('Ctrl Pts',(-11.83281062457,-9.605342002063,5.146208680324)); #471001=CARTESIAN_POINT('Ctrl Pts',(-13.7094687025,-8.498540608085,5.731293211769)); #471002=CARTESIAN_POINT('Ctrl Pts',(-18.1063517821,-5.369964610653,7.193622929361)); #471003=CARTESIAN_POINT('Ctrl Pts',(-21.58775417593,-1.71831327917,8.591733468848)); #471004=CARTESIAN_POINT('Ctrl Pts',(-23.63421435083,1.207128003442,9.555071281624)); #471005=CARTESIAN_POINT('Ctrl Pts',(-23.85814140533,1.526300231531,9.660310992772)); #471006=CARTESIAN_POINT('Ctrl Pts',(-11.33064224504,-9.807453698428,5.312568167467)); #471007=CARTESIAN_POINT('Ctrl Pts',(-11.97172405785,-9.436943080252,5.512176647454)); #471008=CARTESIAN_POINT('Ctrl Pts',(-13.8300867073,-8.317922133719,6.102902216523)); #471009=CARTESIAN_POINT('Ctrl Pts',(-18.17974417342,-5.163589074913,7.578479686606)); #471010=CARTESIAN_POINT('Ctrl Pts',(-21.61160013517,-1.49536838584,8.989862761608)); #471011=CARTESIAN_POINT('Ctrl Pts',(-23.62189753031,1.436618948731,9.962145623815)); #471012=CARTESIAN_POINT('Ctrl Pts',(-23.841875976,1.756511345635,10.06836271304)); #471013=CARTESIAN_POINT('Ctrl Pts',(-11.4707002728,-9.642849862729,5.667787272776)); #471014=CARTESIAN_POINT('Ctrl Pts',(-12.1057440975,-9.267673922497,5.868822914897)); #471015=CARTESIAN_POINT('Ctrl Pts',(-13.94597345039,-8.135088852653,6.463997528443)); #471016=CARTESIAN_POINT('Ctrl Pts',(-18.24884758727,-4.951564567823,7.949882268891)); #471017=CARTESIAN_POINT('Ctrl Pts',(-21.63168748471,-1.263397331864,9.371767385374)); #471018=CARTESIAN_POINT('Ctrl Pts',(-23.60621676758,1.677669987527,10.35102362583)); #471019=CARTESIAN_POINT('Ctrl Pts',(-23.82228969159,1.998559160867,10.4580027619)); #471020=CARTESIAN_POINT('Ctrl Pts',(-11.63190966327,-9.447576813381,6.012540217035)); #471021=CARTESIAN_POINT('Ctrl Pts',(-12.25978846354,-9.067380957926,6.2149561583)); #471022=CARTESIAN_POINT('Ctrl Pts',(-14.0785675369,-7.92019504701,6.814449574168)); #471023=CARTESIAN_POINT('Ctrl Pts',(-18.32638144227,-4.705029686033,8.310298628608)); #471024=CARTESIAN_POINT('Ctrl Pts',(-21.65231735562,-0.9948248423112,9.742388304544)); #471025=CARTESIAN_POINT('Ctrl Pts',(-23.58541204178,1.956677641622,10.72838997582)); #471026=CARTESIAN_POINT('Ctrl Pts',(-23.79696064158,2.278711727799,10.83610627915)); #471027=CARTESIAN_POINT('Ctrl Pts',(-11.8091233666,-9.22652222387,6.320264115679)); #471028=CARTESIAN_POINT('Ctrl Pts',(-12.42898088851,-8.842050892555,6.524813908349)); #471029=CARTESIAN_POINT('Ctrl Pts',(-14.22380827649,-7.682444699214,7.130830060576)); #471030=CARTESIAN_POINT('Ctrl Pts',(-18.41039900826,-4.440944197483,8.642126986762)); #471031=CARTESIAN_POINT('Ctrl Pts',(-21.67378521869,-0.7134964451565,10.08953242873)); #471032=CARTESIAN_POINT('Ctrl Pts',(-23.56141813032,2.245128052738,11.0859520556)); #471033=CARTESIAN_POINT('Ctrl Pts',(-23.76800259672,2.567945113461,11.1948065793)); #471034=CARTESIAN_POINT('Ctrl Pts',(-11.99655057159,-8.979195883851,6.58574007682)); #471035=CARTESIAN_POINT('Ctrl Pts',(-12.607470664,-8.589502211513,6.791571588701)); #471036=CARTESIAN_POINT('Ctrl Pts',(-14.37567003055,-7.414695834794,7.401604806828)); #471037=CARTESIAN_POINT('Ctrl Pts',(-18.49444386606,-4.139854256503,8.922152497434)); #471038=CARTESIAN_POINT('Ctrl Pts',(-21.6892406448,-0.3884252346637,10.37905374896)); #471039=CARTESIAN_POINT('Ctrl Pts',(-23.52710363136,2.582275438932,11.38173749066)); #471040=CARTESIAN_POINT('Ctrl Pts',(-23.72825346999,2.906416373984,11.49127659438)); #471041=CARTESIAN_POINT('Ctrl Pts',(-12.1334323482,-8.793442050017,6.749238981756)); #471042=CARTESIAN_POINT('Ctrl Pts',(-12.73768721911,-8.400378158357,6.956271853845)); #471043=CARTESIAN_POINT('Ctrl Pts',(-14.48604811321,-7.215768530844,7.570008603416)); #471044=CARTESIAN_POINT('Ctrl Pts',(-18.55437946681,-3.919656506383,9.099244589915)); #471045=CARTESIAN_POINT('Ctrl Pts',(-21.69839283385,-0.1533634796667,10.56486195517)); #471046=CARTESIAN_POINT('Ctrl Pts',(-23.49943395293,2.824422415197,11.57341305179)); #471047=CARTESIAN_POINT('Ctrl Pts',(-23.6965630027,3.149340647465,11.683593257)); #471048=CARTESIAN_POINT('Ctrl Pts',(-12.27318961003,-8.597624030131,6.900486139843)); #471049=CARTESIAN_POINT('Ctrl Pts',(-12.87051654926,-8.201188365024,7.108667662765)); #471050=CARTESIAN_POINT('Ctrl Pts',(-14.59827281068,-7.006769727523,7.725950683054)); #471051=CARTESIAN_POINT('Ctrl Pts',(-18.61427958348,-3.689327754554,9.263492282897)); #471052=CARTESIAN_POINT('Ctrl Pts',(-21.70580164988,0.09195975465614,10.73745897804)); #471053=CARTESIAN_POINT('Ctrl Pts',(-23.46880476063,3.076977120613,11.75162034207)); #471054=CARTESIAN_POINT('Ctrl Pts',(-23.66178020448,3.402688568991,11.86241356793)); #471055=CARTESIAN_POINT('Ctrl Pts',(-12.35791138539,-8.475081991904,6.979091345564)); #471056=CARTESIAN_POINT('Ctrl Pts',(-12.95096015558,-8.076610177183,7.188078238314)); #471057=CARTESIAN_POINT('Ctrl Pts',(-14.66600182578,-6.876266570808,7.807827111579)); #471058=CARTESIAN_POINT('Ctrl Pts',(-18.64975928261,-3.545883866906,9.351197993395)); #471059=CARTESIAN_POINT('Ctrl Pts',(-21.70903945513,0.2446077419402,10.83095722215)); #471060=CARTESIAN_POINT('Ctrl Pts',(-23.44869149934,3.234164640518,11.84905084908)); #471061=CARTESIAN_POINT('Ctrl Pts',(-23.63911708388,3.560373879001,11.96027370451)); #471062=CARTESIAN_POINT('Ctrl Pts',(-12.56012266412,-8.170727180192,7.060368746725)); #471063=CARTESIAN_POINT('Ctrl Pts',(-13.14259572119,-7.767300439222,7.27110519083)); #471064=CARTESIAN_POINT('Ctrl Pts',(-14.82623063062,-6.552533681622,7.896227063187)); #471065=CARTESIAN_POINT('Ctrl Pts',(-18.73042822288,-3.190464713547,9.452256605149)); #471066=CARTESIAN_POINT('Ctrl Pts',(-21.71045170942,0.6229106570661,10.94464795875)); #471067=CARTESIAN_POINT('Ctrl Pts',(-23.39273648864,3.624076267146,11.97128492954)); #471068=CARTESIAN_POINT('Ctrl Pts',(-23.57689772717,3.951558012656,12.0834412414)); #471069=CARTESIAN_POINT('Ctrl Pts',(-12.69482686483,-7.956435255427,7.011106361776)); #471070=CARTESIAN_POINT('Ctrl Pts',(-13.26985907431,-7.54954401459,7.222205219661)); #471071=CARTESIAN_POINT('Ctrl Pts',(-14.93142085997,-6.324686337773,7.848545307742)); #471072=CARTESIAN_POINT('Ctrl Pts',(-18.77980328414,-2.94021320156,9.407167162862)); #471073=CARTESIAN_POINT('Ctrl Pts',(-21.7045104935,0.8897820875339,10.90248968527)); #471074=CARTESIAN_POINT('Ctrl Pts',(-23.3467908252,3.899781082118,11.93090324477)); #471075=CARTESIAN_POINT('Ctrl Pts',(-23.52658365868,4.22823054754,12.04325387072)); #471076=CARTESIAN_POINT('Ctrl Pts',(-12.82215045053,-7.755373016751,6.977874887024)); #471077=CARTESIAN_POINT('Ctrl Pts',(-13.390200532,-7.34522821182,7.189419837387)); #471078=CARTESIAN_POINT('Ctrl Pts',(-15.03104704564,-6.110894762935,7.817215794346)); #471079=CARTESIAN_POINT('Ctrl Pts',(-18.82703553167,-2.705412123912,9.37904090006)); #471080=CARTESIAN_POINT('Ctrl Pts',(-21.69978207716,1.140115083773,10.87784099585)); #471081=CARTESIAN_POINT('Ctrl Pts',(-23.30448049495,4.158321628599,11.90843849986)); #471082=CARTESIAN_POINT('Ctrl Pts',(-23.4801694629,4.487670315995,12.02102791105)); #471083=CARTESIAN_POINT('',(-9.90196060795091,-11.3157139024214,0.0411676040813694)); #471084=CARTESIAN_POINT('Ctrl Pts',(-23.783487123751,-0.886419897297727, 4.0000000000001)); #471085=CARTESIAN_POINT('Ctrl Pts',(-23.5613792535548,-1.15336311731615, 3.90422638512088)); #471086=CARTESIAN_POINT('Ctrl Pts',(-23.3367618983792,-1.42214088934016, 3.80951275537988)); #471087=CARTESIAN_POINT('Ctrl Pts',(-23.1054532378371,-1.69047982797914, 3.71414564659577)); #471088=CARTESIAN_POINT('Ctrl Pts',(-22.0775367860513,-2.88295575618421, 3.2903421459023)); #471089=CARTESIAN_POINT('Ctrl Pts',(-20.9235269958859,-4.06893564844436, 2.85203805705329)); #471090=CARTESIAN_POINT('Ctrl Pts',(-19.5115649868156,-5.29570287415171, 2.38163128034593)); #471091=CARTESIAN_POINT('Ctrl Pts',(-18.7342657744518,-5.97105050544106, 2.12266764345174)); #471092=CARTESIAN_POINT('Ctrl Pts',(-17.8660784936472,-6.66633429593545, 1.85079758782033)); #471093=CARTESIAN_POINT('Ctrl Pts',(-16.9095167701189,-7.35437655775232, 1.57850269499275)); #471094=CARTESIAN_POINT('Ctrl Pts',(-16.4807570081394,-7.66277781315725, 1.45645192653178)); #471095=CARTESIAN_POINT('Ctrl Pts',(-16.0489299462938,-7.95925908164434, 1.33853042274257)); #471096=CARTESIAN_POINT('Ctrl Pts',(-15.6131445931663,-8.24504517063717, 1.22473784431298)); #471097=CARTESIAN_POINT('Ctrl Pts',(-14.3803496789062,-9.05350657152689, 0.902829567768604)); #471098=CARTESIAN_POINT('Ctrl Pts',(-13.1164250883288,-9.77753092644873, 0.613078958318361)); #471099=CARTESIAN_POINT('Ctrl Pts',(-11.7938068976415,-10.4335067906207, 0.361926417638824)); #471100=CARTESIAN_POINT('Ctrl Pts',(-11.2371034803678,-10.7096136881402, 0.256213764782739)); #471101=CARTESIAN_POINT('Ctrl Pts',(-10.6699309924387,-10.9733644978816, 0.15741828019281)); #471102=CARTESIAN_POINT('Ctrl Pts',(-10.092103752596,-11.2312292197033, 0.0695993914018162)); #471103=CARTESIAN_POINT('Ctrl Pts',(-10.028850632547,-11.2594569443261, 0.0599861050555729)); #471104=CARTESIAN_POINT('Ctrl Pts',(-9.96546977513688,-11.2876153721919, 0.0505059356372956)); #471105=CARTESIAN_POINT('Ctrl Pts',(-9.901960777728,-11.3157134877629,0.0411652023967746)); #471106=CARTESIAN_POINT('',(-10.05521010771,-11.18394600597,0.7585880961714)); #471107=CARTESIAN_POINT('Ctrl Pts',(-9.90196001590952,-11.3157140618424, 0.0411721046042034)); #471108=CARTESIAN_POINT('Ctrl Pts',(-9.90234709376587,-11.3153806985445, 0.0432318959505476)); #471109=CARTESIAN_POINT('Ctrl Pts',(-9.902733798248,-11.3150476462229,0.045291473747324)); #471110=CARTESIAN_POINT('Ctrl Pts',(-9.90312017135222,-11.314714899768, 0.047350682096073)); #471111=CARTESIAN_POINT('Ctrl Pts',(-9.91036120916491,-11.3084788816929, 0.0859424095080143)); #471112=CARTESIAN_POINT('Ctrl Pts',(-9.91748501607024,-11.3023510347734, 0.124404823684045)); #471113=CARTESIAN_POINT('Ctrl Pts',(-9.92468081636526,-11.2961804004491, 0.162694751170991)); #471114=CARTESIAN_POINT('Ctrl Pts',(-9.92511664389959,-11.2958066640706, 0.16501385453716)); #471115=CARTESIAN_POINT('Ctrl Pts',(-9.92555272788967,-11.295432772145, 0.167332327471595)); #471116=CARTESIAN_POINT('Ctrl Pts',(-9.92598911967636,-11.2950586876782, 0.169650144598182)); #471117=CARTESIAN_POINT('Ctrl Pts',(-9.92934751431893,-11.29217979924,0.187487658634407)); #471118=CARTESIAN_POINT('Ctrl Pts',(-9.93272410142537,-11.2892895461788, 0.20528632313553)); #471119=CARTESIAN_POINT('Ctrl Pts',(-9.9361382793727,-11.2863735566806, 0.223041355521185)); #471120=CARTESIAN_POINT('Ctrl Pts',(-9.93806718849752,-11.2847261092312, 0.23307242142007)); #471121=CARTESIAN_POINT('Ctrl Pts',(-9.94000811072137,-11.2830704381403, 0.243089531346681)); #471122=CARTESIAN_POINT('Ctrl Pts',(-9.94196455565508,-11.2814039120278, 0.253091777419486)); #471123=CARTESIAN_POINT('Ctrl Pts',(-9.94294277812193,-11.2805706489715, 0.258092900455888)); #471124=CARTESIAN_POINT('Ctrl Pts',(-9.94392488110953,-11.2797346717924, 0.263090307531651)); #471125=CARTESIAN_POINT('Ctrl Pts',(-9.94491130237355,-11.2788956493574, 0.268083885202177)); #471126=CARTESIAN_POINT('Ctrl Pts',(-9.94589772363756,-11.2780566269224, 0.273077462872703)); #471127=CARTESIAN_POINT('Ctrl Pts',(-9.94688846317822,-11.2772145592319, 0.278067211136882)); #471128=CARTESIAN_POINT('Ctrl Pts',(-9.94788395811598,-11.2763691136739, 0.283053016599485)); #471129=CARTESIAN_POINT('Ctrl Pts',(-9.94829303992173,-11.2760216921227, 0.285101849018819)); #471130=CARTESIAN_POINT('Ctrl Pts',(-9.9487025157921,-11.2756738175094, 0.287150731176586)); #471131=CARTESIAN_POINT('Ctrl Pts',(-9.9491124286559,-11.2753254664361, 0.289199586373242)); #471132=CARTESIAN_POINT('Ctrl Pts',(-9.95692278528404,-11.2686880896802, 0.328237858109679)); #471133=CARTESIAN_POINT('Ctrl Pts',(-9.96489326146664,-11.2618770022373, 0.36726289638458)); #471134=CARTESIAN_POINT('Ctrl Pts',(-9.97328843915549,-11.2547390729443, 0.405873990291257)); #471135=CARTESIAN_POINT('Ctrl Pts',(-9.97746912089031,-11.2511844834257, 0.42510177808088)); #471136=CARTESIAN_POINT('Ctrl Pts',(-9.98175470944442,-11.2475489928145, 0.444227890439383)); #471137=CARTESIAN_POINT('Ctrl Pts',(-9.98610200540865,-11.2438438020202, 0.463379772164031)); #471138=CARTESIAN_POINT('Ctrl Pts',(-9.99040583281174,-11.2401756593849, 0.482340154448917)); #471139=CARTESIAN_POINT('Ctrl Pts',(-9.99477008901046,-11.2364392837189, 0.501325724902338)); #471140=CARTESIAN_POINT('Ctrl Pts',(-9.99917611843528,-11.2326523624605, 0.52032280347739)); #471141=CARTESIAN_POINT('Ctrl Pts',(-9.999239852247,-11.2325975841322,0.520597598789088)); #471142=CARTESIAN_POINT('Ctrl Pts',(-9.99930360094955,-11.2325427928053, 0.520872393990671)); #471143=CARTESIAN_POINT('Ctrl Pts',(-9.99936736441341,-11.2324879885413, 0.52114718929053)); #471144=CARTESIAN_POINT('Ctrl Pts',(-10.0015874958717,-11.2305798006371, 0.530715077432245)); #471145=CARTESIAN_POINT('Ctrl Pts',(-10.0038255185134,-11.2286559375961, 0.540283068279414)); #471146=CARTESIAN_POINT('Ctrl Pts',(-10.0060771292917,-11.2267193002152, 0.54985482645336)); #471147=CARTESIAN_POINT('Ctrl Pts',(-10.0070905010683,-11.2258476870207, 0.554162742226087)); #471148=CARTESIAN_POINT('Ctrl Pts',(-10.0081066246947,-11.2249734856192, 0.558471421627741)); #471149=CARTESIAN_POINT('Ctrl Pts',(-10.0091251061317,-11.2240969573247, 0.562781199084693)); #471150=CARTESIAN_POINT('Ctrl Pts',(-10.0100799324789,-11.2232752120485, 0.566821615450586)); #471151=CARTESIAN_POINT('Ctrl Pts',(-10.0110368311099,-11.2224514216281, 0.570862996900625)); #471152=CARTESIAN_POINT('Ctrl Pts',(-10.0119954767041,-11.2216257999589, 0.574905618771576)); #471153=CARTESIAN_POINT('Ctrl Pts',(-10.0155903976821,-11.2185297186993, 0.590065450787644)); #471154=CARTESIAN_POINT('Ctrl Pts',(-10.0192098929466,-11.2154079025172, 0.605242728898004)); #471155=CARTESIAN_POINT('Ctrl Pts',(-10.0228367398986,-11.212271481883, 0.620451949345861)); #471156=CARTESIAN_POINT('Ctrl Pts',(-10.0242657302625,-11.2110357212009, 0.626444435312192)); #471157=CARTESIAN_POINT('Ctrl Pts',(-10.0256958036472,-11.2097976822763, 0.632442208280399)); #471158=CARTESIAN_POINT('Ctrl Pts',(-10.0271259838219,-11.2085580431578, 0.638445754435874)); #471159=CARTESIAN_POINT('Ctrl Pts',(-10.036585403896,-11.200358889394,0.678154083515756)); #471160=CARTESIAN_POINT('Ctrl Pts',(-10.0460491765211,-11.1920904619019, 0.718114095552292)); #471161=CARTESIAN_POINT('Ctrl Pts',(-10.0552101077357,-11.1839460060289, 0.758588096177425)); #471162=CARTESIAN_POINT('',(-10.8374839269,-10.45256675748,3.466917585113)); #471163=CARTESIAN_POINT('Ctrl Pts',(-10.0552101077375,-11.1839460060331, 0.758588096177856)); #471164=CARTESIAN_POINT('Ctrl Pts',(-10.0602129268412,-11.1797241891355, 0.77848426906628)); #471165=CARTESIAN_POINT('Ctrl Pts',(-10.0651007932785,-11.1755186614095, 0.798883391267541)); #471166=CARTESIAN_POINT('Ctrl Pts',(-10.0699452583893,-11.1713239669465, 0.819547668898017)); #471167=CARTESIAN_POINT('Ctrl Pts',(-10.072250608814,-11.1693278248668, 0.829381241876965)); #471168=CARTESIAN_POINT('Ctrl Pts',(-10.0745463085859,-11.1673341635138, 0.839274174910917)); #471169=CARTESIAN_POINT('Ctrl Pts',(-10.0768411221136,-11.1653425335934, 0.849196738568544)); #471170=CARTESIAN_POINT('Ctrl Pts',(-10.0836943594035,-11.1593947246435, 0.87882950935815)); #471171=CARTESIAN_POINT('Ctrl Pts',(-10.0905386173609,-11.1534669177007, 0.908724345849216)); #471172=CARTESIAN_POINT('Ctrl Pts',(-10.0974816551435,-11.1474517356688, 0.938964955525574)); #471173=CARTESIAN_POINT('Ctrl Pts',(-10.104744889058,-11.141159147971,0.970600189075333)); #471174=CARTESIAN_POINT('Ctrl Pts',(-10.1121156153875,-11.1347709249976, 1.0026155951322)); #471175=CARTESIAN_POINT('Ctrl Pts',(-10.1197299138203,-11.1281758793611, 1.03502989703021)); #471176=CARTESIAN_POINT('Ctrl Pts',(-10.1254521348587,-11.1232196369413, 1.05938956744498)); #471177=CARTESIAN_POINT('Ctrl Pts',(-10.1313123773118,-11.1181462246278, 1.08397415897926)); #471178=CARTESIAN_POINT('Ctrl Pts',(-10.1373818275715,-11.1128968173867, 1.10878325504158)); #471179=CARTESIAN_POINT('Ctrl Pts',(-10.1377175111918,-11.1126064879578, 1.11015537390349)); #471180=CARTESIAN_POINT('Ctrl Pts',(-10.1380537102966,-11.1123158156646, 1.11152682199834)); #471181=CARTESIAN_POINT('Ctrl Pts',(-10.1383904373271,-11.1120247905405, 1.11289760260134)); #471182=CARTESIAN_POINT('Ctrl Pts',(-10.1453095754012,-11.1060447448818, 1.14106469168054)); #471183=CARTESIAN_POINT('Ctrl Pts',(-10.1524510087355,-11.0999163108976, 1.1689500493877)); #471184=CARTESIAN_POINT('Ctrl Pts',(-10.1598881720608,-11.0935540634008, 1.19672517348127)); #471185=CARTESIAN_POINT('Ctrl Pts',(-10.1672322907494,-11.0872714125164, 1.22415280939712)); #471186=CARTESIAN_POINT('Ctrl Pts',(-10.1748663163189,-11.0807601320733, 1.251469516916)); #471187=CARTESIAN_POINT('Ctrl Pts',(-10.1826382967556,-11.0740292635897, 1.27928074704807)); #471188=CARTESIAN_POINT('Ctrl Pts',(-10.1940709209811,-11.0641281205398, 1.32019121329829)); #471189=CARTESIAN_POINT('Ctrl Pts',(-10.2058023366271,-11.0537501204477, 1.36217823768551)); #471190=CARTESIAN_POINT('Ctrl Pts',(-10.2177262522435,-11.0429987477257, 1.40550458854808)); #471191=CARTESIAN_POINT('Ctrl Pts',(-10.221571998578,-11.0395311743574, 1.41947836699279)); #471192=CARTESIAN_POINT('Ctrl Pts',(-10.2254375058737,-11.0360249524051, 1.43359164152365)); #471193=CARTESIAN_POINT('Ctrl Pts',(-10.2293182949243,-11.0324842266081, 1.447853782812)); #471194=CARTESIAN_POINT('Ctrl Pts',(-10.2324258942421,-11.029648937978, 1.45927440371139)); #471195=CARTESIAN_POINT('Ctrl Pts',(-10.2355433052851,-11.0267915257883, 1.47079041622088)); #471196=CARTESIAN_POINT('Ctrl Pts',(-10.2386635238957,-11.0239151997481, 1.48242151106467)); #471197=CARTESIAN_POINT('Ctrl Pts',(-10.2432836230268,-11.0196562316763, 1.49964364127061)); #471198=CARTESIAN_POINT('Ctrl Pts',(-10.2478881607629,-11.0153801602133, 1.51700384724115)); #471199=CARTESIAN_POINT('Ctrl Pts',(-10.2524549683517,-11.0110951107295, 1.53458605951938)); #471200=CARTESIAN_POINT('Ctrl Pts',(-10.2600130267461,-11.0040033614952, 1.56368458940447)); #471201=CARTESIAN_POINT('Ctrl Pts',(-10.267467053355,-10.9968866769807, 1.59339511267118)); #471202=CARTESIAN_POINT('Ctrl Pts',(-10.2749592512271,-10.9897641688859, 1.62329923835001)); #471203=CARTESIAN_POINT('Ctrl Pts',(-10.2841189754317,-10.981056415713, 1.65985907679386)); #471204=CARTESIAN_POINT('Ctrl Pts',(-10.2933350670598,-10.9723416707436, 1.69670501612561)); #471205=CARTESIAN_POINT('Ctrl Pts',(-10.3027636089916,-10.9634821011898, 1.7339857504566)); #471206=CARTESIAN_POINT('Ctrl Pts',(-10.308239633915,-10.9583365310648, 1.75563811535428)); #471207=CARTESIAN_POINT('Ctrl Pts',(-10.3137876141695,-10.9531419486155, 1.77743654356854)); #471208=CARTESIAN_POINT('Ctrl Pts',(-10.3194422909901,-10.9478685984495, 1.79940335372255)); #471209=CARTESIAN_POINT('Ctrl Pts',(-10.3288048528591,-10.9391374067735, 1.83577424204293)); #471210=CARTESIAN_POINT('Ctrl Pts',(-10.3384585886124,-10.9301919392617, 1.872606191272)); #471211=CARTESIAN_POINT('Ctrl Pts',(-10.3485365282966,-10.9208873880519, 1.91012673804029)); #471212=CARTESIAN_POINT('Ctrl Pts',(-10.3539477767245,-10.9158914027926, 1.93027301853769)); #471213=CARTESIAN_POINT('Ctrl Pts',(-10.3594239114952,-10.9108324346767, 1.9504986390093)); #471214=CARTESIAN_POINT('Ctrl Pts',(-10.3649883542289,-10.9056913974643, 1.97079500948968)); #471215=CARTESIAN_POINT('Ctrl Pts',(-10.3755015757309,-10.8959781403511, 2.00914210887727)); #471216=CARTESIAN_POINT('Ctrl Pts',(-10.386331720035,-10.8859712617676, 2.04773759063164)); #471217=CARTESIAN_POINT('Ctrl Pts',(-10.397443683844,-10.8756452726463, 2.08684874385667)); #471218=CARTESIAN_POINT('Ctrl Pts',(-10.4023313092259,-10.8711033602184, 2.10405188350908)); #471219=CARTESIAN_POINT('Ctrl Pts',(-10.4072732517145,-10.8664997494652, 2.12135545733462)); #471220=CARTESIAN_POINT('Ctrl Pts',(-10.4122656057583,-10.8618324694637, 2.13878519614234)); #471221=CARTESIAN_POINT('Ctrl Pts',(-10.4280155977787,-10.8471080284217, 2.19377293233419)); #471222=CARTESIAN_POINT('Ctrl Pts',(-10.4442692239422,-10.831747298831, 2.25001886082917)); #471223=CARTESIAN_POINT('Ctrl Pts',(-10.4610520824683,-10.8158351737881, 2.30733403921866)); #471224=CARTESIAN_POINT('Ctrl Pts',(-10.468241430926,-10.8090188253419, 2.33188639951623)); #471225=CARTESIAN_POINT('Ctrl Pts',(-10.4755274140237,-10.8021017477536, 2.35663506482729)); #471226=CARTESIAN_POINT('Ctrl Pts',(-10.4829306916266,-10.7950833194398, 2.38152854207641)); #471227=CARTESIAN_POINT('Ctrl Pts',(-10.4922418811142,-10.7862561594386, 2.41283736177824)); #471228=CARTESIAN_POINT('Ctrl Pts',(-10.5017155074446,-10.7772540467391, 2.44454793757664)); #471229=CARTESIAN_POINT('Ctrl Pts',(-10.511360803932,-10.7680856765341, 2.47658659286374)); #471230=CARTESIAN_POINT('Ctrl Pts',(-10.5317711643847,-10.7486845372439, 2.54438342043426)); #471231=CARTESIAN_POINT('Ctrl Pts',(-10.5529484613331,-10.7285419315946, 2.61364718421036)); #471232=CARTESIAN_POINT('Ctrl Pts',(-10.5749584248982,-10.7075478421265, 2.68429906050351)); #471233=CARTESIAN_POINT('Ctrl Pts',(-10.5880681385927,-10.6950432097863, 2.72638117787915)); #471234=CARTESIAN_POINT('Ctrl Pts',(-10.6014738432552,-10.6822359456742, 2.76895525522102)); #471235=CARTESIAN_POINT('Ctrl Pts',(-10.6151948760989,-10.6690973867531, 2.81200022905491)); #471236=CARTESIAN_POINT('Ctrl Pts',(-10.6250850828799,-10.6596270308394, 2.84302731695335)); #471237=CARTESIAN_POINT('Ctrl Pts',(-10.6351392609864,-10.649984451944, 2.8742988707088)); #471238=CARTESIAN_POINT('Ctrl Pts',(-10.6453607919208,-10.6401585505571, 2.90581811665187)); #471239=CARTESIAN_POINT('Ctrl Pts',(-10.6669388570612,-10.6194156753901, 2.97235651941145)); #471240=CARTESIAN_POINT('Ctrl Pts',(-10.6889224490893,-10.5982055663322, 3.03882083600253)); #471241=CARTESIAN_POINT('Ctrl Pts',(-10.7115522609832,-10.5762534606893, 3.10578699523488)); #471242=CARTESIAN_POINT('Ctrl Pts',(-10.7155869196563,-10.5723396299495, 3.11772636072401)); #471243=CARTESIAN_POINT('Ctrl Pts',(-10.7196421954953,-10.5684021141559, 3.12968163099226)); #471244=CARTESIAN_POINT('Ctrl Pts',(-10.7237195564271,-10.5644392185605, 3.1416560112153)); #471245=CARTESIAN_POINT('Ctrl Pts',(-10.7467672632659,-10.5420385389441, 3.20934244113122)); #471246=CARTESIAN_POINT('Ctrl Pts',(-10.7705208101118,-10.5188289097548, 3.2776369132079)); #471247=CARTESIAN_POINT('Ctrl Pts',(-10.7950742330807,-10.4946165769362, 3.3473140551811)); #471248=CARTESIAN_POINT('Ctrl Pts',(-10.8089501845813,-10.480933386762, 3.3866909128987)); #471249=CARTESIAN_POINT('Ctrl Pts',(-10.8230816219476,-10.4669294863006, 3.42650982555347)); #471250=CARTESIAN_POINT('Ctrl Pts',(-10.8374839269019,-10.452566757484, 3.46691758511376)); #471251=CARTESIAN_POINT('',(-11.56512507766,-9.674529566215,5.369965453595)); #471252=CARTESIAN_POINT('Ctrl Pts',(-11.56512507766,-9.674529566215,5.369965453595)); #471253=CARTESIAN_POINT('Ctrl Pts',(-11.5229615272,-9.723033779021,5.26682478105)); #471254=CARTESIAN_POINT('Ctrl Pts',(-11.45876000382,-9.794678191679,5.114312459729)); #471255=CARTESIAN_POINT('Ctrl Pts',(-11.3751583126,-9.885604350379,4.909827291101)); #471256=CARTESIAN_POINT('Ctrl Pts',(-11.32509029945,-9.941649302174,4.776490953184)); #471257=CARTESIAN_POINT('Ctrl Pts',(-11.28544998001,-9.986772264496,4.667431731725)); #471258=CARTESIAN_POINT('Ctrl Pts',(-11.24552648049,-10.03241107638,4.557706249859)); #471259=CARTESIAN_POINT('Ctrl Pts',(-11.19260354974,-10.0891637127,4.427505555075)); #471260=CARTESIAN_POINT('Ctrl Pts',(-11.12758457316,-10.15446882266,4.278279262465)); #471261=CARTESIAN_POINT('Ctrl Pts',(-11.06629166667,-10.21546826634,4.125941546106)); #471262=CARTESIAN_POINT('Ctrl Pts',(-11.01934734867,-10.26461312139,3.989467926063)); #471263=CARTESIAN_POINT('Ctrl Pts',(-10.98250635044,-10.30435470104,3.876412388197)); #471264=CARTESIAN_POINT('Ctrl Pts',(-10.94458087457,-10.34429820272,3.765387238041)); #471265=CARTESIAN_POINT('Ctrl Pts',(-10.89619894048,-10.39414426437,3.628538222763)); #471266=CARTESIAN_POINT('Ctrl Pts',(-10.85684872899,-10.43330717806,3.52097177949)); #471267=CARTESIAN_POINT('Ctrl Pts',(-10.8374839269,-10.45256675748,3.466917585113)); #471268=CARTESIAN_POINT('',(-11.84414820598,-9.366626978068,5.937382457255)); #471269=CARTESIAN_POINT('Ctrl Pts',(-11.8441482059794,-9.3666269780667, 5.93738245725455)); #471270=CARTESIAN_POINT('Ctrl Pts',(-11.8182501355251,-9.39449172170906, 5.8941882712834)); #471271=CARTESIAN_POINT('Ctrl Pts',(-11.7930734773901,-9.4216935679302, 5.85004809203369)); #471272=CARTESIAN_POINT('Ctrl Pts',(-11.7685563496394,-9.44826726761949, 5.80500144972418)); #471273=CARTESIAN_POINT('Ctrl Pts',(-11.7601887564925,-9.4573367601664, 5.78962721913279)); #471274=CARTESIAN_POINT('Ctrl Pts',(-11.7518956427158,-9.46633420680959, 5.77414802646309)); #471275=CARTESIAN_POINT('Ctrl Pts',(-11.7436825653222,-9.47526208274092, 5.75854945388138)); #471276=CARTESIAN_POINT('Ctrl Pts',(-11.72783124758,-9.49249296848188,5.72844405995062)); #471277=CARTESIAN_POINT('Ctrl Pts',(-11.7122782092623,-9.50946462764557, 5.69789393432627)); #471278=CARTESIAN_POINT('Ctrl Pts',(-11.6970313853289,-9.52622715511496, 5.66681005706251)); #471279=CARTESIAN_POINT('Ctrl Pts',(-11.6767561274237,-9.54851799870758, 5.62547465298314)); #471280=CARTESIAN_POINT('Ctrl Pts',(-11.6570153982603,-9.57043859627876, 5.58320118698832)); #471281=CARTESIAN_POINT('Ctrl Pts',(-11.6376982087543,-9.59210109619813, 5.54006525009102)); #471282=CARTESIAN_POINT('Ctrl Pts',(-11.6189252546385,-9.61315328481457, 5.49814460920982)); #471283=CARTESIAN_POINT('Ctrl Pts',(-11.6005523680864,-9.63396163814683, 5.45540934441121)); #471284=CARTESIAN_POINT('Ctrl Pts',(-11.5824499414174,-9.65465974176359, 5.41195587045484)); #471285=CARTESIAN_POINT('Ctrl Pts',(-11.5766490714787,-9.66129238882542, 5.39803133119754)); #471286=CARTESIAN_POINT('Ctrl Pts',(-11.5708757525343,-9.66791396037798, 5.38403325828043)); #471287=CARTESIAN_POINT('Ctrl Pts',(-11.5651250773663,-9.67452956562838, 5.36996545343921)); #471288=CARTESIAN_POINT('',(-12.14780600767,-9.046307804535,6.357688242667)); #471289=CARTESIAN_POINT('Ctrl Pts',(-12.14780600767,-9.046307804535,6.357688242667)); #471290=CARTESIAN_POINT('Ctrl Pts',(-12.09275356697,-9.103136112112,6.294756673615)); #471291=CARTESIAN_POINT('Ctrl Pts',(-11.98715537499,-9.21353176791,6.16196864684)); #471292=CARTESIAN_POINT('Ctrl Pts',(-11.89043681951,-9.316824326285,6.014583591241)); #471293=CARTESIAN_POINT('Ctrl Pts',(-11.84414820598,-9.366626978068,5.937382457255)); #471294=CARTESIAN_POINT('',(-12.34192830042,-8.846971760342,6.560470023669)); #471295=CARTESIAN_POINT('Ctrl Pts',(-12.34192830042,-8.846971760342,6.560470023669)); #471296=CARTESIAN_POINT('Ctrl Pts',(-12.27570090407,-8.915529665768,6.495497593231)); #471297=CARTESIAN_POINT('Ctrl Pts',(-12.21031243892,-8.981781936175,6.429144097999)); #471298=CARTESIAN_POINT('Ctrl Pts',(-12.14780600767,-9.046307804535,6.357688242667)); #471299=CARTESIAN_POINT('',(-12.81471575512,-8.347695395788,6.976158920285)); #471300=CARTESIAN_POINT('Ctrl Pts',(-12.81471575512,-8.347695395788,6.976158920285)); #471301=CARTESIAN_POINT('Ctrl Pts',(-12.77352916027,-8.390667100882,6.945026723025)); #471302=CARTESIAN_POINT('Ctrl Pts',(-12.71241521585,-8.454684967017,6.897105696633)); #471303=CARTESIAN_POINT('Ctrl Pts',(-12.63266401549,-8.539329230984,6.830076305689)); #471304=CARTESIAN_POINT('Ctrl Pts',(-12.5542216445,-8.623595283082,6.760634717376)); #471305=CARTESIAN_POINT('Ctrl Pts',(-12.45712631361,-8.727129089213,6.671401992263)); #471306=CARTESIAN_POINT('Ctrl Pts',(-12.38011998206,-8.807436077196,6.597938044902)); #471307=CARTESIAN_POINT('Ctrl Pts',(-12.34192830042,-8.846971760342,6.560470023669)); #471308=CARTESIAN_POINT('',(-15.0960721666383,-6.06883642263404,7.89371108806933)); #471309=CARTESIAN_POINT('Ctrl Pts',(-15.0960721679241,-6.06883642345213, 7.89371108654058)); #471310=CARTESIAN_POINT('Ctrl Pts',(-15.0880889973222,-6.08598520949758, 7.89617334309847)); #471311=CARTESIAN_POINT('Ctrl Pts',(-15.0798787140907,-6.10266139553002, 7.89815984737462)); #471312=CARTESIAN_POINT('Ctrl Pts',(-15.0714754935953,-6.11898128017133, 7.89974273099817)); #471313=CARTESIAN_POINT('Ctrl Pts',(-15.0581002694987,-6.14495728715935, 7.90226217258553)); #471314=CARTESIAN_POINT('Ctrl Pts',(-15.0442377618829,-6.17003194290092, 7.90375982287441)); #471315=CARTESIAN_POINT('Ctrl Pts',(-15.0299670961486,-6.19444099409707, 7.90441462267108)); #471316=CARTESIAN_POINT('Ctrl Pts',(-15.0263048390483,-6.20070504811673, 7.9045826628487)); #471317=CARTESIAN_POINT('Ctrl Pts',(-15.0226157376085,-6.20692533622627, 7.90469547015994)); #471318=CARTESIAN_POINT('Ctrl Pts',(-15.0189011994626,-6.21310595328943, 7.90475647661228)); #471319=CARTESIAN_POINT('Ctrl Pts',(-14.9993124278746,-6.24569969473602, 7.90507819667908)); #471320=CARTESIAN_POINT('Ctrl Pts',(-14.9790164945777,-6.27718945686197, 7.90395457467778)); #471321=CARTESIAN_POINT('Ctrl Pts',(-14.9580748931647,-6.30782865609077, 7.90164120134148)); #471322=CARTESIAN_POINT('Ctrl Pts',(-14.9401263322971,-6.3340888031278, 7.89965846270954)); #471323=CARTESIAN_POINT('Ctrl Pts',(-14.9217037045926,-6.35972491895638, 7.89680527205759)); #471324=CARTESIAN_POINT('Ctrl Pts',(-14.9028501364641,-6.38490050184682, 7.89325300836601)); #471325=CARTESIAN_POINT('Ctrl Pts',(-14.876603771633,-6.41994784826272, 7.88830784317556)); #471326=CARTESIAN_POINT('Ctrl Pts',(-14.8495165271652,-6.45409768754023, 7.88200302365228)); #471327=CARTESIAN_POINT('Ctrl Pts',(-14.8216826511284,-6.48759519192132, 7.87467664710984)); #471328=CARTESIAN_POINT('Ctrl Pts',(-14.8061945563747,-6.50623479932566, 7.87059990214121)); #471329=CARTESIAN_POINT('Ctrl Pts',(-14.7904754163739,-6.52467236329061, 7.86620622828887)); #471330=CARTESIAN_POINT('Ctrl Pts',(-14.7745422653351,-6.542949073954,7.86154641590017)); #471331=CARTESIAN_POINT('Ctrl Pts',(-14.7461540424645,-6.57551283578559, 7.85324399083035)); #471332=CARTESIAN_POINT('Ctrl Pts',(-14.717086157,-6.60756634696755,7.84409887410517)); #471333=CARTESIAN_POINT('Ctrl Pts',(-14.6873877531165,-6.6392853138129, 7.83431252435572)); #471334=CARTESIAN_POINT('Ctrl Pts',(-14.6704624517691,-6.65736214630498, 7.82873522399811)); #471335=CARTESIAN_POINT('Ctrl Pts',(-14.6533324068281,-6.6753301702729, 7.82294875307455)); #471336=CARTESIAN_POINT('Ctrl Pts',(-14.6360069374622,-6.69322060088544, 7.8169828494156)); #471337=CARTESIAN_POINT('Ctrl Pts',(-14.6006804211939,-6.72969906936047, 7.80481841171919)); #471338=CARTESIAN_POINT('Ctrl Pts',(-14.564543711603,-6.76585729725997, 7.79191194752116)); #471339=CARTESIAN_POINT('Ctrl Pts',(-14.5278119943656,-6.80170765745693, 7.77849504521327)); #471340=CARTESIAN_POINT('Ctrl Pts',(-14.5149192504035,-6.81429104722831, 7.77378574493464)); #471341=CARTESIAN_POINT('Ctrl Pts',(-14.5019532372763,-6.82683645042661, 7.76901309264652)); #471342=CARTESIAN_POINT('Ctrl Pts',(-14.4889233621512,-6.83934421469041, 7.76418559374433)); #471343=CARTESIAN_POINT('Ctrl Pts',(-14.4474647780014,-6.87914154139384, 7.74882541050784)); #471344=CARTESIAN_POINT('Ctrl Pts',(-14.4053610553459,-6.91855985940575, 7.73291451711222)); #471345=CARTESIAN_POINT('Ctrl Pts',(-14.3629744574539,-6.95749000643658, 7.71670503658823)); #471346=CARTESIAN_POINT('Ctrl Pts',(-14.3540861587382,-6.96565350133983, 7.71330597386347)); #471347=CARTESIAN_POINT('Ctrl Pts',(-14.3451854404993,-6.97379551793566, 7.70989359975811)); #471348=CARTESIAN_POINT('Ctrl Pts',(-14.3362756720684,-6.98191503069993, 7.7064699535246)); #471349=CARTESIAN_POINT('Ctrl Pts',(-14.3220276377779,-6.99489932958313, 7.70099503806392)); #471350=CARTESIAN_POINT('Ctrl Pts',(-14.3077555229236,-7.00782559359242, 7.69549106229208)); #471351=CARTESIAN_POINT('Ctrl Pts',(-14.2934616907621,-7.02070076639049, 7.68996139507709)); #471352=CARTESIAN_POINT('Ctrl Pts',(-14.2536036272114,-7.05660292683379, 7.67454202924297)); #471353=CARTESIAN_POINT('Ctrl Pts',(-14.2135768813621,-7.09210851800503, 7.65892667162486)); #471354=CARTESIAN_POINT('Ctrl Pts',(-14.1734313483191,-7.12736190396121, 7.6431564228853)); #471355=CARTESIAN_POINT('Ctrl Pts',(-14.1704793398262,-7.1299541797852, 7.64199679428688)); #471356=CARTESIAN_POINT('Ctrl Pts',(-14.1675266879281,-7.13254508769288, 7.6408363054383)); #471357=CARTESIAN_POINT('Ctrl Pts',(-14.1645734119296,-7.13513468308862, 7.63967496199186)); #471358=CARTESIAN_POINT('Ctrl Pts',(-14.1607683029055,-7.13847121269655, 7.6381786445361)); #471359=CARTESIAN_POINT('Ctrl Pts',(-14.1569621578381,-7.14180556346789, 7.63668090851751)); #471360=CARTESIAN_POINT('Ctrl Pts',(-14.1531550184363,-7.14513785453079, 7.63518176305165)); #471361=CARTESIAN_POINT('Ctrl Pts',(-14.1105402329642,-7.18243747868133, 7.61840124737396)); #471362=CARTESIAN_POINT('Ctrl Pts',(-14.0678011146029,-7.21947999354256, 7.60144913681986)); #471363=CARTESIAN_POINT('Ctrl Pts',(-14.0249943348322,-7.25642788876704, 7.58432422301764)); #471364=CARTESIAN_POINT('Ctrl Pts',(-13.9638129401238,-7.30923549946521, 7.55984851808741)); #471365=CARTESIAN_POINT('Ctrl Pts',(-13.9025012372974,-7.36184635909868, 7.535016627153)); #471366=CARTESIAN_POINT('Ctrl Pts',(-13.8411652216848,-7.41433238488447, 7.50979398806349)); #471367=CARTESIAN_POINT('Ctrl Pts',(-13.7977970931459,-7.45144305616034, 7.49196011601951)); #471368=CARTESIAN_POINT('Ctrl Pts',(-13.7544167742031,-7.48849108489789, 7.47392968652102)); #471369=CARTESIAN_POINT('Ctrl Pts',(-13.7110617907292,-7.5254977722929, 7.45567543466636)); #471370=CARTESIAN_POINT('Ctrl Pts',(-13.6587255867913,-7.57017059611581, 7.43363971532683)); #471371=CARTESIAN_POINT('Ctrl Pts',(-13.6064281099416,-7.61478582547909, 7.41128089082253)); #471372=CARTESIAN_POINT('Ctrl Pts',(-13.5542648852128,-7.65946159797999, 7.38847192580091)); #471373=CARTESIAN_POINT('Ctrl Pts',(-13.5021016604841,-7.70413737048089, 7.36566296077929)); #471374=CARTESIAN_POINT('Ctrl Pts',(-13.4500723982923,-7.74887406444252, 7.34240307222246)); #471375=CARTESIAN_POINT('Ctrl Pts',(-13.3982759099081,-7.79376695660562, 7.31851169650716)); #471376=CARTESIAN_POINT('Ctrl Pts',(-13.3587367330495,-7.82803622964527, 7.30027406381675)); #471377=CARTESIAN_POINT('Ctrl Pts',(-13.3193318757625,-7.86239543724263, 7.28167152699547)); #471378=CARTESIAN_POINT('Ctrl Pts',(-13.2802576202251,-7.89691258192433, 7.26255034797665)); #471379=CARTESIAN_POINT('Ctrl Pts',(-13.2681444362671,-7.90761304230378, 7.25662270186379)); #471380=CARTESIAN_POINT('Ctrl Pts',(-13.2560630798503,-7.91832850274447, 7.25064487306482)); #471381=CARTESIAN_POINT('Ctrl Pts',(-13.2440195794141,-7.92906047000664, 7.24461145267909)); #471382=CARTESIAN_POINT('Ctrl Pts',(-13.2023133955154,-7.96622486441188, 7.22371794738313)); #471383=CARTESIAN_POINT('Ctrl Pts',(-13.1610613024051,-8.00358774795179, 7.20215998678319)); #471384=CARTESIAN_POINT('Ctrl Pts',(-13.1205075438495,-8.04116649631082, 7.17971624551523)); #471385=CARTESIAN_POINT('Ctrl Pts',(-13.1115746036587,-8.04944411898977, 7.17477247197745)); #471386=CARTESIAN_POINT('Ctrl Pts',(-13.1026756347642,-8.05773204063341, 7.16978573135666)); #471387=CARTESIAN_POINT('Ctrl Pts',(-13.0938134125062,-8.06603013210692, 7.16475378706336)); #471388=CARTESIAN_POINT('Ctrl Pts',(-13.0692659447209,-8.08901501413707, 7.15081580550064)); #471389=CARTESIAN_POINT('Ctrl Pts',(-13.0449995575448,-8.11208167090096, 7.1365328085953)); #471390=CARTESIAN_POINT('Ctrl Pts',(-13.0212106372343,-8.13541274427046, 7.1216341974781)); #471391=CARTESIAN_POINT('Ctrl Pts',(-13.0163612325835,-8.14016881618254, 7.11859709478071)); #471392=CARTESIAN_POINT('Ctrl Pts',(-13.0115315542162,-8.1449357025002, 7.11553439926448)); #471393=CARTESIAN_POINT('Ctrl Pts',(-13.0067195664506,-8.1497116542957, 7.11244780190612)); #471394=CARTESIAN_POINT('Ctrl Pts',(-12.9883020693273,-8.16799122663492, 7.10063409814401)); #471395=CARTESIAN_POINT('Ctrl Pts',(-12.9701440319352,-8.18640266084148, 7.08846760366401)); #471396=CARTESIAN_POINT('Ctrl Pts',(-12.9521291814147,-8.20485522888051, 7.07606371616189)); #471397=CARTESIAN_POINT('Ctrl Pts',(-12.9517615134834,-8.20523183025773, 7.07581056326164)); #471398=CARTESIAN_POINT('Ctrl Pts',(-12.9513939050423,-8.20560844920659, 7.07555731261871)); #471399=CARTESIAN_POINT('Ctrl Pts',(-12.9510263550642,-8.20598508504558, 7.07530396502009)); #471400=CARTESIAN_POINT('Ctrl Pts',(-12.9246013356084,-8.23306333281543, 7.05708952991583)); #471401=CARTESIAN_POINT('Ctrl Pts',(-12.8984764105562,-8.26022973430137, 7.03836860643982)); #471402=CARTESIAN_POINT('Ctrl Pts',(-12.8725465282111,-8.28729128306267, 7.01931756814033)); #471403=CARTESIAN_POINT('Ctrl Pts',(-12.8531776034603,-8.30750553219108, 7.00508695489134)); #471404=CARTESIAN_POINT('Ctrl Pts',(-12.8339172036961,-8.32766220140026, 6.99067260088075)); #471405=CARTESIAN_POINT('Ctrl Pts',(-12.8147157550399,-8.34769539556251, 6.97615892007971)); #471406=CARTESIAN_POINT('',(-15.1105435766774,-6.0364184754361,7.88850863191853)); #471407=CARTESIAN_POINT('Ctrl Pts',(-15.11054373428,-6.036418608009,7.888508513226)); #471408=CARTESIAN_POINT('Ctrl Pts',(-15.10579263237,-6.047362550922,7.890383631872)); #471409=CARTESIAN_POINT('Ctrl Pts',(-15.10099948478,-6.058251946136,7.892191271295)); #471410=CARTESIAN_POINT('Ctrl Pts',(-15.09607216625,-6.068836422387,7.893711088531)); #471411=CARTESIAN_POINT('Ctrl Pts',(-15.1105435161297,-6.03641836761291, 7.88850855841394)); #471412=CARTESIAN_POINT('Ctrl Pts',(-15.935674395114,-5.34932856897764, 8.21671110168259)); #471413=CARTESIAN_POINT('Ctrl Pts',(-16.7292897371612,-4.62464320964595, 8.54577210015086)); #471414=CARTESIAN_POINT('Ctrl Pts',(-17.4856568162626,-3.8637041961662, 8.87686859516959)); #471415=CARTESIAN_POINT('Ctrl Pts',(-18.8514699364663,-2.48963528571022, 9.47474752121612)); #471416=CARTESIAN_POINT('Ctrl Pts',(-20.0958360140395,-0.997360488665486, 10.0792609471443)); #471417=CARTESIAN_POINT('Ctrl Pts',(-21.2034375955469,0.592313954273445, 10.6774782622253)); #471418=CARTESIAN_POINT('Ctrl Pts',(-21.8349881497949,1.49874077080584, 11.018579686427)); #471419=CARTESIAN_POINT('Ctrl Pts',(-22.422072668769,2.43683533190658,11.3576341988191)); #471420=CARTESIAN_POINT('Ctrl Pts',(-22.961845698061,3.4027453006102,11.6922446608777)); #471421=CARTESIAN_POINT('Ctrl Pts',(-23.1170018786823,3.68039329974185, 11.7884274608169)); #471422=CARTESIAN_POINT('Ctrl Pts',(-23.2682488334573,3.96033950516813, 11.8842431230047)); #471423=CARTESIAN_POINT('Ctrl Pts',(-23.4191894360993,4.24046567136029, 11.9800293058174)); #471424=CARTESIAN_POINT('Ctrl Pts',(-13.70763854154,-7.202641766505,7.653859712799)); #471425=CARTESIAN_POINT('Ctrl Pts',(-13.9434120324,-7.02532452385,7.743960771317)); #471426=CARTESIAN_POINT('Ctrl Pts',(-15.25644051354,-6.016025461846,8.251077271384)); #471427=CARTESIAN_POINT('Ctrl Pts',(-18.79111392453,-2.890211097393,9.697703376639)); #471428=CARTESIAN_POINT('Ctrl Pts',(-21.70223454157,0.9415496057494,11.20533591891)); #471429=CARTESIAN_POINT('Ctrl Pts',(-23.33469706557,3.946808561881,12.24146872292)); #471430=CARTESIAN_POINT('Ctrl Pts',(-23.51138151786,4.271191611576,12.35341416266)); #471431=CARTESIAN_POINT('Ctrl Pts',(-13.72460630374,-7.171230888649,7.55652195167)); #471432=CARTESIAN_POINT('Ctrl Pts',(-13.95987451045,-6.993944340602,7.646393672637)); #471433=CARTESIAN_POINT('Ctrl Pts',(-15.27008380625,-5.984793647072,8.152218270588)); #471434=CARTESIAN_POINT('Ctrl Pts',(-18.79699507594,-2.858950200489,9.595276216168)); #471435=CARTESIAN_POINT('Ctrl Pts',(-21.701110194,0.9741609756986,11.09904342427)); #471436=CARTESIAN_POINT('Ctrl Pts',(-23.32865072861,3.981205852977,12.13261276071)); #471437=CARTESIAN_POINT('Ctrl Pts',(-23.50480352527,4.305781106233,12.24428116907)); #471438=CARTESIAN_POINT('Ctrl Pts',(-13.77557616812,-7.076875005713,7.264126736327)); #471439=CARTESIAN_POINT('Ctrl Pts',(-14.00932653976,-6.899680661928,7.353309544769)); #471440=CARTESIAN_POINT('Ctrl Pts',(-15.31106721764,-5.890975655981,7.855253367228)); #471441=CARTESIAN_POINT('Ctrl Pts',(-18.81466160649,-2.765044848893,9.287592833116)); #471442=CARTESIAN_POINT('Ctrl Pts',(-21.69773273959,1.072123045385,10.77974887198)); #471443=CARTESIAN_POINT('Ctrl Pts',(-23.31048799322,4.084532693668,11.80561774726)); #471444=CARTESIAN_POINT('Ctrl Pts',(-23.4850437369,4.409685311772,11.91645397447)); #471445=CARTESIAN_POINT('Ctrl Pts',(-13.8289097239,-6.978143435468,6.958171899776)); #471446=CARTESIAN_POINT('Ctrl Pts',(-14.06107187192,-6.801045571856,7.046633847863)); #471447=CARTESIAN_POINT('Ctrl Pts',(-15.35395120574,-5.792806921943,7.544516926615)); #471448=CARTESIAN_POINT('Ctrl Pts',(-18.83314740991,-2.666784703067,8.965640850898)); #471449=CARTESIAN_POINT('Ctrl Pts',(-21.69419865814,1.174628036733,10.44564726076)); #471450=CARTESIAN_POINT('Ctrl Pts',(-23.29148297383,4.19265124348,11.46345857143)); #471451=CARTESIAN_POINT('Ctrl Pts',(-23.46436760229,4.518408001317,11.57342402571)); #471452=CARTESIAN_POINT('Ctrl Pts',(-13.82744622282,-6.983733624717,6.623247000946)); #471453=CARTESIAN_POINT('Ctrl Pts',(-14.05959825033,-6.807542168978,6.711037654815)); #471454=CARTESIAN_POINT('Ctrl Pts',(-15.35248273109,-5.804311373103,7.20513720813)); #471455=CARTESIAN_POINT('Ctrl Pts',(-18.83258914187,-2.691001973209,8.615864250142)); #471456=CARTESIAN_POINT('Ctrl Pts',(-21.69757234859,1.142005242713,10.08448759879)); #471457=CARTESIAN_POINT('Ctrl Pts',(-23.2979866566,4.156809448516,11.09484520391)); #471458=CARTESIAN_POINT('Ctrl Pts',(-23.4712092255,4.482216163472,11.20400506554)); #471459=CARTESIAN_POINT('Ctrl Pts',(-13.80723271458,-7.022602051826,6.466882452711)); #471460=CARTESIAN_POINT('Ctrl Pts',(-14.03991194749,-6.846940045975,6.554397322578)); #471461=CARTESIAN_POINT('Ctrl Pts',(-15.33578563902,-5.846644242443,7.046941762614)); #471462=CARTESIAN_POINT('Ctrl Pts',(-18.82481628076,-2.740973144619,8.45341531834)); #471463=CARTESIAN_POINT('Ctrl Pts',(-21.70026277371,1.086368199208,9.917336414372)); #471464=CARTESIAN_POINT('Ctrl Pts',(-23.30831304757,4.098415946567,10.92465053978)); #471465=CARTESIAN_POINT('Ctrl Pts',(-23.48236030987,4.423523842613,11.03348143421)); #471466=CARTESIAN_POINT('Ctrl Pts',(-13.77394985401,-7.085973502979,6.211923286492)); #471467=CARTESIAN_POINT('Ctrl Pts',(-14.00745935727,-6.910994534631,6.298991813429)); #471468=CARTESIAN_POINT('Ctrl Pts',(-15.3080425631,-5.91447172136,6.789019221568)); #471469=CARTESIAN_POINT('Ctrl Pts',(-18.81116128251,-2.818364215405,8.18861245107)); #471470=CARTESIAN_POINT('Ctrl Pts',(-21.70321481945,1.002701177143,9.644917768389)); #471471=CARTESIAN_POINT('Ctrl Pts',(-23.32339281728,4.012390598121,10.64731008818)); #471472=CARTESIAN_POINT('Ctrl Pts',(-23.49874988495,4.337241972149,10.7556089825)); #471473=CARTESIAN_POINT('Ctrl Pts',(-13.75728986034,-7.118266138117,6.066730133346)); #471474=CARTESIAN_POINT('Ctrl Pts',(-13.99121734748,-6.9437090289,6.153551228474)); #471475=CARTESIAN_POINT('Ctrl Pts',(-15.29417482843,-5.949519193423,6.64218309605)); #471476=CARTESIAN_POINT('Ctrl Pts',(-18.80444402754,-2.859376754601,8.037967300408)); #471477=CARTESIAN_POINT('Ctrl Pts',(-21.70508009836,0.9576047664911,9.490043377437)); #471478=CARTESIAN_POINT('Ctrl Pts',(-23.33153960038,3.965596925015,10.48971263692)); #471479=CARTESIAN_POINT('Ctrl Pts',(-23.50757507087,4.290263944491,10.59771718593)); #471480=CARTESIAN_POINT('Ctrl Pts',(-13.72041958338,-7.188452276075,5.83517745002)); #471481=CARTESIAN_POINT('Ctrl Pts',(-13.95529186193,-7.014743152926,5.921637986916)); #471482=CARTESIAN_POINT('Ctrl Pts',(-15.26359918831,-6.025256172576,6.408235150698)); #471483=CARTESIAN_POINT('Ctrl Pts',(-18.78973945254,-2.947392252064,7.798495431024)); #471484=CARTESIAN_POINT('Ctrl Pts',(-21.7086609919,0.860355436247,9.244370090638)); #471485=CARTESIAN_POINT('Ctrl Pts',(-23.34843564053,3.863748293117,10.24009920808)); #471486=CARTESIAN_POINT('Ctrl Pts',(-23.52590917418,4.187916849858,10.34767780864)); #471487=CARTESIAN_POINT('Ctrl Pts',(-13.67017420456,-7.282064009537,5.600918248555)); #471488=CARTESIAN_POINT('Ctrl Pts',(-13.90632343445,-7.109262140742,5.687010346494)); #471489=CARTESIAN_POINT('Ctrl Pts',(-15.22184768451,-6.124808325939,6.171528126654)); #471490=CARTESIAN_POINT('Ctrl Pts',(-18.76920072246,-3.060116061422,7.556148674111)); #471491=CARTESIAN_POINT('Ctrl Pts',(-21.71192658862,0.737628050835,8.995679227953)); #471492=CARTESIAN_POINT('Ctrl Pts',(-23.36897631223,3.735956023441,9.987387254237)); #471493=CARTESIAN_POINT('Ctrl Pts',(-23.54831561264,4.059575758289,10.09453114706)); #471494=CARTESIAN_POINT('Ctrl Pts',(-13.59113410094,-7.420817434529,5.273137928586)); #471495=CARTESIAN_POINT('Ctrl Pts',(-13.82917707781,-7.248817888436,5.358441025039)); #471496=CARTESIAN_POINT('Ctrl Pts',(-15.15540138933,-6.268782068234,5.838513395063)); #471497=CARTESIAN_POINT('Ctrl Pts',(-18.73416508842,-3.215022314872,7.210877586827)); #471498=CARTESIAN_POINT('Ctrl Pts',(-21.71200853656,0.5764216347771,8.637081966058)); #471499=CARTESIAN_POINT('Ctrl Pts',(-23.3945310964,3.573221546988,9.619991084086)); #471500=CARTESIAN_POINT('Ctrl Pts',(-23.57662155452,3.896673720221,9.726184055834)); #471501=CARTESIAN_POINT('Ctrl Pts',(-13.49756174018,-7.586224694262,4.931080597524)); #471502=CARTESIAN_POINT('Ctrl Pts',(-13.73795631497,-7.416012251459,5.016020881174)); #471503=CARTESIAN_POINT('Ctrl Pts',(-15.07745656236,-6.445917825308,5.494039925908)); #471504=CARTESIAN_POINT('Ctrl Pts',(-18.6950334672,-3.418690509729,6.860985884445)); #471505=CARTESIAN_POINT('Ctrl Pts',(-21.71575268394,0.350960041614,8.280746768427)); #471506=CARTESIAN_POINT('Ctrl Pts',(-23.42932615286,3.335362916484,9.259838253091)); #471507=CARTESIAN_POINT('Ctrl Pts',(-23.61477024014,3.657473395612,9.365618284159)); #471508=CARTESIAN_POINT('Ctrl Pts',(-13.39177211457,-7.763938487138,4.617207763256)); #471509=CARTESIAN_POINT('Ctrl Pts',(-13.63464592563,-7.594816083038,4.70136609296)); #471510=CARTESIAN_POINT('Ctrl Pts',(-14.98813607183,-6.630752464443,5.174978822566)); #471511=CARTESIAN_POINT('Ctrl Pts',(-18.64651837597,-3.618995499735,6.529792461504)); #471512=CARTESIAN_POINT('Ctrl Pts',(-21.71200307127,0.1398990554096,7.93632509571)); #471513=CARTESIAN_POINT('Ctrl Pts',(-23.45796597343,3.119680306934,8.906711543398)); #471514=CARTESIAN_POINT('Ctrl Pts',(-23.64690826801,3.441289011246,9.011550774288)); #471515=CARTESIAN_POINT('Ctrl Pts',(-13.2875969024,-7.933571392231,4.282453157257)); #471516=CARTESIAN_POINT('Ctrl Pts',(-13.53286656048,-7.765670372848,4.36586371962)); #471517=CARTESIAN_POINT('Ctrl Pts',(-14.89988079588,-6.808371876215,4.835261550439)); #471518=CARTESIAN_POINT('Ctrl Pts',(-18.59777801702,-3.814114494542,6.17850242053)); #471519=CARTESIAN_POINT('Ctrl Pts',(-21.70683588519,-0.0678594110949,7.572342902508)); #471520=CARTESIAN_POINT('Ctrl Pts',(-23.48434725681,2.906062575884,8.53443546147)); #471521=CARTESIAN_POINT('Ctrl Pts',(-23.67669692213,3.227035735816,8.638378279058)); #471522=CARTESIAN_POINT('Ctrl Pts',(-13.1917427465,-8.086758410437,3.956032485327)); #471523=CARTESIAN_POINT('Ctrl Pts',(-13.43923216717,-7.920302657641,4.038890283095)); #471524=CARTESIAN_POINT('Ctrl Pts',(-14.81877878401,-6.9710303339,4.505168882009)); #471525=CARTESIAN_POINT('Ctrl Pts',(-18.55332574053,-3.99793495657,5.839938003004)); #471526=CARTESIAN_POINT('Ctrl Pts',(-21.70290335101,-0.2682539694941,7.224275756475)); #471527=CARTESIAN_POINT('Ctrl Pts',(-23.50976265336,2.696859893597,8.180324476363)); #471528=CARTESIAN_POINT('Ctrl Pts',(-23.70528201768,3.016879118054,8.283613930928)); #471529=CARTESIAN_POINT('Ctrl Pts',(-13.08640037414,-8.250673464978,3.62232013053)); #471530=CARTESIAN_POINT('Ctrl Pts',(-13.33620659663,-8.085385885874,3.704391863445)); #471531=CARTESIAN_POINT('Ctrl Pts',(-14.72883285332,-7.142580659741,4.166240642516)); #471532=CARTESIAN_POINT('Ctrl Pts',(-18.50162121502,-4.186151123433,5.488821738804)); #471533=CARTESIAN_POINT('Ctrl Pts',(-21.69344976244,-0.4683050977957,6.859849988841)); #471534=CARTESIAN_POINT('Ctrl Pts',(-23.53090812484,2.491498960126,7.807156382891)); #471535=CARTESIAN_POINT('Ctrl Pts',(-23.72973231643,2.810942008982,7.909500993927)); #471536=CARTESIAN_POINT('Ctrl Pts',(-12.99834419507,-8.384906244704,3.2858008632)); #471537=CARTESIAN_POINT('Ctrl Pts',(-13.25009826955,-8.221038252407,3.367308138343)); #471538=CARTESIAN_POINT('Ctrl Pts',(-14.65372989889,-7.286115186153,3.825972056537)); #471539=CARTESIAN_POINT('Ctrl Pts',(-18.4588195328,-4.350441266933,5.139893748354)); #471540=CARTESIAN_POINT('Ctrl Pts',(-21.68674298963,-0.6487667726547,6.501230491271)); #471541=CARTESIAN_POINT('Ctrl Pts',(-23.55038134746,2.302510911313,7.442356334466)); #471542=CARTESIAN_POINT('Ctrl Pts',(-23.75203307321,2.62103046159,7.544032817595)); #471543=CARTESIAN_POINT('Ctrl Pts',(-12.90513613417,-8.52210403722,2.948629929613)); #471544=CARTESIAN_POINT('Ctrl Pts',(-13.1588755268,-8.359487237567,3.029447439776)); #471545=CARTESIAN_POINT('Ctrl Pts',(-14.57372349295,-7.431499977218,3.484222725339)); #471546=CARTESIAN_POINT('Ctrl Pts',(-18.41172324944,-4.513873605403,4.787485306522)); #471547=CARTESIAN_POINT('Ctrl Pts',(-21.67638830411,-0.8255771370194,6.137091214708)); #471548=CARTESIAN_POINT('Ctrl Pts',(-23.56667249457,2.119199860768,7.070583281585)); #471549=CARTESIAN_POINT('Ctrl Pts',(-23.77120206781,2.437014682662,7.171434670629)); #471550=CARTESIAN_POINT('Ctrl Pts',(-12.80687738891,-8.662276327658,2.613905579913)); #471551=CARTESIAN_POINT('Ctrl Pts',(-13.0626650789,-8.500673258509,2.693901035447)); #471552=CARTESIAN_POINT('Ctrl Pts',(-14.48908300189,-7.578288414451,3.144044845264)); #471553=CARTESIAN_POINT('Ctrl Pts',(-18.360998995,-4.674914999903,4.434533745012)); #471554=CARTESIAN_POINT('Ctrl Pts',(-21.66342644479,-0.9961434196378,5.770260835811)); #471555=CARTESIAN_POINT('Ctrl Pts',(-23.58108698931,1.944889598886,6.69458131812)); #471556=CARTESIAN_POINT('Ctrl Pts',(-23.78857331295,2.262297442325,6.794441516371)); #471557=CARTESIAN_POINT('Ctrl Pts',(-12.72660192297,-8.775454358545,2.273461763018)); #471558=CARTESIAN_POINT('Ctrl Pts',(-12.98405424972,-8.615069133127,2.352807188408)); #471559=CARTESIAN_POINT('Ctrl Pts',(-14.41988620997,-7.699434903147,2.799285843726)); #471560=CARTESIAN_POINT('Ctrl Pts',(-18.31955966943,-4.813620922273,4.079742307184)); #471561=CARTESIAN_POINT('Ctrl Pts',(-21.65341761028,-1.14784560615,5.40439600593)); #471562=CARTESIAN_POINT('Ctrl Pts',(-23.59391440891,1.786889183513,6.321535731195)); #471563=CARTESIAN_POINT('Ctrl Pts',(-23.80386711496,2.103614225538,6.420619778788)); #471564=CARTESIAN_POINT('Ctrl Pts',(-12.63970889448,-8.897047016124,1.938173494504)); #471565=CARTESIAN_POINT('Ctrl Pts',(-12.89893583422,-8.737904784636,2.016853942877)); #471566=CARTESIAN_POINT('Ctrl Pts',(-14.34479933302,-7.8291624631,2.45958352369)); #471567=CARTESIAN_POINT('Ctrl Pts',(-18.27398714939,-4.961311363423,3.729768376844)); #471568=CARTESIAN_POINT('Ctrl Pts',(-21.64105806423,-1.308945931947,5.043106364677)); #471569=CARTESIAN_POINT('Ctrl Pts',(-23.60566672461,1.619192256222,5.952891312246)); #471570=CARTESIAN_POINT('Ctrl Pts',(-23.81822359009,1.935202271892,6.051180413834)); #471571=CARTESIAN_POINT('Ctrl Pts',(-12.55842941568,-9.00610602287,1.590253139098)); #471572=CARTESIAN_POINT('Ctrl Pts',(-12.81924637684,-8.847895608573,1.668138987023)); #471573=CARTESIAN_POINT('Ctrl Pts',(-14.2741059929,-7.944296354787,2.106391362439)); #471574=CARTESIAN_POINT('Ctrl Pts',(-18.22987717955,-5.089402437253,3.364238817254)); #471575=CARTESIAN_POINT('Ctrl Pts',(-21.6272376204,-1.445279636589,4.664147465257)); #471576=CARTESIAN_POINT('Ctrl Pts',(-23.61387129883,1.480011160904,5.565077289324)); #471577=CARTESIAN_POINT('Ctrl Pts',(-23.82880692383,1.795711055573,5.662409384722)); #471578=CARTESIAN_POINT('Ctrl Pts',(-12.47793881308,-9.113672896375,1.232321126512)); #471579=CARTESIAN_POINT('Ctrl Pts',(-12.74035509139,-8.956695210425,1.309509955231)); #471580=CARTESIAN_POINT('Ctrl Pts',(-14.20426462489,-8.059926622012,1.743832714336)); #471581=CARTESIAN_POINT('Ctrl Pts',(-18.18679545986,-5.222753563063,2.990911029244)); #471582=CARTESIAN_POINT('Ctrl Pts',(-21.61470223543,-1.591596635138,4.278962466092)); #471583=CARTESIAN_POINT('Ctrl Pts',(-23.6235529059,1.327538257249,5.17218040401)); #471584=CARTESIAN_POINT('Ctrl Pts',(-23.84088802204,1.642570620928,5.268678959405)); #471585=CARTESIAN_POINT('Ctrl Pts',(-12.40733195982,-9.207826191839,0.875356758713)); #471586=CARTESIAN_POINT('Ctrl Pts',(-12.67109209674,-9.052074289616,0.9518784360687)); #471587=CARTESIAN_POINT('Ctrl Pts',(-14.1426158676,-8.162098952068,1.382439566622)); #471588=CARTESIAN_POINT('Ctrl Pts',(-18.14780259837,-5.342570017533,2.619219704734)); #471589=CARTESIAN_POINT('Ctrl Pts',(-21.60202831036,-1.7243880926,3.895908253513)); #471590=CARTESIAN_POINT('Ctrl Pts',(-23.6300639862,1.188530343207,4.781754730876)); #471591=CARTESIAN_POINT('Ctrl Pts',(-23.84947111442,1.502888681409,4.877456525421)); #471592=CARTESIAN_POINT('Ctrl Pts',(-12.33331591214,-9.302523216181,0.5251935263676)); #471593=CARTESIAN_POINT('Ctrl Pts',(-12.59845530161,-9.147636280682,0.6009303902225)); #471594=CARTESIAN_POINT('Ctrl Pts',(-14.07779103773,-8.262429437639,1.027069411278)); #471595=CARTESIAN_POINT('Ctrl Pts',(-18.10618171939,-5.454806829753,2.251665273784)); #471596=CARTESIAN_POINT('Ctrl Pts',(-21.58723365359,-1.843844701635,3.515088200099)); #471597=CARTESIAN_POINT('Ctrl Pts',(-23.63481224323,1.066930673612,4.39218984361)); #471598=CARTESIAN_POINT('Ctrl Pts',(-23.85633004293,1.381054988192,4.486946549451)); #471599=CARTESIAN_POINT('Ctrl Pts',(-12.27086854419,-9.381686626234,0.2047186551461)); #471600=CARTESIAN_POINT('Ctrl Pts',(-12.53718969051,-9.227928148358,0.2798619720281)); #471601=CARTESIAN_POINT('Ctrl Pts',(-14.02322218063,-8.348977593673,0.7026543088931)); #471602=CARTESIAN_POINT('Ctrl Pts',(-18.07155281407,-5.557650502079,1.918089639986)); #471603=CARTESIAN_POINT('Ctrl Pts',(-21.57581357938,-1.958819313773,3.171401151706)); #471604=CARTESIAN_POINT('Ctrl Pts',(-23.64031421073,0.9460174689904,4.041946159287)); #471605=CARTESIAN_POINT('Ctrl Pts',(-23.86365961711,1.259498045407,4.13599417395)); #471606=CARTESIAN_POINT('Ctrl Pts',(-12.23525086423,-9.426492018466,-0.002891358777848)); #471607=CARTESIAN_POINT('Ctrl Pts',(-12.50221047609,-9.27323783093,0.07181536072341)); #471608=CARTESIAN_POINT('Ctrl Pts',(-13.99186712615,-8.397067523903,0.4921472652255)); #471609=CARTESIAN_POINT('Ctrl Pts',(-18.05107648569,-5.612684928253,1.700813456327)); #471610=CARTESIAN_POINT('Ctrl Pts',(-21.56828055861,-2.018073338553,2.946732072234)); #471611=CARTESIAN_POINT('Ctrl Pts',(-23.6422441791,0.8855020273264,3.812421772706)); #471612=CARTESIAN_POINT('Ctrl Pts',(-23.86661159281,1.198844874331,3.905945040216)); #471613=CARTESIAN_POINT('Ctrl Pts',(-12.22016981269,-9.445458355743,-0.09022799943888)); #471614=CARTESIAN_POINT('Ctrl Pts',(-12.48740084322,-9.292419857572,-0.01570441619108)); #471615=CARTESIAN_POINT('Ctrl Pts',(-13.97859799594,-8.417439041704,0.4035954171617)); #471616=CARTESIAN_POINT('Ctrl Pts',(-18.04242912595,-5.636034357897,1.609422490439)); #471617=CARTESIAN_POINT('Ctrl Pts',(-21.56512447812,-2.043254888354,2.852239653182)); #471618=CARTESIAN_POINT('Ctrl Pts',(-23.64310214833,0.8597492498993,3.715893031013)); #471619=CARTESIAN_POINT('Ctrl Pts',(-23.86790308159,1.173029774256,3.809196218361)); #471620=CARTESIAN_POINT('',(-15.0766177518591,-6.06478851185169,7.87585366475878)); #471621=CARTESIAN_POINT('Ctrl Pts',(-15.110543479623,-6.03641845068608, 7.88850882411581)); #471622=CARTESIAN_POINT('Ctrl Pts',(-15.1048957518648,-6.04112135348984, 7.8862624859601)); #471623=CARTESIAN_POINT('Ctrl Pts',(-15.0992370424505,-6.04584420643082, 7.8840858069253)); #471624=CARTESIAN_POINT('Ctrl Pts',(-15.0879267213581,-6.05530326047836, 7.87987124165029)); #471625=CARTESIAN_POINT('Ctrl Pts',(-15.0822775186171,-6.06003735661618, 7.87783360707947)); #471626=CARTESIAN_POINT('Ctrl Pts',(-15.0766178272961,-6.0647886565648, 7.87585379640033)); #471627=CARTESIAN_POINT('',(-13.8819206219834,-7.05589194266282,7.63779143559528)); #471628=CARTESIAN_POINT('Ctrl Pts',(-15.0766178271377,-6.06478865698038, 7.87585379785049)); #471629=CARTESIAN_POINT('Ctrl Pts',(-15.0187373789957,-6.11337918129752, 7.85560669762549)); #471630=CARTESIAN_POINT('Ctrl Pts',(-14.9565175868383,-6.16648442743747, 7.84044286619993)); #471631=CARTESIAN_POINT('Ctrl Pts',(-14.8174694536227,-6.28518236707459, 7.81099824291658)); #471632=CARTESIAN_POINT('Ctrl Pts',(-14.7249433524036,-6.36439213808788, 7.79538814752402)); #471633=CARTESIAN_POINT('Ctrl Pts',(-14.3003054529839,-6.72142655507773, 7.72018732578958)); #471634=CARTESIAN_POINT('Ctrl Pts',(-14.0970535257094,-6.88459143922897, 7.67828354509103)); #471635=CARTESIAN_POINT('Ctrl Pts',(-13.8819205697725,-7.05589272491883, 7.63779502263025)); #471636=CARTESIAN_POINT('',(-13.88963310799,-7.041013424129,7.590814244121)); #471637=CARTESIAN_POINT('Ctrl Pts',(-13.8819208586985,-7.05589053119102, 7.63778419332125)); #471638=CARTESIAN_POINT('Ctrl Pts',(-13.8843628316406,-7.05103034283837, 7.62208316145078)); #471639=CARTESIAN_POINT('Ctrl Pts',(-13.8872018627702,-7.04586514335658, 7.60651882878634)); #471640=CARTESIAN_POINT('Ctrl Pts',(-13.8896331196941,-7.04101343821557, 7.59081424158109)); #471641=CARTESIAN_POINT('',(-13.92233111073,-6.950101889337,6.418044801934)); #471642=CARTESIAN_POINT('Ctrl Pts',(-13.8896330893974,-7.04101340177655, 7.59081424814812)); #471643=CARTESIAN_POINT('Ctrl Pts',(-13.8948261806553,-7.03072164287769, 7.55766567702626)); #471644=CARTESIAN_POINT('Ctrl Pts',(-13.9000370485623,-7.02034747081096, 7.52410242343594)); #471645=CARTESIAN_POINT('Ctrl Pts',(-13.9053000511349,-7.00982107492611, 7.49000247335624)); #471646=CARTESIAN_POINT('Ctrl Pts',(-13.9099782054452,-7.00046441898681, 7.45969186144942)); #471647=CARTESIAN_POINT('Ctrl Pts',(-13.9146988926819,-6.99098476534793, 7.42895274470732)); #471648=CARTESIAN_POINT('Ctrl Pts',(-13.9193795198869,-6.98154942378172, 7.39805668830559)); #471649=CARTESIAN_POINT('Ctrl Pts',(-13.9198250177601,-6.9806513764866, 7.39511602954016)); #471650=CARTESIAN_POINT('Ctrl Pts',(-13.9202701430584,-6.97975375009797, 7.39217398184568)); #471651=CARTESIAN_POINT('Ctrl Pts',(-13.9207148183207,-6.97885670146467, 7.38923080061959)); #471652=CARTESIAN_POINT('Ctrl Pts',(-13.9247440771387,-6.97072843029167, 7.36256226311934)); #471653=CARTESIAN_POINT('Ctrl Pts',(-13.928737237952,-6.96264597157494, 7.33580091862094)); #471654=CARTESIAN_POINT('Ctrl Pts',(-13.9326158555453,-6.95475931427837, 7.30891172829987)); #471655=CARTESIAN_POINT('Ctrl Pts',(-13.9343487974787,-6.95123560542731, 7.29689780727235)); #471656=CARTESIAN_POINT('Ctrl Pts',(-13.9360699078241,-6.94774309511261, 7.28486503572973)); #471657=CARTESIAN_POINT('Ctrl Pts',(-13.9377660033472,-6.94430140835084, 7.27281255890391)); #471658=CARTESIAN_POINT('Ctrl Pts',(-13.9407341705128,-6.93827845651775, 7.25172072445872)); #471659=CARTESIAN_POINT('Ctrl Pts',(-13.9436256441656,-6.93241104889009, 7.23056856959858)); #471660=CARTESIAN_POINT('Ctrl Pts',(-13.9463710964213,-6.9268059470908, 7.20935119149322)); #471661=CARTESIAN_POINT('Ctrl Pts',(-13.9471170940855,-6.92528292193477, 7.20358597941385)); #471662=CARTESIAN_POINT('Ctrl Pts',(-13.947852321,-6.92377928127802,7.19781600352881)); #471663=CARTESIAN_POINT('Ctrl Pts',(-13.9485754012268,-6.92229717849698, 7.19204106711733)); #471664=CARTESIAN_POINT('Ctrl Pts',(-13.9498481538977,-6.91968840812409, 7.18187612902506)); #471665=CARTESIAN_POINT('Ctrl Pts',(-13.951083282406,-6.91714635300043, 7.17169581317365)); #471666=CARTESIAN_POINT('Ctrl Pts',(-13.9522729319958,-6.91468240625485, 7.16149982691915)); #471667=CARTESIAN_POINT('Ctrl Pts',(-13.9550712075473,-6.90888674844286, 7.13751698415166)); #471668=CARTESIAN_POINT('Ctrl Pts',(-13.9576177533933,-6.90352306778086, 7.11344699227189)); #471669=CARTESIAN_POINT('Ctrl Pts',(-13.9598116911732,-6.89874026528731, 7.08928209605384)); #471670=CARTESIAN_POINT('Ctrl Pts',(-13.9620056289532,-6.89395746279375, 7.06511719983579)); #471671=CARTESIAN_POINT('Ctrl Pts',(-13.9638469621665,-6.88975559604885, 7.04085743308594)); #471672=CARTESIAN_POINT('Ctrl Pts',(-13.9652364661184,-6.88628440475277, 7.01649331626216)); #471673=CARTESIAN_POINT('Ctrl Pts',(-13.9655630611398,-6.88546852093034, 7.01076666881791)); #471674=CARTESIAN_POINT('Ctrl Pts',(-13.9658647148636,-6.88469289960817, 7.00503325515537)); #471675=CARTESIAN_POINT('Ctrl Pts',(-13.966141831036,-6.88395826847708, 6.99929410603499)); #471676=CARTESIAN_POINT('Ctrl Pts',(-13.9673996048351,-6.88062392757371, 6.97324527846328)); #471677=CARTESIAN_POINT('Ctrl Pts',(-13.9681512913475,-6.87813464888948, 6.94708200520009)); #471678=CARTESIAN_POINT('Ctrl Pts',(-13.9684111249687,-6.87656811247046, 6.92089213915402)); #471679=CARTESIAN_POINT('Ctrl Pts',(-13.9684668699296,-6.87623202620505, 6.91527333951165)); #471680=CARTESIAN_POINT('Ctrl Pts',(-13.9685000066969,-6.87593838370063, 6.90965313225976)); #471681=CARTESIAN_POINT('Ctrl Pts',(-13.9685107299652,-6.87568791122039, 6.90403205877209)); #471682=CARTESIAN_POINT('Ctrl Pts',(-13.9685342030634,-6.8751396301393, 6.89172760023128)); #471683=CARTESIAN_POINT('Ctrl Pts',(-13.9684502414741,-6.87479821241551, 6.87941923524745)); #471684=CARTESIAN_POINT('Ctrl Pts',(-13.9682641776291,-6.87466956206697, 6.86710191962615)); #471685=CARTESIAN_POINT('Ctrl Pts',(-13.9682170183161,-6.87463695464633, 6.86398000121551)); #471686=CARTESIAN_POINT('Ctrl Pts',(-13.9681631745998,-6.87461812643797, 6.86085806602934)); #471687=CARTESIAN_POINT('Ctrl Pts',(-13.9681026821976,-6.87461320777971, 6.85773623186053)); #471688=CARTESIAN_POINT('Ctrl Pts',(-13.9677900423642,-6.87458778692615, 6.84160181366172)); #471689=CARTESIAN_POINT('Ctrl Pts',(-13.9673464791579,-6.8748988309529, 6.8254836285919)); #471690=CARTESIAN_POINT('Ctrl Pts',(-13.9666974231528,-6.87562228064919, 6.80937809953077)); #471691=CARTESIAN_POINT('Ctrl Pts',(-13.9658526745259,-6.87656385292152, 6.78841669796686)); #471692=CARTESIAN_POINT('Ctrl Pts',(-13.9646600757966,-6.87820461630545, 6.76747456759912)); #471693=CARTESIAN_POINT('Ctrl Pts',(-13.9631427827855,-6.88046524782155, 6.7465967603891)); #471694=CARTESIAN_POINT('Ctrl Pts',(-13.9624423313527,-6.88150885810181, 6.73695861543778)); #471695=CARTESIAN_POINT('Ctrl Pts',(-13.9616727218414,-6.88268428087983, 6.72733491726391)); #471696=CARTESIAN_POINT('Ctrl Pts',(-13.9608362147873,-6.88398237249531, 6.71773353671723)); #471697=CARTESIAN_POINT('Ctrl Pts',(-13.9607348635344,-6.88413964936583, 6.71657023278161)); #471698=CARTESIAN_POINT('Ctrl Pts',(-13.960632382424,-6.88429884201035, 6.71540716767132)); #471699=CARTESIAN_POINT('Ctrl Pts',(-13.9605288020017,-6.88445991566528, 6.71424433481279)); #471700=CARTESIAN_POINT('Ctrl Pts',(-13.9584299084906,-6.88772381864863, 6.69068136520166)); #471701=CARTESIAN_POINT('Ctrl Pts',(-13.9558806994619,-6.89175783271767, 6.66721620894837)); #471702=CARTESIAN_POINT('Ctrl Pts',(-13.9530347086581,-6.89635270659879, 6.64373394530928)); #471703=CARTESIAN_POINT('Ctrl Pts',(-13.9519966106288,-6.89802872379272, 6.63516860083419)); #471704=CARTESIAN_POINT('Ctrl Pts',(-13.9509191472075,-6.89977941155864, 6.62660105425424)); #471705=CARTESIAN_POINT('Ctrl Pts',(-13.9498100389329,-6.90159488165526, 6.61802592261344)); #471706=CARTESIAN_POINT('Ctrl Pts',(-13.9497226251441,-6.90173796698167, 6.61735007809687)); #471707=CARTESIAN_POINT('Ctrl Pts',(-13.9496350147131,-6.90188145491798, 6.61667418630023)); #471708=CARTESIAN_POINT('Ctrl Pts',(-13.9495472118179,-6.90202534023722, 6.61599824421353)); #471709=CARTESIAN_POINT('Ctrl Pts',(-13.945592419764,-6.90850618025802, 6.58555266053317)); #471710=CARTESIAN_POINT('Ctrl Pts',(-13.9412381793579,-6.91579766812012, 6.55500077354971)); #471711=CARTESIAN_POINT('Ctrl Pts',(-13.9368447029055,-6.92344829119656, 6.52411479698122)); #471712=CARTESIAN_POINT('Ctrl Pts',(-13.9318920391078,-6.93207266061152, 6.48929775410679)); #471713=CARTESIAN_POINT('Ctrl Pts',(-13.9268895350039,-6.94115428577994, 6.4540535461923)); #471714=CARTESIAN_POINT('Ctrl Pts',(-13.9223311106893,-6.95010188927872, 6.41804480192468)); #471715=CARTESIAN_POINT('',(-13.86646861802,-7.056083499505,6.008922173275)); #471716=CARTESIAN_POINT('Ctrl Pts',(-13.86646861802,-7.056083499505,6.008922173275)); #471717=CARTESIAN_POINT('Ctrl Pts',(-13.87849259966,-7.035452920949,6.07295850375)); #471718=CARTESIAN_POINT('Ctrl Pts',(-13.8932057678,-7.008674348234,6.172770342451)); #471719=CARTESIAN_POINT('Ctrl Pts',(-13.9099124589,-6.97569129087,6.31176583683)); #471720=CARTESIAN_POINT('Ctrl Pts',(-13.9180347538,-6.95866265727,6.383307703323)); #471721=CARTESIAN_POINT('Ctrl Pts',(-13.92233111073,-6.950101889337,6.418044801934)); #471722=CARTESIAN_POINT('',(-13.5201583533302,-7.67623516953062,4.64915568862248)); #471723=CARTESIAN_POINT('Ctrl Pts',(-13.52015834016,-7.67623514687359,4.6491556806749)); #471724=CARTESIAN_POINT('Ctrl Pts',(-13.5293004600879,-7.66019874680171, 4.67972265118885)); #471725=CARTESIAN_POINT('Ctrl Pts',(-13.5383752329999,-7.64412442133911, 4.70998196889592)); #471726=CARTESIAN_POINT('Ctrl Pts',(-13.5473745892176,-7.62810811566802, 4.73998152545636)); #471727=CARTESIAN_POINT('Ctrl Pts',(-13.5506037707503,-7.62236108696472, 4.75074607479624)); #471728=CARTESIAN_POINT('Ctrl Pts',(-13.5538231944434,-7.61662160310535, 4.76147708527734)); #471729=CARTESIAN_POINT('Ctrl Pts',(-13.5570317311653,-7.61089377748963, 4.77217747265852)); #471730=CARTESIAN_POINT('Ctrl Pts',(-13.5615881155032,-7.60275979705283, 4.78737289714664)); #471731=CARTESIAN_POINT('Ctrl Pts',(-13.5661231856993,-7.59464924842052, 4.80250572619847)); #471732=CARTESIAN_POINT('Ctrl Pts',(-13.570635053941,-7.58657390847623, 4.8175823476394)); #471733=CARTESIAN_POINT('Ctrl Pts',(-13.5726089962968,-7.5830409472506, 4.82417836951981)); #471734=CARTESIAN_POINT('Ctrl Pts',(-13.5745784981688,-7.57951472576769, 4.8307636330802)); #471735=CARTESIAN_POINT('Ctrl Pts',(-13.5765434030029,-7.57599623204919, 4.83733867257219)); #471736=CARTESIAN_POINT('Ctrl Pts',(-13.5846085878553,-7.56155415742556, 4.86432670171014)); #471737=CARTESIAN_POINT('Ctrl Pts',(-13.5926134772244,-7.54722331737272, 4.89116727512135)); #471738=CARTESIAN_POINT('Ctrl Pts',(-13.6005249872483,-7.53310067035117, 4.91785338743212)); #471739=CARTESIAN_POINT('Ctrl Pts',(-13.6125052517256,-7.51171498722312, 4.95826371142638)); #471740=CARTESIAN_POINT('Ctrl Pts',(-13.6242697893686,-7.490808850196,4.99832116996576)); #471741=CARTESIAN_POINT('Ctrl Pts',(-13.6356993854029,-7.47046970644241, 5.038164615055)); #471742=CARTESIAN_POINT('Ctrl Pts',(-13.6410196828866,-7.46100215439537, 5.05671111311243)); #471743=CARTESIAN_POINT('Ctrl Pts',(-13.6462677132807,-7.45165697618409, 5.07521102820888)); #471744=CARTESIAN_POINT('Ctrl Pts',(-13.6514336596354,-7.44244015869086, 5.09367627867559)); #471745=CARTESIAN_POINT('Ctrl Pts',(-13.6546236451419,-7.43674874956625, 5.10507861956729)); #471746=CARTESIAN_POINT('Ctrl Pts',(-13.6577823813896,-7.43110623990366, 5.1164676757604)); #471747=CARTESIAN_POINT('Ctrl Pts',(-13.6609126603615,-7.4255131509056, 5.12783567420437)); #471748=CARTESIAN_POINT('Ctrl Pts',(-13.674224523378,-7.40172791155518, 5.17617936478729)); #471749=CARTESIAN_POINT('Ctrl Pts',(-13.686905773755,-7.37885160101418, 5.22431227399136)); #471750=CARTESIAN_POINT('Ctrl Pts',(-13.6991385585295,-7.35681274782708, 5.27204629662541)); #471751=CARTESIAN_POINT('Ctrl Pts',(-13.7065333434498,-7.34349014122423, 5.3009017728939)); #471752=CARTESIAN_POINT('Ctrl Pts',(-13.7137641140374,-7.33047297896233, 5.32961251213985)); #471753=CARTESIAN_POINT('Ctrl Pts',(-13.7208577057987,-7.31770690940434, 5.35821291983623)); #471754=CARTESIAN_POINT('Ctrl Pts',(-13.7232112219621,-7.31347137512784, 5.36770198039398)); #471755=CARTESIAN_POINT('Ctrl Pts',(-13.7255497946882,-7.30926442139002, 5.37717539145766)); #471756=CARTESIAN_POINT('Ctrl Pts',(-13.7278744829667,-7.30508457846651, 5.38663237713069)); #471757=CARTESIAN_POINT('Ctrl Pts',(-13.7299085852104,-7.30142721590843, 5.39490723959458)); #471758=CARTESIAN_POINT('Ctrl Pts',(-13.7319320570196,-7.29779060976878, 5.4031695262275)); #471759=CARTESIAN_POINT('Ctrl Pts',(-13.733945607249,-7.29417377085968, 5.41141871455583)); #471760=CARTESIAN_POINT('Ctrl Pts',(-13.7373970816351,-7.28797406117748, 5.4255588446625)); #471761=CARTESIAN_POINT('Ctrl Pts',(-13.7408234514416,-7.28183244092474, 5.43965167375952)); #471762=CARTESIAN_POINT('Ctrl Pts',(-13.7442219558154,-7.27574397903594, 5.45370796581407)); #471763=CARTESIAN_POINT('Ctrl Pts',(-13.7534673017688,-7.25918082939854, 5.49194692877359)); #471764=CARTESIAN_POINT('Ctrl Pts',(-13.7625086968274,-7.24300894779849, 5.52991214019127)); #471765=CARTESIAN_POINT('Ctrl Pts',(-13.7714249820552,-7.2271033336178, 5.56749731361891)); #471766=CARTESIAN_POINT('Ctrl Pts',(-13.7726157236711,-7.22497918928546, 5.57251669433627)); #471767=CARTESIAN_POINT('Ctrl Pts',(-13.7738041961861,-7.22285983335734, 5.57752934916279)); #471768=CARTESIAN_POINT('Ctrl Pts',(-13.7749905544318,-7.22074500212982, 5.5825350722567)); #471769=CARTESIAN_POINT('Ctrl Pts',(-13.7794964822621,-7.2127126249629, 5.6015473959638)); #471770=CARTESIAN_POINT('Ctrl Pts',(-13.783969901777,-7.20475036290037, 5.62044577269617)); #471771=CARTESIAN_POINT('Ctrl Pts',(-13.7883860393497,-7.19685281266422, 5.63926875819094)); #471772=CARTESIAN_POINT('Ctrl Pts',(-13.7925051283504,-7.18948648591953, 5.65682562802006)); #471773=CARTESIAN_POINT('Ctrl Pts',(-13.7965743754359,-7.18217675270543, 5.67431666054631)); #471774=CARTESIAN_POINT('Ctrl Pts',(-13.8005835417255,-7.17495706469146, 5.69171490675033)); #471775=CARTESIAN_POINT('Ctrl Pts',(-13.803729293226,-7.16929221001553, 5.70536626345316)); #471776=CARTESIAN_POINT('Ctrl Pts',(-13.8068318828973,-7.16367991742969, 5.71898649162387)); #471777=CARTESIAN_POINT('Ctrl Pts',(-13.8099003478075,-7.15813161242069, 5.73254737894394)); #471778=CARTESIAN_POINT('Ctrl Pts',(-13.8129093967951,-7.1526907414774, 5.74584568133817)); #471779=CARTESIAN_POINT('Ctrl Pts',(-13.8158856281513,-7.14731135631085, 5.75908698211892)); #471780=CARTESIAN_POINT('Ctrl Pts',(-13.8188130576703,-7.14200590511182, 5.77230478067176)); #471781=CARTESIAN_POINT('Ctrl Pts',(-13.8199216820433,-7.13999671839313, 5.77731039175107)); #471782=CARTESIAN_POINT('Ctrl Pts',(-13.8210242220878,-7.13799809683098, 5.78231044320841)); #471783=CARTESIAN_POINT('Ctrl Pts',(-13.8221204918011,-7.13601067447212, 5.78730510102723)); #471784=CARTESIAN_POINT('Ctrl Pts',(-13.8248684452938,-7.13102892212965, 5.79982491000383)); #471785=CARTESIAN_POINT('Ctrl Pts',(-13.8275767818466,-7.12611416461115, 5.81232489005994)); #471786=CARTESIAN_POINT('Ctrl Pts',(-13.8302382976273,-7.12127875923918, 5.82480906879862)); #471787=CARTESIAN_POINT('Ctrl Pts',(-13.836806684162,-7.10934540465778, 5.85561892238605)); #471788=CARTESIAN_POINT('Ctrl Pts',(-13.843088994718,-7.09789823244963, 5.8863319929944)); #471789=CARTESIAN_POINT('Ctrl Pts',(-13.8491023903601,-7.08708990794441, 5.91669179408963)); #471790=CARTESIAN_POINT('Ctrl Pts',(-13.8538873358759,-7.07848956847691, 5.94084952505511)); #471791=CARTESIAN_POINT('Ctrl Pts',(-13.8585009047873,-7.07029371165565, 5.96478649330688)); #471792=CARTESIAN_POINT('Ctrl Pts',(-13.8628558087095,-7.06253163439473, 5.98872023279166)); #471793=CARTESIAN_POINT('Ctrl Pts',(-13.8640809264291,-7.06034801368492, 5.99545325121512)); #471794=CARTESIAN_POINT('Ctrl Pts',(-13.865285719142,-7.058198551297,6.00218580948201)); #471795=CARTESIAN_POINT('Ctrl Pts',(-13.8664686180071,-7.05608349948594, 6.00892217327128)); #471796=CARTESIAN_POINT('',(-13.49500045114,-7.722901650946,4.556148337588)); #471797=CARTESIAN_POINT('Ctrl Pts',(-13.49500045114,-7.722901650946,4.556148337588)); #471798=CARTESIAN_POINT('Ctrl Pts',(-13.50324334439,-7.707589317773,4.58723003765)); #471799=CARTESIAN_POINT('Ctrl Pts',(-13.51180510541,-7.691942531928,4.618220957965)); #471800=CARTESIAN_POINT('Ctrl Pts',(-13.52015837967,-7.676235214845,4.649155704518)); #471801=CARTESIAN_POINT('',(-13.06946761041,-8.441895658959,2.901022393337)); #471802=CARTESIAN_POINT('Ctrl Pts',(-13.06946761041,-8.441895658959,2.901022393337)); #471803=CARTESIAN_POINT('Ctrl Pts',(-13.08682126508,-8.414294069773,2.971094921441)); #471804=CARTESIAN_POINT('Ctrl Pts',(-13.12207757724,-8.358946827198,3.108683573199)); #471805=CARTESIAN_POINT('Ctrl Pts',(-13.16547719209,-8.290240685454,3.28172625171)); #471806=CARTESIAN_POINT('Ctrl Pts',(-13.19954340093,-8.235525549043,3.420628184722)); #471807=CARTESIAN_POINT('Ctrl Pts',(-13.22452615139,-8.193979447148,3.526465643762)); #471808=CARTESIAN_POINT('Ctrl Pts',(-13.2494442046,-8.151160411054,3.633271600761)); #471809=CARTESIAN_POINT('Ctrl Pts',(-13.28307185554,-8.090879521355,3.776735535818)); #471810=CARTESIAN_POINT('Ctrl Pts',(-13.32024119846,-8.027117759344,3.913262900254)); #471811=CARTESIAN_POINT('Ctrl Pts',(-13.35840942137,-7.963972300195,4.046110599127)); #471812=CARTESIAN_POINT('Ctrl Pts',(-13.41402625714,-7.869813622511,4.247875719443)); #471813=CARTESIAN_POINT('Ctrl Pts',(-13.45873395129,-7.790275310515,4.419400181486)); #471814=CARTESIAN_POINT('Ctrl Pts',(-13.49500045114,-7.722901650946,4.556148337588)); #471815=CARTESIAN_POINT('',(-12.8711825305117,-8.74988688530215,2.01838925157969)); #471816=CARTESIAN_POINT('Ctrl Pts',(-12.8711825305111,-8.74988688530096, 2.01838925157942)); #471817=CARTESIAN_POINT('Ctrl Pts',(-12.8836975304155,-8.73050374515071, 2.07853421993739)); #471818=CARTESIAN_POINT('Ctrl Pts',(-12.8967232071494,-8.71047560205228, 2.13764663172672)); #471819=CARTESIAN_POINT('Ctrl Pts',(-12.9101030019336,-8.6903269445423, 2.19599676809861)); #471820=CARTESIAN_POINT('Ctrl Pts',(-12.91679290323,-8.68025260990775,2.22517185331168)); #471821=CARTESIAN_POINT('Ctrl Pts',(-12.9235713611407,-8.67014819499154, 2.25415638145382)); #471822=CARTESIAN_POINT('Ctrl Pts',(-12.9303484252679,-8.66012611987522, 2.28295689525529)); #471823=CARTESIAN_POINT('Ctrl Pts',(-12.937125489395,-8.65010404475892, 2.31175740905673)); #471824=CARTESIAN_POINT('Ctrl Pts',(-12.9439011776885,-8.64016434166096, 2.34037391557531)); #471825=CARTESIAN_POINT('Ctrl Pts',(-12.9505428111399,-8.63029672468948, 2.3692336941888)); #471826=CARTESIAN_POINT('Ctrl Pts',(-12.9638260780428,-8.6105614907465, 2.42695325141579)); #471827=CARTESIAN_POINT('Ctrl Pts',(-12.9765730820887,-8.59111452407177, 2.48564588070372)); #471828=CARTESIAN_POINT('Ctrl Pts',(-12.9892731322624,-8.57117215342841, 2.54502825190713)); #471829=CARTESIAN_POINT('Ctrl Pts',(-12.9956231573492,-8.56120096810672, 2.57471943750884)); #471830=CARTESIAN_POINT('Ctrl Pts',(-13.0019614536835,-8.55110594877969, 2.60458306175416)); #471831=CARTESIAN_POINT('Ctrl Pts',(-13.0083313197408,-8.54076218178368, 2.63462876358195)); #471832=CARTESIAN_POINT('Ctrl Pts',(-13.0147011857981,-8.53041841478768, 2.66467446540971)); #471833=CARTESIAN_POINT('Ctrl Pts',(-13.0211025731696,-8.51982581529531, 2.69490222680726)); #471834=CARTESIAN_POINT('Ctrl Pts',(-13.0277293140095,-8.50899136568908, 2.72480998644017)); #471835=CARTESIAN_POINT('Ctrl Pts',(-13.0409827956898,-8.48732246647572, 2.78462550570844)); #471836=CARTESIAN_POINT('Ctrl Pts',(-13.055138144428,-8.46468696893028, 2.84316120894906)); #471837=CARTESIAN_POINT('Ctrl Pts',(-13.0694676103974,-8.44189565893668, 2.90102239333133)); #471838=CARTESIAN_POINT('',(-12.5450640461112,-9.22104118365113,0.28192855352566)); #471839=CARTESIAN_POINT('Ctrl Pts',(-12.5450637416124,-9.22104054297208, 0.281928458960606)); #471840=CARTESIAN_POINT('Ctrl Pts',(-12.5461066159064,-9.21957656936661, 0.288488843504683)); #471841=CARTESIAN_POINT('Ctrl Pts',(-12.5474515534386,-9.21795580504293, 0.294959052445523)); #471842=CARTESIAN_POINT('Ctrl Pts',(-12.5487250885823,-9.21636723587394, 0.301446768931918)); #471843=CARTESIAN_POINT('Ctrl Pts',(-12.5502215665162,-9.21450057462028, 0.309070213492184)); #471844=CARTESIAN_POINT('Ctrl Pts',(-12.5516196614886,-9.21267871076241, 0.316717879694378)); #471845=CARTESIAN_POINT('Ctrl Pts',(-12.5529483316585,-9.21088759874452, 0.324381829219706)); #471846=CARTESIAN_POINT('Ctrl Pts',(-12.553664567922,-9.20992207742238, 0.328513177010703)); #471847=CARTESIAN_POINT('Ctrl Pts',(-12.5543606218648,-9.20896547839541, 0.332649254730177)); #471848=CARTESIAN_POINT('Ctrl Pts',(-12.5550409906916,-9.20801553713578, 0.33678881024991)); #471849=CARTESIAN_POINT('Ctrl Pts',(-12.5581470222922,-9.20367884877656, 0.355686781100867)); #471850=CARTESIAN_POINT('Ctrl Pts',(-12.5609260412104,-9.19948071834583, 0.374657217807601)); #471851=CARTESIAN_POINT('Ctrl Pts',(-12.563805126267,-9.19520442473648, 0.39358074451592)); #471852=CARTESIAN_POINT('Ctrl Pts',(-12.5684847819781,-9.18825375067936, 0.424338984753016)); #471853=CARTESIAN_POINT('Ctrl Pts',(-12.5734295667912,-9.18109785917373, 0.454973431605711)); #471854=CARTESIAN_POINT('Ctrl Pts',(-12.5785641148999,-9.17378853503983, 0.485505247025168)); #471855=CARTESIAN_POINT('Ctrl Pts',(-12.5804895704407,-9.17104753848962, 0.496954677807465)); #471856=CARTESIAN_POINT('Ctrl Pts',(-12.5824416936414,-9.16828493562498, 0.508389672620662)); #471857=CARTESIAN_POINT('Ctrl Pts',(-12.5844164614431,-9.16550337450157, 0.519811337679529)); #471858=CARTESIAN_POINT('Ctrl Pts',(-12.5861443832696,-9.16306950851858, 0.529805294606039)); #471859=CARTESIAN_POINT('Ctrl Pts',(-12.5878896418527,-9.1606211267285, 0.539789045829482)); #471860=CARTESIAN_POINT('Ctrl Pts',(-12.5896495337617,-9.15815998859977, 0.549763330652451)); #471861=CARTESIAN_POINT('Ctrl Pts',(-12.6019687771249,-9.14093202169861, 0.619583324413235)); #471862=CARTESIAN_POINT('Ctrl Pts',(-12.6150047582802,-9.12307851025955, 0.688939367177547)); #471863=CARTESIAN_POINT('Ctrl Pts',(-12.6278296847447,-9.10520185709643, 0.758085098450133)); #471864=CARTESIAN_POINT('Ctrl Pts',(-12.6334133261986,-9.0974188244268, 0.788189362405463)); #471865=CARTESIAN_POINT('Ctrl Pts',(-12.6389570649611,-9.08963157688749, 0.818253792322458)); #471866=CARTESIAN_POINT('Ctrl Pts',(-12.6444863375924,-9.08183689419123, 0.848296258436578)); #471867=CARTESIAN_POINT('Ctrl Pts',(-12.6500156102238,-9.07404221149497, 0.878338724550697)); #471868=CARTESIAN_POINT('Ctrl Pts',(-12.6555304124319,-9.06624008695514, 0.908359225536355)); #471869=CARTESIAN_POINT('Ctrl Pts',(-12.6610561090315,-9.05842718005368, 0.938375607649093)); #471870=CARTESIAN_POINT('Ctrl Pts',(-12.6721075022308,-9.04280136625073, 0.998408371874627)); #471871=CARTESIAN_POINT('Ctrl Pts',(-12.6832024275021,-9.02713234337791, 1.05842464766879)); #471872=CARTESIAN_POINT('Ctrl Pts',(-12.6942904061903,-9.0112548479939, 1.11870491463683)); #471873=CARTESIAN_POINT('Ctrl Pts',(-12.7053783848785,-8.9953773526099, 1.17898518160482)); #471874=CARTESIAN_POINT('Ctrl Pts',(-12.7164594502343,-8.97929144103003, 1.23952945047443)); #471875=CARTESIAN_POINT('Ctrl Pts',(-12.7277908451469,-8.96275670951212, 1.29993332607297)); #471876=CARTESIAN_POINT('Ctrl Pts',(-12.7391222400596,-8.94622197799413, 1.36033720167179)); #471877=CARTESIAN_POINT('Ctrl Pts',(-12.7507039692148,-8.92923843537151, 1.42060068383406)); #471878=CARTESIAN_POINT('Ctrl Pts',(-12.7625041811812,-8.91198159013294, 1.48047264827408)); #471879=CARTESIAN_POINT('Ctrl Pts',(-12.7743043931477,-8.89472474489443, 1.54034461271387)); #471880=CARTESIAN_POINT('Ctrl Pts',(-12.7863230855613,-8.87719459276037, 1.59982505911935)); #471881=CARTESIAN_POINT('Ctrl Pts',(-12.7983259832165,-8.85956491129208, 1.65934573709307)); #471882=CARTESIAN_POINT('Ctrl Pts',(-12.8103288808717,-8.84193522982381, 1.71886641506674)); #471883=CARTESIAN_POINT('Ctrl Pts',(-12.8223159800583,-8.82420601238467, 1.77842732354687)); #471884=CARTESIAN_POINT('Ctrl Pts',(-12.8344007950459,-8.80603952621231, 1.83827216856313)); #471885=CARTESIAN_POINT('Ctrl Pts',(-12.8464856170864,-8.78787302943769, 1.89811704850586)); #471886=CARTESIAN_POINT('Ctrl Pts',(-12.8586681911338,-8.76926932749826, 1.95824587669413)); #471887=CARTESIAN_POINT('Ctrl Pts',(-12.8711825305131,-8.74988688530442, 2.01838925158014)); #471888=CARTESIAN_POINT('Ctrl Pts',(-12.5450646634615,-9.22104242242576, 0.281929021382484)); #471889=CARTESIAN_POINT('Ctrl Pts',(-13.8471194469199,-8.46913884843034, 0.554694643654966)); #471890=CARTESIAN_POINT('Ctrl Pts',(-16.020860457646,-7.12588188578234, 1.07461269446585)); #471891=CARTESIAN_POINT('Ctrl Pts',(-20.9479630126514,-2.70910564567266, 2.70364609674912)); #471892=CARTESIAN_POINT('Ctrl Pts',(-22.6174167880039,-0.533555446972208, 3.44343509589527)); #471893=CARTESIAN_POINT('Ctrl Pts',(-23.7750813549386,1.10965896686256, 4.00043499230337)); #471894=CARTESIAN_POINT('Origin',(0.,0.,2.012776594297)); #471895=CARTESIAN_POINT('',(-9.69890304388186,-11.4425207139883,0.024708830800308)); #471896=CARTESIAN_POINT('',(-12.1249703617383,-8.83091697558486,0.0247088462295373)); #471897=CARTESIAN_POINT('Origin',(0.,0.,0.02470882346698)); #471898=CARTESIAN_POINT('Ctrl Pts',(-9.90196102942287,-11.3157141580098, 0.0411655051266898)); #471899=CARTESIAN_POINT('Ctrl Pts',(-9.86522918914381,-11.3319906680145, 0.035771699339171)); #471900=CARTESIAN_POINT('Ctrl Pts',(-9.82947242712395,-11.350893381946, 0.0316275932715132)); #471901=CARTESIAN_POINT('Ctrl Pts',(-9.7615039861359,-11.3933362573449, 0.0261170719088536)); #471902=CARTESIAN_POINT('Ctrl Pts',(-9.72924173406883,-11.4167847527932, 0.0247018371292148)); #471903=CARTESIAN_POINT('Ctrl Pts',(-9.6989030673711,-11.4425207416737, 0.0247088480695127)); #471904=CARTESIAN_POINT('',(-23.8,1.57309484827E-12,4.)); #471905=CARTESIAN_POINT('Origin',(0.,0.,4.000000000001)); #471906=CARTESIAN_POINT('',(-23.7777861103533,1.04732758009971,4.00045062204418)); #471907=CARTESIAN_POINT('Origin',(0.,0.,4.000000000001)); #471908=CARTESIAN_POINT('Origin',(0.,0.,4.00078224286806)); #471909=CARTESIAN_POINT('Ctrl Pts',(-12.1249703918656,-8.83091699754582, 0.0247088539009435)); #471910=CARTESIAN_POINT('Ctrl Pts',(-12.108085048832,-8.85408291442256, 0.0247040957416075)); #471911=CARTESIAN_POINT('Ctrl Pts',(-12.0943964942041,-8.87945372374824, 0.0264911740518339)); #471912=CARTESIAN_POINT('Ctrl Pts',(-12.0750263924651,-8.93268504410089, 0.0337133647881608)); #471913=CARTESIAN_POINT('Ctrl Pts',(-12.0695142145306,-8.95993403043714, 0.0390449712002144)); #471914=CARTESIAN_POINT('Ctrl Pts',(-12.0663332229965,-9.0261056157397, 0.0557465203538345)); #471915=CARTESIAN_POINT('Ctrl Pts',(-12.0731979987014,-9.06004142038974, 0.0674319645119284)); #471916=CARTESIAN_POINT('Ctrl Pts',(-12.0973785282392,-9.12637452603771, 0.0941730803430761)); #471917=CARTESIAN_POINT('Ctrl Pts',(-12.119002307026,-9.15704251530088, 0.110323666275636)); #471918=CARTESIAN_POINT('Ctrl Pts',(-12.1691830530422,-9.2084641183618, 0.142415089581584)); #471919=CARTESIAN_POINT('Ctrl Pts',(-12.2023349888608,-9.23024415584551, 0.160293430654757)); #471920=CARTESIAN_POINT('Ctrl Pts',(-12.2739070858481,-9.25980116052328, 0.194139725892274)); #471921=CARTESIAN_POINT('Ctrl Pts',(-12.3175611560011,-9.27002728031751, 0.212657310288037)); #471922=CARTESIAN_POINT('Ctrl Pts',(-12.3978105541315,-9.2693337025078, 0.241477415400143)); #471923=CARTESIAN_POINT('Ctrl Pts',(-12.4287337435904,-9.26510657700747, 0.251524970328154)); #471924=CARTESIAN_POINT('Ctrl Pts',(-12.4886841809992,-9.24872183681227, 0.26884918454528)); #471925=CARTESIAN_POINT('Ctrl Pts',(-12.5178144227428,-9.23671390414591, 0.276203058434109)); #471926=CARTESIAN_POINT('Ctrl Pts',(-12.5450637653714,-9.2210405655883, 0.281928197703589)); #471927=CARTESIAN_POINT('Origin',(0.,0.,-2.195754495236)); #471928=CARTESIAN_POINT('',(14.9999996680788,0.00315557202477409,7.12278922063018)); #471929=CARTESIAN_POINT('Ctrl Pts',(14.9999996680788,0.00315557202498393, 7.12278922063)); #471930=CARTESIAN_POINT('Ctrl Pts',(14.9999998895203,0.00210295086285334, 7.1236770594557)); #471931=CARTESIAN_POINT('Ctrl Pts',(15.,0.00105111112920486,7.12456361937193)); #471932=CARTESIAN_POINT('Ctrl Pts',(15.,7.34351978744002E-12,7.12544895083594)); #471933=CARTESIAN_POINT('',(14.99832972653,0.2238423871963,6.92777708889)); #471934=CARTESIAN_POINT('Ctrl Pts',(14.9983297265294,0.223842387196288, 6.92777708889)); #471935=CARTESIAN_POINT('Ctrl Pts',(14.9989222466765,0.184141182039947, 6.96451159110373)); #471936=CARTESIAN_POINT('Ctrl Pts',(14.9993573642754,0.144706495460172, 6.99981258032558)); #471937=CARTESIAN_POINT('Ctrl Pts',(14.9996398207739,0.103948290288981, 7.03561581882679)); #471938=CARTESIAN_POINT('Ctrl Pts',(14.999729102045,0.0910650874453206, 7.04693281333461)); #471939=CARTESIAN_POINT('Ctrl Pts',(14.9998027467132,0.0780496468440026, 7.05829998856486)); #471940=CARTESIAN_POINT('Ctrl Pts',(14.9998598076857,0.0648517522868996, 7.06977847789666)); #471941=CARTESIAN_POINT('Ctrl Pts',(14.9999484937355,0.0443391482719706, 7.08761872547055)); #471942=CARTESIAN_POINT('Ctrl Pts',(14.9999954122194,0.0233857835931764, 7.105727867885)); #471943=CARTESIAN_POINT('Ctrl Pts',(14.9999996680788,0.00315557202498393, 7.12278922063)); #471944=CARTESIAN_POINT('',(14.99208897039,0.4871019440694,6.666807360041)); #471945=CARTESIAN_POINT('Ctrl Pts',(14.99208897039,0.4871019440694,6.666807360041)); #471946=CARTESIAN_POINT('Ctrl Pts',(14.99484239161,0.4023566828459,6.757124831021)); #471947=CARTESIAN_POINT('Ctrl Pts',(14.99697748388,0.3144482488872,6.843940684224)); #471948=CARTESIAN_POINT('Ctrl Pts',(14.99832972653,0.2238423871963,6.92777708889)); #471949=CARTESIAN_POINT('',(14.97275923658,0.9035932952801,6.161281822434)); #471950=CARTESIAN_POINT('Ctrl Pts',(14.97275923658,0.9035932952801,6.161281822434)); #471951=CARTESIAN_POINT('Ctrl Pts',(14.97664081909,0.8392743286366,6.250137232316)); #471952=CARTESIAN_POINT('Ctrl Pts',(14.98387204336,0.7057102117818,6.423396397108)); #471953=CARTESIAN_POINT('Ctrl Pts',(14.98966398107,0.561739074537,6.587262849231)); #471954=CARTESIAN_POINT('Ctrl Pts',(14.99208897039,0.4871019440694,6.666807360041)); #471955=CARTESIAN_POINT('',(14.94356848265,1.299908075408,5.543265708844)); #471956=CARTESIAN_POINT('Ctrl Pts',(14.94356848265,1.299908075408,5.543265708844)); #471957=CARTESIAN_POINT('Ctrl Pts',(14.94897770971,1.237715003025,5.648583640165)); #471958=CARTESIAN_POINT('Ctrl Pts',(14.9566150318,1.143360112477,5.805945801795)); #471959=CARTESIAN_POINT('Ctrl Pts',(14.96610898531,1.009505431648,6.010866033923)); #471960=CARTESIAN_POINT('Ctrl Pts',(14.97058789882,0.9395811384153,6.111572126551)); #471961=CARTESIAN_POINT('Ctrl Pts',(14.97275923658,0.9035932952801,6.161281822434)); #471962=CARTESIAN_POINT('',(14.81852941908,2.326195575682,3.592568214077)); #471963=CARTESIAN_POINT('Ctrl Pts',(14.8185294190648,2.32619557567961,3.592568214077)); #471964=CARTESIAN_POINT('Ctrl Pts',(14.8226311473561,2.30006639634946,3.648162239048)); #471965=CARTESIAN_POINT('Ctrl Pts',(14.8267113103819,2.27362188631709,3.70356527718454)); #471966=CARTESIAN_POINT('Ctrl Pts',(14.8307441480575,2.24700423116188,3.75886607290819)); #471967=CARTESIAN_POINT('Ctrl Pts',(14.8347769955489,2.2203865112198,3.81416700323291)); #471968=CARTESIAN_POINT('Ctrl Pts',(14.8387623529858,2.19359561999845,3.86936569064702)); #471969=CARTESIAN_POINT('Ctrl Pts',(14.8426651449889,2.16686210768777,3.92460477974917)); #471970=CARTESIAN_POINT('Ctrl Pts',(14.8446165409904,2.15349535153247,3.95222432430018)); #471971=CARTESIAN_POINT('Ctrl Pts',(14.8465473661219,2.14014295037495,3.97985396927319)); #471972=CARTESIAN_POINT('Ctrl Pts',(14.8484525362466,2.12684209071406,4.00751673411208)); #471973=CARTESIAN_POINT('Ctrl Pts',(14.8503577063712,2.11354123105325,4.03517949895077)); #471974=CARTESIAN_POINT('Ctrl Pts',(14.8522372677548,2.10029191934325,4.06287538365533)); #471975=CARTESIAN_POINT('Ctrl Pts',(14.8540936305915,2.087079877154,4.09059552605671)); #471976=CARTESIAN_POINT('Ctrl Pts',(14.857806356265,2.06065579277523,4.14603581085999)); #471977=CARTESIAN_POINT('Ctrl Pts',(14.861427366292,2.03438093791254,4.20157312645049)); #471978=CARTESIAN_POINT('Ctrl Pts',(14.8650414905672,2.0076208515839,4.25681516159313)); #471979=CARTESIAN_POINT('Ctrl Pts',(14.8686556148425,1.98086076525526,4.31205719673578)); #471980=CARTESIAN_POINT('Ctrl Pts',(14.8722625219233,1.95361540620209,4.36700395143055)); #471981=CARTESIAN_POINT('Ctrl Pts',(14.8759060729589,1.92546579001129,4.42139713182799)); #471982=CARTESIAN_POINT('Ctrl Pts',(14.8795496239945,1.89731617382065,4.47579031222511)); #471983=CARTESIAN_POINT('Ctrl Pts',(14.8832281032487,1.86826207808319,4.52962991832489)); #471984=CARTESIAN_POINT('Ctrl Pts',(14.8868686473355,1.83878815445953,4.58321615909075)); #471985=CARTESIAN_POINT('Ctrl Pts',(14.8905091914223,1.80931423083569,4.63680239985694)); #471986=CARTESIAN_POINT('Ctrl Pts',(14.8941116386084,1.77942045595696,4.69013527528919)); #471987=CARTESIAN_POINT('Ctrl Pts',(14.897608332071,1.74964738853508,4.74354874122139)); #471988=CARTESIAN_POINT('Ctrl Pts',(14.8993566788023,1.73476085482432,4.77025547418716)); #471989=CARTESIAN_POINT('Ctrl Pts',(14.9010788052368,1.71990452363994,4.79698235477792)); #471990=CARTESIAN_POINT('Ctrl Pts',(14.9027692091767,1.70513046363431,4.82376148430055)); #471991=CARTESIAN_POINT('Ctrl Pts',(14.9044596131167,1.6903564036285,4.85054061382351)); #471992=CARTESIAN_POINT('Ctrl Pts',(14.9061183999816,1.675664626989,4.87737199227834)); #471993=CARTESIAN_POINT('Ctrl Pts',(14.9077522200466,1.66100082651894,4.90422207900218)); #471994=CARTESIAN_POINT('Ctrl Pts',(14.9110198601765,1.63167322557882,4.95792225244986)); #471995=CARTESIAN_POINT('Ctrl Pts',(14.914188048348,1.60245757518486,5.01169725897359)); #471996=CARTESIAN_POINT('Ctrl Pts',(14.9172908591478,1.5730331921438,5.06534938528929)); #471997=CARTESIAN_POINT('Ctrl Pts',(14.9203936699476,1.54360880910291,5.11900151160466)); #471998=CARTESIAN_POINT('Ctrl Pts',(14.9234308823761,1.51397567382108,5.172530757712)); #471999=CARTESIAN_POINT('Ctrl Pts',(14.9264178768834,1.48393037729366,5.22581213735974)); #472000=CARTESIAN_POINT('Ctrl Pts',(14.9323918658979,1.42383978423976,5.33237489665355)); #472001=CARTESIAN_POINT('Ctrl Pts',(14.9381585521968,1.3620999085551,5.437946190109)); #472002=CARTESIAN_POINT('Ctrl Pts',(14.9435684826446,1.29990807540753,5.543265708844)); #472003=CARTESIAN_POINT('',(14.62154185026,3.348210555092,0.828635521891)); #472004=CARTESIAN_POINT('Ctrl Pts',(14.6215418502556,3.348210555091,0.828635521891)); #472005=CARTESIAN_POINT('Ctrl Pts',(14.6235065451233,3.33963078755317,0.8582705753313)); #472006=CARTESIAN_POINT('Ctrl Pts',(14.6252612328711,3.33193668189377,0.888199014587462)); #472007=CARTESIAN_POINT('Ctrl Pts',(14.6270909718992,3.32388473021935,0.91802818841551)); #472008=CARTESIAN_POINT('Ctrl Pts',(14.6289207109274,3.31583277854449,0.947857362245229)); #472009=CARTESIAN_POINT('Ctrl Pts',(14.6308245664514,3.30742276796667,0.977587270646822)); #472010=CARTESIAN_POINT('Ctrl Pts',(14.6327019339222,3.29909595389264,1.00734502703914)); #472011=CARTESIAN_POINT('Ctrl Pts',(14.6364566688637,3.28244232574457,1.06686053982376)); #472012=CARTESIAN_POINT('Ctrl Pts',(14.6401078485306,3.26612202354291,1.12648744457128)); #472013=CARTESIAN_POINT('Ctrl Pts',(14.6439268435929,3.24890852433542,1.18575690623411)); #472014=CARTESIAN_POINT('Ctrl Pts',(14.6458363411241,3.24030177473169,1.21539163706549)); #472015=CARTESIAN_POINT('Ctrl Pts',(14.6477874729487,3.23147165543939,1.2449370071257)); #472016=CARTESIAN_POINT('Ctrl Pts',(14.6497902149789,3.22236662363378,1.27436645552966)); #472017=CARTESIAN_POINT('Ctrl Pts',(14.6517929570091,3.21326159182815,1.30379590393373)); #472018=CARTESIAN_POINT('Ctrl Pts',(14.6538472473612,3.20388163376043,1.33310943068155)); #472019=CARTESIAN_POINT('Ctrl Pts',(14.6559410305591,3.19427495822993,1.36232637129912)); #472020=CARTESIAN_POINT('Ctrl Pts',(14.6601285969548,3.175061607169,1.42076025253407)); #472021=CARTESIAN_POINT('Ctrl Pts',(14.6644733384159,3.15494121241909,1.47880778924802)); #472022=CARTESIAN_POINT('Ctrl Pts',(14.6688185214803,3.13460734125937,1.53676354082531)); #472023=CARTESIAN_POINT('Ctrl Pts',(14.6709911130124,3.12444040567952,1.56574141661395)); #472024=CARTESIAN_POINT('Ctrl Pts',(14.6731639782875,3.11422013576949,1.59469634611843)); #472025=CARTESIAN_POINT('Ctrl Pts',(14.6753163914515,3.10404394472672,1.62366882432329)); #472026=CARTESIAN_POINT('Ctrl Pts',(14.6774688046155,3.09386775368391,1.65264130252825)); #472027=CARTESIAN_POINT('Ctrl Pts',(14.6796007922579,3.0837356471313,1.68163132943359)); #472028=CARTESIAN_POINT('Ctrl Pts',(14.6817001490385,3.07370797795141,1.71066705207191)); #472029=CARTESIAN_POINT('Ctrl Pts',(14.6858988625997,3.05365263959173,1.76873849734826)); #472030=CARTESIAN_POINT('Ctrl Pts',(14.68996788475,3.0340152246994,1.82699272555649)); #472031=CARTESIAN_POINT('Ctrl Pts',(14.6940111939343,3.01430506626877,1.88522325972722)); #472032=CARTESIAN_POINT('Ctrl Pts',(14.6980545031186,2.99459490783806,1.94345379389824)); #472033=CARTESIAN_POINT('Ctrl Pts',(14.702072045433,2.97481199612891,2.00166063403176)); #472034=CARTESIAN_POINT('Ctrl Pts',(14.7061509396778,2.95450918756654,2.05965383574457)); #472035=CARTESIAN_POINT('Ctrl Pts',(14.7102298339225,2.93420637900427,2.11764703745709)); #472036=CARTESIAN_POINT('Ctrl Pts',(14.7143694136811,2.91338353990809,2.17542660074891)); #472037=CARTESIAN_POINT('Ctrl Pts',(14.718553317594,2.89209063432371,2.23300946302806)); #472038=CARTESIAN_POINT('Ctrl Pts',(14.7227372215069,2.87079772873923,2.29059232530748)); #472039=CARTESIAN_POINT('Ctrl Pts',(14.7269651546273,2.84903469759645,2.34797848657424)); #472040=CARTESIAN_POINT('Ctrl Pts',(14.7311852491845,2.82704459713834,2.40527158080078)); #472041=CARTESIAN_POINT('Ctrl Pts',(14.7354053437417,2.80505449668023,2.46256467502732)); #472042=CARTESIAN_POINT('Ctrl Pts',(14.7396178697513,2.78283737876735,2.51976470221364)); #472043=CARTESIAN_POINT('Ctrl Pts',(14.7438096452749,2.76044872147618,2.57689640188955)); #472044=CARTESIAN_POINT('Ctrl Pts',(14.752193196322,2.71567140689393,2.69115980124111)); #472045=CARTESIAN_POINT('Ctrl Pts',(14.7604951546315,2.67020818277923,2.80514989055105)); #472046=CARTESIAN_POINT('Ctrl Pts',(14.7687531954825,2.62372427113142,2.91873972308881)); #472047=CARTESIAN_POINT('Ctrl Pts',(14.7770112363336,2.57724035948361,3.03232955562657)); #472048=CARTESIAN_POINT('Ctrl Pts',(14.7852282197566,2.52973631127555,3.14551913139214)); #472049=CARTESIAN_POINT('Ctrl Pts',(14.7935597618531,2.48003821996362,3.25777777360203)); #472050=CARTESIAN_POINT('Ctrl Pts',(14.8011510992277,2.43475548914982,3.3600629467852)); #472051=CARTESIAN_POINT('Ctrl Pts',(14.8088299306728,2.38764994767905,3.46157525346619)); #472052=CARTESIAN_POINT('Ctrl Pts',(14.8163380711532,2.34011242492674,3.5629250774437)); #472053=CARTESIAN_POINT('Ctrl Pts',(14.8170701736655,2.33547714407003,3.5728074792121)); #472054=CARTESIAN_POINT('Ctrl Pts',(14.8178006938761,2.33083776301764,3.5826883361311)); #472055=CARTESIAN_POINT('Ctrl Pts',(14.8185294190648,2.32619557567961,3.592568214077)); #472056=CARTESIAN_POINT('',(14.5723386150147,3.55625471487319,0.0247088278271775)); #472057=CARTESIAN_POINT('Ctrl Pts',(14.5723386077929,3.5562547012031,0.0247088249726692)); #472058=CARTESIAN_POINT('Ctrl Pts',(14.5726727090765,3.55488566622429,0.0304198068416239)); #472059=CARTESIAN_POINT('Ctrl Pts',(14.5730583593315,3.55330444371867,0.0360717521993283)); #472060=CARTESIAN_POINT('Ctrl Pts',(14.573426273257,3.55179485020477,0.0417437395340808)); #472061=CARTESIAN_POINT('Ctrl Pts',(14.5747328398649,3.54643385585294,0.0618865738701413)); #472062=CARTESIAN_POINT('Ctrl Pts',(14.5758164553601,3.54197639727222,0.0822821698769798)); #472063=CARTESIAN_POINT('Ctrl Pts',(14.5769260750263,3.53740387900782,0.102646617897997)); #472064=CARTESIAN_POINT('Ctrl Pts',(14.5791453143586,3.52825884247902,0.143375513940076)); #472065=CARTESIAN_POINT('Ctrl Pts',(14.5814668525893,3.51865315090215,0.18397981803887)); #472066=CARTESIAN_POINT('Ctrl Pts',(14.5837538737065,3.50914846524759,0.224611236477125)); #472067=CARTESIAN_POINT('Ctrl Pts',(14.5860408948237,3.49964377959304,0.265242654915316)); #472068=CARTESIAN_POINT('Ctrl Pts',(14.5882940320313,3.49024025078993,0.305901187692967)); #472069=CARTESIAN_POINT('Ctrl Pts',(14.5906117491237,3.48052421142776,0.34646785661494)); #472070=CARTESIAN_POINT('Ctrl Pts',(14.592929466216,3.47080817206557,0.387034525536993)); #472071=CARTESIAN_POINT('Ctrl Pts',(14.5953115983166,3.46077958404345,0.427509330603367)); #472072=CARTESIAN_POINT('Ctrl Pts',(14.5977887888947,3.45029889644661,0.467849671379684)); #472073=CARTESIAN_POINT('Ctrl Pts',(14.5990273841837,3.4450585526482,0.48801984176779)); #472074=CARTESIAN_POINT('Ctrl Pts',(14.6002897136442,3.43970517660084,0.508156396083382)); #472075=CARTESIAN_POINT('Ctrl Pts',(14.6015731463149,3.43424833883846,0.528262483584178)); #472076=CARTESIAN_POINT('Ctrl Pts',(14.6028565789857,3.42879150107607,0.548368571084999)); #472077=CARTESIAN_POINT('Ctrl Pts',(14.6041611108547,3.42323120077403,0.568444191771024)); #472078=CARTESIAN_POINT('Ctrl Pts',(14.6054819048916,3.4175866230255,0.58849489431007)); #472079=CARTESIAN_POINT('Ctrl Pts',(14.6081234929654,3.40629746752843,0.62859629938819)); #472080=CARTESIAN_POINT('Ctrl Pts',(14.6108298945111,3.39467114600262,0.668598031878395)); #472081=CARTESIAN_POINT('Ctrl Pts',(14.6135212139553,3.3830456292045,0.708603102074121)); #472082=CARTESIAN_POINT('Ctrl Pts',(14.6162125333999,3.37142011240442,0.748608172276649)); #472083=CARTESIAN_POINT('Ctrl Pts',(14.6188890049532,3.35979545633119,0.7886165801847)); #472084=CARTESIAN_POINT('Ctrl Pts',(14.6215418502556,3.348210555091,0.828635521891)); #472085=CARTESIAN_POINT('',(14.999989831282,0.0174916930638557,0.0247088346802472)); #472086=CARTESIAN_POINT('Origin',(0.,0.,0.02470882346465)); #472087=CARTESIAN_POINT('',(15.00000012203,2.830602080859E-5,0.09205485614024)); #472088=CARTESIAN_POINT('Ctrl Pts',(15.00000012203,2.830602080859E-5,0.09205485614024)); #472089=CARTESIAN_POINT('Ctrl Pts',(15.0000000932113,0.00582522841799536, 0.0696063313121992)); #472090=CARTESIAN_POINT('Ctrl Pts',(14.9999965427096,0.0117415073257486, 0.0471743804760852)); #472091=CARTESIAN_POINT('Ctrl Pts',(14.9999898268053,0.0174916786529156, 0.02470883099309)); #472092=CARTESIAN_POINT('',(15.000000122518,1.00191301315442E-12,0.0921644730061758)); #472093=CARTESIAN_POINT('Ctrl Pts',(15.000000122518,1.00190014734411E-12, 0.0921644730061758)); #472094=CARTESIAN_POINT('Ctrl Pts',(15.0000001223643,9.43512159248705E-6, 0.0921279340025324)); #472095=CARTESIAN_POINT('Ctrl Pts',(15.0000001222017,1.88704612628056E-5, 0.0920913950471469)); #472096=CARTESIAN_POINT('Ctrl Pts',(15.00000012203,2.830602080859E-5,0.09205485614024)); #472097=CARTESIAN_POINT('',(15.,0.,0.)); #472098=CARTESIAN_POINT('',(15.,1.00192587078112E-12,-2.195754495236)); #472099=CARTESIAN_POINT('Origin',(0.,0.,0.)); #472100=CARTESIAN_POINT('',(14.9880376252108,-0.598954801754146,1.96513794857482)); #472101=CARTESIAN_POINT('Ctrl Pts',(14.98803774982,-0.5989548073377,1.965137948339)); #472102=CARTESIAN_POINT('Ctrl Pts',(14.98894067185,-0.5763319910073,1.9066639132)); #472103=CARTESIAN_POINT('Ctrl Pts',(14.99061950649,-0.5317007268398,1.789533651074)); #472104=CARTESIAN_POINT('Ctrl Pts',(14.99268837452,-0.469640032277,1.612004748536)); #472105=CARTESIAN_POINT('Ctrl Pts',(14.99450363477,-0.4075855210319,1.434491035995)); #472106=CARTESIAN_POINT('Ctrl Pts',(14.99601291345,-0.3474744111561,1.256271238022)); #472107=CARTESIAN_POINT('Ctrl Pts',(14.99722531231,-0.2903160172728,1.076990231357)); #472108=CARTESIAN_POINT('Ctrl Pts',(14.99820059459,-0.2345511550268,0.8972059490116)); #472109=CARTESIAN_POINT('Ctrl Pts',(14.99922799721,-0.1599969722825,0.6575639505107)); #472110=CARTESIAN_POINT('Ctrl Pts',(14.99974101229,-0.096423989886,0.4472709886104)); #472111=CARTESIAN_POINT('Ctrl Pts',(14.99993746,-0.04568026823391,0.2656841655536)); #472112=CARTESIAN_POINT('Ctrl Pts',(14.999989760087,-0.0217927345275428, 0.1776291056697)); #472113=CARTESIAN_POINT('Ctrl Pts',(15.0000002380344,-0.00709206353343009, 0.119629615908796)); #472114=CARTESIAN_POINT('Ctrl Pts',(15.000000122518,1.00190014734411E-12, 0.0921644730061758)); #472115=CARTESIAN_POINT('',(14.96957254388,-0.954933429516,2.836251461258)); #472116=CARTESIAN_POINT('Ctrl Pts',(14.969572543837,-0.954933429513253, 2.836251461258)); #472117=CARTESIAN_POINT('Ctrl Pts',(14.9722426168099,-0.913077264644057, 2.74529715961)); #472118=CARTESIAN_POINT('Ctrl Pts',(14.9746822497618,-0.872026262753983, 2.65238288770901)); #472119=CARTESIAN_POINT('Ctrl Pts',(14.9768599699087,-0.832865800562478, 2.55711421377253)); #472120=CARTESIAN_POINT('Ctrl Pts',(14.9787087830526,-0.799619849596084, 2.47623422704416)); #472121=CARTESIAN_POINT('Ctrl Pts',(14.9803751384113,-0.767736873667317, 2.39365731578947)); #472122=CARTESIAN_POINT('Ctrl Pts',(14.9819703573229,-0.735230720453411, 2.31010752576306)); #472123=CARTESIAN_POINT('Ctrl Pts',(14.9822541494806,-0.729447820506779, 2.2952438760071)); #472124=CARTESIAN_POINT('Ctrl Pts',(14.9825355579925,-0.723645190628225, 2.28034943559613)); #472125=CARTESIAN_POINT('Ctrl Pts',(14.9828150375828,-0.717811639346828, 2.26542828120722)); #472126=CARTESIAN_POINT('Ctrl Pts',(14.9846654947911,-0.679187219559274, 2.16663409855048)); #472127=CARTESIAN_POINT('Ctrl Pts',(14.9864284286994,-0.639207159901884, 2.066668809209)); #472128=CARTESIAN_POINT('Ctrl Pts',(14.9880370020415,-0.598954777454831, 1.965137948339)); #472129=CARTESIAN_POINT('',(14.89577484108,-1.765188908833,4.471909429056)); #472130=CARTESIAN_POINT('Ctrl Pts',(14.89577484108,-1.765188908833,4.471909429056)); #472131=CARTESIAN_POINT('Ctrl Pts',(14.90457791007,-1.6908912578,4.339215201232)); #472132=CARTESIAN_POINT('Ctrl Pts',(14.91438522843,-1.602384120544,4.171061947296)); #472133=CARTESIAN_POINT('Ctrl Pts',(14.9251589187,-1.496858307386,3.968864120777)); #472134=CARTESIAN_POINT('Ctrl Pts',(14.93041346695,-1.443514255169,3.868061660307)); #472135=CARTESIAN_POINT('Ctrl Pts',(14.93549771843,-1.389906747856,3.767382649916)); #472136=CARTESIAN_POINT('Ctrl Pts',(14.94031480593,-1.337128631889,3.666260348892)); #472137=CARTESIAN_POINT('Ctrl Pts',(14.94476566889,-1.286391511382,3.564063545325)); #472138=CARTESIAN_POINT('Ctrl Pts',(14.94884601061,-1.238049712446,3.46061861139)); #472139=CARTESIAN_POINT('Ctrl Pts',(14.95267119662,-1.190950480464,3.356524181951)); #472140=CARTESIAN_POINT('Ctrl Pts',(14.95753476554,-1.128659816711,3.217464928651)); #472141=CARTESIAN_POINT('Ctrl Pts',(14.96330242281,-1.050043650485,3.044005616413)); #472142=CARTESIAN_POINT('Ctrl Pts',(14.96754951039,-0.9866565236546,2.905504802976)); #472143=CARTESIAN_POINT('Ctrl Pts',(14.96957254388,-0.954933429516,2.836251461258)); #472144=CARTESIAN_POINT('',(14.8896030825458,-1.8165132737809,4.56203222463792)); #472145=CARTESIAN_POINT('Ctrl Pts',(14.8896030896546,-1.81651327464737, 4.56203222463838)); #472146=CARTESIAN_POINT('Ctrl Pts',(14.8917103342585,-1.79924060408306, 4.53207632727113)); #472147=CARTESIAN_POINT('Ctrl Pts',(14.8937737395481,-1.7820754628678,4.502069408085)); #472148=CARTESIAN_POINT('Ctrl Pts',(14.8957748410845,-1.76518890883353, 4.471909429056)); #472149=CARTESIAN_POINT('',(14.79138153047,-2.492996674724,5.961067571755)); #472150=CARTESIAN_POINT('Ctrl Pts',(14.7913815304663,-2.49299667472337, 5.961067571755)); #472151=CARTESIAN_POINT('Ctrl Pts',(14.7931674027586,-2.48240078476144, 5.927692985922)); #472152=CARTESIAN_POINT('Ctrl Pts',(14.7950573965507,-2.47111745891985, 5.89467523862113)); #472153=CARTESIAN_POINT('Ctrl Pts',(14.797030678692,-2.45924441523145,5.86196361685591)); #472154=CARTESIAN_POINT('Ctrl Pts',(14.7990039608335,-2.44737137154189, 5.82925199508747)); #472155=CARTESIAN_POINT('Ctrl Pts',(14.8010604423663,-2.43490859519249, 5.79684649885474)); #472156=CARTESIAN_POINT('Ctrl Pts',(14.8031662726965,-2.42203804736845, 5.76465189028637)); #472157=CARTESIAN_POINT('Ctrl Pts',(14.8052721030267,-2.40916749954439, 5.732457281718)); #472158=CARTESIAN_POINT('Ctrl Pts',(14.8074272583985,-2.39588917639926, 5.700473560814)); #472159=CARTESIAN_POINT('Ctrl Pts',(14.8095989289163,-2.38238946535626, 5.6686036222435)); #472160=CARTESIAN_POINT('Ctrl Pts',(14.8117705994341,-2.36888975431325, 5.636733683673)); #472161=CARTESIAN_POINT('Ctrl Pts',(14.8139588023876,-2.35516865773248, 5.604977527436)); #472162=CARTESIAN_POINT('Ctrl Pts',(14.8161374218542,-2.34138247553298, 5.57325376738114)); #472163=CARTESIAN_POINT('Ctrl Pts',(14.8204946607873,-2.31381011113399, 5.50980624727141)); #472164=CARTESIAN_POINT('Ctrl Pts',(14.8248151568788,-2.2859776561473,5.44648831189023)); #472165=CARTESIAN_POINT('Ctrl Pts',(14.829172312121,-2.25735432252531,5.38357515926329)); #472166=CARTESIAN_POINT('Ctrl Pts',(14.8335294673632,-2.2287309889033,5.3206620066363)); #472167=CARTESIAN_POINT('Ctrl Pts',(14.8379228714117,-2.19931671677579, 5.25815363676356)); #472168=CARTESIAN_POINT('Ctrl Pts',(14.8423774237557,-2.168832038399,5.19619419045966)); #472169=CARTESIAN_POINT('Ctrl Pts',(14.8468319760997,-2.13834736002232, 5.13423474415594)); #472170=CARTESIAN_POINT('Ctrl Pts',(14.8513471094473,-2.10679219103936, 5.07282422142106)); #472171=CARTESIAN_POINT('Ctrl Pts',(14.8559004504028,-2.07417979156114, 5.01195339553502)); #472172=CARTESIAN_POINT('Ctrl Pts',(14.8604537913584,-2.04156739208281, 4.95108256964879)); #472173=CARTESIAN_POINT('Ctrl Pts',(14.8650448090501,-2.00789769229589, 4.89075144061139)); #472174=CARTESIAN_POINT('Ctrl Pts',(14.8696217559687,-1.9734104576649,4.83083748728357)); #472175=CARTESIAN_POINT('Ctrl Pts',(14.8741987028873,-1.9389232230339,4.77092353395574)); #472176=CARTESIAN_POINT('Ctrl Pts',(14.8787593350245,-1.90361815175415, 4.71142675633748)); #472177=CARTESIAN_POINT('Ctrl Pts',(14.883148659224,-1.86865887401609,4.65173224540079)); #472178=CARTESIAN_POINT('Ctrl Pts',(14.8853433213667,-1.85117923480489, 4.62188498934817)); #472179=CARTESIAN_POINT('Ctrl Pts',(14.8874958016147,-1.83378612408715, 4.591988299964)); #472180=CARTESIAN_POINT('Ctrl Pts',(14.8896030683317,-1.81651327204838, 4.562032224637)); #472181=CARTESIAN_POINT('',(14.77196776585,-2.605564876359,6.379033803211)); #472182=CARTESIAN_POINT('Ctrl Pts',(14.77196776585,-2.605564876359,6.379033803211)); #472183=CARTESIAN_POINT('Ctrl Pts',(14.77357288715,-2.596465393036,6.344136015568)); #472184=CARTESIAN_POINT('Ctrl Pts',(14.77670611874,-2.578604053551,6.274253144125)); #472185=CARTESIAN_POINT('Ctrl Pts',(14.78282033827,-2.543379773317,6.134370898747)); #472186=CARTESIAN_POINT('Ctrl Pts',(14.78769385374,-2.514874882709,6.029976963081)); #472187=CARTESIAN_POINT('Ctrl Pts',(14.79138153047,-2.492996674724,5.961067571755)); #472188=CARTESIAN_POINT('',(14.7916957654,-2.491131546898,7.63197531231)); #472189=CARTESIAN_POINT('Ctrl Pts',(14.7916957654,-2.491131546898,7.63197531231)); #472190=CARTESIAN_POINT('Ctrl Pts',(14.78981614839,-2.502292227452,7.598333408365)); #472191=CARTESIAN_POINT('Ctrl Pts',(14.78604457463,-2.5245322955,7.531031532488)); #472192=CARTESIAN_POINT('Ctrl Pts',(14.77841081798,-2.568945034552,7.396414002608)); #472193=CARTESIAN_POINT('Ctrl Pts',(14.76896658239,-2.622744660425,7.227754186862)); #472194=CARTESIAN_POINT('Ctrl Pts',(14.76179561367,-2.662626670346,7.055739804761)); #472195=CARTESIAN_POINT('Ctrl Pts',(14.7587513169,-2.679417242026,6.914632578337)); #472196=CARTESIAN_POINT('Ctrl Pts',(14.75817281931,-2.682598120603,6.806273900838)); #472197=CARTESIAN_POINT('Ctrl Pts',(14.75972452166,-2.67405804507,6.696210142063)); #472198=CARTESIAN_POINT('Ctrl Pts',(14.76396636539,-2.650592833648,6.553733402118)); #472199=CARTESIAN_POINT('Ctrl Pts',(14.76875773992,-2.62376377056,6.448829041863)); #472200=CARTESIAN_POINT('Ctrl Pts',(14.77196776585,-2.605564876359,6.379033803211)); #472201=CARTESIAN_POINT('',(14.8121221595071,-2.36664829293518,8.00000011444385)); #472202=CARTESIAN_POINT('Ctrl Pts',(14.8120947654169,-2.36682248179053, 8.001592064396)); #472203=CARTESIAN_POINT('Ctrl Pts',(14.8054186049131,-2.40860336008296, 7.878303458269)); #472204=CARTESIAN_POINT('Ctrl Pts',(14.7985983205215,-2.45014595722112, 7.755518821415)); #472205=CARTESIAN_POINT('Ctrl Pts',(14.7916957653966,-2.49113154689743, 7.63197531231)); #472206=CARTESIAN_POINT('',(3.36256663111221,-14.6182401874401,8.00000000790662)); #472207=CARTESIAN_POINT('Origin',(0.,0.,8.)); #472208=CARTESIAN_POINT('',(4.219466347305,-14.39430803283,7.464517929104)); #472209=CARTESIAN_POINT('Ctrl Pts',(4.21946634730469,-14.3943080328289, 7.464517929104)); #472210=CARTESIAN_POINT('Ctrl Pts',(4.12989589788429,-14.420564209658,7.532100780327)); #472211=CARTESIAN_POINT('Ctrl Pts',(4.03833173032635,-14.4464972602697, 7.59712303380949)); #472212=CARTESIAN_POINT('Ctrl Pts',(3.94475976273611,-14.4720029855683, 7.66002782185773)); #472213=CARTESIAN_POINT('Ctrl Pts',(3.85118779514584,-14.4975087108669, 7.722932609906)); #472214=CARTESIAN_POINT('Ctrl Pts',(3.75560826952604,-14.5225869753561, 7.78371993252001)); #472215=CARTESIAN_POINT('Ctrl Pts',(3.65801869007205,-14.5471268387639, 7.84106038704202)); #472216=CARTESIAN_POINT('Ctrl Pts',(3.59386290020348,-14.5632594456364, 7.87875623706889)); #472217=CARTESIAN_POINT('Ctrl Pts',(3.52883707514156,-14.5791551195062, 7.91496241911419)); #472218=CARTESIAN_POINT('Ctrl Pts',(3.46389460443934,-14.5945686530756, 7.94935248101753)); #472219=CARTESIAN_POINT('Ctrl Pts',(3.43005084840328,-14.6026011757704, 7.96727432557527)); #472220=CARTESIAN_POINT('Ctrl Pts',(3.39622994297664,-14.6105035932266, 7.98470294627907)); #472221=CARTESIAN_POINT('Ctrl Pts',(3.36256812379784,-14.6182466668482, 8.001592140276)); #472222=CARTESIAN_POINT('',(4.901164948469,-14.17669150923,6.874684433915)); #472223=CARTESIAN_POINT('Ctrl Pts',(4.901164948469,-14.17669150923,6.874684433915)); #472224=CARTESIAN_POINT('Ctrl Pts',(4.794709536026,-14.21349645695,6.981804061886)); #472225=CARTESIAN_POINT('Ctrl Pts',(4.656598994717,-14.25955777853,7.109448312367)); #472226=CARTESIAN_POINT('Ctrl Pts',(4.484610779417,-14.31401438352,7.255221196627)); #472227=CARTESIAN_POINT('Ctrl Pts',(4.368359849461,-14.35005185868,7.350657537646)); #472228=CARTESIAN_POINT('Ctrl Pts',(4.279290154359,-14.37677090064,7.41954554485)); #472229=CARTESIAN_POINT('Ctrl Pts',(4.219466347305,-14.39430803283,7.464517929104)); #472230=CARTESIAN_POINT('',(5.126036562551,-14.09694112775,6.632540403893)); #472231=CARTESIAN_POINT('Ctrl Pts',(5.126036562551,-14.09694112775,6.632540403893)); #472232=CARTESIAN_POINT('Ctrl Pts',(5.089874348294,-14.11009069413,6.674297065561)); #472233=CARTESIAN_POINT('Ctrl Pts',(5.016219581388,-14.13655859468,6.756387761443)); #472234=CARTESIAN_POINT('Ctrl Pts',(4.939929616454,-14.16328980387,6.835683882131)); #472235=CARTESIAN_POINT('Ctrl Pts',(4.901164948469,-14.17669150923,6.874684433915)); #472236=CARTESIAN_POINT('',(5.50347979521,-13.95391379305,6.135526376035)); #472237=CARTESIAN_POINT('Ctrl Pts',(5.50347979521,-13.95391379305,6.135526376035)); #472238=CARTESIAN_POINT('Ctrl Pts',(5.445386151373,-13.97682614996,6.222685840045)); #472239=CARTESIAN_POINT('Ctrl Pts',(5.32459034516,-14.02363275037,6.392835086476)); #472240=CARTESIAN_POINT('Ctrl Pts',(5.193927206574,-14.07225422994,6.554146647756)); #472241=CARTESIAN_POINT('Ctrl Pts',(5.126036562551,-14.09694112775,6.632540403893)); #472242=CARTESIAN_POINT('',(6.43505926887558,-13.549539205795,4.4060858396499)); #472243=CARTESIAN_POINT('Ctrl Pts',(6.43505926196252,-13.549539191243,4.406085839649)); #472244=CARTESIAN_POINT('Ctrl Pts',(6.42243293061912,-13.5555357929952, 4.433068558327)); #472245=CARTESIAN_POINT('Ctrl Pts',(6.40973858247113,-13.5615432898389, 4.46001245390548)); #472246=CARTESIAN_POINT('Ctrl Pts',(6.39678488090592,-13.5676506141415, 4.48680348352572)); #472247=CARTESIAN_POINT('Ctrl Pts',(6.38383117928685,-13.5737579384694, 4.51359451325736)); #472248=CARTESIAN_POINT('Ctrl Pts',(6.37061796922987,-13.5799647600798, 4.54023267702948)); #472249=CARTESIAN_POINT('Ctrl Pts',(6.35730026588874,-13.5861964261279, 4.56681217586561)); #472250=CARTESIAN_POINT('Ctrl Pts',(6.33066485920633,-13.5986597582242, 4.61997117353815)); #472251=CARTESIAN_POINT('Ctrl Pts',(6.30361130101096,-13.6112220900619, 4.67289551146672)); #472252=CARTESIAN_POINT('Ctrl Pts',(6.27663449679394,-13.6236507366292, 4.72589058205345)); #472253=CARTESIAN_POINT('Ctrl Pts',(6.24965769257707,-13.6360793831964, 4.77888565263989)); #472254=CARTESIAN_POINT('Ctrl Pts',(6.22275801973271,-13.6483751798437, 4.8319514558845)); #472255=CARTESIAN_POINT('Ctrl Pts',(6.19601346246273,-13.6605057436751, 4.8851345480721)); #472256=CARTESIAN_POINT('Ctrl Pts',(6.1529363480127,-13.6800442868348,4.97079587070478)); #472257=CARTESIAN_POINT('Ctrl Pts',(6.11026365133688,-13.6991584593348, 5.05676147757428)); #472258=CARTESIAN_POINT('Ctrl Pts',(6.06674381363112,-13.7184044079393, 5.14227005607864)); #472259=CARTESIAN_POINT('Ctrl Pts',(6.05622480464229,-13.723056270562,5.16293799863832)); #472260=CARTESIAN_POINT('Ctrl Pts',(6.04565620762457,-13.727715614526,5.18357924076691)); #472261=CARTESIAN_POINT('Ctrl Pts',(6.03502016498699,-13.7323898724221, 5.20418303203786)); #472262=CARTESIAN_POINT('Ctrl Pts',(6.00770012570662,-13.744396303167,5.25710651175938)); #472263=CARTESIAN_POINT('Ctrl Pts',(5.97993491197833,-13.7565007225281, 5.30978289630472)); #472264=CARTESIAN_POINT('Ctrl Pts',(5.95188713517065,-13.7686251866405, 5.36231251090308)); #472265=CARTESIAN_POINT('Ctrl Pts',(5.923839358363,-13.7807496507528,5.41484212550139)); #472266=CARTESIAN_POINT('Ctrl Pts',(5.89550903475066,-13.792894209936,5.46722497015273)); #472267=CARTESIAN_POINT('Ctrl Pts',(5.86703122497451,-13.8049970881987, 5.51954365272024)); #472268=CARTESIAN_POINT('Ctrl Pts',(5.83855341519839,-13.8170999664614, 5.57186233528771)); #472269=CARTESIAN_POINT('Ctrl Pts',(5.80992843841349,-13.829161916298,5.62411685577135)); #472270=CARTESIAN_POINT('Ctrl Pts',(5.78092750311074,-13.8412744067798, 5.67617035357196)); #472271=CARTESIAN_POINT('Ctrl Pts',(5.751926567808,-13.8533868972616,5.72822385137258)); #472272=CARTESIAN_POINT('Ctrl Pts',(5.72254972553665,-13.8655500508344, 5.78007632649016)); #472273=CARTESIAN_POINT('Ctrl Pts',(5.69251913274761,-13.8778682052865, 5.83156340684053)); #472274=CARTESIAN_POINT('Ctrl Pts',(5.63653802304125,-13.9008309206951, 5.92754232786295)); #472275=CARTESIAN_POINT('Ctrl Pts',(5.57828589343286,-13.9243340314635, 6.02225150093683)); #472276=CARTESIAN_POINT('Ctrl Pts',(5.51694216607359,-13.9485966726477, 6.11522551024898)); #472277=CARTESIAN_POINT('Ctrl Pts',(5.51247124611491,-13.9503650087334, 6.12200174266533)); #472278=CARTESIAN_POINT('Ctrl Pts',(5.50798389625138,-13.9521373574548, 6.12876875798938)); #472279=CARTESIAN_POINT('Ctrl Pts',(5.50347979520809,-13.9539137930452, 6.135526376035)); #472280=CARTESIAN_POINT('',(7.45920021129876,-13.0138518199835,1.71254192778781)); #472281=CARTESIAN_POINT('Ctrl Pts',(7.45920009559741,-13.0138516179431, 1.71254192782)); #472282=CARTESIAN_POINT('Ctrl Pts',(7.44145908333254,-13.0240203031461, 1.770594345662)); #472283=CARTESIAN_POINT('Ctrl Pts',(7.4238650794525,-13.0340567297474,1.82871592086143)); #472284=CARTESIAN_POINT('Ctrl Pts',(7.40630962949953,-13.044024596419,1.88686708720633)); #472285=CARTESIAN_POINT('Ctrl Pts',(7.38875417934927,-13.0539924632025, 1.9450182542047)); #472286=CARTESIAN_POINT('Ctrl Pts',(7.37123762525497,-13.0638923721545, 2.00319901234919)); #472287=CARTESIAN_POINT('Ctrl Pts',(7.35320495075007,-13.0740344558313, 2.06116462695622)); #472288=CARTESIAN_POINT('Ctrl Pts',(7.33517227624507,-13.0841765395082, 2.11913024156356)); #472289=CARTESIAN_POINT('Ctrl Pts',(7.31662296957391,-13.0945598858084, 2.17688071263342)); #472290=CARTESIAN_POINT('Ctrl Pts',(7.29767648832922,-13.1051103723581, 2.23437260271873)); #472291=CARTESIAN_POINT('Ctrl Pts',(7.27873000708462,-13.1156608589077, 2.29186449280376)); #472292=CARTESIAN_POINT('Ctrl Pts',(7.25938628956796,-13.1263783779504, 2.34909780190424)); #472293=CARTESIAN_POINT('Ctrl Pts',(7.23982957295738,-13.1371559994746, 2.40621393548217)); #472294=CARTESIAN_POINT('Ctrl Pts',(7.22027285634669,-13.1479336209989, 2.46333006906036)); #472295=CARTESIAN_POINT('Ctrl Pts',(7.20050323555618,-13.1587715183206, 2.520329027116)); #472296=CARTESIAN_POINT('Ctrl Pts',(7.18058428382229,-13.1696320883662, 2.57724686290793)); #472297=CARTESIAN_POINT('Ctrl Pts',(7.14074638035461,-13.1913532284574, 2.69108253449153)); #472298=CARTESIAN_POINT('Ctrl Pts',(7.10031215014455,-13.2131668885698, 2.80459371702031)); #472299=CARTESIAN_POINT('Ctrl Pts',(7.05881475971491,-13.235298794815,2.91749666515582)); #472300=CARTESIAN_POINT('Ctrl Pts',(7.0173173692853,-13.2574307010602,3.03039961329124)); #472301=CARTESIAN_POINT('Ctrl Pts',(6.97475670893983,-13.2798806278888, 3.1426943270334)); #472302=CARTESIAN_POINT('Ctrl Pts',(6.93076449173499,-13.302800590857,3.25415755538522)); #472303=CARTESIAN_POINT('Ctrl Pts',(6.88677227452998,-13.3257205538253, 3.36562078373749)); #472304=CARTESIAN_POINT('Ctrl Pts',(6.84134742873778,-13.3491085002286, 3.47625252669943)); #472305=CARTESIAN_POINT('Ctrl Pts',(6.79474568841559,-13.3727869582132, 3.58622296885055)); #472306=CARTESIAN_POINT('Ctrl Pts',(6.77144481825467,-13.3846261872054, 3.64120818992572)); #472307=CARTESIAN_POINT('Ctrl Pts',(6.74784973541427,-13.3965380677821, 3.69602808579819)); #472308=CARTESIAN_POINT('Ctrl Pts',(6.72406040650627,-13.408467908365,3.75074523589594)); #472309=CARTESIAN_POINT('Ctrl Pts',(6.70027107759813,-13.420397748948,3.80546238599404)); #472310=CARTESIAN_POINT('Ctrl Pts',(6.67628739461011,-13.4323453346846, 3.86007679031744)); #472311=CARTESIAN_POINT('Ctrl Pts',(6.65232860979502,-13.4442003877993, 3.91472493463765)); #472312=CARTESIAN_POINT('Ctrl Pts',(6.64034921738753,-13.4501279143566, 3.94204900679763)); #472313=CARTESIAN_POINT('Ctrl Pts',(6.62837608866603,-13.4560323866319, 3.96938151395682)); #472314=CARTESIAN_POINT('Ctrl Pts',(6.61643066421392,-13.4619034785445, 3.9967356042065)); #472315=CARTESIAN_POINT('Ctrl Pts',(6.60448523976182,-13.4677745704572, 4.02408969445618)); #472316=CARTESIAN_POINT('Ctrl Pts',(6.59256751208535,-13.4736122681886, 4.05146536779637)); #472317=CARTESIAN_POINT('Ctrl Pts',(6.58071535031416,-13.4793985577302, 4.07888643703475)); #472318=CARTESIAN_POINT('Ctrl Pts',(6.55701102677168,-13.4909711368135, 4.13372857551177)); #472319=CARTESIAN_POINT('Ctrl Pts',(6.53356989129705,-13.5023399642611, 4.18875229758157)); #472320=CARTESIAN_POINT('Ctrl Pts',(6.50939475405486,-13.5139846061731, 4.24334799278171)); #472321=CARTESIAN_POINT('Ctrl Pts',(6.4852196167623,-13.5256292481093,4.29794368809558)); #472322=CARTESIAN_POINT('Ctrl Pts',(6.46030928559356,-13.5375472411008, 4.352111356538)); #472323=CARTESIAN_POINT('Ctrl Pts',(6.43505926196252,-13.549539191243,4.406085839649)); #472324=CARTESIAN_POINT('',(7.7016910544,-12.87182795498,0.8323703958393)); #472325=CARTESIAN_POINT('Ctrl Pts',(7.7016910544,-12.87182795498,0.8323703958393)); #472326=CARTESIAN_POINT('Ctrl Pts',(7.679840856326,-12.88490173717,0.926978413741)); #472327=CARTESIAN_POINT('Ctrl Pts',(7.632778326481,-12.91291068617,1.118320493011)); #472328=CARTESIAN_POINT('Ctrl Pts',(7.552048990604,-12.96031787754,1.411725041381)); #472329=CARTESIAN_POINT('Ctrl Pts',(7.491311037787,-12.99544678598,1.611562428499)); #472330=CARTESIAN_POINT('Ctrl Pts',(7.459200234533,-13.01385186034,1.71254192782)); #472331=CARTESIAN_POINT('',(7.88527880867547,-12.7601872109228,0.0247088113868075)); #472332=CARTESIAN_POINT('Ctrl Pts',(7.88527879570382,-12.7601872249798, 0.0247088068438528)); #472333=CARTESIAN_POINT('Ctrl Pts',(7.87968178603374,-12.7636457423711, 0.0513917544592153)); #472334=CARTESIAN_POINT('Ctrl Pts',(7.86523203712342,-12.772561716772,0.118624814216479)); #472335=CARTESIAN_POINT('Ctrl Pts',(7.843703677304,-12.78579704753,0.227022274712)); #472336=CARTESIAN_POINT('Ctrl Pts',(7.818617478017,-12.80115291969,0.3491922909109)); #472337=CARTESIAN_POINT('Ctrl Pts',(7.79658876159,-12.81457809847,0.450626476362)); #472338=CARTESIAN_POINT('Ctrl Pts',(7.77769035805,-12.82605263741,0.531346398576)); #472339=CARTESIAN_POINT('Ctrl Pts',(7.758070372932,-12.83793545391,0.6118266891067)); #472340=CARTESIAN_POINT('Ctrl Pts',(7.732447637359,-12.85339080344,0.7120636722526)); #472341=CARTESIAN_POINT('Ctrl Pts',(7.711904204962,-12.86571717175,0.792254384547)); #472342=CARTESIAN_POINT('Ctrl Pts',(7.7016910544,-12.87182795498,0.8323703958393)); #472343=CARTESIAN_POINT('',(4.65194224004149,-14.2604149330676,0.0247088303572059)); #472344=CARTESIAN_POINT('Origin',(0.,0.,0.02470882346465)); #472345=CARTESIAN_POINT('',(4.1869487672511,-14.4038001949571,1.61025691944599)); #472346=CARTESIAN_POINT('Ctrl Pts',(4.186948767252,-14.40380019496,1.610256919446)); #472347=CARTESIAN_POINT('Ctrl Pts',(4.20685451924,-14.39801429745,1.551170602083)); #472348=CARTESIAN_POINT('Ctrl Pts',(4.246069782778,-14.38652600804,1.432785022627)); #472349=CARTESIAN_POINT('Ctrl Pts',(4.303800054999,-14.36935831417,1.254825398038)); #472350=CARTESIAN_POINT('Ctrl Pts',(4.358408304797,-14.35288554342,1.075720409096)); #472351=CARTESIAN_POINT('Ctrl Pts',(4.411695889363,-14.33659576577,0.8961384380689)); #472352=CARTESIAN_POINT('Ctrl Pts',(4.482845692471,-14.31455646947,0.6567619025371)); #472353=CARTESIAN_POINT('Ctrl Pts',(4.543326256305,-14.29544422412,0.4466836191486)); #472354=CARTESIAN_POINT('Ctrl Pts',(4.591683621937,-14.27993872706,0.2653263948895)); #472355=CARTESIAN_POINT('Ctrl Pts',(4.61990511266033,-14.2708383695503, 0.154934673292418)); #472356=CARTESIAN_POINT('Ctrl Pts',(4.63979285308188,-14.2643781616341, 0.0746374721612938)); #472357=CARTESIAN_POINT('Ctrl Pts',(4.65194222009064,-14.2604149337776, 0.0247088254461096)); #472358=CARTESIAN_POINT('',(3.71899725892,-14.53165714541,2.833235979171)); #472359=CARTESIAN_POINT('Ctrl Pts',(3.71899725892,-14.53165714541,2.833235979171)); #472360=CARTESIAN_POINT('Ctrl Pts',(3.744387078964,-14.52515994449,2.776111555165)); #472361=CARTESIAN_POINT('Ctrl Pts',(3.781632129494,-14.51552055401,2.690078312066)); #472362=CARTESIAN_POINT('Ctrl Pts',(3.828825298634,-14.50312146377,2.574554339929)); #472363=CARTESIAN_POINT('Ctrl Pts',(3.873840093292,-14.49117970327,2.45821543765)); #472364=CARTESIAN_POINT('Ctrl Pts',(3.917393783737,-14.47946654347,2.341347347125)); #472365=CARTESIAN_POINT('Ctrl Pts',(3.962093834541,-14.46728144361,2.224914000935)); #472366=CARTESIAN_POINT('Ctrl Pts',(3.996121512026,-14.45791964774,2.137788229706)); #472367=CARTESIAN_POINT('Ctrl Pts',(4.030032013575,-14.44850326078,2.050629884758)); #472368=CARTESIAN_POINT('Ctrl Pts',(4.063273712743,-14.43918977934,1.963234442446)); #472369=CARTESIAN_POINT('Ctrl Pts',(4.09529358928,-14.43013987814,1.875392405091)); #472370=CARTESIAN_POINT('Ctrl Pts',(4.136558758306,-14.41838034083,1.757744384398)); #472371=CARTESIAN_POINT('Ctrl Pts',(4.167043072377,-14.40958585866,1.669343072373)); #472372=CARTESIAN_POINT('Ctrl Pts',(4.186948767252,-14.40380019496,1.610256919446)); #472373=CARTESIAN_POINT('',(2.933081055366,-14.71043967809,4.455905720788)); #472374=CARTESIAN_POINT('Ctrl Pts',(2.933081055366,-14.71043967809,4.455905720788)); #472375=CARTESIAN_POINT('Ctrl Pts',(3.005577564887,-14.69598331084,4.324155282581)); #472376=CARTESIAN_POINT('Ctrl Pts',(3.091879274384,-14.6781029189,4.157289482514)); #472377=CARTESIAN_POINT('Ctrl Pts',(3.194769830779,-14.65586518515,3.956786951925)); #472378=CARTESIAN_POINT('Ctrl Pts',(3.264093850202,-14.6406262399,3.82353748852)); #472379=CARTESIAN_POINT('Ctrl Pts',(3.333627637691,-14.62494408708,3.690436310792)); #472380=CARTESIAN_POINT('Ctrl Pts',(3.398935639664,-14.60986100583,3.555127880128)); #472381=CARTESIAN_POINT('Ctrl Pts',(3.445646053586,-14.59891342047,3.452464813706)); #472382=CARTESIAN_POINT('Ctrl Pts',(3.491181365111,-14.58809032103,3.349198170415)); #472383=CARTESIAN_POINT('Ctrl Pts',(3.551435504732,-14.57357193561,3.211294221739)); #472384=CARTESIAN_POINT('Ctrl Pts',(3.62738011821,-14.55489872292,3.039281257493)); #472385=CARTESIAN_POINT('Ctrl Pts',(3.688467375915,-14.53947114086,2.901923909015)); #472386=CARTESIAN_POINT('Ctrl Pts',(3.71899725892,-14.53165714541,2.833235979171)); #472387=CARTESIAN_POINT('',(2.209016343572,-14.83644993905,5.932724420541)); #472388=CARTESIAN_POINT('Ctrl Pts',(2.20901634357148,-14.8364499390465, 5.932724420541)); #472389=CARTESIAN_POINT('Ctrl Pts',(2.21994565204505,-14.8348226615549, 5.899751923658)); #472390=CARTESIAN_POINT('Ctrl Pts',(2.23167565899944,-14.8330635888984, 5.86717880470386)); #472391=CARTESIAN_POINT('Ctrl Pts',(2.24385289646288,-14.8312212639093, 5.83485386875443)); #472392=CARTESIAN_POINT('Ctrl Pts',(2.2560301339277,-14.82937893892,5.80252893280132)); #472393=CARTESIAN_POINT('Ctrl Pts',(2.26865463259943,-14.8274535633441, 5.77045217985299)); #472394=CARTESIAN_POINT('Ctrl Pts',(2.28165509383885,-14.8254527766527, 5.73857006515527)); #472395=CARTESIAN_POINT('Ctrl Pts',(2.29465555507812,-14.8234519899613, 5.70668795045788)); #472396=CARTESIAN_POINT('Ctrl Pts',(2.30803195505807,-14.82137563881,5.67500047401109)); #472397=CARTESIAN_POINT('Ctrl Pts',(2.32155397243229,-14.8192573077426, 5.64339144832603)); #472398=CARTESIAN_POINT('Ctrl Pts',(2.33507598980663,-14.8171389766753, 5.61178242264067)); #472399=CARTESIAN_POINT('Ctrl Pts',(2.34874364584717,-14.8149787980876, 5.58025184771703)); #472400=CARTESIAN_POINT('Ctrl Pts',(2.3624805202897,-14.8127879142061,5.54875926623956)); #472401=CARTESIAN_POINT('Ctrl Pts',(2.38995426917465,-14.8084061464433, 5.48577410328489)); #472402=CARTESIAN_POINT('Ctrl Pts',(2.41770510046959,-14.8039028750765, 5.42294091411492)); #472403=CARTESIAN_POINT('Ctrl Pts',(2.44627067272855,-14.7991810515227, 5.36054337324559)); #472404=CARTESIAN_POINT('Ctrl Pts',(2.47483624498749,-14.7944592279688, 5.29814583237632)); #472405=CARTESIAN_POINT('Ctrl Pts',(2.50421646200405,-14.7895182805334, 5.23618393980768)); #472406=CARTESIAN_POINT('Ctrl Pts',(2.53461224990083,-14.7843072459501, 5.17476401386522)); #472407=CARTESIAN_POINT('Ctrl Pts',(2.56500803779762,-14.7790962113668, 5.1133440879227)); #472408=CARTESIAN_POINT('Ctrl Pts',(2.59641932915459,-14.7736146894657, 5.05246612860635)); #472409=CARTESIAN_POINT('Ctrl Pts',(2.6288387821967,-14.767843669853,4.99212791982133)); #472410=CARTESIAN_POINT('Ctrl Pts',(2.6612582352388,-14.7620726502404,4.93178971103632)); #472411=CARTESIAN_POINT('Ctrl Pts',(2.6946857127691,-14.7560113879884,4.87199125278264)); #472412=CARTESIAN_POINT('Ctrl Pts',(2.72879369354715,-14.74970118267,4.81256212018788)); #472413=CARTESIAN_POINT('Ctrl Pts',(2.76290167432517,-14.7433909773517, 4.75313298759317)); #472414=CARTESIAN_POINT('Ctrl Pts',(2.79768978170305,-14.7368297635344, 4.69407318065738)); #472415=CARTESIAN_POINT('Ctrl Pts',(2.83198441032428,-14.7302363965987, 4.63475971242537)); #472416=CARTESIAN_POINT('Ctrl Pts',(2.86627903894411,-14.7236430296632, 4.57544624419578)); #472417=CARTESIAN_POINT('Ctrl Pts',(2.90008058729699,-14.717019566237,4.51587911467)); #472418=CARTESIAN_POINT('Ctrl Pts',(2.93308105536553,-14.7104396780877, 4.455905720788)); #472419=CARTESIAN_POINT('',(2.196084665781,-14.83836959173,7.615159092561)); #472420=CARTESIAN_POINT('Ctrl Pts',(2.196084665781,-14.83836959173,7.615159092561)); #472421=CARTESIAN_POINT('Ctrl Pts',(2.153620572092,-14.84465429469,7.506194012962)); #472422=CARTESIAN_POINT('Ctrl Pts',(2.084847378044,-14.85451941299,7.285110370608)); #472423=CARTESIAN_POINT('Ctrl Pts',(1.998297263912,-14.86631065682,6.936634045663)); #472424=CARTESIAN_POINT('Ctrl Pts',(2.024374921378,-14.8628127117,6.593477729737)); #472425=CARTESIAN_POINT('Ctrl Pts',(2.117536914438,-14.84989038288,6.263323284755)); #472426=CARTESIAN_POINT('Ctrl Pts',(2.176403555033,-14.84130569519,6.042520274194)); #472427=CARTESIAN_POINT('Ctrl Pts',(2.209016343572,-14.83644993905,5.932724420541)); #472428=CARTESIAN_POINT('',(2.32637382982556,-14.8185011836041,8.00000011835218)); #472429=CARTESIAN_POINT('Ctrl Pts',(2.32619667306518,-14.8185292467984, 8.001592064361)); #472430=CARTESIAN_POINT('Ctrl Pts',(2.28250171632067,-14.8253884337876, 7.872711275002)); #472431=CARTESIAN_POINT('Ctrl Pts',(2.23902973685638,-14.8320137039416, 7.7443176563)); #472432=CARTESIAN_POINT('Ctrl Pts',(2.19608466578073,-14.8383695917282, 7.615159092561)); #472433=CARTESIAN_POINT('',(-12.8636814737385,-7.71527706841132,8.00000000791746)); #472434=CARTESIAN_POINT('Origin',(0.,0.,8.)); #472435=CARTESIAN_POINT('',(-12.38591407619,-8.461036136143,7.464518482169)); #472436=CARTESIAN_POINT('Ctrl Pts',(-12.3859140761933,-8.46103613614527, 7.464518482169)); #472437=CARTESIAN_POINT('Ctrl Pts',(-12.4410452971975,-8.38033082354693, 7.535079493874)); #472438=CARTESIAN_POINT('Ctrl Pts',(-12.4955921974107,-8.29878896101208, 7.60208243453599)); #472439=CARTESIAN_POINT('Ctrl Pts',(-12.5496023655631,-8.21629359664392, 7.66584264687798)); #472440=CARTESIAN_POINT('Ctrl Pts',(-12.6036125337157,-8.13379823227573, 7.72960285921999)); #472441=CARTESIAN_POINT('Ctrl Pts',(-12.6570826951775,-8.0503475017471, 7.79012034324201)); #472442=CARTESIAN_POINT('Ctrl Pts',(-12.7097574747238,-7.96630811189861, 7.84644906385927)); #472443=CARTESIAN_POINT('Ctrl Pts',(-12.7451052080762,-7.90991296475155, 7.88424879674099)); #472444=CARTESIAN_POINT('Ctrl Pts',(-12.7800922874891,-7.85325078677385, 7.92016226109896)); #472445=CARTESIAN_POINT('Ctrl Pts',(-12.814205601471,-7.79718762139468, 7.95380362534255)); #472446=CARTESIAN_POINT('Ctrl Pts',(-12.8309275497018,-7.76970611227991, 7.97029422932184)); #472447=CARTESIAN_POINT('Ctrl Pts',(-12.847440237908,-7.74236895797533, 7.98623888264835)); #472448=CARTESIAN_POINT('Ctrl Pts',(-12.8636871526386,-7.7152804770171, 8.001592140263)); #472449=CARTESIAN_POINT('',(-12.00233238198,-8.996889317563,6.927777088905)); #472450=CARTESIAN_POINT('Ctrl Pts',(-12.0023323819799,-8.9968893175629, 6.927777088905)); #472451=CARTESIAN_POINT('Ctrl Pts',(-12.0336742091,-8.95507764096268,6.976129996087)); #472452=CARTESIAN_POINT('Ctrl Pts',(-12.0651846317885,-8.91258653333005, 7.02357469848256)); #472453=CARTESIAN_POINT('Ctrl Pts',(-12.0969104719884,-8.86931547712089, 7.0700090385803)); #472454=CARTESIAN_POINT('Ctrl Pts',(-12.1286363118606,-8.82604442135874, 7.11644337819835)); #472455=CARTESIAN_POINT('Ctrl Pts',(-12.1605766766993,-8.78199278060141, 7.16186735553945)); #472456=CARTESIAN_POINT('Ctrl Pts',(-12.1925992111063,-8.73730647724635, 7.20652135429249)); #472457=CARTESIAN_POINT('Ctrl Pts',(-12.2086104783098,-8.71496332556882, 7.22884835366901)); #472458=CARTESIAN_POINT('Ctrl Pts',(-12.2246424303616,-8.69246160671487, 7.25098285839851)); #472459=CARTESIAN_POINT('Ctrl Pts',(-12.2406947963826,-8.66979762750048, 7.27292398433214)); #472460=CARTESIAN_POINT('Ctrl Pts',(-12.2567471624035,-8.64713364828611, 7.29486511026575)); #472461=CARTESIAN_POINT('Ctrl Pts',(-12.2728199943625,-8.62430744773731, 7.31661285740348)); #472462=CARTESIAN_POINT('Ctrl Pts',(-12.2889326341413,-8.60128680567841, 7.3381122086288)); #472463=CARTESIAN_POINT('Ctrl Pts',(-12.3211579116073,-8.55524552454879, 7.38111090828874)); #472464=CARTESIAN_POINT('Ctrl Pts',(-12.3535413955912,-8.50842575059696, 7.423116024428)); #472465=CARTESIAN_POINT('Ctrl Pts',(-12.3859140761933,-8.46103613614527, 7.464518482169)); #472466=CARTESIAN_POINT('',(-11.84254341914,-9.206202548599,6.66680736004)); #472467=CARTESIAN_POINT('Ctrl Pts',(-11.84254341914,-9.206202548599,6.66680736004)); #472468=CARTESIAN_POINT('Ctrl Pts',(-11.89458299845,-9.13926061246,6.757124831027)); #472469=CARTESIAN_POINT('Ctrl Pts',(-11.94798160542,-9.069396171175,6.843940684234)); #472470=CARTESIAN_POINT('Ctrl Pts',(-12.00233238198,-8.996889317563,6.927777088905)); #472471=CARTESIAN_POINT('',(-11.58209786204,-9.531789397276,6.161281822438)); #472472=CARTESIAN_POINT('Ctrl Pts',(-11.58209786204,-9.531789397276,6.161281822438)); #472473=CARTESIAN_POINT('Ctrl Pts',(-11.62304386829,-9.482035797156,6.250137232319)); #472474=CARTESIAN_POINT('Ctrl Pts',(-11.70740106974,-9.378230563759,6.423396397106)); #472475=CARTESIAN_POINT('Ctrl Pts',(-11.79671095701,-9.265159882602,6.58726284923)); #472476=CARTESIAN_POINT('Ctrl Pts',(-11.84254341914,-9.206202548599,6.66680736004)); #472477=CARTESIAN_POINT('',(-11.325534063,-9.835256894851,5.543265708844)); #472478=CARTESIAN_POINT('Ctrl Pts',(-11.325534063,-9.835256894851,5.543265708844)); #472479=CARTESIAN_POINT('Ctrl Pts',(-11.36646639036,-9.788121106258,5.648583640165)); #472480=CARTESIAN_POINT('Ctrl Pts',(-11.42810552688,-9.716275501597,5.805945801796)); #472481=CARTESIAN_POINT('Ctrl Pts',(-11.51446410395,-9.613565195882,6.010866033924)); #472482=CARTESIAN_POINT('Ctrl Pts',(-11.55918808943,-9.559627893646,6.111572126555)); #472483=CARTESIAN_POINT('Ctrl Pts',(-11.58209786204,-9.531789397276,6.161281822438)); #472484=CARTESIAN_POINT('',(-10.62113867835,-10.59204480617,3.592568214076)); #472485=CARTESIAN_POINT('Ctrl Pts',(-10.6211386783345,-10.5920448061546, 3.592568214076)); #472486=CARTESIAN_POINT('Ctrl Pts',(-10.6398153924943,-10.5733167914249, 3.648162239047)); #472487=CARTESIAN_POINT('Ctrl Pts',(-10.6586600067218,-10.5543209930556, 3.70356527718389)); #472488=CARTESIAN_POINT('Ctrl Pts',(-10.6775681060883,-10.5351573001947, 3.75886607290831)); #472489=CARTESIAN_POINT('Ctrl Pts',(-10.6964762514764,-10.5159935606901, 3.81416700323311)); #472490=CARTESIAN_POINT('Ctrl Pts',(-10.7154477641292,-10.4966618087221, 3.86936569064773)); #472491=CARTESIAN_POINT('Ctrl Pts',(-10.7343187534638,-10.477327946525, 3.92460477974896)); #472492=CARTESIAN_POINT('Ctrl Pts',(-10.7437542481311,-10.4676610154265, 3.95222432429954)); #472493=CARTESIAN_POINT('Ctrl Pts',(-10.7531646629587,-10.4579936065116, 3.97985396927177)); #472494=CARTESIAN_POINT('Ctrl Pts',(-10.7625240271177,-10.448352815909, 4.00751673411041)); #472495=CARTESIAN_POINT('Ctrl Pts',(-10.7718833912768,-10.4387120253064, 4.03517949894905)); #472496=CARTESIAN_POINT('Ctrl Pts',(-10.7811917384034,-10.4290978854321, 4.0628753836541)); #472497=CARTESIAN_POINT('Ctrl Pts',(-10.7904594110373,-10.4195002614692, 4.09059552605588)); #472498=CARTESIAN_POINT('Ctrl Pts',(-10.8089947563049,-10.4003050135436, 4.14603581085924)); #472499=CARTESIAN_POINT('Ctrl Pts',(-10.8273681871491,-10.381176585726, 4.20157312644952)); #472500=CARTESIAN_POINT('Ctrl Pts',(-10.8460212592024,-10.3616515500633, 4.25681516159208)); #472501=CARTESIAN_POINT('Ctrl Pts',(-10.8646743312557,-10.3421265144006, 4.31205719673485)); #472502=CARTESIAN_POINT('Ctrl Pts',(-10.8836068006265,-10.3222046426968, 4.36700395142989)); #472503=CARTESIAN_POINT('Ctrl Pts',(-10.9031004245884,-10.3015727503784, 4.42139713182744)); #472504=CARTESIAN_POINT('Ctrl Pts',(-10.9225940485503,-10.2809408580601, 4.47579031222506)); #472505=CARTESIAN_POINT('Ctrl Pts',(-10.9426475697725,-10.2595977567099, 4.5296299183252)); #472506=CARTESIAN_POINT('Ctrl Pts',(-10.9629171694398,-10.2378927097329, 4.58321615909132)); #472507=CARTESIAN_POINT('Ctrl Pts',(-10.983186769107,-10.216187662756,4.63680239985712)); #472508=CARTESIAN_POINT('Ctrl Pts',(-11.0036723301102,-10.1941205561822, 4.69013527528891)); #472509=CARTESIAN_POINT('Ctrl Pts',(-11.0240013844919,-10.1720889435121, 4.74354874122074)); #472510=CARTESIAN_POINT('Ctrl Pts',(-11.0341659116828,-10.161073137177, 4.77025547418684)); #472511=CARTESIAN_POINT('Ctrl Pts',(-11.0442914736086,-10.150066353295, 4.79698235477795)); #472512=CARTESIAN_POINT('Ctrl Pts',(-11.0543430137117,-10.1391074821803, 4.8237614843009)); #472513=CARTESIAN_POINT('Ctrl Pts',(-11.0643945538147,-10.1281486110656, 4.85054061382378)); #472514=CARTESIAN_POINT('Ctrl Pts',(-11.0743721502175,-10.1172377245422, 4.8773719922785)); #472515=CARTESIAN_POINT('Ctrl Pts',(-11.0843131040751,-10.1063347960984, 4.90422207900232)); #472516=CARTESIAN_POINT('Ctrl Pts',(-11.1041950117904,-10.0845289392107, 4.95792225245004)); #472517=CARTESIAN_POINT('Ctrl Pts',(-11.1239306583018,-10.0627551958221, 5.01169725897418)); #472518=CARTESIAN_POINT('Ctrl Pts',(-11.1437361033789,-10.0407741563213, 5.06534938528997)); #472519=CARTESIAN_POINT('Ctrl Pts',(-11.1635415484559,-10.0187931168205, 5.11900151160544)); #472520=CARTESIAN_POINT('Ctrl Pts',(-11.1834166248231,-9.99660463545542, 5.17253075771256)); #472521=CARTESIAN_POINT('Ctrl Pts',(-11.2034933363406,-9.97405319128448, 5.22581213736017)); #472522=CARTESIAN_POINT('Ctrl Pts',(-11.2436467593748,-9.92895030294335, 5.33237489665359)); #472523=CARTESIAN_POINT('Ctrl Pts',(-11.284601894997,-9.8823912674457,5.437946190109)); #472524=CARTESIAN_POINT('Ctrl Pts',(-11.3255340630028,-9.83525689485346, 5.543265708844)); #472525=CARTESIAN_POINT('',(-9.86104705497,-11.30308590518,0.82863552189)); #472526=CARTESIAN_POINT('Ctrl Pts',(-9.86104705496537,-11.3030859051747, 0.82863552189)); #472527=CARTESIAN_POINT('Ctrl Pts',(-9.86767958732779,-11.2972995460982, 0.8582705753294)); #472528=CARTESIAN_POINT('Ctrl Pts',(-9.87362164137424,-11.2921062634416, 0.888199014585735)); #472529=CARTESIAN_POINT('Ctrl Pts',(-9.87983474979101,-11.2866675913142, 0.918028188413889)); #472530=CARTESIAN_POINT('Ctrl Pts',(-9.88604785820821,-11.2812289191864, 0.947857362244067)); #472531=CARTESIAN_POINT('Ctrl Pts',(-9.89253138987151,-11.2755441359053, 0.977587270646051)); #472532=CARTESIAN_POINT('Ctrl Pts',(-9.89894459057181,-11.2699110907225, 1.00734502703855)); #472533=CARTESIAN_POINT('Ctrl Pts',(-9.91177099197241,-11.2586450003568, 1.06686053982352)); #472534=CARTESIAN_POINT('Ctrl Pts',(-9.92431769132095,-11.2475877080827, 1.12648744457054)); #472535=CARTESIAN_POINT('Ctrl Pts',(-9.93752516420075,-11.2359064436687, 1.18575690623321)); #472536=CARTESIAN_POINT('Ctrl Pts',(-9.94412890064064,-11.2300658114618, 1.2153916370645)); #472537=CARTESIAN_POINT('Ctrl Pts',(-9.95089761333947,-11.2240689414051, 1.2449370071247)); #472538=CARTESIAN_POINT('Ctrl Pts',(-9.95786966909419,-11.2178799981696, 1.27436645552866)); #472539=CARTESIAN_POINT('Ctrl Pts',(-9.96484172484893,-11.2116910549341, 1.3037959039327)); #472540=CARTESIAN_POINT('Ctrl Pts',(-9.97201708167588,-11.2053099910224, 1.3331094306805)); #472541=CARTESIAN_POINT('Ctrl Pts',(-9.97935765006649,-11.1987687221435, 1.36232637129805)); #472542=CARTESIAN_POINT('Ctrl Pts',(-9.9940387868477,-11.1856861843856, 1.42076025253305)); #472543=CARTESIAN_POINT('Ctrl Pts',(-10.0093802278283,-11.171962218057, 1.47880778924705)); #472544=CARTESIAN_POINT('Ctrl Pts',(-10.0248475043581,-11.1580658052534, 1.53676354082438)); #472545=CARTESIAN_POINT('Ctrl Pts',(-10.032581142623,-11.1511175988516, 1.565741416613)); #472546=CARTESIAN_POINT('Ctrl Pts',(-10.0403463514831,-11.1441264049726, 1.59469634611745)); #472547=CARTESIAN_POINT('Ctrl Pts',(-10.0480691053314,-11.1371588501954, 1.62366882432203)); #472548=CARTESIAN_POINT('Ctrl Pts',(-10.0557918591797,-11.1301912954182, 1.6526413025267)); #472549=CARTESIAN_POINT('Ctrl Pts',(-10.0634721762224,-11.1232473999208, 1.6816313294315)); #472550=CARTESIAN_POINT('Ctrl Pts',(-10.0710647075955,-11.1163688160939, 1.71066705206957)); #472551=CARTESIAN_POINT('Ctrl Pts',(-10.0862497703417,-11.10261164844,1.76873849734562)); #472552=CARTESIAN_POINT('Ctrl Pts',(-10.1010842612801,-11.0891163572764, 1.82699272555476)); #472553=CARTESIAN_POINT('Ctrl Pts',(-10.1159407075693,-11.0755471016534, 1.88522325972602)); #472554=CARTESIAN_POINT('Ctrl Pts',(-10.1307971538585,-11.0619778460304, 1.94345379389737)); #472555=CARTESIAN_POINT('Ctrl Pts',(-10.1456755176145,-11.0483345863841, 2.00166063403082)); #472556=CARTESIAN_POINT('Ctrl Pts',(-10.1609091038293,-11.0343067831069, 2.05965383574276)); #472557=CARTESIAN_POINT('Ctrl Pts',(-10.1761426900441,-11.0202789798297, 2.11764703745443)); #472558=CARTESIAN_POINT('Ctrl Pts',(-10.1917310381508,-11.0058661330616, 2.17542660074457)); #472559=CARTESIAN_POINT('Ctrl Pts',(-10.2076315434002,-10.9910990476012, 2.23300946302389)); #472560=CARTESIAN_POINT('Ctrl Pts',(-10.2235320486497,-10.9763319621407, 2.29059232530348)); #472561=CARTESIAN_POINT('Ctrl Pts',(-10.2397445071453,-10.9612104168337, 2.34797848657224)); #472562=CARTESIAN_POINT('Ctrl Pts',(-10.256084092106,-10.9459005611987, 2.40527158079954)); #472563=CARTESIAN_POINT('Ctrl Pts',(-10.2724236770666,-10.9305907055638, 2.46256467502684)); #472564=CARTESIAN_POINT('Ctrl Pts',(-10.2888905764567,-10.9150927402683, 2.51976470221268)); #472565=CARTESIAN_POINT('Ctrl Pts',(-10.3054415166672,-10.8994437998713, 2.57689640188829)); #472566=CARTESIAN_POINT('Ctrl Pts',(-10.3385433970879,-10.8681459190774, 2.69115980123913)); #472567=CARTESIAN_POINT('Ctrl Pts',(-10.3719824351027,-10.8362451668095, 2.80514989054902)); #472568=CARTESIAN_POINT('Ctrl Pts',(-10.4059858882266,-10.8034928469467, 2.91873972308671)); #472569=CARTESIAN_POINT('Ctrl Pts',(-10.4399893413506,-10.7707405270839, 3.03232955562448)); #472570=CARTESIAN_POINT('Ctrl Pts',(-10.4745591995432,-10.7371387664563, 3.14551913139005)); #472571=CARTESIAN_POINT('Ctrl Pts',(-10.5105113638278,-10.7018293235711, 3.25777777360017)); #472572=CARTESIAN_POINT('Ctrl Pts',(-10.5432694061326,-10.6696569009421, 3.36006294679531)); #472573=CARTESIAN_POINT('Ctrl Pts',(-10.5771696538488,-10.6360612212389, 3.46157525348829)); #472574=CARTESIAN_POINT('Ctrl Pts',(-10.6111857218999,-10.6020157317063, 3.56292507747741)); #472575=CARTESIAN_POINT('Ctrl Pts',(-10.6145025549977,-10.5986960297835, 3.57280747923424)); #472576=CARTESIAN_POINT('Ctrl Pts',(-10.6178205180211,-10.5953720806791, 3.58268833614167)); #472577=CARTESIAN_POINT('Ctrl Pts',(-10.6211386783345,-10.5920448061546, 3.592568214076)); #472578=CARTESIAN_POINT('Ctrl Pts',(-9.6989030490967,-11.4425206857677, 0.0247088253159751)); #472579=CARTESIAN_POINT('Ctrl Pts',(-9.69997923466587,-11.4416084899431, 0.0304259870890999)); #472580=CARTESIAN_POINT('Ctrl Pts',(-9.70125306646068,-11.4405284601287, 0.0360723621192713)); #472581=CARTESIAN_POINT('Ctrl Pts',(-9.7024604690953,-11.439504396854,0.0417425303411412)); #472582=CARTESIAN_POINT('Ctrl Pts',(-9.70674365788219,-11.4358715935048, 0.0618571137049058)); #472583=CARTESIAN_POINT('Ctrl Pts',(-9.71019146537862,-11.4329437820264, 0.0822711185117225)); #472584=CARTESIAN_POINT('Ctrl Pts',(-9.71375066445532,-11.4299189860993, 0.102645432628634)); #472585=CARTESIAN_POINT('Ctrl Pts',(-9.72086906260872,-11.4238693942451, 0.143394060862501)); #472586=CARTESIAN_POINT('Ctrl Pts',(-9.72843165349304,-11.4174302490705, 0.183983926336745)); #472587=CARTESIAN_POINT('Ctrl Pts',(-9.73588258138403,-11.4110731467949, 0.224610063139068)); #472588=CARTESIAN_POINT('Ctrl Pts',(-9.74333350927502,-11.4047160445192, 0.265236199941402)); #472589=CARTESIAN_POINT('Ctrl Pts',(-9.75067327803863,-11.3984415733945, 0.305898608071815)); #472590=CARTESIAN_POINT('Ctrl Pts',(-9.75825563937667,-11.3919465797805, 0.346466634888592)); #472591=CARTESIAN_POINT('Ctrl Pts',(-9.76583800071469,-11.3854515861665, 0.387034661705342)); #472592=CARTESIAN_POINT('Ctrl Pts',(-9.77366282132832,-11.3787359164372, 0.427508307208456)); #472593=CARTESIAN_POINT('Ctrl Pts',(-9.7818279970298,-11.3717123176997, 0.467848344593052)); #472594=CARTESIAN_POINT('Ctrl Pts',(-9.78591058488055,-11.368200518331, 0.488018363285337)); #472595=CARTESIAN_POINT('Ctrl Pts',(-9.79007824271983,-11.3646117146193, 0.508154979947992)); #472596=CARTESIAN_POINT('Ctrl Pts',(-9.79432389834306,-11.3609515171198, 0.528261090885909)); #472597=CARTESIAN_POINT('Ctrl Pts',(-9.79856955396629,-11.3572913196203, 0.54836720182388)); #472598=CARTESIAN_POINT('Ctrl Pts',(-9.80289320440246,-11.3535597250696, 0.568442807037114)); #472599=CARTESIAN_POINT('Ctrl Pts',(-9.80727967081067,-11.3497694011158, 0.588493445453627)); #472600=CARTESIAN_POINT('Ctrl Pts',(-9.8160526036271,-11.342188753208,0.628594722286574)); #472601=CARTESIAN_POINT('Ctrl Pts',(-9.82507664159126,-11.3343730021672, 0.66859613193264)); #472602=CARTESIAN_POINT('Ctrl Pts',(-9.83408630636869,-11.3265505127948, 0.708601592484925)); #472603=CARTESIAN_POINT('Ctrl Pts',(-9.84309597114771,-11.318728023421, 0.748607053044303)); #472604=CARTESIAN_POINT('Ctrl Pts',(-9.85209142382736,-11.3108989839038, 0.7886165645099)); #472605=CARTESIAN_POINT('Ctrl Pts',(-9.86104705496537,-11.3030859051747, 0.82863552189)); #472606=CARTESIAN_POINT('',(-12.4776339503782,-8.32518286343349,1.96513794857482)); #472607=CARTESIAN_POINT('Ctrl Pts',(-12.47763405447,-8.325182932159,1.965137948339)); #472608=CARTESIAN_POINT('Ctrl Pts',(-12.4650677444,-8.344015416993,1.906665152352)); #472609=CARTESIAN_POINT('Ctrl Pts',(-12.44030376229,-8.380943519947,1.789458401667)); #472610=CARTESIAN_POINT('Ctrl Pts',(-12.40545556299,-8.432434055144,1.611961997532)); #472611=CARTESIAN_POINT('Ctrl Pts',(-12.37046197487,-8.483686411434,1.434440417227)); #472612=CARTESIAN_POINT('Ctrl Pts',(-12.33634854607,-8.533208546312,1.256224934474)); #472613=CARTESIAN_POINT('Ctrl Pts',(-12.30373404657,-8.580161982866,1.076945436971)); #472614=CARTESIAN_POINT('Ctrl Pts',(-12.27174677666,-8.625848847227,0.8971609205241)); #472615=CARTESIAN_POINT('Ctrl Pts',(-12.2287509552,-8.686776615446,0.6575217394841)); #472616=CARTESIAN_POINT('Ctrl Pts',(-12.19183134726,-8.738465904205,0.447216339678)); #472617=CARTESIAN_POINT('Ctrl Pts',(-12.16211657817,-8.779699798392,0.2656538898403)); #472618=CARTESIAN_POINT('Ctrl Pts',(-12.1446864421226,-8.8038024376104, 0.155146032083053)); #472619=CARTESIAN_POINT('Ctrl Pts',(-12.1325034808769,-8.82057402252223, 0.0747002215076466)); #472620=CARTESIAN_POINT('Ctrl Pts',(-12.1249703366523,-8.83091699780302, 0.0247088546065563)); #472621=CARTESIAN_POINT('',(-12.67193437332,-8.026336601441,2.836251461256)); #472622=CARTESIAN_POINT('Ctrl Pts',(-12.6719343732817,-8.02633660141674, 2.836251461256)); #472623=CARTESIAN_POINT('Ctrl Pts',(-12.6494920712628,-8.06176837963528, 2.745297159606)); #472624=CARTESIAN_POINT('Ctrl Pts',(-12.6273366022764,-8.0964133180733, 2.65238288770301)); #472625=CARTESIAN_POINT('Ctrl Pts',(-12.606080472735,-8.12937482927983, 2.55711421376603)); #472626=CARTESIAN_POINT('Ctrl Pts',(-12.5880347143219,-8.15735807368977, 2.47623422708443)); #472627=CARTESIAN_POINT('Ctrl Pts',(-12.5706424810865,-8.18413140213555, 2.39365731587957)); #472628=CARTESIAN_POINT('Ctrl Pts',(-12.5528264028386,-8.21136707864151, 2.3101075259034)); #472629=CARTESIAN_POINT('Ctrl Pts',(-12.5496568922026,-8.21621235183593, 2.29524387609896)); #472630=CARTESIAN_POINT('Ctrl Pts',(-12.5464738561936,-8.2210721858089, 2.28034943563928)); #472631=CARTESIAN_POINT('Ctrl Pts',(-12.5432710845094,-8.22595590193081, 2.26542828120147)); #472632=CARTESIAN_POINT('Ctrl Pts',(-12.522065271508,-8.25829138539501, 2.16663409854499)); #472633=CARTESIAN_POINT('Ctrl Pts',(-12.4999918255441,-8.29167215965099, 2.066668809203)); #472634=CARTESIAN_POINT('Ctrl Pts',(-12.477633431942,-8.32518251680306, 1.965137948339)); #472635=CARTESIAN_POINT('',(-13.08848699894,-7.327448947528,4.471909429058)); #472636=CARTESIAN_POINT('Ctrl Pts',(-13.08848699894,-7.327448947528,4.471909429058)); #472637=CARTESIAN_POINT('Ctrl Pts',(-13.05193776499,-7.392731326532,4.339215197587)); #472638=CARTESIAN_POINT('Ctrl Pts',(-13.00784943654,-7.470098924887,4.171061423882)); #472639=CARTESIAN_POINT('Ctrl Pts',(-12.95453775681,-7.56180551457,3.968864789436)); #472640=CARTESIAN_POINT('Ctrl Pts',(-12.91840299874,-7.623459967628,3.834458024001)); #472641=CARTESIAN_POINT('Ctrl Pts',(-12.88165664284,-7.685378204656,3.700323115977)); #472642=CARTESIAN_POINT('Ctrl Pts',(-12.84669064062,-7.743601328332,3.564064229708)); #472643=CARTESIAN_POINT('Ctrl Pts',(-12.82157785572,-7.785107961289,3.460618582808)); #472644=CARTESIAN_POINT('Ctrl Pts',(-12.7969880597,-7.825460717275,3.356524341723)); #472645=CARTESIAN_POINT('Ctrl Pts',(-12.76430931024,-7.87871354741,3.217465018546)); #472646=CARTESIAN_POINT('Ctrl Pts',(-12.72276591211,-7.945705668922,3.044005803297)); #472647=CARTESIAN_POINT('Ctrl Pts',(-12.68894407259,-7.999482969599,2.905504803846)); #472648=CARTESIAN_POINT('Ctrl Pts',(-12.67193437332,-8.026336601441,2.836251461256)); #472649=CARTESIAN_POINT('',(-13.1136616462,-7.282298995408,4.562032224637)); #472650=CARTESIAN_POINT('Ctrl Pts',(-13.113661652461,-7.28229899888757, 4.56203222463838)); #472651=CARTESIAN_POINT('Ctrl Pts',(-13.1052138281313,-7.2975114902112, 4.53207632727213)); #472652=CARTESIAN_POINT('Ctrl Pts',(-13.0967937412154,-7.31261122036864, 4.502069408086)); #472653=CARTESIAN_POINT('Ctrl Pts',(-13.0884869989397,-7.32744894752781, 4.471909429058)); #472654=CARTESIAN_POINT('',(-13.43182570785,-6.677279247879,5.96106757174)); #472655=CARTESIAN_POINT('Ctrl Pts',(-13.4318257078436,-6.6772792478758, 5.96106757174)); #472656=CARTESIAN_POINT('Ctrl Pts',(-13.4270424010245,-6.68690121231906, 5.927692985914)); #472657=CARTESIAN_POINT('Ctrl Pts',(-13.4219392655962,-6.6971405251537, 5.89467523861719)); #472658=CARTESIAN_POINT('Ctrl Pts',(-13.4165568844044,-6.70790588541175, 5.86196361685797)); #472659=CARTESIAN_POINT('Ctrl Pts',(-13.4111745032109,-6.71867124567318, 5.82925199508846)); #472660=CARTESIAN_POINT('Ctrl Pts',(-13.4055127955784,-6.7299626130541, 5.79684649885674)); #472661=CARTESIAN_POINT('Ctrl Pts',(-13.3996513299029,-6.74161288098267, 5.7646518902887)); #472662=CARTESIAN_POINT('Ctrl Pts',(-13.3937898642274,-6.75326314891124, 5.73245728172066)); #472663=CARTESIAN_POINT('Ctrl Pts',(-13.387728619029,-6.76527230653597, 5.7004735608163)); #472664=CARTESIAN_POINT('Ctrl Pts',(-13.3815506063231,-6.77747027809149, 5.66860362224575)); #472665=CARTESIAN_POINT('Ctrl Pts',(-13.3753725936172,-6.78966824964698, 5.63673368367524)); #472666=CARTESIAN_POINT('Ctrl Pts',(-13.3690778287787,-6.80205504338654, 5.60497752743852)); #472667=CARTESIAN_POINT('Ctrl Pts',(-13.3627370543694,-6.81448885946582, 5.57325376738379)); #472668=CARTESIAN_POINT('Ctrl Pts',(-13.3500555055507,-6.83935649162441, 5.50980624727423)); #472669=CARTESIAN_POINT('Ctrl Pts',(-13.3371913537364,-6.86441294458962, 5.44648831189259)); #472670=CARTESIAN_POINT('Ctrl Pts',(-13.3238919929997,-6.89013077951923, 5.38357515926543)); #472671=CARTESIAN_POINT('Ctrl Pts',(-13.3105926322631,-6.91584861444882, 5.32066200663832)); #472672=CARTESIAN_POINT('Ctrl Pts',(-13.2968576954379,-6.94222763858454, 5.25815363676568)); #472673=CARTESIAN_POINT('Ctrl Pts',(-13.2825430596154,-6.96950858163345, 5.19619419046188)); #472674=CARTESIAN_POINT('Ctrl Pts',(-13.268228423793,-6.99678952468237, 5.13423474415803)); #472675=CARTESIAN_POINT('Ctrl Pts',(-13.2533335804406,-7.02497212144488, 5.07282422142302)); #472676=CARTESIAN_POINT('Ctrl Pts',(-13.2378482231995,-7.05403249351282, 5.01195339553667)); #472677=CARTESIAN_POINT('Ctrl Pts',(-13.2223628659583,-7.08309286558076, 4.95108256965033)); #472678=CARTESIAN_POINT('Ctrl Pts',(-13.2062865243087,-7.11303075739574, 4.89075144061267)); #472679=CARTESIAN_POINT('Ctrl Pts',(-13.1897182642395,-7.14362177820091, 4.83083748728527)); #472680=CARTESIAN_POINT('Ctrl Pts',(-13.1731500041703,-7.17421279900609, 4.77092353395788)); #472681=CARTESIAN_POINT('Ctrl Pts',(-13.1560878328443,-7.20545587397161, 4.71142675634075)); #472682=CARTESIAN_POINT('Ctrl Pts',(-13.1390903228324,-7.2363185038009, 4.65173224540216)); #472683=CARTESIAN_POINT('Ctrl Pts',(-13.1305915676602,-7.25174981901754, 4.62188498934873)); #472684=CARTESIAN_POINT('Ctrl Pts',(-13.1221095467913,-7.2670863373156, 4.591988299963)); #472685=CARTESIAN_POINT('Ctrl Pts',(-13.1136616336827,-7.28229898845685, 4.562032224637)); #472686=CARTESIAN_POINT('',(-13.48228557114,-6.574798535186,6.379033803212)); #472687=CARTESIAN_POINT('Ctrl Pts',(-13.48228557114,-6.574798535186,6.379033803212)); #472688=CARTESIAN_POINT('Ctrl Pts',(-13.47823559945,-6.583103638461,6.344136015569)); #472689=CARTESIAN_POINT('Ctrl Pts',(-13.47027180512,-6.59939543297,6.274253144127)); #472690=CARTESIAN_POINT('Ctrl Pts',(-13.45451400019,-6.631486322365,6.134370898742)); #472691=CARTESIAN_POINT('Ctrl Pts',(-13.44170200271,-6.657411843811,6.029976963073)); #472692=CARTESIAN_POINT('Ctrl Pts',(-13.43182570785,-6.677279247879,5.96106757174)); #472693=CARTESIAN_POINT('',(-13.43098363219,-6.678972875522,7.631975328504)); #472694=CARTESIAN_POINT('Ctrl Pts',(-13.43098363219,-6.678972875522,7.631975328504)); #472695=CARTESIAN_POINT('Ctrl Pts',(-13.43602307468,-6.668838881815,7.598333416916)); #472696=CARTESIAN_POINT('Ctrl Pts',(-13.4460441911,-6.648629414011,7.531031543188)); #472697=CARTESIAN_POINT('Ctrl Pts',(-13.46597350712,-6.608211740037,7.396414000844)); #472698=CARTESIAN_POINT('Ctrl Pts',(-13.48995558634,-6.559135746721,7.227754187475)); #472699=CARTESIAN_POINT('Ctrl Pts',(-13.50759620815,-6.522655533061,7.055739804685)); #472700=CARTESIAN_POINT('Ctrl Pts',(-13.51500257073,-6.50728228251,6.914632578351)); #472701=CARTESIAN_POINT('Ctrl Pts',(-13.51640422987,-6.504368865333,6.806273900835)); #472702=CARTESIAN_POINT('Ctrl Pts',(-13.51263985298,-6.512189999326,6.696210142064)); #472703=CARTESIAN_POINT('Ctrl Pts',(-13.50227907143,-6.53366704733,6.553733402118)); #472704=CARTESIAN_POINT('Ctrl Pts',(-13.49038564724,-6.558188514598,6.448829041863)); #472705=CARTESIAN_POINT('Ctrl Pts',(-13.48228557114,-6.574798535186,6.379033803212)); #472706=CARTESIAN_POINT('',(-13.3743373069902,-6.79169474220779,8.00000013143723)); #472707=CARTESIAN_POINT('Ctrl Pts',(-13.374422399024,-6.79152600617006, 8.001592064244)); #472708=CARTESIAN_POINT('Ctrl Pts',(-13.3935792725969,-6.75380074107115, 7.878304506537)); #472709=CARTESIAN_POINT('Ctrl Pts',(-13.4124773504807,-6.71618781430154, 7.755517784168)); #472710=CARTESIAN_POINT('Ctrl Pts',(-13.4309836321866,-6.6789728755203, 7.631975328504)); #472711=CARTESIAN_POINT('',(-11.3127658881178,9.84993031269525,8.00000000805244)); #472712=CARTESIAN_POINT('Origin',(0.,0.,8.)); #472713=CARTESIAN_POINT('',(-11.8743814923,9.16510033635,7.464518482169)); #472714=CARTESIAN_POINT('Ctrl Pts',(-11.874381492299,9.16510033634919,7.464518482169)); #472715=CARTESIAN_POINT('Ctrl Pts',(-11.8146611722376,9.24247448799254, 7.535081154827)); #472716=CARTESIAN_POINT('Ctrl Pts',(-11.7539653982813,9.31955043268342, 7.60208520027149)); #472717=CARTESIAN_POINT('Ctrl Pts',(-11.6921968275329,9.39641066291957, 7.66584589321173)); #472718=CARTESIAN_POINT('Ctrl Pts',(-11.6304282567845,9.47327089315574, 7.72960658615199)); #472719=CARTESIAN_POINT('Ctrl Pts',(-11.5675840759139,9.54991284831851, 7.790123926588)); #472720=CARTESIAN_POINT('Ctrl Pts',(-11.5039368187069,9.62597723201129, 7.84645208347676)); #472721=CARTESIAN_POINT('Ctrl Pts',(-11.461206127361,9.6770443768439,7.88426896972947)); #472722=CARTESIAN_POINT('Ctrl Pts',(-11.4181108530874,9.72784944218614, 7.92019764794509)); #472723=CARTESIAN_POINT('Ctrl Pts',(-11.3753159015399,9.77763714504553, 7.95385172884773)); #472724=CARTESIAN_POINT('Ctrl Pts',(-11.3543678804717,9.80200810028013, 7.97032531674263)); #472725=CARTESIAN_POINT('Ctrl Pts',(-11.3334924324559,9.82613581480799, 7.98625389341162)); #472726=CARTESIAN_POINT('Ctrl Pts',(-11.3127709374595,9.84993470620859, 8.001592140258)); #472727=CARTESIAN_POINT('',(-12.26547489002,8.634704726983,6.927777088907)); #472728=CARTESIAN_POINT('Ctrl Pts',(-12.2654748900229,8.63470472698504, 6.927777088907)); #472729=CARTESIAN_POINT('Ctrl Pts',(-12.2353947797518,8.67743309453033, 6.976129996089)); #472730=CARTESIAN_POINT('Ctrl Pts',(-12.2047205910616,8.72053176173093, 7.02357469848155)); #472731=CARTESIAN_POINT('Ctrl Pts',(-12.1733711948693,8.76407632052153, 7.07000903857818)); #472732=CARTESIAN_POINT('Ctrl Pts',(-12.1420217990008,8.80762087886231, 7.11644337819514)); #472733=CARTESIAN_POINT('Ctrl Pts',(-12.1099963145485,8.85161067659655, 7.16186735553705)); #472734=CARTESIAN_POINT('Ctrl Pts',(-12.0773926218878,8.89587474376582, 7.2065213542907)); #472735=CARTESIAN_POINT('Ctrl Pts',(-12.0610907755574,8.91800677735044, 7.22884835366751)); #472736=CARTESIAN_POINT('Ctrl Pts',(-12.0446445148497,8.94020748334791, 7.25098285839725)); #472737=CARTESIAN_POINT('Ctrl Pts',(-12.0280502436329,8.96247774539176, 7.27292398433081)); #472738=CARTESIAN_POINT('Ctrl Pts',(-12.0114559724161,8.9847480074356,7.29486511026436)); #472739=CARTESIAN_POINT('Ctrl Pts',(-11.9947137438655,9.00708786289144, 7.31661285740173)); #472740=CARTESIAN_POINT('Ctrl Pts',(-11.9777988917423,9.02952566356486, 7.33811220862687)); #472741=CARTESIAN_POINT('Ctrl Pts',(-11.9439691896913,9.07440126199968, 7.38111090828692)); #472742=CARTESIAN_POINT('Ctrl Pts',(-11.9094479854709,9.11966789128693, 7.423116024427)); #472743=CARTESIAN_POINT('Ctrl Pts',(-11.874381492299,9.16510033634919,7.464518482169)); #472744=CARTESIAN_POINT('',(-12.41516609731,8.418055047106,6.666807360041)); #472745=CARTESIAN_POINT('Ctrl Pts',(-12.41516609731,8.418055047106,6.666807360041)); #472746=CARTESIAN_POINT('Ctrl Pts',(-12.36758164712,8.488233824021,6.757124831027)); #472747=CARTESIAN_POINT('Ctrl Pts',(-12.31763769202,8.560608216793,6.843940684237)); #472748=CARTESIAN_POINT('Ctrl Pts',(-12.26547489002,8.634704726983,6.927777088907)); #472749=CARTESIAN_POINT('',(-12.64433548812,8.069744733508,6.161281822435)); #472750=CARTESIAN_POINT('Ctrl Pts',(-12.64433548812,8.069744733508,6.161281822435)); #472751=CARTESIAN_POINT('Ctrl Pts',(-12.6096700143,8.124061407538,6.250137232317)); #472752=CARTESIAN_POINT('Ctrl Pts',(-12.53701317949,8.236367454886,6.423396397109)); #472753=CARTESIAN_POINT('Ctrl Pts',(-12.45707484431,8.356246967189,6.587262849231)); #472754=CARTESIAN_POINT('Ctrl Pts',(-12.41516609731,8.418055047106,6.666807360041)); #472755=CARTESIAN_POINT('',(-12.85366765512,7.731961446592,5.543265708844)); #472756=CARTESIAN_POINT('Ctrl Pts',(-12.85366765512,7.731961446592,5.543265708844)); #472757=CARTESIAN_POINT('Ctrl Pts',(-12.821487641,7.785456162977,5.648583640166)); #472758=CARTESIAN_POINT('Ctrl Pts',(-12.77220595123,7.866279978226,5.805945801796)); #472759=CARTESIAN_POINT('Ctrl Pts',(-12.70120891362,7.980151095652,6.010866033926)); #472760=CARTESIAN_POINT('Ctrl Pts',(-12.66373196242,8.039353676504,6.111572126553)); #472761=CARTESIAN_POINT('Ctrl Pts',(-12.64433548812,8.069744733508,6.161281822435)); #472762=CARTESIAN_POINT('',(-13.35574558502,6.828181300235,3.592568214081)); #472763=CARTESIAN_POINT('Ctrl Pts',(-13.3557455850004,6.82818130022498, 3.592568214081)); #472764=CARTESIAN_POINT('Ctrl Pts',(-13.3437056066292,6.8517311857516,3.64816223905)); #472765=CARTESIAN_POINT('Ctrl Pts',(-13.3314628348564,6.87552350342776, 3.70356527718618)); #472766=CARTESIAN_POINT('Ctrl Pts',(-13.3190800039213,6.89942808130823, 3.7588660729094)); #472767=CARTESIAN_POINT('Ctrl Pts',(-13.3066971428464,6.9233327173723,3.81416700323441)); #472768=CARTESIAN_POINT('Ctrl Pts',(-13.2941740739861,6.94734953799087, 3.86936569064876)); #472769=CARTESIAN_POINT('Ctrl Pts',(-13.2816179347642,6.97127140735108, 3.92460477975018)); #472770=CARTESIAN_POINT('Ctrl Pts',(-13.2753398651532,6.98323234203121, 3.95222432430096)); #472771=CARTESIAN_POINT('Ctrl Pts',(-13.2690535910149,6.99516957202016, 3.97985396927351)); #472772=CARTESIAN_POINT('Ctrl Pts',(-13.2627768568712,7.00705002442844, 4.00751673411235)); #472773=CARTESIAN_POINT('Ctrl Pts',(-13.2565001227275,7.01893047683669, 4.03517949895112)); #472774=CARTESIAN_POINT('Ctrl Pts',(-13.250232969802,7.03075417363706,4.06287538365617)); #472775=CARTESIAN_POINT('Ctrl Pts',(-13.2439689553328,7.04253408299753, 4.09059552605795)); #472776=CARTESIAN_POINT('Ctrl Pts',(-13.2314409263945,7.06609390171834, 4.14603581086125)); #472777=CARTESIAN_POINT('Ctrl Pts',(-13.2189264128494,7.08947908211785, 4.2015731264515)); #472778=CARTESIAN_POINT('Ctrl Pts',(-13.2061211167141,7.11325277567717, 4.2568151615939)); #472779=CARTESIAN_POINT('Ctrl Pts',(-13.1933158205786,7.13702646923661, 4.31205719673658)); #472780=CARTESIAN_POINT('Ctrl Pts',(-13.180219449459,7.16118851451766,4.36700395143142)); #472781=CARTESIAN_POINT('Ctrl Pts',(-13.166621214912,7.18610365796574,4.42139713182905)); #472782=CARTESIAN_POINT('Ctrl Pts',(-13.1530229803652,7.21101880141371, 4.47579031222642)); #472783=CARTESIAN_POINT('Ctrl Pts',(-13.1389213636025,7.23668621447716, 4.52962991832658)); #472784=CARTESIAN_POINT('Ctrl Pts',(-13.1245422880044,7.26267097770408, 4.58321615909238)); #472785=CARTESIAN_POINT('Ctrl Pts',(-13.1101632124061,7.28865574093114, 4.63680239985845)); #472786=CARTESIAN_POINT('Ctrl Pts',(-13.0955065333916,7.31495777816293, 4.69013527529015)); #472787=CARTESIAN_POINT('Ctrl Pts',(-13.0808352478808,7.34110000053121, 4.74354874122205)); #472788=CARTESIAN_POINT('Ctrl Pts',(-13.0734996051254,7.35417111171534, 4.770255474188)); #472789=CARTESIAN_POINT('Ctrl Pts',(-13.0661605023039,7.36720237663846, 4.796982354779)); #472790=CARTESIAN_POINT('Ctrl Pts',(-13.0588440932309,7.38014843676524, 4.82376148430203)); #472791=CARTESIAN_POINT('Ctrl Pts',(-13.0515276841579,7.39309449689202, 4.85054061382506)); #472792=CARTESIAN_POINT('Ctrl Pts',(-13.0442340612831,7.40595540432652, 4.87737199228013)); #472793=CARTESIAN_POINT('Ctrl Pts',(-13.0369366838226,7.41877900344795, 4.90422207900405)); #472794=CARTESIAN_POINT('Ctrl Pts',(-13.0223419289017,7.44442620169068, 4.95792225245164)); #472795=CARTESIAN_POINT('Ctrl Pts',(-13.0077325185356,7.46992437364546, 5.01169725897466)); #472796=CARTESIAN_POINT('Ctrl Pts',(-12.9929475267936,7.49555298600366, 5.06534938528996)); #472797=CARTESIAN_POINT('Ctrl Pts',(-12.9781625350514,7.52118159836202, 5.11900151160559)); #472798=CARTESIAN_POINT('Ctrl Pts',(-12.9632017716238,7.54694053707525, 5.17253075771349)); #472799=CARTESIAN_POINT('Ctrl Pts',(-12.9479581187427,7.57300340388699, 5.22581213736146)); #472800=CARTESIAN_POINT('Ctrl Pts',(-12.9174708129813,7.62512913750935, 5.33237489665509)); #472801=CARTESIAN_POINT('Ctrl Pts',(-12.8858463717931,7.67846731932909, 5.437946190109)); #472802=CARTESIAN_POINT('Ctrl Pts',(-12.8536676551239,7.73196144659435, 5.543265708844)); #472803=CARTESIAN_POINT('',(-13.79710462668,5.885567425545,0.8286355218909)); #472804=CARTESIAN_POINT('Ctrl Pts',(-13.7971046266741,5.8855674255425,0.8286355218909)); #472805=CARTESIAN_POINT('Ctrl Pts',(-13.7936510373832,5.89366342195877, 0.858270575331)); #472806=CARTESIAN_POINT('Ctrl Pts',(-13.7905481277556,5.90091946377241, 0.888199014587429)); #472807=CARTESIAN_POINT('Ctrl Pts',(-13.7872955992786,5.90850912313023, 0.918028188415551)); #472808=CARTESIAN_POINT('Ctrl Pts',(-13.7840430708014,5.91609878248849, 0.947857362245411)); #472809=CARTESIAN_POINT('Ctrl Pts',(-13.7806400420859,5.92402168216829, 0.977587270646954)); #472810=CARTESIAN_POINT('Ctrl Pts',(-13.7772644857631,5.93186170517583, 1.00734502703923)); #472811=CARTESIAN_POINT('Ctrl Pts',(-13.7705133731174,5.94754175119091, 1.06686053982376)); #472812=CARTESIAN_POINT('Ctrl Pts',(-13.7638744065698,5.96289126258819, 1.12648744457123)); #472813=CARTESIAN_POINT('Ctrl Pts',(-13.7568461975022,5.97906202495582, 1.18575690623404)); #472814=CARTESIAN_POINT('Ctrl Pts',(-13.7533320929684,5.98714740613964, 1.21539163706547)); #472815=CARTESIAN_POINT('Ctrl Pts',(-13.7497203778769,5.99543796922091, 1.24493700712574)); #472816=CARTESIAN_POINT('Ctrl Pts',(-13.7459888267977,6.0039812769155,1.27436645552975)); #472817=CARTESIAN_POINT('Ctrl Pts',(-13.7422572757185,6.0125245846101,1.30379590393377)); #472818=CARTESIAN_POINT('Ctrl Pts',(-13.7384058305053,6.02132061166667, 1.33310943068155)); #472819=CARTESIAN_POINT('Ctrl Pts',(-13.7344530744944,6.03032327031562, 1.36232637129907)); #472820=CARTESIAN_POINT('Ctrl Pts',(-13.7265475624728,6.04832858761351, 1.42076025253402)); #472821=CARTESIAN_POINT('Ctrl Pts',(-13.718236060847,6.06716010385487,1.47880778924798)); #472822=CARTESIAN_POINT('Ctrl Pts',(-13.7097994382001,6.08616458570826, 1.5367635408246)); #472823=CARTESIAN_POINT('Ctrl Pts',(-13.7055811268766,6.09566682663497, 1.56574141661295)); #472824=CARTESIAN_POINT('Ctrl Pts',(-13.7013316878829,6.10521237684338, 1.59469634611698)); #472825=CARTESIAN_POINT('Ctrl Pts',(-13.697091611692,6.11471024504969,1.62366882432186)); #472826=CARTESIAN_POINT('Ctrl Pts',(-13.6928515355011,6.12420811325601, 1.65264130252675)); #472827=CARTESIAN_POINT('Ctrl Pts',(-13.6886208469294,6.13365831054009, 1.6816313294325)); #472828=CARTESIAN_POINT('Ctrl Pts',(-13.6844251461834,6.14300483627554, 1.71066705207106)); #472829=CARTESIAN_POINT('Ctrl Pts',(-13.6760337446912,6.16169788774643, 1.76873849734818)); #472830=CARTESIAN_POINT('Ctrl Pts',(-13.6677830698942,6.17997660133168, 1.82699272555655)); #472831=CARTESIAN_POINT('Ctrl Pts',(-13.6594688352919,6.19829905197291, 1.88522325972759)); #472832=CARTESIAN_POINT('Ctrl Pts',(-13.6511546006896,6.21662150261418, 1.94345379389873)); #472833=CARTESIAN_POINT('Ctrl Pts',(-13.6427767569478,6.23498766650742, 2.00166063403255)); #472834=CARTESIAN_POINT('Ctrl Pts',(-13.6341429602572,6.25381049755018, 2.05965383574552)); #472835=CARTESIAN_POINT('Ctrl Pts',(-13.6255091635667,6.27263332859282, 2.11764703745812)); #472836=CARTESIAN_POINT('Ctrl Pts',(-13.6166187962096,6.29191254322503, 2.17542660074988)); #472837=CARTESIAN_POINT('Ctrl Pts',(-13.6074879896969,6.31159810271963, 2.2330094630288)); #472838=CARTESIAN_POINT('Ctrl Pts',(-13.5983571831842,6.33128366221436, 2.29059232530809)); #472839=CARTESIAN_POINT('Ctrl Pts',(-13.5889856641784,6.35137544099473, 2.34797848657454)); #472840=CARTESIAN_POINT('Ctrl Pts',(-13.5794743356471,6.37164631531765, 2.40527158080094)); #472841=CARTESIAN_POINT('Ctrl Pts',(-13.5699630071159,6.39191718964057, 2.46256467502734)); #472842=CARTESIAN_POINT('Ctrl Pts',(-13.5603121179894,6.41236727626124, 2.51976470221368)); #472843=CARTESIAN_POINT('Ctrl Pts',(-13.5505436130502,6.43294394432467, 2.57689640188963)); #472844=CARTESIAN_POINT('Ctrl Pts',(-13.5310066031719,6.4740972804514,2.69115980124115)); #472845=CARTESIAN_POINT('Ctrl Pts',(-13.5110004158742,6.51575757003962, 2.80514989055107)); #472846=CARTESIAN_POINT('Ctrl Pts',(-13.4903587535273,6.55821779915322, 2.9187397230887)); #472847=CARTESIAN_POINT('Ctrl Pts',(-13.4697170911804,6.60067802826683, 3.03232955562633)); #472848=CARTESIAN_POINT('Ctrl Pts',(-13.4484425914512,6.64393943224314, 3.14551913139166)); #472849=CARTESIAN_POINT('Ctrl Pts',(-13.4259711454563,6.68904319027585, 3.25777777360151)); #472850=CARTESIAN_POINT('Ctrl Pts',(-13.4054961450571,6.73013976518844, 3.3600629467309)); #472851=CARTESIAN_POINT('Ctrl Pts',(-13.384020507627,6.77276245262296,3.46157525335908)); #472852=CARTESIAN_POINT('Ctrl Pts',(-13.3621528660804,6.81563429062175, 3.56292507728299)); #472853=CARTESIAN_POINT('Ctrl Pts',(-13.360020599715,6.81981463069148,3.57280747910632)); #472854=CARTESIAN_POINT('Ctrl Pts',(-13.357884643206,6.82399735783553,3.58268833608021)); #472855=CARTESIAN_POINT('Ctrl Pts',(-13.3557455850004,6.82818130022498, 3.592568214081)); #472856=CARTESIAN_POINT('',(-13.8795836617742,5.68833522952446,0.0247088280181427)); #472857=CARTESIAN_POINT('Ctrl Pts',(-13.8795836482169,5.68833523556324, 0.0247088253707273)); #472858=CARTESIAN_POINT('Ctrl Pts',(-13.8790492432995,5.68963918784476, 0.0304198068122995)); #472859=CARTESIAN_POINT('Ctrl Pts',(-13.8784318216825,5.69114510315307, 0.0360717517476554)); #472860=CARTESIAN_POINT('Ctrl Pts',(-13.8778421535362,5.69258264424287, 0.0417437386583042)); #472861=CARTESIAN_POINT('Ctrl Pts',(-13.8757480746921,5.69768776040383, 0.0618865730078222)); #472862=CARTESIAN_POINT('Ctrl Pts',(-13.8740047096326,5.70193085333998, 0.0822821690441156)); #472863=CARTESIAN_POINT('Ctrl Pts',(-13.8722147520004,5.70628231639318, 0.102646617091266)); #472864=CARTESIAN_POINT('Ctrl Pts',(-13.868634836736,5.71498524249957,0.143375513185564)); #472865=CARTESIAN_POINT('Ctrl Pts',(-13.8648669167598,5.72412097618958, 0.183979817323288)); #472866=CARTESIAN_POINT('Ctrl Pts',(-13.8611304416487,5.73315470570958, 0.224611235804955)); #472867=CARTESIAN_POINT('Ctrl Pts',(-13.8573939665375,5.74218843522959, 0.265242654286609)); #472868=CARTESIAN_POINT('Ctrl Pts',(-13.853689537278,5.75112041066325,0.305901187112205)); #472869=CARTESIAN_POINT('Ctrl Pts',(-13.8498536651437,5.76034317155726, 0.346467856080843)); #472870=CARTESIAN_POINT('Ctrl Pts',(-13.8460177930093,5.76956593245127, 0.387034525049481)); #472871=CARTESIAN_POINT('Ctrl Pts',(-13.842050322217,5.77907941271778,0.42750933016116)); #472872=CARTESIAN_POINT('Ctrl Pts',(-13.8378940178862,5.78901452319381, 0.467849670981187)); #472873=CARTESIAN_POINT('Ctrl Pts',(-13.8358158657208,5.79398207843182, 0.4880198413912)); #472874=CARTESIAN_POINT('Ctrl Pts',(-13.8336904762145,5.79905502927583, 0.5081563957283)); #472875=CARTESIAN_POINT('Ctrl Pts',(-13.8315213462934,5.80422408656224, 0.528262483251009)); #472876=CARTESIAN_POINT('Ctrl Pts',(-13.8293522163723,5.80939314384865, 0.548368570773718)); #472877=CARTESIAN_POINT('Ctrl Pts',(-13.8271393423058,5.81465830588634, 0.568444191482037)); #472878=CARTESIAN_POINT('Ctrl Pts',(-13.8248900875694,5.8200012084729,0.588494894043435)); #472879=CARTESIAN_POINT('Ctrl Pts',(-13.8203915780965,5.83068701364602, 0.628596299166257)); #472880=CARTESIAN_POINT('Ctrl Pts',(-13.8157473226045,5.84168368826903, 0.668598031701396)); #472881=CARTESIAN_POINT('Ctrl Pts',(-13.8110913384434,5.85267084681643, 0.708603101940724)); #472882=CARTESIAN_POINT('Ctrl Pts',(-13.8064353542814,5.86365800536588, 0.748608172187518)); #472883=CARTESIAN_POINT('Ctrl Pts',(-13.8017678638456,5.8746357402007,0.7886165801385)); #472884=CARTESIAN_POINT('Ctrl Pts',(-13.7971046266741,5.8855674255425,0.8286355218909)); #472885=CARTESIAN_POINT('',(-12.1455230326533,8.80262865092612,0.0247088462321248)); #472886=CARTESIAN_POINT('Origin',(0.,0.,0.02470882346698)); #472887=CARTESIAN_POINT('',(-11.7735203518717,9.29431209037592,1.96513794857282)); #472888=CARTESIAN_POINT('Ctrl Pts',(-11.7735204494,9.294312168136,1.965137948337)); #472889=CARTESIAN_POINT('Ctrl Pts',(-11.78754800345,9.276541339201,1.906665152352)); #472890=CARTESIAN_POINT('Ctrl Pts',(-11.81501622508,9.241577981257,1.78945840167)); #472891=CARTESIAN_POINT('Ctrl Pts',(-11.8532179483,9.192523923811,1.611961997534)); #472892=CARTESIAN_POINT('Ctrl Pts',(-11.8911482223,9.143405194703,1.434440417226)); #472893=CARTESIAN_POINT('Ctrl Pts',(-11.92770494214,9.095658214671,1.256224934473)); #472894=CARTESIAN_POINT('Ctrl Pts',(-11.96228187933,9.050130572558,1.076945436969)); #472895=CARTESIAN_POINT('Ctrl Pts',(-11.99584805938,9.005590853564,0.8971609205231)); #472896=CARTESIAN_POINT('Ctrl Pts',(-12.04050737085,8.945871681581,0.6575217394837)); #472897=CARTESIAN_POINT('Ctrl Pts',(-12.07825801946,8.894786179219,0.4472163396746)); #472898=CARTESIAN_POINT('Ctrl Pts',(-12.1082914146,8.853783780395,0.2656538898417)); #472899=CARTESIAN_POINT('Ctrl Pts',(-12.1258281784056,8.82975861083675, 0.155146032060742)); #472900=CARTESIAN_POINT('Ctrl Pts',(-12.13801416158,8.81298922123948,0.0747002215215943)); #472901=CARTESIAN_POINT('Ctrl Pts',(-12.1455230460338,8.80262862020352, 0.0247088546091141)); #472902=CARTESIAN_POINT('',(-11.54934279974,9.571451347388,2.836251461257)); #472903=CARTESIAN_POINT('Ctrl Pts',(-11.5493427997061,9.57145134735989, 2.836251461257)); #472904=CARTESIAN_POINT('Ctrl Pts',(-11.5761053705446,9.53915842817782, 2.745297159611)); #472905=CARTESIAN_POINT('Ctrl Pts',(-11.6022082485646,9.50738145028401, 2.65238288771101)); #472906=CARTESIAN_POINT('Ctrl Pts',(-11.6269880033207,9.47698000265046, 2.55711421377536)); #472907=CARTESIAN_POINT('Ctrl Pts',(-11.6480252042456,9.45117016843014, 2.47623422706198)); #472908=CARTESIAN_POINT('Ctrl Pts',(-11.6681136570957,9.42635575818234, 2.39365731582276)); #472909=CARTESIAN_POINT('Ctrl Pts',(-11.6885108537695,9.40099537396506, 2.31010752581074)); #472910=CARTESIAN_POINT('Ctrl Pts',(-11.6921395497557,9.39648371847192, 2.29524387603997)); #472911=CARTESIAN_POINT('Ctrl Pts',(-11.6957779142951,9.39195470005669, 2.28034943561407)); #472912=CARTESIAN_POINT('Ctrl Pts',(-11.6994328934487,9.38739953190928, 2.26542828121014)); #472913=CARTESIAN_POINT('Ctrl Pts',(-11.723632809108,9.35723939135909,2.16663409855199)); #472914=CARTESIAN_POINT('Ctrl Pts',(-11.7485587420548,9.32593107020896, 2.066668809207)); #472915=CARTESIAN_POINT('Ctrl Pts',(-11.7735198620008,9.29431170442832, 1.965137948337)); #472916=CARTESIAN_POINT('',(-11.0133829827,10.18358459858,4.47190942906)); #472917=CARTESIAN_POINT('Ctrl Pts',(-11.0133829827,10.18358459858,4.47190942906)); #472918=CARTESIAN_POINT('Ctrl Pts',(-11.06417588022,10.12865084692,4.339215197588)); #472919=CARTESIAN_POINT('Ctrl Pts',(-11.12413279604,10.06281245216,4.17106142388)); #472920=CARTESIAN_POINT('Ctrl Pts',(-11.19487673072,9.983771137053,3.968864789435)); #472921=CARTESIAN_POINT('Ctrl Pts',(-11.24234734572,9.930352666147,3.834458023999)); #472922=CARTESIAN_POINT('Ctrl Pts',(-11.28987984007,9.876271017422,3.700323115975)); #472923=CARTESIAN_POINT('Ctrl Pts',(-11.33444823233,9.825024438474,3.56406422971)); #472924=CARTESIAN_POINT('Ctrl Pts',(-11.36616310876,9.788314505789,3.460618582806)); #472925=CARTESIAN_POINT('Ctrl Pts',(-11.39694219543,9.752458532674,3.356524341721)); #472926=CARTESIAN_POINT('Ctrl Pts',(-11.43749035761,9.704923165556,3.217465018544)); #472927=CARTESIAN_POINT('Ctrl Pts',(-11.48836603528,9.644711342014,3.044005803294)); #472928=CARTESIAN_POINT('Ctrl Pts',(-11.52905976433,9.595926761323,2.905504803847)); #472929=CARTESIAN_POINT('Ctrl Pts',(-11.54934279974,9.571451347388,2.836251461257)); #472930=CARTESIAN_POINT('',(-10.9782222203508,10.2214792134085,4.56203222463892)); #472931=CARTESIAN_POINT('Ctrl Pts',(-10.9782222255922,10.2214792182876, 4.56203222463938)); #472932=CARTESIAN_POINT('Ctrl Pts',(-10.9900796433103,10.2087439415644, 4.53207632727213)); #472933=CARTESIAN_POINT('Ctrl Pts',(-11.0018383901254,10.1960698898007, 4.502069408089)); #472934=CARTESIAN_POINT('Ctrl Pts',(-11.0133829826993,10.1835845985794, 4.47190942906)); #472935=CARTESIAN_POINT('',(-10.50113234902,10.71103260141,5.961067571742)); #472936=CARTESIAN_POINT('Ctrl Pts',(-10.5011323490176,10.7110326014076, 5.961067571742)); #472937=CARTESIAN_POINT('Ctrl Pts',(-10.5088052579087,10.7035100557515, 5.927692985915)); #472938=CARTESIAN_POINT('Ctrl Pts',(-10.51696646753,10.6954925638728,5.89467523861792)); #472939=CARTESIAN_POINT('Ctrl Pts',(-10.5255416862948,10.6870469358972, 5.86196361685773)); #472940=CARTESIAN_POINT('Ctrl Pts',(-10.534116905062,10.6786013079193,5.82925199508877)); #472941=CARTESIAN_POINT('Ctrl Pts',(-10.5431060697114,10.6697274795721, 5.79684649885687)); #472942=CARTESIAN_POINT('Ctrl Pts',(-10.5523748404354,10.6605527636678, 5.76465189028873)); #472943=CARTESIAN_POINT('Ctrl Pts',(-10.5616436111594,10.6513780477635, 5.73245728172064)); #472944=CARTESIAN_POINT('Ctrl Pts',(-10.571191971,10.6419024272268,5.70047356081632)); #472945=CARTESIAN_POINT('Ctrl Pts',(-10.5808838204163,10.6322574074771, 5.66860362224566)); #472946=CARTESIAN_POINT('Ctrl Pts',(-10.5905756698326,10.6226123877275, 5.636733683675)); #472947=CARTESIAN_POINT('Ctrl Pts',(-10.600411021425,10.6127979808368,5.604977527438)); #472948=CARTESIAN_POINT('Ctrl Pts',(-10.6102768761803,10.6029252855423, 5.57325376738314)); #472949=CARTESIAN_POINT('Ctrl Pts',(-10.630008585691,10.5831798949534,5.50980624727341)); #472950=CARTESIAN_POINT('Ctrl Pts',(-10.6498634470297,10.5632024897589, 5.44648831189223)); #472951=CARTESIAN_POINT('Ctrl Pts',(-10.6702128330431,10.5426067980155, 5.38357515926533)); #472952=CARTESIAN_POINT('Ctrl Pts',(-10.6905622190564,10.5220111062721, 5.32066200663838)); #472953=CARTESIAN_POINT('Ctrl Pts',(-10.7114058329597,10.5007968383494, 5.25815363676571)); #472954=CARTESIAN_POINT('Ctrl Pts',(-10.7329280858793,10.4787525356477, 5.19619419046168)); #472955=CARTESIAN_POINT('Ctrl Pts',(-10.7544503387988,10.4567082329461, 5.13423474415759)); #472956=CARTESIAN_POINT('Ctrl Pts',(-10.7766508213695,10.4338334937735, 5.07282422142213)); #472957=CARTESIAN_POINT('Ctrl Pts',(-10.7995036390381,10.4101258950314, 5.01195339553584)); #472958=CARTESIAN_POINT('Ctrl Pts',(-10.8223564567065,10.3864182962894, 4.95108256964986)); #472959=CARTESIAN_POINT('Ctrl Pts',(-10.8458612210238,10.3618774694593, 4.89075144061305)); #472960=CARTESIAN_POINT('Ctrl Pts',(-10.8698351367719,10.3366669724529, 4.83083748728571)); #472961=CARTESIAN_POINT('Ctrl Pts',(-10.8938090525201,10.3114564754465, 4.77092353395816)); #472962=CARTESIAN_POINT('Ctrl Pts',(-10.9182504816546,10.2855747451037, 4.71142675634009)); #472963=CARTESIAN_POINT('Ctrl Pts',(-10.9423500674121,10.2598720753334, 4.65173224540245)); #472964=CARTESIAN_POINT('Ctrl Pts',(-10.9543998605266,10.2470207401968, 4.62188498934964)); #472965=CARTESIAN_POINT('Ctrl Pts',(-10.9663646675991,10.2342146141863, 4.591988299965)); #472966=CARTESIAN_POINT('Ctrl Pts',(-10.9782222098705,10.2214792036528, 4.562032224638)); #472967=CARTESIAN_POINT('',(-10.41926035471,10.79069106503,6.379033803211)); #472968=CARTESIAN_POINT('Ctrl Pts',(-10.41926035471,10.79069106503,6.379033803211)); #472969=CARTESIAN_POINT('Ctrl Pts',(-10.42590746722,10.784272895,6.344136015568)); #472970=CARTESIAN_POINT('Ctrl Pts',(-10.43894093677,10.77166443514,6.274253144127)); #472971=CARTESIAN_POINT('Ctrl Pts',(-10.46459175672,10.74676124188,6.134370898743)); #472972=CARTESIAN_POINT('Ctrl Pts',(-10.48528926787,10.72656488148,6.029976963073)); #472973=CARTESIAN_POINT('Ctrl Pts',(-10.50113234902,10.71103260141,5.961067571742)); #472974=CARTESIAN_POINT('',(-10.50248286885,10.70970838022,7.631975328147)); #472975=CARTESIAN_POINT('Ctrl Pts',(-10.50248286885,10.70970838022,7.631975328147)); #472976=CARTESIAN_POINT('Ctrl Pts',(-10.49440214152,10.71763275108,7.598333416737)); #472977=CARTESIAN_POINT('Ctrl Pts',(-10.47827849073,10.73340846817,7.531031542929)); #472978=CARTESIAN_POINT('Ctrl Pts',(-10.44599749593,10.7648521221,7.39641400089)); #472979=CARTESIAN_POINT('Ctrl Pts',(-10.40673432272,10.80282575078,7.227754187457)); #472980=CARTESIAN_POINT('Ctrl Pts',(-10.37749082974,10.83087598508,7.055739804686)); #472981=CARTESIAN_POINT('Ctrl Pts',(-10.36515869153,10.84267045015,6.914632578349)); #472982=CARTESIAN_POINT('Ctrl Pts',(-10.36282100363,10.84490380263,6.806273900833)); #472983=CARTESIAN_POINT('Ctrl Pts',(-10.36909608765,10.83890680414,6.696210142063)); #472984=CARTESIAN_POINT('Ctrl Pts',(-10.38632031653,10.82241634251,6.553733402116)); #472985=CARTESIAN_POINT('Ctrl Pts',(-10.40596634757,10.80352747382,6.448829041862)); #472986=CARTESIAN_POINT('Ctrl Pts',(-10.41926035471,10.79069106503,6.379033803211)); #472987=CARTESIAN_POINT('',(-10.5920393520521,10.6211442065857,8.00000000000817)); #472988=CARTESIAN_POINT('Ctrl Pts',(-10.5920393075229,10.6211441619013, 8.001592064326)); #472989=CARTESIAN_POINT('Ctrl Pts',(-10.5620805077928,10.6510208662855, 7.87830565753)); #472990=CARTESIAN_POINT('Ctrl Pts',(-10.5321573432533,10.68060808655,7.755516627378)); #472991=CARTESIAN_POINT('Ctrl Pts',(-10.5024828688537,10.7097083802238, 7.631975328147)); #472992=CARTESIAN_POINT('',(5.87342385501173,13.802273067607,7.99986918724561)); #472993=CARTESIAN_POINT('Origin',(0.,0.,8.)); #472994=CARTESIAN_POINT('',(5.047142718576,14.12537965431,7.464518482169)); #472995=CARTESIAN_POINT('Ctrl Pts',(5.04714271857522,14.1253796543078,7.464518482169)); #472996=CARTESIAN_POINT('Ctrl Pts',(5.13918218566663,14.0924930107258,7.535079479314)); #472997=CARTESIAN_POINT('Ctrl Pts',(5.23124063771675,14.0585861299703,7.60208241029149)); #472998=CARTESIAN_POINT('Ctrl Pts',(5.32342534069226,14.0235923586674,7.6658426184259)); #472999=CARTESIAN_POINT('Ctrl Pts',(5.4156100436678,13.9885985873645,7.72960282656033)); #473000=CARTESIAN_POINT('Ctrl Pts',(5.50791944388361,13.9525144918623,7.79012031185167)); #473001=CARTESIAN_POINT('Ctrl Pts',(5.59993132403231,13.9154866665209,7.84644903741235)); #473002=CARTESIAN_POINT('Ctrl Pts',(5.66166868906021,13.8906420446569,7.88424401655934)); #473003=CARTESIAN_POINT('Ctrl Pts',(5.72327124874562,13.8653695210824,7.92015320357611)); #473004=CARTESIAN_POINT('Ctrl Pts',(5.78381523472099,13.8400679669794,7.9537909127035)); #473005=CARTESIAN_POINT('Ctrl Pts',(5.81247990519124,13.8280888959113,7.96971675293317)); #473006=CARTESIAN_POINT('Ctrl Pts',(5.84090758675789,13.816104028445,7.98513342598391)); #473007=CARTESIAN_POINT('Ctrl Pts',(5.86900185838093,13.8041594161442,8.)); #473008=CARTESIAN_POINT('',(4.421852011788,14.33343032166,6.927777088904)); #473009=CARTESIAN_POINT('Ctrl Pts',(4.42185201178765,14.3334303216589,6.927777088904)); #473010=CARTESIAN_POINT('Ctrl Pts',(4.47178436944158,14.3180262284871,6.976129996086)); #473011=CARTESIAN_POINT('Ctrl Pts',(4.5222524833165,14.3021715620524,7.02357469848023)); #473012=CARTESIAN_POINT('Ctrl Pts',(4.57335331588966,14.2858125232008,7.0700090385776)); #473013=CARTESIAN_POINT('Ctrl Pts',(4.62445414793454,14.2694534845184,7.11644337819495)); #473014=CARTESIAN_POINT('Ctrl Pts',(4.67618735067112,14.2525890339209,7.16186735553633)); #473015=CARTESIAN_POINT('Ctrl Pts',(4.72836007530237,14.2352594285558,7.20652135428979)); #473016=CARTESIAN_POINT('Ctrl Pts',(4.75444643761797,14.2265946258733,7.2288483536665)); #473017=CARTESIAN_POINT('Ctrl Pts',(4.78064273777576,14.2178136978989,7.25098285839623)); #473018=CARTESIAN_POINT('Ctrl Pts',(4.80695092742765,14.2089134975656,7.2729239843299)); #473019=CARTESIAN_POINT('Ctrl Pts',(4.83325911707959,14.2000132972324,7.2948651102636)); #473020=CARTESIAN_POINT('Ctrl Pts',(4.85967921533041,14.1909938866595,7.31661285740124)); #473021=CARTESIAN_POINT('Ctrl Pts',(4.88624580863606,14.1818405680499,7.33811220862656)); #473022=CARTESIAN_POINT('Ctrl Pts',(4.93937899179943,14.1635339320187,7.38111090828693)); #473023=CARTESIAN_POINT('Ctrl Pts',(4.99309775332457,14.1446904735223,7.423116024427)); #473024=CARTESIAN_POINT('Ctrl Pts',(5.04714271857522,14.1253796543078,7.464518482169)); #473025=CARTESIAN_POINT('',(4.169548795023,14.40884668688,6.666807360039)); #473026=CARTESIAN_POINT('Ctrl Pts',(4.169548795023,14.40884668688,6.666807360039)); #473027=CARTESIAN_POINT('Ctrl Pts',(4.250997181888,14.38527762016,6.757124831026)); #473028=CARTESIAN_POINT('Ctrl Pts',(4.335262850644,14.36014301353,6.843940684233)); #473029=CARTESIAN_POINT('Ctrl Pts',(4.421852011788,14.33343032166,6.927777088904)); #473030=CARTESIAN_POINT('',(3.767468765235,14.51916592312,6.161281822437)); #473031=CARTESIAN_POINT('Ctrl Pts',(3.767468765235,14.51916592312,6.161281822437)); #473032=CARTESIAN_POINT('Ctrl Pts',(3.829839212543,14.50298187371,6.250137232317)); #473033=CARTESIAN_POINT('Ctrl Pts',(3.959100807407,14.46858559471,6.423396397108)); #473034=CARTESIAN_POINT('Ctrl Pts',(4.097815302823,14.42960452671,6.58726284923)); #473035=CARTESIAN_POINT('Ctrl Pts',(4.169548795023,14.40884668688,6.666807360039)); #473036=CARTESIAN_POINT('',(3.381530572042,14.61387186855,5.543265708847)); #473037=CARTESIAN_POINT('Ctrl Pts',(3.381530572042,14.61387186855,5.543265708847)); #473038=CARTESIAN_POINT('Ctrl Pts',(3.44235124189,14.5997976329,5.648583640169)); #473039=CARTESIAN_POINT('Ctrl Pts',(3.534448137712,14.57790389316,5.805945801797)); #473040=CARTESIAN_POINT('Ctrl Pts',(3.664685297126,14.54556980836,6.010866033927)); #473041=CARTESIAN_POINT('Ctrl Pts',(3.732571312242,14.5282217133,6.111572126554)); #473042=CARTESIAN_POINT('Ctrl Pts',(3.767468765235,14.51916592312,6.161281822437)); #473043=CARTESIAN_POINT('',(2.366833961711,14.81209293106,3.592568214083)); #473044=CARTESIAN_POINT('Ctrl Pts',(2.36683396170749,14.8120929310381,3.592568214083)); #473045=CARTESIAN_POINT('Ctrl Pts',(2.3929517917235,14.8079195459955,3.648162239052)); #473046=CARTESIAN_POINT('Ctrl Pts',(2.41936285502786,14.8036282086202,3.70356527718654)); #473047=CARTESIAN_POINT('Ctrl Pts',(2.44592396478958,14.7992383573773,3.75886607290928)); #473048=CARTESIAN_POINT('Ctrl Pts',(2.47248513920101,14.7948484954495,3.81416700323409)); #473049=CARTESIAN_POINT('Ctrl Pts',(2.49919633404959,14.790359954928,3.86936569064938)); #473050=CARTESIAN_POINT('Ctrl Pts',(2.52582744419013,14.785810621071,3.9246047797515)); #473051=CARTESIAN_POINT('Ctrl Pts',(2.53914299926038,14.7835359541425,3.95222432430253)); #473052=CARTESIAN_POINT('Ctrl Pts',(2.55243854516831,14.7812461590923,3.97985396927526)); #473053=CARTESIAN_POINT('Ctrl Pts',(2.56567714436648,14.778947891879,4.00751673411404)); #473054=CARTESIAN_POINT('Ctrl Pts',(2.57891574356464,14.7766496246658,4.03517949895281)); #473055=CARTESIAN_POINT('Ctrl Pts',(2.59209740421166,14.7743429312853,4.06287538365763)); #473056=CARTESIAN_POINT('Ctrl Pts',(2.60523645069356,14.772025691691,4.09059552605926)); #473057=CARTESIAN_POINT('Ctrl Pts',(2.63151454365723,14.7673912125022,4.14603581086224)); #473058=CARTESIAN_POINT('Ctrl Pts',(2.65762236922641,14.7627156210063,4.20157312645245)); #473059=CARTESIAN_POINT('Ctrl Pts',(2.68418954952753,14.7578835360023,4.25681516159496)); #473060=CARTESIAN_POINT('Ctrl Pts',(2.71075672982882,14.7530514509983,4.3120571967378)); #473061=CARTESIAN_POINT('Ctrl Pts',(2.73778320167986,14.748062544516,4.36700395143293)); #473062=CARTESIAN_POINT('Ctrl Pts',(2.76568099677831,14.7428290576829,4.42139713183073)); #473063=CARTESIAN_POINT('Ctrl Pts',(2.79357879187673,14.7375955708498,4.47579031222846)); #473064=CARTESIAN_POINT('Ctrl Pts',(2.8223475915547,14.7321158031757,4.52962991832886)); #473065=CARTESIAN_POINT('Ctrl Pts',(2.85150394866862,14.7264702230618,4.5832161590949)); #473066=CARTESIAN_POINT('Ctrl Pts',(2.8806603057824,14.720824642948,4.63680239986068)); #473067=CARTESIAN_POINT('Ctrl Pts',(2.91020419257874,14.7150130893556,4.6901352752921)); #473068=CARTESIAN_POINT('Ctrl Pts',(2.93960060006477,14.7091382586506,4.7435487412238)); #473069=CARTESIAN_POINT('Ctrl Pts',(2.95429880380789,14.7062008432981,4.77025547418984)); #473070=CARTESIAN_POINT('Ctrl Pts',(2.9689601807244,14.7032478240553,4.79698235478095)); #473071=CARTESIAN_POINT('Ctrl Pts',(2.98353351031022,14.7002900581198,4.82376148430402)); #473072=CARTESIAN_POINT('Ctrl Pts',(2.998106839896,14.6973322921843,4.85054061382703)); #473073=CARTESIAN_POINT('Ctrl Pts',(3.01259214313643,14.6943698835821,4.877371992282)); #473074=CARTESIAN_POINT('Ctrl Pts',(3.02704312429286,14.691392375254,4.90422207900592)); #473075=CARTESIAN_POINT('Ctrl Pts',(3.05594508660574,14.6854373585978,4.95792225245384)); #473076=CARTESIAN_POINT('Ctrl Pts',(3.08470984527574,14.6794223521299,5.01169725897758)); #473077=CARTESIAN_POINT('Ctrl Pts',(3.11365291777203,14.6732806661513,5.06534938529327)); #473078=CARTESIAN_POINT('Ctrl Pts',(3.14259599026814,14.6671389801727,5.11900151160864)); #473079=CARTESIAN_POINT('Ctrl Pts',(3.17171732693278,14.6608703984455,5.17253075771596)); #473080=CARTESIAN_POINT('Ctrl Pts',(3.20121513404476,14.6544266918076,5.22581213736357)); #473081=CARTESIAN_POINT('Ctrl Pts',(3.26021074826784,14.6415392785321,5.33237489665719)); #473082=CARTESIAN_POINT('Ctrl Pts',(3.32071086342218,14.6279450522966,5.437946190112)); #473083=CARTESIAN_POINT('Ctrl Pts',(3.38153057204161,14.6138718685483,5.543265708847)); #473084=CARTESIAN_POINT('',(1.333967449342,14.94056661724,0.8286355218912)); #473085=CARTESIAN_POINT('Ctrl Pts',(1.33396744934173,14.940566617237,0.8286355218912)); #473086=CARTESIAN_POINT('Ctrl Pts',(1.34273441727198,14.9397838591162,0.8582705753316)); #473087=CARTESIAN_POINT('Ctrl Pts',(1.35059417493355,14.9390750569273,0.888199014587234)); #473088=CARTESIAN_POINT('Ctrl Pts',(1.35881745649809,14.9383270522477,0.918028188415442)); #473089=CARTESIAN_POINT('Ctrl Pts',(1.36704073806306,14.9375790475681,0.947857362245178)); #473090=CARTESIAN_POINT('Ctrl Pts',(1.37562745713513,14.936790885579,0.977587270647477)); #473091=CARTESIAN_POINT('Ctrl Pts',(1.38412686637296,14.9360032410878,1.00734502704026)); #473092=CARTESIAN_POINT('Ctrl Pts',(1.40112568484858,14.9344279521052,1.06686053982575)); #473093=CARTESIAN_POINT('Ctrl Pts',(1.41777549117666,14.9328571795855,1.12648744457317)); #473094=CARTESIAN_POINT('Ctrl Pts',(1.43532663614211,14.9311699959374,1.18575690623494)); #473095=CARTESIAN_POINT('Ctrl Pts',(1.44410220862487,14.9303264041134,1.21539163706593)); #473096=CARTESIAN_POINT('Ctrl Pts',(1.45310308400861,14.9294533838257,1.24493700712551)); #473097=CARTESIAN_POINT('Ctrl Pts',(1.4623813651603,14.9285444951218,1.27436645552921)); #473098=CARTESIAN_POINT('Ctrl Pts',(1.47165964631196,14.9276356064179,1.30379590393282)); #473099=CARTESIAN_POINT('Ctrl Pts',(1.48121532718431,14.9266907861943,1.33310943068055)); #473100=CARTESIAN_POINT('Ctrl Pts',(1.49099883313757,14.92571346635,1.36232637129811)); #473101=CARTESIAN_POINT('Ctrl Pts',(1.5105658450441,14.9237588266615,1.42076025253325)); #473102=CARTESIAN_POINT('Ctrl Pts',(1.53104407652816,14.9216733774286,1.47880778924775)); #473103=CARTESIAN_POINT('Ctrl Pts',(1.55172547260783,14.9195223803465,1.53676354082525)); #473104=CARTESIAN_POINT('Ctrl Pts',(1.56206617064767,14.9184468818055,1.565741416614)); #473105=CARTESIAN_POINT('Ctrl Pts',(1.57245767724148,14.9173551623949,1.5946963461185)); #473106=CARTESIAN_POINT('Ctrl Pts',(1.58280094229089,14.91625761299,1.62366882432325)); #473107=CARTESIAN_POINT('Ctrl Pts',(1.59314420734029,14.9151600635851,1.652641302528)); #473108=CARTESIAN_POINT('Ctrl Pts',(1.60343923371421,14.9140567112115,1.681631329433)); #473109=CARTESIAN_POINT('Ctrl Pts',(1.6136248507534,14.9129545979672,1.71066705207119)); #473110=CARTESIAN_POINT('Ctrl Pts',(1.6339960848318,14.9107503714785,1.76873849734758)); #473111=CARTESIAN_POINT('Ctrl Pts',(1.65392977322335,14.9085519465822,1.82699272555674)); #473112=CARTESIAN_POINT('Ctrl Pts',(1.6739246990878,14.9063065882124,1.88522325972784)); #473113=CARTESIAN_POINT('Ctrl Pts',(1.69391962495229,14.9040612298426,1.94345379389903)); #473114=CARTESIAN_POINT('Ctrl Pts',(1.71397578089587,14.9017688837237,2.00166063403215)); #473115=CARTESIAN_POINT('Ctrl Pts',(1.73454534691762,14.8993742297952,2.05965383574476)); #473116=CARTESIAN_POINT('Ctrl Pts',(1.75511491293927,14.8969795758668,2.11764703745709)); #473117=CARTESIAN_POINT('Ctrl Pts',(1.77619781024291,14.8944819390192,2.17542660074891)); #473118=CARTESIAN_POINT('Ctrl Pts',(1.79774146426178,14.8918811984139,2.23300946302804)); #473119=CARTESIAN_POINT('Ctrl Pts',(1.81928511828075,14.8892804578086,2.29059232530745)); #473120=CARTESIAN_POINT('Ctrl Pts',(1.84128949405036,14.8865763146808,2.34797848657418)); #473121=CARTESIAN_POINT('Ctrl Pts',(1.86350740332173,14.8837945483591,2.40527158080057)); #473122=CARTESIAN_POINT('Ctrl Pts',(1.88572531259314,14.8810127820374,2.46256467502705)); #473123=CARTESIAN_POINT('Ctrl Pts',(1.90815678948344,14.8781536653479,2.51976470221318)); #473124=CARTESIAN_POINT('Ctrl Pts',(1.93074499776467,14.8752218051902,2.576896401889)); #473125=CARTESIAN_POINT('Ctrl Pts',(1.97592141432696,14.8693580848749,2.69115980124018)); #473126=CARTESIAN_POINT('Ctrl Pts',(2.02172495607822,14.8632048075525,2.80514989055009)); #473127=CARTESIAN_POINT('Ctrl Pts',(2.06848565811753,14.8566943524514,2.91873972308853)); #473128=CARTESIAN_POINT('Ctrl Pts',(2.11524636015684,14.8501838973504,3.03232955562696)); #473129=CARTESIAN_POINT('Ctrl Pts',(2.16296458227588,14.8433191547812,3.14551913139393)); #473130=CARTESIAN_POINT('Ctrl Pts',(2.21280486396053,14.8358853673798,3.25777777360432)); #473131=CARTESIAN_POINT('Ctrl Pts',(2.25821715247739,14.8291120248777,3.36006294688695)); #473132=CARTESIAN_POINT('Ctrl Pts',(2.30539007410372,14.8218586147106,3.46157525366516)); #473133=CARTESIAN_POINT('Ctrl Pts',(2.35292108793644,14.814309378231,3.5629250777413)); #473134=CARTESIAN_POINT('Ctrl Pts',(2.35755573407238,14.8135732685448,3.57280747941246)); #473135=CARTESIAN_POINT('Ctrl Pts',(2.36219379076795,14.81283438697,3.58268833623426)); #473136=CARTESIAN_POINT('Ctrl Pts',(2.36683396170749,14.8120929310381,3.592568214083)); #473137=CARTESIAN_POINT('',(1.12083246456906,14.9580658887116,0.0247088307967461)); #473138=CARTESIAN_POINT('Ctrl Pts',(1.12083248537559,14.958065868946,0.0247088253124126)); #473139=CARTESIAN_POINT('Ctrl Pts',(1.1222393129731,14.9579604530332,0.0304259867960116)); #473140=CARTESIAN_POINT('Ctrl Pts',(1.12390469006689,14.9578354301073,0.0360723615375351)); #473141=CARTESIAN_POINT('Ctrl Pts',(1.12548342853832,14.9577166389822,0.0417425294696416)); #473142=CARTESIAN_POINT('Ctrl Pts',(1.13108390933418,14.957295234534,0.0618571128459725)); #473143=CARTESIAN_POINT('Ctrl Pts',(1.13559416858088,14.9569531556923,0.0822711176822884)); #473144=CARTESIAN_POINT('Ctrl Pts',(1.14025155154971,14.9565980891107,0.102645431825045)); #473145=CARTESIAN_POINT('Ctrl Pts',(1.14956631748736,14.9558879559474,0.143394060110538)); #473146=CARTESIAN_POINT('Ctrl Pts',(1.15946941663787,14.9551237574604,0.183983925621795)); #473147=CARTESIAN_POINT('Ctrl Pts',(1.1692339549086,14.9543602992134,0.224610062466636)); #473148=CARTESIAN_POINT('Ctrl Pts',(1.17899849317932,14.9535968409663,0.265236199311426)); #473149=CARTESIAN_POINT('Ctrl Pts',(1.18862453244056,14.95283489503,0.3058986074898)); #473150=CARTESIAN_POINT('Ctrl Pts',(1.19857645308755,14.9520371349895,0.346466634353416)); #473151=CARTESIAN_POINT('Ctrl Pts',(1.20852837373456,14.951239374949,0.387034661217059)); #473152=CARTESIAN_POINT('Ctrl Pts',(1.21880615822544,14.9504055981671,0.427508306765944)); #473153=CARTESIAN_POINT('Ctrl Pts',(1.22954029189344,14.949522757286,0.467848344193963)); #473154=CARTESIAN_POINT('Ctrl Pts',(1.23490735872744,14.9490813368454,0.488018362907987)); #473155=CARTESIAN_POINT('Ctrl Pts',(1.24038851064436,14.9486276214674,0.508154979591793)); #473156=CARTESIAN_POINT('Ctrl Pts',(1.2459747283117,14.9481619932488,0.528261090552475)); #473157=CARTESIAN_POINT('Ctrl Pts',(1.25156094597903,14.9476963650302,0.548367201513156)); #473158=CARTESIAN_POINT('Ctrl Pts',(1.25725222891138,14.9472188195845,0.568442806750713)); #473159=CARTESIAN_POINT('Ctrl Pts',(1.26302885130913,14.9467306833555,0.588493445190419)); #473160=CARTESIAN_POINT('Ctrl Pts',(1.27458209610462,14.9457544108975,0.628594722069805)); #473161=CARTESIAN_POINT('Ctrl Pts',(1.28647667938399,14.9447355319123,0.668596131757789)); #473162=CARTESIAN_POINT('Ctrl Pts',(1.29836359520159,14.9437027531551,0.708601592354073)); #473163=CARTESIAN_POINT('Ctrl Pts',(1.31025051102121,14.9426699743978,0.748607052957186)); #473164=CARTESIAN_POINT('Ctrl Pts',(1.32212977908718,14.9416235428008,0.7886165644686)); #473165=CARTESIAN_POINT('Ctrl Pts',(1.33396744934173,14.940566617237,0.8286355218912)); #473166=CARTESIAN_POINT('',(4.61860032434346,14.2712484311699,0.024708832621696)); #473167=CARTESIAN_POINT('Origin',(0.,0.,0.02470882346465)); #473168=CARTESIAN_POINT('',(5.20119820575324,14.0693836373053,1.9651379485456)); #473169=CARTESIAN_POINT('Ctrl Pts',(5.201198249501,14.06938375411,1.965137948338)); #473170=CARTESIAN_POINT('Ctrl Pts',(5.179962434324,14.07723326265,1.906665152477)); #473171=CARTESIAN_POINT('Ctrl Pts',(5.138222176403,14.09255281515,1.78945839414)); #473172=CARTESIAN_POINT('Ctrl Pts',(5.079764006639,14.11372627825,1.611961993166)); #473173=CARTESIAN_POINT('Ctrl Pts',(5.021328220716,14.1346215902,1.434440412433)); #473174=CARTESIAN_POINT('Ctrl Pts',(4.964621501095,14.15463446717,1.256224928753)); #473175=CARTESIAN_POINT('Ctrl Pts',(4.910637260844,14.17345027944,1.076945436478)); #473176=CARTESIAN_POINT('Ctrl Pts',(4.857905020177,14.19161006124,0.8971609010639)); #473177=CARTESIAN_POINT('Ctrl Pts',(4.78730788105,14.21562946308,0.6575218145719)); #473178=CARTESIAN_POINT('Ctrl Pts',(4.727058931827,14.23574557945,0.4472159105353)); #473179=CARTESIAN_POINT('Ctrl Pts',(4.678779692627,14.25163950632,0.265654230556)); #473180=CARTESIAN_POINT('Ctrl Pts',(4.65051817694107,14.2608915340739,0.155142282737138)); #473181=CARTESIAN_POINT('Ctrl Pts',(4.6307747261242,14.2673084849767,0.0747022532064086)); #473182=CARTESIAN_POINT('Ctrl Pts',(4.61860033869426,14.2712484162419,0.0247088279505334)); #473183=CARTESIAN_POINT('',(5.534047975351,13.9418188558,2.836251461258)); #473184=CARTESIAN_POINT('Ctrl Pts',(5.53404797533345,13.9418188557558,2.836251461258)); #473185=CARTESIAN_POINT('Ctrl Pts',(5.49506549491471,13.9572925123186,2.745297159603)); #473186=CARTESIAN_POINT('Ctrl Pts',(5.45677756010982,13.9722981983547,2.65238288769601)); #473187=CARTESIAN_POINT('Ctrl Pts',(5.42020669989675,13.9864705816154,2.55711421375703)); #473188=CARTESIAN_POINT('Ctrl Pts',(5.38915923627838,13.9985024712432,2.4762342270447)); #473189=CARTESIAN_POINT('Ctrl Pts',(5.35935165639416,14.009939650756,2.39365731580935)); #473190=CARTESIAN_POINT('Ctrl Pts',(5.32892941732006,14.0215017478593,2.31010752580338)); #473191=CARTESIAN_POINT('Ctrl Pts',(5.32351724923539,14.023558664603,2.29524387602895)); #473192=CARTESIAN_POINT('Ctrl Pts',(5.31808558028327,14.0256194112493,2.28034943559945)); #473193=CARTESIAN_POINT('Ctrl Pts',(5.31262390725978,14.027687878621,2.26542828119197)); #473194=CARTESIAN_POINT('Ctrl Pts',(5.27646172385567,14.04138337012,2.16663409853699)); #473195=CARTESIAN_POINT('Ctrl Pts',(5.2389832041298,14.0554145377732,2.066668809198)); #473196=CARTESIAN_POINT('Ctrl Pts',(5.20119799000715,14.069383052172,1.965137948338)); #473197=CARTESIAN_POINT('',(6.281841984515,13.62125035676,4.471909429058)); #473198=CARTESIAN_POINT('Ctrl Pts',(6.281841984515,13.62125035676,4.471909429058)); #473199=CARTESIAN_POINT('Ctrl Pts',(6.213901017272,13.6525818097,4.339215201235)); #473200=CARTESIAN_POINT('Ctrl Pts',(6.132756355691,13.68925933326,4.171061947295)); #473201=CARTESIAN_POINT('Ctrl Pts',(6.035724596842,13.73211499122,3.968864120778)); #473202=CARTESIAN_POINT('Ctrl Pts',(5.986615133077,13.75359658225,3.868061660305)); #473203=CARTESIAN_POINT('Ctrl Pts',(5.937202484035,13.77499762353,3.767382649916)); #473204=CARTESIAN_POINT('Ctrl Pts',(5.888496074827,13.79588828075,3.666260348891)); #473205=CARTESIAN_POINT('Ctrl Pts',(5.841617598045,13.81579993546,3.564063545323)); #473206=CARTESIAN_POINT('Ctrl Pts',(5.796902710092,13.83461900845,3.460618611388)); #473207=CARTESIAN_POINT('Ctrl Pts',(5.753290726087,13.85281143964,3.35652418195)); #473208=CARTESIAN_POINT('Ctrl Pts',(5.695551709871,13.87668584224,3.217464928646)); #473209=CARTESIAN_POINT('Ctrl Pts',(5.62256559681,13.90646494167,3.04400561641)); #473210=CARTESIAN_POINT('Ctrl Pts',(5.563593279021,13.93009186141,2.905504802976)); #473211=CARTESIAN_POINT('Ctrl Pts',(5.534047975351,13.9418188558,2.836251461258)); #473212=CARTESIAN_POINT('',(6.32874717797284,13.5995205645991,4.56203222463692)); #473213=CARTESIAN_POINT('Ctrl Pts',(6.32874718099367,13.5995205710923,4.56203222463738)); #473214=CARTESIAN_POINT('Ctrl Pts',(6.31297106949726,13.6068622285406,4.53207632727113)); #473215=CARTESIAN_POINT('Ctrl Pts',(6.2972836773863,13.6141289639408,4.502069408088)); #473216=CARTESIAN_POINT('Ctrl Pts',(6.28184198451652,13.6212503567633,4.471909429058)); #473217=CARTESIAN_POINT('',(6.9417689958,13.29706145015,5.961067571754)); #473218=CARTESIAN_POINT('Ctrl Pts',(6.94176899579822,13.2970614501466,5.961067571754)); #473219=CARTESIAN_POINT('Ctrl Pts',(6.9322435704903,13.3020342256972,5.927692985922)); #473220=CARTESIAN_POINT('Ctrl Pts',(6.92209653012442,13.307318456047,5.89467523862242)); #473221=CARTESIAN_POINT('Ctrl Pts',(6.91141437227243,13.3128641311607,5.86196361685802)); #473222=CARTESIAN_POINT('Ctrl Pts',(6.90073221441921,13.3184098062751,5.82925199508982)); #473223=CARTESIAN_POINT('Ctrl Pts',(6.88951489750236,13.324216846127,5.79684649885688)); #473224=CARTESIAN_POINT('Ctrl Pts',(6.87792501648525,13.3301968277894,5.7646518902884)); #473225=CARTESIAN_POINT('Ctrl Pts',(6.86633513546816,13.3361768094517,5.73245728171998)); #473226=CARTESIAN_POINT('Ctrl Pts',(6.85437267935166,13.34232971152,5.70047356081601)); #473227=CARTESIAN_POINT('Ctrl Pts',(6.84220477429255,13.3485667330485,5.66860362224566)); #473228=CARTESIAN_POINT('Ctrl Pts',(6.83003686923341,13.354803754577,5.63673368367527)); #473229=CARTESIAN_POINT('Ctrl Pts',(6.81766352281905,13.3611249112799,5.6049775274385)); #473230=CARTESIAN_POINT('Ctrl Pts',(6.80522531484268,13.3674570661074,5.57325376738371)); #473231=CARTESIAN_POINT('Ctrl Pts',(6.78034889888996,13.3801213757623,5.50980624727419)); #473232=CARTESIAN_POINT('Ctrl Pts',(6.75521376792706,13.3928311133098,5.44648831189262)); #473233=CARTESIAN_POINT('Ctrl Pts',(6.72933779498474,13.4058201107202,5.38357515926552)); #473234=CARTESIAN_POINT('Ctrl Pts',(6.7034618220424,13.4188091081306,5.32066200663837)); #473235=CARTESIAN_POINT('Ctrl Pts',(6.67684482337734,13.4320769936444,5.25815363676568)); #473236=CARTESIAN_POINT('Ctrl Pts',(6.64922870373651,13.4457338083649,5.19619419046168)); #473237=CARTESIAN_POINT('Ctrl Pts',(6.62161258409567,13.4593906230854,5.13423474415768)); #473238=CARTESIAN_POINT('Ctrl Pts',(6.59299708794797,13.4734358535536,5.07282422142237)); #473239=CARTESIAN_POINT('Ctrl Pts',(6.56338791264881,13.4878441238063,5.01195339553617)); #473240=CARTESIAN_POINT('Ctrl Pts',(6.53377873734961,13.502252394059,4.95108256964992)); #473241=CARTESIAN_POINT('Ctrl Pts',(6.50317565245412,13.5170232207807,4.8907514406128)); #473242=CARTESIAN_POINT('Ctrl Pts',(6.47179069760858,13.5320332975627,4.83083748728568)); #473243=CARTESIAN_POINT('Ctrl Pts',(6.44040574276322,13.5470433743448,4.77092353395887)); #473244=CARTESIAN_POINT('Ctrl Pts',(6.40823793749784,13.5622906602712,4.71142675634205)); #473245=CARTESIAN_POINT('Ctrl Pts',(6.37634606437408,13.5772681665842,4.65173224540331)); #473246=CARTESIAN_POINT('Ctrl Pts',(6.36040012749989,13.5847569198874,4.62188498934936)); #473247=CARTESIAN_POINT('Ctrl Pts',(6.34452344919209,13.5921788170492,4.591988299963)); #473248=CARTESIAN_POINT('Ctrl Pts',(6.32874717193168,13.5995205516138,4.562032224636)); #473249=CARTESIAN_POINT('',(7.042828534298,13.24381237547,6.379033803211)); #473250=CARTESIAN_POINT('Ctrl Pts',(7.042828534298,13.24381237547,6.379033803211)); #473251=CARTESIAN_POINT('Ctrl Pts',(7.034670421148,13.24815083153,6.344136015568)); #473252=CARTESIAN_POINT('Ctrl Pts',(7.018651499649,13.25665016929,6.274253144125)); #473253=CARTESIAN_POINT('Ctrl Pts',(6.987040616141,13.27335003883,6.134370898748)); #473254=CARTESIAN_POINT('Ctrl Pts',(6.961436853288,13.28679352309,6.029976963081)); #473255=CARTESIAN_POINT('Ctrl Pts',(6.9417689958,13.29706145015,5.961067571754)); #473256=CARTESIAN_POINT('',(6.940092253037,13.29793666398,7.631975328109)); #473257=CARTESIAN_POINT('Ctrl Pts',(6.940092253037,13.29793666398,7.631975328109)); #473258=CARTESIAN_POINT('Ctrl Pts',(6.950125859646,13.29270020086,7.598333416717)); #473259=CARTESIAN_POINT('Ctrl Pts',(6.970111940292,13.28224066239,7.531031542903)); #473260=CARTESIAN_POINT('Ctrl Pts',(7.009992008235,13.26125623537,7.396414000894)); #473261=CARTESIAN_POINT('Ctrl Pts',(7.058240063011,13.23564923524,7.227754187457)); #473262=CARTESIAN_POINT('Ctrl Pts',(7.093954157433,13.21650501977,7.055739804686)); #473263=CARTESIAN_POINT('Ctrl Pts',(7.108982200573,13.20842114951,6.91463257835)); #473264=CARTESIAN_POINT('Ctrl Pts',(7.111828630293,13.20688802008,6.806273900834)); #473265=CARTESIAN_POINT('Ctrl Pts',(7.104186038203,13.21100280517,6.696210142063)); #473266=CARTESIAN_POINT('Ctrl Pts',(7.08318009777,13.2222881874,6.553733402117)); #473267=CARTESIAN_POINT('Ctrl Pts',(7.059144758652,13.23513569181,6.448829041863)); #473268=CARTESIAN_POINT('Ctrl Pts',(7.042828534298,13.24381237547,6.379033803211)); #473269=CARTESIAN_POINT('',(6.82801781963816,13.3558292641722,8.00000012427055)); #473270=CARTESIAN_POINT('Ctrl Pts',(6.82818143299472,13.3557455171213,8.001592064307)); #473271=CARTESIAN_POINT('Ctrl Pts',(6.86585388186946,13.3364853181596,7.878304936285)); #473272=CARTESIAN_POINT('Ctrl Pts',(6.90324609429464,13.3171663946788,7.755517352026)); #473273=CARTESIAN_POINT('Ctrl Pts',(6.94009225303873,13.2979366639833,7.631975328109)); #473274=CARTESIAN_POINT('Origin',(0.,0.,8.)); #473275=CARTESIAN_POINT('Origin',(0.,0.,8.)); #473276=CARTESIAN_POINT('Ctrl Pts',(-12.86368336594,-7.715278205861,8.)); #473277=CARTESIAN_POINT('Ctrl Pts',(-12.92302146352,-7.616386788379,8.)); #473278=CARTESIAN_POINT('Ctrl Pts',(-12.982323012525,-7.51484644668401, 8.)); #473279=CARTESIAN_POINT('Ctrl Pts',(-13.0403113893325,-7.41283951978036, 8.)); #473280=CARTESIAN_POINT('Ctrl Pts',(-13.09829976614,-7.31083259287668,8.)); #473281=CARTESIAN_POINT('Ctrl Pts',(-13.15497497075,-7.20835908076432,8.)); #473282=CARTESIAN_POINT('Ctrl Pts',(-13.2106406810975,-7.10484277997539, 8.)); #473283=CARTESIAN_POINT('Ctrl Pts',(-13.266276685359,-7.00138172080849, 8.)); #473284=CARTESIAN_POINT('Ctrl Pts',(-13.3209042725067,-6.89687898563939, 8.)); #473285=CARTESIAN_POINT('Ctrl Pts',(-13.3743372028877,-6.79169469107003, 8.)); #473286=CARTESIAN_POINT('Origin',(0.000406574650568259,-0.0024282197620229, 8.)); #473287=CARTESIAN_POINT('Ctrl Pts',(14.941711728919,-1.32108236279682,8.)); #473288=CARTESIAN_POINT('Ctrl Pts',(14.9314326320005,-1.43710487114928, 8.)); #473289=CARTESIAN_POINT('Ctrl Pts',(14.9196799044994,-1.55435678948112, 8.)); #473290=CARTESIAN_POINT('Ctrl Pts',(14.9066429690458,-1.67077385557855, 8.)); #473291=CARTESIAN_POINT('Ctrl Pts',(14.8935349861267,-1.78782536046165, 8.)); #473292=CARTESIAN_POINT('Ctrl Pts',(14.8791287600033,-1.90403288891735, 8.)); #473293=CARTESIAN_POINT('Ctrl Pts',(14.8633719187092,-2.02000265783295, 8.)); #473294=CARTESIAN_POINT('Ctrl Pts',(14.8476230215371,-2.13591395830408, 8.)); #473295=CARTESIAN_POINT('Ctrl Pts',(14.8305248707293,-2.25158773891702, 8.)); #473296=CARTESIAN_POINT('Ctrl Pts',(14.8121223642047,-2.36664832430819, 8.)); #473297=CARTESIAN_POINT('Origin',(0.000965587476832175,0.00192090881088625, 8.)); #473298=CARTESIAN_POINT('Ctrl Pts',(-11.31276757218,9.849931776088,8.)); #473299=CARTESIAN_POINT('Ctrl Pts',(-11.2356510868,9.938455007832,8.)); #473300=CARTESIAN_POINT('Ctrl Pts',(-11.156476662,10.027244774111,8.)); #473301=CARTESIAN_POINT('Ctrl Pts',(-11.0769064434884,10.1144122653188, 8.)); #473302=CARTESIAN_POINT('Ctrl Pts',(-10.9973362249767,10.2015797565267, 8.)); #473303=CARTESIAN_POINT('Ctrl Pts',(-10.9173702127533,10.2871249726633, 8.)); #473304=CARTESIAN_POINT('Ctrl Pts',(-10.8365846478166,10.3715463327217, 8.)); #473305=CARTESIAN_POINT('Ctrl Pts',(-10.75579908288,10.45596769278,8.)); #473306=CARTESIAN_POINT('Ctrl Pts',(-10.67419396523,10.53926519676,8.)); #473307=CARTESIAN_POINT('Ctrl Pts',(-10.59203933722,10.62114419168,8.)); #473308=CARTESIAN_POINT('Origin',(0.,0.001909091374064,8.004245504761)); #473309=CARTESIAN_POINT('',(-10.7861312145331,10.5262013269483,8.00424550443346)); #473310=CARTESIAN_POINT('Ctrl Pts',(-10.7861312145736,10.526201327296,8.004245504761)); #473311=CARTESIAN_POINT('Ctrl Pts',(-10.7498882766505,10.530427377591,8.004245504761)); #473312=CARTESIAN_POINT('Ctrl Pts',(-10.7144303436153,10.5410269745569, 8.00424550475744)); #473313=CARTESIAN_POINT('Ctrl Pts',(-10.6485864365238,10.5732088515252, 8.00424550475744)); #473314=CARTESIAN_POINT('Ctrl Pts',(-10.6180982026926,10.5950703412528, 8.004245504761)); #473315=CARTESIAN_POINT('Ctrl Pts',(-10.5920396304835,10.6211444846658, 8.004245504761)); #473316=CARTESIAN_POINT('Ctrl Pts',(-11.3127730284362,9.84993652242159, 8.004245504761)); #473317=CARTESIAN_POINT('Ctrl Pts',(-11.2220382262291,9.95400677297433, 8.004245504761)); #473318=CARTESIAN_POINT('Ctrl Pts',(-11.1349033330677,10.0624829355054, 8.00424550477886)); #473319=CARTESIAN_POINT('Ctrl Pts',(-10.9601153963663,10.2895531613819, 8.00424550477886)); #473320=CARTESIAN_POINT('Ctrl Pts',(-10.8522967458154,10.436270284065,8.004245504761)); #473321=CARTESIAN_POINT('Ctrl Pts',(-10.7861312140391,10.5262013265849, 8.004245504761)); #473322=CARTESIAN_POINT('Ctrl Pts',(-11.93814109169,9.608395104508,6.931967501201)); #473323=CARTESIAN_POINT('Ctrl Pts',(-11.91839234514,9.575171523293,6.952537129565)); #473324=CARTESIAN_POINT('Ctrl Pts',(-11.89066807305,9.520506801154,6.990551424003)); #473325=CARTESIAN_POINT('Ctrl Pts',(-11.86370995903,9.446064269179,7.051758847305)); #473326=CARTESIAN_POINT('Ctrl Pts',(-11.84891291726,9.385157763635,7.108183429104)); #473327=CARTESIAN_POINT('Ctrl Pts',(-11.84222330098,9.326845963316,7.168741326707)); #473328=CARTESIAN_POINT('Ctrl Pts',(-11.84372530929,9.271863035235,7.232670101101)); #473329=CARTESIAN_POINT('Ctrl Pts',(-11.85340000455,9.220901234219,7.299164875602)); #473330=CARTESIAN_POINT('Ctrl Pts',(-11.87444097792,9.165942350803,7.380149117016)); #473331=CARTESIAN_POINT('Ctrl Pts',(-11.89813082036,9.132151231696,7.439343076069)); #473332=CARTESIAN_POINT('Ctrl Pts',(-11.91554640783,9.114012370123,7.475226419437)); #473333=CARTESIAN_POINT('Ctrl Pts',(-11.90293007804,9.677978593711,6.973090558943)); #473334=CARTESIAN_POINT('Ctrl Pts',(-11.88179232805,9.643981875805,6.993627032552)); #473335=CARTESIAN_POINT('Ctrl Pts',(-11.85193888645,9.587980431673,7.031938846722)); #473336=CARTESIAN_POINT('Ctrl Pts',(-11.82248480831,9.511675153068,7.094273497126)); #473337=CARTESIAN_POINT('Ctrl Pts',(-11.80593285542,9.449259528456,7.152070156991)); #473338=CARTESIAN_POINT('Ctrl Pts',(-11.79786930101,9.389560420125,7.214365955805)); #473339=CARTESIAN_POINT('Ctrl Pts',(-11.79840040135,9.333369816354,7.280334643553)); #473340=CARTESIAN_POINT('Ctrl Pts',(-11.8075185124,9.281433219392,7.349101373534)); #473341=CARTESIAN_POINT('Ctrl Pts',(-11.8283910937,9.225650058437,7.432969312668)); #473342=CARTESIAN_POINT('Ctrl Pts',(-11.85240182963,9.191617219048,7.494324081794)); #473343=CARTESIAN_POINT('Ctrl Pts',(-11.8701639689,9.173482415444,7.531506444828)); #473344=CARTESIAN_POINT('Ctrl Pts',(-11.85146988921,9.777345498787,7.030676972631)); #473345=CARTESIAN_POINT('Ctrl Pts',(-11.82838015705,9.742264524428,7.051039375171)); #473346=CARTESIAN_POINT('Ctrl Pts',(-11.79552007713,9.684377044928,7.089582299423)); #473347=CARTESIAN_POINT('Ctrl Pts',(-11.76252093748,9.60543092271,7.153292320571)); #473348=CARTESIAN_POINT('Ctrl Pts',(-11.74346570942,9.540872256448,7.212873670248)); #473349=CARTESIAN_POINT('Ctrl Pts',(-11.73343366223,9.479203865854,7.277497757846)); #473350=CARTESIAN_POINT('Ctrl Pts',(-11.73256585987,9.421303966952,7.346244441941)); #473351=CARTESIAN_POINT('Ctrl Pts',(-11.74087314033,9.367997251159,7.418135227335)); #473352=CARTESIAN_POINT('Ctrl Pts',(-11.76148357846,9.31107380636,7.505990429219)); #473353=CARTESIAN_POINT('Ctrl Pts',(-11.7859348705,9.276733996164,7.570342596878)); #473354=CARTESIAN_POINT('Ctrl Pts',(-11.80417990827,9.258633086037,7.609324983384)); #473355=CARTESIAN_POINT('Ctrl Pts',(-11.78742611774,9.909065392677,7.099609998333)); #473356=CARTESIAN_POINT('Ctrl Pts',(-11.76134395066,9.872429282051,7.119371340465)); #473357=CARTESIAN_POINT('Ctrl Pts',(-11.72381288836,9.811787934028,7.157769080185)); #473358=CARTESIAN_POINT('Ctrl Pts',(-11.68520289298,9.728925671499,7.223017059491)); #473359=CARTESIAN_POINT('Ctrl Pts',(-11.66212188247,9.661167130479,7.284935100005)); #473360=CARTESIAN_POINT('Ctrl Pts',(-11.64886162099,9.596551758817,7.352805569035)); #473361=CARTESIAN_POINT('Ctrl Pts',(-11.64563111834,9.536101716398,7.425554807613)); #473362=CARTESIAN_POINT('Ctrl Pts',(-11.65248082268,9.480773324847,7.502032137124)); #473363=CARTESIAN_POINT('Ctrl Pts',(-11.67244809358,9.422214956519,7.595803563984)); #473364=CARTESIAN_POINT('Ctrl Pts',(-11.69741788537,9.387509942454,7.664630537615)); #473365=CARTESIAN_POINT('Ctrl Pts',(-11.71631394345,9.369535567856,7.706294736909)); #473366=CARTESIAN_POINT('Ctrl Pts',(-11.73305404186,10.03163485704,7.157434382746)); #473367=CARTESIAN_POINT('Ctrl Pts',(-11.70376560514,9.993426842676,7.176340830637)); #473368=CARTESIAN_POINT('Ctrl Pts',(-11.66118331539,9.929962907681,7.214265075583)); #473369=CARTESIAN_POINT('Ctrl Pts',(-11.61642618481,9.843037946723,7.280795040627)); #473370=CARTESIAN_POINT('Ctrl Pts',(-11.58888526619,9.771941231216,7.344959858934)); #473371=CARTESIAN_POINT('Ctrl Pts',(-11.57200009907,9.704244326485,7.416100862132)); #473372=CARTESIAN_POINT('Ctrl Pts',(-11.56606354496,9.641130827158,7.49297429673)); #473373=CARTESIAN_POINT('Ctrl Pts',(-11.57117738206,9.583704356466,7.574236657673)); #473374=CARTESIAN_POINT('Ctrl Pts',(-11.59025695419,9.523479796189,7.67422288471)); #473375=CARTESIAN_POINT('Ctrl Pts',(-11.61565125041,9.488452780906,7.747768983416)); #473376=CARTESIAN_POINT('Ctrl Pts',(-11.63517018718,9.470659736382,7.792257764463)); #473377=CARTESIAN_POINT('Ctrl Pts',(-11.66811886743,10.18862441081,7.225247842611)); #473378=CARTESIAN_POINT('Ctrl Pts',(-11.63435400247,10.14833702388,7.242414580993)); #473379=CARTESIAN_POINT('Ctrl Pts',(-11.58461323524,10.08105384963,7.278873643154)); #473380=CARTESIAN_POINT('Ctrl Pts',(-11.53097876432,9.988528019428,7.346313077267)); #473381=CARTESIAN_POINT('Ctrl Pts',(-11.4969030396,9.912791847355,7.413030290065)); #473382=CARTESIAN_POINT('Ctrl Pts',(-11.47462270007,9.840800236083,7.488301316377)); #473383=CARTESIAN_POINT('Ctrl Pts',(-11.46457561273,9.773985993379,7.57062684026)); #473384=CARTESIAN_POINT('Ctrl Pts',(-11.46695680111,9.713679268475,7.658368524019)); #473385=CARTESIAN_POINT('Ctrl Pts',(-11.484475121,9.651243302507,7.766879383644)); #473386=CARTESIAN_POINT('Ctrl Pts',(-11.51028001034,9.615913908359,7.846945728936)); #473387=CARTESIAN_POINT('Ctrl Pts',(-11.53057593438,9.598490956996,7.895327843594)); #473388=CARTESIAN_POINT('Ctrl Pts',(-11.5686052968,10.44430860382,7.327321095028)); #473389=CARTESIAN_POINT('Ctrl Pts',(-11.52721351538,10.4006507209,7.340103735733)); #473390=CARTESIAN_POINT('Ctrl Pts',(-11.46496524944,10.32694309931,7.371994528149)); #473391=CARTESIAN_POINT('Ctrl Pts',(-11.39534374192,10.22471513259,7.438812027467)); #473392=CARTESIAN_POINT('Ctrl Pts',(-11.3492389238,10.14084238796,7.508529974767)); #473393=CARTESIAN_POINT('Ctrl Pts',(-11.31680623131,10.0612878092,7.589951813207)); #473394=CARTESIAN_POINT('Ctrl Pts',(-11.29881953579,9.987987830242,7.68109174418)); #473395=CARTESIAN_POINT('Ctrl Pts',(-11.29570287105,9.92272767376,7.779731296709)); #473396=CARTESIAN_POINT('Ctrl Pts',(-11.30973103514,9.856693566723,7.902876560502)); #473397=CARTESIAN_POINT('Ctrl Pts',(-11.33582218333,9.82121721804,7.994263446066)); #473398=CARTESIAN_POINT('Ctrl Pts',(-11.35725942416,9.804750190887,8.049380390281)); #473399=CARTESIAN_POINT('Ctrl Pts',(-11.44768196742,10.76624973696,7.449129556668)); #473400=CARTESIAN_POINT('Ctrl Pts',(-11.39692226919,10.71866573011,7.453202016749)); #473401=CARTESIAN_POINT('Ctrl Pts',(-11.31852642629,10.63691116497,7.474656887608)); #473402=CARTESIAN_POINT('Ctrl Pts',(-11.22709515294,10.52187257694,7.535802928842)); #473403=CARTESIAN_POINT('Ctrl Pts',(-11.16396506816,10.42702803079,7.606311558693)); #473404=CARTESIAN_POINT('Ctrl Pts',(-11.11666415192,10.33720517127,7.69359412893)); #473405=CARTESIAN_POINT('Ctrl Pts',(-11.08661306382,10.25517046804,7.794941677704)); #473406=CARTESIAN_POINT('Ctrl Pts',(-11.07470330401,10.18345304533,7.907223663364)); #473407=CARTESIAN_POINT('Ctrl Pts',(-11.08250022963,10.11319881347,8.049382232576)); #473408=CARTESIAN_POINT('Ctrl Pts',(-11.10805982805,10.07838155776,8.155769421578)); #473409=CARTESIAN_POINT('Ctrl Pts',(-11.13055634961,10.06388295555,8.219753907537)); #473410=CARTESIAN_POINT('Ctrl Pts',(-11.29950569153,11.15319405157,7.593233582999)); #473411=CARTESIAN_POINT('Ctrl Pts',(-11.23979675704,11.10172235345,7.581519403421)); #473412=CARTESIAN_POINT('Ctrl Pts',(-11.14393607826,11.0107388578,7.582150856826)); #473413=CARTESIAN_POINT('Ctrl Pts',(-11.02588169337,10.87961718379,7.627261625661)); #473414=CARTESIAN_POINT('Ctrl Pts',(-10.94041468079,10.77054661944,7.692790271176)); #473415=CARTESIAN_POINT('Ctrl Pts',(-10.8723691178,10.6672966424,7.78313353545)); #473416=CARTESIAN_POINT('Ctrl Pts',(-10.82444021158,10.57402511956,7.894613934546)); #473417=CARTESIAN_POINT('Ctrl Pts',(-10.79851211259,10.49449160702,8.022720858754)); #473418=CARTESIAN_POINT('Ctrl Pts',(-10.79503845831,10.42020359088,8.188394809998)); #473419=CARTESIAN_POINT('Ctrl Pts',(-10.81777327619,10.38808447769,8.313935194638)); #473420=CARTESIAN_POINT('Ctrl Pts',(-10.8404727768,10.3775419476,8.389128632319)); #473421=CARTESIAN_POINT('Ctrl Pts',(-11.16907757387,11.4739760938,7.713463989129)); #473422=CARTESIAN_POINT('Ctrl Pts',(-11.10579962781,11.42007992234,7.684012736482)); #473423=CARTESIAN_POINT('Ctrl Pts',(-10.99964345154,11.32181074915,7.659596262621)); #473424=CARTESIAN_POINT('Ctrl Pts',(-10.861251698,11.1765379111,7.682162346314)); #473425=CARTESIAN_POINT('Ctrl Pts',(-10.75651741272,11.05454930936,7.73737172099)); #473426=CARTESIAN_POINT('Ctrl Pts',(-10.66887624907,10.93907798844,7.825962482401)); #473427=CARTESIAN_POINT('Ctrl Pts',(-10.60266738912,10.835881195,7.943476169915)); #473428=CARTESIAN_POINT('Ctrl Pts',(-10.56115480311,10.75010805508,8.084028184573)); #473429=CARTESIAN_POINT('Ctrl Pts',(-10.54360349676,10.67406360255,8.269885513283)); #473430=CARTESIAN_POINT('Ctrl Pts',(-10.56096292833,10.64662228049,8.412527133832)); #473431=CARTESIAN_POINT('Ctrl Pts',(-10.58226366893,10.64127508762,8.497607685007)); #473432=CARTESIAN_POINT('Ctrl Pts',(-11.05098506098,11.72962482851,7.807799123242)); #473433=CARTESIAN_POINT('Ctrl Pts',(-10.9889637746,11.67410857657,7.762177863295)); #473434=CARTESIAN_POINT('Ctrl Pts',(-10.87986913904,11.56997961961,7.713713144721)); #473435=CARTESIAN_POINT('Ctrl Pts',(-10.72914690185,11.41265294237,7.71263766309)); #473436=CARTESIAN_POINT('Ctrl Pts',(-10.61020851871,11.27957709442,7.75541788726)); #473437=CARTESIAN_POINT('Ctrl Pts',(-10.50629189353,11.15378268993,7.839356621065)); #473438=CARTESIAN_POINT('Ctrl Pts',(-10.42345683875,11.04262015216,7.959528862575)); #473439=CARTESIAN_POINT('Ctrl Pts',(-10.36652669506,10.95258694461,8.10889937883)); #473440=CARTESIAN_POINT('Ctrl Pts',(-10.33362481801,10.87704664553,8.310500985032)); #473441=CARTESIAN_POINT('Ctrl Pts',(-10.34364709802,10.85565208434,8.46700836527)); #473442=CARTESIAN_POINT('Ctrl Pts',(-10.36214655873,10.85609767451,8.560013250669)); #473443=CARTESIAN_POINT('Ctrl Pts',(-10.95497499168,11.91702321698,7.874202572885)); #473444=CARTESIAN_POINT('Ctrl Pts',(-10.89637833618,11.86031863076,7.816111888153)); #473445=CARTESIAN_POINT('Ctrl Pts',(-10.78853895501,11.75163675455,7.748444946715)); #473446=CARTESIAN_POINT('Ctrl Pts',(-10.63159581143,11.58486933858,7.727497028871)); #473447=CARTESIAN_POINT('Ctrl Pts',(-10.50334903392,11.44317578124,7.759121542625)); #473448=CARTESIAN_POINT('Ctrl Pts',(-10.38744572304,11.30953280051,7.837938065794)); #473449=CARTESIAN_POINT('Ctrl Pts',(-10.2914068939,11.19262482379,7.958802317301)); #473450=CARTESIAN_POINT('Ctrl Pts',(-10.22145600711,11.10005142017,8.113844501824)); #473451=CARTESIAN_POINT('Ctrl Pts',(-10.174759693,11.02619826576,8.326488696852)); #473452=CARTESIAN_POINT('Ctrl Pts',(-10.17741206088,11.01070484245,8.493026807961)); #473453=CARTESIAN_POINT('Ctrl Pts',(-10.19273403898,11.01639510058,8.591712778919)); #473454=CARTESIAN_POINT('Ctrl Pts',(-10.85014709432,12.09724558033,7.932138743884)); #473455=CARTESIAN_POINT('Ctrl Pts',(-10.79760689618,12.03918532791,7.862125330304)); #473456=CARTESIAN_POINT('Ctrl Pts',(-10.69498084646,11.92559803532,7.775384669182)); #473457=CARTESIAN_POINT('Ctrl Pts',(-10.5357569923,11.74896667203,7.733662647383)); #473458=CARTESIAN_POINT('Ctrl Pts',(-10.40032269996,11.59847078863,7.752937877052)); #473459=CARTESIAN_POINT('Ctrl Pts',(-10.27332403647,11.45709109086,7.825239500799)); #473460=CARTESIAN_POINT('Ctrl Pts',(-10.16383671256,11.33493949291,7.945373343126)); #473461=CARTESIAN_POINT('Ctrl Pts',(-10.07967528545,11.24075588642,8.104729789839)); #473462=CARTESIAN_POINT('Ctrl Pts',(-10.01695451885,11.17016145354,8.32691145374)); #473463=CARTESIAN_POINT('Ctrl Pts',(-10.01019433313,11.16200501634,8.502462647838)); #473464=CARTESIAN_POINT('Ctrl Pts',(-10.02111130968,11.17380825133,8.606196263886)); #473465=CARTESIAN_POINT('Ctrl Pts',(-10.73250418555,12.2706124514,7.976214657766)); #473466=CARTESIAN_POINT('Ctrl Pts',(-10.68838086518,12.21081081238,7.895856350703)); #473467=CARTESIAN_POINT('Ctrl Pts',(-10.59487615798,12.09176503419,7.791826459353)); #473468=CARTESIAN_POINT('Ctrl Pts',(-10.43782789557,11.90485926946,7.730222167733)); #473469=CARTESIAN_POINT('Ctrl Pts',(-10.29799819796,11.74552931789,7.737010247799)); #473470=CARTESIAN_POINT('Ctrl Pts',(-10.16155749245,11.59675460101,7.801949336978)); #473471=CARTESIAN_POINT('Ctrl Pts',(-10.03910353022,11.4700944992,7.919974273117)); #473472=CARTESIAN_POINT('Ctrl Pts',(-9.94013791277,11.37539353528,8.081898352039)); #473473=CARTESIAN_POINT('Ctrl Pts',(-9.859647711642,11.30966096391,8.311273722463)); #473474=CARTESIAN_POINT('Ctrl Pts',(-9.841557325362,11.31009718517,8.494034293364)); #473475=CARTESIAN_POINT('Ctrl Pts',(-9.84684727438,11.3286807329,8.601740891265)); #473476=CARTESIAN_POINT('Ctrl Pts',(-10.61996621334,12.40905343717,7.994061026402)); #473477=CARTESIAN_POINT('Ctrl Pts',(-10.58371170597,12.34747854891,7.907492049144)); #473478=CARTESIAN_POINT('Ctrl Pts',(-10.49981933339,12.22364915501,7.792514629112)); #473479=CARTESIAN_POINT('Ctrl Pts',(-10.34783714765,12.0284209671,7.717512293262)); #473480=CARTESIAN_POINT('Ctrl Pts',(-10.20701968546,11.86223371348,7.715381608952)); #473481=CARTESIAN_POINT('Ctrl Pts',(-10.06501423456,11.70800770372,7.774493103501)); #473482=CARTESIAN_POINT('Ctrl Pts',(-9.93346652239,11.57839031561,7.889994112384)); #473483=CARTESIAN_POINT('Ctrl Pts',(-9.82316114408,11.48401164407,8.052407038267)); #473484=CARTESIAN_POINT('Ctrl Pts',(-9.728170210202,11.42300072271,8.285072346787)); #473485=CARTESIAN_POINT('Ctrl Pts',(-9.700125972166,11.43072212005,8.471539777182)); #473486=CARTESIAN_POINT('Ctrl Pts',(-9.700232699325,11.45482453579,8.581228522389)); #473487=CARTESIAN_POINT('Ctrl Pts',(-10.52032267283,12.51352051042,7.991231482934)); #473488=CARTESIAN_POINT('Ctrl Pts',(-10.48902838684,12.45071350985,7.901749710347)); #473489=CARTESIAN_POINT('Ctrl Pts',(-10.41158447888,12.32378803049,7.781439347099)); #473490=CARTESIAN_POINT('Ctrl Pts',(-10.2639129544,12.12335778468,7.699627179957)); #473491=CARTESIAN_POINT('Ctrl Pts',(-10.12363903931,11.95293924189,7.692789184963)); #473492=CARTESIAN_POINT('Ctrl Pts',(-9.97935738615,11.79536747257,7.748631407822)); #473493=CARTESIAN_POINT('Ctrl Pts',(-9.843226380712,11.66392058434,7.862448009569)); #473494=CARTESIAN_POINT('Ctrl Pts',(-9.726716775082,11.56967481262,8.024646477152)); #473495=CARTESIAN_POINT('Ctrl Pts',(-9.623359047694,11.51138834726,8.258392240437)); #473496=CARTESIAN_POINT('Ctrl Pts',(-9.589228751992,11.52334411784,8.446300686483)); #473497=CARTESIAN_POINT('Ctrl Pts',(-9.586045510074,11.55064256966,8.556730523505)); #473498=CARTESIAN_POINT('Ctrl Pts',(-10.46684386666,12.56321457906,7.983251468874)); #473499=CARTESIAN_POINT('Ctrl Pts',(-10.43707604752,12.49998398131,7.893067369875)); #473500=CARTESIAN_POINT('Ctrl Pts',(-10.36174265389,12.37202944146,7.771440313653)); #473501=CARTESIAN_POINT('Ctrl Pts',(-10.21578568332,12.16987145474,7.687903115702)); #473502=CARTESIAN_POINT('Ctrl Pts',(-10.07610189095,11.99802453393,7.67984610645)); #473503=CARTESIAN_POINT('Ctrl Pts',(-9.931586889529,11.83927330119,7.734812459216)); #473504=CARTESIAN_POINT('Ctrl Pts',(-9.794503204981,11.70708840344,7.84813895111)); #473505=CARTESIAN_POINT('Ctrl Pts',(-9.676482597416,11.61268558866,8.010208860478)); #473506=CARTESIAN_POINT('Ctrl Pts',(-9.57090273786,11.55498323919,8.244132327806)); #473507=CARTESIAN_POINT('Ctrl Pts',(-9.535010570554,11.56805365456,8.43233335261)); #473508=CARTESIAN_POINT('Ctrl Pts',(-9.530820660164,11.59622843148,8.542907473746)); #473509=CARTESIAN_POINT('Ctrl Pts',(-10.43976983957,12.58644254115,7.977407075324)); #473510=CARTESIAN_POINT('Ctrl Pts',(-10.41034625639,12.52309062112,7.887119695103)); #473511=CARTESIAN_POINT('Ctrl Pts',(-10.33554966657,12.39484981234,7.765297262354)); #473512=CARTESIAN_POINT('Ctrl Pts',(-10.19017471313,12.19219807083,7.681501126374)); #473513=CARTESIAN_POINT('Ctrl Pts',(-10.05084222738,12.01992256346,7.673259051353)); #473514=CARTESIAN_POINT('Ctrl Pts',(-9.906521800781,11.86078190852,7.728089876929)); #473515=CARTESIAN_POINT('Ctrl Pts',(-9.769472130542,11.72829393195,7.841337008163)); #473516=CARTESIAN_POINT('Ctrl Pts',(-9.651334140214,11.63371187187,8.00338042404)); #473517=CARTESIAN_POINT('Ctrl Pts',(-9.545459377418,11.57597179664,8.237320853812)); #473518=CARTESIAN_POINT('Ctrl Pts',(-9.509243499912,11.58918397912,8.425558256472)); #473519=CARTESIAN_POINT('Ctrl Pts',(-9.504836556316,11.61750129135,8.536149554929)); #473520=CARTESIAN_POINT('',(-11.0003013315684,11.0221005211965,7.63779144571887)); #473521=CARTESIAN_POINT('Ctrl Pts',(-11.0003019382383,11.0221001762714, 7.6377950909458)); #473522=CARTESIAN_POINT('Ctrl Pts',(-11.0043694373883,11.0111999566276, 7.63608672319159)); #473523=CARTESIAN_POINT('Ctrl Pts',(-11.0078325155185,11.000042618718,7.63460322740416)); #473524=CARTESIAN_POINT('Ctrl Pts',(-11.0140317805783,10.9754705807793, 7.63198759621306)); #473525=CARTESIAN_POINT('Ctrl Pts',(-11.0165480190969,10.9620007985353, 7.6309563868594)); #473526=CARTESIAN_POINT('Ctrl Pts',(-11.0220194944527,10.9184506942554, 7.62914041931878)); #473527=CARTESIAN_POINT('Ctrl Pts',(-11.0217857455765,10.8883189567715, 7.63018021290479)); #473528=CARTESIAN_POINT('Ctrl Pts',(-11.0136112391512,10.8199694016258, 7.63942023207892)); #473529=CARTESIAN_POINT('Ctrl Pts',(-11.003481312403,10.7834938065633,7.64960088831658)); #473530=CARTESIAN_POINT('Ctrl Pts',(-10.9756371135743,10.7144368731456, 7.68135151626396)); #473531=CARTESIAN_POINT('Ctrl Pts',(-10.9580297156079,10.683490814336,7.70298158267997)); #473532=CARTESIAN_POINT('Ctrl Pts',(-10.9143036093353,10.6211159076611, 7.76322566811339)); #473533=CARTESIAN_POINT('Ctrl Pts',(-10.8876517854526,10.5935907276077, 7.80464700528303)); #473534=CARTESIAN_POINT('Ctrl Pts',(-10.8352713338461,10.5503334457663, 7.89754789175423)); #473535=CARTESIAN_POINT('Ctrl Pts',(-10.8092506455899,10.5353495096744, 7.95028122301236)); #473536=CARTESIAN_POINT('Ctrl Pts',(-10.7861312136725,10.5262013275322, 8.00424550416374)); #473537=CARTESIAN_POINT('',(-10.4268877371724,12.4645928391371,7.87585366475922)); #473538=CARTESIAN_POINT('Ctrl Pts',(-10.4268878984601,12.4645928658841, 7.87585379785017)); #473539=CARTESIAN_POINT('Ctrl Pts',(-10.455214191125,12.3945299907282,7.8556066976191)); #473540=CARTESIAN_POINT('Ctrl Pts',(-10.4864933083836,12.3189450283335, 7.84044286618677)); #473541=CARTESIAN_POINT('Ctrl Pts',(-10.5564135211638,12.1500227145706, 7.81099824289264)); #473542=CARTESIAN_POINT('Ctrl Pts',(-10.603154352336,12.0375479977218,7.79538814750554)); #473543=CARTESIAN_POINT('Ctrl Pts',(-10.8114939332805,11.5233636552138, 7.72018732595421)); #473544=CARTESIAN_POINT('Ctrl Pts',(-10.9038646599692,11.2796388631521, 7.67828354526684)); #473545=CARTESIAN_POINT('Ctrl Pts',(-11.0003021243393,11.0221002556784, 7.63779502288019)); #473546=CARTESIAN_POINT('',(-10.4367492738071,12.4818442137448,7.89371108793845)); #473547=CARTESIAN_POINT('Origin',(-10.1146678918221,12.2067311140378,8.2811660853186)); #473548=CARTESIAN_POINT('',(-11.89909504862,9.60793918221,6.97615892029)); #473549=CARTESIAN_POINT('Ctrl Pts',(-10.4367492749848,12.4818442147167, 7.89371108640679)); #473550=CARTESIAN_POINT('Ctrl Pts',(-10.4505918043114,12.4689525019803, 7.89617334296647)); #473551=CARTESIAN_POINT('Ctrl Pts',(-10.4639146826897,12.4559908337804, 7.89815984730184)); #473552=CARTESIAN_POINT('Ctrl Pts',(-10.4768390773996,12.4429557745002, 7.89974273095741)); #473553=CARTESIAN_POINT('Ctrl Pts',(-10.4974105572048,12.4222081522895, 7.90226217267097)); #473554=CARTESIAN_POINT('Ctrl Pts',(-10.5169742220451,12.4012756286951, 7.90375982295669)); #473555=CARTESIAN_POINT('Ctrl Pts',(-10.53577873151,12.3801606067642,7.90441462270355)); #473556=CARTESIAN_POINT('Ctrl Pts',(-10.5406045006491,12.3747418947582, 7.90458266284396)); #473557=CARTESIAN_POINT('Ctrl Pts',(-10.545380350589,12.3693111766808,7.90469547013096)); #473558=CARTESIAN_POINT('Ctrl Pts',(-10.5501106107582,12.3638685258892, 7.9047564765719)); #473559=CARTESIAN_POINT('Ctrl Pts',(-10.5750558369904,12.3351664777056, 7.90507819660768)); #473560=CARTESIAN_POINT('Ctrl Pts',(-10.5987325915878,12.3061330271802, 7.9039545746723)); #473561=CARTESIAN_POINT('Ctrl Pts',(-10.6214008904271,12.2767483482006, 7.9016412014609)); #473562=CARTESIAN_POINT('Ctrl Pts',(-10.6408293646684,12.2515634200025, 7.89965846282812)); #473563=CARTESIAN_POINT('Ctrl Pts',(-10.6595178552084,12.2261204636887, 7.89680527212366)); #473564=CARTESIAN_POINT('Ctrl Pts',(-10.6776351849458,12.2004099711608, 7.89325300833408)); #473565=CARTESIAN_POINT('Ctrl Pts',(-10.7028566190005,12.1646179697341, 7.88830784322352)); #473566=CARTESIAN_POINT('Ctrl Pts',(-10.7269646269707,12.1283035892137, 7.88200302381021)); #473567=CARTESIAN_POINT('Ctrl Pts',(-10.7502215057631,12.0914807024562, 7.87467664740171)); #473568=CARTESIAN_POINT('Ctrl Pts',(-10.7631627417049,12.070990693034,7.87059990234289)); #473569=CARTESIAN_POINT('Ctrl Pts',(-10.775840425994,12.0503433813716,7.86620622838222)); #473570=CARTESIAN_POINT('Ctrl Pts',(-10.7882989966439,12.0295422395136, 7.86154641587209)); #473571=CARTESIAN_POINT('Ctrl Pts',(-10.8104965313047,11.9924806792149, 7.85324399076948)); #473572=CARTESIAN_POINT('Ctrl Pts',(-10.8319987614647,11.9549303974985, 7.84409887400736)); #473573=CARTESIAN_POINT('Ctrl Pts',(-10.8529879801438,11.9168838370132, 7.83431252421709)); #473574=CARTESIAN_POINT('Ctrl Pts',(-10.8649498636462,11.8952008705746, 7.82873522389475)); #473575=CARTESIAN_POINT('Ctrl Pts',(-10.8767449948453,11.8733568050927, 7.82294875300957)); #473576=CARTESIAN_POINT('Ctrl Pts',(-10.8884059409115,11.8513508576053, 7.81698284939127)); #473577=CARTESIAN_POINT('Ctrl Pts',(-10.9121825321411,11.8064808774976, 7.80481841171674)); #473578=CARTESIAN_POINT('Ctrl Pts',(-10.9354041929805,11.7609393175325, 7.79191194754254)); #473579=CARTESIAN_POINT('Ctrl Pts',(-10.9581491867626,11.7149270080208, 7.77849504525965)); #473580=CARTESIAN_POINT('Ctrl Pts',(-10.9661326246495,11.6987767985048, 7.77378574496103)); #473581=CARTESIAN_POINT('Ctrl Pts',(-10.9740572937409,11.682568644368,7.7690130926523)); #473582=CARTESIAN_POINT('Ctrl Pts',(-10.9819264316354,11.6663113849319, 7.76418559372898)); #473583=CARTESIAN_POINT('Ctrl Pts',(-11.0069645315104,11.6145838779398, 7.74882541046494)); #473584=CARTESIAN_POINT('Ctrl Pts',(-11.0314428139364,11.5623599278922, 7.73291451703951)); #473585=CARTESIAN_POINT('Ctrl Pts',(-11.0553694049121,11.510017800637,7.7167050364848)); #473586=CARTESIAN_POINT('Ctrl Pts',(-11.0603867145357,11.4990418676651, 7.71330597379079)); #473587=CARTESIAN_POINT('Ctrl Pts',(-11.0653797592318,11.4880607601868, 7.70989359971642)); #473588=CARTESIAN_POINT('Ctrl Pts',(-11.0703486048495,11.4770779995308, 7.7064699535141)); #473589=CARTESIAN_POINT('Ctrl Pts',(-11.0782945222436,11.4595149445184, 7.70099503800988)); #473590=CARTESIAN_POINT('Ctrl Pts',(-11.0861778038883,11.441946921291,7.695491062194)); #473591=CARTESIAN_POINT('Ctrl Pts',(-11.0940057838857,11.4243740317296, 7.68996139493458)); #473592=CARTESIAN_POINT('Ctrl Pts',(-11.1158339485147,11.3753723829668, 7.67454202910554)); #473593=CARTESIAN_POINT('Ctrl Pts',(-11.1372328276568,11.3263328544492, 7.65892667149276)); #473594=CARTESIAN_POINT('Ctrl Pts',(-11.1583551381246,11.2772582882949, 7.64315642275876)); #473595=CARTESIAN_POINT('Ctrl Pts',(-11.1599083180775,11.2736497042593, 7.64199679421228)); #473596=CARTESIAN_POINT('Ctrl Pts',(-11.1614599982417,11.2700409310185, 7.64083630541572)); #473597=CARTESIAN_POINT('Ctrl Pts',(-11.1630102372754,11.2664319698114, 7.63967496202137)); #473598=CARTESIAN_POINT('Ctrl Pts',(-11.1650076222313,11.2617820515312, 7.6381786445025)); #473599=CARTESIAN_POINT('Ctrl Pts',(-11.1670026148354,11.2571318212128, 7.63668090842069)); #473600=CARTESIAN_POINT('Ctrl Pts',(-11.1689953412738,11.252481281711,7.63518176289147)); #473601=CARTESIAN_POINT('Ctrl Pts',(-11.1913006988858,11.2004259947071, 7.61840124726435)); #473602=CARTESIAN_POINT('Ctrl Pts',(-11.2133231100592,11.1483319112389, 7.60144913676197)); #473603=CARTESIAN_POINT('Ctrl Pts',(-11.2352346240858,11.096202717023,7.58432422301262)); #473604=CARTESIAN_POINT('Ctrl Pts',(-11.2665515556227,11.0216973038256, 7.55984851810186)); #473605=CARTESIAN_POINT('Ctrl Pts',(-11.2976410983549,10.9471287596672, 7.5350166271877)); #473606=CARTESIAN_POINT('Ctrl Pts',(-11.3286044039796,10.8725756684556, 7.50979398811875)); #473607=CARTESIAN_POINT('Ctrl Pts',(-11.3504972610095,10.8198622990624, 7.49196011605918)); #473608=CARTESIAN_POINT('Ctrl Pts',(-11.3723267744033,10.767156693515,7.47392968654459)); #473609=CARTESIAN_POINT('Ctrl Pts',(-11.3941247989349,10.7144879586077, 7.45567543467302)); #473610=CARTESIAN_POINT('Ctrl Pts',(-11.4204384026971,10.6509086090662, 7.43363971533395)); #473611=CARTESIAN_POINT('Ctrl Pts',(-11.4467091982023,10.5873838888407, 7.41128089082954)); #473612=CARTESIAN_POINT('Ctrl Pts',(-11.4730790598399,10.5239681411114, 7.38847192580761)); #473613=CARTESIAN_POINT('Ctrl Pts',(-11.4994489214775,10.460552393382,7.36566296078568)); #473614=CARTESIAN_POINT('Ctrl Pts',(-11.5259181195678,10.3972452258298, 7.34240307222833)); #473615=CARTESIAN_POINT('Ctrl Pts',(-11.5526078020369,10.3341111714258, 7.31851169651292)); #473616=CARTESIAN_POINT('Ctrl Pts',(-11.5729815398835,10.2859173918591, 7.30027406381779)); #473617=CARTESIAN_POINT('Ctrl Pts',(-11.5934823176072,10.2378235664899, 7.28167152699183)); #473618=CARTESIAN_POINT('Ctrl Pts',(-11.6142354639831,10.1899953568247, 7.26255034796798)); #473619=CARTESIAN_POINT('Ctrl Pts',(-11.6206690268486,10.1751684101993, 7.25662270186155)); #473620=CARTESIAN_POINT('Ctrl Pts',(-11.627126690871,10.1603670980904,7.25064487306909)); #473621=CARTESIAN_POINT('Ctrl Pts',(-11.6336117519549,10.1455966882699, 7.24461145268999)); #473622=CARTESIAN_POINT('Ctrl Pts',(-11.6560692718069,10.0944473209048, 7.22371794741902)); #473623=CARTESIAN_POINT('Ctrl Pts',(-11.6788558878136,10.0436684830239, 7.20215998684554)); #473624=CARTESIAN_POINT('Ctrl Pts',(-11.7020636007086,9.99348709487448, 7.17971624560523)); #473625=CARTESIAN_POINT('Ctrl Pts',(-11.7071756573952,9.98243343775638, 7.17477247204163)); #473626=CARTESIAN_POINT('Ctrl Pts',(-11.7123080066875,9.97140890670621, 7.16978573139452)); #473627=CARTESIAN_POINT('Ctrl Pts',(-11.7174613833982,9.96041618113439, 7.16475378707436)); #473628=CARTESIAN_POINT('Ctrl Pts',(-11.731735720516,9.92996743277708,7.15081580551085)); #473629=CARTESIAN_POINT('Ctrl Pts',(-11.7461746887125,9.89976073818317, 7.13653280860418)); #473630=CARTESIAN_POINT('Ctrl Pts',(-11.7610126774191,9.86992643233867, 7.12163419748688)); #473631=CARTESIAN_POINT('Ctrl Pts',(-11.7640374221665,9.86384466737174, 7.11859709477628)); #473632=CARTESIAN_POINT('Ctrl Pts',(-11.7670785477817,9.8577783213803,7.11553439924668)); #473633=CARTESIAN_POINT('Ctrl Pts',(-11.7701337618754,9.85172599876444, 7.11244780187477)); #473634=CARTESIAN_POINT('Ctrl Pts',(-11.7818273486565,9.82856121961439, 7.1006340981145)); #473635=CARTESIAN_POINT('Ctrl Pts',(-11.7937265209914,9.80560245377457, 7.08846760363701)); #473636=CARTESIAN_POINT('Ctrl Pts',(-11.8057090611031,9.78276715568911, 7.07606371613672)); #473637=CARTESIAN_POINT('Ctrl Pts',(-11.8059536146467,9.782301106503,7.07581056324804)); #473638=CARTESIAN_POINT('Ctrl Pts',(-11.8061982032854,9.78183510846532, 7.0755573126167)); #473639=CARTESIAN_POINT('Ctrl Pts',(-11.8064428260536,9.78136916081006, 7.07530396502967)); #473640=CARTESIAN_POINT('Ctrl Pts',(-11.8240299899004,9.74786983523342, 7.05708952998313)); #473641=CARTESIAN_POINT('Ctrl Pts',(-11.8417937271849,9.71462867539797, 7.03836860656617)); #473642=CARTESIAN_POINT('Ctrl Pts',(-11.8595180151136,9.68160541347238, 7.0193175683279)); #473643=CARTESIAN_POINT('Ctrl Pts',(-11.872757581616,9.65693792476146,7.00508695501863)); #473644=CARTESIAN_POINT('Ctrl Pts',(-11.885975922426,9.63239144262075,6.99067260094623)); #473645=CARTESIAN_POINT('Ctrl Pts',(-11.8990950483801,9.60793918220349, 6.97615892008415)); #473646=CARTESIAN_POINT('Origin',(-12.46810056938,9.62335534946248,7.46451847908181)); #473647=CARTESIAN_POINT('Ctrl Pts',(-12.25853506378,9.006559829569,6.507470028573)); #473648=CARTESIAN_POINT('Ctrl Pts',(-12.22867183285,8.924896933052,6.562948582438)); #473649=CARTESIAN_POINT('Ctrl Pts',(-12.20626944099,8.763196725337,6.69629083685)); #473650=CARTESIAN_POINT('Ctrl Pts',(-12.26137004044,8.631736301512,6.851581967215)); #473651=CARTESIAN_POINT('Ctrl Pts',(-12.30626986378,8.579179167902,6.928292771622)); #473652=CARTESIAN_POINT('Ctrl Pts',(-12.22816233483,9.06723028936,6.553698152674)); #473653=CARTESIAN_POINT('Ctrl Pts',(-12.19533797805,8.983703563592,6.610382647459)); #473654=CARTESIAN_POINT('Ctrl Pts',(-12.1687396104,8.818069677841,6.74827833608)); #473655=CARTESIAN_POINT('Ctrl Pts',(-12.22390797081,8.684433391631,6.910315403264)); #473656=CARTESIAN_POINT('Ctrl Pts',(-12.26980284564,8.631647176399,6.990304172919)); #473657=CARTESIAN_POINT('Ctrl Pts',(-12.17201612728,9.178343977463,6.635238932779)); #473658=CARTESIAN_POINT('Ctrl Pts',(-12.13367278267,9.091427245044,6.693709133771)); #473659=CARTESIAN_POINT('Ctrl Pts',(-12.09913738304,8.918547879805,6.839371863033)); #473660=CARTESIAN_POINT('Ctrl Pts',(-12.15431467979,8.780990434808,7.013514296833)); #473661=CARTESIAN_POINT('Ctrl Pts',(-12.20201272303,8.727887011549,7.099367825668)); #473662=CARTESIAN_POINT('Ctrl Pts',(-12.10000266267,9.316429424257,6.732192025119)); #473663=CARTESIAN_POINT('Ctrl Pts',(-12.05485648636,9.225415494822,6.791972212815)); #473664=CARTESIAN_POINT('Ctrl Pts',(-12.01029956453,9.043573615709,6.945908356772)); #473665=CARTESIAN_POINT('Ctrl Pts',(-12.06533077487,8.901333285203,7.134291563292)); #473666=CARTESIAN_POINT('Ctrl Pts',(-12.11520698592,8.848043122598,7.227007526841)); #473667=CARTESIAN_POINT('Ctrl Pts',(-12.04636474185,9.418484505577,6.801217811877)); #473668=CARTESIAN_POINT('Ctrl Pts',(-11.99605645294,9.324417972774,6.861574245879)); #473669=CARTESIAN_POINT('Ctrl Pts',(-11.94375086769,9.135774326871,7.021189059138)); #473670=CARTESIAN_POINT('Ctrl Pts',(-11.99855058485,8.99004742979,7.220098333404)); #473671=CARTESIAN_POINT('Ctrl Pts',(-12.05003695434,8.936708406776,7.317868202147)); #473672=CARTESIAN_POINT('Ctrl Pts',(-11.98661142882,9.53434457564,6.875885516431)); #473673=CARTESIAN_POINT('Ctrl Pts',(-11.93000193867,9.436666461105,6.936609349862)); #473674=CARTESIAN_POINT('Ctrl Pts',(-11.86807631104,9.239842066866,7.102647572165)); #473675=CARTESIAN_POINT('Ctrl Pts',(-11.92239084724,9.089964701206,7.314135851939)); #473676=CARTESIAN_POINT('Ctrl Pts',(-11.97575049728,9.036641727639,7.417930077225)); #473677=CARTESIAN_POINT('Ctrl Pts',(-11.94031640698,9.626290714527,6.930919941421)); #473678=CARTESIAN_POINT('Ctrl Pts',(-11.87821940821,9.52560332963,6.991459541785)); #473679=CARTESIAN_POINT('Ctrl Pts',(-11.80767839797,9.321760097311,7.162360098231)); #473680=CARTESIAN_POINT('Ctrl Pts',(-11.86131200815,9.168389988269,7.384474070064)); #473681=CARTESIAN_POINT('Ctrl Pts',(-11.916197134,9.115194714031,7.493333616661)); #473682=CARTESIAN_POINT('Ctrl Pts',(-11.90719019316,9.692594663686,6.96908124338)); #473683=CARTESIAN_POINT('Ctrl Pts',(-11.84097778281,9.589707592797,7.029166236677)); #473684=CARTESIAN_POINT('Ctrl Pts',(-11.7638151618,9.380595632946,7.203287386727)); #473685=CARTESIAN_POINT('Ctrl Pts',(-11.81679922514,9.224647654924,7.4332568929)); #473686=CARTESIAN_POINT('Ctrl Pts',(-11.8727851838,9.171620909684,7.545845836494)); #473687=CARTESIAN_POINT('',(-12.22783573034,9.004006711054,6.56047002367)); #473688=CARTESIAN_POINT('Ctrl Pts',(-12.2278357303395,9.00400671105395, 6.56047002366971)); #473689=CARTESIAN_POINT('Ctrl Pts',(-12.2060172511513,8.934195965487,6.61100115640826)); #473690=CARTESIAN_POINT('Ctrl Pts',(-12.1980659015374,8.86572522893572, 6.6693482352179)); #473691=CARTESIAN_POINT('Ctrl Pts',(-12.2044723963017,8.80286669058236, 6.73186720029021)); #473692=CARTESIAN_POINT('Ctrl Pts',(-12.2108788880197,8.7400081821183,6.79438613563469)); #473693=CARTESIAN_POINT('Ctrl Pts',(-12.2316433527799,8.68276194302876, 6.86107697524618)); #473694=CARTESIAN_POINT('Ctrl Pts',(-12.2654748900216,8.63470472698416, 6.927777088907)); #473695=CARTESIAN_POINT('Ctrl Pts',(-11.89909504862,9.60793918221,6.97615892029)); #473696=CARTESIAN_POINT('Ctrl Pts',(-11.92723621102,9.555489415644,6.945026723029)); #473697=CARTESIAN_POINT('Ctrl Pts',(-11.96923557235,9.477583991988,6.897105696632)); #473698=CARTESIAN_POINT('Ctrl Pts',(-12.02509257493,9.375579577163,6.83007630569)); #473699=CARTESIAN_POINT('Ctrl Pts',(-12.08099432717,9.274936806931,6.760634717376)); #473700=CARTESIAN_POINT('Ctrl Pts',(-12.14945672082,9.150599954199,6.671401992263)); #473701=CARTESIAN_POINT('Ctrl Pts',(-12.20203693992,9.052546356723,6.597938044903)); #473702=CARTESIAN_POINT('Ctrl Pts',(-12.22783573034,9.004006711054,6.56047002367)); #473703=CARTESIAN_POINT('Ctrl Pts',(-12.38332299276,8.763288376782,6.301669990728)); #473704=CARTESIAN_POINT('Ctrl Pts',(-12.36394011414,8.687624865005,6.351781491063)); #473705=CARTESIAN_POINT('Ctrl Pts',(-12.35671098172,8.538471795322,6.467301246117)); #473706=CARTESIAN_POINT('Ctrl Pts',(-12.41280252007,8.413751142141,6.59746493336)); #473707=CARTESIAN_POINT('Ctrl Pts',(-12.4549542162,8.361825128741,6.661811881226)); #473708=CARTESIAN_POINT('Ctrl Pts',(-12.33193336295,8.864951238139,6.393242668365)); #473709=CARTESIAN_POINT('Ctrl Pts',(-12.30825622048,8.786296372344,6.446161727836)); #473710=CARTESIAN_POINT('Ctrl Pts',(-12.29525439291,8.631011721962,6.570066400988)); #473711=CARTESIAN_POINT('Ctrl Pts',(-12.35193302612,8.502728642054,6.711348560053)); #473712=CARTESIAN_POINT('Ctrl Pts',(-12.39578931759,8.450237837622,6.781118024758)); #473713=CARTESIAN_POINT('Ctrl Pts',(-12.28023476393,8.972631330881,6.475657332169)); #473714=CARTESIAN_POINT('Ctrl Pts',(-12.25093332283,8.890442958741,6.531300251056)); #473715=CARTESIAN_POINT('Ctrl Pts',(-12.23009650417,8.727759162356,6.66450608461)); #473716=CARTESIAN_POINT('Ctrl Pts',(-12.28703502537,8.59532526084,6.818938057003)); #473717=CARTESIAN_POINT('Ctrl Pts',(-12.33283349599,8.542341288846,6.895090313243)); #473718=CARTESIAN_POINT('Ctrl Pts',(-12.22507582684,9.082297424845,6.557129863888)); #473719=CARTESIAN_POINT('Ctrl Pts',(-12.19025601739,8.996738657451,6.614539765714)); #473720=CARTESIAN_POINT('Ctrl Pts',(-12.16152397656,8.826819930389,6.755518990691)); #473721=CARTESIAN_POINT('Ctrl Pts',(-12.21858831726,8.690505351305,6.922059247419)); #473722=CARTESIAN_POINT('Ctrl Pts',(-12.26625780543,8.637249796372,7.004050212415)); #473723=CARTESIAN_POINT('',(-12.35742848665,8.757787214329,6.357688242671)); #473724=CARTESIAN_POINT('Ctrl Pts',(-12.357428486653,8.75778721432936,6.35768824267236)); #473725=CARTESIAN_POINT('Ctrl Pts',(-12.3446901615005,8.69451697359877, 6.40226194575055)); #473726=CARTESIAN_POINT('Ctrl Pts',(-12.3431764036832,8.63259486931151, 6.45194517226754)); #473727=CARTESIAN_POINT('Ctrl Pts',(-12.3529582897801,8.57503769792021, 6.50431591621525)); #473728=CARTESIAN_POINT('Ctrl Pts',(-12.3627401499488,8.51748067909244, 6.5566865213468)); #473729=CARTESIAN_POINT('Ctrl Pts',(-12.3838176258199,8.46428858659961, 6.61174463365527)); #473730=CARTESIAN_POINT('Ctrl Pts',(-12.415166097313,8.41805504710802,6.666807360041)); #473731=CARTESIAN_POINT('Ctrl Pts',(-12.22783573034,9.004006711054,6.56047002367)); #473732=CARTESIAN_POINT('Ctrl Pts',(-12.27257278207,8.919835156325,6.495497593234)); #473733=CARTESIAN_POINT('Ctrl Pts',(-12.31537628859,8.837173952981,6.429144098002)); #473734=CARTESIAN_POINT('Ctrl Pts',(-12.35742848665,8.757787214329,6.357688242671)); #473735=CARTESIAN_POINT('Ctrl Pts',(-12.58669943903,8.382944111581,5.876822015828)); #473736=CARTESIAN_POINT('Ctrl Pts',(-12.57908586773,8.314777365142,5.916738934461)); #473737=CARTESIAN_POINT('Ctrl Pts',(-12.58796247383,8.180661032531,6.004828518777)); #473738=CARTESIAN_POINT('Ctrl Pts',(-12.64368221918,8.064213840039,6.10054147467)); #473739=CARTESIAN_POINT('Ctrl Pts',(-12.68197439519,8.013367759108,6.147926015041)); #473740=CARTESIAN_POINT('Ctrl Pts',(-12.54986184123,8.451620145538,5.966020968426)); #473741=CARTESIAN_POINT('Ctrl Pts',(-12.54049414922,8.381914464863,6.00795811418)); #473742=CARTESIAN_POINT('Ctrl Pts',(-12.54725279062,8.24474636934,6.101104800626)); #473743=CARTESIAN_POINT('Ctrl Pts',(-12.60373366171,8.126426680817,6.202831705979)); #473744=CARTESIAN_POINT('Ctrl Pts',(-12.64303426753,8.075175129003,6.253164294076)); #473745=CARTESIAN_POINT('Ctrl Pts',(-12.4735301339,8.596003004341,6.13512354472)); #473746=CARTESIAN_POINT('Ctrl Pts',(-12.45983723854,8.522739300757,6.181461577838)); #473747=CARTESIAN_POINT('Ctrl Pts',(-12.46123502408,8.378470817136,6.285944931505)); #473748=CARTESIAN_POINT('Ctrl Pts',(-12.51924858414,8.255855059768,6.401402124115)); #473749=CARTESIAN_POINT('Ctrl Pts',(-12.56082106471,8.20373329084,6.458452550004)); #473750=CARTESIAN_POINT('Ctrl Pts',(-12.39320105515,8.75554180495,6.28429410288)); #473751=CARTESIAN_POINT('Ctrl Pts',(-12.37279779842,8.677546593959,6.335302810555)); #473752=CARTESIAN_POINT('Ctrl Pts',(-12.36535711986,8.523582256481,6.453417560245)); #473753=CARTESIAN_POINT('Ctrl Pts',(-12.42467679344,8.395353098839,6.586628228639)); #473754=CARTESIAN_POINT('Ctrl Pts',(-12.46913426834,8.342366247314,6.652302549036)); #473755=CARTESIAN_POINT('Ctrl Pts',(-12.35227102008,8.839033513669,6.354464801164)); #473756=CARTESIAN_POINT('Ctrl Pts',(-12.32780540067,8.758402786441,6.407641202788)); #473757=CARTESIAN_POINT('Ctrl Pts',(-12.31483032205,8.598923475584,6.532885970581)); #473758=CARTESIAN_POINT('Ctrl Pts',(-12.37463899147,8.467611753917,6.675952555183)); #473759=CARTESIAN_POINT('Ctrl Pts',(-12.4206763952,8.414271130781,6.746388081578)); #473760=CARTESIAN_POINT('',(-12.56823470274,8.370007415071,5.937382457254)); #473761=CARTESIAN_POINT('Origin',(-13.2765522622949,8.4732319704543,6.16128182286005)); #473762=CARTESIAN_POINT('Ctrl Pts',(-12.35742848665,8.757787214329,6.357688242671)); #473763=CARTESIAN_POINT('Ctrl Pts',(-12.39446327913,8.68786831907,6.294756673619)); #473764=CARTESIAN_POINT('Ctrl Pts',(-12.46682415105,8.553324336731,6.16196864684)); #473765=CARTESIAN_POINT('Ctrl Pts',(-12.53517353446,8.429420368366,6.01458359124)); #473766=CARTESIAN_POINT('Ctrl Pts',(-12.56823470274,8.370007415071,5.937382457254)); #473767=CARTESIAN_POINT('Ctrl Pts',(-12.78852416392,8.029973739878,5.30663334273)); #473768=CARTESIAN_POINT('Ctrl Pts',(-12.78541425433,7.965775715311,5.339848461972)); #473769=CARTESIAN_POINT('Ctrl Pts',(-12.79959529256,7.83928835869,5.411775949323)); #473770=CARTESIAN_POINT('Ctrl Pts',(-12.85303042356,7.727011126223,5.488666378997)); #473771=CARTESIAN_POINT('Ctrl Pts',(-12.88853730313,7.676798260225,5.526760817637)); #473772=CARTESIAN_POINT('Ctrl Pts',(-12.75100534285,8.092245127692,5.424350767022)); #473773=CARTESIAN_POINT('Ctrl Pts',(-12.74790612853,8.027606480277,5.457785555803)); #473774=CARTESIAN_POINT('Ctrl Pts',(-12.76237664751,7.900299553922,5.530276373006)); #473775=CARTESIAN_POINT('Ctrl Pts',(-12.81658888883,7.787535330286,5.607844243989)); #473776=CARTESIAN_POINT('Ctrl Pts',(-12.85257715539,7.737205782577,5.646269386739)); #473777=CARTESIAN_POINT('Ctrl Pts',(-12.69765475154,8.184420369806,5.586470003996)); #473778=CARTESIAN_POINT('Ctrl Pts',(-12.69380652012,8.118621990259,5.621385977886)); #473779=CARTESIAN_POINT('Ctrl Pts',(-12.70768793895,7.989082453852,5.697353452795)); #473780=CARTESIAN_POINT('Ctrl Pts',(-12.76307872711,7.874918387769,5.778867945572)); #473781=CARTESIAN_POINT('Ctrl Pts',(-12.80002893988,7.824238151081,5.819233321716)); #473782=CARTESIAN_POINT('Ctrl Pts',(-12.62090875605,8.325668986746,5.792328697041)); #473783=CARTESIAN_POINT('Ctrl Pts',(-12.61408615152,8.257012349694,5.831226468791)); #473784=CARTESIAN_POINT('Ctrl Pts',(-12.62456818959,8.121835752492,5.916877285451)); #473785=CARTESIAN_POINT('Ctrl Pts',(-12.68173112685,8.004177483196,6.009659065185)); #473786=CARTESIAN_POINT('Ctrl Pts',(-12.72068678696,7.952706430734,6.05554677581)); #473787=CARTESIAN_POINT('Ctrl Pts',(-12.58068377722,8.400951927774,5.890608566557)); #473788=CARTESIAN_POINT('Ctrl Pts',(-12.57188838706,8.330581719073,5.931753241461)); #473789=CARTESIAN_POINT('Ctrl Pts',(-12.58000847737,8.191996180134,6.023055520423)); #473790=CARTESIAN_POINT('Ctrl Pts',(-12.63807924918,8.072268968626,6.122560986105)); #473791=CARTESIAN_POINT('Ctrl Pts',(-12.67819867996,8.020371998821,6.171735272835)); #473792=CARTESIAN_POINT('Ctrl Pts',(-12.55758296475,8.444667086839,5.944111273021)); #473793=CARTESIAN_POINT('Ctrl Pts',(-12.54750063024,8.373236128079,5.986574065758)); #473794=CARTESIAN_POINT('Ctrl Pts',(-12.55404419999,8.232526283442,6.081282877922)); #473795=CARTESIAN_POINT('Ctrl Pts',(-12.6126253763,8.111526220167,6.18491155631)); #473796=CARTESIAN_POINT('Ctrl Pts',(-12.6534538219,8.059384196256,6.236097182025)); #473797=CARTESIAN_POINT('',(-12.7748445771,8.009493518332,5.369965453597)); #473798=CARTESIAN_POINT('Origin',(-13.4963510760664,8.11855945524604,5.54326575282722)); #473799=CARTESIAN_POINT('Ctrl Pts',(-12.5682347027392,8.37000741507089, 5.93738245725375)); #473800=CARTESIAN_POINT('Ctrl Pts',(-12.5867327048636,8.33676620707326, 5.89418827128275)); #473801=CARTESIAN_POINT('Ctrl Pts',(-12.6048231827412,8.3044159495352,5.85004809203559)); #473802=CARTESIAN_POINT('Ctrl Pts',(-12.6225200638643,8.27288705061936, 5.80500144972687)); #473803=CARTESIAN_POINT('Ctrl Pts',(-12.6285599353667,8.26212636930263, 5.78962721913394)); #473804=CARTESIAN_POINT('Ctrl Pts',(-12.6345543025341,8.25145878548471, 5.77414802646247)); #473805=CARTESIAN_POINT('Ctrl Pts',(-12.6405072366253,8.24088881932284, 5.75854945387884)); #473806=CARTESIAN_POINT('Ctrl Pts',(-12.6519964562244,8.2204886837711,5.7284440599498)); #473807=CARTESIAN_POINT('Ctrl Pts',(-12.6633313101095,8.20045233422747, 5.69789393432677)); #473808=CARTESIAN_POINT('Ctrl Pts',(-12.6745618933824,8.18077183711769, 5.66681005706455)); #473809=CARTESIAN_POINT('Ctrl Pts',(-12.6894963461761,8.15460067148015, 5.62547465298667)); #473810=CARTESIAN_POINT('Ctrl Pts',(-12.7042438525434,8.12905228519959, 5.58320118699371)); #473811=CARTESIAN_POINT('Ctrl Pts',(-12.718876774409,8.10398646563006,5.54006525009865)); #473812=CARTESIAN_POINT('Ctrl Pts',(-12.7330974337226,8.07962684123068, 5.498144609205)); #473813=CARTESIAN_POINT('Ctrl Pts',(-12.7472098195762,8.05572305294095, 5.45540934439374)); #473814=CARTESIAN_POINT('Ctrl Pts',(-12.7613009284201,8.03211055631882, 5.41195587042461)); #473815=CARTESIAN_POINT('Ctrl Pts',(-12.7658163832318,8.02454400050903, 5.39803133117834)); #473816=CARTESIAN_POINT('Ctrl Pts',(-12.7703298183337,8.01700706977217, 5.38403325827243)); #473817=CARTESIAN_POINT('Ctrl Pts',(-12.7748445764568,8.00949351823474, 5.36996545344253)); #473818=CARTESIAN_POINT('Ctrl Pts',(-13.29899341844,7.101670963921,3.404810240976)); #473819=CARTESIAN_POINT('Ctrl Pts',(-13.29781479854,7.04321205828,3.430378182929)); #473820=CARTESIAN_POINT('Ctrl Pts',(-13.311580752,6.927432453288,3.484641413773)); #473821=CARTESIAN_POINT('Ctrl Pts',(-13.35642763041,6.821245802419,3.541663609557)); #473822=CARTESIAN_POINT('Ctrl Pts',(-13.38593942645,6.772313351961,3.569931974769)); #473823=CARTESIAN_POINT('Ctrl Pts',(-13.28361750779,7.132423380754,3.472984294701)); #473824=CARTESIAN_POINT('Ctrl Pts',(-13.28233431213,7.073687925694,3.49891070952)); #473825=CARTESIAN_POINT('Ctrl Pts',(-13.29608487824,6.957375687685,3.553976857243)); #473826=CARTESIAN_POINT('Ctrl Pts',(-13.34128714388,6.850822078625,3.61187824063)); #473827=CARTESIAN_POINT('Ctrl Pts',(-13.3710559345,6.80177544702,3.640579670255)); #473828=CARTESIAN_POINT('Ctrl Pts',(-13.25553668136,7.188643361249,3.593945999756)); #473829=CARTESIAN_POINT('Ctrl Pts',(-13.25399712007,7.129343005596,3.620610841433)); #473830=CARTESIAN_POINT('Ctrl Pts',(-13.26763947805,7.011935891279,3.677338611092)); #473831=CARTESIAN_POINT('Ctrl Pts',(-13.31351263435,6.904620768962,3.737065668634)); #473832=CARTESIAN_POINT('Ctrl Pts',(-13.34378436978,6.855335618774,3.766665909022)); #473833=CARTESIAN_POINT('Ctrl Pts',(-13.21961666427,7.256935259198,3.74494602054)); #473834=CARTESIAN_POINT('Ctrl Pts',(-13.21811468617,7.197173995853,3.771840757478)); #473835=CARTESIAN_POINT('Ctrl Pts',(-13.23209216554,7.078896129528,3.829118588312)); #473836=CARTESIAN_POINT('Ctrl Pts',(-13.27877414748,6.970993545509,3.889476102184)); #473837=CARTESIAN_POINT('Ctrl Pts',(-13.3095443336,6.921525325861,3.919384766397)); #473838=CARTESIAN_POINT('Ctrl Pts',(-13.19319966331,7.305486044069,3.856061046163)); #473839=CARTESIAN_POINT('Ctrl Pts',(-13.19188758605,7.245520853709,3.882841776582)); #473840=CARTESIAN_POINT('Ctrl Pts',(-13.20631563886,7.126877457943,3.939892259876)); #473841=CARTESIAN_POINT('Ctrl Pts',(-13.25355294824,7.018750264872,4.000023193016)); #473842=CARTESIAN_POINT('Ctrl Pts',(-13.28461451777,6.969216789344,4.029818562605)); #473843=CARTESIAN_POINT('Ctrl Pts',(-13.1665099129,7.352835423481,3.969461709768)); #473844=CARTESIAN_POINT('Ctrl Pts',(-13.16557783339,7.292826776324,3.995788769477)); #473845=CARTESIAN_POINT('Ctrl Pts',(-13.18069536179,7.174144251693,4.051856056483)); #473846=CARTESIAN_POINT('Ctrl Pts',(-13.22844216383,7.066039121249,4.110936631316)); #473847=CARTESIAN_POINT('Ctrl Pts',(-13.25970765941,7.016523229715,4.140212642661)); #473848=CARTESIAN_POINT('Ctrl Pts',(-13.13428949765,7.412968488923,4.105590675135)); #473849=CARTESIAN_POINT('Ctrl Pts',(-13.1333068243,7.352550203623,4.132364982242)); #473850=CARTESIAN_POINT('Ctrl Pts',(-13.14859676363,7.233100414698,4.189444795818)); #473851=CARTESIAN_POINT('Ctrl Pts',(-13.19702406076,7.124514178897,4.249642765345)); #473852=CARTESIAN_POINT('Ctrl Pts',(-13.22873360025,7.074869131936,4.279468521174)); #473853=CARTESIAN_POINT('Ctrl Pts',(-13.09595946046,7.491070577763,4.256093329298)); #473854=CARTESIAN_POINT('Ctrl Pts',(-13.09384682212,7.429361791504,4.285249219107)); #473855=CARTESIAN_POINT('Ctrl Pts',(-13.1079733102,7.307375213878,4.347683123323)); #473856=CARTESIAN_POINT('Ctrl Pts',(-13.1574008811,7.197061717712,4.41376052377)); #473857=CARTESIAN_POINT('Ctrl Pts',(-13.19008626451,7.146915839717,4.44648107717)); #473858=CARTESIAN_POINT('Ctrl Pts',(-13.05476520235,7.574123971889,4.403552967297)); #473859=CARTESIAN_POINT('Ctrl Pts',(-13.05140414288,7.511021255477,4.434989760486)); #473860=CARTESIAN_POINT('Ctrl Pts',(-13.06423022311,7.386285395168,4.50265649896)); #473861=CARTESIAN_POINT('Ctrl Pts',(-13.11473270445,7.274121938505,4.574565153423)); #473862=CARTESIAN_POINT('Ctrl Pts',(-13.14847596208,7.223457461895,4.610150405444)); #473863=CARTESIAN_POINT('Ctrl Pts',(-13.01725478722,7.642450039502,4.53332213213)); #473864=CARTESIAN_POINT('Ctrl Pts',(-13.01369215801,7.57872968732,4.565309968784)); #473865=CARTESIAN_POINT('Ctrl Pts',(-13.02654941168,7.452812670302,4.634294322065)); #473866=CARTESIAN_POINT('Ctrl Pts',(-13.0779081543,7.33989556593,4.707713511566)); #473867=CARTESIAN_POINT('Ctrl Pts',(-13.11224889388,7.289030237971,4.744037713331)); #473868=CARTESIAN_POINT('Ctrl Pts',(-12.98581165625,7.694540267591,4.643465503306)); #473869=CARTESIAN_POINT('Ctrl Pts',(-12.9827654274,7.630789306046,4.674909331164)); #473870=CARTESIAN_POINT('Ctrl Pts',(-12.99653617282,7.504873416232,4.742683388053)); #473871=CARTESIAN_POINT('Ctrl Pts',(-13.04850980106,7.392014015123,4.814783421506)); #473872=CARTESIAN_POINT('Ctrl Pts',(-13.08307640204,7.34117515646,4.850457377035)); #473873=CARTESIAN_POINT('Ctrl Pts',(-12.95486519763,7.746253076141,4.752787076859)); #473874=CARTESIAN_POINT('Ctrl Pts',(-12.95219970867,7.682401592063,4.783888562749)); #473875=CARTESIAN_POINT('Ctrl Pts',(-12.96669766279,7.556344178754,4.850913913104)); #473876=CARTESIAN_POINT('Ctrl Pts',(-13.01927684268,7.443451535742,4.922208396502)); #473877=CARTESIAN_POINT('Ctrl Pts',(-13.05410522897,7.39261926509,4.957484480895)); #473878=CARTESIAN_POINT('Ctrl Pts',(-12.91695266143,7.811475180195,4.885973566626)); #473879=CARTESIAN_POINT('Ctrl Pts',(-12.9143694016,7.747241334975,4.917259835654)); #473880=CARTESIAN_POINT('Ctrl Pts',(-12.92925696267,7.620486056722,4.98474511287)); #473881=CARTESIAN_POINT('Ctrl Pts',(-12.98262193236,7.507198293503,5.056580459613)); #473882=CARTESIAN_POINT('Ctrl Pts',(-13.01791594647,7.456279728354,5.092120153882)); #473883=CARTESIAN_POINT('Ctrl Pts',(-12.85291631558,7.927140867383,5.102444116033)); #473884=CARTESIAN_POINT('Ctrl Pts',(-12.84941653702,7.86150048559,5.135583562922)); #473885=CARTESIAN_POINT('Ctrl Pts',(-12.86360199906,7.732025021508,5.207404531585)); #473886=CARTESIAN_POINT('Ctrl Pts',(-12.9184637137,7.61702167908,5.284138465636)); #473887=CARTESIAN_POINT('Ctrl Pts',(-12.95497080091,7.565673796358,5.322079713508)); #473888=CARTESIAN_POINT('Ctrl Pts',(-12.80048439445,8.018834014596,5.267240252887)); #473889=CARTESIAN_POINT('Ctrl Pts',(-12.7964000327,7.952128048661,5.301477757189)); #473890=CARTESIAN_POINT('Ctrl Pts',(-12.8102373774,7.820593754308,5.375953764679)); #473891=CARTESIAN_POINT('Ctrl Pts',(-12.86633789064,7.704304162322,5.455754838113)); #473892=CARTESIAN_POINT('Ctrl Pts',(-12.9037930506,7.652640869786,5.495194852528)); #473893=CARTESIAN_POINT('Ctrl Pts',(-12.76279484896,8.081334248707,5.384146300555)); #473894=CARTESIAN_POINT('Ctrl Pts',(-12.75865325295,8.014131870212,5.418698571683)); #473895=CARTESIAN_POINT('Ctrl Pts',(-12.77270695699,7.881668489689,5.493968454611)); #473896=CARTESIAN_POINT('Ctrl Pts',(-12.82963089515,7.764840386374,5.574711366456)); #473897=CARTESIAN_POINT('Ctrl Pts',(-12.86761405928,7.7130606604,5.614609848401)); #473898=CARTESIAN_POINT('',(-13.28994843639,7.07703894602,3.466917585119)); #473899=CARTESIAN_POINT('Origin',(-14.0235329140335,7.16959026798616,3.59256816035515)); #473900=CARTESIAN_POINT('Ctrl Pts',(-12.7748445771,8.009493518332,5.369965453597)); #473901=CARTESIAN_POINT('Ctrl Pts',(-12.80794557113,7.954404972868,5.266824781052)); #473902=CARTESIAN_POINT('Ctrl Pts',(-12.85624409485,7.871206354635,5.114312459729)); #473903=CARTESIAN_POINT('Ctrl Pts',(-12.91688566724,7.763598693149,4.909827291101)); #473904=CARTESIAN_POINT('Ctrl Pts',(-12.95471571691,7.69866234044,4.776490953184)); #473905=CARTESIAN_POINT('Ctrl Pts',(-12.98538067189,7.647018394127,4.667431731725)); #473906=CARTESIAN_POINT('Ctrl Pts',(-13.0164487215,7.594945721281,4.557706249858)); #473907=CARTESIAN_POINT('Ctrl Pts',(-13.05406960109,7.527075494038,4.427505555076)); #473908=CARTESIAN_POINT('Ctrl Pts',(-13.09608648276,7.445058383882,4.278279262464)); #473909=CARTESIAN_POINT('Ctrl Pts',(-13.13515985142,7.367915501007,4.125941546105)); #473910=CARTESIAN_POINT('Ctrl Pts',(-13.16739279401,7.308082206081,3.989467926063)); #473911=CARTESIAN_POINT('Ctrl Pts',(-13.19380478776,7.260763511141,3.876412388197)); #473912=CARTESIAN_POINT('Ctrl Pts',(-13.22007369875,7.21235101936,3.765387238041)); #473913=CARTESIAN_POINT('Ctrl Pts',(-13.25252928064,7.150933785517,3.628538222763)); #473914=CARTESIAN_POINT('Ctrl Pts',(-13.27761554082,7.101407504581,3.520971779495)); #473915=CARTESIAN_POINT('Ctrl Pts',(-13.28994843639,7.07703894602,3.466917585119)); #473916=CARTESIAN_POINT('Ctrl Pts',(-13.74786975211,6.14671792434,0.6964562875078)); #473917=CARTESIAN_POINT('Ctrl Pts',(-13.74747321435,6.090829689221,0.7125146206289)); #473918=CARTESIAN_POINT('Ctrl Pts',(-13.76005025983,5.981206011413,0.745650513587)); #473919=CARTESIAN_POINT('Ctrl Pts',(-13.79803117385,5.878067144087,0.7800327184786)); #473920=CARTESIAN_POINT('Ctrl Pts',(-13.82243229137,5.830199049123,0.7968205459526)); #473921=CARTESIAN_POINT('Ctrl Pts',(-13.74234303682,6.159575117788,0.7409974118577)); #473922=CARTESIAN_POINT('Ctrl Pts',(-13.74194884267,6.103606170326,0.7571538158874)); #473923=CARTESIAN_POINT('Ctrl Pts',(-13.75457668446,5.99383024666,0.7904973802143)); #473924=CARTESIAN_POINT('Ctrl Pts',(-13.79269263912,5.89057995168,0.8250992429269)); #473925=CARTESIAN_POINT('Ctrl Pts',(-13.81717752003,5.842672892808,0.8419939235636)); #473926=CARTESIAN_POINT('Ctrl Pts',(-13.73283555443,6.179149445891,0.8198203219265)); #473927=CARTESIAN_POINT('Ctrl Pts',(-13.73273638756,6.123322563487,0.8352417732809)); #473928=CARTESIAN_POINT('Ctrl Pts',(-13.74579539898,6.013852792077,0.8670504083259)); #473929=CARTESIAN_POINT('Ctrl Pts',(-13.7840385302,5.910878277293,0.9000451437932)); #473930=CARTESIAN_POINT('Ctrl Pts',(-13.80851526341,5.863081075736,0.9161564945728)); #473931=CARTESIAN_POINT('Ctrl Pts',(-13.71691637799,6.214126637965,0.9562124599039)); #473932=CARTESIAN_POINT('Ctrl Pts',(-13.71701693109,6.158286233191,0.9713311759787)); #473933=CARTESIAN_POINT('Ctrl Pts',(-13.7304412573,6.048820698657,1.002512412068)); #473934=CARTESIAN_POINT('Ctrl Pts',(-13.76897862355,5.945897948797,1.034854016378)); #473935=CARTESIAN_POINT('Ctrl Pts',(-13.79358009626,5.898134335224,1.050646666418)); #473936=CARTESIAN_POINT('Ctrl Pts',(-13.70319264091,6.246270572358,1.072074575383)); #473937=CARTESIAN_POINT('Ctrl Pts',(-13.70320334822,6.190168446034,1.087796179162)); #473938=CARTESIAN_POINT('Ctrl Pts',(-13.71663230512,6.080201799249,1.120241399864)); #473939=CARTESIAN_POINT('Ctrl Pts',(-13.75551526617,5.976910276273,1.15391022268)); #473940=CARTESIAN_POINT('Ctrl Pts',(-13.78035954146,5.929019936838,1.170349413914)); #473941=CARTESIAN_POINT('Ctrl Pts',(-13.68894540999,6.281873125985,1.182355120068)); #473942=CARTESIAN_POINT('Ctrl Pts',(-13.68856390582,6.225220673437,1.199523788209)); #473943=CARTESIAN_POINT('Ctrl Pts',(-13.70164277187,6.114170736398,1.235012292276)); #473944=CARTESIAN_POINT('Ctrl Pts',(-13.74098764581,6.010040201069,1.271884005918)); #473945=CARTESIAN_POINT('Ctrl Pts',(-13.76623693676,5.96184952983,1.289882758233)); #473946=CARTESIAN_POINT('Ctrl Pts',(-13.67394602924,6.320159127625,1.290023845843)); #473947=CARTESIAN_POINT('Ctrl Pts',(-13.67302688653,6.262826614278,1.308934840002)); #473948=CARTESIAN_POINT('Ctrl Pts',(-13.68558535579,6.150431599492,1.348103807383)); #473949=CARTESIAN_POINT('Ctrl Pts',(-13.7254500824,6.045258066877,1.388861609195)); #473950=CARTESIAN_POINT('Ctrl Pts',(-13.75118353344,5.996696023224,1.408751399731)); #473951=CARTESIAN_POINT('Ctrl Pts',(-13.65716420159,6.359810914257,1.401411022647)); #473952=CARTESIAN_POINT('Ctrl Pts',(-13.65599812157,6.302029285147,1.421167828863)); #473953=CARTESIAN_POINT('Ctrl Pts',(-13.66840125237,6.188758784471,1.462143414772)); #473954=CARTESIAN_POINT('Ctrl Pts',(-13.70874198969,6.082926781217,1.504824190053)); #473955=CARTESIAN_POINT('Ctrl Pts',(-13.73484562794,6.034136621027,1.525648284611)); #473956=CARTESIAN_POINT('Ctrl Pts',(-13.63945484934,6.398996721636,1.516397444412)); #473957=CARTESIAN_POINT('Ctrl Pts',(-13.6383242084,6.340977907822,1.536298057226)); #473958=CARTESIAN_POINT('Ctrl Pts',(-13.65092562886,6.227263120459,1.577591801962)); #473959=CARTESIAN_POINT('Ctrl Pts',(-13.69169491375,6.121114096531,1.620619619145)); #473960=CARTESIAN_POINT('Ctrl Pts',(-13.71805515989,6.072216372479,1.641611546869)); #473961=CARTESIAN_POINT('Ctrl Pts',(-13.6212483374,6.436610655066,1.635881822874)); #473962=CARTESIAN_POINT('Ctrl Pts',(-13.62046523922,6.37860949243,1.655137575336)); #473963=CARTESIAN_POINT('Ctrl Pts',(-13.63364715059,6.264967070175,1.695076619548)); #473964=CARTESIAN_POINT('Ctrl Pts',(-13.67476882947,6.158910548367,1.736679795373)); #473965=CARTESIAN_POINT('Ctrl Pts',(-13.70124569701,6.110050917372,1.756977927654)); #473966=CARTESIAN_POINT('Ctrl Pts',(-13.5994299501,6.482719344511,1.783156757902)); #473967=CARTESIAN_POINT('Ctrl Pts',(-13.59887953058,6.424601814134,1.802107439889)); #473968=CARTESIAN_POINT('Ctrl Pts',(-13.61252956924,6.310767545506,1.841415075143)); #473969=CARTESIAN_POINT('Ctrl Pts',(-13.6541118036,6.204605887713,1.882361593507)); #473970=CARTESIAN_POINT('Ctrl Pts',(-13.68080683389,6.155717839886,1.902339242549)); #473971=CARTESIAN_POINT('Ctrl Pts',(-13.57364707643,6.539963361914,1.953434753271)); #473972=CARTESIAN_POINT('Ctrl Pts',(-13.57294466362,6.481349958647,1.973164012375)); #473973=CARTESIAN_POINT('Ctrl Pts',(-13.58663175431,6.366565818359,2.014137171059)); #473974=CARTESIAN_POINT('Ctrl Pts',(-13.62887940381,6.259713186028,2.056858408419)); #473975=CARTESIAN_POINT('Ctrl Pts',(-13.65603371718,6.21059233788,2.077698150354)); #473976=CARTESIAN_POINT('Ctrl Pts',(-13.54686256368,6.601108407805,2.118366158453)); #473977=CARTESIAN_POINT('Ctrl Pts',(-13.54569629208,6.541743702078,2.139600323741)); #473978=CARTESIAN_POINT('Ctrl Pts',(-13.55904729036,6.425496046596,2.183797046486)); #473979=CARTESIAN_POINT('Ctrl Pts',(-13.60206070758,6.317565318088,2.229956561645)); #473980=CARTESIAN_POINT('Ctrl Pts',(-13.62982829153,6.268083783597,2.252466179217)); #473981=CARTESIAN_POINT('Ctrl Pts',(-13.51814782172,6.663785074096,2.285574142315)); #473982=CARTESIAN_POINT('Ctrl Pts',(-13.51676133235,6.603858077827,2.307683959519)); #473983=CARTESIAN_POINT('Ctrl Pts',(-13.53008461944,6.486533417623,2.353777217067)); #473984=CARTESIAN_POINT('Ctrl Pts',(-13.57383272267,6.377841344774,2.401975560233)); #473985=CARTESIAN_POINT('Ctrl Pts',(-13.60212163431,6.328116408029,2.425473895484)); #473986=CARTESIAN_POINT('Ctrl Pts',(-13.47817202144,6.747871900536,2.511218088942)); #473987=CARTESIAN_POINT('Ctrl Pts',(-13.47676896137,6.68739584153,2.533874180087)); #473988=CARTESIAN_POINT('Ctrl Pts',(-13.49040707242,6.569045385628,2.581169253464)); #473989=CARTESIAN_POINT('Ctrl Pts',(-13.53510090058,6.459662719549,2.630673698228)); #473990=CARTESIAN_POINT('Ctrl Pts',(-13.56398154228,6.409726906717,2.654804117914)); #473991=CARTESIAN_POINT('Ctrl Pts',(-13.42679730359,6.855362020091,2.790236720178)); #473992=CARTESIAN_POINT('Ctrl Pts',(-13.42520203162,6.794049812217,2.813948677989)); #473993=CARTESIAN_POINT('Ctrl Pts',(-13.43903580701,6.674118314133,2.863555213754)); #473994=CARTESIAN_POINT('Ctrl Pts',(-13.48498632004,6.563656216449,2.915563232207)); #473995=CARTESIAN_POINT('Ctrl Pts',(-13.51470238112,6.513389679386,2.940906022596)); #473996=CARTESIAN_POINT('Ctrl Pts',(-13.35865561208,6.9974588703,3.132340722981)); #473997=CARTESIAN_POINT('Ctrl Pts',(-13.35641063466,6.934747020005,3.158142442193)); #473998=CARTESIAN_POINT('Ctrl Pts',(-13.3700194617,6.812133063504,3.212340740976)); #473999=CARTESIAN_POINT('Ctrl Pts',(-13.41772898889,6.699826309594,3.269335149849)); #474000=CARTESIAN_POINT('Ctrl Pts',(-13.44872356781,6.649001710061,3.297091443689)); #474001=CARTESIAN_POINT('Ctrl Pts',(-13.30820062764,7.100900241917,3.360896312175)); #474002=CARTESIAN_POINT('Ctrl Pts',(-13.30533419155,7.037072669322,3.388335155081)); #474003=CARTESIAN_POINT('Ctrl Pts',(-13.31859849242,6.912312756793,3.44618110577)); #474004=CARTESIAN_POINT('Ctrl Pts',(-13.36762877035,6.798556624781,3.507174792598)); #474005=CARTESIAN_POINT('Ctrl Pts',(-13.3996197836,6.74731294032,3.536863332875)); #474006=CARTESIAN_POINT('Ctrl Pts',(-13.28017809144,7.156843140128,3.482636666852)); #474007=CARTESIAN_POINT('Ctrl Pts',(-13.27706702345,7.092453046004,3.510742014032)); #474008=CARTESIAN_POINT('Ctrl Pts',(-13.29027019365,6.966615810017,3.570095976067)); #474009=CARTESIAN_POINT('Ctrl Pts',(-13.34002825982,6.852136360964,3.632760152104)); #474010=CARTESIAN_POINT('Ctrl Pts',(-13.37253880252,6.800684040911,3.663254242282)); #474011=CARTESIAN_POINT('',(-13.74379553216,6.107043715644,0.7585880961706)); #474012=CARTESIAN_POINT('Ctrl Pts',(-13.7437955322315,6.107043715651,0.758588096177336)); #474013=CARTESIAN_POINT('Ctrl Pts',(-13.7413263018865,6.11310629252181, 0.778484269043974)); #474014=CARTESIAN_POINT('Ctrl Pts',(-13.7388370411368,6.11905450927851, 0.798883391223216)); #474015=CARTESIAN_POINT('Ctrl Pts',(-13.736344671685,6.12495810125861,0.819547668832229)); #474016=CARTESIAN_POINT('Ctrl Pts',(-13.7351586202096,6.12776746163392, 0.829381241832349)); #474017=CARTESIAN_POINT('Ctrl Pts',(-13.7339719458297,6.13056687710624, 0.83927417488798)); #474018=CARTESIAN_POINT('Ctrl Pts',(-13.7327869295925,6.13336482196317, 0.849196738567593)); #474019=CARTESIAN_POINT('Ctrl Pts',(-13.7292479939231,6.14172061198885, 0.878829509355764)); #474020=CARTESIAN_POINT('Ctrl Pts',(-13.7257253065259,6.15006168120331, 0.908724345846925)); #474021=CARTESIAN_POINT('Ctrl Pts',(-13.7221500451257,6.15852369600165, 0.938964955524596)); #474022=CARTESIAN_POINT('Ctrl Pts',(-13.7184099013119,6.16737595846776, 0.970600189021785)); #474023=CARTESIAN_POINT('Ctrl Pts',(-13.7146120199294,6.17636000522059, 1.00261559502611)); #474024=CARTESIAN_POINT('Ctrl Pts',(-13.7106927064241,6.18563961452648, 1.03502989687122)); #474025=CARTESIAN_POINT('Ctrl Pts',(-13.7077473033219,6.19261333326581, 1.05938956727846)); #474026=CARTESIAN_POINT('Ctrl Pts',(-13.7047331159909,6.19975452565953, 1.08397415880562)); #474027=CARTESIAN_POINT('Ctrl Pts',(-13.7016161963037,6.20714907192459, 1.10878325486109)); #474028=CARTESIAN_POINT('Ctrl Pts',(-13.7014438085443,6.20755804276443, 1.11015537378305)); #474029=CARTESIAN_POINT('Ctrl Pts',(-13.7012712539949,6.20796760981024, 1.11152682193789)); #474030=CARTESIAN_POINT('Ctrl Pts',(-13.7010985270215,6.20837778797385, 1.11289760260083)); #474031=CARTESIAN_POINT('Ctrl Pts',(-13.6975492968791,6.21680621506279, 1.14106469167951)); #474032=CARTESIAN_POINT('Ctrl Pts',(-13.6939276340642,6.22549191202307, 1.16895004938663)); #474033=CARTESIAN_POINT('Ctrl Pts',(-13.6901749869805,6.2345311172655,1.19672517348099)); #474034=CARTESIAN_POINT('Ctrl Pts',(-13.6864692884001,6.24345723509339, 1.22415280939598)); #474035=CARTESIAN_POINT('Ctrl Pts',(-13.6826357363441,6.25272972116688, 1.2514695169148)); #474036=CARTESIAN_POINT('Ctrl Pts',(-13.6786359740485,6.26220126655353, 1.27928074704794)); #474037=CARTESIAN_POINT('Ctrl Pts',(-13.6727523026349,6.27613395972771, 1.32019121312534)); #474038=CARTESIAN_POINT('Ctrl Pts',(-13.6665074448508,6.29049817735902, 1.36217823733305)); #474039=CARTESIAN_POINT('Ctrl Pts',(-13.6599669743578,6.30516085182781, 1.40550458800727)); #474040=CARTESIAN_POINT('Ctrl Pts',(-13.657857517057,6.30988991309948,1.41947836662813)); #474041=CARTESIAN_POINT('Ctrl Pts',(-13.6557174092404,6.31464971123227, 1.43359164133896)); #474042=CARTESIAN_POINT('Ctrl Pts',(-13.6535492086388,6.31943470545257, 1.44785378281147)); #474043=CARTESIAN_POINT('Ctrl Pts',(-13.6518129899282,6.32326636036902, 1.45927440360561)); #474044=CARTESIAN_POINT('Ctrl Pts',(-13.6500587624524,6.32711418334619, 1.47079041600833)); #474045=CARTESIAN_POINT('Ctrl Pts',(-13.6482874144222,6.33097052117992, 1.48242151074332)); #474046=CARTESIAN_POINT('Ctrl Pts',(-13.6456645842159,6.3366805901122,1.49964364105404)); #474047=CARTESIAN_POINT('Ctrl Pts',(-13.6430206789822,6.34238114451684, 1.51700384713075)); #474048=CARTESIAN_POINT('Ctrl Pts',(-13.6403565758863,6.34804858977966, 1.53458605951824)); #474049=CARTESIAN_POINT('Ctrl Pts',(-13.6359474900534,6.35742820149823, 1.56368458940263)); #474050=CARTESIAN_POINT('Ctrl Pts',(-13.6314825417701,6.36671657853502, 1.59339511266959)); #474051=CARTESIAN_POINT('Ctrl Pts',(-13.6270238505013,6.37604305818622, 1.62329923834949)); #474052=CARTESIAN_POINT('Ctrl Pts',(-13.6215727955499,6.38744531728336, 1.65985907676954)); #474053=CARTESIAN_POINT('Ctrl Pts',(-13.6161325094961,6.39890334557055, 1.69670501607829)); #474054=CARTESIAN_POINT('Ctrl Pts',(-13.6106201378323,6.41060817936173, 1.73398575038685)); #474055=CARTESIAN_POINT('Ctrl Pts',(-13.6074185945941,6.41740625717002, 1.75563811530728)); #474056=CARTESIAN_POINT('Ctrl Pts',(-13.6041926732857,6.42428791420639, 1.77743654354498)); #474057=CARTESIAN_POINT('Ctrl Pts',(-13.6009248104795,6.43129538627107, 1.79940335372315)); #474058=CARTESIAN_POINT('Ctrl Pts',(-13.5955141444886,6.44289779831562, 1.83577424191777)); #474059=CARTESIAN_POINT('Ctrl Pts',(-13.5899896677481,6.45484334805379, 1.87260619101887)); #474060=CARTESIAN_POINT('Ctrl Pts',(-13.5842547683418,6.46730330266602, 1.91012673765504)); #474061=CARTESIAN_POINT('Ctrl Pts',(-13.5811754717124,6.47399355013537, 1.9302730182791)); #474062=CARTESIAN_POINT('Ctrl Pts',(-13.5780563258088,6.48076497095788, 1.95049863887883)); #474063=CARTESIAN_POINT('Ctrl Pts',(-13.5748864162164,6.48764573839087, 1.97079500948865)); #474064=CARTESIAN_POINT('Ctrl Pts',(-13.5688973238576,6.50064596772072, 2.0091421088582)); #474065=CARTESIAN_POINT('Ctrl Pts',(-13.5627269154166,6.51403834257026, 2.04773759059576)); #474066=CARTESIAN_POINT('Ctrl Pts',(-13.5563401018357,6.52779735427576, 2.08684874380451)); #474067=CARTESIAN_POINT('Ctrl Pts',(-13.5535308457269,6.53384929037728, 2.10405188347343)); #474068=CARTESIAN_POINT('Ctrl Pts',(-13.5506796959327,6.53997195094831, 2.12135545731585)); #474069=CARTESIAN_POINT('Ctrl Pts',(-13.5477835711125,6.54616223063744, 2.13878519614086)); #474070=CARTESIAN_POINT('Ctrl Pts',(-13.5386468107064,6.56569146569408, 2.19377293233114)); #474071=CARTESIAN_POINT('Ctrl Pts',(-13.5290605354405,6.58589630926044, 2.25001886082618)); #474072=CARTESIAN_POINT('Ctrl Pts',(-13.5191133937291,6.60677487327959, 2.30733403921704)); #474073=CARTESIAN_POINT('Ctrl Pts',(-13.5148522919951,6.61571871744376, 2.33188639939371)); #474074=CARTESIAN_POINT('Ctrl Pts',(-13.5105252529003,6.62478559362765, 2.35663506458216)); #474075=CARTESIAN_POINT('Ctrl Pts',(-13.5061380695336,6.63399534261002, 2.38152854170769)); #474076=CARTESIAN_POINT('Ctrl Pts',(-13.5006202572627,6.64557855254213, 2.41283736152863)); #474077=CARTESIAN_POINT('Ctrl Pts',(-13.4949862508319,6.65737031245087, 2.4445479374499)); #474078=CARTESIAN_POINT('Ctrl Pts',(-13.4892471731124,6.66937671677779, 2.47658659286289)); #474079=CARTESIAN_POINT('Ctrl Pts',(-13.4771027414377,6.69478340477453, 2.54438342026845)); #474080=CARTESIAN_POINT('Ctrl Pts',(-13.4644901297648,6.72114861842506, 2.61364718387436)); #474081=CARTESIAN_POINT('Ctrl Pts',(-13.4513250169947,6.74856886805353, 2.68429905999361)); #474082=CARTESIAN_POINT('Ctrl Pts',(-13.4434835292174,6.76490109065314, 2.72638117753425)); #474083=CARTESIAN_POINT('Ctrl Pts',(-13.4354456877589,6.78160833575543, 2.76895525504609)); #474084=CARTESIAN_POINT('Ctrl Pts',(-13.4271902079775,6.7987178515091,2.81200022905485)); #474085=CARTESIAN_POINT('Ctrl Pts',(-13.4212396062622,6.81105049800442, 2.84302731687506)); #474086=CARTESIAN_POINT('Ctrl Pts',(-13.4151758806841,6.8235923103246,2.8742988705516)); #474087=CARTESIAN_POINT('Ctrl Pts',(-13.4089895199242,6.83634993440675, 2.90581811641512)); #474088=CARTESIAN_POINT('Ctrl Pts',(-13.3959298621562,6.86328179481868, 2.97235651921019)); #474089=CARTESIAN_POINT('Ctrl Pts',(-13.3825511532507,6.89074371743318, 3.03882083583729)); #474090=CARTESIAN_POINT('Ctrl Pts',(-13.3686664565794,6.91904952121812, 3.10578699510773)); #474091=CARTESIAN_POINT('Ctrl Pts',(-13.3661909604383,6.92409614986968, 3.1177263606395)); #474092=CARTESIAN_POINT('Ctrl Pts',(-13.363699309527,6.92916970569551,3.12968163095056)); #474093=CARTESIAN_POINT('Ctrl Pts',(-13.3611903456588,6.93427210848317, 3.14165601121659)); #474094=CARTESIAN_POINT('Ctrl Pts',(-13.3470081664727,6.96311397086725, 3.20934244095188)); #474095=CARTESIAN_POINT('Ctrl Pts',(-13.3322747470864,6.99287710615109, 3.27763691284667)); #474096=CARTESIAN_POINT('Ctrl Pts',(-13.3168348751972,7.02371082129099, 3.34731405463106)); #474097=CARTESIAN_POINT('Ctrl Pts',(-13.3081092928072,7.04113597376472, 3.38669091252894)); #474098=CARTESIAN_POINT('Ctrl Pts',(-13.2991576462803,7.05890321266823, 3.4265098253682)); #474099=CARTESIAN_POINT('Ctrl Pts',(-13.2899484363921,7.07703894602026, 3.46691758511936)); #474100=CARTESIAN_POINT('Origin',(-14.4885035151391,6.18024121824535,0.82871097731888)); #474101=CARTESIAN_POINT('Ctrl Pts',(-13.8358677408615,5.93152599758216, -0.134584352497073)); #474102=CARTESIAN_POINT('Ctrl Pts',(-13.8361643714774,5.82548815730588, -0.108714163600877)); #474103=CARTESIAN_POINT('Ctrl Pts',(-13.8606360630276,5.72016040580737, -0.0806127617105786)); #474104=CARTESIAN_POINT('Ctrl Pts',(-13.9070069318456,5.62532123792906, -0.0528893923940273)); #474105=CARTESIAN_POINT('Ctrl Pts',(-13.8323248828023,5.93988900196592, -0.098869462177444)); #474106=CARTESIAN_POINT('Ctrl Pts',(-13.8326741944295,5.8338023418757,-0.0730347550536998)); #474107=CARTESIAN_POINT('Ctrl Pts',(-13.8572163575456,5.72843669528281, -0.0449701072350401)); #474108=CARTESIAN_POINT('Ctrl Pts',(-13.9036673352109,5.63358099671341, -0.0172832711608932)); #474109=CARTESIAN_POINT('Ctrl Pts',(-13.8267108408005,5.95274970629221, -0.0420654736135033)); #474110=CARTESIAN_POINT('Ctrl Pts',(-13.8272224518713,5.84666996284598, -0.0165662106656844)); #474111=CARTESIAN_POINT('Ctrl Pts',(-13.8518901456022,5.74133264181983, 0.0111302232914426)); #474112=CARTESIAN_POINT('Ctrl Pts',(-13.8984217268611,5.64650900645306, 0.0384543863876639)); #474113=CARTESIAN_POINT('Ctrl Pts',(-13.81716109349,5.974100128257,0.05697010225501)); #474114=CARTESIAN_POINT('Ctrl Pts',(-13.81804081513,5.868150456358,0.08156811618162)); #474115=CARTESIAN_POINT('Ctrl Pts',(-13.84293294193,5.762985250138,0.1082720664442)); #474116=CARTESIAN_POINT('Ctrl Pts',(-13.88953813006,5.668302211204,0.1346191647033)); #474117=CARTESIAN_POINT('Ctrl Pts',(-13.80752311375,5.996313016574,0.1592686682078)); #474118=CARTESIAN_POINT('Ctrl Pts',(-13.80860148717,5.890331313205,0.1836428432064)); #474119=CARTESIAN_POINT('Ctrl Pts',(-13.83368389841,5.785169200103,0.2101024894485)); #474120=CARTESIAN_POINT('Ctrl Pts',(-13.88045432647,5.690520470815,0.2362087473018)); #474121=CARTESIAN_POINT('Ctrl Pts',(-13.79602541357,6.02417014758,0.2784569141664)); #474122=CARTESIAN_POINT('Ctrl Pts',(-13.79700369365,5.917796996703,0.3038341201081)); #474123=CARTESIAN_POINT('Ctrl Pts',(-13.82225221901,5.812269756838,0.3314084743838)); #474124=CARTESIAN_POINT('Ctrl Pts',(-13.86941418372,5.717422241382,0.3586105919687)); #474125=CARTESIAN_POINT('Ctrl Pts',(-13.78609411194,6.04937903312,0.3746422167147)); #474126=CARTESIAN_POINT('Ctrl Pts',(-13.78670131468,5.942362210993,0.4018629277018)); #474127=CARTESIAN_POINT('Ctrl Pts',(-13.81204448742,5.836200630929,0.4314880525432)); #474128=CARTESIAN_POINT('Ctrl Pts',(-13.8597131212,5.740974069313,0.4607059669673)); #474129=CARTESIAN_POINT('Ctrl Pts',(-13.77768287346,6.071244986923,0.4500001259279)); #474130=CARTESIAN_POINT('Ctrl Pts',(-13.777833774,5.963547396406,0.4791206315843)); #474131=CARTESIAN_POINT('Ctrl Pts',(-13.80322912852,5.856706358373,0.5108717432685)); #474132=CARTESIAN_POINT('Ctrl Pts',(-13.85140438032,5.7610722781,0.5421775153194)); #474133=CARTESIAN_POINT('Ctrl Pts',(-13.76883191104,6.093698798162,0.5260672666978)); #474134=CARTESIAN_POINT('Ctrl Pts',(-13.76861395648,5.985396992673,0.5567335180216)); #474135=CARTESIAN_POINT('Ctrl Pts',(-13.79408562437,5.877957803565,0.590222380677)); #474136=CARTESIAN_POINT('Ctrl Pts',(-13.84273195993,5.781970365382,0.6232335600543)); #474137=CARTESIAN_POINT('Ctrl Pts',(-13.753499528805,6.13071164186524,0.652045149571412)); #474138=CARTESIAN_POINT('Ctrl Pts',(-13.7530396725105,6.02173692869606, 0.684018316144846)); #474139=CARTESIAN_POINT('Ctrl Pts',(-13.7787257841968,5.91365213114759, 0.718990321342184)); #474140=CARTESIAN_POINT('Ctrl Pts',(-13.8279774148369,5.81729581984867, 0.753454994858008)); #474141=CARTESIAN_POINT('Ctrl Pts',(-13.7397167853366,6.16127238573348, 0.76313807731491)); #474142=CARTESIAN_POINT('Ctrl Pts',(-13.7396088696624,6.0522350026223,0.794488763889486)); #474143=CARTESIAN_POINT('Ctrl Pts',(-13.7656023755219,5.94413686966748, 0.828776368378369)); #474144=CARTESIAN_POINT('Ctrl Pts',(-13.8150905901115,5.84779931933341, 0.862567107873507)); #474145=CARTESIAN_POINT('Ctrl Pts',(-13.7310900592953,6.17897655918922, 0.83637433805677)); #474146=CARTESIAN_POINT('Ctrl Pts',(-13.7315054350145,6.07020253570527, 0.866453189577868)); #474147=CARTESIAN_POINT('Ctrl Pts',(-13.7577475974443,5.96241245747078, 0.89931462841037)); #474148=CARTESIAN_POINT('Ctrl Pts',(-13.8072123518937,5.86628311734153, 0.931705200050491)); #474149=CARTESIAN_POINT('',(-13.821757550639,5.92057624403622,0.0411676021153154)); #474150=CARTESIAN_POINT('Ctrl Pts',(-13.8217579239829,5.92057656594274, 0.0411655028520364)); #474151=CARTESIAN_POINT('Ctrl Pts',(-13.8258870708556,5.88061252011355, 0.03577166075795)); #474152=CARTESIAN_POINT('Ctrl Pts',(-13.8328152398587,5.8407642889921,0.0316275354107899)); #474153=CARTESIAN_POINT('Ctrl Pts',(-13.8521719554588,5.76302912499063, 0.0261186260264134)); #474154=CARTESIAN_POINT('Ctrl Pts',(-13.8644944606664,5.72512171650354, 0.0247034687071919)); #474155=CARTESIAN_POINT('Ctrl Pts',(-13.8795836807472,5.68833523730826, 0.0247088373657766)); #474156=CARTESIAN_POINT('Ctrl Pts',(-13.8217575192724,5.92057563161995, 0.0411721032603536)); #474157=CARTESIAN_POINT('Ctrl Pts',(-13.821560085418,5.92104677998974,0.0432318960074797)); #474158=CARTESIAN_POINT('Ctrl Pts',(-13.8213628319409,5.92151747716072, 0.0452914752044083)); #474159=CARTESIAN_POINT('Ctrl Pts',(-13.8211657669594,5.92198776465256, 0.047350684953038)); #474160=CARTESIAN_POINT('Ctrl Pts',(-13.8174725648611,5.93080143990985, 0.0859424109203275)); #474161=CARTESIAN_POINT('Ctrl Pts',(-13.8138460133314,5.93947019473122, 0.12440482374524)); #474162=CARTESIAN_POINT('Ctrl Pts',(-13.8102010158059,5.94822064070171, 0.162694749901041)); #474163=CARTESIAN_POINT('Ctrl Pts',(-13.8099802494264,5.94875062851711, 0.165013853908278)); #474164=CARTESIAN_POINT('Ctrl Pts',(-13.8097594143623,5.94928090830079, 0.167332327483598)); #474165=CARTESIAN_POINT('Ctrl Pts',(-13.8095384912951,5.94981154031271, 0.169650145250733)); #474166=CARTESIAN_POINT('Ctrl Pts',(-13.8078383066504,5.95389518992956, 0.187487658676583)); #474167=CARTESIAN_POINT('Ctrl Pts',(-13.8061329354015,5.95799965332248, 0.205286322571229)); #474168=CARTESIAN_POINT('Ctrl Pts',(-13.8044147035716,5.96214782055984, 0.223041354351781)); #474169=CARTESIAN_POINT('Ctrl Pts',(-13.8034439535917,5.96449141191467, 0.233072420267068)); #474170=CARTESIAN_POINT('Ctrl Pts',(-13.8024690947207,5.96684896959859, 0.243089530209249)); #474171=CARTESIAN_POINT('Ctrl Pts',(-13.8014887088972,5.96922464458817, 0.25309177629581)); #474172=CARTESIAN_POINT('Ctrl Pts',(-13.8009985159854,5.97041248208295, 0.258092899339091)); #474173=CARTESIAN_POINT('Ctrl Pts',(-13.8005069409375,5.97160484886864, 0.263090306421278)); #474174=CARTESIAN_POINT('Ctrl Pts',(-13.8000138041015,5.97280226360097, 0.268083884097652)); #474175=CARTESIAN_POINT('Ctrl Pts',(-13.7995206672654,5.97399967833329, 0.273077461774025)); #474176=CARTESIAN_POINT('Ctrl Pts',(-13.7990259686415,5.97520214101239, 0.278067210043476)); #474177=CARTESIAN_POINT('Ctrl Pts',(-13.7985295269746,5.97641017014687, 0.283053015510648)); #474178=CARTESIAN_POINT('Ctrl Pts',(-13.7983255226489,5.97690658933473, 0.285101848134096)); #474179=CARTESIAN_POINT('Ctrl Pts',(-13.7981212092083,5.97740352330187, 0.287150730495846)); #474180=CARTESIAN_POINT('Ctrl Pts',(-13.797916577666,5.97790102010645,0.289199585896363)); #474181=CARTESIAN_POINT('Ctrl Pts',(-13.7940175900892,5.98738017389769, 0.328237857616734)); #474182=CARTESIAN_POINT('Ctrl Pts',(-13.7900028735788,5.99706528913695, 0.367262895835537)); #474183=CARTESIAN_POINT('Ctrl Pts',(-13.7858085520082,6.00725531888714, 0.405873989690817)); #474184=CARTESIAN_POINT('Ctrl Pts',(-13.7837198381901,6.01232981200564, 0.425101777525556)); #474185=CARTESIAN_POINT('Ctrl Pts',(-13.7815866008573,6.01752907717478, 0.444227889929296)); #474186=CARTESIAN_POINT('Ctrl Pts',(-13.7794061433486,6.02280856811201, 0.463379771709865)); #474187=CARTESIAN_POINT('Ctrl Pts',(-13.7772474882369,6.02803526941339, 0.482340153802869)); #474188=CARTESIAN_POINT('Ctrl Pts',(-13.7750426131817,6.03334052707141, 0.501325724073934)); #474189=CARTESIAN_POINT('Ctrl Pts',(-13.7728025750428,6.0387011329346,0.520322802478116)); #474190=CARTESIAN_POINT('Ctrl Pts',(-13.7727701725596,6.03877867489171, 0.520597598028545)); #474191=CARTESIAN_POINT('Ctrl Pts',(-13.7727377623156,6.03885623502764, 0.520872393468861)); #474192=CARTESIAN_POINT('Ctrl Pts',(-13.7727053443292,6.03893381320025, 0.521147189007456)); #474193=CARTESIAN_POINT('Ctrl Pts',(-13.7715766081699,6.04163494606542, 0.530715076926104)); #474194=CARTESIAN_POINT('Ctrl Pts',(-13.7704384927484,6.04435793835452, 0.540283067553749)); #474195=CARTESIAN_POINT('Ctrl Pts',(-13.7692924271718,6.04709780122883, 0.549854825511293)); #474196=CARTESIAN_POINT('Ctrl Pts',(-13.7687766228642,6.04833091833969, 0.554162741293919)); #474197=CARTESIAN_POINT('Ctrl Pts',(-13.7682592073937,6.04956745241761, 0.558471420706142)); #474198=CARTESIAN_POINT('Ctrl Pts',(-13.7677403075196,6.05080694795836, 0.562781198174324)); #474199=CARTESIAN_POINT('Ctrl Pts',(-13.7672538388877,6.05196897502781, 0.566821614550744)); #474200=CARTESIAN_POINT('Ctrl Pts',(-13.7667660655786,6.0531336049425,0.570862996011891)); #474201=CARTESIAN_POINT('Ctrl Pts',(-13.7662770904896,6.05430046220657, 0.57490561789452)); #474202=CARTESIAN_POINT('Ctrl Pts',(-13.7644434339056,6.05867617694686, 0.590065449954377)); #474203=CARTESIAN_POINT('Ctrl Pts',(-13.7625928958251,6.06308321577461, 0.605242728116541)); #474204=CARTESIAN_POINT('Ctrl Pts',(-13.7607307398797,6.06750175950903, 0.620451948623695)); #474205=CARTESIAN_POINT('Ctrl Pts',(-13.759997043913,6.06924268122133,0.626444434790986)); #474206=CARTESIAN_POINT('Ctrl Pts',(-13.7592615158803,6.0709853369654,0.632442207961616)); #474207=CARTESIAN_POINT('Ctrl Pts',(-13.7585244989724,6.07272858876101, 0.638445754321001)); #474208=CARTESIAN_POINT('Ctrl Pts',(-13.7536497619153,6.08425870976203, 0.678154083394824)); #474209=CARTESIAN_POINT('Ctrl Pts',(-13.7487104866309,6.09581437709611, 0.718114095473902)); #474210=CARTESIAN_POINT('Ctrl Pts',(-13.7437955322303,6.10704371565089, 0.75858809617723)); #474211=CARTESIAN_POINT('Origin',(0.,0.,2.012745533168)); #474212=CARTESIAN_POINT('Ctrl Pts',(-8.19175517476945,22.3467614748316, 4.00040429052697)); #474213=CARTESIAN_POINT('Ctrl Pts',(-8.37849418116448,22.0506664899984, 3.9038529162229)); #474214=CARTESIAN_POINT('Ctrl Pts',(-8.56495751044055,21.7535225703211, 3.80901178607163)); #474215=CARTESIAN_POINT('Ctrl Pts',(-8.74771956928867,21.4522048683037, 3.71414564659769)); #474216=CARTESIAN_POINT('Ctrl Pts',(-9.7395819047971,19.8169328565046,3.19930054552844)); #474217=CARTESIAN_POINT('Ctrl Pts',(-10.6316895222718,18.0686876360947, 2.68101066270433)); #474218=CARTESIAN_POINT('Ctrl Pts',(-11.4480473323155,15.9827728396027, 2.12970527145564)); #474219=CARTESIAN_POINT('Ctrl Pts',(-11.8911216879373,14.8506524103412, 1.83048686749959)); #474220=CARTESIAN_POINT('Ctrl Pts',(-12.3201306971482,13.5700215643935, 1.51192951895929)); #474221=CARTESIAN_POINT('Ctrl Pts',(-12.6662309516037,12.3011238280773, 1.22473784431698)); #474222=CARTESIAN_POINT('Ctrl Pts',(-13.0548186806575,10.8764554454081, 0.902290347628595)); #474223=CARTESIAN_POINT('Ctrl Pts',(-13.352390572456,9.45162759878633,0.612836379278568)); #474224=CARTESIAN_POINT('Ctrl Pts',(-13.5673413807791,7.9924459927016,0.361926417642685)); #474225=CARTESIAN_POINT('Ctrl Pts',(-13.6579011426948,7.3776860861461,0.256216899426512)); #474226=CARTESIAN_POINT('Ctrl Pts',(-13.7334695176349,6.75684846491925, 0.157431843367967)); #474227=CARTESIAN_POINT('Ctrl Pts',(-13.8001653039453,6.12752034046302, 0.0695993914095003)); #474228=CARTESIAN_POINT('Ctrl Pts',(-13.807464947463,6.05864236153303,0.0599864064811825)); #474229=CARTESIAN_POINT('Ctrl Pts',(-13.8146594390082,5.98966224598791, 0.0505062404275645)); #474230=CARTESIAN_POINT('Ctrl Pts',(-13.8217572087504,5.9205765336839,0.0411652001170967)); #474231=CARTESIAN_POINT('Origin',(0.,0.,4.000782242865)); #474232=CARTESIAN_POINT('',(-12.6463691636449,9.08160707677577,0.281928665038955)); #474233=CARTESIAN_POINT('Ctrl Pts',(-12.6463705318636,9.08160728100711, 0.281929132641609)); #474234=CARTESIAN_POINT('Ctrl Pts',(-12.3336283409395,10.5522691587448, 0.554691654436026)); #474235=CARTESIAN_POINT('Ctrl Pts',(-11.7278499363713,13.034658554357,1.07459865349768)); #474236=CARTESIAN_POINT('Ctrl Pts',(-9.0507492401975,19.0834011879801,2.70306699113176)); #474237=CARTESIAN_POINT('Ctrl Pts',(-7.49845732016805,21.3430929503134, 3.44258157810671)); #474238=CARTESIAN_POINT('Ctrl Pts',(-6.29160910748944,22.9542783460967, 4.00041034790128)); #474239=CARTESIAN_POINT('Ctrl Pts',(-12.1455230628505,8.80262867279341, 0.0247088539061737)); #474240=CARTESIAN_POINT('Ctrl Pts',(-12.1623372953656,8.77941110320716, 0.0247040957550196)); #474241=CARTESIAN_POINT('Ctrl Pts',(-12.1822363650702,8.7585525091827,0.0264911728473654)); #474242=CARTESIAN_POINT('Ctrl Pts',(-12.226876650117,8.72368107229557,0.0337133586693364)); #474243=CARTESIAN_POINT('Ctrl Pts',(-12.2510886091343,8.71001828054424, 0.0390449614648756)); #474244=CARTESIAN_POINT('Ctrl Pts',(-12.3130385267621,8.68654482579073, 0.0557465014279203)); #474245=CARTESIAN_POINT('Ctrl Pts',(-12.3474347246935,8.68258686502057, 0.0674319419778112)); #474246=CARTESIAN_POINT('Ctrl Pts',(-12.4179934569982,8.6850858424307,0.094173055649763)); #474247=CARTESIAN_POINT('Ctrl Pts',(-12.4538425631081,8.69617433930332, 0.110323639236418)); #474248=CARTESIAN_POINT('Ctrl Pts',(-12.5182541214475,8.72800889862956, 0.142415059775335)); #474249=CARTESIAN_POINT('Ctrl Pts',(-12.5492126841305,8.75280785413505, 0.16029340063426)); #474250=CARTESIAN_POINT('Ctrl Pts',(-12.5994400694648,8.81174332980747, 0.194139694900306)); #474251=CARTESIAN_POINT('Ctrl Pts',(-12.6226555430967,8.85010076424668, 0.212657279348671)); #474252=CARTESIAN_POINT('Ctrl Pts',(-12.646794388053,8.92663689595626,0.241477426187912)); #474253=CARTESIAN_POINT('Ctrl Pts',(-12.6523299576198,8.95735296406802, 0.251525015817451)); #474254=CARTESIAN_POINT('Ctrl Pts',(-12.6552728158821,9.01943261673509, 0.268849280178251)); #474255=CARTESIAN_POINT('Ctrl Pts',(-12.6528542878079,9.05084789969601, 0.276203169580595)); #474256=CARTESIAN_POINT('Ctrl Pts',(-12.6463684894248,9.08160700080879, 0.281928309383027)); #474257=CARTESIAN_POINT('Ctrl Pts',(-12.27850743587,9.594450667973,2.04654259613)); #474258=CARTESIAN_POINT('Ctrl Pts',(-12.29220778551,9.550756090088,2.063802924847)); #474259=CARTESIAN_POINT('Ctrl Pts',(-12.29555068052,9.470692565065,2.08998061601)); #474260=CARTESIAN_POINT('Ctrl Pts',(-12.25504422452,9.36415263822,2.113557863458)); #474261=CARTESIAN_POINT('Ctrl Pts',(-12.19256082407,9.286280168937,2.122558409735)); #474262=CARTESIAN_POINT('Ctrl Pts',(-12.10921047622,9.23068014195,2.119284274096)); #474263=CARTESIAN_POINT('Ctrl Pts',(-12.01396880701,9.203340601942,2.1040878036)); #474264=CARTESIAN_POINT('Ctrl Pts',(-11.91709248157,9.207205130579,2.078605720362)); #474265=CARTESIAN_POINT('Ctrl Pts',(-11.81456013783,9.247545276893,2.040162547058)); #474266=CARTESIAN_POINT('Ctrl Pts',(-11.76031785193,9.304338917549,2.008604115573)); #474267=CARTESIAN_POINT('Ctrl Pts',(-11.73877980295,9.344715896974,1.990431169455)); #474268=CARTESIAN_POINT('Ctrl Pts',(-12.2967647621,9.571976957321,1.97206188482)); #474269=CARTESIAN_POINT('Ctrl Pts',(-12.31079899504,9.528085170376,1.988831374061)); #474270=CARTESIAN_POINT('Ctrl Pts',(-12.31461585431,9.447626704873,2.014304138314)); #474271=CARTESIAN_POINT('Ctrl Pts',(-12.2744584735,9.340573806275,2.03731985771)); #474272=CARTESIAN_POINT('Ctrl Pts',(-12.21203883588,9.26237399047,2.046176797619)); #474273=CARTESIAN_POINT('Ctrl Pts',(-12.12853462296,9.20662885392,2.043110763491)); #474274=CARTESIAN_POINT('Ctrl Pts',(-12.03297285881,9.179365312566,2.028453065384)); #474275=CARTESIAN_POINT('Ctrl Pts',(-11.93568448692,9.183530182874,2.003788760727)); #474276=CARTESIAN_POINT('Ctrl Pts',(-11.83266421182,9.224441609232,1.966532260454)); #474277=CARTESIAN_POINT('Ctrl Pts',(-11.77815124794,9.281770269959,1.935930028405)); #474278=CARTESIAN_POINT('Ctrl Pts',(-11.75651549557,9.322462551497,1.91831042738)); #474279=CARTESIAN_POINT('Ctrl Pts',(-12.32783329897,9.533254887698,1.837526030178)); #474280=CARTESIAN_POINT('Ctrl Pts',(-12.34232796584,9.489077348706,1.853542016877)); #474281=CARTESIAN_POINT('Ctrl Pts',(-12.34679171471,9.408050343659,1.877923285238)); #474282=CARTESIAN_POINT('Ctrl Pts',(-12.3070907485,9.300270572472,1.900050875839)); #474283=CARTESIAN_POINT('Ctrl Pts',(-12.24472968113,9.221618568084,1.908660056011)); #474284=CARTESIAN_POINT('Ctrl Pts',(-12.16098141357,9.165692284052,1.905884941753)); #474285=CARTESIAN_POINT('Ctrl Pts',(-12.06495073585,9.138572600664,1.892027063085)); #474286=CARTESIAN_POINT('Ctrl Pts',(-11.96707825938,9.14320742782,1.868593399497)); #474287=CARTESIAN_POINT('Ctrl Pts',(-11.86338742255,9.184983097024,1.83313269398)); #474288=CARTESIAN_POINT('Ctrl Pts',(-11.80852548383,9.243104500459,1.803981436416)); #474289=CARTESIAN_POINT('Ctrl Pts',(-11.78677810335,9.284258654738,1.787200892624)); #474290=CARTESIAN_POINT('Ctrl Pts',(-12.37045049749,9.478599226345,1.644455927606)); #474291=CARTESIAN_POINT('Ctrl Pts',(-12.38506070121,9.434264888363,1.660176906884)); #474292=CARTESIAN_POINT('Ctrl Pts',(-12.38963366753,9.35296595925,1.684139122466)); #474293=CARTESIAN_POINT('Ctrl Pts',(-12.34986759264,9.244928457013,1.705941659134)); #474294=CARTESIAN_POINT('Ctrl Pts',(-12.28731732448,9.166197991596,1.714476997794)); #474295=CARTESIAN_POINT('Ctrl Pts',(-12.20328975871,9.110373604936,1.711839162954)); #474296=CARTESIAN_POINT('Ctrl Pts',(-12.1069502555,9.083545169341,1.698315664054)); #474297=CARTESIAN_POINT('Ctrl Pts',(-12.00880766359,9.088638524494,1.675381850224)); #474298=CARTESIAN_POINT('Ctrl Pts',(-11.90492274711,9.131082461035,1.640641736642)); #474299=CARTESIAN_POINT('Ctrl Pts',(-11.85008138136,9.189722008754,1.612069222478)); #474300=CARTESIAN_POINT('Ctrl Pts',(-11.82841815683,9.231151626504,1.595623884941)); #474301=CARTESIAN_POINT('Ctrl Pts',(-12.40931102194,9.428166958442,1.466168486846)); #474302=CARTESIAN_POINT('Ctrl Pts',(-12.42394768073,9.383718325137,1.481721550704)); #474303=CARTESIAN_POINT('Ctrl Pts',(-12.42850071391,9.302241022973,1.50544901751)); #474304=CARTESIAN_POINT('Ctrl Pts',(-12.38856821646,9.194079986413,1.527076885254)); #474305=CARTESIAN_POINT('Ctrl Pts',(-12.32580270484,9.115365591626,1.535580803824)); #474306=CARTESIAN_POINT('Ctrl Pts',(-12.24152696009,9.059697366764,1.533031870584)); #474307=CARTESIAN_POINT('Ctrl Pts',(-12.14495419037,9.033161877857,1.519708547564)); #474308=CARTESIAN_POINT('Ctrl Pts',(-12.04664248531,9.038659562587,1.497067694814)); #474309=CARTESIAN_POINT('Ctrl Pts',(-11.94268504702,9.081650971263,1.462746042403)); #474310=CARTESIAN_POINT('Ctrl Pts',(-11.8879323147,9.140686969547,1.434508064706)); #474311=CARTESIAN_POINT('Ctrl Pts',(-11.86637766162,9.182318654085,1.418256729364)); #474312=CARTESIAN_POINT('Ctrl Pts',(-12.4467669279,9.37962813615,1.285968032127)); #474313=CARTESIAN_POINT('Ctrl Pts',(-12.4616942911,9.334936057516,1.30088748566)); #474314=CARTESIAN_POINT('Ctrl Pts',(-12.46662907721,9.253006939626,1.323687712586)); #474315=CARTESIAN_POINT('Ctrl Pts',(-12.42689346155,9.144331061857,1.344542535234)); #474316=CARTESIAN_POINT('Ctrl Pts',(-12.36406055134,9.065349359646,1.352810778844)); #474317=CARTESIAN_POINT('Ctrl Pts',(-12.27951808492,9.009657142184,1.350478040484)); #474318=CARTESIAN_POINT('Ctrl Pts',(-12.18254912456,8.983370416229,1.337800294794)); #474319=CARTESIAN_POINT('Ctrl Pts',(-12.08380174679,8.989375081516,1.316169983994)); #474320=CARTESIAN_POINT('Ctrl Pts',(-11.97940193036,9.033189433302,1.283333204119)); #474321=CARTESIAN_POINT('Ctrl Pts',(-11.92447884018,9.092920888835,1.256299010605)); #474322=CARTESIAN_POINT('Ctrl Pts',(-11.90290754884,9.134941537528,1.240743169452)); #474323=CARTESIAN_POINT('Ctrl Pts',(-12.48217519833,9.333292519799,1.104907424917)); #474324=CARTESIAN_POINT('Ctrl Pts',(-12.49734580709,9.288380063132,1.119222924577)); #474325=CARTESIAN_POINT('Ctrl Pts',(-12.50259434485,9.206047479178,1.141132718888)); #474326=CARTESIAN_POINT('Ctrl Pts',(-12.46300347183,9.096923251238,1.161232450554)); #474327=CARTESIAN_POINT('Ctrl Pts',(-12.40009106411,9.01771948666,1.169257201764)); #474328=CARTESIAN_POINT('Ctrl Pts',(-12.31530042037,8.96202737261,1.167111165304)); #474329=CARTESIAN_POINT('Ctrl Pts',(-12.21797792169,8.935986604444,1.155030705964)); #474330=CARTESIAN_POINT('Ctrl Pts',(-12.11885188985,8.94246711652,1.134347720124)); #474331=CARTESIAN_POINT('Ctrl Pts',(-12.01407955993,8.987038946641,1.102910192614)); #474332=CARTESIAN_POINT('Ctrl Pts',(-11.95902742991,9.047400985205,1.077013215856)); #474333=CARTESIAN_POINT('Ctrl Pts',(-11.93745598227,9.089771269289,1.06211397315)); #474334=CARTESIAN_POINT('Ctrl Pts',(-12.51665172645,9.287272361689,0.924866467455)); #474335=CARTESIAN_POINT('Ctrl Pts',(-12.53175696163,9.242292011602,0.9391431705655)); #474336=CARTESIAN_POINT('Ctrl Pts',(-12.53685283837,9.159879055181,0.9610039215116)); #474337=CARTESIAN_POINT('Ctrl Pts',(-12.49699237838,9.050766810545,0.9810774630637)); #474338=CARTESIAN_POINT('Ctrl Pts',(-12.43383959634,8.971671624528,0.9891093826037)); #474339=CARTESIAN_POINT('Ctrl Pts',(-12.34883550896,8.916185177347,0.9869983710337)); #474340=CARTESIAN_POINT('Ctrl Pts',(-12.25136163919,8.890432068546,0.9749772089937)); #474341=CARTESIAN_POINT('Ctrl Pts',(-12.15217631541,8.897254020196,0.9543728576837)); #474342=CARTESIAN_POINT('Ctrl Pts',(-12.04746554476,8.942241002681,0.9230424881828)); #474343=CARTESIAN_POINT('Ctrl Pts',(-11.99258015767,9.002868808289,0.8972290893276)); #474344=CARTESIAN_POINT('Ctrl Pts',(-11.97114747672,9.045362366225,0.8823786389979)); #474345=CARTESIAN_POINT('Ctrl Pts',(-12.56247593035,9.225708111654,0.6848410408356)); #474346=CARTESIAN_POINT('Ctrl Pts',(-12.57751969315,9.180637900566,0.6990749976584)); #474347=CARTESIAN_POINT('Ctrl Pts',(-12.58245048434,9.098106948089,0.7208875430789)); #474348=CARTESIAN_POINT('Ctrl Pts',(-12.54226411165,8.988979309174,0.7409481861437)); #474349=CARTESIAN_POINT('Ctrl Pts',(-12.47880381544,8.909997275156,0.7490043206237)); #474350=CARTESIAN_POINT('Ctrl Pts',(-12.39351335294,8.854755354636,0.7469483835637)); #474351=CARTESIAN_POINT('Ctrl Pts',(-12.29582468763,8.829363397834,0.7350075460837)); #474352=CARTESIAN_POINT('Ctrl Pts',(-12.19654142784,8.836628915699,0.7145025838737)); #474353=CARTESIAN_POINT('Ctrl Pts',(-12.09189080135,8.882169407911,0.6833026829966)); #474354=CARTESIAN_POINT('Ctrl Pts',(-12.03721786959,8.943161999064,0.6575889374465)); #474355=CARTESIAN_POINT('Ctrl Pts',(-12.0159686655,8.98582785416,0.6427969995069)); #474356=CARTESIAN_POINT('Ctrl Pts',(-12.60114346028,9.173602817958,0.472835417855)); #474357=CARTESIAN_POINT('Ctrl Pts',(-12.61640289036,9.128311875549,0.4864762941088)); #474358=CARTESIAN_POINT('Ctrl Pts',(-12.62159964944,9.0453838341,0.5074122652721)); #474359=CARTESIAN_POINT('Ctrl Pts',(-12.58150037785,8.935832044138,0.5267253031237)); #474360=CARTESIAN_POINT('Ctrl Pts',(-12.51792317649,8.856657507446,0.5345358983937)); #474361=CARTESIAN_POINT('Ctrl Pts',(-12.43236395881,8.801450859805,0.5326565120737)); #474362=CARTESIAN_POINT('Ctrl Pts',(-12.33432087765,8.776341573288,0.5212956301737)); #474363=CARTESIAN_POINT('Ctrl Pts',(-12.23467850418,8.784116605965,0.5017148608237)); #474364=CARTESIAN_POINT('Ctrl Pts',(-12.1296999773,8.83044492175,0.4718825470528)); #474365=CARTESIAN_POINT('Ctrl Pts',(-12.07494522748,8.892077817052,0.4472812510596)); #474366=CARTESIAN_POINT('Ctrl Pts',(-12.0537264243,8.93509334527,0.4331314635238)); #474367=CARTESIAN_POINT('Ctrl Pts',(-12.63185911085,9.132007298565,0.2893466462264)); #474368=CARTESIAN_POINT('Ctrl Pts',(-12.64739016398,9.086483886135,0.3022313374167)); #474369=CARTESIAN_POINT('Ctrl Pts',(-12.65295100189,9.00312305087,0.3220359559351)); #474370=CARTESIAN_POINT('Ctrl Pts',(-12.61305857003,8.893072469024,0.3403581784937)); #474371=CARTESIAN_POINT('Ctrl Pts',(-12.54944364405,8.813632057647,0.3478171519737)); #474372=CARTESIAN_POINT('Ctrl Pts',(-12.46366238056,8.758386931991,0.3461237578237)); #474373=CARTESIAN_POINT('Ctrl Pts',(-12.36527448641,8.733494586559,0.3354665359937)); #474374=CARTESIAN_POINT('Ctrl Pts',(-12.26524516298,8.74172853476,0.3170334186237)); #474375=CARTESIAN_POINT('Ctrl Pts',(-12.15986725524,8.788809247616,0.2889146171725)); #474376=CARTESIAN_POINT('Ctrl Pts',(-12.1049527095,8.851080598941,0.2657131054375)); #474377=CARTESIAN_POINT('Ctrl Pts',(-12.08371357696,8.894452964828,0.2523704216214)); #474378=CARTESIAN_POINT('Ctrl Pts',(-12.65331345223,9.102974339547,0.1552698984056)); #474379=CARTESIAN_POINT('Ctrl Pts',(-12.66910198313,9.057257409952,0.167465042047)); #474380=CARTESIAN_POINT('Ctrl Pts',(-12.67502027707,8.973524482479,0.1862343468625)); #474381=CARTESIAN_POINT('Ctrl Pts',(-12.63536514158,8.863021180565,0.2036430330921)); #474382=CARTESIAN_POINT('Ctrl Pts',(-12.5717611345,8.783317370886,0.2107710880006)); #474383=CARTESIAN_POINT('Ctrl Pts',(-12.48581951265,8.727993984736,0.2092368719493)); #474384=CARTESIAN_POINT('Ctrl Pts',(-12.38714943598,8.703237584624,0.1992117726839)); #474385=CARTESIAN_POINT('Ctrl Pts',(-12.28678381433,8.711815642886,0.1818170093463)); #474386=CARTESIAN_POINT('Ctrl Pts',(-12.1810379727,8.759491005418,0.1552527104765)); #474387=CARTESIAN_POINT('Ctrl Pts',(-12.12595285377,8.822284749075,0.1333227003362)); #474388=CARTESIAN_POINT('Ctrl Pts',(-12.10467298286,8.86595389495,0.1207129081896)); #474389=CARTESIAN_POINT('Ctrl Pts',(-12.66897100128,9.081378698115,0.05232480564004)); #474390=CARTESIAN_POINT('Ctrl Pts',(-12.68478493048,9.035603135439,0.06436646819092)); #474391=CARTESIAN_POINT('Ctrl Pts',(-12.69071708322,8.951773005223,0.08290672551129)); #474392=CARTESIAN_POINT('Ctrl Pts',(-12.65101293587,8.841187177347,0.1001158490921)); #474393=CARTESIAN_POINT('Ctrl Pts',(-12.58732801568,8.76146910453,0.1071740565594)); #474394=CARTESIAN_POINT('Ctrl Pts',(-12.50128347336,8.706199476935,0.1056790389365)); #474395=CARTESIAN_POINT('Ctrl Pts',(-12.40251033493,8.681565501401,0.09579795571063)); #474396=CARTESIAN_POINT('Ctrl Pts',(-12.30206494299,8.69032389656,0.07863700028854)); #474397=CARTESIAN_POINT('Ctrl Pts',(-12.19627784427,8.738251849917,0.05242116746938)); #474398=CARTESIAN_POINT('Ctrl Pts',(-12.14122463456,8.801233440721,0.03077557880684)); #474399=CARTESIAN_POINT('Ctrl Pts',(-12.11998913042,8.844999314308,0.01832981865634)); #474400=CARTESIAN_POINT('Ctrl Pts',(-12.680081841,9.065869803493,-0.01954262694889)); #474401=CARTESIAN_POINT('Ctrl Pts',(-12.69584120702,9.020088002763,-0.007435835463918)); #474402=CARTESIAN_POINT('Ctrl Pts',(-12.7016726127,8.936265678003,0.01120588884475)); #474403=CARTESIAN_POINT('Ctrl Pts',(-12.66183393414,8.825733166847,0.02851133441097)); #474404=CARTESIAN_POINT('Ctrl Pts',(-12.59805140697,8.746086816269,0.03561102115698)); #474405=CARTESIAN_POINT('Ctrl Pts',(-12.51193949496,8.690907979425,0.03411123451893)); #474406=CARTESIAN_POINT('Ctrl Pts',(-12.41313817803,8.666374599203,0.02417969594148)); #474407=CARTESIAN_POINT('Ctrl Pts',(-12.31270856703,8.6752325345,0.006928429868432)); #474408=CARTESIAN_POINT('Ctrl Pts',(-12.20699086397,8.723261999816,-0.01942679659378)); #474409=CARTESIAN_POINT('Ctrl Pts',(-12.15202716947,8.786292460909,-0.04118801952181)); #474410=CARTESIAN_POINT('Ctrl Pts',(-12.13085416565,8.83007454265,-0.05370018555316)); #474411=CARTESIAN_POINT('',(-12.2990510787393,9.53735827165084,2.01838925158086)); #474412=CARTESIAN_POINT('Ctrl Pts',(-12.6463684605941,9.08160698516179, 0.281928570533492)); #474413=CARTESIAN_POINT('Ctrl Pts',(-12.6452984189484,9.08305118961431, 0.288488870768862)); #474414=CARTESIAN_POINT('Ctrl Pts',(-12.6441726333861,9.08483092669276, 0.294959048338361)); #474415=CARTESIAN_POINT('Ctrl Pts',(-12.6430553954191,9.08653285853273, 0.301446719899855)); #474416=CARTESIAN_POINT('Ctrl Pts',(-12.6417425588226,9.0885327533359,0.309070210063084)); #474417=CARTESIAN_POINT('Ctrl Pts',(-12.6404419148584,9.09042530515378, 0.316717903718742)); #474418=CARTESIAN_POINT('Ctrl Pts',(-12.6391490563381,9.09224237603088, 0.3243818675524)); #474419=CARTESIAN_POINT('Ctrl Pts',(-12.638452124792,9.09322189080056,0.328513223056481)); #474420=CARTESIAN_POINT('Ctrl Pts',(-12.6377574399104,9.09417946809179, 0.332649304671145)); #474421=CARTESIAN_POINT('Ctrl Pts',(-12.6370642381711,9.09512008181214, 0.336788861061563)); #474422=CARTESIAN_POINT('Ctrl Pts',(-12.6338996215351,9.0994141879268,0.355686835887373)); #474423=CARTESIAN_POINT('Ctrl Pts',(-12.6307656914617,9.10335470372816, 0.374657213523913)); #474424=CARTESIAN_POINT('Ctrl Pts',(-12.6275883543951,9.10741449442667, 0.39358069403673)); #474425=CARTESIAN_POINT('Ctrl Pts',(-12.6224239208139,9.11401326533981, 0.424338859187805)); #474426=CARTESIAN_POINT('Ctrl Pts',(-12.6171462640994,9.12092747897915, 0.45497326491288)); #474427=CARTESIAN_POINT('Ctrl Pts',(-12.6117813365591,9.12806949773276, 0.48550506166428)); #474428=CARTESIAN_POINT('Ctrl Pts',(-12.6097694887315,9.13074775476537, 0.496954485446055)); #474429=CARTESIAN_POINT('Ctrl Pts',(-12.607745334589,9.13345803919607,0.508389476419316)); #474430=CARTESIAN_POINT('Ctrl Pts',(-12.6057101490311,9.13619570881496, 0.519811140198318)); #474431=CARTESIAN_POINT('Ctrl Pts',(-12.6039293616679,9.13859116973148, 0.529805096004945)); #474432=CARTESIAN_POINT('Ctrl Pts',(-12.6021401273724,9.14100759721746, 0.539788848068633)); #474433=CARTESIAN_POINT('Ctrl Pts',(-12.6003432838696,9.14344187795761, 0.549763135290385)); #474434=CARTESIAN_POINT('Ctrl Pts',(-12.5877653793496,9.16048184313871, 0.619583145842651)); #474435=CARTESIAN_POINT('Ctrl Pts',(-12.5748140729473,9.17839648685402, 0.688939281959395)); #474436=CARTESIAN_POINT('Ctrl Pts',(-12.5617755036103,9.19611778947699, 0.758085044478695)); #474437=CARTESIAN_POINT('Ctrl Pts',(-12.5560988475724,9.20383318653731, 0.788189322038041)); #474438=CARTESIAN_POINT('Ctrl Pts',(-12.5504058456121,9.21151198037612, 0.818253753743021)); #474439=CARTESIAN_POINT('Ctrl Pts',(-12.5447012995941,9.21917932980911, 0.848296217306722)); #474440=CARTESIAN_POINT('Ctrl Pts',(-12.5389967535761,9.2268466792421,0.878338680870422)); #474441=CARTESIAN_POINT('Ctrl Pts',(-12.5332806558295,9.23450258225857, 0.90835917497028)); #474442=CARTESIAN_POINT('Ctrl Pts',(-12.5275576718053,9.24217216663106, 0.938375553370824)); #474443=CARTESIAN_POINT('Ctrl Pts',(-12.5161117037568,9.25751133537611, 0.998408310172202)); #474444=CARTESIAN_POINT('Ctrl Pts',(-12.504638100847,9.27290521089261,1.05842459124263)); #474445=CARTESIAN_POINT('Ctrl Pts',(-12.4929640805066,9.28835691343824, 1.11870485987909)); #474446=CARTESIAN_POINT('Ctrl Pts',(-12.4812900601662,9.30380861598378, 1.17898512851525)); #474447=CARTESIAN_POINT('Ctrl Pts',(-12.4694156861922,9.3193181597664,1.23952939543464)); #474448=CARTESIAN_POINT('Ctrl Pts',(-12.4571918155002,9.33520447236088, 1.29993326940537)); #474449=CARTESIAN_POINT('Ctrl Pts',(-12.4449679448083,9.35109078495536, 1.3603371433761)); #474450=CARTESIAN_POINT('Ctrl Pts',(-12.4323945872465,9.36735386808895, 1.42060062423763)); #474451=CARTESIAN_POINT('Ctrl Pts',(-12.4196288189303,9.38390919066047, 1.48047258956692)); #474452=CARTESIAN_POINT('Ctrl Pts',(-12.406863050614,9.40046451323207,1.5403445548965)); #474453=CARTESIAN_POINT('Ctrl Pts',(-12.3939048667482,9.41731207431692, 1.59982500438136)); #474454=CARTESIAN_POINT('Ctrl Pts',(-12.3808471407771,9.43417539290272, 1.65934567742702)); #474455=CARTESIAN_POINT('Ctrl Pts',(-12.367789414806,9.45103871148844,1.71886635047239)); #474456=CARTESIAN_POINT('Ctrl Pts',(-12.3546321393231,9.46791778610756, 1.77842724602388)); #474457=CARTESIAN_POINT('Ctrl Pts',(-12.3410892049752,9.48502483065988, 1.83827210756803)); #474458=CARTESIAN_POINT('Ctrl Pts',(-12.3275462627233,9.50213188519619, 1.89811700403878)); #474459=CARTESIAN_POINT('Ctrl Pts',(-12.3136177327386,9.5194669243523,1.95824587813067)); #474460=CARTESIAN_POINT('Ctrl Pts',(-12.299051078741,9.53735827165128,2.01838925158116)); #474461=CARTESIAN_POINT('Origin',(-12.0094664159551,9.47959474482057,1.96543555046769)); #474462=CARTESIAN_POINT('Ctrl Pts',(-12.04560497706,9.880123652794,2.926562258103)); #474463=CARTESIAN_POINT('Ctrl Pts',(-12.05817843588,9.837363565325,2.945809831564)); #474464=CARTESIAN_POINT('Ctrl Pts',(-12.06005438718,9.75908070181,2.974691945087)); #474465=CARTESIAN_POINT('Ctrl Pts',(-12.01899899756,9.654533804264,3.000170015095)); #474466=CARTESIAN_POINT('Ctrl Pts',(-11.95722826488,9.577685499336,3.009401392342)); #474467=CARTESIAN_POINT('Ctrl Pts',(-11.87548464322,9.521962698384,3.004986996743)); #474468=CARTESIAN_POINT('Ctrl Pts',(-11.78236535801,9.493226593349,2.987390875816)); #474469=CARTESIAN_POINT('Ctrl Pts',(-11.68766450702,9.494499001543,2.958464010279)); #474470=CARTESIAN_POINT('Ctrl Pts',(-11.58700176728,9.530821612123,2.915065993564)); #474471=CARTESIAN_POINT('Ctrl Pts',(-11.53327746446,9.58442760762,2.879525446033)); #474472=CARTESIAN_POINT('Ctrl Pts',(-11.51164192977,9.623133705833,2.859020545213)); #474473=CARTESIAN_POINT('Ctrl Pts',(-12.0672290367,9.854096582876,2.854526878634)); #474474=CARTESIAN_POINT('Ctrl Pts',(-12.07982816118,9.811285264929,2.873723281558)); #474475=CARTESIAN_POINT('Ctrl Pts',(-12.08171020126,9.732917339482,2.902543633157)); #474476=CARTESIAN_POINT('Ctrl Pts',(-12.04057991826,9.628303722821,2.927995569479)); #474477=CARTESIAN_POINT('Ctrl Pts',(-11.97869904016,9.551453858278,2.937245491457)); #474478=CARTESIAN_POINT('Ctrl Pts',(-11.89682022442,9.495796969313,2.932887383296)); #474479=CARTESIAN_POINT('Ctrl Pts',(-11.80356705821,9.467195650766,2.915379973364)); #474480=CARTESIAN_POINT('Ctrl Pts',(-11.70876160674,9.468661817168,2.886567373034)); #474481=CARTESIAN_POINT('Ctrl Pts',(-11.6080403725,9.505255113971,2.84332312342)); #474482=CARTESIAN_POINT('Ctrl Pts',(-11.55434987673,9.559063997058,2.8079017087)); #474483=CARTESIAN_POINT('Ctrl Pts',(-11.53276625495,9.597876176919,2.78746658871)); #474484=CARTESIAN_POINT('Ctrl Pts',(-12.09729142,9.818213180264,2.752621037321)); #474485=CARTESIAN_POINT('Ctrl Pts',(-12.1101721855,9.775224036357,2.771527407003)); #474486=CARTESIAN_POINT('Ctrl Pts',(-12.11242673969,9.696507217583,2.799962515423)); #474487=CARTESIAN_POINT('Ctrl Pts',(-12.07150514498,9.591464504728,2.825169085244)); #474488=CARTESIAN_POINT('Ctrl Pts',(-12.00958432404,9.514365517412,2.834423594798)); #474489=CARTESIAN_POINT('Ctrl Pts',(-11.92747806945,9.458639266892,2.830280877138)); #474490=CARTESIAN_POINT('Ctrl Pts',(-11.83387021382,9.430180122368,2.813179124098)); #474491=CARTESIAN_POINT('Ctrl Pts',(-11.73866131621,9.431997667261,2.784928062428)); #474492=CARTESIAN_POINT('Ctrl Pts',(-11.63751089626,9.469200978851,2.742467379348)); #474493=CARTESIAN_POINT('Ctrl Pts',(-11.58363048878,9.523552643222,2.70766501697)); #474494=CARTESIAN_POINT('Ctrl Pts',(-11.56200679603,9.562677956231,2.687590548372)); #474495=CARTESIAN_POINT('Ctrl Pts',(-12.13289864509,9.776492744259,2.617545871033)); #474496=CARTESIAN_POINT('Ctrl Pts',(-12.14671627216,9.733013453203,2.635261338258)); #474497=CARTESIAN_POINT('Ctrl Pts',(-12.15031939515,9.653286546738,2.662008865891)); #474498=CARTESIAN_POINT('Ctrl Pts',(-12.11044806088,9.546877688267,2.685913391604)); #474499=CARTESIAN_POINT('Ctrl Pts',(-12.04879098441,9.468862332218,2.694879804164)); #474500=CARTESIAN_POINT('Ctrl Pts',(-11.96634795577,9.412659630271,2.691301258794)); #474501=CARTESIAN_POINT('Ctrl Pts',(-11.87192777141,9.384275362818,2.675559952904)); #474502=CARTESIAN_POINT('Ctrl Pts',(-11.7756194133,9.386741862465,2.649338266644)); #474503=CARTESIAN_POINT('Ctrl Pts',(-11.67310964418,9.425288007035,2.609806126079)); #474504=CARTESIAN_POINT('Ctrl Pts',(-11.61841737438,9.480956897626,2.577357379715)); #474505=CARTESIAN_POINT('Ctrl Pts',(-11.59646642261,9.520876045919,2.558647708359)); #474506=CARTESIAN_POINT('Ctrl Pts',(-12.16306408535,9.740184734357,2.498683870229)); #474507=CARTESIAN_POINT('Ctrl Pts',(-12.17731326574,9.696444645175,2.515763506521)); #474508=CARTESIAN_POINT('Ctrl Pts',(-12.18151904134,9.616199540757,2.54160409082)); #474509=CARTESIAN_POINT('Ctrl Pts',(-12.14206701194,9.509130043286,2.564796750844)); #474510=CARTESIAN_POINT('Ctrl Pts',(-12.08045719842,9.430705593167,2.573591765424)); #474511=CARTESIAN_POINT('Ctrl Pts',(-11.99777828343,9.374341322332,2.570295810414)); #474512=CARTESIAN_POINT('Ctrl Pts',(-11.90291150593,9.346092553246,2.555262733354)); #474513=CARTESIAN_POINT('Ctrl Pts',(-11.80604782862,9.348993293594,2.530107711364)); #474514=CARTESIAN_POINT('Ctrl Pts',(-11.70289751633,9.388338156457,2.492121081386)); #474515=CARTESIAN_POINT('Ctrl Pts',(-11.64786788593,9.444741868056,2.460916912257)); #474516=CARTESIAN_POINT('Ctrl Pts',(-11.62580628861,9.485091039134,2.44292854717)); #474517=CARTESIAN_POINT('Ctrl Pts',(-12.19220459668,9.703979234599,2.381341432647)); #474518=CARTESIAN_POINT('Ctrl Pts',(-12.20643269711,9.660176563274,2.398373351239)); #474519=CARTESIAN_POINT('Ctrl Pts',(-12.21056263221,9.579845369668,2.424154669917)); #474520=CARTESIAN_POINT('Ctrl Pts',(-12.17093390206,9.472747746787,2.447318085544)); #474521=CARTESIAN_POINT('Ctrl Pts',(-12.10914465018,9.394378572981,2.456125080504)); #474522=CARTESIAN_POINT('Ctrl Pts',(-12.02628675785,9.338154041885,2.452875713904)); #474523=CARTESIAN_POINT('Ctrl Pts',(-11.93127233074,9.310122293818,2.437919267354)); #474524=CARTESIAN_POINT('Ctrl Pts',(-11.83432152657,9.313297879451,2.412864746774)); #474525=CARTESIAN_POINT('Ctrl Pts',(-11.73116695118,9.352995642521,2.37501459554)); #474526=CARTESIAN_POINT('Ctrl Pts',(-11.67623439586,9.409641378179,2.343916681449)); #474527=CARTESIAN_POINT('Ctrl Pts',(-11.65426696233,9.450109678124,2.325990469683)); #474528=CARTESIAN_POINT('Ctrl Pts',(-12.22208049059,9.665750882604,2.266381547709)); #474529=CARTESIAN_POINT('Ctrl Pts',(-12.23585978389,9.622079858747,2.283940834874)); #474530=CARTESIAN_POINT('Ctrl Pts',(-12.23927064656,9.542080861817,2.310495869799)); #474531=CARTESIAN_POINT('Ctrl Pts',(-12.19889504702,9.435562562451,2.334308913024)); #474532=CARTESIAN_POINT('Ctrl Pts',(-12.13670429657,9.357693120776,2.343319059854)); #474533=CARTESIAN_POINT('Ctrl Pts',(-12.05371292412,9.30189640682,2.339897886644)); #474534=CARTESIAN_POINT('Ctrl Pts',(-11.95882628213,9.274160365005,2.324412275604)); #474535=CARTESIAN_POINT('Ctrl Pts',(-11.86222664326,9.277460705928,2.298524207664)); #474536=CARTESIAN_POINT('Ctrl Pts',(-11.75966938592,9.31708993047,2.259443930791)); #474537=CARTESIAN_POINT('Ctrl Pts',(-11.70525031767,9.373511425017,2.227346485757)); #474538=CARTESIAN_POINT('Ctrl Pts',(-11.68357636669,9.41380449557,2.208842386793)); #474539=CARTESIAN_POINT('Ctrl Pts',(-12.25601343041,9.622811743464,2.13596235876)); #474540=CARTESIAN_POINT('Ctrl Pts',(-12.26962721898,9.579136683498,2.153658594367)); #474541=CARTESIAN_POINT('Ctrl Pts',(-12.2727383448,9.499182259835,2.180423830134)); #474542=CARTESIAN_POINT('Ctrl Pts',(-12.23197085977,9.3928371298,2.204431102009)); #474543=CARTESIAN_POINT('Ctrl Pts',(-12.16950002482,9.315180297828,2.213520267426)); #474544=CARTESIAN_POINT('Ctrl Pts',(-12.08631761396,9.259639828468,2.21008135875)); #474545=CARTESIAN_POINT('Ctrl Pts',(-11.99135234912,9.232178135554,2.194483264116)); #474546=CARTESIAN_POINT('Ctrl Pts',(-11.89479823755,9.235742471134,2.16840052973)); #474547=CARTESIAN_POINT('Ctrl Pts',(-11.79243708985,9.275634312791,2.129022732289)); #474548=CARTESIAN_POINT('Ctrl Pts',(-11.73827007681,9.332176618858,2.096679527225)); #474549=CARTESIAN_POINT('Ctrl Pts',(-11.71677241052,9.372507281137,2.078033963479)); #474550=CARTESIAN_POINT('Ctrl Pts',(-12.28209171478,9.590278866459,2.032836654462)); #474551=CARTESIAN_POINT('Ctrl Pts',(-12.29594605197,9.546432725269,2.050171778527)); #474552=CARTESIAN_POINT('Ctrl Pts',(-12.29937739024,9.466151455131,2.076427672718)); #474553=CARTESIAN_POINT('Ctrl Pts',(-12.25879174996,9.359416942159,2.100046439181)); #474554=CARTESIAN_POINT('Ctrl Pts',(-12.19628917091,9.28154294924,2.109054486369)); #474555=CARTESIAN_POINT('Ctrl Pts',(-12.11291374887,9.225955210483,2.105792650693)); #474556=CARTESIAN_POINT('Ctrl Pts',(-12.01764603865,9.198641923925,2.090612128113)); #474557=CARTESIAN_POINT('Ctrl Pts',(-11.9207480005,9.202544631731,2.065148561373)); #474558=CARTESIAN_POINT('Ctrl Pts',(-11.81802249411,9.243008678997,2.026661919858)); #474559=CARTESIAN_POINT('Ctrl Pts',(-11.76369700775,9.300049916701,1.995033966918)); #474560=CARTESIAN_POINT('Ctrl Pts',(-11.74216825609,9.340664904601,1.976803307807)); #474561=CARTESIAN_POINT('Ctrl Pts',(-12.29956038061,9.568791917994,1.957675264612)); #474562=CARTESIAN_POINT('Ctrl Pts',(-12.31380235453,9.524725496723,1.974422048132)); #474563=CARTESIAN_POINT('Ctrl Pts',(-12.31778951687,9.443997599311,1.99982835471)); #474564=CARTESIAN_POINT('Ctrl Pts',(-12.27762853458,9.336672576919,2.022759516869)); #474565=CARTESIAN_POINT('Ctrl Pts',(-12.21522269097,9.258413108733,2.031578859765)); #474566=CARTESIAN_POINT('Ctrl Pts',(-12.1316940985,9.202640021206,2.028547115111)); #474567=CARTESIAN_POINT('Ctrl Pts',(-12.03607856455,9.1753873675,2.013992028777)); #474568=CARTESIAN_POINT('Ctrl Pts',(-11.9387199371,9.179602735643,1.989488416188)); #474569=CARTESIAN_POINT('Ctrl Pts',(-11.83543643731,9.220683613748,1.952403615645)); #474570=CARTESIAN_POINT('Ctrl Pts',(-11.78079034424,9.278313821467,1.921908895208)); #474571=CARTESIAN_POINT('Ctrl Pts',(-11.7591398621,9.319278745064,1.90433432893)); #474572=CARTESIAN_POINT('',(-12.06740747539,9.821113112026,2.901022393336)); #474573=CARTESIAN_POINT('Ctrl Pts',(-12.2990510787357,9.53735827165022, 2.01838925158018)); #474574=CARTESIAN_POINT('Ctrl Pts',(-12.2844839646508,9.55525046357122, 2.07853421993876)); #474575=CARTESIAN_POINT('Ctrl Pts',(-12.2694612241214,9.57382765489507, 2.13764663172981)); #474576=CARTESIAN_POINT('Ctrl Pts',(-12.2544332960706,9.59277887349664, 2.19599676810118)); #474577=CARTESIAN_POINT('Ctrl Pts',(-12.2469193276599,9.60225448832757, 2.225171853314)); #474578=CARTESIAN_POINT('Ctrl Pts',(-12.2394041167,9.61182362082098,2.25415638145532)); #474579=CARTESIAN_POINT('Ctrl Pts',(-12.2319667848401,9.62136598334944, 2.2829568952568)); #474580=CARTESIAN_POINT('Ctrl Pts',(-12.2245294529802,9.63090834587788, 2.31175740905823)); #474581=CARTESIAN_POINT('Ctrl Pts',(-12.2171700364088,9.64042394555651, 2.34037391557761)); #474582=CARTESIAN_POINT('Ctrl Pts',(-12.2098377525945,9.64978977566641, 2.36923369419129)); #474583=CARTESIAN_POINT('Ctrl Pts',(-12.1951731849661,9.66852143588623, 2.42695325141868)); #474584=CARTESIAN_POINT('Ctrl Pts',(-12.1806170614704,9.68665400033854, 2.4856458807046)); #474585=CARTESIAN_POINT('Ctrl Pts',(-12.1655752712542,9.70489499725067, 2.54502825190739)); #474586=CARTESIAN_POINT('Ctrl Pts',(-12.1580543761461,9.71401549570673, 2.5747194375088)); #474587=CARTESIAN_POINT('Ctrl Pts',(-12.1504120835156,9.72316310626847, 2.60458306175418)); #474588=CARTESIAN_POINT('Ctrl Pts',(-12.1425429733745,9.7324176086785,2.63462876358251)); #474589=CARTESIAN_POINT('Ctrl Pts',(-12.1346738632335,9.74167211108853, 2.66467446541088)); #474590=CARTESIAN_POINT('Ctrl Pts',(-12.1265778399472,9.75103348552033, 2.69490222680952)); #474591=CARTESIAN_POINT('Ctrl Pts',(-12.1183214415856,9.76068391963136, 2.7248099864432)); #474592=CARTESIAN_POINT('Ctrl Pts',(-12.1018086448623,9.77998478785364, 2.78462550571129)); #474593=CARTESIAN_POINT('Ctrl Pts',(-12.0846552507442,9.80044207792698, 2.84316120895068)); #474594=CARTESIAN_POINT('Ctrl Pts',(-12.0674074753624,9.8211131120204,2.90102239332977)); #474595=CARTESIAN_POINT('Origin',(-11.780329655631,9.76288037440269,2.83625146120203)); #474596=CARTESIAN_POINT('Ctrl Pts',(-11.49187597446,10.50734225215,4.57729635095)); #474597=CARTESIAN_POINT('Ctrl Pts',(-11.50236871082,10.46621702302,4.599019468946)); #474598=CARTESIAN_POINT('Ctrl Pts',(-11.50174369816,10.39105980832,4.630874372655)); #474599=CARTESIAN_POINT('Ctrl Pts',(-11.46031414968,10.28991498412,4.657663823404)); #474600=CARTESIAN_POINT('Ctrl Pts',(-11.40056185827,10.21469115715,4.666122402682)); #474601=CARTESIAN_POINT('Ctrl Pts',(-11.32253220894,10.15843711343,4.659237220579)); #474602=CARTESIAN_POINT('Ctrl Pts',(-11.23402227607,10.12677456544,4.637696041722)); #474603=CARTESIAN_POINT('Ctrl Pts',(-11.14387675709,10.12286701973,4.603651669489)); #474604=CARTESIAN_POINT('Ctrl Pts',(-11.04723755462,10.15116615456,4.553277974244)); #474605=CARTESIAN_POINT('Ctrl Pts',(-10.99452068881,10.19823159724,4.512289439637)); #474606=CARTESIAN_POINT('Ctrl Pts',(-10.97254073219,10.23335338567,4.488579700198)); #474607=CARTESIAN_POINT('Ctrl Pts',(-11.55021839455,10.44631531132,4.427180081643)); #474608=CARTESIAN_POINT('Ctrl Pts',(-11.56149359385,10.40482119481,4.448401330941)); #474609=CARTESIAN_POINT('Ctrl Pts',(-11.56192803982,10.32888880677,4.479656014832)); #474610=CARTESIAN_POINT('Ctrl Pts',(-11.52118233295,10.22669793677,4.506207201558)); #474611=CARTESIAN_POINT('Ctrl Pts',(-11.46144810382,10.15078847238,4.514869606489)); #474612=CARTESIAN_POINT('Ctrl Pts',(-11.3829316583,10.09420983756,4.508566665065)); #474613=CARTESIAN_POINT('Ctrl Pts',(-11.29356349069,10.06267736371,4.487935399919)); #474614=CARTESIAN_POINT('Ctrl Pts',(-11.20237027321,10.05937597452,4.455061051679)); #474615=CARTESIAN_POINT('Ctrl Pts',(-11.10452328831,10.08887293178,4.406263690778)); #474616=CARTESIAN_POINT('Ctrl Pts',(-11.05115921982,10.13709769086,4.366497509829)); #474617=CARTESIAN_POINT('Ctrl Pts',(-11.0289562285,10.17292021706,4.343504311952)); #474618=CARTESIAN_POINT('Ctrl Pts',(-11.61668663664,10.37455267026,4.241624915636)); #474619=CARTESIAN_POINT('Ctrl Pts',(-11.62852895665,10.33272580411,4.262335488745)); #474620=CARTESIAN_POINT('Ctrl Pts',(-11.62970392454,10.25613663345,4.29293878017)); #474621=CARTESIAN_POINT('Ctrl Pts',(-11.58935465371,10.1531359729,4.319131263356)); #474622=CARTESIAN_POINT('Ctrl Pts',(-11.52951695063,10.07675731262,4.327876845353)); #474623=CARTESIAN_POINT('Ctrl Pts',(-11.45051732741,10.02005020811,4.322031309607)); #474624=CARTESIAN_POINT('Ctrl Pts',(-11.36040568782,9.988793734618,4.302190037948)); #474625=CARTESIAN_POINT('Ctrl Pts',(-11.26836471629,9.986172588125,4.270375059807)); #474626=CARTESIAN_POINT('Ctrl Pts',(-11.16960290308,10.01685752777,4.223035503846)); #474627=CARTESIAN_POINT('Ctrl Pts',(-11.11581449254,10.0661502284,4.184412728436)); #474628=CARTESIAN_POINT('Ctrl Pts',(-11.093506312,10.1025960502,4.162087653366)); #474629=CARTESIAN_POINT('Ctrl Pts',(-11.69429948385,10.28693206028,4.025917111858)); #474630=CARTESIAN_POINT('Ctrl Pts',(-11.70584306759,10.24508745724,4.046830176341)); #474631=CARTESIAN_POINT('Ctrl Pts',(-11.70646412118,10.1685599184,4.077747442197)); #474632=CARTESIAN_POINT('Ctrl Pts',(-11.665357914,10.06585738216,4.104237149284)); #474633=CARTESIAN_POINT('Ctrl Pts',(-11.60495476322,9.989863874278,4.113111119464)); #474634=CARTESIAN_POINT('Ctrl Pts',(-11.52553791976,9.933641373952,4.107253492474)); #474635=CARTESIAN_POINT('Ctrl Pts',(-11.4352094258,9.902926234499,4.087261629384)); #474636=CARTESIAN_POINT('Ctrl Pts',(-11.34318492921,9.900851592473,4.055175413364)); #474637=CARTESIAN_POINT('Ctrl Pts',(-11.24472567184,9.932115825162,4.007415265626)); #474638=CARTESIAN_POINT('Ctrl Pts',(-11.19138961077,9.981713699225,3.968442751808)); #474639=CARTESIAN_POINT('Ctrl Pts',(-11.16941480793,10.0182774445,3.945916550563)); #474640=CARTESIAN_POINT('Ctrl Pts',(-11.74298523949,10.23101354061,3.892759060728)); #474641=CARTESIAN_POINT('Ctrl Pts',(-11.75410181132,10.1892587179,3.913994566901)); #474642=CARTESIAN_POINT('Ctrl Pts',(-11.75402367275,10.11298713094,3.945370022006)); #474643=CARTESIAN_POINT('Ctrl Pts',(-11.71214384672,10.01078764565,3.972216599294)); #474644=CARTESIAN_POINT('Ctrl Pts',(-11.65128058303,9.935267438365,3.981173649924)); #474645=CARTESIAN_POINT('Ctrl Pts',(-11.57164427073,9.879500958744,3.975169237054)); #474646=CARTESIAN_POINT('Ctrl Pts',(-11.48134745751,9.849169754083,3.954814746644)); #474647=CARTESIAN_POINT('Ctrl Pts',(-11.38958911666,9.847363275919,3.922183914094)); #474648=CARTESIAN_POINT('Ctrl Pts',(-11.2916667573,9.878773132306,3.87363443815)); #474649=CARTESIAN_POINT('Ctrl Pts',(-11.23885449409,9.928316206334,3.834026096485)); #474650=CARTESIAN_POINT('Ctrl Pts',(-11.21720492031,9.964795740894,3.811131090209)); #474651=CARTESIAN_POINT('Ctrl Pts',(-11.79182891738,10.17617745889,3.756449416971)); #474652=CARTESIAN_POINT('Ctrl Pts',(-11.80328413703,10.13421432367,3.777445502896)); #474653=CARTESIAN_POINT('Ctrl Pts',(-11.80362892607,10.05753438092,3.808542522333)); #474654=CARTESIAN_POINT('Ctrl Pts',(-11.76192568565,9.954844236609,3.835296363944)); #474655=CARTESIAN_POINT('Ctrl Pts',(-11.700935665,9.879054208232,3.844371363714)); #474656=CARTESIAN_POINT('Ctrl Pts',(-11.62094431303,9.823241049972,3.838664837174)); #474657=CARTESIAN_POINT('Ctrl Pts',(-11.53014823897,9.793124356992,3.818761694984)); #474658=CARTESIAN_POINT('Ctrl Pts',(-11.43785135349,9.791789896338,3.786702511964)); #474659=CARTESIAN_POINT('Ctrl Pts',(-11.33938236581,9.823996808319,3.738916800998)); #474660=CARTESIAN_POINT('Ctrl Pts',(-11.28635493867,9.874240040975,3.699897925884)); #474661=CARTESIAN_POINT('Ctrl Pts',(-11.26467922305,9.911123055129,3.677348922419)); #474662=CARTESIAN_POINT('Ctrl Pts',(-11.83759369786,10.12620126736,3.614062006553)); #474663=CARTESIAN_POINT('Ctrl Pts',(-11.85027897583,10.08365487029,3.633912115919)); #474664=CARTESIAN_POINT('Ctrl Pts',(-11.85237321839,10.0057513309,3.663468795868)); #474665=CARTESIAN_POINT('Ctrl Pts',(-11.81200610732,9.901376037126,3.689197288314)); #474666=CARTESIAN_POINT('Ctrl Pts',(-11.75132962145,9.824436460872,3.698227748064)); #474667=CARTESIAN_POINT('Ctrl Pts',(-11.67087426512,9.767995533474,3.693302547854)); #474668=CARTESIAN_POINT('Ctrl Pts',(-11.57899849119,9.737917443741,3.674933302124)); #474669=CARTESIAN_POINT('Ctrl Pts',(-11.48524754828,9.737326624003,3.645029046964)); #474670=CARTESIAN_POINT('Ctrl Pts',(-11.38497356511,9.771136698763,3.6002753877)); #474671=CARTESIAN_POINT('Ctrl Pts',(-11.33085369001,9.822991982211,3.563662526371)); #474672=CARTESIAN_POINT('Ctrl Pts',(-11.30872699861,9.860861370012,3.542514878002)); #474673=CARTESIAN_POINT('Ctrl Pts',(-11.87011911741,10.08957113898,3.507804943417)); #474674=CARTESIAN_POINT('Ctrl Pts',(-11.8833292268,10.04674329156,3.527074237465)); #474675=CARTESIAN_POINT('Ctrl Pts',(-11.88615478465,9.968269156283,3.55583659355)); #474676=CARTESIAN_POINT('Ctrl Pts',(-11.84630338082,9.863147274223,3.581006906054)); #474677=CARTESIAN_POINT('Ctrl Pts',(-11.7856971294,9.785730468505,3.589974129554)); #474678=CARTESIAN_POINT('Ctrl Pts',(-11.70497326681,9.729076167086,3.585401406674)); #474679=CARTESIAN_POINT('Ctrl Pts',(-11.61257191366,9.699108567616,3.567766638244)); #474680=CARTESIAN_POINT('Ctrl Pts',(-11.51815459763,9.698960566632,3.538914001784)); #474681=CARTESIAN_POINT('Ctrl Pts',(-11.41709269749,9.733621943582,3.495652789355)); #474682=CARTESIAN_POINT('Ctrl Pts',(-11.36253468111,9.786284290299,3.46022944194)); #474683=CARTESIAN_POINT('Ctrl Pts',(-11.34024870721,9.824634772985,3.439773771312)); #474684=CARTESIAN_POINT('Ctrl Pts',(-11.90170269819,10.05314428613,3.402240759705)); #474685=CARTESIAN_POINT('Ctrl Pts',(-11.91513804804,10.01015584702,3.421218263844)); #474686=CARTESIAN_POINT('Ctrl Pts',(-11.91825164646,9.931378540781,3.449584720083)); #474687=CARTESIAN_POINT('Ctrl Pts',(-11.87853463352,9.825906396536,3.474483186454)); #474688=CARTESIAN_POINT('Ctrl Pts',(-11.81786002103,9.748305781149,3.483427356424)); #474689=CARTESIAN_POINT('Ctrl Pts',(-11.73691427969,9.691632477111,3.479040536334)); #474690=CARTESIAN_POINT('Ctrl Pts',(-11.64419123652,9.661834026906,3.461782821964)); #474691=CARTESIAN_POINT('Ctrl Pts',(-11.54942101768,9.662036840999,3.433465414594)); #474692=CARTESIAN_POINT('Ctrl Pts',(-11.44799545059,9.69727695709,3.390960793584)); #474693=CARTESIAN_POINT('Ctrl Pts',(-11.39328904973,9.750437935492,3.356139237286)); #474694=CARTESIAN_POINT('Ctrl Pts',(-11.37098106915,9.789072352466,3.336033844481)); #474695=CARTESIAN_POINT('Ctrl Pts',(-11.9433719536,10.00383359316,3.263255818281)); #474696=CARTESIAN_POINT('Ctrl Pts',(-11.95665803885,9.9608183612,3.282325678485)); #474697=CARTESIAN_POINT('Ctrl Pts',(-11.95948751149,9.882047070866,3.310838544206)); #474698=CARTESIAN_POINT('Ctrl Pts',(-11.9193691303,9.776711129194,3.335881210294)); #474699=CARTESIAN_POINT('Ctrl Pts',(-11.85838691344,9.699309790963,3.344892633704)); #474700=CARTESIAN_POINT('Ctrl Pts',(-11.77720705402,9.642903587368,3.340508976134)); #474701=CARTESIAN_POINT('Ctrl Pts',(-11.68435442484,9.613416534883,3.323190337754)); #474702=CARTESIAN_POINT('Ctrl Pts',(-11.58958016357,9.613944711359,3.294755671014)); #474703=CARTESIAN_POINT('Ctrl Pts',(-11.48830579103,9.649541073489,3.252065343852)); #474704=CARTESIAN_POINT('Ctrl Pts',(-11.4338398418,9.702899469425,3.217087908722)); #474705=CARTESIAN_POINT('Ctrl Pts',(-11.4117119357,9.741614424204,3.19689309741)); #474706=CARTESIAN_POINT('Ctrl Pts',(-11.99985540308,9.936295351669,3.075571022529)); #474707=CARTESIAN_POINT('Ctrl Pts',(-12.01289979696,9.893266498829,3.09482664525)); #474708=CARTESIAN_POINT('Ctrl Pts',(-12.01528511853,9.814546941263,3.123625835791)); #474709=CARTESIAN_POINT('Ctrl Pts',(-11.97457042891,9.70945249499,3.148936068189)); #474710=CARTESIAN_POINT('Ctrl Pts',(-11.91315114279,9.632359500247,3.158059609631)); #474711=CARTESIAN_POINT('Ctrl Pts',(-11.83165899112,9.576336831926,3.153658391806)); #474712=CARTESIAN_POINT('Ctrl Pts',(-11.73865819528,9.547272720073,3.136194704309)); #474713=CARTESIAN_POINT('Ctrl Pts',(-11.64392292351,9.548221145731,3.107504127625)); #474714=CARTESIAN_POINT('Ctrl Pts',(-11.54291669056,9.584253381693,3.064419634615)); #474715=CARTESIAN_POINT('Ctrl Pts',(-11.48882339481,9.637830688634,3.029115408994)); #474716=CARTESIAN_POINT('Ctrl Pts',(-11.4669637656,9.676624254004,3.008732518312)); #474717=CARTESIAN_POINT('Ctrl Pts',(-12.0463343564,9.879721747767,2.923702049439)); #474718=CARTESIAN_POINT('Ctrl Pts',(-12.05907138906,9.836739852161,2.943251601987)); #474719=CARTESIAN_POINT('Ctrl Pts',(-12.06092976668,9.758178705604,2.972488207379)); #474720=CARTESIAN_POINT('Ctrl Pts',(-12.01958370476,9.65343933759,2.998178783879)); #474721=CARTESIAN_POINT('Ctrl Pts',(-11.95775231846,9.576707916164,3.00743544154)); #474722=CARTESIAN_POINT('Ctrl Pts',(-11.87601890572,9.521064324114,3.002960743501)); #474723=CARTESIAN_POINT('Ctrl Pts',(-11.78297113916,9.492355681332,2.985224630695)); #474724=CARTESIAN_POINT('Ctrl Pts',(-11.68838593704,9.493597990882,2.956090979369)); #474725=CARTESIAN_POINT('Ctrl Pts',(-11.58776396269,9.529865490137,2.912343642756)); #474726=CARTESIAN_POINT('Ctrl Pts',(-11.53409385878,9.583493208929,2.876497243823)); #474727=CARTESIAN_POINT('Ctrl Pts',(-11.51251306252,9.622270150222,2.855801177778)); #474728=CARTESIAN_POINT('Ctrl Pts',(-12.07132782207,9.849936814331,2.838552128453)); #474729=CARTESIAN_POINT('Ctrl Pts',(-12.0843110218,9.806801580132,2.857821013428)); #474730=CARTESIAN_POINT('Ctrl Pts',(-12.08649670842,9.727938574503,2.886678107112)); #474731=CARTESIAN_POINT('Ctrl Pts',(-12.0453401114,9.622826488909,2.912110590156)); #474732=CARTESIAN_POINT('Ctrl Pts',(-11.98348307703,9.545877349023,2.921348373728)); #474733=CARTESIAN_POINT('Ctrl Pts',(-11.90156198423,9.490169525844,2.917055608181)); #474734=CARTESIAN_POINT('Ctrl Pts',(-11.80821505299,9.461577832901,2.899684719571)); #474735=CARTESIAN_POINT('Ctrl Pts',(-11.71328576926,9.4631167038,2.871067713904)); #474736=CARTESIAN_POINT('Ctrl Pts',(-11.61229353927,9.499903058247,2.82804950336)); #474737=CARTESIAN_POINT('Ctrl Pts',(-11.55845580827,9.55399457998,2.792782550994)); #474738=CARTESIAN_POINT('Ctrl Pts',(-11.53683719962,9.593039616328,2.772423836845)); #474739=CARTESIAN_POINT('',(-11.51510041834,10.44800026043,4.556148337584)); #474740=CARTESIAN_POINT('Ctrl Pts',(-12.06740747539,9.821113112026,2.901022393336)); #474741=CARTESIAN_POINT('Ctrl Pts',(-12.04651937834,9.846146778509,2.971094921441)); #474742=CARTESIAN_POINT('Ctrl Pts',(-12.00477582224,9.896780762482,3.108683573197)); #474743=CARTESIAN_POINT('Ctrl Pts',(-11.95284361697,9.959287614417,3.28172625171)); #474744=CARTESIAN_POINT('Ctrl Pts',(-11.91133346741,10.00859441132,3.420628184721)); #474745=CARTESIAN_POINT('Ctrl Pts',(-11.87954087093,10.04519287047,3.526465643761)); #474746=CARTESIAN_POINT('Ctrl Pts',(-11.84651764955,10.08212315719,3.63327160076)); #474747=CARTESIAN_POINT('Ctrl Pts',(-11.79957863221,10.1327327731,3.776735535817)); #474748=CARTESIAN_POINT('Ctrl Pts',(-11.75042355159,10.18778638693,3.913262900253)); #474749=CARTESIAN_POINT('Ctrl Pts',(-11.70216328072,10.24359954404,4.046110599126)); #474750=CARTESIAN_POINT('Ctrl Pts',(-11.62979960416,10.32559092968,4.24787571944)); #474751=CARTESIAN_POINT('Ctrl Pts',(-11.56796961152,10.39268916364,4.419400181484)); #474752=CARTESIAN_POINT('Ctrl Pts',(-11.51510041834,10.44800026043,4.556148337584)); #474753=CARTESIAN_POINT('Origin',(-11.2336506423457,10.3872562905454,4.47190942906012)); #474754=CARTESIAN_POINT('Ctrl Pts',(-11.45479287948,10.54634825817,4.670577076)); #474755=CARTESIAN_POINT('Ctrl Pts',(-11.46492608728,10.50532075201,4.692704036963)); #474756=CARTESIAN_POINT('Ctrl Pts',(-11.46371284453,10.43045907707,4.725013220223)); #474757=CARTESIAN_POINT('Ctrl Pts',(-11.42179677246,10.32979040512,4.751970343591)); #474758=CARTESIAN_POINT('Ctrl Pts',(-11.36204088614,10.25498941511,4.760289293735)); #474759=CARTESIAN_POINT('Ctrl Pts',(-11.28432019867,10.19893459839,4.753039695334)); #474760=CARTESIAN_POINT('Ctrl Pts',(-11.19634816176,10.16718971826,4.730940780377)); #474761=CARTESIAN_POINT('Ctrl Pts',(-11.10685601971,10.16290496987,4.696186047254)); #474762=CARTESIAN_POINT('Ctrl Pts',(-11.01088781791,10.19048288032,4.644817498491)); #474763=CARTESIAN_POINT('Ctrl Pts',(-10.95852905336,10.23691324686,4.603038244335)); #474764=CARTESIAN_POINT('Ctrl Pts',(-10.93668065176,10.27169343549,4.578853327381)); #474765=CARTESIAN_POINT('Ctrl Pts',(-11.47855288334,10.52153177577,4.611752738328)); #474766=CARTESIAN_POINT('Ctrl Pts',(-11.48893682326,10.48038231529,4.633739637957)); #474767=CARTESIAN_POINT('Ctrl Pts',(-11.48805347415,10.40526652066,4.665893131331)); #474768=CARTESIAN_POINT('Ctrl Pts',(-11.4463272064,10.30426229974,4.692816576933)); #474769=CARTESIAN_POINT('Ctrl Pts',(-11.38654571549,10.22924879942,4.701226517513)); #474770=CARTESIAN_POINT('Ctrl Pts',(-11.30863656179,10.17310524375,4.694175561352)); #474771=CARTESIAN_POINT('Ctrl Pts',(-11.22036119198,10.14142530677,4.672366027399)); #474772=CARTESIAN_POINT('Ctrl Pts',(-11.13051407018,10.1373647059,4.637971142399)); #474773=CARTESIAN_POINT('Ctrl Pts',(-11.03415121396,10.16536652128,4.587079168457)); #474774=CARTESIAN_POINT('Ctrl Pts',(-10.98159580612,10.2121979558,4.545665945913)); #474775=CARTESIAN_POINT('Ctrl Pts',(-10.95968868824,10.2472184406,4.521696333463)); #474776=CARTESIAN_POINT('Ctrl Pts',(-11.50167607686,10.49762102412,4.551761126172)); #474777=CARTESIAN_POINT('Ctrl Pts',(-11.51248009044,10.45628453321,4.573475480265)); #474778=CARTESIAN_POINT('Ctrl Pts',(-11.51217608026,10.38076975785,4.605297471279)); #474779=CARTESIAN_POINT('Ctrl Pts',(-11.47085412354,10.27921323105,4.632074703721)); #474780=CARTESIAN_POINT('Ctrl Pts',(-11.41112570052,10.20382499727,4.640576483772)); #474781=CARTESIAN_POINT('Ctrl Pts',(-11.33300353484,10.14748224968,4.633820568933)); #474782=CARTESIAN_POINT('Ctrl Pts',(-11.24431219719,10.11582874462,4.612483482307)); #474783=CARTESIAN_POINT('Ctrl Pts',(-11.15393518941,10.1120345333,4.578702792913)); #474784=CARTESIAN_POINT('Ctrl Pts',(-11.05693840421,10.14059937827,4.52864375166)); #474785=CARTESIAN_POINT('Ctrl Pts',(-11.00401991067,10.18799457791,4.487878730959)); #474786=CARTESIAN_POINT('Ctrl Pts',(-10.98197339266,10.22336087484,4.464288977597)); #474787=CARTESIAN_POINT('Ctrl Pts',(-11.52421559526,10.47362903562,4.492403415934)); #474788=CARTESIAN_POINT('Ctrl Pts',(-11.53522674767,10.43218237097,4.513970580956)); #474789=CARTESIAN_POINT('Ctrl Pts',(-11.53519319078,10.35644374222,4.545616052514)); #474790=CARTESIAN_POINT('Ctrl Pts',(-11.49401899172,10.25460171365,4.572321051424)); #474791=CARTESIAN_POINT('Ctrl Pts',(-11.43425810054,10.17904085865,4.580879179888)); #474792=CARTESIAN_POINT('Ctrl Pts',(-11.35596707559,10.1226388678,4.574289328178)); #474793=CARTESIAN_POINT('Ctrl Pts',(-11.26701269488,10.09106366577,4.55321339389)); #474794=CARTESIAN_POINT('Ctrl Pts',(-11.17633361616,10.0874876018,4.519769387957)); #474795=CARTESIAN_POINT('Ctrl Pts',(-11.07900796523,10.11644644748,4.470164903747)); #474796=CARTESIAN_POINT('Ctrl Pts',(-11.02593379857,10.16420384663,4.429752749973)); #474797=CARTESIAN_POINT('Ctrl Pts',(-11.00384649633,10.19978398058,4.406369928505)); #474798=CARTESIAN_POINT('',(-11.4784921764168,10.4863475830757,4.64915568862548)); #474799=CARTESIAN_POINT('Origin',(-11.1978537677098,10.4258367029531,4.56208943522487)); #474800=CARTESIAN_POINT('Ctrl Pts',(-11.51510041834,10.44800026043,4.556148337584)); #474801=CARTESIAN_POINT('Ctrl Pts',(-11.50308471819,10.46057148894,4.58723003765)); #474802=CARTESIAN_POINT('Ctrl Pts',(-11.49084947021,10.4735493303,4.618220957962)); #474803=CARTESIAN_POINT('Ctrl Pts',(-11.47849222765,10.48634759413,4.649155704517)); #474804=CARTESIAN_POINT('Ctrl Pts',(-10.96958918445,11.06422572817,6.040052395555)); #474805=CARTESIAN_POINT('Ctrl Pts',(-10.98884747582,11.02103046365,6.053615127916)); #474806=CARTESIAN_POINT('Ctrl Pts',(-11.00189711184,10.94030992671,6.073902136344)); #474807=CARTESIAN_POINT('Ctrl Pts',(-10.97417400142,10.82915216712,6.091763997118)); #474808=CARTESIAN_POINT('Ctrl Pts',(-10.92156765657,10.74530011784,6.098250442866)); #474809=CARTESIAN_POINT('Ctrl Pts',(-10.8456256911,10.6815452095,6.095257522927)); #474810=CARTESIAN_POINT('Ctrl Pts',(-10.7543621432,10.64461627666,6.083100830516)); #474811=CARTESIAN_POINT('Ctrl Pts',(-10.6574086461,10.63841005453,6.063063255218)); #474812=CARTESIAN_POINT('Ctrl Pts',(-10.5494267031,10.66782370061,6.032911123076)); #474813=CARTESIAN_POINT('Ctrl Pts',(-10.48720751457,10.71889023819,6.008177831063)); #474814=CARTESIAN_POINT('Ctrl Pts',(-10.45989978029,10.75719767709,5.99389361132)); #474815=CARTESIAN_POINT('Ctrl Pts',(-10.98077206116,11.05237644223,5.992603143772)); #474816=CARTESIAN_POINT('Ctrl Pts',(-10.99956239965,11.00928525475,6.006871301377)); #474817=CARTESIAN_POINT('Ctrl Pts',(-11.01188291455,10.92886255418,6.028186895601)); #474818=CARTESIAN_POINT('Ctrl Pts',(-10.98345303391,10.81824030325,6.046905045367)); #474819=CARTESIAN_POINT('Ctrl Pts',(-10.93051093112,10.73484140742,6.053654408465)); #474820=CARTESIAN_POINT('Ctrl Pts',(-10.85451715915,10.67145356769,6.050429380502)); #474821=CARTESIAN_POINT('Ctrl Pts',(-10.76346144393,10.63474157346,6.037569078303)); #474822=CARTESIAN_POINT('Ctrl Pts',(-10.66691746072,10.62856464025,6.016426444092)); #474823=CARTESIAN_POINT('Ctrl Pts',(-10.55955432088,10.65778680283,5.984642048348)); #474824=CARTESIAN_POINT('Ctrl Pts',(-10.49780605112,10.70854310059,5.958581651269)); #474825=CARTESIAN_POINT('Ctrl Pts',(-10.47074065923,10.74663338711,5.943529134539)); #474826=CARTESIAN_POINT('Ctrl Pts',(-11.00159004252,11.0295110308,5.9161788862)); #474827=CARTESIAN_POINT('Ctrl Pts',(-11.01915428179,10.98673629772,5.932030369013)); #474828=CARTESIAN_POINT('Ctrl Pts',(-11.02958911471,10.90714982112,5.955618470286)); #474829=CARTESIAN_POINT('Ctrl Pts',(-10.99937992257,10.79795589989,5.976158479931)); #474830=CARTESIAN_POINT('Ctrl Pts',(-10.94563500629,10.71572796498,5.98339430918)); #474831=CARTESIAN_POINT('Ctrl Pts',(-10.86958570796,10.65324995601,5.979540034242)); #474832=CARTESIAN_POINT('Ctrl Pts',(-10.77914736678,10.6170248653,5.964996973277)); #474833=CARTESIAN_POINT('Ctrl Pts',(-10.68373316716,10.61082239723,5.941279912165)); #474834=CARTESIAN_POINT('Ctrl Pts',(-10.57803222872,10.63941137659,5.905732853887)); #474835=CARTESIAN_POINT('Ctrl Pts',(-10.51752140277,10.68926181811,5.876628904091)); #474836=CARTESIAN_POINT('Ctrl Pts',(-10.4910841077,10.72673373028,5.859811911176)); #474837=CARTESIAN_POINT('Ctrl Pts',(-11.03404974866,10.99479753297,5.809071473677)); #474838=CARTESIAN_POINT('Ctrl Pts',(-11.05035870855,10.95234532251,5.826276740812)); #474839=CARTESIAN_POINT('Ctrl Pts',(-11.05886255033,10.87360563439,5.851776137518)); #474840=CARTESIAN_POINT('Ctrl Pts',(-11.02681767818,10.7658643957,5.873785280526)); #474841=CARTESIAN_POINT('Ctrl Pts',(-10.97222813835,10.68483842271,5.881344578989)); #474842=CARTESIAN_POINT('Ctrl Pts',(-10.89609155546,10.62331354408,5.876854620158)); #474843=CARTESIAN_POINT('Ctrl Pts',(-10.80625406453,10.58763059722,5.860778022711)); #474844=CARTESIAN_POINT('Ctrl Pts',(-10.7119741469,10.58146635601,5.834772060138)); #474845=CARTESIAN_POINT('Ctrl Pts',(-10.60797120771,10.60949906287,5.795913849087)); #474846=CARTESIAN_POINT('Ctrl Pts',(-10.548753972,10.65849147864,5.764145075837)); #474847=CARTESIAN_POINT('Ctrl Pts',(-10.52298942413,10.69536547127,5.745781020283)); #474848=CARTESIAN_POINT('Ctrl Pts',(-11.06366026011,10.96361841891,5.71641896173)); #474849=CARTESIAN_POINT('Ctrl Pts',(-11.07916379735,10.9213651803,5.734411404132)); #474850=CARTESIAN_POINT('Ctrl Pts',(-11.08642220955,10.84315455945,5.761013465833)); #474851=CARTESIAN_POINT('Ctrl Pts',(-11.05317313848,10.73633716267,5.783852398288)); #474852=CARTESIAN_POINT('Ctrl Pts',(-10.99800918149,10.65608902719,5.791574022831)); #474853=CARTESIAN_POINT('Ctrl Pts',(-10.92177894294,10.59519978563,5.78668688425)); #474854=CARTESIAN_POINT('Ctrl Pts',(-10.8322910341,10.55990719869,5.769691417807)); #474855=CARTESIAN_POINT('Ctrl Pts',(-10.73871259656,10.55382604741,5.742328890937)); #474856=CARTESIAN_POINT('Ctrl Pts',(-10.63578857038,10.58158237612,5.701517347878)); #474857=CARTESIAN_POINT('Ctrl Pts',(-10.5774180732,10.63008379158,5.668180146773)); #474858=CARTESIAN_POINT('Ctrl Pts',(-10.55210573762,10.66660483375,5.648904961385)); #474859=CARTESIAN_POINT('Ctrl Pts',(-11.10410944661,10.9223907292,5.590451639486)); #474860=CARTESIAN_POINT('Ctrl Pts',(-11.11926876838,10.88017653254,5.608737614673)); #474861=CARTESIAN_POINT('Ctrl Pts',(-11.12595853112,10.80212134196,5.635759796559)); #474862=CARTESIAN_POINT('Ctrl Pts',(-11.09207048305,10.69565860379,5.658932842136)); #474863=CARTESIAN_POINT('Ctrl Pts',(-11.03651891549,10.6157648631,5.666740496366)); #474864=CARTESIAN_POINT('Ctrl Pts',(-10.96009262658,10.55523451683,5.66173178048)); #474865=CARTESIAN_POINT('Ctrl Pts',(-10.87061048897,10.52026086112,5.644418888664)); #474866=CARTESIAN_POINT('Ctrl Pts',(-10.77722759977,10.51442156176,5.616573273352)); #474867=CARTESIAN_POINT('Ctrl Pts',(-10.67471650949,10.54234060275,5.575057124216)); #474868=CARTESIAN_POINT('Ctrl Pts',(-10.61675669801,10.59083924304,5.54115053786)); #474869=CARTESIAN_POINT('Ctrl Pts',(-10.5917006541,10.62731782044,5.521545166498)); #474870=CARTESIAN_POINT('Ctrl Pts',(-11.15512433726,10.86990066116,5.433764713347)); #474871=CARTESIAN_POINT('Ctrl Pts',(-11.16979902656,10.82776179502,5.452510008749)); #474872=CARTESIAN_POINT('Ctrl Pts',(-11.17569540828,10.7499526364,5.48019167806)); #474873=CARTESIAN_POINT('Ctrl Pts',(-11.14093307697,10.64400197233,5.50389344762)); #474874=CARTESIAN_POINT('Ctrl Pts',(-11.08486551856,10.56459814529,5.511841974076)); #474875=CARTESIAN_POINT('Ctrl Pts',(-11.00819728941,10.50454365853,5.506649753449)); #474876=CARTESIAN_POINT('Ctrl Pts',(-10.91875711498,10.46997118963,5.488846865591)); #474877=CARTESIAN_POINT('Ctrl Pts',(-10.82567824686,10.46441047788,5.460251719087)); #474878=CARTESIAN_POINT('Ctrl Pts',(-10.72377080597,10.49247715684,5.417640072218)); #474879=CARTESIAN_POINT('Ctrl Pts',(-10.66639137189,10.54091351561,5.382847328814)); #474880=CARTESIAN_POINT('Ctrl Pts',(-10.64169140157,10.5773017741,5.362728218745)); #474881=CARTESIAN_POINT('Ctrl Pts',(-11.21944039052,10.8012422997,5.251595902654)); #474882=CARTESIAN_POINT('Ctrl Pts',(-11.23275216313,10.75945379131,5.271516683257)); #474883=CARTESIAN_POINT('Ctrl Pts',(-11.23653631392,10.68255375076,5.300825013945)); #474884=CARTESIAN_POINT('Ctrl Pts',(-11.19970913017,10.57818192042,5.325709697827)); #474885=CARTESIAN_POINT('Ctrl Pts',(-11.14263288752,10.50011335488,5.333841401945)); #474886=CARTESIAN_POINT('Ctrl Pts',(-11.06576155152,10.44116622746,5.327992695608)); #474887=CARTESIAN_POINT('Ctrl Pts',(-10.97686994677,10.40730266135,5.308755119828)); #474888=CARTESIAN_POINT('Ctrl Pts',(-10.88494882538,10.40194700186,5.278075139819)); #474889=CARTESIAN_POINT('Ctrl Pts',(-10.78486331775,10.42963391675,5.232482018919)); #474890=CARTESIAN_POINT('Ctrl Pts',(-10.72895198976,10.47730333534,5.195303498534)); #474891=CARTESIAN_POINT('Ctrl Pts',(-10.70505549347,10.51312582331,5.173797129118)); #474892=CARTESIAN_POINT('Ctrl Pts',(-11.28783560797,10.72719578186,5.072838713733)); #474893=CARTESIAN_POINT('Ctrl Pts',(-11.29971945664,10.68577614118,5.093799329198)); #474894=CARTESIAN_POINT('Ctrl Pts',(-11.30130300665,10.60983750433,5.124511689416)); #474895=CARTESIAN_POINT('Ctrl Pts',(-11.26234336788,10.50714235564,5.1503445295)); #474896=CARTESIAN_POINT('Ctrl Pts',(-11.20423853578,10.43049703698,5.158534908999)); #474897=CARTESIAN_POINT('Ctrl Pts',(-11.12717756409,10.37273736952,5.151995098396)); #474898=CARTESIAN_POINT('Ctrl Pts',(-11.03887358935,10.33964458506,5.131379780848)); #474899=CARTESIAN_POINT('Ctrl Pts',(-10.94816512452,10.33453026918,5.098753292179)); #474900=CARTESIAN_POINT('Ctrl Pts',(-10.849972191,10.36184570728,5.050411347063)); #474901=CARTESIAN_POINT('Ctrl Pts',(-10.79557527655,10.40872408383,5.01104724843)); #474902=CARTESIAN_POINT('Ctrl Pts',(-10.77250423354,10.4439580127,4.988267769558)); #474903=CARTESIAN_POINT('Ctrl Pts',(-11.36000275791,10.64758741307,4.898237264459)); #474904=CARTESIAN_POINT('Ctrl Pts',(-11.37026522856,10.60663172153,4.920245564581)); #474905=CARTESIAN_POINT('Ctrl Pts',(-11.36937786898,10.53184559677,4.952335149185)); #474906=CARTESIAN_POINT('Ctrl Pts',(-11.32807519849,10.4310934734,4.979016708942)); #474907=CARTESIAN_POINT('Ctrl Pts',(-11.26888312349,10.35606435599,4.987152751635)); #474908=CARTESIAN_POINT('Ctrl Pts',(-11.19168821823,10.29962137106,4.979793553112)); #474909=CARTESIAN_POINT('Ctrl Pts',(-11.104122381,10.26734501188,4.957666575011)); #474910=CARTESIAN_POINT('Ctrl Pts',(-11.01484304356,10.26242573952,4.922959955967)); #474911=CARTESIAN_POINT('Ctrl Pts',(-10.9188328191,10.28921309728,4.871716113098)); #474912=CARTESIAN_POINT('Ctrl Pts',(-10.86615429777,10.33511393288,4.830059206765)); #474913=CARTESIAN_POINT('Ctrl Pts',(-10.84401207506,10.36963547467,4.805941808997)); #474914=CARTESIAN_POINT('Ctrl Pts',(-11.42805278238,10.5743068931,4.737278677559)); #474915=CARTESIAN_POINT('Ctrl Pts',(-11.43788941394,10.53342393784,4.759567853277)); #474916=CARTESIAN_POINT('Ctrl Pts',(-11.43628649223,10.45886230051,4.792058396625)); #474917=CARTESIAN_POINT('Ctrl Pts',(-11.39414426849,10.35859002613,4.819056293504)); #474918=CARTESIAN_POINT('Ctrl Pts',(-11.33441273262,10.28403956502,4.827270690567)); #474919=CARTESIAN_POINT('Ctrl Pts',(-11.25690686073,10.22809222712,4.819790304969)); #474920=CARTESIAN_POINT('Ctrl Pts',(-11.16928484188,10.19627670758,4.79735403248)); #474921=CARTESIAN_POINT('Ctrl Pts',(-11.08020482196,10.19173639633,4.762179070406)); #474922=CARTESIAN_POINT('Ctrl Pts',(-10.98469747888,10.21882701169,4.710253479081)); #474923=CARTESIAN_POINT('Ctrl Pts',(-10.9325711466,10.26479422429,4.668046174535)); #474924=CARTESIAN_POINT('Ctrl Pts',(-10.91079400612,10.2992963589,4.643609518699)); #474925=CARTESIAN_POINT('Ctrl Pts',(-11.47050525572,10.52988316203,4.631874716919)); #474926=CARTESIAN_POINT('Ctrl Pts',(-11.48077990335,10.48878594097,4.653922489335)); #474927=CARTESIAN_POINT('Ctrl Pts',(-11.47975158885,10.41377846235,4.68614685369)); #474928=CARTESIAN_POINT('Ctrl Pts',(-11.43793550287,10.31291907373,4.713092833996)); #474929=CARTESIAN_POINT('Ctrl Pts',(-11.37815323698,10.23799828819,4.721470551722)); #474930=CARTESIAN_POINT('Ctrl Pts',(-11.30031177928,10.18189887257,4.714339521212)); #474931=CARTESIAN_POINT('Ctrl Pts',(-11.21215427597,10.15020176472,4.692408940426)); #474932=CARTESIAN_POINT('Ctrl Pts',(-11.12245037566,10.14605966333,4.65786077478)); #474933=CARTESIAN_POINT('Ctrl Pts',(-11.02625234958,10.17389977622,4.606763809475)); #474934=CARTESIAN_POINT('Ctrl Pts',(-10.97378496331,10.22057418054,4.565192281202)); #474935=CARTESIAN_POINT('Ctrl Pts',(-10.95190800507,10.25549949897,4.54112970555)); #474936=CARTESIAN_POINT('Ctrl Pts',(-11.48790806815,10.51188651761,4.586867962715)); #474937=CARTESIAN_POINT('Ctrl Pts',(-11.49849307973,10.47065077563,4.608715627621)); #474938=CARTESIAN_POINT('Ctrl Pts',(-11.49789224265,10.39534804119,4.640697265243)); #474939=CARTESIAN_POINT('Ctrl Pts',(-11.45637309824,10.2940804861,4.667537814578)); #474940=CARTESIAN_POINT('Ctrl Pts',(-11.3966280706,10.2188832525,4.675985023859)); #474941=CARTESIAN_POINT('Ctrl Pts',(-11.31862711379,10.16263767073,4.669072868706)); #474942=CARTESIAN_POINT('Ctrl Pts',(-11.2301603484,10.13096166971,4.647491438494)); #474943=CARTESIAN_POINT('Ctrl Pts',(-11.1400635269,10.12701844339,4.613396423624)); #474944=CARTESIAN_POINT('Ctrl Pts',(-11.04339637021,10.15527730256,4.562913466436)); #474945=CARTESIAN_POINT('Ctrl Pts',(-10.9906611658,10.20237027905,4.521819546871)); #474946=CARTESIAN_POINT('Ctrl Pts',(-10.96868186836,10.23755218977,4.49803689609)); #474947=CARTESIAN_POINT('',(-10.99570864666,11.00734562209,6.008922173305)); #474948=CARTESIAN_POINT('Ctrl Pts',(-11.4784921508005,10.486347577559,4.64915568067529)); #474949=CARTESIAN_POINT('Ctrl Pts',(-11.4660656984383,10.4999977704394, 4.67972265118872)); #474950=CARTESIAN_POINT('Ctrl Pts',(-11.4535823655104,10.5135956320905, 4.7099819688938)); #474951=CARTESIAN_POINT('Ctrl Pts',(-11.4411309076437,10.5271038391016, 4.73998152545172)); #474952=CARTESIAN_POINT('Ctrl Pts',(-11.4366630305097,10.5319509027846, 4.75074607480896)); #474953=CARTESIAN_POINT('Ctrl Pts',(-11.4321993136105,10.5367863547257, 4.76147708530724)); #474954=CARTESIAN_POINT('Ctrl Pts',(-11.4277433201009,10.5416078499463, 4.77217747270547)); #474955=CARTESIAN_POINT('Ctrl Pts',(-11.4214154451934,10.5484547671505, 4.78737289719885)); #474956=CARTESIAN_POINT('Ctrl Pts',(-11.4151032688243,10.5552741725754, 4.80250572625576)); #474957=CARTESIAN_POINT('Ctrl Pts',(-11.4088174081094,10.5620606315474, 4.81758234770165)); #474958=CARTESIAN_POINT('Ctrl Pts',(-11.4060673440467,10.5650297073477, 4.82417836958423)); #474959=CARTESIAN_POINT('Ctrl Pts',(-11.4033223176753,10.5679924773019, 4.83076363314675)); #474960=CARTESIAN_POINT('Ctrl Pts',(-11.4005832202817,10.5709484872026, 4.83733867264085)); #474961=CARTESIAN_POINT('Ctrl Pts',(-11.3893402702935,10.5830817802928, 4.86432670175457)); #474962=CARTESIAN_POINT('Ctrl Pts',(-11.3781844783401,10.5951233555984, 4.89116727514135)); #474963=CARTESIAN_POINT('Ctrl Pts',(-11.3671978339215,10.6070117866845, 4.91785338742758)); #474964=CARTESIAN_POINT('Ctrl Pts',(-11.3505609459522,10.6250142348001, 4.95826371141041)); #474965=CARTESIAN_POINT('Ctrl Pts',(-11.3343134701703,10.6426633266092, 4.9983211699377)); #474966=CARTESIAN_POINT('Ctrl Pts',(-11.3185017343866,10.6598186594614, 5.0381646150137)); #474967=CARTESIAN_POINT('Ctrl Pts',(-11.3111416196515,10.6678041975351, 5.05671111308415)); #474968=CARTESIAN_POINT('Ctrl Pts',(-11.3038755575917,10.6756831899273, 5.07521102819326)); #474969=CARTESIAN_POINT('Ctrl Pts',(-11.2967062084661,10.6834444501153, 5.09367627867228)); #474970=CARTESIAN_POINT('Ctrl Pts',(-11.2922791164455,10.6882370487803, 5.10507861961312)); #474971=CARTESIAN_POINT('Ctrl Pts',(-11.2878888740251,10.6929848168688, 5.11646767585513)); #474972=CARTESIAN_POINT('Ctrl Pts',(-11.2835368396684,10.6976902486542, 5.12783567434766)); #474973=CARTESIAN_POINT('Ctrl Pts',(-11.2650293247101,10.717700625874,5.17617936488366)); #474974=CARTESIAN_POINT('Ctrl Pts',(-11.2471913823952,10.7368303803861, 5.22431227403931)); #474975=CARTESIAN_POINT('Ctrl Pts',(-11.2300113258618,10.7552748302104, 5.27204629662396)); #474976=CARTESIAN_POINT('Ctrl Pts',(-11.2196258882276,10.7664246004675, 5.30090177295049)); #474977=CARTESIAN_POINT('Ctrl Pts',(-11.2094802622096,10.777323996331,5.32961251225325)); #474978=CARTESIAN_POINT('Ctrl Pts',(-11.1995310489564,10.7880153354641, 5.35821292000527)); #474979=CARTESIAN_POINT('Ctrl Pts',(-11.196230092974,10.7915625144192,5.36770198056347)); #474980=CARTESIAN_POINT('Ctrl Pts',(-11.1929517009232,10.7950866494485, 5.37717539162751)); #474981=CARTESIAN_POINT('Ctrl Pts',(-11.1896948022587,10.7985892018811, 5.38663237730081)); #474982=CARTESIAN_POINT('Ctrl Pts',(-11.1868450159273,10.8016539352596, 5.39490723976495)); #474983=CARTESIAN_POINT('Ctrl Pts',(-11.1840116851379,10.804702144408,5.40316952639804)); #474984=CARTESIAN_POINT('Ctrl Pts',(-11.1811940881653,10.8077348091632, 5.41141871472648)); #474985=CARTESIAN_POINT('Ctrl Pts',(-11.1763643781339,10.8129331719995, 5.42555884477617)); #474986=CARTESIAN_POINT('Ctrl Pts',(-11.1715821566909,10.8180897083411, 5.43965167381641)); #474987=CARTESIAN_POINT('Ctrl Pts',(-11.1668418809636,10.8232033162436, 5.45370796581426)); #474988=CARTESIAN_POINT('Ctrl Pts',(-11.1539463586028,10.8371144574613, 5.49194692873517)); #474989=CARTESIAN_POINT('Ctrl Pts',(-11.1413599299654,10.8507107213784, 5.5299121401132)); #474990=CARTESIAN_POINT('Ctrl Pts',(-11.1289880756283,10.8641057176174, 5.56749731350083)); #474991=CARTESIAN_POINT('Ctrl Pts',(-11.1273358536838,10.8658945769209, 5.57251669431204)); #474992=CARTESIAN_POINT('Ctrl Pts',(-11.1256874845917,10.8676797984827, 5.57752934923214)); #474993=CARTESIAN_POINT('Ctrl Pts',(-11.1240427654007,10.8694616110454, 5.58253507241936)); #474994=CARTESIAN_POINT('Ctrl Pts',(-11.1177959290475,10.8762291441001, 5.60154739607272)); #474995=CARTESIAN_POINT('Ctrl Pts',(-11.1116057304991,10.8829440931507, 5.62044577275153)); #474996=CARTESIAN_POINT('Ctrl Pts',(-11.1054593754611,10.8895845667833, 5.63926875819273)); #474997=CARTESIAN_POINT('Ctrl Pts',(-11.0997264508958,10.8957783733853, 5.65682562807083)); #474998=CARTESIAN_POINT('Ctrl Pts',(-11.094031947978,10.9019072891459,5.67431666064532)); #474999=CARTESIAN_POINT('Ctrl Pts',(-11.0884045171485,10.9079512391765, 5.69171490689676)); #475000=CARTESIAN_POINT('Ctrl Pts',(-11.0839890108847,10.9126935629877, 5.70536626355126)); #475001=CARTESIAN_POINT('Ctrl Pts',(-11.0796101564005,10.9173785948814, 5.71898649167374)); #475002=CARTESIAN_POINT('Ctrl Pts',(-11.0752816125872,10.9220113989502, 5.73254737894576)); #475003=CARTESIAN_POINT('Ctrl Pts',(-11.0710368840834,10.9265544961976, 5.74584568138285)); #475004=CARTESIAN_POINT('Ctrl Pts',(-11.0668404908231,10.9310473818727, 5.75908698220586)); #475005=CARTESIAN_POINT('Ctrl Pts',(-11.0626993323478,10.9354710073896, 5.7723047808005)); #475006=CARTESIAN_POINT('Ctrl Pts',(-11.0611310659991,10.937146244664,5.77731039187853)); #475007=CARTESIAN_POINT('Ctrl Pts',(-11.0595709675504,10.9388124305852, 5.78231044333456)); #475008=CARTESIAN_POINT('Ctrl Pts',(-11.058019582538,10.940469192323,5.78730510115202)); #475009=CARTESIAN_POINT('Ctrl Pts',(-11.0541308188529,10.9446220975203, 5.79982491008777)); #475010=CARTESIAN_POINT('Ctrl Pts',(-11.0502935287235,10.9487166222299, 5.81232489010288)); #475011=CARTESIAN_POINT('Ctrl Pts',(-11.0465172385549,10.9527420965779, 5.82480906880035)); #475012=CARTESIAN_POINT('Ctrl Pts',(-11.0371976869828,10.96267661276,5.8556189223898)); #475013=CARTESIAN_POINT('Ctrl Pts',(-11.0282521199822,10.9721888159031, 5.88633199299827)); #475014=CARTESIAN_POINT('Ctrl Pts',(-11.0198310339795,10.9812478509651, 5.91669179409165)); #475015=CARTESIAN_POINT('Ctrl Pts',(-11.0131302545625,10.9884562556367, 5.94084952507864)); #475016=CARTESIAN_POINT('Ctrl Pts',(-11.0067612027227,10.9953766794582, 5.96478649335027)); #475017=CARTESIAN_POINT('Ctrl Pts',(-11.0007247678821,11.0019170529999, 5.98872023285356)); #475018=CARTESIAN_POINT('Ctrl Pts',(-10.9990266033757,11.0037569850957, 5.99545325126655)); #475019=CARTESIAN_POINT('Ctrl Pts',(-10.9973546445912,11.0055670314595, 6.00218580952287)); #475020=CARTESIAN_POINT('Ctrl Pts',(-10.9957086466387,11.0073456220839, 6.00892217330142)); #475021=CARTESIAN_POINT('',(-11.00323002629,10.92525251795,6.02955959686)); #475022=CARTESIAN_POINT('Origin',(-10.7104078880873,10.9251527749402,5.96091547474095)); #475023=CARTESIAN_POINT('Origin',(-10.7111496518748,10.9252646379395,5.96106370119028)); #475024=CARTESIAN_POINT('Ctrl Pts',(-10.88669854433,11.14663740614,6.453952192601)); #475025=CARTESIAN_POINT('Ctrl Pts',(-10.90674486891,11.10366325364,6.465598604546)); #475026=CARTESIAN_POINT('Ctrl Pts',(-10.92153743839,11.02289094733,6.483152786149)); #475027=CARTESIAN_POINT('Ctrl Pts',(-10.89613100067,10.91094141359,6.498784791951)); #475028=CARTESIAN_POINT('Ctrl Pts',(-10.84472697823,10.82581008366,6.504593415577)); #475029=CARTESIAN_POINT('Ctrl Pts',(-10.76923376199,10.76086168982,6.502152022593)); #475030=CARTESIAN_POINT('Ctrl Pts',(-10.67768248506,10.72300634995,6.491720190902)); #475031=CARTESIAN_POINT('Ctrl Pts',(-10.5798132558,10.71627079898,6.474407888709)); #475032=CARTESIAN_POINT('Ctrl Pts',(-10.47063835951,10.74549472098,6.448386271069)); #475033=CARTESIAN_POINT('Ctrl Pts',(-10.4072962381,10.79654899226,6.427061298794)); #475034=CARTESIAN_POINT('Ctrl Pts',(-10.37928059977,10.83475995408,6.41477492815)); #475035=CARTESIAN_POINT('Ctrl Pts',(-10.89695228407,11.13606801059,6.404684459577)); #475036=CARTESIAN_POINT('Ctrl Pts',(-10.91665525539,11.09316158242,6.416879893248)); #475037=CARTESIAN_POINT('Ctrl Pts',(-10.93090660679,11.01259571311,6.435242727483)); #475038=CARTESIAN_POINT('Ctrl Pts',(-10.90496452706,10.9010337502,6.451559541529)); #475039=CARTESIAN_POINT('Ctrl Pts',(-10.85329671115,10.81624052069,6.457588187414)); #475040=CARTESIAN_POINT('Ctrl Pts',(-10.77774973113,10.75157482994,6.454976317335)); #475041=CARTESIAN_POINT('Ctrl Pts',(-10.68633879822,10.71389820221,6.44400091195)); #475042=CARTESIAN_POINT('Ctrl Pts',(-10.58876288543,10.70720759631,6.425826700226)); #475043=CARTESIAN_POINT('Ctrl Pts',(-10.48003868789,10.73632034304,6.398531833736)); #475044=CARTESIAN_POINT('Ctrl Pts',(-10.41704494422,10.78716787871,6.376171937526)); #475045=CARTESIAN_POINT('Ctrl Pts',(-10.38921068231,10.8252307609,6.363288003448)); #475046=CARTESIAN_POINT('Ctrl Pts',(-10.91346255094,11.12073148641,6.316719387838)); #475047=CARTESIAN_POINT('Ctrl Pts',(-10.93353593115,11.07768862289,6.328207345496)); #475048=CARTESIAN_POINT('Ctrl Pts',(-10.94833548913,10.99680420882,6.345532105435)); #475049=CARTESIAN_POINT('Ctrl Pts',(-10.92284781156,10.88476253345,6.360977417664)); #475050=CARTESIAN_POINT('Ctrl Pts',(-10.87132164409,10.79961929732,6.366733849325)); #475051=CARTESIAN_POINT('Ctrl Pts',(-10.7956744495,10.73473991501,6.364353301783)); #475052=CARTESIAN_POINT('Ctrl Pts',(-10.70396468841,10.69703658069,6.354089244656)); #475053=CARTESIAN_POINT('Ctrl Pts',(-10.60596259989,10.69052534731,6.337035329492)); #475054=CARTESIAN_POINT('Ctrl Pts',(-10.49669582284,10.72006798139,6.311390981115)); #475055=CARTESIAN_POINT('Ctrl Pts',(-10.43336675521,10.7713669608,6.290370936816)); #475056=CARTESIAN_POINT('Ctrl Pts',(-10.40539368006,10.80970923934,6.278260895015)); #475057=CARTESIAN_POINT('Ctrl Pts',(-10.94597379723,11.08853823849,6.14556866372)); #475058=CARTESIAN_POINT('Ctrl Pts',(-10.96578714385,11.04548712209,6.157362952262)); #475059=CARTESIAN_POINT('Ctrl Pts',(-10.9801446175,10.96466985331,6.175142146087)); #475060=CARTESIAN_POINT('Ctrl Pts',(-10.95413990622,10.85286532669,6.190978465422)); #475061=CARTESIAN_POINT('Ctrl Pts',(-10.90228501944,10.7679926783,6.196866828802)); #475062=CARTESIAN_POINT('Ctrl Pts',(-10.82645430766,10.70341254085,6.194400546237)); #475063=CARTESIAN_POINT('Ctrl Pts',(-10.73471755885,10.66599820784,6.183841902451)); #475064=CARTESIAN_POINT('Ctrl Pts',(-10.63683795474,10.65973084456,6.166314687487)); #475065=CARTESIAN_POINT('Ctrl Pts',(-10.52786029113,10.68947373178,6.139967563671)); #475066=CARTESIAN_POINT('Ctrl Pts',(-10.46482996902,10.74082502715,6.118374886718)); #475067=CARTESIAN_POINT('Ctrl Pts',(-10.43704431374,10.7791643735,6.105934422208)); #475068=CARTESIAN_POINT('Ctrl Pts',(-10.97130903512,11.06189802343,6.029843192713)); #475069=CARTESIAN_POINT('Ctrl Pts',(-10.99015506415,11.01904974226,6.043214508663)); #475070=CARTESIAN_POINT('Ctrl Pts',(-11.00299173283,10.93883284279,6.063318576296)); #475071=CARTESIAN_POINT('Ctrl Pts',(-10.9754924816,10.82813507693,6.081127914592)); #475072=CARTESIAN_POINT('Ctrl Pts',(-10.92291167208,10.74421683811,6.087654666596)); #475073=CARTESIAN_POINT('Ctrl Pts',(-10.84694899661,10.680422593,6.0847056479)); #475074=CARTESIAN_POINT('Ctrl Pts',(-10.75562610853,10.64348894242,6.072592615703)); #475075=CARTESIAN_POINT('Ctrl Pts',(-10.65858678144,10.63731523528,6.052596371329)); #475076=CARTESIAN_POINT('Ctrl Pts',(-10.55088606848,10.66669907361,6.022599423314)); #475077=CARTESIAN_POINT('Ctrl Pts',(-10.4888319854,10.71743380545,5.998039140519)); #475078=CARTESIAN_POINT('Ctrl Pts',(-10.46154993542,10.75533815104,5.983885330812)); #475079=CARTESIAN_POINT('Ctrl Pts',(-10.99320046056,11.03792786056,5.951074934196)); #475080=CARTESIAN_POINT('Ctrl Pts',(-11.01084104696,10.99539404826,5.965992622219)); #475081=CARTESIAN_POINT('Ctrl Pts',(-11.02181468804,10.91600361085,5.988326821719)); #475082=CARTESIAN_POINT('Ctrl Pts',(-10.99254644869,10.80672091901,6.00793252067)); #475083=CARTESIAN_POINT('Ctrl Pts',(-10.93916004155,10.72397065075,6.014940837932)); #475084=CARTESIAN_POINT('Ctrl Pts',(-10.8631357786,10.66108666597,6.011367354708)); #475085=CARTESIAN_POINT('Ctrl Pts',(-10.77242225209,10.62464437191,5.997585555249)); #475086=CARTESIAN_POINT('Ctrl Pts',(-10.67650443379,10.61845354169,5.975036708544)); #475087=CARTESIAN_POINT('Ctrl Pts',(-10.57045198686,10.64722001997,5.941322885803)); #475088=CARTESIAN_POINT('Ctrl Pts',(-10.50962639327,10.69706732758,5.913762378)); #475089=CARTESIAN_POINT('Ctrl Pts',(-10.48296941023,10.73436633081,5.897873038813)); #475090=CARTESIAN_POINT('',(-10.9121766053,11.09322412844,6.418044801933)); #475091=CARTESIAN_POINT('Origin',(-10.6276455610592,11.0065048870621,6.37903380292836)); #475092=CARTESIAN_POINT('Ctrl Pts',(-10.99570864666,11.00734562209,6.008922173305)); #475093=CARTESIAN_POINT('Ctrl Pts',(-10.97980341516,11.02515630755,6.072958503768)); #475094=CARTESIAN_POINT('Ctrl Pts',(-10.95888209807,11.04742439606,6.172770342463)); #475095=CARTESIAN_POINT('Ctrl Pts',(-10.93267599792,11.07350572874,6.311765836827)); #475096=CARTESIAN_POINT('Ctrl Pts',(-10.91899073212,11.08649262741,6.383307703321)); #475097=CARTESIAN_POINT('Ctrl Pts',(-10.9121766053,11.09322412844,6.418044801933)); #475098=CARTESIAN_POINT('Ctrl Pts',(-10.97934669699,11.06695083346,7.647663532176)); #475099=CARTESIAN_POINT('Ctrl Pts',(-11.00291089441,11.02521158514,7.631832269798)); #475100=CARTESIAN_POINT('Ctrl Pts',(-11.02308703099,10.94428225726,7.607391642263)); #475101=CARTESIAN_POINT('Ctrl Pts',(-11.00253533014,10.82853745434,7.584549964859)); #475102=CARTESIAN_POINT('Ctrl Pts',(-10.95319002725,10.73874364406,7.575018611333)); #475103=CARTESIAN_POINT('Ctrl Pts',(-10.87774857295,10.66878163533,7.576662275426)); #475104=CARTESIAN_POINT('Ctrl Pts',(-10.78475467434,10.62657555281,7.589295044173)); #475105=CARTESIAN_POINT('Ctrl Pts',(-10.68474069293,10.61690482502,7.611485957744)); #475106=CARTESIAN_POINT('Ctrl Pts',(-10.57346746475,10.64476297607,7.645477150955)); #475107=CARTESIAN_POINT('Ctrl Pts',(-10.50972611339,10.69652307038,7.67357240915)); #475108=CARTESIAN_POINT('Ctrl Pts',(-10.48219209103,10.73548383024,7.689707663276)); #475109=CARTESIAN_POINT('Ctrl Pts',(-10.96760049635,11.07853167865,7.600085707188)); #475110=CARTESIAN_POINT('Ctrl Pts',(-10.99117869783,11.03680712456,7.584273898834)); #475111=CARTESIAN_POINT('Ctrl Pts',(-11.01139243173,10.95589954672,7.559867720954)); #475112=CARTESIAN_POINT('Ctrl Pts',(-10.99091640123,10.84016249759,7.537066052501)); #475113=CARTESIAN_POINT('Ctrl Pts',(-10.9416437073,10.7503538367,7.527558461639)); #475114=CARTESIAN_POINT('Ctrl Pts',(-10.86627170765,10.68035256876,7.529212090381)); #475115=CARTESIAN_POINT('Ctrl Pts',(-10.77333239563,10.63808369426,7.541839981742)); #475116=CARTESIAN_POINT('Ctrl Pts',(-10.67334720871,10.62833159928,7.564012381022)); #475117=CARTESIAN_POINT('Ctrl Pts',(-10.5620677513,10.65608368772,7.597969962403)); #475118=CARTESIAN_POINT('Ctrl Pts',(-10.49828231864,10.70776988496,7.626035461353)); #475119=CARTESIAN_POINT('Ctrl Pts',(-10.4707082244,10.74669415437,7.642153918156)); #475120=CARTESIAN_POINT('Ctrl Pts',(-10.94768171859,11.09810822624,7.518961982857)); #475121=CARTESIAN_POINT('Ctrl Pts',(-10.97130748447,11.05638604251,7.503275565249)); #475122=CARTESIAN_POINT('Ctrl Pts',(-10.99162171604,10.97548046099,7.479070157815)); #475123=CARTESIAN_POINT('Ctrl Pts',(-10.97130831011,10.85972384647,7.456468847945)); #475124=CARTESIAN_POINT('Ctrl Pts',(-10.92217305814,10.76987627778,7.447056374315)); #475125=CARTESIAN_POINT('Ctrl Pts',(-10.84691641219,10.69981068576,7.448716507624)); #475126=CARTESIAN_POINT('Ctrl Pts',(-10.75405082756,10.65745332758,7.46126169272)); #475127=CARTESIAN_POINT('Ctrl Pts',(-10.65408140175,10.64759497011,7.483272607841)); #475128=CARTESIAN_POINT('Ctrl Pts',(-10.54274079604,10.67521584965,7.516974279656)); #475129=CARTESIAN_POINT('Ctrl Pts',(-10.47883887599,10.72681696996,7.544825037208)); #475130=CARTESIAN_POINT('Ctrl Pts',(-10.45117288989,10.76570245844,7.560820645)); #475131=CARTESIAN_POINT('Ctrl Pts',(-10.91110267605,11.13386407631,7.370157285603)); #475132=CARTESIAN_POINT('Ctrl Pts',(-10.93474850468,11.09221017529,7.35444067965)); #475133=CARTESIAN_POINT('Ctrl Pts',(-10.95514311205,11.01140733048,7.330202261095)); #475134=CARTESIAN_POINT('Ctrl Pts',(-10.93503030101,10.89570835384,7.307594170615)); #475135=CARTESIAN_POINT('Ctrl Pts',(-10.88610586358,10.80582940124,7.298200659242)); #475136=CARTESIAN_POINT('Ctrl Pts',(-10.81106689428,10.7356408631,7.299900899145)); #475137=CARTESIAN_POINT('Ctrl Pts',(-10.71838936099,10.69307180341,7.312503069898)); #475138=CARTESIAN_POINT('Ctrl Pts',(-10.61854243674,10.68293020879,7.334583416954)); #475139=CARTESIAN_POINT('Ctrl Pts',(-10.50723358417,10.71017399218,7.368375251544)); #475140=CARTESIAN_POINT('Ctrl Pts',(-10.44323731482,10.76150543482,7.396294438156)); #475141=CARTESIAN_POINT('Ctrl Pts',(-10.41547140182,10.80025420839,7.412330249877)); #475142=CARTESIAN_POINT('Ctrl Pts',(-10.87157760501,11.17223353478,7.204431617453)); #475143=CARTESIAN_POINT('Ctrl Pts',(-10.89573068597,11.13018848313,7.190607044177)); #475144=CARTESIAN_POINT('Ctrl Pts',(-10.91696208745,11.04877837899,7.169300234257)); #475145=CARTESIAN_POINT('Ctrl Pts',(-10.89776813847,10.93246904447,7.149450827401)); #475146=CARTESIAN_POINT('Ctrl Pts',(-10.84936597897,10.84227409356,7.141225544364)); #475147=CARTESIAN_POINT('Ctrl Pts',(-10.77451474962,10.77199850722,7.142758346678)); #475148=CARTESIAN_POINT('Ctrl Pts',(-10.6816565162,10.72956930472,7.153876572032)); #475149=CARTESIAN_POINT('Ctrl Pts',(-10.58126519683,10.71977145722,7.173326147952)); #475150=CARTESIAN_POINT('Ctrl Pts',(-10.46895240497,10.74760478892,7.203075574293)); #475151=CARTESIAN_POINT('Ctrl Pts',(-10.40399482155,10.7994701871,7.227648750031)); #475152=CARTESIAN_POINT('Ctrl Pts',(-10.37562689192,10.83854571322,7.241763639437)); #475153=CARTESIAN_POINT('Ctrl Pts',(-10.84351527607,11.19888441182,7.039788661362)); #475154=CARTESIAN_POINT('Ctrl Pts',(-10.86837822879,11.15602978076,7.030591177511)); #475155=CARTESIAN_POINT('Ctrl Pts',(-10.89073204392,11.07345620856,7.016441823631)); #475156=CARTESIAN_POINT('Ctrl Pts',(-10.87266778786,10.95618823015,7.003306596295)); #475157=CARTESIAN_POINT('Ctrl Pts',(-10.82481500401,10.86568663061,6.997905680645)); #475158=CARTESIAN_POINT('Ctrl Pts',(-10.7500112265,10.79562064109,6.998996647729)); #475159=CARTESIAN_POINT('Ctrl Pts',(-10.65666399769,10.75386613687,7.00645715362)); #475160=CARTESIAN_POINT('Ctrl Pts',(-10.55526599527,10.74511549483,7.019449593808)); #475161=CARTESIAN_POINT('Ctrl Pts',(-10.4412669403,10.77445792879,7.039291390926)); #475162=CARTESIAN_POINT('Ctrl Pts',(-10.3747782945,10.82751737086,7.055669130787)); #475163=CARTESIAN_POINT('Ctrl Pts',(-10.34546870643,10.86727380108,7.065078278553)); #475164=CARTESIAN_POINT('Ctrl Pts',(-10.83322942964,11.2075994576,6.907683213239)); #475165=CARTESIAN_POINT('Ctrl Pts',(-10.85807870398,11.16418813809,6.903878313087)); #475166=CARTESIAN_POINT('Ctrl Pts',(-10.88039283462,11.08101435712,6.898045646592)); #475167=CARTESIAN_POINT('Ctrl Pts',(-10.86227826902,10.9636421418,6.892668089419)); #475168=CARTESIAN_POINT('Ctrl Pts',(-10.81437799417,10.87348524028,6.890490873574)); #475169=CARTESIAN_POINT('Ctrl Pts',(-10.73948797944,10.80408433059,6.890999326659)); #475170=CARTESIAN_POINT('Ctrl Pts',(-10.64596311055,10.76318270279,6.894136911632)); #475171=CARTESIAN_POINT('Ctrl Pts',(-10.5442380916,10.75534282908,6.899554509168)); #475172=CARTESIAN_POINT('Ctrl Pts',(-10.42962929212,10.78568490638,6.90780343962)); #475173=CARTESIAN_POINT('Ctrl Pts',(-10.3624779914,10.83932768993,6.914602917866)); #475174=CARTESIAN_POINT('Ctrl Pts',(-10.33269798089,10.87936742289,6.91851066069)); #475175=CARTESIAN_POINT('Ctrl Pts',(-10.83310559465,11.20604733805,6.808273731024)); #475176=CARTESIAN_POINT('Ctrl Pts',(-10.85734602073,11.16239678508,6.809449552822)); #475177=CARTESIAN_POINT('Ctrl Pts',(-10.87867992827,11.07921065367,6.811260489975)); #475178=CARTESIAN_POINT('Ctrl Pts',(-10.85958792604,10.96246995993,6.812945418133)); #475179=CARTESIAN_POINT('Ctrl Pts',(-10.81119773835,10.8731331777,6.813641779307)); #475180=CARTESIAN_POINT('Ctrl Pts',(-10.73617017101,10.80464085766,6.813508413473)); #475181=CARTESIAN_POINT('Ctrl Pts',(-10.64279399885,10.76456011132,6.812560406086)); #475182=CARTESIAN_POINT('Ctrl Pts',(-10.54138566142,10.75731806665,6.810904572822)); #475183=CARTESIAN_POINT('Ctrl Pts',(-10.42717074495,10.78800910572,6.808373247545)); #475184=CARTESIAN_POINT('Ctrl Pts',(-10.36017345402,10.84158907249,6.8062828882)); #475185=CARTESIAN_POINT('Ctrl Pts',(-10.33037668492,10.88151387644,6.805082102618)); #475186=CARTESIAN_POINT('Ctrl Pts',(-10.84190116623,11.19493067104,6.708587930733)); #475187=CARTESIAN_POINT('Ctrl Pts',(-10.8647411713,11.15138690843,6.714734498949)); #475188=CARTESIAN_POINT('Ctrl Pts',(-10.88386059007,11.0688879168,6.72409052221)); #475189=CARTESIAN_POINT('Ctrl Pts',(-10.86260617626,10.95374107341,6.732597044838)); #475190=CARTESIAN_POINT('Ctrl Pts',(-10.81318411797,10.8659011415,6.735930356609)); #475191=CARTESIAN_POINT('Ctrl Pts',(-10.73798710681,10.79872886432,6.73492355578)); #475192=CARTESIAN_POINT('Ctrl Pts',(-10.64520406154,10.75953941478,6.729686646603)); #475193=CARTESIAN_POINT('Ctrl Pts',(-10.54493935367,10.75259966175,6.720791984189)); #475194=CARTESIAN_POINT('Ctrl Pts',(-10.43236303706,10.7829058846,6.70732787028)); #475195=CARTESIAN_POINT('Ctrl Pts',(-10.3664898391,10.83563873219,6.696259448631)); #475196=CARTESIAN_POINT('Ctrl Pts',(-10.33719505487,10.87495422101,6.689893845588)); #475197=CARTESIAN_POINT('Ctrl Pts',(-10.86360373477,11.17032629264,6.563462742277)); #475198=CARTESIAN_POINT('Ctrl Pts',(-10.88437613739,11.12716442541,6.574309375109)); #475199=CARTESIAN_POINT('Ctrl Pts',(-10.90025349796,11.04591561678,6.590697706845)); #475200=CARTESIAN_POINT('Ctrl Pts',(-10.87586361475,10.93315389486,6.605374748245)); #475201=CARTESIAN_POINT('Ctrl Pts',(-10.82497162077,10.84738216593,6.610914600411)); #475202=CARTESIAN_POINT('Ctrl Pts',(-10.74958791211,10.78189942829,6.608789231159)); #475203=CARTESIAN_POINT('Ctrl Pts',(-10.65777121477,10.74370533105,6.59922658135)); #475204=CARTESIAN_POINT('Ctrl Pts',(-10.55933673405,10.73688171362,6.58325219404)); #475205=CARTESIAN_POINT('Ctrl Pts',(-10.44943141438,10.7662701234,6.559216253368)); #475206=CARTESIAN_POINT('Ctrl Pts',(-10.38549092501,10.81755567376,6.539512032436)); #475207=CARTESIAN_POINT('Ctrl Pts',(-10.35713472469,10.85587491566,6.528171651887)); #475208=CARTESIAN_POINT('Ctrl Pts',(-10.88812616683,11.14475604035,6.449293623848)); #475209=CARTESIAN_POINT('Ctrl Pts',(-10.90789773892,11.10182227915,6.461493211247)); #475210=CARTESIAN_POINT('Ctrl Pts',(-10.92221123392,11.02121241346,6.479846548883)); #475211=CARTESIAN_POINT('Ctrl Pts',(-10.89630039865,10.90959185281,6.49613575179)); #475212=CARTESIAN_POINT('Ctrl Pts',(-10.8446829899,10.82478690431,6.502140984828)); #475213=CARTESIAN_POINT('Ctrl Pts',(-10.76918843851,10.76008476315,6.499518043713)); #475214=CARTESIAN_POINT('Ctrl Pts',(-10.67782406752,10.72234899998,6.488544878973)); #475215=CARTESIAN_POINT('Ctrl Pts',(-10.58028121124,10.71558176982,6.470385148217)); #475216=CARTESIAN_POINT('Ctrl Pts',(-10.47171961063,10.74455486646,6.443154922297)); #475217=CARTESIAN_POINT('Ctrl Pts',(-10.40880406843,10.7951777685,6.420867823605)); #475218=CARTESIAN_POINT('Ctrl Pts',(-10.38097811959,10.83303517311,6.408035563739)); #475219=CARTESIAN_POINT('Ctrl Pts',(-10.90437770643,11.12929661136,6.364425317396)); #475220=CARTESIAN_POINT('Ctrl Pts',(-10.92432552426,11.0862748328,6.376312607624)); #475221=CARTESIAN_POINT('Ctrl Pts',(-10.93888761183,11.00548053603,6.394214999587)); #475222=CARTESIAN_POINT('Ctrl Pts',(-10.91315207566,10.89361350873,6.41013931273)); #475223=CARTESIAN_POINT('Ctrl Pts',(-10.86155544543,10.80865016504,6.41604457822)); #475224=CARTESIAN_POINT('Ctrl Pts',(-10.78596203869,10.74387976388,6.413544141666)); #475225=CARTESIAN_POINT('Ctrl Pts',(-10.6944076315,10.70618832841,6.402903689577)); #475226=CARTESIAN_POINT('Ctrl Pts',(-10.59662535137,10.69958216789,6.385254661939)); #475227=CARTESIAN_POINT('Ctrl Pts',(-10.48778424925,10.72886024473,6.358768090729)); #475228=CARTESIAN_POINT('Ctrl Pts',(-10.42471734645,10.77977033342,6.337081195615)); #475229=CARTESIAN_POINT('Ctrl Pts',(-10.39683949617,10.81779832235,6.32459578414)); #475230=CARTESIAN_POINT('',(-10.9885343361882,11.0340332710028,7.5908142031721)); #475231=CARTESIAN_POINT('Ctrl Pts',(-10.9885343361822,11.0340332709971, 7.59081420317222)); #475232=CARTESIAN_POINT('Ctrl Pts',(-10.9803510495006,11.0421525184644, 7.5576656491168)); #475233=CARTESIAN_POINT('Ctrl Pts',(-10.9720948759316,11.0503141402158, 7.52410241033271)); #475234=CARTESIAN_POINT('Ctrl Pts',(-10.963710039003,11.0585723851409,7.49000247340387)); #475235=CARTESIAN_POINT('Ctrl Pts',(-10.9562569664929,11.065912933175,7.45969188958635)); #475236=CARTESIAN_POINT('Ctrl Pts',(-10.9487000594063,11.0733319410509, 7.42895280008182)); #475237=CARTESIAN_POINT('Ctrl Pts',(-10.9411729159013,11.0806991568781, 7.39805676968016)); #475238=CARTESIAN_POINT('Ctrl Pts',(-10.9404564819759,11.0814003688785, 7.39511608383776)); #475239=CARTESIAN_POINT('Ctrl Pts',(-10.9397403332259,11.0821010964665, 7.39217400902718)); #475240=CARTESIAN_POINT('Ctrl Pts',(-10.93902459489,11.0828012174998,7.38923080065324)); #475241=CARTESIAN_POINT('Ctrl Pts',(-10.9325392590942,11.0891450442468, 7.3625622631924)); #475242=CARTESIAN_POINT('Ctrl Pts',(-10.9260863384221,11.0954403831245, 7.33580091784537)); #475243=CARTESIAN_POINT('Ctrl Pts',(-10.9197842400411,11.1015662790661, 7.30891172602628)); #475244=CARTESIAN_POINT('Ctrl Pts',(-10.9169685023832,11.1043032905895, 7.29689780535812)); #475245=CARTESIAN_POINT('Ctrl Pts',(-10.9141787801055,11.107019408752,7.28486503404832)); #475246=CARTESIAN_POINT('Ctrl Pts',(-10.9114296638705,11.1096960310849, 7.27281255735261)); #475247=CARTESIAN_POINT('Ctrl Pts',(-10.9066187104593,11.1143801201675, 7.25172072313511)); #475248=CARTESIAN_POINT('Ctrl Pts',(-10.9019319887079,11.1189432036482, 7.2305685681881)); #475249=CARTESIAN_POINT('Ctrl Pts',(-10.8974496114934,11.123286355612,7.20935118980898)); #475250=CARTESIAN_POINT('Ctrl Pts',(-10.8962316545739,11.1244664820792, 7.20358597827637)); #475251=CARTESIAN_POINT('Ctrl Pts',(-10.895028805058,11.1256303748216,7.1978160029253)); #475252=CARTESIAN_POINT('Ctrl Pts',(-10.8938426857404,11.1267760598148, 7.19204106703765)); #475253=CARTESIAN_POINT('Ctrl Pts',(-10.8917548997266,11.1287926740539, 7.18187612815006)); #475254=CARTESIAN_POINT('Ctrl Pts',(-10.8897189371791,11.1307528894443, 7.17169581146674)); #475255=CARTESIAN_POINT('Ctrl Pts',(-10.8877432063594,11.1326457149942, 7.1614998243576)); #475256=CARTESIAN_POINT('Ctrl Pts',(-10.8830959228581,11.137097990001,7.13751698104785)); #475257=CARTESIAN_POINT('Ctrl Pts',(-10.8787816852681,11.1411773675829, 7.11344698851083)); #475258=CARTESIAN_POINT('Ctrl Pts',(-10.8749109337865,11.1447418937365, 7.08928209170422)); #475259=CARTESIAN_POINT('Ctrl Pts',(-10.871040182305,11.1483064198901,7.06511719489762)); #475260=CARTESIAN_POINT('Ctrl Pts',(-10.8676129727759,11.1513560801505, 7.04085742762795)); #475261=CARTESIAN_POINT('Ctrl Pts',(-10.8647410540265,11.153750234071,7.01649331053815)); #475262=CARTESIAN_POINT('Ctrl Pts',(-10.8640660260469,11.1543129661761, 7.01076666498596)); #475263=CARTESIAN_POINT('Ctrl Pts',(-10.8634215826778,11.1548395359229, 7.00503325323419)); #475264=CARTESIAN_POINT('Ctrl Pts',(-10.8628085407573,11.155330102428,6.99929410604108)); #475265=CARTESIAN_POINT('Ctrl Pts',(-10.8600260673533,11.1575566846699, 6.97324527659931)); #475266=CARTESIAN_POINT('Ctrl Pts',(-10.8578909064375,11.1590408105653, 6.94708200170991)); #475267=CARTESIAN_POINT('Ctrl Pts',(-10.8564813347707,11.1597720133947, 6.92089213419693)); #475268=CARTESIAN_POINT('Ctrl Pts',(-10.8561789239836,11.1599288863146, 6.91527333620642)); #475269=CARTESIAN_POINT('Ctrl Pts',(-10.8559098932775,11.1600511417397, 6.9096531306147)); #475270=CARTESIAN_POINT('Ctrl Pts',(-10.8556749935327,11.1601387404078, 6.90403205879409)); #475271=CARTESIAN_POINT('Ctrl Pts',(-10.8551608007497,11.1603304928636, 6.89172759858329)); #475272=CARTESIAN_POINT('Ctrl Pts',(-10.8548101476149,11.1603561445121, 6.87941923195906)); #475273=CARTESIAN_POINT('Ctrl Pts',(-10.8546302969962,11.1602189423578, 6.86710191471587)); #475274=CARTESIAN_POINT('Ctrl Pts',(-10.8545847124799,11.1601841674214, 6.86397999635801)); #475275=CARTESIAN_POINT('Ctrl Pts',(-10.854550167179,11.1601387772287,6.86085806122593)); #475276=CARTESIAN_POINT('Ctrl Pts',(-10.8545267960892,11.1600827654725, 6.85773622711233)); #475277=CARTESIAN_POINT('Ctrl Pts',(-10.8544060084735,11.1597932827585, 6.84160181047543)); #475278=CARTESIAN_POINT('Ctrl Pts',(-10.8545647603813,11.1592753111779, 6.82548362699322)); #475279=CARTESIAN_POINT('Ctrl Pts',(-10.8550522325577,11.1584344640311, 6.80937809952358)); #475280=CARTESIAN_POINT('Ctrl Pts',(-10.8556866793652,11.1573400984167, 6.78841669704627)); #475281=CARTESIAN_POINT('Ctrl Pts',(-10.8568786048933,11.1556988457056, 6.7674745657771)); #475282=CARTESIAN_POINT('Ctrl Pts',(-10.8585597240398,11.1535572405483, 6.74659675762955)); #475283=CARTESIAN_POINT('Ctrl Pts',(-10.8593358050113,11.1525685783143, 6.73695861290697)); #475284=CARTESIAN_POINT('Ctrl Pts',(-10.8602158760912,11.1514734105418, 6.72733491495225)); #475285=CARTESIAN_POINT('Ctrl Pts',(-10.8611919396871,11.1502767126738, 6.71773353460891)); #475286=CARTESIAN_POINT('Ctrl Pts',(-10.8613101995514,11.1501317207612, 6.71657023137564)); #475287=CARTESIAN_POINT('Ctrl Pts',(-10.8614299322782,11.1499850622856, 6.71540716696721)); #475288=CARTESIAN_POINT('Ctrl Pts',(-10.8615511142443,11.1498367770402, 6.71424433481008)); #475289=CARTESIAN_POINT('Ctrl Pts',(-10.8640066767329,11.1468320091047, 6.69068136487394)); #475290=CARTESIAN_POINT('Ctrl Pts',(-10.8670555032589,11.143160988236,6.66721620821749)); #475291=CARTESIAN_POINT('Ctrl Pts',(-10.8705460285687,11.1390343959088, 6.6437339441165)); #475292=CARTESIAN_POINT('Ctrl Pts',(-10.8718192256556,11.1375291882786, 6.63516860005163)); #475293=CARTESIAN_POINT('Ctrl Pts',(-10.8731512740957,11.1359634674677, 6.62660105387433)); #475294=CARTESIAN_POINT('Ctrl Pts',(-10.8745351553916,11.1343476317774, 6.61802592263061)); #475295=CARTESIAN_POINT('Ctrl Pts',(-10.8746442253299,11.1342202804652, 6.61735007778873)); #475296=CARTESIAN_POINT('Ctrl Pts',(-10.8747536174075,11.1340926177215, 6.61667418566669)); #475297=CARTESIAN_POINT('Ctrl Pts',(-10.8748633279443,11.133964649135,6.61599824325452)); #475298=CARTESIAN_POINT('Ctrl Pts',(-10.8798048756124,11.1282007281082, 6.58555265663997)); #475299=CARTESIAN_POINT('Ctrl Pts',(-10.8853939589875,11.1218064050638, 6.55500076662478)); #475300=CARTESIAN_POINT('Ctrl Pts',(-10.891312475682,11.1152637874526,6.52411478690728)); #475301=CARTESIAN_POINT('Ctrl Pts',(-10.8979842805586,11.1078884482695, 6.48929774731264)); #475302=CARTESIAN_POINT('Ctrl Pts',(-10.9050755598828,11.100324408433,6.45405354269547)); #475303=CARTESIAN_POINT('Ctrl Pts',(-10.9121766052291,11.0932241284184, 6.41804480192328)); #475304=CARTESIAN_POINT('Origin',(-10.7125271922233,10.9239109643914,7.63197849506595)); #475305=CARTESIAN_POINT('Ctrl Pts',(-11.0894022225,10.95663501838,8.086787357943)); #475306=CARTESIAN_POINT('Ctrl Pts',(-11.11218408435,10.91518425428,8.070786593531)); #475307=CARTESIAN_POINT('Ctrl Pts',(-11.13150010354,10.83475197489,8.045929284837)); #475308=CARTESIAN_POINT('Ctrl Pts',(-11.11027296725,10.71965468394,8.022513850519)); #475309=CARTESIAN_POINT('Ctrl Pts',(-11.06021341975,10.63003741438,8.012622420178)); #475310=CARTESIAN_POINT('Ctrl Pts',(-10.98412914089,10.56043982221,8.01419530264)); #475311=CARTESIAN_POINT('Ctrl Pts',(-10.89067293283,10.51877795683,8.027053857682)); #475312=CARTESIAN_POINT('Ctrl Pts',(-10.79047409144,10.50978947655,8.04973541614)); #475313=CARTESIAN_POINT('Ctrl Pts',(-10.67987684343,10.53839017817,8.084374853076)); #475314=CARTESIAN_POINT('Ctrl Pts',(-10.61694615154,10.59021974535,8.1129494271)); #475315=CARTESIAN_POINT('Ctrl Pts',(-10.58990935886,10.62889457114,8.129316444491)); #475316=CARTESIAN_POINT('Ctrl Pts',(-11.04557292034,11.00072032061,7.911439042288)); #475317=CARTESIAN_POINT('Ctrl Pts',(-11.06843044369,10.95930088731,7.895598831324)); #475318=CARTESIAN_POINT('Ctrl Pts',(-11.08792316368,10.87891309618,7.871007460747)); #475319=CARTESIAN_POINT('Ctrl Pts',(-11.06701353242,10.7638093548,7.847872059365)); #475320=CARTESIAN_POINT('Ctrl Pts',(-11.01724203098,10.67411973654,7.838125782121)); #475321=CARTESIAN_POINT('Ctrl Pts',(-10.94141709392,10.60437396278,7.839728608262)); #475322=CARTESIAN_POINT('Ctrl Pts',(-10.84814734495,10.56249150162,7.852498792272)); #475323=CARTESIAN_POINT('Ctrl Pts',(-10.74802283899,10.55322706351,7.874986200278)); #475324=CARTESIAN_POINT('Ctrl Pts',(-10.6373496811,10.58147856559,7.909309078437)); #475325=CARTESIAN_POINT('Ctrl Pts',(-10.57421119543,10.63307314453,7.937615036234)); #475326=CARTESIAN_POINT('Ctrl Pts',(-10.54700099238,10.67163593641,7.953829261634)); #475327=CARTESIAN_POINT('Ctrl Pts',(-11.00202636431,11.04399075836,7.735776039935)); #475328=CARTESIAN_POINT('Ctrl Pts',(-11.02495817499,11.00260365715,7.720092776238)); #475329=CARTESIAN_POINT('Ctrl Pts',(-11.04462453727,10.92226217646,7.695760995363)); #475330=CARTESIAN_POINT('Ctrl Pts',(-11.02402743521,10.80715499748,7.67289834562)); #475331=CARTESIAN_POINT('Ctrl Pts',(-10.97453990833,10.71739595066,7.663292990507)); #475332=CARTESIAN_POINT('Ctrl Pts',(-10.89897124937,10.64750512768,7.664924184156)); #475333=CARTESIAN_POINT('Ctrl Pts',(-10.80588663069,10.6054051825,7.677607267679)); #475334=CARTESIAN_POINT('Ctrl Pts',(-10.70583740617,10.59586752128,7.699904408147)); #475335=CARTESIAN_POINT('Ctrl Pts',(-10.59509234044,10.62377203934,7.733917526191)); #475336=CARTESIAN_POINT('Ctrl Pts',(-10.53175132225,10.67513189533,7.761960802352)); #475337=CARTESIAN_POINT('Ctrl Pts',(-10.50437140734,10.71358213135,7.778025588516)); #475338=CARTESIAN_POINT('Ctrl Pts',(-10.95878589771,11.08646226898,7.559907547171)); #475339=CARTESIAN_POINT('Ctrl Pts',(-10.98179068624,11.04510831703,7.544377870622)); #475340=CARTESIAN_POINT('Ctrl Pts',(-11.00162775021,10.96481465128,7.520299769358)); #475341=CARTESIAN_POINT('Ctrl Pts',(-10.9813383315,10.84970666974,7.49770309811)); #475342=CARTESIAN_POINT('Ctrl Pts',(-10.9321307672,10.75988087439,7.488234730905)); #475343=CARTESIAN_POINT('Ctrl Pts',(-10.85681530665,10.68984801208,7.489892825615)); #475344=CARTESIAN_POINT('Ctrl Pts',(-10.76391438559,10.64753371088,7.502489986136)); #475345=CARTESIAN_POINT('Ctrl Pts',(-10.66394119843,10.63772572433,7.524600461487)); #475346=CARTESIAN_POINT('Ctrl Pts',(-10.55312792811,10.665285825,7.558310127353)); #475347=CARTESIAN_POINT('Ctrl Pts',(-10.48958939119,10.71641159368,7.586096228031)); #475348=CARTESIAN_POINT('Ctrl Pts',(-10.46204332777,10.75474899548,7.602014685679)); #475349=CARTESIAN_POINT('Ctrl Pts',(-11.0002999319492,11.0221011321172, 7.63778422340557)); #475350=CARTESIAN_POINT('Ctrl Pts',(-10.9998164684649,11.0225791745682, 7.635821591983)); #475351=CARTESIAN_POINT('Ctrl Pts',(-10.9993304009146,11.023064594404,7.63386109497708)); #475352=CARTESIAN_POINT('Ctrl Pts',(-10.9988423874002,11.0235555214042, 7.63190219217618)); #475353=CARTESIAN_POINT('Ctrl Pts',(-10.9971343400998,11.0252737659048, 7.62504603237304)); #475354=CARTESIAN_POINT('Ctrl Pts',(-10.995402431866,11.0270594644103,7.61820940508321)); #475355=CARTESIAN_POINT('Ctrl Pts',(-10.9936749376896,11.028832453651,7.61136913968413)); #475356=CARTESIAN_POINT('Ctrl Pts',(-10.9928111906014,11.0297189482713, 7.60794900698459)); #475357=CARTESIAN_POINT('Ctrl Pts',(-10.9919485526922,11.0306022677439, 7.60452796389043)); #475358=CARTESIAN_POINT('Ctrl Pts',(-10.9910905937351,11.031472405368,7.60110310869266)); #475359=CARTESIAN_POINT('Ctrl Pts',(-10.9906616142565,11.0319074741801, 7.59939068109377)); #475360=CARTESIAN_POINT('Ctrl Pts',(-10.9902338048755,11.0323392476709, 7.59767730041471)); #475361=CARTESIAN_POINT('Ctrl Pts',(-10.9898076129044,11.0327664754335, 7.59596260377811)); #475362=CARTESIAN_POINT('Ctrl Pts',(-10.9893814209332,11.0331937031961, 7.59424790714151)); #475363=CARTESIAN_POINT('Ctrl Pts',(-10.9889568464559,11.0336163852635, 7.59253189453469)); #475364=CARTESIAN_POINT('Ctrl Pts',(-10.9885343362033,11.0340332710056, 7.59081420316906)); #475365=CARTESIAN_POINT('Ctrl Pts',(-11.08601264877,10.81100024765,7.653859713355)); #475366=CARTESIAN_POINT('Ctrl Pts',(-10.99023194519,11.09002820385,7.743960771865)); #475367=CARTESIAN_POINT('Ctrl Pts',(-10.43607961016,12.65068305948,8.25107727193)); #475368=CARTESIAN_POINT('Ctrl Pts',(-8.55552764371,16.97828699987,9.697703377196)); #475369=CARTESIAN_POINT('Ctrl Pts',(-5.81089240273,20.93100640627,11.2053359188)); #475370=CARTESIAN_POINT('Ctrl Pts',(-3.457179953742,23.41224661592,12.24146872216)); #475371=CARTESIAN_POINT('Ctrl Pts',(-3.203271840457,23.68052338903,12.35341416124)); #475372=CARTESIAN_POINT('Ctrl Pts',(-11.06138245553,10.83684404357,7.556521952049)); #475373=CARTESIAN_POINT('Ctrl Pts',(-10.96547480287,11.11538196083,7.646393673008)); #475374=CARTESIAN_POINT('Ctrl Pts',(-10.41059239848,12.67330976348,8.152218270954)); #475375=CARTESIAN_POINT('Ctrl Pts',(-8.527614139686,16.99354045565,9.595276216539)); #475376=CARTESIAN_POINT('Ctrl Pts',(-5.779529704273,20.94001455574,11.09904342396)); #475377=CARTESIAN_POINT('Ctrl Pts',(-3.422597764969,23.41712555527,12.13261275976)); #475378=CARTESIAN_POINT('Ctrl Pts',(-3.16834256461,23.68495608799,12.24428116747)); #475379=CARTESIAN_POINT('Ctrl Pts',(-10.98739523245,10.91447683662,7.26412673653)); #475380=CARTESIAN_POINT('Ctrl Pts',(-10.89110623443,11.19154271426,7.353309544963)); #475381=CARTESIAN_POINT('Ctrl Pts',(-10.33403075726,12.74127865761,7.855253367416)); #475382=CARTESIAN_POINT('Ctrl Pts',(-8.443764101263,17.0393606742,9.287592833301)); #475383=CARTESIAN_POINT('Ctrl Pts',(-5.685318548686,20.96707435007,10.77974887148)); #475384=CARTESIAN_POINT('Ctrl Pts',(-3.318715505868,23.4317815172,11.80561774612)); #475385=CARTESIAN_POINT('Ctrl Pts',(-3.063417682406,23.69827157783,11.91645397268)); #475386=CARTESIAN_POINT('Ctrl Pts',(-10.90997690428,10.99570979551,6.958171899802)); #475387=CARTESIAN_POINT('Ctrl Pts',(-10.81328887626,11.27123536872,7.046633847882)); #475388=CARTESIAN_POINT('Ctrl Pts',(-10.25391862413,12.81239956111,7.544516926624)); #475389=CARTESIAN_POINT('Ctrl Pts',(-8.35602557664,17.08730577296,8.965640850898)); #475390=CARTESIAN_POINT('Ctrl Pts',(-5.586738417431,20.99538902323,10.44564726008)); #475391=CARTESIAN_POINT('Ctrl Pts',(-3.210015780514,23.44711713899,11.46345857012)); #475392=CARTESIAN_POINT('Ctrl Pts',(-2.953626983042,23.71220456409,11.57342402373)); #475393=CARTESIAN_POINT('Ctrl Pts',(-10.91484124348,10.99259045979,6.623247000972)); #475394=CARTESIAN_POINT('Ctrl Pts',(-10.81901213317,11.26782631238,6.711037654832)); #475395=CARTESIAN_POINT('Ctrl Pts',(-10.26440622373,12.80744788781,7.205137208139)); #475396=CARTESIAN_POINT('Ctrl Pts',(-8.3788850549,17.07929128047,8.615864250142)); #475397=CARTESIAN_POINT('Ctrl Pts',(-5.618807065948,20.98851659577,10.0844875981)); #475398=CARTESIAN_POINT('Ctrl Pts',(-3.246113101673,23.44222678511,11.09484520259)); #475399=CARTESIAN_POINT('Ctrl Pts',(-2.990161644087,23.70752744145,11.20400506358)); #475400=CARTESIAN_POINT('Ctrl Pts',(-10.94556099681,10.96135526654,6.466882452736)); #475401=CARTESIAN_POINT('Ctrl Pts',(-10.85039833869,11.23692891224,6.554397322596)); #475402=CARTESIAN_POINT('Ctrl Pts',(-10.29950748977,12.77848643353,7.046941762623)); #475403=CARTESIAN_POINT('Ctrl Pts',(-8.42400851692,17.05645690906,8.45341531834)); #475404=CARTESIAN_POINT('Ctrl Pts',(-5.672552425793,20.97388255014,9.917336413695)); #475405=CARTESIAN_POINT('Ctrl Pts',(-3.304839652502,23.43400318207,10.92465053847)); #475406=CARTESIAN_POINT('Ctrl Pts',(-3.049427232866,23.6999958283,11.03348143225)); #475407=CARTESIAN_POINT('Ctrl Pts',(-10.99554585884,10.91011852975,6.211923286517)); #475408=CARTESIAN_POINT('Ctrl Pts',(-10.90128937564,11.18627073929,6.298991813446)); #475409=CARTESIAN_POINT('Ctrl Pts',(-10.35544217363,12.73114135673,6.789019221577)); #475410=CARTESIAN_POINT('Ctrl Pts',(-8.49339217258,17.01955507791,8.18861245107)); #475411=CARTESIAN_POINT('Ctrl Pts',(-5.753036724625,20.95083558079,9.644917767715)); #475412=CARTESIAN_POINT('Ctrl Pts',(-3.391314525806,23.42176160067,10.64731008689)); #475413=CARTESIAN_POINT('Ctrl Pts',(-3.136550825242,23.68892067618,10.75560898055)); #475414=CARTESIAN_POINT('Ctrl Pts',(-11.02110975874,10.88429496117,6.066730133371)); #475415=CARTESIAN_POINT('Ctrl Pts',(-10.92738365153,11.16071433535,6.153551228492)); #475416=CARTESIAN_POINT('Ctrl Pts',(-10.38448893464,12.70712209283,6.642183096058)); #475417=CARTESIAN_POINT('Ctrl Pts',(-8.53032166929,17.00049301721,8.037967300408)); #475418=CARTESIAN_POINT('Ctrl Pts',(-5.79650236272,20.93867400917,9.490043376764)); #475419=CARTESIAN_POINT('Ctrl Pts',(-3.438335447961,23.41504961162,10.48971263562)); #475420=CARTESIAN_POINT('Ctrl Pts',(-3.183956716972,23.68279691782,10.59771718399)); #475421=CARTESIAN_POINT('Ctrl Pts',(-11.07646720043,10.8275405346,5.835177450045)); #475422=CARTESIAN_POINT('Ctrl Pts',(-10.98383953251,11.10459641672,5.921637986933)); #475423=CARTESIAN_POINT('Ctrl Pts',(-10.44707068978,12.65463891739,6.408235150707)); #475424=CARTESIAN_POINT('Ctrl Pts',(-8.60948541811,16.95930985085,7.798495431024)); #475425=CARTESIAN_POINT('Ctrl Pts',(-5.890098528908,20.91202794557,9.244370089967)); #475426=CARTESIAN_POINT('Ctrl Pts',(-3.540420416537,23.39964574256,10.24009920679)); #475427=CARTESIAN_POINT('Ctrl Pts',(-3.28696013773,23.66860669467,10.34767780671)); #475428=CARTESIAN_POINT('Ctrl Pts',(-11.14997057361,10.75082672316,5.600918248581)); #475429=CARTESIAN_POINT('Ctrl Pts',(-11.0586003555,11.02881670115,5.68701034651)); #475430=CARTESIAN_POINT('Ctrl Pts',(-10.52884848974,12.58416757042,6.171528126662)); #475431=CARTESIAN_POINT('Ctrl Pts',(-8.71034531491,16.90494278501,7.556148674111)); #475432=CARTESIAN_POINT('Ctrl Pts',(-6.007828333403,20.87720886482,8.995679227286)); #475433=CARTESIAN_POINT('Ctrl Pts',(-3.668305503959,23.37969109913,9.987387252954)); #475434=CARTESIAN_POINT('Ctrl Pts',(-3.415943739431,23.65025690558,10.09453114514)); #475435=CARTESIAN_POINT('Ctrl Pts',(-11.25750818734,10.63277795121,5.273137928611)); #475436=CARTESIAN_POINT('Ctrl Pts',(-11.16748622347,10.91232105825,5.358441025055)); #475437=CARTESIAN_POINT('Ctrl Pts',(-10.64524262109,12.4764830553,5.838513395072)); #475438=CARTESIAN_POINT('Ctrl Pts',(-8.84684331034,16.8237532521,7.210877586827)); #475439=CARTESIAN_POINT('Ctrl Pts',(-6.16117006916,20.82747127976,8.637081965397)); #475440=CARTESIAN_POINT('Ctrl Pts',(-3.830972050807,23.35370742432,9.619991082815)); #475441=CARTESIAN_POINT('Ctrl Pts',(-3.57961980132,23.62683795783,9.726184053926)); #475442=CARTESIAN_POINT('Ctrl Pts',(-11.38590438987,10.4926716935,4.931080597549)); #475443=CARTESIAN_POINT('Ctrl Pts',(-11.29830874596,10.77389905779,5.01602088119)); #475444=CARTESIAN_POINT('Ctrl Pts',(-10.78962246097,12.34761516045,5.494039925916)); #475445=CARTESIAN_POINT('Ctrl Pts',(-9.02845093825,16.72359993532,6.860985884445)); #475446=CARTESIAN_POINT('Ctrl Pts',(-6.376753791669,20.76136071165,8.28074676777)); #475447=CARTESIAN_POINT('Ctrl Pts',(-4.067941315037,23.31329713038,9.259838251824)); #475448=CARTESIAN_POINT('Ctrl Pts',(-3.818901420876,23.58920254846,9.365618282259)); #475449=CARTESIAN_POINT('Ctrl Pts',(-11.52222945848,10.33714319858,4.61720776328)); #475450=CARTESIAN_POINT('Ctrl Pts',(-11.43643662913,10.62039161619,4.701366092976)); #475451=CARTESIAN_POINT('Ctrl Pts',(-10.93780909944,12.20554928125,5.174978822575)); #475452=CARTESIAN_POINT('Ctrl Pts',(-9.20396031657,16.61556169571,6.529792461504)); #475453=CARTESIAN_POINT('Ctrl Pts',(-6.576326023884,20.69257318648,7.936325095059)); #475454=CARTESIAN_POINT('Ctrl Pts',(-4.281917857559,23.27388562659,8.906711542143)); #475455=CARTESIAN_POINT('Ctrl Pts',(-4.034436185085,23.55296298055,9.011550772405)); #475456=CARTESIAN_POINT('Ctrl Pts',(-11.6513680273,10.18564723373,4.282453157282)); #475457=CARTESIAN_POINT('Ctrl Pts',(-11.56747716128,10.47079680862,4.365863719637)); #475458=CARTESIAN_POINT('Ctrl Pts',(-11.07946281831,12.0667261092,4.835261550446)); #475459=CARTESIAN_POINT('Ctrl Pts',(-9.3744679088,16.50891177439,6.17850242053)); #475460=CARTESIAN_POINT('Ctrl Pts',(-6.772319318954,20.62345800362,7.572342901862)); #475461=CARTESIAN_POINT('Ctrl Pts',(-4.493232657548,23.23296420883,8.534435460226)); #475462=CARTESIAN_POINT('Ctrl Pts',(-4.247408359159,23.5150857709,8.638378277192)); #475463=CARTESIAN_POINT('Ctrl Pts',(-11.76743697601,10.0471471222,3.956032485351)); #475464=CARTESIAN_POINT('Ctrl Pts',(-11.68560658456,10.33396120484,4.038890283111)); #475465=CARTESIAN_POINT('Ctrl Pts',(-11.20909830448,11.93932928462,4.505168882017)); #475466=CARTESIAN_POINT('Ctrl Pts',(-9.53555504817,16.4098315005,5.839938003004)); #475467=CARTESIAN_POINT('Ctrl Pts',(-6.961690649642,20.5577926172,7.224275755834)); #475468=CARTESIAN_POINT('Ctrl Pts',(-4.700050021196,23.19248850319,8.180324475126)); #475469=CARTESIAN_POINT('Ctrl Pts',(-4.45611246019,23.47732984586,8.283613929073)); #475470=CARTESIAN_POINT('Ctrl Pts',(-11.89077687347,9.896308035042,3.622320130554)); #475471=CARTESIAN_POINT('Ctrl Pts',(-11.81077341235,10.18496454162,3.704391863462)); #475472=CARTESIAN_POINT('Ctrl Pts',(-11.34445753858,11.80077365504,4.166240642524)); #475473=CARTESIAN_POINT('Ctrl Pts',(-9.69858168307,16.30249558041,5.488821738804)); #475474=CARTESIAN_POINT('Ctrl Pts',(-7.149029259265,20.48698252178,6.859849988206)); #475475=CARTESIAN_POINT('Ctrl Pts',(-4.901894185188,23.14913902317,7.807156381665)); #475476=CARTESIAN_POINT('Ctrl Pts',(-4.659525847527,23.43694539529,7.909500992089)); #475477=CARTESIAN_POINT('Ctrl Pts',(-11.99122897755,9.771081422,3.285800863223)); #475478=CARTESIAN_POINT('Ctrl Pts',(-11.91317754307,10.06115176946,3.36730813836)); #475479=CARTESIAN_POINT('Ctrl Pts',(-11.45775889599,11.6849918929,3.825972056546)); #475480=CARTESIAN_POINT('Ctrl Pts',(-9.84160444742,16.21102031528,5.139893748354)); #475481=CARTESIAN_POINT('Ctrl Pts',(-7.318586004298,20.42483827743,6.501230490641)); #475482=CARTESIAN_POINT('Ctrl Pts',(-5.087650057219,23.10925863961,7.442356333249)); #475483=CARTESIAN_POINT('Ctrl Pts',(-4.847033774991,23.39946877973,7.544032815769)); #475484=CARTESIAN_POINT('Ctrl Pts',(-12.0929089573,9.640038838828,2.948629929637)); #475485=CARTESIAN_POINT('Ctrl Pts',(-12.01666097481,9.931610696273,3.029447439792)); #475486=CARTESIAN_POINT('Ctrl Pts',(-11.57130470981,11.56397490802,3.484222725347)); #475487=CARTESIAN_POINT('Ctrl Pts',(-9.98248428596,16.11572571808,4.787485306522)); #475488=CARTESIAN_POINT('Ctrl Pts',(-7.48354287966,20.36035297889,6.137091214083)); #475489=CARTESIAN_POINT('Ctrl Pts',(-5.267023467638,23.06810621131,7.070583280377)); #475490=CARTESIAN_POINT('Ctrl Pts',(-5.027966725713,23.360835574,7.171434668818)); #475491=CARTESIAN_POINT('Ctrl Pts',(-12.1958571054,9.503273598981,2.613905579936)); #475492=CARTESIAN_POINT('Ctrl Pts',(-12.1212061966,9.796480243019,2.693901035463)); #475493=CARTESIAN_POINT('Ctrl Pts',(-11.6847534594,11.43811689578,3.144044845271)); #475494=CARTESIAN_POINT('Ctrl Pts',(-10.11996909694,16.01771955766,4.434533745012)); #475495=CARTESIAN_POINT('Ctrl Pts',(-7.641755619385,20.29531763812,5.770260835193)); #475496=CARTESIAN_POINT('Ctrl Pts',(-5.437256701893,23.02795037722,6.694581316924)); #475497=CARTESIAN_POINT('Ctrl Pts',(-5.199500705578,23.32336601335,6.794441514577)); #475498=CARTESIAN_POINT('Ctrl Pts',(-12.27868932596,9.39195315907,2.273461763041)); #475499=CARTESIAN_POINT('Ctrl Pts',(-12.20571105643,9.68636663234,2.352807188423)); #475500=CARTESIAN_POINT('Ctrl Pts',(-11.77858763225,11.3348705121,2.799285843733)); #475501=CARTESIAN_POINT('Ctrl Pts',(-10.23908081242,15.93544592981,4.079742307184)); #475502=CARTESIAN_POINT('Ctrl Pts',(-7.782940072447,20.23892011711,5.404396005316)); #475503=CARTESIAN_POINT('Ctrl Pts',(-5.591487917135,22.99132516472,6.321535730009)); #475504=CARTESIAN_POINT('Ctrl Pts',(-5.355143457644,23.28887547265,6.420619777008)); #475505=CARTESIAN_POINT('Ctrl Pts',(-12.3674793928,9.271738780525,1.938173494527)); #475506=CARTESIAN_POINT('Ctrl Pts',(-12.29623166631,9.567455904787,2.016853942893)); #475507=CARTESIAN_POINT('Ctrl Pts',(-11.87876275245,11.22337062783,2.459583523698)); #475508=CARTESIAN_POINT('Ctrl Pts',(-10.3654600857,15.84646503143,3.729768376844)); #475509=CARTESIAN_POINT('Ctrl Pts',(-7.932336277284,20.17738275181,5.043106364069)); #475510=CARTESIAN_POINT('Ctrl Pts',(-5.754608837854,22.95068108067,5.952891311068)); #475511=CARTESIAN_POINT('Ctrl Pts',(-5.519749138345,23.25048713613,6.051180412069)); #475512=CARTESIAN_POINT('Ctrl Pts',(-12.44608393158,9.160736316085,1.590253139121)); #475513=CARTESIAN_POINT('Ctrl Pts',(-12.37621375954,9.457677693241,1.668138987038)); #475514=CARTESIAN_POINT('Ctrl Pts',(-11.96641614691,11.12055893688,2.106391362446)); #475515=CARTESIAN_POINT('Ctrl Pts',(-10.47365120585,15.76493163855,3.364238817254)); #475516=CARTESIAN_POINT('Ctrl Pts',(-8.057726583452,20.122109297,4.664147464656)); #475517=CARTESIAN_POINT('Ctrl Pts',(-5.889513278351,22.91547477068,5.565077288159)); #475518=CARTESIAN_POINT('Ctrl Pts',(-5.655683598551,23.21744732819,5.662409382974)); #475519=CARTESIAN_POINT('Ctrl Pts',(-12.52351314347,9.050945212045,1.232321126535)); #475520=CARTESIAN_POINT('Ctrl Pts',(-12.45530958195,9.349026696191,1.309509955247)); #475521=CARTESIAN_POINT('Ctrl Pts',(-12.0548048964,11.01840413109,1.743832714343)); #475522=CARTESIAN_POINT('Ctrl Pts',(-10.58716267947,15.68275072421,2.990911029244)); #475523=CARTESIAN_POINT('Ctrl Pts',(-8.193008671364,20.0649729983,4.278962465497)); #475524=CARTESIAN_POINT('Ctrl Pts',(-6.037515408043,22.87756580774,5.172180402854)); #475525=CARTESIAN_POINT('Ctrl Pts',(-5.805062071457,23.1816141385,5.268678957671)); #475526=CARTESIAN_POINT('Ctrl Pts',(-12.59123953108,8.954699135784,0.8753567587356)); #475527=CARTESIAN_POINT('Ctrl Pts',(-12.5246170343,9.253679917401,0.9518784360837)); #475528=CARTESIAN_POINT('Ctrl Pts',(-12.132926043,10.92819969241,1.38243956663)); #475529=CARTESIAN_POINT('Ctrl Pts',(-10.6890654424,15.60864098856,2.619219704734)); #475530=CARTESIAN_POINT('Ctrl Pts',(-8.315384394063,20.01188456221,3.895908252922)); #475531=CARTESIAN_POINT('Ctrl Pts',(-6.171731824945,22.84080240526,4.78175472973)); #475532=CARTESIAN_POINT('Ctrl Pts',(-5.94055981164,23.14661305129,4.8774565237)); #475533=CARTESIAN_POINT('Ctrl Pts',(-12.65842953656,8.85504270149,0.5251935263899)); #475534=CARTESIAN_POINT('Ctrl Pts',(-12.5930558845,9.15506794082,0.6009303902374)); #475535=CARTESIAN_POINT('Ctrl Pts',(-12.20831403101,10.83554379046,1.027069411285)); #475536=CARTESIAN_POINT('Ctrl Pts',(-10.7829474351,15.53437409803,2.251665273784)); #475537=CARTESIAN_POINT('Ctrl Pts',(-8.424422580113,19.96089988519,3.515088199514)); #475538=CARTESIAN_POINT('Ctrl Pts',(-6.28884727521,22.80774190162,4.392189842475)); #475539=CARTESIAN_POINT('Ctrl Pts',(-6.058550064939,23.11548759821,4.486946547749)); #475540=CARTESIAN_POINT('Ctrl Pts',(-12.71442111865,8.771188881675,0.2047186377042)); #475541=CARTESIAN_POINT('Ctrl Pts',(-12.65048587659,9.071989326004,0.2798619545464)); #475542=CARTESIAN_POINT('Ctrl Pts',(-12.27376351814,10.75690086798,0.7026542912217)); #475543=CARTESIAN_POINT('Ctrl Pts',(-10.87005666432,15.46965960593,1.918089621808)); #475544=CARTESIAN_POINT('Ctrl Pts',(-8.530240942948,19.91450963759,3.171401132397)); #475545=CARTESIAN_POINT('Ctrl Pts',(-6.405542774175,22.77561034682,4.041946139075)); #475546=CARTESIAN_POINT('Ctrl Pts',(-6.176422556859,23.08489527469,4.135994153136)); #475547=CARTESIAN_POINT('Ctrl Pts',(-12.74602711281,8.723468823863,-0.002891394062471)); #475548=CARTESIAN_POINT('Ctrl Pts',(-12.6827687761,9.024720650755,0.07181532535723)); #475549=CARTESIAN_POINT('Ctrl Pts',(-12.30981051753,10.7122198301,0.4921472294336)); #475550=CARTESIAN_POINT('Ctrl Pts',(-10.91606998358,15.43317888475,1.700813419377)); #475551=CARTESIAN_POINT('Ctrl Pts',(-8.584267041314,19.88903480664,2.946732033449)); #475552=CARTESIAN_POINT('Ctrl Pts',(-6.463692775853,22.7587455548,3.812421732554)); #475553=CARTESIAN_POINT('Ctrl Pts',(-6.235019364642,23.06895990873,3.905944999414)); #475554=CARTESIAN_POINT('Ctrl Pts',(-12.75940487299,8.70326496685,-0.09022805235934)); #475555=CARTESIAN_POINT('Ctrl Pts',(-12.69643554209,9.004708276608,-0.01570446922985)); #475556=CARTESIAN_POINT('Ctrl Pts',(-12.32508459867,10.69330498827,0.4035953634901)); #475557=CARTESIAN_POINT('Ctrl Pts',(-10.9356044337,15.4177393832,1.609422435038)); #475558=CARTESIAN_POINT('Ctrl Pts',(-8.607240840574,19.87825166669,2.852239595326)); #475559=CARTESIAN_POINT('Ctrl Pts',(-6.488450254829,22.75160348468,3.715892971381)); #475560=CARTESIAN_POINT('Ctrl Pts',(-6.259970080926,23.06221088152,3.809196158036)); #475561=CARTESIAN_POINT('',(-10.410371568371,12.5056705087861,7.88852313989662)); #475562=CARTESIAN_POINT('Ctrl Pts',(-3.20400449605524,23.5833491093717, 11.9800290790562)); #475563=CARTESIAN_POINT('Ctrl Pts',(-4.34324551065668,22.390486688402,11.4834997443362)); #475564=CARTESIAN_POINT('Ctrl Pts',(-5.7934898347497,20.8040216006585,10.8299363133409)); #475565=CARTESIAN_POINT('Ctrl Pts',(-8.5718269190429,16.5357646115749,9.24890126678641)); #475566=CARTESIAN_POINT('Ctrl Pts',(-9.58257854141708,14.5769397795048, 8.57031842246325)); #475567=CARTESIAN_POINT('Ctrl Pts',(-10.4103714186791,12.5056705920408, 7.8885227052256)); #475568=CARTESIAN_POINT('Ctrl Pts',(-10.4103716894529,12.5056703505775, 7.88852376747041)); #475569=CARTESIAN_POINT('Ctrl Pts',(-10.4131059250229,12.4988287686722, 7.88627150073938)); #475570=CARTESIAN_POINT('Ctrl Pts',(-10.4158558236366,12.4919704876655, 7.88408925285536)); #475571=CARTESIAN_POINT('Ctrl Pts',(-10.4213629660967,12.4782755059845, 7.87987007726528)); #475572=CARTESIAN_POINT('Ctrl Pts',(-10.4241188757127,12.4714418270054, 7.87783304127703)); #475573=CARTESIAN_POINT('Ctrl Pts',(-10.4268878981142,12.4645928661628, 7.87585379640183)); #475574=CARTESIAN_POINT('Ctrl Pts',(-10.43745517365,12.48169856136,7.894161664666)); #475575=CARTESIAN_POINT('Ctrl Pts',(-10.43412107661,12.47578658918,7.887837132084)); #475576=CARTESIAN_POINT('Ctrl Pts',(-10.43069256139,12.46979667071,7.881635362735)); #475577=CARTESIAN_POINT('Ctrl Pts',(-10.4271724131,12.46373326043,7.875560006784)); #475578=CARTESIAN_POINT('Ctrl Pts',(-10.42857905205,12.48997735737,7.892592134585)); #475579=CARTESIAN_POINT('Ctrl Pts',(-10.42526172948,12.48405836044,7.886258293491)); #475580=CARTESIAN_POINT('Ctrl Pts',(-10.42184972668,12.47806125195,7.880047164091)); #475581=CARTESIAN_POINT('Ctrl Pts',(-10.41834582164,12.47199049664,7.873962406916)); #475582=CARTESIAN_POINT('Ctrl Pts',(-10.41938763226,12.49821592344,7.890742116874)); #475583=CARTESIAN_POINT('Ctrl Pts',(-10.4160833313,12.49229119464,7.884402147324)); #475584=CARTESIAN_POINT('Ctrl Pts',(-10.41268419158,12.48628822215,7.878184853298)); #475585=CARTESIAN_POINT('Ctrl Pts',(-10.40919298489,12.48021147844,7.872093902206)); #475586=CARTESIAN_POINT('Ctrl Pts',(-10.41008294578,12.50643346424,7.888787852235)); #475587=CARTESIAN_POINT('Ctrl Pts',(-10.40679039897,12.50050345302,7.88244283689)); #475588=CARTESIAN_POINT('Ctrl Pts',(-10.40340289007,12.49449507712,7.87622046607)); #475589=CARTESIAN_POINT('Ctrl Pts',(-10.39992318509,12.48841281581,7.870124412887)); #475590=CARTESIAN_POINT('Ctrl Pts',(-10.4103716289299,12.5056705291224, 7.88852293684808)); #475591=CARTESIAN_POINT('Ctrl Pts',(-10.4193113390521,12.4977704652187, 7.89039795913872)); #475592=CARTESIAN_POINT('Ctrl Pts',(-10.4282058673721,12.4898007787696, 7.89219134300686)); #475593=CARTESIAN_POINT('Ctrl Pts',(-10.43674927345,12.48184421345,7.893711088403)); #475594=CARTESIAN_POINT('Ctrl Pts',(-13.882397552,5.647063806455,-0.4420448710171)); #475595=CARTESIAN_POINT('Ctrl Pts',(-13.80462119961,6.411432824441,-0.2673549984485)); #475596=CARTESIAN_POINT('Ctrl Pts',(-13.53926246162,8.649064809109,0.2463300080941)); #475597=CARTESIAN_POINT('Ctrl Pts',(-12.38535083572,14.11566963728,1.541753455288)); #475598=CARTESIAN_POINT('Ctrl Pts',(-10.34576106379,18.95471343545,2.771752276755)); #475599=CARTESIAN_POINT('Ctrl Pts',(-8.385408028586,22.12262808862,3.622381444269)); #475600=CARTESIAN_POINT('Ctrl Pts',(-8.171685269148,22.46910436529,3.715365448096)); #475601=CARTESIAN_POINT('Ctrl Pts',(-13.88146080801,5.649367748822,-0.4316469855941)); #475602=CARTESIAN_POINT('Ctrl Pts',(-13.80359287537,6.41370609847,-0.2569109705457)); #475603=CARTESIAN_POINT('Ctrl Pts',(-13.53796506725,8.651236798729,0.2569161396938)); #475604=CARTESIAN_POINT('Ctrl Pts',(-12.38348064597,14.11751084148,1.55267333128)); #475605=CARTESIAN_POINT('Ctrl Pts',(-10.34349348001,18.95605831489,2.783006515538)); #475606=CARTESIAN_POINT('Ctrl Pts',(-8.382977863417,22.12355980762,3.633861046046)); #475607=CARTESIAN_POINT('Ctrl Pts',(-8.16923721432,22.46999099189,3.726869690019)); #475608=CARTESIAN_POINT('Ctrl Pts',(-13.86867839683,5.680692957423,-0.290725420172)); #475609=CARTESIAN_POINT('Ctrl Pts',(-13.78956761442,6.444613075205,-0.1153637628726)); #475610=CARTESIAN_POINT('Ctrl Pts',(-13.52028822139,8.680763457911,0.4003900991572)); #475611=CARTESIAN_POINT('Ctrl Pts',(-12.35802887245,14.14253526577,1.70067251065)); #475612=CARTESIAN_POINT('Ctrl Pts',(-10.31264514348,18.97433765047,2.935539230766)); #475613=CARTESIAN_POINT('Ctrl Pts',(-8.349918756205,22.13622624199,3.789449438981)); #475614=CARTESIAN_POINT('Ctrl Pts',(-8.135934849922,22.48204483148,3.882792176558)); #475615=CARTESIAN_POINT('Ctrl Pts',(-13.84136965954,5.74422151001,-0.01534150692502)); #475616=CARTESIAN_POINT('Ctrl Pts',(-13.75977217903,6.507275732059,0.1612445237864)); #475617=CARTESIAN_POINT('Ctrl Pts',(-13.48318695326,8.740585382161,0.6807692473003)); #475618=CARTESIAN_POINT('Ctrl Pts',(-12.30531924491,14.19319767922,1.989907386264)); #475619=CARTESIAN_POINT('Ctrl Pts',(-10.24901161871,19.01146726073,3.233646875038)); #475620=CARTESIAN_POINT('Ctrl Pts',(-8.281703141247,22.16213120671,4.093537018364)); #475621=CARTESIAN_POINT('Ctrl Pts',(-8.067215243945,22.50672470212,4.187533574724)); #475622=CARTESIAN_POINT('Ctrl Pts',(-13.80662563428,5.828681361903,0.3827555008991)); #475623=CARTESIAN_POINT('Ctrl Pts',(-13.72079487994,6.590924403649,0.5608802009713)); #475624=CARTESIAN_POINT('Ctrl Pts',(-13.431775853,8.821422048953,1.08517628246)); #475625=CARTESIAN_POINT('Ctrl Pts',(-12.22667929962,14.26402192099,2.405434173054)); #475626=CARTESIAN_POINT('Ctrl Pts',(-10.15018393755,19.06579068795,3.660421259651)); #475627=CARTESIAN_POINT('Ctrl Pts',(-8.173076829812,22.20243373488,4.527828500473)); #475628=CARTESIAN_POINT('Ctrl Pts',(-7.957513462068,22.54549722641,4.622647004957)); #475629=CARTESIAN_POINT('Ctrl Pts',(-13.75719219684,5.944143525758,0.7753337390627)); #475630=CARTESIAN_POINT('Ctrl Pts',(-13.66729752275,6.704417092169,0.9552858153994)); #475631=CARTESIAN_POINT('Ctrl Pts',(-13.36634120161,8.928701643401,1.485202393539)); #475632=CARTESIAN_POINT('Ctrl Pts',(-12.13528117146,14.35282444665,2.818679583084)); #475633=CARTESIAN_POINT('Ctrl Pts',(-10.03983168057,19.13010478685,4.08688682003)); #475634=CARTESIAN_POINT('Ctrl Pts',(-8.053852879686,22.24698660058,4.963218620046)); #475635=CARTESIAN_POINT('Ctrl Pts',(-7.8373152903,22.58789282241,5.059012880466)); #475636=CARTESIAN_POINT('Ctrl Pts',(-13.71462223723,6.043624908475,1.171143168603)); #475637=CARTESIAN_POINT('Ctrl Pts',(-13.62007030276,6.802625468208,1.352602932674)); #475638=CARTESIAN_POINT('Ctrl Pts',(-13.30543663094,9.022741567867,1.887201205392)); #475639=CARTESIAN_POINT('Ctrl Pts',(-12.04407994107,14.43347327703,3.231572426174)); #475640=CARTESIAN_POINT('Ctrl Pts',(-9.925596504093,19.1910581312,4.510819944133)); #475641=CARTESIAN_POINT('Ctrl Pts',(-7.927802568611,22.29162121514,5.394517899505)); #475642=CARTESIAN_POINT('Ctrl Pts',(-7.709969129599,22.6307463433,5.491117615915)); #475643=CARTESIAN_POINT('Ctrl Pts',(-13.65371054372,6.178925038445,1.564746788905)); #475644=CARTESIAN_POINT('Ctrl Pts',(-13.55457760432,6.935363764529,1.748079869903)); #475645=CARTESIAN_POINT('Ctrl Pts',(-13.22648975817,9.147520670576,2.28843865456)); #475646=CARTESIAN_POINT('Ctrl Pts',(-11.93548077939,14.53538221728,3.646361875504)); #475647=CARTESIAN_POINT('Ctrl Pts',(-9.794699374198,19.2642222218,4.939158059872)); #475648=CARTESIAN_POINT('Ctrl Pts',(-7.785734366866,22.34193116848,5.832004856232)); #475649=CARTESIAN_POINT('Ctrl Pts',(-7.566675341121,22.67856108793,5.929604847665)); #475650=CARTESIAN_POINT('Ctrl Pts',(-13.59743180298,6.302813571716,1.963885788732)); #475651=CARTESIAN_POINT('Ctrl Pts',(-13.49376361241,7.057085478203,2.149019528515)); #475652=CARTESIAN_POINT('Ctrl Pts',(-13.15235571588,9.262440225595,2.694926605751)); #475653=CARTESIAN_POINT('Ctrl Pts',(-11.83203930487,14.63029133981,4.065873018474)); #475654=CARTESIAN_POINT('Ctrl Pts',(-9.669300461221,19.33303848862,5.371725869108)); #475655=CARTESIAN_POINT('Ctrl Pts',(-7.649423914321,22.38978488494,6.273367390578)); #475656=CARTESIAN_POINT('Ctrl Pts',(-7.429167646933,22.72412630975,6.371928962034)); #475657=CARTESIAN_POINT('Ctrl Pts',(-13.51034141237,6.485381625974,2.485926864939)); #475658=CARTESIAN_POINT('Ctrl Pts',(-13.39968635754,7.236453205727,2.673216286352)); #475659=CARTESIAN_POINT('Ctrl Pts',(-13.037762089,9.431828335432,3.225800355949)); #475660=CARTESIAN_POINT('Ctrl Pts',(-11.67150961736,14.77076050621,4.612327769471)); #475661=CARTESIAN_POINT('Ctrl Pts',(-9.473042228165,19.43666726442,5.933915537966)); #475662=CARTESIAN_POINT('Ctrl Pts',(-7.433967738897,22.46400951627,6.846088040545)); #475663=CARTESIAN_POINT('Ctrl Pts',(-7.211607125974,22.79514070433,6.94580109477)); #475664=CARTESIAN_POINT('Ctrl Pts',(-13.41723754742,6.678828941403,2.99631414216)); #475665=CARTESIAN_POINT('Ctrl Pts',(-13.29950350522,7.426173133769,3.185803652041)); #475666=CARTESIAN_POINT('Ctrl Pts',(-12.91679296532,9.610029405048,3.745191054715)); #475667=CARTESIAN_POINT('Ctrl Pts',(-11.50388058152,14.91627035297,5.147623618685)); #475668=CARTESIAN_POINT('Ctrl Pts',(-9.268927634782,19.54191413094,6.485236953169)); #475669=CARTESIAN_POINT('Ctrl Pts',(-7.210043021769,22.53743461682,7.408156644882)); #475670=CARTESIAN_POINT('Ctrl Pts',(-6.985511236871,22.8650913454,7.509044800858)); #475671=CARTESIAN_POINT('Ctrl Pts',(-13.30949548211,6.887395357927,3.497357307241)); #475672=CARTESIAN_POINT('Ctrl Pts',(-13.18421724244,7.630548563617,3.68892767177)); #475673=CARTESIAN_POINT('Ctrl Pts',(-12.77935608478,9.801526747092,4.2547684744)); #475674=CARTESIAN_POINT('Ctrl Pts',(-11.31639617852,15.07170785618,5.672238876662)); #475675=CARTESIAN_POINT('Ctrl Pts',(-9.041782672208,19.65394319929,7.025065383812)); #475676=CARTESIAN_POINT('Ctrl Pts',(-6.960809995676,22.61539164064,7.958151042378)); #475677=CARTESIAN_POINT('Ctrl Pts',(-6.733858117034,22.93932800419,8.060150785443)); #475678=CARTESIAN_POINT('Ctrl Pts',(-13.22449680399,7.048836342899,3.85861737964)); #475679=CARTESIAN_POINT('Ctrl Pts',(-13.09437484898,7.788406359352,4.052147856807)); #475680=CARTESIAN_POINT('Ctrl Pts',(-12.67528975027,9.948446500186,4.623993820478)); #475681=CARTESIAN_POINT('Ctrl Pts',(-11.18062991478,15.18848483626,6.05565044266)); #475682=CARTESIAN_POINT('Ctrl Pts',(-8.881599784911,19.7354428934,7.422586856764)); #475683=CARTESIAN_POINT('Ctrl Pts',(-6.787760665623,22.66944391647,8.365243798167)); #475684=CARTESIAN_POINT('Ctrl Pts',(-6.559398115546,22.99038304917,8.468289960147)); #475685=CARTESIAN_POINT('Ctrl Pts',(-13.14143979026,7.204043162577,4.224698792669)); #475686=CARTESIAN_POINT('Ctrl Pts',(-13.00513312832,7.940569490182,4.419422619154)); #475687=CARTESIAN_POINT('Ctrl Pts',(-12.56789118186,10.09125244987,4.995025812365)); #475688=CARTESIAN_POINT('Ctrl Pts',(-11.03178757248,15.30505957103,6.435290502534)); #475689=CARTESIAN_POINT('Ctrl Pts',(-8.699239797545,19.82037788401,7.811119702024)); #475690=CARTESIAN_POINT('Ctrl Pts',(-6.586032105889,22.72952919678,8.759610385939)); #475691=CARTESIAN_POINT('Ctrl Pts',(-6.355548336661,23.04775497505,8.863294541555)); #475692=CARTESIAN_POINT('Ctrl Pts',(-13.03904813915,7.385652661884,4.583741168649)); #475693=CARTESIAN_POINT('Ctrl Pts',(-12.89706319258,8.117951308523,4.780286306437)); #475694=CARTESIAN_POINT('Ctrl Pts',(-12.44314922477,10.25579522126,5.361494066635)); #475695=CARTESIAN_POINT('Ctrl Pts',(-10.86936383925,15.43466815402,6.81493340784)); #475696=CARTESIAN_POINT('Ctrl Pts',(-8.506934419575,19.91004694537,8.203947023843)); #475697=CARTESIAN_POINT('Ctrl Pts',(-6.377063592351,22.78835711663,9.161332824168)); #475698=CARTESIAN_POINT('Ctrl Pts',(-6.144754142881,23.10321486247,9.265989521909)); #475699=CARTESIAN_POINT('Ctrl Pts',(-12.94015197886,7.556758639866,4.948429555524)); #475700=CARTESIAN_POINT('Ctrl Pts',(-12.79176389627,8.28545224726,5.146207170618)); #475701=CARTESIAN_POINT('Ctrl Pts',(-12.31905207815,10.41228339129,5.731292451164)); #475702=CARTESIAN_POINT('Ctrl Pts',(-10.70231024203,15.56075352482,7.193622929361)); #475703=CARTESIAN_POINT('Ctrl Pts',(-8.305060904321,20.00043638317,8.591812235012)); #475704=CARTESIAN_POINT('Ctrl Pts',(-6.154988633053,22.85094514327,9.555222867884)); #475705=CARTESIAN_POINT('Ctrl Pts',(-5.920466850065,23.16276702809,9.660538451752)); #475706=CARTESIAN_POINT('Ctrl Pts',(-12.82880551024,7.745403055962,5.312565901644)); #475707=CARTESIAN_POINT('Ctrl Pts',(-12.67453366054,8.469604937007,5.512175123192)); #475708=CARTESIAN_POINT('Ctrl Pts',(-12.18454673479,10.58281212803,6.102901449028)); #475709=CARTESIAN_POINT('Ctrl Pts',(-10.52871494014,15.69432738459,7.578479686606)); #475710=CARTESIAN_POINT('Ctrl Pts',(-8.100394767047,20.0920066327,8.989942275514)); #475711=CARTESIAN_POINT('Ctrl Pts',(-5.932920929199,22.91014265939,9.962298617625)); #475712=CARTESIAN_POINT('Ctrl Pts',(-5.696492658214,23.21842919648,10.06859228447)); #475713=CARTESIAN_POINT('Ctrl Pts',(-12.71553834201,7.929471587321,5.667784990751)); #475714=CARTESIAN_POINT('Ctrl Pts',(-12.55496364264,8.649372649091,5.868821379156)); #475715=CARTESIAN_POINT('Ctrl Pts',(-12.04647294644,10.74952557965,6.463996755586)); #475716=CARTESIAN_POINT('Ctrl Pts',(-10.34842178031,15.82556781254,7.949882268891)); #475717=CARTESIAN_POINT('Ctrl Pts',(-7.885982594878,20.18279155622,9.37184749094)); #475718=CARTESIAN_POINT('Ctrl Pts',(-5.698819047753,22.96971332399,10.35117771694)); #475719=CARTESIAN_POINT('Ctrl Pts',(-5.460234354124,23.27459105911,10.45823398038)); #475720=CARTESIAN_POINT('Ctrl Pts',(-12.57963915661,8.143133579148,6.012537919344)); #475721=CARTESIAN_POINT('Ctrl Pts',(-12.41207609344,8.857771518123,6.214954611414)); #475722=CARTESIAN_POINT('Ctrl Pts',(-11.88307064285,10.94203590971,6.814448796127)); #475723=CARTESIAN_POINT('Ctrl Pts',(-10.13791245333,15.97549035876,8.310298628608)); #475724=CARTESIAN_POINT('Ctrl Pts',(-7.636927787855,20.28540252759,9.742468985009)); #475725=CARTESIAN_POINT('Ctrl Pts',(-5.427034409988,23.03613926717,10.72854512836)); #475726=CARTESIAN_POINT('Ctrl Pts',(-5.185960947692,23.33706506993,10.83633909089)); #475727=CARTESIAN_POINT('Ctrl Pts',(-12.42416586906,8.379983522917,6.320261793765)); #475728=CARTESIAN_POINT('Ctrl Pts',(-12.25005785067,9.088313944804,6.524812344634)); #475729=CARTESIAN_POINT('Ctrl Pts',(-11.70183850501,11.15363698549,7.130829274501)); #475730=CARTESIAN_POINT('Ctrl Pts',(-9.91271508429,16.13700271631,8.642126986762)); #475731=CARTESIAN_POINT('Ctrl Pts',(-7.376000504219,20.39275188314,10.08961397206)); #475732=CARTESIAN_POINT('Ctrl Pts',(-5.145283964056,23.10244932316,11.08610884744)); #475733=CARTESIAN_POINT('Ctrl Pts',(-4.901930198593,23.39889268308,11.19504185112)); #475734=CARTESIAN_POINT('Ctrl Pts',(-12.246862821,8.63466550794,6.585737740356)); #475735=CARTESIAN_POINT('Ctrl Pts',(-12.06502621437,9.336109696443,6.791570014621)); #475736=CARTESIAN_POINT('Ctrl Pts',(-11.49412209297,11.38080507377,7.401604015942)); #475737=CARTESIAN_POINT('Ctrl Pts',(-9.65233282329,16.30997603457,8.922152497434)); #475738=CARTESIAN_POINT('Ctrl Pts',(-7.071612921531,20.50790013774,10.37913582725)); #475739=CARTESIAN_POINT('Ctrl Pts',(-4.814029754816,23.17399170619,11.38189526821)); #475740=CARTESIAN_POINT('Ctrl Pts',(-4.567735393974,23.46567210167,11.49151334581)); #475741=CARTESIAN_POINT('Ctrl Pts',(-12.11249928186,8.8222489651,6.749236631656)); #475742=CARTESIAN_POINT('Ctrl Pts',(-11.92539771957,9.518395587055,6.956270270207)); #475743=CARTESIAN_POINT('Ctrl Pts',(-11.33903970625,11.54725280764,7.570007808013)); #475744=CARTESIAN_POINT('Ctrl Pts',(-9.46143343736,16.43502302514,9.099244589915)); #475745=CARTESIAN_POINT('Ctrl Pts',(-6.850882409136,20.58924000158,10.56494452451)); #475746=CARTESIAN_POINT('Ctrl Pts',(-4.575181043482,23.22249864299,11.57357175259)); #475747=CARTESIAN_POINT('Ctrl Pts',(-4.326903504535,23.51059265931,11.68383139408)); #475748=CARTESIAN_POINT('Ctrl Pts',(-11.96945270775,9.01567717859,6.900483776703)); #475749=CARTESIAN_POINT('Ctrl Pts',(-11.77700352952,9.706276861056,7.108666069977)); #475750=CARTESIAN_POINT('Ctrl Pts',(-11.17494939012,11.71856904196,7.725949883329)); #475751=CARTESIAN_POINT('Ctrl Pts',(-9.26088793106,16.56316692003,9.263492282897)); #475752=CARTESIAN_POINT('Ctrl Pts',(-6.619853881352,20.6720927014,10.73754201776)); #475753=CARTESIAN_POINT('Ctrl Pts',(-4.325519372411,23.27140690513,11.75177992567)); #475754=CARTESIAN_POINT('Ctrl Pts',(-4.075202432446,23.55579305745,11.86265302996)); #475755=CARTESIAN_POINT('Ctrl Pts',(-11.87908880914,9.134119986486,6.979088973283)); #475756=CARTESIAN_POINT('Ctrl Pts',(-11.6833810983,9.82128008068,7.188076639163)); #475757=CARTESIAN_POINT('Ctrl Pts',(-11.0717629408,11.82331087022,7.807826308823)); #475758=CARTESIAN_POINT('Ctrl Pts',(-9.13542851697,16.64123671811,9.351197993395)); #475759=CARTESIAN_POINT('Ctrl Pts',(-6.475676500732,20.72234129213,10.8310405882)); #475760=CARTESIAN_POINT('Ctrl Pts',(-4.169808002977,23.30084839803,11.84921105144)); #475761=CARTESIAN_POINT('Ctrl Pts',(-3.918228775139,23.58296168056,11.96051409512)); #475762=CARTESIAN_POINT('Ctrl Pts',(-11.65211525399,9.420487442545,7.060366977806)); #475763=CARTESIAN_POINT('Ctrl Pts',(-11.44842696182,10.09912049565,7.271104214453)); #475764=CARTESIAN_POINT('Ctrl Pts',(-10.81338613797,12.07573845338,7.896226931683)); #475765=CARTESIAN_POINT('Ctrl Pts',(-8.822330487848,16.82778942838,9.452257380052)); #475766=CARTESIAN_POINT('Ctrl Pts',(-6.11632009736,20.84058351403,10.94473290824)); #475767=CARTESIAN_POINT('Ctrl Pts',(-3.781681457611,23.36811383442,11.97144741353)); #475768=CARTESIAN_POINT('Ctrl Pts',(-3.526953917347,23.64465854741,12.08368459397)); #475769=CARTESIAN_POINT('Ctrl Pts',(-11.4899353401,9.614821110815,7.011102788889)); #475770=CARTESIAN_POINT('Ctrl Pts',(-11.28065267085,10.28744798655,7.222202435527)); #475771=CARTESIAN_POINT('Ctrl Pts',(-10.62919360731,12.24619116743,7.848543358176)); #475772=CARTESIAN_POINT('Ctrl Pts',(-8.599581970252,16.9520814104,9.407166085901)); #475773=CARTESIAN_POINT('Ctrl Pts',(-5.860669143608,20.91739914276,10.90257292116)); #475774=CARTESIAN_POINT('Ctrl Pts',(-3.50526571283,23.40960930308,11.93106410651)); #475775=CARTESIAN_POINT('Ctrl Pts',(-3.248266235051,23.68229563257,12.04349573896)); #475776=CARTESIAN_POINT('Ctrl Pts',(-11.33805714538,9.798047017293,6.977871232524)); #475777=CARTESIAN_POINT('Ctrl Pts',(-11.12352247231,10.46503881156,7.189416981112)); #475778=CARTESIAN_POINT('Ctrl Pts',(-10.45664983282,12.40700854626,7.817213800533)); #475779=CARTESIAN_POINT('Ctrl Pts',(-8.390865891933,17.06956093204,9.379039839401)); #475780=CARTESIAN_POINT('Ctrl Pts',(-5.621122491142,20.99025770084,10.87792450511)); #475781=CARTESIAN_POINT('Ctrl Pts',(-3.246298210811,23.44925848927,11.90859982263)); #475782=CARTESIAN_POINT('Ctrl Pts',(-2.987173798446,23.71831703099,12.02127041711)); #475783=CARTESIAN_POINT('Origin',(0.,0.,2.004328879587)); #475784=CARTESIAN_POINT('',(-23.8000000856301,1.11500635247567,3.95203677391679)); #475785=CARTESIAN_POINT('Ctrl Pts',(-23.779006002899,1.04877120175098,4.)); #475786=CARTESIAN_POINT('Ctrl Pts',(-23.7861439034835,1.07072900391546, 3.98380305039028)); #475787=CARTESIAN_POINT('Ctrl Pts',(-23.7931434060616,1.09281771175372, 3.96781211356083)); #475788=CARTESIAN_POINT('Ctrl Pts',(-23.8000002224528,1.11500629820832, 3.95203675705836)); #475789=CARTESIAN_POINT('Ctrl Pts',(-23.8,1.11500639167543,3.95203719272836)); #475790=CARTESIAN_POINT('Ctrl Pts',(-23.8,5.36155825795273,3.5545796442786)); #475791=CARTESIAN_POINT('Ctrl Pts',(-23.8,9.95991967837168,0.00424550478208598)); #475792=CARTESIAN_POINT('Ctrl Pts',(-16.7743950142018,19.6025424858006, 0.00424550476349837)); #475793=CARTESIAN_POINT('Ctrl Pts',(-16.7849648564075,19.516761906745,0.120851298329086)); #475794=CARTESIAN_POINT('Ctrl Pts',(-16.7991719947237,19.4295190960221, 0.234481224897344)); #475795=CARTESIAN_POINT('Ctrl Pts',(-16.8665548210577,19.1043217759792, 0.636846974637252)); #475796=CARTESIAN_POINT('Ctrl Pts',(-16.9517128366047,18.8290998444676, 0.936400598890773)); #475797=CARTESIAN_POINT('Ctrl Pts',(-17.164592487995,18.4037215746303,1.2734113218795)); #475798=CARTESIAN_POINT('Ctrl Pts',(-17.2496092806692,18.2598338906203, 1.3671556093426)); #475799=CARTESIAN_POINT('Ctrl Pts',(-17.4512820462338,17.9759334819246, 1.49826995060397)); #475800=CARTESIAN_POINT('Ctrl Pts',(-17.5678901009434,17.835915821344,1.53570300984324)); #475801=CARTESIAN_POINT('Ctrl Pts',(-17.835915821344,17.5678901009434,1.53570300984324)); #475802=CARTESIAN_POINT('Ctrl Pts',(-17.9759334819246,17.4512820462338, 1.49826995060397)); #475803=CARTESIAN_POINT('Ctrl Pts',(-18.2598338906203,17.2496092806692, 1.3671556093426)); #475804=CARTESIAN_POINT('Ctrl Pts',(-18.4037215746303,17.164592487995,1.2734113218795)); #475805=CARTESIAN_POINT('Ctrl Pts',(-18.8290998444676,16.9517128366047, 0.936400598890779)); #475806=CARTESIAN_POINT('Ctrl Pts',(-19.1043217759792,16.8665548210577, 0.636846974637262)); #475807=CARTESIAN_POINT('Ctrl Pts',(-19.429519096022,16.7991719947237,0.234481224897389)); #475808=CARTESIAN_POINT('Ctrl Pts',(-19.516761906745,16.7849648564075,0.120851298329164)); #475809=CARTESIAN_POINT('Ctrl Pts',(-19.6025424858005,16.7743950142018, 0.00424550476361269)); #475810=CARTESIAN_POINT('Ctrl Pts',(-3.99975670558559E-13,23.8,4.00424550476682)); #475811=CARTESIAN_POINT('Ctrl Pts',(-4.77915500696124,23.8,4.00424550476666)); #475812=CARTESIAN_POINT('Ctrl Pts',(-9.959919678371,23.8,0.00424550478260475)); #475813=CARTESIAN_POINT('Origin',(0.,23.8,2.004245504764)); #475814=CARTESIAN_POINT('Ctrl Pts',(-4.05894373710912E-13,23.8,4.00424550476782)); #475815=CARTESIAN_POINT('Ctrl Pts',(4.77915500696121,23.8,4.00424550476798)); #475816=CARTESIAN_POINT('Ctrl Pts',(9.95991967837189,23.8,0.00424550478291456)); #475817=CARTESIAN_POINT('Origin',(0.,0.,2.004328879588)); #475818=CARTESIAN_POINT('Origin',(0.,0.,4.000782242867)); #475819=CARTESIAN_POINT('Ctrl Pts',(19.6025424858009,16.7743950142017,0.00424550476414907)); #475820=CARTESIAN_POINT('Ctrl Pts',(19.5167619067452,16.7849648564075,0.120851298329861)); #475821=CARTESIAN_POINT('Ctrl Pts',(19.4295190960221,16.7991719947237,0.234481224898239)); #475822=CARTESIAN_POINT('Ctrl Pts',(19.1043217759792,16.8665548210577,0.636846974638256)); #475823=CARTESIAN_POINT('Ctrl Pts',(18.8290998444676,16.9517128366047,0.936400598891776)); #475824=CARTESIAN_POINT('Ctrl Pts',(18.4037215746303,17.164592487995,1.2734113218805)); #475825=CARTESIAN_POINT('Ctrl Pts',(18.2598338906203,17.2496092806692,1.3671556093436)); #475826=CARTESIAN_POINT('Ctrl Pts',(17.9759334819246,17.4512820462338,1.49826995060497)); #475827=CARTESIAN_POINT('Ctrl Pts',(17.835915821344,17.5678901009434,1.53570300984423)); #475828=CARTESIAN_POINT('Ctrl Pts',(17.5678901009434,17.835915821344,1.53570300984423)); #475829=CARTESIAN_POINT('Ctrl Pts',(17.4512820462338,17.9759334819246,1.49826995060497)); #475830=CARTESIAN_POINT('Ctrl Pts',(17.2496092806692,18.2598338906203,1.3671556093436)); #475831=CARTESIAN_POINT('Ctrl Pts',(17.164592487995,18.4037215746303,1.2734113218805)); #475832=CARTESIAN_POINT('Ctrl Pts',(16.9517128366047,18.8290998444676,0.936400598891776)); #475833=CARTESIAN_POINT('Ctrl Pts',(16.8665548210577,19.1043217759792,0.636846974638256)); #475834=CARTESIAN_POINT('Ctrl Pts',(16.7991719947237,19.4295190960221,0.234481224898354)); #475835=CARTESIAN_POINT('Ctrl Pts',(16.7849648564075,19.516761906745,0.120851298330101)); #475836=CARTESIAN_POINT('Ctrl Pts',(16.7743950142018,19.6025424858006,0.00424550476451725)); #475837=CARTESIAN_POINT('Ctrl Pts',(23.8,-1.67753509086585E-12,4.00424550476782)); #475838=CARTESIAN_POINT('Ctrl Pts',(23.8,4.77915500696042,4.00424550476849)); #475839=CARTESIAN_POINT('Ctrl Pts',(23.8,9.95991967837161,0.00424550478312463)); #475840=CARTESIAN_POINT('Origin',(0.,0.,2.012745533165)); #475841=CARTESIAN_POINT('',(1.35964464353711,14.9748312614539,0.041167602311959)); #475842=CARTESIAN_POINT('Ctrl Pts',(1.35964483431584,14.9748317159952,0.0411655030770862)); #475843=CARTESIAN_POINT('Ctrl Pts',(1.32036105762161,14.9664092556723,0.0357716974758073)); #475844=CARTESIAN_POINT('Ctrl Pts',(1.28032249189076,14.96068457573,0.0316275916684426)); #475845=CARTESIAN_POINT('Ctrl Pts',(1.20038757674966,14.9550707380666,0.0261170714702062)); #475846=CARTESIAN_POINT('Ctrl Pts',(1.16050419288137,14.9550776938619,0.0247018371255963)); #475847=CARTESIAN_POINT('Ctrl Pts',(1.12083246729917,14.958065924916,0.0247088480647139)); #475848=CARTESIAN_POINT('Ctrl Pts',(18.7217094371671,14.6963339786819,4.00042360636448)); #475849=CARTESIAN_POINT('Ctrl Pts',(18.3825742098869,14.7823910656828,3.90392130295104)); #475850=CARTESIAN_POINT('Ctrl Pts',(18.0423307594145,14.8679153407902,3.8090722911769)); #475851=CARTESIAN_POINT('Ctrl Pts',(17.6990652199711,14.948671570215,3.71414564659366)); #475852=CARTESIAN_POINT('Ctrl Pts',(15.8416966859569,15.3856338114655,3.20050899383116)); #475853=CARTESIAN_POINT('Ctrl Pts',(13.9024186119572,15.6947392166258,2.68184481818101)); #475854=CARTESIAN_POINT('Ctrl Pts',(11.6617040679283,15.8267576875174,2.12941557808069)); #475855=CARTESIAN_POINT('Ctrl Pts',(10.4488483292009,15.8982167497464,1.83039624253795)); #475856=CARTESIAN_POINT('Ctrl Pts',(9.09846930616579,15.9104954783418,1.51187303773393)); #475857=CARTESIAN_POINT('Ctrl Pts',(7.78498335572374,15.8475577962079,1.22473784430934)); #475858=CARTESIAN_POINT('Ctrl Pts',(6.30996250506457,15.7768799230199,0.902290240574565)); #475859=CARTESIAN_POINT('Ctrl Pts',(4.86291614209773,15.6195915683249,0.612836332183909)); #475860=CARTESIAN_POINT('Ctrl Pts',(3.40872878734715,15.3731100673631,0.361926417636546)); #475861=CARTESIAN_POINT('Ctrl Pts',(2.7960728730848,15.2692662615239,0.256216900501548)); #475862=CARTESIAN_POINT('Ctrl Pts',(2.18226931162546,15.1492866848519,0.157431846500396)); #475863=CARTESIAN_POINT('Ctrl Pts',(1.5631325443622,15.0182450568382,0.069599391394281)); #475864=CARTESIAN_POINT('Ctrl Pts',(1.49536998082481,15.0039029645674,0.0599864066153581)); #475865=CARTESIAN_POINT('Ctrl Pts',(1.42754277289432,14.9894293047873,0.0505062406432912)); #475866=CARTESIAN_POINT('Ctrl Pts',(1.35964502465357,14.9748310258048,0.0411652003442055)); #475867=CARTESIAN_POINT('',(4.7291776958012,14.833782627504,0.281928499667684)); #475868=CARTESIAN_POINT('Ctrl Pts',(4.72917746705694,14.8337839928985,0.281928967647221)); #475869=CARTESIAN_POINT('Ctrl Pts',(6.22450682662142,14.9908084773195,0.55469222721284)); #475870=CARTESIAN_POINT('Ctrl Pts',(8.77260762594225,15.1817805374971,1.07460185981575)); #475871=CARTESIAN_POINT('Ctrl Pts',(15.3548978759585,14.504625394824,2.70364684378759)); #475872=CARTESIAN_POINT('Ctrl Pts',(17.9843433708529,13.725811605274,3.44345768984383)); #475873=CARTESIAN_POINT('Ctrl Pts',(19.886786156209,13.076875078179,4.00046430634346)); #475874=CARTESIAN_POINT('Ctrl Pts',(4.61860033494225,14.271248463959,0.0247088501742905)); #475875=CARTESIAN_POINT('Ctrl Pts',(4.59132805357314,14.2800659591914,0.0247051428365615)); #475876=CARTESIAN_POINT('Ctrl Pts',(4.56535460635941,14.2925404453526,0.0264919313039586)); #475877=CARTESIAN_POINT('Ctrl Pts',(4.51840645702417,14.3242130649077,0.0337126553903288)); #475878=CARTESIAN_POINT('Ctrl Pts',(4.49793610145105,14.3430113518185,0.039042159180516)); #475879=CARTESIAN_POINT('Ctrl Pts',(4.45647035608645,14.3946633837479,0.055738755206534)); #475880=CARTESIAN_POINT('Ctrl Pts',(4.44207350300064,14.4261507583879,0.0674227190292953)); #475881=CARTESIAN_POINT('Ctrl Pts',(4.42263948297982,14.4940284027572,0.0941629442635265)); #475882=CARTESIAN_POINT('Ctrl Pts',(4.42210379007159,14.5315483093247,0.110312567592415)); #475883=CARTESIAN_POINT('Ctrl Pts',(4.43246885111256,14.6026443570801,0.142402874365434)); #475884=CARTESIAN_POINT('Ctrl Pts',(4.44648391014757,14.6397518568179,0.160281138086092)); #475885=CARTESIAN_POINT('Ctrl Pts',(4.48700611776514,14.705734481509,0.19412706155107)); #475886=CARTESIAN_POINT('Ctrl Pts',(4.51630810797356,14.7396681264357,0.212644667739266)); #475887=CARTESIAN_POINT('Ctrl Pts',(4.58163665826963,14.7862844087817,0.241467890669393)); #475888=CARTESIAN_POINT('Ctrl Pts',(4.60914274198845,14.8010455008097,0.251518008782248)); #475889=CARTESIAN_POINT('Ctrl Pts',(4.66728379817492,14.8230346994899,0.268846385211035)); #475890=CARTESIAN_POINT('Ctrl Pts',(4.69791430738552,14.8304445541361,0.276201933749364)); #475891=CARTESIAN_POINT('Ctrl Pts',(4.72917783199906,14.833781962301,0.281928143765386)); #475892=CARTESIAN_POINT('Ctrl Pts',(5.330597136006,14.642402813,2.046542682348)); #475893=CARTESIAN_POINT('Ctrl Pts',(5.28480755735,14.64193021213,2.063802976906)); #475894=CARTESIAN_POINT('Ctrl Pts',(5.207629699368,14.62036849658,2.089980632706)); #475895=CARTESIAN_POINT('Ctrl Pts',(5.118821453963,14.54892197035,2.113557863434)); #475896=CARTESIAN_POINT('Ctrl Pts',(5.06406876721,14.4654328088,2.122558409711)); #475897=CARTESIAN_POINT('Ctrl Pts',(5.036946673212,14.36898056411,2.119284274071)); #475898=CARTESIAN_POINT('Ctrl Pts',(5.040376519896,14.2699519715,2.104087803576)); #475899=CARTESIAN_POINT('Ctrl Pts',(5.073988335953,14.17901131594,2.078605720338)); #475900=CARTESIAN_POINT('Ctrl Pts',(5.144038331674,14.09396305304,2.040162547028)); #475901=CARTESIAN_POINT('Ctrl Pts',(5.214814081878,14.05992577372,2.008604115536)); #475902=CARTESIAN_POINT('Ctrl Pts',(5.259870494446,14.05191904472,1.990431169414)); #475903=CARTESIAN_POINT('Ctrl Pts',(5.30358154152,14.65282180484,1.972061968593)); #475904=CARTESIAN_POINT('Ctrl Pts',(5.257501230705,14.65260580429,1.988831424725)); #475905=CARTESIAN_POINT('Ctrl Pts',(5.1798012996,14.63137281169,2.014304154621)); #475906=CARTESIAN_POINT('Ctrl Pts',(5.090397319322,14.56009975858,2.037319857695)); #475907=CARTESIAN_POINT('Ctrl Pts',(5.035313603741,14.47657008343,2.046176797603)); #475908=CARTESIAN_POINT('Ctrl Pts',(5.008101049263,14.37992666305,2.043110763476)); #475909=CARTESIAN_POINT('Ctrl Pts',(5.011702089742,14.28061712693,2.028453065378)); #475910=CARTESIAN_POINT('Ctrl Pts',(5.045726877056,14.18937740259,2.003788760711)); #475911=CARTESIAN_POINT('Ctrl Pts',(5.116470971466,14.10404162464,1.966532260432)); #475912=CARTESIAN_POINT('Ctrl Pts',(5.187839200094,14.06991224555,1.935930028377)); #475913=CARTESIAN_POINT('Ctrl Pts',(5.233225674798,14.06191002882,1.918310427347)); #475914=CARTESIAN_POINT('Ctrl Pts',(5.257153956936,14.67040396346,1.837526110195)); #475915=CARTESIAN_POINT('Ctrl Pts',(5.210659598458,14.67053755849,1.853542065378)); #475916=CARTESIAN_POINT('Ctrl Pts',(5.132219055116,14.64974410534,1.877923300922)); #475917=CARTESIAN_POINT('Ctrl Pts',(5.041982738654,14.57868051218,1.900050875831)); #475918=CARTESIAN_POINT('Ctrl Pts',(4.986450866965,14.49506680669,1.908660056003)); #475919=CARTESIAN_POINT('Ctrl Pts',(4.959141448034,14.3981352989,1.905884941744)); #475920=CARTESIAN_POINT('Ctrl Pts',(4.96302420782,14.29842425404,1.892027063076)); #475921=CARTESIAN_POINT('Ctrl Pts',(4.997676448902,14.20677423788,1.868593399489)); #475922=CARTESIAN_POINT('Ctrl Pts',(5.069449702073,14.12106778357,1.833132693967)); #475923=CARTESIAN_POINT('Ctrl Pts',(5.141679712975,14.08685148064,1.803981436396)); #475924=CARTESIAN_POINT('Ctrl Pts',(5.187539949743,14.07888582579,1.787200892599)); #475925=CARTESIAN_POINT('Ctrl Pts',(5.192003894537,14.69404579993,1.644456006157)); #475926=CARTESIAN_POINT('Ctrl Pts',(5.145324708851,14.6942408232,1.660176954559)); #475927=CARTESIAN_POINT('Ctrl Pts',(5.066591800732,14.67346721274,1.684139137929)); #475928=CARTESIAN_POINT('Ctrl Pts',(4.97613048732,14.60226205436,1.705941659134)); #475929=CARTESIAN_POINT('Ctrl Pts',(4.92058246102,14.51844416244,1.714476997794)); #475930=CARTESIAN_POINT('Ctrl Pts',(4.89345626014,14.42127851429,1.711839162954)); #475931=CARTESIAN_POINT('Ctrl Pts',(4.89771144536,14.32136375945,1.698315664054)); #475932=CARTESIAN_POINT('Ctrl Pts',(4.93288324274,14.22959854119,1.675381850224)); #475933=CARTESIAN_POINT('Ctrl Pts',(5.005352029832,14.14391401211,1.640641736637)); #475934=CARTESIAN_POINT('Ctrl Pts',(5.078068467834,14.10987739063,1.612069222466)); #475935=CARTESIAN_POINT('Ctrl Pts',(5.124164680306,14.10207689575,1.595623884925)); #475936=CARTESIAN_POINT('Ctrl Pts',(5.13203139446,14.71541992704,1.466168564557)); #475937=CARTESIAN_POINT('Ctrl Pts',(5.085235332657,14.71560479128,1.481721597911)); #475938=CARTESIAN_POINT('Ctrl Pts',(5.006338941645,14.69475710298,1.505449032848)); #475939=CARTESIAN_POINT('Ctrl Pts',(4.91581156756,14.62335549328,1.527076885254)); #475940=CARTESIAN_POINT('Ctrl Pts',(4.86034533922,14.53933785876,1.535580803824)); #475941=CARTESIAN_POINT('Ctrl Pts',(4.83344434856,14.44198443502,1.533031870584)); #475942=CARTESIAN_POINT('Ctrl Pts',(4.83805022596,14.34193835606,1.519708547564)); #475943=CARTESIAN_POINT('Ctrl Pts',(4.87365882245,14.25013724635,1.497067694814)); #475944=CARTESIAN_POINT('Ctrl Pts',(4.94667069696,14.16455292311,1.462746042397)); #475945=CARTESIAN_POINT('Ctrl Pts',(5.019736792611,14.13072310701,1.434508064694)); #475946=CARTESIAN_POINT('Ctrl Pts',(5.065991631601,14.12308831177,1.418256729347)); #475947=CARTESIAN_POINT('Ctrl Pts',(5.074293718139,14.7360432905,1.285968106673)); #475948=CARTESIAN_POINT('Ctrl Pts',(5.02717629398,14.73642940178,1.300887531023)); #475949=CARTESIAN_POINT('Ctrl Pts',(4.947732233236,14.71580516292,1.323687727376)); #475950=CARTESIAN_POINT('Ctrl Pts',(4.85665437663,14.64443170422,1.344542535234)); #475951=CARTESIAN_POINT('Ctrl Pts',(4.80095475114,14.5602673673,1.352810778844)); #475952=CARTESIAN_POINT('Ctrl Pts',(4.77411336369,14.46265286206,1.350478040484)); #475953=CARTESIAN_POINT('Ctrl Pts',(4.77907825835,14.36230685538,1.337800294794)); #475954=CARTESIAN_POINT('Ctrl Pts',(4.81530365228,14.2702480619,1.316169983994)); #475955=CARTESIAN_POINT('Ctrl Pts',(4.889234894554,14.18449731547,1.283333204114)); #475956=CARTESIAN_POINT('Ctrl Pts',(4.963015052834,14.15072038752,1.256299010593)); #475957=CARTESIAN_POINT('Ctrl Pts',(5.009644960225,14.14318996489,1.240743169436)); #475958=CARTESIAN_POINT('Ctrl Pts',(5.019284169545,14.75540006475,1.104907496445)); #475959=CARTESIAN_POINT('Ctrl Pts',(4.971881986879,14.75594941526,1.119222968169)); #475960=CARTESIAN_POINT('Ctrl Pts',(4.891957253128,14.73549889374,1.141132733143)); #475961=CARTESIAN_POINT('Ctrl Pts',(4.80040826258,14.66412454565,1.161232450554)); #475962=CARTESIAN_POINT('Ctrl Pts',(4.7445220093,14.57981598106,1.169257201764)); #475963=CARTESIAN_POINT('Ctrl Pts',(4.71775741121,14.48196547712,1.167111165304)); #475964=CARTESIAN_POINT('Ctrl Pts',(4.72306547498,14.38135924066,1.155030705964)); #475965=CARTESIAN_POINT('Ctrl Pts',(4.75986043665,14.2890873705,1.134347720124)); #475966=CARTESIAN_POINT('Ctrl Pts',(4.834627196624,14.20321641636,1.10291019261)); #475967=CARTESIAN_POINT('Ctrl Pts',(4.909046950505,14.16951162511,1.077013215846)); #475968=CARTESIAN_POINT('Ctrl Pts',(4.956009429211,14.16208909711,1.062113973134)); #475969=CARTESIAN_POINT('Ctrl Pts',(4.964862564978,14.77396818012,0.924866538789)); #475970=CARTESIAN_POINT('Ctrl Pts',(4.917416013543,14.77443437652,0.9391432140592)); #475971=CARTESIAN_POINT('Ctrl Pts',(4.837462016014,14.75381382945,0.9610039357476)); #475972=CARTESIAN_POINT('Ctrl Pts',(4.74600772914,14.68218679213,0.9810774630637)); #475973=CARTESIAN_POINT('Ctrl Pts',(4.69029901997,14.5976831706,0.9891093826037)); #475974=CARTESIAN_POINT('Ctrl Pts',(4.6637959804,14.49969322425,0.9869983710337)); #475975=CARTESIAN_POINT('Ctrl Pts',(4.66942440073,14.39903191696,0.9749772089937)); #475976=CARTESIAN_POINT('Ctrl Pts',(4.70656241294,14.30680916745,0.9543728576837)); #475977=CARTESIAN_POINT('Ctrl Pts',(4.781704983413,14.2211250488,0.9230424881782)); #475978=CARTESIAN_POINT('Ctrl Pts',(4.856325970383,14.18766096602,0.8972290893169)); #475979=CARTESIAN_POINT('Ctrl Pts',(4.903362808223,14.18040850671,0.8823786389824)); #475980=CARTESIAN_POINT('Ctrl Pts',(4.89215102572,14.79852518791,0.684841111956)); #475981=CARTESIAN_POINT('Ctrl Pts',(4.844638007612,14.79890515207,0.6990750410562)); #475982=CARTESIAN_POINT('Ctrl Pts',(4.764622803591,14.77809113668,0.7208875573057)); #475983=CARTESIAN_POINT('Ctrl Pts',(4.67325458839,14.7061493811,0.7409481861437)); #475984=CARTESIAN_POINT('Ctrl Pts',(4.61774852027,14.6213882621,0.7490043206237)); #475985=CARTESIAN_POINT('Ctrl Pts',(4.59156653416,14.52320151972,0.7469483835637)); #475986=CARTESIAN_POINT('Ctrl Pts',(4.59760480592,14.42244753184,0.7350075460837)); #475987=CARTESIAN_POINT('Ctrl Pts',(4.63519493856,14.33026870915,0.7145025838737)); #475988=CARTESIAN_POINT('Ctrl Pts',(4.710845342502,14.2448128349,0.683302682992)); #475989=CARTESIAN_POINT('Ctrl Pts',(4.785747608825,14.21166353409,0.6575889374359)); #475990=CARTESIAN_POINT('Ctrl Pts',(4.832891613538,14.20463881438,0.6427969994915)); #475991=CARTESIAN_POINT('Ctrl Pts',(4.830647021355,14.81919877365,0.4728354860119)); #475992=CARTESIAN_POINT('Ctrl Pts',(4.7828574309,14.81971563944,0.4864763357627)); #475993=CARTESIAN_POINT('Ctrl Pts',(4.702382384837,14.799031867,0.5074122789687)); #475994=CARTESIAN_POINT('Ctrl Pts',(4.61058386261,14.72704187964,0.5267253031237)); #475995=CARTESIAN_POINT('Ctrl Pts',(4.55493083924,14.64211009064,0.5345358983937)); #475996=CARTESIAN_POINT('Ctrl Pts',(4.52886544954,14.54367864681,0.5326565120737)); #475997=CARTESIAN_POINT('Ctrl Pts',(4.53528207724,14.44267493935,0.5212956301737)); #475998=CARTESIAN_POINT('Ctrl Pts',(4.57346775949,14.350312028,0.5017148608237)); #475999=CARTESIAN_POINT('Ctrl Pts',(4.649968754975,14.26478775281,0.4718825470483)); #476000=CARTESIAN_POINT('Ctrl Pts',(4.72550526991,14.23175850326,0.4472812510494)); #476001=CARTESIAN_POINT('Ctrl Pts',(4.772972439121,14.22487075147,0.433131463509)); #476002=CARTESIAN_POINT('Ctrl Pts',(4.781595672046,14.83555737183,0.289346710605)); #476003=CARTESIAN_POINT('Ctrl Pts',(4.733501053807,14.83626072878,0.3022313768197)); #476004=CARTESIAN_POINT('Ctrl Pts',(4.652501890482,14.81578947485,0.3220359689291)); #476005=CARTESIAN_POINT('Ctrl Pts',(4.56016507237,14.74384206857,0.3403581784937)); #476006=CARTESIAN_POINT('Ctrl Pts',(4.50427084469,14.65879224154,0.3478171519737)); #476007=CARTESIAN_POINT('Ctrl Pts',(4.47823747616,14.56013772923,0.3461237578237)); #476008=CARTESIAN_POINT('Ctrl Pts',(4.48496698016,14.45887312361,0.3354665359937)); #476009=CARTESIAN_POINT('Ctrl Pts',(4.52370869113,14.36628401366,0.3170334186237)); #476010=CARTESIAN_POINT('Ctrl Pts',(4.601048674219,14.2806124082,0.2889146171684)); #476011=CARTESIAN_POINT('Ctrl Pts',(4.677241776568,14.24762847746,0.2657131054279)); #476012=CARTESIAN_POINT('Ctrl Pts',(4.725054600691,14.24083166021,0.2523704216075)); #476013=CARTESIAN_POINT('Ctrl Pts',(4.747353976264,14.84698997067,0.1552701413721)); #476014=CARTESIAN_POINT('Ctrl Pts',(4.698995748007,14.84787840271,0.1674652623594)); #476015=CARTESIAN_POINT('Ctrl Pts',(4.617532245173,14.82763212616,0.1862345435836)); #476016=CARTESIAN_POINT('Ctrl Pts',(4.52469153712,14.75577050377,0.2036432187073)); #476017=CARTESIAN_POINT('Ctrl Pts',(4.468543428993,14.67064966677,0.2107712740652)); #476018=CARTESIAN_POINT('Ctrl Pts',(4.442485183808,14.57181846102,0.2092370577978)); #476019=CARTESIAN_POINT('Ctrl Pts',(4.449431178392,14.47032749359,0.1992119576742)); #476020=CARTESIAN_POINT('Ctrl Pts',(4.488604078748,14.37752488118,0.1818171929267)); #476021=CARTESIAN_POINT('Ctrl Pts',(4.566623304188,14.29168710688,0.1552528919425)); #476022=CARTESIAN_POINT('Ctrl Pts',(4.643365940442,14.2587023795,0.133322880064)); #476023=CARTESIAN_POINT('Ctrl Pts',(4.69147360755,14.25195852775,0.1207130869164)); #476024=CARTESIAN_POINT('Ctrl Pts',(4.721976894625,14.85520775111,0.05232522854013)); #476025=CARTESIAN_POINT('Ctrl Pts',(4.673555054477,14.85610221992,0.06436686835272)); #476026=CARTESIAN_POINT('Ctrl Pts',(4.591994823586,14.83583908693,0.08290710179738)); #476027=CARTESIAN_POINT('Ctrl Pts',(4.499090773769,14.76390534998,0.1001162138768)); #476028=CARTESIAN_POINT('Ctrl Pts',(4.442954103734,14.67870315268,0.1071744215902)); #476029=CARTESIAN_POINT('Ctrl Pts',(4.416978789811,14.5797906761,0.1056794038291)); #476030=CARTESIAN_POINT('Ctrl Pts',(4.424073064696,14.47823952228,0.09579832009584)); #476031=CARTESIAN_POINT('Ctrl Pts',(4.463442125915,14.38541677076,0.07863736384992)); #476032=CARTESIAN_POINT('Ctrl Pts',(4.541714328674,14.29961781313,0.05242152979902)); #476033=CARTESIAN_POINT('Ctrl Pts',(4.618625757836,14.26672148087,0.03077594012863)); #476034=CARTESIAN_POINT('Ctrl Pts',(4.666811708507,14.26004971475,0.01833017939604)); #476035=CARTESIAN_POINT('Ctrl Pts',(4.703793668506,14.86098226086,-0.01954202564438)); #476036=CARTESIAN_POINT('Ctrl Pts',(4.655382756283,14.86182290985,-0.007435258053644)); #476037=CARTESIAN_POINT('Ctrl Pts',(4.57386108074,14.84146637347,0.01120644067014)); #476038=CARTESIAN_POINT('Ctrl Pts',(4.481049309572,14.76942116618,0.02851187324595)); #476039=CARTESIAN_POINT('Ctrl Pts',(4.425011012959,14.68414830274,0.03561155967983)); #476040=CARTESIAN_POINT('Ctrl Pts',(4.399142864042,14.58519980966,0.03411177307767)); #476041=CARTESIAN_POINT('Ctrl Pts',(4.406341518122,14.48365294192,0.02418023487989)); #476042=CARTESIAN_POINT('Ctrl Pts',(4.445800371072,14.39087595815,0.006928969486449)); #476043=CARTESIAN_POINT('Ctrl Pts',(4.524147673335,14.30517436803,-0.01942625593074)); #476044=CARTESIAN_POINT('Ctrl Pts',(4.601077919634,14.2723782711,-0.04118747799378)); #476045=CARTESIAN_POINT('Ctrl Pts',(4.649259971819,14.26577095469,-0.05369964352942)); #476046=CARTESIAN_POINT('',(5.26995093447828,14.6442984600642,2.01838925158102)); #476047=CARTESIAN_POINT('Ctrl Pts',(4.72917782609241,14.8337819300354,0.281928405073863)); #476048=CARTESIAN_POINT('Ctrl Pts',(4.73088204280987,14.8332105332416,0.288488830331852)); #476049=CARTESIAN_POINT('Ctrl Pts',(4.73292288835136,14.8326898540033,0.294959054427395)); #476050=CARTESIAN_POINT('Ctrl Pts',(4.7348870196561,14.8321532475998,0.301446792612525)); #476051=CARTESIAN_POINT('Ctrl Pts',(4.73719497389816,14.8315227077666,0.309070215155361)); #476052=CARTESIAN_POINT('Ctrl Pts',(4.73939697068216,14.8308705762893,0.316717868102818)); #476053=CARTESIAN_POINT('Ctrl Pts',(4.74152470255427,14.8302025130199,0.324381810719979)); #476054=CARTESIAN_POINT('Ctrl Pts',(4.74267168301658,14.8298423851637,0.328513154787044)); #476055=CARTESIAN_POINT('Ctrl Pts',(4.74379708439042,14.829477611647,0.332649230625888)); #476056=CARTESIAN_POINT('Ctrl Pts',(4.74490587747738,14.8291090040508,0.336788785725252)); #476057=CARTESIAN_POINT('Ctrl Pts',(4.74996775896134,14.8274262302418,0.355686754657121)); #476058=CARTESIAN_POINT('Ctrl Pts',(4.75468352628149,14.8256633211727,0.374657219883403)); #476059=CARTESIAN_POINT('Ctrl Pts',(4.7595262150079,14.823895997348,0.393580768895283)); #476060=CARTESIAN_POINT('Ctrl Pts',(4.76739750589021,14.8210233948139,0.424339045384513)); #476061=CARTESIAN_POINT('Ctrl Pts',(4.7756039753604,14.8181406160311,0.45497351209403)); #476062=CARTESIAN_POINT('Ctrl Pts',(4.7840541923011,14.8152452546156,0.485505336526712)); #476063=CARTESIAN_POINT('Ctrl Pts',(4.78722302365386,14.8141594940848,0.496954770688967)); #476064=CARTESIAN_POINT('Ctrl Pts',(4.79042613513759,14.8130719294882,0.508389767356176)); #476065=CARTESIAN_POINT('Ctrl Pts',(4.79365871445855,14.8119823382827,0.519811433033037)); #476066=CARTESIAN_POINT('Ctrl Pts',(4.79648722136439,14.8110289459779,0.529805390500292)); #476067=CARTESIAN_POINT('Ctrl Pts',(4.799338289689,14.8100740010266,0.539789141318117)); #476068=CARTESIAN_POINT('Ctrl Pts',(4.80220869739653,14.8091173377097,0.549763424982997)); #476069=CARTESIAN_POINT('Ctrl Pts',(4.82230155134924,14.8024206944913,0.619583410637154)); #476070=CARTESIAN_POINT('Ctrl Pts',(4.8433420807084,14.7956392859532,0.688939408330646)); #476071=CARTESIAN_POINT('Ctrl Pts',(4.86422535361898,14.7887150815941,0.758085124516979)); #476072=CARTESIAN_POINT('Ctrl Pts',(4.87331739080452,14.7857004620092,0.788189381904142)); #476073=CARTESIAN_POINT('Ctrl Pts',(4.88237960224775,14.7826589748319,0.818253810957743)); #476074=CARTESIAN_POINT('Ctrl Pts',(4.89143447284408,14.7796029682623,0.848296278303046)); #476075=CARTESIAN_POINT('Ctrl Pts',(4.90048934344041,14.7765469616926,0.87833874564835)); #476076=CARTESIAN_POINT('Ctrl Pts',(4.9095368736474,14.7734764277904,0.908359249958308)); #476077=CARTESIAN_POINT('Ctrl Pts',(4.91859956098503,14.7704035752629,0.938375633863121)); #476078=CARTESIAN_POINT('Ctrl Pts',(4.93672493566041,14.7642578702078,0.998408401673091)); #476079=CARTESIAN_POINT('Ctrl Pts',(4.95491094885451,14.7581027994768,1.05842467491989)); #476080=CARTESIAN_POINT('Ctrl Pts',(4.97321387341731,14.7517749868102,1.11870494108279)); #476081=CARTESIAN_POINT('Ctrl Pts',(4.99151679798,14.7454471741436,1.17898520724533)); #476082=CARTESIAN_POINT('Ctrl Pts',(5.00993662771078,14.7389466846647,1.23952947705683)); #476083=CARTESIAN_POINT('Ctrl Pts',(5.02882278889292,14.7322302323625,1.29993335344149)); #476084=CARTESIAN_POINT('Ctrl Pts',(5.04770895007508,14.7255137800603,1.36033722982621)); #476085=CARTESIAN_POINT('Ctrl Pts',(5.06706144130696,14.7185813748358,1.42060071261624)); #476086=CARTESIAN_POINT('Ctrl Pts',(5.08675133457582,14.7115562846361,1.48047267662651)); #476087=CARTESIAN_POINT('Ctrl Pts',(5.10644122784476,14.7045311944364,1.540344640637)); #476088=CARTESIAN_POINT('Ctrl Pts',(5.12646852375402,14.697413414407,1.59982508555586)); #476089=CARTESIAN_POINT('Ctrl Pts',(5.14654153228389,14.6902058275908,1.65934576591001)); #476090=CARTESIAN_POINT('Ctrl Pts',(5.16661454081369,14.6829982407746,1.71886644626393)); #476091=CARTESIAN_POINT('Ctrl Pts',(5.1867332628665,14.6757008395966,1.77842736098793)); #476092=CARTESIAN_POINT('Ctrl Pts',(5.20718810051262,14.6681071236645,1.83827219802214)); #476093=CARTESIAN_POINT('Ctrl Pts',(5.2276429500965,14.6605134033006,1.89811706998272)); #476094=CARTESIAN_POINT('Ctrl Pts',(5.24843390717108,14.6526234411431,1.95824587600193)); #476095=CARTESIAN_POINT('Ctrl Pts',(5.26995093447831,14.6442984600648,2.01838925158109)); #476096=CARTESIAN_POINT('Origin',(5.30450113799029,14.3510371680589,1.96543555047027)); #476097=CARTESIAN_POINT('Ctrl Pts',(6.993565385995,13.9324346675,8.086787357702)); #476098=CARTESIAN_POINT('Ctrl Pts',(6.947103384419,13.94129251497,8.070786593382)); #476099=CARTESIAN_POINT('Ctrl Pts',(6.864638763065,13.93480819958,8.045929284785)); #476100=CARTESIAN_POINT('Ctrl Pts',(6.761734280575,13.87905297449,8.022513850515)); #476101=CARTESIAN_POINT('Ctrl Pts',(6.691972443296,13.80375025635,8.012622420175)); #476102=CARTESIAN_POINT('Ctrl Pts',(6.649292534914,13.7098829684,8.014195302636)); #476103=CARTESIAN_POINT('Ctrl Pts',(6.638549302881,13.60812660832,8.027053857679)); #476104=CARTESIAN_POINT('Ctrl Pts',(6.660963894949,13.51005425413,8.049735416137)); #476105=CARTESIAN_POINT('Ctrl Pts',(6.722341207751,13.41370812358,8.08437485307)); #476106=CARTESIAN_POINT('Ctrl Pts',(6.791080708606,13.36987369606,8.112949427093)); #476107=CARTESIAN_POINT('Ctrl Pts',(6.836217482103,13.35611135663,8.129316444482)); #476108=CARTESIAN_POINT('Ctrl Pts',(7.049036991509,13.90437363554,7.911439066283)); #476109=CARTESIAN_POINT('Ctrl Pts',(7.002581406608,13.9133131231,7.895598855386)); #476110=CARTESIAN_POINT('Ctrl Pts',(6.920104492545,13.90701060777,7.87100748487)); #476111=CARTESIAN_POINT('Ctrl Pts',(6.817095760898,13.85155535457,7.847872083499)); #476112=CARTESIAN_POINT('Ctrl Pts',(6.747176104813,13.77650422751,7.838125806234)); #476113=CARTESIAN_POINT('Ctrl Pts',(6.704275126364,13.68283779762,7.83972863237)); #476114=CARTESIAN_POINT('Ctrl Pts',(6.693264476291,13.5811906028,7.852498816393)); #476115=CARTESIAN_POINT('Ctrl Pts',(6.715393645993,13.48310367015,7.874986224427)); #476116=CARTESIAN_POINT('Ctrl Pts',(6.776462307797,13.38657743645,7.909309102627)); #476117=CARTESIAN_POINT('Ctrl Pts',(6.845042533391,13.34247276998,7.93761506046)); #476118=CARTESIAN_POINT('Ctrl Pts',(6.890126343057,13.32851088713,7.953829285878)); #476119=CARTESIAN_POINT('Ctrl Pts',(7.103646241722,13.87632970416,7.735776088252)); #476120=CARTESIAN_POINT('Ctrl Pts',(7.057198450425,13.88534983434,7.720092824602)); #476121=CARTESIAN_POINT('Ctrl Pts',(6.974711921785,13.87922677331,7.69576104375)); #476122=CARTESIAN_POINT('Ctrl Pts',(6.871603343972,13.82406769073,7.672898393981)); #476123=CARTESIAN_POINT('Ctrl Pts',(6.801529904445,13.74926518489,7.663293038827)); #476124=CARTESIAN_POINT('Ctrl Pts',(6.758411781746,13.65579766725,7.66492423247)); #476125=CARTESIAN_POINT('Ctrl Pts',(6.747137083708,13.55425933553,7.677607316017)); #476126=CARTESIAN_POINT('Ctrl Pts',(6.76898313958,13.4561595692,7.699904456538)); #476127=CARTESIAN_POINT('Ctrl Pts',(6.829744020754,13.35945772314,7.733917574666)); #476128=CARTESIAN_POINT('Ctrl Pts',(6.898163597577,13.31508790344,7.761960850897)); #476129=CARTESIAN_POINT('Ctrl Pts',(6.943192804114,13.30092983338,7.778025637101)); #476130=CARTESIAN_POINT('Ctrl Pts',(7.157401080486,13.84832999906,7.559907619854)); #476131=CARTESIAN_POINT('Ctrl Pts',(7.110962264612,13.85742977895,7.544377943329)); #476132=CARTESIAN_POINT('Ctrl Pts',(7.028468460959,13.85148384049,7.520299842055)); #476133=CARTESIAN_POINT('Ctrl Pts',(6.925264040481,13.79661713412,7.497703170745)); #476134=CARTESIAN_POINT('Ctrl Pts',(6.855040606169,13.72206026204,7.488234803481)); #476135=CARTESIAN_POINT('Ctrl Pts',(6.811709153454,13.62878965783,7.489892898181)); #476136=CARTESIAN_POINT('Ctrl Pts',(6.800173825065,13.52735979326,7.502490058738)); #476137=CARTESIAN_POINT('Ctrl Pts',(6.821739289482,13.42924880764,7.524600534166)); #476138=CARTESIAN_POINT('Ctrl Pts',(6.882193686671,13.33237566435,7.558310200157)); #476139=CARTESIAN_POINT('Ctrl Pts',(6.950451669898,13.28774565626,7.586096300939)); #476140=CARTESIAN_POINT('Ctrl Pts',(6.995424907428,13.2733947019,7.602014758647)); #476141=CARTESIAN_POINT('',(7.09834537385649,13.8604209905663,7.59081425560375)); #476142=CARTESIAN_POINT('Origin',(7.07890436869777,13.5638927700604,7.63197874980632)); #476143=CARTESIAN_POINT('',(7.08336046928476,13.8679246373565,7.63779144572473)); #476144=CARTESIAN_POINT('Ctrl Pts',(7.08336148281103,13.8679234950237,7.63778422341131)); #476145=CARTESIAN_POINT('Ctrl Pts',(7.08396552569829,13.8676114176504,7.63582159502274)); #476146=CARTESIAN_POINT('Ctrl Pts',(7.08457738968882,13.86729914335,7.63386110073207)); #476147=CARTESIAN_POINT('Ctrl Pts',(7.08519509270942,13.8669867200975,7.63190220040738)); #476148=CARTESIAN_POINT('Ctrl Pts',(7.08735705328154,13.8658932387136,7.62504604927095)); #476149=CARTESIAN_POINT('Ctrl Pts',(7.08959054071085,13.8647979082048,7.61820942771951)); #476150=CARTESIAN_POINT('Ctrl Pts',(7.09181057675515,13.8637028484123,7.61136916854825)); #476151=CARTESIAN_POINT('Ctrl Pts',(7.09292059477731,13.8631553185161,7.60794903896262)); #476152=CARTESIAN_POINT('Ctrl Pts',(7.09402725026507,13.8626078623564,7.60452799910472)); #476153=CARTESIAN_POINT('Ctrl Pts',(7.0951199230363,13.862060782816,7.6011031476928)); #476154=CARTESIAN_POINT('Ctrl Pts',(7.09566625942192,13.8617872430459,7.59939072198684)); #476155=CARTESIAN_POINT('Ctrl Pts',(7.09620910015118,13.861513797816,7.59767734333811)); #476156=CARTESIAN_POINT('Ctrl Pts',(7.09674711777399,13.8612404861571,7.59596264892263)); #476157=CARTESIAN_POINT('Ctrl Pts',(7.09728513539681,13.8609671744982,7.59424795450714)); #476158=CARTESIAN_POINT('Ctrl Pts',(7.09781832991851,13.8606939965003,7.59253194431225)); #476159=CARTESIAN_POINT('Ctrl Pts',(7.09834537385634,13.8604209905723,7.59081425560275)); #476160=CARTESIAN_POINT('',(6.67791451497574,13.5109954734409,8.00424550443346)); #476161=CARTESIAN_POINT('Ctrl Pts',(7.08335995377015,13.8679251077455,7.63779509095205)); #476162=CARTESIAN_POINT('Ctrl Pts',(7.07173630248806,13.868425176206,7.63608672319761)); #476163=CARTESIAN_POINT('Ctrl Pts',(7.06005489357048,13.8682709522033,7.6346032274099)); #476164=CARTESIAN_POINT('Ctrl Pts',(7.03476981851428,13.8665736263279,7.63198759621781)); #476165=CARTESIAN_POINT('Ctrl Pts',(7.02118173387284,13.8648043197448,7.6309563868635)); #476166=CARTESIAN_POINT('Ctrl Pts',(6.97807234453812,13.8565502797096,7.62914041932056)); #476167=CARTESIAN_POINT('Ctrl Pts',(6.94948759162899,13.8470167523666,7.63018021290493)); #476168=CARTESIAN_POINT('Ctrl Pts',(6.8870093632183,13.8181211606652,7.63942023207631)); #476169=CARTESIAN_POINT('Ctrl Pts',(6.85544933035742,13.7972154490652,7.64960088831331)); #476170=CARTESIAN_POINT('Ctrl Pts',(6.79837661446016,13.7493942763222,7.68135151625824)); #476171=CARTESIAN_POINT('Ctrl Pts',(6.77438614877337,13.7230857876723,7.70298158267121)); #476172=CARTESIAN_POINT('Ctrl Pts',(6.72857619726401,13.6622248831904,7.76322566809657)); #476173=CARTESIAN_POINT('Ctrl Pts',(6.71063406192277,13.6283717440112,7.80464700525923)); #476174=CARTESIAN_POINT('Ctrl Pts',(6.68568039186035,13.5651877389625,7.8975478917325)); #476175=CARTESIAN_POINT('Ctrl Pts',(6.67947065667431,13.535810302937,7.95028122299853)); #476176=CARTESIAN_POINT('Ctrl Pts',(6.67791451579695,13.5109954728029,8.00424550416375)); #476177=CARTESIAN_POINT('Ctrl Pts',(6.67791451529389,13.5109954735869,8.004245504761)); #476178=CARTESIAN_POINT('Ctrl Pts',(6.6931203817081,13.4778607060501,8.004245504761)); #476179=CARTESIAN_POINT('Ctrl Pts',(6.71413527904006,13.4474375032779,8.00424550475747)); #476180=CARTESIAN_POINT('Ctrl Pts',(6.76503047729388,13.3947913030028,8.00424550475747)); #476181=CARTESIAN_POINT('Ctrl Pts',(6.79520678454535,13.3725588124887,8.004245504761)); #476182=CARTESIAN_POINT('Ctrl Pts',(6.82801786549429,13.3558293571259,8.004245504761)); #476183=CARTESIAN_POINT('Origin',(0.,0.001909091374064,8.004245504761)); #476184=CARTESIAN_POINT('Ctrl Pts',(5.87414051955844,13.8019756292585,8.004245504761)); #476185=CARTESIAN_POINT('Ctrl Pts',(6.0008486510412,13.7480404651052,8.004245504761)); #476186=CARTESIAN_POINT('Ctrl Pts',(6.13059250169917,13.6988573651125,8.00424550477945)); #476187=CARTESIAN_POINT('Ctrl Pts',(6.39985414770648,13.6030811810404,8.00424550477945)); #476188=CARTESIAN_POINT('Ctrl Pts',(6.57211123389307,13.5460752201175,8.004245504761)); #476189=CARTESIAN_POINT('Ctrl Pts',(6.67791451478273,13.5109954728588,8.004245504761)); #476190=CARTESIAN_POINT('Ctrl Pts',(5.449038296722,14.32300425367,6.931967501193)); #476191=CARTESIAN_POINT('Ctrl Pts',(5.423543491611,14.29395542836,6.952537129559)); #476192=CARTESIAN_POINT('Ctrl Pts',(5.380121522632,14.2506957506,6.990551423999)); #476193=CARTESIAN_POINT('Ctrl Pts',(5.317652982869,14.20205305311,7.051758847307)); #476194=CARTESIAN_POINT('Ctrl Pts',(5.264299991263,14.16915908482,7.108183429106)); #476195=CARTESIAN_POINT('Ctrl Pts',(5.210909378713,14.1447775444,7.168741326709)); #476196=CARTESIAN_POINT('Ctrl Pts',(5.158153360574,14.12921538002,7.232670101101)); #476197=CARTESIAN_POINT('Ctrl Pts',(5.106696162387,14.12266849941,7.299164875604)); #476198=CARTESIAN_POINT('Ctrl Pts',(5.047925139839,14.12569642527,7.380149117017)); #476199=CARTESIAN_POINT('Ctrl Pts',(5.008467311912,14.13778477423,7.439343076072)); #476200=CARTESIAN_POINT('Ctrl Pts',(4.98583451692,14.14874276569,7.475226419439)); #476201=CARTESIAN_POINT('Ctrl Pts',(5.526096929158,14.31101907039,6.973090558935)); #476202=CARTESIAN_POINT('Ctrl Pts',(5.500296053032,14.28041031193,6.993627032545)); #476203=CARTESIAN_POINT('Ctrl Pts',(5.456260735454,14.23471260382,7.031938846719)); #476204=CARTESIAN_POINT('Ctrl Pts',(5.392791913706,14.18312048302,7.094273497127)); #476205=CARTESIAN_POINT('Ctrl Pts',(5.338545961927,14.14809115165,7.152070156992)); #476206=CARTESIAN_POINT('Ctrl Pts',(5.284260511278,14.12197421666,7.214365955806)); #476207=CARTESIAN_POINT('Ctrl Pts',(5.230655952386,14.10511547159,7.280334643554)); #476208=CARTESIAN_POINT('Ctrl Pts',(5.178443662133,14.09773801944,7.349101373534)); #476209=CARTESIAN_POINT('Ctrl Pts',(5.118940741069,14.10035107917,7.432969312669)); #476210=CARTESIAN_POINT('Ctrl Pts',(5.079153861954,14.1126699203,7.494324081796)); #476211=CARTESIAN_POINT('Ctrl Pts',(5.056417835924,14.12395875609,7.531506444829)); #476212=CARTESIAN_POINT('Ctrl Pts',(5.636502544617,14.29278358482,7.030676972623)); #476213=CARTESIAN_POINT('Ctrl Pts',(5.610273674983,14.25998332733,7.051039375165)); #476214=CARTESIAN_POINT('Ctrl Pts',(5.565373733518,14.21084331927,7.089582299419)); #476215=CARTESIAN_POINT('Ctrl Pts',(5.500488804493,14.15506357907,7.153292320572)); #476216=CARTESIAN_POINT('Ctrl Pts',(5.444978253566,14.11699125525,7.212873670249)); #476217=CARTESIAN_POINT('Ctrl Pts',(5.389428201906,14.08839363068,7.277497757846)); #476218=CARTESIAN_POINT('Ctrl Pts',(5.334630291446,14.06967624884,7.346244441942)); #476219=CARTESIAN_POINT('Ctrl Pts',(5.281365501181,14.06110426098,7.418135227336)); #476220=CARTESIAN_POINT('Ctrl Pts',(5.220859112439,14.06311564064,7.505990429219)); #476221=CARTESIAN_POINT('Ctrl Pts',(5.180644147413,14.07575861633,7.57034259688)); #476222=CARTESIAN_POINT('Ctrl Pts',(5.157791132149,14.08751718955,7.609324983385)); #476223=CARTESIAN_POINT('Ctrl Pts',(5.781566221792,14.27257802435,7.099609998324)); #476224=CARTESIAN_POINT('Ctrl Pts',(5.754783042926,14.23645122859,7.119371340457)); #476225=CARTESIAN_POINT('Ctrl Pts',(5.708707429796,14.18201786012,7.157769080181)); #476226=CARTESIAN_POINT('Ctrl Pts',(5.64183187978,14.1196917251,7.223017059491)); #476227=CARTESIAN_POINT('Ctrl Pts',(5.5845221023,14.07680183897,7.284935100005)); #476228=CARTESIAN_POINT('Ctrl Pts',(5.52716687818,14.04422333294,7.352805569035)); #476229=CARTESIAN_POINT('Ctrl Pts',(5.47067375165,14.02247085192,7.425554807613)); #476230=CARTESIAN_POINT('Ctrl Pts',(5.41593664928,14.01188789461,7.502032137124)); #476231=CARTESIAN_POINT('Ctrl Pts',(5.354074105458,14.01278236673,7.595803563983)); #476232=CARTESIAN_POINT('Ctrl Pts',(5.313351585673,14.02580561079,7.664630537615)); #476233=CARTESIAN_POINT('Ctrl Pts',(5.290417736513,14.03822244274,7.706294736909)); #476234=CARTESIAN_POINT('Ctrl Pts',(5.914938605041,14.25874315476,7.157434382738)); #476235=CARTESIAN_POINT('Ctrl Pts',(5.887651248687,14.21908127041,7.176340830631)); #476236=CARTESIAN_POINT('Ctrl Pts',(5.840452110948,14.15897167181,7.214265075578)); #476237=CARTESIAN_POINT('Ctrl Pts',(5.77161227436,14.08954382095,7.280795040627)); #476238=CARTESIAN_POINT('Ctrl Pts',(5.71250589169,14.04138075749,7.344959858934)); #476239=CARTESIAN_POINT('Ctrl Pts',(5.6533401129,14.00440251524,7.416100862132)); #476240=CARTESIAN_POINT('Ctrl Pts',(5.59515010421,13.9792533729,7.49297429673)); #476241=CARTESIAN_POINT('Ctrl Pts',(5.53895402248,13.96637116563,7.574236657673)); #476242=CARTESIAN_POINT('Ctrl Pts',(5.475781149957,13.96590650443,7.67422288471)); #476243=CARTESIAN_POINT('Ctrl Pts',(5.434621209728,13.97923397234,7.747768983415)); #476244=CARTESIAN_POINT('Ctrl Pts',(5.411667335618,13.9922992312,7.792257764463)); #476245=CARTESIAN_POINT('Ctrl Pts',(6.084310615578,14.24549857404,7.225247842603)); #476246=CARTESIAN_POINT('Ctrl Pts',(6.056428950795,14.20093679197,7.242414580987)); #476247=CARTESIAN_POINT('Ctrl Pts',(6.007809591871,14.13283886689,7.278873643149)); #476248=CARTESIAN_POINT('Ctrl Pts',(5.93638626112,14.05323739986,7.346313077267)); #476249=CARTESIAN_POINT('Ctrl Pts',(5.87488685919,13.99742569556,7.413030290065)); #476250=CARTESIAN_POINT('Ctrl Pts',(5.81330377172,13.95398920213,7.488301316377)); #476251=CARTESIAN_POINT('Ctrl Pts',(5.75286437155,13.92378711778,7.57062684026)); #476252=CARTESIAN_POINT('Ctrl Pts',(5.69477344018,13.90741595964,7.658368524019)); #476253=CARTESIAN_POINT('Ctrl Pts',(5.629979849332,13.90478309737,7.766879383644)); #476254=CARTESIAN_POINT('Ctrl Pts',(5.588405449471,13.91840762234,7.846945728936)); #476255=CARTESIAN_POINT('Ctrl Pts',(5.565563452597,13.93232620509,7.895327843594)); #476256=CARTESIAN_POINT('Ctrl Pts',(6.358232117951,14.22986630507,7.327321095023)); #476257=CARTESIAN_POINT('Ctrl Pts',(6.329501767794,14.17700935386,7.340103735728)); #476258=CARTESIAN_POINT('Ctrl Pts',(6.278637426007,14.09503082722,7.371994528145)); #476259=CARTESIAN_POINT('Ctrl Pts',(6.20292708111,13.99722665979,7.438812027467)); #476260=CARTESIAN_POINT('Ctrl Pts',(6.13740653312,13.92746026863,7.508529974767)); #476261=CARTESIAN_POINT('Ctrl Pts',(6.07176788574,13.87203122828,7.589951813207)); #476262=CARTESIAN_POINT('Ctrl Pts',(6.0076136577,13.83227392511,7.68109174418)); #476263=CARTESIAN_POINT('Ctrl Pts',(5.94651066299,13.8091433034,7.779731296709)); #476264=CARTESIAN_POINT('Ctrl Pts',(5.879373554092,13.80207921898,7.902876560502)); #476265=CARTESIAN_POINT('Ctrl Pts',(5.837570933306,13.81593058085,7.994263446066)); #476266=CARTESIAN_POINT('Ctrl Pts',(5.815285388099,13.83123001718,8.049380390282)); #476267=CARTESIAN_POINT('Ctrl Pts',(6.701783694287,14.21434666603,7.449129556666)); #476268=CARTESIAN_POINT('Ctrl Pts',(6.672214223877,14.15136705747,7.453202016745)); #476269=CARTESIAN_POINT('Ctrl Pts',(6.618686659697,14.05154463021,7.474656887604)); #476270=CARTESIAN_POINT('Ctrl Pts',(6.5375322782,13.92903944319,7.535802928842)); #476271=CARTESIAN_POINT('Ctrl Pts',(6.4668380236,13.8396905881,7.606311558693)); #476272=CARTESIAN_POINT('Ctrl Pts',(6.39602819472,13.76694795341,7.69359412893)); #476273=CARTESIAN_POINT('Ctrl Pts',(6.32729485257,13.71301755282,7.794941677704)); #476274=CARTESIAN_POINT('Ctrl Pts',(6.26276784855,13.67952879574,7.907223663364)); #476275=CARTESIAN_POINT('Ctrl Pts',(6.193542721026,13.66523436108,8.049382232575)); #476276=CARTESIAN_POINT('Ctrl Pts',(6.152531192817,13.67878386,8.155769421578)); #476277=CARTESIAN_POINT('Ctrl Pts',(6.131790395231,13.69569900895,8.219753907537)); #476278=CARTESIAN_POINT('Ctrl Pts',(7.115578593549,14.19299502237,7.593233583004)); #476279=CARTESIAN_POINT('Ctrl Pts',(7.085077175117,14.12030282168,7.581519403421)); #476280=CARTESIAN_POINT('Ctrl Pts',(7.028169307536,14.00101845211,7.582150856823)); #476281=CARTESIAN_POINT('Ctrl Pts',(6.93994599622,13.84822323447,7.627261625661)); #476282=CARTESIAN_POINT('Ctrl Pts',(6.86262448461,13.73323461725,7.692790271176)); #476283=CARTESIAN_POINT('Ctrl Pts',(6.78545515649,13.63661344359,7.78313353545)); #476284=CARTESIAN_POINT('Ctrl Pts',(6.71155951346,13.56220785937,7.894613934546)); #476285=CARTESIAN_POINT('Ctrl Pts',(6.64393087131,13.51297156487,8.022720858754)); #476286=CARTESIAN_POINT('Ctrl Pts',(6.57435218769,13.48671166387,8.188394809998)); #476287=CARTESIAN_POINT('Ctrl Pts',(6.536779650691,13.49840840873,8.313935194638)); #476288=CARTESIAN_POINT('Ctrl Pts',(6.519738577302,13.51673909574,8.38912863232)); #476289=CARTESIAN_POINT('Ctrl Pts',(7.460964950025,14.1680776137,7.713463989141)); #476290=CARTESIAN_POINT('Ctrl Pts',(7.429260605653,14.09124187784,7.684012736486)); #476291=CARTESIAN_POINT('Ctrl Pts',(7.368605130656,13.95991451009,7.659596262619)); #476292=CARTESIAN_POINT('Ctrl Pts',(7.2732078551,13.78340435531,7.682162346314)); #476293=CARTESIAN_POINT('Ctrl Pts',(7.18955447455,13.64609957976,7.73737172099)); #476294=CARTESIAN_POINT('Ctrl Pts',(7.10681733132,13.52706527944,7.825962482401)); #476295=CARTESIAN_POINT('Ctrl Pts',(7.02913101137,13.43220734882,7.943476169915)); #476296=CARTESIAN_POINT('Ctrl Pts',(6.96038400228,13.36622117548,8.084028184573)); #476297=CARTESIAN_POINT('Ctrl Pts',(6.893485082116,13.32602986305,8.269885513282)); #476298=CARTESIAN_POINT('Ctrl Pts',(6.862022474577,13.3340598287,8.412527133832)); #476299=CARTESIAN_POINT('Ctrl Pts',(6.850354701118,13.35266566338,8.497607685008)); #476300=CARTESIAN_POINT('Ctrl Pts',(7.740593938441,14.13476476342,7.807799123264)); #476301=CARTESIAN_POINT('Ctrl Pts',(7.706960476775,14.05862354953,7.762177863304)); #476302=CARTESIAN_POINT('Ctrl Pts',(7.641640050104,13.92269076817,7.713713144721)); #476303=CARTESIAN_POINT('Ctrl Pts',(7.53858922124,13.73072878539,7.71263766309)); #476304=CARTESIAN_POINT('Ctrl Pts',(7.44878055056,13.57648896251,7.75541788726)); #476305=CARTESIAN_POINT('Ctrl Pts',(7.36125496563,13.4387857702,7.839356621065)); #476306=CARTESIAN_POINT('Ctrl Pts',(7.28113054937,13.32565383826,7.959528862575)); #476307=CARTESIAN_POINT('Ctrl Pts',(7.21309626254,13.24368826294,8.10889937883)); #476308=CARTESIAN_POINT('Ctrl Pts',(7.15142040801,13.1890534822,8.310500985032)); #476309=CARTESIAN_POINT('Ctrl Pts',(7.127975916337,13.19197395391,8.46700836527)); #476310=CARTESIAN_POINT('Ctrl Pts',(7.122683050022,13.2097056815,8.560013250669)); #476311=CARTESIAN_POINT('Ctrl Pts',(7.948489139983,14.10136304814,7.874202572912)); #476312=CARTESIAN_POINT('Ctrl Pts',(7.912667236113,14.02811163627,7.816111888166)); #476313=CARTESIAN_POINT('Ctrl Pts',(7.842628830976,13.89196574336,7.748444946716)); #476314=CARTESIAN_POINT('Ctrl Pts',(7.73252169183,13.69116997831,7.727497028871)); #476315=CARTESIAN_POINT('Ctrl Pts',(7.63739354453,13.52541432766,7.759121542625)); #476316=CARTESIAN_POINT('Ctrl Pts',(7.54610760962,13.37388577636,7.837938065794)); #476317=CARTESIAN_POINT('Ctrl Pts',(7.46459914687,13.24642087051,7.958802317301)); #476318=CARTESIAN_POINT('Ctrl Pts',(7.39817262091,13.15128686885,8.113844501824)); #476319=CARTESIAN_POINT('Ctrl Pts',(7.342364051797,13.08405415523,8.326488696853)); #476320=CARTESIAN_POINT('Ctrl Pts',(7.326809303844,13.08178897588,8.493026807961)); #476321=CARTESIAN_POINT('Ctrl Pts',(7.327486309299,13.09811942947,8.591712778919)); #476322=CARTESIAN_POINT('Ctrl Pts',(8.152284394798,14.05735756629,7.932138743915)); #476323=CARTESIAN_POINT('Ctrl Pts',(8.113301627502,13.98944726378,7.86212533032)); #476324=CARTESIAN_POINT('Ctrl Pts',(8.036986886145,13.8567436867,7.775384669185)); #476325=CARTESIAN_POINT('Ctrl Pts',(7.91820335395,13.65073070963,7.733662647383)); #476326=CARTESIAN_POINT('Ctrl Pts',(7.81692476131,13.47541925782,7.752937877052)); #476327=CARTESIAN_POINT('Ctrl Pts',(7.72170942376,13.31094762208,7.825239500799)); #476328=CARTESIAN_POINT('Ctrl Pts',(7.63936979431,13.16907206956,7.945373343126)); #476329=CARTESIAN_POINT('Ctrl Pts',(7.57580317288,13.05992546089,8.104729789839)); #476330=CARTESIAN_POINT('Ctrl Pts',(7.528045660253,12.97845958765,8.32691145374)); #476331=CARTESIAN_POINT('Ctrl Pts',(7.522377439774,12.96950979125,8.502462647839)); #476332=CARTESIAN_POINT('Ctrl Pts',(7.530229452049,12.98353985314,8.606196263887)); #476333=CARTESIAN_POINT('Ctrl Pts',(8.353519745325,13.99904582073,7.976214657802)); #476334=CARTESIAN_POINT('Ctrl Pts',(8.310279862686,13.93860232662,7.895856350723)); #476335=CARTESIAN_POINT('Ctrl Pts',(8.225955143162,13.81288689694,7.791826459357)); #476336=CARTESIAN_POINT('Ctrl Pts',(8.09672777971,13.60576806595,7.730222167733)); #476337=CARTESIAN_POINT('Ctrl Pts',(7.9884057439,13.42354645812,7.737010247799)); #476338=CARTESIAN_POINT('Ctrl Pts',(7.88907507669,13.24780972021,7.801949336978)); #476339=CARTESIAN_POINT('Ctrl Pts',(7.80645451685,13.09220895752,7.919974273117)); #476340=CARTESIAN_POINT('Ctrl Pts',(7.74697060568,12.96882285492,8.081898352039)); #476341=CARTESIAN_POINT('Ctrl Pts',(7.709328055365,12.871959643,8.311273722464)); #476342=CARTESIAN_POINT('Ctrl Pts',(7.715333163235,12.85488946303,8.494034293364)); #476343=CARTESIAN_POINT('Ctrl Pts',(7.731372483253,12.86566313558,8.601740891266)); #476344=CARTESIAN_POINT('Ctrl Pts',(8.519961092887,13.93479646625,7.994061026442)); #476345=CARTESIAN_POINT('Ctrl Pts',(8.472603153068,13.88128869387,7.907492049166)); #476346=CARTESIAN_POINT('Ctrl Pts',(8.380758569898,13.76323691914,7.792514629117)); #476347=CARTESIAN_POINT('Ctrl Pts',(8.24205060785,13.55836444317,7.717512293262)); #476348=CARTESIAN_POINT('Ctrl Pts',(8.1275121263,13.37308439252,7.715381608952)); #476349=CARTESIAN_POINT('Ctrl Pts',(8.02471657235,13.19037072511,7.774493103501)); #476350=CARTESIAN_POINT('Ctrl Pts',(7.9420935894,13.02520744055,7.889994112384)); #476351=CARTESIAN_POINT('Ctrl Pts',(7.8864203753,12.89113617832,8.052407038267)); #476352=CARTESIAN_POINT('Ctrl Pts',(7.857749353858,12.78194102012,8.285072346786)); #476353=CARTESIAN_POINT('Ctrl Pts',(7.873758985268,12.75765540779,8.471539777182)); #476354=CARTESIAN_POINT('Ctrl Pts',(7.896648764302,12.76520496742,8.58122852239)); #476355=CARTESIAN_POINT('Ctrl Pts',(8.650106731038,13.87231192872,7.991231482975)); #476356=CARTESIAN_POINT('Ctrl Pts',(8.600044190076,13.82314086356,7.901749710369)); #476357=CARTESIAN_POINT('Ctrl Pts',(8.503262369502,13.7102652001,7.781439347104)); #476358=CARTESIAN_POINT('Ctrl Pts',(8.358274888809,13.50788488232,7.699627179957)); #476359=CARTESIAN_POINT('Ctrl Pts',(8.239544246829,13.32181423543,7.692789184961)); #476360=CARTESIAN_POINT('Ctrl Pts',(8.13427007163,13.13590187446,7.74863140782)); #476361=CARTESIAN_POINT('Ctrl Pts',(8.051323446171,12.96581427234,7.862448009568)); #476362=CARTESIAN_POINT('Ctrl Pts',(7.997693838991,12.82588350758,8.02464647715)); #476363=CARTESIAN_POINT('Ctrl Pts',(7.974199410556,12.70957295911,8.258392240436)); #476364=CARTESIAN_POINT('Ctrl Pts',(7.996116865468,12.68080765527,8.446300686482)); #476365=CARTESIAN_POINT('Ctrl Pts',(8.023062911818,12.68621589783,8.556730523505)); #476366=CARTESIAN_POINT('Ctrl Pts',(8.713894458801,13.83680687335,7.983251468914)); #476367=CARTESIAN_POINT('Ctrl Pts',(8.66295734877,13.78895666572,7.893067369896)); #476368=CARTESIAN_POINT('Ctrl Pts',(8.564544648723,13.67777022348,7.771440313657)); #476369=CARTESIAN_POINT('Ctrl Pts',(8.417384162479,13.47648664207,7.687903115699)); #476370=CARTESIAN_POINT('Ctrl Pts',(8.297112694311,13.29053584215,7.679846106448)); #476371=CARTESIAN_POINT('Ctrl Pts',(8.190788891329,13.10403707955,7.734812459213)); #476372=CARTESIAN_POINT('Ctrl Pts',(8.10743477115,12.93281536829,7.848138951107)); #476373=CARTESIAN_POINT('Ctrl Pts',(8.054122732415,12.79139902631,8.010208860475)); #476374=CARTESIAN_POINT('Ctrl Pts',(8.031870507818,12.67315560629,8.244132327803)); #476375=CARTESIAN_POINT('Ctrl Pts',(8.055392501182,12.64305910716,8.432333352608)); #476376=CARTESIAN_POINT('Ctrl Pts',(8.083483059886,12.64778075046,8.542907473744)); #476377=CARTESIAN_POINT('Ctrl Pts',(8.744351897983,13.8182357785,7.977407075361)); #476378=CARTESIAN_POINT('Ctrl Pts',(8.693193028848,13.77067546807,7.887119695123)); #476379=CARTESIAN_POINT('Ctrl Pts',(8.594342189375,13.65991109462,7.765297262356)); #476380=CARTESIAN_POINT('Ctrl Pts',(8.446532261235,13.45902846576,7.68150112637)); #476381=CARTESIAN_POINT('Ctrl Pts',(8.325744623322,13.27327933777,7.673259051349)); #476382=CARTESIAN_POINT('Ctrl Pts',(8.218990330891,13.08684528875,7.728089876925)); #476383=CARTESIAN_POINT('Ctrl Pts',(8.135337454619,12.9155622705,7.841337008159)); #476384=CARTESIAN_POINT('Ctrl Pts',(8.081891216747,12.77397890105,8.003380424035)); #476385=CARTESIAN_POINT('Ctrl Pts',(8.05969424292,12.65544335348,8.237320853808)); #476386=CARTESIAN_POINT('Ctrl Pts',(8.083451096775,12.62508279611,8.425558256469)); #476387=CARTESIAN_POINT('Ctrl Pts',(8.111744281567,12.6296420744,8.536149554926)); #476388=CARTESIAN_POINT('',(8.63244673341508,13.7683305423749,7.87585366476342)); #476389=CARTESIAN_POINT('Ctrl Pts',(8.63244670901185,13.7683307040347,7.87585379785669)); #476390=CARTESIAN_POINT('Ctrl Pts',(8.55705964923923,13.7736199901576,7.85560669763193)); #476391=CARTESIAN_POINT('Ctrl Pts',(8.47550829946385,13.7800111605469,7.84044286619998)); #476392=CARTESIAN_POINT('Ctrl Pts',(8.29324709827422,13.7943093688459,7.81099824291638)); #476393=CARTESIAN_POINT('Ctrl Pts',(8.17183357474442,13.8040059419664,7.79538814752807)); #476394=CARTESIAN_POINT('Ctrl Pts',(7.61843473365365,13.8432569579804,7.72018732590816)); #476395=CARTESIAN_POINT('Ctrl Pts',(7.35809455800643,13.8557916367946,7.67828354527057)); #476396=CARTESIAN_POINT('Ctrl Pts',(7.08335997178246,13.8679253092781,7.6377950228858)); #476397=CARTESIAN_POINT('',(8.64580638330036,13.7830403890592,7.8937110880361)); #476398=CARTESIAN_POINT('Origin',(8.48368676130577,13.3917078307073,8.28116643601061)); #476399=CARTESIAN_POINT('',(5.460670579708,14.28572837224,6.976158920287)); #476400=CARTESIAN_POINT('Ctrl Pts',(8.64580638386069,13.7830403904792,7.89371108650483)); #476401=CARTESIAN_POINT('Ctrl Pts',(8.62926805964255,13.7922216598766,7.89617334306277)); #476402=CARTESIAN_POINT('Ctrl Pts',(8.61282378477485,13.8008870943896,7.89815984735365)); #476403=CARTESIAN_POINT('Ctrl Pts',(8.59643284908066,13.8091508693384,7.8997427309852)); #476404=CARTESIAN_POINT('Ctrl Pts',(8.57034375147814,13.8223041410751,7.90226217260387)); #476405=CARTESIAN_POINT('Ctrl Pts',(8.54439023418837,13.8344417862403,7.9037598228921)); #476406=CARTESIAN_POINT('Ctrl Pts',(8.51849774257998,13.8458010366835,7.90441462267669)); #476407=CARTESIAN_POINT('Ctrl Pts',(8.51185299596756,13.848716142037,7.9045826628451)); #476408=CARTESIAN_POINT('Ctrl Pts',(8.5052122567846,13.8515800613216,7.90469547015039)); #476409=CARTESIAN_POINT('Ctrl Pts',(8.49857425693004,13.8543969347377,7.9047564766)); #476410=CARTESIAN_POINT('Ctrl Pts',(8.46356848751796,13.8692518343196,7.90507819665946)); #476411=CARTESIAN_POINT('Ctrl Pts',(8.42863951503253,13.8827979366695,7.90395457467725)); #476412=CARTESIAN_POINT('Ctrl Pts',(8.39368813439794,13.8952764049988,7.90164120137606)); #476413=CARTESIAN_POINT('Ctrl Pts',(8.36373211623399,13.9059714109511,7.89965846274392)); #476414=CARTESIAN_POINT('Ctrl Pts',(8.33375936628518,13.9158829155423,7.89680527207689)); #476415=CARTESIAN_POINT('Ctrl Pts',(8.3037086726765,13.9251685407224,7.89325300835722)); #476416=CARTESIAN_POINT('Ctrl Pts',(8.26187460436416,13.9380952133365,7.88830784319573)); #476417=CARTESIAN_POINT('Ctrl Pts',(8.21988779159468,13.949801530784,7.88200302371335)); #476418=CARTESIAN_POINT('Ctrl Pts',(8.17768037403134,13.9605412391981,7.87467664722122)); #476419=CARTESIAN_POINT('Ctrl Pts',(8.15419415559266,13.9665173247381,7.87059990221781)); #476420=CARTESIAN_POINT('Ctrl Pts',(8.13063977577517,13.9721941487067,7.86620622832386)); #476421=CARTESIAN_POINT('Ctrl Pts',(8.10700680458807,13.9776150470841,7.86154641588861)); #476422=CARTESIAN_POINT('Ctrl Pts',(8.06489975080567,13.9872735050799,7.85324399080318)); #476423=CARTESIAN_POINT('Ctrl Pts',(8.02254275624087,13.996119665978,7.84409887406047)); #476424=CARTESIAN_POINT('Ctrl Pts',(7.97987230178633,14.0043245653929,7.83431252429183)); #476425=CARTESIAN_POINT('Ctrl Pts',(7.95555414988992,14.0090005875449,7.82873522395071)); #476426=CARTESIAN_POINT('Ctrl Pts',(7.93113431299339,14.0134682364884,7.82294875304516)); #476427=CARTESIAN_POINT('Ctrl Pts',(7.90660198264673,14.017758243495,7.81698284940534)); #476428=CARTESIAN_POINT('Ctrl Pts',(7.85658072486023,14.0265055391391,7.80481841171518)); #476429=CARTESIAN_POINT('Ctrl Pts',(7.80609223959543,14.0345175350294,7.79191194752408)); #476430=CARTESIAN_POINT('Ctrl Pts',(7.75530334312795,14.0419307239972,7.77849504522339)); #476431=CARTESIAN_POINT('Ctrl Pts',(7.73747656320792,14.0445327354097,7.77378574494009)); #476432=CARTESIAN_POINT('Ctrl Pts',(7.71961283523555,14.0470609485042,7.76901309264715)); #476433=CARTESIAN_POINT('Ctrl Pts',(7.70171956543208,14.0495211739204,7.76418559373999)); #476434=CARTESIAN_POINT('Ctrl Pts',(7.64478658453538,14.0573491432161,7.74882541049352)); #476435=CARTESIAN_POINT('Ctrl Pts',(7.58755445134224,14.0644912851393,7.73291451708693)); #476436=CARTESIAN_POINT('Ctrl Pts',(7.5303804069789,14.0710722185496,7.71670503655153)); #476437=CARTESIAN_POINT('Ctrl Pts',(7.51839124039309,14.0724522137524,7.71330597383745)); #476438=CARTESIAN_POINT('Ctrl Pts',(7.50640465083434,14.0738075326271,7.70989359974286)); #476439=CARTESIAN_POINT('Ctrl Pts',(7.49442396693665,14.0751393259504,7.70646995352019)); #476440=CARTESIAN_POINT('Ctrl Pts',(7.47526508561651,14.0772690599823,7.70099503804602)); #476441=CARTESIAN_POINT('Ctrl Pts',(7.45612083475411,14.0793376886145,7.69549106226053)); #476442=CARTESIAN_POINT('Ctrl Pts',(7.43698904488163,14.0813522184753,7.68996139503176)); #476443=CARTESIAN_POINT('Ctrl Pts',(7.38364043367116,14.0869696944685,7.67454202919734)); #476444=CARTESIAN_POINT('Ctrl Pts',(7.33038845318402,14.0921671902137,7.65892667157889)); #476445=CARTESIAN_POINT('Ctrl Pts',(7.27718861434454,14.0970908262932,7.64315642283899)); #476446=CARTESIAN_POINT('Ctrl Pts',(7.27327668786841,14.0974528744264,7.64199679425878)); #476447=CARTESIAN_POINT('Ctrl Pts',(7.26936504490761,14.0978134377081,7.64083630542844)); #476448=CARTESIAN_POINT('Ctrl Pts',(7.26545366851416,14.0981725723083,7.63967496200026)); #476449=CARTESIAN_POINT('Ctrl Pts',(7.26041410769034,14.0986352945012,7.63817864452658)); #476450=CARTESIAN_POINT('Ctrl Pts',(7.25537498937795,14.0990956450072,7.63668090849005)); #476451=CARTESIAN_POINT('Ctrl Pts',(7.25033627729837,14.099553744719,7.6351817630062)); #476452=CARTESIAN_POINT('Ctrl Pts',(7.19393602269565,14.1046814321058,7.61840124734266)); #476453=CARTESIAN_POINT('Ctrl Pts',(7.13758630572105,14.1095280326699,7.60144913680301)); #476454=CARTESIAN_POINT('Ctrl Pts',(7.08123746554371,14.1142583139613,7.58432422301565)); #476455=CARTESIAN_POINT('Ctrl Pts',(7.00070114283756,14.1210190469129,7.5598485181253)); #476456=CARTESIAN_POINT('Ctrl Pts',(6.92017504602408,14.1275440117198,7.53501662723215)); #476457=CARTESIAN_POINT('Ctrl Pts',(6.83970265524785,14.1339536931258,7.50979398818528)); #476458=CARTESIAN_POINT('Ctrl Pts',(6.78280399683852,14.1384857104962,7.49196011610237)); #476459=CARTESIAN_POINT('Ctrl Pts',(6.72593229654545,14.1429598836486,7.47392968656411)); #476460=CARTESIAN_POINT('Ctrl Pts',(6.66910539290889,14.1474155027676,7.45567543466852)); #476461=CARTESIAN_POINT('Ctrl Pts',(6.60050648748126,14.1527941275915,7.43363971532955)); #476462=CARTESIAN_POINT('Ctrl Pts',(6.53197276609986,14.1581489207305,7.41128089082558)); #476463=CARTESIAN_POINT('Ctrl Pts',(6.46351207060233,14.1636316057137,7.3884719258041)); #476464=CARTESIAN_POINT('Ctrl Pts',(6.39505137510479,14.1691142906968,7.36566296078262)); #476465=CARTESIAN_POINT('Ctrl Pts',(6.32666324884001,14.1747250033807,7.34240307222574)); #476466=CARTESIAN_POINT('Ctrl Pts',(6.25837162954199,14.180598904075,7.31851169651036)); #476467=CARTESIAN_POINT('Ctrl Pts',(6.206240790234,14.1850827833028,7.30027406382473)); #476468=CARTESIAN_POINT('Ctrl Pts',(6.15416575553535,14.1897183721794,7.28167152700839)); #476469=CARTESIAN_POINT('Ctrl Pts',(6.10226535018109,14.1946760576738,7.26255034799463)); #476470=CARTESIAN_POINT('Ctrl Pts',(6.08617600568552,14.196212961081,7.25662270187677)); #476471=CARTESIAN_POINT('Ctrl Pts',(6.07010359339688,14.1977807075519,7.25064487307268)); #476472=CARTESIAN_POINT('Ctrl Pts',(6.05405210477366,14.1993840595092,7.24461145268172)); #476473=CARTESIAN_POINT('Ctrl Pts',(5.99846641022868,14.2049364063498,7.22371794736357)); #476474=CARTESIAN_POINT('Ctrl Pts',(5.94313141386229,14.210916242143,7.20215998673975)); #476475=CARTESIAN_POINT('Ctrl Pts',(5.88823449986249,14.2174811869964,7.17971624544642)); #476476=CARTESIAN_POINT('Ctrl Pts',(5.87614213496082,14.2189272739063,7.1747724719316)); #476477=CARTESIAN_POINT('Ctrl Pts',(5.86407119983539,14.2204016606802,7.16978573133419)); #476478=CARTESIAN_POINT('Ctrl Pts',(5.85202401568716,14.2219058741501,7.16475378706475)); #476479=CARTESIAN_POINT('Ctrl Pts',(5.8186545223989,14.2260723947801,7.15081580550324)); #476480=CARTESIAN_POINT('Ctrl Pts',(5.78546436211767,14.2304702875957,7.13653280859949)); #476481=CARTESIAN_POINT('Ctrl Pts',(5.752505060465,14.2353627459218,7.12163419748346)); #476482=CARTESIAN_POINT('Ctrl Pts',(5.74578626074948,14.2363600803907,7.11859709478077)); #476483=CARTESIAN_POINT('Ctrl Pts',(5.73907706338451,14.2373777587162,7.11553439925915)); #476484=CARTESIAN_POINT('Ctrl Pts',(5.73237684946296,14.2384131694422,7.11244780189528)); #476485=CARTESIAN_POINT('Ctrl Pts',(5.70673231826331,14.242376120921,7.1006340981352)); #476486=CARTESIAN_POINT('Ctrl Pts',(5.68122018793515,14.2465982574951,7.08846760365719)); #476487=CARTESIAN_POINT('Ctrl Pts',(5.65579972035929,14.25093783517,7.07606371615728)); #476488=CARTESIAN_POINT('Ctrl Pts',(5.65528091002273,14.251026402296,7.07581056325875)); #476489=CARTESIAN_POINT('Ctrl Pts',(5.65476213748624,14.2511150186051,7.07555731261756)); #476490=CARTESIAN_POINT('Ctrl Pts',(5.65424340231967,14.2512036829423,7.07530396502067)); #476491=CARTESIAN_POINT('Ctrl Pts',(5.6169489177985,14.2575782088408,7.05708952991125)); #476492=CARTESIAN_POINT('Ctrl Pts',(5.57984539929566,14.2642004436583,7.03836860643004)); #476493=CARTESIAN_POINT('Ctrl Pts',(5.54296130454259,14.2708524940665,7.01931756812513)); #476494=CARTESIAN_POINT('Ctrl Pts',(5.51540987774371,14.2758213968174,7.00508695488074)); #476495=CARTESIAN_POINT('Ctrl Pts',(5.48798009413042,14.2808075058217,6.99067260087486)); #476496=CARTESIAN_POINT('Ctrl Pts',(5.46067057977685,14.2857283720068,6.97615892007837)); #476497=CARTESIAN_POINT('Origin',(5.29949985021961,14.8316486382185,7.46451847908837)); #476498=CARTESIAN_POINT('Ctrl Pts',(4.777651754469,14.44173970083,6.507470028567)); #476499=CARTESIAN_POINT('Ctrl Pts',(4.709213970461,14.38810285762,6.562948582433)); #476500=CARTESIAN_POINT('Ctrl Pts',(4.562350654025,14.31682880468,6.696290836846)); #476501=CARTESIAN_POINT('Ctrl Pts',(4.420297339681,14.3286090838,6.85158196721)); #476502=CARTESIAN_POINT('Ctrl Pts',(4.356437726822,14.35507030591,6.928292771617)); #476503=CARTESIAN_POINT('Ctrl Pts',(4.844738480007,14.43160172219,6.55369815267)); #476504=CARTESIAN_POINT('Ctrl Pts',(4.775443127253,14.37457272602,6.610382647454)); #476505=CARTESIAN_POINT('Ctrl Pts',(4.626135288522,14.29809248961,6.748278336075)); #476506=CARTESIAN_POINT('Ctrl Pts',(4.481991666785,14.30926483476,6.91031540326)); #476507=CARTESIAN_POINT('Ctrl Pts',(4.417606696541,14.33660161696,6.990304172915)); #476508=CARTESIAN_POINT('Ctrl Pts',(4.967764009428,14.41253952356,6.635238932774)); #476509=CARTESIAN_POINT('Ctrl Pts',(4.896950029793,14.34921408839,6.693709133768)); #476510=CARTESIAN_POINT('Ctrl Pts',(4.743204008339,14.26294630971,6.83937186303)); #476511=CARTESIAN_POINT('Ctrl Pts',(4.59532838151,14.27291544912,7.013514296831)); #476512=CARTESIAN_POINT('Ctrl Pts',(4.530084518827,14.3018691237,7.099367825665)); #476513=CARTESIAN_POINT('Ctrl Pts',(5.121344457799,14.38672139851,6.732192025116)); #476514=CARTESIAN_POINT('Ctrl Pts',(5.04873600285,14.31565998243,6.791972212812)); #476515=CARTESIAN_POINT('Ctrl Pts',(4.889562944845,14.21709160064,6.945908356771)); #476516=CARTESIAN_POINT('Ctrl Pts',(4.737278772416,14.22547471242,7.13429156329)); #476517=CARTESIAN_POINT('Ctrl Pts',(4.671184219187,14.25644224208,7.22700752684)); #476518=CARTESIAN_POINT('Ctrl Pts',(5.234979636982,14.3672454589,6.801217811873)); #476519=CARTESIAN_POINT('Ctrl Pts',(5.161063164232,14.29033127566,6.861574245877)); #476520=CARTESIAN_POINT('Ctrl Pts',(4.997815710282,14.1822916155,7.021189059136)); #476521=CARTESIAN_POINT('Ctrl Pts',(4.84228715132,14.18937715586,7.220098333402)); #476522=CARTESIAN_POINT('Ctrl Pts',(4.775648562755,14.22186093849,7.317868202146)); #476523=CARTESIAN_POINT('Ctrl Pts',(5.363633900795,14.34621941178,6.875885516429)); #476524=CARTESIAN_POINT('Ctrl Pts',(5.288229787969,14.26219638991,6.93660934986)); #476525=CARTESIAN_POINT('Ctrl Pts',(5.120174736587,14.14247953551,7.102647572164)); #476526=CARTESIAN_POINT('Ctrl Pts',(4.960848776604,14.14782107603,7.314135851939)); #476527=CARTESIAN_POINT('Ctrl Pts',(4.893646576445,14.18209141387,7.417930077224)); #476528=CARTESIAN_POINT('Ctrl Pts',(5.465385823843,14.33060314907,6.930919941419)); #476529=CARTESIAN_POINT('Ctrl Pts',(5.388815458243,14.24043128069,6.991459541783)); #476530=CARTESIAN_POINT('Ctrl Pts',(5.216747394809,14.11035177025,7.16236009823)); #476531=CARTESIAN_POINT('Ctrl Pts',(5.054310056185,14.11396639459,7.384474070065)); #476532=CARTESIAN_POINT('Ctrl Pts',(4.986757907352,14.14972700743,7.493333616661)); #476533=CARTESIAN_POINT('Ctrl Pts',(5.538681189771,14.31958729464,6.969081243379)); #476534=CARTESIAN_POINT('Ctrl Pts',(5.461290530598,14.22482169692,7.029166236675)); #476535=CARTESIAN_POINT('Ctrl Pts',(5.286257799768,14.08681653406,7.203287386728)); #476536=CARTESIAN_POINT('Ctrl Pts',(5.121569483067,14.08901679731,7.433256892901)); #476537=CARTESIAN_POINT('Ctrl Pts',(5.053837438792,14.12587644269,7.545845836496)); #476538=CARTESIAN_POINT('',(4.784710210216,14.41175394271,6.560470023669)); #476539=CARTESIAN_POINT('Ctrl Pts',(4.78471021021657,14.4117539427075,6.56047002366753)); #476540=CARTESIAN_POINT('Ctrl Pts',(4.72505852659645,14.369430629127,6.61100115640303)); #476541=CARTESIAN_POINT('Ctrl Pts',(4.66239608858308,14.3407098250511,6.66934823521625)); #476542=CARTESIAN_POINT('Ctrl Pts',(4.60063435031997,14.3273784070515,6.73186720028999)); #476543=CARTESIAN_POINT('Ctrl Pts',(4.53887264142564,14.3140469953913,6.79438613563486)); #476544=CARTESIAN_POINT('Ctrl Pts',(4.47801166021454,14.3161051141683,6.86107697524494)); #476545=CARTESIAN_POINT('Ctrl Pts',(4.42185201178779,14.3334303216593,6.927777088904)); #476546=CARTESIAN_POINT('Ctrl Pts',(5.460670579708,14.28572837224,6.976158920287)); #476547=CARTESIAN_POINT('Ctrl Pts',(5.402091790016,14.29628433889,6.945026723026)); #476548=CARTESIAN_POINT('Ctrl Pts',(5.315020812779,14.3121540053,6.897105696632)); #476549=CARTESIAN_POINT('Ctrl Pts',(5.20074808632,14.3337560739,6.830076305689)); #476550=CARTESIAN_POINT('Ctrl Pts',(5.087756532417,14.35582147327,6.760634717375)); #476551=CARTESIAN_POINT('Ctrl Pts',(4.948349115293,14.38251087836,6.671401992262)); #476552=CARTESIAN_POINT('Ctrl Pts',(4.838846421197,14.40221741039,6.597938044903)); #476553=CARTESIAN_POINT('Ctrl Pts',(4.784710210216,14.41175394271,6.560470023669)); #476554=CARTESIAN_POINT('Ctrl Pts',(4.507725263315,14.48524506068,6.301669990727)); #476555=CARTESIAN_POINT('Ctrl Pts',(4.441754626286,14.44342953668,6.351781491062)); #476556=CARTESIAN_POINT('Ctrl Pts',(4.302135552207,14.39046338989,6.467301246116)); #476557=CARTESIAN_POINT('Ctrl Pts',(4.166185923695,14.40526881156,6.597464933359)); #476558=CARTESIAN_POINT('Ctrl Pts',(4.103775759843,14.42931143625,6.661811881224)); #476559=CARTESIAN_POINT('Ctrl Pts',(4.620292659012,14.46778617025,6.393242668366)); #476560=CARTESIAN_POINT('Ctrl Pts',(4.552804075766,14.42096217938,6.446161727836)); #476561=CARTESIAN_POINT('Ctrl Pts',(4.40913738282,14.36061111061,6.570066400988)); #476562=CARTESIAN_POINT('Ctrl Pts',(4.26961826286,14.37487404229,6.711348560053)); #476563=CARTESIAN_POINT('Ctrl Pts',(4.206144201884,14.40036330345,6.781118024757)); #476564=CARTESIAN_POINT('Ctrl Pts',(4.738678258587,14.45189285936,6.475657332171)); #476565=CARTESIAN_POINT('Ctrl Pts',(4.669567114956,14.39862792915,6.531300251057)); #476566=CARTESIAN_POINT('Ctrl Pts',(4.521284561378,14.3285388792,6.664506084611)); #476567=CARTESIAN_POINT('Ctrl Pts',(4.377737465676,14.34176630461,6.818938057005)); #476568=CARTESIAN_POINT('Ctrl Pts',(4.313194208116,14.36895029074,6.895090313244)); #476569=CARTESIAN_POINT('Ctrl Pts',(4.860021960814,14.43332227957,6.557129863892)); #476570=CARTESIAN_POINT('Ctrl Pts',(4.789410650419,14.37376755975,6.614539765717)); #476571=CARTESIAN_POINT('Ctrl Pts',(4.636687026709,14.29393399076,6.755518990694)); #476572=CARTESIAN_POINT('Ctrl Pts',(4.489410306953,14.30608188231,6.922059247421)); #476573=CARTESIAN_POINT('Ctrl Pts',(4.424030582448,14.33496138816,7.004050212417)); #476574=CARTESIAN_POINT('',(4.510495189364,14.45891796923,6.357688242669)); #476575=CARTESIAN_POINT('Ctrl Pts',(4.51049518936396,14.4589179692302,6.3576882426691)); #476576=CARTESIAN_POINT('Ctrl Pts',(4.454257973582,14.4272515224627,6.40226194575196)); #476577=CARTESIAN_POINT('Ctrl Pts',(4.39583432968965,14.4066768706754,6.45194517226665)); #476578=CARTESIAN_POINT('Ctrl Pts',(4.33807143773692,14.3981938530814,6.50431591621369)); #476579=CARTESIAN_POINT('Ctrl Pts',(4.28030869889286,14.3897108579728,6.55668652134469)); #476580=CARTESIAN_POINT('Ctrl Pts',(4.22320671446823,14.3933194682003,6.61174463365285)); #476581=CARTESIAN_POINT('Ctrl Pts',(4.16954879502245,14.4088466868781,6.666807360039)); #476582=CARTESIAN_POINT('Ctrl Pts',(4.784710210216,14.41175394271,6.560470023669)); #476583=CARTESIAN_POINT('Ctrl Pts',(4.690833795341,14.42829096642,6.495497593232)); #476584=CARTESIAN_POINT('Ctrl Pts',(4.598991308317,14.44345580361,6.429144098)); #476585=CARTESIAN_POINT('Ctrl Pts',(4.510495189364,14.45891796923,6.357688242669)); #476586=CARTESIAN_POINT('Ctrl Pts',(4.08314959331,14.56113471351,5.87682201583)); #476587=CARTESIAN_POINT('Ctrl Pts',(4.020671887838,14.53282909382,5.916738934463)); #476588=CARTESIAN_POINT('Ctrl Pts',(3.890376653624,14.49982702189,6.004828518781)); #476589=CARTESIAN_POINT('Ctrl Pts',(3.762410444161,14.51683548736,6.100541474674)); #476590=CARTESIAN_POINT('Ctrl Pts',(3.702220014429,14.53754120778,6.147926015045)); #476591=CARTESIAN_POINT('Ctrl Pts',(4.159847826672,14.54732213768,5.966020968427)); #476592=CARTESIAN_POINT('Ctrl Pts',(4.09644856087,14.51687269322,6.00795811418)); #476593=CARTESIAN_POINT('Ctrl Pts',(3.963905414744,14.48091327056,6.101104800628)); #476594=CARTESIAN_POINT('Ctrl Pts',(3.833923154941,14.49806697653,6.202831705982)); #476595=CARTESIAN_POINT('Ctrl Pts',(3.773035477531,14.5196064733,6.25316429408)); #476596=CARTESIAN_POINT('Ctrl Pts',(4.320751880151,14.51934312709,6.135123544721)); #476597=CARTESIAN_POINT('Ctrl Pts',(4.255305294819,14.48368068025,6.181461577839)); #476598=CARTESIAN_POINT('Ctrl Pts',(4.11766587388,14.44042864011,6.285944931506)); #476599=CARTESIAN_POINT('Ctrl Pts',(3.983124182876,14.45771246163,6.401402124117)); #476600=CARTESIAN_POINT('Ctrl Pts',(3.9207068319,14.4811437278,6.458452550007)); #476601=CARTESIAN_POINT('Ctrl Pts',(4.497305346547,14.49224583395,6.28429410288)); #476602=CARTESIAN_POINT('Ctrl Pts',(4.429432445962,14.448739338,6.335302810554)); #476603=CARTESIAN_POINT('Ctrl Pts',(4.285302955649,14.39408523537,6.453417560245)); #476604=CARTESIAN_POINT('Ctrl Pts',(4.145018992456,14.41087660858,6.586628228639)); #476605=CARTESIAN_POINT('Ctrl Pts',(4.080887386768,14.43678434219,6.652302549037)); #476606=CARTESIAN_POINT('Ctrl Pts',(4.589358756593,14.47911941427,6.354464801163)); #476607=CARTESIAN_POINT('Ctrl Pts',(4.520234670225,14.43093496252,6.407641202786)); #476608=CARTESIAN_POINT('Ctrl Pts',(4.372570352225,14.36931311213,6.532885970579)); #476609=CARTESIAN_POINT('Ctrl Pts',(4.229203588402,14.38561698337,6.675952555182)); #476610=CARTESIAN_POINT('Ctrl Pts',(4.164247301057,14.41291799714,6.746388081579)); #476611=CARTESIAN_POINT('',(4.076551981105,14.53957604667,5.937382457256)); #476612=CARTESIAN_POINT('Origin',(3.95584220383133,15.2451242191061,6.16128182286981)); #476613=CARTESIAN_POINT('Ctrl Pts',(4.510495189364,14.45891796923,6.357688242669)); #476614=CARTESIAN_POINT('Ctrl Pts',(4.43255398816,14.47253402308,6.294756673617)); #476615=CARTESIAN_POINT('Ctrl Pts',(4.282234317877,14.49977692481,6.16196864684)); #476616=CARTESIAN_POINT('Ctrl Pts',(4.143273520341,14.5264926194,6.01458359124)); #476617=CARTESIAN_POINT('Ctrl Pts',(4.076551981105,14.53957604667,5.937382457256)); #476618=CARTESIAN_POINT('Ctrl Pts',(3.685087551371,14.6440075899,5.306633342731)); #476619=CARTESIAN_POINT('Ctrl Pts',(3.624992616685,14.62121160953,5.339848461973)); #476620=CARTESIAN_POINT('Ctrl Pts',(3.500313810128,14.59561183557,5.411775949326)); #476621=CARTESIAN_POINT('Ctrl Pts',(3.377019452981,14.6117360922,5.488666379001)); #476622=CARTESIAN_POINT('Ctrl Pts',(3.318291950369,14.62998851245,5.526760817642)); #476623=CARTESIAN_POINT('Ctrl Pts',(3.755905113848,14.62756798773,5.424350767023)); #476624=CARTESIAN_POINT('Ctrl Pts',(3.695387816913,14.60464601921,5.457785555804)); #476625=CARTESIAN_POINT('Ctrl Pts',(3.569840098748,14.57906829685,5.530276373007)); #476626=CARTESIAN_POINT('Ctrl Pts',(3.445842445183,14.59578114076,5.607844243993)); #476627=CARTESIAN_POINT('Ctrl Pts',(3.386855214905,14.61445533062,5.646269386744)); #476628=CARTESIAN_POINT('Ctrl Pts',(3.860055217877,14.60531227649,5.586470003996)); #476629=CARTESIAN_POINT('Ctrl Pts',(3.798666409158,14.58131957344,5.621385977886)); #476630=CARTESIAN_POINT('Ctrl Pts',(3.671177394607,14.55449166909,5.697353452796)); #476631=CARTESIAN_POINT('Ctrl Pts',(3.545484220763,14.57189280254,5.778867945575)); #476632=CARTESIAN_POINT('Ctrl Pts',(3.485866207717,14.59137348876,5.819233321719)); #476633=CARTESIAN_POINT('Ctrl Pts',(4.018106452293,14.57597072045,5.79232869704)); #476634=CARTESIAN_POINT('Ctrl Pts',(3.95491841098,14.54826597033,5.83122646879)); #476635=CARTESIAN_POINT('Ctrl Pts',(3.823118699455,14.51646311517,5.916877285451)); #476636=CARTESIAN_POINT('Ctrl Pts',(3.693554716686,14.53446989439,6.009659065187)); #476637=CARTESIAN_POINT('Ctrl Pts',(3.632564875838,14.55561349887,6.055546775812)); #476638=CARTESIAN_POINT('Ctrl Pts',(4.102134985973,14.56097820039,5.890608566555)); #476639=CARTESIAN_POINT('Ctrl Pts',(4.037926865468,14.53086769687,5.93175324146)); #476640=CARTESIAN_POINT('Ctrl Pts',(3.903614939689,14.49576507497,6.023055520423)); #476641=CARTESIAN_POINT('Ctrl Pts',(3.771802739641,14.51399591785,6.122560986107)); #476642=CARTESIAN_POINT('Ctrl Pts',(3.710048202415,14.53611471831,6.171735272836)); #476643=CARTESIAN_POINT('Ctrl Pts',(4.150849116504,14.55251674922,5.944111273018)); #476644=CARTESIAN_POINT('Ctrl Pts',(4.08602985042,14.52085449909,5.986574065756)); #476645=CARTESIAN_POINT('Ctrl Pts',(3.950184761511,14.48359607048,6.081282877921)); #476646=CARTESIAN_POINT('Ctrl Pts',(3.817004283831,14.50191900407,6.184911556311)); #476647=CARTESIAN_POINT('Ctrl Pts',(3.754797588667,14.52463639181,6.236097182027)); #476648=CARTESIAN_POINT('',(3.669836928014,14.62466879322,5.369965453596)); #476649=CARTESIAN_POINT('Origin',(3.55060702831432,15.3445654786903,5.54326575286012)); #476650=CARTESIAN_POINT('Ctrl Pts',(4.07655198110435,14.5395760466738,5.9373824572572)); #476651=CARTESIAN_POINT('Ctrl Pts',(4.03922151660768,14.5468965939462,5.89418827128699)); #476652=CARTESIAN_POINT('Ctrl Pts',(4.00286432827412,14.5541048814627,5.85004809203876)); #476653=CARTESIAN_POINT('Ctrl Pts',(3.9674099264956,14.5611926499934,5.80500144972962)); #476654=CARTESIAN_POINT('Ctrl Pts',(3.95530948747147,14.5636116757453,5.78962721913596)); #476655=CARTESIAN_POINT('Ctrl Pts',(3.943311651043,14.5660161930121,5.77414802646382)); #476656=CARTESIAN_POINT('Ctrl Pts',(3.93141945804703,14.5684114705964,5.75854945387956)); #476657=CARTESIAN_POINT('Ctrl Pts',(3.90846741208965,14.5730343791898,5.72844405995054)); #476658=CARTESIAN_POINT('Ctrl Pts',(3.88590904881452,14.5776228933237,5.69789393432769)); #476659=CARTESIAN_POINT('Ctrl Pts',(3.86372134270646,14.5822222046622,5.66681005706559)); #476660=CARTESIAN_POINT('Ctrl Pts',(3.83421608537447,14.5883383783634,5.62547465298965)); #476661=CARTESIAN_POINT('Ctrl Pts',(3.80536089603063,14.5944692048529,5.58320118699855)); #476662=CARTESIAN_POINT('Ctrl Pts',(3.77700006346018,14.6006401763202,5.54006525010514)); #476663=CARTESIAN_POINT('Ctrl Pts',(3.74943825854661,14.606637289109,5.49814460921892)); #476664=CARTESIAN_POINT('Ctrl Pts',(3.72234343787454,14.612672288823,5.4554093444151)); #476665=CARTESIAN_POINT('Ctrl Pts',(3.69553222699897,14.6187770669739,5.41195587045328)); #476666=CARTESIAN_POINT('Ctrl Pts',(3.68694065250971,14.620733325364,5.39803133119644)); #476667=CARTESIAN_POINT('Ctrl Pts',(3.67837787726384,14.622696817547,5.3840332582798)); #476668=CARTESIAN_POINT('Ctrl Pts',(3.66983692812198,14.6246687925679,5.36996545343903)); #476669=CARTESIAN_POINT('Ctrl Pts',(2.644475472444,14.84263136709,3.404810240978)); #476670=CARTESIAN_POINT('Ctrl Pts',(2.58924196288,14.82344563764,3.430378182934)); #476671=CARTESIAN_POINT('Ctrl Pts',(2.474875101533,14.80075997183,3.484641413771)); #476672=CARTESIAN_POINT('Ctrl Pts',(2.360027147707,14.81059840809,3.541663609554)); #476673=CARTESIAN_POINT('Ctrl Pts',(2.304369975329,14.82354483525,3.569931974765)); #476674=CARTESIAN_POINT('Ctrl Pts',(2.678474176562,14.83751102648,3.472984294699)); #476675=CARTESIAN_POINT('Ctrl Pts',(2.623009968553,14.81814038111,3.498910709517)); #476676=CARTESIAN_POINT('Ctrl Pts',(2.50814129806,14.7952754884,3.553976857241)); #476677=CARTESIAN_POINT('Ctrl Pts',(2.392834525557,14.80533852168,3.611878240627)); #476678=CARTESIAN_POINT('Ctrl Pts',(2.336989344766,14.81849408131,3.640579670253)); #476679=CARTESIAN_POINT('Ctrl Pts',(2.74062000794,14.82817750293,3.593945999755)); #476680=CARTESIAN_POINT('Ctrl Pts',(2.684697768878,14.80838847547,3.620610841432)); #476681=CARTESIAN_POINT('Ctrl Pts',(2.568821247289,14.78508233534,3.677338611091)); #476682=CARTESIAN_POINT('Ctrl Pts',(2.452582916034,14.795548103,3.737065668633)); #476683=CARTESIAN_POINT('Ctrl Pts',(2.396355472089,14.80910828526,3.766665909022)); #476684=CARTESIAN_POINT('Ctrl Pts',(2.816669358214,14.81511889364,3.744946020546)); #476685=CARTESIAN_POINT('Ctrl Pts',(2.760297156048,14.79522318161,3.771840757477)); #476686=CARTESIAN_POINT('Ctrl Pts',(2.643488941883,14.77196668369,3.829118588312)); #476687=CARTESIAN_POINT('Ctrl Pts',(2.526441960475,14.78302015461,3.889476102184)); #476688=CARTESIAN_POINT('Ctrl Pts',(2.469886377398,14.79699782007,3.919384766397)); #476689=CARTESIAN_POINT('Ctrl Pts',(2.871007200771,14.80499785036,3.856061046167)); #476690=CARTESIAN_POINT('Ctrl Pts',(2.814382369901,14.78521972784,3.882841776591)); #476691=CARTESIAN_POINT('Ctrl Pts',(2.69708728173,14.76227879592,3.939892259884)); #476692=CARTESIAN_POINT('Ctrl Pts',(2.579655078807,14.7737910066,4.000023193017)); #476693=CARTESIAN_POINT('Ctrl Pts',(2.522947391272,14.78802562899,4.029818562605)); #476694=CARTESIAN_POINT('Ctrl Pts',(2.924286723052,14.79424615223,3.969461709768)); #476695=CARTESIAN_POINT('Ctrl Pts',(2.867503136549,14.77481600015,3.995788769478)); #476696=CARTESIAN_POINT('Ctrl Pts',(2.749957774939,14.75251870701,4.051856056483)); #476697=CARTESIAN_POINT('Ctrl Pts',(2.63238911293,14.76452229174,4.110936631316)); #476698=CARTESIAN_POINT('Ctrl Pts',(2.575635132155,14.77895629307,4.140212642661)); #476699=CARTESIAN_POINT('Ctrl Pts',(2.99143332267,14.78218485549,4.105590675135)); #476700=CARTESIAN_POINT('Ctrl Pts',(2.934275781497,14.76258000067,4.132364982243)); #476701=CARTESIAN_POINT('Ctrl Pts',(2.815947430271,14.74020958235,4.189444795819)); #476702=CARTESIAN_POINT('Ctrl Pts',(2.697710925332,14.75271168664,4.249642765347)); #476703=CARTESIAN_POINT('Ctrl Pts',(2.640696893333,14.76752808759,4.279468521175)); #476704=CARTESIAN_POINT('Ctrl Pts',(3.077557456086,14.7698656966,4.256093329299)); #476705=CARTESIAN_POINT('Ctrl Pts',(3.019521753953,14.74878739449,4.285249219108)); #476706=CARTESIAN_POINT('Ctrl Pts',(2.899140299514,14.72452655745,4.347683123324)); #476707=CARTESIAN_POINT('Ctrl Pts',(2.778951970749,14.73744622582,4.413760523771)); #476708=CARTESIAN_POINT('Ctrl Pts',(2.721160067775,14.75303594419,4.446481077171)); #476709=CARTESIAN_POINT('Ctrl Pts',(3.169275653593,14.75635253922,4.403552967297)); #476710=CARTESIAN_POINT('Ctrl Pts',(3.110300028443,14.73365616995,4.434989760486)); #476711=CARTESIAN_POINT('Ctrl Pts',(2.987705698921,14.70730899649,4.502656498961)); #476712=CARTESIAN_POINT('Ctrl Pts',(2.865425787581,14.72067929619,4.574565153425)); #476713=CARTESIAN_POINT('Ctrl Pts',(2.806813766905,14.73711485695,4.610150405445)); #476714=CARTESIAN_POINT('Ctrl Pts',(3.245848961172,14.74179193053,4.533322132131)); #476715=CARTESIAN_POINT('Ctrl Pts',(3.186348217976,14.7187129971,4.565309968785)); #476716=CARTESIAN_POINT('Ctrl Pts',(3.062620908543,14.69203047383,4.634294322067)); #476717=CARTESIAN_POINT('Ctrl Pts',(2.939359636348,14.70598223647,4.707713511568)); #476718=CARTESIAN_POINT('Ctrl Pts',(2.880371962612,14.72292396985,4.744037713332)); #476719=CARTESIAN_POINT('Ctrl Pts',(3.305106173857,14.72798450165,4.643465503307)); #476720=CARTESIAN_POINT('Ctrl Pts',(3.245416742943,14.70538723532,4.674909331164)); #476721=CARTESIAN_POINT('Ctrl Pts',(3.121408221067,14.67957384267,4.742683388054)); #476722=CARTESIAN_POINT('Ctrl Pts',(2.998011817835,14.69412822756,4.814783421507)); #476723=CARTESIAN_POINT('Ctrl Pts',(2.938979522884,14.71129294737,4.850457377036)); #476724=CARTESIAN_POINT('Ctrl Pts',(3.363850959032,14.71453280719,4.75278707686)); #476725=CARTESIAN_POINT('Ctrl Pts',(3.303948270409,14.69226658285,4.783888562749)); #476726=CARTESIAN_POINT('Ctrl Pts',(3.179580431849,14.66710107361,4.850913913106)); #476727=CARTESIAN_POINT('Ctrl Pts',(3.05596528794,14.68222110003,4.922208396503)); #476728=CARTESIAN_POINT('Ctrl Pts',(2.996858362443,14.69963682828,4.957484480896)); #476729=CARTESIAN_POINT('Ctrl Pts',(3.437596484084,14.69863058115,4.885973566627)); #476730=CARTESIAN_POINT('Ctrl Pts',(3.377304738205,14.67632440527,4.917259835655)); #476731=CARTESIAN_POINT('Ctrl Pts',(3.252152795472,14.65131378213,4.98474511287)); #476732=CARTESIAN_POINT('Ctrl Pts',(3.127919047509,14.6670590402,5.056580459615)); #476733=CARTESIAN_POINT('Ctrl Pts',(3.068586164166,14.68489094034,5.092120153883)); #476734=CARTESIAN_POINT('Ctrl Pts',(3.567389408728,14.67347106016,5.102444116034)); #476735=CARTESIAN_POINT('Ctrl Pts',(3.50604318694,14.64985857946,5.135583562923)); #476736=CARTESIAN_POINT('Ctrl Pts',(3.378521154277,14.62333963681,5.207404531587)); #476737=CARTESIAN_POINT('Ctrl Pts',(3.252193273905,14.63997824079,5.284138465638)); #476738=CARTESIAN_POINT('Ctrl Pts',(3.192077225081,14.65883117559,5.32207971351)); #476739=CARTESIAN_POINT('Ctrl Pts',(3.670797128558,14.65194008066,5.26724025289)); #476740=CARTESIAN_POINT('Ctrl Pts',(3.608618122173,14.6274423447,5.301477757192)); #476741=CARTESIAN_POINT('Ctrl Pts',(3.479245599743,14.59995610925,5.375953764682)); #476742=CARTESIAN_POINT('Ctrl Pts',(3.351311613522,14.61737540774,5.455754838116)); #476743=CARTESIAN_POINT('Ctrl Pts',(3.29060262155,14.63703254631,5.495194852531)); #476744=CARTESIAN_POINT('Ctrl Pts',(3.741885093541,14.63540882732,5.384146300557)); #476745=CARTESIAN_POINT('Ctrl Pts',(3.679251657117,14.61070325843,5.418698571686)); #476746=CARTESIAN_POINT('Ctrl Pts',(3.548928662522,14.58313568951,5.493968454615)); #476747=CARTESIAN_POINT('Ctrl Pts',(3.420228069297,14.60117170249,5.57471136646)); #476748=CARTESIAN_POINT('Ctrl Pts',(3.359245180279,14.62129502296,5.614609848405)); #476749=CARTESIAN_POINT('',(2.623844084477,14.82641736583,3.46691758512)); #476750=CARTESIAN_POINT('Origin',(2.48517555192357,15.552697594768,3.59256816034765)); #476751=CARTESIAN_POINT('Ctrl Pts',(3.669836928014,14.62466879322,5.369965453596)); #476752=CARTESIAN_POINT('Ctrl Pts',(3.607215838196,14.63912641254,5.266824781052)); #476753=CARTESIAN_POINT('Ctrl Pts',(3.513164185541,14.65935125131,5.114312459729)); #476754=CARTESIAN_POINT('Ctrl Pts',(3.392083941443,14.68377221776,4.909827291102)); #476755=CARTESIAN_POINT('Ctrl Pts',(3.31863567181,14.69968429647,4.776490953184)); #476756=CARTESIAN_POINT('Ctrl Pts',(3.260043367922,14.71288954466,4.667431731726)); #476757=CARTESIAN_POINT('Ctrl Pts',(3.200918757781,14.72634567484,4.55770624986)); #476758=CARTESIAN_POINT('Ctrl Pts',(3.12474484476,14.74115220389,4.427505555076)); #476759=CARTESIAN_POINT('Ctrl Pts',(3.033758007219,14.75576795213,4.278279262466)); #476760=CARTESIAN_POINT('Ctrl Pts',(2.948316430828,14.7690904722,4.125941546105)); #476761=CARTESIAN_POINT('Ctrl Pts',(2.881451058754,14.78125631733,3.989467926063)); #476762=CARTESIAN_POINT('Ctrl Pts',(2.828286550666,14.7917533352,3.876412388204)); #476763=CARTESIAN_POINT('Ctrl Pts',(2.774125994971,14.80177627148,3.765387238043)); #476764=CARTESIAN_POINT('Ctrl Pts',(2.705685408144,14.81366439513,3.628538222764)); #476765=CARTESIAN_POINT('Ctrl Pts',(2.650831035211,14.82221838386,3.520971779492)); #476766=CARTESIAN_POINT('Ctrl Pts',(2.623844084477,14.82641736583,3.46691758512)); #476767=CARTESIAN_POINT('Ctrl Pts',(1.597550745917,14.97444141118,0.6964562875129)); #476768=CARTESIAN_POINT('Ctrl Pts',(1.544520412633,14.95679386691,0.7125146206287)); #476769=CARTESIAN_POINT('Ctrl Pts',(1.436375578723,14.93487976854,0.7456505135867)); #476770=CARTESIAN_POINT('Ctrl Pts',(1.32654793897,14.93913010154,0.7800327184782)); #476771=CARTESIAN_POINT('Ctrl Pts',(1.27348231534,14.94754488852,0.7968205459519)); #476772=CARTESIAN_POINT('Ctrl Pts',(1.611486512476,14.97315828385,0.7409974118573)); #476773=CARTESIAN_POINT('Ctrl Pts',(1.558378692971,14.95548802702,0.7571538158872)); #476774=CARTESIAN_POINT('Ctrl Pts',(1.450073367724,14.93357519225,0.7904973802139)); #476775=CARTESIAN_POINT('Ctrl Pts',(1.340098024124,14.93791952349,0.8250992429264)); #476776=CARTESIAN_POINT('Ctrl Pts',(1.286969459305,14.94640193369,0.8419939235629)); #476777=CARTESIAN_POINT('Ctrl Pts',(1.633040778407,14.97016493081,0.819820321926)); #476778=CARTESIAN_POINT('Ctrl Pts',(1.579976902362,14.95281916211,0.8352417732806)); #476779=CARTESIAN_POINT('Ctrl Pts',(1.471829506465,14.93141100028,0.8670504083256)); #476780=CARTESIAN_POINT('Ctrl Pts',(1.362077145698,14.93596150438,0.9000451437928)); #476781=CARTESIAN_POINT('Ctrl Pts',(1.309055579173,14.94447011342,0.9161564945721)); #476782=CARTESIAN_POINT('Ctrl Pts',(1.671225360905,14.96583344109,0.9562124599091)); #476783=CARTESIAN_POINT('Ctrl Pts',(1.618086907455,14.94867343872,0.97133117598)); #476784=CARTESIAN_POINT('Ctrl Pts',(1.509830652593,14.92761402117,1.002512412075)); #476785=CARTESIAN_POINT('Ctrl Pts',(1.400036599567,14.93246035565,1.03485401638)); #476786=CARTESIAN_POINT('Ctrl Pts',(1.347008430483,14.94109797828,1.050646666418)); #476787=CARTESIAN_POINT('Ctrl Pts',(1.70603692715,14.96271441351,1.072074575389)); #476788=CARTESIAN_POINT('Ctrl Pts',(1.65267732559,14.94538808631,1.087796179164)); #476789=CARTESIAN_POINT('Ctrl Pts',(1.543943053698,14.92417822061,1.120241399872)); #476790=CARTESIAN_POINT('Ctrl Pts',(1.433691481937,14.92923927812,1.153910222682)); #476791=CARTESIAN_POINT('Ctrl Pts',(1.380467759272,14.93806865926,1.170349413914)); #476792=CARTESIAN_POINT('Ctrl Pts',(1.744299604251,14.96016628582,1.182355120067)); #476793=CARTESIAN_POINT('Ctrl Pts',(1.690537811363,14.94229688319,1.199523788208)); #476794=CARTESIAN_POINT('Ctrl Pts',(1.580881453235,14.9204193062,1.235012292276)); #476795=CARTESIAN_POINT('Ctrl Pts',(1.469689194375,14.92566039989,1.271884005917)); #476796=CARTESIAN_POINT('Ctrl Pts',(1.416054682471,14.93478216618,1.289882758232)); #476797=CARTESIAN_POINT('Ctrl Pts',(1.785346819152,14.95773205217,1.290023845843)); #476798=CARTESIAN_POINT('Ctrl Pts',(1.731104389455,14.93914117455,1.308934840003)); #476799=CARTESIAN_POINT('Ctrl Pts',(1.620329597817,14.91635301893,1.348103807382)); #476800=CARTESIAN_POINT('Ctrl Pts',(1.507984746282,14.921766218,1.388861609193)); #476801=CARTESIAN_POINT('Ctrl Pts',(1.453847424529,14.93123368752,1.40875139973)); #476802=CARTESIAN_POINT('Ctrl Pts',(1.828243779153,14.95402466155,1.40141102265)); #476803=CARTESIAN_POINT('Ctrl Pts',(1.773650522806,14.93506014819,1.42116782887)); #476804=CARTESIAN_POINT('Ctrl Pts',(1.662091096835,14.91185371689,1.462143414772)); #476805=CARTESIAN_POINT('Ctrl Pts',(1.548972907106,14.91751615044,1.504824190053)); #476806=CARTESIAN_POINT('Ctrl Pts',(1.494504239492,14.92726519704,1.52564828461)); #476807=CARTESIAN_POINT('Ctrl Pts',(1.870984187412,14.94929114711,1.516397444417)); #476808=CARTESIAN_POINT('Ctrl Pts',(1.816154403733,14.93028704422,1.536298057226)); #476809=CARTESIAN_POINT('Ctrl Pts',(1.704111161134,14.90713190545,1.57759180197)); #476810=CARTESIAN_POINT('Ctrl Pts',(1.590559038351,14.91310394718,1.620619619146)); #476811=CARTESIAN_POINT('Ctrl Pts',(1.535908775225,14.92306380333,1.641611546869)); #476812=CARTESIAN_POINT('Ctrl Pts',(1.912383285499,14.94359906995,1.635881822878)); #476813=CARTESIAN_POINT('Ctrl Pts',(1.857462892465,14.92493095437,1.655137575336)); #476814=CARTESIAN_POINT('Ctrl Pts',(1.745309091623,14.90235025733,1.695076619548)); #476815=CARTESIAN_POINT('Ctrl Pts',(1.63173604785,14.90868603038,1.736679795372)); #476816=CARTESIAN_POINT('Ctrl Pts',(1.577085975386,14.91876857146,1.756977927652)); #476817=CARTESIAN_POINT('Ctrl Pts',(1.962977507521,14.93709691916,1.783156757901)); #476818=CARTESIAN_POINT('Ctrl Pts',(1.907874540534,14.91861413453,1.802107439891)); #476819=CARTESIAN_POINT('Ctrl Pts',(1.795393623656,14.8964193692,1.841415075151)); #476820=CARTESIAN_POINT('Ctrl Pts',(1.681578270149,14.90316066774,1.882361593508)); #476821=CARTESIAN_POINT('Ctrl Pts',(1.626833755672,14.91344191265,1.902339242548)); #476822=CARTESIAN_POINT('Ctrl Pts',(2.025387149421,14.93026532335,1.953434753269)); #476823=CARTESIAN_POINT('Ctrl Pts',(1.969859547799,14.91148475135,1.973164012374)); #476824=CARTESIAN_POINT('Ctrl Pts',(1.856463799575,14.88903169813,2.014137171059)); #476825=CARTESIAN_POINT('Ctrl Pts',(1.741785665653,14.89619232119,2.056858408418)); #476826=CARTESIAN_POINT('Ctrl Pts',(1.686677818634,14.906838431,2.077698150353)); #476827=CARTESIAN_POINT('Ctrl Pts',(2.091816413381,14.92368659626,2.118366158452)); #476828=CARTESIAN_POINT('Ctrl Pts',(2.035717620908,14.90423270313,2.139600323741)); #476829=CARTESIAN_POINT('Ctrl Pts',(1.921033845295,14.88100775594,2.183797046485)); #476830=CARTESIAN_POINT('Ctrl Pts',(1.805093745731,14.88856351734,2.229956561644)); #476831=CARTESIAN_POINT('Ctrl Pts',(1.749453354583,14.89968142394,2.252466179215)); #476832=CARTESIAN_POINT('Ctrl Pts',(2.160298808528,14.91574540885,2.285574142319)); #476833=CARTESIAN_POINT('Ctrl Pts',(2.103733297005,14.89590831882,2.307683959526)); #476834=CARTESIAN_POINT('Ctrl Pts',(1.988033792256,14.87232420398,2.353777217067)); #476835=CARTESIAN_POINT('Ctrl Pts',(1.871142580741,14.88034342495,2.401975560233)); #476836=CARTESIAN_POINT('Ctrl Pts',(1.81510960118,14.89188192821,2.425473895483)); #476837=CARTESIAN_POINT('Ctrl Pts',(2.252623334396,14.90371042188,2.511218088947)); #476838=CARTESIAN_POINT('Ctrl Pts',(2.195540753805,14.88368790246,2.533874180094)); #476839=CARTESIAN_POINT('Ctrl Pts',(2.078768373427,14.86008621468,2.581169253464)); #476840=CARTESIAN_POINT('Ctrl Pts',(1.960928123644,14.86879146848,2.630673698228)); #476841=CARTESIAN_POINT('Ctrl Pts',(1.904511734357,14.88082757617,2.654804117913)); #476842=CARTESIAN_POINT('Ctrl Pts',(2.370728173937,14.88806643536,2.790236720177)); #476843=CARTESIAN_POINT('Ctrl Pts',(2.312909765263,14.86760272736,2.813948677989)); #476844=CARTESIAN_POINT('Ctrl Pts',(2.19457326081,14.84369855851,2.863555213753)); #476845=CARTESIAN_POINT('Ctrl Pts',(2.075318073574,14.85326542795,2.915563232206)); #476846=CARTESIAN_POINT('Ctrl Pts',(2.01832898807,14.86599386728,2.940906022594)); #476847=CARTESIAN_POINT('Ctrl Pts',(2.526927249971,14.86717017718,3.132340722979)); #476848=CARTESIAN_POINT('Ctrl Pts',(2.467978472275,14.84565604929,3.15814244219)); #476849=CARTESIAN_POINT('Ctrl Pts',(2.347160311134,14.82070901661,3.212340740975)); #476850=CARTESIAN_POINT('Ctrl Pts',(2.225607186301,14.8313787778,3.269335149855)); #476851=CARTESIAN_POINT('Ctrl Pts',(2.16769226811,14.84515070906,3.297091443691)); #476852=CARTESIAN_POINT('Ctrl Pts',(2.640897288149,14.85114977719,3.360896312173)); #476853=CARTESIAN_POINT('Ctrl Pts',(2.581079436778,14.82869982983,3.388335155078)); #476854=CARTESIAN_POINT('Ctrl Pts',(2.458326814605,14.80276199641,3.446181105767)); #476855=CARTESIAN_POINT('Ctrl Pts',(2.334987114867,14.81423998374,3.507174792594)); #476856=CARTESIAN_POINT('Ctrl Pts',(2.276365708079,14.828830076,3.53686333287)); #476857=CARTESIAN_POINT('Ctrl Pts',(2.702761585941,14.8417860678,3.482636666848)); #476858=CARTESIAN_POINT('Ctrl Pts',(2.642484340222,14.81892963296,3.510742014029)); #476859=CARTESIAN_POINT('Ctrl Pts',(2.518726012972,14.79260074956,3.57009597607)); #476860=CARTESIAN_POINT('Ctrl Pts',(2.394473498913,14.80454738737,3.632760152101)); #476861=CARTESIAN_POINT('Ctrl Pts',(2.33549312446,14.81956710956,3.663254242278)); #476862=CARTESIAN_POINT('',(1.561077334413,14.95830659302,0.7585880961691)); #476863=CARTESIAN_POINT('Ctrl Pts',(1.56107733439905,14.9583065930834,0.758588096175193)); #476864=CARTESIAN_POINT('Ctrl Pts',(1.56760622178023,14.9578316547557,0.778484269051397)); #476865=CARTESIAN_POINT('Ctrl Pts',(1.57403253596559,14.9573023271626,0.798883391240372)); #476866=CARTESIAN_POINT('Ctrl Pts',(1.58041737010794,14.9567562532037,0.819547668858951)); #476867=CARTESIAN_POINT('Ctrl Pts',(1.58345574065909,14.9564963913187,0.829381241850403)); #476868=CARTESIAN_POINT('Ctrl Pts',(1.58648484553346,14.9562328638724,0.839274174897168)); #476869=CARTESIAN_POINT('Ctrl Pts',(1.58951203937508,14.9559704589683,0.849196738567799)); #476870=CARTESIAN_POINT('Ctrl Pts',(1.59855245919214,14.9551868122581,0.87882950935729)); #476871=CARTESIAN_POINT('Ctrl Pts',(1.60757385769211,14.9544140695919,0.908724345849259)); #476872=CARTESIAN_POINT('Ctrl Pts',(1.61672652853796,14.953628700319,0.938964955526984)); #476873=CARTESIAN_POINT('Ctrl Pts',(1.62630129843529,14.952807111714,0.970600189011923)); #476874=CARTESIAN_POINT('Ctrl Pts',(1.63601924452687,14.9519713350029,1.00261559500287)); #476875=CARTESIAN_POINT('Ctrl Pts',(1.64605581189966,14.9511114033325,1.03502989683354)); #476876=CARTESIAN_POINT('Ctrl Pts',(1.65359839217557,14.9504651561232,1.05938956728301)); #476877=CARTESIAN_POINT('Ctrl Pts',(1.66132150485792,14.9498052434306,1.08397415885278)); #476878=CARTESIAN_POINT('Ctrl Pts',(1.66931731743561,14.9491259171128,1.10878325495127)); #476879=CARTESIAN_POINT('Ctrl Pts',(1.66975954255573,14.9490883455515,1.11015537384419)); #476880=CARTESIAN_POINT('Ctrl Pts',(1.67020238624233,14.9490507996013,1.11152682197002)); #476881=CARTESIAN_POINT('Ctrl Pts',(1.67064586441844,14.9490132785118,1.11289760260397)); #476882=CARTESIAN_POINT('Ctrl Pts',(1.67975854735366,14.9482422872647,1.14106469168256)); #476883=CARTESIAN_POINT('Ctrl Pts',(1.68913829140348,14.947481909215,1.16895004938873)); #476884=CARTESIAN_POINT('Ctrl Pts',(1.69889471817361,14.9467061977884,1.19672517348243)); #476885=CARTESIAN_POINT('Ctrl Pts',(1.70852908453619,14.9459401911087,1.22415280939763)); #476886=CARTESIAN_POINT('Ctrl Pts',(1.71853237557251,14.9451596222207,1.25146951691696)); #476887=CARTESIAN_POINT('Ctrl Pts',(1.72877634505431,14.944282490712,1.27928074705026)); #476888=CARTESIAN_POINT('Ctrl Pts',(1.74384527816695,14.9429922256385,1.32019121319369)); #476889=CARTESIAN_POINT('Ctrl Pts',(1.75943622815451,14.941491800304,1.36217823747012)); #476890=CARTESIAN_POINT('Ctrl Pts',(1.77540237681394,14.9398024588117,1.40550458821507)); #476891=CARTESIAN_POINT('Ctrl Pts',(1.78055183948297,14.9392576060025,1.41947836677029)); #476892=CARTESIAN_POINT('Ctrl Pts',(1.78574000617394,14.9386931010332,1.43359164141393)); #476893=CARTESIAN_POINT('Ctrl Pts',(1.79096081691582,14.9381096642573,1.44785378281748)); #476894=CARTESIAN_POINT('Ctrl Pts',(1.79514145839884,14.9376424686225,1.45927440366284)); #476895=CARTESIAN_POINT('Ctrl Pts',(1.79934304163608,14.9371631418398,1.47079041611742)); #476896=CARTESIAN_POINT('Ctrl Pts',(1.80355801352545,14.9366701636775,1.48242151090521)); #476897=CARTESIAN_POINT('Ctrl Pts',(1.80979911088064,14.93594021226,1.49964364116452)); #476898=CARTESIAN_POINT('Ctrl Pts',(1.81603767192381,14.9351872771498,1.51700384718902)); #476899=CARTESIAN_POINT('Ctrl Pts',(1.82225098578431,14.9344049014438,1.53458605952288)); #476900=CARTESIAN_POINT('Ctrl Pts',(1.83253400908175,14.9331100710535,1.56368458940709)); #476901=CARTESIAN_POINT('Ctrl Pts',(1.84274752548609,14.9317339192482,1.59339511267367)); #476902=CARTESIAN_POINT('Ctrl Pts',(1.85299534610684,14.9303754925728,1.62329923835292)); #476903=CARTESIAN_POINT('Ctrl Pts',(1.86552400754541,14.9287147230752,1.65985907679239)); #476904=CARTESIAN_POINT('Ctrl Pts',(1.87810238086413,14.9270814290353,1.69670501612049)); #476905=CARTESIAN_POINT('Ctrl Pts',(1.89093775584444,14.9254558446012,1.73398575044828)); #476906=CARTESIAN_POINT('Ctrl Pts',(1.89839244330512,14.924511717615,1.75563811534957)); #476907=CARTESIAN_POINT('Ctrl Pts',(1.90593415257308,14.9235702331081,1.77743654356766)); #476908=CARTESIAN_POINT('Ctrl Pts',(1.91360847967867,14.922627738848,1.79940335372566)); #476909=CARTESIAN_POINT('Ctrl Pts',(1.92631501702261,14.9210672321942,1.83577424198196)); #476910=CARTESIAN_POINT('Ctrl Pts',(1.9393830671623,14.9195045204635,1.87260619114556)); #476911=CARTESIAN_POINT('Ctrl Pts',(1.95300536959081,14.9179006447335,1.91012673784649)); #476912=CARTESIAN_POINT('Ctrl Pts',(1.96031972800901,14.9170394597754,1.93027301840794)); #476913=CARTESIAN_POINT('Ctrl Pts',(1.96772360097613,14.9161654598511,1.95049863894424)); #476914=CARTESIAN_POINT('Ctrl Pts',(1.97524715559145,14.9152769707512,1.97079500948998)); #476915=CARTESIAN_POINT('Ctrl Pts',(1.98946183973007,14.9135982972303,2.0091421088625)); #476916=CARTESIAN_POINT('Ctrl Pts',(2.0041055061714,14.9118683614988,2.04773759060238)); #476917=CARTESIAN_POINT('Ctrl Pts',(2.01916473784928,14.910045909268,2.0868487438132)); #476918=CARTESIAN_POINT('Ctrl Pts',(2.02578857899331,14.9092442990447,2.10405188347992)); #476919=CARTESIAN_POINT('Ctrl Pts',(2.0324926289656,14.9084247006218,2.12135545732006)); #476920=CARTESIAN_POINT('Ctrl Pts',(2.03927488658802,14.9075832238638,2.13878519614273)); #476921=CARTESIAN_POINT('Ctrl Pts',(2.06067170708662,14.9049285138623,2.1937729323345)); #476922=CARTESIAN_POINT('Ctrl Pts',(2.08284997719075,14.902055064334,2.25001886083084)); #476923=CARTESIAN_POINT('Ctrl Pts',(2.10578050738599,14.8990466014914,2.30733403922181)); #476924=CARTESIAN_POINT('Ctrl Pts',(2.11560336153305,14.8977578527596,2.33188639945743)); #476925=CARTESIAN_POINT('Ctrl Pts',(2.12556360184986,14.8964444128561,2.35663506470556)); #476926=CARTESIAN_POINT('Ctrl Pts',(2.13567830787563,14.8951179224738,2.38152854189121)); #476927=CARTESIAN_POINT('Ctrl Pts',(2.14839969290171,14.8934495798799,2.41283736165425)); #476928=CARTESIAN_POINT('Ctrl Pts',(2.16135532671102,14.8917351755603,2.44454793751574)); #476929=CARTESIAN_POINT('Ctrl Pts',(2.17454756830578,14.8899871712798,2.47658659286746)); #476930=CARTESIAN_POINT('Ctrl Pts',(2.20246360031846,14.8862882287535,2.54438342042082)); #476931=CARTESIAN_POINT('Ctrl Pts',(2.23143591998041,14.8824402213044,2.61364718417987)); #476932=CARTESIAN_POINT('Ctrl Pts',(2.26158237071725,14.8783927781323,2.68429906045671)); #476933=CARTESIAN_POINT('Ctrl Pts',(2.27953839036842,14.8759820144318,2.72638117784736)); #476934=CARTESIAN_POINT('Ctrl Pts',(2.29791175423576,14.8735003956103,2.76895525520507)); #476935=CARTESIAN_POINT('Ctrl Pts',(2.3167349541641,14.8709360989121,2.81200022905578)); #476936=CARTESIAN_POINT('Ctrl Pts',(2.33030283505021,14.8690877377253,2.84302731691412)); #476937=CARTESIAN_POINT('Ctrl Pts',(2.34410460165343,14.8671964251463,2.87429887062916)); #476938=CARTESIAN_POINT('Ctrl Pts',(2.358149513795,14.8652551690804,2.90581811653146)); #476939=CARTESIAN_POINT('Ctrl Pts',(2.38779889131752,14.8611570990186,2.97235651930415)); #476940=CARTESIAN_POINT('Ctrl Pts',(2.41805098017457,14.8569193915222,3.03882083590892)); #476941=CARTESIAN_POINT('Ctrl Pts',(2.44926200653561,14.852461234686,3.10578699515609)); #476942=CARTESIAN_POINT('Ctrl Pts',(2.4548266059696,14.8516663919686,3.11772636067174)); #476943=CARTESIAN_POINT('Ctrl Pts',(2.4604218067666,14.8508645061061,3.12968163096661)); #476944=CARTESIAN_POINT('Ctrl Pts',(2.46604979265244,14.8500550688445,3.14165601121639)); #476945=CARTESIAN_POINT('Ctrl Pts',(2.4978625682308,14.8454796405352,3.2093424410162)); #476946=CARTESIAN_POINT('Ctrl Pts',(2.53072186899561,14.8406646406222,3.27763691297583)); #476947=CARTESIAN_POINT('Ctrl Pts',(2.56481765753952,14.8355085918221,3.34731405482752)); #476948=CARTESIAN_POINT('Ctrl Pts',(2.58408631556079,14.832594738079,3.38669091266177)); #476949=CARTESIAN_POINT('Ctrl Pts',(2.60375017476882,14.8295715950864,3.42650982543592)); #476950=CARTESIAN_POINT('Ctrl Pts',(2.62384408447729,14.8264173658285,3.46691758511974)); #476951=CARTESIAN_POINT('Origin',(1.40056487360963,15.6891852452627,0.828710977298808)); #476952=CARTESIAN_POINT('Ctrl Pts',(1.36569324486775,14.9916349322124,-0.134603799064861)); #476953=CARTESIAN_POINT('Ctrl Pts',(1.26473142247858,14.9591423840543,-0.108727894774865)); #476954=CARTESIAN_POINT('Ctrl Pts',(1.15697143668646,14.9498713209925,-0.0806197945405224)); #476955=CARTESIAN_POINT('Ctrl Pts',(1.05242307431615,14.9646795385574,-0.0528904680392063)); #476956=CARTESIAN_POINT('Ctrl Pts',(1.37474343062625,14.9908496709697,-0.0988825961268402)); #476957=CARTESIAN_POINT('Ctrl Pts',(1.27371886136419,14.958392131383,-0.0730421272556652)); #476958=CARTESIAN_POINT('Ctrl Pts',(1.16590101899332,14.9491763982599,-0.0449707288695879)); #476959=CARTESIAN_POINT('Ctrl Pts',(1.06131215633061,14.9640557365553,-0.0172778840481493)); #476960=CARTESIAN_POINT('Ctrl Pts',(1.38871119415734,14.9894844274239,-0.0420721107767201)); #476961=CARTESIAN_POINT('Ctrl Pts',(1.28764302912189,14.9571834250749,-0.016567175553639)); #476962=CARTESIAN_POINT('Ctrl Pts',(1.17981332977914,14.9480958652348,0.0111359037837245)); #476963=CARTESIAN_POINT('Ctrl Pts',(1.07523005556173,14.9630617834614,0.0384659815407731)); #476964=CARTESIAN_POINT('Ctrl Pts',(1.411969245558,14.98699955451,0.05697010711019)); #476965=CARTESIAN_POINT('Ctrl Pts',(1.310911036439,14.95508895264,0.08157353409)); #476966=CARTESIAN_POINT('Ctrl Pts',(1.203175720162,14.9462680771,0.1082838241892)); #476967=CARTESIAN_POINT('Ctrl Pts',(1.098703454611,14.96134741141,0.1346365628767)); #476968=CARTESIAN_POINT('Ctrl Pts',(1.436073257354,14.98469745117,0.1592686725315)); #476969=CARTESIAN_POINT('Ctrl Pts',(1.334923177478,14.95296591943,0.1836482115043)); #476970=CARTESIAN_POINT('Ctrl Pts',(1.227131997388,14.94432701162,0.2101141395178)); #476971=CARTESIAN_POINT('Ctrl Pts',(1.122641312687,14.95957413138,0.2362259865248)); #476972=CARTESIAN_POINT('Ctrl Pts',(1.466119947977,14.98237081532,0.2784569188318)); #476973=CARTESIAN_POINT('Ctrl Pts',(1.364628434833,14.95042307771,0.3038397094255)); #476974=CARTESIAN_POINT('Ctrl Pts',(1.256438566177,14.94182939095,0.3314206152916)); #476975=CARTESIAN_POINT('Ctrl Pts',(1.151637803895,14.95738764134,0.3586285548108)); #476976=CARTESIAN_POINT('Ctrl Pts',(1.493163963737,14.98071556014,0.3746422216781)); #476977=CARTESIAN_POINT('Ctrl Pts',(1.39117484256,14.94821588028,0.4018689230304)); #476978=CARTESIAN_POINT('Ctrl Pts',(1.282352263962,14.93951626145,0.431501096392)); #476979=CARTESIAN_POINT('Ctrl Pts',(1.177034375079,14.95543953862,0.4607252609414)); #476980=CARTESIAN_POINT('Ctrl Pts',(1.516558937132,14.97947294818,0.4500001312431)); #476981=CARTESIAN_POINT('Ctrl Pts',(1.414063260409,14.9463287884,0.4791270453509)); #476982=CARTESIAN_POINT('Ctrl Pts',(1.304578173754,14.93746890466,0.5108857231858)); #476983=CARTESIAN_POINT('Ctrl Pts',(1.198716090345,14.95374837963,0.5421981880027)); #476984=CARTESIAN_POINT('Ctrl Pts',(1.540648878342,14.97799379186,0.5260672722942)); #476985=CARTESIAN_POINT('Ctrl Pts',(1.437692440143,14.94431199911,0.5567402722445)); #476986=CARTESIAN_POINT('Ctrl Pts',(1.327614736502,14.93533992379,0.5902371257295)); #476987=CARTESIAN_POINT('Ctrl Pts',(1.221270959689,14.95195850563,0.6232553589005)); #476988=CARTESIAN_POINT('Ctrl Pts',(1.58058835113254,14.9748494117137,0.652045786329737)); #476989=CARTESIAN_POINT('Ctrl Pts',(1.47706656421514,14.9407295183067,0.684025995695289)); #476990=CARTESIAN_POINT('Ctrl Pts',(1.36630840525307,14.9317619856172,0.719006364310354)); #476991=CARTESIAN_POINT('Ctrl Pts',(1.25942670445018,14.948842554483,0.753478405688378)); #476992=CARTESIAN_POINT('Ctrl Pts',(1.61391264237222,14.9711850053249,0.763139398958771)); #476993=CARTESIAN_POINT('Ctrl Pts',(1.51022246096232,14.9373805365846,0.794496977411369)); #476994=CARTESIAN_POINT('Ctrl Pts',(1.39935662105379,14.9287012931019,0.828792765557334)); #476995=CARTESIAN_POINT('Ctrl Pts',(1.29241966748388,14.9460126900558,0.862590713954085)); #476996=CARTESIAN_POINT('Ctrl Pts',(1.6334162680119,14.968451358799,0.83637639334073)); #476997=CARTESIAN_POINT('Ctrl Pts',(1.52981487458877,14.9352260922114,0.866461828615286)); #476998=CARTESIAN_POINT('Ctrl Pts',(1.41916525596565,14.9268785537109,0.899331071458382)); #476999=CARTESIAN_POINT('Ctrl Pts',(1.31243361842209,14.9442318593766,0.931728524139216)); #477000=CARTESIAN_POINT('Ctrl Pts',(1.35964407079459,14.9748310423799,0.0411721033981282)); #477001=CARTESIAN_POINT('Ctrl Pts',(1.3601531698749,14.9747888644781,0.0432318959737098)); #477002=CARTESIAN_POINT('Ctrl Pts',(1.36066178410009,14.9747467186973,0.0452914749997312)); #477003=CARTESIAN_POINT('Ctrl Pts',(1.36116995044916,14.9747046255885,0.0473506845774486)); #477004=CARTESIAN_POINT('Ctrl Pts',(1.37069351560466,14.9739157570135,0.0859424107275688)); #477005=CARTESIAN_POINT('Ctrl Pts',(1.3800586571297,14.9731454940331,0.124404823705836)); #477006=CARTESIAN_POINT('Ctrl Pts',(1.38950719174568,14.972382931835,0.162694750024207)); #477007=CARTESIAN_POINT('Ctrl Pts',(1.39007946063684,14.9723367457712,0.16501385394533)); #477008=CARTESIAN_POINT('Ctrl Pts',(1.39065202843131,14.9722905846075,0.167332327434483)); #477009=CARTESIAN_POINT('Ctrl Pts',(1.39122495840938,14.9722444485925,0.169650145115519)); #477010=CARTESIAN_POINT('Ctrl Pts',(1.39563412583717,14.9718893940095,0.187487658623183)); #477011=CARTESIAN_POINT('Ctrl Pts',(1.40006469110571,14.9715358384862,0.205286322600475)); #477012=CARTESIAN_POINT('Ctrl Pts',(1.40454079535528,14.9711835570581,0.223041354462929)); #477013=CARTESIAN_POINT('Ctrl Pts',(1.40706966137538,14.9709845285093,0.233072420376346)); #477014=CARTESIAN_POINT('Ctrl Pts',(1.40961307988615,14.9707859080071,0.243089530316793)); #477015=CARTESIAN_POINT('Ctrl Pts',(1.4121754369063,14.9705876296172,0.253091776401855)); #477016=CARTESIAN_POINT('Ctrl Pts',(1.41345661541637,14.9704884904223,0.258092899444386)); #477017=CARTESIAN_POINT('Ctrl Pts',(1.41474252864297,14.970389436366,0.263090306525882)); #477018=CARTESIAN_POINT('Ctrl Pts',(1.41603372537262,14.9702904568625,0.268083884201637)); #477019=CARTESIAN_POINT('Ctrl Pts',(1.41732492210227,14.970191477359,0.273077461877391)); #477020=CARTESIAN_POINT('Ctrl Pts',(1.418621402335,14.9700925724086,0.278067210146294)); #477021=CARTESIAN_POINT('Ctrl Pts',(1.41992371521273,14.9699937298556,0.283053015613003)); #477022=CARTESIAN_POINT('Ctrl Pts',(1.42045887870461,14.9699531121771,0.285101848200792)); #477023=CARTESIAN_POINT('Ctrl Pts',(1.42099462730264,14.9699123595885,0.287150730526889)); #477024=CARTESIAN_POINT('Ctrl Pts',(1.42153100948996,14.9698714783935,0.289199585891742)); #477025=CARTESIAN_POINT('Ctrl Pts',(1.43175107379436,14.9690925404434,0.328237857620558)); #477026=CARTESIAN_POINT('Ctrl Pts',(1.44220278137014,14.9682671833459,0.36726289584243)); #477027=CARTESIAN_POINT('Ctrl Pts',(1.45319019222781,14.9674270388539,0.405873989712279)); #477028=CARTESIAN_POINT('Ctrl Pts',(1.45866177004673,14.9670086585797,0.425101777543209)); #477029=CARTESIAN_POINT('Ctrl Pts',(1.46426577166745,14.9665864906118,0.444227889946199)); #477030=CARTESIAN_POINT('Ctrl Pts',(1.46996066436491,14.9661442047143,0.463379771724041)); #477031=CARTESIAN_POINT('Ctrl Pts',(1.47559861383365,14.9657063412332,0.482340153847331)); #477032=CARTESIAN_POINT('Ctrl Pts',(1.48132555758597,14.9652487952234,0.501325724146874)); #477033=CARTESIAN_POINT('Ctrl Pts',(1.48711600659512,14.9647749106687,0.520322802576628)); #477034=CARTESIAN_POINT('Ctrl Pts',(1.48719976628725,14.9647680558593,0.520597598095591)); #477035=CARTESIAN_POINT('Ctrl Pts',(1.48728354566668,14.9647611992864,0.520872393504442)); #477036=CARTESIAN_POINT('Ctrl Pts',(1.48736734459255,14.9647543409237,0.521147189011571)); #477037=CARTESIAN_POINT('Ctrl Pts',(1.49028507327516,14.9645155450044,0.530715076960383)); #477038=CARTESIAN_POINT('Ctrl Pts',(1.49322648985551,14.9642745838096,0.540283067617818)); #477039=CARTESIAN_POINT('Ctrl Pts',(1.4961864080478,14.9640312748653,0.549854825604823)); #477040=CARTESIAN_POINT('Ctrl Pts',(1.49751856440931,14.9639217699612,0.554162741386556)); #477041=CARTESIAN_POINT('Ctrl Pts',(1.49885446837613,14.9638117886508,0.558471420797816)); #477042=CARTESIAN_POINT('Ctrl Pts',(1.50019364756716,14.963701310731,0.562781198264961)); #477043=CARTESIAN_POINT('Ctrl Pts',(1.50144912805876,14.9635977376812,0.56682161464041)); #477044=CARTESIAN_POINT('Ctrl Pts',(1.50270748717048,14.9634937281332,0.570862996100523)); #477045=CARTESIAN_POINT('Ctrl Pts',(1.50396833598764,14.9633892639132,0.574905617982054)); #477046=CARTESIAN_POINT('Ctrl Pts',(1.50869651905199,14.9629975230881,0.590065450037798)); #477047=CARTESIAN_POINT('Ctrl Pts',(1.51345970976083,14.9625994066805,0.605242728194967)); #477048=CARTESIAN_POINT('Ctrl Pts',(1.51823743240263,14.9621937962381,0.620451948696261)); #477049=CARTESIAN_POINT('Ctrl Pts',(1.52011987185614,14.9620339843032,0.626444434839853)); #477050=CARTESIAN_POINT('Ctrl Pts',(1.52200452661119,14.9618729658154,0.632442207986617)); #477051=CARTESIAN_POINT('Ctrl Pts',(1.52389020833257,14.961710715513,0.638445754321959)); #477052=CARTESIAN_POINT('Ctrl Pts',(1.53636238163592,14.9606375684032,0.678154083399932)); #477053=CARTESIAN_POINT('Ctrl Pts',(1.54887879435016,14.95951093604,0.718114095477406)); #477054=CARTESIAN_POINT('Ctrl Pts',(1.56107733439949,14.9583065930814,0.758588096174999)); #477055=CARTESIAN_POINT('Ctrl Pts',(1.080779510685,14.9479832252,-0.4420450928008)); #477056=CARTESIAN_POINT('Ctrl Pts',(1.831772036425,15.11021658001,-0.2673551797381)); #477057=CARTESIAN_POINT('Ctrl Pts',(4.041887051404,15.54931178757,0.2463298660289)); #477058=CARTESIAN_POINT('Ctrl Pts',(9.597515678846,16.14115043525,1.541753352007)); #477059=CARTESIAN_POINT('Ctrl Pts',(14.83000642616,15.69673047848,2.771756567545)); #477060=CARTESIAN_POINT('Ctrl Pts',(18.44867213244,14.81125616472,3.622389829242)); #477061=CARTESIAN_POINT('Ctrl Pts',(18.84425270187,14.71505645648,3.715378083705)); #477062=CARTESIAN_POINT('Ctrl Pts',(1.08326016767,14.9478042856,-0.431647174615)); #477063=CARTESIAN_POINT('Ctrl Pts',(1.83425182594,15.10994106504,-0.2569111189173)); #477064=CARTESIAN_POINT('Ctrl Pts',(4.044353660925,15.54874907219,0.2569160310066)); #477065=CARTESIAN_POINT('Ctrl Pts',(9.599844695814,16.13994073872,1.552673262439)); #477066=CARTESIAN_POINT('Ctrl Pts',(14.83198620939,15.69498946141,2.783010843018)); #477067=CARTESIAN_POINT('Ctrl Pts',(18.45030921391,14.80923284815,3.633869469473)); #477068=CARTESIAN_POINT('Ctrl Pts',(18.84585242431,14.71300219,3.726882365287)); #477069=CARTESIAN_POINT('Ctrl Pts',(1.11700220125,14.94532751249,-0.2907255767707)); #477070=CARTESIAN_POINT('Ctrl Pts',(1.867980159095,15.10615303022,-0.1153638785453)); #477071=CARTESIAN_POINT('Ctrl Pts',(4.077897635872,15.54106163092,0.4003900237823)); #477072=CARTESIAN_POINT('Ctrl Pts',(9.631509375235,16.12346763246,1.700672476322)); #477073=CARTESIAN_POINT('Ctrl Pts',(14.85890353987,15.67129954427,2.935543610022)); #477074=CARTESIAN_POINT('Ctrl Pts',(18.47257149326,14.78170586793,3.789457929199)); #477075=CARTESIAN_POINT('Ctrl Pts',(18.86760723882,14.6850545368,3.882804933975)); #477076=CARTESIAN_POINT('Ctrl Pts',(1.185860317285,14.93898676342,-0.01534163170452)); #477077=CARTESIAN_POINT('Ctrl Pts',(1.936783191349,15.09717971329,0.1612444403308)); #477078=CARTESIAN_POINT('Ctrl Pts',(4.146256597358,15.52426221791,0.6807692049092)); #477079=CARTESIAN_POINT('Ctrl Pts',(9.69598037201,16.08899334037,1.989907386264)); #477080=CARTESIAN_POINT('Ctrl Pts',(14.9138797108,15.62225408855,3.233651321395)); #477081=CARTESIAN_POINT('Ctrl Pts',(18.51828827603,14.72483395225,4.093545604384)); #477082=CARTESIAN_POINT('Ctrl Pts',(18.91231458364,14.62732468273,4.187546457922)); #477083=CARTESIAN_POINT('Ctrl Pts',(1.276922903682,14.93204276439,0.3827553750226)); #477084=CARTESIAN_POINT('Ctrl Pts',(2.028382453173,15.08595896212,0.5608801167593)); #477085=CARTESIAN_POINT('Ctrl Pts',(4.239023739783,15.50034726075,1.085176239709)); #477086=CARTESIAN_POINT('Ctrl Pts',(9.78763930818,16.03608820228,2.405434173054)); #477087=CARTESIAN_POINT('Ctrl Pts',(14.99608375943,15.54505015373,3.660425746218)); #477088=CARTESIAN_POINT('Ctrl Pts',(18.59018553142,14.63397822072,4.52783716155)); #477089=CARTESIAN_POINT('Ctrl Pts',(18.98308900656,14.53497325217,4.622660000812)); #477090=CARTESIAN_POINT('Ctrl Pts',(1.402009719691,14.92070854559,0.7753336119046)); #477091=CARTESIAN_POINT('Ctrl Pts',(2.15285200703,15.07015112359,0.9552857302844)); #477092=CARTESIAN_POINT('Ctrl Pts',(4.361273116755,15.47126642797,1.485202350359)); #477093=CARTESIAN_POINT('Ctrl Pts',(9.90033910373,15.97660490649,2.818679583084)); #477094=CARTESIAN_POINT('Ctrl Pts',(15.09135076273,15.45997297867,4.08689135386)); #477095=CARTESIAN_POINT('Ctrl Pts',(18.6693998911,14.53435695349,4.963227370235)); #477096=CARTESIAN_POINT('Ctrl Pts',(19.06055265224,14.43375873896,5.059026010055)); #477097=CARTESIAN_POINT('Ctrl Pts',(1.509776977784,14.91096354939,1.17114304037)); #477098=CARTESIAN_POINT('Ctrl Pts',(2.260847736545,15.05558342775,1.352602846807)); #477099=CARTESIAN_POINT('Ctrl Pts',(4.469530947189,15.44240267504,1.88720116186)); #477100=CARTESIAN_POINT('Ctrl Pts',(10.00522342949,15.9147892412,3.231572426174)); #477101=CARTESIAN_POINT('Ctrl Pts',(15.18462140743,15.37016438888,4.510824517431)); #477102=CARTESIAN_POINT('Ctrl Pts',(18.7508015019,14.42826867564,5.394526723246)); #477103=CARTESIAN_POINT('Ctrl Pts',(19.14066072304,14.32588756487,5.491130855903)); #477104=CARTESIAN_POINT('Ctrl Pts',(1.657277797217,14.89484312951,1.564746659357)); #477105=CARTESIAN_POINT('Ctrl Pts',(2.407327715595,15.03431456195,1.748079783101)); #477106=CARTESIAN_POINT('Ctrl Pts',(4.612598851664,15.40587860168,2.288438610589)); #477107=CARTESIAN_POINT('Ctrl Pts',(10.13570357771,15.84299689523,3.646361875504)); #477108=CARTESIAN_POINT('Ctrl Pts',(15.29465395712,15.26828266035,4.939162681606)); #477109=CARTESIAN_POINT('Ctrl Pts',(18.842550417,14.30870024058,5.832013771324)); #477110=CARTESIAN_POINT('Ctrl Pts',(19.23041518998,14.20438237684,5.929618224752)); #477111=CARTESIAN_POINT('Ctrl Pts',(1.792493881863,14.8796025321,1.963885657913)); #477112=CARTESIAN_POINT('Ctrl Pts',(2.541884501933,15.01409109913,2.149019440831)); #477113=CARTESIAN_POINT('Ctrl Pts',(4.744802522565,15.37088503425,2.694926561359)); #477114=CARTESIAN_POINT('Ctrl Pts',(10.25793269071,15.77394673862,4.065873018474)); #477115=CARTESIAN_POINT('Ctrl Pts',(15.39885244682,15.17028649948,5.371730537519)); #477116=CARTESIAN_POINT('Ctrl Pts',(18.93018408682,14.19384873978,6.273376393485)); #477117=CARTESIAN_POINT('Ctrl Pts',(19.31624225789,14.08768496313,6.371942470915)); #477118=CARTESIAN_POINT('Ctrl Pts',(1.993038830896,14.8531912854,2.485926732596)); #477119=CARTESIAN_POINT('Ctrl Pts',(2.741544819059,14.98004599253,2.673216197596)); #477120=CARTESIAN_POINT('Ctrl Pts',(4.941311566795,15.31424382497,3.225800311052)); #477121=CARTESIAN_POINT('Ctrl Pts',(10.44113320829,15.66468129285,4.612327769471)); #477122=CARTESIAN_POINT('Ctrl Pts',(15.55805631283,15.01565671871,5.933920262629)); #477123=CARTESIAN_POINT('Ctrl Pts',(19.06735530586,14.01187413961,6.846097148603)); #477124=CARTESIAN_POINT('Ctrl Pts',(19.45101052951,13.90271685648,6.945814761476)); #477125=CARTESIAN_POINT('Ctrl Pts',(2.205788838262,14.8244227615,2.996314008261)); #477126=CARTESIAN_POINT('Ctrl Pts',(2.952937397531,14.9433931237,3.185803562191)); #477127=CARTESIAN_POINT('Ctrl Pts',(5.148172370813,15.25426251232,3.745191009303)); #477128=CARTESIAN_POINT('Ctrl Pts',(10.63132151706,15.55022162149,5.147623618685)); #477129=CARTESIAN_POINT('Ctrl Pts',(15.72122681263,14.85405510642,6.485241735124)); #477130=CARTESIAN_POINT('Ctrl Pts',(19.20638302819,13.8215983958,7.408165860252)); #477131=CARTESIAN_POINT('Ctrl Pts',(19.58740465367,13.70930239453,7.509058628618)); #477132=CARTESIAN_POINT('Ctrl Pts',(2.437441418145,14.78640454135,3.497357171873)); #477133=CARTESIAN_POINT('Ctrl Pts',(3.182935397139,14.89690485732,3.688927580875)); #477134=CARTESIAN_POINT('Ctrl Pts',(5.372767498167,15.18272820648,4.254768428504)); #477135=CARTESIAN_POINT('Ctrl Pts',(10.83708723408,15.41994618837,5.672238876662)); #477136=CARTESIAN_POINT('Ctrl Pts',(15.89796433794,14.67264611281,7.025070220154)); #477137=CARTESIAN_POINT('Ctrl Pts',(19.35754154889,13.60865343256,7.958160359256)); #477138=CARTESIAN_POINT('Ctrl Pts',(19.73577262003,13.49290597122,8.06016476556)); #477139=CARTESIAN_POINT('Ctrl Pts',(2.617246956285,14.75545400664,3.858617242887)); #477140=CARTESIAN_POINT('Ctrl Pts',(3.360829909781,14.86024040788,4.052147764958)); #477141=CARTESIAN_POINT('Ctrl Pts',(5.544654753236,15.12915594271,4.623993774123)); #477142=CARTESIAN_POINT('Ctrl Pts',(10.99010282469,15.32691086995,6.05565044266)); #477143=CARTESIAN_POINT('Ctrl Pts',(16.02497429451,14.54548780257,7.422591743549)); #477144=CARTESIAN_POINT('Ctrl Pts',(19.46242328095,13.46077660452,8.365253210614)); #477145=CARTESIAN_POINT('Ctrl Pts',(19.83823962763,13.34276121566,8.468304083687)); #477146=CARTESIAN_POINT('Ctrl Pts',(2.790523442949,14.72442364225,4.224698655071)); #477147=CARTESIAN_POINT('Ctrl Pts',(3.533122855722,14.8223874845,4.419422526695)); #477148=CARTESIAN_POINT('Ctrl Pts',(5.713659265454,15.07114330127,4.995025765731)); #477149=CARTESIAN_POINT('Ctrl Pts',(11.14696679909,15.22137696456,6.435290502534)); #477150=CARTESIAN_POINT('Ctrl Pts',(16.16210453543,14.39829935488,7.8111246206)); #477151=CARTESIAN_POINT('Ctrl Pts',(19.58190515531,13.28748845538,8.759619856636)); #477152=CARTESIAN_POINT('Ctrl Pts',(19.95579635371,13.16661706376,8.863308752535)); #477153=CARTESIAN_POINT('Ctrl Pts',(2.994885102567,14.68316382161,4.583741029764)); #477154=CARTESIAN_POINT('Ctrl Pts',(3.73521843778,14.77442086744,4.780286213079)); #477155=CARTESIAN_POINT('Ctrl Pts',(5.90869612575,15.00335316429,5.361494019575)); #477156=CARTESIAN_POINT('Ctrl Pts',(11.32042358036,15.10695406944,6.81493340784)); #477157=CARTESIAN_POINT('Ctrl Pts',(16.30681040768,14.24311519015,8.203951989553)); #477158=CARTESIAN_POINT('Ctrl Pts',(19.70242841233,13.10692616245,9.161342383683)); #477159=CARTESIAN_POINT('Ctrl Pts',(20.07368046751,12.98327753927,9.266003866189)); #477160=CARTESIAN_POINT('Ctrl Pts',(3.188177153132,14.64198264406,4.948429415768)); #477161=CARTESIAN_POINT('Ctrl Pts',(3.927060569862,14.72603592558,5.146207076637)); #477162=CARTESIAN_POINT('Ctrl Pts',(6.095873347347,14.93368726994,5.731292403815)); #477163=CARTESIAN_POINT('Ctrl Pts',(11.49196029438,14.98703917955,7.193622929361)); #477164=CARTESIAN_POINT('Ctrl Pts',(16.45515813552,14.07905378257,8.591817233525)); #477165=CARTESIAN_POINT('Ctrl Pts',(19.83057789769,12.91506081156,9.555232487556)); #477166=CARTESIAN_POINT('Ctrl Pts',(20.19962622573,12.78836986218,9.660552886339)); #477167=CARTESIAN_POINT('Ctrl Pts',(3.401996607128,14.59438019491,5.312565760593)); #477168=CARTESIAN_POINT('Ctrl Pts',(4.13842632176,14.67144966,5.512175028305)); #477169=CARTESIAN_POINT('Ctrl Pts',(6.299620251313,14.8584613659,6.10290140125)); #477170=CARTESIAN_POINT('Ctrl Pts',(11.67264048256,14.86321682916,7.578479686606)); #477171=CARTESIAN_POINT('Ctrl Pts',(16.60549182414,13.91270133029,8.989947321479)); #477172=CARTESIAN_POINT('Ctrl Pts',(19.9555005218,12.72215464813,9.962308326621)); #477173=CARTESIAN_POINT('Ctrl Pts',(20.32177554522,12.59255790527,10.06860685311)); #477174=CARTESIAN_POINT('Ctrl Pts',(3.612057664721,14.54353702598,5.667784848693)); #477175=CARTESIAN_POINT('Ctrl Pts',(4.346344744377,14.61328309687,5.868821283554)); #477176=CARTESIAN_POINT('Ctrl Pts',(6.500841313574,14.77866268117,6.463996707475)); #477177=CARTESIAN_POINT('Ctrl Pts',(11.85317119712,14.73230336725,7.949882268891)); #477178=CARTESIAN_POINT('Ctrl Pts',(16.75809032238,13.73683716027,9.371852574451)); #477179=CARTESIAN_POINT('Ctrl Pts',(20.08449681466,12.51791859274,10.35118749557)); #477180=CARTESIAN_POINT('Ctrl Pts',(20.44819612863,12.38521745015,10.45824865354)); #477181=CARTESIAN_POINT('Ctrl Pts',(3.857257454157,14.48031441253,6.01253777631)); #477182=CARTESIAN_POINT('Ctrl Pts',(4.588698529151,14.54178775818,6.214954515119)); #477183=CARTESIAN_POINT('Ctrl Pts',(6.734423607003,14.68274682102,6.814448747694)); #477184=CARTESIAN_POINT('Ctrl Pts',(12.06080697114,14.57842571469,8.310298628608)); #477185=CARTESIAN_POINT('Ctrl Pts',(16.93264120497,13.53168031378,9.742474105005)); #477186=CARTESIAN_POINT('Ctrl Pts',(20.23165743916,12.27996245976,10.72855497434)); #477187=CARTESIAN_POINT('Ctrl Pts',(20.59236717771,12.14367297899,10.83635386516)); #477188=CARTESIAN_POINT('Ctrl Pts',(4.130559027396,14.4056411928,6.320261649223)); #477189=CARTESIAN_POINT('Ctrl Pts',(4.858023798689,14.45894078427,6.52481224729)); #477190=CARTESIAN_POINT('Ctrl Pts',(6.991672000599,14.57577314566,7.130829225568)); #477191=CARTESIAN_POINT('Ctrl Pts',(12.28400416537,14.41416035271,8.642126986762)); #477192=CARTESIAN_POINT('Ctrl Pts',(17.11536732934,13.31669631428,10.0896191468)); #477193=CARTESIAN_POINT('Ctrl Pts',(20.3817874005,12.03249247201,11.08611879747)); #477194=CARTESIAN_POINT('Ctrl Pts',(20.73893857275,11.89264898031,11.19505678151)); #477195=CARTESIAN_POINT('Ctrl Pts',(4.427565646775,14.31571704182,6.58573759491)); #477196=CARTESIAN_POINT('Ctrl Pts',(5.150869485138,14.35953834371,6.791569916632)); #477197=CARTESIAN_POINT('Ctrl Pts',(7.271909593725,14.44842190047,7.401603966707)); #477198=CARTESIAN_POINT('Ctrl Pts',(12.52897411054,14.21997380157,8.922152497434)); #477199=CARTESIAN_POINT('Ctrl Pts',(17.31894061515,13.06278907433,10.37914103596)); #477200=CARTESIAN_POINT('Ctrl Pts',(20.55219109899,11.73955842027,11.38190528078)); #477201=CARTESIAN_POINT('Ctrl Pts',(20.90572095172,11.59544622384,11.4915283701)); #477202=CARTESIAN_POINT('Ctrl Pts',(4.647488735486,14.2458962032,6.749236485359)); #477203=CARTESIAN_POINT('Ctrl Pts',(5.367381248672,14.28307319506,6.956270171624)); #477204=CARTESIAN_POINT('Ctrl Pts',(7.478133889588,14.35236496598,7.570007758497)); #477205=CARTESIAN_POINT('Ctrl Pts',(12.70689202023,14.0770593418,9.099244589915)); #477206=CARTESIAN_POINT('Ctrl Pts',(17.46450878655,12.87799713271,10.56494976437)); #477207=CARTESIAN_POINT('Ctrl Pts',(20.67213199232,11.52738899141,11.57358182375)); #477208=CARTESIAN_POINT('Ctrl Pts',(21.02286370387,11.38028229133,11.6838465063)); #477209=CARTESIAN_POINT('Ctrl Pts',(4.875653723307,14.16962343671,6.900483629594)); #477210=CARTESIAN_POINT('Ctrl Pts',(5.591923286945,14.20000044344,7.108665970825)); #477211=CARTESIAN_POINT('Ctrl Pts',(7.691772007837,14.24924543094,7.725949833544)); #477212=CARTESIAN_POINT('Ctrl Pts',(12.89073607608,13.92592787247,9.263492282897)); #477213=CARTESIAN_POINT('Ctrl Pts',(17.61469800757,12.68387868452,10.73754728747)); #477214=CARTESIAN_POINT('Ctrl Pts',(20.79579594807,11.30505983474,11.75179005286)); #477215=CARTESIAN_POINT('Ctrl Pts',(21.14363134891,11.15486761488,11.86266822626)); #477216=CARTESIAN_POINT('Ctrl Pts',(5.01622350952,14.12028310554,6.979088825605)); #477217=CARTESIAN_POINT('Ctrl Pts',(5.730228771753,14.14649817141,7.188076539614)); #477218=CARTESIAN_POINT('Ctrl Pts',(7.823273773174,14.18347629182,7.80782625885)); #477219=CARTESIAN_POINT('Ctrl Pts',(13.00375395733,13.83073377353,9.351197993395)); #477220=CARTESIAN_POINT('Ctrl Pts',(17.70704044412,12.56228542128,10.83104587863)); #477221=CARTESIAN_POINT('Ctrl Pts',(20.87191376912,11.16606727009,11.8492212179)); #477222=CARTESIAN_POINT('Ctrl Pts',(21.21797752993,11.01397210069,11.96052935035)); #477223=CARTESIAN_POINT('Ctrl Pts',(5.358713834545,13.99291084464,7.060366828912)); #477224=CARTESIAN_POINT('Ctrl Pts',(6.067075532782,14.00890092363,7.271104114061)); #477225=CARTESIAN_POINT('Ctrl Pts',(8.143189495613,14.01574976518,7.896226881323)); #477226=CARTESIAN_POINT('Ctrl Pts',(13.27792874003,13.59060781045,9.452257380076)); #477227=CARTESIAN_POINT('Ctrl Pts',(17.93054253433,12.25705579537,10.94473824385)); #477228=CARTESIAN_POINT('Ctrl Pts',(21.05582430393,10.81772272237,11.97145766533)); #477229=CARTESIAN_POINT('Ctrl Pts',(21.39756472522,10.66091233151,12.08369997727)); #477230=CARTESIAN_POINT('Ctrl Pts',(5.593652488533,13.89872099183,7.011102639683)); #477231=CARTESIAN_POINT('Ctrl Pts',(6.298030729337,13.90753448949,7.222202334883)); #477232=CARTESIAN_POINT('Ctrl Pts',(8.362218283368,13.89324504562,7.848543307673)); #477233=CARTESIAN_POINT('Ctrl Pts',(13.46497051694,13.41716971593,9.407166085867)); #477234=CARTESIAN_POINT('Ctrl Pts',(18.08259890292,12.03765446021,10.9025782672)); #477235=CARTESIAN_POINT('Ctrl Pts',(21.18070573116,10.56765822582,11.93107437598)); #477236=CARTESIAN_POINT('Ctrl Pts',(21.51947854358,10.40749463451,12.04351114885)); #477237=CARTESIAN_POINT('Ctrl Pts',(5.814843626812,13.81089616869,6.977871082999)); #477238=CARTESIAN_POINT('Ctrl Pts',(6.51548554425,13.81297337637,7.189416880231)); #477239=CARTESIAN_POINT('Ctrl Pts',(8.568483659079,13.7788414691,7.817213749927)); #477240=CARTESIAN_POINT('Ctrl Pts',(13.6411969968,13.25497209821,9.379039839368)); #477241=CARTESIAN_POINT('Ctrl Pts',(18.22591537831,11.83234643386,10.87792986358)); #477242=CARTESIAN_POINT('Ctrl Pts',(21.29843945178,10.33361748088,11.90861011391)); #477243=CARTESIAN_POINT('Ctrl Pts',(21.63441854645,10.17031176486,12.02128585975)); #477244=CARTESIAN_POINT('',(8.67661769447429,13.7653164305007,7.8885231395471)); #477245=CARTESIAN_POINT('Ctrl Pts',(8.67661769510086,13.7653164943778,7.88852293650159)); #477246=CARTESIAN_POINT('Ctrl Pts',(8.6663417655059,13.7713774099355,7.89039795879181)); #477247=CARTESIAN_POINT('Ctrl Pts',(8.65601358409616,13.777373840513,7.89219134310445)); #477248=CARTESIAN_POINT('Ctrl Pts',(8.645806383131,13.78304038863,7.893711088499)); #477249=CARTESIAN_POINT('Ctrl Pts',(21.439006007197,10.3348450132897,11.9800290790537)); #477250=CARTESIAN_POINT('Ctrl Pts',(19.9524815958832,11.0497128430986,11.4834997447459)); #477251=CARTESIAN_POINT('Ctrl Pts',(17.995513507864,11.9387324783781,10.8299363184081)); #477252=CARTESIAN_POINT('Ctrl Pts',(13.0776065164104,13.2621241243658,9.24890127351967)); #477253=CARTESIAN_POINT('Ctrl Pts',(10.9023139562896,13.6180958819433,8.57031842569405)); #477254=CARTESIAN_POINT('Ctrl Pts',(8.67661781991304,13.7653163138628,7.88852270487142)); #477255=CARTESIAN_POINT('Ctrl Pts',(6.856105413962,13.88420738047,7.653859557168)); #477256=CARTESIAN_POINT('Ctrl Pts',(7.151074805558,13.87933889935,7.743960667462)); #477257=CARTESIAN_POINT('Ctrl Pts',(8.806588435459,13.83457758993,8.251077219555)); #477258=CARTESIAN_POINT('Ctrl Pts',(13.50350704903,13.3833695342,9.697703377075)); #477259=CARTESIAN_POINT('Ctrl Pts',(18.11092210118,11.99451877892,11.20534134096)); #477260=CARTESIAN_POINT('Ctrl Pts',(21.19807337052,10.52274081349,12.24147913447)); #477261=CARTESIAN_POINT('Ctrl Pts',(21.53169720133,10.36415458854,12.3534297845)); #477262=CARTESIAN_POINT('Ctrl Pts',(6.888295473494,13.86876884731,7.556521796298)); #477263=CARTESIAN_POINT('Ctrl Pts',(7.182838039532,13.86362819992,7.646393568908)); #477264=CARTESIAN_POINT('Ctrl Pts',(8.835983691514,13.81732984741,8.152218218747)); #477265=CARTESIAN_POINT('Ctrl Pts',(13.52663969466,13.36153579138,9.595276216459)); #477266=CARTESIAN_POINT('Ctrl Pts',(18.1291809497,11.96747473272,11.09904883227)); #477267=CARTESIAN_POINT('Ctrl Pts',(21.21339997214,10.49135882911,12.13262314636)); #477268=CARTESIAN_POINT('Ctrl Pts',(21.54670664414,10.33230458715,12.2442967521)); #477269=CARTESIAN_POINT('Ctrl Pts',(6.984991958705,13.82239267048,7.264126582009)); #477270=CARTESIAN_POINT('Ctrl Pts',(7.278252373305,13.81643445637,7.3533094417)); #477271=CARTESIAN_POINT('Ctrl Pts',(8.924284800102,13.76551894352,7.855253315634)); #477272=CARTESIAN_POINT('Ctrl Pts',(13.59612839893,13.29594889216,9.287592833262)); #477273=CARTESIAN_POINT('Ctrl Pts',(18.18402913891,11.88623647933,10.77975423807)); #477274=CARTESIAN_POINT('Ctrl Pts',(21.25943991519,10.39708974003,11.80562805537)); #477275=CARTESIAN_POINT('Ctrl Pts',(21.59179386629,10.23662961067,11.91646944122)); #477276=CARTESIAN_POINT('Ctrl Pts',(7.086172674985,13.77386583126,6.958171746568)); #477277=CARTESIAN_POINT('Ctrl Pts',(7.378091479284,13.76705213635,7.046633745491)); #477278=CARTESIAN_POINT('Ctrl Pts',(9.01668081012,13.71130534562,7.544516875286)); #477279=CARTESIAN_POINT('Ctrl Pts',(13.66883959268,13.22732044691,8.965640850898)); #477280=CARTESIAN_POINT('Ctrl Pts',(18.24142087409,11.80123085942,10.445652583)); #477281=CARTESIAN_POINT('Ctrl Pts',(21.30761492802,10.29844898867,11.46346879844)); #477282=CARTESIAN_POINT('Ctrl Pts',(21.63897197645,10.13651777485,11.57343937078)); #477283=CARTESIAN_POINT('Ctrl Pts',(7.081702847881,13.77752816376,6.623246848901)); #477284=CARTESIAN_POINT('Ctrl Pts',(7.373080690995,13.77144181995,6.71103755322)); #477285=CARTESIAN_POINT('Ctrl Pts',(9.008730642691,13.71974949397,7.205137157176)); #477286=CARTESIAN_POINT('Ctrl Pts',(13.65415339012,13.2465844883,8.615864250142)); #477287=CARTESIAN_POINT('Ctrl Pts',(18.22497504346,11.82960629246,10.0844928801)); #477288=CARTESIAN_POINT('Ctrl Pts',(21.29180924584,10.33126842337,11.094855356)); #477289=CARTESIAN_POINT('Ctrl Pts',(21.6232339468,10.16981905918,11.2040202982)); #477290=CARTESIAN_POINT('Ctrl Pts',(7.042503487768,13.79709217852,6.466882301142)); #477291=CARTESIAN_POINT('Ctrl Pts',(7.333996646237,13.79174405266,6.554397221303)); #477292=CARTESIAN_POINT('Ctrl Pts',(8.970339775059,13.74418319981,7.046941711813)); #477293=CARTESIAN_POINT('Ctrl Pts',(13.61849269578,13.28244324207,8.45341531834)); #477294=CARTESIAN_POINT('Ctrl Pts',(18.19444902791,11.87619903697,9.917341678776)); #477295=CARTESIAN_POINT('Ctrl Pts',(21.26584067815,10.38457952664,10.9246606613)); #477296=CARTESIAN_POINT('Ctrl Pts',(21.59775695152,10.2238566885,11.03349662097)); #477297=CARTESIAN_POINT('Ctrl Pts',(6.978328283071,13.82879758307,6.211923135697)); #477298=CARTESIAN_POINT('Ctrl Pts',(7.270091665131,13.82449006742,6.298991712671)); #477299=CARTESIAN_POINT('Ctrl Pts',(8.908027163154,13.78274981147,6.789019171016)); #477300=CARTESIAN_POINT('Ctrl Pts',(13.56195624007,13.33702772695,8.18861245107)); #477301=CARTESIAN_POINT('Ctrl Pts',(18.14765907646,11.94562230201,9.644923005406)); #477302=CARTESIAN_POINT('Ctrl Pts',(21.22747612162,10.46303925251,10.64732016025)); #477303=CARTESIAN_POINT('Ctrl Pts',(21.56030131212,10.30329387493,10.75562409502)); #477304=CARTESIAN_POINT('Ctrl Pts',(6.94586893022,13.84513037409,6.06672998298)); #477305=CARTESIAN_POINT('Ctrl Pts',(7.237722505802,13.84140983475,6.153551128002)); #477306=CARTESIAN_POINT('Ctrl Pts',(8.876207542839,13.80295256175,6.642183045635)); #477307=CARTESIAN_POINT('Ctrl Pts',(13.53241530096,13.36625926474,8.037967300408)); #477308=CARTESIAN_POINT('Ctrl Pts',(18.12266113002,11.98320247807,9.490048599244)); #477309=CARTESIAN_POINT('Ctrl Pts',(21.2065624177,10.50568473914,10.48972268163)); #477310=CARTESIAN_POINT('Ctrl Pts',(21.53982810712,10.34648728826,10.59773225738)); #477311=CARTESIAN_POINT('Ctrl Pts',(6.874785872303,13.88024034527,5.835177300278)); #477312=CARTESIAN_POINT('Ctrl Pts',(7.166905366637,13.87776117625,5.921637886863)); #477313=CARTESIAN_POINT('Ctrl Pts',(8.806954250762,13.84625315394,6.408235100482)); #477314=CARTESIAN_POINT('Ctrl Pts',(13.4687848385,13.42882216563,7.798495431025)); #477315=CARTESIAN_POINT('Ctrl Pts',(18.06839644533,12.06398370085,9.244375290145)); #477316=CARTESIAN_POINT('Ctrl Pts',(21.16036655859,10.59801337245,10.24010921319)); #477317=CARTESIAN_POINT('Ctrl Pts',(21.49450271692,10.44006451692,10.34769282065)); #477318=CARTESIAN_POINT('Ctrl Pts',(6.779112909718,13.92644033328,5.600918099451)); #477319=CARTESIAN_POINT('Ctrl Pts',(7.071732208928,13.92544572247,5.687010246869)); #477320=CARTESIAN_POINT('Ctrl Pts',(8.714661286719,13.90225161881,6.171528076642)); #477321=CARTESIAN_POINT('Ctrl Pts',(13.3859112641,13.50794528043,7.556148674111)); #477322=CARTESIAN_POINT('Ctrl Pts',(17.99890106948,12.16519179034,8.995684404646)); #477323=CARTESIAN_POINT('Ctrl Pts',(21.10187001011,10.71347313747,9.987397218951)); #477324=CARTESIAN_POINT('Ctrl Pts',(21.43719307114,10.55706503015,10.09454609841)); #477325=CARTESIAN_POINT('Ctrl Pts',(6.633610903897,13.99223560183,5.273137780848)); #477326=CARTESIAN_POINT('Ctrl Pts',(6.927290683728,13.99300320129,5.358440926327)); #477327=CARTESIAN_POINT('Ctrl Pts',(8.576279461593,13.97967266971,5.838513345495)); #477328=CARTESIAN_POINT('Ctrl Pts',(13.26651522949,13.61267364301,7.210877586827)); #477329=CARTESIAN_POINT('Ctrl Pts',(17.90421270166,12.29565878088,8.637087094829)); #477330=CARTESIAN_POINT('Ctrl Pts',(21.02689153754,10.86014898262,9.620000960389)); #477331=CARTESIAN_POINT('Ctrl Pts',(21.36434194048,10.70549360713,9.726198874482)); #477332=CARTESIAN_POINT('Ctrl Pts',(6.460685324475,14.07105242736,4.931080450416)); #477333=CARTESIAN_POINT('Ctrl Pts',(6.755217154489,14.07464805997,5.016020782884)); #477334=CARTESIAN_POINT('Ctrl Pts',(8.409102985723,14.07716368612,5.494039876534)); #477335=CARTESIAN_POINT('Ctrl Pts',(13.1151439216,13.75444368401,6.860985884445)); #477336=CARTESIAN_POINT('Ctrl Pts',(17.77471885979,12.48026194997,8.280751874026)); #477337=CARTESIAN_POINT('Ctrl Pts',(20.91523171359,11.07303296216,9.259848091033)); #477338=CARTESIAN_POINT('Ctrl Pts',(21.25460672085,10.92143439614,9.365633045185)); #477339=CARTESIAN_POINT('Ctrl Pts',(6.270642170571,14.1526443201,4.617207617501)); #477340=CARTESIAN_POINT('Ctrl Pts',(6.566539036973,14.15857907244,4.701365995577)); #477341=CARTESIAN_POINT('Ctrl Pts',(8.228198115081,14.17419678194,5.174978773631)); #477342=CARTESIAN_POINT('Ctrl Pts',(12.95815806923,13.88797736985,6.529792461504)); #477343=CARTESIAN_POINT('Ctrl Pts',(17.64762693189,12.64881003355,7.936330153739)); #477344=CARTESIAN_POINT('Ctrl Pts',(20.81162699409,11.2643581517,8.906721293872)); #477345=CARTESIAN_POINT('Ctrl Pts',(21.15353729242,11.11522183941,9.01156540403)); #477346=CARTESIAN_POINT('Ctrl Pts',(6.0866549315,14.22864756561,4.282453012797)); #477347=CARTESIAN_POINT('Ctrl Pts',(6.383772167608,14.23697868387,4.365863623104)); #477348=CARTESIAN_POINT('Ctrl Pts',(8.052396025446,14.2660187536,4.835261501922)); #477349=CARTESIAN_POINT('Ctrl Pts',(12.80403822293,14.01718308839,6.17850242053)); #477350=CARTESIAN_POINT('Ctrl Pts',(17.52132932794,12.81385309678,7.572347914894)); #477351=CARTESIAN_POINT('Ctrl Pts',(20.70740877058,11.45268528968,8.534445128606)); #477352=CARTESIAN_POINT('Ctrl Pts',(21.05170223684,11.30606606313,8.638392783711)); #477353=CARTESIAN_POINT('Ctrl Pts',(5.919066218616,14.29623680316,3.956032341825)); #477354=CARTESIAN_POINT('Ctrl Pts',(6.217129774523,14.3070419118,4.03889018722)); #477355=CARTESIAN_POINT('Ctrl Pts',(7.891174876358,14.34994164224,4.505168833798)); #477356=CARTESIAN_POINT('Ctrl Pts',(12.66002861917,14.13976857353,5.839938003004)); #477357=CARTESIAN_POINT('Ctrl Pts',(17.40035895774,12.97366434837,7.224280734689)); #477358=CARTESIAN_POINT('Ctrl Pts',(20.60500419347,11.63687285513,8.180334082771)); #477359=CARTESIAN_POINT('Ctrl Pts',(20.95130138393,11.49288860366,8.283628344408)); #477360=CARTESIAN_POINT('Ctrl Pts',(5.737495595402,14.36692817102,3.622319988389)); #477361=CARTESIAN_POINT('Ctrl Pts',(6.036746848761,14.38004013531,3.704391768482)); #477362=CARTESIAN_POINT('Ctrl Pts',(7.71757233753,14.43585987837,4.166240594745)); #477363=CARTESIAN_POINT('Ctrl Pts',(12.50756809222,14.26164749357,5.488821738804)); #477364=CARTESIAN_POINT('Ctrl Pts',(17.2751238389,13.1299525547,6.859854919194)); #477365=CARTESIAN_POINT('Ctrl Pts',(20.50140332854,11.81544256046,7.807165901456)); #477366=CARTESIAN_POINT('Ctrl Pts',(20.85003562136,11.67386708582,7.909515275558)); #477367=CARTESIAN_POINT('Ctrl Pts',(5.587356600491,14.42376664361,3.285800722037)); #477368=CARTESIAN_POINT('Ctrl Pts',(5.88734928742,14.43917199775,3.367308044034)); #477369=CARTESIAN_POINT('Ctrl Pts',(7.572445292744,14.50783733921,3.82597200908)); #477370=CARTESIAN_POINT('Ctrl Pts',(12.37637348047,14.36940281409,5.139893748354)); #477371=CARTESIAN_POINT('Ctrl Pts',(17.16362530548,13.2720070977,6.501235386771)); #477372=CARTESIAN_POINT('Ctrl Pts',(20.4060732711,11.97978340069,7.442365790929)); #477373=CARTESIAN_POINT('Ctrl Pts',(20.75645034925,11.8406171472,7.544047005993)); #477374=CARTESIAN_POINT('Ctrl Pts',(5.431306854615,14.47997566197,2.948629789645)); #477375=CARTESIAN_POINT('Ctrl Pts',(5.732170265571,14.49756019423,3.029447346267)); #477376=CARTESIAN_POINT('Ctrl Pts',(7.422263714006,14.57842951918,3.484222678266)); #477377=CARTESIAN_POINT('Ctrl Pts',(12.24220866856,14.47393985254,4.787485306522)); #477378=CARTESIAN_POINT('Ctrl Pts',(17.0513217429,13.40896347238,6.137096068022)); #477379=CARTESIAN_POINT('Ctrl Pts',(20.31150573207,12.13766106207,7.070592661342)); #477380=CARTESIAN_POINT('Ctrl Pts',(20.6637968977,12.00075660753,7.17144874389)); #477381=CARTESIAN_POINT('Ctrl Pts',(5.269422652922,14.5356223822,2.613905441368)); #477382=CARTESIAN_POINT('Ctrl Pts',(5.571347315994,14.55523099982,2.69390094289)); #477383=CARTESIAN_POINT('Ctrl Pts',(7.267508039027,14.64743342595,3.144044798651)); #477384=CARTESIAN_POINT('Ctrl Pts',(12.10651412799,14.5744101088,4.434533745012)); #477385=CARTESIAN_POINT('Ctrl Pts',(16.9405791227,13.53933581148,5.770265639216)); #477386=CARTESIAN_POINT('Ctrl Pts',(20.22071050247,12.28715384578,6.694590605722)); #477387=CARTESIAN_POINT('Ctrl Pts',(20.57515461345,12.15231667434,6.794455451317)); #477388=CARTESIAN_POINT('Ctrl Pts',(5.137954058218,14.58000059418,2.273461625599)); #477389=CARTESIAN_POINT('Ctrl Pts',(5.440509610477,14.60157291815,2.352807096604)); #477390=CARTESIAN_POINT('Ctrl Pts',(7.140318538548,14.70477013921,2.799285797477)); #477391=CARTESIAN_POINT('Ctrl Pts',(11.9914597138,14.66226813278,4.079742307184)); #477392=CARTESIAN_POINT('Ctrl Pts',(16.8433135615,13.65618251738,5.404400769514)); #477393=CARTESIAN_POINT('Ctrl Pts',(20.13821793735,12.42251882107,6.321544946644)); #477394=CARTESIAN_POINT('Ctrl Pts',(20.494256127,12.28968384409,6.420633605425)); #477395=CARTESIAN_POINT('Ctrl Pts',(4.99618574934,14.62729667633,1.938173358236)); #477396=CARTESIAN_POINT('Ctrl Pts',(5.299446380548,14.65091769605,2.016853851845)); #477397=CARTESIAN_POINT('Ctrl Pts',(7.003320032007,14.7655869798,2.459583477812)); #477398=CARTESIAN_POINT('Ctrl Pts',(11.86778050739,14.75496535439,3.729768376844)); #477399=CARTESIAN_POINT('Ctrl Pts',(16.73862215133,13.77925076907,5.043111087569)); #477400=CARTESIAN_POINT('Ctrl Pts',(20.04915613679,12.56509651902,5.952900453794)); #477401=CARTESIAN_POINT('Ctrl Pts',(20.40688093205,12.43437079534,6.051194129542)); #477402=CARTESIAN_POINT('Ctrl Pts',(4.866325992616,14.6677523843,1.590253004208)); #477403=CARTESIAN_POINT('Ctrl Pts',(5.170325270185,14.69306185208,1.66813889691)); #477404=CARTESIAN_POINT('Ctrl Pts',(6.878453914381,14.81717975109,2.106391317007)); #477405=CARTESIAN_POINT('Ctrl Pts',(11.75680474803,14.8326660202,3.364238817254)); #477406=CARTESIAN_POINT('Ctrl Pts',(16.64730630713,13.88142368797,4.664152139856)); #477407=CARTESIAN_POINT('Ctrl Pts',(19.97398534376,12.68251907138,5.565086341896)); #477408=CARTESIAN_POINT('Ctrl Pts',(20.33345239224,12.55344251751,5.662422966885)); #477409=CARTESIAN_POINT('Ctrl Pts',(4.737981504366,14.70746462049,1.232320992828)); #477410=CARTESIAN_POINT('Ctrl Pts',(5.042550077453,14.73471144261,1.309509865928)); #477411=CARTESIAN_POINT('Ctrl Pts',(6.753985294549,14.86967487515,1.743832669293)); #477412=CARTESIAN_POINT('Ctrl Pts',(11.64356907952,14.91522654771,2.990911029244)); #477413=CARTESIAN_POINT('Ctrl Pts',(16.55116205521,13.99242861423,4.278967098052)); #477414=CARTESIAN_POINT('Ctrl Pts',(19.89219674851,12.81156312874,5.172189379092)); #477415=CARTESIAN_POINT('Ctrl Pts',(20.25321273312,12.6844370952,5.268692425251)); #477416=CARTESIAN_POINT('Ctrl Pts',(4.625517440994,14.74213456645,0.8753566261847)); #477417=CARTESIAN_POINT('Ctrl Pts',(4.93045272111,14.77116296974,0.9518783475382)); #477418=CARTESIAN_POINT('Ctrl Pts',(6.644055013133,14.91609779515,1.382439521951)); #477419=CARTESIAN_POINT('Ctrl Pts',(11.54159684699,14.98924066666,2.619219704734)); #477420=CARTESIAN_POINT('Ctrl Pts',(16.46285582326,14.09240970716,3.89591284461)); #477421=CARTESIAN_POINT('Ctrl Pts',(19.81575764054,12.92785017436,4.78176363189)); #477422=CARTESIAN_POINT('Ctrl Pts',(20.1780537953,12.80248741925,4.877469880084)); #477423=CARTESIAN_POINT('Ctrl Pts',(4.509975685166,14.77524052458,0.5251933951985)); #477424=CARTESIAN_POINT('Ctrl Pts',(4.815518389696,14.80577940585,0.6009303026013)); #477425=CARTESIAN_POINT('Ctrl Pts',(6.532637843918,14.95916378323,1.027069367047)); #477426=CARTESIAN_POINT('Ctrl Pts',(11.4419537056,15.05557801629,2.251665273784)); #477427=CARTESIAN_POINT('Ctrl Pts',(16.38067192421,14.1803561306,3.515092743491)); #477428=CARTESIAN_POINT('Ctrl Pts',(19.74812471491,13.02901746188,4.392198656756)); #477429=CARTESIAN_POINT('Ctrl Pts',(20.111990956,12.90508472411,4.486959772232)); #477430=CARTESIAN_POINT('Ctrl Pts',(4.412923613578,14.80257942513,0.2047185110823)); #477431=CARTESIAN_POINT('Ctrl Pts',(4.718759088879,14.83472586803,0.2798618711465)); #477432=CARTESIAN_POINT('Ctrl Pts',(6.437618977056,14.9971079436,0.7026542508986)); #477433=CARTESIAN_POINT('Ctrl Pts',(11.35348833498,15.11842593784,1.918089625495)); #477434=CARTESIAN_POINT('Ctrl Pts',(16.30385254724,14.26666008265,3.171405643806)); #477435=CARTESIAN_POINT('Ctrl Pts',(19.68150500123,13.13007242723,4.041954891335)); #477436=CARTESIAN_POINT('Ctrl Pts',(20.04647147847,13.00773479925,4.13600728259)); #477437=CARTESIAN_POINT('Ctrl Pts',(4.357772352762,14.81789220142,-0.002891516309886)); #477438=CARTESIAN_POINT('Ctrl Pts',(4.663827943244,14.85082190489,0.07181524609001)); #477439=CARTESIAN_POINT('Ctrl Pts',(6.383985650045,15.0175834765,0.4921471930297)); #477440=CARTESIAN_POINT('Ctrl Pts',(11.30457421047,15.15091404172,1.70081342687)); #477441=CARTESIAN_POINT('Ctrl Pts',(16.26292959003,14.31016983895,2.946736522219)); #477442=CARTESIAN_POINT('Ctrl Pts',(19.64749636205,13.18016492345,3.812430440067)); #477443=CARTESIAN_POINT('Ctrl Pts',(20.01320873992,13.058539475,3.905958059689)); #477444=CARTESIAN_POINT('Ctrl Pts',(4.334423388463,14.82437187138,-0.09022817071329)); #477445=CARTESIAN_POINT('Ctrl Pts',(4.640571782307,14.85763560738,-0.01570454470112)); #477446=CARTESIAN_POINT('Ctrl Pts',(6.361276616696,15.02626498279,0.4035953308143)); #477447=CARTESIAN_POINT('Ctrl Pts',(11.2838538957,15.16472133885,1.609422446272)); #477448=CARTESIAN_POINT('Ctrl Pts',(16.24557493295,14.32868706311,2.852244076809)); #477449=CARTESIAN_POINT('Ctrl Pts',(19.63305339785,13.20150369157,3.715901662381)); #477450=CARTESIAN_POINT('Ctrl Pts',(19.9990798827,13.08018349389,3.809209191556)); #477451=CARTESIAN_POINT('Ctrl Pts',(8.67661750659219,13.7653164967689,7.88852376712258)); #477452=CARTESIAN_POINT('Ctrl Pts',(8.6692658507609,13.7658027442166,7.88627150054384)); #477453=CARTESIAN_POINT('Ctrl Pts',(8.66189347298617,13.766298727797,7.88408925279836)); #477454=CARTESIAN_POINT('Ctrl Pts',(8.64716697118129,13.7673043494161,7.87987007730656)); #477455=CARTESIAN_POINT('Ctrl Pts',(8.63981613343522,13.7678136522703,7.87783304134053)); #477456=CARTESIAN_POINT('Ctrl Pts',(8.63244670938522,13.768330703791,7.87585379640283)); #477457=CARTESIAN_POINT('',(7.178235077415,13.80609144553,6.418044801933)); #477458=CARTESIAN_POINT('Ctrl Pts',(7.09834537385516,13.8604209905543,7.59081425560525)); #477459=CARTESIAN_POINT('Ctrl Pts',(7.10859601805571,13.8551472046363,7.55766568120752)); #477460=CARTESIAN_POINT('Ctrl Pts',(7.11890948482959,13.8498171940603,7.52410242524899)); #477461=CARTESIAN_POINT('Ctrl Pts',(7.12935460418071,13.8443946759032,7.49000247334939)); #477462=CARTESIAN_POINT('Ctrl Pts',(7.13863901671358,13.8395747314061,7.45969185552451)); #477463=CARTESIAN_POINT('Ctrl Pts',(7.14803013523601,13.8346802799554,7.42895273317875)); #477464=CARTESIAN_POINT('Ctrl Pts',(7.15736279840337,13.8297981310861,7.39805667139615)); #477465=CARTESIAN_POINT('Ctrl Pts',(7.15825107100601,13.8293334535332,7.39511601826008)); #477466=CARTESIAN_POINT('Ctrl Pts',(7.15913879478775,13.8288688975068,7.3921739762028)); #477467=CARTESIAN_POINT('Ctrl Pts',(7.16002581488874,13.8284045443692,7.38923080062005)); #477468=CARTESIAN_POINT('Ctrl Pts',(7.16806323177659,13.8241969737115,7.3625622627748)); #477469=CARTESIAN_POINT('Ctrl Pts',(7.1760445168289,13.8200052482589,7.33580091807558)); #477470=CARTESIAN_POINT('Ctrl Pts',(7.18381804527195,13.8159046026685,7.30891172754276)); #477471=CARTESIAN_POINT('Ctrl Pts',(7.18729120885488,13.8140724600276,7.29689780644923)); #477472=CARTESIAN_POINT('Ctrl Pts',(7.19073646244109,13.8122586031,7.28486503483863)); #477473=CARTESIAN_POINT('Ctrl Pts',(7.19413160527477,13.8104711599473,7.27281255794442)); #477474=CARTESIAN_POINT('Ctrl Pts',(7.2000731052337,13.8073431344302,7.25172072337954)); #477475=CARTESIAN_POINT('Ctrl Pts',(7.20586113224315,13.8042958675045,7.23056856839847)); #477476=CARTESIAN_POINT('Ctrl Pts',(7.2113768459589,13.8013749812309,7.20935119017946)); #477477=CARTESIAN_POINT('Ctrl Pts',(7.21287558235479,13.8005813144831,7.20358597853124)); #477478=CARTESIAN_POINT('Ctrl Pts',(7.2143542111112,13.7997969992355,7.19781600307866)); #477479=CARTESIAN_POINT('Ctrl Pts',(7.21581035334863,13.7990229688501,7.19204106710114)); #477480=CARTESIAN_POINT('Ctrl Pts',(7.2183734287667,13.7976605344651,7.1818761284953)); #477481=CARTESIAN_POINT('Ctrl Pts',(7.22086685135149,13.796329958926,7.17169581213115)); #477482=CARTESIAN_POINT('Ctrl Pts',(7.22327756975701,13.7950358425588,7.16149982536562)); #477483=CARTESIAN_POINT('Ctrl Pts',(7.22894802442423,13.7919918419914,7.13751698248804)); #477484=CARTESIAN_POINT('Ctrl Pts',(7.23416091567787,13.789149355276,7.11344699051557)); #477485=CARTESIAN_POINT('Ctrl Pts',(7.23874710938684,13.7865695510554,7.0892820942346)); #477486=CARTESIAN_POINT('Ctrl Pts',(7.24333330309582,13.7839897468348,7.06511719795363)); #477487=CARTESIAN_POINT('Ctrl Pts',(7.24729276824646,13.7816726737494,7.04085743117064)); #477488=CARTESIAN_POINT('Ctrl Pts',(7.25045721558651,13.7796811509485,7.01649331435607)); #477489=CARTESIAN_POINT('Ctrl Pts',(7.25120100090044,13.7792130548633,7.01076666754349)); #477490=CARTESIAN_POINT('Ctrl Pts',(7.25190094258612,13.7787628716945,7.00503325451644)); #477491=CARTESIAN_POINT('Ctrl Pts',(7.25255693955535,13.7783314274721,6.99929410603484)); #477492=CARTESIAN_POINT('Ctrl Pts',(7.25553437639648,13.7763731898842,6.97324527817833)); #477493=CARTESIAN_POINT('Ctrl Pts',(7.2576056648844,13.7748011513619,6.94708200466925)); #477494=CARTESIAN_POINT('Ctrl Pts',(7.25873666170494,13.7736865231472,6.92089213840218)); #477495=CARTESIAN_POINT('Ctrl Pts',(7.25897930686328,13.7734473897255,6.9152733390103)); #477496=CARTESIAN_POINT('Ctrl Pts',(7.25917871379558,13.7732293052633,6.9096531320102)); #477497=CARTESIAN_POINT('Ctrl Pts',(7.25933461312616,13.773032971758,6.90403205877537)); #477498=CARTESIAN_POINT('Ctrl Pts',(7.25967587481153,13.7726032001699,6.8917275997463)); #477499=CARTESIAN_POINT('Ctrl Pts',(7.25980862875982,13.7722776360369,6.87941923427866)); #477500=CARTESIAN_POINT('Ctrl Pts',(7.25973371870488,13.7720641901352,6.86710191817592)); #477501=CARTESIAN_POINT('Ctrl Pts',(7.25971473217595,13.7720100906316,6.86397999978048)); #477502=CARTESIAN_POINT('Ctrl Pts',(7.25968223863344,13.7719632097512,6.8608580646097)); #477503=CARTESIAN_POINT('Ctrl Pts',(7.25963619036267,13.7719236739336,6.85773623045644)); #477504=CARTESIAN_POINT('Ctrl Pts',(7.25939820141126,13.7717193429651,6.8416018127232)); #477505=CARTESIAN_POINT('Ctrl Pts',(7.25885652414558,13.7717102629641,6.82548362812212)); #477506=CARTESIAN_POINT('Ctrl Pts',(7.25790619376649,13.7719140405088,6.80937809952908)); #477507=CARTESIAN_POINT('Ctrl Pts',(7.25666933546025,13.7721792576948,6.78841669783642)); #477508=CARTESIAN_POINT('Ctrl Pts',(7.25474008627702,13.7728056712158,6.76747456734017)); #477509=CARTESIAN_POINT('Ctrl Pts',(7.25218380454607,13.7737427180841,6.74659675999287)); #477510=CARTESIAN_POINT('Ctrl Pts',(7.25100370868129,13.77417530152,6.73695861494097)); #477511=CARTESIAN_POINT('Ctrl Pts',(7.24969018531042,13.7746738734095,6.72733491666478)); #477512=CARTESIAN_POINT('Ctrl Pts',(7.24825043775391,13.7752323650856,6.71773353601328)); #477513=CARTESIAN_POINT('Ctrl Pts',(7.24807599787674,13.7753000319616,6.71657023231193)); #477514=CARTESIAN_POINT('Ctrl Pts',(7.24789951786279,13.7753685846174,6.71540716743577)); #477515=CARTESIAN_POINT('Ctrl Pts',(7.24772104285751,13.775438012883,6.71424433481125)); #477516=CARTESIAN_POINT('Ctrl Pts',(7.24410452827027,13.7768448672197,6.6906813650681)); #477517=CARTESIAN_POINT('Ctrl Pts',(7.23967104082793,13.778610065698,6.66721620866799)); #477518=CARTESIAN_POINT('Ctrl Pts',(7.23466778675441,13.7806545653499,6.64373394486949)); #477519=CARTESIAN_POINT('Ctrl Pts',(7.23284280964565,13.781400313019,6.6351686005427)); #477520=CARTESIAN_POINT('Ctrl Pts',(7.23094209500771,13.7821833320518,6.62660105410947)); #477521=CARTESIAN_POINT('Ctrl Pts',(7.22897770104924,13.783000160712,6.61802592261428)); #477522=CARTESIAN_POINT('Ctrl Pts',(7.22882287833606,13.7830645386484,6.6173500779762)); #477523=CARTESIAN_POINT('Ctrl Pts',(7.22866765988768,13.7831291267197,6.61667418605802)); #477524=CARTESIAN_POINT('Ctrl Pts',(7.2285120521565,13.7831939231531,6.61599824384976)); #477525=CARTESIAN_POINT('Ctrl Pts',(7.22150321584962,13.7861124644815,6.58555265959354)); #477526=CARTESIAN_POINT('Ctrl Pts',(7.21369473216511,13.7894520438563,6.55500077201281)); #477527=CARTESIAN_POINT('Ctrl Pts',(7.20564341147063,13.7930591073621,6.52411479482061)); #477528=CARTESIAN_POINT('Ctrl Pts',(7.19656734532011,13.7971252659751,6.48929775265362)); #477529=CARTESIAN_POINT('Ctrl Pts',(7.18718218935249,13.8015320568499,6.45405354544737)); #477530=CARTESIAN_POINT('Ctrl Pts',(7.17823507741626,13.8060914454612,6.41804480192397)); #477531=CARTESIAN_POINT('',(7.07074694399,13.85899721987,6.00892217331)); #477532=CARTESIAN_POINT('Ctrl Pts',(7.07074694399,13.85899721987,6.00892217331)); #477533=CARTESIAN_POINT('Ctrl Pts',(7.092600899293,13.8493742503,6.072958503773)); #477534=CARTESIAN_POINT('Ctrl Pts',(7.120244152492,13.83635811314,6.172770342464)); #477535=CARTESIAN_POINT('Ctrl Pts',(7.1531471042,13.81949420585,6.311765836828)); #477536=CARTESIAN_POINT('Ctrl Pts',(7.169727358502,13.81049191703,6.383307703321)); #477537=CARTESIAN_POINT('Ctrl Pts',(7.178235077415,13.80609144553,6.418044801933)); #477538=CARTESIAN_POINT('',(6.42606004871385,14.1571543937172,4.64915568862029)); #477539=CARTESIAN_POINT('Ctrl Pts',(6.42606005137646,14.1571543676449,4.64915568067241)); #477540=CARTESIAN_POINT('Ctrl Pts',(6.44288214122415,14.1495542507275,4.67972265118663)); #477541=CARTESIAN_POINT('Ctrl Pts',(6.45967203817675,14.1418838659406,4.70998196889344)); #477542=CARTESIAN_POINT('Ctrl Pts',(6.47636681856424,14.1342160913304,4.73998152545329)); #477543=CARTESIAN_POINT('Ctrl Pts',(6.48235730002323,14.1314647127218,4.75074607480216)); #477544=CARTESIAN_POINT('Ctrl Pts',(6.48833545247617,14.128713702506,4.76147708529215)); #477545=CARTESIAN_POINT('Ctrl Pts',(6.49429794464058,14.1259657248062,4.77217747268214)); #477546=CARTESIAN_POINT('Ctrl Pts',(6.50276517074752,14.1220633719177,4.78737289717322)); #477547=CARTESIAN_POINT('Ctrl Pts',(6.51120138048227,14.1181674476189,4.8025057262279)); #477548=CARTESIAN_POINT('Ctrl Pts',(6.51959812429407,14.1142863699806,4.8175823476716)); #477549=CARTESIAN_POINT('Ctrl Pts',(6.52327169971173,14.1125883985138,4.82417836955322)); #477550=CARTESIAN_POINT('Ctrl Pts',(6.5269377211812,14.1108932695625,4.83076363311481)); #477551=CARTESIAN_POINT('Ctrl Pts',(6.53059548130286,14.1092016904329,4.83733867260797)); #477552=CARTESIAN_POINT('Ctrl Pts',(6.54560919137985,14.1022584033447,4.86432670173285)); #477553=CARTESIAN_POINT('Ctrl Pts',(6.56050873934611,14.0953696661188,4.8911672751308)); #477554=CARTESIAN_POINT('Ctrl Pts',(6.5752103690418,14.0885944735913,4.91785338742822)); #477555=CARTESIAN_POINT('Ctrl Pts',(6.5974727957437,14.0783349152868,4.95826371140435)); #477556=CARTESIAN_POINT('Ctrl Pts',(6.61927882564465,14.0683365168757,4.9983211699254)); #477557=CARTESIAN_POINT('Ctrl Pts',(6.64048061180796,14.0585999519207,5.03816461499597)); #477558=CARTESIAN_POINT('Ctrl Pts',(6.6503497103661,14.0540677338133,5.05671111307252)); #477559=CARTESIAN_POINT('Ctrl Pts',(6.66008841408447,14.0495920406896,5.07521102818788)); #477560=CARTESIAN_POINT('Ctrl Pts',(6.66968526188251,14.0451719457805,5.09367627867331)); #477561=CARTESIAN_POINT('Ctrl Pts',(6.67561134073109,14.0424425255062,5.10507861959173)); #477562=CARTESIAN_POINT('Ctrl Pts',(6.68148339601526,14.0397342978743,5.11646767581145)); #477563=CARTESIAN_POINT('Ctrl Pts',(6.68730338014168,14.0370493256331,5.12783567428187)); #477564=CARTESIAN_POINT('Ctrl Pts',(6.7120535164469,14.0256311795518,5.17617936483988)); #477565=CARTESIAN_POINT('Ctrl Pts',(6.73575922146127,14.0145777075152,5.22431227401842)); #477566=CARTESIAN_POINT('Ctrl Pts',(6.75860986509939,14.0039381512416,5.2720462966263)); #477567=CARTESIAN_POINT('Ctrl Pts',(6.77242320346749,13.9975064816037,5.30090177292691)); #477568=CARTESIAN_POINT('Ctrl Pts',(6.78592431577295,13.9912255164227,5.32961251220428)); #477569=CARTESIAN_POINT('Ctrl Pts',(6.79916685948791,13.9850670578155,5.35821291993136)); #477570=CARTESIAN_POINT('Ctrl Pts',(6.80356047864375,13.9830238006974,5.36770198048947)); #477571=CARTESIAN_POINT('Ctrl Pts',(6.80792520908569,13.9809948821888,5.37717539155347)); #477572=CARTESIAN_POINT('Ctrl Pts',(6.81226277143668,13.9789797357161,5.38663237722676)); #477573=CARTESIAN_POINT('Ctrl Pts',(6.8160581384938,13.9772164825525,5.3949072396909)); #477574=CARTESIAN_POINT('Ctrl Pts',(6.81983270503205,13.9754637732715,5.40316952632402)); #477575=CARTESIAN_POINT('Ctrl Pts',(6.82358762595714,13.9737212242578,5.41141871465252)); #477576=CARTESIAN_POINT('Ctrl Pts',(6.83002402529587,13.9707342795142,5.42555884472764)); #477577=CARTESIAN_POINT('Ctrl Pts',(6.83640597049333,13.9677795740045,5.43965167379325)); #477578=CARTESIAN_POINT('Ctrl Pts',(6.84273412637998,13.9648514956241,5.45370796581645)); #477579=CARTESIAN_POINT('Ctrl Pts',(6.85994934344633,13.9568859040981,5.49194692874055)); #477580=CARTESIAN_POINT('Ctrl Pts',(6.87676957919116,13.9491169757355,5.52991214012257)); #477581=CARTESIAN_POINT('Ctrl Pts',(6.89333209089447,13.9414899245264,5.56749731351474)); #477582=CARTESIAN_POINT('Ctrl Pts',(6.89554396183923,13.9404713560106,5.57251669429882)); #477583=CARTESIAN_POINT('Ctrl Pts',(6.89775118248921,13.9394553276512,5.57752934919187)); #477584=CARTESIAN_POINT('Ctrl Pts',(6.89995403310702,13.9384417171152,5.58253507235213)); #477585=CARTESIAN_POINT('Ctrl Pts',(6.90832071812259,13.9345919054146,5.6015473960287)); #477586=CARTESIAN_POINT('Ctrl Pts',(6.91661989073415,13.9307797101166,5.6204457727307)); #477587=CARTESIAN_POINT('Ctrl Pts',(6.92483468462433,13.9269861983049,5.63926875819509)); #477588=CARTESIAN_POINT('Ctrl Pts',(6.93249691586345,13.9234478545439,5.65682562805293)); #477589=CARTESIAN_POINT('Ctrl Pts',(6.94008555930335,13.9199259995682,5.67431666060747)); #477590=CARTESIAN_POINT('Ctrl Pts',(6.94757266911719,13.9164416780842,5.69171490683932)); #477591=CARTESIAN_POINT('Ctrl Pts',(6.95344735356359,13.9137077407254,5.70536626351422)); #477592=CARTESIAN_POINT('Ctrl Pts',(6.95925622413575,13.9109909571042,5.718986491657)); #477593=CARTESIAN_POINT('Ctrl Pts',(6.96499987624224,13.9083058824886,5.73254737894924)); #477594=CARTESIAN_POINT('Ctrl Pts',(6.97063231172079,13.9056728000442,5.74584568136893)); #477595=CARTESIAN_POINT('Ctrl Pts',(6.97620205674471,13.9030701709186,5.75908698217478)); #477596=CARTESIAN_POINT('Ctrl Pts',(6.9816888629569,13.9004986706291,5.77230478075246)); #477597=CARTESIAN_POINT('Ctrl Pts',(6.98376672923704,13.8995248374857,5.77731039183115)); #477598=CARTESIAN_POINT('Ctrl Pts',(6.98583346314875,13.8985559754549,5.78231044328787)); #477599=CARTESIAN_POINT('Ctrl Pts',(6.98788854152949,13.8975924881617,5.78730510110604)); #477600=CARTESIAN_POINT('Ctrl Pts',(6.99303988315179,13.8951773723965,5.79982491005784)); #477601=CARTESIAN_POINT('Ctrl Pts',(6.99811979542695,13.8927931703294,5.81232489008915)); #477602=CARTESIAN_POINT('Ctrl Pts',(7.00311518688075,13.8904456449382,5.82480906880296)); #477603=CARTESIAN_POINT('Ctrl Pts',(7.01544337304615,13.8846521590186,5.85561892239088)); #477604=CARTESIAN_POINT('Ctrl Pts',(7.02725434805415,13.8790838516613,5.88633199299889)); #477605=CARTESIAN_POINT('Ctrl Pts',(7.03847226106809,13.8738743187312,5.91669179409298)); #477606=CARTESIAN_POINT('Ctrl Pts',(7.0473985160038,13.8697290183557,5.94084952503871)); #477607=CARTESIAN_POINT('Ctrl Pts',(7.05594837542024,13.8658102186736,5.96478649327057)); #477608=CARTESIAN_POINT('Ctrl Pts',(7.06403400123662,13.8620903145619,5.98872023273436)); #477609=CARTESIAN_POINT('Ctrl Pts',(7.06630864235234,13.8610438344216,5.99545325118879)); #477610=CARTESIAN_POINT('Ctrl Pts',(7.06854676243394,13.8600130422051,6.00218580948658)); #477611=CARTESIAN_POINT('Ctrl Pts',(7.07074694399068,13.8589972198495,6.00892217330669)); #477612=CARTESIAN_POINT('',(6.378277008744,14.18012092636,4.556148337588)); #477613=CARTESIAN_POINT('Ctrl Pts',(6.378277008744,14.18012092636,4.556148337588)); #477614=CARTESIAN_POINT('Ctrl Pts',(6.393946013078,14.17257803969,4.587230037651)); #477615=CARTESIAN_POINT('Ctrl Pts',(6.41006957322,14.1649520009,4.618220957963)); #477616=CARTESIAN_POINT('Ctrl Pts',(6.426060043388,14.15715444586,4.649155704516)); #477617=CARTESIAN_POINT('',(5.611399634522,14.51167736955,2.901022393337)); #477618=CARTESIAN_POINT('Ctrl Pts',(5.611399634522,14.51167736955,2.901022393337)); #477619=CARTESIAN_POINT('Ctrl Pts',(5.641662867924,14.49954742604,2.971094945447)); #477620=CARTESIAN_POINT('Ctrl Pts',(5.702702403789,14.47549057613,3.108689664176)); #477621=CARTESIAN_POINT('Ctrl Pts',(5.778203357171,14.44541688607,3.281730653246)); #477622=CARTESIAN_POINT('Ctrl Pts',(5.837923916349,14.42117516492,3.420631605459)); #477623=CARTESIAN_POINT('Ctrl Pts',(5.88255447603,14.40224737755,3.526472904634)); #477624=CARTESIAN_POINT('Ctrl Pts',(5.927886944177,14.38225534366,3.633264584004)); #477625=CARTESIAN_POINT('Ctrl Pts',(5.974847091565,14.36049247399,3.740916527613)); #477626=CARTESIAN_POINT('Ctrl Pts',(6.02434895121,14.33841410132,3.844845413952)); #477627=CARTESIAN_POINT('Ctrl Pts',(6.075054130629,14.31634229099,3.946521792164)); #477628=CARTESIAN_POINT('Ctrl Pts',(6.126068299508,14.29486490914,4.046104178576)); #477629=CARTESIAN_POINT('Ctrl Pts',(6.22639876408,14.25137448386,4.247894414406)); #477630=CARTESIAN_POINT('Ctrl Pts',(6.309335426961,14.21331054985,4.419400096552)); #477631=CARTESIAN_POINT('Ctrl Pts',(6.378277008744,14.18012092636,4.556148337588)); #477632=CARTESIAN_POINT('Ctrl Pts',(5.2699509344785,14.6442984600631,2.01838925158079)); #477633=CARTESIAN_POINT('Ctrl Pts',(5.29146890601049,14.635973302657,2.07853421993821)); #477634=CARTESIAN_POINT('Ctrl Pts',(5.31377914699547,14.6274264952135,2.13764663172966)); #477635=CARTESIAN_POINT('Ctrl Pts',(5.33644671209516,14.6189903349264,2.19599676810086)); #477636=CARTESIAN_POINT('Ctrl Pts',(5.34778050125962,14.6147722523211,2.22517185331358)); #477637=CARTESIAN_POINT('Ctrl Pts',(5.35920361497588,14.6105818865275,2.25415638145466)); #477638=CARTESIAN_POINT('Ctrl Pts',(5.37057720297713,14.6064573157858,2.28295689525605)); #477639=CARTESIAN_POINT('Ctrl Pts',(5.38195079097837,14.6023327450441,2.31175740905741)); #477640=CARTESIAN_POINT('Ctrl Pts',(5.39327484884867,14.5982740059702,2.34037391557687)); #477641=CARTESIAN_POINT('Ctrl Pts',(5.40444808291166,14.5941947903395,2.36923369419072)); #477642=CARTESIAN_POINT('Ctrl Pts',(5.42679455103766,14.5860363590781,2.42695325141848)); #477643=CARTESIAN_POINT('Ctrl Pts',(5.44853773415036,14.5777959335411,2.48564588070508)); #477644=CARTESIAN_POINT('Ctrl Pts',(5.47053412193035,14.5691271189775,2.5450282519077)); #477645=CARTESIAN_POINT('Ctrl Pts',(5.48153231582034,14.5647927116957,2.57471943750899)); #477646=CARTESIAN_POINT('Ctrl Pts',(5.4925938087525,14.5603512266104,2.60458306175401)); #477647=CARTESIAN_POINT('Ctrl Pts',(5.50382705233913,14.5557270566519,2.63462876358231)); #477648=CARTESIAN_POINT('Ctrl Pts',(5.51506029592578,14.5511028866934,2.66467446541067)); #477649=CARTESIAN_POINT('Ctrl Pts',(5.52646530086352,14.5462959347808,2.69490222680965)); #477650=CARTESIAN_POINT('Ctrl Pts',(5.53819477651606,14.5414257814618,2.72480998644336)); #477651=CARTESIAN_POINT('Ctrl Pts',(5.56165372782156,14.5316854748237,2.78462550571186)); #477652=CARTESIAN_POINT('Ctrl Pts',(5.58641045714376,14.5216932778668,2.84316120895028)); #477653=CARTESIAN_POINT('Ctrl Pts',(5.61139963452434,14.5116773695295,2.90102239333244)); #477654=CARTESIAN_POINT('Ctrl Pts',(5.674259336352,14.5091772214,2.926562258114)); #477655=CARTESIAN_POINT('Ctrl Pts',(5.629706664073,14.50792169763,2.945809831577)); #477656=CARTESIAN_POINT('Ctrl Pts',(5.554675535781,14.48551509814,2.974691945093)); #477657=CARTESIAN_POINT('Ctrl Pts',(5.467932340723,14.41416233426,3.0001700151)); #477658=CARTESIAN_POINT('Ctrl Pts',(5.413933465699,14.33166744422,3.009401392347)); #477659=CARTESIAN_POINT('Ctrl Pts',(5.386198101026,14.2367053477,3.004986996748)); #477660=CARTESIAN_POINT('Ctrl Pts',(5.387643882711,14.13926369991,2.987390875821)); #477661=CARTESIAN_POINT('Ctrl Pts',(5.418118187155,14.04959103422,2.958464010294)); #477662=CARTESIAN_POINT('Ctrl Pts',(5.483769539914,13.9650793836,2.91506599358)); #477663=CARTESIAN_POINT('Ctrl Pts',(5.551353593825,13.93054969892,2.879525446043)); #477664=CARTESIAN_POINT('Ctrl Pts',(5.594851028651,13.92193392481,2.859020545222)); #477665=CARTESIAN_POINT('Ctrl Pts',(5.642823919994,14.5217001173,2.854526878638)); #477666=CARTESIAN_POINT('Ctrl Pts',(5.598214593511,14.52045317194,2.873723281562)); #477667=CARTESIAN_POINT('Ctrl Pts',(5.523100684976,14.49802607765,2.902543633162)); #477668=CARTESIAN_POINT('Ctrl Pts',(5.436317179587,14.42658146858,2.927995569493)); #477669=CARTESIAN_POINT('Ctrl Pts',(5.3823508581,14.34398134209,2.937245491461)); #477670=CARTESIAN_POINT('Ctrl Pts',(5.354719956713,14.24891103628,2.9328873833)); #477671=CARTESIAN_POINT('Ctrl Pts',(5.356335299471,14.1513837114,2.915379973367)); #477672=CARTESIAN_POINT('Ctrl Pts',(5.387026202248,14.06167143933,2.886567373038)); #477673=CARTESIAN_POINT('Ctrl Pts',(5.452953068701,13.97718780377,2.843323123424)); #477674=CARTESIAN_POINT('Ctrl Pts',(5.520719633223,13.94275296723,2.807901708705)); #477675=CARTESIAN_POINT('Ctrl Pts',(5.56430191572,13.93421934625,2.787466588721)); #477676=CARTESIAN_POINT('Ctrl Pts',(5.599406988778,14.53920256161,2.752621037324)); #477677=CARTESIAN_POINT('Ctrl Pts',(5.554541507901,14.53816852152,2.771527407005)); #477678=CARTESIAN_POINT('Ctrl Pts',(5.4789806689,14.51598789524,2.799962515425)); #477679=CARTESIAN_POINT('Ctrl Pts',(5.391724580559,14.44460916253,2.825169085246)); #477680=CARTESIAN_POINT('Ctrl Pts',(5.337533672249,14.36189406494,2.8344235948)); #477681=CARTESIAN_POINT('Ctrl Pts',(5.309907086569,14.26658601805,2.83028087714)); #477682=CARTESIAN_POINT('Ctrl Pts',(5.311767249923,14.16876529767,2.8131791241)); #477683=CARTESIAN_POINT('Ctrl Pts',(5.342917005209,14.07877790745,2.78492806243)); #477684=CARTESIAN_POINT('Ctrl Pts',(5.409556655879,13.99407459697,2.742467379352)); #477685=CARTESIAN_POINT('Ctrl Pts',(5.477898122022,13.95962687229,2.707665016973)); #477686=CARTESIAN_POINT('Ctrl Pts',(5.521790594454,13.95115190502,2.687590548376)); #477687=CARTESIAN_POINT('Ctrl Pts',(5.548725258582,14.56017472131,2.617545871034)); #477688=CARTESIAN_POINT('Ctrl Pts',(5.50310411391,14.55988022574,2.635261338259)); #477689=CARTESIAN_POINT('Ctrl Pts',(5.426165893751,14.53867003034,2.662008865892)); #477690=CARTESIAN_POINT('Ctrl Pts',(5.33728597539,14.46786799245,2.685913391604)); #477691=CARTESIAN_POINT('Ctrl Pts',(5.28214204711,14.38512055725,2.694879804164)); #477692=CARTESIAN_POINT('Ctrl Pts',(5.2541663981,14.28934498761,2.691301258794)); #477693=CARTESIAN_POINT('Ctrl Pts',(5.25634879716,14.19077483499,2.675559952904)); #477694=CARTESIAN_POINT('Ctrl Pts',(5.28845549708,14.09994233374,2.649338266644)); #477695=CARTESIAN_POINT('Ctrl Pts',(5.356792319794,14.01436116357,2.60980612608)); #477696=CARTESIAN_POINT('Ctrl Pts',(5.426637421777,13.97954835724,2.577357379718)); #477697=CARTESIAN_POINT('Ctrl Pts',(5.471386005023,13.97100745675,2.558647708361)); #477698=CARTESIAN_POINT('Ctrl Pts',(5.504872655484,14.57764396776,2.498683870229)); #477699=CARTESIAN_POINT('Ctrl Pts',(5.458870119747,14.57767931272,2.515763506521)); #477700=CARTESIAN_POINT('Ctrl Pts',(5.381252834155,14.55688214203,2.54160409082)); #477701=CARTESIAN_POINT('Ctrl Pts',(5.29161503844,14.48627473809,2.564796750844)); #477702=CARTESIAN_POINT('Ctrl Pts',(5.23606743351,14.40344583561,2.573591765424)); #477703=CARTESIAN_POINT('Ctrl Pts',(5.20801101626,14.3073959972,2.570295810414)); #477704=CARTESIAN_POINT('Ctrl Pts',(5.21046028679,14.20844298055,2.555262733354)); #477705=CARTESIAN_POINT('Ctrl Pts',(5.24315157723,14.11721652711,2.530107711364)); #477706=CARTESIAN_POINT('Ctrl Pts',(5.312445964906,14.03127298172,2.492121081386)); #477707=CARTESIAN_POINT('Ctrl Pts',(5.38309417335,13.99636639858,2.460916912258)); #477708=CARTESIAN_POINT('Ctrl Pts',(5.428285923924,13.98785315225,2.442928547173)); #477709=CARTESIAN_POINT('Ctrl Pts',(5.461434265787,14.59417012623,2.381341432648)); #477710=CARTESIAN_POINT('Ctrl Pts',(5.415378724962,14.59416608402,2.39837335124)); #477711=CARTESIAN_POINT('Ctrl Pts',(5.337702999685,14.57327018171,2.424154669916)); #477712=CARTESIAN_POINT('Ctrl Pts',(5.24809305865,14.50248603413,2.447318085544)); #477713=CARTESIAN_POINT('Ctrl Pts',(5.19265347413,14.41950355696,2.456125080504)); #477714=CARTESIAN_POINT('Ctrl Pts',(5.1647852643,14.32332668292,2.452875713904)); #477715=CARTESIAN_POINT('Ctrl Pts',(5.16748656033,14.22430030634,2.437919267354)); #477716=CARTESIAN_POINT('Ctrl Pts',(5.20046616784,14.1330759222,2.412864746774)); #477717=CARTESIAN_POINT('Ctrl Pts',(5.270097500935,14.04723737453,2.37501459554)); #477718=CARTESIAN_POINT('Ctrl Pts',(5.340945890093,14.0124979048,2.34391668145)); #477719=CARTESIAN_POINT('Ctrl Pts',(5.386221840738,14.00411102642,2.325990469685)); #477720=CARTESIAN_POINT('Ctrl Pts',(5.415844783574,14.61077057938,2.26638154771)); #477721=CARTESIAN_POINT('Ctrl Pts',(5.37005313596,14.61038037753,2.283940834874)); #477722=CARTESIAN_POINT('Ctrl Pts',(5.292915554103,14.58890325111,2.310495869799)); #477723=CARTESIAN_POINT('Ctrl Pts',(5.2040873778,14.51758780936,2.334308913024)); #477724=CARTESIAN_POINT('Ctrl Pts',(5.14924713666,14.43437791007,2.343319059854)); #477725=CARTESIAN_POINT('Ctrl Pts',(5.12182705274,14.33820629166,2.339897886644)); #477726=CARTESIAN_POINT('Ctrl Pts',(5.12477009435,14.23939282421,2.324412275604)); #477727=CARTESIAN_POINT('Ctrl Pts',(5.15775983515,14.14854096962,2.298524207664)); #477728=CARTESIAN_POINT('Ctrl Pts',(5.227141402806,14.06324932558,2.259443930792)); #477729=CARTESIAN_POINT('Ctrl Pts',(5.297617849757,14.02892891679,2.227346485758)); #477730=CARTESIAN_POINT('Ctrl Pts',(5.34263645625,14.02076700803,2.208842386796)); #477731=CARTESIAN_POINT('Ctrl Pts',(5.364521380415,14.6297737992,2.135962358761)); #477732=CARTESIAN_POINT('Ctrl Pts',(5.31877703801,14.62922494577,2.153658594367)); #477733=CARTESIAN_POINT('Ctrl Pts',(5.24177447163,14.60747652656,2.180423830133)); #477734=CARTESIAN_POINT('Ctrl Pts',(5.153232088434,14.53584189182,2.204431102009)); #477735=CARTESIAN_POINT('Ctrl Pts',(5.098680602002,14.45243131636,2.213520267426)); #477736=CARTESIAN_POINT('Ctrl Pts',(5.071563255282,14.35615719355,2.21008135875)); #477737=CARTESIAN_POINT('Ctrl Pts',(5.074791513999,14.25735372981,2.194483264116)); #477738=CARTESIAN_POINT('Ctrl Pts',(5.108018259938,14.16662675308,2.16840052973)); #477739=CARTESIAN_POINT('Ctrl Pts',(5.177588990095,14.08160277356,2.12902273229)); #477740=CARTESIAN_POINT('Ctrl Pts',(5.24810244628,14.04755941633,2.096679527227)); #477741=CARTESIAN_POINT('Ctrl Pts',(5.293102329669,14.03957678075,2.078033963482)); #477742=CARTESIAN_POINT('Ctrl Pts',(5.325522142696,14.64452250961,2.032836654462)); #477743=CARTESIAN_POINT('Ctrl Pts',(5.279540758764,14.6441495645,2.050171778526)); #477744=CARTESIAN_POINT('Ctrl Pts',(5.202128391823,14.62260468432,2.076427672719)); #477745=CARTESIAN_POINT('Ctrl Pts',(5.113159490318,14.55102266827,2.100046439181)); #477746=CARTESIAN_POINT('Ctrl Pts',(5.058411281023,14.46751479596,2.109054486369)); #477747=CARTESIAN_POINT('Ctrl Pts',(5.031308622176,14.37104250156,2.105792650693)); #477748=CARTESIAN_POINT('Ctrl Pts',(5.034771484493,14.27199725525,2.090612128113)); #477749=CARTESIAN_POINT('Ctrl Pts',(5.068426320686,14.18104774769,2.065148561372)); #477750=CARTESIAN_POINT('Ctrl Pts',(5.138653843746,14.09585406371,2.026661919859)); #477751=CARTESIAN_POINT('Ctrl Pts',(5.209690783073,14.06181416773,1.99503396692)); #477752=CARTESIAN_POINT('Ctrl Pts',(5.254970682104,14.05388982967,1.976803307809)); #477753=CARTESIAN_POINT('Ctrl Pts',(5.299688725729,14.65449636584,1.957675264612)); #477754=CARTESIAN_POINT('Ctrl Pts',(5.253378056652,14.6544240149,1.974422048133)); #477755=CARTESIAN_POINT('Ctrl Pts',(5.175369162849,14.6332697394,1.99982835471)); #477756=CARTESIAN_POINT('Ctrl Pts',(5.085707426988,14.56190911965,2.022759516868)); #477757=CARTESIAN_POINT('Ctrl Pts',(5.030562716027,14.47837412979,2.031578859766)); #477758=CARTESIAN_POINT('Ctrl Pts',(5.003331112288,14.38169888575,2.02854711511)); #477759=CARTESIAN_POINT('Ctrl Pts',(5.006959123312,14.282341576,2.013992028778)); #477760=CARTESIAN_POINT('Ctrl Pts',(5.041053647091,14.19105063932,1.989488416189)); #477761=CARTESIAN_POINT('Ctrl Pts',(5.112040240572,14.10551688331,1.952403615645)); #477762=CARTESIAN_POINT('Ctrl Pts',(5.183736396594,14.07135407398,1.921908895209)); #477763=CARTESIAN_POINT('Ctrl Pts',(5.229386721035,14.06342209942,1.904334328932)); #477764=CARTESIAN_POINT('Origin',(5.64473435592274,14.2206547741256,2.8362490230362)); #477765=CARTESIAN_POINT('Ctrl Pts',(6.441890144035,14.17637132155,4.577294631258)); #477766=CARTESIAN_POINT('Ctrl Pts',(6.399535330238,14.17364206681,4.599017814221)); #477767=CARTESIAN_POINT('Ctrl Pts',(6.328249782108,14.14982272368,4.630872810758)); #477768=CARTESIAN_POINT('Ctrl Pts',(6.244857864866,14.07916536192,4.657662335062)); #477769=CARTESIAN_POINT('Ctrl Pts',(6.191780289777,13.99909210096,4.666120933039)); #477770=CARTESIAN_POINT('Ctrl Pts',(6.162392038753,13.90749805182,4.659235723776)); #477771=CARTESIAN_POINT('Ctrl Pts',(6.159630244427,13.81353587183,4.637694474647)); #477772=CARTESIAN_POINT('Ctrl Pts',(6.183770418016,13.72659493727,4.603649995762)); #477773=CARTESIAN_POINT('Ctrl Pts',(6.240547585709,13.64343056833,4.553276146416)); #477774=CARTESIAN_POINT('Ctrl Pts',(6.301599821334,13.60783790836,4.512287487962)); #477775=CARTESIAN_POINT('Ctrl Pts',(6.341794764879,13.5977869724,4.488577677027)); #477776=CARTESIAN_POINT('Ctrl Pts',(6.365822949047,14.21299989248,4.42717670798)); #477777=CARTESIAN_POINT('Ctrl Pts',(6.322875172653,14.21090121121,4.448397486025)); #477778=CARTESIAN_POINT('Ctrl Pts',(6.250524365377,14.18785048506,4.479651507414)); #477779=CARTESIAN_POINT('Ctrl Pts',(6.165925473709,14.11752065603,4.506202191216)); #477780=CARTESIAN_POINT('Ctrl Pts',(6.112189708382,14.03725278548,4.51486449285)); #477781=CARTESIAN_POINT('Ctrl Pts',(6.082642895931,13.94509531183,4.508561783034)); #477782=CARTESIAN_POINT('Ctrl Pts',(6.080270020139,13.85035677,4.487931061765)); #477783=CARTESIAN_POINT('Ctrl Pts',(6.105310727846,13.76260630088,4.455057519371)); #477784=CARTESIAN_POINT('Ctrl Pts',(6.163600938611,13.6786628953,4.406261320563)); #477785=CARTESIAN_POINT('Ctrl Pts',(6.225956359719,13.64281265785,4.366496073415)); #477786=CARTESIAN_POINT('Ctrl Pts',(6.266887035248,13.63276602268,4.343503417916)); #477787=CARTESIAN_POINT('Ctrl Pts',(6.277024995351,14.25403774075,4.241636763003)); #477788=CARTESIAN_POINT('Ctrl Pts',(6.233587637577,14.25237305927,4.262350246064)); #477789=CARTESIAN_POINT('Ctrl Pts',(6.160387391816,14.22982013994,4.292957631481)); #477790=CARTESIAN_POINT('Ctrl Pts',(6.074900961214,14.15961453385,4.319153228898)); #477791=CARTESIAN_POINT('Ctrl Pts',(6.020754291695,14.07910273279,4.327899457908)); #477792=CARTESIAN_POINT('Ctrl Pts',(5.991236409938,13.98644699524,4.322052501745)); #477793=CARTESIAN_POINT('Ctrl Pts',(5.989355749675,13.89108874887,4.302207881191)); #477794=CARTESIAN_POINT('Ctrl Pts',(6.015303531789,13.80274494261,4.270387935867)); #477795=CARTESIAN_POINT('Ctrl Pts',(6.075002317826,13.71830186194,4.223041221336)); #477796=CARTESIAN_POINT('Ctrl Pts',(6.138500633383,13.68237996007,4.184412696051)); #477797=CARTESIAN_POINT('Ctrl Pts',(6.180054252988,13.67242665171,4.162084283569)); #477798=CARTESIAN_POINT('Ctrl Pts',(6.169721481297,14.30077727514,4.025908193985)); #477799=CARTESIAN_POINT('Ctrl Pts',(6.126356428728,14.29882673821,4.046819185501)); #477800=CARTESIAN_POINT('Ctrl Pts',(6.053380026747,14.27577123943,4.077733534971)); #477801=CARTESIAN_POINT('Ctrl Pts',(5.96840348701,14.20494166073,4.104221023734)); #477802=CARTESIAN_POINT('Ctrl Pts',(5.91479291116,14.12401189173,4.113094533184)); #477803=CARTESIAN_POINT('Ctrl Pts',(5.8858621846,14.03110768674,4.107237918254)); #477804=CARTESIAN_POINT('Ctrl Pts',(5.88456340311,13.93570740327,4.087248441034)); #477805=CARTESIAN_POINT('Ctrl Pts',(5.91102856429,13.84754414078,4.055165763684)); #477806=CARTESIAN_POINT('Ctrl Pts',(5.971190624802,13.76356299834,4.007410717518)); #477807=CARTESIAN_POINT('Ctrl Pts',(6.034845146465,13.72816281315,3.968442302204)); #477808=CARTESIAN_POINT('Ctrl Pts',(6.076411359831,13.71856188611,3.945918480356)); #477809=CARTESIAN_POINT('Ctrl Pts',(6.118527354053,14.32254110992,3.926083130149)); #477810=CARTESIAN_POINT('Ctrl Pts',(6.075331676261,14.32029921096,3.947246058692)); #477811=CARTESIAN_POINT('Ctrl Pts',(6.00271193736,14.29679380215,3.978518267524)); #477812=CARTESIAN_POINT('Ctrl Pts',(5.9182888235,14.22552130966,4.005284223284)); #477813=CARTESIAN_POINT('Ctrl Pts',(5.86513250497,14.14437144714,4.014222289144)); #477814=CARTESIAN_POINT('Ctrl Pts',(5.83658330869,14.05141926373,4.008250650994)); #477815=CARTESIAN_POINT('Ctrl Pts',(5.83555098245,13.95613680266,3.987977572214)); #477816=CARTESIAN_POINT('Ctrl Pts',(5.86214023083,13.86823365774,3.955469181444)); #477817=CARTESIAN_POINT('Ctrl Pts',(5.922269904712,13.78467900606,3.907097274104)); #477818=CARTESIAN_POINT('Ctrl Pts',(5.985752271957,13.74964541122,3.867632014324)); #477819=CARTESIAN_POINT('Ctrl Pts',(6.027176021881,13.74025922026,3.844819994393)); #477820=CARTESIAN_POINT('Ctrl Pts',(6.067939584306,14.34456404816,3.824474930028)); #477821=CARTESIAN_POINT('Ctrl Pts',(6.024622850218,14.34238499874,3.845560667935)); #477822=CARTESIAN_POINT('Ctrl Pts',(5.951813041267,14.31891072339,3.876754617483)); #477823=CARTESIAN_POINT('Ctrl Pts',(5.8672509968,14.24753028501,3.903522661204)); #477824=CARTESIAN_POINT('Ctrl Pts',(5.81409627296,14.16621162284,3.912532005764)); #477825=CARTESIAN_POINT('Ctrl Pts',(5.78568480238,14.07305559405,3.906691210994)); #477826=CARTESIAN_POINT('Ctrl Pts',(5.78492068753,13.97758252606,3.886596989634)); #477827=CARTESIAN_POINT('Ctrl Pts',(5.81188155874,13.88954961044,3.854303292604)); #477828=CARTESIAN_POINT('Ctrl Pts',(5.872512434958,13.80596023296,3.806209657746)); #477829=CARTESIAN_POINT('Ctrl Pts',(5.936351480679,13.77103143755,3.766955459379)); #477830=CARTESIAN_POINT('Ctrl Pts',(5.977952450388,13.76175742403,3.744267941261)); #477831=CARTESIAN_POINT('Ctrl Pts',(6.018806894621,14.36632505265,3.72088721225)); #477832=CARTESIAN_POINT('Ctrl Pts',(5.975129094363,14.36449365095,3.741604858037)); #477833=CARTESIAN_POINT('Ctrl Pts',(5.901679111132,14.34143921909,3.772328123483)); #477834=CARTESIAN_POINT('Ctrl Pts',(5.81641030002,14.27022545409,3.798834246514)); #477835=CARTESIAN_POINT('Ctrl Pts',(5.76288709391,14.18878456188,3.807899901344)); #477836=CARTESIAN_POINT('Ctrl Pts',(5.7344151938,14.09530452353,3.802384774284)); #477837=CARTESIAN_POINT('Ctrl Pts',(5.73392258857,13.99939699955,3.782855836594)); #477838=CARTESIAN_POINT('Ctrl Pts',(5.7614595015,13.91092327252,3.751321636974)); #477839=CARTESIAN_POINT('Ctrl Pts',(5.823029877498,13.82692866376,3.704274123278)); #477840=CARTESIAN_POINT('Ctrl Pts',(5.887658788954,13.7918919083,3.665840834573)); #477841=CARTESIAN_POINT('Ctrl Pts',(5.929698550733,13.78264533964,3.643632930609)); #477842=CARTESIAN_POINT('Ctrl Pts',(5.972577270239,14.3873896541,3.614052723702)); #477843=CARTESIAN_POINT('Ctrl Pts',(5.9281919155,14.38630813029,3.633900675261)); #477844=CARTESIAN_POINT('Ctrl Pts',(5.853451517653,14.36422859809,3.663454319469)); #477845=CARTESIAN_POINT('Ctrl Pts',(5.7666555692,14.29358509559,3.689180502794)); #477846=CARTESIAN_POINT('Ctrl Pts',(5.71222960993,14.21210303164,3.698210482954)); #477847=CARTESIAN_POINT('Ctrl Pts',(5.68341201975,14.11814362037,3.693286336224)); #477848=CARTESIAN_POINT('Ctrl Pts',(5.68319724486,14.02146859298,3.674919574004)); #477849=CARTESIAN_POINT('Ctrl Pts',(5.71160715238,13.93212184059,3.645019002354)); #477850=CARTESIAN_POINT('Ctrl Pts',(5.774751332917,13.84720141777,3.600270653336)); #477851=CARTESIAN_POINT('Ctrl Pts',(5.840795088225,13.81175331138,3.563662058125)); #477852=CARTESIAN_POINT('Ctrl Pts',(5.883650036453,13.80241137639,3.542516886421)); #477853=CARTESIAN_POINT('Ctrl Pts',(5.927682456138,14.40700288296,3.507807426808)); #477854=CARTESIAN_POINT('Ctrl Pts',(5.882868968314,14.40633147759,3.527077298138)); #477855=CARTESIAN_POINT('Ctrl Pts',(5.807363173403,14.38476830148,3.555840466382)); #477856=CARTESIAN_POINT('Ctrl Pts',(5.7197019579,14.3143824771,3.581011396644)); #477857=CARTESIAN_POINT('Ctrl Pts',(5.6648031343,14.23281930721,3.589978748454)); #477858=CARTESIAN_POINT('Ctrl Pts',(5.63586704502,14.13853937415,3.585405743724)); #477859=CARTESIAN_POINT('Ctrl Pts',(5.63591974925,14.04140032423,3.567770310904)); #477860=CARTESIAN_POINT('Ctrl Pts',(5.66495523259,13.95155884961,3.538916688994)); #477861=CARTESIAN_POINT('Ctrl Pts',(5.729149328671,13.86615478575,3.495654057149)); #477862=CARTESIAN_POINT('Ctrl Pts',(5.796092881609,13.83054091305,3.460229570012)); #477863=CARTESIAN_POINT('Ctrl Pts',(5.839452699134,13.82119677214,3.439773238048)); #477864=CARTESIAN_POINT('Ctrl Pts',(5.883280351091,14.42578439006,3.40224010886)); #477865=CARTESIAN_POINT('Ctrl Pts',(5.838244069154,14.42527812239,3.421217461725)); #477866=CARTESIAN_POINT('Ctrl Pts',(5.762360063512,14.40389596127,3.44958370513)); #477867=CARTESIAN_POINT('Ctrl Pts',(5.67432309623,14.33353026736,3.474482009614)); #477868=CARTESIAN_POINT('Ctrl Pts',(5.61926986171,14.25184539668,3.483426145954)); #477869=CARTESIAN_POINT('Ctrl Pts',(5.59038387562,14.15734836474,3.479039399724)); #477870=CARTESIAN_POINT('Ctrl Pts',(5.59069686238,14.05995518935,3.461781859474)); #477871=CARTESIAN_POINT('Ctrl Pts',(5.62017544067,13.96988590661,3.433464710354)); #477872=CARTESIAN_POINT('Ctrl Pts',(5.685033182214,13.88431411041,3.390960462523)); #477873=CARTESIAN_POINT('Ctrl Pts',(5.752497656645,13.84871279259,3.356139206451)); #477874=CARTESIAN_POINT('Ctrl Pts',(5.796134818299,13.83943529896,3.336033988168)); #477875=CARTESIAN_POINT('Ctrl Pts',(5.823506012098,14.45017628173,3.263256195056)); #477876=CARTESIAN_POINT('Ctrl Pts',(5.778490524506,14.44951959626,3.282326142856)); #477877=CARTESIAN_POINT('Ctrl Pts',(5.702700324803,14.42786882625,3.310839131806)); #477878=CARTESIAN_POINT('Ctrl Pts',(5.6149172853,14.35716331569,3.335881891624)); #477879=CARTESIAN_POINT('Ctrl Pts',(5.56014886641,14.27524743826,3.344893334504)); #477880=CARTESIAN_POINT('Ctrl Pts',(5.53158938179,14.18061035342,3.340509634164)); #477881=CARTESIAN_POINT('Ctrl Pts',(5.53223856825,14.08319030916,3.323190894984)); #477882=CARTESIAN_POINT('Ctrl Pts',(5.56202770356,13.99321791629,3.294756078734)); #477883=CARTESIAN_POINT('Ctrl Pts',(5.627177253986,13.9079002318,3.252065537005)); #477884=CARTESIAN_POINT('Ctrl Pts',(5.694754904187,13.87258873644,3.217087929986)); #477885=CARTESIAN_POINT('Ctrl Pts',(5.738412849827,13.863507445,3.196893019144)); #477886=CARTESIAN_POINT('Ctrl Pts',(5.741819302762,14.48302481114,3.075570864977)); #477887=CARTESIAN_POINT('Ctrl Pts',(5.696865466129,14.48213415156,3.094826445897)); #477888=CARTESIAN_POINT('Ctrl Pts',(5.621261563185,14.46007708958,3.12362557763)); #477889=CARTESIAN_POINT('Ctrl Pts',(5.533892272886,14.38887918061,3.148935765279)); #477890=CARTESIAN_POINT('Ctrl Pts',(5.479552039592,14.30664292942,3.158059297432)); #477891=CARTESIAN_POINT('Ctrl Pts',(5.451453753269,14.21182731909,3.153658100008)); #477892=CARTESIAN_POINT('Ctrl Pts',(5.452550966905,14.11439697588,3.136194460614)); #477893=CARTESIAN_POINT('Ctrl Pts',(5.482727804968,14.0245914244,3.107503955292)); #477894=CARTESIAN_POINT('Ctrl Pts',(5.548209187703,13.93966332304,3.064419566093)); #477895=CARTESIAN_POINT('Ctrl Pts',(5.615880027756,13.90477381677,3.029115424333)); #477896=CARTESIAN_POINT('Ctrl Pts',(5.659529924272,13.89597193473,3.008732582578)); #477897=CARTESIAN_POINT('Ctrl Pts',(5.673651711935,14.50974669634,2.923702127942)); #477898=CARTESIAN_POINT('Ctrl Pts',(5.628837545471,14.50857819214,2.943251688413)); #477899=CARTESIAN_POINT('Ctrl Pts',(5.553547194275,14.48606887673,2.972488304942)); #477900=CARTESIAN_POINT('Ctrl Pts',(5.466710783546,14.41438018446,2.998178889893)); #477901=CARTESIAN_POINT('Ctrl Pts',(5.412841822138,14.33186372721,3.007435549301)); #477902=CARTESIAN_POINT('Ctrl Pts',(5.385178639018,14.23693581916,3.002960847384)); #477903=CARTESIAN_POINT('Ctrl Pts',(5.386628438231,14.13957068086,2.985224725448)); #477904=CARTESIAN_POINT('Ctrl Pts',(5.417038375265,14.04999870917,2.956091060583)); #477905=CARTESIAN_POINT('Ctrl Pts',(5.482624705996,13.96550880788,2.912343705447)); #477906=CARTESIAN_POINT('Ctrl Pts',(5.550212659658,13.93103738693,2.876497292119)); #477907=CARTESIAN_POINT('Ctrl Pts',(5.593760547073,13.92249556478,2.85580121797)); #477908=CARTESIAN_POINT('Ctrl Pts',(5.63760118544,14.52431284393,2.838552185501)); #477909=CARTESIAN_POINT('Ctrl Pts',(5.592565109106,14.52333108195,2.857821068215)); #477910=CARTESIAN_POINT('Ctrl Pts',(5.516886516711,14.5010397869,2.886678158704)); #477911=CARTESIAN_POINT('Ctrl Pts',(5.429637067212,14.4294161182,2.912110639285)); #477912=CARTESIAN_POINT('Ctrl Pts',(5.375568958823,14.34680799111,2.92134842232)); #477913=CARTESIAN_POINT('Ctrl Pts',(5.347902679128,14.25168173735,2.917055657841)); #477914=CARTESIAN_POINT('Ctrl Pts',(5.349556151215,14.15406820976,2.899684771818)); #477915=CARTESIAN_POINT('Ctrl Pts',(5.380354467404,14.06426063132,2.871067769991)); #477916=CARTESIAN_POINT('Ctrl Pts',(5.446548685847,13.97957892119,2.82804956596)); #477917=CARTESIAN_POINT('Ctrl Pts',(5.514629553622,13.94509139464,2.792782619301)); #477918=CARTESIAN_POINT('Ctrl Pts',(5.558444106293,13.93659645437,2.772423908715)); #477919=CARTESIAN_POINT('Origin',(6.40748417835835,13.8936748790725,4.47190651616947)); #477920=CARTESIAN_POINT('Ctrl Pts',(6.490447567237,14.15315625122,4.670577076007)); #477921=CARTESIAN_POINT('Ctrl Pts',(6.448296756746,14.15011530787,4.692704036969)); #477922=CARTESIAN_POINT('Ctrl Pts',(6.377473985584,14.12582791568,4.72501322023)); #477923=CARTESIAN_POINT('Ctrl Pts',(6.294685167771,14.05485503178,4.751970343601)); #477924=CARTESIAN_POINT('Ctrl Pts',(6.24201078318,13.97490902956,4.760289293744)); #477925=CARTESIAN_POINT('Ctrl Pts',(6.212716497733,13.8836703723,4.753039695341)); #477926=CARTESIAN_POINT('Ctrl Pts',(6.209710177031,13.79019428591,4.730940780383)); #477927=CARTESIAN_POINT('Ctrl Pts',(6.233289731944,13.70375814099,4.69618604726)); #477928=CARTESIAN_POINT('Ctrl Pts',(6.289173688647,13.62100900034,4.644817498498)); #477929=CARTESIAN_POINT('Ctrl Pts',(6.349511339349,13.58556062843,4.603038244338)); #477930=CARTESIAN_POINT('Ctrl Pts',(6.389340791762,13.57552923309,4.578853327384)); #477931=CARTESIAN_POINT('Ctrl Pts',(6.459503444978,14.16808464289,4.611752738333)); #477932=CARTESIAN_POINT('Ctrl Pts',(6.417159168526,14.16524447404,4.633739637963)); #477933=CARTESIAN_POINT('Ctrl Pts',(6.345992772474,14.14119230201,4.665893131334)); #477934=CARTESIAN_POINT('Ctrl Pts',(6.262826175842,14.0702962424,4.692816576937)); #477935=CARTESIAN_POINT('Ctrl Pts',(6.209957594171,13.99026021952,4.701226517514)); #477936=CARTESIAN_POINT('Ctrl Pts',(6.1806371522,13.89881489842,4.694175561356)); #477937=CARTESIAN_POINT('Ctrl Pts',(6.177786331178,13.8050703938,4.672366027404)); #477938=CARTESIAN_POINT('Ctrl Pts',(6.201688757783,13.71836590847,4.637971142404)); #477939=CARTESIAN_POINT('Ctrl Pts',(6.25809782697,13.63537242294,4.587079168461)); #477940=CARTESIAN_POINT('Ctrl Pts',(6.318877682107,13.59986096899,4.545665945918)); #477941=CARTESIAN_POINT('Ctrl Pts',(6.358953814122,13.58984798672,4.521696333467)); #477942=CARTESIAN_POINT('Ctrl Pts',(6.429617509027,14.18268727818,4.551761126174)); #477943=CARTESIAN_POINT('Ctrl Pts',(6.386965546191,14.1801888275,4.573475480265)); #477944=CARTESIAN_POINT('Ctrl Pts',(6.315240671317,14.15656434774,4.605297471284)); #477945=CARTESIAN_POINT('Ctrl Pts',(6.231423861618,14.08588213885,4.632074703723)); #477946=CARTESIAN_POINT('Ctrl Pts',(6.178182488405,14.00578078751,4.640576483776)); #477947=CARTESIAN_POINT('Ctrl Pts',(6.148738427999,13.91407132624,4.633820568935)); #477948=CARTESIAN_POINT('Ctrl Pts',(6.14604128632,13.81993938067,4.612483482308)); #477949=CARTESIAN_POINT('Ctrl Pts',(6.170360808214,13.73281326269,4.578702792915)); #477950=CARTESIAN_POINT('Ctrl Pts',(6.22750124519,13.64939086063,4.528643751663)); #477951=CARTESIAN_POINT('Ctrl Pts',(6.288929472478,13.61370830468,4.487878730962)); #477952=CARTESIAN_POINT('Ctrl Pts',(6.329377568341,13.60366960683,4.464288977599)); #477953=CARTESIAN_POINT('Ctrl Pts',(6.399834677816,14.19670970183,4.492403415935)); #477954=CARTESIAN_POINT('Ctrl Pts',(6.357013924096,14.19437420635,4.513970580958)); #477955=CARTESIAN_POINT('Ctrl Pts',(6.284992577334,14.17093776847,4.545616052513)); #477956=CARTESIAN_POINT('Ctrl Pts',(6.200858579662,14.10030786059,4.572321051423)); #477957=CARTESIAN_POINT('Ctrl Pts',(6.147463067105,14.02012228728,4.580879179887)); #477958=CARTESIAN_POINT('Ctrl Pts',(6.118014843376,13.92823392416,4.574289328178)); #477959=CARTESIAN_POINT('Ctrl Pts',(6.115473457127,13.83387600668,4.553213393889)); #477960=CARTESIAN_POINT('Ctrl Pts',(6.140093794547,13.74653001347,4.519769387957)); #477961=CARTESIAN_POINT('Ctrl Pts',(6.197710573558,13.66291659437,4.470164903743)); #477962=CARTESIAN_POINT('Ctrl Pts',(6.259531378665,13.62719791025,4.42975274997)); #477963=CARTESIAN_POINT('Ctrl Pts',(6.300195448685,13.6171865036,4.406369928502)); #477964=CARTESIAN_POINT('Origin',(6.45523281942019,13.8715525160936,4.56208943522332)); #477965=CARTESIAN_POINT('Ctrl Pts',(7.132914497217,13.85173305456,6.040052395564)); #477966=CARTESIAN_POINT('Ctrl Pts',(7.085882220104,13.85670070725,6.053615127924)); #477967=CARTESIAN_POINT('Ctrl Pts',(7.005079868159,13.8441676309,6.073902136349)); #477968=CARTESIAN_POINT('Ctrl Pts',(6.907929468829,13.78345174932,6.091763997123)); #477969=CARTESIAN_POINT('Ctrl Pts',(6.844437685518,13.70750843401,6.098250442871)); #477970=CARTESIAN_POINT('Ctrl Pts',(6.807270522426,13.61558198274,6.095257522933)); #477971=CARTESIAN_POINT('Ctrl Pts',(6.800351007476,13.51737352297,6.083100830521)); #477972=CARTESIAN_POINT('Ctrl Pts',(6.82440881774,13.42324743967,6.063063255224)); #477973=CARTESIAN_POINT('Ctrl Pts',(6.885751112988,13.32963982565,6.03291112308)); #477974=CARTESIAN_POINT('Ctrl Pts',(6.95354506295,13.28624628891,6.008177831067)); #477975=CARTESIAN_POINT('Ctrl Pts',(6.998416156307,13.27211273991,5.993893611324)); #477976=CARTESIAN_POINT('Ctrl Pts',(7.118189457662,13.85870697159,5.99260314378)); #477977=CARTESIAN_POINT('Ctrl Pts',(7.071400769104,13.86326173621,6.006871301383)); #477978=CARTESIAN_POINT('Ctrl Pts',(6.991106987182,13.85012726099,6.028186895605)); #477979=CARTESIAN_POINT('Ctrl Pts',(6.894684290858,13.78890468226,6.04690504537)); #477980=CARTESIAN_POINT('Ctrl Pts',(6.831727236998,13.71278207429,6.053654408467)); #477981=CARTESIAN_POINT('Ctrl Pts',(6.794925185985,13.62091978256,6.050429380506)); #477982=CARTESIAN_POINT('Ctrl Pts',(6.788147768083,13.52297602112,6.037569078306)); #477983=CARTESIAN_POINT('Ctrl Pts',(6.812106887023,13.42924845945,6.016426444095)); #477984=CARTESIAN_POINT('Ctrl Pts',(6.87307584994,13.33617019055,5.984642048351)); #477985=CARTESIAN_POINT('Ctrl Pts',(6.940429222399,13.2931286548,5.958581651272)); #477986=CARTESIAN_POINT('Ctrl Pts',(6.985018903656,13.27915848333,5.943529134542)); #477987=CARTESIAN_POINT('Ctrl Pts',(7.090010049087,13.87144024772,5.916178886207)); #477988=CARTESIAN_POINT('Ctrl Pts',(7.043901212033,13.87492671248,5.932030369017)); #477989=CARTESIAN_POINT('Ctrl Pts',(6.964985434168,13.86025725452,5.955618470288)); #477990=CARTESIAN_POINT('Ctrl Pts',(6.870470997595,13.79778382814,5.976158479934)); #477991=CARTESIAN_POINT('Ctrl Pts',(6.808875676785,13.72125954599,5.983394309183)); #477992=CARTESIAN_POINT('Ctrl Pts',(6.772956084814,13.62962559872,5.979540034243)); #477993=CARTESIAN_POINT('Ctrl Pts',(6.766450960592,13.53241945636,5.964996973278)); #477994=CARTESIAN_POINT('Ctrl Pts',(6.790036672112,13.43975849202,5.941279912166)); #477995=CARTESIAN_POINT('Ctrl Pts',(6.849889793529,13.34806540622,5.905732853889)); #477996=CARTESIAN_POINT('Ctrl Pts',(6.915999254342,13.3059208245,5.876628904093)); #477997=CARTESIAN_POINT('Ctrl Pts',(6.959806734059,13.29235692043,5.859811911177)); #477998=CARTESIAN_POINT('Ctrl Pts',(7.046964949958,13.89158420198,5.809071473682)); #477999=CARTESIAN_POINT('Ctrl Pts',(7.001550752807,13.89397649007,5.826276740816)); #478000=CARTESIAN_POINT('Ctrl Pts',(6.924037027697,13.87773222246,5.851776137519)); #478001=CARTESIAN_POINT('Ctrl Pts',(6.83147143065,13.81396186424,5.873785280526)); #478002=CARTESIAN_POINT('Ctrl Pts',(6.77128024657,13.73700572402,5.881344578989)); #478003=CARTESIAN_POINT('Ctrl Pts',(6.73629410784,13.64558329766,5.876854620159)); #478004=CARTESIAN_POINT('Ctrl Pts',(6.73011892013,13.54911612952,5.860778022711)); #478005=CARTESIAN_POINT('Ctrl Pts',(6.75339047514,13.45754574421,5.834772060138)); #478006=CARTESIAN_POINT('Ctrl Pts',(6.812189839351,13.367295654,5.795913849087)); #478007=CARTESIAN_POINT('Ctrl Pts',(6.877083527814,13.32611620516,5.764145075836)); #478008=CARTESIAN_POINT('Ctrl Pts',(6.920114461931,13.3130073544,5.745781020282)); #478009=CARTESIAN_POINT('Ctrl Pts',(7.008161699105,13.91011059575,5.716418961736)); #478010=CARTESIAN_POINT('Ctrl Pts',(6.963185624722,13.91179836706,5.734411404135)); #478011=CARTESIAN_POINT('Ctrl Pts',(6.886559931393,13.89453311629,5.761013465834)); #478012=CARTESIAN_POINT('Ctrl Pts',(6.79524507815,13.82990297969,5.783852398288)); #478013=CARTESIAN_POINT('Ctrl Pts',(6.73597116616,13.75264090131,5.791574022832)); #478014=CARTESIAN_POINT('Ctrl Pts',(6.70161849539,13.66132582578,5.78668688425)); #478015=CARTESIAN_POINT('Ctrl Pts',(6.69570653523,13.5653117578,5.769691417807)); #478016=CARTESIAN_POINT('Ctrl Pts',(6.71884034419,13.47443419591,5.742328890937)); #478017=CARTESIAN_POINT('Ctrl Pts',(6.777043454688,13.38512480739,5.701517347878)); #478018=CARTESIAN_POINT('Ctrl Pts',(6.84120851752,13.34459892732,5.668180146773)); #478019=CARTESIAN_POINT('Ctrl Pts',(6.883764034518,13.33181108832,5.648904961384)); #478020=CARTESIAN_POINT('Ctrl Pts',(6.956452350104,13.93584000138,5.590451639493)); #478021=CARTESIAN_POINT('Ctrl Pts',(6.911619775248,13.93721246896,5.608737614677)); #478022=CARTESIAN_POINT('Ctrl Pts',(6.83531762726,13.91945443102,5.63575979656)); #478023=CARTESIAN_POINT('Ctrl Pts',(6.74453752914,13.85432618671,5.658932842136)); #478024=CARTESIAN_POINT('Ctrl Pts',(6.68572044488,13.77680498277,5.666740496366)); #478025=CARTESIAN_POINT('Ctrl Pts',(6.65176968672,13.68541435702,5.661731780481)); #478026=CARTESIAN_POINT('Ctrl Pts',(6.64615926477,13.58950433299,5.644418888664)); #478027=CARTESIAN_POINT('Ctrl Pts',(6.66946266081,13.49888748496,5.616573273352)); #478028=CARTESIAN_POINT('Ctrl Pts',(6.72769291568,13.41002110269,5.575057124216)); #478029=CARTESIAN_POINT('Ctrl Pts',(6.791728430301,13.36988495036,5.541150537861)); #478030=CARTESIAN_POINT('Ctrl Pts',(6.834164362427,13.35732773687,5.521545166498)); #478031=CARTESIAN_POINT('Ctrl Pts',(6.890766860677,13.96813772251,5.433764713354)); #478032=CARTESIAN_POINT('Ctrl Pts',(6.846155689074,13.96907255562,5.452510008753)); #478033=CARTESIAN_POINT('Ctrl Pts',(6.770332699598,13.95063599553,5.480191678063)); #478034=CARTESIAN_POINT('Ctrl Pts',(6.68030978127,13.88483449807,5.503893447621)); #478035=CARTESIAN_POINT('Ctrl Pts',(6.62211808253,13.80697394932,5.511841974076)); #478036=CARTESIAN_POINT('Ctrl Pts',(6.58869465729,13.7155002734,5.506649753449)); #478037=CARTESIAN_POINT('Ctrl Pts',(6.58345281934,13.61975413225,5.488846865591)); #478038=CARTESIAN_POINT('Ctrl Pts',(6.60692722026,13.52951251377,5.460251719088)); #478039=CARTESIAN_POINT('Ctrl Pts',(6.665111349264,13.44126585883,5.417640072218)); #478040=CARTESIAN_POINT('Ctrl Pts',(6.728908284158,13.40166243215,5.382847328814)); #478041=CARTESIAN_POINT('Ctrl Pts',(6.771148285097,13.3894159547,5.362728218745)); #478042=CARTESIAN_POINT('Ctrl Pts',(6.805594125159,14.00808932356,5.251595902661)); #478043=CARTESIAN_POINT('Ctrl Pts',(6.761737327986,14.00783621239,5.271516683261)); #478044=CARTESIAN_POINT('Ctrl Pts',(6.687431676412,13.98767173425,5.300825013947)); #478045=CARTESIAN_POINT('Ctrl Pts',(6.59954839269,13.92039433185,5.325709697827)); #478046=CARTESIAN_POINT('Ctrl Pts',(6.54293830368,13.84198708589,5.333841401946)); #478047=CARTESIAN_POINT('Ctrl Pts',(6.51063080323,13.75066243672,5.327992695609)); #478048=CARTESIAN_POINT('Ctrl Pts',(6.50589365454,13.65565707936,5.308755119829)); #478049=CARTESIAN_POINT('Ctrl Pts',(6.52920530833,13.56657990808,5.278075139819)); #478050=CARTESIAN_POINT('Ctrl Pts',(6.586465251901,13.47994866107,5.232482018919)); #478051=CARTESIAN_POINT('Ctrl Pts',(6.649079113616,13.44150448872,5.195303498534)); #478052=CARTESIAN_POINT('Ctrl Pts',(6.690532747681,13.42984732777,5.173797129117)); #478053=CARTESIAN_POINT('Ctrl Pts',(6.714036417329,14.05025540841,5.072838713739)); #478054=CARTESIAN_POINT('Ctrl Pts',(6.670971686962,14.04875824725,5.093799329201)); #478055=CARTESIAN_POINT('Ctrl Pts',(6.598260407683,14.02679796349,5.124511689418)); #478056=CARTESIAN_POINT('Ctrl Pts',(6.51263070781,13.95801059898,5.1503445295)); #478057=CARTESIAN_POINT('Ctrl Pts',(6.45769205863,13.87906491378,5.158534909)); #478058=CARTESIAN_POINT('Ctrl Pts',(6.42657250037,13.78792685567,5.151995098397)); #478059=CARTESIAN_POINT('Ctrl Pts',(6.42238682094,13.69371855229,5.131379780849)); #478060=CARTESIAN_POINT('Ctrl Pts',(6.44555327466,13.60586926521,5.09875329218)); #478061=CARTESIAN_POINT('Ctrl Pts',(6.501875085248,13.52092317052,5.050411347064)); #478062=CARTESIAN_POINT('Ctrl Pts',(6.563268641738,13.48367484558,5.011047248429)); #478063=CARTESIAN_POINT('Ctrl Pts',(6.603907443753,13.47262086259,4.988267769558)); #478064=CARTESIAN_POINT('Ctrl Pts',(6.616023483665,14.09429010777,4.898237264467)); #478065=CARTESIAN_POINT('Ctrl Pts',(6.573901028523,14.09139429266,4.920245564586)); #478066=CARTESIAN_POINT('Ctrl Pts',(6.503049406431,14.06744018006,4.952335149187)); #478067=CARTESIAN_POINT('Ctrl Pts',(6.41999167007,13.9970248878,4.979016708943)); #478068=CARTESIAN_POINT('Ctrl Pts',(6.36692609615,13.9175446068,4.987152751635)); #478069=CARTESIAN_POINT('Ctrl Pts',(6.33710016514,13.82668604758,4.979793553113)); #478070=CARTESIAN_POINT('Ctrl Pts',(6.33346285526,13.73343204397,4.957666575012)); #478071=CARTESIAN_POINT('Ctrl Pts',(6.35637318173,13.64700220957,4.922959955968)); #478072=CARTESIAN_POINT('Ctrl Pts',(6.411518263873,13.56396880874,4.871716113099)); #478073=CARTESIAN_POINT('Ctrl Pts',(6.47145111101,13.52805269603,4.830059206766)); #478074=CARTESIAN_POINT('Ctrl Pts',(6.511125371389,13.51766193391,4.805941808996)); #478075=CARTESIAN_POINT('Ctrl Pts',(6.525300953612,14.13636460095,4.737278677566)); #478076=CARTESIAN_POINT('Ctrl Pts',(6.483379266288,14.13308626554,4.759567853282)); #478077=CARTESIAN_POINT('Ctrl Pts',(6.412962265287,14.10852098333,4.792058396626)); #478078=CARTESIAN_POINT('Ctrl Pts',(6.330620328663,14.03745550999,4.819056293505)); #478079=CARTESIAN_POINT('Ctrl Pts',(6.278176686518,13.95761008415,4.827270690568)); #478080=CARTESIAN_POINT('Ctrl Pts',(6.248918237815,13.86660894144,4.81979030497)); #478081=CARTESIAN_POINT('Ctrl Pts',(6.245736573535,13.77344391322,4.79735403248)); #478082=CARTESIAN_POINT('Ctrl Pts',(6.268945720949,13.68732074646,4.762179070407)); #478083=CARTESIAN_POINT('Ctrl Pts',(6.324223819319,13.60485932601,4.710253479081)); #478084=CARTESIAN_POINT('Ctrl Pts',(6.384049158925,13.5694888879,4.668046174535)); #478085=CARTESIAN_POINT('Ctrl Pts',(6.423592145374,13.55943934249,4.643609518698)); #478086=CARTESIAN_POINT('Ctrl Pts',(6.469932938974,14.16301161449,4.631874716926)); #478087=CARTESIAN_POINT('Ctrl Pts',(6.427672118365,14.16008364534,4.653922489339)); #478088=CARTESIAN_POINT('Ctrl Pts',(6.356653533713,14.13592707454,4.686146853692)); #478089=CARTESIAN_POINT('Ctrl Pts',(6.273652436143,14.06499034837,4.713092833997)); #478090=CARTESIAN_POINT('Ctrl Pts',(6.220872270971,13.98498223887,4.721470551723)); #478091=CARTESIAN_POINT('Ctrl Pts',(6.191572889486,13.89361494047,4.714339521213)); #478092=CARTESIAN_POINT('Ctrl Pts',(6.188669315223,13.7999772275,4.692408940426)); #478093=CARTESIAN_POINT('Ctrl Pts',(6.212449972356,13.71338376886,4.657860774781)); #478094=CARTESIAN_POINT('Ctrl Pts',(6.268654318022,13.63049707731,4.606763809476)); #478095=CARTESIAN_POINT('Ctrl Pts',(6.329257628404,13.59502081184,4.565192281202)); #478096=CARTESIAN_POINT('Ctrl Pts',(6.369233931959,13.58500710508,4.54112970555)); #478097=CARTESIAN_POINT('Ctrl Pts',(6.447439348241,14.17400140369,4.586867962722)); #478098=CARTESIAN_POINT('Ctrl Pts',(6.40495087867,14.17132580288,4.608715627625)); #478099=CARTESIAN_POINT('Ctrl Pts',(6.333519391255,14.1474845482,4.640697265246)); #478100=CARTESIAN_POINT('Ctrl Pts',(6.250038344322,14.07670409985,4.667537814579)); #478101=CARTESIAN_POINT('Ctrl Pts',(6.196983754167,13.99664597889,4.67598502386)); #478102=CARTESIAN_POINT('Ctrl Pts',(6.167594648341,13.90508182001,4.669072868707)); #478103=CARTESIAN_POINT('Ctrl Pts',(6.164806715107,13.81115650369,4.647491438495)); #478104=CARTESIAN_POINT('Ctrl Pts',(6.188897933007,13.72425081055,4.613396423624)); #478105=CARTESIAN_POINT('Ctrl Pts',(6.245645499367,13.64104734901,4.562913466437)); #478106=CARTESIAN_POINT('Ctrl Pts',(6.306729655904,13.60544571927,4.52181954687)); #478107=CARTESIAN_POINT('Ctrl Pts',(6.346981617776,13.59541397351,4.498036896089)); #478108=CARTESIAN_POINT('Origin',(7.08061500827834,13.5630005301198,5.96106414982193)); #478109=CARTESIAN_POINT('Ctrl Pts',(7.236907277055,13.79836598016,6.453952192602)); #478110=CARTESIAN_POINT('Ctrl Pts',(7.189841774319,13.80415142433,6.465598604546)); #478111=CARTESIAN_POINT('Ctrl Pts',(7.108451590703,13.79325997861,6.48315278615)); #478112=CARTESIAN_POINT('Ctrl Pts',(7.009832278166,13.73450271201,6.49878479195)); #478113=CARTESIAN_POINT('Ctrl Pts',(6.944752288608,13.65930755382,6.504593415576)); #478114=CARTESIAN_POINT('Ctrl Pts',(6.906311382198,13.56743908112,6.502152022592)); #478115=CARTESIAN_POINT('Ctrl Pts',(6.898599714982,13.46867069927,6.491720190902)); #478116=CARTESIAN_POINT('Ctrl Pts',(6.9224370804,13.37351013133,6.474407888708)); #478117=CARTESIAN_POINT('Ctrl Pts',(6.983967580159,13.27870932333,6.448386271069)); #478118=CARTESIAN_POINT('Ctrl Pts',(7.052096869516,13.23424402346,6.427061298793)); #478119=CARTESIAN_POINT('Ctrl Pts',(7.097094962108,13.21940740465,6.41477492815)); #478120=CARTESIAN_POINT('Ctrl Pts',(7.223686604704,13.80485174331,6.404684459579)); #478121=CARTESIAN_POINT('Ctrl Pts',(7.17679161362,13.8103315671,6.416879893249)); #478122=CARTESIAN_POINT('Ctrl Pts',(7.095765008855,13.79898918494,6.435242727483)); #478123=CARTESIAN_POINT('Ctrl Pts',(6.997679820561,13.73984225849,6.451559541528)); #478124=CARTESIAN_POINT('Ctrl Pts',(6.933002900283,13.66450069657,6.457588187413)); #478125=CARTESIAN_POINT('Ctrl Pts',(6.89484747442,13.57266845153,6.454976317334)); #478126=CARTESIAN_POINT('Ctrl Pts',(6.887262403843,13.47408876987,6.444000911951)); #478127=CARTESIAN_POINT('Ctrl Pts',(6.911051874791,13.37922105126,6.425826700225)); #478128=CARTESIAN_POINT('Ctrl Pts',(6.972337367016,13.28481452819,6.398531833735)); #478129=CARTESIAN_POINT('Ctrl Pts',(7.040162384486,13.24061667043,6.376171937525)); #478130=CARTESIAN_POINT('Ctrl Pts',(7.084963596587,13.22590679172,6.363288003447)); #478131=CARTESIAN_POINT('Ctrl Pts',(7.203998750398,13.8158146936,6.316719387838)); #478132=CARTESIAN_POINT('Ctrl Pts',(7.156859538949,13.82160463633,6.328207345496)); #478133=CARTESIAN_POINT('Ctrl Pts',(7.075360574962,13.81068519386,6.345532105436)); #478134=CARTESIAN_POINT('Ctrl Pts',(6.976678735018,13.75182219026,6.360977417665)); #478135=CARTESIAN_POINT('Ctrl Pts',(6.911625166879,13.676507186,6.366733849325)); #478136=CARTESIAN_POINT('Ctrl Pts',(6.873297476274,13.58451359694,6.364353301782)); #478137=CARTESIAN_POINT('Ctrl Pts',(6.865779349201,13.48564145999,6.354089244656)); #478138=CARTESIAN_POINT('Ctrl Pts',(6.889871109105,13.39042385332,6.337035329492)); #478139=CARTESIAN_POINT('Ctrl Pts',(6.951733114779,13.295634149,6.311390981115)); #478140=CARTESIAN_POINT('Ctrl Pts',(7.02009110157,13.25125688297,6.290370936815)); #478141=CARTESIAN_POINT('Ctrl Pts',(7.065200931034,13.23650132324,6.278260895014)); #478142=CARTESIAN_POINT('Ctrl Pts',(7.163334624571,13.83678646552,6.145568663726)); #478143=CARTESIAN_POINT('Ctrl Pts',(7.116267918966,13.84232655133,6.157362952268)); #478144=CARTESIAN_POINT('Ctrl Pts',(7.034969425512,13.83100741072,6.175142146092)); #478145=CARTESIAN_POINT('Ctrl Pts',(6.936672899635,13.77172596183,6.190978465427)); #478146=CARTESIAN_POINT('Ctrl Pts',(6.871978255592,13.69618194315,6.196866828807)); #478147=CARTESIAN_POINT('Ctrl Pts',(6.833991873692,13.6041062906,6.194400546242)); #478148=CARTESIAN_POINT('Ctrl Pts',(6.82675694286,13.50529779313,6.183841902457)); #478149=CARTESIAN_POINT('Ctrl Pts',(6.851042787251,13.41027203606,6.166314687491)); #478150=CARTESIAN_POINT('Ctrl Pts',(6.913005904011,13.31581917657,6.139967563676)); #478151=CARTESIAN_POINT('Ctrl Pts',(6.981321328787,13.27174220095,6.118374886722)); #478152=CARTESIAN_POINT('Ctrl Pts',(7.026370453643,13.25716398201,6.105934422212)); #478153=CARTESIAN_POINT('Ctrl Pts',(7.13016925539,13.85264942942,6.029843192723)); #478154=CARTESIAN_POINT('Ctrl Pts',(7.083594375127,13.85733222107,6.043214508672)); #478155=CARTESIAN_POINT('Ctrl Pts',(7.003336821394,13.84475223329,6.063318576305)); #478156=CARTESIAN_POINT('Ctrl Pts',(6.906554725791,13.78439140033,6.081127914601)); #478157=CARTESIAN_POINT('Ctrl Pts',(6.842992101655,13.70845191688,6.087654666605)); #478158=CARTESIAN_POINT('Ctrl Pts',(6.805793926793,13.61649361349,6.084705647909)); #478159=CARTESIAN_POINT('Ctrl Pts',(6.798888262133,13.51822726,6.072592615712)); #478160=CARTESIAN_POINT('Ctrl Pts',(6.823003518904,13.42402959521,6.052596371339)); #478161=CARTESIAN_POINT('Ctrl Pts',(6.884230560444,13.33068023574,6.022599423324)); #478162=CARTESIAN_POINT('Ctrl Pts',(6.951657924011,13.28734119002,5.998039140528)); #478163=CARTESIAN_POINT('Ctrl Pts',(6.996137715965,13.27310750555,5.983885330821)); #478164=CARTESIAN_POINT('Ctrl Pts',(7.100607453302,13.86606222455,5.95107493421)); #478165=CARTESIAN_POINT('Ctrl Pts',(7.054704152958,13.86969574835,5.965992622232)); #478166=CARTESIAN_POINT('Ctrl Pts',(6.975808318553,13.85559930688,5.988326821732)); #478167=CARTESIAN_POINT('Ctrl Pts',(6.880918685719,13.79399334814,6.007932520682)); #478168=CARTESIAN_POINT('Ctrl Pts',(6.818715810935,13.71764861858,6.014940837944)); #478169=CARTESIAN_POINT('Ctrl Pts',(6.782402376675,13.62591302792,6.01136735472)); #478170=CARTESIAN_POINT('Ctrl Pts',(6.775775716758,13.52837804924,5.997585555262)); #478171=CARTESIAN_POINT('Ctrl Pts',(6.799528123243,13.43524171137,5.975036708558)); #478172=CARTESIAN_POINT('Ctrl Pts',(6.859658678262,13.34326917131,5.941322885817)); #478173=CARTESIAN_POINT('Ctrl Pts',(6.925862427098,13.30082425934,5.913762378014)); #478174=CARTESIAN_POINT('Ctrl Pts',(6.969573347955,13.28699798778,5.897873038827)); #478175=CARTESIAN_POINT('Origin',(7.18368510591743,13.5086886224923,6.37903380292621)); #478176=CARTESIAN_POINT('Ctrl Pts',(7.132490989171,13.86185510429,7.647663532113)); #478177=CARTESIAN_POINT('Ctrl Pts',(7.085512867609,13.87136785072,7.631832269739)); #478178=CARTESIAN_POINT('Ctrl Pts',(7.002309733891,13.86554795923,7.607391642207)); #478179=CARTESIAN_POINT('Ctrl Pts',(6.898580709689,13.81023501911,7.584549964805)); #478180=CARTESIAN_POINT('Ctrl Pts',(6.828430258488,13.73555703388,7.57501861128)); #478181=CARTESIAN_POINT('Ctrl Pts',(6.785205125648,13.64218849751,7.576662275371)); #478182=CARTESIAN_POINT('Ctrl Pts',(6.773801450889,13.54070364749,7.589295044117)); #478183=CARTESIAN_POINT('Ctrl Pts',(6.795510062136,13.44259627952,7.611485957688)); #478184=CARTESIAN_POINT('Ctrl Pts',(6.856390056745,13.34537779287,7.645477150901)); #478185=CARTESIAN_POINT('Ctrl Pts',(6.925313992546,13.30075091408,7.673572409096)); #478186=CARTESIAN_POINT('Ctrl Pts',(6.97087635792,13.2866040396,7.689707663222)); #478187=CARTESIAN_POINT('Ctrl Pts',(7.147134803065,13.8542624816,7.600085707145)); #478188=CARTESIAN_POINT('Ctrl Pts',(7.100166329058,13.86379308747,7.584273898794)); #478189=CARTESIAN_POINT('Ctrl Pts',(7.016972262653,13.85801567428,7.559867720916)); #478190=CARTESIAN_POINT('Ctrl Pts',(6.913227229311,13.80277709698,7.537066052466)); #478191=CARTESIAN_POINT('Ctrl Pts',(6.843040216935,13.72816357788,7.527558461604)); #478192=CARTESIAN_POINT('Ctrl Pts',(6.7997562837,13.63484896504,7.529212090345)); #478193=CARTESIAN_POINT('Ctrl Pts',(6.78827602203,13.53339662618,7.541839981706)); #478194=CARTESIAN_POINT('Ctrl Pts',(6.8098983505,13.43529149954,7.564012380987)); #478195=CARTESIAN_POINT('Ctrl Pts',(6.87067939851,13.3380343134,7.597969962367)); #478196=CARTESIAN_POINT('Ctrl Pts',(6.939546675894,13.29334267536,7.626035461317)); #478197=CARTESIAN_POINT('Ctrl Pts',(6.985086719675,13.27914641409,7.642153918121)); #478198=CARTESIAN_POINT('Ctrl Pts',(7.171908447048,13.84136808412,7.518961982831)); #478199=CARTESIAN_POINT('Ctrl Pts',(7.124927529186,13.85094465888,7.503275565226)); #478200=CARTESIAN_POINT('Ctrl Pts',(7.041704305899,13.84526344156,7.479070157795)); #478201=CARTESIAN_POINT('Ctrl Pts',(6.937890410997,13.79017348339,7.456468847928)); #478202=CARTESIAN_POINT('Ctrl Pts',(6.867623923222,13.7156786562,7.447056374298)); #478203=CARTESIAN_POINT('Ctrl Pts',(6.824243167885,13.62245387402,7.448716507607)); #478204=CARTESIAN_POINT('Ctrl Pts',(6.812655970228,13.52104431109,7.461261692702)); #478205=CARTESIAN_POINT('Ctrl Pts',(6.834172366605,13.42292133726,7.483272607824)); #478206=CARTESIAN_POINT('Ctrl Pts',(6.894847523408,13.32556544984,7.516974279639)); #478207=CARTESIAN_POINT('Ctrl Pts',(6.963669884392,13.28073673548,7.544825037192)); #478208=CARTESIAN_POINT('Ctrl Pts',(7.009201441478,13.2664410959,7.560820644983)); #478209=CARTESIAN_POINT('Ctrl Pts',(7.217217827025,13.81762851266,7.370157285597)); #478210=CARTESIAN_POINT('Ctrl Pts',(7.170295650147,13.82724526876,7.354440679646)); #478211=CARTESIAN_POINT('Ctrl Pts',(7.087145297782,13.82167224076,7.330202261094)); #478212=CARTESIAN_POINT('Ctrl Pts',(6.98332423255,13.76679087075,7.307594170616)); #478213=CARTESIAN_POINT('Ctrl Pts',(6.91296275161,13.69248684194,7.298200659244)); #478214=CARTESIAN_POINT('Ctrl Pts',(6.8693978018,13.59943109011,7.299900899146)); #478215=CARTESIAN_POINT('Ctrl Pts',(6.85755115297,13.49813495528,7.312503069899)); #478216=CARTESIAN_POINT('Ctrl Pts',(6.87876031975,13.40004096226,7.334583416955)); #478217=CARTESIAN_POINT('Ctrl Pts',(6.939067024551,13.30259874476,7.368375251546)); #478218=CARTESIAN_POINT('Ctrl Pts',(7.007662062369,13.2575969639,7.396294438158)); #478219=CARTESIAN_POINT('Ctrl Pts',(7.05309447495,13.24316404094,7.412330249879)); #478220=CARTESIAN_POINT('Ctrl Pts',(7.265923269191,13.79189475103,7.204431617448)); #478221=CARTESIAN_POINT('Ctrl Pts',(7.218472336366,13.80187306058,7.190607044174)); #478222=CARTESIAN_POINT('Ctrl Pts',(7.134485862466,13.79690821761,7.169300234257)); #478223=CARTESIAN_POINT('Ctrl Pts',(7.0298003684,13.74271212639,7.149450827402)); #478224=CARTESIAN_POINT('Ctrl Pts',(6.95897696244,13.66880716456,7.141225544365)); #478225=CARTESIAN_POINT('Ctrl Pts',(6.91527121004,13.57590306466,7.142758346679)); #478226=CARTESIAN_POINT('Ctrl Pts',(6.90361341272,13.47447829204,7.153876572033)); #478227=CARTESIAN_POINT('Ctrl Pts',(6.92531772978,13.37597277219,7.173326147953)); #478228=CARTESIAN_POINT('Ctrl Pts',(6.986495362624,13.27775793214,7.203075574296)); #478229=CARTESIAN_POINT('Ctrl Pts',(7.055895284733,13.23200688859,7.227648750034)); #478230=CARTESIAN_POINT('Ctrl Pts',(7.101824490832,13.2171023859,7.24176363944)); #478231=CARTESIAN_POINT('Ctrl Pts',(7.299941495997,13.77344146415,7.039788661358)); #478232=CARTESIAN_POINT('Ctrl Pts',(7.251501244965,13.78384472807,7.030591177508)); #478233=CARTESIAN_POINT('Ctrl Pts',(7.166061402288,13.77958783251,7.016441823631)); #478234=CARTESIAN_POINT('Ctrl Pts',(7.06011508939,13.72616990585,7.003306596296)); #478235=CARTESIAN_POINT('Ctrl Pts',(6.98883027686,13.65269267167,6.997905680646)); #478236=CARTESIAN_POINT('Ctrl Pts',(6.94530919945,13.55989847013,6.99899664773)); #478237=CARTESIAN_POINT('Ctrl Pts',(6.9344441862,13.4582171285,7.006457153621)); #478238=CARTESIAN_POINT('Ctrl Pts',(6.95745553702,13.35907780045,7.019449593808)); #478239=CARTESIAN_POINT('Ctrl Pts',(7.020589495376,13.25972556713,7.039291390927)); #478240=CARTESIAN_POINT('Ctrl Pts',(7.091598144987,13.21288737659,7.055669130788)); #478241=CARTESIAN_POINT('Ctrl Pts',(7.13846591783,13.19729771444,7.065078278554)); #478242=CARTESIAN_POINT('Ctrl Pts',(7.311408498436,13.76635214013,6.907683213238)); #478243=CARTESIAN_POINT('Ctrl Pts',(7.262443032076,13.77657036893,6.903878313087)); #478244=CARTESIAN_POINT('Ctrl Pts',(7.176444620123,13.77209025647,6.898045646593)); #478245=CARTESIAN_POINT('Ctrl Pts',(7.07041471853,13.71859227163,6.892668089419)); #478246=CARTESIAN_POINT('Ctrl Pts',(6.99947240881,13.64517638836,6.890490873574)); #478247=CARTESIAN_POINT('Ctrl Pts',(6.95661050868,13.55250569134,6.89099932666)); #478248=CARTESIAN_POINT('Ctrl Pts',(6.94661152292,13.45091895725,6.894136911633)); #478249=CARTESIAN_POINT('Ctrl Pts',(6.97059011955,13.3517500609,6.899554509169)); #478250=CARTESIAN_POINT('Ctrl Pts',(7.03486321662,13.25212683286,6.90780343962)); #478251=CARTESIAN_POINT('Ctrl Pts',(7.10663142859,13.20483868248,6.914602917867)); #478252=CARTESIAN_POINT('Ctrl Pts',(7.153914006865,13.18888916735,6.918510660691)); #478253=CARTESIAN_POINT('Ctrl Pts',(7.309970612135,13.76575473474,6.808273731025)); #478254=CARTESIAN_POINT('Ctrl Pts',(7.260965765691,13.77531998724,6.809449552823)); #478255=CARTESIAN_POINT('Ctrl Pts',(7.175258513363,13.76990381071,6.811260489976)); #478256=CARTESIAN_POINT('Ctrl Pts',(7.07013126902,13.71567137929,6.812945418133)); #478257=CARTESIAN_POINT('Ctrl Pts',(7.0001203305,13.64204299202,6.813641779307)); #478258=CARTESIAN_POINT('Ctrl Pts',(6.95816505657,13.54952224433,6.813508413473)); #478259=CARTESIAN_POINT('Ctrl Pts',(6.94890082564,13.44833059556,6.812560406087)); #478260=CARTESIAN_POINT('Ctrl Pts',(6.97335013151,13.34964762056,6.810904572822)); #478261=CARTESIAN_POINT('Ctrl Pts',(7.037833394407,13.25050683264,6.808373247546)); #478262=CARTESIAN_POINT('Ctrl Pts',(7.109494272428,13.20334574282,6.806282888201)); #478263=CARTESIAN_POINT('Ctrl Pts',(7.156672725411,13.18734477432,6.805082102619)); #478264=CARTESIAN_POINT('Ctrl Pts',(7.29668005245,13.77068458137,6.708587930736)); #478265=CARTESIAN_POINT('Ctrl Pts',(7.248209523561,13.77895095437,6.714734498952)); #478266=CARTESIAN_POINT('Ctrl Pts',(7.16384009467,13.77164101175,6.724090522211)); #478267=CARTESIAN_POINT('Ctrl Pts',(7.06089691401,13.71584453155,6.732597044839)); #478268=CARTESIAN_POINT('Ctrl Pts',(6.99262843029,13.64169732921,6.73593035661)); #478269=CARTESIAN_POINT('Ctrl Pts',(6.95198095274,13.54942334654,6.73492355578)); #478270=CARTESIAN_POINT('Ctrl Pts',(6.94338110915,13.44907122083,6.729686646604)); #478271=CARTESIAN_POINT('Ctrl Pts',(6.96776451049,13.35156931544,6.720791984189)); #478272=CARTESIAN_POINT('Ctrl Pts',(7.03137543621,13.25386801384,6.70732787028)); #478273=CARTESIAN_POINT('Ctrl Pts',(7.10188329218,13.20751422573,6.696259448631)); #478274=CARTESIAN_POINT('Ctrl Pts',(7.14832713018,13.19180238448,6.689893845587)); #478275=CARTESIAN_POINT('Ctrl Pts',(7.266573435535,13.78372177955,6.563462742283)); #478276=CARTESIAN_POINT('Ctrl Pts',(7.219105035034,13.79013975792,6.574309375113)); #478277=CARTESIAN_POINT('Ctrl Pts',(7.136926451913,13.78013276252,6.590697706846)); #478278=CARTESIAN_POINT('Ctrl Pts',(7.037220569898,13.72209131678,6.605374748246)); #478279=CARTESIAN_POINT('Ctrl Pts',(6.971373299213,13.6471852324,6.610914600412)); #478280=CARTESIAN_POINT('Ctrl Pts',(6.932390361949,13.55525578629,6.60878923116)); #478281=CARTESIAN_POINT('Ctrl Pts',(6.924438536734,13.45613029285,6.599226581351)); #478282=CARTESIAN_POINT('Ctrl Pts',(6.948366818283,13.36040492478,6.58325219404)); #478283=CARTESIAN_POINT('Ctrl Pts',(7.010279468451,13.26496027238,6.559216253369)); #478284=CARTESIAN_POINT('Ctrl Pts',(7.078813623168,13.21999735993,6.539512032436)); #478285=CARTESIAN_POINT('Ctrl Pts',(7.124019935667,13.2048703078,6.528171651887)); #478286=CARTESIAN_POINT('Ctrl Pts',(7.23467683222,13.79914235584,6.449293623854)); #478287=CARTESIAN_POINT('Ctrl Pts',(7.187734647085,13.80467897646,6.461493211251)); #478288=CARTESIAN_POINT('Ctrl Pts',(7.106646995852,13.79338210075,6.479846548884)); #478289=CARTESIAN_POINT('Ctrl Pts',(7.008496422733,13.73424678186,6.49613575179)); #478290=CARTESIAN_POINT('Ctrl Pts',(6.943792780368,13.65894953863,6.502140984829)); #478291=CARTESIAN_POINT('Ctrl Pts',(6.905586486763,13.56715589238,6.499518043714)); #478292=CARTESIAN_POINT('Ctrl Pts',(6.897930786613,13.46860221988,6.488544878975)); #478293=CARTESIAN_POINT('Ctrl Pts',(6.921637168545,13.37374226168,6.470385148218)); #478294=CARTESIAN_POINT('Ctrl Pts',(6.982739600425,13.27944722322,6.443154922298)); #478295=CARTESIAN_POINT('Ctrl Pts',(7.050326813031,13.23525432389,6.420867823606)); #478296=CARTESIAN_POINT('Ctrl Pts',(7.094930035448,13.22048885531,6.40803556374)); #478297=CARTESIAN_POINT('Ctrl Pts',(7.214952039614,13.8098212622,6.364425317403)); #478298=CARTESIAN_POINT('Ctrl Pts',(7.16787168207,13.81549830363,6.376312607629)); #478299=CARTESIAN_POINT('Ctrl Pts',(7.086531807134,13.80438086115,6.394214999589)); #478300=CARTESIAN_POINT('Ctrl Pts',(6.988092659903,13.74533609923,6.410139312732)); #478301=CARTESIAN_POINT('Ctrl Pts',(6.92323195383,13.67000967072,6.416044578222)); #478302=CARTESIAN_POINT('Ctrl Pts',(6.884991289095,13.57810091396,6.413544141667)); #478303=CARTESIAN_POINT('Ctrl Pts',(6.877436471522,13.4793802043,6.402903689578)); #478304=CARTESIAN_POINT('Ctrl Pts',(6.901370025813,13.38434231375,6.38525466194)); #478305=CARTESIAN_POINT('Ctrl Pts',(6.962848881814,13.28987569764,6.35876809073)); #478306=CARTESIAN_POINT('Ctrl Pts',(7.03075599816,13.24562759136,6.337081195615)); #478307=CARTESIAN_POINT('Ctrl Pts',(7.075537494334,13.23086547503,6.32459578414)); #478308=CARTESIAN_POINT('Ctrl Pts',(8.645449724625,13.78366673068,7.894161664799)); #478309=CARTESIAN_POINT('Ctrl Pts',(8.640857397589,13.77866891604,7.887837132168)); #478310=CARTESIAN_POINT('Ctrl Pts',(8.636220116024,13.77355721766,7.881635362775)); #478311=CARTESIAN_POINT('Ctrl Pts',(8.631541255774,13.76833566084,7.875560006779)); #478312=CARTESIAN_POINT('Ctrl Pts',(8.656066199936,13.77778332605,7.892592134719)); #478313=CARTESIAN_POINT('Ctrl Pts',(8.651462008356,13.77279929412,7.886258293578)); #478314=CARTESIAN_POINT('Ctrl Pts',(8.646812786059,13.76770107816,7.880047164133)); #478315=CARTESIAN_POINT('Ctrl Pts',(8.642121920835,13.76249269984,7.873962406912)); #478316=CARTESIAN_POINT('Ctrl Pts',(8.666741846798,13.77158762328,7.890742117011)); #478317=CARTESIAN_POINT('Ctrl Pts',(8.662128179965,13.76661420441,7.884402147413)); #478318=CARTESIAN_POINT('Ctrl Pts',(8.657469405778,13.76152640988,7.87818485334)); #478319=CARTESIAN_POINT('Ctrl Pts',(8.652768921232,13.7563282579,7.872093902204)); #478320=CARTESIAN_POINT('Ctrl Pts',(8.677432498752,13.76527770034,7.888787852373)); #478321=CARTESIAN_POINT('Ctrl Pts',(8.672810175822,13.76031382797,7.882442836981)); #478322=CARTESIAN_POINT('Ctrl Pts',(8.66814266856,13.75523542526,7.876220466115)); #478323=CARTESIAN_POINT('Ctrl Pts',(8.66343338224,13.75004650702,7.870124412887)); #478324=CARTESIAN_POINT('Ctrl Pts',(14.6799156397908,3.33381367545066,-0.134584352706947)); #478325=CARTESIAN_POINT('Ctrl Pts',(14.6178281399719,3.41977444551176,-0.108714163748664)); #478326=CARTESIAN_POINT('Ctrl Pts',(14.5757160551272,3.51937048610382,-0.0806127617842416)); #478327=CARTESIAN_POINT('Ctrl Pts',(14.557485811782,3.62335309773617,-0.0528893923943204)); #478328=CARTESIAN_POINT('Ctrl Pts',(14.681965058012,3.32496542298228,-0.0988694621012447)); #478329=CARTESIAN_POINT('Ctrl Pts',(14.6198914825233,3.41099665424869,-0.0730347549129906)); #478330=CARTESIAN_POINT('Ctrl Pts',(14.5778141362485,3.51066477476822,-0.0449701070197308)); #478331=CARTESIAN_POINT('Ctrl Pts',(14.5596389858237,3.6147078468295,-0.0172832708704265)); #478332=CARTESIAN_POINT('Ctrl Pts',(14.6849825349905,3.31126104345762,-0.0420654732498119)); #478333=CARTESIAN_POINT('Ctrl Pts',(14.6230443280093,3.39738207626754,-0.0165662102438907)); #478334=CARTESIAN_POINT('Ctrl Pts',(14.5810851874503,3.4971010659608,0.0111302237850486)); #478335=CARTESIAN_POINT('Ctrl Pts',(14.5629940929846,3.60116557594729,0.0384543869512988)); #478336=CARTESIAN_POINT('Ctrl Pts',(14.68980609029,3.288374988579,0.05697010285831)); #478337=CARTESIAN_POINT('Ctrl Pts',(14.62824214515,3.374607161257,0.08156811683786)); #478338=CARTESIAN_POINT('Ctrl Pts',(14.58656574132,3.474318825589,0.1082720671652)); #478339=CARTESIAN_POINT('Ctrl Pts',(14.56861683657,3.578312855708,0.1346191654812)); #478340=CARTESIAN_POINT('Ctrl Pts',(14.69506520905,3.264739322103,0.1592686686737)); #478341=CARTESIAN_POINT('Ctrl Pts',(14.63364314895,3.351114173375,0.183642843728)); #478342=CARTESIAN_POINT('Ctrl Pts',(14.59212250655,3.450935181714,0.210102490049)); #478343=CARTESIAN_POINT('Ctrl Pts',(14.57432745041,3.554998580339,0.2362087479798)); #478344=CARTESIAN_POINT('Ctrl Pts',(14.70213738499,3.235444251129,0.2784569144842)); #478345=CARTESIAN_POINT('Ctrl Pts',(14.64040426059,3.322076956693,0.3038341204838)); #478346=CARTESIAN_POINT('Ctrl Pts',(14.59880339121,3.422290998224,0.3314084748414)); #478347=CARTESIAN_POINT('Ctrl Pts',(14.5812082513,3.526745357704,0.3586105925069)); #478348=CARTESIAN_POINT('Ctrl Pts',(14.70892020434,3.209212361696,0.3746422168934)); #478349=CARTESIAN_POINT('Ctrl Pts',(14.6465085316,3.296147694458,0.4018629279531)); #478350=CARTESIAN_POINT('Ctrl Pts',(14.60461137774,3.396930560319,0.431488052878)); #478351=CARTESIAN_POINT('Ctrl Pts',(14.58720334397,3.501989387199,0.4607059673928)); #478352=CARTESIAN_POINT('Ctrl Pts',(14.71496785463,3.186578431558,0.4500001260088)); #478353=CARTESIAN_POINT('Ctrl Pts',(14.65178688005,3.273796309813,0.479120631737)); #478354=CARTESIAN_POINT('Ctrl Pts',(14.60953256675,3.375159540402,0.5108717435151)); #478355=CARTESIAN_POINT('Ctrl Pts',(14.59229486212,3.480845839402,0.5421775156578)); #478356=CARTESIAN_POINT('Ctrl Pts',(14.72100529473,3.163210451519,0.5260672666864)); #478357=CARTESIAN_POINT('Ctrl Pts',(14.65717076143,3.250700342363,0.5567335180848)); #478358=CARTESIAN_POINT('Ctrl Pts',(14.61462660258,3.352592343212,0.5902223808375)); #478359=CARTESIAN_POINT('Ctrl Pts',(14.59756231414,3.45884141085,0.6232335602987)); #478360=CARTESIAN_POINT('Ctrl Pts',(14.7303567405962,3.12425428382114,0.652045149448293)); #478361=CARTESIAN_POINT('Ctrl Pts',(14.6659309794916,3.21214638214837,0.684018316095777)); #478362=CARTESIAN_POINT('Ctrl Pts',(14.6231808301752,3.31468673809114,0.718990321381412)); #478363=CARTESIAN_POINT('Ctrl Pts',(14.6063894175747,3.42159001387493,0.753454994988505)); #478364=CARTESIAN_POINT('Ctrl Pts',(14.7371694214418,3.0914288293488,0.763138077113663)); #478365=CARTESIAN_POINT('Ctrl Pts',(14.6729915498023,3.17957849422301,0.794488763755155)); #478366=CARTESIAN_POINT('Ctrl Pts',(14.6304822492494,3.28231032050276,0.828776368339002)); #478367=CARTESIAN_POINT('Ctrl Pts',(14.6138932645016,3.38933747890728,0.862567107925095)); #478368=CARTESIAN_POINT('Ctrl Pts',(14.7405965055223,3.07203518981947,0.836374337807277)); #478369=CARTESIAN_POINT('Ctrl Pts',(14.6769967844199,3.16027937524963,0.866453189394087)); #478370=CARTESIAN_POINT('Ctrl Pts',(14.6348697212765,3.2629081366903,0.899314628314688)); #478371=CARTESIAN_POINT('Ctrl Pts',(14.6183841397726,3.36975305998037,0.931705200040406)); #478372=CARTESIAN_POINT('',(14.6620641572989,3.33437844186506,0.0411676033500158)); #478373=CARTESIAN_POINT('Ctrl Pts',(14.6620646485238,3.33437840089652,0.0411655042788004)); #478374=CARTESIAN_POINT('Ctrl Pts',(14.6419149216701,3.36913704393268,0.0357716620510771)); #478375=CARTESIAN_POINT('Ctrl Pts',(14.6240977253601,3.40544721481372,0.0316275365193521)); #478376=CARTESIAN_POINT('Ctrl Pts',(14.5940660518037,3.47971387834725,0.0261186263224467)); #478377=CARTESIAN_POINT('Ctrl Pts',(14.5817537505619,3.51762460697748,0.0247034686787305)); #478378=CARTESIAN_POINT('Ctrl Pts',(14.5723386349436,3.55625471972905,0.0247088373612594)); #478379=CARTESIAN_POINT('',(14.7085943839,3.13769817298,0.7585880961699)); #478380=CARTESIAN_POINT('Origin',(15.3541002108299,3.51620851924728,0.828710977334877)); #478381=CARTESIAN_POINT('Ctrl Pts',(14.662063772002,3.3343789188511,0.0411721041065033)); #478382=CARTESIAN_POINT('Ctrl Pts',(14.6621809786107,3.33388170340606,0.0432318960741199)); #478383=CARTESIAN_POINT('Ctrl Pts',(14.6622980659403,3.33338495901052,0.0452914744915305)); #478384=CARTESIAN_POINT('Ctrl Pts',(14.6624150649631,3.33288865684617,0.047350683460814)); #478385=CARTESIAN_POINT('Ctrl Pts',(14.6646077488469,3.32358743594087,0.0859424102135222)); #478386=CARTESIAN_POINT('Ctrl Pts',(14.6667691722415,3.31444263408852,0.12440482378222)); #478387=CARTESIAN_POINT('Ctrl Pts',(14.668963689582,3.30522090005971,0.162694750665664)); #478388=CARTESIAN_POINT('Ctrl Pts',(14.6690966047818,3.30466236784958,0.165013854363641)); #478389=CARTESIAN_POINT('Ctrl Pts',(14.6692296360299,3.30410355905906,0.167332327629834)); #478390=CARTESIAN_POINT('Ctrl Pts',(14.6693628031173,3.30354441358178,0.169650145087998)); #478391=CARTESIAN_POINT('Ctrl Pts',(14.6703876334982,3.29924132876262,0.187487658799669)); #478392=CARTESIAN_POINT('Ctrl Pts',(14.6714205019065,3.29491835655558,0.205286322977885)); #478393=CARTESIAN_POINT('Ctrl Pts',(14.6724686544142,3.29055246784575,0.223041355042661)); #478394=CARTESIAN_POINT('Ctrl Pts',(14.6730608294575,3.28808587036419,0.23307242094627)); #478395=CARTESIAN_POINT('Ctrl Pts',(14.6736578895571,3.28560555871119,0.243089530877487)); #478396=CARTESIAN_POINT('Ctrl Pts',(14.6742611273608,3.2831073411589,0.25309177695441)); #478397=CARTESIAN_POINT('Ctrl Pts',(14.6745627462626,3.28185823238275,0.258092899992871)); #478398=CARTESIAN_POINT('Ctrl Pts',(14.6748659092476,3.28060464692664,0.26309030707057)); #478399=CARTESIAN_POINT('Ctrl Pts',(14.6751707758325,3.27934605959216,0.268083884742864)); #478400=CARTESIAN_POINT('Ctrl Pts',(14.6754756424175,3.27808747225767,0.273077462415158)); #478401=CARTESIAN_POINT('Ctrl Pts',(14.6757822126026,3.27682388304489,0.278067210680937)); #478402=CARTESIAN_POINT('Ctrl Pts',(14.6760906445214,3.27555476593231,0.283053016144924)); #478403=CARTESIAN_POINT('Ctrl Pts',(14.676217389411,3.27503324368208,0.285101848722293)); #478404=CARTESIAN_POINT('Ctrl Pts',(14.6763441868019,3.27451112327225,0.287150731038066)); #478405=CARTESIAN_POINT('Ctrl Pts',(14.6764710576713,3.27398836054056,0.289199586392705)); #478406=CARTESIAN_POINT('Ctrl Pts',(14.6788884169359,3.26402779718833,0.328237858102378)); #478407=CARTESIAN_POINT('Ctrl Pts',(14.681333210899,3.25383258329907,0.367262896344731)); #478408=CARTESIAN_POINT('Ctrl Pts',(14.6839294827194,3.24312331561587,0.405873990213872)); #478409=CARTESIAN_POINT('Ctrl Pts',(14.6852223899807,3.23779024923894,0.425101778012404)); #478410=CARTESIAN_POINT('Ctrl Pts',(14.6865526161579,3.23233006984621,0.444227890378133)); #478411=CARTESIAN_POINT('Ctrl Pts',(14.6878917959374,3.22677723111644,0.46337977211542)); #478412=CARTESIAN_POINT('Ctrl Pts',(14.6892175852645,3.22127991519577,0.482340154274682)); #478413=CARTESIAN_POINT('Ctrl Pts',(14.6905521561436,3.21569187844124,0.5013257246073)); #478414=CARTESIAN_POINT('Ctrl Pts',(14.6918908123297,3.21003839573905,0.520322803066786)); #478415=CARTESIAN_POINT('Ctrl Pts',(14.6919101761821,3.20995661730646,0.520597598512692)); #478416=CARTESIAN_POINT('Ctrl Pts',(14.6919295444411,3.20987481960522,0.520872393848483)); #478417=CARTESIAN_POINT('Ctrl Pts',(14.691948917038,3.20979300276113,0.521147189282552)); #478418=CARTESIAN_POINT('Ctrl Pts',(14.6926234363896,3.2069442858434,0.530715077297056)); #478419=CARTESIAN_POINT('Ctrl Pts',(14.6933032164012,3.20407237129564,0.540283068018394)); #478420=CARTESIAN_POINT('Ctrl Pts',(14.6939864808798,3.20118213518,0.54985482606768)); #478421=CARTESIAN_POINT('Ctrl Pts',(14.6942939944851,3.19988134031059,0.554162741844641)); #478422=CARTESIAN_POINT('Ctrl Pts',(14.6946022130743,3.19857683404023,0.558471421250788)); #478423=CARTESIAN_POINT('Ctrl Pts',(14.6949109714594,3.1972690593868,0.562781198712488)); #478424=CARTESIAN_POINT('Ctrl Pts',(14.6952004324453,3.19604302064921,0.566821615082831)); #478425=CARTESIAN_POINT('Ctrl Pts',(14.6954903678388,3.19481410929667,0.570862996537545)); #478426=CARTESIAN_POINT('Ctrl Pts',(14.6957806401745,3.19358268959298,0.574905618413391)); #478427=CARTESIAN_POINT('Ctrl Pts',(14.6968691614331,3.18896486570414,0.590065450447816)); #478428=CARTESIAN_POINT('Ctrl Pts',(14.6979624371037,3.18431177741452,0.60524272857963)); #478429=CARTESIAN_POINT('Ctrl Pts',(14.6990530761352,3.17964255265718,0.62045194905185)); #478430=CARTESIAN_POINT('Ctrl Pts',(14.6994827917286,3.17780286177034,0.62644443511815)); #478431=CARTESIAN_POINT('Ctrl Pts',(14.6999120443872,3.17596069116213,0.632442208186924)); #478432=CARTESIAN_POINT('Ctrl Pts',(14.7003404428706,3.17411716319992,0.638445754443591)); #478433=CARTESIAN_POINT('Ctrl Pts',(14.7031739328143,3.16192380083959,0.678154083503402)); #478434=CARTESIAN_POINT('Ctrl Pts',(14.7059702259793,3.1496718364728,0.718114095538724)); #478435=CARTESIAN_POINT('Ctrl Pts',(14.7085943839567,3.13769817301327,0.758588096176067)); #478436=CARTESIAN_POINT('Origin',(0.,0.,2.012745533165)); #478437=CARTESIAN_POINT('Ctrl Pts',(19.7623705451233,-13.2639863338017, 4.000423476954)); #478438=CARTESIAN_POINT('Ctrl Pts',(19.7394172025673,-12.9148572762722, 3.90392139984476)); #478439=CARTESIAN_POINT('Ctrl Pts',(19.7156146336319,-12.5648382340179, 3.80907242822403)); #478440=CARTESIAN_POINT('Ctrl Pts',(19.6863434443267,-12.2134177512522, 3.71414564659017)); #478441=CARTESIAN_POINT('Ctrl Pts',(19.5279625982503,-10.311948261625,3.2005148598906)); #478442=CARTESIAN_POINT('Ctrl Pts',(19.2226731692437,-8.37206952202514, 2.68185126515731)); #478443=CARTESIAN_POINT('Ctrl Pts',(18.6558094389358,-6.20021660711701, 2.12941915272874)); #478444=CARTESIAN_POINT('Ctrl Pts',(18.3489777416698,-5.02463722098921, 1.83039899388271)); #478445=CARTESIAN_POINT('Ctrl Pts',(17.9433636176031,-3.73654919418539, 1.51187400998496)); #478446=CARTESIAN_POINT('Ctrl Pts',(17.4776152672957,-2.50679447134467, 1.22473784431097)); #478447=CARTESIAN_POINT('Ctrl Pts',(16.954590303591,-1.12580746654893,0.902290362680913)); #478448=CARTESIAN_POINT('Ctrl Pts',(16.3578382200376,0.20181087375636,0.612836386118956)); #478449=CARTESIAN_POINT('Ctrl Pts',(15.6740516297958,1.5086585417232,0.361926417637535)); #478450=CARTESIAN_POINT('Ctrl Pts',(15.3859692092576,2.05923944640214,0.256216899362623)); #478451=CARTESIAN_POINT('Ctrl Pts',(15.0821861098873,2.60592561094988,0.15743184301039)); #478452=CARTESIAN_POINT('Ctrl Pts',(14.7662343453209,3.15426555606174,0.0695993914033273)); #478453=CARTESIAN_POINT('Ctrl Pts',(14.7316544225014,3.21427963133309,0.0599864069600037)); #478454=CARTESIAN_POINT('Ctrl Pts',(14.6969293955955,3.27431453003278,0.050506241385714)); #478455=CARTESIAN_POINT('Ctrl Pts',(14.662064050936,3.33437800659714,0.0411652015482948)); #478456=CARTESIAN_POINT('Origin',(0.,0.,4.000782242868)); #478457=CARTESIAN_POINT('',(15.5693932738808,0.0856561348623237,0.282033864205767)); #478458=CARTESIAN_POINT('Ctrl Pts',(15.5693945555973,0.0856563771833604, 0.282032211619025)); #478459=CARTESIAN_POINT('Ctrl Pts',(16.180768580438,-1.28790960374248,0.554795051403887)); #478460=CARTESIAN_POINT('Ctrl Pts',(17.1497442185224,-3.65223882025853, 1.07470283024668)); #478461=CARTESIAN_POINT('Ctrl Pts',(18.5396507921591,-10.1214202618602, 2.70370771594204)); #478462=CARTESIAN_POINT('Ctrl Pts',(18.611489182837,-12.8626947709503,3.4434789917859)); #478463=CARTESIAN_POINT('Ctrl Pts',(18.5822021416423,-14.8724809300466, 4.00046430647982)); #478464=CARTESIAN_POINT('Ctrl Pts',(14.9999898657074,0.017491693118444, 0.0247088525334438)); #478465=CARTESIAN_POINT('Ctrl Pts',(14.999946682452,0.0461647772000632, 0.024704449368232)); #478466=CARTESIAN_POINT('Ctrl Pts',(15.0037875390171,0.0747424324687133, 0.0264927045833266)); #478467=CARTESIAN_POINT('Ctrl Pts',(15.0194139265424,0.129202999853026, 0.0337188292634131)); #478468=CARTESIAN_POINT('Ctrl Pts',(15.0309767945516,0.154491618229184, 0.0390530818625816)); #478469=CARTESIAN_POINT('Ctrl Pts',(15.0673130054975,0.209898020110262, 0.0557614842408109)); #478470=CARTESIAN_POINT('Ctrl Pts',(15.092820014705,0.233312398902747,0.0674497778327992)); #478471=CARTESIAN_POINT('Ctrl Pts',(15.1513760309223,0.272751427745675, 0.0941926057091311)); #478472=CARTESIAN_POINT('Ctrl Pts',(15.1869004180225,0.284846483162536, 0.110345039508797)); #478473=CARTESIAN_POINT('Ctrl Pts',(15.2577272461319,0.296939044782503, 0.14243856363367)); #478474=CARTESIAN_POINT('Ctrl Pts',(15.2973500538272,0.295066471076649, 0.160316997294957)); #478475=CARTESIAN_POINT('Ctrl Pts',(15.3726279500309,0.276894783081422, 0.194163935762884)); #478476=CARTESIAN_POINT('Ctrl Pts',(15.4139555616868,0.259500401922386, 0.212681445575098)); #478477=CARTESIAN_POINT('Ctrl Pts',(15.478530737517,0.211707151166619,0.241528161988107)); #478478=CARTESIAN_POINT('Ctrl Pts',(15.5011136088235,0.190041115081203, 0.25159799883468)); #478479=CARTESIAN_POINT('Ctrl Pts',(15.5400479170428,0.141381613563865, 0.2689507183992)); #478480=CARTESIAN_POINT('Ctrl Pts',(15.5565711739792,0.114450801554876, 0.276311055564971)); #478481=CARTESIAN_POINT('Ctrl Pts',(15.5693932085187,0.0856556562027756, 0.282031601310352)); #478482=CARTESIAN_POINT('Ctrl Pts',(15.57310493237,-0.5452672868069,2.046415638623)); #478483=CARTESIAN_POINT('Ctrl Pts',(15.55852840743,-0.5017410019159,2.06372625085)); #478484=CARTESIAN_POINT('Ctrl Pts',(15.51414244309,-0.4348729890725,2.08995600686)); #478485=CARTESIAN_POINT('Ctrl Pts',(15.41864986541,-0.3724243609318,2.113557863595)); #478486=CARTESIAN_POINT('Ctrl Pts',(15.32232744358,-0.3461510311836,2.122558409872)); #478487=CARTESIAN_POINT('Ctrl Pts',(15.2222147198,-0.3501617697042,2.119284274233)); #478488=CARTESIAN_POINT('Ctrl Pts',(15.12909281241,-0.384025265784,2.104087803736)); #478489=CARTESIAN_POINT('Ctrl Pts',(15.05298973171,-0.4440942105163,2.078605720496)); #478490=CARTESIAN_POINT('Ctrl Pts',(14.99375068454,-0.5369970452717,2.040162559066)); #478491=CARTESIAN_POINT('Ctrl Pts',(14.98325020862,-0.6148268427536,2.008604143025)); #478492=CARTESIAN_POINT('Ctrl Pts',(14.98955853124,-0.6601522219192,1.990431209)); #478493=CARTESIAN_POINT('Ctrl Pts',(15.57466456878,-0.5163569022597,1.971938538043)); #478494=CARTESIAN_POINT('Ctrl Pts',(15.56024293622,-0.4724739555023,1.988756764853)); #478495=CARTESIAN_POINT('Ctrl Pts',(15.51600874018,-0.4050067355124,2.014280115226)); #478496=CARTESIAN_POINT('Ctrl Pts',(15.4204970331,-0.3419372759211,2.037319857802)); #478497=CARTESIAN_POINT('Ctrl Pts',(15.32403378701,-0.3153616384285,2.046176797711)); #478498=CARTESIAN_POINT('Ctrl Pts',(15.22371129051,-0.3193454204615,2.043110763583)); #478499=CARTESIAN_POINT('Ctrl Pts',(15.13037509175,-0.353458547834,2.028453065485)); #478500=CARTESIAN_POINT('Ctrl Pts',(15.05411519489,-0.4140126689095,2.003788760826)); #478501=CARTESIAN_POINT('Ctrl Pts',(14.99481719295,-0.5076644775548,1.966532272053)); #478502=CARTESIAN_POINT('Ctrl Pts',(14.98441221075,-0.5860862156108,1.935930054987)); #478503=CARTESIAN_POINT('Ctrl Pts',(14.99082681946,-0.6317241079882,1.918310465683)); #478504=CARTESIAN_POINT('Ctrl Pts',(15.57703777585,-0.4667721523037,1.837408226265)); #478505=CARTESIAN_POINT('Ctrl Pts',(15.56282163269,-0.4223861615374,1.853470604746)); #478506=CARTESIAN_POINT('Ctrl Pts',(15.51877721714,-0.3540771842837,1.877900189108)); #478507=CARTESIAN_POINT('Ctrl Pts',(15.42320745168,-0.2901505048368,1.900050875884)); #478508=CARTESIAN_POINT('Ctrl Pts',(15.32652580014,-0.2631746123668,1.908660056056)); #478509=CARTESIAN_POINT('Ctrl Pts',(15.22589938347,-0.2671552947247,1.905884941797)); #478510=CARTESIAN_POINT('Ctrl Pts',(15.13226838327,-0.3016604261155,1.892027063129)); #478511=CARTESIAN_POINT('Ctrl Pts',(15.05581216957,-0.3629380783268,1.868593399542)); #478512=CARTESIAN_POINT('Ctrl Pts',(14.99647966102,-0.4576832200801,1.833132704978)); #478513=CARTESIAN_POINT('Ctrl Pts',(14.98625831454,-0.5369514223318,1.803981461699)); #478514=CARTESIAN_POINT('Ctrl Pts',(14.99285409595,-0.5830285907449,1.787200929064)); #478515=CARTESIAN_POINT('Ctrl Pts',(15.57939001161,-0.3975064653287,1.64434029387)); #478516=CARTESIAN_POINT('Ctrl Pts',(15.56517539513,-0.3529251104736,1.660106722189)); #478517=CARTESIAN_POINT('Ctrl Pts',(15.52105950936,-0.2843312907959,1.684116365576)); #478518=CARTESIAN_POINT('Ctrl Pts',(15.42528536626,-0.220234194786,1.705941659134)); #478519=CARTESIAN_POINT('Ctrl Pts',(15.32840452984,-0.193306035439,1.714476997794)); #478520=CARTESIAN_POINT('Ctrl Pts',(15.22761204993,-0.197533321878,1.711839162954)); #478521=CARTESIAN_POINT('Ctrl Pts',(15.13390239582,-0.232455600751,1.698315664054)); #478522=CARTESIAN_POINT('Ctrl Pts',(15.05749717014,-0.294262979774,1.675381850224)); #478523=CARTESIAN_POINT('Ctrl Pts',(14.99840044545,-0.3896628381122,1.640641747374)); #478524=CARTESIAN_POINT('Ctrl Pts',(14.98850030022,-0.4693381352612,1.612069247215)); #478525=CARTESIAN_POINT('Ctrl Pts',(14.99532607838,-0.5155886926445,1.59562392061)); #478526=CARTESIAN_POINT('Ctrl Pts',(15.58118582915,-0.3338650552087,1.466054088419)); #478527=CARTESIAN_POINT('Ctrl Pts',(15.56692545217,-0.2891752772854,1.481652053642)); #478528=CARTESIAN_POINT('Ctrl Pts',(15.52268845724,-0.2204484808659,1.505426442934)); #478529=CARTESIAN_POINT('Ctrl Pts',(15.4267068475,-0.156349261995,1.527076885254)); #478530=CARTESIAN_POINT('Ctrl Pts',(15.32966132154,-0.129560620996,1.535580803824)); #478531=CARTESIAN_POINT('Ctrl Pts',(15.22875985023,-0.134060120924,1.533031870584)); #478532=CARTESIAN_POINT('Ctrl Pts',(15.13503366929,-0.169356509258,1.519708547564)); #478533=CARTESIAN_POINT('Ctrl Pts',(15.05872928716,-0.231590399995,1.497067694814)); #478534=CARTESIAN_POINT('Ctrl Pts',(14.99989568705,-0.327475799706,1.462746053006)); #478535=CARTESIAN_POINT('Ctrl Pts',(14.9903002754,-0.4074197345815,1.434508089153)); #478536=CARTESIAN_POINT('Ctrl Pts',(14.99733266139,-0.4537699507588,1.418256764611)); #478537=CARTESIAN_POINT('Ctrl Pts',(15.58295721129,-0.2725829991169,1.285858294559)); #478538=CARTESIAN_POINT('Ctrl Pts',(15.56878947694,-0.2275244207046,1.300820704567)); #478539=CARTESIAN_POINT('Ctrl Pts',(15.52459603263,-0.158206536629,1.323665944893)); #478540=CARTESIAN_POINT('Ctrl Pts',(15.42847093793,-0.093574522723,1.344542535234)); #478541=CARTESIAN_POINT('Ctrl Pts',(15.331213766,-0.066609241371,1.352810778844)); #478542=CARTESIAN_POINT('Ctrl Pts',(15.23008240982,-0.071246105944,1.350478040484)); #478543=CARTESIAN_POINT('Ctrl Pts',(15.13618192311,-0.106976622752,1.337800294794)); #478544=CARTESIAN_POINT('Ctrl Pts',(15.05982307005,-0.169876751371,1.316169983994)); #478545=CARTESIAN_POINT('Ctrl Pts',(15.00111529231,-0.2666879490926,1.283333214264)); #478546=CARTESIAN_POINT('Ctrl Pts',(14.99179083757,-0.3472946542572,1.256299034009)); #478547=CARTESIAN_POINT('Ctrl Pts',(14.99903839008,-0.39396932864,1.240743203192)); #478548=CARTESIAN_POINT('Ctrl Pts',(15.58436721626,-0.214286601677,1.104802129982)); #478549=CARTESIAN_POINT('Ctrl Pts',(15.57026718323,-0.1689058909748,1.119158751621)); #478550=CARTESIAN_POINT('Ctrl Pts',(15.52609061991,-0.09907614856309,1.141111739339)); #478551=CARTESIAN_POINT('Ctrl Pts',(15.42981893799,-0.033995866763,1.161232450554)); #478552=CARTESIAN_POINT('Ctrl Pts',(15.33236692623,-0.006897660648001,1.169257201764)); #478553=CARTESIAN_POINT('Ctrl Pts',(15.23103485118,-0.011680483849,1.167111165314)); #478554=CARTESIAN_POINT('Ctrl Pts',(15.13699291632,-0.047817789298,1.155030705964)); #478555=CARTESIAN_POINT('Ctrl Pts',(15.0606074214,-0.111325453336,1.134347720124)); #478556=CARTESIAN_POINT('Ctrl Pts',(15.00204350846,-0.2089684215855,1.102910202326)); #478557=CARTESIAN_POINT('Ctrl Pts',(14.99298530552,-0.2901611265965,1.077013238278)); #478558=CARTESIAN_POINT('Ctrl Pts',(15.0004382419,-0.3371187537167,1.062114005465)); #478559=CARTESIAN_POINT('Ctrl Pts',(15.58521002539,-0.156790838813,0.9247614579915)); #478560=CARTESIAN_POINT('Ctrl Pts',(15.57101701509,-0.1113934327445,0.9390791412845)); #478561=CARTESIAN_POINT('Ctrl Pts',(15.52666942491,-0.04158829617588,0.9609829692981)); #478562=CARTESIAN_POINT('Ctrl Pts',(15.43018646586,0.023323656168,0.9810774630637)); #478563=CARTESIAN_POINT('Ctrl Pts',(15.33260380808,0.050192731903,0.9891093826037)); #478564=CARTESIAN_POINT('Ctrl Pts',(15.23121994144,0.045118061684,0.9869983710337)); #478565=CARTESIAN_POINT('Ctrl Pts',(15.13722462674,0.008659061228002,0.9749772089937)); #478566=CARTESIAN_POINT('Ctrl Pts',(15.06099185678,-0.055159684154,0.9543728576837)); #478567=CARTESIAN_POINT('Ctrl Pts',(15.00272176668,-0.1531023339974,0.9230424978618)); #478568=CARTESIAN_POINT('Ctrl Pts',(14.99395467538,-0.234412040028,0.8972291116761)); #478569=CARTESIAN_POINT('Ctrl Pts',(15.00159233479,-0.2813878328325,0.8823786712075)); #478570=CARTESIAN_POINT('Ctrl Pts',(15.58609678067,-0.08004979261509,0.6847363459697)); #478571=CARTESIAN_POINT('Ctrl Pts',(15.57180105959,-0.03461554610967,0.6990111096174)); #478572=CARTESIAN_POINT('Ctrl Pts',(15.5272502465,0.03518819902914,0.7208666043285)); #478573=CARTESIAN_POINT('Ctrl Pts',(15.43049428536,0.099920851981,0.7409481861437)); #478574=CARTESIAN_POINT('Ctrl Pts',(15.33272935247,0.126517633531,0.7490043206237)); #478575=CARTESIAN_POINT('Ctrl Pts',(15.23125753265,0.121076810012,0.7469483835637)); #478576=CARTESIAN_POINT('Ctrl Pts',(15.13730072453,0.084199377804,0.7350075460837)); #478577=CARTESIAN_POINT('Ctrl Pts',(15.06124944435,0.019964214472,0.7145025838737)); #478578=CARTESIAN_POINT('Ctrl Pts',(15.00335335664,-0.07839088216932,0.6833026926352)); #478579=CARTESIAN_POINT('Ctrl Pts',(14.99497256066,-0.1598708277683,0.6575889597088)); #478580=CARTESIAN_POINT('Ctrl Pts',(15.00285992948,-0.2068781669958,0.6427970315897)); #478581=CARTESIAN_POINT('Ctrl Pts',(15.58675241633,-0.01516976645401,0.4727350856156)); #478582=CARTESIAN_POINT('Ctrl Pts',(15.57250181426,0.03057066836863,0.486414973607)); #478583=CARTESIAN_POINT('Ctrl Pts',(15.5279329042,0.1008529845253,0.5073921068515)); #478584=CARTESIAN_POINT('Ctrl Pts',(15.43099791292,0.165980391223,0.5267253031237)); #478585=CARTESIAN_POINT('Ctrl Pts',(15.33302525154,0.192664195585,0.5345358983937)); #478586=CARTESIAN_POINT('Ctrl Pts',(15.2313567371,0.18703686538,0.5326565120737)); #478587=CARTESIAN_POINT('Ctrl Pts',(15.13727934996,0.149722427699,0.5212956301737)); #478588=CARTESIAN_POINT('Ctrl Pts',(15.061237026,0.084863976502,0.5017148608237)); #478589=CARTESIAN_POINT('Ctrl Pts',(15.00353873231,-0.01432121755637,0.4718825562688)); #478590=CARTESIAN_POINT('Ctrl Pts',(14.99546810526,-0.09636727131634,0.4472812723588)); #478591=CARTESIAN_POINT('Ctrl Pts',(15.0035856014,-0.1436396327481,0.4331314942137)); #478592=CARTESIAN_POINT('Ctrl Pts',(15.58715205457,0.03653336474094,0.2892518762424)); #478593=CARTESIAN_POINT('Ctrl Pts',(15.57298505205,0.08262243276014,0.3021733306758)); #478594=CARTESIAN_POINT('Ctrl Pts',(15.52845654735,0.1534699908645,0.3220168317005)); #478595=CARTESIAN_POINT('Ctrl Pts',(15.43139557906,0.219123054115,0.3403581784937)); #478596=CARTESIAN_POINT('Ctrl Pts',(15.33323612061,0.245999781646,0.3478171519737)); #478597=CARTESIAN_POINT('Ctrl Pts',(15.23136535052,0.240273065555,0.3461237578237)); #478598=CARTESIAN_POINT('Ctrl Pts',(15.13713651858,0.202580442854,0.3354665359937)); #478599=CARTESIAN_POINT('Ctrl Pts',(15.0610508893,0.137123277717,0.3170334186237)); #478600=CARTESIAN_POINT('Ctrl Pts',(15.00347173759,0.0370946317282,0.2889146258593)); #478601=CARTESIAN_POINT('Ctrl Pts',(14.99564710778,-0.04556186922127,0.2657131255248)); #478602=CARTESIAN_POINT('Ctrl Pts',(15.00395790083,-0.09313486756866,0.2523704505607)); #478603=CARTESIAN_POINT('Ctrl Pts',(15.58744313996,0.07262980831637,0.1551801813805)); #478604=CARTESIAN_POINT('Ctrl Pts',(15.57337118446,0.1190275439165,0.1674100485649)); #478605=CARTESIAN_POINT('Ctrl Pts',(15.52891344147,0.1903872075683,0.1862161568132)); #478606=CARTESIAN_POINT('Ctrl Pts',(15.43177827042,0.2565465362965,0.2036430136229)); #478607=CARTESIAN_POINT('Ctrl Pts',(15.33347282389,0.2836427755288,0.2107710684843)); #478608=CARTESIAN_POINT('Ctrl Pts',(15.23142632077,0.2778851172205,0.2092368524557)); #478609=CARTESIAN_POINT('Ctrl Pts',(15.137049105,0.239916649683,0.1992117532803)); #478610=CARTESIAN_POINT('Ctrl Pts',(15.06089366756,0.1739834224603,0.1818169900905)); #478611=CARTESIAN_POINT('Ctrl Pts',(15.00336637738,0.07325742877824,0.1552526996486)); #478612=CARTESIAN_POINT('Ctrl Pts',(14.99571080536,-0.00992195668746,0.1333227004693)); #478613=CARTESIAN_POINT('Ctrl Pts',(15.00416308315,-0.05775900041346,0.1207129167904)); #478614=CARTESIAN_POINT('Ctrl Pts',(15.58741686572,0.0993038715705,0.05223619862501)); #478615=CARTESIAN_POINT('Ctrl Pts',(15.57333102654,0.1457641756051,0.06431212668388)); #478616=CARTESIAN_POINT('Ctrl Pts',(15.52882731878,0.2172108385279,0.0828887249105)); #478617=CARTESIAN_POINT('Ctrl Pts',(15.43160389671,0.2834081632697,0.1001158108298)); #478618=CARTESIAN_POINT('Ctrl Pts',(15.33322460643,0.3104683820458,0.1071740182714)); #478619=CARTESIAN_POINT('Ctrl Pts',(15.2311264374,0.304606736984,0.1056790006629)); #478620=CARTESIAN_POINT('Ctrl Pts',(15.13673780229,0.2664786476779,0.09579791749026)); #478621=CARTESIAN_POINT('Ctrl Pts',(15.06062382872,0.2003526370366,0.07863696215458)); #478622=CARTESIAN_POINT('Ctrl Pts',(15.00321163002,0.09939804286132,0.05242113756308)); #478623=CARTESIAN_POINT('Ctrl Pts',(14.99569228682,0.01608544169806,0.03077555964698)); #478624=CARTESIAN_POINT('Ctrl Pts',(15.00423731313,-0.03180377824368,0.01832980781091)); #478625=CARTESIAN_POINT('Ctrl Pts',(15.58729017585,0.1183818223279,-0.0196317316677)); #478626=CARTESIAN_POINT('Ctrl Pts',(15.57315640114,0.1648150949095,-0.007490492730063)); #478627=CARTESIAN_POINT('Ctrl Pts',(15.52857563078,0.2361961610674,0.01118776929966)); #478628=CARTESIAN_POINT('Ctrl Pts',(15.43127462856,0.3022711485578,0.0285112778926)); #478629=CARTESIAN_POINT('Ctrl Pts',(15.33285852983,0.3292159707921,0.03561096467136)); #478630=CARTESIAN_POINT('Ctrl Pts',(15.23075922306,0.3232412755152,0.03411117802955)); #478631=CARTESIAN_POINT('Ctrl Pts',(15.13640691954,0.2850152399713,0.02417963941226)); #478632=CARTESIAN_POINT('Ctrl Pts',(15.0603642213,0.2188179754868,0.00692837326793)); #478633=CARTESIAN_POINT('Ctrl Pts',(15.00306783209,0.1178220462152,-0.01942684516236)); #478634=CARTESIAN_POINT('Ctrl Pts',(14.99564963345,0.03452252391668,-0.0411880574833)); #478635=CARTESIAN_POINT('Ctrl Pts',(15.00425475046,-0.01334307193363,-0.05370021526935)); #478636=CARTESIAN_POINT('',(15.55602607731,-0.4866211151166,2.018413567497)); #478637=CARTESIAN_POINT('Ctrl Pts',(15.5693920575733,0.0856563710953059, 0.282037779708883)); #478638=CARTESIAN_POINT('Ctrl Pts',(15.5693750537927,0.0838819200760628, 0.28851741786299)); #478639=CARTESIAN_POINT('Ctrl Pts',(15.569467462766,0.0818851583515255, 0.294933824357489)); #478640=CARTESIAN_POINT('Ctrl Pts',(15.5695324087514,0.0799351886442915, 0.30136087364366)); #478641=CARTESIAN_POINT('Ctrl Pts',(15.5696096747449,0.0776153170462925, 0.309007109912161)); #478642=CARTESIAN_POINT('Ctrl Pts',(15.5696482478693,0.0753617702606518, 0.316668432669154)); #478643=CARTESIAN_POINT('Ctrl Pts',(15.5696590440276,0.0731538487421329, 0.324339427493221)); #478644=CARTESIAN_POINT('Ctrl Pts',(15.5696648638317,0.0719636410485562, 0.328474573140569)); #478645=CARTESIAN_POINT('Ctrl Pts',(15.5696626045575,0.0707866875633724, 0.332612528340213)); #478646=CARTESIAN_POINT('Ctrl Pts',(15.5696539417392,0.0696197274771335, 0.336752440206111)); #478647=CARTESIAN_POINT('Ctrl Pts',(15.5696143940903,0.0642923009964786, 0.355652037854773)); #478648=CARTESIAN_POINT('Ctrl Pts',(15.5694412642745,0.0591730883660389, 0.374592390096162)); #478649=CARTESIAN_POINT('Ctrl Pts',(15.5692934056271,0.053951510837638, 0.393492313748712)); #478650=CARTESIAN_POINT('Ctrl Pts',(15.5690530766512,0.045464375299388, 0.424212189653894)); #478651=CARTESIAN_POINT('Ctrl Pts',(15.5688802630967,0.0367071811104549, 0.454825388756593)); #478652=CARTESIAN_POINT('Ctrl Pts',(15.5687526497355,0.0277484077360352, 0.485347321469221)); #478653=CARTESIAN_POINT('Ctrl Pts',(15.5686569397145,0.0210293277052204, 0.508238771003692)); #478654=CARTESIAN_POINT('Ctrl Pts',(15.5685865999766,0.0141968312217234, 0.531078874846578)); #478655=CARTESIAN_POINT('Ctrl Pts',(15.5685317783384,0.00727958150035903, 0.553874058099952)); #478656=CARTESIAN_POINT('Ctrl Pts',(15.5683673134235,-0.0134721676637341, 0.622259607860074)); #478657=CARTESIAN_POINT('Ctrl Pts',(15.5683421433259,-0.0349869053223854, 0.690240841076586)); #478658=CARTESIAN_POINT('Ctrl Pts',(15.5681881527905,-0.056491692713363, 0.757990548381336)); #478659=CARTESIAN_POINT('Ctrl Pts',(15.5681197125526,-0.0660493759982099, 0.788101529405568)); #478660=CARTESIAN_POINT('Ctrl Pts',(15.5680259221663,-0.0756050410521001, 0.818166786891692)); #478661=CARTESIAN_POINT('Ctrl Pts',(15.5679194902024,-0.0851648561749682, 0.848207917838711)); #478662=CARTESIAN_POINT('Ctrl Pts',(15.5678130582385,-0.0947246712978363, 0.87824904878573)); #478663=CARTESIAN_POINT('Ctrl Pts',(15.5676939827965,-0.104288637872351, 0.908266053273594)); #478664=CARTESIAN_POINT('Ctrl Pts',(15.5675748869588,-0.113862969142127, 0.938280520323098)); #478665=CARTESIAN_POINT('Ctrl Pts',(15.5673366952834,-0.133011631681699, 0.998309454422162)); #478666=CARTESIAN_POINT('Ctrl Pts',(15.5670983557906,-0.152201785487709, 1.05832822876754)); #478667=CARTESIAN_POINT('Ctrl Pts',(15.566734273039,-0.171560463365134, 1.11860898712823)); #478668=CARTESIAN_POINT('Ctrl Pts',(15.5663701902874,-0.190919141242653, 1.17888974548921)); #478669=CARTESIAN_POINT('Ctrl Pts',(15.5658804051277,-0.21044632253798, 1.23943249292498)); #478670=CARTESIAN_POINT('Ctrl Pts',(15.5653303018766,-0.230485480860674, 1.29983405249924)); #478671=CARTESIAN_POINT('Ctrl Pts',(15.5647801986255,-0.250524639183252, 1.36023561207315)); #478672=CARTESIAN_POINT('Ctrl Pts',(15.5641697953843,-0.271075766331159, 1.4204959877294)); #478673=CARTESIAN_POINT('Ctrl Pts',(15.5635693198537,-0.291965264032287, 1.48036980278756)); #478674=CARTESIAN_POINT('Ctrl Pts',(15.5629688443231,-0.312854761733435, 1.54024361784577)); #478675=CARTESIAN_POINT('Ctrl Pts',(15.5623782988432,-0.334082629179446, 1.59973087292529)); #478676=CARTESIAN_POINT('Ctrl Pts',(15.5617397570947,-0.355425749050947, 1.65924120990637)); #478677=CARTESIAN_POINT('Ctrl Pts',(15.5614204862204,-0.366097308986749, 1.68899637839706)); #478678=CARTESIAN_POINT('Ctrl Pts',(15.5610892111091,-0.376797684267222, 1.71875731628562)); #478679=CARTESIAN_POINT('Ctrl Pts',(15.5607188647976,-0.387543177769326, 1.74856450688593)); #478680=CARTESIAN_POINT('Ctrl Pts',(15.5603485184862,-0.39828867127143, 1.77837169748625)); #478681=CARTESIAN_POINT('Ctrl Pts',(15.5599391261953,-0.409079271628552, 1.80822514519914)); #478682=CARTESIAN_POINT('Ctrl Pts',(15.5594634801964,-0.419931346145,1.83816531136899)); #478683=CARTESIAN_POINT('Ctrl Pts',(15.5585121876434,-0.441635507845462, 1.89804567865766)); #478684=CARTESIAN_POINT('Ctrl Pts',(15.5572948248586,-0.463586047248764, 1.95827273678074)); #478685=CARTESIAN_POINT('Ctrl Pts',(15.5560260772075,-0.486621115163808, 2.01841356747676)); #478686=CARTESIAN_POINT('Origin',(15.2878284127546,-0.610166001716982,1.96543555025422)); #478687=CARTESIAN_POINT('Ctrl Pts',(15.55247130896,-0.9129062480017,2.926586433361)); #478688=CARTESIAN_POINT('Ctrl Pts',(15.53750620922,-0.8709425726121,2.94582429947)); #478689=CARTESIAN_POINT('Ctrl Pts',(15.49301574927,-0.8065292252675,2.974696497688)); #478690=CARTESIAN_POINT('Ctrl Pts',(15.39836703231,-0.7460915623349,3.000170015099)); #478691=CARTESIAN_POINT('Ctrl Pts',(15.30322315952,-0.7202279033508,3.009401392346)); #478692=CARTESIAN_POINT('Ctrl Pts',(15.20433813979,-0.7231949056917,3.004986996748)); #478693=CARTESIAN_POINT('Ctrl Pts',(15.11211239681,-0.7546810509123,2.98739087582)); #478694=CARTESIAN_POINT('Ctrl Pts',(15.03624570174,-0.8113742143613,2.958464010283)); #478695=CARTESIAN_POINT('Ctrl Pts',(14.97615772708,-0.8999279009243,2.915065991885)); #478696=CARTESIAN_POINT('Ctrl Pts',(14.96420266771,-0.9748744199059,2.879525442159)); #478697=CARTESIAN_POINT('Ctrl Pts',(14.9694500289,-1.018905363203,2.859020539617)); #478698=CARTESIAN_POINT('Ctrl Pts',(15.55466720108,-0.879139531777,2.854550989624)); #478699=CARTESIAN_POINT('Ctrl Pts',(15.53969274195,-0.8371193588982,2.873737718526)); #478700=CARTESIAN_POINT('Ctrl Pts',(15.49515721453,-0.7726336505948,2.902548181088)); #478701=CARTESIAN_POINT('Ctrl Pts',(15.40040870887,-0.7121860337703,2.927995569492)); #478702=CARTESIAN_POINT('Ctrl Pts',(15.30517480985,-0.6863858548752,2.93724549146)); #478703=CARTESIAN_POINT('Ctrl Pts',(15.20621915791,-0.6894856462212,2.932887383299)); #478704=CARTESIAN_POINT('Ctrl Pts',(15.11396432842,-0.7211595292854,2.915379973367)); #478705=CARTESIAN_POINT('Ctrl Pts',(15.03812689801,-0.7780709290334,2.886567373037)); #478706=CARTESIAN_POINT('Ctrl Pts',(14.97815070567,-0.8668779875686,2.843323121737)); #478707=CARTESIAN_POINT('Ctrl Pts',(14.96634225113,-0.9419687747722,2.807901704834)); #478708=CARTESIAN_POINT('Ctrl Pts',(14.97169396399,-0.9860550262847,2.787466583136)); #478709=CARTESIAN_POINT('Ctrl Pts',(15.55789660009,-0.8324386350584,2.75264478404)); #478710=CARTESIAN_POINT('Ctrl Pts',(15.54304536319,-0.7901091910811,2.771541650993)); #478711=CARTESIAN_POINT('Ctrl Pts',(15.49860606853,-0.7251224309721,2.799967019507)); #478712=CARTESIAN_POINT('Ctrl Pts',(15.40377419374,-0.6642050880799,2.825169085246)); #478713=CARTESIAN_POINT('Ctrl Pts',(15.30836154958,-0.6382268424509,2.8344235948)); #478714=CARTESIAN_POINT('Ctrl Pts',(15.20918112605,-0.6414042043179,2.83028087714)); #478715=CARTESIAN_POINT('Ctrl Pts',(15.11672291461,-0.6734015897855,2.8131791241)); #478716=CARTESIAN_POINT('Ctrl Pts',(15.0407656245,-0.7308344003946,2.78492806243)); #478717=CARTESIAN_POINT('Ctrl Pts',(14.98080077132,-0.8203872403211,2.742467377695)); #478718=CARTESIAN_POINT('Ctrl Pts',(14.96915771383,-0.8960287741426,2.707665013177)); #478719=CARTESIAN_POINT('Ctrl Pts',(14.97466106366,-0.9403919088367,2.687590542894)); #478720=CARTESIAN_POINT('Ctrl Pts',(15.56218138336,-0.777755501767,2.617568121989)); #478721=CARTESIAN_POINT('Ctrl Pts',(15.54779964117,-0.7344792303311,2.635274736896)); #478722=CARTESIAN_POINT('Ctrl Pts',(15.50385740619,-0.667883121899,2.662013137316)); #478723=CARTESIAN_POINT('Ctrl Pts',(15.40907220135,-0.605243524189,2.685913391604)); #478724=CARTESIAN_POINT('Ctrl Pts',(15.31333430292,-0.578368895573,2.694879804164)); #478725=CARTESIAN_POINT('Ctrl Pts',(15.21360137235,-0.581358750955,2.691301258794)); #478726=CARTESIAN_POINT('Ctrl Pts',(15.12052998478,-0.613894188101,2.675559952904)); #478727=CARTESIAN_POINT('Ctrl Pts',(15.04406465848,-0.6724982608,2.649338266644)); #478728=CARTESIAN_POINT('Ctrl Pts',(14.98378936614,-0.7639364808955,2.609806124537)); #478729=CARTESIAN_POINT('Ctrl Pts',(14.9722637444,-0.8411208739093,2.577357376172)); #478730=CARTESIAN_POINT('Ctrl Pts',(14.97796894085,-0.8863185929179,2.558647703248)); #478731=CARTESIAN_POINT('Ctrl Pts',(15.56524467036,-0.7306503201743,2.498705322586)); #478732=CARTESIAN_POINT('Ctrl Pts',(15.55105861455,-0.6869095941295,2.515776450848)); #478733=CARTESIAN_POINT('Ctrl Pts',(15.50729924624,-0.6195402723407,2.541608235044)); #478734=CARTESIAN_POINT('Ctrl Pts',(15.41246496107,-0.556119884777,2.564796750844)); #478735=CARTESIAN_POINT('Ctrl Pts',(15.31652483972,-0.52888651164,2.573591765424)); #478736=CARTESIAN_POINT('Ctrl Pts',(15.21650610526,-0.531884305561,2.570295810414)); #478737=CARTESIAN_POINT('Ctrl Pts',(15.12315306019,-0.564791864052,2.555262733354)); #478738=CARTESIAN_POINT('Ctrl Pts',(15.04649371151,-0.624073653296,2.530107711364)); #478739=CARTESIAN_POINT('Ctrl Pts',(14.98616968368,-0.7165345519314,2.492121079904)); #478740=CARTESIAN_POINT('Ctrl Pts',(14.97480304846,-0.7945117232583,2.460916908848)); #478741=CARTESIAN_POINT('Ctrl Pts',(14.98067149186,-0.8401223738583,2.44292854226)); #478742=CARTESIAN_POINT('Ctrl Pts',(15.56753871281,-0.6842310447552,2.381362825074)); #478743=CARTESIAN_POINT('Ctrl Pts',(15.55329882964,-0.6404521146481,2.39838626588)); #478744=CARTESIAN_POINT('Ctrl Pts',(15.50942753071,-0.5730577502118,2.424158808915)); #478745=CARTESIAN_POINT('Ctrl Pts',(15.41443378843,-0.509718456323,2.447318085554)); #478746=CARTESIAN_POINT('Ctrl Pts',(15.31838098899,-0.482635273881,2.456125080504)); #478747=CARTESIAN_POINT('Ctrl Pts',(15.21829959578,-0.485851319875,2.452875713904)); #478748=CARTESIAN_POINT('Ctrl Pts',(15.12495466143,-0.519021238314,2.437919267354)); #478749=CARTESIAN_POINT('Ctrl Pts',(15.04838637564,-0.578576593949,2.412864746774)); #478750=CARTESIAN_POINT('Ctrl Pts',(14.98826632845,-0.6713255006446,2.375014594063)); #478751=CARTESIAN_POINT('Ctrl Pts',(14.9771204868,-0.7494414142574,2.343916678052)); #478752=CARTESIAN_POINT('Ctrl Pts',(14.9831351325,-0.7950930940429,2.325990464786)); #478753=CARTESIAN_POINT('Ctrl Pts',(15.56923839833,-0.6357435136401,2.266403602518)); #478754=CARTESIAN_POINT('Ctrl Pts',(15.55471296827,-0.5923347719891,2.283954137097)); #478755=CARTESIAN_POINT('Ctrl Pts',(15.5104553343,-0.5256316363131,2.310500124876)); #478756=CARTESIAN_POINT('Ctrl Pts',(15.41519792693,-0.463199861607,2.334308913024)); #478757=CARTESIAN_POINT('Ctrl Pts',(15.3191140435,-0.436756965895,2.343319059854)); #478758=CARTESIAN_POINT('Ctrl Pts',(15.21917612721,-0.44039758087,2.339897886644)); #478759=CARTESIAN_POINT('Ctrl Pts',(15.12610838497,-0.473731620485,2.324412275604)); #478760=CARTESIAN_POINT('Ctrl Pts',(15.04989752719,-0.533181495485,2.298524207674)); #478761=CARTESIAN_POINT('Ctrl Pts',(14.99022043452,-0.6255238585958,2.259443929268)); #478762=CARTESIAN_POINT('Ctrl Pts',(14.97935820705,-0.7031565371669,2.227346482252)); #478763=CARTESIAN_POINT('Ctrl Pts',(14.98550728788,-0.7484939486502,2.208842381738)); #478764=CARTESIAN_POINT('Ctrl Pts',(15.57145155943,-0.581059856869,2.135984585577)); #478765=CARTESIAN_POINT('Ctrl Pts',(15.55678992366,-0.5377451193951,2.153672001881)); #478766=CARTESIAN_POINT('Ctrl Pts',(15.51231606688,-0.4712541850178,2.180428119918)); #478767=CARTESIAN_POINT('Ctrl Pts',(15.41684344234,-0.4091927855623,2.204431102011)); #478768=CARTESIAN_POINT('Ctrl Pts',(15.32065793463,-0.3830865242485,2.213520267429)); #478769=CARTESIAN_POINT('Ctrl Pts',(15.22071608182,-0.3870467350155,2.210081358752)); #478770=CARTESIAN_POINT('Ctrl Pts',(15.12774599059,-0.4206489409056,2.194483264117)); #478771=CARTESIAN_POINT('Ctrl Pts',(15.05172713734,-0.4802856317961,2.168400529733)); #478772=CARTESIAN_POINT('Ctrl Pts',(14.99236306319,-0.5727251862646,2.129022730755)); #478773=CARTESIAN_POINT('Ctrl Pts',(14.98177576391,-0.6503074490609,2.096679523694)); #478774=CARTESIAN_POINT('Ctrl Pts',(14.98808955792,-0.6955716553364,2.078033958387)); #478775=CARTESIAN_POINT('Ctrl Pts',(15.57342710744,-0.5394114240991,2.032858427729)); #478776=CARTESIAN_POINT('Ctrl Pts',(15.55885943601,-0.4958170127757,2.050184930901)); #478777=CARTESIAN_POINT('Ctrl Pts',(15.51445247287,-0.4288735892417,2.076431893085)); #478778=CARTESIAN_POINT('Ctrl Pts',(15.41889810489,-0.3663903620949,2.100046439185)); #478779=CARTESIAN_POINT('Ctrl Pts',(15.32255927166,-0.3401270725993,2.109054486373)); #478780=CARTESIAN_POINT('Ctrl Pts',(15.22243348527,-0.3441624907497,2.105792650697)); #478781=CARTESIAN_POINT('Ctrl Pts',(15.12930594165,-0.3780625328389,2.090612128116)); #478782=CARTESIAN_POINT('Ctrl Pts',(15.05320773617,-0.4381751275745,2.065148561376)); #478783=CARTESIAN_POINT('Ctrl Pts',(14.99388522365,-0.5312917708024,2.026661918362)); #478784=CARTESIAN_POINT('Ctrl Pts',(14.98346298143,-0.6093708260862,1.995033963468)); #478785=CARTESIAN_POINT('Ctrl Pts',(14.98991874927,-0.6548833281563,1.97680330283)); #478786=CARTESIAN_POINT('Ctrl Pts',(15.57493007479,-0.5117597834408,1.957696298926)); #478787=CARTESIAN_POINT('Ctrl Pts',(15.56054639318,-0.4677594800075,1.974434774928)); #478788=CARTESIAN_POINT('Ctrl Pts',(15.51632648586,-0.4001282369241,1.999832452213)); #478789=CARTESIAN_POINT('Ctrl Pts',(15.4207685813,-0.3369177998905,2.022759516874)); #478790=CARTESIAN_POINT('Ctrl Pts',(15.32428143202,-0.3102857946887,2.031578859772)); #478791=CARTESIAN_POINT('Ctrl Pts',(15.22392278287,-0.3142612938496,2.028547115116)); #478792=CARTESIAN_POINT('Ctrl Pts',(15.13054948305,-0.3484148346018,2.013992028783)); #478793=CARTESIAN_POINT('Ctrl Pts',(15.05426243011,-0.4090511044735,1.989488416194)); #478794=CARTESIAN_POINT('Ctrl Pts',(14.99485105553,-0.5029947546446,1.952403614213)); #478795=CARTESIAN_POINT('Ctrl Pts',(14.98451562494,-0.5817387446175,1.921908891886)); #478796=CARTESIAN_POINT('Ctrl Pts',(14.99107859782,-0.6276059020163,1.904334324135)); #478797=CARTESIAN_POINT('',(15.53544317398,-0.8524032638727,2.901022393337)); #478798=CARTESIAN_POINT('Ctrl Pts',(15.5560260772034,-0.486621115165715, 2.01841356747594)); #478799=CARTESIAN_POINT('Ctrl Pts',(15.5547576378657,-0.509658614022976, 2.07855850650348)); #478800=CARTESIAN_POINT('Ctrl Pts',(15.5535509604323,-0.533569119260479, 2.13765118987092)); #478801=CARTESIAN_POINT('Ctrl Pts',(15.5525385657007,-0.557745639210326, 2.19599689920241)); #478802=CARTESIAN_POINT('Ctrl Pts',(15.5520323680395,-0.569833906240639, 2.22516977089507)); #478803=CARTESIAN_POINT('Ctrl Pts',(15.5515747716707,-0.58198866291188, 2.25415590630567)); #478804=CARTESIAN_POINT('Ctrl Pts',(15.5511658586143,-0.594078580203329, 2.28295702558242)); #478805=CARTESIAN_POINT('Ctrl Pts',(15.5507569455578,-0.606168497494766, 2.31175814485914)); #478806=CARTESIAN_POINT('Ctrl Pts',(15.5503967392941,-0.618193564516997, 2.34037425295895)); #478807=CARTESIAN_POINT('Ctrl Pts',(15.5499701826316,-0.630081028238526, 2.36923382233921)); #478808=CARTESIAN_POINT('Ctrl Pts',(15.5491170693067,-0.653855955681608, 2.42695296109979)); #478809=CARTESIAN_POINT('Ctrl Pts',(15.5479984980324,-0.677080495775402, 2.48564593360837)); #478810=CARTESIAN_POINT('Ctrl Pts',(15.5465511202813,-0.700678923060557, 2.54502838055776)); #478811=CARTESIAN_POINT('Ctrl Pts',(15.5458274314058,-0.712478136703112, 2.57471960403239)); #478812=CARTESIAN_POINT('Ctrl Pts',(15.5450215582575,-0.724370814335369, 2.60458319917813)); #478813=CARTESIAN_POINT('Ctrl Pts',(15.5440949840913,-0.736483231291287, 2.63462889568083)); #478814=CARTESIAN_POINT('Ctrl Pts',(15.5431684099252,-0.748595648247231, 2.66467459218359)); #478815=CARTESIAN_POINT('Ctrl Pts',(15.5421210452473,-0.760927844912158, 2.69490237193641)); #478816=CARTESIAN_POINT('Ctrl Pts',(15.541113872356,-0.77358822076361,2.72481012346773)); #478817=CARTESIAN_POINT('Ctrl Pts',(15.5390995265733,-0.798908972466829, 2.78462562653113)); #478818=CARTESIAN_POINT('Ctrl Pts',(15.5372467882425,-0.825542057356682, 2.84316120823901)); #478819=CARTESIAN_POINT('Ctrl Pts',(15.5354431739587,-0.852403263882405, 2.90102239333183)); #478820=CARTESIAN_POINT('Origin',(15.2689639947113,-0.974032098218285,2.83625146120584)); #478821=CARTESIAN_POINT('Ctrl Pts',(15.47318385318,-1.7458619418,4.577294631252)); #478822=CARTESIAN_POINT('Ctrl Pts',(15.45749982042,-1.706423506238,4.599017814213)); #478823=CARTESIAN_POINT('Ctrl Pts',(15.4128178331,-1.645987502996,4.630872810749)); #478824=CARTESIAN_POINT('Ctrl Pts',(15.31984916916,-1.588511402265,4.657662335052)); #478825=CARTESIAN_POINT('Ctrl Pts',(15.22729309981,-1.562775627037,4.666120933029)); #478826=CARTESIAN_POINT('Ctrl Pts',(15.13110051352,-1.563129857163,4.659235723767)); #478827=CARTESIAN_POINT('Ctrl Pts',(15.04088372857,-1.589539145119,4.637694474638)); #478828=CARTESIAN_POINT('Ctrl Pts',(14.96565771011,-1.639364040803,4.603649995753)); #478829=CARTESIAN_POINT('Ctrl Pts',(14.90410880482,-1.719061539445,4.553276146407)); #478830=CARTESIAN_POINT('Ctrl Pts',(14.88912435197,-1.788124402776,4.512287487951)); #478831=CARTESIAN_POINT('Ctrl Pts',(14.89198626448,-1.829457975778,4.488577677017)); #478832=CARTESIAN_POINT('Ctrl Pts',(15.48451363828,-1.662198889438,4.427176707976)); #478833=CARTESIAN_POINT('Ctrl Pts',(15.469246081,-1.622001655021,4.44839748602)); #478834=CARTESIAN_POINT('Ctrl Pts',(15.4249659087,-1.560315014415,4.479651507409)); #478835=CARTESIAN_POINT('Ctrl Pts',(15.33193577127,-1.50158979961,4.50620219121)); #478836=CARTESIAN_POINT('Ctrl Pts',(15.23899122525,-1.475288185932,4.514864492842)); #478837=CARTESIAN_POINT('Ctrl Pts',(15.14221379223,-1.475665722933,4.508561783028)); #478838=CARTESIAN_POINT('Ctrl Pts',(15.05137882573,-1.502684803396,4.487931061758)); #478839=CARTESIAN_POINT('Ctrl Pts',(14.9756611745,-1.553616317861,4.455057519365)); #478840=CARTESIAN_POINT('Ctrl Pts',(14.91383891735,-1.634993541535,4.406261320556)); #478841=CARTESIAN_POINT('Ctrl Pts',(14.89901220023,-1.705375403731,4.366496073406)); #478842=CARTESIAN_POINT('Ctrl Pts',(14.90210555671,-1.747407370415,4.343503417907)); #478843=CARTESIAN_POINT('Ctrl Pts',(15.49610287453,-1.565065624416,4.241636763003)); #478844=CARTESIAN_POINT('Ctrl Pts',(15.48109678662,-1.524268657122,4.262350246062)); #478845=CARTESIAN_POINT('Ctrl Pts',(15.4370275658,-1.461620321745,4.292957631477)); #478846=CARTESIAN_POINT('Ctrl Pts',(15.3438413068,-1.402012620248,4.319153228895)); #478847=CARTESIAN_POINT('Ctrl Pts',(15.25053779269,-1.375395592146,4.327899457904)); #478848=CARTESIAN_POINT('Ctrl Pts',(15.15329542263,-1.375954615882,4.322052501741)); #478849=CARTESIAN_POINT('Ctrl Pts',(15.06202318506,-1.403633320359,4.302207881188)); #478850=CARTESIAN_POINT('Ctrl Pts',(14.98602153808,-1.45561086511,4.270387935863)); #478851=CARTESIAN_POINT('Ctrl Pts',(14.92415933537,-1.538482131571,4.223041221332)); #478852=CARTESIAN_POINT('Ctrl Pts',(14.90961763515,-1.609973096506,4.184412696046)); #478853=CARTESIAN_POINT('Ctrl Pts',(14.91299225101,-1.652568678642,4.162084283563)); #478854=CARTESIAN_POINT('Ctrl Pts',(15.50739620389,-1.448570607721,4.025908193989)); #478855=CARTESIAN_POINT('Ctrl Pts',(15.49214059482,-1.407930740959,4.046819185503)); #478856=CARTESIAN_POINT('Ctrl Pts',(15.44766256407,-1.345650599258,4.077733534971)); #478857=CARTESIAN_POINT('Ctrl Pts',(15.3540404368,-1.286720650933,4.104221023734)); #478858=CARTESIAN_POINT('Ctrl Pts',(15.26050507362,-1.260742637396,4.113094533174)); #478859=CARTESIAN_POINT('Ctrl Pts',(15.1632078379,-1.261936859566,4.107237918254)); #478860=CARTESIAN_POINT('Ctrl Pts',(15.0720754311,-1.29018195383,4.087248441034)); #478861=CARTESIAN_POINT('Ctrl Pts',(14.99640537037,-1.342595764213,4.055165763684)); #478862=CARTESIAN_POINT('Ctrl Pts',(14.93512565672,-1.425764884122,4.007410717517)); #478863=CARTESIAN_POINT('Ctrl Pts',(14.92112840888,-1.497243190569,3.968442302203)); #478864=CARTESIAN_POINT('Ctrl Pts',(14.92484205098,-1.539741858264,3.94591848035)); #478865=CARTESIAN_POINT('Ctrl Pts',(15.51227498545,-1.393156704595,3.926083130152)); #478866=CARTESIAN_POINT('Ctrl Pts',(15.4967946143,-1.352767958638,3.947246058694)); #478867=CARTESIAN_POINT('Ctrl Pts',(15.45199890865,-1.290966053532,3.978518267525)); #478868=CARTESIAN_POINT('Ctrl Pts',(15.35812656333,-1.232699312372,4.005284223284)); #478869=CARTESIAN_POINT('Ctrl Pts',(15.264522252,-1.20722133587,4.014222289144)); #478870=CARTESIAN_POINT('Ctrl Pts',(15.16729728544,-1.208793241048,4.008250650994)); #478871=CARTESIAN_POINT('Ctrl Pts',(15.0763592736,-1.237255340189,3.987977572214)); #478872=CARTESIAN_POINT('Ctrl Pts',(15.00097494444,-1.289706783764,3.955469181444)); #478873=CARTESIAN_POINT('Ctrl Pts',(14.94009083959,-1.372713309258,3.907097274103)); #478874=CARTESIAN_POINT('Ctrl Pts',(14.92638904124,-1.443914604483,3.867632014322)); #478875=CARTESIAN_POINT('Ctrl Pts',(14.93026288586,-1.486211424294,3.844819994392)); #478876=CARTESIAN_POINT('Ctrl Pts',(15.5175875638,-1.338239414357,3.82447493003)); #478877=CARTESIAN_POINT('Ctrl Pts',(15.50212955768,-1.297716115442,3.845560667937)); #478878=CARTESIAN_POINT('Ctrl Pts',(15.45730472683,-1.235723822202,3.876754617483)); #478879=CARTESIAN_POINT('Ctrl Pts',(15.36328678695,-1.177358307307,3.903522661204)); #478880=CARTESIAN_POINT('Ctrl Pts',(15.2695224304,-1.151934009398,3.912532005764)); #478881=CARTESIAN_POINT('Ctrl Pts',(15.17214615494,-1.153699891184,3.906691210994)); #478882=CARTESIAN_POINT('Ctrl Pts',(15.08110974702,-1.182475975297,3.886596989634)); #478883=CARTESIAN_POINT('Ctrl Pts',(15.00571683636,-1.235320954535,3.854303292604)); #478884=CARTESIAN_POINT('Ctrl Pts',(14.94495458536,-1.318814882644,3.806209657746)); #478885=CARTESIAN_POINT('Ctrl Pts',(14.9314626769,-1.390323014446,3.766955459378)); #478886=CARTESIAN_POINT('Ctrl Pts',(14.93549797253,-1.432753715556,3.744267941255)); #478887=CARTESIAN_POINT('Ctrl Pts',(15.52310067283,-1.28478692946,3.720887212252)); #478888=CARTESIAN_POINT('Ctrl Pts',(15.50786172376,-1.243812807158,3.741604858039)); #478889=CARTESIAN_POINT('Ctrl Pts',(15.46323836306,-1.181081933227,3.772328123483)); #478890=CARTESIAN_POINT('Ctrl Pts',(15.36916053608,-1.121992738406,3.798834246514)); #478891=CARTESIAN_POINT('Ctrl Pts',(15.27516606457,-1.096255764187,3.807899901344)); #478892=CARTESIAN_POINT('Ctrl Pts',(15.17746296396,-1.09806429854,3.802384774284)); #478893=CARTESIAN_POINT('Ctrl Pts',(15.08609726492,-1.127232857926,3.782855836594)); #478894=CARTESIAN_POINT('Ctrl Pts',(15.01046312438,-1.180761903613,3.751321636974)); #478895=CARTESIAN_POINT('Ctrl Pts',(14.94960579692,-1.265274572464,3.704274123277)); #478896=CARTESIAN_POINT('Ctrl Pts',(14.9362552943,-1.337567272711,3.665840834572)); #478897=CARTESIAN_POINT('Ctrl Pts',(14.94045228575,-1.380406808953,3.643632930606)); #478898=CARTESIAN_POINT('Ctrl Pts',(15.52884855973,-1.234310624121,3.614052723704)); #478899=CARTESIAN_POINT('Ctrl Pts',(15.51410414055,-1.192431852509,3.633900675262)); #478900=CARTESIAN_POINT('Ctrl Pts',(15.47000920447,-1.128172460785,3.663454319469)); #478901=CARTESIAN_POINT('Ctrl Pts',(15.37600181797,-1.067454651232,3.689180502794)); #478902=CARTESIAN_POINT('Ctrl Pts',(15.28168922374,-1.040871830509,3.698210482954)); #478903=CARTESIAN_POINT('Ctrl Pts',(15.18342338828,-1.042499728455,3.693286336224)); #478904=CARTESIAN_POINT('Ctrl Pts',(15.09141360443,-1.072169691791,3.674919574004)); #478905=CARTESIAN_POINT('Ctrl Pts',(15.0152189376,-1.126798784347,3.645019002354)); #478906=CARTESIAN_POINT('Ctrl Pts',(14.95396744098,-1.213094322534,3.600270653336)); #478907=CARTESIAN_POINT('Ctrl Pts',(14.94066293118,-1.286859733675,3.563662058124)); #478908=CARTESIAN_POINT('Ctrl Pts',(14.94502113033,-1.330504028118,3.542516886419)); #478909=CARTESIAN_POINT('Ctrl Pts',(15.53362858832,-1.185552297589,3.50780742681)); #478910=CARTESIAN_POINT('Ctrl Pts',(15.51914191456,-1.143139613646,3.527077298139)); #478911=CARTESIAN_POINT('Ctrl Pts',(15.47530154161,-1.077992723255,3.555840466382)); #478912=CARTESIAN_POINT('Ctrl Pts',(15.38127183934,-1.016372368918,3.581011396644)); #478913=CARTESIAN_POINT('Ctrl Pts',(15.28673598566,-0.989364890608,3.589978748454)); #478914=CARTESIAN_POINT('Ctrl Pts',(15.18812869763,-0.990979135884,3.585405743724)); #478915=CARTESIAN_POINT('Ctrl Pts',(15.09576025773,-1.021046877834,3.567770310904)); #478916=CARTESIAN_POINT('Ctrl Pts',(15.01928839564,-1.076423805925,3.538916688994)); #478917=CARTESIAN_POINT('Ctrl Pts',(14.95790137081,-1.163867326429,3.495654057148)); #478918=CARTESIAN_POINT('Ctrl Pts',(14.94471726064,-1.238539720578,3.460229570011)); #478919=CARTESIAN_POINT('Ctrl Pts',(14.94922937502,-1.282664855924,3.439773238046)); #478920=CARTESIAN_POINT('Ctrl Pts',(15.53776985799,-1.137519581377,3.402240108863)); #478921=CARTESIAN_POINT('Ctrl Pts',(15.52337139235,-1.094843977284,3.421217461727)); #478922=CARTESIAN_POINT('Ctrl Pts',(15.47958630134,-1.0292814504,3.449583705131)); #478923=CARTESIAN_POINT('Ctrl Pts',(15.38545963059,-0.967297514236,3.474482009614)); #478924=CARTESIAN_POINT('Ctrl Pts',(15.29076031699,-0.940180790021,3.483426145954)); #478925=CARTESIAN_POINT('Ctrl Pts',(15.19196203839,-0.941909773507,3.479039399724)); #478926=CARTESIAN_POINT('Ctrl Pts',(15.09943234252,-0.972303587941,3.461781859474)); #478927=CARTESIAN_POINT('Ctrl Pts',(15.02288074592,-1.028172320952,3.433464710354)); #478928=CARTESIAN_POINT('Ctrl Pts',(14.96153927589,-1.116298837943,3.390960462522)); #478929=CARTESIAN_POINT('Ctrl Pts',(14.9485280797,-1.191462778203,3.356139206449)); #478930=CARTESIAN_POINT('Ctrl Pts',(14.95318928347,-1.235831088344,3.336033988167)); #478931=CARTESIAN_POINT('Ctrl Pts',(15.54249663894,-1.073133297716,3.263256195058)); #478932=CARTESIAN_POINT('Ctrl Pts',(15.52796154326,-1.030523951878,3.282326142858)); #478933=CARTESIAN_POINT('Ctrl Pts',(15.48394997765,-0.9651336444583,3.310839131806)); #478934=CARTESIAN_POINT('Ctrl Pts',(15.38957859007,-0.903496217083,3.335881891624)); #478935=CARTESIAN_POINT('Ctrl Pts',(15.29474758886,-0.876721753636,3.344893334504)); #478936=CARTESIAN_POINT('Ctrl Pts',(15.19591700654,-0.878804537205,3.340509634164)); #478937=CARTESIAN_POINT('Ctrl Pts',(15.10346564827,-0.909526399482,3.323190894984)); #478938=CARTESIAN_POINT('Ctrl Pts',(15.02710216681,-0.965660549157,3.294756078734)); #478939=CARTESIAN_POINT('Ctrl Pts',(14.96609254528,-1.053986068055,3.252065537013)); #478940=CARTESIAN_POINT('Ctrl Pts',(14.95339195987,-1.129168084798,3.217087929988)); #478941=CARTESIAN_POINT('Ctrl Pts',(14.95824618561,-1.173495531873,3.196893019144)); #478942=CARTESIAN_POINT('Ctrl Pts',(15.54849486547,-0.9852938666765,3.07557086498)); #478943=CARTESIAN_POINT('Ctrl Pts',(15.5337562984,-0.9428154563627,3.094826445899)); #478944=CARTESIAN_POINT('Ctrl Pts',(15.48941589502,-0.8777278788137,3.123625577631)); #478945=CARTESIAN_POINT('Ctrl Pts',(15.39470406428,-0.8166361097877,3.148935765279)); #478946=CARTESIAN_POINT('Ctrl Pts',(15.29970068613,-0.7903678759897,3.158059297432)); #478947=CARTESIAN_POINT('Ctrl Pts',(15.20084283409,-0.7929444526058,3.153658100008)); #478948=CARTESIAN_POINT('Ctrl Pts',(15.10852012895,-0.8240955966028,3.136194460615)); #478949=CARTESIAN_POINT('Ctrl Pts',(15.03243512982,-0.8805469166857,3.107503955292)); #478950=CARTESIAN_POINT('Ctrl Pts',(14.97189856569,-0.9690676390519,3.064419566093)); #478951=CARTESIAN_POINT('Ctrl Pts',(14.959628113,-1.044207882815,3.029115424332)); #478952=CARTESIAN_POINT('Ctrl Pts',(14.96474558556,-1.088441332462,3.008732582577)); #478953=CARTESIAN_POINT('Ctrl Pts',(15.5528439445,-0.9122051185759,2.923702127945)); #478954=CARTESIAN_POINT('Ctrl Pts',(15.53788429194,-0.8699454011979,2.943251688415)); #478955=CARTESIAN_POINT('Ctrl Pts',(15.4932106628,-0.8052957830711,2.972488304943)); #478956=CARTESIAN_POINT('Ctrl Pts',(15.39819673824,-0.7448624730106,2.998178889893)); #478957=CARTESIAN_POINT('Ctrl Pts',(15.30307249932,-0.7191290338477,3.007435549302)); #478958=CARTESIAN_POINT('Ctrl Pts',(15.20424230008,-0.7221541201057,3.002960847384)); #478959=CARTESIAN_POINT('Ctrl Pts',(15.11209056344,-0.753620443485,2.985224725447)); #478960=CARTESIAN_POINT('Ctrl Pts',(15.03629974343,-0.810221273739,2.956091060593)); #478961=CARTESIAN_POINT('Ctrl Pts',(14.97621236305,-0.8987063963135,2.912343705448)); #478962=CARTESIAN_POINT('Ctrl Pts',(14.96431391982,-0.9736386149603,2.876497292119)); #478963=CARTESIAN_POINT('Ctrl Pts',(14.96964720148,-1.017694685268,2.85580121797)); #478964=CARTESIAN_POINT('Ctrl Pts',(15.55555694874,-0.8734178432871,2.838552185513)); #478965=CARTESIAN_POINT('Ctrl Pts',(15.54070632466,-0.8308893705594,2.857821068224)); #478966=CARTESIAN_POINT('Ctrl Pts',(15.49612007209,-0.7658031411177,2.886678158705)); #478967=CARTESIAN_POINT('Ctrl Pts',(15.4010403526,-0.7049569144579,2.912110639285)); #478968=CARTESIAN_POINT('Ctrl Pts',(15.30576739069,-0.6790624027955,2.921348422321)); #478969=CARTESIAN_POINT('Ctrl Pts',(15.20674759659,-0.682145836229,2.917055657842)); #478970=CARTESIAN_POINT('Ctrl Pts',(15.11442256607,-0.7138826205441,2.89968477182)); #478971=CARTESIAN_POINT('Ctrl Pts',(15.03852768648,-0.7709256278019,2.871067769992)); #478972=CARTESIAN_POINT('Ctrl Pts',(14.97844573268,-0.8600481581423,2.828049565961)); #478973=CARTESIAN_POINT('Ctrl Pts',(14.96668429095,-0.9354541428746,2.792782619301)); #478974=CARTESIAN_POINT('Ctrl Pts',(14.97214456403,-0.9797493396134,2.772423908713)); #478975=CARTESIAN_POINT('',(15.4570923994,-1.684203563354,4.556148337582)); #478976=CARTESIAN_POINT('Origin',(15.1936903378936,-1.80049268700561,4.47190942905737)); #478977=CARTESIAN_POINT('Ctrl Pts',(15.53544317398,-0.8524032638727,2.901022393337)); #478978=CARTESIAN_POINT('Ctrl Pts',(15.53325876559,-0.8849336678895,2.971094945443)); #478979=CARTESIAN_POINT('Ctrl Pts',(15.52924159563,-0.950419691674,3.108689664178)); #478980=CARTESIAN_POINT('Ctrl Pts',(15.52397089443,-1.031518646687,3.281730653246)); #478981=CARTESIAN_POINT('Ctrl Pts',(15.51937031525,-1.095807377463,3.420631605459)); #478982=CARTESIAN_POINT('Ctrl Pts',(15.51516052114,-1.144102570035,3.526472904633)); #478983=CARTESIAN_POINT('Ctrl Pts',(15.51015547009,-1.193394187492,3.633264584004)); #478984=CARTESIAN_POINT('Ctrl Pts',(15.50396923468,-1.244781038242,3.740916527613)); #478985=CARTESIAN_POINT('Ctrl Pts',(15.49826837037,-1.298682696795,3.844845413952)); #478986=CARTESIAN_POINT('Ctrl Pts',(15.49294559347,-1.353726752575,3.946521792164)); #478987=CARTESIAN_POINT('Ctrl Pts',(15.48828363465,-1.408880986301,4.046104178576)); #478988=CARTESIAN_POINT('Ctrl Pts',(15.47792560089,-1.517740208915,4.247894414402)); #478989=CARTESIAN_POINT('Ctrl Pts',(15.46735348671,-1.608380065064,4.419400096549)); #478990=CARTESIAN_POINT('Ctrl Pts',(15.4570923994,-1.684203563354,4.556148337582)); #478991=CARTESIAN_POINT('Ctrl Pts',(15.46611007824,-1.79921664681,4.670577076002)); #478992=CARTESIAN_POINT('Ctrl Pts',(15.4501926525,-1.760068547008,4.692704036965)); #478993=CARTESIAN_POINT('Ctrl Pts',(15.40520852998,-1.700217305931,4.725013220224)); #478994=CARTESIAN_POINT('Ctrl Pts',(15.31212615464,-1.643412288538,4.751970343591)); #478995=CARTESIAN_POINT('Ctrl Pts',(15.21981570828,-1.618020645143,4.760289293737)); #478996=CARTESIAN_POINT('Ctrl Pts',(15.1239901567,-1.618354419717,4.753039695333)); #478997=CARTESIAN_POINT('Ctrl Pts',(15.03416011144,-1.644380938085,4.730940780376)); #478998=CARTESIAN_POINT('Ctrl Pts',(14.95924093577,-1.693516665141,4.696186047251)); #478999=CARTESIAN_POINT('Ctrl Pts',(14.89781091862,-1.772236357065,4.644817498492)); #479000=CARTESIAN_POINT('Ctrl Pts',(14.88274287301,-1.840575022284,4.603038244335)); #479001=CARTESIAN_POINT('Ctrl Pts',(14.88551042679,-1.881554954179,4.578853327381)); #479002=CARTESIAN_POINT('Ctrl Pts',(15.47074556277,-1.765173910975,4.61175273833)); #479003=CARTESIAN_POINT('Ctrl Pts',(15.45495930062,-1.725779771371,4.63373963796)); #479004=CARTESIAN_POINT('Ctrl Pts',(15.4100926999,-1.66552903657,4.665893131329)); #479005=CARTESIAN_POINT('Ctrl Pts',(15.3169666487,-1.608340990161,4.692816576935)); #479006=CARTESIAN_POINT('Ctrl Pts',(15.22451057739,-1.582792472288,4.701226517511)); #479007=CARTESIAN_POINT('Ctrl Pts',(15.12848039402,-1.583165233171,4.694175561353)); #479008=CARTESIAN_POINT('Ctrl Pts',(15.03844311989,-1.609422586321,4.6723660274)); #479009=CARTESIAN_POINT('Ctrl Pts',(14.96336851014,-1.658948304354,4.6379711424)); #479010=CARTESIAN_POINT('Ctrl Pts',(14.90186837597,-1.738242914624,4.587079168457)); #479011=CARTESIAN_POINT('Ctrl Pts',(14.88687698443,-1.807021634675,4.545665945912)); #479012=CARTESIAN_POINT('Ctrl Pts',(14.88973827825,-1.848230482858,4.521696333461)); #479013=CARTESIAN_POINT('Ctrl Pts',(15.4753982321,-1.73223823438,4.551761126173)); #479014=CARTESIAN_POINT('Ctrl Pts',(15.45984188297,-1.692445870912,4.573475480264)); #479015=CARTESIAN_POINT('Ctrl Pts',(15.41520946228,-1.631531827013,4.605297471281)); #479016=CARTESIAN_POINT('Ctrl Pts',(15.32208586831,-1.573659307714,4.632074703716)); #479017=CARTESIAN_POINT('Ctrl Pts',(15.22945246702,-1.547776431618,4.64057648377)); #479018=CARTESIAN_POINT('Ctrl Pts',(15.13313287124,-1.548113248181,4.633820568929)); #479019=CARTESIAN_POINT('Ctrl Pts',(15.04277460839,-1.574636484911,4.612483482303)); #479020=CARTESIAN_POINT('Ctrl Pts',(14.96742789173,-1.624689175789,4.578702792912)); #479021=CARTESIAN_POINT('Ctrl Pts',(14.90574583872,-1.704811900663,4.52864375166)); #479022=CARTESIAN_POINT('Ctrl Pts',(14.89079207751,-1.77426013271,4.487878730958)); #479023=CARTESIAN_POINT('Ctrl Pts',(14.89374385751,-1.815830686092,4.464288977598)); #479024=CARTESIAN_POINT('Ctrl Pts',(15.47953094854,-1.699579911463,4.492403415935)); #479025=CARTESIAN_POINT('Ctrl Pts',(15.4640774197,-1.659576662401,4.513970580955)); #479026=CARTESIAN_POINT('Ctrl Pts',(15.41953222261,-1.598322548851,4.545616052509)); #479027=CARTESIAN_POINT('Ctrl Pts',(15.32636035341,-1.540132203975,4.572321051424)); #479028=CARTESIAN_POINT('Ctrl Pts',(15.23359922062,-1.514128758665,4.580879179885)); #479029=CARTESIAN_POINT('Ctrl Pts',(15.13710819249,-1.514516899394,4.574289328176)); #479030=CARTESIAN_POINT('Ctrl Pts',(15.04658314868,-1.541258097492,4.553213393887)); #479031=CARTESIAN_POINT('Ctrl Pts',(14.97112027533,-1.591664826124,4.519769387955)); #479032=CARTESIAN_POINT('Ctrl Pts',(14.90940375212,-1.672299606706,4.470164903742)); #479033=CARTESIAN_POINT('Ctrl Pts',(14.89453694424,-1.742132366648,4.429752749971)); #479034=CARTESIAN_POINT('Ctrl Pts',(14.89758141941,-1.783899890211,4.406369928501)); #479035=CARTESIAN_POINT('',(15.4500157002637,-1.73674498377405,4.64915568862442)); #479036=CARTESIAN_POINT('Origin',(15.1874070554096,-1.85274577127664,4.56208943522182)); #479037=CARTESIAN_POINT('Ctrl Pts',(15.4570923994,-1.684203563354,4.556148337582)); #479038=CARTESIAN_POINT('Ctrl Pts',(15.4547606765,-1.701436552201,4.587230037648)); #479039=CARTESIAN_POINT('Ctrl Pts',(15.45249033671,-1.719127544729,4.61822095796)); #479040=CARTESIAN_POINT('Ctrl Pts',(15.45001574821,-1.736744962603,4.649155704516)); #479041=CARTESIAN_POINT('Ctrl Pts',(15.37797278259,-2.50338389735,6.040052395551)); #479042=CARTESIAN_POINT('Ctrl Pts',(15.36816352813,-2.457118454624,6.053615127912)); #479043=CARTESIAN_POINT('Ctrl Pts',(15.33127456427,-2.384143784852,6.073902136337)); #479044=CARTESIAN_POINT('Ctrl Pts',(15.24350920504,-2.310510503746,6.091763997111)); #479045=CARTESIAN_POINT('Ctrl Pts',(15.1516627801,-2.273594004539,6.09825044286)); #479046=CARTESIAN_POINT('Ctrl Pts',(15.05275024458,-2.266652767556,6.095257522921)); #479047=CARTESIAN_POINT('Ctrl Pts',(14.95721020124,-2.290420000838,6.083100830509)); #479048=CARTESIAN_POINT('Ctrl Pts',(14.87512524858,-2.342386897409,6.063063255212)); #479049=CARTESIAN_POINT('Ctrl Pts',(14.805054929,-2.429653230567,6.032911123069)); #479050=CARTESIAN_POINT('Ctrl Pts',(14.78473470578,-2.507538448739,6.008177831057)); #479051=CARTESIAN_POINT('Ctrl Pts',(14.7851588323,-2.554580901305,5.993893611313)); #479052=CARTESIAN_POINT('Ctrl Pts',(15.38005508436,-2.487224493647,5.992603143771)); #479053=CARTESIAN_POINT('Ctrl Pts',(15.36992842302,-2.441318306828,6.006871301375)); #479054=CARTESIAN_POINT('Ctrl Pts',(15.33262465161,-2.36901315837,6.028186895598)); #479055=CARTESIAN_POINT('Ctrl Pts',(15.24460226736,-2.296228541977,6.046905045364)); #479056=CARTESIAN_POINT('Ctrl Pts',(15.15275056546,-2.259876005184,6.05365440846)); #479057=CARTESIAN_POINT('Ctrl Pts',(15.05401187511,-2.253262184038,6.050429380498)); #479058=CARTESIAN_POINT('Ctrl Pts',(14.95876748526,-2.277082763355,6.037569078298)); #479059=CARTESIAN_POINT('Ctrl Pts',(14.8770310519,-2.328832648942,6.016426444088)); #479060=CARTESIAN_POINT('Ctrl Pts',(14.8073488034,-2.415580345314,5.984642048344)); #479061=CARTESIAN_POINT('Ctrl Pts',(14.78722720707,-2.492937775099,5.958581651264)); #479062=CARTESIAN_POINT('Ctrl Pts',(14.78771975375,-2.539662102416,5.943529134534)); #479063=CARTESIAN_POINT('Ctrl Pts',(15.38345723345,-2.456489484783,5.916178886202)); #479064=CARTESIAN_POINT('Ctrl Pts',(15.37252464424,-2.411559997983,5.932030369012)); #479065=CARTESIAN_POINT('Ctrl Pts',(15.33418684416,-2.341039745013,5.955618470283)); #479066=CARTESIAN_POINT('Ctrl Pts',(15.24556451781,-2.270456524672,5.976158479929)); #479067=CARTESIAN_POINT('Ctrl Pts',(15.15375159971,-2.235523197102,5.983394309178)); #479068=CARTESIAN_POINT('Ctrl Pts',(15.05550277269,-2.229678082069,5.979540034238)); #479069=CARTESIAN_POINT('Ctrl Pts',(14.96104404364,-2.253529691238,5.964996973274)); #479070=CARTESIAN_POINT('Ctrl Pts',(14.88020661538,-2.304594848565,5.941279912161)); #479071=CARTESIAN_POINT('Ctrl Pts',(14.81149694032,-2.389853271484,5.905732853884)); #479072=CARTESIAN_POINT('Ctrl Pts',(14.79184400813,-2.46575049695,5.876628904088)); #479073=CARTESIAN_POINT('Ctrl Pts',(14.79248122448,-2.511605362867,5.859811911173)); #479074=CARTESIAN_POINT('Ctrl Pts',(15.38931360527,-2.409326338555,5.809071473681)); #479075=CARTESIAN_POINT('Ctrl Pts',(15.37755504774,-2.365395612747,5.826276740814)); #479076=CARTESIAN_POINT('Ctrl Pts',(15.33815277281,-2.296695434132,5.851776137517)); #479077=CARTESIAN_POINT('Ctrl Pts',(15.24889921549,-2.22836644431,5.873785280525)); #479078=CARTESIAN_POINT('Ctrl Pts',(15.15710947808,-2.194901981611,5.881344578988)); #479079=CARTESIAN_POINT('Ctrl Pts',(15.05935027232,-2.189879269807,5.876854620157)); #479080=CARTESIAN_POINT('Ctrl Pts',(14.9656963055,-2.213816311653,5.86077802271)); #479081=CARTESIAN_POINT('Ctrl Pts',(14.88579899984,-2.264245680929,5.834772060137)); #479082=CARTESIAN_POINT('Ctrl Pts',(14.81813606625,-2.348056011036,5.795913849086)); #479083=CARTESIAN_POINT('Ctrl Pts',(14.79902533566,-2.42249872582,5.764145075836)); #479084=CARTESIAN_POINT('Ctrl Pts',(14.79985536764,-2.467474433777,5.745781020281)); #479085=CARTESIAN_POINT('Ctrl Pts',(15.39494238882,-2.366697283465,5.716418961734)); #479086=CARTESIAN_POINT('Ctrl Pts',(15.3826491834,-2.323400944822,5.734411404133)); #479087=CARTESIAN_POINT('Ctrl Pts',(15.34255031272,-2.255860835764,5.761013465832)); #479088=CARTESIAN_POINT('Ctrl Pts',(15.25286555866,-2.188987060112,5.783852398287)); #479089=CARTESIAN_POINT('Ctrl Pts',(15.16106830942,-2.156489515115,5.79157402283)); #479090=CARTESIAN_POINT('Ctrl Pts',(15.06360695273,-2.152036093909,5.786686884249)); #479091=CARTESIAN_POINT('Ctrl Pts',(14.97046525157,-2.176083464379,5.769691417806)); #479092=CARTESIAN_POINT('Ctrl Pts',(14.89118429426,-2.226167735165,5.742328890936)); #479093=CARTESIAN_POINT('Ctrl Pts',(14.82423176862,-2.309120301482,5.701517347877)); #479094=CARTESIAN_POINT('Ctrl Pts',(14.80551746116,-2.382668088256,5.668180146772)); #479095=CARTESIAN_POINT('Ctrl Pts',(14.80650588149,-2.427092449578,5.648904961383)); #479096=CARTESIAN_POINT('Ctrl Pts',(15.4034334401,-2.309567946552,5.59045163949)); #479097=CARTESIAN_POINT('Ctrl Pts',(15.39088470681,-2.266505518284,5.608737614674)); #479098=CARTESIAN_POINT('Ctrl Pts',(15.35041714867,-2.199425398738,5.635759796559)); #479099=CARTESIAN_POINT('Ctrl Pts',(15.26042391446,-2.133214129176,5.658932842135)); #479100=CARTESIAN_POINT('Ctrl Pts',(15.16852138971,-2.101231127366,5.666740496365)); #479101=CARTESIAN_POINT('Ctrl Pts',(15.0711123783,-2.097183294066,5.66173178048)); #479102=CARTESIAN_POINT('Ctrl Pts',(14.97816280925,-2.121485293073,5.644418888663)); #479103=CARTESIAN_POINT('Ctrl Pts',(14.89918221085,-2.171650285744,5.616573273351)); #479104=CARTESIAN_POINT('Ctrl Pts',(14.83265939726,-2.254491771432,5.575057124214)); #479105=CARTESIAN_POINT('Ctrl Pts',(14.8142757103,-2.327795918047,5.541150537859)); #479106=CARTESIAN_POINT('Ctrl Pts',(14.81544651478,-2.372035280188,5.521545166497)); #479107=CARTESIAN_POINT('Ctrl Pts',(15.41385246572,-2.237116789094,5.433764713351)); #479108=CARTESIAN_POINT('Ctrl Pts',(15.40095593468,-2.194400164323,5.452510008751)); #479109=CARTESIAN_POINT('Ctrl Pts',(15.35999113177,-2.127985426481,5.48019167806)); #479110=CARTESIAN_POINT('Ctrl Pts',(15.26959157717,-2.062702324359,5.503893447619)); #479111=CARTESIAN_POINT('Ctrl Pts',(15.17755957108,-2.031418962829,5.511841974075)); #479112=CARTESIAN_POINT('Ctrl Pts',(15.08023452911,-2.027898316863,5.506649753448)); #479113=CARTESIAN_POINT('Ctrl Pts',(14.98755472066,-2.052500217477,5.48884686559)); #479114=CARTESIAN_POINT('Ctrl Pts',(14.90898383018,-2.102711893151,5.460251719087)); #479115=CARTESIAN_POINT('Ctrl Pts',(14.84303615862,-2.185318004263,5.417640072217)); #479116=CARTESIAN_POINT('Ctrl Pts',(14.82508539868,-2.25823062679,5.382847328814)); #479117=CARTESIAN_POINT('Ctrl Pts',(14.82649118462,-2.302187624587,5.362728218744)); #479118=CARTESIAN_POINT('Ctrl Pts',(15.42552887351,-2.14376698029,5.251595902658)); #479119=CARTESIAN_POINT('Ctrl Pts',(15.41173565483,-2.102134903211,5.27151668326)); #479120=CARTESIAN_POINT('Ctrl Pts',(15.3695963874,-2.037697195511,5.300825013945)); #479121=CARTESIAN_POINT('Ctrl Pts',(15.27845434725,-1.974905086529,5.325709697826)); #479122=CARTESIAN_POINT('Ctrl Pts',(15.18639114549,-1.945294863971,5.333841401944)); #479123=CARTESIAN_POINT('Ctrl Pts',(15.08955267612,-1.942789473739,5.327992695608)); #479124=CARTESIAN_POINT('Ctrl Pts',(14.99773335247,-1.967642447594,5.308755119828)); #479125=CARTESIAN_POINT('Ctrl Pts',(14.92021962546,-2.017339507575,5.278075139818)); #479126=CARTESIAN_POINT('Ctrl Pts',(14.85552270914,-2.098567477598,5.232482018918)); #479127=CARTESIAN_POINT('Ctrl Pts',(14.83830887586,-2.169996701386,5.195303498533)); #479128=CARTESIAN_POINT('Ctrl Pts',(14.84003213439,-2.213023711024,5.173797129117)); #479129=CARTESIAN_POINT('Ctrl Pts',(15.43733831558,-2.043660388837,5.072838713737)); #479130=CARTESIAN_POINT('Ctrl Pts',(15.42260669717,-2.003166044639,5.0937993292)); #479131=CARTESIAN_POINT('Ctrl Pts',(15.37925220522,-1.940799609557,5.124511689417)); #479132=CARTESIAN_POINT('Ctrl Pts',(15.28737050148,-1.880617390136,5.150344529499)); #479133=CARTESIAN_POINT('Ctrl Pts',(15.19531171689,-1.852763188195,5.158534908998)); #479134=CARTESIAN_POINT('Ctrl Pts',(15.09901780048,-1.851329938316,5.151995098395)); #479135=CARTESIAN_POINT('Ctrl Pts',(15.00812693358,-1.876461087374,5.131379780847)); #479136=CARTESIAN_POINT('Ctrl Pts',(14.93173612456,-1.925640616795,5.098753292179)); #479137=CARTESIAN_POINT('Ctrl Pts',(14.86835198429,-2.00545562863,5.050411347063)); #479138=CARTESIAN_POINT('Ctrl Pts',(14.85189837444,-2.075354736005,5.011047248429)); #479139=CARTESIAN_POINT('Ctrl Pts',(14.85394349233,-2.117420402078,4.988267769558)); #479140=CARTESIAN_POINT('Ctrl Pts',(15.44893014118,-1.93683707915,4.898237264464)); #479141=CARTESIAN_POINT('Ctrl Pts',(15.43315950287,-1.897671099784,4.920245564584)); #479142=CARTESIAN_POINT('Ctrl Pts',(15.38848343268,-1.837689430788,4.952335149186)); #479143=CARTESIAN_POINT('Ctrl Pts',(15.29584825809,-1.780456351363,4.979016708942)); #479144=CARTESIAN_POINT('Ctrl Pts',(15.20385985477,-1.754548749041,4.987152751634)); #479145=CARTESIAN_POINT('Ctrl Pts',(15.1082315104,-1.754259441886,4.979793553111)); #479146=CARTESIAN_POINT('Ctrl Pts',(15.01841769203,-1.779617226523,4.95766657501)); #479147=CARTESIAN_POINT('Ctrl Pts',(14.94329771505,-1.828114529463,4.922959955967)); #479148=CARTESIAN_POINT('Ctrl Pts',(14.88136902567,-1.906219351127,4.871716113097)); #479149=CARTESIAN_POINT('Ctrl Pts',(14.86573104092,-1.974317565138,4.830059206765)); #479150=CARTESIAN_POINT('Ctrl Pts',(14.86810885959,-2.015260951078,4.805941808995)); #479151=CARTESIAN_POINT('Ctrl Pts',(15.46091055854,-1.837553092348,4.737278677563)); #479152=CARTESIAN_POINT('Ctrl Pts',(15.44483816246,-1.798696259801,4.759567853279)); #479153=CARTESIAN_POINT('Ctrl Pts',(15.39971514075,-1.739316801814,4.792058396624)); #479154=CARTESIAN_POINT('Ctrl Pts',(15.30668280147,-1.682965405391,4.819056293503)); #479155=CARTESIAN_POINT('Ctrl Pts',(15.21453931226,-1.657762131298,4.827270690567)); #479156=CARTESIAN_POINT('Ctrl Pts',(15.11895072462,-1.658056592608,4.819790304968)); #479157=CARTESIAN_POINT('Ctrl Pts',(15.02936232911,-1.683820227068,4.797354032478)); #479158=CARTESIAN_POINT('Ctrl Pts',(14.95462635114,-1.732506960094,4.762179070406)); #479159=CARTESIAN_POINT('Ctrl Pts',(14.89328275168,-1.810561536056,4.71025347908)); #479160=CARTESIAN_POINT('Ctrl Pts',(14.87813051266,-1.8783888816,4.668046174534)); #479161=CARTESIAN_POINT('Ctrl Pts',(14.88079228183,-1.919101976853,4.643609518698)); #479162=CARTESIAN_POINT('Ctrl Pts',(15.46914371694,-1.7766606012,4.631874716923)); #479163=CARTESIAN_POINT('Ctrl Pts',(15.45329974104,-1.737372964601,4.653922489337)); #479164=CARTESIAN_POINT('Ctrl Pts',(15.40837952739,-1.677295067793,4.68614685369)); #479165=CARTESIAN_POINT('Ctrl Pts',(15.31526594201,-1.620276986996,4.713092833996)); #479166=CARTESIAN_POINT('Ctrl Pts',(15.22286374012,-1.594803932499,4.721470551721)); #479167=CARTESIAN_POINT('Ctrl Pts',(15.1269142688,-1.595172612749,4.714339521212)); #479168=CARTESIAN_POINT('Ctrl Pts',(15.03696225791,-1.621346794148,4.692408940425)); #479169=CARTESIAN_POINT('Ctrl Pts',(14.96195561199,-1.670722393407,4.657860774779)); #479170=CARTESIAN_POINT('Ctrl Pts',(14.90049378185,-1.749789298891,4.606763809474)); #479171=CARTESIAN_POINT('Ctrl Pts',(14.88548130122,-1.818389241063,4.5651922812)); #479172=CARTESIAN_POINT('Ctrl Pts',(14.88831105732,-1.859503370621,4.541129705549)); #479173=CARTESIAN_POINT('Ctrl Pts',(15.47264472577,-1.751871893524,4.586867962719)); #479174=CARTESIAN_POINT('Ctrl Pts',(15.45697041902,-1.712289763793,4.608715627622)); #479175=CARTESIAN_POINT('Ctrl Pts',(15.41222249485,-1.651721735086,4.640697265244)); #479176=CARTESIAN_POINT('Ctrl Pts',(15.31910922601,-1.594198902814,4.667537814576)); #479177=CARTESIAN_POINT('Ctrl Pts',(15.22657465841,-1.568480309046,4.675985023857)); #479178=CARTESIAN_POINT('Ctrl Pts',(15.1304102353,-1.56882448961,4.669072868705)); #479179=CARTESIAN_POINT('Ctrl Pts',(15.04022043241,-1.595197526486,4.647491438494)); #479180=CARTESIAN_POINT('Ctrl Pts',(14.9650128024,-1.644964972345,4.613396423622)); #479181=CARTESIAN_POINT('Ctrl Pts',(14.90341757051,-1.724646398728,4.562913466436)); #479182=CARTESIAN_POINT('Ctrl Pts',(14.888434451,-1.793742392457,4.521819546869)); #479183=CARTESIAN_POINT('Ctrl Pts',(14.89133223412,-1.835124263009,4.498036896089)); #479184=CARTESIAN_POINT('',(15.36567058389,-2.44201429022,6.0089221733)); #479185=CARTESIAN_POINT('Ctrl Pts',(15.4500156762959,-1.73674499436838, 4.64915568067383)); #479186=CARTESIAN_POINT('Ctrl Pts',(15.4479858472208,-1.75509231782291, 4.67972265118793)); #479187=CARTESIAN_POINT('Ctrl Pts',(15.4458792412775,-1.77343073798113, 4.70998196889412)); #479188=CARTESIAN_POINT('Ctrl Pts',(15.4437457251253,-1.79167789032131, 4.73998152545344)); #479189=CARTESIAN_POINT('Ctrl Pts',(15.4429801691457,-1.79822539949731, 4.75074607480264)); #479190=CARTESIAN_POINT('Ctrl Pts',(15.4422111536562,-1.80476106925215, 4.76147708529297)); #479191=CARTESIAN_POINT('Ctrl Pts',(15.4414401829656,-1.81128090808845, 4.77217747268333)); #479192=CARTESIAN_POINT('Ctrl Pts',(15.440345341584,-1.82053961201352,4.78737289717438)); #479193=CARTESIAN_POINT('Ctrl Pts',(15.4392470295686,-1.82976683107216, 4.80250572622905)); #479194=CARTESIAN_POINT('Ctrl Pts',(15.4381506419255,-1.83895192793719, 4.81758234767277)); #479195=CARTESIAN_POINT('Ctrl Pts',(15.4376709723316,-1.84297040781564, 4.8241783695544)); #479196=CARTESIAN_POINT('Ctrl Pts',(15.4371916718324,-1.84698082507682, 4.83076363311599)); #479197=CARTESIAN_POINT('Ctrl Pts',(15.4367131945172,-1.85098228837412, 4.83733867260916)); #479198=CARTESIAN_POINT('Ctrl Pts',(15.4347492276499,-1.8674067688837,4.86432670173297)); #479199=CARTESIAN_POINT('Ctrl Pts',(15.4328018627524,-1.88370581793923, 4.8911672751299)); #479200=CARTESIAN_POINT('Ctrl Pts',(15.4309013251713,-1.89978154829242, 4.91785338742634)); #479201=CARTESIAN_POINT('Ctrl Pts',(15.428023373579,-1.92412475214447,4.95826371139784)); #479202=CARTESIAN_POINT('Ctrl Pts',(15.4252527654378,-1.94795319399971, 4.99832116991438)); #479203=CARTESIAN_POINT('Ctrl Pts',(15.4225444541275,-1.97112605492324, 5.03816461498042)); #479204=CARTESIAN_POINT('Ctrl Pts',(15.4212837777368,-1.98191265783713, 5.05671111306138)); #479205=CARTESIAN_POINT('Ctrl Pts',(15.4200365655786,-1.99255778070834, 5.0752110281811)); #479206=CARTESIAN_POINT('Ctrl Pts',(15.4187983945746,-2.00305080978886, 5.09367627867086)); #479207=CARTESIAN_POINT('Ctrl Pts',(15.4180338207112,-2.00953028294444, 5.10507861959085)); #479208=CARTESIAN_POINT('Ctrl Pts',(15.417272708049,-2.01595182775037,5.11646767581214)); #479209=CARTESIAN_POINT('Ctrl Pts',(15.4165176217049,-2.02231666363147, 5.1278356742841)); #479210=CARTESIAN_POINT('Ctrl Pts',(15.413306532202,-2.04938384322661,5.17617936484047)); #479211=CARTESIAN_POINT('Ctrl Pts',(15.4101195213068,-2.07534501915965, 5.22431227401727)); #479212=CARTESIAN_POINT('Ctrl Pts',(15.4070619391988,-2.10036507639362, 5.27204629662339)); #479213=CARTESIAN_POINT('Ctrl Pts',(15.4052136141836,-2.11548983708162, 5.30090177292552)); #479214=CARTESIAN_POINT('Ctrl Pts',(15.4034121344647,-2.13027108289968, 5.32961251220439)); #479215=CARTESIAN_POINT('Ctrl Pts',(15.4016472633326,-2.14476855876156, 5.35821291993295)); #479216=CARTESIAN_POINT('Ctrl Pts',(15.401061713322,-2.14957854006316,5.36770198049101)); #479217=CARTESIAN_POINT('Ctrl Pts',(15.4004808730358,-2.15435661569115, 5.37717539155497)); #479218=CARTESIAN_POINT('Ctrl Pts',(15.3999047353323,-2.15910459713605, 5.38663237722822)); #479219=CARTESIAN_POINT('Ctrl Pts',(15.3994006148417,-2.16325908090033, 5.39490723969232)); #479220=CARTESIAN_POINT('Ctrl Pts',(15.3989000944656,-2.1673905239567,5.4031695263254)); #479221=CARTESIAN_POINT('Ctrl Pts',(15.3984031662495,-2.17150014322939, 5.41141871465386)); #479222=CARTESIAN_POINT('Ctrl Pts',(15.3975513697657,-2.17854453944819, 5.42555884472754)); #479223=CARTESIAN_POINT('Ctrl Pts',(15.3967134073603,-2.18552718422991, 5.43965167379172)); #479224=CARTESIAN_POINT('Ctrl Pts',(15.3958841470486,-2.19245044410161, 5.45370796581349)); #479225=CARTESIAN_POINT('Ctrl Pts',(15.3936282139568,-2.21128459162748, 5.49194692874582)); #479226=CARTESIAN_POINT('Ctrl Pts',(15.3914372627075,-2.22968231733383, 5.5299121401359)); #479227=CARTESIAN_POINT('Ctrl Pts',(15.3893016035408,-2.24779109045946, 5.56749731353577)); #479228=CARTESIAN_POINT('Ctrl Pts',(15.3890163930283,-2.25020945971257, 5.57251669431285)); #479229=CARTESIAN_POINT('Ctrl Pts',(15.3887321613279,-2.25262262132107, 5.57752934919891)); #479230=CARTESIAN_POINT('Ctrl Pts',(15.3884488787001,-2.25503087963348, 5.58253507235219)); #479231=CARTESIAN_POINT('Ctrl Pts',(15.3873729380523,-2.26417772717741, 5.60154739602789)); #479232=CARTESIAN_POINT('Ctrl Pts',(15.386311910249,-2.27324874250209,5.62044577272894)); #479233=CARTESIAN_POINT('Ctrl Pts',(15.3852425770382,-2.2822337353791,5.63926875819235)); #479234=CARTESIAN_POINT('Ctrl Pts',(15.384245171815,-2.2906143586827,5.6568256280505)); #479235=CARTESIAN_POINT('Ctrl Pts',(15.3832407084778,-2.29891990051538, 5.67431666060532)); #479236=CARTESIAN_POINT('Ctrl Pts',(15.3822405659967,-2.3071172796446,5.69171490683746)); #479237=CARTESIAN_POINT('Ctrl Pts',(15.3814558143872,-2.31354926967366, 5.70536626351185)); #479238=CARTESIAN_POINT('Ctrl Pts',(15.380667039346,-2.31991336619224,5.71898649165421)); #479239=CARTESIAN_POINT('Ctrl Pts',(15.3798882677474,-2.32620563764237, 5.73254737894607)); #479240=CARTESIAN_POINT('Ctrl Pts',(15.379124575814,-2.33237606932949,5.74584568136585)); #479241=CARTESIAN_POINT('Ctrl Pts',(15.3783704742923,-2.33847746825812, 5.75908698217184)); #479242=CARTESIAN_POINT('Ctrl Pts',(15.3776203485506,-2.34449036834998, 5.77230478074967)); #479243=CARTESIAN_POINT('Ctrl Pts',(15.3773362741868,-2.34676746760652, 5.77731039182833)); #479244=CARTESIAN_POINT('Ctrl Pts',(15.3770534875409,-2.34903244319325, 5.78231044328501)); #479245=CARTESIAN_POINT('Ctrl Pts',(15.376772210817,-2.35128467282603,5.78730510110313)); #479246=CARTESIAN_POINT('Ctrl Pts',(15.3760671513369,-2.35693020165814, 5.79982491005521)); #479247=CARTESIAN_POINT('Ctrl Pts',(15.3753694196485,-2.36249824428634, 5.8123248900867)); #479248=CARTESIAN_POINT('Ctrl Pts',(15.3746804511809,-2.36797456912076, 5.82480906880062)); #479249=CARTESIAN_POINT('Ctrl Pts',(15.3729801376801,-2.38148965651389, 5.85561892238888)); #479250=CARTESIAN_POINT('Ctrl Pts',(15.3713341546777,-2.39444326286458, 5.88633199299681)); #479251=CARTESIAN_POINT('Ctrl Pts',(15.3698461201991,-2.406721966344,5.91669179409085)); #479252=CARTESIAN_POINT('Ctrl Pts',(15.3686620697342,-2.41649230753767, 5.94084952505631)); #479253=CARTESIAN_POINT('Ctrl Pts',(15.3675771216188,-2.42583468275456, 5.96478649330756)); #479254=CARTESIAN_POINT('Ctrl Pts',(15.3665378783599,-2.43467408346935, 5.988720232791)); #479255=CARTESIAN_POINT('Ctrl Pts',(15.366245519365,-2.43716077586379,5.9954532512234)); #479256=CARTESIAN_POINT('Ctrl Pts',(15.3659567948521,-2.43960788685596, 6.00218580949917)); #479257=CARTESIAN_POINT('Ctrl Pts',(15.365670583874,-2.44201429022579,6.00892217329725)); #479258=CARTESIAN_POINT('Origin',(15.0872091610654,-2.54285660823244,5.96106757175019)); #479259=CARTESIAN_POINT('Ctrl Pts',(15.35935321498,-2.618778241189,6.453952192603)); #479260=CARTESIAN_POINT('Ctrl Pts',(15.35031145917,-2.572228487548,6.465598604547)); #479261=CARTESIAN_POINT('Ctrl Pts',(15.31480212883,-2.498187464884,6.483152786149)); #479262=CARTESIAN_POINT('Ctrl Pts',(15.22844560401,-2.422551918986,6.49878479195)); #479263=CARTESIAN_POINT('Ctrl Pts',(15.13681993605,-2.383893752611,6.504593415576)); #479264=CARTESIAN_POINT('Ctrl Pts',(15.03756893308,-2.375723197391,6.502152022592)); #479265=CARTESIAN_POINT('Ctrl Pts',(14.9412515837,-2.398910074526,6.491720190902)); #479266=CARTESIAN_POINT('Ctrl Pts',(14.85811465647,-2.450986988929,6.474407888708)); #479267=CARTESIAN_POINT('Ctrl Pts',(14.78696770038,-2.538801032429,6.448386271069)); #479268=CARTESIAN_POINT('Ctrl Pts',(14.76573179542,-2.617336370344,6.427061298793)); #479269=CARTESIAN_POINT('Ctrl Pts',(14.76552650773,-2.664716866879,6.414774928149)); #479270=CARTESIAN_POINT('Ctrl Pts',(15.36143612985,-2.604200423567,6.404684459579)); #479271=CARTESIAN_POINT('Ctrl Pts',(15.35215640279,-2.55790727804,6.416879893248)); #479272=CARTESIAN_POINT('Ctrl Pts',(15.31633055845,-2.484351386428,6.435242727483)); #479273=CARTESIAN_POINT('Ctrl Pts',(15.22976849856,-2.409344234391,6.451559541528)); #479274=CARTESIAN_POINT('Ctrl Pts',(15.13812814762,-2.371114650916,6.457588187414)); #479275=CARTESIAN_POINT('Ctrl Pts',(15.03899981756,-2.363204408864,6.454976317333)); #479276=CARTESIAN_POINT('Ctrl Pts',(14.94290105323,-2.386453375003,6.44400091195)); #479277=CARTESIAN_POINT('Ctrl Pts',(14.86002784207,-2.438394243632,6.425826700224)); #479278=CARTESIAN_POINT('Ctrl Pts',(14.78918016174,-2.52585343038,6.398531833735)); #479279=CARTESIAN_POINT('Ctrl Pts',(14.76810458415,-2.604016744373,6.376171937524)); #479280=CARTESIAN_POINT('Ctrl Pts',(14.76795899406,-2.651170831586,6.363288003446)); #479281=CARTESIAN_POINT('Ctrl Pts',(15.3657786336,-2.582088423488,6.316719387839)); #479282=CARTESIAN_POINT('Ctrl Pts',(15.35671837893,-2.535467178568,6.328207345497)); #479283=CARTESIAN_POINT('Ctrl Pts',(15.32114880711,-2.461331351091,6.345532105435)); #479284=CARTESIAN_POINT('Ctrl Pts',(15.2346723984,-2.385669012627,6.360977417665)); #479285=CARTESIAN_POINT('Ctrl Pts',(15.14294091473,-2.347073008981,6.366733849324)); #479286=CARTESIAN_POINT('Ctrl Pts',(15.04360590463,-2.339048791471,6.364353301783)); #479287=CARTESIAN_POINT('Ctrl Pts',(14.94724968548,-2.362451798322,6.354089244655)); #479288=CARTESIAN_POINT('Ctrl Pts',(14.86413712343,-2.414788282189,6.337035329492)); #479289=CARTESIAN_POINT('Ctrl Pts',(14.79310316851,-2.502914175328,6.311390981114)); #479290=CARTESIAN_POINT('Ctrl Pts',(14.7720216601,-2.581639813468,6.290370936815)); #479291=CARTESIAN_POINT('Ctrl Pts',(14.77192799278,-2.629101529446,6.278260895014)); #479292=CARTESIAN_POINT('Ctrl Pts',(15.37315806801,-2.536933907716,6.145568663727)); #479293=CARTESIAN_POINT('Ctrl Pts',(15.36388259082,-2.490458829984,6.157362952268)); #479294=CARTESIAN_POINT('Ctrl Pts',(15.32799483228,-2.41663717483,6.175142146092)); #479295=CARTESIAN_POINT('Ctrl Pts',(15.24123952704,-2.341470598525,6.190978465427)); #479296=CARTESIAN_POINT('Ctrl Pts',(15.14940115136,-2.303286721331,6.196866828806)); #479297=CARTESIAN_POINT('Ctrl Pts',(15.05009356443,-2.295612466703,6.194400546241)); #479298=CARTESIAN_POINT('Ctrl Pts',(14.95388538247,-2.319265143494,6.183841902457)); #479299=CARTESIAN_POINT('Ctrl Pts',(14.87101525564,-2.371726927894,6.166314687492)); #479300=CARTESIAN_POINT('Ctrl Pts',(14.80033290424,-2.459844892616,6.139967563675)); #479301=CARTESIAN_POINT('Ctrl Pts',(14.7795238366,-2.53843725704,6.118374886721)); #479302=CARTESIAN_POINT('Ctrl Pts',(14.77958007164,-2.585786438187,6.105934422211)); #479303=CARTESIAN_POINT('Ctrl Pts',(15.37799598049,-2.500489841812,6.029843192725)); #479304=CARTESIAN_POINT('Ctrl Pts',(15.36805715049,-2.454747436243,6.043214508673)); #479305=CARTESIAN_POINT('Ctrl Pts',(15.33129192311,-2.382305396796,6.063318576306)); #479306=CARTESIAN_POINT('Ctrl Pts',(15.2439780473,-2.308912677296,6.081127914602)); #479307=CARTESIAN_POINT('Ctrl Pts',(15.15211337565,-2.271927620344,6.087654666605)); #479308=CARTESIAN_POINT('Ctrl Pts',(15.05316096379,-2.264966732273,6.084705647911)); #479309=CARTESIAN_POINT('Ctrl Pts',(14.95757014023,-2.288765028096,6.072592615712)); #479310=CARTESIAN_POINT('Ctrl Pts',(14.87543486149,-2.340808679449,6.05259637134)); #479311=CARTESIAN_POINT('Ctrl Pts',(14.80557454122,-2.427885594762,6.022599423323)); #479312=CARTESIAN_POINT('Ctrl Pts',(14.7851928606,-2.505405329911,5.998039140527)); #479313=CARTESIAN_POINT('Ctrl Pts',(14.78540083386,-2.552106576287,5.983885330822)); #479314=CARTESIAN_POINT('Ctrl Pts',(15.38161720747,-2.46823011567,5.951074934212)); #479315=CARTESIAN_POINT('Ctrl Pts',(15.37088799405,-2.423450662155,5.965992622234)); #479316=CARTESIAN_POINT('Ctrl Pts',(15.33310132792,-2.352772304711,5.988326821733)); #479317=CARTESIAN_POINT('Ctrl Pts',(15.24518807028,-2.281564189279,6.007932520683)); #479318=CARTESIAN_POINT('Ctrl Pts',(15.15335817233,-2.245997558747,6.014940837945)); #479319=CARTESIAN_POINT('Ctrl Pts',(15.05489097276,-2.239809286972,6.011367354721)); #479320=CARTESIAN_POINT('Ctrl Pts',(14.96008194518,-2.263646924828,5.997585555263)); #479321=CARTESIAN_POINT('Ctrl Pts',(14.87884392141,-2.315017516989,5.975036708559)); #479322=CARTESIAN_POINT('Ctrl Pts',(14.80995420124,-2.400626151065,5.941322885818)); #479323=CARTESIAN_POINT('Ctrl Pts',(14.79004477461,-2.47670585693,5.913762378014)); #479324=CARTESIAN_POINT('Ctrl Pts',(14.79040262635,-2.522549965921,5.897873038828)); #479325=CARTESIAN_POINT('',(15.34856986238,-2.560590363312,6.418044801933)); #479326=CARTESIAN_POINT('Origin',(15.0674071209916,-2.65767617492219,6.37903380292727)); #479327=CARTESIAN_POINT('Ctrl Pts',(15.36567058389,-2.44201429022,6.0089221733)); #479328=CARTESIAN_POINT('Ctrl Pts',(15.36327183956,-2.465772297952,6.072958503766)); #479329=CARTESIAN_POINT('Ctrl Pts',(15.35943499251,-2.496084801624,6.172770342459)); #479330=CARTESIAN_POINT('Ctrl Pts',(15.35356403484,-2.532588602196,6.311765836828)); #479331=CARTESIAN_POINT('Ctrl Pts',(15.35012592975,-2.551139221325,6.383307703321)); #479332=CARTESIAN_POINT('Ctrl Pts',(15.34856986238,-2.560590363312,6.418044801933)); #479333=CARTESIAN_POINT('Ctrl Pts',(15.38746855144,-2.499853239693,7.64766350912)); #479334=CARTESIAN_POINT('Ctrl Pts',(15.381998673,-2.452234790764,7.631832246761)); #479335=CARTESIAN_POINT('Ctrl Pts',(15.35075244499,-2.374902353658,7.607391619252)); #479336=CARTESIAN_POINT('Ctrl Pts',(15.26609268161,-2.293342827757,7.584549941873)); #479337=CARTESIAN_POINT('Ctrl Pts',(15.17339201557,-2.249702550536,7.575018588359)); #479338=CARTESIAN_POINT('Ctrl Pts',(15.07123596003,-2.237445470732,7.576662252456)); #479339=CARTESIAN_POINT('Ctrl Pts',(14.97119420283,-2.257960474822,7.589295021199)); #479340=CARTESIAN_POINT('Ctrl Pts',(14.884596881,-2.308923434949,7.611485934762)); #479341=CARTESIAN_POINT('Ctrl Pts',(14.81094955868,-2.396865915036,7.645477127958)); #479342=CARTESIAN_POINT('Ctrl Pts',(14.78980554226,-2.476206937242,7.673572386138)); #479343=CARTESIAN_POINT('Ctrl Pts',(14.79043061028,-2.523910946357,7.689707640257)); #479344=CARTESIAN_POINT('Ctrl Pts',(15.38477272594,-2.5161265811,7.600085691833)); #479345=CARTESIAN_POINT('Ctrl Pts',(15.3793228141,-2.468511788665,7.584273883511)); #479346=CARTESIAN_POINT('Ctrl Pts',(15.3481197873,-2.391174848559,7.559867705679)); #479347=CARTESIAN_POINT('Ctrl Pts',(15.26352580006,-2.309577117685,7.53706603727)); #479348=CARTESIAN_POINT('Ctrl Pts',(15.17087514693,-2.265882147592,7.527558446425)); #479349=CARTESIAN_POINT('Ctrl Pts',(15.06875220538,-2.253552482011,7.529212075168)); #479350=CARTESIAN_POINT('Ctrl Pts',(14.96871770146,-2.273984601144,7.541839966513)); #479351=CARTESIAN_POINT('Ctrl Pts',(14.88209584843,-2.324864808882,7.564012365763)); #479352=CARTESIAN_POINT('Ctrl Pts',(14.80838114455,-2.412725143987,7.597969947096)); #479353=CARTESIAN_POINT('Ctrl Pts',(14.78715803,-2.492032292564,7.626035446006)); #479354=CARTESIAN_POINT('Ctrl Pts',(14.78772923064,-2.539730333953,7.642153902786)); #479355=CARTESIAN_POINT('Ctrl Pts',(15.38016490263,-2.543672301999,7.518961975146)); #479356=CARTESIAN_POINT('Ctrl Pts',(15.37475486443,-2.496031469593,7.503275557545)); #479357=CARTESIAN_POINT('Ctrl Pts',(15.34363431537,-2.4186370735,7.479070150118)); #479358=CARTESIAN_POINT('Ctrl Pts',(15.2591603939,-2.336927925579,7.456468840254)); #479359=CARTESIAN_POINT('Ctrl Pts',(15.16659806423,-2.293120692079,7.447056366625)); #479360=CARTESIAN_POINT('Ctrl Pts',(15.06453063703,-2.280671184022,7.448716499936)); #479361=CARTESIAN_POINT('Ctrl Pts',(14.9645037704,-2.300988382504,7.461261685034)); #479362=CARTESIAN_POINT('Ctrl Pts',(14.87783220888,-2.351773357929,7.483272600158)); #479363=CARTESIAN_POINT('Ctrl Pts',(14.80399091233,-2.439563484874,7.516974271979)); #479364=CARTESIAN_POINT('Ctrl Pts',(14.78262355054,-2.518870274321,7.544825029536)); #479365=CARTESIAN_POINT('Ctrl Pts',(14.78309761427,-2.56659095396,7.560820637329)); #479366=CARTESIAN_POINT('Ctrl Pts',(15.37158859737,-2.594100011495,7.370157285583)); #479367=CARTESIAN_POINT('Ctrl Pts',(15.36623492584,-2.546502628366,7.354440679638)); #479368=CARTESIAN_POINT('Ctrl Pts',(15.33523978928,-2.469144104302,7.330202261092)); #479369=CARTESIAN_POINT('Ctrl Pts',(15.25096203119,-2.387363679699,7.307594170618)); #479370=CARTESIAN_POINT('Ctrl Pts',(15.15855180704,-2.343407142411,7.298200659245)); #479371=CARTESIAN_POINT('Ctrl Pts',(15.05658821804,-2.330730221746,7.299900899147)); #479372=CARTESIAN_POINT('Ctrl Pts',(14.95658905312,-2.350765616314,7.312503069901)); #479373=CARTESIAN_POINT('Ctrl Pts',(14.86985011481,-2.40124944347,7.334583416957)); #479374=CARTESIAN_POINT('Ctrl Pts',(14.79581285556,-2.488715829226,7.368375251547)); #479375=CARTESIAN_POINT('Ctrl Pts',(14.77421065103,-2.567859901993,7.396294438159)); #479376=CARTESIAN_POINT('Ctrl Pts',(14.7745235132,-2.615528712499,7.41233024988)); #479377=CARTESIAN_POINT('Ctrl Pts',(15.36216514502,-2.648373809314,7.204431617435)); #479378=CARTESIAN_POINT('Ctrl Pts',(15.35699193669,-2.600161823238,7.190607044166)); #479379=CARTESIAN_POINT('Ctrl Pts',(15.32631684269,-2.521820160826,7.169300234254)); #479380=CARTESIAN_POINT('Ctrl Pts',(15.24242370027,-2.439005852761,7.149450827403)); #479381=CARTESIAN_POINT('Ctrl Pts',(15.15025026869,-2.394486680195,7.141225544366)); #479382=CARTESIAN_POINT('Ctrl Pts',(15.04838739885,-2.38162898529,7.14275834668)); #479383=CARTESIAN_POINT('Ctrl Pts',(14.94832425045,-2.401883739571,7.153876572034)); #479384=CARTESIAN_POINT('Ctrl Pts',(14.86134693672,-2.452965651418,7.173326147954)); #479385=CARTESIAN_POINT('Ctrl Pts',(14.78684400132,-2.541499092464,7.203075574296)); #479386=CARTESIAN_POINT('Ctrl Pts',(14.76477792858,-2.621640190578,7.227648750034)); #479387=CARTESIAN_POINT('Ctrl Pts',(14.76479580939,-2.669927205953,7.24176363944)); #479388=CARTESIAN_POINT('Ctrl Pts',(15.3551272365,-2.68642944484,7.039788661349)); #479389=CARTESIAN_POINT('Ctrl Pts',(15.35005246764,-2.637145243114,7.030591177503)); #479390=CARTESIAN_POINT('Ctrl Pts',(15.319601556,-2.55720257708,7.01644182363)); #479391=CARTESIAN_POINT('Ctrl Pts',(15.2360588776,-2.472948692977,7.003306596297)); #479392=CARTESIAN_POINT('Ctrl Pts',(15.14414965672,-2.427858521568,6.997905680647)); #479393=CARTESIAN_POINT('Ctrl Pts',(15.04244837414,-2.415142502553,6.998996647731)); #479394=CARTESIAN_POINT('Ctrl Pts',(14.94238619786,-2.436230523477,7.006457153622)); #479395=CARTESIAN_POINT('Ctrl Pts',(14.85520999236,-2.488751355802,7.019449593809)); #479396=CARTESIAN_POINT('Ctrl Pts',(14.7802298695,-2.579496846821,7.039291390928)); #479397=CARTESIAN_POINT('Ctrl Pts',(14.75762698265,-2.661503882605,7.055669130789)); #479398=CARTESIAN_POINT('Ctrl Pts',(14.75728327118,-2.710895253913,7.065078278556)); #479399=CARTESIAN_POINT('Ctrl Pts',(15.35192838731,-2.699525933834,6.907683213234)); #479400=CARTESIAN_POINT('Ctrl Pts',(15.34651533915,-2.649799401642,6.903878313085)); #479401=CARTESIAN_POINT('Ctrl Pts',(15.31567952822,-2.569394482468,6.898045646592)); #479402=CARTESIAN_POINT('Ctrl Pts',(15.23203487963,-2.485085840129,6.892668089419)); #479403=CARTESIAN_POINT('Ctrl Pts',(15.14028984613,-2.44030244978,6.890490873575)); #479404=CARTESIAN_POINT('Ctrl Pts',(15.0389097203,-2.428175180617,6.89099932666)); #479405=CARTESIAN_POINT('Ctrl Pts',(14.93920513836,-2.450057607295,6.894136911633)); #479406=CARTESIAN_POINT('Ctrl Pts',(14.85229970713,-2.503507482153,6.89955450917)); #479407=CARTESIAN_POINT('Ctrl Pts',(14.77741386619,-2.595420100444,6.907803439621)); #479408=CARTESIAN_POINT('Ctrl Pts',(14.75461775979,-2.678288568206,6.914602917868)); #479409=CARTESIAN_POINT('Ctrl Pts',(14.75405998972,-2.728185643609,6.918510660691)); #479410=CARTESIAN_POINT('Ctrl Pts',(15.35091588972,-2.698343031116,6.808273731027)); #479411=CARTESIAN_POINT('Ctrl Pts',(15.34486965507,-2.648780827014,6.809449552824)); #479412=CARTESIAN_POINT('Ctrl Pts',(15.31323356758,-2.56894207681,6.811260489977)); #479413=CARTESIAN_POINT('Ctrl Pts',(15.22916935522,-2.485718869003,6.812945418134)); #479414=CARTESIAN_POINT('Ctrl Pts',(15.13751002794,-2.441886932645,6.813641779308)); #479415=CARTESIAN_POINT('Ctrl Pts',(15.0365526753,-2.430575579346,6.813508413474)); #479416=CARTESIAN_POINT('Ctrl Pts',(14.93745089355,-2.453034711317,6.812560406087)); #479417=CARTESIAN_POINT('Ctrl Pts',(14.85115305814,-2.506782099312,6.810904572823)); #479418=CARTESIAN_POINT('Ctrl Pts',(14.77679098985,-2.598745514987,6.808373247546)); #479419=CARTESIAN_POINT('Ctrl Pts',(14.75408255719,-2.681472638214,6.806282888201)); #479420=CARTESIAN_POINT('Ctrl Pts',(14.75344367558,-2.731286584546,6.805082102619)); #479421=CARTESIAN_POINT('Ctrl Pts',(15.35149744367,-2.684179551332,6.708587930742)); #479422=CARTESIAN_POINT('Ctrl Pts',(15.34438101443,-2.635526889262,6.714734498955)); #479423=CARTESIAN_POINT('Ctrl Pts',(15.31135725853,-2.557545690656,6.724090522212)); #479424=CARTESIAN_POINT('Ctrl Pts',(15.22648046018,-2.476882968501,6.732597044839)); #479425=CARTESIAN_POINT('Ctrl Pts',(15.13486615859,-2.434868527811,6.73593035661)); #479426=CARTESIAN_POINT('Ctrl Pts',(15.03454762474,-2.4247247082,6.734923555781)); #479427=CARTESIAN_POINT('Ctrl Pts',(14.93644958384,-2.447556283184,6.729686646604)); #479428=CARTESIAN_POINT('Ctrl Pts',(14.85125464676,-2.500876021665,6.720791984189)); #479429=CARTESIAN_POINT('Ctrl Pts',(14.77799204429,-2.591564969645,6.70732787028)); #479430=CARTESIAN_POINT('Ctrl Pts',(14.75569509779,-2.672946033793,6.69625944863)); #479431=CARTESIAN_POINT('Ctrl Pts',(14.75510418402,-2.721971974522,6.689893845588)); #479432=CARTESIAN_POINT('Ctrl Pts',(15.35459309968,-2.651517741387,6.563462742492)); #479433=CARTESIAN_POINT('Ctrl Pts',(15.34602841739,-2.6043893454,6.574309375311)); #479434=CARTESIAN_POINT('Ctrl Pts',(15.31111662044,-2.529325200082,6.59069770703)); #479435=CARTESIAN_POINT('Ctrl Pts',(15.22510511328,-2.452435064396,6.60537474842)); #479436=CARTESIAN_POINT('Ctrl Pts',(15.13351726795,-2.41295784158,6.610914600583)); #479437=CARTESIAN_POINT('Ctrl Pts',(15.03404077908,-2.404290626194,6.608789231333)); #479438=CARTESIAN_POINT('Ctrl Pts',(14.93730958348,-2.427359453058,6.599226581529)); #479439=CARTESIAN_POINT('Ctrl Pts',(14.85366359405,-2.479697366676,6.583252194228)); #479440=CARTESIAN_POINT('Ctrl Pts',(14.78202239652,-2.568073815681,6.559216253571)); #479441=CARTESIAN_POINT('Ctrl Pts',(14.76043834414,-2.647147974176,6.539512032651)); #479442=CARTESIAN_POINT('Ctrl Pts',(14.76002118145,-2.694816248442,6.528171652108)); #479443=CARTESIAN_POINT('Ctrl Pts',(15.35940234675,-2.616417048899,6.44929362427)); #479444=CARTESIAN_POINT('Ctrl Pts',(15.35016205291,-2.570061467986,6.461493211657)); #479445=CARTESIAN_POINT('Ctrl Pts',(15.31436062338,-2.49643345548,6.479846549278)); #479446=CARTESIAN_POINT('Ctrl Pts',(15.22778939793,-2.421360531849,6.496135752176)); #479447=CARTESIAN_POINT('Ctrl Pts',(15.13618293899,-2.383091838929,6.502140985213)); #479448=CARTESIAN_POINT('Ctrl Pts',(15.03707559957,-2.375121291099,6.4995180441)); #479449=CARTESIAN_POINT('Ctrl Pts',(14.94097974567,-2.398295047243,6.488544879365)); #479450=CARTESIAN_POINT('Ctrl Pts',(14.85808823919,-2.450154495431,6.470385148616)); #479451=CARTESIAN_POINT('Ctrl Pts',(14.78729001826,-2.537405130804,6.443154922708)); #479452=CARTESIAN_POINT('Ctrl Pts',(14.76614567066,-2.615340746704,6.420867824025)); #479453=CARTESIAN_POINT('Ctrl Pts',(14.76588602928,-2.662323712752,6.408035564164)); #479454=CARTESIAN_POINT('Ctrl Pts',(15.36346329409,-2.594357692852,6.364425318052)); #479455=CARTESIAN_POINT('Ctrl Pts',(15.35431385074,-2.54782730976,6.376312608275)); #479456=CARTESIAN_POINT('Ctrl Pts',(15.31860513095,-2.473903970348,6.394215000234)); #479457=CARTESIAN_POINT('Ctrl Pts',(15.23203085599,-2.398528612789,6.410139313377)); #479458=CARTESIAN_POINT('Ctrl Pts',(15.14034810486,-2.360119562165,6.416044578867)); #479459=CARTESIAN_POINT('Ctrl Pts',(15.04112066757,-2.352151896547,6.413544142312)); #479460=CARTESIAN_POINT('Ctrl Pts',(14.94489712633,-2.375473215045,6.40290369022)); #479461=CARTESIAN_POINT('Ctrl Pts',(14.86190659623,-2.427603701098,6.385254662579)); #479462=CARTESIAN_POINT('Ctrl Pts',(14.79106151671,-2.515265357493,6.358768091362)); #479463=CARTESIAN_POINT('Ctrl Pts',(14.76996351989,-2.5935222798,6.337081196243)); #479464=CARTESIAN_POINT('Ctrl Pts',(14.76976215631,-2.640673758364,6.324595784766)); #479465=CARTESIAN_POINT('',(15.375553055531,-2.46782197927729,7.59081427993254)); #479466=CARTESIAN_POINT('Ctrl Pts',(15.375553055524,-2.46782197927904,7.59081427993353)); #479467=CARTESIAN_POINT('Ctrl Pts',(15.3737050098221,-2.47920061379971, 7.55766569656713)); #479468=CARTESIAN_POINT('Ctrl Pts',(15.3718229045789,-2.49065637013281, 7.5241024325678)); #479469=CARTESIAN_POINT('Ctrl Pts',(15.3698935023163,-2.50226592166075, 7.49000247337154)); #479470=CARTESIAN_POINT('Ctrl Pts',(15.3681785031289,-2.51258537231316, 7.45969184118835)); #479471=CARTESIAN_POINT('Ctrl Pts',(15.3664256175899,-2.52302933016319, 7.42895270485281)); #479472=CARTESIAN_POINT('Ctrl Pts',(15.3646663688548,-2.53341389161488, 7.39805662973944)); #479473=CARTESIAN_POINT('Ctrl Pts',(15.3644989263594,-2.5344022776511,7.39511599046852)); #479474=CARTESIAN_POINT('Ctrl Pts',(15.3643314298485,-2.5353901041765,7.39217396229666)); #479475=CARTESIAN_POINT('Ctrl Pts',(15.3641639088477,-2.53637719877076, 7.3892308006159)); #479476=CARTESIAN_POINT('Ctrl Pts',(15.3626459697708,-2.54532144725166, 7.36256226292434)); #479477=CARTESIAN_POINT('Ctrl Pts',(15.3611257547195,-2.55420741459797, 7.33580091886035)); #479478=CARTESIAN_POINT('Ctrl Pts',(15.3596279614685,-2.56286764835478, 7.30891172937931)); #479479=CARTESIAN_POINT('Ctrl Pts',(15.358958756834,-2.56673698650436,7.29689780809359)); #479480=CARTESIAN_POINT('Ctrl Pts',(15.3582983182889,-2.57057413005159, 7.28486503637186)); #479481=CARTESIAN_POINT('Ctrl Pts',(15.3576475156667,-2.57435545311815, 7.27281255943168)); #479482=CARTESIAN_POINT('Ctrl Pts',(15.3565086110778,-2.58097276848463, 7.25172072478636)); #479483=CARTESIAN_POINT('Ctrl Pts',(15.3553990867368,-2.5874191665714,7.23056856992441)); #479484=CARTESIAN_POINT('Ctrl Pts',(15.354325608106,-2.593567525526,7.20935119193882)); #479485=CARTESIAN_POINT('Ctrl Pts',(15.3540339211629,-2.59523816523617, 7.20358597971386)); #479486=CARTESIAN_POINT('Ctrl Pts',(15.3537449144235,-2.59688679166515, 7.19781600369193)); #479487=CARTESIAN_POINT('Ctrl Pts',(15.3534587404534,-2.59851085393903, 7.19204106715066)); #479488=CARTESIAN_POINT('Ctrl Pts',(15.3529550222579,-2.60136949869213, 7.18187612932246)); #479489=CARTESIAN_POINT('Ctrl Pts',(15.3524600797153,-2.6041520547427,7.17169581375863)); #479490=CARTESIAN_POINT('Ctrl Pts',(15.3519742549068,-2.60684468794927, 7.1614998278069)); #479491=CARTESIAN_POINT('Ctrl Pts',(15.3508315052101,-2.61317825866479, 7.13751698533058)); #479492=CARTESIAN_POINT('Ctrl Pts',(15.3497390116996,-2.61901438949297, 7.11344699382281)); #479493=CARTESIAN_POINT('Ctrl Pts',(15.3487026838825,-2.62417332219823, 7.08928209794724)); #479494=CARTESIAN_POINT('Ctrl Pts',(15.3476663560654,-2.62933225490349, 7.06511720207167)); #479495=CARTESIAN_POINT('Ctrl Pts',(15.3466862306173,-2.63381394495951, 7.04085743563477)); #479496=CARTESIAN_POINT('Ctrl Pts',(15.3457700478627,-2.63743892762107, 7.01649331898331)); #479497=CARTESIAN_POINT('Ctrl Pts',(15.3455547042683,-2.6382909593713,7.01076667064005)); #479498=CARTESIAN_POINT('Ctrl Pts',(15.3453428484449,-2.63909575793425, 7.00503325606847)); #479499=CARTESIAN_POINT('Ctrl Pts',(15.3451352347565,-2.6398529719114,6.99929410603016)); #479500=CARTESIAN_POINT('Ctrl Pts',(15.3441929187486,-2.64328981105966, 6.97324527950993)); #479501=CARTESIAN_POINT('Ctrl Pts',(15.343337884625,-2.64574550997547,6.94708200716235)); #479502=CARTESIAN_POINT('Ctrl Pts',(15.3426273074402,-2.64716559093393, 6.92089214194294)); #479503=CARTESIAN_POINT('Ctrl Pts',(15.3424748594815,-2.64747025656068, 6.91527334137103)); #479504=CARTESIAN_POINT('Ctrl Pts',(15.342329068929,-2.64772729568629,6.90965313318497)); #479505=CARTESIAN_POINT('Ctrl Pts',(15.342190520181,-2.64793623518957,6.90403205875926)); #479506=CARTESIAN_POINT('Ctrl Pts',(15.3418872388004,-2.64839360100408, 6.891727601044)); #479507=CARTESIAN_POINT('Ctrl Pts',(15.3416186321587,-2.64862046235862, 6.87941923686911)); #479508=CARTESIAN_POINT('Ctrl Pts',(15.3413924845777,-2.64861517712638, 6.86710192204619)); #479509=CARTESIAN_POINT('Ctrl Pts',(15.3413351657292,-2.64861383754351, 6.86398000360931)); #479510=CARTESIAN_POINT('Ctrl Pts',(15.3412805383027,-2.64859742135015, 6.86085806839618)); #479511=CARTESIAN_POINT('Ctrl Pts',(15.3412287078048,-2.6485658440949,6.85773623419976)); #479512=CARTESIAN_POINT('Ctrl Pts',(15.3409608348445,-2.64840264495171, 6.8416018152328)); #479513=CARTESIAN_POINT('Ctrl Pts',(15.3407848117562,-2.6478902851519,6.82548362938009)); #479514=CARTESIAN_POINT('Ctrl Pts',(15.3406849474805,-2.646923496478,6.8093780995333)); #479515=CARTESIAN_POINT('Ctrl Pts',(15.3405549737911,-2.64566521777996, 6.78841669848069)); #479516=CARTESIAN_POINT('Ctrl Pts',(15.340554557677,-2.64363682047122,6.76747456861675)); #479517=CARTESIAN_POINT('Ctrl Pts',(15.3406558077134,-2.64091608883388, 6.74659676192934)); #479518=CARTESIAN_POINT('Ctrl Pts',(15.3407025493352,-2.63966007536487, 6.73695861679122)); #479519=CARTESIAN_POINT('Ctrl Pts',(15.3407708183396,-2.63825677324096, 6.72733491843578)); #479520=CARTESIAN_POINT('Ctrl Pts',(15.3408570690291,-2.6367149085492,6.71773353771636)); #479521=CARTESIAN_POINT('Ctrl Pts',(15.3408675191715,-2.63652809606556, 6.71657023344708)); #479522=CARTESIAN_POINT('Ctrl Pts',(15.3408781813037,-2.63633906957334, 6.71540716800337)); #479523=CARTESIAN_POINT('Ctrl Pts',(15.3408890597043,-2.63614787515121, 6.71424433481167)); #479524=CARTESIAN_POINT('Ctrl Pts',(15.341109493231,-2.63227362357052,6.69068136534377)); #479525=CARTESIAN_POINT('Ctrl Pts',(15.3414182737859,-2.62751165022286, 6.66721620927618)); #479526=CARTESIAN_POINT('Ctrl Pts',(15.3418166179614,-2.62212148781092, 6.64373394585404)); #479527=CARTESIAN_POINT('Ctrl Pts',(15.3419619172069,-2.62015538266913, 6.63516860118972)); #479528=CARTESIAN_POINT('Ctrl Pts',(15.3421192594423,-2.61810572936431, 6.62660105442459)); #479529=CARTESIAN_POINT('Ctrl Pts',(15.3422890785522,-2.61598506567149, 6.61802592260162)); #479530=CARTESIAN_POINT('Ctrl Pts',(15.3423024627534,-2.61581792671157, 6.61735007823323)); #479531=CARTESIAN_POINT('Ctrl Pts',(15.3423159245157,-2.61565034645019, 6.6166741865848)); #479532=CARTESIAN_POINT('Ctrl Pts',(15.342329464147,-2.61548233157182,6.61599824464637)); #479533=CARTESIAN_POINT('Ctrl Pts',(15.3429393123205,-2.60791465381542, 6.58555266255512)); #479534=CARTESIAN_POINT('Ctrl Pts',(15.343702486812,-2.59945635841233,6.55500077721247)); #479535=CARTESIAN_POINT('Ctrl Pts',(15.3446450130362,-2.59068445415459, 6.52411480234661)); #479536=CARTESIAN_POINT('Ctrl Pts',(15.3457075010342,-2.58079608950789, 6.48929775772684)); #479537=CARTESIAN_POINT('Ctrl Pts',(15.3469984355863,-2.57050850171333, 6.45405354805617)); #479538=CARTESIAN_POINT('Ctrl Pts',(15.3485698623129,-2.56059036333516, 6.41804480192369)); #479539=CARTESIAN_POINT('Origin',(15.0875296840838,-2.54095415603675,7.63197520865788)); #479540=CARTESIAN_POINT('Ctrl Pts',(15.411663334,-2.34591684656,8.08678735917)); #479541=CARTESIAN_POINT('Ctrl Pts',(15.40573009944,-2.298991631846,8.070786594864)); #479542=CARTESIAN_POINT('Ctrl Pts',(15.37408017961,-2.222566880044,8.045929286282)); #479543=CARTESIAN_POINT('Ctrl Pts',(15.28925457561,-2.141928213521,8.022513852019)); #479544=CARTESIAN_POINT('Ctrl Pts',(15.19607984156,-2.098850583216,8.012622421677)); #479545=CARTESIAN_POINT('Ctrl Pts',(15.09361792867,-2.087266165433,8.014195304138)); #479546=CARTESIAN_POINT('Ctrl Pts',(14.99352203808,-2.108493189156,8.027053859182)); #479547=CARTESIAN_POINT('Ctrl Pts',(14.90717617643,-2.160116757128,8.049735417641)); #479548=CARTESIAN_POINT('Ctrl Pts',(14.83451219387,-2.248262642109,8.084374854581)); #479549=CARTESIAN_POINT('Ctrl Pts',(14.81406484989,-2.327183375379,8.112949428608)); #479550=CARTESIAN_POINT('Ctrl Pts',(14.81492411738,-2.374363794713,8.129316446001)); #479551=CARTESIAN_POINT('Ctrl Pts',(15.40211737601,-2.407344810978,7.911439076979)); #479552=CARTESIAN_POINT('Ctrl Pts',(15.39626376867,-2.360400470709,7.895598866089)); #479553=CARTESIAN_POINT('Ctrl Pts',(15.36478295229,-2.283907848543,7.871007495572)); #479554=CARTESIAN_POINT('Ctrl Pts',(15.28021042374,-2.203077338785,7.847872094193)); #479555=CARTESIAN_POINT('Ctrl Pts',(15.18722619831,-2.159771867965,7.838125816919)); #479556=CARTESIAN_POINT('Ctrl Pts',(15.08488699838,-2.147915131515,7.839728643055)); #479557=CARTESIAN_POINT('Ctrl Pts',(14.9848122934,-2.168854091662,7.852498827081)); #479558=CARTESIAN_POINT('Ctrl Pts',(14.89836436645,-2.220210711842,7.874986235129)); #479559=CARTESIAN_POINT('Ctrl Pts',(14.82543371723,-2.308118707223,7.909309113351)); #479560=CARTESIAN_POINT('Ctrl Pts',(14.804680142,-2.386971469148,7.937615071203)); #479561=CARTESIAN_POINT('Ctrl Pts',(14.8053332657,-2.434163179193,7.953829296633)); #479562=CARTESIAN_POINT('Ctrl Pts',(15.39232119923,-2.467947342489,7.73577610821)); #479563=CARTESIAN_POINT('Ctrl Pts',(15.38654669591,-2.420985494438,7.720092844558)); #479564=CARTESIAN_POINT('Ctrl Pts',(15.35523357965,-2.344428273844,7.695761063693)); #479565=CARTESIAN_POINT('Ctrl Pts',(15.27091187192,-2.263411282983,7.672898413901)); #479566=CARTESIAN_POINT('Ctrl Pts',(15.17811657761,-2.219882727265,7.663293058732)); #479567=CARTESIAN_POINT('Ctrl Pts',(15.07589945321,-2.207758007102,7.66492425237)); #479568=CARTESIAN_POINT('Ctrl Pts',(14.97584668788,-2.228412202174,7.677607335928)); #479569=CARTESIAN_POINT('Ctrl Pts',(14.8892990684,-2.279503530938,7.69990447647)); #479570=CARTESIAN_POINT('Ctrl Pts',(14.81610629246,-2.367173076764,7.733917594636)); #479571=CARTESIAN_POINT('Ctrl Pts',(14.79505089834,-2.445954989483,7.7619608709)); #479572=CARTESIAN_POINT('Ctrl Pts',(14.79550056363,-2.493155394052,7.778025657124)); #479573=CARTESIAN_POINT('Ctrl Pts',(15.38230305649,-2.527723613841,7.559907649089)); #479574=CARTESIAN_POINT('Ctrl Pts',(15.37660707812,-2.480745688805,7.544377972554)); #479575=CARTESIAN_POINT('Ctrl Pts',(15.34546016732,-2.404126815378,7.520299871255)); #479576=CARTESIAN_POINT('Ctrl Pts',(15.26138690887,-2.32292832353,7.497703199907)); #479577=CARTESIAN_POINT('Ctrl Pts',(15.1687788752,-2.279181209284,7.488234832621)); #479578=CARTESIAN_POINT('Ctrl Pts',(15.06668310398,-2.266792750646,7.489892927316)); #479579=CARTESIAN_POINT('Ctrl Pts',(14.96665295783,-2.287165553353,7.502490087888)); #479580=CARTESIAN_POINT('Ctrl Pts',(14.88000796065,-2.337993490744,7.524600563347)); #479581=CARTESIAN_POINT('Ctrl Pts',(14.80655756262,-2.425424486746,7.55831022939)); #479582=CARTESIAN_POINT('Ctrl Pts',(14.78520477947,-2.504133117466,7.586096330219)); #479583=CARTESIAN_POINT('Ctrl Pts',(14.78545370554,-2.551339896866,7.602014787953)); #479584=CARTESIAN_POINT('',(15.3780588585403,-2.45125173489278,7.63779147497479)); #479585=CARTESIAN_POINT('Ctrl Pts',(15.3780580847974,-2.45125305276677, 7.63778424735914)); #479586=CARTESIAN_POINT('Ctrl Pts',(15.3779479411185,-2.45192396876351, 7.6358216193797)); #479587=CARTESIAN_POINT('Ctrl Pts',(15.3778400269885,-2.4526023839448,7.63386112535068)); #479588=CARTESIAN_POINT('Ctrl Pts',(15.3777337755438,-2.45328639841715, 7.63190222517701)); #479589=CARTESIAN_POINT('Ctrl Pts',(15.3773618954875,-2.45568044907037, 7.6250460745692)); #479590=CARTESIAN_POINT('Ctrl Pts',(15.3770103596746,-2.45814309739783, 7.61820945219131)); #479591=CARTESIAN_POINT('Ctrl Pts',(15.3766549246471,-2.46059286901235, 7.61136919241936)); #479592=CARTESIAN_POINT('Ctrl Pts',(15.3764772071334,-2.46181775481961, 7.60794906253339)); #479593=CARTESIAN_POINT('Ctrl Pts',(15.3762985206734,-2.46303941987337, 7.60452802243161)); #479594=CARTESIAN_POINT('Ctrl Pts',(15.3761158715306,-2.4642476701582,7.60110317102991)); #479595=CARTESIAN_POINT('Ctrl Pts',(15.3760245469592,-2.46485179530061, 7.59939074532907)); #479596=CARTESIAN_POINT('Ctrl Pts',(15.3759322320907,-2.46545256665335, 7.59767736674896)); #479597=CARTESIAN_POINT('Ctrl Pts',(15.3758385538435,-2.46604870967195, 7.59596267249036)); #479598=CARTESIAN_POINT('Ctrl Pts',(15.3757448755964,-2.46664485269055, 7.59424797823175)); #479599=CARTESIAN_POINT('Ctrl Pts',(15.375649834058,-2.46723636735224,7.59253196828196)); #479600=CARTESIAN_POINT('Ctrl Pts',(15.3755530555451,-2.46782197927367, 7.59081427993051)); #479601=CARTESIAN_POINT('',(14.9133093601628,-2.17594690122798,8.0042455044339)); #479602=CARTESIAN_POINT('Ctrl Pts',(15.3780591468639,-2.45125109877449, 7.63779512285278)); #479603=CARTESIAN_POINT('Ctrl Pts',(15.3749428079349,-2.44004172452487, 7.63608673951556)); #479604=CARTESIAN_POINT('Ctrl Pts',(15.3711863408336,-2.42897960958733, 7.63460323150159)); #479605=CARTESIAN_POINT('Ctrl Pts',(15.3617585173843,-2.40545650885235, 7.63198759797203)); #479606=CARTESIAN_POINT('Ctrl Pts',(15.3558768548715,-2.39308023902563, 7.63095639286662)); #479607=CARTESIAN_POINT('Ctrl Pts',(15.3347054189934,-2.35463176557871, 7.62914044946863)); #479608=CARTESIAN_POINT('Ctrl Pts',(15.316805561031,-2.330392352245,7.63018020884441)); #479609=CARTESIAN_POINT('Ctrl Pts',(15.2700175448287,-2.27990122146581, 7.63942007307538)); #479610=CARTESIAN_POINT('Ctrl Pts',(15.2403822600721,-2.25634587941132, 7.64960074632251)); #479611=CARTESIAN_POINT('Ctrl Pts',(15.1772649648516,-2.2168439117915,7.68135146835641)); #479612=CARTESIAN_POINT('Ctrl Pts',(15.1448306356331,-2.20215738671271, 7.70298153739269)); #479613=CARTESIAN_POINT('Ctrl Pts',(15.0727924247399,-2.17739658258928, 7.76322562043072)); #479614=CARTESIAN_POINT('Ctrl Pts',(15.0350517590642,-2.17079378916892, 7.80464694909761)); #479615=CARTESIAN_POINT('Ctrl Pts',(14.9672490789938,-2.16658635781261, 7.8975478446165)); #479616=CARTESIAN_POINT('Ctrl Pts',(14.9373905497488,-2.16975867406538, 7.95028119895498)); #479617=CARTESIAN_POINT('Ctrl Pts',(14.9133093598102,-2.17594690220486, 8.00424550416454)); #479618=CARTESIAN_POINT('Ctrl Pts',(14.9133093603996,-2.1759469014851,8.004245504761)); #479619=CARTESIAN_POINT('Ctrl Pts',(14.8864957841732,-2.20064720301486, 8.004245504761)); #479620=CARTESIAN_POINT('Ctrl Pts',(14.8640559583416,-2.23003409411156, 8.00424550475747)); #479621=CARTESIAN_POINT('Ctrl Pts',(14.829714109243,-2.29470538660181,8.00424550475747)); #479622=CARTESIAN_POINT('Ctrl Pts',(14.8178946154407,-2.33027423418838, 8.004245504761)); #479623=CARTESIAN_POINT('Ctrl Pts',(14.8121227732587,-2.36664838940642, 8.004245504761)); #479624=CARTESIAN_POINT('Origin',(0.,0.001909091374064,8.004245504761)); #479625=CARTESIAN_POINT('Ctrl Pts',(14.9417134545892,-1.32108250141064, 8.004245504761)); #479626=CARTESIAN_POINT('Ctrl Pts',(14.9295522610752,-1.45833257463571, 8.004245504761)); #479627=CARTESIAN_POINT('Ctrl Pts',(14.9228583672251,-1.59700734302186, 8.00424550478067)); #479628=CARTESIAN_POINT('Ctrl Pts',(14.914963704538,-1.88285642758153,8.00424550478067)); #479629=CARTESIAN_POINT('Ctrl Pts',(14.9139773927324,-2.06444368529894, 8.004245504761)); #479630=CARTESIAN_POINT('Ctrl Pts',(14.9133093595503,-2.17594690122431, 8.004245504761)); #479631=CARTESIAN_POINT('Ctrl Pts',(15.30594462037,-0.7563334809473,6.931914244376)); #479632=CARTESIAN_POINT('Ctrl Pts',(15.27042768566,-0.741065990631,6.952482508382)); #479633=CARTESIAN_POINT('Ctrl Pts',(15.21584865282,-0.7131410449451,6.990497249043)); #479634=CARTESIAN_POINT('Ctrl Pts',(15.15025935125,-0.6687646026167,7.051710897215)); #479635=CARTESIAN_POINT('Ctrl Pts',(15.10247067426,-0.6281893222944,7.108143902647)); #479636=CARTESIAN_POINT('Ctrl Pts',(15.06276866571,-0.5849467353969,7.16871313797)); #479637=CARTESIAN_POINT('Ctrl Pts',(15.03165342716,-0.5395815159896,7.232655667307)); #479638=CARTESIAN_POINT('Ctrl Pts',(15.00951689257,-0.4926650770938,7.29916606058)); #479639=CARTESIAN_POINT('Ctrl Pts',(14.99422807466,-0.4358300050441,7.380175240637)); #479640=CARTESIAN_POINT('Ctrl Pts',(14.99353191425,-0.3945632885504,7.439389370242)); #479641=CARTESIAN_POINT('Ctrl Pts',(14.99696241039,-0.369648122754,7.475286674133)); #479642=CARTESIAN_POINT('Ctrl Pts',(15.31294758873,-0.8014133103451,6.956181657896)); #479643=CARTESIAN_POINT('Ctrl Pts',(15.27653282395,-0.7862502799272,6.9767341654)); #479644=CARTESIAN_POINT('Ctrl Pts',(15.22052776445,-0.7584152207858,7.014922712617)); #479645=CARTESIAN_POINT('Ctrl Pts',(15.15316960511,-0.7140068038801,7.076782654197)); #479646=CARTESIAN_POINT('Ctrl Pts',(15.10407171623,-0.6733162488204,7.133999618365)); #479647=CARTESIAN_POINT('Ctrl Pts',(15.06327710558,-0.6298874328011,7.195560157021)); #479648=CARTESIAN_POINT('Ctrl Pts',(15.03131515732,-0.5842843409716,7.260665138198)); #479649=CARTESIAN_POINT('Ctrl Pts',(15.00860063389,-0.5370991772795,7.328469463589)); #479650=CARTESIAN_POINT('Ctrl Pts',(14.99296359615,-0.4799343338985,7.411120525312)); #479651=CARTESIAN_POINT('Ctrl Pts',(14.99233778477,-0.438444887727,7.471564739173)); #479652=CARTESIAN_POINT('Ctrl Pts',(14.99593122534,-0.4134134231605,7.508201618801)); #479653=CARTESIAN_POINT('Ctrl Pts',(15.32557188341,-0.8790666333222,6.996646364215)); #479654=CARTESIAN_POINT('Ctrl Pts',(15.28753285301,-0.8641095188757,7.017105539565)); #479655=CARTESIAN_POINT('Ctrl Pts',(15.22893683601,-0.8364646330553,7.05551358753)); #479656=CARTESIAN_POINT('Ctrl Pts',(15.15834852704,-0.7920355280698,7.118437307189)); #479657=CARTESIAN_POINT('Ctrl Pts',(15.10685141646,-0.7511669925074,7.177000500649)); #479658=CARTESIAN_POINT('Ctrl Pts',(15.06404795101,-0.7074313468601,7.240297712812)); #479659=CARTESIAN_POINT('Ctrl Pts',(15.03052378464,-0.6614279744922,7.30746214998)); #479660=CARTESIAN_POINT('Ctrl Pts',(15.00673760555,-0.6137872981718,7.377574189456)); #479661=CARTESIAN_POINT('Ctrl Pts',(14.99044718809,-0.5560637456529,7.463164772457)); #479662=CARTESIAN_POINT('Ctrl Pts',(14.98993983828,-0.514200769515,7.525816188432)); #479663=CARTESIAN_POINT('Ctrl Pts',(14.99382580879,-0.4889780617726,7.563779139559)); #479664=CARTESIAN_POINT('Ctrl Pts',(15.34313262379,-0.9900881250418,7.052808251706)); #479665=CARTESIAN_POINT('Ctrl Pts',(15.30277317222,-0.9754366448965,7.072979558084)); #479666=CARTESIAN_POINT('Ctrl Pts',(15.24044910585,-0.9480817720342,7.111476048374)); #479667=CARTESIAN_POINT('Ctrl Pts',(15.16517645096,-0.903647978727,7.175674171342)); #479668=CARTESIAN_POINT('Ctrl Pts',(15.11018264415,-0.862546632758,7.235997238698)); #479669=CARTESIAN_POINT('Ctrl Pts',(15.06443986519,-0.818396102416,7.301652014828)); #479670=CARTESIAN_POINT('Ctrl Pts',(15.02862277246,-0.771848299453,7.371669595313)); #479671=CARTESIAN_POINT('Ctrl Pts',(15.00325962451,-0.723590501892,7.445016790576)); #479672=CARTESIAN_POINT('Ctrl Pts',(14.98600480682,-0.6651188951867,7.534756111172)); #479673=CARTESIAN_POINT('Ctrl Pts',(14.98566893567,-0.6227702782494,7.600534909213)); #479674=CARTESIAN_POINT('Ctrl Pts',(14.98998342208,-0.5973093664727,7.640374334947)); #479675=CARTESIAN_POINT('Ctrl Pts',(15.3606541312,-1.088125765651,7.099592377347)); #479676=CARTESIAN_POINT('Ctrl Pts',(15.31801647393,-1.073817800023,7.119353493461)); #479677=CARTESIAN_POINT('Ctrl Pts',(15.252005162,-1.046818858436,7.157751390826)); #479678=CARTESIAN_POINT('Ctrl Pts',(15.1720589055,-1.002476868279,7.223000735546)); #479679=CARTESIAN_POINT('Ctrl Pts',(15.11355497474,-0.961226116902,7.284920420835)); #479680=CARTESIAN_POINT('Ctrl Pts',(15.06484419606,-0.916745541905,7.352793118691)); #479681=CARTESIAN_POINT('Ctrl Pts',(15.02669656339,-0.869739276499,7.425545070761)); #479682=CARTESIAN_POINT('Ctrl Pts',(14.99971507419,-0.820951394769,7.502025487586)); #479683=CARTESIAN_POINT('Ctrl Pts',(14.98144678343,-0.7618364156827,7.59580654702)); #479684=CARTESIAN_POINT('Ctrl Pts',(14.98124961256,-0.7190787982426,7.664642413138)); #479685=CARTESIAN_POINT('Ctrl Pts',(14.98597398295,-0.6934268911978,7.706314070784)); #479686=CARTESIAN_POINT('Ctrl Pts',(15.38867508487,-1.219248180356,7.157443884939)); #479687=CARTESIAN_POINT('Ctrl Pts',(15.34252304924,-1.205552129374,7.176350592097)); #479688=CARTESIAN_POINT('Ctrl Pts',(15.27077182037,-1.17923739597,7.214274946823)); #479689=CARTESIAN_POINT('Ctrl Pts',(15.18347173075,-1.135220637023,7.28080445659)); #479690=CARTESIAN_POINT('Ctrl Pts',(15.11940309478,-1.093890111253,7.34496832616)); #479691=CARTESIAN_POINT('Ctrl Pts',(15.06595321851,-1.049046917495,7.416108043729)); #479692=CARTESIAN_POINT('Ctrl Pts',(15.02405467886,-1.00147644038,7.492979913132)); #479693=CARTESIAN_POINT('Ctrl Pts',(14.9944384679,-0.952011679409,7.574240493255)); #479694=CARTESIAN_POINT('Ctrl Pts',(14.97447460319,-0.8920708038014,7.674230473536)); #479695=CARTESIAN_POINT('Ctrl Pts',(14.97443193233,-0.8488034183397,7.747781161949)); #479696=CARTESIAN_POINT('Ctrl Pts',(14.97976667777,-0.8229322232872,7.792274945176)); #479697=CARTESIAN_POINT('Ctrl Pts',(15.4284350742,-1.384422075036,7.225244178101)); #479698=CARTESIAN_POINT('Ctrl Pts',(15.37743756012,-1.371675449791,7.242410907282)); #479699=CARTESIAN_POINT('Ctrl Pts',(15.29764728701,-1.346479181823,7.278870082599)); #479700=CARTESIAN_POINT('Ctrl Pts',(15.19986956959,-1.303149767936,7.346309953329)); #479701=CARTESIAN_POINT('Ctrl Pts',(15.12778444867,-1.261907199242,7.41302748089)); #479702=CARTESIAN_POINT('Ctrl Pts',(15.06744308633,-1.216760850297,7.488298933736)); #479703=CARTESIAN_POINT('Ctrl Pts',(15.02004192508,-1.168612523097,7.570624976904)); #479704=CARTESIAN_POINT('Ctrl Pts',(14.98652059824,-1.118423707872,7.658367251488)); #479705=CARTESIAN_POINT('Ctrl Pts',(14.96399266624,-1.057611223045,7.766885426647)); #479706=CARTESIAN_POINT('Ctrl Pts',(14.96410451794,-1.013857697247,7.846959241735)); #479707=CARTESIAN_POINT('Ctrl Pts',(14.97028589105,-0.9878291621601,7.895348252115)); #479708=CARTESIAN_POINT('Ctrl Pts',(15.49820764336,-1.649767990272,7.327322715179)); #479709=CARTESIAN_POINT('Ctrl Pts',(15.43905931476,-1.638777394494,7.340105387133)); #479710=CARTESIAN_POINT('Ctrl Pts',(15.34537509735,-1.615735125532,7.371996246579)); #479711=CARTESIAN_POINT('Ctrl Pts',(15.22896216504,-1.573953243018,7.438813831193)); #479712=CARTESIAN_POINT('Ctrl Pts',(15.14236381133,-1.533198457168,7.508531596753)); #479713=CARTESIAN_POINT('Ctrl Pts',(15.06936453962,-1.487900890232,7.589953188917)); #479714=CARTESIAN_POINT('Ctrl Pts',(15.0117286222,-1.439172275444,7.68109282006)); #479715=CARTESIAN_POINT('Ctrl Pts',(14.97084842924,-1.388207642344,7.779732031455)); #479716=CARTESIAN_POINT('Ctrl Pts',(14.94338206266,-1.326535678334,7.902884297588)); #479717=CARTESIAN_POINT('Ctrl Pts',(14.94363948753,-1.282495064224,7.994278767235)); #479718=CARTESIAN_POINT('Ctrl Pts',(14.95130660546,-1.256569155733,8.049402947779)); #479719=CARTESIAN_POINT('Ctrl Pts',(15.58961443528,-1.981300497997,7.449128821335)); #479720=CARTESIAN_POINT('Ctrl Pts',(15.52057919675,-1.972640042366,7.453201168076)); #479721=CARTESIAN_POINT('Ctrl Pts',(15.40910082612,-1.952579108871,7.474656035175)); #479722=CARTESIAN_POINT('Ctrl Pts',(15.26751276038,-1.913252792728,7.535802315001)); #479723=CARTESIAN_POINT('Ctrl Pts',(15.16069109071,-1.873628890252,7.606311006702)); #479724=CARTESIAN_POINT('Ctrl Pts',(15.06962717919,-1.8287634579,7.693593660751)); #479725=CARTESIAN_POINT('Ctrl Pts',(14.99709645707,-1.780059575428,7.794941311562)); #479726=CARTESIAN_POINT('Ctrl Pts',(14.94530674775,-1.729039338412,7.907223413316)); #479727=CARTESIAN_POINT('Ctrl Pts',(14.91031802872,-1.667615833474,8.049390721157)); #479728=CARTESIAN_POINT('Ctrl Pts',(14.91053325376,-1.624420973771,8.155787309232)); #479729=CARTESIAN_POINT('Ctrl Pts',(14.92021523222,-1.599465171024,8.219780443577)); #479730=CARTESIAN_POINT('Ctrl Pts',(15.6971765946,-2.381440885194,7.593234015902)); #479731=CARTESIAN_POINT('Ctrl Pts',(15.61861621652,-2.37489544152,7.581519578122)); #479732=CARTESIAN_POINT('Ctrl Pts',(15.4875839666,-2.357633695898,7.582150892953)); #479733=CARTESIAN_POINT('Ctrl Pts',(15.31500407397,-2.320944534979,7.627261793787)); #479734=CARTESIAN_POINT('Ctrl Pts',(15.1817497755,-2.282940840459,7.692790422362)); #479735=CARTESIAN_POINT('Ctrl Pts',(15.06601097615,-2.239406030994,7.78313366368)); #479736=CARTESIAN_POINT('Ctrl Pts',(14.97241207623,-2.192119688113,7.894614034829)); #479737=CARTESIAN_POINT('Ctrl Pts',(14.90468719636,-2.143015880262,8.02272092724)); #479738=CARTESIAN_POINT('Ctrl Pts',(14.85820875599,-2.08495386981,8.188404847933)); #479739=CARTESIAN_POINT('Ctrl Pts',(14.85772519698,-2.045602669072,8.31395628568)); #479740=CARTESIAN_POINT('Ctrl Pts',(14.86989784605,-2.023728720292,8.389159702219)); #479741=CARTESIAN_POINT('Ctrl Pts',(15.7802093409,-2.717622711238,7.713464610439)); #479742=CARTESIAN_POINT('Ctrl Pts',(15.69733649404,-2.711213648669,7.684012930758)); #479743=CARTESIAN_POINT('Ctrl Pts',(15.55369252302,-2.694109217116,7.659596168286)); #479744=CARTESIAN_POINT('Ctrl Pts',(15.35634137523,-2.657925539591,7.68216232545)); #479745=CARTESIAN_POINT('Ctrl Pts',(15.19990645299,-2.620795956449,7.737371702229)); #479746=CARTESIAN_POINT('Ctrl Pts',(15.0611309188,-2.578891879176,7.825962466488)); #479747=CARTESIAN_POINT('Ctrl Pts',(14.94690926952,-2.534320510972,7.94347615747)); #479748=CARTESIAN_POINT('Ctrl Pts',(14.86290869296,-2.489329068809,8.084028176074)); #479749=CARTESIAN_POINT('Ctrl Pts',(14.80400801979,-2.43812111925,8.269896739672)); #479750=CARTESIAN_POINT('Ctrl Pts',(14.80192569889,-2.405714654391,8.412551101962)); #479751=CARTESIAN_POINT('Ctrl Pts',(14.81602153614,-2.388866902364,8.497642867475)); #479752=CARTESIAN_POINT('Ctrl Pts',(15.83493701129,-2.993859966898,7.80780012385)); #479753=CARTESIAN_POINT('Ctrl Pts',(15.75212862049,-2.985401566688,7.762178297544)); #479754=CARTESIAN_POINT('Ctrl Pts',(15.60266309979,-2.965283633499,7.713713152361)); #479755=CARTESIAN_POINT('Ctrl Pts',(15.38825126488,-2.926595862553,7.712637667019)); #479756=CARTESIAN_POINT('Ctrl Pts',(15.21380807162,-2.888845467473,7.755417890794)); #479757=CARTESIAN_POINT('Ctrl Pts',(15.05579766081,-2.848156316147,7.839356624063)); #479758=CARTESIAN_POINT('Ctrl Pts',(14.92344299404,-2.806913157521,7.959528864919)); #479759=CARTESIAN_POINT('Ctrl Pts',(14.82446534912,-2.767537461456,8.10889938043)); #479760=CARTESIAN_POINT('Ctrl Pts',(14.75344140688,-2.725760789827,8.310513166792)); #479761=CARTESIAN_POINT('Ctrl Pts',(14.74897773505,-2.702559903871,8.467034662772)); #479762=CARTESIAN_POINT('Ctrl Pts',(14.76421307247,-2.692046234931,8.560051706533)); #479763=CARTESIAN_POINT('Ctrl Pts',(15.8674132043,-3.201901815162,7.874203840603)); #479764=CARTESIAN_POINT('Ctrl Pts',(15.78667697203,-3.190469059255,7.816112487441)); #479765=CARTESIAN_POINT('Ctrl Pts',(15.63555085257,-3.16592989073,7.748445012417)); #479766=CARTESIAN_POINT('Ctrl Pts',(15.41055703651,-3.123260946274,7.727497027818)); #479767=CARTESIAN_POINT('Ctrl Pts',(15.22351783046,-3.08401001489,7.759121541678)); #479768=CARTESIAN_POINT('Ctrl Pts',(15.05119670891,-3.044016829138,7.837938064991)); #479769=CARTESIAN_POINT('Ctrl Pts',(14.90478287926,-3.005886496607,7.958802316672)); #479770=CARTESIAN_POINT('Ctrl Pts',(14.79377814156,-2.972109139487,8.113844501394)); #479771=CARTESIAN_POINT('Ctrl Pts',(14.71258532928,-2.939806135546,8.326501545006)); #479772=CARTESIAN_POINT('Ctrl Pts',(14.70562807047,-2.925712253717,8.493054791007)); #479773=CARTESIAN_POINT('Ctrl Pts',(14.72137613986,-2.921310284346,8.591753584468)); #479774=CARTESIAN_POINT('Ctrl Pts',(15.88853760969,-3.409321163823,7.932140273426)); #479775=CARTESIAN_POINT('Ctrl Pts',(15.81190439172,-3.393231715237,7.862126100269)); #479776=CARTESIAN_POINT('Ctrl Pts',(15.66211265113,-3.361659620868,7.77538480259)); #479777=CARTESIAN_POINT('Ctrl Pts',(15.42947579466,-3.312351122199,7.733662647665)); #479778=CARTESIAN_POINT('Ctrl Pts',(15.2314478898,-3.270203674627,7.752937877307)); #479779=CARTESIAN_POINT('Ctrl Pts',(15.04560291151,-3.230473037925,7.825239501015)); #479780=CARTESIAN_POINT('Ctrl Pts',(14.88522689802,-3.196005353601,7.945373343295)); #479781=CARTESIAN_POINT('Ctrl Pts',(14.76177913834,-3.169278061032,8.104729789954)); #479782=CARTESIAN_POINT('Ctrl Pts',(14.66953703266,-3.149031083463,8.326924878383)); #479783=CARTESIAN_POINT('Ctrl Pts',(14.659277543,-3.146406706895,8.502492145318)); #479784=CARTESIAN_POINT('Ctrl Pts',(14.67505557602,-3.149540623186,8.606239156384)); #479785=CARTESIAN_POINT('Ctrl Pts',(15.89526486139,-3.61872681439,7.976216412884)); #479786=CARTESIAN_POINT('Ctrl Pts',(15.82441756853,-3.596281185772,7.895857273692)); #479787=CARTESIAN_POINT('Ctrl Pts',(15.67879678229,-3.554931637024,7.791826655806)); #479788=CARTESIAN_POINT('Ctrl Pts',(15.44188086114,-3.496032161659,7.730222167656)); #479789=CARTESIAN_POINT('Ctrl Pts',(15.23510446365,-3.44932135721,7.737010247729)); #479790=CARTESIAN_POINT('Ctrl Pts',(15.03727402967,-3.409157917437,7.801949336919)); #479791=CARTESIAN_POINT('Ctrl Pts',(14.86375775328,-3.37866437564,7.919974273071)); #479792=CARTESIAN_POINT('Ctrl Pts',(14.72802905693,-3.360220216874,8.081898352008)); #479793=CARTESIAN_POINT('Ctrl Pts',(14.62426821126,-3.354352048178,8.311287581703)); #479794=CARTESIAN_POINT('Ctrl Pts',(14.60989303914,-3.365340421482,8.494065002235)); #479795=CARTESIAN_POINT('Ctrl Pts',(14.62510453627,-3.377268558484,8.60178542657)); #479796=CARTESIAN_POINT('Ctrl Pts',(15.88559318271,-3.796876217349,7.994062917267)); #479797=CARTESIAN_POINT('Ctrl Pts',(15.82006967579,-3.768370779838,7.907493069365)); #479798=CARTESIAN_POINT('Ctrl Pts',(15.67941373945,-3.717501162659,7.792514868402)); #479799=CARTESIAN_POINT('Ctrl Pts',(15.44170456029,-3.648890909364,7.717512293272)); #479800=CARTESIAN_POINT('Ctrl Pts',(15.23009842348,-3.597213024486,7.715381608961)); #479801=CARTESIAN_POINT('Ctrl Pts',(15.02456182636,-3.555910271392,7.774493103509)); #479802=CARTESIAN_POINT('Ctrl Pts',(14.84195030247,-3.528369406832,7.88999411239)); #479803=CARTESIAN_POINT('Ctrl Pts',(14.69723698559,-3.516851332266,8.052407038271)); #479804=CARTESIAN_POINT('Ctrl Pts',(14.5845195758,-3.523327121339,8.285086404824)); #479805=CARTESIAN_POINT('Ctrl Pts',(14.56637359508,-3.546061280795,8.471571108915)); #479806=CARTESIAN_POINT('Ctrl Pts',(14.58063592794,-3.565502029681,8.581273877264)); #479807=CARTESIAN_POINT('Ctrl Pts',(15.8663840084,-3.939960868347,7.991233438904)); #479808=CARTESIAN_POINT('Ctrl Pts',(15.80414922737,-3.90754305854,7.901750780911)); #479809=CARTESIAN_POINT('Ctrl Pts',(15.66689040209,-3.850378312191,7.781439613958)); #479810=CARTESIAN_POINT('Ctrl Pts',(15.42961092939,-3.77502574459,7.699627189413)); #479811=CARTESIAN_POINT('Ctrl Pts',(15.21595744313,-3.719605187869,7.692789196906)); #479812=CARTESIAN_POINT('Ctrl Pts',(15.00661277358,-3.676933577279,7.748631421495)); #479813=CARTESIAN_POINT('Ctrl Pts',(14.81921793688,-3.650606607575,7.862448024133)); #479814=CARTESIAN_POINT('Ctrl Pts',(14.66956341383,-3.642842802557,8.024646491829)); #479815=CARTESIAN_POINT('Ctrl Pts',(14.55167821146,-3.656441027221,8.258406377864)); #479816=CARTESIAN_POINT('Ctrl Pts',(14.53109731321,-3.686178904201,8.446332273696)); #479817=CARTESIAN_POINT('Ctrl Pts',(14.54457667384,-3.710139785571,8.556776197761)); #479818=CARTESIAN_POINT('Ctrl Pts',(15.85232818483,-4.011598215381,7.983253455995)); #479819=CARTESIAN_POINT('Ctrl Pts',(15.7910793686,-3.977940465472,7.893068467916)); #479820=CARTESIAN_POINT('Ctrl Pts',(15.65492312671,-3.918702652953,7.771440601845)); #479821=CARTESIAN_POINT('Ctrl Pts',(15.41801521723,-3.840944518061,7.687903141125)); #479822=CARTESIAN_POINT('Ctrl Pts',(15.20399957045,-3.784021515089,7.679846134517)); #479823=CARTESIAN_POINT('Ctrl Pts',(14.99377284688,-3.740532858274,7.734812489182)); #479824=CARTESIAN_POINT('Ctrl Pts',(14.80517348558,-3.714168797782,7.848138982139)); #479825=CARTESIAN_POINT('Ctrl Pts',(14.65420422891,-3.70716608725,8.010208891785)); #479826=CARTESIAN_POINT('Ctrl Pts',(14.53486453111,-3.722543115497,8.244146492785)); #479827=CARTESIAN_POINT('Ctrl Pts',(14.5135133794,-3.754218578758,8.432365005926)); #479828=CARTESIAN_POINT('Ctrl Pts',(14.52669342499,-3.779480338754,8.54295322468)); #479829=CARTESIAN_POINT('Ctrl Pts',(15.84407791189,-4.046303669424,7.977409087436)); #479830=CARTESIAN_POINT('Ctrl Pts',(15.78303627984,-4.01234542857,7.887120816797)); #479831=CARTESIAN_POINT('Ctrl Pts',(15.64714605905,-3.95256049103,7.765297571775)); #479832=CARTESIAN_POINT('Ctrl Pts',(15.41041878967,-3.874060796541,7.681501170235)); #479833=CARTESIAN_POINT('Ctrl Pts',(15.19643543805,-3.816584567444,7.673259096481)); #479834=CARTESIAN_POINT('Ctrl Pts',(14.98613723078,-3.772666494404,7.728089922986)); #479835=CARTESIAN_POINT('Ctrl Pts',(14.79738724078,-3.746037246621,7.841337054764)); #479836=CARTESIAN_POINT('Ctrl Pts',(14.64621766025,-3.738958521629,8.003380470822)); #479837=CARTESIAN_POINT('Ctrl Pts',(14.52661718894,-3.754478380362,8.23733503556)); #479838=CARTESIAN_POINT('Ctrl Pts',(14.50508746411,-3.786458852441,8.425589932032)); #479839=CARTESIAN_POINT('Ctrl Pts',(14.51817569832,-3.811963545192,8.536195329291)); #479840=CARTESIAN_POINT('',(15.7620332244914,-3.95529659561545,7.87585366476074)); #479841=CARTESIAN_POINT('Ctrl Pts',(15.7620333706987,-3.95529652245021, 7.8758537978562)); #479842=CARTESIAN_POINT('Ctrl Pts',(15.7437680031504,-3.88196511043615, 7.85560681407234)); #479843=CARTESIAN_POINT('Ctrl Pts',(15.7246457424719,-3.80243073392223, 7.84044305099303)); #479844=CARTESIAN_POINT('Ctrl Pts',(15.6819223458535,-3.62467167837573, 7.81099837444754)); #479845=CARTESIAN_POINT('Ctrl Pts',(15.6536254092065,-3.50620377846306, 7.7953882365153)); #479846=CARTESIAN_POINT('Ctrl Pts',(15.5199465399057,-2.96776436798558, 7.72018788726361)); #479847=CARTESIAN_POINT('Ctrl Pts',(15.4514184496853,-2.71629321476374, 7.67828429356788)); #479848=CARTESIAN_POINT('Ctrl Pts',(15.3780593440959,-2.45125105362829, 7.63779505478741)); #479849=CARTESIAN_POINT('',(15.7801522493107,-3.9634571373723,7.89371187636775)); #479850=CARTESIAN_POINT('Origin',(15.3578739484339,-3.93020024162245,8.28116685192654)); #479851=CARTESIAN_POINT('',(15.27397537317,-0.7788736259352,6.976158714125)); #479852=CARTESIAN_POINT('Ctrl Pts',(15.7801522508931,-3.96345713747003, 7.8937118747775)); #479853=CARTESIAN_POINT('Ctrl Pts',(15.7837735356901,-3.94489108161731, 7.89617412946255)); #479854=CARTESIAN_POINT('Ctrl Pts',(15.7869338705559,-3.92657413446417, 7.89816121556879)); #479855=CARTESIAN_POINT('Ctrl Pts',(15.7897284320096,-3.90843191631077, 7.89974441128294)); #479856=CARTESIAN_POINT('Ctrl Pts',(15.7941777491131,-3.87954706877289, 7.90226507146016)); #479857=CARTESIAN_POINT('Ctrl Pts',(15.79770185768,-3.85110496360748,7.90376267596539)); #479858=CARTESIAN_POINT('Ctrl Pts',(15.8005041291123,-3.8229615433139,7.90441697278935)); #479859=CARTESIAN_POINT('Ctrl Pts',(15.8012222629191,-3.81574927211162, 7.90458464843403)); #479860=CARTESIAN_POINT('Ctrl Pts',(15.8018930612432,-3.80855658174515, 7.90469721593333)); #479861=CARTESIAN_POINT('Ctrl Pts',(15.8025200683932,-3.80138100001306, 7.90475810589229)); #479862=CARTESIAN_POINT('Ctrl Pts',(15.805829592408,-3.76350621650825,7.90507950060276)); #479863=CARTESIAN_POINT('Ctrl Pts',(15.8079188646526,-3.72610880209044, 7.90395640602177)); #479864=CARTESIAN_POINT('Ctrl Pts',(15.8089863059348,-3.68901992987119, 7.90164407419445)); #479865=CARTESIAN_POINT('Ctrl Pts',(15.8099016159078,-3.65721694904598, 7.89966129498426)); #479866=CARTESIAN_POINT('Ctrl Pts',(15.810066242054,-3.62564033719042,7.89680761955197)); #479867=CARTESIAN_POINT('Ctrl Pts',(15.8096111786668,-3.59418304447827, 7.89325447403678)); #479868=CARTESIAN_POINT('Ctrl Pts',(15.8089779182308,-3.55040747505879, 7.88830996181886)); #479869=CARTESIAN_POINT('Ctrl Pts',(15.8071370441975,-3.50686365173168, 7.88200605463462)); #479870=CARTESIAN_POINT('Ctrl Pts',(15.8043089023873,-3.4634087084997,7.87468079735743)); #479871=CARTESIAN_POINT('Ctrl Pts',(15.8027346314132,-3.43921973199169, 7.8706032295823)); #479872=CARTESIAN_POINT('Ctrl Pts',(15.8008545286841,-3.41505842325276, 7.86620857250297)); #479873=CARTESIAN_POINT('Ctrl Pts',(15.7987066245939,-3.39090146107963, 7.86154766104315)); #479874=CARTESIAN_POINT('Ctrl Pts',(15.7948804435097,-3.34786932005402, 7.85324492008551)); #479875=CARTESIAN_POINT('Ctrl Pts',(15.790204457875,-3.30485049858879,7.84409945406045)); #479876=CARTESIAN_POINT('Ctrl Pts',(15.7848216958288,-3.26173171519586, 7.83431272309513)); #479877=CARTESIAN_POINT('Ctrl Pts',(15.7817542806183,-3.23716008990443, 7.82873566671308)); #479878=CARTESIAN_POINT('Ctrl Pts',(15.7784572945642,-3.21255615117079, 7.82294946392672)); #479879=CARTESIAN_POINT('Ctrl Pts',(15.7749566169484,-3.18790012455973, 7.81698384566878)); #479880=CARTESIAN_POINT('Ctrl Pts',(15.7678185474663,-3.13762516341743, 7.80481962996451)); #479881=CARTESIAN_POINT('Ctrl Pts',(15.7598368103351,-3.08713307207525, 7.7919134153662)); #479882=CARTESIAN_POINT('Ctrl Pts',(15.7511927653025,-3.0365403180564,7.77849678272428)); #479883=CARTESIAN_POINT('Ctrl Pts',(15.7481584567683,-3.0187808056164,7.77378715861056)); #479884=CARTESIAN_POINT('Ctrl Pts',(15.7450425326753,-3.00100895670438, 7.76901417371039)); #479885=CARTESIAN_POINT('Ctrl Pts',(15.7418528099198,-2.98323002063165, 7.76418633532915)); #479886=CARTESIAN_POINT('Ctrl Pts',(15.7317041384383,-2.92666316660528, 7.74882570700711)); #479887=CARTESIAN_POINT('Ctrl Pts',(15.7208107288985,-2.87002373431472, 7.73291434512996)); #479888=CARTESIAN_POINT('Ctrl Pts',(15.7094014973278,-2.81361299471478, 7.71670438821343)); #479889=CARTESIAN_POINT('Ctrl Pts',(15.7070093646419,-2.80178555715294, 7.713305704653)); #479890=CARTESIAN_POINT('Ctrl Pts',(15.7045945647289,-2.7899681941078,7.70989371311406)); #479891=CARTESIAN_POINT('Ctrl Pts',(15.7021592197801,-2.7781637147194,7.7064704521365)); #479892=CARTESIAN_POINT('Ctrl Pts',(15.6982656754476,-2.75929112591341, 7.70099746220789)); #479893=CARTESIAN_POINT('Ctrl Pts',(15.6943185734166,-2.74045131148446, 7.69549543266631)); #479894=CARTESIAN_POINT('Ctrl Pts',(15.6903239015209,-2.72164004719842, 7.68996772920356)); #479895=CARTESIAN_POINT('Ctrl Pts',(15.679181284364,-2.66916847465046,7.67454892008693)); #479896=CARTESIAN_POINT('Ctrl Pts',(15.6676691036036,-2.61691858298883, 7.65893412839751)); #479897=CARTESIAN_POINT('Ctrl Pts',(15.6559125866325,-2.56480291035088, 7.64316445794475)); #479898=CARTESIAN_POINT('Ctrl Pts',(15.6550457697317,-2.5609603823346,7.64200174819824)); #479899=CARTESIAN_POINT('Ctrl Pts',(15.6541776211575,-2.55711858674311, 7.64083817362826)); #479900=CARTESIAN_POINT('Ctrl Pts',(15.6533081894863,-2.55327748983078, 7.63967373993215)); #479901=CARTESIAN_POINT('Ctrl Pts',(15.6521928886245,-2.54835015759928, 7.63818001253781)); #479902=CARTESIAN_POINT('Ctrl Pts',(15.651075476371,-2.54342397506961,7.63668487152769)); #479903=CARTESIAN_POINT('Ctrl Pts',(15.6499560559677,-2.53849887075009, 7.63518832596855)); #479904=CARTESIAN_POINT('Ctrl Pts',(15.6374025980047,-2.48326752973357, 7.61840569300061)); #479905=CARTESIAN_POINT('Ctrl Pts',(15.624597360136,-2.42817115673125,7.60145142564804)); #479906=CARTESIAN_POINT('Ctrl Pts',(15.6116817747802,-2.37311156308975, 7.58432430946504)); #479907=CARTESIAN_POINT('Ctrl Pts',(15.5932135079542,-2.29438069347079, 7.55983388623503)); #479908=CARTESIAN_POINT('Ctrl Pts',(15.5745240013436,-2.21573248898969, 7.53498683760616)); #479909=CARTESIAN_POINT('Ctrl Pts',(15.5557412634107,-2.13717112961642, 7.50974856306814)); #479910=CARTESIAN_POINT('Ctrl Pts',(15.5424799487542,-2.08170387504441, 7.49192939840594)); #479911=CARTESIAN_POINT('Ctrl Pts',(15.5291719927808,-2.02628008067787, 7.47391400229896)); #479912=CARTESIAN_POINT('Ctrl Pts',(15.5158600852374,-1.97090457078431, 7.45567522440838)); #479913=CARTESIAN_POINT('Ctrl Pts',(15.4997769337381,-1.90400110769624, 7.43363953741029)); #479914=CARTESIAN_POINT('Ctrl Pts',(15.4836910199693,-1.83716703095408, 7.41128086176177)); #479915=CARTESIAN_POINT('Ctrl Pts',(15.4677496206691,-1.77036282609906, 7.38847196968401)); #479916=CARTESIAN_POINT('Ctrl Pts',(15.4518082213689,-1.70355862124404, 7.36566307760625)); #479917=CARTESIAN_POINT('Ctrl Pts',(15.4360113246926,-1.63678381004305, 7.3424031860687)); #479918=CARTESIAN_POINT('Ctrl Pts',(15.4204953936597,-1.57001936242426, 7.31851130105246)); #479919=CARTESIAN_POINT('Ctrl Pts',(15.4086039365113,-1.51885088492746, 7.30020048707269)); #479920=CARTESIAN_POINT('Ctrl Pts',(15.3968757680939,-1.46768861396075, 7.28152151772029)); #479921=CARTESIAN_POINT('Ctrl Pts',(15.3855096484922,-1.41659390639055, 7.26232019919702)); #479922=CARTESIAN_POINT('Ctrl Pts',(15.3820452956257,-1.40102042108147, 7.25646770554048)); #479923=CARTESIAN_POINT('Ctrl Pts',(15.3786145196148,-1.38545338540352, 7.25056635644745)); #479924=CARTESIAN_POINT('Ctrl Pts',(15.3752227979048,-1.36989503789761, 7.24461100397321)); #479925=CARTESIAN_POINT('Ctrl Pts',(15.3634107163243,-1.31571120864702, 7.22387076780937)); #479926=CARTESIAN_POINT('Ctrl Pts',(15.3520729181118,-1.26163232236274, 7.20247797799555)); #479927=CARTESIAN_POINT('Ctrl Pts',(15.3414238124801,-1.20778421404777, 7.18020788249529)); #479928=CARTESIAN_POINT('Ctrl Pts',(15.3389829142657,-1.19544160463244, 7.1751033189553)); #479929=CARTESIAN_POINT('Ctrl Pts',(15.3365781625226,-1.18311132873022, 7.16995265789824)); #479930=CARTESIAN_POINT('Ctrl Pts',(15.3342120777298,-1.17079530352929, 7.16475330768265)); #479931=CARTESIAN_POINT('Ctrl Pts',(15.3278676798239,-1.13777122732959, 7.15081181804559)); #479932=CARTESIAN_POINT('Ctrl Pts',(15.3218025233881,-1.10484624727882, 7.13652176697206)); #479933=CARTESIAN_POINT('Ctrl Pts',(15.3162572184329,-1.07198875824697, 7.12163371049307)); #479934=CARTESIAN_POINT('Ctrl Pts',(15.3151240969613,-1.06527469533631, 7.1185915015245)); #479935=CARTESIAN_POINT('Ctrl Pts',(15.3140125195771,-1.05856353926403, 7.11552432363424)); #479936=CARTESIAN_POINT('Ctrl Pts',(15.3129206760619,-1.05185544825166, 7.11243317427781)); #479937=CARTESIAN_POINT('Ctrl Pts',(15.3087539251621,-1.02625567697237, 7.10063656681245)); #479938=CARTESIAN_POINT('Ctrl Pts',(15.3048743726939,-1.00070103067267, 7.08848854801506)); #479939=CARTESIAN_POINT('Ctrl Pts',(15.3011831496214,-0.9751965838777,7.07606167053584)); #479940=CARTESIAN_POINT('Ctrl Pts',(15.3011081131229,-0.974678120391199, 7.0758090525404)); #479941=CARTESIAN_POINT('Ctrl Pts',(15.301033154539,-0.974159677417483, 7.07555632031884)); #479942=CARTESIAN_POINT('Ctrl Pts',(15.3009582730553,-0.973641254930834, 7.07530347427072)); #479943=CARTESIAN_POINT('Ctrl Pts',(15.2966393229923,-0.943740126901495, 7.06072003653172)); #479944=CARTESIAN_POINT('Ctrl Pts',(15.292576277629,-0.913908028003387, 7.04575369318097)); #479945=CARTESIAN_POINT('Ctrl Pts',(15.2884972797043,-0.884191918898568, 7.03064037735084)); #479946=CARTESIAN_POINT('Ctrl Pts',(15.2881149994816,-0.881406950380768, 7.02922397022169)); #479947=CARTESIAN_POINT('Ctrl Pts',(15.2877325861614,-0.878622970804116, 7.02780629468311)); #479948=CARTESIAN_POINT('Ctrl Pts',(15.2873498201596,-0.875840000453452, 7.02638755921897)); #479949=CARTESIAN_POINT('Ctrl Pts',(15.282882871597,-0.843362232441507, 7.00983065940734)); #479950=CARTESIAN_POINT('Ctrl Pts',(15.2783711356452,-0.811023684877081, 6.99313055006207)); #479951=CARTESIAN_POINT('Ctrl Pts',(15.2739753729587,-0.778873626080154, 6.97615871390515)); #479952=CARTESIAN_POINT('Origin',(15.7433716189447,-0.456891751684446,7.46451804653399)); #479953=CARTESIAN_POINT('Ctrl Pts',(15.21128623982,-0.08107382788155,6.50747001201)); #479954=CARTESIAN_POINT('Ctrl Pts',(15.13912612928,-0.03256032244279,6.56294856681)); #479955=CARTESIAN_POINT('Ctrl Pts',(15.02596801767,0.08507886806759,6.696278092619)); #479956=CARTESIAN_POINT('Ctrl Pts',(14.99327027947,0.2238046486713,6.851552304158)); #479957=CARTESIAN_POINT('Ctrl Pts',(14.99869334103,0.2927027570976,6.928248842932)); #479958=CARTESIAN_POINT('Ctrl Pts',(15.22237538868,-0.1480099079316,6.553698139645)); #479959=CARTESIAN_POINT('Ctrl Pts',(15.14672414524,-0.09972903912852,6.610382635453)); #479960=CARTESIAN_POINT('Ctrl Pts',(15.02785980419,0.01862616277972,6.748265161202)); #479961=CARTESIAN_POINT('Ctrl Pts',(14.99393763479,0.1591518067547,6.910284456907)); #479962=CARTESIAN_POINT('Ctrl Pts',(15.00003044177,0.228820025522,6.990258372631)); #479963=CARTESIAN_POINT('Ctrl Pts',(15.24226314139,-0.2709046876465,6.635238923063)); #479964=CARTESIAN_POINT('Ctrl Pts',(15.1601543465,-0.2231252256195,6.693709125097)); #479965=CARTESIAN_POINT('Ctrl Pts',(15.03061104449,-0.1035736922015,6.839357950431)); #479966=CARTESIAN_POINT('Ctrl Pts',(14.99439072448,0.04012899626728,7.013481043733)); #479967=CARTESIAN_POINT('Ctrl Pts',(15.00175470832,0.1111135705606,7.099318672735)); #479968=CARTESIAN_POINT('Ctrl Pts',(15.26516761552,-0.424946618444,6.732192018579)); #479969=CARTESIAN_POINT('Ctrl Pts',(15.17514694155,-0.3778510586901,6.791972207203)); #479970=CARTESIAN_POINT('Ctrl Pts',(15.03222930031,-0.2569393320284,6.945893657731)); #479971=CARTESIAN_POINT('Ctrl Pts',(14.99313753401,-0.1095345539142,7.134255595372)); #479972=CARTESIAN_POINT('Ctrl Pts',(15.00215243625,-0.03711872186893,7.226954449722)); #479973=CARTESIAN_POINT('Ctrl Pts',(15.28176009841,-0.5390384923514,6.801217805275)); #479974=CARTESIAN_POINT('Ctrl Pts',(15.1857689121,-0.4925075383551,6.861574240063)); #479975=CARTESIAN_POINT('Ctrl Pts',(15.03258547204,-0.3706477073876,7.02117381779)); #479976=CARTESIAN_POINT('Ctrl Pts',(14.99125651838,-0.2205587279291,7.220060355775)); #479977=CARTESIAN_POINT('Ctrl Pts',(15.00154419057,-0.1471569915113,7.317812231807)); #479978=CARTESIAN_POINT('Ctrl Pts',(15.30151949298,-0.6678933673878,6.875885505903)); #479979=CARTESIAN_POINT('Ctrl Pts',(15.19830769333,-0.6221443356356,6.936609339907)); #479980=CARTESIAN_POINT('Ctrl Pts',(15.03253415458,-0.4993207513541,7.102631713135)); #479981=CARTESIAN_POINT('Ctrl Pts',(14.98837243593,-0.346159649224,7.31409546775)); #479982=CARTESIAN_POINT('Ctrl Pts',(15.00018364398,-0.2716698467777,7.417870652895)); #479983=CARTESIAN_POINT('Ctrl Pts',(15.31811061748,-0.7694908804105,6.930919927423)); #479984=CARTESIAN_POINT('Ctrl Pts',(15.20869052555,-0.7245327744742,6.991459528208)); #479985=CARTESIAN_POINT('Ctrl Pts',(15.03182248605,-0.6010948844607,7.162343771059)); #479986=CARTESIAN_POINT('Ctrl Pts',(14.98505634177,-0.4455087363915,7.384431652618)); #479987=CARTESIAN_POINT('Ctrl Pts',(14.99817549897,-0.3702255838573,7.493271287671)); #479988=CARTESIAN_POINT('Ctrl Pts',(15.33028343032,-0.8426029949358,6.969081226039)); #479989=CARTESIAN_POINT('Ctrl Pts',(15.21624095778,-0.798284283888,7.029166219693)); #479990=CARTESIAN_POINT('Ctrl Pts',(15.03091984996,-0.6744760243245,7.203270748411)); #479991=CARTESIAN_POINT('Ctrl Pts',(14.98211256073,-0.5171864205465,7.433212971291)); #479992=CARTESIAN_POINT('Ctrl Pts',(14.9962204131,-0.4413925246985,7.54578136781)); #479993=CARTESIAN_POINT('',(15.18494926982,-0.09705293936192,6.56047002086)); #479994=CARTESIAN_POINT('Ctrl Pts',(15.1849492698176,-0.0970529393633444, 6.56047002085843)); #479995=CARTESIAN_POINT('Ctrl Pts',(15.1262640222238,-0.0533994403635644, 6.61100115330601)); #479996=CARTESIAN_POINT('Ctrl Pts',(15.0795851537062,-0.00267913494662448, 6.66934823439255)); #479997=CARTESIAN_POINT('Ctrl Pts',(15.0478207946088,0.051940134673549, 6.73186720027654)); #479998=CARTESIAN_POINT('Ctrl Pts',(15.0160564506156,0.10655937832196,6.79438613643242)); #479999=CARTESIAN_POINT('Ctrl Pts',(14.9992067608131,0.165077604060161, 6.86107697523124)); #480000=CARTESIAN_POINT('Ctrl Pts',(14.9983297265327,0.223842387196341, 6.92777708889)); #480001=CARTESIAN_POINT('Ctrl Pts',(15.27397537317,-0.7788736259352,6.976158714125)); #480002=CARTESIAN_POINT('Ctrl Pts',(15.26591285301,-0.7198999202375,6.945026520336)); #480003=CARTESIAN_POINT('Ctrl Pts',(15.25409903229,-0.6321863320952,6.897105725066)); #480004=CARTESIAN_POINT('Ctrl Pts',(15.23933168408,-0.5168311502706,6.830076288622)); #480005=CARTESIAN_POINT('Ctrl Pts',(15.22540079512,-0.4025512034175,6.760634713035)); #480006=CARTESIAN_POINT('Ctrl Pts',(15.20770467882,-0.2617193985858,6.67140197912)); #480007=CARTESIAN_POINT('Ctrl Pts',(15.19260849819,-0.1514864861326,6.59793804193)); #480008=CARTESIAN_POINT('Ctrl Pts',(15.18494926982,-0.09705293936192,6.56047002086)); #480009=CARTESIAN_POINT('Ctrl Pts',(15.16925041743,0.1890854060909,6.301669990728)); #480010=CARTESIAN_POINT('Ctrl Pts',(15.10909544288,0.2389055027754,6.351781491062)); #480011=CARTESIAN_POINT('Ctrl Pts',(15.0155769772,0.3553236934885,6.467301246117)); #480012=CARTESIAN_POINT('Ctrl Pts',(14.98764702437,0.4891946004837,6.597464933361)); #480013=CARTESIAN_POINT('Ctrl Pts',(14.99122711799,0.5559797731147,6.661811881226)); #480014=CARTESIAN_POINT('Ctrl Pts',(15.1874312642,0.07663235704453,6.393242668361)); #480015=CARTESIAN_POINT('Ctrl Pts',(15.12204388342,0.1263484049955,6.446161727832)); #480016=CARTESIAN_POINT('Ctrl Pts',(15.02025115656,0.2443340436192,6.570066400983)); #480017=CARTESIAN_POINT('Ctrl Pts',(14.99070223156,0.38143210008,6.711348560048)); #480018=CARTESIAN_POINT('Ctrl Pts',(14.99532939594,0.4496761342628,6.781118024751)); #480019=CARTESIAN_POINT('Ctrl Pts',(15.20889898947,-0.04087034201193,6.475657332162)); #480020=CARTESIAN_POINT('Ctrl Pts',(15.13688451262,0.008398492848365,6.531300251048)); #480021=CARTESIAN_POINT('Ctrl Pts',(15.02440403592,0.1277648741242,6.6645060846)); #480022=CARTESIAN_POINT('Ctrl Pts',(14.99262557299,0.2683737741243,6.818938056993)); #480023=CARTESIAN_POINT('Ctrl Pts',(14.99853411668,0.3381583735008,6.895090313232)); #480024=CARTESIAN_POINT('Ctrl Pts',(15.22873458469,-0.162013685475,6.557129863877)); #480025=CARTESIAN_POINT('Ctrl Pts',(15.15027458543,-0.1132617591213,6.614539765703)); #480026=CARTESIAN_POINT('Ctrl Pts',(15.02715415426,0.007317108864529,6.755518990678)); #480027=CARTESIAN_POINT('Ctrl Pts',(14.9931964764,0.1511394978211,6.922059247405)); #480028=CARTESIAN_POINT('Ctrl Pts',(15.00045907266,0.2222435689419,7.0040502124)); #480029=CARTESIAN_POINT('',(15.14506781977,0.1783155312012,6.35768824267)); #480030=CARTESIAN_POINT('Ctrl Pts',(15.1450678197729,0.178315531202791, 6.35768824267148)); #480031=CARTESIAN_POINT('Ctrl Pts',(15.0975729838393,0.222014831524856, 6.40226194575151)); #480032=CARTESIAN_POINT('Ctrl Pts',(15.0599514283473,0.271221101701752, 6.45194517226914)); #480033=CARTESIAN_POINT('Ctrl Pts',(15.0340338839294,0.323535479893438, 6.50431591621644)); #480034=CARTESIAN_POINT('Ctrl Pts',(15.0081164082096,0.375849719418494, 6.5566865213477)); #480035=CARTESIAN_POINT('Ctrl Pts',(14.9939029168825,0.431272055684101, 6.61174463365512)); #480036=CARTESIAN_POINT('Ctrl Pts',(14.9920889703916,0.487101944069451, 6.666807360041)); #480037=CARTESIAN_POINT('Ctrl Pts',(15.18494926982,-0.09705293936192,6.56047002086)); #480038=CARTESIAN_POINT('Ctrl Pts',(15.17166750642,-0.00266094190832299, 6.495497590427)); #480039=CARTESIAN_POINT('Ctrl Pts',(15.1577092311,0.08937264866287,6.429144097998)); #480040=CARTESIAN_POINT('Ctrl Pts',(15.14506781977,0.1783155312012,6.35768824267)); #480041=CARTESIAN_POINT('Ctrl Pts',(15.11022466885,0.6163320561326,5.876822015824)); #480042=CARTESIAN_POINT('Ctrl Pts',(15.06399775202,0.6670049675279,5.916738934458)); #480043=CARTESIAN_POINT('Ctrl Pts',(14.99234747481,0.7807248979894,6.004828518775)); #480044=CARTESIAN_POINT('Ctrl Pts',(14.96897975331,0.907683900243,6.100541474668)); #480045=CARTESIAN_POINT('Ctrl Pts',(14.97007219794,0.9713268201503,6.147926015038)); #480046=CARTESIAN_POINT('Ctrl Pts',(15.12078918614,0.5391193808404,5.966020968423)); #480047=CARTESIAN_POINT('Ctrl Pts',(15.07223859301,0.5900062699043,6.007958114177)); #480048=CARTESIAN_POINT('Ctrl Pts',(14.99708106512,0.7049502200044,6.101104800624)); #480049=CARTESIAN_POINT('Ctrl Pts',(14.97322848171,0.8338714818494,6.202831705977)); #480050=CARTESIAN_POINT('Ctrl Pts',(14.9748984334,0.8984351747663,6.253164294074)); #480051=CARTESIAN_POINT('Ctrl Pts',(15.14390165279,0.3774445425172,6.135123544718)); #480052=CARTESIAN_POINT('Ctrl Pts',(15.08976054325,0.4286676418346,6.181461577837)); #480053=CARTESIAN_POINT('Ctrl Pts',(15.00609248846,0.5462048945708,6.285944931503)); #480054=CARTESIAN_POINT('Ctrl Pts',(14.98095471058,0.6795026410944,6.401402124114)); #480055=CARTESIAN_POINT('Ctrl Pts',(14.98395114675,0.746105728912,6.458452550004)); #480056=CARTESIAN_POINT('Ctrl Pts',(15.17268861711,0.2011586937467,6.284294102879)); #480057=CARTESIAN_POINT('Ctrl Pts',(15.1103376009,0.2522654115125,6.335302810554)); #480058=CARTESIAN_POINT('Ctrl Pts',(15.01381999854,0.3724516559346,6.453417560244)); #480059=CARTESIAN_POINT('Ctrl Pts',(14.98643941479,0.5110584529442,6.586628228639)); #480060=CARTESIAN_POINT('Ctrl Pts',(14.99126137762,0.5800571644059,6.652302549036)); #480061=CARTESIAN_POINT('Ctrl Pts',(15.18865071823,0.1095544115132,6.354464801162)); #480062=CARTESIAN_POINT('Ctrl Pts',(15.12146406401,0.1604055098339,6.407641202787)); #480063=CARTESIAN_POINT('Ctrl Pts',(15.01722741792,0.2818004227042,6.53288597058)); #480064=CARTESIAN_POINT('Ctrl Pts',(14.98843055445,0.4231884909409,6.675952555183)); #480065=CARTESIAN_POINT('Ctrl Pts',(14.99432276481,0.493402068512,6.746388081578)); #480066=CARTESIAN_POINT('',(15.08768238397,0.6159447637873,5.937382457253)); #480067=CARTESIAN_POINT('Origin',(15.7213971985834,0.948772959754705,6.1612818229136)); #480068=CARTESIAN_POINT('Ctrl Pts',(15.14506781977,0.1783155312012,6.35768824267)); #480069=CARTESIAN_POINT('Ctrl Pts',(15.13393230078,0.2566496105313,6.294756673618)); #480070=CARTESIAN_POINT('Ctrl Pts',(15.11339050729,0.4080306320917,6.161968646838)); #480071=CARTESIAN_POINT('Ctrl Pts',(15.09585739472,0.5484458077465,6.014583591239)); #480072=CARTESIAN_POINT('Ctrl Pts',(15.08768238397,0.6159447637873,5.937382457253)); #480073=CARTESIAN_POINT('Ctrl Pts',(15.06603352219,1.020520682188,5.306633342727)); #480074=CARTESIAN_POINT('Ctrl Pts',(15.02578290042,1.07063001608,5.33984846197)); #480075=CARTESIAN_POINT('Ctrl Pts',(14.96290819851,1.181295842294,5.411775949322)); #480076=CARTESIAN_POINT('Ctrl Pts',(14.94014322618,1.303538413401,5.488666378999)); #480077=CARTESIAN_POINT('Ctrl Pts',(14.93935451305,1.365031895493,5.52676081764)); #480078=CARTESIAN_POINT('Ctrl Pts',(15.07228236172,0.9480890614733,5.42435076702)); #480079=CARTESIAN_POINT('Ctrl Pts',(15.03178140099,0.9985611532558,5.457785555801)); #480080=CARTESIAN_POINT('Ctrl Pts',(14.96865916294,1.110060177834,5.530276373004)); #480081=CARTESIAN_POINT('Ctrl Pts',(14.94623663984,1.233153507058,5.60784424399)); #480082=CARTESIAN_POINT('Ctrl Pts',(14.94576879319,1.295024338815,5.646269386742)); #480083=CARTESIAN_POINT('Ctrl Pts',(15.08330007464,0.8421590333684,5.586470003993)); #480084=CARTESIAN_POINT('Ctrl Pts',(15.0415114729,0.8931291069467,5.621385977882)); #480085=CARTESIAN_POINT('Ctrl Pts',(14.97660034755,1.006088086622,5.697353452795)); #480086=CARTESIAN_POINT('Ctrl Pts',(14.95430848211,1.131006644622,5.778867945572)); #480087=CARTESIAN_POINT('Ctrl Pts',(14.95441273648,1.19372660752,5.819233321717)); #480088=CARTESIAN_POINT('Ctrl Pts',(15.10423511398,0.6827763375116,5.792328697037)); #480089=CARTESIAN_POINT('Ctrl Pts',(15.05836015224,0.734310497343,5.831226468787)); #480090=CARTESIAN_POINT('Ctrl Pts',(14.98738548889,0.849831849116,5.916877285448)); #480091=CARTESIAN_POINT('Ctrl Pts',(14.96447348107,0.9786189200022,6.009659065183)); #480092=CARTESIAN_POINT('Ctrl Pts',(14.96573534657,1.043157438676,6.05554677581)); #480093=CARTESIAN_POINT('Ctrl Pts',(15.115942625,0.5982275095097,5.890608566551)); #480094=CARTESIAN_POINT('Ctrl Pts',(15.06746443401,0.6499884036188,5.931753241457)); #480095=CARTESIAN_POINT('Ctrl Pts',(14.99257518909,0.7668793291304,6.02305552042)); #480096=CARTESIAN_POINT('Ctrl Pts',(14.96918154114,0.8978738211897,6.122560986105)); #480097=CARTESIAN_POINT('Ctrl Pts',(14.97113456896,0.9634409614636,6.171735272834)); #480098=CARTESIAN_POINT('Ctrl Pts',(15.12294880092,0.5492828860245,5.944111273015)); #480099=CARTESIAN_POINT('Ctrl Pts',(15.07280595684,0.6011454980502,5.986574065753)); #480100=CARTESIAN_POINT('Ctrl Pts',(14.99539264444,0.7188283674401,6.081282877919)); #480101=CARTESIAN_POINT('Ctrl Pts',(14.97166375891,0.8511526264452,6.184911556309)); #480102=CARTESIAN_POINT('Ctrl Pts',(14.97404635258,0.9173347681156,6.236097182024)); #480103=CARTESIAN_POINT('',(15.04292853179,1.029048870082,5.369965453593)); #480104=CARTESIAN_POINT('Origin',(15.690746900272,1.36490355312213,5.54326575286023)); #480105=CARTESIAN_POINT('Ctrl Pts',(15.0876823839678,0.615944763786129, 5.93738245725221)); #480106=CARTESIAN_POINT('Ctrl Pts',(15.0831088902183,0.653710318815018, 5.89418827128192)); #480107=CARTESIAN_POINT('Ctrl Pts',(15.078729389968,0.690515543039409,5.85004809203484)); #480108=CARTESIAN_POINT('Ctrl Pts',(15.0745142457394,0.726424923811687, 5.80500144972643)); #480109=CARTESIAN_POINT('Ctrl Pts',(15.0730756346458,0.738680645263034, 5.78962721913327)); #480110=CARTESIAN_POINT('Ctrl Pts',(15.0716549311087,0.750834302478576, 5.77414802646159)); #480111=CARTESIAN_POINT('Ctrl Pts',(15.0702580857273,0.762884631600181, 5.75854945387774)); #480112=CARTESIAN_POINT('Ctrl Pts',(15.0675621608126,0.7861418817894,5.72844405994897)); #480113=CARTESIAN_POINT('Ctrl Pts',(15.0649551794624,0.809014089025334, 5.69789393432618)); #480114=CARTESIAN_POINT('Ctrl Pts',(15.0624730062278,0.83153711686669,5.66681005706396)); #480115=CARTESIAN_POINT('Ctrl Pts',(15.0591722071431,0.861488285723766, 5.6254746529979)); #480116=CARTESIAN_POINT('Ctrl Pts',(15.0560862257439,0.890825731145158, 5.58320118701684)); #480117=CARTESIAN_POINT('Ctrl Pts',(15.0531911891318,0.919705420816386, 5.5400652501339)); #480118=CARTESIAN_POINT('Ctrl Pts',(15.0503777162147,0.947771464749881, 5.49814460924574)); #480119=CARTESIAN_POINT('Ctrl Pts',(15.0477445819714,0.975405087981104, 5.45540934443993)); #480120=CARTESIAN_POINT('Ctrl Pts',(15.0452654512122,1.00279054499035,5.41195587047636)); #480121=CARTESIAN_POINT('Ctrl Pts',(15.0444710209756,1.01156613498698,5.39803133121067)); #480122=CARTESIAN_POINT('Ctrl Pts',(15.0436923699405,1.02031657064046,5.38403325828512)); #480123=CARTESIAN_POINT('Ctrl Pts',(15.0429285312008,1.02904886977658,5.36996545343541)); #480124=CARTESIAN_POINT('Ctrl Pts',(14.93336914283,2.071579703426,3.404810240974)); #480125=CARTESIAN_POINT('Ctrl Pts',(14.89805433669,2.118181176165,3.430378182927)); #480126=CARTESIAN_POINT('Ctrl Pts',(14.84113768266,2.219940268629,3.48464141377)); #480127=CARTESIAN_POINT('Ctrl Pts',(14.81500462206,2.332207407504,3.541663609553)); #480128=CARTESIAN_POINT('Ctrl Pts',(14.81011839386,2.389141189981,3.569931974764)); #480129=CARTESIAN_POINT('Ctrl Pts',(14.93900558689,2.037662742065,3.4729842947)); #480130=CARTESIAN_POINT('Ctrl Pts',(14.90344362553,2.084426479902,3.498910709518)); #480131=CARTESIAN_POINT('Ctrl Pts',(14.84620144902,2.186607437067,3.553976857241)); #480132=CARTESIAN_POINT('Ctrl Pts',(14.82014021013,2.299380342725,3.611878240626)); #480133=CARTESIAN_POINT('Ctrl Pts',(14.81539478091,2.356557557317,3.640579670252)); #480134=CARTESIAN_POINT('Ctrl Pts',(14.94933299652,1.975674326779,3.593945999756)); #480135=CARTESIAN_POINT('Ctrl Pts',(14.91323159076,2.022744390854,3.620610841433)); #480136=CARTESIAN_POINT('Ctrl Pts',(14.85525831991,2.125747518425,3.677338611091)); #480137=CARTESIAN_POINT('Ctrl Pts',(14.82929223668,2.239530840876,3.737065668633)); #480138=CARTESIAN_POINT('Ctrl Pts',(14.82481350066,2.297196644597,3.766665909021)); #480139=CARTESIAN_POINT('Ctrl Pts',(14.96041406271,1.899311764447,3.744946020541)); #480140=CARTESIAN_POINT('Ctrl Pts',(14.92407214765,1.946776801522,3.771840757479)); #480141=CARTESIAN_POINT('Ctrl Pts',(14.86585818051,2.050681361675,3.829118588313)); #480142=CARTESIAN_POINT('Ctrl Pts',(14.84020114965,2.165415366411,3.889476102184)); #480143=CARTESIAN_POINT('Ctrl Pts',(14.83601806317,2.223522258401,3.919384766392)); #480144=CARTESIAN_POINT('Ctrl Pts',(14.96757969532,1.844505830823,3.856061046164)); #480145=CARTESIAN_POINT('Ctrl Pts',(14.93127154798,1.892247469231,3.882841776582)); #480146=CARTESIAN_POINT('Ctrl Pts',(14.87320724959,1.996712589337,3.939892259876)); #480147=CARTESIAN_POINT('Ctrl Pts',(14.84786746619,2.111954719895,4.000023193015)); #480148=CARTESIAN_POINT('Ctrl Pts',(14.84388175741,2.170285675872,4.029818562605)); #480149=CARTESIAN_POINT('Ctrl Pts',(14.9738185006,1.790511536532,3.969461709768)); #480150=CARTESIAN_POINT('Ctrl Pts',(14.93779223463,1.8385116893,3.995788769478)); #480151=CARTESIAN_POINT('Ctrl Pts',(14.88026273434,1.943413728909,4.051856056484)); #480152=CARTESIAN_POINT('Ctrl Pts',(14.85534810725,2.058937482697,4.110936631315)); #480153=CARTESIAN_POINT('Ctrl Pts',(14.85153771371,2.117374077649,4.14021264266)); #480154=CARTESIAN_POINT('Ctrl Pts',(14.98309696614,1.722924179754,4.105590675131)); #480155=CARTESIAN_POINT('Ctrl Pts',(14.94678898963,1.771225998433,4.132364982243)); #480156=CARTESIAN_POINT('Ctrl Pts',(14.88894798608,1.876850108492,4.189444795818)); #480157=CARTESIAN_POINT('Ctrl Pts',(14.86430110443,1.993163069671,4.249642765344)); #480158=CARTESIAN_POINT('Ctrl Pts',(14.86077403431,2.051965156016,4.279468521172)); #480159=CARTESIAN_POINT('Ctrl Pts',(14.99799457065,1.63720843201,4.256093329299)); #480160=CARTESIAN_POINT('Ctrl Pts',(14.96001389584,1.685890111135,4.285249219108)); #480161=CARTESIAN_POINT('Ctrl Pts',(14.89974055345,1.792882666876,4.347683123323)); #480162=CARTESIAN_POINT('Ctrl Pts',(14.87488765212,1.911180957215,4.413760523769)); #480163=CARTESIAN_POINT('Ctrl Pts',(14.87185567522,1.970961811045,4.446481077169)); #480164=CARTESIAN_POINT('Ctrl Pts',(15.01348527599,1.545803447324,4.403552967297)); #480165=CARTESIAN_POINT('Ctrl Pts',(14.97367527568,1.594879036108,4.434989760486)); #480166=CARTESIAN_POINT('Ctrl Pts',(14.91073389343,1.703331447708,4.50265649896)); #480167=CARTESIAN_POINT('Ctrl Pts',(14.88566323342,1.823758204028,4.574565153422)); #480168=CARTESIAN_POINT('Ctrl Pts',(14.88318227012,1.884580415812,4.610150405442)); #480169=CARTESIAN_POINT('Ctrl Pts',(15.02329976757,1.468478428647,4.53332213213)); #480170=CARTESIAN_POINT('Ctrl Pts',(14.98296365671,1.517935215541,4.565309968783)); #480171=CARTESIAN_POINT('Ctrl Pts',(14.91935322781,1.627361526276,4.634294322065)); #480172=CARTESIAN_POINT('Ctrl Pts',(14.89453231472,1.748901294159,4.707713511565)); #480173=CARTESIAN_POINT('Ctrl Pts',(14.89241666702,1.810237189179,4.74403771333)); #480174=CARTESIAN_POINT('Ctrl Pts',(15.02847960812,1.407854740212,4.643465503306)); #480175=CARTESIAN_POINT('Ctrl Pts',(14.98854328219,1.45763982311,4.674909331164)); #480176=CARTESIAN_POINT('Ctrl Pts',(14.9256725462,1.567602158902,4.742683388051)); #480177=CARTESIAN_POINT('Ctrl Pts',(14.90138300315,1.689456664561,4.814783421505)); #480178=CARTESIAN_POINT('Ctrl Pts',(14.89946563942,1.750903903473,4.850457377033)); #480179=CARTESIAN_POINT('Ctrl Pts',(15.0338394234,1.347828327279,4.752787076859)); #480180=CARTESIAN_POINT('Ctrl Pts',(14.99415203686,1.397918527918,4.783888562749)); #480181=CARTESIAN_POINT('Ctrl Pts',(14.93178643964,1.508422801167,4.850913913104)); #480182=CARTESIAN_POINT('Ctrl Pts',(14.90796725906,1.630660134416,4.9222083965)); #480183=CARTESIAN_POINT('Ctrl Pts',(14.90626555643,1.692255917063,4.957484480893)); #480184=CARTESIAN_POINT('Ctrl Pts',(15.0415041282,1.272778107035,4.885973566626)); #480185=CARTESIAN_POINT('Ctrl Pts',(15.00165852018,1.323225977404,4.917259835654)); #480186=CARTESIAN_POINT('Ctrl Pts',(14.93919792689,1.434523840475,4.984745112869)); #480187=CARTESIAN_POINT('Ctrl Pts',(14.91578221778,1.557542708344,5.056580459612)); #480188=CARTESIAN_POINT('Ctrl Pts',(14.91440649333,1.619481993864,5.09212015388)); #480189=CARTESIAN_POINT('Ctrl Pts',(15.05768422128,1.141562980733,5.102444116033)); #480190=CARTESIAN_POINT('Ctrl Pts',(15.01627039258,1.192610046899,5.135583562922)); #480191=CARTESIAN_POINT('Ctrl Pts',(14.95164290411,1.305695903076,5.207404531584)); #480192=CARTESIAN_POINT('Ctrl Pts',(14.92842969495,1.430982468288,5.284138465635)); #480193=CARTESIAN_POINT('Ctrl Pts',(14.92778302072,1.493982105502,5.322079713506)); #480194=CARTESIAN_POINT('Ctrl Pts',(15.06916178571,1.036562956382,5.267240252888)); #480195=CARTESIAN_POINT('Ctrl Pts',(15.02664868463,1.088128488848,5.301477757189)); #480196=CARTESIAN_POINT('Ctrl Pts',(14.96052941325,1.202675355466,5.375953764678)); #480197=CARTESIAN_POINT('Ctrl Pts',(14.93756237469,1.32973066598,5.455754838112)); #480198=CARTESIAN_POINT('Ctrl Pts',(14.93749731418,1.39354273827,5.495194852527)); #480199=CARTESIAN_POINT('Ctrl Pts',(15.07540701877,0.9638458458299,5.384146300554)); #480200=CARTESIAN_POINT('Ctrl Pts',(15.03255583021,1.015779343035,5.418698571683)); #480201=CARTESIAN_POINT('Ctrl Pts',(14.96606549407,1.13120502898,5.493968454611)); #480202=CARTESIAN_POINT('Ctrl Pts',(14.94344809125,1.259180001336,5.574711366454)); #480203=CARTESIAN_POINT('Ctrl Pts',(14.94374175724,1.323396623328,5.614609848399)); #480204=CARTESIAN_POINT('',(14.91157326178,2.086190917457,3.466917585116)); #480205=CARTESIAN_POINT('Origin',(15.5594558730473,2.44250546239876,3.59256816031118)); #480206=CARTESIAN_POINT('Ctrl Pts',(15.04292853179,1.029048870082,5.369965453593)); #480207=CARTESIAN_POINT('Ctrl Pts',(15.03732756389,1.093072715682,5.266824781048)); #480208=CARTESIAN_POINT('Ctrl Pts',(15.02749896958,1.188770971696,5.114312459727)); #480209=CARTESIAN_POINT('Ctrl Pts',(15.01330883575,1.311471620487,4.909827291099)); #480210=CARTESIAN_POINT('Ctrl Pts',(15.00574535837,1.386242178672,4.776490953182)); #480211=CARTESIAN_POINT('Ctrl Pts',(15.00019827807,1.446047417195,4.667431731723)); #480212=CARTESIAN_POINT('Ctrl Pts',(14.99472530903,1.506436435846,4.557706249856)); #480213=CARTESIAN_POINT('Ctrl Pts',(14.98526812133,1.583457601301,4.427505555074)); #480214=CARTESIAN_POINT('Ctrl Pts',(14.97105204486,1.674507740636,4.278279262464)); #480215=CARTESIAN_POINT('Ctrl Pts',(14.95731961526,1.759884393734,4.125941546102)); #480216=CARTESIAN_POINT('Ctrl Pts',(14.94822748524,1.827236594451,3.989467926062)); #480217=CARTESIAN_POINT('Ctrl Pts',(14.94178200599,1.881042803218,3.876412388197)); #480218=CARTESIAN_POINT('Ctrl Pts',(14.93457785271,1.935649810283,3.76538723804)); #480219=CARTESIAN_POINT('Ctrl Pts',(14.92473482574,2.004414308603,3.628538222762)); #480220=CARTESIAN_POINT('Ctrl Pts',(14.91591921902,2.059227245317,3.520971779492)); #480221=CARTESIAN_POINT('Ctrl Pts',(14.91157326178,2.086190917457,3.466917585116)); #480222=CARTESIAN_POINT('Ctrl Pts',(14.73521041184,3.107995830312,0.6964562875137)); #480223=CARTESIAN_POINT('Ctrl Pts',(14.70203932567,3.152977283254,0.7125146206293)); #480224=CARTESIAN_POINT('Ctrl Pts',(14.64777918808,3.249057303438,0.7456505135871)); #480225=CARTESIAN_POINT('Ctrl Pts',(14.61788288784,3.354823021015,0.7800327184784)); #480226=CARTESIAN_POINT('Ctrl Pts',(14.60948764632,3.407891740347,0.7968205459523)); #480227=CARTESIAN_POINT('Ctrl Pts',(14.73829647394,3.094345620568,0.7409974118583)); #480228=CARTESIAN_POINT('Ctrl Pts',(14.70507984227,3.139393748713,0.7571538158878)); #480229=CARTESIAN_POINT('Ctrl Pts',(14.65077131189,3.235626795702,0.7904973802144)); #480230=CARTESIAN_POINT('Ctrl Pts',(14.62091876629,3.341562035043,0.8250992429268)); #480231=CARTESIAN_POINT('Ctrl Pts',(14.61256838836,3.394711511725,0.8419939235634)); #480232=CARTESIAN_POINT('Ctrl Pts',(14.7421102605,3.072921298547,0.819820321927)); #480233=CARTESIAN_POINT('Ctrl Pts',(14.70921581465,3.118027906327,0.8352417732813)); #480234=CARTESIAN_POINT('Ctrl Pts',(14.65543605962,3.21426670609,0.8670504083262)); #480235=CARTESIAN_POINT('Ctrl Pts',(14.62584850155,3.320053587076,0.9000451437932)); #480236=CARTESIAN_POINT('Ctrl Pts',(14.6175561045,3.373109398216,0.9161564945727)); #480237=CARTESIAN_POINT('Ctrl Pts',(14.74979045389,3.035267098598,0.9562124599098)); #480238=CARTESIAN_POINT('Ctrl Pts',(14.71704963665,3.080502038664,0.9713311759792)); #480239=CARTESIAN_POINT('Ctrl Pts',(14.66356791785,3.176952137365,1.002512412068)); #480240=CARTESIAN_POINT('Ctrl Pts',(14.63424882758,3.282870086657,1.034854016378)); #480241=CARTESIAN_POINT('Ctrl Pts',(14.62607708943,3.335972044592,1.050646666418)); #480242=CARTESIAN_POINT('Ctrl Pts',(14.75758144795,3.001195499147,1.07207457539)); #480243=CARTESIAN_POINT('Ctrl Pts',(14.72461410787,3.046589366362,1.087796179163)); #480244=CARTESIAN_POINT('Ctrl Pts',(14.670841589,3.143447595244,1.120241399865)); #480245=CARTESIAN_POINT('Ctrl Pts',(14.64158533139,3.249867023781,1.15391022268)); #480246=CARTESIAN_POINT('Ctrl Pts',(14.63353553706,3.303214220868,1.170349413914)); #480247=CARTESIAN_POINT('Ctrl Pts',(14.76698185199,2.964018116002,1.182355120068)); #480248=CARTESIAN_POINT('Ctrl Pts',(14.73337373251,3.009626670365,1.19952378821)); #480249=CARTESIAN_POINT('Ctrl Pts',(14.67868124215,3.107155521232,1.235012292276)); #480250=CARTESIAN_POINT('Ctrl Pts',(14.64930552084,3.214525230599,1.271884005918)); #480251=CARTESIAN_POINT('Ctrl Pts',(14.64140686045,3.268353463448,1.289882758233)); #480252=CARTESIAN_POINT('Ctrl Pts',(14.77735104518,2.924227675227,1.290023845844)); #480253=CARTESIAN_POINT('Ctrl Pts',(14.74290823729,2.970070394326,1.308934840003)); #480254=CARTESIAN_POINT('Ctrl Pts',(14.68700412022,3.068381554399,1.348103807383)); #480255=CARTESIAN_POINT('Ctrl Pts',(14.65743591013,3.17690062803,1.388861609194)); #480256=CARTESIAN_POINT('Ctrl Pts',(14.64971065626,3.231313889633,1.408751399731)); #480257=CARTESIAN_POINT('Ctrl Pts',(14.78708099682,2.882284595185,1.401411022648)); #480258=CARTESIAN_POINT('Ctrl Pts',(14.75217442883,2.92834551046,1.421167828864)); #480259=CARTESIAN_POINT('Ctrl Pts',(14.69563004261,3.027273647835,1.462143414772)); #480260=CARTESIAN_POINT('Ctrl Pts',(14.66605989394,3.136605227482,1.504824190053)); #480261=CARTESIAN_POINT('Ctrl Pts',(14.65850004429,3.191420629827,1.52564828461)); #480262=CARTESIAN_POINT('Ctrl Pts',(14.79578666957,2.840173314995,1.516397444418)); #480263=CARTESIAN_POINT('Ctrl Pts',(14.76076935872,2.886446947298,1.536298057228)); #480264=CARTESIAN_POINT('Ctrl Pts',(14.70412424705,2.98585107189,1.577591801971)); #480265=CARTESIAN_POINT('Ctrl Pts',(14.67471446056,3.095691020585,1.620619619148)); #480266=CARTESIAN_POINT('Ctrl Pts',(14.6672989866,3.150744274259,1.641611546869)); #480267=CARTESIAN_POINT('Ctrl Pts',(14.80316620736,2.799041484416,1.63588182288)); #480268=CARTESIAN_POINT('Ctrl Pts',(14.7684404396,2.84550511712,1.655137575337)); #480269=CARTESIAN_POINT('Ctrl Pts',(14.7123074901,2.945191901111,1.695076619549)); #480270=CARTESIAN_POINT('Ctrl Pts',(14.68323716771,3.055164146009,1.736679795373)); #480271=CARTESIAN_POINT('Ctrl Pts',(14.67593843297,3.110255130082,1.756977927654)); #480272=CARTESIAN_POINT('Ctrl Pts',(14.81261676889,2.74891424478,1.783156757902)); #480273=CARTESIAN_POINT('Ctrl Pts',(14.7780108429,2.795608786051,1.80210743989)); #480274=CARTESIAN_POINT('Ctrl Pts',(14.72214385184,2.895725935332,1.841415075144)); #480275=CARTESIAN_POINT('Ctrl Pts',(14.69338432929,3.00605394475,1.882361593507)); #480276=CARTESIAN_POINT('Ctrl Pts',(14.68624538894,3.061296151378,1.902339242549)); #480277=CARTESIAN_POINT('Ctrl Pts',(14.82540517514,2.687448068968,1.953434753272)); #480278=CARTESIAN_POINT('Ctrl Pts',(14.79038481722,2.734454440417,1.973164012375)); #480279=CARTESIAN_POINT('Ctrl Pts',(14.73398948135,2.835361830659,2.01413717106)); #480280=CARTESIAN_POINT('Ctrl Pts',(14.7053621463,2.946639971421,2.056858408419)); #480281=CARTESIAN_POINT('Ctrl Pts',(14.69845793716,3.002340477284,2.077698150354)); #480282=CARTESIAN_POINT('Ctrl Pts',(14.83967620536,2.622237146135,2.118366158454)); #480283=CARTESIAN_POINT('Ctrl Pts',(14.80383897329,2.669578684692,2.139600323742)); #480284=CARTESIAN_POINT('Ctrl Pts',(14.74631150029,2.771472533426,2.183797046486)); #480285=CARTESIAN_POINT('Ctrl Pts',(14.71766999532,2.884072979289,2.229956561644)); #480286=CARTESIAN_POINT('Ctrl Pts',(14.71104992639,2.940425757941,2.252466179216)); #480287=CARTESIAN_POINT('Ctrl Pts',(14.85328591125,2.554652556117,2.285574142316)); #480288=CARTESIAN_POINT('Ctrl Pts',(14.81694001315,2.602319556507,2.30768395952)); #480289=CARTESIAN_POINT('Ctrl Pts',(14.75875707385,2.705068432143,2.353777217067)); #480290=CARTESIAN_POINT('Ctrl Pts',(14.73026243536,2.818716656119,2.401975560233)); #480291=CARTESIAN_POINT('Ctrl Pts',(14.72392106114,2.875572780058,2.425473895484)); #480292=CARTESIAN_POINT('Ctrl Pts',(14.87036980595,2.463127698671,2.511218088943)); #480293=CARTESIAN_POINT('Ctrl Pts',(14.83368777091,2.511229160136,2.533874180088)); #480294=CARTESIAN_POINT('Ctrl Pts',(14.77515658194,2.6149929708,2.581169253464)); #480295=CARTESIAN_POINT('Ctrl Pts',(14.74702113048,2.729755779602,2.630673698228)); #480296=CARTESIAN_POINT('Ctrl Pts',(14.74103452609,2.787130316085,2.654804117914)); #480297=CARTESIAN_POINT('Ctrl Pts',(14.89198789317,2.345969063729,2.790236720179)); #480298=CARTESIAN_POINT('Ctrl Pts',(14.85465887946,2.394634004517,2.81394867799)); #480299=CARTESIAN_POINT('Ctrl Pts',(14.79535667299,2.499791913788,2.863555213754)); #480300=CARTESIAN_POINT('Ctrl Pts',(14.76760342698,2.616166661947,2.915563232207)); #480301=CARTESIAN_POINT('Ctrl Pts',(14.76209829623,2.674299807138,2.940906022596)); #480302=CARTESIAN_POINT('Ctrl Pts',(14.92038253967,2.190957615728,3.13234072298)); #480303=CARTESIAN_POINT('Ctrl Pts',(14.88170521403,2.240373003748,3.158142442192)); #480304=CARTESIAN_POINT('Ctrl Pts',(14.82064431101,2.34756884613,3.212340740975)); #480305=CARTESIAN_POINT('Ctrl Pts',(14.79322987563,2.46646987511,3.269335149848)); #480306=CARTESIAN_POINT('Ctrl Pts',(14.78843106665,2.525805996252,3.297091443688)); #480307=CARTESIAN_POINT('Ctrl Pts',(14.94036491251,2.077615092407,3.360896312174)); #480308=CARTESIAN_POINT('Ctrl Pts',(14.90052901114,2.127567834485,3.388335155079)); #480309=CARTESIAN_POINT('Ctrl Pts',(14.83792801929,2.236297284368,3.446181105768)); #480310=CARTESIAN_POINT('Ctrl Pts',(14.81073017064,2.357147202667,3.507174792595)); #480311=CARTESIAN_POINT('Ctrl Pts',(14.80649116202,2.417408060044,3.536863332871)); #480312=CARTESIAN_POINT('Ctrl Pts',(14.95057661505,2.015885103534,3.482636666849)); #480313=CARTESIAN_POINT('Ctrl Pts',(14.91021216044,2.066149144063,3.510742014028)); #480314=CARTESIAN_POINT('Ctrl Pts',(14.84692847801,2.175714235224,3.570095976063)); #480315=CARTESIAN_POINT('Ctrl Pts',(14.81989426731,2.297577112495,3.632760152099)); #480316=CARTESIAN_POINT('Ctrl Pts',(14.81595293393,2.358312131362,3.663254242278)); #480317=CARTESIAN_POINT('Ctrl Pts',(14.7085943839559,3.13769817301279,0.758588096175977)); #480318=CARTESIAN_POINT('Ctrl Pts',(14.710160227919,3.13134206811572,0.778484269036418)); #480319=CARTESIAN_POINT('Ctrl Pts',(14.7116426477557,3.12506670891793,0.798883391209513)); #480320=CARTESIAN_POINT('Ctrl Pts',(14.713096322814,3.11882562467332,0.819547668812606)); #480321=CARTESIAN_POINT('Ctrl Pts',(14.7137880876116,3.11585566081888,0.829381241819137)); #480322=CARTESIAN_POINT('Ctrl Pts',(14.7144735030016,3.11289337642593,0.839274174881343)); #480323=CARTESIAN_POINT('Ctrl Pts',(14.7151593954513,3.10993325641755,0.849196738567629)); #480324=CARTESIAN_POINT('Ctrl Pts',(14.7172077465012,3.10109314609053,0.878829509355804)); #480325=CARTESIAN_POINT('Ctrl Pts',(14.7192605900041,3.09227449564426,0.908724345847495)); #480326=CARTESIAN_POINT('Ctrl Pts',(14.7213419902753,3.08332709594145,0.938964955526059)); #480327=CARTESIAN_POINT('Ctrl Pts',(14.7235193796951,3.0739670637947,0.970600189016257)); #480328=CARTESIAN_POINT('Ctrl Pts',(14.7257275193004,3.06446647862896,1.00261559501379)); #480329=CARTESIAN_POINT('Ctrl Pts',(14.7280111455647,3.05465540232421,1.03502989685219)); #480330=CARTESIAN_POINT('Ctrl Pts',(14.7297273134276,3.04728228084762,1.05938956725018)); #480331=CARTESIAN_POINT('Ctrl Pts',(14.7314862722248,3.03973323998351,1.08397415876806)); #480332=CARTESIAN_POINT('Ctrl Pts',(14.7333110364695,3.03191884696829,1.10878325481415)); #480333=CARTESIAN_POINT('Ctrl Pts',(14.7334119588721,3.03148665562092,1.11015537375242)); #480334=CARTESIAN_POINT('Ctrl Pts',(14.7335130967798,3.03105388389608,1.11152682192356)); #480335=CARTESIAN_POINT('Ctrl Pts',(14.7336144543995,3.03062051641821,1.11289760260278)); #480336=CARTESIAN_POINT('Ctrl Pts',(14.735697172041,3.02171559053335,1.1410646916809)); #480337=CARTESIAN_POINT('Ctrl Pts',(14.7378725098564,3.01255995409424,1.16895004938724)); #480338=CARTESIAN_POINT('Ctrl Pts',(14.7401496661262,3.00304133282458,1.19672517348134)); #480339=CARTESIAN_POINT('Ctrl Pts',(14.7423983334184,2.99364179683305,1.22415280939579)); #480340=CARTESIAN_POINT('Ctrl Pts',(14.7447471552219,2.98388689265595,1.25146951691458)); #480341=CARTESIAN_POINT('Ctrl Pts',(14.7470785142454,2.97387325018423,1.27928074704787)); #480342=CARTESIAN_POINT('Ctrl Pts',(14.7505079556536,2.9591431293441,1.32019121311784)); #480343=CARTESIAN_POINT('Ctrl Pts',(14.7538988348618,2.94385159786351,1.3621782373181)); #480344=CARTESIAN_POINT('Ctrl Pts',(14.7572259868924,2.92814485293886,1.40550458798546)); #480345=CARTESIAN_POINT('Ctrl Pts',(14.7582990725602,2.92307905410822,1.41947836661367)); #480346=CARTESIAN_POINT('Ctrl Pts',(14.7593654281135,2.91797037271338,1.43359164133209)); #480347=CARTESIAN_POINT('Ctrl Pts',(14.760423866015,2.91282479473078,1.4478537828125)); #480348=CARTESIAN_POINT('Ctrl Pts',(14.7612714258218,2.90870439704375,1.45927440357983)); #480349=CARTESIAN_POINT('Ctrl Pts',(14.7621139195792,2.90456033383429,1.47079041595537)); #480350=CARTESIAN_POINT('Ctrl Pts',(14.7629475674243,2.90039931875184,1.48242151066264)); #480351=CARTESIAN_POINT('Ctrl Pts',(14.764181947524,2.89423811502234,1.4996436410007)); #480352=CARTESIAN_POINT('Ctrl Pts',(14.7653936850692,2.88807222111702,1.51700384710512)); #480353=CARTESIAN_POINT('Ctrl Pts',(14.7665696211355,2.88192124106479,1.53458605952091)); #480354=CARTESIAN_POINT('Ctrl Pts',(14.7685157932075,2.87174138015562,1.56368458940537)); #480355=CARTESIAN_POINT('Ctrl Pts',(14.7703631452074,2.8616024945302,1.59339511267207)); #480356=CARTESIAN_POINT('Ctrl Pts',(14.7722379553932,2.85143646102267,1.62329923835192)); #480357=CARTESIAN_POINT('Ctrl Pts',(14.7745300390405,2.83900778992906,1.65985907677282)); #480358=CARTESIAN_POINT('Ctrl Pts',(14.7768636152161,2.82654033041143,1.69670501608274)); #480359=CARTESIAN_POINT('Ctrl Pts',(14.7792839415437,2.81383083018795,1.73398575039297)); #480360=CARTESIAN_POINT('Ctrl Pts',(14.7806896485352,2.80644924981244,1.75563811531136)); #480361=CARTESIAN_POINT('Ctrl Pts',(14.7821247598914,2.79898572335084,1.77743654354713)); #480362=CARTESIAN_POINT('Ctrl Pts',(14.7835998920812,2.79139575779922,1.79940335372352)); #480363=CARTESIAN_POINT('Ctrl Pts',(14.7860422980322,2.77882889961587,1.83577424188503)); #480364=CARTESIAN_POINT('Ctrl Pts',(14.7885943204284,2.76591754092722,1.87260619095265)); #480365=CARTESIAN_POINT('Ctrl Pts',(14.7912784669103,2.75246633661498,1.91012673755427)); #480366=CARTESIAN_POINT('Ctrl Pts',(14.7927196924054,2.7452438475577,1.93027301821157)); #480367=CARTESIAN_POINT('Ctrl Pts',(14.7941763916602,2.73793226506224,1.95049863884498)); #480368=CARTESIAN_POINT('Ctrl Pts',(14.7956562945531,2.73050238115264,1.97079500948884)); #480369=CARTESIAN_POINT('Ctrl Pts',(14.79845236013,2.71646467453088,2.0091421088583)); #480370=CARTESIAN_POINT('Ctrl Pts',(14.8013322352677,2.70200314060032,2.04773759059621)); #480371=CARTESIAN_POINT('Ctrl Pts',(14.8042525387068,2.68711779147273,2.08684874380559)); #480372=CARTESIAN_POINT('Ctrl Pts',(14.8055370415613,2.68057043300731,2.10405188347419)); #480373=CARTESIAN_POINT('Ctrl Pts',(14.8068292225124,2.67394123275366,2.12135545731634)); #480374=CARTESIAN_POINT('Ctrl Pts',(14.8081247634237,2.6672308918273,2.13878519614112)); #480375=CARTESIAN_POINT('Ctrl Pts',(14.812211965336,2.64606095575931,2.19377293233095)); #480376=CARTESIAN_POINT('Ctrl Pts',(14.8163326148071,2.62408022271989,2.25001886082596)); #480377=CARTESIAN_POINT('Ctrl Pts',(14.8205573201377,2.6013423264101,2.30733403921716)); #480378=CARTESIAN_POINT('Ctrl Pts',(14.8223670761167,2.59160199174119,2.33188639936221)); #480379=CARTESIAN_POINT('Ctrl Pts',(14.8241958040571,2.58172336506964,2.35663506451861)); #480380=CARTESIAN_POINT('Ctrl Pts',(14.8260598527832,2.57169379996048,2.38152854161183)); #480381=CARTESIAN_POINT('Ctrl Pts',(14.8284042888594,2.5590794975863,2.41283736146402)); #480382=CARTESIAN_POINT('Ctrl Pts',(14.8307773044864,2.54622817752265,2.44454793741747)); #480383=CARTESIAN_POINT('Ctrl Pts',(14.8331914804786,2.53314144712237,2.47658659286337)); #480384=CARTESIAN_POINT('Ctrl Pts',(14.8383001053835,2.50544868691617,2.54438342029506)); #480385=CARTESIAN_POINT('Ctrl Pts',(14.8435933719563,2.47670527386663,2.61364718392852)); #480386=CARTESIAN_POINT('Ctrl Pts',(14.8490597903405,2.44678356678314,2.68429906007704)); #480387=CARTESIAN_POINT('Ctrl Pts',(14.8523157330466,2.4289614102838,2.7263811775905)); #480388=CARTESIAN_POINT('Ctrl Pts',(14.8556332549839,2.41072044040889,2.76895525507472)); #480389=CARTESIAN_POINT('Ctrl Pts',(14.8590111525757,2.39202610214481,2.81200022905554)); #480390=CARTESIAN_POINT('Ctrl Pts',(14.8614459623912,2.37855110562317,2.84302731685463)); #480391=CARTESIAN_POINT('Ctrl Pts',(14.8639121976665,2.36484039785679,2.87429887050988)); #480392=CARTESIAN_POINT('Ctrl Pts',(14.8664060699664,2.35088301155634,2.90581811635195)); #480393=CARTESIAN_POINT('Ctrl Pts',(14.8716707352555,2.32141840457361,2.97235651911139)); #480394=CARTESIAN_POINT('Ctrl Pts',(14.8769888454977,2.29133743470843,3.03882083570306)); #480395=CARTESIAN_POINT('Ctrl Pts',(14.8823936239447,2.26027633848909,3.10578699493756)); #480396=CARTESIAN_POINT('Ctrl Pts',(14.8833572393968,2.25473846999574,3.11772636052617)); #480397=CARTESIAN_POINT('Ctrl Pts',(14.8843236127611,2.24916932142478,3.12968163089421)); #480398=CARTESIAN_POINT('Ctrl Pts',(14.8852929354678,2.24356665887037,3.14165601121744)); #480399=CARTESIAN_POINT('Ctrl Pts',(14.8907721328519,2.21189702625116,3.20934244101693)); #480400=CARTESIAN_POINT('Ctrl Pts',(14.8963468781695,2.17915805733632,3.2776369129776)); #480401=CARTESIAN_POINT('Ctrl Pts',(14.9019793624583,2.14513772875866,3.34731405483172)); #480402=CARTESIAN_POINT('Ctrl Pts',(14.9051624656565,2.12591171566272,3.38669091266297)); #480403=CARTESIAN_POINT('Ctrl Pts',(14.9083637524848,2.10627607166716,3.42650982543447)); #480404=CARTESIAN_POINT('Ctrl Pts',(14.9115732617796,2.08619091745676,3.46691758511592)); #480405=CARTESIAN_POINT('Ctrl Pts',(14.55035609046,3.591298434916,-0.44204500146)); #480406=CARTESIAN_POINT('Ctrl Pts',(14.93671863291,2.927194961585,-0.267355087588)); #480407=CARTESIAN_POINT('Ctrl Pts',(16.03728608902,0.960938553989,0.2463299598337)); #480408=CARTESIAN_POINT('Ctrl Pts',(18.31694174666,-4.139890056397,1.541753449183)); #480409=CARTESIAN_POINT('Ctrl Pts',(19.51120186551,-9.253618037109,2.771756725723)); #480410=CARTESIAN_POINT('Ctrl Pts',(19.7872949263,-12.96880049455,3.622390043483)); #480411=CARTESIAN_POINT('Ctrl Pts',(19.81804470439,-13.37474756196,3.715378354252)); #480412=CARTESIAN_POINT('Ctrl Pts',(14.55095247217,3.588883901653,-0.4316471141315)); #480413=CARTESIAN_POINT('Ctrl Pts',(14.93722289821,2.924751409994,-0.256911057761)); #480414=CARTESIAN_POINT('Ctrl Pts',(16.03751313846,0.9584187877338,0.2569160933962)); #480415=CARTESIAN_POINT('Ctrl Pts',(18.31651096397,-4.142478892316,1.55267332721)); #480416=CARTESIAN_POINT('Ctrl Pts',(19.51015784959,-9.25603891958,2.783010967816)); #480417=CARTESIAN_POINT('Ctrl Pts',(19.78587652803,-12.97098268429,3.633869649679)); #480418=CARTESIAN_POINT('Ctrl Pts',(19.8165853265,-13.37690378527,3.726882601741)); #480419=CARTESIAN_POINT('Ctrl Pts',(14.55902378096,3.556027962614,-0.2907255472138)); #480420=CARTESIAN_POINT('Ctrl Pts',(14.94404288959,2.891503298761,-0.1153638484489)); #480421=CARTESIAN_POINT('Ctrl Pts',(16.04056760491,0.924141028948,0.4003900546889)); #480422=CARTESIAN_POINT('Ctrl Pts',(18.31062903413,-4.177684254429,1.700672508621)); #480423=CARTESIAN_POINT('Ctrl Pts',(19.4959453146,-9.288959402139,2.935543701568)); #480424=CARTESIAN_POINT('Ctrl Pts',(19.76657624006,-13.00066166822,3.789458075675)); #480425=CARTESIAN_POINT('Ctrl Pts',(19.7967281393,-13.40623013642,3.882805136821)); #480426=CARTESIAN_POINT('Ctrl Pts',(14.57427169661,3.488580610977,-0.01534163290741)); #480427=CARTESIAN_POINT('Ctrl Pts',(14.95677006288,2.823294826789,0.1612444395359)); #480428=CARTESIAN_POINT('Ctrl Pts',(16.04571449394,0.8539364969079,0.6807692045057)); #480429=CARTESIAN_POINT('Ctrl Pts',(18.29776466906,-4.249652950113,1.989907386264)); #480430=CARTESIAN_POINT('Ctrl Pts',(19.46628888796,-9.356400719306,3.233651380071)); #480431=CARTESIAN_POINT('Ctrl Pts',(19.72661509998,-13.06171529318,4.093545717691)); #480432=CARTESIAN_POINT('Ctrl Pts',(19.75563911727,-13.46658884604,4.187546627906)); #480433=CARTESIAN_POINT('Ctrl Pts',(14.59580744785,3.399829131104,0.3827553738224)); #480434=CARTESIAN_POINT('Ctrl Pts',(14.97440422296,2.732711349151,0.560880115945)); #480435=CARTESIAN_POINT('Ctrl Pts',(16.05163664163,0.7583195734262,1.085176239292)); #480436=CARTESIAN_POINT('Ctrl Pts',(18.2757730617,-4.353174365393,2.405434173054)); #480437=CARTESIAN_POINT('Ctrl Pts',(19.41826602947,-9.458438743241,3.660425805423)); #480438=CARTESIAN_POINT('Ctrl Pts',(19.66242363611,-13.15816961076,4.527837275842)); #480439=CARTESIAN_POINT('Ctrl Pts',(19.6896781837,-13.56243748255,4.622660172304)); #480440=CARTESIAN_POINT('Ctrl Pts',(14.62368191716,3.277362033409,0.7753336106816)); #480441=CARTESIAN_POINT('Ctrl Pts',(14.99783328255,2.609448878131,0.955285729469)); #480442=CARTESIAN_POINT('Ctrl Pts',(16.06175626117,0.6330670353054,1.485202349945)); #480443=CARTESIAN_POINT('Ctrl Pts',(18.25402723772,-4.478739589613,2.818679583084)); #480444=CARTESIAN_POINT('Ctrl Pts',(19.36679194936,-9.575333340037,4.08689141369)); #480445=CARTESIAN_POINT('Ctrl Pts',(19.5921567616,-13.26429160688,4.963227485704)); #480446=CARTESIAN_POINT('Ctrl Pts',(19.61735504062,-13.66738679009,5.059026183316)); #480447=CARTESIAN_POINT('Ctrl Pts',(14.64771578923,3.171857910934,1.171143039141)); #480448=CARTESIAN_POINT('Ctrl Pts',(15.01735109626,2.50223717027,1.352602845985)); #480449=CARTESIAN_POINT('Ctrl Pts',(16.06775871024,0.5211883300482,1.887201161441)); #480450=CARTESIAN_POINT('Ctrl Pts',(18.22764808554,-4.597592602183,3.231572426174)); #480451=CARTESIAN_POINT('Ctrl Pts',(19.3102011177,-9.69179137483,4.51082457778)); #480452=CARTESIAN_POINT('Ctrl Pts',(19.51641529223,-13.37449221919,5.394526839685)); #480453=CARTESIAN_POINT('Ctrl Pts',(19.53951820904,-13.77690811758,5.49113103062)); #480454=CARTESIAN_POINT('Ctrl Pts',(14.67796461878,3.026594811755,1.564746658114)); #480455=CARTESIAN_POINT('Ctrl Pts',(15.04238800573,2.356353990699,1.748079782269)); #480456=CARTESIAN_POINT('Ctrl Pts',(16.07723266611,0.3738361078541,2.288438610171)); #480457=CARTESIAN_POINT('Ctrl Pts',(18.19969009032,-4.743871652363,3.646361875504)); #480458=CARTESIAN_POINT('Ctrl Pts',(19.24730776202,-9.827921733276,4.939162742595)); #480459=CARTESIAN_POINT('Ctrl Pts',(19.43105092395,-13.49869929963,5.832013888968)); #480460=CARTESIAN_POINT('Ctrl Pts',(19.45169555937,-13.8998168539,5.929618401276)); #480461=CARTESIAN_POINT('Ctrl Pts',(14.70525401741,2.893287069753,1.963885656653)); #480462=CARTESIAN_POINT('Ctrl Pts',(15.06473468334,2.222133488548,2.149019439987)); #480463=CARTESIAN_POINT('Ctrl Pts',(16.08480498683,0.237289338135,2.694926560933)); #480464=CARTESIAN_POINT('Ctrl Pts',(18.17179036204,-4.881456118613,4.065873018474)); #480465=CARTESIAN_POINT('Ctrl Pts',(19.18630697719,-9.957302864606,5.371730599125)); #480466=CARTESIAN_POINT('Ctrl Pts',(19.34890094617,-13.61753493654,6.273376512288)); #480467=CARTESIAN_POINT('Ctrl Pts',(19.367231742,-14.01750472806,6.371942649179)); #480468=CARTESIAN_POINT('Ctrl Pts',(14.74210722657,2.694395965094,2.485926731329)); #480469=CARTESIAN_POINT('Ctrl Pts',(15.094054294,2.021724726396,2.673216196744)); #480470=CARTESIAN_POINT('Ctrl Pts',(16.09166062989,0.03289503486298,3.225800310622)); #480471=CARTESIAN_POINT('Ctrl Pts',(18.12448482115,-5.089455044293,4.612327769471)); #480472=CARTESIAN_POINT('Ctrl Pts',(19.08844201436,-10.15649796847,5.933920324976)); #480473=CARTESIAN_POINT('Ctrl Pts',(19.21822105038,-13.80422576061,6.846097268795)); #480474=CARTESIAN_POINT('Ctrl Pts',(19.23296229861,-14.2028352569,6.945814941821)); #480475=CARTESIAN_POINT('Ctrl Pts',(14.78049010233,2.483168721464,2.996314006976)); #480476=CARTESIAN_POINT('Ctrl Pts',(15.12451924353,1.80935207779,3.185803561328)); #480477=CARTESIAN_POINT('Ctrl Pts',(16.09853851556,-0.1823765257208,3.745191008874)); #480478=CARTESIAN_POINT('Ctrl Pts',(18.07439862439,-5.305704858293,5.147623618685)); #480479=CARTESIAN_POINT('Ctrl Pts',(18.98517220286,-10.36161997955,6.485241798227)); #480480=CARTESIAN_POINT('Ctrl Pts',(19.08021998864,-13.99524741846,7.40816598186)); #480481=CARTESIAN_POINT('Ctrl Pts',(19.09116230965,-14.39232213039,7.509058811091)); #480482=CARTESIAN_POINT('Ctrl Pts',(14.81591721036,2.251105749743,3.497357170581)); #480483=CARTESIAN_POINT('Ctrl Pts',(15.15137956545,1.576245317176,3.688927580008)); #480484=CARTESIAN_POINT('Ctrl Pts',(16.09990905907,-0.4180845013064,4.254768428063)); #480485=CARTESIAN_POINT('Ctrl Pts',(18.01408442823,-5.541657007073,5.672238876662)); #480486=CARTESIAN_POINT('Ctrl Pts',(18.86725689352,-10.58576581608,7.025070283975)); #480487=CARTESIAN_POINT('Ctrl Pts',(18.92440784049,-14.20481132516,7.958160482202)); #480488=CARTESIAN_POINT('Ctrl Pts',(18.93120529668,-14.60029862106,8.060164950044)); #480489=CARTESIAN_POINT('Ctrl Pts',(14.84204446964,2.070536279817,3.858617241578)); #480490=CARTESIAN_POINT('Ctrl Pts',(15.17148202952,1.395727643749,4.052147764076)); #480491=CARTESIAN_POINT('Ctrl Pts',(16.10207489148,-0.5981137352381,4.623993773678)); #480492=CARTESIAN_POINT('Ctrl Pts',(17.9728870003,-5.715932976093,6.05565044266)); #480493=CARTESIAN_POINT('Ctrl Pts',(18.78557038709,-10.74585354107,7.422591808035)); #480494=CARTESIAN_POINT('Ctrl Pts',(18.81617885368,-14.35025623088,8.365253334821)); #480495=CARTESIAN_POINT('Ctrl Pts',(18.82007318999,-14.74414781454,8.468304270062)); #480496=CARTESIAN_POINT('Ctrl Pts',(14.86607821855,1.896151638122,4.224698653752)); #480497=CARTESIAN_POINT('Ctrl Pts',(15.18872320842,1.220170118196,4.41942252581)); #480498=CARTESIAN_POINT('Ctrl Pts',(16.09912685722,-0.7767734699591,4.995025765284)); #480499=CARTESIAN_POINT('Ctrl Pts',(17.92099192578,-5.897731251363,6.435290502534)); #480500=CARTESIAN_POINT('Ctrl Pts',(18.68796142753,-10.92175588173,7.811124685507)); #480501=CARTESIAN_POINT('Ctrl Pts',(18.68829395596,-14.51743922793,8.759619981612)); #480502=CARTESIAN_POINT('Ctrl Pts',(18.68887716669,-14.91038243959,8.863308940065)); #480503=CARTESIAN_POINT('Ctrl Pts',(14.88998902313,1.689042164301,4.583741028432)); #480504=CARTESIAN_POINT('Ctrl Pts',(15.20555521408,1.013143298125,4.780286212184)); #480505=CARTESIAN_POINT('Ctrl Pts',(16.09492431008,-0.983212851236,5.361494019123)); #480506=CARTESIAN_POINT('Ctrl Pts',(17.86577037896,-6.098057072623,6.81493340784)); #480507=CARTESIAN_POINT('Ctrl Pts',(18.58508908789,-11.10733388793,8.203952055081)); #480508=CARTESIAN_POINT('Ctrl Pts',(18.55381274114,-14.68786047195,9.161342509831)); #480509=CARTESIAN_POINT('Ctrl Pts',(18.5509391055,-15.07915192002,9.266004055479)); #480510=CARTESIAN_POINT('Ctrl Pts',(14.91055392442,1.492484816354,4.948429414426)); #480511=CARTESIAN_POINT('Ctrl Pts',(15.21882087891,0.8157388190101,5.146207075736)); #480512=CARTESIAN_POINT('Ctrl Pts',(16.08650904975,-1.182756912818,5.731292403361)); #480513=CARTESIAN_POINT('Ctrl Pts',(17.80473230133,-6.298253921133,7.193622929361)); #480514=CARTESIAN_POINT('Ctrl Pts',(18.47489938381,-11.29911872385,8.591817299486)); #480515=CARTESIAN_POINT('Ctrl Pts',(18.41093831342,-14.86902752771,9.555232614499)); #480516=CARTESIAN_POINT('Ctrl Pts',(18.40449026234,-15.25916323653,9.660553076817)); #480517=CARTESIAN_POINT('Ctrl Pts',(14.93135515002,1.274420465558,5.312565759241)); #480518=CARTESIAN_POINT('Ctrl Pts',(15.23222186472,0.5978499596198,5.512175027394)); #480519=CARTESIAN_POINT('Ctrl Pts',(16.07792621942,-1.399777816282,6.102901400792)); #480520=CARTESIAN_POINT('Ctrl Pts',(17.74280349682,-6.508354202013,7.578479686606)); #480521=CARTESIAN_POINT('Ctrl Pts',(18.36314446231,-11.49350029209,8.989947388068)); #480522=CARTESIAN_POINT('Ctrl Pts',(18.26607685912,-15.04744728413,9.962308454741)); #480523=CARTESIAN_POINT('Ctrl Pts',(18.25600823377,-15.43584336203,10.06860704535)); #480524=CARTESIAN_POINT('Ctrl Pts',(14.94791285961,1.058929124668,5.66778484733)); #480525=CARTESIAN_POINT('Ctrl Pts',(15.2411525019,0.38213333242,5.868821282636)); #480526=CARTESIAN_POINT('Ctrl Pts',(16.06421388818,-1.615809568481,6.463996707014)); #480527=CARTESIAN_POINT('Ctrl Pts',(17.6740844546,-6.720503599013,7.949882268891)); #480528=CARTESIAN_POINT('Ctrl Pts',(18.24304322429,-11.69297510486,9.371852641534)); #480529=CARTESIAN_POINT('Ctrl Pts',(18.11169886997,-15.23324245921,10.35118762461)); #480530=CARTESIAN_POINT('Ctrl Pts',(18.09788184477,-15.62014820324,10.45824884716)); #480531=CARTESIAN_POINT('Ctrl Pts',(14.96355548312,0.8061934051458,6.012537774937)); #480532=CARTESIAN_POINT('Ctrl Pts',(15.24804783233,0.1295479114829,6.214954514196)); #480533=CARTESIAN_POINT('Ctrl Pts',(16.04517338265,-1.867599161551,6.81444874723)); #480534=CARTESIAN_POINT('Ctrl Pts',(17.59190109325,-6.965527764613,8.310298628608)); #480535=CARTESIAN_POINT('Ctrl Pts',(18.10186665489,-11.92237981052,9.742474172569)); #480536=CARTESIAN_POINT('Ctrl Pts',(17.93086426776,-15.44673301699,10.72855510428)); #480537=CARTESIAN_POINT('Ctrl Pts',(17.91271069791,-15.83190436227,10.83635406012)); #480538=CARTESIAN_POINT('Ctrl Pts',(14.97699186168,0.5231928690746,6.320261647836)); #480539=CARTESIAN_POINT('Ctrl Pts',(15.25248176329,-0.1521967639877,6.524812246356)); #480540=CARTESIAN_POINT('Ctrl Pts',(16.02292949704,-2.145313606225,7.130829225098)); #480541=CARTESIAN_POINT('Ctrl Pts',(17.50464717644,-7.228561699043,8.642126986762)); #480542=CARTESIAN_POINT('Ctrl Pts',(17.95387019615,-12.16259639008,10.08961921508)); #480543=CARTESIAN_POINT('Ctrl Pts',(17.74189902735,-15.66598752406,11.08611892877)); #480544=CARTESIAN_POINT('Ctrl Pts',(17.71926573207,-16.04887272009,11.19505697854)); #480545=CARTESIAN_POINT('Ctrl Pts',(14.98324900483,0.2129346974637,6.585737593514)); #480546=CARTESIAN_POINT('Ctrl Pts',(15.24843871835,-0.4614266057721,6.791569915692)); #480547=CARTESIAN_POINT('Ctrl Pts',(15.98840944421,-2.451189094306,7.401603966236)); #480548=CARTESIAN_POINT('Ctrl Pts',(17.39566466777,-7.521548906073,8.922152497434)); #480549=CARTESIAN_POINT('Ctrl Pts',(17.7752976627,-12.43466774125,10.37914110469)); #480550=CARTESIAN_POINT('Ctrl Pts',(17.51595982109,-15.91857266957,11.38190541291)); #480551=CARTESIAN_POINT('Ctrl Pts',(17.48814769397,-16.29933288708,11.49152856837)); #480552=CARTESIAN_POINT('Ctrl Pts',(14.98480541319,-0.01780041484356,6.749236483956)); #480553=CARTESIAN_POINT('Ctrl Pts',(15.24262185491,-0.6909705597517,6.956270170678)); #480554=CARTESIAN_POINT('Ctrl Pts',(15.96078068282,-2.67700327989,7.570007758022)); #480555=CARTESIAN_POINT('Ctrl Pts',(17.31472459723,-7.734921890253,9.099244589915)); #480556=CARTESIAN_POINT('Ctrl Pts',(17.64453311892,-12.63021514876,10.56494983352)); #480557=CARTESIAN_POINT('Ctrl Pts',(17.35123847309,-16.09820719485,11.57358195665)); #480558=CARTESIAN_POINT('Ctrl Pts',(17.31971372835,-16.47723157343,11.68384670572)); #480559=CARTESIAN_POINT('Ctrl Pts',(14.98277256043,-0.2583677943644,6.900483628183)); #480560=CARTESIAN_POINT('Ctrl Pts',(15.23300227897,-0.9301936204595,7.108665969873)); #480561=CARTESIAN_POINT('Ctrl Pts',(15.92872598625,-2.912050893168,7.725949833067)); #480562=CARTESIAN_POINT('Ctrl Pts',(17.22780096608,-7.956470169963,9.263492282897)); #480563=CARTESIAN_POINT('Ctrl Pts',(17.50632652306,-12.83303948462,10.73754735701)); #480564=CARTESIAN_POINT('Ctrl Pts',(17.17800513919,-16.28452209137,11.7517901865)); #480565=CARTESIAN_POINT('Ctrl Pts',(17.14265087921,-16.66174539176,11.86266842679)); #480566=CARTESIAN_POINT('Ctrl Pts',(14.97928556982,-0.4073046063753,6.979088824188)); #480567=CARTESIAN_POINT('Ctrl Pts',(15.22485733975,-1.07826306433,7.188076538658)); #480568=CARTESIAN_POINT('Ctrl Pts',(15.90681209822,-3.057440285688,7.807826258371)); #480569=CARTESIAN_POINT('Ctrl Pts',(17.17219044394,-8.093373156713,9.351197993395)); #480570=CARTESIAN_POINT('Ctrl Pts',(17.41921983843,-12.95843674482,10.83104594844)); #480571=CARTESIAN_POINT('Ctrl Pts',(17.06933705229,-16.39986550432,11.84922135206)); #480572=CARTESIAN_POINT('Ctrl Pts',(17.03162551146,-16.775991918,11.96052955166)); #480573=CARTESIAN_POINT('Ctrl Pts',(14.96398273691,-0.7723911452444,7.060366535896)); #480574=CARTESIAN_POINT('Ctrl Pts',(15.19808605038,-1.441141957505,7.271103815234)); #480575=CARTESIAN_POINT('Ctrl Pts',(15.84615430474,-3.41352728785,7.896226563699)); #480576=CARTESIAN_POINT('Ctrl Pts',(17.02854226465,-8.42833067212,9.452257017524)); #480577=CARTESIAN_POINT('Ctrl Pts',(17.19799594789,-13.26531990345,10.94473790638)); #480578=CARTESIAN_POINT('Ctrl Pts',(16.7948741586,-16.68241818515,11.97145736208)); #480579=CARTESIAN_POINT('Ctrl Pts',(16.75134220766,-17.05588995009,12.08369973838)); #480580=CARTESIAN_POINT('Ctrl Pts',(14.94700303525,-1.024935835893,7.011103188766)); #480581=CARTESIAN_POINT('Ctrl Pts',(15.1730501071,-1.692115850233,7.222202880382)); #480582=CARTESIAN_POINT('Ctrl Pts',(15.79732933861,-3.659690610579,7.848543840039)); #480583=CARTESIAN_POINT('Ctrl Pts',(16.92139260445,-8.659811906448,9.407166589749)); #480584=CARTESIAN_POINT('Ctrl Pts',(17.03632189086,-13.47773157117,10.90257880887)); #480585=CARTESIAN_POINT('Ctrl Pts',(16.59564040977,-16.87846048016,11.93107496277)); #480586=CARTESIAN_POINT('Ctrl Pts',(16.54800235664,-17.25014608665,12.04351180129)); #480587=CARTESIAN_POINT('Ctrl Pts',(14.93182856369,-1.262439103906,6.97787166789)); #480588=CARTESIAN_POINT('Ctrl Pts',(15.15031449901,-1.928147300058,7.189417457718)); #480589=CARTESIAN_POINT('Ctrl Pts',(15.75226482764,-3.891211955558,7.817214302208)); #480590=CARTESIAN_POINT('Ctrl Pts',(16.8215910438,-8.877533814151,9.379040335616)); #480591=CARTESIAN_POINT('Ctrl Pts',(16.88535043563,-13.6774761568,10.87793036918)); #480592=CARTESIAN_POINT('Ctrl Pts',(16.40943720896,-17.06275340701,11.90861064607)); #480593=CARTESIAN_POINT('Ctrl Pts',(16.35794753113,-17.43275300874,12.02128645567)); #480594=CARTESIAN_POINT('',(15.7728226343738,-3.99826236745399,7.88853128966651)); #480595=CARTESIAN_POINT('Ctrl Pts',(15.7728226712773,-3.99826234014762, 7.88853106913276)); #480596=CARTESIAN_POINT('Ctrl Pts',(15.7754149779862,-3.98660183280681, 7.89040865573518)); #480597=CARTESIAN_POINT('Ctrl Pts',(15.7779144482055,-3.97493007683364, 7.89219026085545)); #480598=CARTESIAN_POINT('Ctrl Pts',(15.78015224882,-3.963457137342,7.893711876861)); #480599=CARTESIAN_POINT('Ctrl Pts',(15.7728225979322,-3.99826253426242, 7.88853104344053)); #480600=CARTESIAN_POINT('Ctrl Pts',(16.0364946391786,-5.0390932080112,8.2167218065987)); #480601=CARTESIAN_POINT('Ctrl Pts',(16.2525803452272,-6.09183841474234, 8.54577879187886)); #480602=CARTESIAN_POINT('Ctrl Pts',(16.4172218247933,-7.15200896315348, 8.87686821119804)); #480603=CARTESIAN_POINT('Ctrl Pts',(16.688752018456,-8.90046454727635,9.42290785068831)); #480604=CARTESIAN_POINT('Ctrl Pts',(16.8203720018673,-10.6691156849587, 9.97447238379547)); #480605=CARTESIAN_POINT('Ctrl Pts',(16.8119689885759,-12.4383055417869, 10.5217193469747)); #480606=CARTESIAN_POINT('Ctrl Pts',(16.8059247815281,-13.7108668755287, 10.9153488507059)); #480607=CARTESIAN_POINT('Ctrl Pts',(16.7274345775843,-14.9837074120707, 11.3067447406692)); #480608=CARTESIAN_POINT('Ctrl Pts',(16.5764397957485,-16.2495131358224, 11.6922442498254)); #480609=CARTESIAN_POINT('Ctrl Pts',(16.5387663532249,-16.5653337131963, 11.7884270014709)); #480610=CARTESIAN_POINT('Ctrl Pts',(16.4965793697683,-16.880716236529,11.8842427744691)); #480611=CARTESIAN_POINT('Ctrl Pts',(16.4540388540021,-17.1960636958125, 11.9800289455695)); #480612=CARTESIAN_POINT('Origin',(20.03021946891,-13.13298079289,5.504245504762)); #480613=CARTESIAN_POINT('',(19.95133530034,-12.97629447843,7.004245504761)); #480614=CARTESIAN_POINT('Origin',(21.31405647071,-11.64696368204,7.004245504761)); #480615=CARTESIAN_POINT('',(22.6947555681873,-9.78475556819072,7.004245504761)); #480616=CARTESIAN_POINT('Origin',(22.45400106,-9.544001060003,5.504245504762)); #480617=CARTESIAN_POINT('Origin',(0.,0.,2.004328879589)); #480618=CARTESIAN_POINT('Ctrl Pts',(23.8,-1.68011579637925E-12,4.00424550476882)); #480619=CARTESIAN_POINT('Ctrl Pts',(23.8,-4.77915500697376,4.00424550476814)); #480620=CARTESIAN_POINT('Ctrl Pts',(23.8,-9.95991967839779,0.00424550476391342)); #480621=CARTESIAN_POINT('Ctrl Pts',(16.7743950142017,-19.6025424858013, 0.00424550476450538)); #480622=CARTESIAN_POINT('Ctrl Pts',(16.7849648564074,-19.5167619067455, 0.120851298330444)); #480623=CARTESIAN_POINT('Ctrl Pts',(16.7991719947237,-19.4295190960223, 0.234481224899036)); #480624=CARTESIAN_POINT('Ctrl Pts',(16.8665548210577,-19.1043217759792, 0.636846974639256)); #480625=CARTESIAN_POINT('Ctrl Pts',(16.9517128366047,-18.8290998444676, 0.936400598892776)); #480626=CARTESIAN_POINT('Ctrl Pts',(17.164592487995,-18.4037215746303,1.2734113218815)); #480627=CARTESIAN_POINT('Ctrl Pts',(17.2496092806692,-18.2598338906203, 1.3671556093446)); #480628=CARTESIAN_POINT('Ctrl Pts',(17.4512820462338,-17.9759334819246, 1.49826995060597)); #480629=CARTESIAN_POINT('Ctrl Pts',(17.5678901009434,-17.835915821344,1.53570300984523)); #480630=CARTESIAN_POINT('Ctrl Pts',(17.835915821344,-17.5678901009434,1.53570300984523)); #480631=CARTESIAN_POINT('Ctrl Pts',(17.9759334819246,-17.4512820462338, 1.49826995060597)); #480632=CARTESIAN_POINT('Ctrl Pts',(18.2598338906203,-17.2496092806692, 1.3671556093446)); #480633=CARTESIAN_POINT('Ctrl Pts',(18.4037215746303,-17.164592487995,1.2734113218815)); #480634=CARTESIAN_POINT('Ctrl Pts',(18.8290998444676,-16.9517128366047, 0.936400598892776)); #480635=CARTESIAN_POINT('Ctrl Pts',(19.1043217759792,-16.8665548210577, 0.636846974639256)); #480636=CARTESIAN_POINT('Ctrl Pts',(19.429519096022,-16.7991719947237,0.234481224899357)); #480637=CARTESIAN_POINT('Ctrl Pts',(19.516761906745,-16.7849648564075,0.120851298331107)); #480638=CARTESIAN_POINT('Ctrl Pts',(19.6025424858006,-16.7743950142018, 0.00424550476552658)); #480639=CARTESIAN_POINT('Ctrl Pts',(-5.34144724606677E-13,-23.8,4.00424550476882)); #480640=CARTESIAN_POINT('Ctrl Pts',(4.77915500697207,-23.8,4.00424550476903)); #480641=CARTESIAN_POINT('Ctrl Pts',(9.95991967839661,-23.8,0.00424550476482838)); #480642=CARTESIAN_POINT('Origin',(0.,-23.8,2.004245504764)); #480643=CARTESIAN_POINT('Ctrl Pts',(-5.3669236727438E-13,-23.8,4.00424550476782)); #480644=CARTESIAN_POINT('Ctrl Pts',(-4.77915500697248,-23.8,4.0042455047676)); #480645=CARTESIAN_POINT('Ctrl Pts',(-9.95991967839625,-23.8,0.00424550476410413)); #480646=CARTESIAN_POINT('Origin',(0.,0.,2.004328879588)); #480647=CARTESIAN_POINT('Origin',(0.,0.,4.000782242867)); #480648=CARTESIAN_POINT('Ctrl Pts',(-19.6025424858004,-16.7743950142018, 0.0042455047647658)); #480649=CARTESIAN_POINT('Ctrl Pts',(-19.5167619067449,-16.7849648564076, 0.120851298330263)); #480650=CARTESIAN_POINT('Ctrl Pts',(-19.429519096022,-16.7991719947237, 0.234481224898433)); #480651=CARTESIAN_POINT('Ctrl Pts',(-19.1043217759792,-16.8665548210577, 0.636846974638256)); #480652=CARTESIAN_POINT('Ctrl Pts',(-18.8290998444676,-16.9517128366047, 0.936400598891776)); #480653=CARTESIAN_POINT('Ctrl Pts',(-18.4037215746303,-17.164592487995, 1.2734113218805)); #480654=CARTESIAN_POINT('Ctrl Pts',(-18.2598338906203,-17.2496092806692, 1.3671556093436)); #480655=CARTESIAN_POINT('Ctrl Pts',(-17.9759334819246,-17.4512820462338, 1.49826995060497)); #480656=CARTESIAN_POINT('Ctrl Pts',(-17.835915821344,-17.5678901009434, 1.53570300984423)); #480657=CARTESIAN_POINT('Ctrl Pts',(-17.5678901009434,-17.835915821344, 1.53570300984423)); #480658=CARTESIAN_POINT('Ctrl Pts',(-17.4512820462338,-17.9759334819246, 1.49826995060497)); #480659=CARTESIAN_POINT('Ctrl Pts',(-17.2496092806692,-18.2598338906203, 1.3671556093436)); #480660=CARTESIAN_POINT('Ctrl Pts',(-17.164592487995,-18.4037215746303, 1.2734113218805)); #480661=CARTESIAN_POINT('Ctrl Pts',(-16.9517128366047,-18.8290998444676, 0.936400598891778)); #480662=CARTESIAN_POINT('Ctrl Pts',(-16.8665548210577,-19.1043217759792, 0.636846974638262)); #480663=CARTESIAN_POINT('Ctrl Pts',(-16.7991719947237,-19.4295190960221, 0.234481224898359)); #480664=CARTESIAN_POINT('Ctrl Pts',(-16.7849648564075,-19.516761906745, 0.120851298330102)); #480665=CARTESIAN_POINT('Ctrl Pts',(-16.7743950142018,-19.6025424858006, 0.00424550476451544)); #480666=CARTESIAN_POINT('',(-23.7999999997711,-1.26074097635698,3.93750792870216)); #480667=CARTESIAN_POINT('Ctrl Pts',(-23.8,-9.95991967839628,0.00424550476408531)); #480668=CARTESIAN_POINT('Ctrl Pts',(-23.8,-5.43798582636272,3.4955709278081)); #480669=CARTESIAN_POINT('Ctrl Pts',(-23.8,-1.26074097625563,3.93750792774416)); #480670=CARTESIAN_POINT('Ctrl Pts',(-23.7673142161178,-1.28678765609398, 4.)); #480671=CARTESIAN_POINT('Ctrl Pts',(-23.7836433403284,-1.27377269565169, 3.96879673805504)); #480672=CARTESIAN_POINT('Ctrl Pts',(-23.7999999995955,-1.26074097657938, 3.93750792851769)); #480673=CARTESIAN_POINT('Origin',(0.,0.,2.012745533165)); #480674=CARTESIAN_POINT('',(7.70202429031856,-12.9140561425281,0.0411650412962972)); #480675=CARTESIAN_POINT('Ctrl Pts',(7.70202460312478,-12.9140568079799, 0.041162907807713)); #480676=CARTESIAN_POINT('Ctrl Pts',(7.72883609910074,-12.8841766893755, 0.0357740921500926)); #480677=CARTESIAN_POINT('Ctrl Pts',(7.75784158479541,-12.8560310556663, 0.0316326216764927)); #480678=CARTESIAN_POINT('Ctrl Pts',(7.81917570059981,-12.8045246307101, 0.0261214260853757)); #480679=CARTESIAN_POINT('Ctrl Pts',(7.85143659005657,-12.7810884028904, 0.0247042328225309)); #480680=CARTESIAN_POINT('Ctrl Pts',(7.88527879806325,-12.7601871937392, 0.0247088075373119)); #480681=CARTESIAN_POINT('',(6.0993316010349E-6,-19.573916314763,2.09091895622165)); #480682=CARTESIAN_POINT('Ctrl Pts',(7.70202342905137,-12.9140558707486, 0.0411622725147286)); #480683=CARTESIAN_POINT('Ctrl Pts',(6.58943804745745,-14.1555386698361, 0.265384987143518)); #480684=CARTESIAN_POINT('Ctrl Pts',(5.43475837102564,-15.3303208736376, 0.564066487298313)); #480685=CARTESIAN_POINT('Ctrl Pts',(2.8376773720369,-17.5923163673404,1.2679168623678)); #480686=CARTESIAN_POINT('Ctrl Pts',(1.44914905519171,-18.6372505524303, 1.66779198516718)); #480687=CARTESIAN_POINT('Ctrl Pts',(6.0993316036706E-6,-19.573916314763, 2.09091895622165)); #480688=CARTESIAN_POINT('Ctrl Pts',(-6.50789342326244,-22.8939289281801, 4.00042381433051)); #480689=CARTESIAN_POINT('Ctrl Pts',(-5.42274143298755,-22.4607452773521, 3.67815912285453)); #480690=CARTESIAN_POINT('Ctrl Pts',(-4.38805006955139,-22.0163863650409, 3.37973067210429)); #480691=CARTESIAN_POINT('Ctrl Pts',(-2.16078991214194,-20.8912219835627, 2.72499916150536)); #480692=CARTESIAN_POINT('Ctrl Pts',(-1.06338921065546,-20.2612508352548, 2.40141367637939)); #480693=CARTESIAN_POINT('Ctrl Pts',(6.09933160228282E-6,-19.573916314763, 2.09091895622165)); #480694=CARTESIAN_POINT('',(0.000416218350672865,-18.4769982954818,1.59539980794916)); #480695=CARTESIAN_POINT('Ctrl Pts',(0.00041621835067257,-18.4769982954818, 1.59539980794916)); #480696=CARTESIAN_POINT('Ctrl Pts',(-1.26681212867183,-19.2690237099365, 1.95317459912674)); #480697=CARTESIAN_POINT('Ctrl Pts',(-2.5827376406581,-19.9764335614383, 2.33135196616735)); #480698=CARTESIAN_POINT('Ctrl Pts',(-5.43546344173069,-21.2784522200842, 3.15095829706078)); #480699=CARTESIAN_POINT('Ctrl Pts',(-6.80536679172936,-21.7752885815597, 3.53729740529955)); #480700=CARTESIAN_POINT('Ctrl Pts',(-8.40235687808401,-22.2685747877611, 4.00046524348495)); #480701=CARTESIAN_POINT('',(4.89281059317973,-14.7807779400365,0.281999867565347)); #480702=CARTESIAN_POINT('Ctrl Pts',(0.000416218350674513,-18.4769982954818, 1.59539980794916)); #480703=CARTESIAN_POINT('Ctrl Pts',(0.890686947573068,-17.9205736819178, 1.3440509291244)); #480704=CARTESIAN_POINT('Ctrl Pts',(1.75376073122333,-17.3243153955369, 1.10352957642574)); #480705=CARTESIAN_POINT('Ctrl Pts',(3.38289295098476,-16.0861674344535, 0.663845057067044)); #480706=CARTESIAN_POINT('Ctrl Pts',(4.13605241278255,-15.4620269852134, 0.466722485127961)); #480707=CARTESIAN_POINT('Ctrl Pts',(4.89281124024981,-14.7807791335261, 0.281998116923634)); #480708=CARTESIAN_POINT('Ctrl Pts',(4.65194224866147,-14.2604149594746, 0.0247088460680814)); #480709=CARTESIAN_POINT('Ctrl Pts',(4.67892174675545,-14.2516092765567, 0.0247068697045341)); #480710=CARTESIAN_POINT('Ctrl Pts',(4.70697875312617,-14.2464526516189, 0.0264603206474164)); #480711=CARTESIAN_POINT('Ctrl Pts',(4.76305196924832,-14.2443449458894, 0.0335466595194152)); #480712=CARTESIAN_POINT('Ctrl Pts',(4.79043216200797,-14.2473773232567, 0.0387807252413105)); #480713=CARTESIAN_POINT('Ctrl Pts',(4.85402776988941,-14.2643218092305, 0.0552291427719786)); #480714=CARTESIAN_POINT('Ctrl Pts',(4.88428731176172,-14.281067246222,0.0668135730731077)); #480715=CARTESIAN_POINT('Ctrl Pts',(4.94026769920856,-14.3242942754237, 0.0934928377943146)); #480716=CARTESIAN_POINT('Ctrl Pts',(4.96289744469107,-14.3541260071375, 0.109574738836376)); #480717=CARTESIAN_POINT('Ctrl Pts',(4.99773809791942,-14.4194454203564, 0.142606865739742)); #480718=CARTESIAN_POINT('Ctrl Pts',(5.00752643889173,-14.457884196953,0.16046123679839)); #480719=CARTESIAN_POINT('Ctrl Pts',(5.01383669304399,-14.5323676570296, 0.19319301088932)); #480720=CARTESIAN_POINT('Ctrl Pts',(5.01037656532814,-14.576895478785,0.211691185547628)); #480721=CARTESIAN_POINT('Ctrl Pts',(4.98544154722428,-14.6533744902701, 0.240744230009862)); #480722=CARTESIAN_POINT('Ctrl Pts',(4.97180423217357,-14.6820011397331, 0.251005622142432)); #480723=CARTESIAN_POINT('Ctrl Pts',(4.93732068999988,-14.7349173933054, 0.268686702648829)); #480724=CARTESIAN_POINT('Ctrl Pts',(4.91656716894464,-14.7593625904642, 0.276186001086734)); #480725=CARTESIAN_POINT('Ctrl Pts',(4.89281009870302,-14.7807780380293, 0.281997485062736)); #480726=CARTESIAN_POINT('Ctrl Pts',(4.624694604495,-14.27357989752,-0.05340803264637)); #480727=CARTESIAN_POINT('Ctrl Pts',(4.66735859645,-14.25090298323,-0.04096980714491)); #480728=CARTESIAN_POINT('Ctrl Pts',(4.748558492356,-14.23242447654,-0.01930379038439)); #480729=CARTESIAN_POINT('Ctrl Pts',(4.862004432301,-14.25564325513,0.006978905997223)); #480730=CARTESIAN_POINT('Ctrl Pts',(4.948460230562,-14.30750814428,0.02423008560144)); #480731=CARTESIAN_POINT('Ctrl Pts',(5.013971761202,-14.38543007203,0.03416157536204)); #480732=CARTESIAN_POINT('Ctrl Pts',(5.051204376756,-14.48068602795,0.03566135578816)); #480733=CARTESIAN_POINT('Ctrl Pts',(5.055990480839,-14.58261171481,0.02856170542322)); #480734=CARTESIAN_POINT('Ctrl Pts',(5.023278217203,-14.69535770509,0.01127065082631)); #480735=CARTESIAN_POINT('Ctrl Pts',(4.969388948988,-14.75968773858,-0.007342081062064)); #480736=CARTESIAN_POINT('Ctrl Pts',(4.929835190496,-14.7874502244,-0.01942152845073)); #480737=CARTESIAN_POINT('Ctrl Pts',(4.607153585139,-14.27926220686,0.01853601970923)); #480738=CARTESIAN_POINT('Ctrl Pts',(4.649858062627,-14.25663485205,0.03090846709975)); #480739=CARTESIAN_POINT('Ctrl Pts',(4.731101164126,-14.23824804336,0.05245976881734)); #480740=CARTESIAN_POINT('Ctrl Pts',(4.844543481469,-14.26158925853,0.07860395567362)); #480741=CARTESIAN_POINT('Ctrl Pts',(4.930953525472,-14.31354382983,0.0957651554469)); #480742=CARTESIAN_POINT('Ctrl Pts',(4.996383202139,-14.39153046826,0.1056463833225)); #480743=CARTESIAN_POINT('Ctrl Pts',(5.033508099586,-14.48682019594,0.1071414295637)); #480744=CARTESIAN_POINT('Ctrl Pts',(5.03817329513,-14.58874649694,0.1000831308052)); #480745=CARTESIAN_POINT('Ctrl Pts',(5.005321265783,-14.70145658991,0.08288799822169)); #480746=CARTESIAN_POINT('Ctrl Pts',(4.951346208227,-14.76573387835,0.06437622841655)); #480747=CARTESIAN_POINT('Ctrl Pts',(4.911752128393,-14.79345939569,0.05236153766557)); #480748=CARTESIAN_POINT('Ctrl Pts',(4.582473239055,-14.28720528924,0.1208043747513)); #480749=CARTESIAN_POINT('Ctrl Pts',(4.625156687864,-14.26468138573,0.1333391980987)); #480750=CARTESIAN_POINT('Ctrl Pts',(4.706315723258,-14.24646421405,0.1551725054673)); #480751=CARTESIAN_POINT('Ctrl Pts',(4.819577243303,-14.26998481851,0.1816627543079)); #480752=CARTESIAN_POINT('Ctrl Pts',(4.905817152688,-14.32203834009,0.1990567969907)); #480753=CARTESIAN_POINT('Ctrl Pts',(4.971091744817,-14.4000635043,0.2090814600395)); #480754=CARTESIAN_POINT('Ctrl Pts',(5.008101836331,-14.49533637451,0.2106155700643)); #480755=CARTESIAN_POINT('Ctrl Pts',(5.012709797361,-14.59720376104,0.2034877501143)); #480756=CARTESIAN_POINT('Ctrl Pts',(4.979866202807,-14.70981855492,0.1860940931169)); #480757=CARTESIAN_POINT('Ctrl Pts',(4.925958892562,-14.77402550591,0.167354619516)); #480758=CARTESIAN_POINT('Ctrl Pts',(4.886419381114,-14.80171854055,0.1551874576014)); #480759=CARTESIAN_POINT('Ctrl Pts',(4.548803436513,-14.29793282655,0.2523272279591)); #480760=CARTESIAN_POINT('Ctrl Pts',(4.591280400407,-14.27562309596,0.2655895269325)); #480761=CARTESIAN_POINT('Ctrl Pts',(4.671996728111,-14.25772610036,0.2886869696708)); #480762=CARTESIAN_POINT('Ctrl Pts',(4.7846138111,-14.28151045103,0.3167251833437)); #480763=CARTESIAN_POINT('Ctrl Pts',(4.87038008457,-14.33364460618,0.3351560576337)); #480764=CARTESIAN_POINT('Ctrl Pts',(4.93534691178,-14.41161399386,0.3458119105637)); #480765=CARTESIAN_POINT('Ctrl Pts',(4.97227335536,-14.50672953539,0.3475049534137)); #480766=CARTESIAN_POINT('Ctrl Pts',(4.97704467932,-14.60839054831,0.3400466832537)); #480767=CARTESIAN_POINT('Ctrl Pts',(4.944658043695,-14.72077907033,0.3217415607266)); #480768=CARTESIAN_POINT('Ctrl Pts',(4.891257037495,-14.78489523951,0.3019699176414)); #480769=CARTESIAN_POINT('Ctrl Pts',(4.852038377852,-14.81258287471,0.2891158244267)); #480770=CARTESIAN_POINT('Ctrl Pts',(4.500710415815,-14.31317245642,0.4329055000338)); #480771=CARTESIAN_POINT('Ctrl Pts',(4.542961704164,-14.29113715434,0.4469699281039)); #480772=CARTESIAN_POINT('Ctrl Pts',(4.623175416759,-14.27366014652,0.4714607784008)); #480773=CARTESIAN_POINT('Ctrl Pts',(4.73502962359,-14.29781698263,0.5012074098237)); #480774=CARTESIAN_POINT('Ctrl Pts',(4.82021348298,-14.35009469335,0.5207856644137)); #480775=CARTESIAN_POINT('Ctrl Pts',(4.88477421175,-14.42803676906,0.5321449924137)); #480776=CARTESIAN_POINT('Ctrl Pts',(4.9215439521,-14.52299064792,0.5340239463037)); #480777=CARTESIAN_POINT('Ctrl Pts',(4.92644125252,-14.62441448179,0.5262140854437)); #480778=CARTESIAN_POINT('Ctrl Pts',(4.894514864282,-14.73652148266,0.5069191312716)); #480779=CARTESIAN_POINT('Ctrl Pts',(4.84166184584,-14.80050178164,0.4860181582465)); #480780=CARTESIAN_POINT('Ctrl Pts',(4.802798699404,-14.82816095591,0.4724098168928)); #480781=CARTESIAN_POINT('Ctrl Pts',(4.440415199002,-14.33200694094,0.6423554918623)); #480782=CARTESIAN_POINT('Ctrl Pts',(4.482485880982,-14.31026983801,0.6570576609487)); #480783=CARTESIAN_POINT('Ctrl Pts',(4.562259035856,-14.29325979994,0.682655144901)); #480784=CARTESIAN_POINT('Ctrl Pts',(4.67338784493,-14.31785958845,0.7137643432437)); #480785=CARTESIAN_POINT('Ctrl Pts',(4.75798243933,-14.37033829149,0.7342659065737)); #480786=CARTESIAN_POINT('Ctrl Pts',(4.82209083113,-14.448300836,0.7462045904937)); #480787=CARTESIAN_POINT('Ctrl Pts',(4.85862264057,-14.54312555538,0.7482598358637)); #480788=CARTESIAN_POINT('Ctrl Pts',(4.86353838094,-14.644325285,0.7402045435237)); #480789=CARTESIAN_POINT('Ctrl Pts',(4.831930944535,-14.75614094382,0.7201631464928)); #480790=CARTESIAN_POINT('Ctrl Pts',(4.779525041605,-14.81995658547,0.698387732923)); #480791=CARTESIAN_POINT('Ctrl Pts',(4.740964939234,-14.84756385346,0.6841882439082)); #480792=CARTESIAN_POINT('Ctrl Pts',(4.369243682388,-14.35380711354,0.8816711773819)); #480793=CARTESIAN_POINT('Ctrl Pts',(4.411358724568,-14.3323160522,0.8964352055166)); #480794=CARTESIAN_POINT('Ctrl Pts',(4.491086151052,-14.31572435714,0.9221383749684)); #480795=CARTESIAN_POINT('Ctrl Pts',(4.6019359362,-14.34080549129,0.9533855842437)); #480796=CARTESIAN_POINT('Ctrl Pts',(4.68618890074,-14.39358457295,0.9739918482237)); #480797=CARTESIAN_POINT('Ctrl Pts',(4.74991087727,-14.4717118224,0.9860141222737)); #480798=CARTESIAN_POINT('Ctrl Pts',(4.78606837165,-14.56656477255,0.9881253212637)); #480799=CARTESIAN_POINT('Ctrl Pts',(4.79067131248,-14.66767426942,0.9800926430637)); #480800=CARTESIAN_POINT('Ctrl Pts',(4.758813670425,-14.77928549809,0.9600338134729)); #480801=CARTESIAN_POINT('Ctrl Pts',(4.70634720476,-14.84290926497,0.9382050954835)); #480802=CARTESIAN_POINT('Ctrl Pts',(4.667792175207,-14.87040842042,0.9239595199642)); #480803=CARTESIAN_POINT('Ctrl Pts',(4.315942570626,-14.36991863612,1.061213917398)); #480804=CARTESIAN_POINT('Ctrl Pts',(4.358098209897,-14.34860689202,1.076021999412)); #480805=CARTESIAN_POINT('Ctrl Pts',(4.437807214708,-14.33232565991,1.101800687295)); #480806=CARTESIAN_POINT('Ctrl Pts',(4.54846745197,-14.35777727625,1.133145289884)); #480807=CARTESIAN_POINT('Ctrl Pts',(4.63247441743,-14.41079803234,1.153823804144)); #480808=CARTESIAN_POINT('Ctrl Pts',(4.69590613953,-14.48906994207,1.165901422104)); #480809=CARTESIAN_POINT('Ctrl Pts',(4.73176970566,-14.58396508338,1.168046532164)); #480810=CARTESIAN_POINT('Ctrl Pts',(4.73611231126,-14.68502240333,1.160022865714)); #480811=CARTESIAN_POINT('Ctrl Pts',(4.704025629808,-14.79648605919,1.139943221746)); #480812=CARTESIAN_POINT('Ctrl Pts',(4.651478932901,-14.85995539665,1.118071727173)); #480813=CARTESIAN_POINT('Ctrl Pts',(4.612908418671,-14.88736109027,1.10379161129)); #480814=CARTESIAN_POINT('Ctrl Pts',(4.26151670702,-14.38613869757,1.239665154232)); #480815=CARTESIAN_POINT('Ctrl Pts',(4.303466875619,-14.3651073825,1.255125846908)); #480816=CARTESIAN_POINT('Ctrl Pts',(4.382702303477,-14.3492577721,1.282036710117)); #480817=CARTESIAN_POINT('Ctrl Pts',(4.4926180581,-14.37510160268,1.314776568844)); #480818=CARTESIAN_POINT('Ctrl Pts',(4.57603922266,-14.42828435478,1.336402319074)); #480819=CARTESIAN_POINT('Ctrl Pts',(4.63904072671,-14.50654715662,1.349077190654)); #480820=CARTESIAN_POINT('Ctrl Pts',(4.67470391606,-14.60129636292,1.351409032524)); #480821=CARTESIAN_POINT('Ctrl Pts',(4.6791132358,-14.7021273121,1.343141963954)); #480822=CARTESIAN_POINT('Ctrl Pts',(4.647407441903,-14.81331373042,1.322308015494)); #480823=CARTESIAN_POINT('Ctrl Pts',(4.595351246012,-14.87664142661,1.299547676219)); #480824=CARTESIAN_POINT('Ctrl Pts',(4.557106454614,-14.90401186018,1.284665146554)); #480825=CARTESIAN_POINT('Ctrl Pts',(4.199315130256,-14.40441297498,1.432088345897)); #480826=CARTESIAN_POINT('Ctrl Pts',(4.24101141575,-14.38370647154,1.448281288091)); #480827=CARTESIAN_POINT('Ctrl Pts',(4.319671221792,-14.36835188171,1.476461661217)); #480828=CARTESIAN_POINT('Ctrl Pts',(4.428695244209,-14.39463408606,1.510769957326)); #480829=CARTESIAN_POINT('Ctrl Pts',(4.511422345705,-14.4479868417,1.533466110773)); #480830=CARTESIAN_POINT('Ctrl Pts',(4.573922412049,-14.52622070421,1.546824880416)); #480831=CARTESIAN_POINT('Ctrl Pts',(4.60936387076,-14.62078526358,1.549385880097)); #480832=CARTESIAN_POINT('Ctrl Pts',(4.613873665118,-14.72134456711,1.540869390923)); #480833=CARTESIAN_POINT('Ctrl Pts',(4.58264815796,-14.83220925098,1.519217559574)); #480834=CARTESIAN_POINT('Ctrl Pts',(4.531194881311,-14.89538329613,1.495476831311)); #480835=CARTESIAN_POINT('Ctrl Pts',(4.493347993722,-14.92272311527,1.479924862652)); #480836=CARTESIAN_POINT('Ctrl Pts',(4.154561176339,-14.41734577372,1.565184174653)); #480837=CARTESIAN_POINT('Ctrl Pts',(4.196223466717,-14.39681310697,1.581541445811)); #480838=CARTESIAN_POINT('Ctrl Pts',(4.274743093602,-14.3817420049,1.610005769513)); #480839=CARTESIAN_POINT('Ctrl Pts',(4.383458598477,-14.40832396643,1.644669398804)); #480840=CARTESIAN_POINT('Ctrl Pts',(4.465889930007,-14.46184333783,1.667614457695)); #480841=CARTESIAN_POINT('Ctrl Pts',(4.52811285144,-14.54014469353,1.681142459487)); #480842=CARTESIAN_POINT('Ctrl Pts',(4.563338405678,-14.63468582774,1.68377730376)); #480843=CARTESIAN_POINT('Ctrl Pts',(4.567724114808,-14.73515214756,1.675231757254)); #480844=CARTESIAN_POINT('Ctrl Pts',(4.536477948158,-14.84586320805,1.653428510089)); #480845=CARTESIAN_POINT('Ctrl Pts',(4.485115440897,-14.90892164612,1.629486549883)); #480846=CARTESIAN_POINT('Ctrl Pts',(4.447349430176,-14.93620699859,1.613791324941)); #480847=CARTESIAN_POINT('Ctrl Pts',(4.12952761748,-14.42450872607,1.639274525049)); #480848=CARTESIAN_POINT('Ctrl Pts',(4.171194452233,-14.40406440956,1.655671103428)); #480849=CARTESIAN_POINT('Ctrl Pts',(4.249678748876,-14.38914079381,1.684202965344)); #480850=CARTESIAN_POINT('Ctrl Pts',(4.358274143942,-14.4158869865,1.718952939963)); #480851=CARTESIAN_POINT('Ctrl Pts',(4.440572552751,-14.46950479928,1.741961037352)); #480852=CARTESIAN_POINT('Ctrl Pts',(4.502654796788,-14.54785553692,1.755535861579)); #480853=CARTESIAN_POINT('Ctrl Pts',(4.537752698468,-14.64239847376,1.758197384522)); #480854=CARTESIAN_POINT('Ctrl Pts',(4.542040768646,-14.74282710636,1.749655693992)); #480855=CARTESIAN_POINT('Ctrl Pts',(4.510728929853,-14.85346360465,1.727829093738)); #480856=CARTESIAN_POINT('Ctrl Pts',(4.45936557171,-14.9164574131,1.703846471871)); #480857=CARTESIAN_POINT('Ctrl Pts',(4.421613507218,-14.9437083035,1.688119720698)); #480858=CARTESIAN_POINT('',(4.47211956309784,-14.9090352907494,1.65778386890559)); #480859=CARTESIAN_POINT('Ctrl Pts',(4.89281044022618,-14.7807766488789, 0.282004000797728)); #480860=CARTESIAN_POINT('Ctrl Pts',(4.89113768731913,-14.7813025044211, 0.288406710668051)); #480861=CARTESIAN_POINT('Ctrl Pts',(4.88943962172843,-14.7818492866472, 0.294799664385915)); #480862=CARTESIAN_POINT('Ctrl Pts',(4.88773966892471,-14.7823936515396, 0.301189983756611)); #480863=CARTESIAN_POINT('Ctrl Pts',(4.88365053789482,-14.783703087524,0.316561500643632)); #480864=CARTESIAN_POINT('Ctrl Pts',(4.87955048740838,-14.7849985278184, 0.331917757510095)); #480865=CARTESIAN_POINT('Ctrl Pts',(4.87542809238708,-14.7862929871533, 0.34725742671383)); #480866=CARTESIAN_POINT('Ctrl Pts',(4.87130569736578,-14.7875874464881, 0.362597095917566)); #480867=CARTESIAN_POINT('Ctrl Pts',(4.86716095419221,-14.7888809236985, 0.37792017710482)); #480868=CARTESIAN_POINT('Ctrl Pts',(4.86298245480498,-14.7901864623187, 0.393225390833628)); #480869=CARTESIAN_POINT('Ctrl Pts',(4.84626845725606,-14.7954086167995, 0.454446245748824)); #480870=CARTESIAN_POINT('Ctrl Pts',(4.82901446270721,-14.8008238416869, 0.515381335396209)); #480871=CARTESIAN_POINT('Ctrl Pts',(4.81140913114222,-14.8063558340739, 0.57605814530807)); #480872=CARTESIAN_POINT('Ctrl Pts',(4.79380379957724,-14.811887826461,0.63673495521993)); #480873=CARTESIAN_POINT('Ctrl Pts',(4.77584708915881,-14.8175365560172, 0.697153460409823)); #480874=CARTESIAN_POINT('Ctrl Pts',(4.75772745974398,-14.8232255018965, 0.757340783691911)); #480875=CARTESIAN_POINT('Ctrl Pts',(4.73960783032913,-14.8289144477759, 0.817528106974081)); #480876=CARTESIAN_POINT('Ctrl Pts',(4.7213253043085,-14.8346436193312,0.87748422965749)); #480877=CARTESIAN_POINT('Ctrl Pts',(4.70303768521472,-14.840352048974,0.937438067087575)); #480878=CARTESIAN_POINT('Ctrl Pts',(4.68475006612096,-14.8460604786168, 0.997391904517615)); #480879=CARTESIAN_POINT('Ctrl Pts',(4.66645733033729,-14.8517481485298, 1.05734345723787)); #480880=CARTESIAN_POINT('Ctrl Pts',(4.64796848028479,-14.8573662859995, 1.11756270545152)); #480881=CARTESIAN_POINT('Ctrl Pts',(4.6294796302323,-14.8629844234692,1.17778195366513)); #480882=CARTESIAN_POINT('Ctrl Pts',(4.61079463989094,-14.8685329983789, 1.2382688908065)); #480883=CARTESIAN_POINT('Ctrl Pts',(4.59156820868602,-14.8742217574319, 1.29858886305698)); #480884=CARTESIAN_POINT('Ctrl Pts',(4.58195499308355,-14.8770661369584, 1.32874884918221)); #480885=CARTESIAN_POINT('Ctrl Pts',(4.57220643266053,-14.8799455791127, 1.35886709755893)); #480886=CARTESIAN_POINT('Ctrl Pts',(4.562368874352,-14.8828366090325,1.38893102246849)); #480887=CARTESIAN_POINT('Ctrl Pts',(4.55253131604347,-14.8857276389523, 1.41899494737806)); #480888=CARTESIAN_POINT('Ctrl Pts',(4.54260475909277,-14.88863025584,1.44900454877631)); #480889=CARTESIAN_POINT('Ctrl Pts',(4.53263547734332,-14.8915209059399, 1.47894722401262)); #480890=CARTESIAN_POINT('Ctrl Pts',(4.5226661955872,-14.8944115560417,1.50888989926897)); #480891=CARTESIAN_POINT('Ctrl Pts',(4.5126541636579,-14.8972902120032,1.53876564239335)); #480892=CARTESIAN_POINT('Ctrl Pts',(4.50257595149981,-14.9001961461302, 1.56857241921352)); #480893=CARTESIAN_POINT('Ctrl Pts',(4.49249773934172,-14.9031020802571, 1.59837919603369)); #480894=CARTESIAN_POINT('Ctrl Pts',(4.48235337216002,-14.9060353196278, 1.62811701240136)); #480895=CARTESIAN_POINT('Ctrl Pts',(4.47211950889666,-14.9090352323307, 1.65778385611567)); #480896=CARTESIAN_POINT('Origin',(4.27066081899131,-14.6918840198763,1.61024708333575)); #480897=CARTESIAN_POINT('Ctrl Pts',(4.159046033242,-14.41621299813,1.54873966536)); #480898=CARTESIAN_POINT('Ctrl Pts',(4.200929908357,-14.3953505738,1.56518885464)); #480899=CARTESIAN_POINT('Ctrl Pts',(4.279797765954,-14.38003807536,1.593764181622)); #480900=CARTESIAN_POINT('Ctrl Pts',(4.388872207229,-14.40666333517,1.628500611319)); #480901=CARTESIAN_POINT('Ctrl Pts',(4.471335595277,-14.46016076414,1.651423394962)); #480902=CARTESIAN_POINT('Ctrl Pts',(4.533590725816,-14.53845122344,1.664936037056)); #480903=CARTESIAN_POINT('Ctrl Pts',(4.568843899746,-14.63299217919,1.667563838566)); #480904=CARTESIAN_POINT('Ctrl Pts',(4.573248935207,-14.7334672043,1.659020285756)); #480905=CARTESIAN_POINT('Ctrl Pts',(4.541918525473,-14.84453015896,1.637163878112)); #480906=CARTESIAN_POINT('Ctrl Pts',(4.490210190148,-14.90780331976,1.613102130392)); #480907=CARTESIAN_POINT('Ctrl Pts',(4.452068150642,-14.93514235238,1.597287889316)); #480908=CARTESIAN_POINT('Ctrl Pts',(4.134100399467,-14.42340626566,1.622841294865)); #480909=CARTESIAN_POINT('Ctrl Pts',(4.17600148663,-14.4026275046,1.639304035561)); #480910=CARTESIAN_POINT('Ctrl Pts',(4.254857088591,-14.38745767395,1.667902190717)); #480911=CARTESIAN_POINT('Ctrl Pts',(4.36383899348,-14.4142491459,1.702669668358)); #480912=CARTESIAN_POINT('Ctrl Pts',(4.446186106769,-14.46785210221,1.725617644297)); #480913=CARTESIAN_POINT('Ctrl Pts',(4.508306515029,-14.54620304229,1.739152856845)); #480914=CARTESIAN_POINT('Ctrl Pts',(4.543425503562,-14.64075821994,1.741799088326)); #480915=CARTESIAN_POINT('Ctrl Pts',(4.547713961502,-14.74120616413,1.733267999247)); #480916=CARTESIAN_POINT('Ctrl Pts',(4.516283555287,-14.85220102819,1.711416879866)); #480917=CARTESIAN_POINT('Ctrl Pts',(4.46454334057,-14.91540650916,1.687348849845)); #480918=CARTESIAN_POINT('Ctrl Pts',(4.426397153386,-14.94270584701,1.671526525412)); #480919=CARTESIAN_POINT('Ctrl Pts',(4.099287081906,-14.43334619417,1.726327095698)); #480920=CARTESIAN_POINT('Ctrl Pts',(4.141136618555,-14.41271438196,1.74297555565)); #480921=CARTESIAN_POINT('Ctrl Pts',(4.21983538582,-14.39777717878,1.771894391949)); #480922=CARTESIAN_POINT('Ctrl Pts',(4.328519339715,-14.42479845417,1.80706070051)); #480923=CARTESIAN_POINT('Ctrl Pts',(4.410602831664,-14.47851544455,1.830284713896)); #480924=CARTESIAN_POINT('Ctrl Pts',(4.472496628584,-14.55689506357,1.844003727771)); #480925=CARTESIAN_POINT('Ctrl Pts',(4.507462792872,-14.65140564034,1.846724038417)); #480926=CARTESIAN_POINT('Ctrl Pts',(4.51169567411,-14.7517589214,1.838149721035)); #480927=CARTESIAN_POINT('Ctrl Pts',(4.480321740726,-14.86262006631,1.816115384838)); #480928=CARTESIAN_POINT('Ctrl Pts',(4.428718484951,-14.92574130899,1.791812584309)); #480929=CARTESIAN_POINT('Ctrl Pts',(4.390674444083,-14.95300900076,1.775825152036)); #480930=CARTESIAN_POINT('Ctrl Pts',(4.052924631978,-14.44638761846,1.858276898459)); #480931=CARTESIAN_POINT('Ctrl Pts',(4.094523902239,-14.42601975447,1.875538384394)); #480932=CARTESIAN_POINT('Ctrl Pts',(4.172680247685,-14.41146823604,1.905516931152)); #480933=CARTESIAN_POINT('Ctrl Pts',(4.28056444346,-14.43879199601,1.941995618974)); #480934=CARTESIAN_POINT('Ctrl Pts',(4.3620527413,-14.49258775923,1.966120189564)); #480935=CARTESIAN_POINT('Ctrl Pts',(4.42354660543,-14.57088226844,1.980426746604)); #480936=CARTESIAN_POINT('Ctrl Pts',(4.45838095084,-14.66519047352,1.983364466784)); #480937=CARTESIAN_POINT('Ctrl Pts',(4.46278106093,-14.76529114591,1.974615172814)); #480938=CARTESIAN_POINT('Ctrl Pts',(4.431930966147,-14.87588743486,1.951937929191)); #480939=CARTESIAN_POINT('Ctrl Pts',(4.380930207008,-14.93891808531,1.92683862534)); #480940=CARTESIAN_POINT('Ctrl Pts',(4.343274200561,-14.96619564074,1.910298771862)); #480941=CARTESIAN_POINT('Ctrl Pts',(4.021210878066,-14.45522922244,1.945528794448)); #480942=CARTESIAN_POINT('Ctrl Pts',(4.062538049023,-14.43507926293,1.963394356318)); #480943=CARTESIAN_POINT('Ctrl Pts',(4.140138376882,-14.42083313132,1.994417071657)); #480944=CARTESIAN_POINT('Ctrl Pts',(4.2472516219,-14.44836217839,2.032189216574)); #480945=CARTESIAN_POINT('Ctrl Pts',(4.32819628869,-14.50217228089,2.057201829664)); #480946=CARTESIAN_POINT('Ctrl Pts',(4.38936000168,-14.58034162128,2.072088541504)); #480947=CARTESIAN_POINT('Ctrl Pts',(4.42414075442,-14.67443357713,2.075242277164)); #480948=CARTESIAN_POINT('Ctrl Pts',(4.42878514477,-14.77429233103,2.066322856144)); #480949=CARTESIAN_POINT('Ctrl Pts',(4.398537538497,-14.88465957725,2.043015141784)); #480950=CARTESIAN_POINT('Ctrl Pts',(4.34817935012,-14.94763926727,2.017133176605)); #480951=CARTESIAN_POINT('Ctrl Pts',(4.310927779261,-14.97495139438,2.000050101886)); #480952=CARTESIAN_POINT('Ctrl Pts',(3.988215594302,-14.46435067326,2.032411205839)); #480953=CARTESIAN_POINT('Ctrl Pts',(4.029303280654,-14.44440874637,2.050792846314)); #480954=CARTESIAN_POINT('Ctrl Pts',(4.106406146219,-14.43045826047,2.082707021807)); #480955=CARTESIAN_POINT('Ctrl Pts',(4.21281547429,-14.45819806799,2.121586251554)); #480956=CARTESIAN_POINT('Ctrl Pts',(4.29325349564,-14.51203916448,2.147362878914)); #480957=CARTESIAN_POINT('Ctrl Pts',(4.35409596117,-14.5901081601,2.162754958764)); #480958=CARTESIAN_POINT('Ctrl Pts',(4.38880039423,-14.68401115968,2.166107181564)); #480959=CARTESIAN_POINT('Ctrl Pts',(4.39363579977,-14.7836511112,2.157059328144)); #480960=CARTESIAN_POINT('Ctrl Pts',(4.363899882189,-14.8938038642,2.133233567786)); #480961=CARTESIAN_POINT('Ctrl Pts',(4.314104044051,-14.95672675852,2.106695462087)); #480962=CARTESIAN_POINT('Ctrl Pts',(4.277210335624,-14.98406266345,2.08915327071)); #480963=CARTESIAN_POINT('Ctrl Pts',(3.954372932071,-14.47363252667,2.119418546979)); #480964=CARTESIAN_POINT('Ctrl Pts',(3.995405525304,-14.45383029723,2.137953366017)); #480965=CARTESIAN_POINT('Ctrl Pts',(4.072348835778,-14.44009956852,2.170131283736)); #480966=CARTESIAN_POINT('Ctrl Pts',(4.17846120941,-14.46805457338,2.209342020464)); #480967=CARTESIAN_POINT('Ctrl Pts',(4.25863809249,-14.52200122947,2.235352982624)); #480968=CARTESIAN_POINT('Ctrl Pts',(4.31925634945,-14.60009529039,2.250908819924)); #480969=CARTESIAN_POINT('Ctrl Pts',(4.353808946,-14.6939547805,2.254339601534)); #480970=CARTESIAN_POINT('Ctrl Pts',(4.35858769115,-14.79350489284,2.245277331534)); #480971=CARTESIAN_POINT('Ctrl Pts',(4.328904779739,-14.9035321104,2.221326407698)); #480972=CARTESIAN_POINT('Ctrl Pts',(4.279242490833,-14.96637709813,2.194610583006)); #480973=CARTESIAN_POINT('Ctrl Pts',(4.242449431054,-14.99368445515,2.176938642544)); #480974=CARTESIAN_POINT('Ctrl Pts',(3.92028255082,-14.48290205347,2.206624544221)); #480975=CARTESIAN_POINT('Ctrl Pts',(3.961388055987,-14.4631915765,2.225078466803)); #480976=CARTESIAN_POINT('Ctrl Pts',(4.038406791206,-14.44962738343,2.25711560122)); #480977=CARTESIAN_POINT('Ctrl Pts',(4.14450648288,-14.47780048635,2.296156360794)); #480978=CARTESIAN_POINT('Ctrl Pts',(4.2245949998,-14.5319046123,2.322056811784)); #480979=CARTESIAN_POINT('Ctrl Pts',(4.28505944301,-14.61011113463,2.337550232404)); #480980=CARTESIAN_POINT('Ctrl Pts',(4.31941119208,-14.70402849343,2.340973850364)); #480981=CARTESIAN_POINT('Ctrl Pts',(4.32396467806,-14.8035786531,2.331960535684)); #480982=CARTESIAN_POINT('Ctrl Pts',(4.294019502779,-14.91354230401,2.308125450839)); #480983=CARTESIAN_POINT('Ctrl Pts',(4.244194082748,-14.97629456086,2.281532903437)); #480984=CARTESIAN_POINT('Ctrl Pts',(4.207322985839,-15.003536752,2.263940607716)); #480985=CARTESIAN_POINT('Ctrl Pts',(3.875253715331,-14.49504423534,2.323626819754)); #480986=CARTESIAN_POINT('Ctrl Pts',(3.916698129671,-14.47536467364,2.341505587165)); #480987=CARTESIAN_POINT('Ctrl Pts',(3.994262149076,-14.46192074247,2.37254704811)); #480988=CARTESIAN_POINT('Ctrl Pts',(4.10088413475,-14.49038879815,2.410361374214)); #480989=CARTESIAN_POINT('Ctrl Pts',(4.18118322265,-14.54479998074,2.435429521154)); #480990=CARTESIAN_POINT('Ctrl Pts',(4.24157728137,-14.62332055213,2.450394522684)); #480991=CARTESIAN_POINT('Ctrl Pts',(4.27556988466,-14.71750532347,2.453646501484)); #480992=CARTESIAN_POINT('Ctrl Pts',(4.27950535707,-14.81722365099,2.444835909654)); #480993=CARTESIAN_POINT('Ctrl Pts',(4.24861577864,-14.92721703899,2.421649644031)); #480994=CARTESIAN_POINT('Ctrl Pts',(4.198013397091,-14.98981537641,2.395830313152)); #480995=CARTESIAN_POINT('Ctrl Pts',(4.160701723694,-15.01690013155,2.378765328006)); #480996=CARTESIAN_POINT('Ctrl Pts',(3.831709792291,-14.50660971545,2.440454509937)); #480997=CARTESIAN_POINT('Ctrl Pts',(3.873168079957,-14.48707821772,2.458379061448)); #480998=CARTESIAN_POINT('Ctrl Pts',(3.950683170199,-14.47388297186,2.489498578059)); #480999=CARTESIAN_POINT('Ctrl Pts',(4.05711264643,-14.50263356093,2.527414631224)); #481000=CARTESIAN_POINT('Ctrl Pts',(4.13719073829,-14.55721900274,2.552559680254)); #481001=CARTESIAN_POINT('Ctrl Pts',(4.19734237463,-14.63583375768,2.567586085894)); #481002=CARTESIAN_POINT('Ctrl Pts',(4.2311050937,-14.73003269725,2.570879296234)); #481003=CARTESIAN_POINT('Ctrl Pts',(4.23485239786,-14.82969589748,2.562085743574)); #481004=CARTESIAN_POINT('Ctrl Pts',(4.203816586448,-14.9395664913,2.538887691064)); #481005=CARTESIAN_POINT('Ctrl Pts',(4.153184899628,-15.00204957707,2.5130303127)); #481006=CARTESIAN_POINT('Ctrl Pts',(4.115881857627,-15.02906895199,2.49593218169)); #481007=CARTESIAN_POINT('Ctrl Pts',(3.787019893815,-14.51829974581,2.556088526763)); #481008=CARTESIAN_POINT('Ctrl Pts',(3.828146782464,-14.49904582108,2.57471377699)); #481009=CARTESIAN_POINT('Ctrl Pts',(3.904977426453,-14.4862410661,2.607043411372)); #481010=CARTESIAN_POINT('Ctrl Pts',(4.0104438219,-14.51526106073,2.646463444354)); #481011=CARTESIAN_POINT('Ctrl Pts',(4.08983166412,-14.56987556364,2.672648053054)); #481012=CARTESIAN_POINT('Ctrl Pts',(4.14954844933,-14.64834449682,2.688364722034)); #481013=CARTESIAN_POINT('Ctrl Pts',(4.18321182053,-14.74228226246,2.691933401504)); #481014=CARTESIAN_POINT('Ctrl Pts',(4.18722470732,-14.84164951203,2.682972884274)); #481015=CARTESIAN_POINT('Ctrl Pts',(4.156891904466,-14.95123686749,2.659080793383)); #481016=CARTESIAN_POINT('Ctrl Pts',(4.107029494972,-15.01365368323,2.6323386539)); #481017=CARTESIAN_POINT('Ctrl Pts',(4.07021527416,-15.04071291632,2.614619898747)); #481018=CARTESIAN_POINT('Ctrl Pts',(3.734694822872,-14.53183832864,2.684975963715)); #481019=CARTESIAN_POINT('Ctrl Pts',(3.775093352383,-14.51303120227,2.704962352174)); #481020=CARTESIAN_POINT('Ctrl Pts',(3.85049876733,-14.50081190994,2.739641525875)); #481021=CARTESIAN_POINT('Ctrl Pts',(3.954082603364,-14.53011868834,2.78198707984)); #481022=CARTESIAN_POINT('Ctrl Pts',(4.032202115514,-14.58461259742,2.810201467568)); #481023=CARTESIAN_POINT('Ctrl Pts',(4.091219309427,-14.66266583777,2.827278056446)); #481024=CARTESIAN_POINT('Ctrl Pts',(4.12489059758,-14.75602165097,2.83140939983)); #481025=CARTESIAN_POINT('Ctrl Pts',(4.129654397377,-14.85480389708,2.822158420754)); #481026=CARTESIAN_POINT('Ctrl Pts',(4.100972292361,-14.96390405501,2.796960207772)); #481027=CARTESIAN_POINT('Ctrl Pts',(4.05279304713,-15.02629641199,2.768526148442)); #481028=CARTESIAN_POINT('Ctrl Pts',(4.017024173001,-15.05350985052,2.749613297356)); #481029=CARTESIAN_POINT('Ctrl Pts',(3.69041812292,-14.54311520138,2.784779735255)); #481030=CARTESIAN_POINT('Ctrl Pts',(3.730590293828,-14.5245403246,2.805142642976)); #481031=CARTESIAN_POINT('Ctrl Pts',(3.80550540813,-14.51265123202,2.840468884239)); #481032=CARTESIAN_POINT('Ctrl Pts',(3.90836224815,-14.54219812502,2.883633450037)); #481033=CARTESIAN_POINT('Ctrl Pts',(3.985935378424,-14.59673476015,2.912434733195)); #481034=CARTESIAN_POINT('Ctrl Pts',(4.044576650777,-14.67468751564,2.92993390504)); #481035=CARTESIAN_POINT('Ctrl Pts',(4.078108645817,-14.76784557293,2.93428754219)); #481036=CARTESIAN_POINT('Ctrl Pts',(4.082999838717,-14.86639588394,2.925037345712)); #481037=CARTESIAN_POINT('Ctrl Pts',(4.054763745173,-14.9752668611,2.89957708832)); #481038=CARTESIAN_POINT('Ctrl Pts',(4.007114730274,-15.03759735363,2.870736061745)); #481039=CARTESIAN_POINT('Ctrl Pts',(3.971692742733,-15.06483565439,2.851517696159)); #481040=CARTESIAN_POINT('Ctrl Pts',(3.658508277368,-14.55112991324,2.856293805398)); #481041=CARTESIAN_POINT('Ctrl Pts',(3.698657124862,-14.53267184788,2.876730573286)); #481042=CARTESIAN_POINT('Ctrl Pts',(3.773475319654,-14.52096719457,2.912183110273)); #481043=CARTESIAN_POINT('Ctrl Pts',(3.876119935961,-14.55069803282,2.955510507232)); #481044=CARTESIAN_POINT('Ctrl Pts',(3.953490879361,-14.60532857285,2.984432560548)); #481045=CARTESIAN_POINT('Ctrl Pts',(4.011943154434,-14.68330961235,3.002024894299)); #481046=CARTESIAN_POINT('Ctrl Pts',(4.045328143835,-14.77643924485,3.006436811791)); #481047=CARTESIAN_POINT('Ctrl Pts',(4.050134825369,-14.87492161233,2.997204502259)); #481048=CARTESIAN_POINT('Ctrl Pts',(4.021884196009,-14.9836933153,2.971714291004)); #481049=CARTESIAN_POINT('Ctrl Pts',(3.974296638673,-15.04595901724,2.94280628375)); #481050=CARTESIAN_POINT('Ctrl Pts',(3.938929526012,-15.07317187254,2.923532966117)); #481051=CARTESIAN_POINT('',(3.991408433962,-15.03814455584,2.897970567556)); #481052=CARTESIAN_POINT('Ctrl Pts',(4.472119671497,-14.90903540759,1.657783894484)); #481053=CARTESIAN_POINT('Ctrl Pts',(4.451651883328,-14.91503501151,1.717117423585)); #481054=CARTESIAN_POINT('Ctrl Pts',(4.420314111029,-14.92442301533,1.80626600216)); #481055=CARTESIAN_POINT('Ctrl Pts',(4.378047561609,-14.93601014633,1.926088723088)); #481056=CARTESIAN_POINT('Ctrl Pts',(4.345286320871,-14.94477642191,2.016340003543)); #481057=CARTESIAN_POINT('Ctrl Pts',(4.311230527204,-14.95387562395,2.105876563833)); #481058=CARTESIAN_POINT('Ctrl Pts',(4.276375730131,-14.96353274181,2.193781415587)); #481059=CARTESIAN_POINT('Ctrl Pts',(4.241332787886,-14.97344553002,2.280707141085)); #481060=CARTESIAN_POINT('Ctrl Pts',(4.195152260794,-14.98694339036,2.395033671871)); #481061=CARTESIAN_POINT('Ctrl Pts',(4.150332389933,-14.99917748938,2.512229861995)); #481062=CARTESIAN_POINT('Ctrl Pts',(4.104194859566,-15.0108066527,2.631499269089)); #481063=CARTESIAN_POINT('Ctrl Pts',(4.056092004575,-15.02207527294,2.752282422629)); #481064=CARTESIAN_POINT('Ctrl Pts',(4.017471511135,-15.03157561799,2.840144127201)); #481065=CARTESIAN_POINT('Ctrl Pts',(3.991408433962,-15.03814455584,2.897970567556)); #481066=CARTESIAN_POINT('Origin',(3.79337720378127,-14.8222902882498,2.83323597903717)); #481067=CARTESIAN_POINT('Ctrl Pts',(3.69604161538,-14.54177667271,2.770179413462)); #481068=CARTESIAN_POINT('Ctrl Pts',(3.736443591803,-14.52294884173,2.790503029081)); #481069=CARTESIAN_POINT('Ctrl Pts',(3.811740112855,-14.51086316327,2.825721204365)); #481070=CARTESIAN_POINT('Ctrl Pts',(3.915021595079,-14.54044078671,2.868694182808)); #481071=CARTESIAN_POINT('Ctrl Pts',(3.992737743441,-14.59499263808,2.897297322662)); #481072=CARTESIAN_POINT('Ctrl Pts',(4.051459705772,-14.67299378138,2.914658430641)); #481073=CARTESIAN_POINT('Ctrl Pts',(4.08499428816,-14.76621863366,2.918946357106)); #481074=CARTESIAN_POINT('Ctrl Pts',(4.089805470837,-14.86483556412,2.909709142963)); #481075=CARTESIAN_POINT('Ctrl Pts',(4.061296304817,-14.97411742524,2.884272505626)); #481076=CARTESIAN_POINT('Ctrl Pts',(4.013115172464,-15.03666463601,2.855401349029)); #481077=CARTESIAN_POINT('Ctrl Pts',(3.977198559664,-15.06393688,2.836116293999)); #481078=CARTESIAN_POINT('Ctrl Pts',(3.659562766276,-14.55103369072,2.852765077267)); #481079=CARTESIAN_POINT('Ctrl Pts',(3.699772769548,-14.53240031105,2.873431822937)); #481080=CARTESIAN_POINT('Ctrl Pts',(3.774654883963,-14.52059045973,2.909239649177)); #481081=CARTESIAN_POINT('Ctrl Pts',(3.87732547574,-14.55036775829,2.952954592591)); #481082=CARTESIAN_POINT('Ctrl Pts',(3.954585694815,-14.60495447742,2.982083457782)); #481083=CARTESIAN_POINT('Ctrl Pts',(4.012996761998,-14.68287124382,2.999815699583)); #481084=CARTESIAN_POINT('Ctrl Pts',(4.046420468028,-14.77593091519,3.004287724707)); #481085=CARTESIAN_POINT('Ctrl Pts',(4.051345181376,-14.87435458376,2.995029841469)); #481086=CARTESIAN_POINT('Ctrl Pts',(4.023218765303,-14.98344542072,2.96932846359)); #481087=CARTESIAN_POINT('Ctrl Pts',(3.975489056029,-15.04594211733,2.94006935318)); #481088=CARTESIAN_POINT('Ctrl Pts',(3.939867205856,-15.07323664188,2.920497618325)); #481089=CARTESIAN_POINT('Ctrl Pts',(3.59136671871,-14.56809182309,3.004309196015)); #481090=CARTESIAN_POINT('Ctrl Pts',(3.631805936903,-14.54960875942,3.024671325654)); #481091=CARTESIAN_POINT('Ctrl Pts',(3.706990969583,-14.53808703373,3.05995155419)); #481092=CARTESIAN_POINT('Ctrl Pts',(3.809824451936,-14.5682761992,3.103021535494)); #481093=CARTESIAN_POINT('Ctrl Pts',(3.88702887006,-14.62318420916,3.131719423331)); #481094=CARTESIAN_POINT('Ctrl Pts',(3.945193141379,-14.70135585826,3.149187331325)); #481095=CARTESIAN_POINT('Ctrl Pts',(3.978203886654,-14.79457582312,3.153589205801)); #481096=CARTESIAN_POINT('Ctrl Pts',(3.982592254169,-14.89304667225,3.144462680837)); #481097=CARTESIAN_POINT('Ctrl Pts',(3.953761362249,-15.00205266472,3.119133981781)); #481098=CARTESIAN_POINT('Ctrl Pts',(3.905525028294,-15.06436988974,3.090302510146)); #481099=CARTESIAN_POINT('Ctrl Pts',(3.86963431799,-15.09152407656,3.071017897853)); #481100=CARTESIAN_POINT('Ctrl Pts',(3.509183698867,-14.58803558157,3.190787787338)); #481101=CARTESIAN_POINT('Ctrl Pts',(3.54978751515,-14.56977313092,3.210970759524)); #481102=CARTESIAN_POINT('Ctrl Pts',(3.625135574662,-14.5586452054,3.245939485343)); #481103=CARTESIAN_POINT('Ctrl Pts',(3.72792054155,-14.58933907855,3.288634124974)); #481104=CARTESIAN_POINT('Ctrl Pts',(3.80490558317,-14.64460445124,3.317088920984)); #481105=CARTESIAN_POINT('Ctrl Pts',(3.86270234051,-14.72302544538,3.334420287094)); #481106=CARTESIAN_POINT('Ctrl Pts',(3.89524101525,-14.8163672368,3.338807994534)); #481107=CARTESIAN_POINT('Ctrl Pts',(3.89910516211,-14.91482758119,3.329791533304)); #481108=CARTESIAN_POINT('Ctrl Pts',(3.869666106742,-15.02367794442,3.304721956974)); #481109=CARTESIAN_POINT('Ctrl Pts',(3.821053572973,-15.08577880399,3.276166106627)); #481110=CARTESIAN_POINT('Ctrl Pts',(3.784983092274,-15.11278281293,3.257059785427)); #481111=CARTESIAN_POINT('Ctrl Pts',(3.448812905383,-14.60239042624,3.328795460416)); #481112=CARTESIAN_POINT('Ctrl Pts',(3.489528238874,-14.58429255678,3.348866478259)); #481113=CARTESIAN_POINT('Ctrl Pts',(3.564979936561,-14.57345797705,3.383640457916)); #481114=CARTESIAN_POINT('Ctrl Pts',(3.66770984951,-14.60452702009,3.426100848294)); #481115=CARTESIAN_POINT('Ctrl Pts',(3.74452095216,-14.66005745061,3.454404517294)); #481116=CARTESIAN_POINT('Ctrl Pts',(3.80204007256,-14.73866264429,3.471651979714)); #481117=CARTESIAN_POINT('Ctrl Pts',(3.83423015128,-14.83209331541,3.476032867504)); #481118=CARTESIAN_POINT('Ctrl Pts',(3.83771335158,-14.93054369542,3.467087646044)); #481119=CARTESIAN_POINT('Ctrl Pts',(3.807838745518,-15.03927539315,3.442182989237)); #481120=CARTESIAN_POINT('Ctrl Pts',(3.758962442767,-15.10121299471,3.413801179574)); #481121=CARTESIAN_POINT('Ctrl Pts',(3.72276826504,-15.12810389343,3.394806976164)); #481122=CARTESIAN_POINT('Ctrl Pts',(3.403469803871,-14.61301067911,3.431737077289)); #481123=CARTESIAN_POINT('Ctrl Pts',(3.444017394634,-14.59512210848,3.452130487106)); #481124=CARTESIAN_POINT('Ctrl Pts',(3.519087768437,-14.5845953223,3.487458472681)); #481125=CARTESIAN_POINT('Ctrl Pts',(3.6212228628,-14.6159183191,3.530616009534)); #481126=CARTESIAN_POINT('Ctrl Pts',(3.69756701221,-14.67153171651,3.559413708884)); #481127=CARTESIAN_POINT('Ctrl Pts',(3.75473809331,-14.75009619685,3.577010060974)); #481128=CARTESIAN_POINT('Ctrl Pts',(3.78675587152,-14.84339458683,3.581564279354)); #481129=CARTESIAN_POINT('Ctrl Pts',(3.79027194891,-14.94166807713,3.572600238564)); #481130=CARTESIAN_POINT('Ctrl Pts',(3.760672693922,-15.05020352901,3.547447893569)); #481131=CARTESIAN_POINT('Ctrl Pts',(3.7121696467,-15.11206293284,3.518702668458)); #481132=CARTESIAN_POINT('Ctrl Pts',(3.676228952287,-15.13895121333,3.499439870927)); #481133=CARTESIAN_POINT('Ctrl Pts',(3.357164465103,-14.62369292452,3.533746093043)); #481134=CARTESIAN_POINT('Ctrl Pts',(3.397333177702,-14.60608694845,3.554783483247)); #481135=CARTESIAN_POINT('Ctrl Pts',(3.471635400432,-14.59594183577,3.591218917548)); #481136=CARTESIAN_POINT('Ctrl Pts',(3.57270714168,-14.62749243848,3.635766292074)); #481137=CARTESIAN_POINT('Ctrl Pts',(3.64829793572,-14.68308701509,3.665543757614)); #481138=CARTESIAN_POINT('Ctrl Pts',(3.70499973949,-14.76145544809,3.683824185904)); #481139=CARTESIAN_POINT('Ctrl Pts',(3.73691685821,-14.85444997963,3.688706343254)); #481140=CARTESIAN_POINT('Ctrl Pts',(3.74073126151,-14.95240163403,3.679682711564)); #481141=CARTESIAN_POINT('Ctrl Pts',(3.711912221916,-15.06065101728,3.654009116088)); #481142=CARTESIAN_POINT('Ctrl Pts',(3.664263252155,-15.12247330593,3.624522381287)); #481143=CARTESIAN_POINT('Ctrl Pts',(3.628868142707,-15.14943187523,3.604717272076)); #481144=CARTESIAN_POINT('Ctrl Pts',(3.29284949288,-14.63829044219,3.667627890958)); #481145=CARTESIAN_POINT('Ctrl Pts',(3.33207749113,-14.62121284891,3.690071965536)); #481146=CARTESIAN_POINT('Ctrl Pts',(3.404556859952,-14.61172473953,3.72892391399)); #481147=CARTESIAN_POINT('Ctrl Pts',(3.50323841819,-14.64351000063,3.776515654684)); #481148=CARTESIAN_POINT('Ctrl Pts',(3.57722465139,-14.69885332762,3.808455469824)); #481149=CARTESIAN_POINT('Ctrl Pts',(3.63303730308,-14.77662233201,3.828271028714)); #481150=CARTESIAN_POINT('Ctrl Pts',(3.66495207843,-14.86884130894,3.833928934714)); #481151=CARTESIAN_POINT('Ctrl Pts',(3.66969615106,-14.96605208368,3.824848742294)); #481152=CARTESIAN_POINT('Ctrl Pts',(3.642945209487,-15.07372707178,3.79812935971)); #481153=CARTESIAN_POINT('Ctrl Pts',(3.597425578268,-15.13558791942,3.767083506134)); #481154=CARTESIAN_POINT('Ctrl Pts',(3.563364815616,-15.16279677559,3.746120825958)); #481155=CARTESIAN_POINT('Ctrl Pts',(3.223663876082,-14.65366199924,3.800297021757)); #481156=CARTESIAN_POINT('Ctrl Pts',(3.262573590161,-14.63691274787,3.823166113769)); #481157=CARTESIAN_POINT('Ctrl Pts',(3.334359358457,-14.62788024895,3.862743508585)); #481158=CARTESIAN_POINT('Ctrl Pts',(3.4320016238,-14.65997623867,3.911270615984)); #481159=CARTESIAN_POINT('Ctrl Pts',(3.50519718843,-14.71535298538,3.943904407124)); #481160=CARTESIAN_POINT('Ctrl Pts',(3.56044882251,-14.7929563952,3.964258352634)); #481161=CARTESIAN_POINT('Ctrl Pts',(3.59212660238,-14.8848798461,3.970258448944)); #481162=CARTESIAN_POINT('Ctrl Pts',(3.59700338787,-14.98175715714,3.961293651954)); #481163=CARTESIAN_POINT('Ctrl Pts',(3.570827566684,-15.08911440619,3.934423315809)); #481164=CARTESIAN_POINT('Ctrl Pts',(3.52602681691,-15.15090594534,3.903011365613)); #481165=CARTESIAN_POINT('Ctrl Pts',(3.492445877157,-15.17816655116,3.881743887223)); #481166=CARTESIAN_POINT('Ctrl Pts',(3.154066707212,-14.66876070327,3.933897090741)); #481167=CARTESIAN_POINT('Ctrl Pts',(3.193267955477,-14.65213943805,3.95642421983)); #481168=CARTESIAN_POINT('Ctrl Pts',(3.265472431706,-14.64337366311,3.995411627737)); #481169=CARTESIAN_POINT('Ctrl Pts',(3.36342043291,-14.67589523903,4.043207076924)); #481170=CARTESIAN_POINT('Ctrl Pts',(3.4366488147,-14.73163190857,4.075337147654)); #481171=CARTESIAN_POINT('Ctrl Pts',(3.49169178203,-14.80954692224,4.095357922464)); #481172=CARTESIAN_POINT('Ctrl Pts',(3.52293391329,-14.9016923585,4.101226781984)); #481173=CARTESIAN_POINT('Ctrl Pts',(3.52718858144,-14.99866811933,4.092345282824)); #481174=CARTESIAN_POINT('Ctrl Pts',(3.500142071507,-15.10597047209,4.065814034602)); #481175=CARTESIAN_POINT('Ctrl Pts',(3.454672346106,-15.16756419589,4.034832614375)); #481176=CARTESIAN_POINT('Ctrl Pts',(3.4207215323,-15.1946549223,4.013866873489)); #481177=CARTESIAN_POINT('Ctrl Pts',(3.043824246516,-14.69225692712,4.148474429214)); #481178=CARTESIAN_POINT('Ctrl Pts',(3.083268386986,-14.67591044036,4.170742630319)); #481179=CARTESIAN_POINT('Ctrl Pts',(3.155738486312,-14.66763982088,4.209280946546)); #481180=CARTESIAN_POINT('Ctrl Pts',(3.253688813322,-14.7008104089,4.256530247037)); #481181=CARTESIAN_POINT('Ctrl Pts',(3.326670997534,-14.75701801395,4.288299409146)); #481182=CARTESIAN_POINT('Ctrl Pts',(3.381249388604,-14.83527420121,4.308105434043)); #481183=CARTESIAN_POINT('Ctrl Pts',(3.411859515942,-14.92760123505,4.313928987881)); #481184=CARTESIAN_POINT('Ctrl Pts',(3.415381297139,-15.02458641556,4.305176666438)); #481185=CARTESIAN_POINT('Ctrl Pts',(3.387449132839,-15.13170492611,4.278983870781)); #481186=CARTESIAN_POINT('Ctrl Pts',(3.341399126043,-15.19301613837,4.248379520873)); #481187=CARTESIAN_POINT('Ctrl Pts',(3.307157922309,-15.21990298466,4.227663501295)); #481188=CARTESIAN_POINT('Ctrl Pts',(2.951171058254,-14.71107495726,4.328703934242)); #481189=CARTESIAN_POINT('Ctrl Pts',(2.990186149159,-14.69516061242,4.351612146815)); #481190=CARTESIAN_POINT('Ctrl Pts',(3.061727751322,-14.68749414772,4.391246213725)); #481191=CARTESIAN_POINT('Ctrl Pts',(3.158293049962,-14.72109061138,4.439892953058)); #481192=CARTESIAN_POINT('Ctrl Pts',(3.230223066786,-14.77736244589,4.472678159767)); #481193=CARTESIAN_POINT('Ctrl Pts',(3.284053948833,-14.85542373216,4.493241765203)); #481194=CARTESIAN_POINT('Ctrl Pts',(3.314344129793,-14.9473836203,4.499503791805)); #481195=CARTESIAN_POINT('Ctrl Pts',(3.318032139681,-15.04394587307,4.490830894182)); #481196=CARTESIAN_POINT('Ctrl Pts',(3.290844982767,-15.15065266918,4.464293373206)); #481197=CARTESIAN_POINT('Ctrl Pts',(3.24572984819,-15.21186242022,4.433063184711)); #481198=CARTESIAN_POINT('Ctrl Pts',(3.212112375334,-15.23880448189,4.41185635417)); #481199=CARTESIAN_POINT('Ctrl Pts',(2.870893548286,-14.72668676277,4.472933055201)); #481200=CARTESIAN_POINT('Ctrl Pts',(2.909340793058,-14.71119784114,4.496534625963)); #481201=CARTESIAN_POINT('Ctrl Pts',(2.979723222766,-14.70407901107,4.537352156169)); #481202=CARTESIAN_POINT('Ctrl Pts',(3.074664786818,-14.73793902688,4.587524816625)); #481203=CARTESIAN_POINT('Ctrl Pts',(3.145427391091,-14.79409966298,4.621442315669)); #481204=CARTESIAN_POINT('Ctrl Pts',(3.198506078377,-14.87178278813,4.642885004436)); #481205=CARTESIAN_POINT('Ctrl Pts',(3.228590253402,-14.96321693142,4.649707413145)); #481206=CARTESIAN_POINT('Ctrl Pts',(3.232670578445,-15.05925443644,4.641227251915)); #481207=CARTESIAN_POINT('Ctrl Pts',(3.206599066928,-15.16552872433,4.614452601658)); #481208=CARTESIAN_POINT('Ctrl Pts',(3.162744386742,-15.22672888276,4.582635963676)); #481209=CARTESIAN_POINT('Ctrl Pts',(3.129944272853,-15.25382323309,4.560940010812)); #481210=CARTESIAN_POINT('',(3.183788339511,-15.21936489091,4.539663243474)); #481211=CARTESIAN_POINT('Ctrl Pts',(3.991408433962,-15.03814455584,2.897970567556)); #481212=CARTESIAN_POINT('Ctrl Pts',(3.960069897746,-15.04604308158,2.967500842333)); #481213=CARTESIAN_POINT('Ctrl Pts',(3.897014202664,-15.06237240902,3.103949620877)); #481214=CARTESIAN_POINT('Ctrl Pts',(3.818904985879,-15.08224577829,3.275589105192)); #481215=CARTESIAN_POINT('Ctrl Pts',(3.756838357046,-15.09766184741,3.413233432538)); #481216=CARTESIAN_POINT('Ctrl Pts',(3.71005214073,-15.10852872835,3.518118070823)); #481217=CARTESIAN_POINT('Ctrl Pts',(3.662155667568,-15.11896788432,3.623908597219)); #481218=CARTESIAN_POINT('Ctrl Pts',(3.59533175095,-15.13215537948,3.766401648383)); #481219=CARTESIAN_POINT('Ctrl Pts',(3.523949059909,-15.14750150054,3.902304037004)); #481220=CARTESIAN_POINT('Ctrl Pts',(3.452603439145,-15.16414747968,4.034136007819)); #481221=CARTESIAN_POINT('Ctrl Pts',(3.346680068388,-15.18792371365,4.233920583901)); #481222=CARTESIAN_POINT('Ctrl Pts',(3.258167367685,-15.20575573459,4.404015964861)); #481223=CARTESIAN_POINT('Ctrl Pts',(3.183788339511,-15.21936489091,4.539663243474)); #481224=CARTESIAN_POINT('Origin',(2.99174267647144,-15.0046484716504,4.45590572078495)); #481225=CARTESIAN_POINT('Ctrl Pts',(2.915980453359,-14.71784786061,4.390729907711)); #481226=CARTESIAN_POINT('Ctrl Pts',(2.954713991406,-14.70212878695,4.413970679908)); #481227=CARTESIAN_POINT('Ctrl Pts',(3.025688244318,-14.6947080638,4.454172034899)); #481228=CARTESIAN_POINT('Ctrl Pts',(3.121470536033,-14.72840630482,4.503551237613)); #481229=CARTESIAN_POINT('Ctrl Pts',(3.192845162953,-14.78460498363,4.536881380045)); #481230=CARTESIAN_POINT('Ctrl Pts',(3.246327796156,-14.86246872714,4.557870422896)); #481231=CARTESIAN_POINT('Ctrl Pts',(3.276538443196,-14.95416584402,4.564407034655)); #481232=CARTESIAN_POINT('Ctrl Pts',(3.280438794339,-15.05047272369,4.555834048436)); #481233=CARTESIAN_POINT('Ctrl Pts',(3.253818067524,-15.15697657113,4.529192612596)); #481234=CARTESIAN_POINT('Ctrl Pts',(3.209330129843,-15.2181928402,4.497688053857)); #481235=CARTESIAN_POINT('Ctrl Pts',(3.176117012944,-15.24521704618,4.476250032636)); #481236=CARTESIAN_POINT('Ctrl Pts',(2.876101449725,-14.72573200846,4.464601120171)); #481237=CARTESIAN_POINT('Ctrl Pts',(2.914639420141,-14.71019957745,4.488106716353)); #481238=CARTESIAN_POINT('Ctrl Pts',(2.985194617457,-14.70303339186,4.528760514788)); #481239=CARTESIAN_POINT('Ctrl Pts',(3.08035903082,-14.73689498389,4.578721113933)); #481240=CARTESIAN_POINT('Ctrl Pts',(3.151269215458,-14.79309871555,4.612479978631)); #481241=CARTESIAN_POINT('Ctrl Pts',(3.204427990538,-14.87085449948,4.633797796133)); #481242=CARTESIAN_POINT('Ctrl Pts',(3.234509423987,-14.96237262498,4.640538107693)); #481243=CARTESIAN_POINT('Ctrl Pts',(3.238500183967,-15.05848414147,4.632025607382)); #481244=CARTESIAN_POINT('Ctrl Pts',(3.212232287205,-15.16480863074,4.605276424695)); #481245=CARTESIAN_POINT('Ctrl Pts',(3.168175706548,-15.22599439154,4.573535788087)); #481246=CARTESIAN_POINT('Ctrl Pts',(3.135248393398,-15.25305607394,4.551904956959)); #481247=CARTESIAN_POINT('Ctrl Pts',(2.801498769279,-14.74015167006,4.596580100851)); #481248=CARTESIAN_POINT('Ctrl Pts',(2.839247454209,-14.72509263178,4.620997837696)); #481249=CARTESIAN_POINT('Ctrl Pts',(2.908250785131,-14.71849840402,4.663208473424)); #481250=CARTESIAN_POINT('Ctrl Pts',(3.001332816256,-14.75252708837,4.715176637324)); #481251=CARTESIAN_POINT('Ctrl Pts',(3.070805261844,-14.80846406098,4.750425580052)); #481252=CARTESIAN_POINT('Ctrl Pts',(3.123109676576,-14.88564433408,4.772901041937)); #481253=CARTESIAN_POINT('Ctrl Pts',(3.15307545531,-14.97643861853,4.780380779485)); #481254=CARTESIAN_POINT('Ctrl Pts',(3.157740899457,-15.07187142394,4.772125499853)); #481255=CARTESIAN_POINT('Ctrl Pts',(3.133112006394,-15.1776840559,4.745070733223)); #481256=CARTESIAN_POINT('Ctrl Pts',(3.090811465746,-15.23892685787,4.712565183571)); #481257=CARTESIAN_POINT('Ctrl Pts',(3.059005071246,-15.26623477886,4.690295496013)); #481258=CARTESIAN_POINT('Ctrl Pts',(2.688923528679,-14.76107043593,4.787776839277)); #481259=CARTESIAN_POINT('Ctrl Pts',(2.726946277254,-14.74627095696,4.811904334092)); #481260=CARTESIAN_POINT('Ctrl Pts',(2.796272549156,-14.74014183059,4.853612380164)); #481261=CARTESIAN_POINT('Ctrl Pts',(2.88943463732,-14.77477949597,4.904965648624)); #481262=CARTESIAN_POINT('Ctrl Pts',(2.95871811003,-14.83115983918,4.939803009641)); #481263=CARTESIAN_POINT('Ctrl Pts',(3.01059823865,-14.90866447653,4.962024946467)); #481264=CARTESIAN_POINT('Ctrl Pts',(3.03994893281,-14.99963649343,4.969435576661)); #481265=CARTESIAN_POINT('Ctrl Pts',(3.04387062371,-15.09508793425,4.961302927766)); #481266=CARTESIAN_POINT('Ctrl Pts',(3.0183090126,-15.20074059228,4.934600599535)); #481267=CARTESIAN_POINT('Ctrl Pts',(2.975365440395,-15.26172598603,4.902501519602)); #481268=CARTESIAN_POINT('Ctrl Pts',(2.943223202123,-15.28884539899,4.880505474907)); #481269=CARTESIAN_POINT('Ctrl Pts',(2.587494671826,-14.77922378043,4.968444983003)); #481270=CARTESIAN_POINT('Ctrl Pts',(2.626641081765,-14.76440612921,4.991300163003)); #481271=CARTESIAN_POINT('Ctrl Pts',(2.697849884374,-14.75850352196,5.030825944409)); #481272=CARTESIAN_POINT('Ctrl Pts',(2.79299414437,-14.79397821669,5.079414380593)); #481273=CARTESIAN_POINT('Ctrl Pts',(2.86326601744,-14.85132617274,5.112265995022)); #481274=CARTESIAN_POINT('Ctrl Pts',(2.9152450294,-14.92988646074,5.133043218778)); #481275=CARTESIAN_POINT('Ctrl Pts',(2.94373480736,-15.02180658554,5.139668215351)); #481276=CARTESIAN_POINT('Ctrl Pts',(2.94588745884,-15.11789804385,5.131478637131)); #481277=CARTESIAN_POINT('Ctrl Pts',(2.917400950056,-15.2237338601,5.105578235279)); #481278=CARTESIAN_POINT('Ctrl Pts',(2.871886684405,-15.28421951209,5.074784672565)); #481279=CARTESIAN_POINT('Ctrl Pts',(2.838224204544,-15.31078646321,5.05378162889)); #481280=CARTESIAN_POINT('Ctrl Pts',(2.492255982916,-14.79561265126,5.152353403432)); #481281=CARTESIAN_POINT('Ctrl Pts',(2.532414813405,-14.78080277321,5.173951406385)); #481282=CARTESIAN_POINT('Ctrl Pts',(2.605313460852,-14.77514776844,5.211317402935)); #481283=CARTESIAN_POINT('Ctrl Pts',(2.70221067878,-14.81143221035,5.257185451518)); #481284=CARTESIAN_POINT('Ctrl Pts',(2.77332667316,-14.8696894069,5.28810529662)); #481285=CARTESIAN_POINT('Ctrl Pts',(2.82533213847,-14.94922177194,5.307511077687)); #481286=CARTESIAN_POINT('Ctrl Pts',(2.85297315459,-15.04199565614,5.313441729722)); #481287=CARTESIAN_POINT('Ctrl Pts',(2.85345761928,-15.13863926436,5.305298156366)); #481288=CARTESIAN_POINT('Ctrl Pts',(2.822261245945,-15.24458406852,5.280320505997)); #481289=CARTESIAN_POINT('Ctrl Pts',(2.774401474983,-15.30455914653,5.250904085668)); #481290=CARTESIAN_POINT('Ctrl Pts',(2.739366706869,-15.33058906276,5.230922533351)); #481291=CARTESIAN_POINT('Ctrl Pts',(2.403118314525,-14.81038173919,5.33963733662)); #481292=CARTESIAN_POINT('Ctrl Pts',(2.444271996408,-14.79558136794,5.359851402025)); #481293=CARTESIAN_POINT('Ctrl Pts',(2.518833544161,-14.79016430599,5.394835786968)); #481294=CARTESIAN_POINT('Ctrl Pts',(2.61746002155,-14.82721769638,5.437722608932)); #481295=CARTESIAN_POINT('Ctrl Pts',(2.68941306039,-14.88633432475,5.46655115938)); #481296=CARTESIAN_POINT('Ctrl Pts',(2.74145410323,-14.96678056269,5.484512054564)); #481297=CARTESIAN_POINT('Ctrl Pts',(2.76827362355,-15.06034997428,5.489772445535)); #481298=CARTESIAN_POINT('Ctrl Pts',(2.7671358476,-15.15749683452,5.481795778336)); #481299=CARTESIAN_POINT('Ctrl Pts',(2.733303661924,-15.2635152426,5.457979941617)); #481300=CARTESIAN_POINT('Ctrl Pts',(2.683166247088,-15.32298219952,5.430174108241)); #481301=CARTESIAN_POINT('Ctrl Pts',(2.646802394386,-15.34848970198,5.411358741611)); #481302=CARTESIAN_POINT('Ctrl Pts',(2.333318495245,-14.82151256988,5.497391569759)); #481303=CARTESIAN_POINT('Ctrl Pts',(2.374909368086,-14.80682178354,5.516943853037)); #481304=CARTESIAN_POINT('Ctrl Pts',(2.450151899938,-14.80167424121,5.550786638078)); #481305=CARTESIAN_POINT('Ctrl Pts',(2.54938899329,-14.83922954228,5.592256973983)); #481306=CARTESIAN_POINT('Ctrl Pts',(2.62154950516,-14.89880742681,5.620109282711)); #481307=CARTESIAN_POINT('Ctrl Pts',(2.67343786109,-14.97967978142,5.63742286143)); #481308=CARTESIAN_POINT('Ctrl Pts',(2.69974357225,-15.07357071736,5.642425722412)); #481309=CARTESIAN_POINT('Ctrl Pts',(2.69777510787,-15.17087161118,5.634606133159)); #481310=CARTESIAN_POINT('Ctrl Pts',(2.662703310774,-15.2768196402,5.611441849031)); #481311=CARTESIAN_POINT('Ctrl Pts',(2.611562699991,-15.33598889783,5.584466888579)); #481312=CARTESIAN_POINT('Ctrl Pts',(2.57463192634,-15.36123221397,5.566234930731)); #481313=CARTESIAN_POINT('Ctrl Pts',(2.278504318571,-14.8300130092,5.623714201177)); #481314=CARTESIAN_POINT('Ctrl Pts',(2.320243426438,-14.81545944237,5.643065821076)); #481315=CARTESIAN_POINT('Ctrl Pts',(2.39566879932,-14.81056500873,5.676561548932)); #481316=CARTESIAN_POINT('Ctrl Pts',(2.49496713312,-14.84846415689,5.717605387624)); #481317=CARTESIAN_POINT('Ctrl Pts',(2.56703973042,-14.90829878732,5.745169523591)); #481318=CARTESIAN_POINT('Ctrl Pts',(2.61870746455,-14.98936259626,5.762301153675)); #481319=CARTESIAN_POINT('Ctrl Pts',(2.64468145878,-15.08335862741,5.767246527419)); #481320=CARTESIAN_POINT('Ctrl Pts',(2.6423036101,-15.18066578361,5.759499671575)); #481321=CARTESIAN_POINT('Ctrl Pts',(2.606712091664,-15.28650289682,5.736563815495)); #481322=CARTESIAN_POINT('Ctrl Pts',(2.555210854648,-15.34549562487,5.709859860833)); #481323=CARTESIAN_POINT('Ctrl Pts',(2.518093038711,-15.37060795036,5.691812575094)); #481324=CARTESIAN_POINT('Ctrl Pts',(2.237915367793,-14.83621274786,5.719548121782)); #481325=CARTESIAN_POINT('Ctrl Pts',(2.280138779002,-14.821662681,5.738145593459)); #481326=CARTESIAN_POINT('Ctrl Pts',(2.356377444577,-14.81688498365,5.770342011728)); #481327=CARTESIAN_POINT('Ctrl Pts',(2.45652313715,-14.8551644179,5.809766266711)); #481328=CARTESIAN_POINT('Ctrl Pts',(2.52900474263,-14.915423781,5.836203820397)); #481329=CARTESIAN_POINT('Ctrl Pts',(2.58068597989,-14.99693734573,5.852572028419)); #481330=CARTESIAN_POINT('Ctrl Pts',(2.60625052034,-15.09132109186,5.857186858832)); #481331=CARTESIAN_POINT('Ctrl Pts',(2.6030692078,-15.18886670384,5.849573763001)); #481332=CARTESIAN_POINT('Ctrl Pts',(2.56617738977,-15.29472207106,5.827324645121)); #481333=CARTESIAN_POINT('Ctrl Pts',(2.513558753783,-15.3534459079,5.801532900366)); #481334=CARTESIAN_POINT('Ctrl Pts',(2.475792796052,-15.37828868271,5.784136205801)); #481335=CARTESIAN_POINT('Ctrl Pts',(2.193114822965,-14.8429483527,5.832354812686)); #481336=CARTESIAN_POINT('Ctrl Pts',(2.236165984434,-14.82832880165,5.849557997457)); #481337=CARTESIAN_POINT('Ctrl Pts',(2.313832818183,-14.82363081154,5.879351173812)); #481338=CARTESIAN_POINT('Ctrl Pts',(2.415536521298,-14.86243589875,5.915784579179)); #481339=CARTESIAN_POINT('Ctrl Pts',(2.488831740429,-14.9233521234,5.94014839742)); #481340=CARTESIAN_POINT('Ctrl Pts',(2.540652639321,-15.00560422239,5.955121975012)); #481341=CARTESIAN_POINT('Ctrl Pts',(2.565619811961,-15.10065505553,5.959150147885)); #481342=CARTESIAN_POINT('Ctrl Pts',(2.561141894739,-15.19863847163,5.951814706819)); #481343=CARTESIAN_POINT('Ctrl Pts',(2.522080154602,-15.30457725116,5.930873626867)); #481344=CARTESIAN_POINT('Ctrl Pts',(2.467556543154,-15.36288160303,5.906793607431)); #481345=CARTESIAN_POINT('Ctrl Pts',(2.42867729301,-15.38728300988,5.890611090719)); #481346=CARTESIAN_POINT('Ctrl Pts',(2.164163335493,-14.84722248466,5.914957463033)); #481347=CARTESIAN_POINT('Ctrl Pts',(2.208031721838,-14.83248838414,5.930581570664)); #481348=CARTESIAN_POINT('Ctrl Pts',(2.28713293527,-14.82779701398,5.957649749291)); #481349=CARTESIAN_POINT('Ctrl Pts',(2.390439225809,-14.8670482699,5.990706683325)); #481350=CARTESIAN_POINT('Ctrl Pts',(2.464600206114,-14.92857365551,6.01275009026)); #481351=CARTESIAN_POINT('Ctrl Pts',(2.51661653828,-15.01153803453,6.026195877339)); #481352=CARTESIAN_POINT('Ctrl Pts',(2.541037480264,-15.1072488802,6.0296343673)); #481353=CARTESIAN_POINT('Ctrl Pts',(2.535304499858,-15.2056775819,6.022705230724)); #481354=CARTESIAN_POINT('Ctrl Pts',(2.494108808468,-15.31171881347,6.003359985962)); #481355=CARTESIAN_POINT('Ctrl Pts',(2.437697324867,-15.36962074722,5.981291387841)); #481356=CARTESIAN_POINT('Ctrl Pts',(2.397714849904,-15.39358996761,5.966515176938)); #481357=CARTESIAN_POINT('Ctrl Pts',(2.148623950814,-14.84949307159,5.965068892498)); #481358=CARTESIAN_POINT('Ctrl Pts',(2.192926636953,-14.83469931081,5.979723987667)); #481359=CARTESIAN_POINT('Ctrl Pts',(2.272790774052,-14.83001587524,6.005117876328)); #481360=CARTESIAN_POINT('Ctrl Pts',(2.37694462618,-14.86951439975,6.036109700964)); #481361=CARTESIAN_POINT('Ctrl Pts',(2.451556488534,-14.931373224,6.056747154218)); #481362=CARTESIAN_POINT('Ctrl Pts',(2.503660890331,-15.01472443669,6.06928830991)); #481363=CARTESIAN_POINT('Ctrl Pts',(2.527770034082,-15.11079031192,6.072412336294)); #481364=CARTESIAN_POINT('Ctrl Pts',(2.521345490187,-15.20945362708,6.065790452699)); #481365=CARTESIAN_POINT('Ctrl Pts',(2.478988325179,-15.31553796518,6.047496017412)); #481366=CARTESIAN_POINT('Ctrl Pts',(2.421560399379,-15.37320985342,6.026706347203)); #481367=CARTESIAN_POINT('Ctrl Pts',(2.380988922325,-15.39693760304,6.012811602551)); #481368=CARTESIAN_POINT('',(2.435552945325,-15.36610199729,5.982654745818)); #481369=CARTESIAN_POINT('Ctrl Pts',(3.18378833951114,-15.2193648909102, 4.53966324347406)); #481370=CARTESIAN_POINT('Ctrl Pts',(3.14993042720945,-15.2255606989439, 4.60141200747786)); #481371=CARTESIAN_POINT('Ctrl Pts',(3.11530568454627,-15.231342131271,4.66342948802586)); #481372=CARTESIAN_POINT('Ctrl Pts',(3.08011328680993,-15.237781277161,4.72381407572051)); #481373=CARTESIAN_POINT('Ctrl Pts',(3.06251708794104,-15.2410008501062, 4.75400636956906)); #481374=CARTESIAN_POINT('Ctrl Pts',(3.04477932909182,-15.2443852425516, 4.7837906076886)); #481375=CARTESIAN_POINT('Ctrl Pts',(3.02705092550044,-15.247874628595,4.81328982390631)); #481376=CARTESIAN_POINT('Ctrl Pts',(3.00932252190905,-15.2513640146383, 4.84278904012402)); #481377=CARTESIAN_POINT('Ctrl Pts',(2.99160342096885,-15.254958336595,4.87200320848544)); #481378=CARTESIAN_POINT('Ctrl Pts',(2.97404443858171,-15.2585976564069, 4.90105531121617)); #481379=CARTESIAN_POINT('Ctrl Pts',(2.93892647380741,-15.2658762960308, 4.95915951667768)); #481380=CARTESIAN_POINT('Ctrl Pts',(2.90444922484505,-15.2733351926001, 5.01661557745668)); #481381=CARTESIAN_POINT('Ctrl Pts',(2.87096484917821,-15.2804444019899, 5.07470561961628)); #481382=CARTESIAN_POINT('Ctrl Pts',(2.83748047351136,-15.2875536113796, 5.13279566177588)); #481383=CARTESIAN_POINT('Ctrl Pts',(2.8049887119439,-15.2943128400653,5.19151956830458)); #481384=CARTESIAN_POINT('Ctrl Pts',(2.77354088289732,-15.30075082437,5.25077009724822)); #481385=CARTESIAN_POINT('Ctrl Pts',(2.74209305385076,-15.3071888086748, 5.31002062619181)); #481386=CARTESIAN_POINT('Ctrl Pts',(2.71168924384471,-15.3133056501217, 5.36979781215218)); #481387=CARTESIAN_POINT('Ctrl Pts',(2.68216826079417,-15.3189613893721, 5.4305493629602)); #481388=CARTESIAN_POINT('Ctrl Pts',(2.65264727774361,-15.3246171286226, 5.49130091376827)); #481389=CARTESIAN_POINT('Ctrl Pts',(2.62400907773096,-15.3298117139522, 5.55302681251795)); #481390=CARTESIAN_POINT('Ctrl Pts',(2.59568687961669,-15.3347057106165, 5.61540346181327)); #481391=CARTESIAN_POINT('Ctrl Pts',(2.58152578055949,-15.3371527089487, 5.64659178646105)); #481392=CARTESIAN_POINT('Ctrl Pts',(2.56744369683694,-15.339524577349,5.67794280477005)); #481393=CARTESIAN_POINT('Ctrl Pts',(2.55345607068627,-15.3420358408558, 5.70889977556772)); #481394=CARTESIAN_POINT('Ctrl Pts',(2.53946844453574,-15.3445471043626, 5.73985674636509)); #481395=CARTESIAN_POINT('Ctrl Pts',(2.52557515860321,-15.3471976250387, 5.77041962198356)); #481396=CARTESIAN_POINT('Ctrl Pts',(2.51203379310441,-15.3498503968944, 5.8008681103184)); #481397=CARTESIAN_POINT('Ctrl Pts',(2.50526311035493,-15.3511767828223, 5.81609235448599)); #481398=CARTESIAN_POINT('Ctrl Pts',(2.49858046496456,-15.3525037999778, 5.8312880244883)); #481399=CARTESIAN_POINT('Ctrl Pts',(2.49198693261866,-15.3538441878989, 5.84645488405127)); #481400=CARTESIAN_POINT('Ctrl Pts',(2.48539340027277,-15.35518457582,5.86162174361425)); #481401=CARTESIAN_POINT('Ctrl Pts',(2.47888899392094,-15.3565383514652, 5.87675979529829)); #481402=CARTESIAN_POINT('Ctrl Pts',(2.47247435588991,-15.357917725484,5.89186864991188)); #481403=CARTESIAN_POINT('Ctrl Pts',(2.46605971785962,-15.3592970995026, 5.90697750452373)); #481404=CARTESIAN_POINT('Ctrl Pts',(2.45973472024834,-15.3607019152301, 5.92205711853441)); #481405=CARTESIAN_POINT('Ctrl Pts',(2.45356057025454,-15.3620823463924, 5.93716793082112)); #481406=CARTESIAN_POINT('Ctrl Pts',(2.44738642026074,-15.3634627775547, 5.95227874310783)); #481407=CARTESIAN_POINT('Ctrl Pts',(2.44136311449979,-15.3648188143063, 5.96742075932143)); #481408=CARTESIAN_POINT('Ctrl Pts',(2.43555294532477,-15.3661019972897, 5.98265474581794)); #481409=CARTESIAN_POINT('Origin',(2.2531966704401,-15.1331789378312,5.93272442054079)); #481410=CARTESIAN_POINT('Ctrl Pts',(2.181389774987,-14.84458482334,5.868700033472)); #481411=CARTESIAN_POINT('Ctrl Pts',(2.224655858508,-14.83004928991,5.88517918745)); #481412=CARTESIAN_POINT('Ctrl Pts',(2.302746371146,-14.82543193662,5.913746344972)); #481413=CARTESIAN_POINT('Ctrl Pts',(2.40496332471,-14.86436774095,5.94869253456)); #481414=CARTESIAN_POINT('Ctrl Pts',(2.478615328455,-14.92553880945,5.972071222953)); #481415=CARTESIAN_POINT('Ctrl Pts',(2.530514104562,-15.00808795326,5.986398926768)); #481416=CARTESIAN_POINT('Ctrl Pts',(2.555250911189,-15.10341318716,5.990182337095)); #481417=CARTESIAN_POINT('Ctrl Pts',(2.55024847075,-15.20158067894,5.983027355548)); #481418=CARTESIAN_POINT('Ctrl Pts',(2.510278491735,-15.30761020252,5.962765048594)); #481419=CARTESIAN_POINT('Ctrl Pts',(2.454915323127,-15.36577169032,5.939525447448)); #481420=CARTESIAN_POINT('Ctrl Pts',(2.415520859845,-15.38999484895,5.923922793623)); #481421=CARTESIAN_POINT('Ctrl Pts',(2.150464708819,-14.84917107017,5.954539604745)); #481422=CARTESIAN_POINT('Ctrl Pts',(2.194648551015,-14.83450199506,5.969257756822)); #481423=CARTESIAN_POINT('Ctrl Pts',(2.274353390353,-14.8298825581,5.994783455979)); #481424=CARTESIAN_POINT('Ctrl Pts',(2.378381410368,-14.86930761093,6.025957042166)); #481425=CARTESIAN_POINT('Ctrl Pts',(2.453018451571,-14.93115640973,6.046738002917)); #481426=CARTESIAN_POINT('Ctrl Pts',(2.505148571403,-15.01450267084,6.059353299877)); #481427=CARTESIAN_POINT('Ctrl Pts',(2.529281382992,-15.1105698687,6.062472453112)); #481428=CARTESIAN_POINT('Ctrl Pts',(2.522875290191,-15.20924123704,6.055766531582)); #481429=CARTESIAN_POINT('Ctrl Pts',(2.480509430762,-15.31539289984,6.037283747035)); #481430=CARTESIAN_POINT('Ctrl Pts',(2.423021042424,-15.37310800411,6.016292573448)); #481431=CARTESIAN_POINT('Ctrl Pts',(2.382382863599,-15.39684828833,6.00226392072)); #481432=CARTESIAN_POINT('Ctrl Pts',(2.11530729935,-14.85425825389,6.076889684819)); #481433=CARTESIAN_POINT('Ctrl Pts',(2.160293140253,-14.83950193845,6.089608601406)); #481434=CARTESIAN_POINT('Ctrl Pts',(2.241399290033,-14.83493904533,6.111674014812)); #481435=CARTESIAN_POINT('Ctrl Pts',(2.346959473163,-14.87487779117,6.138589521316)); #481436=CARTESIAN_POINT('Ctrl Pts',(2.422385154837,-14.93738557884,6.156486266561)); #481437=CARTESIAN_POINT('Ctrl Pts',(2.474618752454,-15.02147685827,6.167276201122)); #481438=CARTESIAN_POINT('Ctrl Pts',(2.498106888365,-15.11821245173,6.169812013603)); #481439=CARTESIAN_POINT('Ctrl Pts',(2.490353107073,-15.21730849915,6.163824270839)); #481440=CARTESIAN_POINT('Ctrl Pts',(2.445768247241,-15.32350386348,6.147618546296)); #481441=CARTESIAN_POINT('Ctrl Pts',(2.386368040917,-15.38074697179,6.129339340603)); #481442=CARTESIAN_POINT('Ctrl Pts',(2.344632990278,-15.40400789487,6.117163029944)); #481443=CARTESIAN_POINT('Ctrl Pts',(2.079752419455,-14.85926480661,6.216194098316)); #481444=CARTESIAN_POINT('Ctrl Pts',(2.124943631638,-14.84457585463,6.228413980432)); #481445=CARTESIAN_POINT('Ctrl Pts',(2.206367521249,-14.84017732608,6.249615073554)); #481446=CARTESIAN_POINT('Ctrl Pts',(2.31219185813,-14.8804180952,6.27546970125)); #481447=CARTESIAN_POINT('Ctrl Pts',(2.38767754486,-14.94320000003,6.292651687386)); #481448=CARTESIAN_POINT('Ctrl Pts',(2.4397830534,-15.02753860603,6.302995401029)); #481449=CARTESIAN_POINT('Ctrl Pts',(2.46295828968,-15.12445161626,6.305399036539)); #481450=CARTESIAN_POINT('Ctrl Pts',(2.45473552789,-15.2236169995,6.299606750732)); #481451=CARTESIAN_POINT('Ctrl Pts',(2.409480850194,-15.32973545032,6.283988982738)); #481452=CARTESIAN_POINT('Ctrl Pts',(2.349564729265,-15.38677078924,6.266398331081)); #481453=CARTESIAN_POINT('Ctrl Pts',(2.30755034896,-15.40985637995,6.254688773706)); #481454=CARTESIAN_POINT('Ctrl Pts',(2.061949110516,-14.86173910446,6.286119080847)); #481455=CARTESIAN_POINT('Ctrl Pts',(2.107103342907,-14.84711963794,6.298475364161)); #481456=CARTESIAN_POINT('Ctrl Pts',(2.188432309273,-14.84282649361,6.319912420397)); #481457=CARTESIAN_POINT('Ctrl Pts',(2.29409300905,-14.88316350168,6.346057970685)); #481458=CARTESIAN_POINT('Ctrl Pts',(2.3694405626,-14.94598706728,6.36343779819)); #481459=CARTESIAN_POINT('Ctrl Pts',(2.42143196675,-15.03032779375,6.3739079802)); #481460=CARTESIAN_POINT('Ctrl Pts',(2.44453411113,-15.12721099035,6.376354171944)); #481461=CARTESIAN_POINT('Ctrl Pts',(2.43628918937,-15.22632679896,6.370516215159)); #481462=CARTESIAN_POINT('Ctrl Pts',(2.391069962458,-15.33238117807,6.354747124409)); #481463=CARTESIAN_POINT('Ctrl Pts',(2.331226866847,-15.38938012992,6.336973852143)); #481464=CARTESIAN_POINT('Ctrl Pts',(2.289265557406,-15.41245382898,6.325138836519)); #481465=CARTESIAN_POINT('Ctrl Pts',(2.034842737097,-14.86547374981,6.390482009876)); #481466=CARTESIAN_POINT('Ctrl Pts',(2.07978732358,-14.85099841694,6.403428561505)); #481467=CARTESIAN_POINT('Ctrl Pts',(2.160693605487,-14.84689008556,6.425886813275)); #481468=CARTESIAN_POINT('Ctrl Pts',(2.26578081096,-14.88731352459,6.453291047543)); #481469=CARTESIAN_POINT('Ctrl Pts',(2.34073285445,-14.9500968145,6.471526333835)); #481470=CARTESIAN_POINT('Ctrl Pts',(2.39249369632,-15.03430899567,6.482542563218)); #481471=CARTESIAN_POINT('Ctrl Pts',(2.41557285688,-15.13101843616,6.485171179306)); #481472=CARTESIAN_POINT('Ctrl Pts',(2.40752306082,-15.2299675235,6.479133547111)); #481473=CARTESIAN_POINT('Ctrl Pts',(2.362759027472,-15.33589645677,6.462707258618)); #481474=CARTESIAN_POINT('Ctrl Pts',(2.303387222992,-15.39291281557,6.444142457319)); #481475=CARTESIAN_POINT('Ctrl Pts',(2.261717141217,-15.41605026154,6.431764166977)); #481476=CARTESIAN_POINT('Ctrl Pts',(2.001650673931,-14.87000922177,6.514005793298)); #481477=CARTESIAN_POINT('Ctrl Pts',(2.047201579739,-14.85549405548,6.52555742441)); #481478=CARTESIAN_POINT('Ctrl Pts',(2.129155392586,-14.85147051404,6.545602637647)); #481479=CARTESIAN_POINT('Ctrl Pts',(2.23536820727,-14.89232578197,6.570031516133)); #481480=CARTESIAN_POINT('Ctrl Pts',(2.31088368204,-14.95563067427,6.586242992968)); #481481=CARTESIAN_POINT('Ctrl Pts',(2.36269146291,-15.04041468416,6.595964777858)); #481482=CARTESIAN_POINT('Ctrl Pts',(2.38525847871,-15.13762457409,6.598156451638)); #481483=CARTESIAN_POINT('Ctrl Pts',(2.37617467125,-15.23687956686,6.592583490294)); #481484=CARTESIAN_POINT('Ctrl Pts',(2.329727477217,-15.34281677816,6.577701265107)); #481485=CARTESIAN_POINT('Ctrl Pts',(2.268915533182,-15.39945701363,6.561001195927)); #481486=CARTESIAN_POINT('Ctrl Pts',(2.226420706126,-15.42222214007,6.549904243571)); #481487=CARTESIAN_POINT('Ctrl Pts',(1.974234420087,-14.87373440512,6.66120079782)); #481488=CARTESIAN_POINT('Ctrl Pts',(2.021011455645,-14.85899846457,6.668577972219)); #481489=CARTESIAN_POINT('Ctrl Pts',(2.105156917312,-14.85495079591,6.681387561628)); #481490=CARTESIAN_POINT('Ctrl Pts',(2.21381635253,-14.89654084448,6.696960789179)); #481491=CARTESIAN_POINT('Ctrl Pts',(2.29061463356,-14.96087535727,6.707241929912)); #481492=CARTESIAN_POINT('Ctrl Pts',(2.34261668929,-15.04686546778,6.713319907359)); #481493=CARTESIAN_POINT('Ctrl Pts',(2.36417781739,-15.14517881042,6.714533527872)); #481494=CARTESIAN_POINT('Ctrl Pts',(2.35295817274,-15.24514497899,6.71075086868)); #481495=CARTESIAN_POINT('Ctrl Pts',(2.302986729436,-15.35116211052,6.700977526996)); #481496=CARTESIAN_POINT('Ctrl Pts',(2.239151602953,-15.40701472947,6.690154403898)); #481497=CARTESIAN_POINT('Ctrl Pts',(2.194939573283,-15.42897622177,6.683009138526)); #481498=CARTESIAN_POINT('Ctrl Pts',(1.964149726501,-14.8751184189,6.754945068072)); #481499=CARTESIAN_POINT('Ctrl Pts',(2.011620416404,-14.86021903401,6.758506513506)); #481500=CARTESIAN_POINT('Ctrl Pts',(2.097030410269,-14.85614589182,6.764693777806)); #481501=CARTESIAN_POINT('Ctrl Pts',(2.20709226989,-14.89825401256,6.772201050464)); #481502=CARTESIAN_POINT('Ctrl Pts',(2.28459021732,-14.96332024886,6.777136030866)); #481503=CARTESIAN_POINT('Ctrl Pts',(2.33661418776,-15.05016755124,6.780018779666)); #481504=CARTESIAN_POINT('Ctrl Pts',(2.357451414,-15.14926085749,6.780531495888)); #481505=CARTESIAN_POINT('Ctrl Pts',(2.34481441544,-15.24971999462,6.77861769453)); #481506=CARTESIAN_POINT('Ctrl Pts',(2.292575966164,-15.3557671081,6.773794514921)); #481507=CARTESIAN_POINT('Ctrl Pts',(2.226853303539,-15.41103018749,6.768508684927)); #481508=CARTESIAN_POINT('Ctrl Pts',(2.181597125292,-15.43240078115,6.765037309153)); #481509=CARTESIAN_POINT('Ctrl Pts',(1.961981623617,-14.87544113721,6.830024869989)); #481510=CARTESIAN_POINT('Ctrl Pts',(2.009736715096,-14.86043729177,6.830068869092)); #481511=CARTESIAN_POINT('Ctrl Pts',(2.095691253106,-14.85636765772,6.830145636225)); #481512=CARTESIAN_POINT('Ctrl Pts',(2.20633095446,-14.89887264924,6.830237273944)); #481513=CARTESIAN_POINT('Ctrl Pts',(2.28405660026,-14.9644785731,6.830295366324)); #481514=CARTESIAN_POINT('Ctrl Pts',(2.33594440383,-15.05194566443,6.830325774023)); #481515=CARTESIAN_POINT('Ctrl Pts',(2.35624946852,-15.15159125827,6.830324723823)); #481516=CARTESIAN_POINT('Ctrl Pts',(2.34272451746,-15.25238395762,6.830292261707)); #481517=CARTESIAN_POINT('Ctrl Pts',(2.289161939529,-15.35841549737,6.830222171192)); #481518=CARTESIAN_POINT('Ctrl Pts',(2.222410478072,-15.41322041631,6.830150859858)); #481519=CARTESIAN_POINT('Ctrl Pts',(2.176619528269,-15.43414577846,6.830105867622)); #481520=CARTESIAN_POINT('Ctrl Pts',(1.963613148576,-14.87525456447,6.904835167853)); #481521=CARTESIAN_POINT('Ctrl Pts',(2.011434257731,-14.86017808348,6.901553432868)); #481522=CARTESIAN_POINT('Ctrl Pts',(2.097548800564,-14.85613010122,6.895850216829)); #481523=CARTESIAN_POINT('Ctrl Pts',(2.2083260567,-14.89897492503,6.88893894718)); #481524=CARTESIAN_POINT('Ctrl Pts',(2.28602774706,-14.96502643315,6.884408124541)); #481525=CARTESIAN_POINT('Ctrl Pts',(2.33769564601,-15.05299565354,6.881781777904)); #481526=CARTESIAN_POINT('Ctrl Pts',(2.35757153054,-15.15308035352,6.881351854215)); #481527=CARTESIAN_POINT('Ctrl Pts',(2.34344113945,-15.25412771528,6.883166099234)); #481528=CARTESIAN_POINT('Ctrl Pts',(2.289055779616,-15.3601224658,6.887670860357)); #481529=CARTESIAN_POINT('Ctrl Pts',(2.221729931392,-15.41453667513,6.892576005153)); #481530=CARTESIAN_POINT('Ctrl Pts',(2.175672447592,-15.43509075194,6.895786752411)); #481531=CARTESIAN_POINT('Ctrl Pts',(1.975805743383,-14.87367771403,7.03481108749)); #481532=CARTESIAN_POINT('Ctrl Pts',(2.023265752543,-14.85854152185,7.026337876916)); #481533=CARTESIAN_POINT('Ctrl Pts',(2.10882105231,-14.85456317054,7.011607587049)); #481534=CARTESIAN_POINT('Ctrl Pts',(2.21888606411,-14.89785960672,6.993780034655)); #481535=CARTESIAN_POINT('Ctrl Pts',(2.29601154054,-14.96446711104,6.982125451202)); #481536=CARTESIAN_POINT('Ctrl Pts',(2.34713573842,-15.05304132839,6.975423403399)); #481537=CARTESIAN_POINT('Ctrl Pts',(2.36651675127,-15.15363623648,6.974425206629)); #481538=CARTESIAN_POINT('Ctrl Pts',(2.35197873026,-15.25495540345,6.97924264776)); #481539=CARTESIAN_POINT('Ctrl Pts',(2.297286974081,-15.36085170702,6.991031555825)); #481540=CARTESIAN_POINT('Ctrl Pts',(2.229967113453,-15.4147515163,7.003785627259)); #481541=CARTESIAN_POINT('Ctrl Pts',(2.18403344684,-15.43483445338,7.012106090541)); #481542=CARTESIAN_POINT('Ctrl Pts',(2.015574692333,-14.86839003239,7.217731322823)); #481543=CARTESIAN_POINT('Ctrl Pts',(2.061837463965,-14.85325267302,7.204021300607)); #481544=CARTESIAN_POINT('Ctrl Pts',(2.145396540626,-14.84935955349,7.180181389696)); #481545=CARTESIAN_POINT('Ctrl Pts',(2.25313448782,-14.89294328708,7.151354799574)); #481546=CARTESIAN_POINT('Ctrl Pts',(2.32876679759,-14.95986761034,7.132546782628)); #481547=CARTESIAN_POINT('Ctrl Pts',(2.37903445021,-15.04876188779,7.121792178189)); #481548=CARTESIAN_POINT('Ctrl Pts',(2.39826843414,-15.14960247526,7.120303682653)); #481549=CARTESIAN_POINT('Ctrl Pts',(2.38430059101,-15.25101812723,7.128248921708)); #481550=CARTESIAN_POINT('Ctrl Pts',(2.331045511875,-15.35678483057,7.147501475964)); #481551=CARTESIAN_POINT('Ctrl Pts',(2.265319766765,-15.41034414583,7.168237473067)); #481552=CARTESIAN_POINT('Ctrl Pts',(2.220454736775,-15.43013702208,7.181733473021)); #481553=CARTESIAN_POINT('Ctrl Pts',(2.070482600686,-14.86083955728,7.391700601873)); #481554=CARTESIAN_POINT('Ctrl Pts',(2.115944484261,-14.84562756909,7.375686105132)); #481555=CARTESIAN_POINT('Ctrl Pts',(2.198202921166,-14.8416289908,7.347837071435)); #481556=CARTESIAN_POINT('Ctrl Pts',(2.30453138942,-14.88513619863,7.314171699689)); #481557=CARTESIAN_POINT('Ctrl Pts',(2.37935837221,-14.95204467321,7.29221935399)); #481558=CARTESIAN_POINT('Ctrl Pts',(2.42930291584,-15.0409629641,7.27968782772)); #481559=CARTESIAN_POINT('Ctrl Pts',(2.44872375231,-15.14184910472,7.277992614535)); #481560=CARTESIAN_POINT('Ctrl Pts',(2.43542820557,-15.24330899561,7.287324932714)); #481561=CARTESIAN_POINT('Ctrl Pts',(2.383439401515,-15.34909919991,7.309874393074)); #481562=CARTESIAN_POINT('Ctrl Pts',(2.318929018884,-15.40263110193,7.334129704472)); #481563=CARTESIAN_POINT('Ctrl Pts',(2.274824774441,-15.42238608644,7.349905379114)); #481564=CARTESIAN_POINT('Ctrl Pts',(2.120593307955,-14.8537659438,7.542959714765)); #481565=CARTESIAN_POINT('Ctrl Pts',(2.166018249362,-14.83838593355,7.526880953165)); #481566=CARTESIAN_POINT('Ctrl Pts',(2.248297759122,-14.83411692896,7.498918527321)); #481567=CARTESIAN_POINT('Ctrl Pts',(2.354802900384,-14.87734297183,7.46512359657)); #481568=CARTESIAN_POINT('Ctrl Pts',(2.429850922993,-14.9440986159,7.443097486786)); #481569=CARTESIAN_POINT('Ctrl Pts',(2.480048897864,-15.03295909459,7.430541540558)); #481570=CARTESIAN_POINT('Ctrl Pts',(2.499718870673,-15.1338746964,7.428876054002)); #481571=CARTESIAN_POINT('Ctrl Pts',(2.486636870745,-15.23543177876,7.438289157661)); #481572=CARTESIAN_POINT('Ctrl Pts',(2.434830196185,-15.34138273773,7.460980169955)); #481573=CARTESIAN_POINT('Ctrl Pts',(2.370378824358,-15.39504028164,7.485361235011)); #481574=CARTESIAN_POINT('Ctrl Pts',(2.326285132653,-15.41485885732,7.501209577331)); #481575=CARTESIAN_POINT('Ctrl Pts',(2.148020551052,-14.84981752136,7.625770573913)); #481576=CARTESIAN_POINT('Ctrl Pts',(2.193404567301,-14.8343484271,7.609601290124)); #481577=CARTESIAN_POINT('Ctrl Pts',(2.275659655272,-14.82993620354,7.581480559043)); #481578=CARTESIAN_POINT('Ctrl Pts',(2.382218208729,-14.87301378965,7.547498339956)); #481579=CARTESIAN_POINT('Ctrl Pts',(2.457359144358,-14.93968909128,7.525355921026)); #481580=CARTESIAN_POINT('Ctrl Pts',(2.507680009988,-15.0285195533,7.512743177147)); #481581=CARTESIAN_POINT('Ctrl Pts',(2.527484538619,-15.12945108538,7.511087925143)); #481582=CARTESIAN_POINT('Ctrl Pts',(2.514531801407,-15.23105940506,7.520577279021)); #481583=CARTESIAN_POINT('Ctrl Pts',(2.462854161176,-15.33709428833,7.543423422518)); #481584=CARTESIAN_POINT('Ctrl Pts',(2.398466362414,-15.39081653345,7.567956963193)); #481585=CARTESIAN_POINT('Ctrl Pts',(2.354398687506,-15.41066734572,7.583899519841)); #481586=CARTESIAN_POINT('Ctrl Pts',(2.164216064046,-14.84745885243,7.674284835237)); #481587=CARTESIAN_POINT('Ctrl Pts',(2.209583602294,-14.83193610192,7.658083410351)); #481588=CARTESIAN_POINT('Ctrl Pts',(2.291837697845,-14.82743747885,7.629906249256)); #481589=CARTESIAN_POINT('Ctrl Pts',(2.398444100354,-14.87042521965,7.595858281124)); #481590=CARTESIAN_POINT('Ctrl Pts',(2.473650568513,-14.93705168038,7.573676505779)); #481591=CARTESIAN_POINT('Ctrl Pts',(2.524050106098,-15.02586363918,7.561047097437)); #481592=CARTESIAN_POINT('Ctrl Pts',(2.543934919875,-15.12680448643,7.559400422456)); #481593=CARTESIAN_POINT('Ctrl Pts',(2.531053957884,-15.22844363878,7.568922709335)); #481594=CARTESIAN_POINT('Ctrl Pts',(2.479441497342,-15.33452942184,7.591830829128)); #481595=CARTESIAN_POINT('Ctrl Pts',(2.415079389514,-15.3882912639,7.616422332382)); #481596=CARTESIAN_POINT('Ctrl Pts',(2.371019378024,-15.40816198997,7.632399590441)); #481597=CARTESIAN_POINT('',(2.39848061304947,-15.3865219581001,7.5740307117423)); #481598=CARTESIAN_POINT('Ctrl Pts',(2.39848061305073,-15.3865219581018, 7.57403071174196)); #481599=CARTESIAN_POINT('Ctrl Pts',(2.38691987288987,-15.3883270940298, 7.54051853214027)); #481600=CARTESIAN_POINT('Ctrl Pts',(2.37522533896048,-15.3901197842479, 7.50636420004143)); #481601=CARTESIAN_POINT('Ctrl Pts',(2.36334001502093,-15.3919124823124, 7.47156559482291)); #481602=CARTESIAN_POINT('Ctrl Pts',(2.35346134458743,-15.3934025109776, 7.44264219700491)); #481603=CARTESIAN_POINT('Ctrl Pts',(2.34344735541802,-15.3948930544746, 7.41327006709207)); #481604=CARTESIAN_POINT('Ctrl Pts',(2.33350624787209,-15.3963560031331, 7.38371144926607)); #481605=CARTESIAN_POINT('Ctrl Pts',(2.33188887614639,-15.396594018041,7.37890240032444)); #481606=CARTESIAN_POINT('Ctrl Pts',(2.33027350565866,-15.3968312919411, 7.37408850018933)); #481607=CARTESIAN_POINT('Ctrl Pts',(2.32866111735035,-15.3970676914134, 7.36927097812439)); #481608=CARTESIAN_POINT('Ctrl Pts',(2.32373668681511,-15.3977896842322, 7.35455767820869)); #481609=CARTESIAN_POINT('Ctrl Pts',(2.31883992778174,-15.3985035467453, 7.33981069105502)); #481610=CARTESIAN_POINT('Ctrl Pts',(2.3139988375169,-15.3992047220019,7.32502288035329)); #481611=CARTESIAN_POINT('Ctrl Pts',(2.30702615169894,-15.4002146339225, 7.30372380260065)); #481612=CARTESIAN_POINT('Ctrl Pts',(2.30013715780289,-15.4012198496306, 7.28235221296459)); #481613=CARTESIAN_POINT('Ctrl Pts',(2.29345247773425,-15.4021825795119, 7.26085536703464)); #481614=CARTESIAN_POINT('Ctrl Pts',(2.28843896768278,-15.4029046269228, 7.24473273258718)); #481615=CARTESIAN_POINT('Ctrl Pts',(2.28354042889532,-15.4036028444889, 7.22853963101215)); #481616=CARTESIAN_POINT('Ctrl Pts',(2.27880862180534,-15.4042627006709, 7.21225349487083)); #481617=CARTESIAN_POINT('Ctrl Pts',(2.27463912335058,-15.4048441422383, 7.19790273665438)); #481618=CARTESIAN_POINT('Ctrl Pts',(2.27060522172938,-15.4053938643455, 7.1834936232713)); #481619=CARTESIAN_POINT('Ctrl Pts',(2.26673417798553,-15.4059051646987, 7.16898919300744)); #481620=CARTESIAN_POINT('Ctrl Pts',(2.26039877703445,-15.4067419655612, 7.14525105224511)); #481621=CARTESIAN_POINT('Ctrl Pts',(2.25449709651895,-15.4074764306601, 7.12125613797871)); #481622=CARTESIAN_POINT('Ctrl Pts',(2.24918923367386,-15.4080653221891, 7.09695758277794)); #481623=CARTESIAN_POINT('Ctrl Pts',(2.24669854033443,-15.4083416571759, 7.08555558326086)); #481624=CARTESIAN_POINT('Ctrl Pts',(2.24433920690699,-15.4085858056166, 7.07408697906322)); #481625=CARTESIAN_POINT('Ctrl Pts',(2.24213143499053,-15.4087924722466, 7.06254856296822)); #481626=CARTESIAN_POINT('Ctrl Pts',(2.23645652108269,-15.4093236934855, 7.03288992047722)); #481627=CARTESIAN_POINT('Ctrl Pts',(2.2317511095484,-15.4096225103473,7.00271717855621)); #481628=CARTESIAN_POINT('Ctrl Pts',(2.22828219084032,-15.4097119855078, 6.97242069472115)); #481629=CARTESIAN_POINT('Ctrl Pts',(2.22643303523322,-15.4097596814929, 6.9562707305181)); #481630=CARTESIAN_POINT('Ctrl Pts',(2.22493531733576,-15.409747930262,6.9400852640174)); #481631=CARTESIAN_POINT('Ctrl Pts',(2.22381380226732,-15.4096798854975, 6.92389760008957)); #481632=CARTESIAN_POINT('Ctrl Pts',(2.22341062497003,-15.4096554238518, 6.91807824128148)); #481633=CARTESIAN_POINT('Ctrl Pts',(2.22305670449026,-15.409623328947,6.91226010248194)); #481634=CARTESIAN_POINT('Ctrl Pts',(2.22275353610824,-15.4095834633386, 6.90644565144963)); #481635=CARTESIAN_POINT('Ctrl Pts',(2.22248826377396,-15.4095485809312, 6.90135800679635)); #481636=CARTESIAN_POINT('Ctrl Pts',(2.22226184824417,-15.4095077486197, 6.89627318576903)); #481637=CARTESIAN_POINT('Ctrl Pts',(2.22207527143657,-15.4094608455427, 6.89119284624356)); #481638=CARTESIAN_POINT('Ctrl Pts',(2.22190228544691,-15.4094173590273, 6.88648257398707)); #481639=CARTESIAN_POINT('Ctrl Pts',(2.22176164172311,-15.409369827901,6.88177242066243)); #481640=CARTESIAN_POINT('Ctrl Pts',(2.22165532091506,-15.4093174358318, 6.87706551577511)); #481641=CARTESIAN_POINT('Ctrl Pts',(2.22130110060018,-15.4091428854723, 6.86138390686087)); #481642=CARTESIAN_POINT('Ctrl Pts',(2.22133001169537,-15.4089139049413, 6.8457383865765)); #481643=CARTESIAN_POINT('Ctrl Pts',(2.22179102862534,-15.4086047295718, 6.83024805835563)); #481644=CARTESIAN_POINT('Ctrl Pts',(2.22223637113129,-15.4083060660633, 6.81528439620089)); #481645=CARTESIAN_POINT('Ctrl Pts',(2.22308472898327,-15.4079328195311, 6.8004637428711)); #481646=CARTESIAN_POINT('Ctrl Pts',(2.22431756263656,-15.4074960216191, 6.78573396542536)); #481647=CARTESIAN_POINT('Ctrl Pts',(2.22437236228054,-15.4074766058853, 6.7850792245706)); #481648=CARTESIAN_POINT('Ctrl Pts',(2.22442797660521,-15.4074570203973, 6.78442478262723)); #481649=CARTESIAN_POINT('Ctrl Pts',(2.2244844014019,-15.4074372671578,6.7837706237572)); #481650=CARTESIAN_POINT('Ctrl Pts',(2.22620002612988,-15.4068366598328, 6.76388058959822)); #481651=CARTESIAN_POINT('Ctrl Pts',(2.22866025208816,-15.4060823792062, 6.74425230021363)); #481652=CARTESIAN_POINT('Ctrl Pts',(2.23167755525723,-15.4052239535243, 6.72479511949469)); #481653=CARTESIAN_POINT('Ctrl Pts',(2.23351784333882,-15.404700389778,6.71292796005721)); #481654=CARTESIAN_POINT('Ctrl Pts',(2.23556649968591,-15.4041377355366, 6.70112445801483)); #481655=CARTESIAN_POINT('Ctrl Pts',(2.23778989297791,-15.4035446715963, 6.68936357166704)); #481656=CARTESIAN_POINT('Ctrl Pts',(2.2400657364885,-15.4029376171788,6.67732524404881)); #481657=CARTESIAN_POINT('Ctrl Pts',(2.24252474555654,-15.4022986550443, 6.6653316894281)); #481658=CARTESIAN_POINT('Ctrl Pts',(2.24514073269122,-15.4016330925138, 6.65337114161188)); #481659=CARTESIAN_POINT('Ctrl Pts',(2.24632820444435,-15.4013309745506, 6.64794190516974)); #481660=CARTESIAN_POINT('Ctrl Pts',(2.24754658253615,-15.4010245551601, 6.64251839335193)); #481661=CARTESIAN_POINT('Ctrl Pts',(2.24879264123933,-15.4007151682437, 6.63709877422438)); #481662=CARTESIAN_POINT('Ctrl Pts',(2.25221831265056,-15.3998646000386, 6.62219912763661)); #481663=CARTESIAN_POINT('Ctrl Pts',(2.25585325770973,-15.3989914140386, 6.60732685165242)); #481664=CARTESIAN_POINT('Ctrl Pts',(2.25963182317528,-15.398125713166,6.59244976985922)); #481665=CARTESIAN_POINT('Ctrl Pts',(2.26066373085949,-15.3978892945063, 6.5883869118352)); #481666=CARTESIAN_POINT('Ctrl Pts',(2.26170639976489,-15.397653430701,6.58432366356591)); #481667=CARTESIAN_POINT('Ctrl Pts',(2.26275848946714,-15.3974187058017, 6.58025856356144)); #481668=CARTESIAN_POINT('Ctrl Pts',(2.26521076758331,-15.3968715939607, 6.57078336790109)); #481669=CARTESIAN_POINT('Ctrl Pts',(2.26771396453401,-15.3963304790549, 6.56130086003884)); #481670=CARTESIAN_POINT('Ctrl Pts',(2.27025067170116,-15.3958021734499, 6.55180144693333)); #481671=CARTESIAN_POINT('Ctrl Pts',(2.2727873788683,-15.3952738678449,6.54230203382782)); #481672=CARTESIAN_POINT('Ctrl Pts',(2.27535759984873,-15.3947583745135, 6.53278571773106)); #481673=CARTESIAN_POINT('Ctrl Pts',(2.27794408477128,-15.3942627160865, 6.52324294724417)); #481674=CARTESIAN_POINT('Ctrl Pts',(2.28385605030853,-15.3931297825391, 6.501430900417)); #481675=CARTESIAN_POINT('Ctrl Pts',(2.2898530741226,-15.3921005138731,6.47948086728135)); #481676=CARTESIAN_POINT('Ctrl Pts',(2.295903159893,-15.3911122869755,6.45740036137383)); #481677=CARTESIAN_POINT('Ctrl Pts',(2.2981719420569,-15.3907417018889,6.44912017165851)); #481678=CARTESIAN_POINT('Ctrl Pts',(2.30044819020464,-15.3903769003074, 6.44082161355081)); #481679=CARTESIAN_POINT('Ctrl Pts',(2.30273027955719,-15.3900146734704, 6.43250505228278)); #481680=CARTESIAN_POINT('Ctrl Pts',(2.30372869364893,-15.3898561992292, 6.42886655672802)); #481681=CARTESIAN_POINT('Ctrl Pts',(2.3047282265773,-15.3896982188681,6.42522461538622)); #481682=CARTESIAN_POINT('Ctrl Pts',(2.30572874632962,-15.3895404691882, 6.42157925993509)); #481683=CARTESIAN_POINT('Ctrl Pts',(2.30863578971914,-15.3890821222509, 6.41098755852988)); #481684=CARTESIAN_POINT('Ctrl Pts',(2.31156230856997,-15.3886161514628, 6.40037435814885)); #481685=CARTESIAN_POINT('Ctrl Pts',(2.31448889875753,-15.3881547052112, 6.38970220530306)); #481686=CARTESIAN_POINT('Ctrl Pts',(2.31931428513871,-15.3873938687719, 6.37210587042206)); #481687=CARTESIAN_POINT('Ctrl Pts',(2.32414038692869,-15.3866453200169, 6.35434891965327)); #481688=CARTESIAN_POINT('Ctrl Pts',(2.32897322856332,-15.3858512981366, 6.33662882303288)); #481689=CARTESIAN_POINT('Ctrl Pts',(2.33376671966558,-15.3850637414347, 6.31905300907025)); #481690=CARTESIAN_POINT('Ctrl Pts',(2.33856613221909,-15.3842314434161, 6.30151363158852)); #481691=CARTESIAN_POINT('Ctrl Pts',(2.34339471013116,-15.3833879239297, 6.28388953724828)); #481692=CARTESIAN_POINT('Ctrl Pts',(2.3459881618193,-15.3829348656844,6.27442355408494)); #481693=CARTESIAN_POINT('Ctrl Pts',(2.3485900976557,-15.3824785514024,6.26493325143191)); #481694=CARTESIAN_POINT('Ctrl Pts',(2.35120321470541,-15.3820235545681, 6.25540140423385)); #481695=CARTESIAN_POINT('Ctrl Pts',(2.35744408604357,-15.3809368919166, 6.23263662529495)); #481696=CARTESIAN_POINT('Ctrl Pts',(2.36374223824881,-15.3798382367119, 6.20978436148735)); #481697=CARTESIAN_POINT('Ctrl Pts',(2.37020393342344,-15.3786932423878, 6.18691716038708)); #481698=CARTESIAN_POINT('Ctrl Pts',(2.37082174008802,-15.3785837687737, 6.18473081317352)); #481699=CARTESIAN_POINT('Ctrl Pts',(2.37144103998686,-15.3784738803,6.18254431885934)); #481700=CARTESIAN_POINT('Ctrl Pts',(2.37206193418247,-15.3783635412494, 6.18035775869714)); #481701=CARTESIAN_POINT('Ctrl Pts',(2.3738762854636,-15.3780411130494,6.1739682832284)); #481702=CARTESIAN_POINT('Ctrl Pts',(2.37570424729205,-15.3777148376458, 6.16757824761564)); #481703=CARTESIAN_POINT('Ctrl Pts',(2.37754799978486,-15.3773838677978, 6.16118913346999)); #481704=CARTESIAN_POINT('Ctrl Pts',(2.39117110857904,-15.3749383995121, 6.11398128022292)); #481705=CARTESIAN_POINT('Ctrl Pts',(2.40565592281402,-15.3722340694295, 6.06682487824783)); #481706=CARTESIAN_POINT('Ctrl Pts',(2.42190508373902,-15.3689316249592, 6.02035398308018)); #481707=CARTESIAN_POINT('Ctrl Pts',(2.42631813156951,-15.3680347266226, 6.00773312936059)); #481708=CARTESIAN_POINT('Ctrl Pts',(2.43086145740047,-15.3670937444266, 5.99516260513948)); #481709=CARTESIAN_POINT('Ctrl Pts',(2.43555294532506,-15.3661019972901, 5.98265474581802)); #481710=CARTESIAN_POINT('Origin',(2.24000646671461,-15.1351369671105,7.61515893727721)); #481711=CARTESIAN_POINT('Ctrl Pts',(2.531321473947,-15.38231211364,8.08680382164)); #481712=CARTESIAN_POINT('Ctrl Pts',(2.574135193787,-15.36216982427,8.070796637477)); #481713=CARTESIAN_POINT('Ctrl Pts',(2.637057764664,-15.30844571347,8.045932462621)); #481714=CARTESIAN_POINT('Ctrl Pts',(2.68754460972,-15.2028374293,8.022513564456)); #481715=CARTESIAN_POINT('Ctrl Pts',(2.69972129491,-15.10091127166,8.012622134357)); #481716=CARTESIAN_POINT('Ctrl Pts',(2.67907625906,-14.99988441982,8.014195016869)); #481717=CARTESIAN_POINT('Ctrl Pts',(2.627956829029,-14.91124708178,8.027053571765)); #481718=CARTESIAN_POINT('Ctrl Pts',(2.552177560038,-14.84507984691,8.049735129901)); #481719=CARTESIAN_POINT('Ctrl Pts',(2.445890259399,-14.80321040503,8.084374949934)); #481720=CARTESIAN_POINT('Ctrl Pts',(2.364512250571,-14.80815228997,8.11295002878)); #481721=CARTESIAN_POINT('Ctrl Pts',(2.319905570071,-14.82355010561,8.129317439373)); #481722=CARTESIAN_POINT('Ctrl Pts',(2.467993631157,-15.39253129229,7.905865398756)); #481723=CARTESIAN_POINT('Ctrl Pts',(2.510851507042,-15.37246106401,7.890023945678)); #481724=CARTESIAN_POINT('Ctrl Pts',(2.573894616198,-15.31888129781,7.865434258022)); #481725=CARTESIAN_POINT('Ctrl Pts',(2.624650468946,-15.21346023066,7.84230436265)); #481726=CARTESIAN_POINT('Ctrl Pts',(2.637111498343,-15.11164838243,7.832562712944)); #481727=CARTESIAN_POINT('Ctrl Pts',(2.616772838586,-15.01065512431,7.834166493697)); #481728=CARTESIAN_POINT('Ctrl Pts',(2.56594286249,-14.92194672298,7.846933860391)); #481729=CARTESIAN_POINT('Ctrl Pts',(2.490393024153,-14.8555942026,7.86941507879)); #481730=CARTESIAN_POINT('Ctrl Pts',(2.384254152685,-14.8133872014,7.903728244915)); #481731=CARTESIAN_POINT('Ctrl Pts',(2.30284519929,-14.81800687832,7.932026139367)); #481732=CARTESIAN_POINT('Ctrl Pts',(2.258161700641,-14.83320598421,7.948235883413)); #481733=CARTESIAN_POINT('Ctrl Pts',(2.405419979294,-15.40222539882,7.724593771339)); #481734=CARTESIAN_POINT('Ctrl Pts',(2.448320200199,-15.38222723348,7.708914206905)); #481735=CARTESIAN_POINT('Ctrl Pts',(2.511480070912,-15.32879146565,7.684592242755)); #481736=CARTESIAN_POINT('Ctrl Pts',(2.56249875618,-15.22355709455,7.661743593602)); #481737=CARTESIAN_POINT('Ctrl Pts',(2.575238792214,-15.12185952172,7.652147218007)); #481738=CARTESIAN_POINT('Ctrl Pts',(2.555201905971,-15.02090063779,7.653780218513)); #481739=CARTESIAN_POINT('Ctrl Pts',(2.504658288701,-14.93212302281,7.666457750694)); #481740=CARTESIAN_POINT('Ctrl Pts',(2.429336981887,-14.86558816658,7.688742765566)); #481741=CARTESIAN_POINT('Ctrl Pts',(2.32334859864,-14.82304802286,7.722736519787)); #481742=CARTESIAN_POINT('Ctrl Pts',(2.241913066908,-14.82734901651,7.750763551397)); #481743=CARTESIAN_POINT('Ctrl Pts',(2.197156263464,-14.84235127991,7.766819200296)); #481744=CARTESIAN_POINT('Ctrl Pts',(2.343609169924,-15.41142393459,7.543103649128)); #481745=CARTESIAN_POINT('Ctrl Pts',(2.386550102141,-15.3914977144,7.527582405499)); #481746=CARTESIAN_POINT('Ctrl Pts',(2.449823270507,-15.33820539702,7.503521889719)); #481747=CARTESIAN_POINT('Ctrl Pts',(2.501098979492,-15.23315695492,7.480947295706)); #481748=CARTESIAN_POINT('Ctrl Pts',(2.514112900206,-15.13157345213,7.471492017572)); #481749=CARTESIAN_POINT('Ctrl Pts',(2.49437325837,-15.03064960472,7.473152680535)); #481750=CARTESIAN_POINT('Ctrl Pts',(2.444112810347,-14.941804571,7.485741627248)); #481751=CARTESIAN_POINT('Ctrl Pts',(2.369018868718,-14.87509034544,7.507834261541)); #481752=CARTESIAN_POINT('Ctrl Pts',(2.263182545531,-14.83222158509,7.541515298477)); #481753=CARTESIAN_POINT('Ctrl Pts',(2.181724342519,-14.83620758003,7.569277311119)); #481754=CARTESIAN_POINT('Ctrl Pts',(2.136897468513,-14.85101499149,7.585182166381)); #481755=CARTESIAN_POINT('',(2.42090746589201,-15.3828120427309,7.63777291560264)); #481756=CARTESIAN_POINT('Ctrl Pts',(2.42090591495409,-15.3828116595328, 7.637765557945)); #481757=CARTESIAN_POINT('Ctrl Pts',(2.41999391765863,-15.3829508424954, 7.63510245419189)); #481758=CARTESIAN_POINT('Ctrl Pts',(2.41907320650026,-15.3830960376028, 7.63244225750795)); #481759=CARTESIAN_POINT('Ctrl Pts',(2.4181459984527,-15.383245710959,7.62978422891871)); #481760=CARTESIAN_POINT('Ctrl Pts',(2.41490077028623,-15.3837695677056, 7.62048112885637)); #481761=CARTESIAN_POINT('Ctrl Pts',(2.41157593054452,-15.3843482450091, 7.61120459442223)); #481762=CARTESIAN_POINT('Ctrl Pts',(2.40826659573002,-15.3849160744857, 7.60192292532301)); #481763=CARTESIAN_POINT('Ctrl Pts',(2.40661192832277,-15.385199989224,7.59728209077341)); #481764=CARTESIAN_POINT('Ctrl Pts',(2.40496114326069,-15.3854812014149, 7.5926399709556)); #481765=CARTESIAN_POINT('Ctrl Pts',(2.4033261680601,-15.3857515616058,7.58799259342433)); #481766=CARTESIAN_POINT('Ctrl Pts',(2.40250868045981,-15.3858867417012, 7.5856689046587)); #481767=CARTESIAN_POINT('Ctrl Pts',(2.40169514570789,-15.3860192093997, 7.58334390136506)); #481768=CARTESIAN_POINT('Ctrl Pts',(2.4008870559001,-15.3861479478547,7.58101708668774)); #481769=CARTESIAN_POINT('Ctrl Pts',(2.4000789660923,-15.3862766863097,7.57869027201042)); #481770=CARTESIAN_POINT('Ctrl Pts',(2.39927632131805,-15.3864016956623, 7.57636164592616)); #481771=CARTESIAN_POINT('Ctrl Pts',(2.39848061304728,-15.3865219580963, 7.57403071174285)); #481772=CARTESIAN_POINT('',(2.53901755517119,-14.8558046178633,8.00424550443389)); #481773=CARTESIAN_POINT('Ctrl Pts',(2.42090814607251,-15.3828120752526, 7.63777665684281)); #481774=CARTESIAN_POINT('Ctrl Pts',(2.43060031380678,-15.3763823998521, 7.63607045258768)); #481775=CARTESIAN_POINT('Ctrl Pts',(2.43995466518354,-15.3693900288413, 7.63458915613556)); #481776=CARTESIAN_POINT('Ctrl Pts',(2.45940157754991,-15.3531525969986, 7.63197828054466)); #481777=CARTESIAN_POINT('Ctrl Pts',(2.46934859440124,-15.3437338750743, 7.63094963647213)); #481778=CARTESIAN_POINT('Ctrl Pts',(2.49935011942371,-15.3117225769057, 7.62914196752141)); #481779=CARTESIAN_POINT('Ctrl Pts',(2.51685756449317,-15.2872171087112, 7.63018621766703)); #481780=CARTESIAN_POINT('Ctrl Pts',(2.55040229343982,-15.2271266040288, 7.63943248006584)); #481781=CARTESIAN_POINT('Ctrl Pts',(2.5636417925366,-15.1916624032827,7.64961837480938)); #481782=CARTESIAN_POINT('Ctrl Pts',(2.58169774389188,-15.119426235333,7.68138013307939)); #481783=CARTESIAN_POINT('Ctrl Pts',(2.58563863119842,-15.0840393249835, 7.70301772205022)); #481784=CARTESIAN_POINT('Ctrl Pts',(2.58691767447987,-15.0078729695867, 7.76328011761795)); #481785=CARTESIAN_POINT('Ctrl Pts',(2.5815293825205,-14.9699385481278,7.80471418595576)); #481786=CARTESIAN_POINT('Ctrl Pts',(2.56457492626088,-14.9041821592546, 7.89760479726474)); #481787=CARTESIAN_POINT('Ctrl Pts',(2.55233722724571,-14.8767836164278, 7.95031026667618)); #481788=CARTESIAN_POINT('Ctrl Pts',(2.53901755413314,-14.8558046178298, 8.00424550416452)); #481789=CARTESIAN_POINT('Ctrl Pts',(2.32637390035514,-14.8185016238519, 8.004245504761)); #481790=CARTESIAN_POINT('Ctrl Pts',(2.36284720099176,-14.8127347526096, 8.004245504761)); #481791=CARTESIAN_POINT('Ctrl Pts',(2.4004327441435,-14.8129995628614,8.00424550476108)); #481792=CARTESIAN_POINT('Ctrl Pts',(2.47254575554868,-14.8257420395609, 8.00424550476108)); #481793=CARTESIAN_POINT('Ctrl Pts',(2.50732598899861,-14.8379843757268, 8.004245504761)); #481794=CARTESIAN_POINT('Ctrl Pts',(2.53901755499984,-14.855804618168,8.004245504761)); #481795=CARTESIAN_POINT('Origin',(0.,0.001909091374064,8.004245504761)); #481796=CARTESIAN_POINT('Ctrl Pts',(3.36256874611225,-14.6182493574009, 8.004245504761)); #481797=CARTESIAN_POINT('Ctrl Pts',(3.22799660064036,-14.64911054763,8.004245504761)); #481798=CARTESIAN_POINT('Ctrl Pts',(3.09374693388449,-14.6856517499321, 8.00424550477949)); #481799=CARTESIAN_POINT('Ctrl Pts',(2.81887514048889,-14.7666165579788, 8.00424550477949)); #481800=CARTESIAN_POINT('Ctrl Pts',(2.64540440431955,-14.8219407356721, 8.004245504761)); #481801=CARTESIAN_POINT('Ctrl Pts',(2.53901755498541,-14.8558046172796, 8.004245504761)); #481802=CARTESIAN_POINT('Ctrl Pts',(4.010481805673,-14.79053792719,6.931913829149)); #481803=CARTESIAN_POINT('Ctrl Pts',(4.014026721815,-14.75204141157,6.952482094769)); #481804=CARTESIAN_POINT('Ctrl Pts',(4.023719083965,-14.6915044033,6.990496834155)); #481805=CARTESIAN_POINT('Ctrl Pts',(4.045655389534,-14.61541222203,7.051710473569)); #481806=CARTESIAN_POINT('Ctrl Pts',(4.069477266085,-14.55742406021,7.108143465578)); #481807=CARTESIAN_POINT('Ctrl Pts',(4.098334817602,-14.50630253087,7.168712683912)); #481808=CARTESIAN_POINT('Ctrl Pts',(4.131864568315,-14.46269157184,7.232655193313)); #481809=CARTESIAN_POINT('Ctrl Pts',(4.169644186597,-14.42714051032,7.299165564385)); #481810=CARTESIAN_POINT('Ctrl Pts',(4.218970065962,-14.39503892298,7.380169825344)); #481811=CARTESIAN_POINT('Ctrl Pts',(4.257998341294,-14.38162503242,7.439378883124)); #481812=CARTESIAN_POINT('Ctrl Pts',(4.282750476613,-14.37718799736,7.475271285446)); #481813=CARTESIAN_POINT('Ctrl Pts',(3.969772379126,-14.81112857805,6.95618124741)); #481814=CARTESIAN_POINT('Ctrl Pts',(3.972940503347,-14.77181045529,6.976733756873)); #481815=CARTESIAN_POINT('Ctrl Pts',(3.982106712029,-14.70994499182,7.014922303303)); #481816=CARTESIAN_POINT('Ctrl Pts',(4.003526820375,-14.63216064661,7.076782236589)); #481817=CARTESIAN_POINT('Ctrl Pts',(4.027053761205,-14.57289172886,7.133999187552)); #481818=CARTESIAN_POINT('Ctrl Pts',(4.055750794697,-14.5206735256,7.1955597093)); #481819=CARTESIAN_POINT('Ctrl Pts',(4.089245127972,-14.47618379148,7.260664670508)); #481820=CARTESIAN_POINT('Ctrl Pts',(4.127101710477,-14.43999998959,7.328468973579)); #481821=CARTESIAN_POINT('Ctrl Pts',(4.17663360285,-14.40746534686,7.411115016856)); #481822=CARTESIAN_POINT('Ctrl Pts',(4.215895419032,-14.39404950908,7.471554051304)); #481823=CARTESIAN_POINT('Ctrl Pts',(4.240808486413,-14.38973145552,7.50818593023)); #481824=CARTESIAN_POINT('Ctrl Pts',(3.899820797364,-14.84713118983,6.996645968877)); #481825=CARTESIAN_POINT('Ctrl Pts',(3.902291158516,-14.80633193057,7.017105146928)); #481826=CARTESIAN_POINT('Ctrl Pts',(3.910475852321,-14.74206108771,7.055513195104)); #481827=CARTESIAN_POINT('Ctrl Pts',(3.930917465854,-14.66119829597,7.118436907314)); #481828=CARTESIAN_POINT('Ctrl Pts',(3.953872276524,-14.59959258491,7.177000087874)); #481829=CARTESIAN_POINT('Ctrl Pts',(3.982240352581,-14.54536903266,7.240297283098)); #481830=CARTESIAN_POINT('Ctrl Pts',(4.015632623756,-14.49926984819,7.307461699983)); #481831=CARTESIAN_POINT('Ctrl Pts',(4.053591265045,-14.46192608069,7.377573716602)); #481832=CARTESIAN_POINT('Ctrl Pts',(4.103452596384,-14.42859743466,7.463159102869)); #481833=CARTESIAN_POINT('Ctrl Pts',(4.143106221588,-14.41517878593,7.525805145661)); #481834=CARTESIAN_POINT('Ctrl Pts',(4.168291522158,-14.41107975637,7.563762918416)); #481835=CARTESIAN_POINT('Ctrl Pts',(3.799659643387,-14.89813998478,7.052807871002)); #481836=CARTESIAN_POINT('Ctrl Pts',(3.801122279195,-14.85522831943,7.072979180935)); #481837=CARTESIAN_POINT('Ctrl Pts',(3.807879123404,-14.78750150911,7.11147567286)); #481838=CARTESIAN_POINT('Ctrl Pts',(3.82687765317,-14.70218219023,7.175673790246)); #481839=CARTESIAN_POINT('Ctrl Pts',(3.84897334118,-14.6371789808,7.235996846088)); #481840=CARTESIAN_POINT('Ctrl Pts',(3.87682769832,-14.58003176867,7.301651606522)); #481841=CARTESIAN_POINT('Ctrl Pts',(3.91002920075,-14.5315836432,7.371669167839)); #481842=CARTESIAN_POINT('Ctrl Pts',(3.9480874493,-14.49254938811,7.445016341257)); #481843=CARTESIAN_POINT('Ctrl Pts',(3.99836218183,-14.45807245069,7.53475021575)); #481844=CARTESIAN_POINT('Ctrl Pts',(4.038530607585,-14.44466674495,7.600523366782)); #481845=CARTESIAN_POINT('Ctrl Pts',(4.064074810741,-14.44090151329,7.640357364438)); #481846=CARTESIAN_POINT('Ctrl Pts',(3.711834723404,-14.94509921665,7.099592032116)); #481847=CARTESIAN_POINT('Ctrl Pts',(3.712266654268,-14.90012700203,7.119353153041)); #481848=CARTESIAN_POINT('Ctrl Pts',(3.717545567902,-14.82900340407,7.157751053572)); #481849=CARTESIAN_POINT('Ctrl Pts',(3.7350125675,-14.73926759822,7.223000393552)); #481850=CARTESIAN_POINT('Ctrl Pts',(3.75616566214,-14.67087989674,7.284920067146)); #481851=CARTESIAN_POINT('Ctrl Pts',(3.7834167494,-14.61080796244,7.352792748358)); #481852=CARTESIAN_POINT('Ctrl Pts',(3.81633410014,-14.56000169135,7.425544679663)); #481853=CARTESIAN_POINT('Ctrl Pts',(3.85439639458,-14.51926449895,7.502025072538)); #481854=CARTESIAN_POINT('Ctrl Pts',(3.904969812864,-14.48362494979,7.59580043894)); #481855=CARTESIAN_POINT('Ctrl Pts',(3.945570027788,-14.47022469671,7.664630386519)); #481856=CARTESIAN_POINT('Ctrl Pts',(3.971422484622,-14.46679013111,7.706296371027)); #481857=CARTESIAN_POINT('Ctrl Pts',(3.595788808139,-15.01226776133,7.157443590476)); #481858=CARTESIAN_POINT('Ctrl Pts',(3.594552772796,-14.96414226857,7.176350304693)); #481859=CARTESIAN_POINT('Ctrl Pts',(3.597407236909,-14.88777112145,7.214274665329)); #481860=CARTESIAN_POINT('Ctrl Pts',(3.61229246761,-14.79114191297,7.280804171874)); #481861=CARTESIAN_POINT('Ctrl Pts',(3.63180184657,-14.71743721602,7.344968029451)); #481862=CARTESIAN_POINT('Ctrl Pts',(3.65793334545,-14.65274608154,7.416107728702)); #481863=CARTESIAN_POINT('Ctrl Pts',(3.69022820133,-14.59819813895,7.492979574498)); #481864=CARTESIAN_POINT('Ctrl Pts',(3.72812007384,-14.55474601308,7.574240126912)); #481865=CARTESIAN_POINT('Ctrl Pts',(3.778954990697,-14.51723877612,7.674224034087)); #481866=CARTESIAN_POINT('Ctrl Pts',(3.82008769386,-14.50382782349,7.747768383143)); #481867=CARTESIAN_POINT('Ctrl Pts',(3.846337247811,-14.50090578129,7.792256116466)); #481868=CARTESIAN_POINT('Ctrl Pts',(3.450985576543,-15.10112331275,7.225243912049)); #481869=CARTESIAN_POINT('Ctrl Pts',(3.447349248246,-15.04868288383,7.242410650767)); #481870=CARTESIAN_POINT('Ctrl Pts',(3.446655787291,-14.96501177526,7.278869835944)); #481871=CARTESIAN_POINT('Ctrl Pts',(3.45764954964,-14.85863015184,7.34630970809)); #481872=CARTESIAN_POINT('Ctrl Pts',(3.47459804726,-14.7773285055,7.413027226758)); #481873=CARTESIAN_POINT('Ctrl Pts',(3.49888827858,-14.70598949898,7.488298663633)); #481874=CARTESIAN_POINT('Ctrl Pts',(3.53003230009,-14.64602968754,7.570624684874)); #481875=CARTESIAN_POINT('Ctrl Pts',(3.56740604312,-14.59863983129,7.658366932886)); #481876=CARTESIAN_POINT('Ctrl Pts',(3.618277568478,-14.55842484321,7.766878520947)); #481877=CARTESIAN_POINT('Ctrl Pts',(3.659920286329,-14.54501046155,7.846945416813)); #481878=CARTESIAN_POINT('Ctrl Pts',(3.686581018307,-14.54284467601,7.895327863514)); #481879=CARTESIAN_POINT('Ctrl Pts',(3.220187462543,-15.24947739245,7.327322492113)); #481880=CARTESIAN_POINT('Ctrl Pts',(3.212362309941,-15.18982771909,7.340105177279)); #481881=CARTESIAN_POINT('Ctrl Pts',(3.2053268088,-15.09360830446,7.371996052723)); #481882=CARTESIAN_POINT('Ctrl Pts',(3.20909018378,-14.96998175108,7.438813646213)); #481883=CARTESIAN_POINT('Ctrl Pts',(3.22108993795,-14.8750279339,7.508531407976)); #481884=CARTESIAN_POINT('Ctrl Pts',(3.24161247854,-14.79160381226,7.58995298806)); #481885=CARTESIAN_POINT('Ctrl Pts',(3.27014567455,-14.72173085162,7.681092600066)); #481886=CARTESIAN_POINT('Ctrl Pts',(3.30598325152,-14.66710255776,7.779731786772)); #481887=CARTESIAN_POINT('Ctrl Pts',(3.356146175263,-14.62192554575,7.902876583744)); #481888=CARTESIAN_POINT('Ctrl Pts',(3.398106820673,-14.6085605891,7.994263117736)); #481889=CARTESIAN_POINT('Ctrl Pts',(3.425128974617,-14.60783895537,8.049379853194)); #481890=CARTESIAN_POINT('Ctrl Pts',(2.933127491172,-15.43885964763,7.449128635763)); #481891=CARTESIAN_POINT('Ctrl Pts',(2.920031017646,-15.3705270125,7.453200998476)); #481892=CARTESIAN_POINT('Ctrl Pts',(2.904661399014,-15.25830562992,7.474655887338)); #481893=CARTESIAN_POINT('Ctrl Pts',(2.8983098453,-15.1114949082,7.535802184512)); #481894=CARTESIAN_POINT('Ctrl Pts',(2.9029847167,-14.99765703434,7.606310879062)); #481895=CARTESIAN_POINT('Ctrl Pts',(2.91751399269,-14.8971859548,7.693593526838)); #481896=CARTESIAN_POINT('Ctrl Pts',(2.9414209204,-14.81315483502,7.794941163454)); #481897=CARTESIAN_POINT('Ctrl Pts',(2.97394015587,-14.74813379155,7.907223244694)); #481898=CARTESIAN_POINT('Ctrl Pts',(3.021542403825,-14.69587980226,8.049381939618)); #481899=CARTESIAN_POINT('Ctrl Pts',(3.062685633744,-14.68273559899,8.155769224071)); #481900=CARTESIAN_POINT('Ctrl Pts',(3.089407776825,-14.68422911219,8.219753769708)); #481901=CARTESIAN_POINT('Ctrl Pts',(2.585809820419,-15.66480758423,7.593233872086)); #481902=CARTESIAN_POINT('Ctrl Pts',(2.567758416804,-15.58806957206,7.581519450661)); #481903=CARTESIAN_POINT('Ctrl Pts',(2.543684125785,-15.4581163337,7.582150790515)); #481904=CARTESIAN_POINT('Ctrl Pts',(2.52524748245,-15.28264555067,7.627261715655)); #481905=CARTESIAN_POINT('Ctrl Pts',(2.52021331106,-15.14416942049,7.692790353443)); #481906=CARTESIAN_POINT('Ctrl Pts',(2.52585212943,-15.02064231032,7.783133594468)); #481907=CARTESIAN_POINT('Ctrl Pts',(2.54190047286,-14.91701220479,7.894613956819)); #481908=CARTESIAN_POINT('Ctrl Pts',(2.56767283946,-14.83742812164,8.022720833505)); #481909=CARTESIAN_POINT('Ctrl Pts',(2.608528007955,-14.77528611322,8.188394726569)); #481910=CARTESIAN_POINT('Ctrl Pts',(2.645800010696,-14.76266439783,8.313935067928)); #481911=CARTESIAN_POINT('Ctrl Pts',(2.67036104104,-14.76747774072,8.389128482416)); #481912=CARTESIAN_POINT('Ctrl Pts',(2.291740366283,-15.84766237374,7.713464502576)); #481913=CARTESIAN_POINT('Ctrl Pts',(2.27222662655,-15.76686509985,7.684012836405)); #481914=CARTESIAN_POINT('Ctrl Pts',(2.244105479097,-15.62496600758,7.659596097387)); #481915=CARTESIAN_POINT('Ctrl Pts',(2.21753334784,-15.4260925554,7.682162280724)); #481916=CARTESIAN_POINT('Ctrl Pts',(2.20450463738,-15.26584045205,7.737371670062)); #481917=CARTESIAN_POINT('Ctrl Pts',(2.20147379322,-15.12090802513,7.825962437995)); #481918=CARTESIAN_POINT('Ctrl Pts',(2.20856726223,-14.99850348991,7.943476124442)); #481919=CARTESIAN_POINT('Ctrl Pts',(2.22539907101,-14.90471108838,8.084028131671)); #481920=CARTESIAN_POINT('Ctrl Pts',(2.25589758714,-14.83287344004,8.269885454147)); #481921=CARTESIAN_POINT('Ctrl Pts',(2.286071278141,-14.82087656277,8.412527070929)); #481922=CARTESIAN_POINT('Ctrl Pts',(2.306446948308,-14.82907085467,8.49760762281)); #481923=CARTESIAN_POINT('Ctrl Pts',(2.045934852937,-15.98507353285,7.807800047813)); #481924=CARTESIAN_POINT('Ctrl Pts',(2.028390064622,-15.90370427788,7.762178230728)); #481925=CARTESIAN_POINT('Ctrl Pts',(2.001335965429,-15.75533733471,7.713713104574)); #481926=CARTESIAN_POINT('Ctrl Pts',(1.9718733212,-15.5394643905,7.712637643499)); #481927=CARTESIAN_POINT('Ctrl Pts',(1.95387017312,-15.36189355745,7.755417880551)); #481928=CARTESIAN_POINT('Ctrl Pts',(1.94373996008,-15.19904310454,7.839356619459)); #481929=CARTESIAN_POINT('Ctrl Pts',(1.94206470246,-15.06042151508,7.95952885868)); #481930=CARTESIAN_POINT('Ctrl Pts',(1.94892745107,-14.9541204339,8.10889936637)); #481931=CARTESIAN_POINT('Ctrl Pts',(1.966710761924,-14.8736678154,8.310500965695)); #481932=CARTESIAN_POINT('Ctrl Pts',(1.987394376652,-14.86225020177,8.467008342199)); #481933=CARTESIAN_POINT('Ctrl Pts',(2.002098851636,-14.87348440362,8.560013228398)); #481934=CARTESIAN_POINT('Ctrl Pts',(1.858110944411,-16.08024873106,7.874203793094)); #481935=CARTESIAN_POINT('Ctrl Pts',(1.84403526764,-15.99993108866,7.81611244446)); #481936=CARTESIAN_POINT('Ctrl Pts',(1.820672857574,-15.84861858239,7.748444982621)); #481937=CARTESIAN_POINT('Ctrl Pts',(1.79172651893,-15.62145132028,7.72749701795)); #481938=CARTESIAN_POINT('Ctrl Pts',(1.7712580812,-15.43143727163,7.759121543839)); #481939=CARTESIAN_POINT('Ctrl Pts',(1.75604371085,-15.2551915852,7.837938073172)); #481940=CARTESIAN_POINT('Ctrl Pts',(1.74706345817,-15.10416085006,7.958802324991)); #481941=CARTESIAN_POINT('Ctrl Pts',(1.74488529333,-14.98815130332,8.113844504758)); #481942=CARTESIAN_POINT('Ctrl Pts',(1.750516990673,-14.90095544454,8.326488698892)); #481943=CARTESIAN_POINT('Ctrl Pts',(1.761769619119,-14.8899800265,8.493026811414)); #481944=CARTESIAN_POINT('Ctrl Pts',(1.770820717858,-14.90358957775,8.591712786245)); #481945=CARTESIAN_POINT('Ctrl Pts',(1.667371175729,-16.16443536945,7.932140253345)); #481946=CARTESIAN_POINT('Ctrl Pts',(1.658992178203,-16.0865809286,7.862126079673)); #481947=CARTESIAN_POINT('Ctrl Pts',(1.642730823092,-15.93436419671,7.775384788291)); #481948=CARTESIAN_POINT('Ctrl Pts',(1.61773724423,-15.69787623154,7.733662647272)); #481949=CARTESIAN_POINT('Ctrl Pts',(1.5966278604,-15.49651623249,7.752937886419)); #481950=CARTESIAN_POINT('Ctrl Pts',(1.57698468759,-15.30748972204,7.825239515506)); #481951=CARTESIAN_POINT('Ctrl Pts',(1.56020649567,-15.14431197795,7.945373358991)); #481952=CARTESIAN_POINT('Ctrl Pts',(1.5474782143,-15.01864700114,8.104729803169)); #481953=CARTESIAN_POINT('Ctrl Pts',(1.538230426737,-14.92466856461,8.326911470384)); #481954=CARTESIAN_POINT('Ctrl Pts',(1.537555565504,-14.91409633336,8.50246266985)); #481955=CARTESIAN_POINT('Ctrl Pts',(1.539449824294,-14.93006219314,8.606196292067)); #481956=CARTESIAN_POINT('Ctrl Pts',(1.470293354503,-16.23554329748,7.976216418434)); #481957=CARTESIAN_POINT('Ctrl Pts',(1.46974739445,-16.16122743205,7.895857273732)); #481958=CARTESIAN_POINT('Ctrl Pts',(1.464073848476,-16.00995611355,7.791826654665)); #481959=CARTESIAN_POINT('Ctrl Pts',(1.44687952681,-15.76643473761,7.730222172988)); #481960=CARTESIAN_POINT('Ctrl Pts',(1.4274067193,-15.55534426903,7.737010258795)); #481961=CARTESIAN_POINT('Ctrl Pts',(1.4044714554,-15.35478516522,7.801949351615)); #481962=CARTESIAN_POINT('Ctrl Pts',(1.37985306245,-15.18033836223,7.919974289181)); #481963=CARTESIAN_POINT('Ctrl Pts',(1.35545203183,-15.04555314671,8.081898367526)); #481964=CARTESIAN_POINT('Ctrl Pts',(1.328970734984,-14.94506342484,8.311273745359)); #481965=CARTESIAN_POINT('Ctrl Pts',(1.314078907411,-14.93478307601,8.494034325612)); #481966=CARTESIAN_POINT('Ctrl Pts',(1.307435435073,-14.9529268416,8.601740931934)); #481967=CARTESIAN_POINT('Ctrl Pts',(1.297874448469,-16.28139619402,7.994062938052)); #481968=CARTESIAN_POINT('Ctrl Pts',(1.304736852378,-16.21027096759,7.907493081484)); #481969=CARTESIAN_POINT('Ctrl Pts',(1.309651656096,-16.06077963967,7.792514874135)); #481970=CARTESIAN_POINT('Ctrl Pts',(1.30144770521,-15.81350303326,7.717512299674)); #481971=CARTESIAN_POINT('Ctrl Pts',(1.28520640074,-15.59628429487,7.715381617737)); #481972=CARTESIAN_POINT('Ctrl Pts',(1.26097335185,-15.38804412425,7.77449311391)); #481973=CARTESIAN_POINT('Ctrl Pts',(1.23073620773,-15.20585965139,7.889994123598)); #481974=CARTESIAN_POINT('Ctrl Pts',(1.19697167597,-15.06466982934,8.052407049527)); #481975=CARTESIAN_POINT('Ctrl Pts',(1.155983718349,-14.95947668387,8.285072367446)); #481976=CARTESIAN_POINT('Ctrl Pts',(1.128756931822,-14.94923943936,8.471539809373)); #481977=CARTESIAN_POINT('Ctrl Pts',(1.114676232708,-14.96880144259,8.581228564172)); #481978=CARTESIAN_POINT('Ctrl Pts',(1.155856865034,-16.30734278054,7.991233464143)); #481979=CARTESIAN_POINT('Ctrl Pts',(1.167456430346,-16.23813632978,7.901750796134)); #481980=CARTESIAN_POINT('Ctrl Pts',(1.179408025467,-16.0899305452,7.781439620411)); #481981=CARTESIAN_POINT('Ctrl Pts',(1.177749185302,-15.84097912346,7.699627193675)); #481982=CARTESIAN_POINT('Ctrl Pts',(1.164434708211,-15.62065668965,7.692789201775)); #481983=CARTESIAN_POINT('Ctrl Pts',(1.1403267608,-15.4083718252,7.748631426796)); #481984=CARTESIAN_POINT('Ctrl Pts',(1.10745700795,-15.22201326415,7.862448029673)); #481985=CARTESIAN_POINT('Ctrl Pts',(1.068595035006,-15.0772842076,8.024646497428)); #481986=CARTESIAN_POINT('Ctrl Pts',(1.019236805187,-14.96937731588,8.25839227012)); #481987=CARTESIAN_POINT('Ctrl Pts',(0.9845973932286,-14.95898845652,8.446300727702)); #481988=CARTESIAN_POINT('Ctrl Pts',(0.9659764707201,-14.97920231211,8.556730574308)); #481989=CARTESIAN_POINT('Ctrl Pts',(1.08338219608,-16.31611205801,7.983253480928)); #481990=CARTESIAN_POINT('Ctrl Pts',(1.096465695028,-16.24746015324,7.893068482515)); #481991=CARTESIAN_POINT('Ctrl Pts',(1.110729611137,-16.09966237529,7.771440607086)); #481992=CARTESIAN_POINT('Ctrl Pts',(1.11147342157,-15.85032096985,7.687903143441)); #481993=CARTESIAN_POINT('Ctrl Pts',(1.099475942333,-15.62918981918,7.679846136967)); #481994=CARTESIAN_POINT('Ctrl Pts',(1.075872482406,-15.41581358994,7.734812491729)); #481995=CARTESIAN_POINT('Ctrl Pts',(1.042665786144,-15.22829799581,7.848138984741)); #481996=CARTESIAN_POINT('Ctrl Pts',(1.002673693768,-15.08255374407,8.010208894402)); #481997=CARTESIAN_POINT('Ctrl Pts',(0.9511743858039,-14.97381327557,8.244132371412)); #481998=CARTESIAN_POINT('Ctrl Pts',(0.9144544176647,-14.96329058085,8.432333408027)); #481999=CARTESIAN_POINT('Ctrl Pts',(0.8945039921485,-14.98362169365,8.542907538894)); #482000=CARTESIAN_POINT('Ctrl Pts',(1.047825867917,-16.31899015791,7.977409111844)); #482001=CARTESIAN_POINT('Ctrl Pts',(1.061259174311,-16.25044244027,7.887120830807)); #482002=CARTESIAN_POINT('Ctrl Pts',(1.076125642501,-16.10272859253,7.76529757631)); #482003=CARTESIAN_POINT('Ctrl Pts',(1.07763053909,-15.85332983147,7.681501171694)); #482004=CARTESIAN_POINT('Ctrl Pts',(1.066169189023,-15.632058439,7.673259097974)); #482005=CARTESIAN_POINT('Ctrl Pts',(1.042952038549,-15.41848152772,7.728089924505)); #482006=CARTESIAN_POINT('Ctrl Pts',(1.009951003522,-15.23074072971,7.841337056299)); #482007=CARTESIAN_POINT('Ctrl Pts',(0.9699693016261,-15.0847824688,8.003380472362)); #482008=CARTESIAN_POINT('Ctrl Pts',(0.9182535832323,-14.97583813926,8.2373209121)); #482009=CARTESIAN_POINT('Ctrl Pts',(0.8811883970709,-14.96523986469,8.425558326986)); #482010=CARTESIAN_POINT('Ctrl Pts',(0.8609786065992,-14.98555872241,8.536149635382)); #482011=CARTESIAN_POINT('',(1.10902554056802,-16.2128382681505,7.87585366177347)); #482012=CARTESIAN_POINT('Ctrl Pts',(1.10902565533322,-16.2128383845927, 7.87585379486987)); #482013=CARTESIAN_POINT('Ctrl Pts',(1.17312549258938,-16.1728051930203, 7.85560623400696)); #482014=CARTESIAN_POINT('Ctrl Pts',(1.24286045964015,-16.1300399253726, 7.84044213192077)); #482015=CARTESIAN_POINT('Ctrl Pts',(1.39872380909944,-16.0344728913473, 7.81099648514061)); #482016=CARTESIAN_POINT('Ctrl Pts',(1.5026570497948,-15.9709475100124,7.79538535471863)); #482017=CARTESIAN_POINT('Ctrl Pts',(1.97347004770241,-15.6774015105174, 7.72017891878492)); #482018=CARTESIAN_POINT('Ctrl Pts',(2.19149018920755,-15.5344958934504, 7.67826794362778)); #482019=CARTESIAN_POINT('Ctrl Pts',(2.42090833622848,-15.3828123936863, 7.63777653209432)); #482020=CARTESIAN_POINT('',(1.10686349022312,-16.2325922361833,7.89371187803061)); #482021=CARTESIAN_POINT('Origin',(1.00800155073007,-15.8207049377465,8.28116667791728)); #482022=CARTESIAN_POINT('',(3.979165294259,-14.76710277408,6.976155872882)); #482023=CARTESIAN_POINT('Ctrl Pts',(1.10686349061849,-16.2325922377159, 7.89371187644295)); #482024=CARTESIAN_POINT('Ctrl Pts',(1.12563990228532,-16.2302990567273, 7.89617413160148)); #482025=CARTESIAN_POINT('Ctrl Pts',(1.14403695589529,-16.227644465581,7.89816121853571)); #482026=CARTESIAN_POINT('Ctrl Pts',(1.1621548020728,-16.2246959970747,7.89974441462968)); #482027=CARTESIAN_POINT('Ctrl Pts',(1.19100084976042,-16.2200016386887, 7.90226507572692)); #482028=CARTESIAN_POINT('Ctrl Pts',(1.21913991889033,-16.2145641683954, 7.90376267976687)); #482029=CARTESIAN_POINT('Ctrl Pts',(1.24677186160681,-16.2085324884648, 7.90441697555097)); #482030=CARTESIAN_POINT('Ctrl Pts',(1.25385305289275,-16.2069867600374, 7.90458465082637)); #482031=CARTESIAN_POINT('Ctrl Pts',(1.26090099425112,-16.2054020637214, 7.90469721798621)); #482032=CARTESIAN_POINT('Ctrl Pts',(1.26791913213212,-16.2037810064114, 7.90475810764238)); #482033=CARTESIAN_POINT('Ctrl Pts',(1.30496289122587,-16.1952245976479, 7.90507950083706)); #482034=CARTESIAN_POINT('Ctrl Pts',(1.34117556688088,-16.1856551759319, 7.90395640503003)); #482035=CARTESIAN_POINT('Ctrl Pts',(1.37677903839513,-16.1752092801004, 7.90164407209477)); #482036=CARTESIAN_POINT('Ctrl Pts',(1.40730833254359,-16.1662521247185, 7.89966129094038)); #482037=CARTESIAN_POINT('Ctrl Pts',(1.43739036254439,-16.1566509778751, 7.89680761277707)); #482038=CARTESIAN_POINT('Ctrl Pts',(1.4671674185001,-16.146497342347,7.89325446390255)); #482039=CARTESIAN_POINT('Ctrl Pts',(1.50860476189633,-16.1323676821575, 7.88830995057422)); #482040=CARTESIAN_POINT('Ctrl Pts',(1.54944852900033,-16.1171611271238, 7.88200604301464)); #482041=CARTESIAN_POINT('Ctrl Pts',(1.58990268382756,-16.1010430905267, 7.87468078592177)); #482042=CARTESIAN_POINT('Ctrl Pts',(1.61242131489356,-16.0920710548683, 7.87060321310775)); #482043=CARTESIAN_POINT('Ctrl Pts',(1.63481912490696,-16.0828167051581, 7.86620855036446)); #482044=CARTESIAN_POINT('Ctrl Pts',(1.65713004577742,-16.0733090039067, 7.86154763277591)); #482045=CARTESIAN_POINT('Ctrl Pts',(1.69687369112896,-16.0563724234382, 7.85324488916764)); #482046=CARTESIAN_POINT('Ctrl Pts',(1.73634206487836,-16.0386317468838, 7.84409942069752)); #482047=CARTESIAN_POINT('Ctrl Pts',(1.77568710235529,-16.0201879960753, 7.83431268739492)); #482048=CARTESIAN_POINT('Ctrl Pts',(1.79810823616779,-16.0096776539484, 7.82873562682931)); #482049=CARTESIAN_POINT('Ctrl Pts',(1.82048916062416,-15.9989389913356, 7.82294941968095)); #482050=CARTESIAN_POINT('Ctrl Pts',(1.84285667952837,-15.9879905102684, 7.81698379690889)); #482051=CARTESIAN_POINT('Ctrl Pts',(1.88846523970361,-15.9656659753159, 7.80481957492489)); #482052=CARTESIAN_POINT('Ctrl Pts',(1.93401959572004,-15.9424719673062, 7.7919133537752)); #482053=CARTESIAN_POINT('Ctrl Pts',(1.97946502271275,-15.9186169606262, 7.77849671466744)); #482054=CARTESIAN_POINT('Ctrl Pts',(1.99541767002241,-15.9102431696132, 7.77378708982881)); #482055=CARTESIAN_POINT('Ctrl Pts',(2.01135682936417,-15.9017879454717, 7.7690141042558)); #482056=CARTESIAN_POINT('Ctrl Pts',(2.0272799238635,-15.893260344655,7.76418626526096)); #482057=CARTESIAN_POINT('Ctrl Pts',(2.07794206435462,-15.8661282749026, 7.74882564189498)); #482058=CARTESIAN_POINT('Ctrl Pts',(2.12844309336857,-15.8382654906673, 7.73291428606694)); #482059=CARTESIAN_POINT('Ctrl Pts',(2.17856722559274,-15.8099828009571, 7.716704335563)); #482060=CARTESIAN_POINT('Ctrl Pts',(2.18907661471156,-15.8040528470946, 7.71330563976751)); #482061=CARTESIAN_POINT('Ctrl Pts',(2.19956941773353,-15.7981044484893, 7.70989363590321)); #482062=CARTESIAN_POINT('Ctrl Pts',(2.21004361878998,-15.7921404915631, 7.70647036252747)); #482063=CARTESIAN_POINT('Ctrl Pts',(2.22678924829661,-15.7826056151041, 7.7009974040325)); #482064=CARTESIAN_POINT('Ctrl Pts',(2.24348715788044,-15.7730299305928, 7.69549540628076)); #482065=CARTESIAN_POINT('Ctrl Pts',(2.26014321526038,-15.7634178273551, 7.68996773490148)); #482066=CARTESIAN_POINT('Ctrl Pts',(2.30660340207523,-15.7366059533373, 7.67454892124863)); #482067=CARTESIAN_POINT('Ctrl Pts',(2.35273855626314,-15.7095111064513, 7.65893412487817)); #482068=CARTESIAN_POINT('Ctrl Pts',(2.39867055636617,-15.6822253577843, 7.64316444964069)); #482069=CARTESIAN_POINT('Ctrl Pts',(2.40205714991856,-15.680213563386,7.64200174215218)); #482070=CARTESIAN_POINT('Ctrl Pts',(2.40544263538791,-15.6782007288264, 7.64083816984351)); #482071=CARTESIAN_POINT('Ctrl Pts',(2.40882705987886,-15.676186889876,7.63967373841202)); #482072=CARTESIAN_POINT('Ctrl Pts',(2.41316857489994,-15.6736035519772, 7.63818001427535)); #482073=CARTESIAN_POINT('Ctrl Pts',(2.41750834404069,-15.6710185613094, 7.636684876529)); #482074=CARTESIAN_POINT('Ctrl Pts',(2.42184646719644,-15.6684319939685, 7.63518833423972)); #482075=CARTESIAN_POINT('Ctrl Pts',(2.47049549096827,-15.6394254463123, 7.61840565684834)); #482076=CARTESIAN_POINT('Ctrl Pts',(2.51893834705119,-15.6102211479525, 7.60145134417647)); #482077=CARTESIAN_POINT('Ctrl Pts',(2.56731212435695,-15.5809232678345, 7.58432418179017)); #482078=CARTESIAN_POINT('Ctrl Pts',(2.63648245093074,-15.5390298278646, 7.55983381776392)); #482079=CARTESIAN_POINT('Ctrl Pts',(2.70550579229464,-15.4969515220622, 7.53498683014506)); #482080=CARTESIAN_POINT('Ctrl Pts',(2.77441772968981,-15.4548113856965, 7.5097486185711)); #482081=CARTESIAN_POINT('Ctrl Pts',(2.8230724526486,-15.4250586790129,7.49192938088134)); #482082=CARTESIAN_POINT('Ctrl Pts',(2.87167142915459,-15.3952750438743, 7.47391391014671)); #482083=CARTESIAN_POINT('Ctrl Pts',(2.92022326302328,-15.3655025723628, 7.45567505549737)); #482084=CARTESIAN_POINT('Ctrl Pts',(2.97888228536483,-15.3295322715029, 7.4336393609188)); #482085=CARTESIAN_POINT('Ctrl Pts',(3.03747446389491,-15.2935807866677, 7.41128067698239)); #482086=CARTESIAN_POINT('Ctrl Pts',(3.09608289006428,-15.2577759729557, 7.38847177611815)); #482087=CARTESIAN_POINT('Ctrl Pts',(3.15469131623366,-15.2219711592438, 7.36566287525392)); #482088=CARTESIAN_POINT('Ctrl Pts',(3.21331644120179,-15.1863128576098, 7.3424029744283)); #482089=CARTESIAN_POINT('Ctrl Pts',(3.2720185332126,-15.1509249687593,7.31851108081865)); #482090=CARTESIAN_POINT('Ctrl Pts',(3.31700715350724,-15.1238040904397, 7.30020060340796)); #482091=CARTESIAN_POINT('Ctrl Pts',(3.36204032858961,-15.0968404186899, 7.28152198501305)); #482092=CARTESIAN_POINT('Ctrl Pts',(3.40712112427391,-15.0702419484085, 7.2623210356052)); #482093=CARTESIAN_POINT('Ctrl Pts',(3.420862692974,-15.0621341787664,7.25646818493143)); #482094=CARTESIAN_POINT('Ctrl Pts',(3.43460850403065,-15.0540603405751, 7.25056647266993)); #482095=CARTESIAN_POINT('Ctrl Pts',(3.44835812097054,-15.046026336432,7.24461074971654)); #482096=CARTESIAN_POINT('Ctrl Pts',(3.49624150323288,-15.0180477175974, 7.22386979670872)); #482097=CARTESIAN_POINT('Ctrl Pts',(3.54417164205518,-14.9905526485888, 7.20247623653649)); #482098=CARTESIAN_POINT('Ctrl Pts',(3.59209511654252,-14.9637838901848, 7.18020532202112)); #482099=CARTESIAN_POINT('Ctrl Pts',(3.60307773801448,-14.9576492944784, 7.17510149708828)); #482100=CARTESIAN_POINT('Ctrl Pts',(3.61405979973809,-14.9515528740833, 7.16995158889286)); #482101=CARTESIAN_POINT('Ctrl Pts',(3.62504025781244,-14.9454976164591, 7.16475300740036)); #482102=CARTESIAN_POINT('Ctrl Pts',(3.65448750402113,-14.929258707216,7.15081152079668)); #482103=CARTESIAN_POINT('Ctrl Pts',(3.68392679822832,-14.9133159724214, 7.13652148495233)); #482104=CARTESIAN_POINT('Ctrl Pts',(3.71346253702613,-14.8978886394119, 7.12163337362392)); #482105=CARTESIAN_POINT('Ctrl Pts',(3.71949752814997,-14.8947363966861, 7.11859130921737)); #482106=CARTESIAN_POINT('Ctrl Pts',(3.72553641138903,-14.8916055452521, 7.11552427545797)); #482107=CARTESIAN_POINT('Ctrl Pts',(3.7315784772622,-14.8884944070292,7.11243327247728)); #482108=CARTESIAN_POINT('Ctrl Pts',(3.75463776938634,-14.8766208779041, 7.10063658866341)); #482109=CARTESIAN_POINT('Ctrl Pts',(3.7777428908506,-14.865034437658,7.08848848682881)); #482110=CARTESIAN_POINT('Ctrl Pts',(3.80085849584742,-14.853642309535,7.07606169468952)); #482111=CARTESIAN_POINT('Ctrl Pts',(3.80132864640115,-14.8534106039254, 7.07580894494725)); #482112=CARTESIAN_POINT('Ctrl Pts',(3.80179880154094,-14.8531789787116, 7.07555608092838)); #482113=CARTESIAN_POINT('Ctrl Pts',(3.80226896103961,-14.852947433107,7.07530310303468)); #482114=CARTESIAN_POINT('Ctrl Pts',(3.82940685917891,-14.8395824770666, 7.06070106196078)); #482115=CARTESIAN_POINT('Ctrl Pts',(3.85655826259982,-14.8264824836745, 7.04571537934821)); #482116=CARTESIAN_POINT('Ctrl Pts',(3.88359382602778,-14.8134043450235, 7.03058184881859)); #482117=CARTESIAN_POINT('Ctrl Pts',(3.88608962149539,-14.8121970330599, 7.02918479278884)); #482118=CARTESIAN_POINT('Ctrl Pts',(3.88858445940827,-14.8109899048867, 7.02778649860231)); #482119=CARTESIAN_POINT('Ctrl Pts',(3.89107825593015,-14.8097827676445, 7.02638716538099)); #482120=CARTESIAN_POINT('Ctrl Pts',(3.9205859636595,-14.7954993838079,7.00982963338168)); #482121=CARTESIAN_POINT('Ctrl Pts',(3.94994716443821,-14.781218325594,6.9931277408737)); #482122=CARTESIAN_POINT('Ctrl Pts',(3.97916529400176,-14.7671027738822, 6.97615587260363)); #482123=CARTESIAN_POINT('Origin',(4.43043967120149,-15.1140234325594,7.46451792447794)); #482124=CARTESIAN_POINT('Ctrl Pts',(4.215069325039,-14.39856748992,7.547135362769)); #482125=CARTESIAN_POINT('Ctrl Pts',(4.138265135093,-14.40832284733,7.434153306001)); #482126=CARTESIAN_POINT('Ctrl Pts',(4.003081683528,-14.50349919489,7.203182218413)); #482127=CARTESIAN_POINT('Ctrl Pts',(3.942518275905,-14.71911814137,7.028499055849)); #482128=CARTESIAN_POINT('Ctrl Pts',(3.935777938116,-14.84190846678,6.96839018521)); #482129=CARTESIAN_POINT('Ctrl Pts',(4.28801354974,-14.37705107629,7.490995663171)); #482130=CARTESIAN_POINT('Ctrl Pts',(4.212060670037,-14.38766240251,7.382013860536)); #482131=CARTESIAN_POINT('Ctrl Pts',(4.077944943695,-14.48020117933,7.159483302326)); #482132=CARTESIAN_POINT('Ctrl Pts',(4.014947407601,-14.68705508385,6.988246662821)); #482133=CARTESIAN_POINT('Ctrl Pts',(4.006012096369,-14.80533683417,6.927631421695)); #482134=CARTESIAN_POINT('Ctrl Pts',(4.38967980763,-14.34622416831,7.409477461867)); #482135=CARTESIAN_POINT('Ctrl Pts',(4.314986769943,-14.35793019035,7.305955057707)); #482136=CARTESIAN_POINT('Ctrl Pts',(4.182513455487,-14.44701422014,7.094892024381)); #482137=CARTESIAN_POINT('Ctrl Pts',(4.116458104465,-14.64228911905,6.928920624545)); #482138=CARTESIAN_POINT('Ctrl Pts',(4.104639115535,-14.75446496732,6.868113795739)); #482139=CARTESIAN_POINT('Ctrl Pts',(4.51807258015,-14.30585881482,7.300550443794)); #482140=CARTESIAN_POINT('Ctrl Pts',(4.445024530595,-14.31877686166,7.203523684449)); #482141=CARTESIAN_POINT('Ctrl Pts',(4.314802998327,-14.40397431725,7.006034881914)); #482142=CARTESIAN_POINT('Ctrl Pts',(4.245583090533,-14.58606491086,6.847072893622)); #482143=CARTESIAN_POINT('Ctrl Pts',(4.230568386992,-14.69110396745,6.78672307537)); #482144=CARTESIAN_POINT('Ctrl Pts',(4.701555130423,-14.24698953433,7.13999169849)); #482145=CARTESIAN_POINT('Ctrl Pts',(4.630848935376,-14.26149085992,7.051699319095)); #482146=CARTESIAN_POINT('Ctrl Pts',(4.503935585822,-14.34165044286,6.872413449992)); #482147=CARTESIAN_POINT('Ctrl Pts',(4.430894047258,-14.50645318969,6.723402815332)); #482148=CARTESIAN_POINT('Ctrl Pts',(4.411803957462,-14.60199797803,6.664156542046)); #482149=CARTESIAN_POINT('Ctrl Pts',(4.850518223242,-14.19646031834,6.9971820564)); #482150=CARTESIAN_POINT('Ctrl Pts',(4.781972004958,-14.21209537004,6.916626585806)); #482151=CARTESIAN_POINT('Ctrl Pts',(4.658081326768,-14.28804952786,6.753347266072)); #482152=CARTESIAN_POINT('Ctrl Pts',(4.582035484255,-14.43870065524,6.61438734692)); #482153=CARTESIAN_POINT('Ctrl Pts',(4.559572014557,-14.52622233791,6.557284701799)); #482154=CARTESIAN_POINT('Ctrl Pts',(4.966778453431,-14.15547444506,6.874012901833)); #482155=CARTESIAN_POINT('Ctrl Pts',(4.900116199267,-14.17186029756,6.799951110538)); #482156=CARTESIAN_POINT('Ctrl Pts',(4.778915054176,-14.2444710802,6.650026897541)); #482157=CARTESIAN_POINT('Ctrl Pts',(4.700849669199,-14.38417994414,6.520317989544)); #482158=CARTESIAN_POINT('Ctrl Pts',(4.675887635895,-14.46537040048,6.465820318768)); #482159=CARTESIAN_POINT('',(4.653640870511,-14.44551521068,6.519363334803)); #482160=CARTESIAN_POINT('Ctrl Pts',(3.979165294259,-14.76710277408,6.976155872882)); #482161=CARTESIAN_POINT('Ctrl Pts',(4.037386452319,-14.7389845587,6.942338200726)); #482162=CARTESIAN_POINT('Ctrl Pts',(4.124363822479,-14.69698323896,6.890099751365)); #482163=CARTESIAN_POINT('Ctrl Pts',(4.239021191514,-14.64295022734,6.816364296293)); #482164=CARTESIAN_POINT('Ctrl Pts',(4.409559423269,-14.56395265211,6.701429747842)); #482165=CARTESIAN_POINT('Ctrl Pts',(4.547080244019,-14.49788920177,6.602218888834)); #482166=CARTESIAN_POINT('Ctrl Pts',(4.653640870511,-14.44551521068,6.519363334803)); #482167=CARTESIAN_POINT('Ctrl Pts',(4.6536408705118,-14.4455152106807,6.51936333480357)); #482168=CARTESIAN_POINT('Ctrl Pts',(4.67782907888381,-14.3785368874061, 6.56877359127178)); #482169=CARTESIAN_POINT('Ctrl Pts',(4.71198231389182,-14.320471296988,6.6253680017492)); #482170=CARTESIAN_POINT('Ctrl Pts',(4.75406948599929,-14.2747624936962, 6.68578418580353)); #482171=CARTESIAN_POINT('Ctrl Pts',(4.7961566581063,-14.2290536904049,6.74620036985719)); #482172=CARTESIAN_POINT('Ctrl Pts',(4.84617776731259,-14.1957016742397, 6.81043832748766)); #482173=CARTESIAN_POINT('Ctrl Pts',(4.90116494846946,-14.1766915092313, 6.874684433915)); #482174=CARTESIAN_POINT('Ctrl Pts',(4.901054955486,-14.17891367532,6.950449810748)); #482175=CARTESIAN_POINT('Ctrl Pts',(4.831817680371,-14.19432816559,6.871192759084)); #482176=CARTESIAN_POINT('Ctrl Pts',(4.706316460292,-14.26965434776,6.710246342305)); #482177=CARTESIAN_POINT('Ctrl Pts',(4.628495633921,-14.41934144115,6.572888050749)); #482178=CARTESIAN_POINT('Ctrl Pts',(4.605171254487,-14.50639321066,6.516332687331)); #482179=CARTESIAN_POINT('Ctrl Pts',(4.951547836146,-14.16135727681,6.898814918567)); #482180=CARTESIAN_POINT('Ctrl Pts',(4.883108621837,-14.17716542493,6.822302715583)); #482181=CARTESIAN_POINT('Ctrl Pts',(4.75875050758,-14.25107793729,6.667041783021)); #482182=CARTESIAN_POINT('Ctrl Pts',(4.679983705037,-14.3959409622,6.533442864261)); #482183=CARTESIAN_POINT('Ctrl Pts',(4.655526798674,-14.48022891384,6.477817985599)); #482184=CARTESIAN_POINT('Ctrl Pts',(5.050539950793,-14.12632604816,6.793180990544)); #482185=CARTESIAN_POINT('Ctrl Pts',(4.983792517799,-14.14286822373,6.72248007293)); #482186=CARTESIAN_POINT('Ctrl Pts',(4.861849318824,-14.21384294304,6.579219268949)); #482187=CARTESIAN_POINT('Ctrl Pts',(4.781204991014,-14.34887469199,6.453915202288)); #482188=CARTESIAN_POINT('Ctrl Pts',(4.754445914438,-14.42747489153,6.40059335504)); #482189=CARTESIAN_POINT('Ctrl Pts',(5.145058214475,-14.09180594853,6.68304426911)); #482190=CARTESIAN_POINT('Ctrl Pts',(5.079955786922,-14.10891173665,6.617649560287)); #482191=CARTESIAN_POINT('Ctrl Pts',(4.960453398328,-14.17736193311,6.485289078907)); #482192=CARTESIAN_POINT('Ctrl Pts',(4.878601485363,-14.30404062452,6.368071659145)); #482193=CARTESIAN_POINT('Ctrl Pts',(4.850055815152,-14.37771673812,6.317369718004)); #482194=CARTESIAN_POINT('Ctrl Pts',(5.191250667316,-14.0746896443,6.626809918577)); #482195=CARTESIAN_POINT('Ctrl Pts',(5.126942399901,-14.09204303593,6.563911750473)); #482196=CARTESIAN_POINT('Ctrl Pts',(5.008638287895,-14.15934053162,6.436669872498)); #482197=CARTESIAN_POINT('Ctrl Pts',(4.926327061439,-14.28221727785,6.323346099542)); #482198=CARTESIAN_POINT('Ctrl Pts',(4.897010741534,-14.35363748151,6.273968196217)); #482199=CARTESIAN_POINT('',(4.88001603925,-14.33388505248,6.330482603735)); #482200=CARTESIAN_POINT('Ctrl Pts',(4.65364087051208,-14.445515210681,6.51936333480378)); #482201=CARTESIAN_POINT('Ctrl Pts',(4.69244137728298,-14.4264397815545, 6.48919612087958)); #482202=CARTESIAN_POINT('Ctrl Pts',(4.73067844016921,-14.407430856628,6.45870478381613)); #482203=CARTESIAN_POINT('Ctrl Pts',(4.76840834529349,-14.3887601342254, 6.42734301151292)); #482204=CARTESIAN_POINT('Ctrl Pts',(4.80613825099242,-14.3700894115384, 6.39598123873204)); #482205=CARTESIAN_POINT('Ctrl Pts',(4.84336274767422,-14.3517584907129, 6.36375017664835)); #482206=CARTESIAN_POINT('Ctrl Pts',(4.8800160392503,-14.3338850524803,6.33048260373518)); #482207=CARTESIAN_POINT('Ctrl Pts',(4.8800160392503,-14.3338850524803,6.33048260373518)); #482208=CARTESIAN_POINT('Ctrl Pts',(4.90724646357627,-14.2763953021753, 6.37425297953963)); #482209=CARTESIAN_POINT('Ctrl Pts',(4.94252562099186,-14.2264093355492, 6.42286107453867)); #482210=CARTESIAN_POINT('Ctrl Pts',(4.98418517078108,-14.1862867592,6.47400962018047)); #482211=CARTESIAN_POINT('Ctrl Pts',(5.02584472057036,-14.1461641828508, 6.52515816582236)); #482212=CARTESIAN_POINT('Ctrl Pts',(5.07388466273328,-14.1159049967785, 6.57884716210701)); #482213=CARTESIAN_POINT('Ctrl Pts',(5.12603656255192,-14.0969411277525, 6.632540403893)); #482214=CARTESIAN_POINT('Ctrl Pts',(5.133100425709,-14.09692285602,6.711677885553)); #482215=CARTESIAN_POINT('Ctrl Pts',(5.064931768339,-14.11321595086,6.642887298537)); #482216=CARTESIAN_POINT('Ctrl Pts',(4.939948589153,-14.18355630188,6.503193533195)); #482217=CARTESIAN_POINT('Ctrl Pts',(4.856172136879,-14.31766766724,6.380394698546)); #482218=CARTESIAN_POINT('Ctrl Pts',(4.827944858029,-14.39580451243,6.327969910947)); #482219=CARTESIAN_POINT('Ctrl Pts',(5.212098687636,-14.06794415436,6.618721770215)); #482220=CARTESIAN_POINT('Ctrl Pts',(5.145374002382,-14.08483888129,6.55449798191)); #482221=CARTESIAN_POINT('Ctrl Pts',(5.022552261256,-14.15309358617,6.424249826614)); #482222=CARTESIAN_POINT('Ctrl Pts',(4.937679253883,-14.27991053986,6.308374773201)); #482223=CARTESIAN_POINT('Ctrl Pts',(4.907839570176,-14.35375742131,6.258114086747)); #482224=CARTESIAN_POINT('Ctrl Pts',(5.363111348638,-14.01110223058,6.427372748815)); #482225=CARTESIAN_POINT('Ctrl Pts',(5.299131859913,-14.02893245764,6.371399263)); #482226=CARTESIAN_POINT('Ctrl Pts',(5.18054284303,-14.09362885418,6.258125967928)); #482227=CARTESIAN_POINT('Ctrl Pts',(5.094419898429,-14.20813714579,6.155404598197)); #482228=CARTESIAN_POINT('Ctrl Pts',(5.062134850476,-14.27462703452,6.10972651423)); #482229=CARTESIAN_POINT('Ctrl Pts',(5.501089343211,-13.95680329945,6.225210253803)); #482230=CARTESIAN_POINT('Ctrl Pts',(5.439366797479,-13.9752353341,6.175662746105)); #482231=CARTESIAN_POINT('Ctrl Pts',(5.324408073691,-14.03750657428,6.075520519619)); #482232=CARTESIAN_POINT('Ctrl Pts',(5.238198990802,-14.14360281304,5.983691061966)); #482233=CARTESIAN_POINT('Ctrl Pts',(5.20461403518,-14.20495751747,5.94227120393)); #482234=CARTESIAN_POINT('Ctrl Pts',(5.567026108304,-13.93035287787,6.12166613718)); #482235=CARTESIAN_POINT('Ctrl Pts',(5.506320364406,-13.94903805973,6.07494459641)); #482236=CARTESIAN_POINT('Ctrl Pts',(5.393022887067,-14.01029831427,5.980566616632)); #482237=CARTESIAN_POINT('Ctrl Pts',(5.306919956034,-14.11286583416,5.893601417629)); #482238=CARTESIAN_POINT('Ctrl Pts',(5.272859859602,-14.17205480381,5.854134820081)); #482239=CARTESIAN_POINT('',(5.26591556421,-14.15065964765,5.914961692299)); #482240=CARTESIAN_POINT('Ctrl Pts',(4.88001603925,-14.33388505248,6.330482603735)); #482241=CARTESIAN_POINT('Ctrl Pts',(4.948828044884,-14.30032924469,6.268025672748)); #482242=CARTESIAN_POINT('Ctrl Pts',(5.08207203127,-14.23637671286,6.136426168076)); #482243=CARTESIAN_POINT('Ctrl Pts',(5.206153877092,-14.17835782339,5.990980277523)); #482244=CARTESIAN_POINT('Ctrl Pts',(5.26591556421,-14.15065964765,5.914961692299)); #482245=CARTESIAN_POINT('Origin',(5.77865378451205,-14.6516094825312,6.13552637642375)); #482246=CARTESIAN_POINT('Ctrl Pts',(5.521810817047,-13.94942544789,6.213176958178)); #482247=CARTESIAN_POINT('Ctrl Pts',(5.456697237163,-13.96748352346,6.161642340871)); #482248=CARTESIAN_POINT('Ctrl Pts',(5.335281969799,-14.03169187449,6.056999763982)); #482249=CARTESIAN_POINT('Ctrl Pts',(5.245447072342,-14.14401437317,5.961432267427)); #482250=CARTESIAN_POINT('Ctrl Pts',(5.211087674582,-14.20925209124,5.918644567907)); #482251=CARTESIAN_POINT('Ctrl Pts',(5.597460991951,-13.91928108893,6.098196363811)); #482252=CARTESIAN_POINT('Ctrl Pts',(5.533631439706,-13.93777163856,6.05019577108)); #482253=CARTESIAN_POINT('Ctrl Pts',(5.414311509135,-14.00075083071,5.952829416233)); #482254=CARTESIAN_POINT('Ctrl Pts',(5.324445431319,-14.10840731683,5.86326764568)); #482255=CARTESIAN_POINT('Ctrl Pts',(5.289337979345,-14.17078769506,5.822791848416)); #482256=CARTESIAN_POINT('Ctrl Pts',(5.69949305278,-13.87765712856,5.929086511467)); #482257=CARTESIAN_POINT('Ctrl Pts',(5.637342719677,-13.89662856861,5.885659960234)); #482258=CARTESIAN_POINT('Ctrl Pts',(5.520805526528,-13.95812898464,5.797668263991)); #482259=CARTESIAN_POINT('Ctrl Pts',(5.431212118527,-14.06025420569,5.716114676274)); #482260=CARTESIAN_POINT('Ctrl Pts',(5.395371533081,-14.11921330881,5.678894311278)); #482261=CARTESIAN_POINT('Ctrl Pts',(5.82397415979,-13.82550174124,5.705023905087)); #482262=CARTESIAN_POINT('Ctrl Pts',(5.763362112779,-13.84493319422,5.665029377681)); #482263=CARTESIAN_POINT('Ctrl Pts',(5.649526113632,-13.90552761101,5.584056631838)); #482264=CARTESIAN_POINT('Ctrl Pts',(5.56084972465,-14.00366890448,5.508594442635)); #482265=CARTESIAN_POINT('Ctrl Pts',(5.524829556643,-14.06011339202,5.473909990258)); #482266=CARTESIAN_POINT('Ctrl Pts',(5.909332134899,-13.78917240907,5.547563150186)); #482267=CARTESIAN_POINT('Ctrl Pts',(5.849453225674,-13.80888134633,5.508540164256)); #482268=CARTESIAN_POINT('Ctrl Pts',(5.737018574288,-13.86938588605,5.429556641292)); #482269=CARTESIAN_POINT('Ctrl Pts',(5.649223026207,-13.96632124567,5.355806379963)); #482270=CARTESIAN_POINT('Ctrl Pts',(5.613436572826,-14.0219623474,5.321825333977)); #482271=CARTESIAN_POINT('Ctrl Pts',(5.993804084253,-13.75260416824,5.38975290491)); #482272=CARTESIAN_POINT('Ctrl Pts',(5.934661125699,-13.77257434174,5.351652163559)); #482273=CARTESIAN_POINT('Ctrl Pts',(5.823631601586,-13.83297478892,5.274557050161)); #482274=CARTESIAN_POINT('Ctrl Pts',(5.736728757824,-13.92872329742,5.202431204902)); #482275=CARTESIAN_POINT('Ctrl Pts',(5.701186301985,-13.98357645769,5.169117060728)); #482276=CARTESIAN_POINT('Ctrl Pts',(6.104015646988,-13.70405068785,5.177385334379)); #482277=CARTESIAN_POINT('Ctrl Pts',(6.046089332717,-13.724354469,5.141599799506)); #482278=CARTESIAN_POINT('Ctrl Pts',(5.937247566573,-13.78419305734,5.069230957621)); #482279=CARTESIAN_POINT('Ctrl Pts',(5.851347657294,-13.87729163352,5.001261571738)); #482280=CARTESIAN_POINT('Ctrl Pts',(5.815878402677,-13.9304535131,4.969711465058)); #482281=CARTESIAN_POINT('Ctrl Pts',(6.209116006576,-13.65666885455,4.962633462856)); #482282=CARTESIAN_POINT('Ctrl Pts',(6.15210193111,-13.67728402796,4.928365622714)); #482283=CARTESIAN_POINT('Ctrl Pts',(6.044952843057,-13.73693579722,4.859090533413)); #482284=CARTESIAN_POINT('Ctrl Pts',(5.960025794828,-13.82844916587,4.793870303745)); #482285=CARTESIAN_POINT('Ctrl Pts',(5.924773839516,-13.88056277858,4.763504322163)); #482286=CARTESIAN_POINT('Ctrl Pts',(6.316504151299,-13.60725259776,4.751559724308)); #482287=CARTESIAN_POINT('Ctrl Pts',(6.259710308326,-13.62817759153,4.715608502937)); #482288=CARTESIAN_POINT('Ctrl Pts',(6.153309931017,-13.68879826429,4.642915788142)); #482289=CARTESIAN_POINT('Ctrl Pts',(6.069857506135,-13.78178928719,4.574570797487)); #482290=CARTESIAN_POINT('Ctrl Pts',(6.035535588921,-13.83470505471,4.54280433927)); #482291=CARTESIAN_POINT('Ctrl Pts',(6.383759913148,-13.57573418403,4.618609871338)); #482292=CARTESIAN_POINT('Ctrl Pts',(6.327608299105,-13.59683339269,4.583609633276)); #482293=CARTESIAN_POINT('Ctrl Pts',(6.222394369149,-13.65725458654,4.51285766294)); #482294=CARTESIAN_POINT('Ctrl Pts',(6.139621244232,-13.74910826504,4.446223259077)); #482295=CARTESIAN_POINT('Ctrl Pts',(6.105451937691,-13.801286687,4.415184958309)); #482296=CARTESIAN_POINT('Ctrl Pts',(6.443238749373,-13.54751240965,4.495988355467)); #482297=CARTESIAN_POINT('Ctrl Pts',(6.387844853802,-13.56875413863,4.462686934673)); #482298=CARTESIAN_POINT('Ctrl Pts',(6.283938588414,-13.62868442245,4.395393729911)); #482299=CARTESIAN_POINT('Ctrl Pts',(6.201645837579,-13.71873865352,4.33185943309)); #482300=CARTESIAN_POINT('Ctrl Pts',(6.167432322546,-13.76978683027,4.302172830397)); #482301=CARTESIAN_POINT('Ctrl Pts',(6.475446880787,-13.53206864174,4.426247463396)); #482302=CARTESIAN_POINT('Ctrl Pts',(6.420575383128,-13.55337755663,4.394379259694)); #482303=CARTESIAN_POINT('Ctrl Pts',(6.317534336651,-13.61286174321,4.329999996945)); #482304=CARTESIAN_POINT('Ctrl Pts',(6.235449706767,-13.70149623966,4.269103274546)); #482305=CARTESIAN_POINT('Ctrl Pts',(6.2011202564,-13.75166366441,4.240582469179)); #482306=CARTESIAN_POINT('Ctrl Pts',(6.494469296058,-13.52290262453,4.38353099413)); #482307=CARTESIAN_POINT('Ctrl Pts',(6.439883063168,-13.54424990785,4.352438069582)); #482308=CARTESIAN_POINT('Ctrl Pts',(6.337320079021,-13.60350774982,4.289633690041)); #482309=CARTESIAN_POINT('Ctrl Pts',(6.255373338115,-13.69140535324,4.230171213468)); #482310=CARTESIAN_POINT('Ctrl Pts',(6.220998415763,-13.74111351337,4.202289618538)); #482311=CARTESIAN_POINT('',(6.22511687319574,-13.7177440634682,4.26368210525178)); #482312=CARTESIAN_POINT('Origin',(6.75703198710416,-14.2269117730268,4.40621187190395)); #482313=CARTESIAN_POINT('Ctrl Pts',(5.26591556421,-14.15065964765,5.914961692299)); #482314=CARTESIAN_POINT('Ctrl Pts',(5.334120259111,-14.11903279654,5.828215794933)); #482315=CARTESIAN_POINT('Ctrl Pts',(5.430739128717,-14.07483352644,5.692785521492)); #482316=CARTESIAN_POINT('Ctrl Pts',(5.552003979609,-14.02100049335,5.499234874994)); #482317=CARTESIAN_POINT('Ctrl Pts',(5.640394419964,-13.98341185125,5.34660514669)); #482318=CARTESIAN_POINT('Ctrl Pts',(5.727939913716,-13.94554949489,5.193400410412)); #482319=CARTESIAN_POINT('Ctrl Pts',(5.842507788966,-13.89357283322,4.99268062166)); #482320=CARTESIAN_POINT('Ctrl Pts',(5.951198593733,-13.84439254876,4.785591939653)); #482321=CARTESIAN_POINT('Ctrl Pts',(6.061339304324,-13.79795804865,4.565929388485)); #482322=CARTESIAN_POINT('Ctrl Pts',(6.131085865042,-13.7650747204,4.437745134538)); #482323=CARTESIAN_POINT('Ctrl Pts',(6.185576260195,-13.73800322367,4.337644493462)); #482324=CARTESIAN_POINT('Ctrl Pts',(6.212045045963,-13.72448422408,4.288386205802)); #482325=CARTESIAN_POINT('Ctrl Pts',(6.225116895088,-13.71774408452,4.263682111093)); #482326=CARTESIAN_POINT('Ctrl Pts',(6.462376332865,-13.53929071009,4.479810441874)); #482327=CARTESIAN_POINT('Ctrl Pts',(6.403635812621,-13.56072360135,4.445140657457)); #482328=CARTESIAN_POINT('Ctrl Pts',(6.29379604016,-13.62285015138,4.375061259448)); #482329=CARTESIAN_POINT('Ctrl Pts',(6.207733497177,-13.71809736109,4.309063705262)); #482330=CARTESIAN_POINT('Ctrl Pts',(6.172481243585,-13.77211854334,4.278457082045)); #482331=CARTESIAN_POINT('Ctrl Pts',(6.494755521504,-13.52374217523,4.409999512582)); #482332=CARTESIAN_POINT('Ctrl Pts',(6.436600365314,-13.54528266403,4.376914397367)); #482333=CARTESIAN_POINT('Ctrl Pts',(6.32772665739,-13.60693795727,4.310064721143)); #482334=CARTESIAN_POINT('Ctrl Pts',(6.241859753431,-13.70057732355,4.246972224365)); #482335=CARTESIAN_POINT('Ctrl Pts',(6.20644534909,-13.75360287095,4.217630691757)); #482336=CARTESIAN_POINT('Ctrl Pts',(6.54994667537,-13.49703341538,4.284460401284)); #482337=CARTESIAN_POINT('Ctrl Pts',(6.492605775198,-13.51873992408,4.253466196179)); #482338=CARTESIAN_POINT('Ctrl Pts',(6.38511504643,-13.57985843366,4.190870648875)); #482339=CARTESIAN_POINT('Ctrl Pts',(6.299682807207,-13.67150915202,4.131642232069)); #482340=CARTESIAN_POINT('Ctrl Pts',(6.26416106638,-13.7232886113,4.104006826949)); #482341=CARTESIAN_POINT('Ctrl Pts',(6.615021905851,-13.4651627653,4.131532344693)); #482342=CARTESIAN_POINT('Ctrl Pts',(6.558154924906,-13.48706289341,4.101054961219)); #482343=CARTESIAN_POINT('Ctrl Pts',(6.451584235105,-13.54824095452,4.03951315884)); #482344=CARTESIAN_POINT('Ctrl Pts',(6.366826873265,-13.63937752848,3.981230504835)); #482345=CARTESIAN_POINT('Ctrl Pts',(6.331541489585,-13.69079105285,3.954005528686)); #482346=CARTESIAN_POINT('Ctrl Pts',(6.662503917036,-13.44171749396,4.022447117052)); #482347=CARTESIAN_POINT('Ctrl Pts',(6.605796050533,-13.46375228462,3.99143320439)); #482348=CARTESIAN_POINT('Ctrl Pts',(6.499639275049,-13.52525203625,3.928805172583)); #482349=CARTESIAN_POINT('Ctrl Pts',(6.415488850147,-13.61676926463,3.869508401569)); #482350=CARTESIAN_POINT('Ctrl Pts',(6.380551393379,-13.66837367351,3.841818475844)); #482351=CARTESIAN_POINT('Ctrl Pts',(6.710162163877,-13.41800361427,3.913185237759)); #482352=CARTESIAN_POINT('Ctrl Pts',(6.653747179741,-13.44016335286,3.882097196305)); #482353=CARTESIAN_POINT('Ctrl Pts',(6.548206214424,-13.5017983184,3.819322612979)); #482354=CARTESIAN_POINT('Ctrl Pts',(6.464649507454,-13.59323799136,3.759870935985)); #482355=CARTESIAN_POINT('Ctrl Pts',(6.429984236373,-13.64475932971,3.732098969328)); #482356=CARTESIAN_POINT('Ctrl Pts',(6.769715869633,-13.38805063254,3.776371624669)); #482357=CARTESIAN_POINT('Ctrl Pts',(6.713788312089,-13.4103554995,3.745613185625)); #482358=CARTESIAN_POINT('Ctrl Pts',(6.609196954309,-13.47198413449,3.68351371133)); #482359=CARTESIAN_POINT('Ctrl Pts',(6.526361162193,-13.56290310619,3.624652253138)); #482360=CARTESIAN_POINT('Ctrl Pts',(6.491961589958,-13.61406796284,3.597126465195)); #482361=CARTESIAN_POINT('Ctrl Pts',(6.863322122959,-13.34030431843,3.55587438276)); #482362=CARTESIAN_POINT('Ctrl Pts',(6.808357208622,-13.36283029881,3.526582595301)); #482363=CARTESIAN_POINT('Ctrl Pts',(6.705524344572,-13.42414339761,3.467468848354)); #482364=CARTESIAN_POINT('Ctrl Pts',(6.623699550763,-13.51346227346,3.411310704384)); #482365=CARTESIAN_POINT('Ctrl Pts',(6.58953563762,-13.56359595941,3.384972912605)); #482366=CARTESIAN_POINT('Ctrl Pts',(6.975943978224,-13.28172485702,3.277530302727)); #482367=CARTESIAN_POINT('Ctrl Pts',(6.922148144572,-13.3045121748,3.250361894634)); #482368=CARTESIAN_POINT('Ctrl Pts',(6.821417182153,-13.36539577613,3.195562233419)); #482369=CARTESIAN_POINT('Ctrl Pts',(6.740719797869,-13.45267128786,3.143353867302)); #482370=CARTESIAN_POINT('Ctrl Pts',(6.706775668897,-13.5014911327,3.118779168023)); #482371=CARTESIAN_POINT('Ctrl Pts',(7.102601325395,-13.21426502288,2.93876078683)); #482372=CARTESIAN_POINT('Ctrl Pts',(7.050078113134,-13.2373150298,2.913862322947)); #482373=CARTESIAN_POINT('Ctrl Pts',(6.951647334475,-13.29775726761,2.863667399581)); #482374=CARTESIAN_POINT('Ctrl Pts',(6.872256720104,-13.38294776543,2.815711357723)); #482375=CARTESIAN_POINT('Ctrl Pts',(6.838615774868,-13.43042347412,2.793057313346)); #482376=CARTESIAN_POINT('Ctrl Pts',(7.203000813229,-13.15962048023,2.65400036098)); #482377=CARTESIAN_POINT('Ctrl Pts',(7.151325940133,-13.18287916771,2.630285670552)); #482378=CARTESIAN_POINT('Ctrl Pts',(7.054476851144,-13.24318452865,2.582490984012)); #482379=CARTESIAN_POINT('Ctrl Pts',(6.976145934701,-13.32728383676,2.536757272556)); #482380=CARTESIAN_POINT('Ctrl Pts',(6.942843566063,-13.37403379909,2.515110498783)); #482381=CARTESIAN_POINT('Ctrl Pts',(7.281595355895,-13.11617147254,2.425489146533)); #482382=CARTESIAN_POINT('Ctrl Pts',(7.230509562591,-13.1395975544,2.402393231534)); #482383=CARTESIAN_POINT('Ctrl Pts',(7.134784943707,-13.19989499286,2.355853938691)); #482384=CARTESIAN_POINT('Ctrl Pts',(7.057287309012,-13.2833896762,2.311278709345)); #482385=CARTESIAN_POINT('Ctrl Pts',(7.024289716201,-13.3297222579,2.290154606869)); #482386=CARTESIAN_POINT('Ctrl Pts',(7.339193842623,-13.08397283861,2.252994305899)); #482387=CARTESIAN_POINT('Ctrl Pts',(7.28865823179,-13.10749968457,2.230861056932)); #482388=CARTESIAN_POINT('Ctrl Pts',(7.193931527167,-13.16761621028,2.186271169132)); #482389=CARTESIAN_POINT('Ctrl Pts',(7.117032921986,-13.25027812313,2.143513708952)); #482390=CARTESIAN_POINT('Ctrl Pts',(7.084195394674,-13.29607293489,2.123221436042)); #482391=CARTESIAN_POINT('Ctrl Pts',(7.394250583304,-13.05285609736,2.078362849487)); #482392=CARTESIAN_POINT('Ctrl Pts',(7.344407950047,-13.07644096344,2.057872276205)); #482393=CARTESIAN_POINT('Ctrl Pts',(7.250886759939,-13.13614141991,2.01660417964)); #482394=CARTESIAN_POINT('Ctrl Pts',(7.174572821479,-13.21749135908,1.976967968271)); #482395=CARTESIAN_POINT('Ctrl Pts',(7.141820175905,-13.26246636791,1.958118599326)); #482396=CARTESIAN_POINT('Ctrl Pts',(7.451138892827,-13.02042795463,1.887791677963)); #482397=CARTESIAN_POINT('Ctrl Pts',(7.401836178618,-13.04409424818,1.868216376398)); #482398=CARTESIAN_POINT('Ctrl Pts',(7.309301240983,-13.10361526078,1.828798828043)); #482399=CARTESIAN_POINT('Ctrl Pts',(7.233614248788,-13.18420090141,1.790902982486)); #482400=CARTESIAN_POINT('Ctrl Pts',(7.201049451359,-13.22868356067,1.772859069636)); #482401=CARTESIAN_POINT('Ctrl Pts',(7.49037433657,-12.9978639123,1.756320577899)); #482402=CARTESIAN_POINT('Ctrl Pts',(7.441233720451,-13.02162483988,1.736411101568)); #482403=CARTESIAN_POINT('Ctrl Pts',(7.349063429453,-13.08132187885,1.696320534806)); #482404=CARTESIAN_POINT('Ctrl Pts',(7.273804754085,-13.1620466586,1.657778228269)); #482405=CARTESIAN_POINT('Ctrl Pts',(7.241464781721,-13.20658722083,1.639426851258)); #482406=CARTESIAN_POINT('Ctrl Pts',(7.513015218123,-12.98477621516,1.683500231934)); #482407=CARTESIAN_POINT('Ctrl Pts',(7.463902209504,-13.00860333456,1.663081148761)); #482408=CARTESIAN_POINT('Ctrl Pts',(7.371846701447,-13.06849886289,1.621962493304)); #482409=CARTESIAN_POINT('Ctrl Pts',(7.296844697565,-13.1495218905,1.582441703158)); #482410=CARTESIAN_POINT('Ctrl Pts',(7.264671671042,-13.19422603192,1.563630366844)); #482411=CARTESIAN_POINT('',(7.27839614551744,-13.165685168536,1.62660310988762)); #482412=CARTESIAN_POINT('Ctrl Pts',(6.22511676356631,-13.7177439584253, 4.26368207590487)); #482413=CARTESIAN_POINT('Ctrl Pts',(6.2471179030315,-13.7064015007548,4.22209410395552)); #482414=CARTESIAN_POINT('Ctrl Pts',(6.26866708804681,-13.6953360411129, 4.17953281426678)); #482415=CARTESIAN_POINT('Ctrl Pts',(6.28972972944713,-13.6847880103,4.13579125086375)); #482416=CARTESIAN_POINT('Ctrl Pts',(6.2936941006788,-13.6828026795378,4.12755829506835)); #482417=CARTESIAN_POINT('Ctrl Pts',(6.2976413614589,-13.6808356435243,4.11928358966673)); #482418=CARTESIAN_POINT('Ctrl Pts',(6.30157149868591,-13.6788884394946, 4.11096586569864)); #482419=CARTESIAN_POINT('Ctrl Pts',(6.31860371519358,-13.6704497518093, 4.0749189626791)); #482420=CARTESIAN_POINT('Ctrl Pts',(6.33531317296002,-13.66238190634,4.03806413245651)); #482421=CARTESIAN_POINT('Ctrl Pts',(6.35196852436344,-13.6544738291115, 4.00059431163111)); #482422=CARTESIAN_POINT('Ctrl Pts',(6.35957508599764,-13.6508621804954, 3.98348170351933)); #482423=CARTESIAN_POINT('Ctrl Pts',(6.3671709917453,-13.6472835893023,3.96624118845636)); #482424=CARTESIAN_POINT('Ctrl Pts',(6.37478467240215,-13.6437167266509, 3.94889280999853)); #482425=CARTESIAN_POINT('Ctrl Pts',(6.37743323490923,-13.6424759261613, 3.9428578491415)); #482426=CARTESIAN_POINT('Ctrl Pts',(6.38008343785663,-13.6412371905555, 3.93680944777287)); #482427=CARTESIAN_POINT('Ctrl Pts',(6.38273627802134,-13.6399985181823, 3.93075371802574)); #482428=CARTESIAN_POINT('Ctrl Pts',(6.39224535868522,-13.6355585086899, 3.90904701160217)); #482429=CARTESIAN_POINT('Ctrl Pts',(6.4017885909614,-13.63111921406,3.88724627002425)); #482430=CARTESIAN_POINT('Ctrl Pts',(6.4113627129614,-13.6266090962492,3.86560557020024)); #482431=CARTESIAN_POINT('Ctrl Pts',(6.42360782033646,-13.6208407472698, 3.83792755512833)); #482432=CARTESIAN_POINT('Ctrl Pts',(6.4359056651003,-13.6149532427009,3.81051309670147)); #482433=CARTESIAN_POINT('Ctrl Pts',(6.44821993144488,-13.609000033098,3.78322434995103)); #482434=CARTESIAN_POINT('Ctrl Pts',(6.47284846413416,-13.5970936138923, 3.72864685644988)); #482435=CARTESIAN_POINT('Ctrl Pts',(6.49754223655155,-13.5849239468446, 3.67457211145182)); #482436=CARTESIAN_POINT('Ctrl Pts',(6.52205679046733,-13.5726338879328, 3.62088787646553)); #482437=CARTESIAN_POINT('Ctrl Pts',(6.53205964412598,-13.5676190848419, 3.59898270339207)); #482438=CARTESIAN_POINT('Ctrl Pts',(6.54203607904884,-13.5625802275095, 3.57714510234014)); #482439=CARTESIAN_POINT('Ctrl Pts',(6.55196916347083,-13.5575357154015, 3.55533787514902)); #482440=CARTESIAN_POINT('Ctrl Pts',(6.56637964558708,-13.5502173590451, 3.52370090881592)); #482441=CARTESIAN_POINT('Ctrl Pts',(6.58069913031441,-13.5428869458242, 3.49212802153033)); #482442=CARTESIAN_POINT('Ctrl Pts',(6.59491559768791,-13.5355752326213, 3.46053023779269)); #482443=CARTESIAN_POINT('Ctrl Pts',(6.63769702081208,-13.5135721929015, 3.36544345425135)); #482444=CARTESIAN_POINT('Ctrl Pts',(6.67950280427597,-13.4917935743342, 3.27009745615993)); #482445=CARTESIAN_POINT('Ctrl Pts',(6.72014651714343,-13.4704114880561, 3.17400178271073)); #482446=CARTESIAN_POINT('Ctrl Pts',(6.72513438686901,-13.4677874398752, 3.16220874840011)); #482447=CARTESIAN_POINT('Ctrl Pts',(6.73010469524243,-13.4651693951238, 3.15040438950191)); #482448=CARTESIAN_POINT('Ctrl Pts',(6.73505734867806,-13.4625580283906, 3.13858777660436)); #482449=CARTESIAN_POINT('Ctrl Pts',(6.78036675464401,-13.4386679102998, 3.03048335987573)); #482450=CARTESIAN_POINT('Ctrl Pts',(6.82420382866744,-13.4153292143359, 2.92136075189247)); #482451=CARTESIAN_POINT('Ctrl Pts',(6.86690590303918,-13.3924973141897, 2.81103807106757)); #482452=CARTESIAN_POINT('Ctrl Pts',(6.90960797741096,-13.3696654140434, 2.70071539024258)); #482453=CARTESIAN_POINT('Ctrl Pts',(6.9511740589176,-13.3473393489209,2.58919241749028)); #482454=CARTESIAN_POINT('Ctrl Pts',(6.9920747245983,-13.3252236950987,2.47688158322403)); #482455=CARTESIAN_POINT('Ctrl Pts',(7.00026291433064,-13.3207962080019, 2.45439729342914)); #482456=CARTESIAN_POINT('Ctrl Pts',(7.00842296432666,-13.3163789541853, 2.43188073773004)); #482457=CARTESIAN_POINT('Ctrl Pts',(7.01655833313929,-13.3119648930862, 2.40936128895106)); #482458=CARTESIAN_POINT('Ctrl Pts',(7.02874137479788,-13.3053546592428, 2.37563750962139)); #482459=CARTESIAN_POINT('Ctrl Pts',(7.04086890134897,-13.2987516679779, 2.34190716819063)); #482460=CARTESIAN_POINT('Ctrl Pts',(7.05292376096171,-13.2921351497713, 2.30825974175936)); #482461=CARTESIAN_POINT('Ctrl Pts',(7.07302839432399,-13.2811003740084, 2.25214385209188)); #482462=CARTESIAN_POINT('Ctrl Pts',(7.09293677272316,-13.2700205485207, 2.19626143095811)); #482463=CARTESIAN_POINT('Ctrl Pts',(7.11248129865801,-13.258989323332,2.14059975778293)); #482464=CARTESIAN_POINT('Ctrl Pts',(7.12706470943903,-13.2507582263547, 2.09906705203309)); #482465=CARTESIAN_POINT('Ctrl Pts',(7.14145250940422,-13.2425475720044, 2.05766021226227)); #482466=CARTESIAN_POINT('Ctrl Pts',(7.15554306163222,-13.2345051839212, 2.01595899273374)); #482467=CARTESIAN_POINT('Ctrl Pts',(7.16033651175408,-13.2317692523897, 2.00177269878324)); #482468=CARTESIAN_POINT('Ctrl Pts',(7.16509536750121,-13.2290528882569, 1.98755225216112)); #482469=CARTESIAN_POINT('Ctrl Pts',(7.16982202578613,-13.2263593770573, 1.97328339557016)); #482470=CARTESIAN_POINT('Ctrl Pts',(7.18844289882948,-13.2157481741601, 1.91707062302808)); #482471=CARTESIAN_POINT('Ctrl Pts',(7.20652846520705,-13.205530729784,1.86009003087765)); #482472=CARTESIAN_POINT('Ctrl Pts',(7.22449511217846,-13.1954801029133, 1.8023020993155)); #482473=CARTESIAN_POINT('Ctrl Pts',(7.24047930283255,-13.1865384714363, 1.75089054116194)); #482474=CARTESIAN_POINT('Ctrl Pts',(7.25636992349162,-13.1777280315283, 1.69884031414082)); #482475=CARTESIAN_POINT('Ctrl Pts',(7.27241732158262,-13.1689500501198, 1.64621160204706)); #482476=CARTESIAN_POINT('Ctrl Pts',(7.27440761706709,-13.1678613517115, 1.63968427048591)); #482477=CARTESIAN_POINT('Ctrl Pts',(7.27640038124881,-13.1667731268837, 1.6331480617473)); #482478=CARTESIAN_POINT('Ctrl Pts',(7.27839614150017,-13.1656851649109, 1.62660310926523)); #482479=CARTESIAN_POINT('Origin',(7.83149783418349,-13.6649237577417,1.71227306159528)); #482480=CARTESIAN_POINT('Ctrl Pts',(7.487649467349,-12.99961187564,1.774140243412)); #482481=CARTESIAN_POINT('Ctrl Pts',(7.437680895188,-13.02352609366,1.75391689457)); #482482=CARTESIAN_POINT('Ctrl Pts',(7.344122193185,-13.0838463548,1.713227510652)); #482483=CARTESIAN_POINT('Ctrl Pts',(7.267934526026,-13.16577802998,1.674131754475)); #482484=CARTESIAN_POINT('Ctrl Pts',(7.235323087189,-13.21095989336,1.655560071799)); #482485=CARTESIAN_POINT('Ctrl Pts',(7.500799058568,-12.99204020787,1.730413038127)); #482486=CARTESIAN_POINT('Ctrl Pts',(7.450875319836,-13.01598850077,1.710030391063)); #482487=CARTESIAN_POINT('Ctrl Pts',(7.357425299229,-13.07638296416,1.669020207796)); #482488=CARTESIAN_POINT('Ctrl Pts',(7.281383351927,-13.1583936118,1.629617640382)); #482489=CARTESIAN_POINT('Ctrl Pts',(7.248853007962,-13.20361393592,1.610901070247)); #482490=CARTESIAN_POINT('Ctrl Pts',(7.523244518834,-12.97908037807,1.658170076061)); #482491=CARTESIAN_POINT('Ctrl Pts',(7.473340355632,-13.00309555649,1.637243271866)); #482492=CARTESIAN_POINT('Ctrl Pts',(7.379993995196,-13.0636994454,1.595135935626)); #482493=CARTESIAN_POINT('Ctrl Pts',(7.304211836167,-13.14603443108,1.554690471293)); #482494=CARTESIAN_POINT('Ctrl Pts',(7.271854051747,-13.19143355769,1.535485284047)); #482495=CARTESIAN_POINT('Ctrl Pts',(7.563775747933,-12.95549154938,1.527529282053)); #482496=CARTESIAN_POINT('Ctrl Pts',(7.514169070766,-12.97957906688,1.506762141407)); #482497=CARTESIAN_POINT('Ctrl Pts',(7.421400371724,-13.04018679175,1.464979178415)); #482498=CARTESIAN_POINT('Ctrl Pts',(7.346085433731,-13.12227991116,1.424830082036)); #482499=CARTESIAN_POINT('Ctrl Pts',(7.313916562189,-13.16751019942,1.405756471429)); #482500=CARTESIAN_POINT('Ctrl Pts',(7.599012521143,-12.93480321893,1.410718915211)); #482501=CARTESIAN_POINT('Ctrl Pts',(7.549835957895,-12.95892287334,1.390874741997)); #482502=CARTESIAN_POINT('Ctrl Pts',(7.457819828447,-13.0192846416,1.350956461658)); #482503=CARTESIAN_POINT('Ctrl Pts',(7.38289329507,-13.10061148857,1.312561515428)); #482504=CARTESIAN_POINT('Ctrl Pts',(7.350797133633,-13.14536418106,1.294298606987)); #482505=CARTESIAN_POINT('Ctrl Pts',(7.631682167612,-12.91546100931,1.292091225877)); #482506=CARTESIAN_POINT('Ctrl Pts',(7.583109342223,-12.93956397705,1.274114139906)); #482507=CARTESIAN_POINT('Ctrl Pts',(7.492098147006,-12.99940258626,1.237962228575)); #482508=CARTESIAN_POINT('Ctrl Pts',(7.41754558541,-13.07939229779,1.203138297781)); #482509=CARTESIAN_POINT('Ctrl Pts',(7.385433449227,-13.1233307207,1.186542889386)); #482510=CARTESIAN_POINT('Ctrl Pts',(7.662378454182,-12.8972220789,1.172869034811)); #482511=CARTESIAN_POINT('Ctrl Pts',(7.61431210369,-12.92131773654,1.156465156901)); #482512=CARTESIAN_POINT('Ctrl Pts',(7.524154992725,-12.98074997542,1.123484805309)); #482513=CARTESIAN_POINT('Ctrl Pts',(7.449958466093,-13.05967970153,1.09167760486)); #482514=CARTESIAN_POINT('Ctrl Pts',(7.417863280778,-13.10296993113,1.076496757182)); #482515=CARTESIAN_POINT('Ctrl Pts',(7.691157819345,-12.88005172652,1.053575759285)); #482516=CARTESIAN_POINT('Ctrl Pts',(7.643363336384,-12.90418601706,1.037826174376)); #482517=CARTESIAN_POINT('Ctrl Pts',(7.553694614359,-12.96352099548,1.006164262931)); #482518=CARTESIAN_POINT('Ctrl Pts',(7.479793937658,-13.04205595609,0.9756140817195)); #482519=CARTESIAN_POINT('Ctrl Pts',(7.447781163053,-13.08509261887,0.9610244408917)); #482520=CARTESIAN_POINT('Ctrl Pts',(7.723597435437,-12.8606227431,0.9199876086559)); #482521=CARTESIAN_POINT('Ctrl Pts',(7.675891309741,-12.88485453962,0.9039604768216)); #482522=CARTESIAN_POINT('Ctrl Pts',(7.586436431693,-12.94438683563,0.8717403087149)); #482523=CARTESIAN_POINT('Ctrl Pts',(7.512819978498,-13.02311008318,0.8406529146978)); #482524=CARTESIAN_POINT('Ctrl Pts',(7.480964633154,-13.06623470553,0.8258075888009)); #482525=CARTESIAN_POINT('Ctrl Pts',(7.742381960408,-12.84933816058,0.8464996863633)); #482526=CARTESIAN_POINT('Ctrl Pts',(7.694604086784,-12.87365388079,0.8296954489109)); #482527=CARTESIAN_POINT('Ctrl Pts',(7.605089041688,-12.93347715158,0.7959102152015)); #482528=CARTESIAN_POINT('Ctrl Pts',(7.531630008896,-13.01268571486,0.7633265222143)); #482529=CARTESIAN_POINT('Ctrl Pts',(7.499916706101,-13.05608429788,0.7477749244075)); #482530=CARTESIAN_POINT('Ctrl Pts',(7.75381478537,-12.84242971891,0.8019591079281)); #482531=CARTESIAN_POINT('Ctrl Pts',(7.706118965899,-12.86676444619,0.7852285307459)); #482532=CARTESIAN_POINT('Ctrl Pts',(7.616761740052,-12.92658726416,0.7515920247346)); #482533=CARTESIAN_POINT('Ctrl Pts',(7.543428398494,-13.0057281848,0.7191486779307)); #482534=CARTESIAN_POINT('Ctrl Pts',(7.51176547782,-13.04907970229,0.703662204348)); #482535=CARTESIAN_POINT('',(7.528367541147,-13.01965371527,0.7623927947095)); #482536=CARTESIAN_POINT('Ctrl Pts',(7.27839614632,-13.16568516926,1.626603110012)); #482537=CARTESIAN_POINT('Ctrl Pts',(7.287439879936,-13.16075526912,1.596945078136)); #482538=CARTESIAN_POINT('Ctrl Pts',(7.305602125459,-13.15094540758,1.537521104667)); #482539=CARTESIAN_POINT('Ctrl Pts',(7.342837781748,-13.1297544915,1.422353492007)); #482540=CARTESIAN_POINT('Ctrl Pts',(7.379597409979,-13.10802951575,1.310169240292)); #482541=CARTESIAN_POINT('Ctrl Pts',(7.414203885165,-13.08665104384,1.200959410511)); #482542=CARTESIAN_POINT('Ctrl Pts',(7.446578339357,-13.06681871217,1.089677737288)); #482543=CARTESIAN_POINT('Ctrl Pts',(7.476417153595,-13.04913602002,0.9736932971836)); #482544=CARTESIAN_POINT('Ctrl Pts',(7.505734341119,-13.03235075565,0.8538823418706)); #482545=CARTESIAN_POINT('Ctrl Pts',(7.520725077485,-13.02400993256,0.792515713028)); #482546=CARTESIAN_POINT('Ctrl Pts',(7.528367541147,-13.01965371527,0.7623927947095)); #482547=CARTESIAN_POINT('Origin',(8.08779176183043,-13.5166464564126,0.832445762616085)); #482548=CARTESIAN_POINT('Ctrl Pts',(7.732463849841,-12.8554126511,0.8905167425339)); #482549=CARTESIAN_POINT('Ctrl Pts',(7.635892912995,-12.90419838275,0.8574054701426)); #482550=CARTESIAN_POINT('Ctrl Pts',(7.55133912738,-12.97618277948,0.8238105023737)); #482551=CARTESIAN_POINT('Ctrl Pts',(7.487225476533,-13.06420163494,0.7930770504957)); #482552=CARTESIAN_POINT('Ctrl Pts',(7.746199900477,-12.84716293202,0.8361921345737)); #482553=CARTESIAN_POINT('Ctrl Pts',(7.649595422571,-12.89605284685,0.8022804032088)); #482554=CARTESIAN_POINT('Ctrl Pts',(7.565100176262,-12.96825997194,0.7678705158371)); #482555=CARTESIAN_POINT('Ctrl Pts',(7.501176834311,-13.05655901827,0.7364080303221)); #482556=CARTESIAN_POINT('Ctrl Pts',(7.770621312728,-12.83241753918,0.7417738900969)); #482557=CARTESIAN_POINT('Ctrl Pts',(7.674212266947,-12.88143058587,0.707463328899)); #482558=CARTESIAN_POINT('Ctrl Pts',(7.589958545877,-12.95373634007,0.6726489760291)); #482559=CARTESIAN_POINT('Ctrl Pts',(7.526298241858,-13.04210006893,0.6408153337424)); #482560=CARTESIAN_POINT('Ctrl Pts',(7.799614159043,-12.81477158179,0.6264637609662)); #482561=CARTESIAN_POINT('Ctrl Pts',(7.703823894947,-12.86383715779,0.5934059950332)); #482562=CARTESIAN_POINT('Ctrl Pts',(7.620056649558,-12.93580070526,0.5598700334358)); #482563=CARTESIAN_POINT('Ctrl Pts',(7.556576450362,-13.02356917438,0.5291624876853)); #482564=CARTESIAN_POINT('Ctrl Pts',(7.818996247811,-12.80291404505,0.5452437558469)); #482565=CARTESIAN_POINT('Ctrl Pts',(7.72378999827,-12.85197240859,0.513862582951)); #482566=CARTESIAN_POINT('Ctrl Pts',(7.64043395548,-12.92350344961,0.4820350614419)); #482567=CARTESIAN_POINT('Ctrl Pts',(7.577012875438,-13.01057560954,0.4528467057288)); #482568=CARTESIAN_POINT('Ctrl Pts',(7.837616410037,-12.79148750106,0.4635963507709)); #482569=CARTESIAN_POINT('Ctrl Pts',(7.743061771216,-12.84051398897,0.4343053222694)); #482570=CARTESIAN_POINT('Ctrl Pts',(7.660148869004,-12.91152430719,0.4046061446679)); #482571=CARTESIAN_POINT('Ctrl Pts',(7.596758897375,-12.99777476627,0.377319295826)); #482572=CARTESIAN_POINT('Ctrl Pts',(7.859393023388,-12.77808454417,0.3612593012772)); #482573=CARTESIAN_POINT('Ctrl Pts',(7.765480734715,-12.82710021291,0.3340213908168)); #482574=CARTESIAN_POINT('Ctrl Pts',(7.683022869122,-12.89764274801,0.3064109637353)); #482575=CARTESIAN_POINT('Ctrl Pts',(7.619709249239,-12.983139255,0.2810014852033)); #482576=CARTESIAN_POINT('Ctrl Pts',(7.884214221896,-12.76276312282,0.2385621211886)); #482577=CARTESIAN_POINT('Ctrl Pts',(7.790744138394,-12.81184612171,0.212455529856)); #482578=CARTESIAN_POINT('Ctrl Pts',(7.708640553302,-12.88218216527,0.1859958374997)); #482579=CARTESIAN_POINT('Ctrl Pts',(7.645475231274,-12.96729039523,0.161621945716)); #482580=CARTESIAN_POINT('Ctrl Pts',(7.908967666066,-12.74743900038,0.1152602970204)); #482581=CARTESIAN_POINT('Ctrl Pts',(7.815632271046,-12.79667456402,0.08885163393991)); #482582=CARTESIAN_POINT('Ctrl Pts',(7.733711162087,-12.86715228672,0.06208552421145)); #482583=CARTESIAN_POINT('Ctrl Pts',(7.67076334674,-12.95237478916,0.03743091653781)); #482584=CARTESIAN_POINT('Ctrl Pts',(7.926227516947,-12.73671834801,0.03324351276743)); #482585=CARTESIAN_POINT('Ctrl Pts',(7.832857087811,-12.78609234299,0.005884719074897)); #482586=CARTESIAN_POINT('Ctrl Pts',(7.750999339237,-12.85682389432,-0.02184657397664)); #482587=CARTESIAN_POINT('Ctrl Pts',(7.688251883138,-12.94235379206,-0.04737720278564)); #482588=CARTESIAN_POINT('Ctrl Pts',(7.935046708982,-12.73122304634,-0.008390159374457)); #482589=CARTESIAN_POINT('Ctrl Pts',(7.841719949543,-12.78064921736,-0.03597620328952)); #482590=CARTESIAN_POINT('Ctrl Pts',(7.759930121589,-12.85144195349,-0.06393811679476)); #482591=CARTESIAN_POINT('Ctrl Pts',(7.697274370799,-12.93703134169,-0.08967942495324)); #482592=CARTESIAN_POINT('Ctrl Pts',(7.70202483977787,-12.9140557477424, 0.0411699433655218)); #482593=CARTESIAN_POINT('Ctrl Pts',(7.70143776684565,-12.9144127213424, 0.0439316734094173)); #482594=CARTESIAN_POINT('Ctrl Pts',(7.70085145209102,-12.9147692116148, 0.0466930856140657)); #482595=CARTESIAN_POINT('Ctrl Pts',(7.70026588838539,-12.9151252628823, 0.0494539664598301)); #482596=CARTESIAN_POINT('Ctrl Pts',(7.69219010212275,-12.9200357344628, 0.0875305811691459)); #482597=CARTESIAN_POINT('Ctrl Pts',(7.68425810016984,-12.9248620373257, 0.125507170970783)); #482598=CARTESIAN_POINT('Ctrl Pts',(7.6762664528684,-12.9297381746556,0.163321781441385)); #482599=CARTESIAN_POINT('Ctrl Pts',(7.675655847821,-12.9301107379024,0.166211022063237)); #482600=CARTESIAN_POINT('Ctrl Pts',(7.67504491035695,-12.9304835669357, 0.169099349864444)); #482601=CARTESIAN_POINT('Ctrl Pts',(7.674433531093,-12.9308567475376,0.171986676024096)); #482602=CARTESIAN_POINT('Ctrl Pts',(7.6707539213825,-12.9331027494019,0.189364160019535)); #482603=CARTESIAN_POINT('Ctrl Pts',(7.66705834971475,-12.9353614685727, 0.206705340991152)); #482604=CARTESIAN_POINT('Ctrl Pts',(7.66332845946159,-12.9376463916316, 0.224004448858412)); #482605=CARTESIAN_POINT('Ctrl Pts',(7.66115364756421,-12.9389786769043, 0.234091154646042)); #482606=CARTESIAN_POINT('Ctrl Pts',(7.65896713778418,-12.9403199095807, 0.244163461764111)); #482607=CARTESIAN_POINT('Ctrl Pts',(7.65676522298681,-12.941672806559,0.254220076538938)); #482608=CARTESIAN_POINT('Ctrl Pts',(7.65566426558813,-12.9423492550481, 0.259248383926352)); #482609=CARTESIAN_POINT('Ctrl Pts',(7.65455945665103,-12.9430286193658, 0.264272768235415)); #482610=CARTESIAN_POINT('Ctrl Pts',(7.65345033112137,-12.9437112376748, 0.26929306783574)); #482611=CARTESIAN_POINT('Ctrl Pts',(7.6523412055917,-12.9443938559837,0.274313367436065)); #482612=CARTESIAN_POINT('Ctrl Pts',(7.65122776346724,-12.9450797282822, 0.279329582325978)); #482613=CARTESIAN_POINT('Ctrl Pts',(7.65010953862768,-12.9457691917978, 0.284341550960368)); #482614=CARTESIAN_POINT('Ctrl Pts',(7.64955767157065,-12.9461094562847, 0.286815061011573)); #482615=CARTESIAN_POINT('Ctrl Pts',(7.64900498844486,-12.9464500825682, 0.289288549801357)); #482616=CARTESIAN_POINT('Ctrl Pts',(7.64845142837181,-12.9467911278539, 0.291761889209163)); #482617=CARTESIAN_POINT('Ctrl Pts',(7.63969518747855,-12.9521857992236, 0.330885295538467)); #482618=CARTESIAN_POINT('Ctrl Pts',(7.63071831349543,-12.9576865014942, 0.369967947686391)); #482619=CARTESIAN_POINT('Ctrl Pts',(7.62129604869486,-12.9634905816449, 0.408641327805119)); #482620=CARTESIAN_POINT('Ctrl Pts',(7.61660141251758,-12.9663824601081, 0.427910309652444)); #482621=CARTESIAN_POINT('Ctrl Pts',(7.61179650023171,-12.9693492934133, 0.447078674730977)); #482622=CARTESIAN_POINT('Ctrl Pts',(7.60690764887259,-12.9723512526274, 0.466278641866894)); #482623=CARTESIAN_POINT('Ctrl Pts',(7.60211841284898,-12.9752920438589, 0.485087390078824)); #482624=CARTESIAN_POINT('Ctrl Pts',(7.59724870888489,-12.97826653988,0.503926323918023)); #482625=CARTESIAN_POINT('Ctrl Pts',(7.59232000842443,-12.9812645012785, 0.522774541726184)); #482626=CARTESIAN_POINT('Ctrl Pts',(7.58973299847247,-12.9828380917281, 0.532667722989208)); #482627=CARTESIAN_POINT('Ctrl Pts',(7.58712439700667,-12.9844243855003, 0.542561887567858)); #482628=CARTESIAN_POINT('Ctrl Pts',(7.58449870751875,-12.9860198792421, 0.552460937801575)); #482629=CARTESIAN_POINT('Ctrl Pts',(7.58334996836778,-12.9867179077541, 0.556791772278826)); #482630=CARTESIAN_POINT('Ctrl Pts',(7.58219795799674,-12.9874176967685, 0.561123541804128)); #482631=CARTESIAN_POINT('Ctrl Pts',(7.58104305114688,-12.9881189507328, 0.565456572688666)); #482632=CARTESIAN_POINT('Ctrl Pts',(7.57996032597514,-12.9887763763243, 0.569518789142921)); #482633=CARTESIAN_POINT('Ctrl Pts',(7.57887505505209,-12.9894350894527, 0.573582114210255)); #482634=CARTESIAN_POINT('Ctrl Pts',(7.5777875460468,-12.9900948455802,0.5776468165452)); #482635=CARTESIAN_POINT('Ctrl Pts',(7.5720751490272,-12.9935603699281,0.598997622277308)); #482636=CARTESIAN_POINT('Ctrl Pts',(7.56630127164511,-12.9970534109448, 0.620393941439654)); #482637=CARTESIAN_POINT('Ctrl Pts',(7.56050969030399,-13.0005404003283, 0.641861930440745)); #482638=CARTESIAN_POINT('Ctrl Pts',(7.54976251346263,-13.0070110495933, 0.681699111451173)); #482639=CARTESIAN_POINT('Ctrl Pts',(7.53895480208144,-13.0134608513215, 0.721782618492609)); #482640=CARTESIAN_POINT('Ctrl Pts',(7.52836754119412,-13.0196537153118, 0.762392794715405)); #482641=CARTESIAN_POINT('Ctrl Pts',(7.912029083929,-12.72831049798,-0.4429301403483)); #482642=CARTESIAN_POINT('Ctrl Pts',(7.399821576407,-13.30099489405,-0.2682424025048)); #482643=CARTESIAN_POINT('Ctrl Pts',(5.869903329362,-14.95532980983,0.2454323329615)); #482644=CARTESIAN_POINT('Ctrl Pts',(1.723196471352,-18.69971015931,1.540829351042)); #482645=CARTESIAN_POINT('Ctrl Pts',(-2.771200989077,-21.41580303194,2.770804970885)); #482646=CARTESIAN_POINT('Ctrl Pts',(-6.219244113552,-22.82647465949,3.621419812295)); #482647=CARTESIAN_POINT('Ctrl Pts',(-6.595824027101,-22.98116898937,3.714406655203)); #482648=CARTESIAN_POINT('Ctrl Pts',(7.909857968771,-12.72966075908,-0.4322388182635)); #482649=CARTESIAN_POINT('Ctrl Pts',(7.397592814095,-13.30226430753,-0.2575036365215)); #482650=CARTESIAN_POINT('Ctrl Pts',(5.867511952037,-14.95635238922,0.256317209871)); #482651=CARTESIAN_POINT('Ctrl Pts',(1.720528525292,-18.70011148873,1.552057382696)); #482652=CARTESIAN_POINT('Ctrl Pts',(-2.773899551096,-21.41555140847,2.782376794364)); #482653=CARTESIAN_POINT('Ctrl Pts',(-6.221828222659,-22.82578115182,3.633223354122)); #482654=CARTESIAN_POINT('Ctrl Pts',(-6.598395765344,-22.98042716416,3.726235533245)); #482655=CARTESIAN_POINT('Ctrl Pts',(7.881047763329,-12.7475267735,-0.2910232207675)); #482656=CARTESIAN_POINT('Ctrl Pts',(7.36802137863,-13.31905927419,-0.1156610874213)); #482657=CARTESIAN_POINT('Ctrl Pts',(5.835793228165,-14.9698777176,0.4000905322081)); #482658=CARTESIAN_POINT('Ctrl Pts',(1.685158623018,-18.70540786767,1.700365360234)); #482659=CARTESIAN_POINT('Ctrl Pts',(-2.809671434311,-21.41220137409,2.935227772029)); #482660=CARTESIAN_POINT('Ctrl Pts',(-6.256086239809,-22.81657889225,3.789136392975)); #482661=CARTESIAN_POINT('Ctrl Pts',(-6.632490151204,-22.97058499762,3.882483379558)); #482662=CARTESIAN_POINT('Ctrl Pts',(7.82155031462,-12.78290837853,-0.01534690346728)); #482663=CARTESIAN_POINT('Ctrl Pts',(7.307019497247,-13.352276394,0.161240914734)); #482664=CARTESIAN_POINT('Ctrl Pts',(5.770546021844,-14.99649535231,0.6807674140928)); #482665=CARTESIAN_POINT('Ctrl Pts',(1.61266011162,-18.71542330392,1.989907386264)); #482666=CARTESIAN_POINT('Ctrl Pts',(-2.88305412367,-21.40482911985,3.233652025863)); #482667=CARTESIAN_POINT('Ctrl Pts',(-6.326575130026,-22.79741985612,4.093546964722)); #482668=CARTESIAN_POINT('Ctrl Pts',(-6.702666338597,-22.95013716567,4.187548499052)); #482669=CARTESIAN_POINT('Ctrl Pts',(7.743797642466,-12.83081590449,0.3827500573287)); #482670=CARTESIAN_POINT('Ctrl Pts',(7.226318802745,-13.39703937586,0.5608765587807)); #482671=CARTESIAN_POINT('Ctrl Pts',(5.681438990057,-15.03167493672,1.085174433673)); #482672=CARTESIAN_POINT('Ctrl Pts',(1.50740961463,-18.72649791904,2.405434173054)); #482673=CARTESIAN_POINT('Ctrl Pts',(-2.994937934086,-21.39068813772,3.66042645705)); #482674=CARTESIAN_POINT('Ctrl Pts',(-6.438144890067,-22.76617614462,4.527838533775)); #482675=CARTESIAN_POINT('Ctrl Pts',(-6.814206857796,-22.9170234103,4.62266205982)); #482676=CARTESIAN_POINT('Ctrl Pts',(7.63593825947,-12.89517063346,0.7753282396551)); #482677=CARTESIAN_POINT('Ctrl Pts',(7.116329244786,-13.45741201568,0.9552821341616)); #482678=CARTESIAN_POINT('Ctrl Pts',(5.565443895782,-15.08000447102,1.485200526247)); #482679=CARTESIAN_POINT('Ctrl Pts',(1.38127016075,-18.74461819963,2.818679583084)); #482680=CARTESIAN_POINT('Ctrl Pts',(-3.122017668095,-21.37785577931,4.086892072186)); #482681=CARTESIAN_POINT('Ctrl Pts',(-6.56078655792,-22.73214184528,4.963228756578)); #482682=CARTESIAN_POINT('Ctrl Pts',(-6.936368651379,-22.88067108721,5.059028090254)); #482683=CARTESIAN_POINT('Ctrl Pts',(7.543024841336,-12.95063088337,1.171137623114)); #482684=CARTESIAN_POINT('Ctrl Pts',(7.020396245985,-13.50910487674,1.352599218911)); #482685=CARTESIAN_POINT('Ctrl Pts',(5.460895805643,-15.12028559972,1.887199322846)); #482686=CARTESIAN_POINT('Ctrl Pts',(1.26008262234,-18.75625773577,3.231572426174)); #482687=CARTESIAN_POINT('Ctrl Pts',(-3.250263373343,-21.36002219666,4.510825242006)); #482688=CARTESIAN_POINT('Ctrl Pts',(-6.688998969524,-22.69416126005,5.394528121244)); #482689=CARTESIAN_POINT('Ctrl Pts',(-7.064582527349,-22.84048776896,5.491132953592)); #482690=CARTESIAN_POINT('Ctrl Pts',(7.414218892347,-13.02428813836,1.564741186179)); #482691=CARTESIAN_POINT('Ctrl Pts',(6.889389970054,-13.57799687166,1.748076116122)); #482692=CARTESIAN_POINT('Ctrl Pts',(5.32368314232,-15.17483025715,2.288436753038)); #482693=CARTESIAN_POINT('Ctrl Pts',(1.11232348282,-18.77487081466,3.646361875504)); #482694=CARTESIAN_POINT('Ctrl Pts',(-3.399166154138,-21.34227363624,4.939163413854)); #482695=CARTESIAN_POINT('Ctrl Pts',(-6.833505956878,-22.65135698367,5.832015183795)); #482696=CARTESIAN_POINT('Ctrl Pts',(-7.208614363687,-22.79494429949,5.929620344162)); #482697=CARTESIAN_POINT('Ctrl Pts',(7.295868655079,-13.0914363884,1.963880130969)); #482698=CARTESIAN_POINT('Ctrl Pts',(6.768644236005,-13.64072633164,2.149015736191)); #482699=CARTESIAN_POINT('Ctrl Pts',(5.196159439333,-15.22422728018,2.69492468599)); #482700=CARTESIAN_POINT('Ctrl Pts',(0.97285138947,-18.79085253451,4.065873018474)); #482701=CARTESIAN_POINT('Ctrl Pts',(-3.541065202614,-21.32423939301,5.371731277163)); #482702=CARTESIAN_POINT('Ctrl Pts',(-6.971911097888,-22.60994990945,6.273377819868)); #482703=CARTESIAN_POINT('Ctrl Pts',(-7.346642930804,-22.75098193785,6.371944611207)); #482704=CARTESIAN_POINT('Ctrl Pts',(7.118100354451,-13.18794680434,2.48592114131)); #482705=CARTESIAN_POINT('Ctrl Pts',(6.587104507611,-13.73054078832,2.673212447649)); #482706=CARTESIAN_POINT('Ctrl Pts',(5.003887443102,-15.29390876637,3.225798414362)); #482707=CARTESIAN_POINT('Ctrl Pts',(0.76041443976,-18.81013749444,4.612327769471)); #482708=CARTESIAN_POINT('Ctrl Pts',(-3.760752944599,-21.29271892829,5.933921011185)); #482709=CARTESIAN_POINT('Ctrl Pts',(-7.189846928738,-22.54335652947,6.846098591647)); #482710=CARTESIAN_POINT('Ctrl Pts',(-7.564394274075,-22.68055431546,6.945816926769)); #482711=CARTESIAN_POINT('Ctrl Pts',(6.929072374426,-13.28972411059,2.996308351279)); #482712=CARTESIAN_POINT('Ctrl Pts',(6.394540371564,-13.82514158078,3.185799766078)); #482713=CARTESIAN_POINT('Ctrl Pts',(4.801277431543,-15.36697266867,3.745189090863)); #482714=CARTESIAN_POINT('Ctrl Pts',(0.53927115903,-18.82932755819,5.147623618685)); #482715=CARTESIAN_POINT('Ctrl Pts',(-3.987747699667,-21.25788966043,6.485242492757)); #482716=CARTESIAN_POINT('Ctrl Pts',(-7.414163990623,-22.47113860481,7.408167320298)); #482717=CARTESIAN_POINT('Ctrl Pts',(-7.788425600575,-22.60424909469,7.509060819433)); #482718=CARTESIAN_POINT('Ctrl Pts',(6.719315060063,-13.39512892811,3.497351452777)); #482719=CARTESIAN_POINT('Ctrl Pts',(6.181143034063,-13.92272137452,3.688923740972)); #482720=CARTESIAN_POINT('Ctrl Pts',(4.577529373039,-15.44111398329,4.254766489489)); #482721=CARTESIAN_POINT('Ctrl Pts',(0.29622921878,-18.84487857273,5.672238876662)); #482722=CARTESIAN_POINT('Ctrl Pts',(-4.237360895993,-21.21501037948,7.025070986403)); #482723=CARTESIAN_POINT('Ctrl Pts',(-7.661619708252,-22.38771119577,7.958161835384)); #482724=CARTESIAN_POINT('Ctrl Pts',(-8.035652428065,-22.51638911698,8.060166980512)); #482725=CARTESIAN_POINT('Ctrl Pts',(6.55565711029,-13.47577663468,3.858611465272)); #482726=CARTESIAN_POINT('Ctrl Pts',(6.015672561934,-13.99762309962,4.052143884296)); #482727=CARTESIAN_POINT('Ctrl Pts',(4.406980686847,-15.49880596392,4.623991815702)); #482728=CARTESIAN_POINT('Ctrl Pts',(0.11775221746,-18.85955172659,6.05565044266)); #482729=CARTESIAN_POINT('Ctrl Pts',(-4.414855888213,-21.1867917006,7.422592517791)); #482730=CARTESIAN_POINT('Ctrl Pts',(-7.833390621508,-22.32972421704,8.365254701882)); #482731=CARTESIAN_POINT('Ctrl Pts',(-8.206802838148,-22.4551479834,8.468306321363)); #482732=CARTESIAN_POINT('Ctrl Pts',(6.397234393251,-13.55252208803,4.224692841833)); #482733=CARTESIAN_POINT('Ctrl Pts',(5.854035312891,-14.0682708178,4.419418620537)); #482734=CARTESIAN_POINT('Ctrl Pts',(4.236154214025,-15.55121117331,4.995023795535)); #482735=CARTESIAN_POINT('Ctrl Pts',(-0.07118467683999,-18.86637533441,6.435290502534)); #482736=CARTESIAN_POINT('Ctrl Pts',(-4.612311787253,-21.14831685263,7.81112539988)); #482737=CARTESIAN_POINT('Ctrl Pts',(-8.031909708526,-22.25976079332,8.759621357134)); #482738=CARTESIAN_POINT('Ctrl Pts',(-8.405443164711,-22.38174240622,8.863311004065)); #482739=CARTESIAN_POINT('Ctrl Pts',(6.207650486497,-13.63926316334,4.583735162152)); #482740=CARTESIAN_POINT('Ctrl Pts',(5.662342522724,-14.14825394913,4.780282268887)); #482741=CARTESIAN_POINT('Ctrl Pts',(4.038520050372,-15.61100765953,5.361492031356)); #482742=CARTESIAN_POINT('Ctrl Pts',(-0.27877025096,-18.87576060565,6.81493340784)); #482743=CARTESIAN_POINT('Ctrl Pts',(-4.820596260902,-21.10782617549,8.203952776299)); #482744=CARTESIAN_POINT('Ctrl Pts',(-8.23554691722,-22.18452456787,9.161343898253)); #482745=CARTESIAN_POINT('Ctrl Pts',(-8.608577673901,-22.30270807623,9.26600613884)); #482746=CARTESIAN_POINT('Ctrl Pts',(6.027068335264,-13.71956130699,4.948423511361)); #482747=CARTESIAN_POINT('Ctrl Pts',(5.478699081658,-14.22187182033,5.146203106132)); #482748=CARTESIAN_POINT('Ctrl Pts',(3.84614193494,-15.66466684568,5.731290403435)); #482749=CARTESIAN_POINT('Ctrl Pts',(-0.48803057156,-18.87957417258,7.193622929361)); #482750=CARTESIAN_POINT('Ctrl Pts',(-5.037044973717,-21.06229428732,8.591818025469)); #482751=CARTESIAN_POINT('Ctrl Pts',(-8.451997652376,-22.10462656159,9.555234011658)); #482752=CARTESIAN_POINT('Ctrl Pts',(-8.825033790925,-22.21905343083,9.660555173294)); #482753=CARTESIAN_POINT('Ctrl Pts',(5.826104808956,-13.8067302501,5.312559801508)); #482754=CARTESIAN_POINT('Ctrl Pts',(5.275615634956,-14.30194841932,5.512171019518)); #482755=CARTESIAN_POINT('Ctrl Pts',(3.637090563644,-15.72356730859,6.102899382747)); #482756=CARTESIAN_POINT('Ctrl Pts',(-0.70698486581,-18.88560093682,7.578479686606)); #482757=CARTESIAN_POINT('Ctrl Pts',(-5.256447001029,-21.01607622186,8.989948120942)); #482758=CARTESIAN_POINT('Ctrl Pts',(-8.666449568376,-22.02198981556,9.962309864874)); #482759=CARTESIAN_POINT('Ctrl Pts',(-9.03895003514,-22.13243571211,10.06860916131)); #482760=CARTESIAN_POINT('Ctrl Pts',(5.62627705539,-13.8890682686,5.667778847001)); #482761=CARTESIAN_POINT('Ctrl Pts',(5.07321669914,-14.37710220923,5.868817244573)); #482762=CARTESIAN_POINT('Ctrl Pts',(3.427394832798,-15.77728366255,6.463994674873)); #482763=CARTESIAN_POINT('Ctrl Pts',(-0.92998628413,-18.88580301295,7.949882268891)); #482764=CARTESIAN_POINT('Ctrl Pts',(-5.483272147126,-20.96349423652,9.371853379862)); #482765=CARTESIAN_POINT('Ctrl Pts',(-8.89085669903,-21.93258143616,10.35118904486)); #482766=CARTESIAN_POINT('Ctrl Pts',(-9.263098091645,-22.03900182742,10.45825097829)); #482767=CARTESIAN_POINT('Ctrl Pts',(5.390745015343,-13.98204516972,6.01253173341)); #482768=CARTESIAN_POINT('Ctrl Pts',(4.835124512164,-14.46171341479,6.214950446831)); #482769=CARTESIAN_POINT('Ctrl Pts',(3.182044877671,-15.83698241394,6.814446701461)); #482770=CARTESIAN_POINT('Ctrl Pts',(-1.18841416879,-18.8833586228,8.310298628608)); #482771=CARTESIAN_POINT('Ctrl Pts',(-5.745074948215,-20.90011726124,9.742474916197)); #482772=CARTESIAN_POINT('Ctrl Pts',(-9.149779246254,-21.82656965804,10.7285565343)); #482773=CARTESIAN_POINT('Ctrl Pts',(-9.521711191635,-21.92832976062,10.83635620594)); #482774=CARTESIAN_POINT('Ctrl Pts',(5.125747628102,-14.08227616731,6.320255542619)); #482775=CARTESIAN_POINT('Ctrl Pts',(4.568539592571,-14.55299440711,6.524808134737)); #482776=CARTESIAN_POINT('Ctrl Pts',(2.911049015105,-15.90164579534,7.130827158204)); #482777=CARTESIAN_POINT('Ctrl Pts',(-1.46553724926,-18.88165717249,8.642126986762)); #482778=CARTESIAN_POINT('Ctrl Pts',(-6.019267910866,-20.83359523653,10.08961996667)); #482779=CARTESIAN_POINT('Ctrl Pts',(-9.416696134017,-21.71460633766,11.08612037391)); #482780=CARTESIAN_POINT('Ctrl Pts',(-9.787838128362,-21.81139947624,11.19505914702)); #482781=CARTESIAN_POINT('Ctrl Pts',(4.832608201631,-14.1841024136,6.585731450041)); #482782=CARTESIAN_POINT('Ctrl Pts',(4.273195209171,-14.64470672284,6.791565776818)); #482783=CARTESIAN_POINT('Ctrl Pts',(2.609476870719,-15.96333610043,7.401601886688)); #482784=CARTESIAN_POINT('Ctrl Pts',(-1.77786208896,-18.86854667356,8.922152497434)); #482785=CARTESIAN_POINT('Ctrl Pts',(-6.3332050907,-20.74783729843,10.3791418612)); #482786=CARTESIAN_POINT('Ctrl Pts',(-9.726737931346,-21.57777841169,11.38190686713)); #482787=CARTESIAN_POINT('Ctrl Pts',(-10.09745929538,-21.66898949699,11.49153075048)); #482788=CARTESIAN_POINT('Ctrl Pts',(4.613647060365,-14.25688393711,6.749230304628)); #482789=CARTESIAN_POINT('Ctrl Pts',(4.053088447965,-14.71010768826,6.956266006678)); #482790=CARTESIAN_POINT('Ctrl Pts',(2.38617706759,-16.00684008238,7.570005666593)); #482791=CARTESIAN_POINT('Ctrl Pts',(-2.00580371329,-18.8575039703,9.099244589915)); #482792=CARTESIAN_POINT('Ctrl Pts',(-6.559590192335,-20.68390027162,10.56495059455)); #482793=CARTESIAN_POINT('Ctrl Pts',(-9.94848220631,-21.47662916742,11.57358341938)); #482794=CARTESIAN_POINT('Ctrl Pts',(-10.31869994803,-21.56377291282,11.68384890062)); #482795=CARTESIAN_POINT('Ctrl Pts',(4.384225732228,-14.32929021556,6.900477414571)); #482796=CARTESIAN_POINT('Ctrl Pts',(3.822601204885,-14.77488307259,7.108661781812)); #482797=CARTESIAN_POINT('Ctrl Pts',(2.152728062988,-16.04898803842,7.725947730278)); #482798=CARTESIAN_POINT('Ctrl Pts',(-2.24336952762,-18.84329686798,9.263492282897)); #482799=CARTESIAN_POINT('Ctrl Pts',(-6.795195784451,-20.61513412637,10.73754812239)); #482800=CARTESIAN_POINT('Ctrl Pts',(-10.17921023983,-21.36944888999,11.75179165737)); #482801=CARTESIAN_POINT('Ctrl Pts',(-10.54889843622,-21.45239395834,11.86267063391)); #482802=CARTESIAN_POINT('Ctrl Pts',(4.241500885634,-14.37199803664,6.979082586538)); #482803=CARTESIAN_POINT('Ctrl Pts',(3.679261882368,-14.81289284405,7.188072333868)); #482804=CARTESIAN_POINT('Ctrl Pts',(2.007682773223,-16.07307453291,7.80782414761)); #482805=CARTESIAN_POINT('Ctrl Pts',(-2.39075660168,-18.83271346801,9.351197993395)); #482806=CARTESIAN_POINT('Ctrl Pts',(-6.941373111148,-20.57104061339,10.83104671682)); #482807=CARTESIAN_POINT('Ctrl Pts',(-10.32248862572,-21.3017424384,11.84922282862)); #482808=CARTESIAN_POINT('Ctrl Pts',(-10.69186205868,-21.38210662563,11.96053176732)); #482809=CARTESIAN_POINT('Ctrl Pts',(3.889551539424,-14.47026320061,7.060360851564)); #482810=CARTESIAN_POINT('Ctrl Pts',(3.325868157382,-14.89956845838,7.271100192561)); #482811=CARTESIAN_POINT('Ctrl Pts',(1.650276965274,-16.12542298517,7.896225094229)); #482812=CARTESIAN_POINT('Ctrl Pts',(-2.753712562088,-18.79960324903,9.452257770437)); #482813=CARTESIAN_POINT('Ctrl Pts',(-7.301600962974,-20.45547539825,10.94473952836)); #482814=CARTESIAN_POINT('Ctrl Pts',(-10.67602851672,-21.12802491648,11.97145976174)); #482815=CARTESIAN_POINT('Ctrl Pts',(-11.04467582415,-21.20203314366,12.08370289029)); #482816=CARTESIAN_POINT('Ctrl Pts',(3.644117205491,-14.53215609334,7.011095744823)); #482817=CARTESIAN_POINT('Ctrl Pts',(3.079438202252,-14.95331382444,7.222197496026)); #482818=CARTESIAN_POINT('Ctrl Pts',(1.401070873934,-16.15505671285,7.848540601847)); #482819=CARTESIAN_POINT('Ctrl Pts',(-3.006978537668,-18.76922904355,9.407165543332)); #482820=CARTESIAN_POINT('Ctrl Pts',(-7.553579657981,-20.36735183388,10.90257860694)); #482821=CARTESIAN_POINT('Ctrl Pts',(-10.92404554904,-20.99912100586,11.93107551715)); #482822=CARTESIAN_POINT('Ctrl Pts',(-11.2922629668,-21.06867205878,12.04351310677)); #482823=CARTESIAN_POINT('Ctrl Pts',(3.413546280701,-14.59111781157,6.977864136274)); #482824=CARTESIAN_POINT('Ctrl Pts',(2.84793051859,-15.00462949192,7.189411997061)); #482825=CARTESIAN_POINT('Ctrl Pts',(1.166952500661,-16.18374228656,7.817211018285)); #482826=CARTESIAN_POINT('Ctrl Pts',(-3.244887594621,-18.74159158073,9.379039305063)); #482827=CARTESIAN_POINT('Ctrl Pts',(-7.790203614788,-20.28549293666,10.87793024433)); #482828=CARTESIAN_POINT('Ctrl Pts',(-11.15686126074,-20.8789794186,11.90861131768)); #482829=CARTESIAN_POINT('Ctrl Pts',(-11.5246654023,-20.944346277,12.02128788422)); #482830=CARTESIAN_POINT('',(1.07156473820553,-16.2363348004292,7.88850801738744)); #482831=CARTESIAN_POINT('Ctrl Pts',(1.071564999116,-16.23633504774,7.888508308235)); #482832=CARTESIAN_POINT('Ctrl Pts',(1.083451931317,-16.23519750205,7.890385217689)); #482833=CARTESIAN_POINT('Ctrl Pts',(1.095264350647,-16.23400883229,7.892190757598)); #482834=CARTESIAN_POINT('Ctrl Pts',(1.106863490101,-16.23259223571,7.893711878521)); #482835=CARTESIAN_POINT('Ctrl Pts',(1.071564999116,-16.23633504774,7.888508308235)); #482836=CARTESIAN_POINT('Ctrl Pts',(0.163094662486,-16.80877769786,8.216721995436)); #482837=CARTESIAN_POINT('Ctrl Pts',(-3.420736848757,-18.84461815046,9.478731116005)); #482838=CARTESIAN_POINT('Ctrl Pts',(-7.64539592857928,-20.2989829725088, 10.8705006667391)); #482839=CARTESIAN_POINT('Ctrl Pts',(-10.9567918280308,-20.9056074093036, 11.8842427069241)); #482840=CARTESIAN_POINT('Ctrl Pts',(-11.2698508366168,-20.9625966990855, 11.9800288809217)); #482841=CARTESIAN_POINT('Ctrl Pts',(2.615119299067,-15.26185565222,7.653554821568)); #482842=CARTESIAN_POINT('Ctrl Pts',(2.373331956685,-15.43150877858,7.743765389091)); #482843=CARTESIAN_POINT('Ctrl Pts',(1.00739992294,-16.36860749164,8.25099219069)); #482844=CARTESIAN_POINT('Ctrl Pts',(-3.058011350028,-18.76453673672,9.697729669064)); #482845=CARTESIAN_POINT('Ctrl Pts',(-7.601824286793,-20.34910169706,11.20536518831)); #482846=CARTESIAN_POINT('Ctrl Pts',(-10.9644604046,-20.97299128034,12.24150047692)); #482847=CARTESIAN_POINT('Ctrl Pts',(-11.3275716915,-21.0407896185,12.35344789518)); #482848=CARTESIAN_POINT('Ctrl Pts',(2.579998768741,-15.26828873304,7.55620957149)); #482849=CARTESIAN_POINT('Ctrl Pts',(2.338397014484,-15.43747017124,7.646190503718)); #482850=CARTESIAN_POINT('Ctrl Pts',(0.9734776093405,-16.37193272139,8.152125003529)); #482851=CARTESIAN_POINT('Ctrl Pts',(-3.089562304883,-18.76046954772,9.595293744451)); #482852=CARTESIAN_POINT('Ctrl Pts',(-7.632494723102,-20.33795394836,11.0990635937)); #482853=CARTESIAN_POINT('Ctrl Pts',(-10.99530839149,-20.95661010389,12.13263519106)); #482854=CARTESIAN_POINT('Ctrl Pts',(-11.35843818608,-21.02384335536,12.24430554952)); #482855=CARTESIAN_POINT('Ctrl Pts',(2.474505393943,-15.28761211456,7.263808549784)); #482856=CARTESIAN_POINT('Ctrl Pts',(2.233461100458,-15.45537671834,7.353099714458)); #482857=CARTESIAN_POINT('Ctrl Pts',(0.8715833808362,-16.38192088802,7.85515245977)); #482858=CARTESIAN_POINT('Ctrl Pts',(-3.184333559021,-18.74825271924,9.287601597257)); #482859=CARTESIAN_POINT('Ctrl Pts',(-7.724621117618,-20.30446887223,10.77975993124)); #482860=CARTESIAN_POINT('Ctrl Pts',(-11.08796810397,-20.90740510694,11.80563083506)); #482861=CARTESIAN_POINT('Ctrl Pts',(-11.45115349112,-20.97294097797,11.91646897449)); #482862=CARTESIAN_POINT('Ctrl Pts',(2.364119977886,-15.3078315787,6.95784802384)); #482863=CARTESIAN_POINT('Ctrl Pts',(2.123658996284,-15.47411364524,7.046417434386)); #482864=CARTESIAN_POINT('Ctrl Pts',(0.7649640141881,-16.39237223561,7.54440841671)); #482865=CARTESIAN_POINT('Ctrl Pts',(-3.28349963782,-18.73546936012,8.965640850898)); #482866=CARTESIAN_POINT('Ctrl Pts',(-7.821019686804,-20.26943099362,10.44564920915)); #482867=CARTESIAN_POINT('Ctrl Pts',(-11.18492472265,-20.85591832808,11.46346231539)); #482868=CARTESIAN_POINT('Ctrl Pts',(-11.54816828034,-20.91967810611,11.57342964332)); #482869=CARTESIAN_POINT('Ctrl Pts',(2.369885642855,-15.30816617973,6.622925582742)); #482870=CARTESIAN_POINT('Ctrl Pts',(2.130290931877,-15.47471903197,6.710822885918)); #482871=CARTESIAN_POINT('Ctrl Pts',(0.7763582793444,-16.39453033808,7.205029492078)); #482872=CARTESIAN_POINT('Ctrl Pts',(-3.26029513094,-18.7424219637,8.615864250142)); #482873=CARTESIAN_POINT('Ctrl Pts',(-7.791036084734,-20.28272057001,10.0844895322)); #482874=CARTESIAN_POINT('Ctrl Pts',(-11.1528468908,-20.87317943631,11.09484892043)); #482875=CARTESIAN_POINT('Ctrl Pts',(-11.51586196329,-20.93736879133,11.20401064199)); #482876=CARTESIAN_POINT('Ctrl Pts',(2.413096784187,-15.30095056079,6.466562044201)); #482877=CARTESIAN_POINT('Ctrl Pts',(2.173843129849,-15.46816922896,6.554183229642)); #482878=CARTESIAN_POINT('Ctrl Pts',(0.8217785716851,-16.39173120762,7.046834371338)); #482879=CARTESIAN_POINT('Ctrl Pts',(-3.21036777656,-18.75047147468,8.45341531834)); #482880=CARTESIAN_POINT('Ctrl Pts',(-7.738953496199,-20.30247212371,9.917338341591)); #482881=CARTESIAN_POINT('Ctrl Pts',(-11.10050239959,-20.90104503205,10.92465424511)); #482882=CARTESIAN_POINT('Ctrl Pts',(-11.46348812216,-20.96611107214,11.03348699385)); #482883=CARTESIAN_POINT('Ctrl Pts',(2.483650146228,-15.28887587099,6.211604512124)); #482884=CARTESIAN_POINT('Ctrl Pts',(2.244790043403,-15.45709645345,6.298778814569)); #482885=CARTESIAN_POINT('Ctrl Pts',(0.8948590573403,-16.38630456947,6.788912355638)); #482886=CARTESIAN_POINT('Ctrl Pts',(-3.13254486787,-18.7613999557,8.18861245107)); #482887=CARTESIAN_POINT('Ctrl Pts',(-7.66029366087,-20.33113424109,9.644919685581)); #482888=CARTESIAN_POINT('Ctrl Pts',(-11.02334734203,-20.94197008501,10.64731377542)); #482889=CARTESIAN_POINT('Ctrl Pts',(-11.38649385244,-21.0083611163,10.75561451496)); #482890=CARTESIAN_POINT('Ctrl Pts',(2.519509492417,-15.2830083158,6.066412264871)); #482891=CARTESIAN_POINT('Ctrl Pts',(2.280921787867,-15.45175742402,6.153338836211)); #482892=CARTESIAN_POINT('Ctrl Pts',(0.9324762852632,-16.38394517453,6.642076520958)); #482893=CARTESIAN_POINT('Ctrl Pts',(-3.09146387928,-18.76768503819,8.037967300408)); #482894=CARTESIAN_POINT('Ctrl Pts',(-7.617980826919,-20.34684379643,9.490045289061)); #482895=CARTESIAN_POINT('Ctrl Pts',(-10.98136140993,-20.9641782003,10.48971631414)); #482896=CARTESIAN_POINT('Ctrl Pts',(-11.34454222731,-21.03127141164,10.59772270336)); #482897=CARTESIAN_POINT('Ctrl Pts',(2.597652134607,-15.2696270589,5.834860901611)); #482898=CARTESIAN_POINT('Ctrl Pts',(2.359579614406,-15.43953816514,5.921426479079)); #482899=CARTESIAN_POINT('Ctrl Pts',(1.013954308062,-16.37826858417,6.408128997385)); #482900=CARTESIAN_POINT('Ctrl Pts',(-3.00321220331,-18.7808984408,7.798495431025)); #482901=CARTESIAN_POINT('Ctrl Pts',(-7.526597770521,-20.38030115096,9.244371994098)); #482902=CARTESIAN_POINT('Ctrl Pts',(-10.88971876748,-21.0117202993,10.2401028708)); #482903=CARTESIAN_POINT('Ctrl Pts',(-11.25286990402,-21.08033524939,10.34768330431)); #482904=CARTESIAN_POINT('Ctrl Pts',(2.702207145254,-15.2507630077,5.600603049067)); #482905=CARTESIAN_POINT('Ctrl Pts',(2.464603478638,-15.42217073714,5.686799742501)); #482906=CARTESIAN_POINT('Ctrl Pts',(1.121535500458,-16.36932200041,6.171422403962)); #482907=CARTESIAN_POINT('Ctrl Pts',(-2.88965867324,-18.79619852048,7.556148674111)); #482908=CARTESIAN_POINT('Ctrl Pts',(-7.410886212937,-20.42133179964,8.995681123062)); #482909=CARTESIAN_POINT('Ctrl Pts',(-10.77452851522,-21.07074568823,9.987390902169)); #482910=CARTESIAN_POINT('Ctrl Pts',(-11.13773424563,-21.14130471638,10.09453662053)); #482911=CARTESIAN_POINT('Ctrl Pts',(2.85859357826,-15.21846106671,5.272825617778)); #482912=CARTESIAN_POINT('Ctrl Pts',(2.62116802795,-15.3919202729,5.358232353327)); #482913=CARTESIAN_POINT('Ctrl Pts',(1.278995647903,-16.3506156126,5.838408608206)); #482914=CARTESIAN_POINT('Ctrl Pts',(-2.73150746515,-18.81074631728,7.210877586827)); #482915=CARTESIAN_POINT('Ctrl Pts',(-7.257595130178,-20.47122530545,8.637083843624)); #482916=CARTESIAN_POINT('Ctrl Pts',(-10.62765571717,-21.1453375419,9.619994699652)); #482917=CARTESIAN_POINT('Ctrl Pts',(-10.99155225319,-21.21856490136,9.726189480718)); #482918=CARTESIAN_POINT('Ctrl Pts',(3.044817117889,-15.18057190739,4.930769615034)); #482919=CARTESIAN_POINT('Ctrl Pts',(2.80836575583,-15.356823248,5.015813101982)); #482920=CARTESIAN_POINT('Ctrl Pts',(1.471547029163,-16.33122019167,5.493935552759)); #482921=CARTESIAN_POINT('Ctrl Pts',(-2.5257151653,-18.83646686735,6.860985884445)); #482922=CARTESIAN_POINT('Ctrl Pts',(-7.04432540816,-20.54445772764,8.280748637509)); #482923=CARTESIAN_POINT('Ctrl Pts',(-10.41219097236,-21.25193208887,9.259841854614)); #482924=CARTESIAN_POINT('Ctrl Pts',(-10.77584780568,-21.32876360687,9.365623687948)); #482925=CARTESIAN_POINT('Ctrl Pts',(3.246522780113,-15.1348667108,4.616899643643)); #482926=CARTESIAN_POINT('Ctrl Pts',(3.010342356985,-15.31381605827,4.701160229057)); #482927=CARTESIAN_POINT('Ctrl Pts',(1.674936606045,-16.30338507353,5.174875375781)); #482928=CARTESIAN_POINT('Ctrl Pts',(-2.32022181163,-18.85222391967,6.529792461504)); #482929=CARTESIAN_POINT('Ctrl Pts',(-6.842435792602,-20.60611312609,7.936326947378)); #482930=CARTESIAN_POINT('Ctrl Pts',(-10.21591483302,-21.34581988716,8.906715112899)); #482931=CARTESIAN_POINT('Ctrl Pts',(-10.58017546584,-21.42613351426,9.011556130018)); #482932=CARTESIAN_POINT('Ctrl Pts',(3.44004362847,-15.08819992273,4.282147775357)); #482933=CARTESIAN_POINT('Ctrl Pts',(3.204285016015,-15.26980850947,4.365659687805)); #482934=CARTESIAN_POINT('Ctrl Pts',(1.871134746714,-16.27433345262,4.835158987292)); #482935=CARTESIAN_POINT('Ctrl Pts',(-2.11959102094,-18.866164169,6.17850242053)); #482936=CARTESIAN_POINT('Ctrl Pts',(-6.643249002809,-20.66539979668,7.572344737467)); #482937=CARTESIAN_POINT('Ctrl Pts',(-10.02090457817,-21.43692160487,8.534439000463)); #482938=CARTESIAN_POINT('Ctrl Pts',(-10.38561371538,-21.52067228666,8.638383588994)); #482939=CARTESIAN_POINT('Ctrl Pts',(3.615351182362,-15.04436523074,3.955729127195)); #482940=CARTESIAN_POINT('Ctrl Pts',(3.380282042236,-15.22853465458,4.038687607111)); #482941=CARTESIAN_POINT('Ctrl Pts',(2.050893360778,-16.24746192269,4.505066965723)); #482942=CARTESIAN_POINT('Ctrl Pts',(-1.93103086383,-18.88069118846,5.839938003004)); #482943=CARTESIAN_POINT('Ctrl Pts',(-6.451447228044,-20.72358511601,7.224277578924)); #482944=CARTESIAN_POINT('Ctrl Pts',(-9.829794795951,-21.52574038013,8.180327993124)); #482945=CARTESIAN_POINT('Ctrl Pts',(-10.19457617861,-21.61280046347,8.283619207485)); #482946=CARTESIAN_POINT('Ctrl Pts',(3.803794799888,-14.99482182983,3.622019650329)); #482947=CARTESIAN_POINT('Ctrl Pts',(3.569121257696,-15.18155876171,3.704191112969)); #482948=CARTESIAN_POINT('Ctrl Pts',(2.241841929274,-16.21492705503,4.166139656847)); #482949=CARTESIAN_POINT('Ctrl Pts',(-1.73604907479,-18.88967925673,5.488821738804)); #482950=CARTESIAN_POINT('Ctrl Pts',(-6.258265981722,-20.77641347509,6.859851793769)); #482951=CARTESIAN_POINT('Ctrl Pts',(-9.641019268226,-21.60931105008,7.807159867494)); #482952=CARTESIAN_POINT('Ctrl Pts',(-10.00627393109,-21.69969231558,7.909506222215)); #482953=CARTESIAN_POINT('Ctrl Pts',(3.958665876189,-14.95254724857,3.285502449578)); #482954=CARTESIAN_POINT('Ctrl Pts',(3.724741481031,-15.14157815444,3.367108772227)); #482955=CARTESIAN_POINT('Ctrl Pts',(2.401558720097,-16.18785167339,3.825871732057)); #482956=CARTESIAN_POINT('Ctrl Pts',(-1.56657341606,-18.8997408843,5.139893748354)); #482957=CARTESIAN_POINT('Ctrl Pts',(-6.084564217573,-20.82580073126,6.501232283439)); #482958=CARTESIAN_POINT('Ctrl Pts',(-9.467298509562,-21.68623180545,7.442359796335)); #482959=CARTESIAN_POINT('Ctrl Pts',(-9.832548629021,-21.77958764314,7.544038011752)); #482960=CARTESIAN_POINT('Ctrl Pts',(4.117949495002,-14.90628923593,2.948334041346)); #482961=CARTESIAN_POINT('Ctrl Pts',(3.884602307656,-15.09759769142,3.02924976393)); #482962=CARTESIAN_POINT('Ctrl Pts',(2.564550678034,-16.15668461515,3.484123214735)); #482963=CARTESIAN_POINT('Ctrl Pts',(-1.39658647366,-18.90545302713,4.787485306522)); #482964=CARTESIAN_POINT('Ctrl Pts',(-5.913207792795,-20.87059029893,6.137092991433)); #482965=CARTESIAN_POINT('Ctrl Pts',(-9.297993589286,-21.75837193754,7.070586715372)); #482966=CARTESIAN_POINT('Ctrl Pts',(-9.663462779664,-21.85468259425,7.171439822636)); #482967=CARTESIAN_POINT('Ctrl Pts',(4.281623674835,-14.85614695678,2.613612701339)); #482968=CARTESIAN_POINT('Ctrl Pts',(4.048608094364,-15.0497194624,2.693705372757)); #482969=CARTESIAN_POINT('Ctrl Pts',(2.730309893168,-16.12154404703,3.143946309997)); #482970=CARTESIAN_POINT('Ctrl Pts',(-1.22775234937,-18.90697592211,4.434533745012)); #482971=CARTESIAN_POINT('Ctrl Pts',(-5.746984186884,-20.91097076931,5.770262594267)); #482972=CARTESIAN_POINT('Ctrl Pts',(-9.136669020733,-21.82594586994,6.69458471817)); #482973=CARTESIAN_POINT('Ctrl Pts',(-9.502664846583,-21.92519437667,6.794446617746)); #482974=CARTESIAN_POINT('Ctrl Pts',(4.41406650452,-14.81476721267,2.273171264322)); #482975=CARTESIAN_POINT('Ctrl Pts',(4.1816955923,-15.01030159197,2.352613118849)); #482976=CARTESIAN_POINT('Ctrl Pts',(2.86690941514,-16.09316788098,2.799188074483)); #482977=CARTESIAN_POINT('Ctrl Pts',(-1.08302972222,-18.91042726873,4.079742307184)); #482978=CARTESIAN_POINT('Ctrl Pts',(-5.599613930417,-20.9483304004,5.404397749806)); #482979=CARTESIAN_POINT('Ctrl Pts',(-8.990365590695,-21.88697037146,6.321539104832)); #482980=CARTESIAN_POINT('Ctrl Pts',(-9.356474189728,-21.98877558805,6.420624840512)); #482981=CARTESIAN_POINT('Ctrl Pts',(4.556557095898,-14.76969364381,1.937885430412)); #482982=CARTESIAN_POINT('Ctrl Pts',(4.324820774369,-14.96730239939,2.016661502921)); #482983=CARTESIAN_POINT('Ctrl Pts',(3.013490169361,-16.06184147094,2.459486538739)); #482984=CARTESIAN_POINT('Ctrl Pts',(-0.9284850826,-18.9127240828,3.729768376844)); #482985=CARTESIAN_POINT('Ctrl Pts',(-5.442579102745,-20.98635855908,5.043108093656)); #482986=CARTESIAN_POINT('Ctrl Pts',(-8.834508004827,-21.94996878017,5.952894658829)); #482987=CARTESIAN_POINT('Ctrl Pts',(-9.200741304973,-22.05447170083,6.051185434949)); #482988=CARTESIAN_POINT('Ctrl Pts',(4.685393669395,-14.72608676164,1.589967984186)); #482989=CARTESIAN_POINT('Ctrl Pts',(4.45405274302,-14.92549784576,1.66794849318)); #482990=CARTESIAN_POINT('Ctrl Pts',(3.144834137106,-16.03018420217,2.106295319515)); #482991=CARTESIAN_POINT('Ctrl Pts',(-0.79303250185,-18.9103553272,3.364238817254)); #482992=CARTESIAN_POINT('Ctrl Pts',(-5.308647294544,-21.01534400884,4.664149176558)); #482993=CARTESIAN_POINT('Ctrl Pts',(-8.704674285118,-22.00078119798,5.565080603334)); #482994=CARTESIAN_POINT('Ctrl Pts',(-9.071347727192,-22.10764232621,5.662414356947)); #482995=CARTESIAN_POINT('Ctrl Pts',(4.812566326436,-14.68276867801,1.232038523508)); #482996=CARTESIAN_POINT('Ctrl Pts',(4.581904453044,-14.88408404195,1.309321169471)); #482997=CARTESIAN_POINT('Ctrl Pts',(3.276386570394,-15.9994904703,1.743737493688)); #482998=CARTESIAN_POINT('Ctrl Pts',(-0.65289506116,-18.91058994104,2.990911029244)); #482999=CARTESIAN_POINT('Ctrl Pts',(-5.165617908824,-21.04863663191,4.278964161783)); #483000=CARTESIAN_POINT('Ctrl Pts',(-8.562655721383,-22.05710575661,5.172183689652)); #483001=CARTESIAN_POINT('Ctrl Pts',(-8.929435789002,-22.16645525733,5.268683889047)); #483002=CARTESIAN_POINT('Ctrl Pts',(4.923927401328,-14.64470647239,0.8750765982737)); #483003=CARTESIAN_POINT('Ctrl Pts',(4.694017004685,-14.84768071578,0.9516912853697)); #483004=CARTESIAN_POINT('Ctrl Pts',(3.39260799765,-15.97242994275,1.382345132287)); #483005=CARTESIAN_POINT('Ctrl Pts',(-0.52689338452,-18.91053084667,2.619219704734)); #483006=CARTESIAN_POINT('Ctrl Pts',(-5.03540926413,-21.07761786819,3.895909934243)); #483007=CARTESIAN_POINT('Ctrl Pts',(-8.432463373433,-22.10625404392,4.781757989403)); #483008=CARTESIAN_POINT('Ctrl Pts',(-8.799242691588,-22.21778246771,4.87746141436)); #483009=CARTESIAN_POINT('Ctrl Pts',(5.036860388533,-14.60357023662,0.5249162392749)); #483010=CARTESIAN_POINT('Ctrl Pts',(4.80734694111,-14.8081254278,0.6007451616827)); #483011=CARTESIAN_POINT('Ctrl Pts',(3.508059616706,-15.94177972505,1.026975907273)); #483012=CARTESIAN_POINT('Ctrl Pts',(-0.40728827397,-18.90563012088,2.251665273784)); #483013=CARTESIAN_POINT('Ctrl Pts',(-4.917227479196,-21.10046147227,3.515089863368)); #483014=CARTESIAN_POINT('Ctrl Pts',(-8.318282522119,-22.14834633994,4.39219306997)); #483015=CARTESIAN_POINT('Ctrl Pts',(-8.685491511177,-22.26195448429,4.486951390113)); #483016=CARTESIAN_POINT('Ctrl Pts',(5.131426583189,-14.56864312994,0.2045024557101)); #483017=CARTESIAN_POINT('Ctrl Pts',(4.902621954996,-14.77467246304,0.2797372219984)); #483018=CARTESIAN_POINT('Ctrl Pts',(3.607215563992,-15.91662930087,0.7026211435414)); #483019=CARTESIAN_POINT('Ctrl Pts',(-0.2987971572928,-18.90447672942,1.918150963358)); #483020=CARTESIAN_POINT('Ctrl Pts',(-4.804371873156,-21.12512494446,3.171465983882)); #483021=CARTESIAN_POINT('Ctrl Pts',(-8.205008258655,-22.19093549212,4.042013749097)); #483022=CARTESIAN_POINT('Ctrl Pts',(-8.572169785682,-22.30648039664,4.136063496271)); #483023=CARTESIAN_POINT('Ctrl Pts',(5.185031104804,-14.54861660761,-0.003045769001725)); #483024=CARTESIAN_POINT('Ctrl Pts',(4.956509127756,-14.75540974657,0.07175201244324)); #483025=CARTESIAN_POINT('Ctrl Pts',(3.662627236139,-15.90167248257,0.4921757454762)); #483026=CARTESIAN_POINT('Ctrl Pts',(-0.2401424850166,-18.90201036028,1.700938107968)); #483027=CARTESIAN_POINT('Ctrl Pts',(-4.745703031529,-21.13626927443,2.946862605358)); #483028=CARTESIAN_POINT('Ctrl Pts',(-8.148062902909,-22.21146738441,3.812556635439)); #483029=CARTESIAN_POINT('Ctrl Pts',(-8.515409147477,-22.32802662772,3.906081797269)); #483030=CARTESIAN_POINT('Ctrl Pts',(5.207713365718,-14.54013926212,-0.09032224530788)); #483031=CARTESIAN_POINT('Ctrl Pts',(4.979312721519,-14.7472573531,-0.01570769781711)); #483032=CARTESIAN_POINT('Ctrl Pts',(3.686085773848,-15.89535188028,0.4036844314202)); #483033=CARTESIAN_POINT('Ctrl Pts',(-0.2152808936508,-18.90100225042,1.609609381968)); #483034=CARTESIAN_POINT('Ctrl Pts',(-4.720795974092,-21.14104581829,2.852434523246)); #483035=CARTESIAN_POINT('Ctrl Pts',(-8.123852551463,-22.22023526181,3.716093606054)); #483036=CARTESIAN_POINT('Ctrl Pts',(-8.491273443103,-22.33722577235,3.809398832983)); #483037=CARTESIAN_POINT('Ctrl Pts',(1.1090256548856,-16.2128383846151,7.87585379340852)); #483038=CARTESIAN_POINT('Ctrl Pts',(1.10273519236978,-16.216767057452,7.87784079554168)); #483039=CARTESIAN_POINT('Ctrl Pts',(1.09646448197713,-16.2206910333588, 7.87988604036259)); #483040=CARTESIAN_POINT('Ctrl Pts',(1.08397216027868,-16.2285256236789, 7.88410201855408)); #483041=CARTESIAN_POINT('Ctrl Pts',(1.0777611434239,-16.2324295380458,7.88626873164404)); #483042=CARTESIAN_POINT('Ctrl Pts',(1.07156421639097,-16.2363343058035, 7.88850743569003)); #483043=CARTESIAN_POINT('Ctrl Pts',(1.107520845249,-16.23288876648,7.894162086067)); #483044=CARTESIAN_POINT('Ctrl Pts',(1.108298488794,-16.2261460261,7.887837431915)); #483045=CARTESIAN_POINT('Ctrl Pts',(1.109045561001,-16.21928472375,7.8816355455)); #483046=CARTESIAN_POINT('Ctrl Pts',(1.109761696754,-16.21231010053,7.875560077189)); #483047=CARTESIAN_POINT('Ctrl Pts',(1.095463317729,-16.23437049069,7.892591204893)); #483048=CARTESIAN_POINT('Ctrl Pts',(1.096258676968,-16.22763193071,7.88625723388)); #483049=CARTESIAN_POINT('Ctrl Pts',(1.097023349903,-16.22077452075,7.880045979016)); #483050=CARTESIAN_POINT('Ctrl Pts',(1.097756959591,-16.21380350605,7.873961101043)); #483051=CARTESIAN_POINT('Ctrl Pts',(1.083173951284,-16.23563396035,7.890739346308)); #483052=CARTESIAN_POINT('Ctrl Pts',(1.083983223463,-16.2288984188,7.884399244097)); #483053=CARTESIAN_POINT('Ctrl Pts',(1.084761758716,-16.2220438271,7.878181821846)); #483054=CARTESIAN_POINT('Ctrl Pts',(1.085509170619,-16.21507543305,7.872090747184)); #483055=CARTESIAN_POINT('Ctrl Pts',(1.070805300642,-16.23681381311,7.888783209963)); #483056=CARTESIAN_POINT('Ctrl Pts',(1.071627196029,-16.2300809086,7.882438059332)); #483057=CARTESIAN_POINT('Ctrl Pts',(1.072418325852,-16.22322878345,7.876215557642)); #483058=CARTESIAN_POINT('Ctrl Pts',(1.073178294994,-16.2162626876,7.870119378224)); #483059=CARTESIAN_POINT('Origin',(-23.7827514722,-1.274623948348,3.96960980679)); #483060=CARTESIAN_POINT('',(-23.8000003638886,-1.25089584205516,4.00000007822016)); #483061=CARTESIAN_POINT('',(-18.7066265901833,-6.84382763567859,4.)); #483062=CARTESIAN_POINT('',(-23.8,-1.39176974422118,3.10578416840367)); #483063=CARTESIAN_POINT('Origin',(0.,0.,4.)); #483064=CARTESIAN_POINT('',(-23.8,1.57309484827E-12,4.)); #483065=CARTESIAN_POINT('Origin',(-23.8,-1.500133350874E-12,2.004245777484)); #483066=CARTESIAN_POINT('',(-23.7999983802391,1.08323041990162,4.00000152824805)); #483067=CARTESIAN_POINT('Origin',(-23.8,5.89187664857075,5.87561125731978)); #483068=CARTESIAN_POINT('',(-23.79999838822,1.083225634167,4.000000628045)); #483069=CARTESIAN_POINT('Origin',(0.,0.,4.)); #483070=CARTESIAN_POINT('Origin',(-19.0028513320586,-0.789620829540892, 4.)); #483071=CARTESIAN_POINT('Origin',(-21.37663924349,2.516605231326,4.928114519136)); #483072=CARTESIAN_POINT('Origin',(-20.,-20.,1.634883986244)); #483073=CARTESIAN_POINT('Origin',(20.,-20.,1.634883986244)); #483074=CARTESIAN_POINT('Origin',(23.8,-9.983125437429E-13,2.004245504764)); #483075=CARTESIAN_POINT('Ctrl Pts',(15.32331899625,-2.230087701647,7.653859584606)); #483076=CARTESIAN_POINT('Ctrl Pts',(15.40983934897,-2.512124702586,7.743960693506)); #483077=CARTESIAN_POINT('Ctrl Pts',(15.87885065889,-4.100443729357,8.25107724445)); #483078=CARTESIAN_POINT('Ctrl Pts',(16.90115397053,-8.706909736928,9.697703401353)); #483079=CARTESIAN_POINT('Ctrl Pts',(17.00404796232,-13.51800033167,11.20534136596)); #483080=CARTESIAN_POINT('Ctrl Pts',(16.55828614558,-16.90886006614,12.24147915989)); #483081=CARTESIAN_POINT('Ctrl Pts',(16.5105571166,-17.27516102242,12.35342980979)); #483082=CARTESIAN_POINT('Ctrl Pts',(15.31858335374,-2.265473039417,7.556521816034)); #483083=CARTESIAN_POINT('Ctrl Pts',(15.40471296458,-2.54718820911,7.646393587236)); #483084=CARTESIAN_POINT('Ctrl Pts',(15.87153071406,-4.133730127354,8.152218235827)); #483085=CARTESIAN_POINT('Ctrl Pts',(16.88753722669,-8.735657190156,9.595276232645)); #483086=CARTESIAN_POINT('Ctrl Pts',(16.98396983888,-13.54372260044,11.09904884886)); #483087=CARTESIAN_POINT('Ctrl Pts',(16.53317628323,-16.93313409891,12.13262316317)); #483088=CARTESIAN_POINT('Ctrl Pts',(16.48490413611,-17.29927804441,12.24429676877)); #483089=CARTESIAN_POINT('Ctrl Pts',(15.30435784535,-2.371767891301,7.264126594021)); #483090=CARTESIAN_POINT('Ctrl Pts',(15.38931369745,-2.652516304518,7.353309452297)); #483091=CARTESIAN_POINT('Ctrl Pts',(15.84954215888,-4.233719924484,7.855253324893)); #483092=CARTESIAN_POINT('Ctrl Pts',(16.84663356827,-8.822012343899,9.287592841353)); #483093=CARTESIAN_POINT('Ctrl Pts',(16.9236566896,-13.62099033112,10.77975424627)); #483094=CARTESIAN_POINT('Ctrl Pts',(16.45774817461,-17.00605143924,11.80562806359)); #483095=CARTESIAN_POINT('Ctrl Pts',(16.40784454214,-17.37172373641,11.91646944928)); #483096=CARTESIAN_POINT('Ctrl Pts',(15.2894726391,-2.482992091593,6.958171750855)); #483097=CARTESIAN_POINT('Ctrl Pts',(15.37320030022,-2.762728915687,7.046633748356)); #483098=CARTESIAN_POINT('Ctrl Pts',(15.82653390004,-4.338346677585,7.544516876721)); #483099=CARTESIAN_POINT('Ctrl Pts',(16.80383303169,-8.912372156673,8.965640850898)); #483100=CARTESIAN_POINT('Ctrl Pts',(16.86054656079,-13.70184129804,10.44565258281)); #483101=CARTESIAN_POINT('Ctrl Pts',(16.37882214094,-17.08235026954,11.46346879806)); #483102=CARTESIAN_POINT('Ctrl Pts',(16.32721136411,-17.44752904603,11.57343937022)); #483103=CARTESIAN_POINT('Ctrl Pts',(15.29157447178,-2.477609310383,6.623246853156)); #483104=CARTESIAN_POINT('Ctrl Pts',(15.37582671869,-2.756606885977,6.711037556063)); #483105=CARTESIAN_POINT('Ctrl Pts',(15.83210802552,-4.32817623368,7.205137158602)); #483106=CARTESIAN_POINT('Ctrl Pts',(16.8176159376,-8.892451831853,8.615864250142)); #483107=CARTESIAN_POINT('Ctrl Pts',(16.88245116013,-13.67743189263,10.0844928799)); #483108=CARTESIAN_POINT('Ctrl Pts',(16.40515105377,-17.05717640944,11.09485535563)); #483109=CARTESIAN_POINT('Ctrl Pts',(16.35401944894,-17.42227062758,11.20402029764)); #483110=CARTESIAN_POINT('Ctrl Pts',(15.29806768709,-2.434282890463,6.466882305385)); #483111=CARTESIAN_POINT('Ctrl Pts',(15.38305765538,-2.713162015586,6.554397224138)); #483112=CARTESIAN_POINT('Ctrl Pts',(15.84348243015,-4.284113918515,7.046941713235)); #483113=CARTESIAN_POINT('Ctrl Pts',(16.84069987846,-8.847455531823,8.45341531834)); #483114=CARTESIAN_POINT('Ctrl Pts',(16.91733043583,-13.63400197675,9.917341678581)); #483115=CARTESIAN_POINT('Ctrl Pts',(16.44782819719,-17.01600479703,10.92466066092)); #483116=CARTESIAN_POINT('Ctrl Pts',(16.39753946393,-17.3813420194,11.0334966204)); #483117=CARTESIAN_POINT('Ctrl Pts',(15.30839008988,-2.363451135039,6.211923139917)); #483118=CARTESIAN_POINT('Ctrl Pts',(15.39445324095,-2.642265691821,6.298991715491)); #483119=CARTESIAN_POINT('Ctrl Pts',(15.86090580146,-4.212933364499,6.789019172431)); #483120=CARTESIAN_POINT('Ctrl Pts',(16.87514208288,-8.776818633753,8.18861245107)); #483121=CARTESIAN_POINT('Ctrl Pts',(16.96889699426,-13.56804911983,9.644923005211)); #483122=CARTESIAN_POINT('Ctrl Pts',(16.51059253079,-16.95527254686,10.64732015988)); #483123=CARTESIAN_POINT('Ctrl Pts',(16.4615142886,-17.3211721489,10.75562409445)); #483124=CARTESIAN_POINT('Ctrl Pts',(15.31389300557,-2.327533345999,6.066729987188)); #483125=CARTESIAN_POINT('Ctrl Pts',(15.40054227561,-2.60625229627,6.153551130814)); #483126=CARTESIAN_POINT('Ctrl Pts',(15.87028695533,-4.176428114091,6.642183047046)); #483127=CARTESIAN_POINT('Ctrl Pts',(16.89381427516,-8.739690489163,8.037967300408)); #483128=CARTESIAN_POINT('Ctrl Pts',(16.99691307531,-13.53266174692,9.49004859905)); #483129=CARTESIAN_POINT('Ctrl Pts',(16.54468810882,-16.92220425237,10.48972268126)); #483130=CARTESIAN_POINT('Ctrl Pts',(16.49626709753,-17.28835347511,10.59773225682)); #483131=CARTESIAN_POINT('Ctrl Pts',(15.32531869961,-2.249079762794,5.835177304469)); #483132=CARTESIAN_POINT('Ctrl Pts',(15.41323075638,-2.527668012304,5.921637889664)); #483133=CARTESIAN_POINT('Ctrl Pts',(15.89006782156,-4.097183700526,6.408235101888)); #483134=CARTESIAN_POINT('Ctrl Pts',(16.93365223546,-8.659841323613,7.798495431024)); #483135=CARTESIAN_POINT('Ctrl Pts',(17.05697187387,-13.45609019426,9.244375289952)); #483136=CARTESIAN_POINT('Ctrl Pts',(16.61822255163,-16.84973826277,10.24010921283)); #483137=CARTESIAN_POINT('Ctrl Pts',(16.57125801476,-17.21632951345,10.34769282009)); #483138=CARTESIAN_POINT('Ctrl Pts',(15.33969292799,-2.143812786858,5.600918103624)); #483139=CARTESIAN_POINT('Ctrl Pts',(15.4291713317,-2.422417625333,5.687010249656)); #483140=CARTESIAN_POINT('Ctrl Pts',(15.91480543215,-3.992103398368,6.171528078042)); #483141=CARTESIAN_POINT('Ctrl Pts',(16.98329344651,-8.556573483533,7.556148674111)); #483142=CARTESIAN_POINT('Ctrl Pts',(17.13175123471,-13.35872114459,8.995684404455)); #483143=CARTESIAN_POINT('Ctrl Pts',(16.70995488591,-16.7584257096,9.987397218581)); #483144=CARTESIAN_POINT('Ctrl Pts',(16.66482246079,-17.12566965445,10.09454609786)); #483145=CARTESIAN_POINT('Ctrl Pts',(15.35730535446,-1.985100299985,5.273137784983)); #483146=CARTESIAN_POINT('Ctrl Pts',(15.44878742623,-2.26416916254,5.35844092909)); #483147=CARTESIAN_POINT('Ctrl Pts',(15.94567489146,-3.836570041401,5.838513346881)); #483148=CARTESIAN_POINT('Ctrl Pts',(17.04600063443,-8.410658262963,7.210877586827)); #483149=CARTESIAN_POINT('Ctrl Pts',(17.22657240139,-13.22835063809,8.637087094638)); #483150=CARTESIAN_POINT('Ctrl Pts',(16.82628228198,-16.64179161587,9.620000960022)); #483151=CARTESIAN_POINT('Ctrl Pts',(16.78347418869,-17.01051715917,9.726198873933)); #483152=CARTESIAN_POINT('Ctrl Pts',(15.37882766732,-1.796282562296,4.931080454533)); #483153=CARTESIAN_POINT('Ctrl Pts',(15.47326265639,-2.075287862438,5.016020785634)); #483154=CARTESIAN_POINT('Ctrl Pts',(15.98673398583,-3.647449383784,5.494039877916)); #483155=CARTESIAN_POINT('Ctrl Pts',(17.13405564914,-8.222886242253,6.860985884445)); #483156=CARTESIAN_POINT('Ctrl Pts',(17.36212465047,-13.04814915948,8.280751873837)); #483157=CARTESIAN_POINT('Ctrl Pts',(16.99424219474,-16.46981204519,9.259848090669)); #483158=CARTESIAN_POINT('Ctrl Pts',(16.95493603543,-16.83942338988,9.365633044638)); #483159=CARTESIAN_POINT('Ctrl Pts',(15.3976996045,-1.590327500974,4.61720762158)); #483160=CARTESIAN_POINT('Ctrl Pts',(15.49478104802,-1.869908200098,4.701365998302)); #483161=CARTESIAN_POINT('Ctrl Pts',(16.02311526455,-3.445413752113,5.174978775001)); #483162=CARTESIAN_POINT('Ctrl Pts',(17.21254243495,-8.032319646143,6.529792461504)); #483163=CARTESIAN_POINT('Ctrl Pts',(17.48314983809,-12.87519333108,7.936330153552)); #483164=CARTESIAN_POINT('Ctrl Pts',(17.14418764395,-16.31215536654,8.906721293511)); #483165=CARTESIAN_POINT('Ctrl Pts',(17.10800667513,-16.68341703811,9.011565403487)); #483166=CARTESIAN_POINT('Ctrl Pts',(15.41312780291,-1.39185894387,4.28245301684)); #483167=CARTESIAN_POINT('Ctrl Pts',(15.51286544079,-1.671859765761,4.365863625806)); #483168=CARTESIAN_POINT('Ctrl Pts',(16.05611731575,-3.249841479497,4.835261503279)); #483169=CARTESIAN_POINT('Ctrl Pts',(17.28779872383,-7.845816199233,6.17850242053)); #483170=CARTESIAN_POINT('Ctrl Pts',(17.60108701288,-12.70407606052,7.572347914709)); #483171=CARTESIAN_POINT('Ctrl Pts',(17.29109219353,-16.15484165983,8.534445128248)); #483172=CARTESIAN_POINT('Ctrl Pts',(17.25804155488,-16.52759203649,8.638392783173)); #483173=CARTESIAN_POINT('Ctrl Pts',(15.4256212275,-1.211586383391,3.956032345841)); #483174=CARTESIAN_POINT('Ctrl Pts',(15.5280041989,-1.49172270382,4.038890189903)); #483175=CARTESIAN_POINT('Ctrl Pts',(16.08611265097,-3.07057745629,4.505168835148)); #483176=CARTESIAN_POINT('Ctrl Pts',(17.35988303336,-7.670973928993,5.839938003004)); #483177=CARTESIAN_POINT('Ctrl Pts',(17.71569464487,-12.53964200903,7.224280734504)); #483178=CARTESIAN_POINT('Ctrl Pts',(17.43462022323,-16.00053203159,8.180334082415)); #483179=CARTESIAN_POINT('Ctrl Pts',(17.40469477963,-16.37437381112,8.283628343873)); #483180=CARTESIAN_POINT('Ctrl Pts',(15.43674430541,-1.017057625015,3.622319992367)); #483181=CARTESIAN_POINT('Ctrl Pts',(15.54168824557,-1.297610655232,3.70439177114)); #483182=CARTESIAN_POINT('Ctrl Pts',(16.11417961459,-2.878921435408,4.166240596081)); #483183=CARTESIAN_POINT('Ctrl Pts',(17.42868388067,-7.488312693813,5.488821738804)); #483184=CARTESIAN_POINT('Ctrl Pts',(17.8256337819,-12.37224062141,6.85985491901)); #483185=CARTESIAN_POINT('Ctrl Pts',(17.57243567718,-15.84682068026,7.807165901102)); #483186=CARTESIAN_POINT('Ctrl Pts',(17.54552270279,-16.22213892116,7.909515275029)); #483187=CARTESIAN_POINT('Ctrl Pts',(15.44440540431,-0.8567029015896,3.285800725987)); #483188=CARTESIAN_POINT('Ctrl Pts',(15.55175960337,-1.137252380603,3.367308046674)); #483189=CARTESIAN_POINT('Ctrl Pts',(16.13778752461,-2.718655155165,3.825972010407)); #483190=CARTESIAN_POINT('Ctrl Pts',(17.49062391581,-7.330240978133,5.139893748354)); #483191=CARTESIAN_POINT('Ctrl Pts',(17.92628073901,-12.22230194673,6.501235386589)); #483192=CARTESIAN_POINT('Ctrl Pts',(17.69927449634,-15.70537229543,7.442365790579)); #483193=CARTESIAN_POINT('Ctrl Pts',(17.67519199575,-16.08160543548,7.544047005467)); #483194=CARTESIAN_POINT('Ctrl Pts',(15.44964133418,-0.6909212319999,2.948629793562)); #483195=CARTESIAN_POINT('Ctrl Pts',(15.55933712326,-0.9716254156989,3.029447348883)); #483196=CARTESIAN_POINT('Ctrl Pts',(16.15851601733,-2.554009802809,3.484222679583)); #483197=CARTESIAN_POINT('Ctrl Pts',(17.5485853405,-7.170338938083,4.787485306522)); #483198=CARTESIAN_POINT('Ctrl Pts',(18.02183028223,-12.07317306445,6.137096067843)); #483199=CARTESIAN_POINT('Ctrl Pts',(17.82020209829,-15.56664634081,7.070592660995)); #483200=CARTESIAN_POINT('Ctrl Pts',(17.79886218188,-15.94400095192,7.171448743368)); #483201=CARTESIAN_POINT('Ctrl Pts',(15.45253954071,-0.5197644248794,2.613905445245)); #483202=CARTESIAN_POINT('Ctrl Pts',(15.56448829429,-0.8008524425386,2.69390094548)); #483203=CARTESIAN_POINT('Ctrl Pts',(16.17632049902,-2.385505029826,3.144044799956)); #483204=CARTESIAN_POINT('Ctrl Pts',(17.60220631332,-7.010238744433,4.434533745012)); #483205=CARTESIAN_POINT('Ctrl Pts',(18.11160039322,-11.92756330551,5.770265639039)); #483206=CARTESIAN_POINT('Ctrl Pts',(17.93432091541,-15.43409913534,6.694590605377)); #483207=CARTESIAN_POINT('Ctrl Pts',(17.91561239876,-15.81286249354,6.7944554508)); #483208=CARTESIAN_POINT('Ctrl Pts',(15.45411969851,-0.3810167395134,2.273461629444)); #483209=CARTESIAN_POINT('Ctrl Pts',(15.56813100325,-0.6620979498161,2.352807099174)); #483210=CARTESIAN_POINT('Ctrl Pts',(16.19154723666,-2.246822607786,2.799285798771)); #483211=CARTESIAN_POINT('Ctrl Pts',(17.65021049027,-6.873665871583,4.079742307184)); #483212=CARTESIAN_POINT('Ctrl Pts',(18.19267150289,-11.79895064185,5.404400769337)); #483213=CARTESIAN_POINT('Ctrl Pts',(18.0375690527,-15.31381396591,6.321544946303)); #483214=CARTESIAN_POINT('Ctrl Pts',(18.02125733354,-15.69347467092,6.420633604912)); #483215=CARTESIAN_POINT('Ctrl Pts',(15.45529212904,-0.2315717724066,1.93817336205)); #483216=CARTESIAN_POINT('Ctrl Pts',(15.57146974056,-0.5126904708311,2.016853854392)); #483217=CARTESIAN_POINT('Ctrl Pts',(16.20705262251,-2.097735848143,2.459583479096)); #483218=CARTESIAN_POINT('Ctrl Pts',(17.70015181029,-6.727394939603,3.729768376844)); #483219=CARTESIAN_POINT('Ctrl Pts',(18.27736494069,-11.66135301277,5.043111087394)); #483220=CARTESIAN_POINT('Ctrl Pts',(18.14564689149,-15.18505222845,5.952900453454)); #483221=CARTESIAN_POINT('Ctrl Pts',(18.1318623812,-15.5656651956,6.051194129033)); #483222=CARTESIAN_POINT('Ctrl Pts',(15.4536389221,-0.09556630329249,1.590253007982)); #483223=CARTESIAN_POINT('Ctrl Pts',(15.5716505974,-0.3768657370069,1.668138899433)); #483224=CARTESIAN_POINT('Ctrl Pts',(16.2175345115,-1.963038070191,2.106391318278)); #483225=CARTESIAN_POINT('Ctrl Pts',(17.73975613923,-6.597839894293,3.364238817254)); #483226=CARTESIAN_POINT('Ctrl Pts',(18.34631901329,-11.54293331579,4.664152139682)); #483227=CARTESIAN_POINT('Ctrl Pts',(18.23409332254,-15.07727499171,5.56508634156)); #483228=CARTESIAN_POINT('Ctrl Pts',(18.2224156518,-15.45903531861,5.662422966381)); #483229=CARTESIAN_POINT('Ctrl Pts',(15.4517468752,0.0387683144594,1.23232099657)); #483230=CARTESIAN_POINT('Ctrl Pts',(15.57177700593,-0.2424738760485,1.309509868426)); #483231=CARTESIAN_POINT('Ctrl Pts',(16.22899742255,-1.828439492778,1.743832670553)); #483232=CARTESIAN_POINT('Ctrl Pts',(17.78328412097,-6.464633767813,2.990911029244)); #483233=CARTESIAN_POINT('Ctrl Pts',(18.42218076399,-11.41719228982,4.278967097881)); #483234=CARTESIAN_POINT('Ctrl Pts',(18.33154744829,-14.95961260849,5.172189378759)); #483235=CARTESIAN_POINT('Ctrl Pts',(18.32220348021,-15.34224331729,5.268692424751)); #483236=CARTESIAN_POINT('Ctrl Pts',(15.44996664648,0.1564415972802,0.8753566298935)); #483237=CARTESIAN_POINT('Ctrl Pts',(15.57180448026,-0.1245988134881,0.9518783500159)); #483238=CARTESIAN_POINT('Ctrl Pts',(16.239177918,-1.709544111085,1.3824395232)); #483239=CARTESIAN_POINT('Ctrl Pts',(17.82216457829,-6.344780791013,2.619219704734)); #483240=CARTESIAN_POINT('Ctrl Pts',(18.48998030754,-11.30231221568,3.89591284444)); #483241=CARTESIAN_POINT('Ctrl Pts',(18.41852201739,-14.85098002343,4.78176363156)); #483242=CARTESIAN_POINT('Ctrl Pts',(18.41125062106,-15.23428336337,4.877469879589)); #483243=CARTESIAN_POINT('Ctrl Pts',(15.44574791765,0.2765586407339,0.5251933988694)); #483244=CARTESIAN_POINT('Ctrl Pts',(15.56921000579,-0.00459270160739,0.6009303050534)); #483245=CARTESIAN_POINT('Ctrl Pts',(16.24570630786,-1.590271964088,1.027069368284)); #483246=CARTESIAN_POINT('Ctrl Pts',(17.85446372286,-6.229515163693,2.251665273784)); #483247=CARTESIAN_POINT('Ctrl Pts',(18.54822610517,-11.1969737435,3.515092743323)); #483248=CARTESIAN_POINT('Ctrl Pts',(18.49383810201,-14.75539487767,4.392198656428)); #483249=CARTESIAN_POINT('Ctrl Pts',(18.48841191635,-15.13974955878,4.486959771742)); #483250=CARTESIAN_POINT('Ctrl Pts',(15.44175801864,0.3773088091145,0.204718583483)); #483251=CARTESIAN_POINT('Ctrl Pts',(15.56683945952,0.0963757890341,0.2798619424654)); #483252=CARTESIAN_POINT('Ctrl Pts',(16.25243090277,-1.488178283097,0.7026543217312)); #483253=CARTESIAN_POINT('Ctrl Pts',(17.8868983383,-6.125958542889,1.918089697064)); #483254=CARTESIAN_POINT('Ctrl Pts',(18.60656753564,-11.09724480798,3.171405717378)); #483255=CARTESIAN_POINT('Ctrl Pts',(18.56936044541,-14.6608080835,4.041954966157)); #483256=CARTESIAN_POINT('Ctrl Pts',(18.56579129058,-15.0457162352,4.136007357401)); #483257=CARTESIAN_POINT('Ctrl Pts',(15.4392786583,0.4344926665262,-0.002891373682085)); #483258=CARTESIAN_POINT('Ctrl Pts',(15.56517304338,0.1535923453209,0.07181538780792)); #483259=CARTESIAN_POINT('Ctrl Pts',(16.25533068177,-1.430842686801,0.492147335198)); #483260=CARTESIAN_POINT('Ctrl Pts',(17.90268106185,-6.069399085469,1.700813572349)); #483261=CARTESIAN_POINT('Ctrl Pts',(18.63530187694,-11.04487952242,2.946736672482)); #483262=CARTESIAN_POINT('Ctrl Pts',(18.60649198424,-14.61298452469,3.812430593423)); #483263=CARTESIAN_POINT('Ctrl Pts',(18.60383064815,-14.99838199429,3.905958213235)); #483264=CARTESIAN_POINT('Ctrl Pts',(15.43822596476,0.4587011598214,-0.09022795865993)); #483265=CARTESIAN_POINT('Ctrl Pts',(15.56446671116,0.177815799083,-0.01570433340996)); #483266=CARTESIAN_POINT('Ctrl Pts',(16.25656980665,-1.406562399236,0.4035955433747)); #483267=CARTESIAN_POINT('Ctrl Pts',(17.90940964688,-6.04542622498,1.60942266439)); #483268=CARTESIAN_POINT('Ctrl Pts',(18.64754990967,-11.02265214375,2.852244302163)); #483269=CARTESIAN_POINT('Ctrl Pts',(18.6223232244,-14.59265442399,3.715901892439)); #483270=CARTESIAN_POINT('Ctrl Pts',(18.62004926313,-14.97825629923,3.809209421978)); #483271=CARTESIAN_POINT('Ctrl Pts',(15.7728226338321,-3.99826222055533, 7.88853175589015)); #483272=CARTESIAN_POINT('Ctrl Pts',(15.7710106748698,-3.99110985542914, 7.88627606907546)); #483273=CARTESIAN_POINT('Ctrl Pts',(15.769201580409,-3.98393470424306,7.88409060951112)); #483274=CARTESIAN_POINT('Ctrl Pts',(15.7656051140781,-3.96960969864721, 7.87986899841924)); #483275=CARTESIAN_POINT('Ctrl Pts',(15.7638184296962,-3.96246314060867, 7.877832517093)); #483276=CARTESIAN_POINT('Ctrl Pts',(15.7620333705818,-3.95529652288219, 7.87585379639705)); #483277=CARTESIAN_POINT('Ctrl Pts',(15.78063744499,-3.962923360261,7.894162114862)); #483278=CARTESIAN_POINT('Ctrl Pts',(15.77446502421,-3.960100156904,7.887837462406)); #483279=CARTESIAN_POINT('Ctrl Pts',(15.76817039727,-3.957269390955,7.881635577629)); #483280=CARTESIAN_POINT('Ctrl Pts',(15.76175843596,-3.954433029244,7.875560110895)); #483281=CARTESIAN_POINT('Ctrl Pts',(15.77831990191,-3.974852585246,7.892590715695)); #483282=CARTESIAN_POINT('Ctrl Pts',(15.77215693453,-3.972013819878,7.886256743248)); #483283=CARTESIAN_POINT('Ctrl Pts',(15.76587145147,-3.969167512266,7.880045486874)); #483284=CARTESIAN_POINT('Ctrl Pts',(15.75946832476,-3.96631564176,7.873960607317)); #483285=CARTESIAN_POINT('Ctrl Pts',(15.77572295772,-3.986934960093,7.890738151396)); #483286=CARTESIAN_POINT('Ctrl Pts',(15.76956716201,-3.984083892447,7.884398046751)); #483287=CARTESIAN_POINT('Ctrl Pts',(15.76328864457,-3.981225268828,7.878180621981)); #483288=CARTESIAN_POINT('Ctrl Pts',(15.75689227694,-3.978361078417,7.872089544719)); #483289=CARTESIAN_POINT('Ctrl Pts',(15.773021977,-3.999066898814,7.888781297707)); #483290=CARTESIAN_POINT('Ctrl Pts',(15.76687259167,-3.996204637684,7.88243614367)); #483291=CARTESIAN_POINT('Ctrl Pts',(15.7606003136,-3.993334795116,7.876213638487)); #483292=CARTESIAN_POINT('Ctrl Pts',(15.75421001364,-3.990459369224,7.870117455487)); #483293=CARTESIAN_POINT('Origin',(20.,20.,1.634883986244)); #483294=CARTESIAN_POINT('Origin',(-20.,20.,1.634883986244)); #483295=CARTESIAN_POINT('Origin',(0.,0.001909091374064,8.004245504761)); #483296=CARTESIAN_POINT('',(-13.3441102126792,-7.00544528095964,8.00424550443346)); #483297=CARTESIAN_POINT('Ctrl Pts',(-13.3441102130224,-7.00544528089075, 8.004245504761)); #483298=CARTESIAN_POINT('Ctrl Pts',(-13.336936220788,-6.96970255654199, 8.004245504761)); #483299=CARTESIAN_POINT('Ctrl Pts',(-13.3360550717648,-6.93273918933755, 8.00424550475748)); #483300=CARTESIAN_POINT('Ctrl Pts',(-13.3462838699078,-6.86023549562885, 8.00424550475748)); #483301=CARTESIAN_POINT('Ctrl Pts',(-13.3576276234805,-6.82451359115441, 8.004245504761)); #483302=CARTESIAN_POINT('Ctrl Pts',(-13.3743369959797,-6.79169458617233, 8.004245504761)); #483303=CARTESIAN_POINT('Ctrl Pts',(-12.8636895215729,-7.71528190171036, 8.004245504761)); #483304=CARTESIAN_POINT('Ctrl Pts',(-12.9346257333837,-7.59683206562878, 8.004245504761)); #483305=CARTESIAN_POINT('Ctrl Pts',(-13.0108642667355,-7.48044433234459, 8.00424550477949)); #483306=CARTESIAN_POINT('Ctrl Pts',(-13.1728068425329,-7.24404468631111, 8.00424550477949)); #483307=CARTESIAN_POINT('Ctrl Pts',(-13.2790266105942,-7.09616317881163, 8.004245504761)); #483308=CARTESIAN_POINT('Ctrl Pts',(-13.3441102121809,-7.00544528060213, 8.004245504761)); #483309=CARTESIAN_POINT('Ctrl Pts',(-12.82721525387,-8.38468950174,6.931967501191)); #483310=CARTESIAN_POINT('Ctrl Pts',(-12.78951505216,-8.37617397885,6.952537129557)); #483311=CARTESIAN_POINT('Ctrl Pts',(-12.72895854071,-8.366698957364,6.990551423997)); #483312=CARTESIAN_POINT('Ctrl Pts',(-12.64982897022,-8.364064274844,7.051758847305)); #483313=CARTESIAN_POINT('Ctrl Pts',(-12.58733090386,-8.368812597117,7.108183429104)); #483314=CARTESIAN_POINT('Ctrl Pts',(-12.52980588107,-8.380469731242,7.168741326707)); #483315=CARTESIAN_POINT('Ctrl Pts',(-12.47797815514,-8.398888885217,7.2326701011)); #483316=CARTESIAN_POINT('Ctrl Pts',(-12.43250024744,-8.423838129754,7.299164875602)); #483317=CARTESIAN_POINT('Ctrl Pts',(-12.3867332616,-8.460832513557,7.380149117017)); #483318=CARTESIAN_POINT('Ctrl Pts',(-12.36191656149,-8.49380492264,7.439343076072)); #483319=CARTESIAN_POINT('Ctrl Pts',(-12.35004719149,-8.515973347076,7.475226419441)); #483320=CARTESIAN_POINT('Ctrl Pts',(-12.8825122831,-8.329699357078,6.973090558933)); #483321=CARTESIAN_POINT('Ctrl Pts',(-12.84364755903,-8.320101725789,6.993627032544)); #483322=CARTESIAN_POINT('Ctrl Pts',(-12.78116179986,-8.309014813578,7.031938846717)); #483323=CARTESIAN_POINT('Ctrl Pts',(-12.69948935672,-8.30458194848,7.094273497126)); #483324=CARTESIAN_POINT('Ctrl Pts',(-12.63501373548,-8.308127594538,7.152070156991)); #483325=CARTESIAN_POINT('Ctrl Pts',(-12.57574473413,-8.318906737596,7.214365955804)); #483326=CARTESIAN_POINT('Ctrl Pts',(-12.5224684133,-8.336775695521,7.280334643554)); #483327=CARTESIAN_POINT('Ctrl Pts',(-12.47589142559,-8.361496825546,7.349101373534)); #483328=CARTESIAN_POINT('Ctrl Pts',(-12.4292884692,-8.398585774745,7.432969312669)); #483329=CARTESIAN_POINT('Ctrl Pts',(-12.40434104098,-8.431938067344,7.494324081797)); #483330=CARTESIAN_POINT('Ctrl Pts',(-12.39258262073,-8.454434828142,7.531506444832)); #483331=CARTESIAN_POINT('Ctrl Pts',(-12.9611137528,-8.250051746813,7.03067697262)); #483332=CARTESIAN_POINT('Ctrl Pts',(-12.9206146439,-8.238932723838,7.051039375163)); #483333=CARTESIAN_POINT('Ctrl Pts',(-12.85540605616,-8.225569145624,7.089582299419)); #483334=CARTESIAN_POINT('Ctrl Pts',(-12.77012653724,-8.218580792239,7.153292320571)); #483335=CARTESIAN_POINT('Ctrl Pts',(-12.7028392077,-8.220407918442,7.212873670248)); #483336=CARTESIAN_POINT('Ctrl Pts',(-12.64108900991,-8.229923455293,7.277497757845)); #483337=CARTESIAN_POINT('Ctrl Pts',(-12.5857547681,-8.246990178926,7.346244441941)); #483338=CARTESIAN_POINT('Ctrl Pts',(-12.53762415952,-8.271363553253,7.418135227336)); #483339=CARTESIAN_POINT('Ctrl Pts',(-12.48985572206,-8.308555556553,7.505990429219)); #483340=CARTESIAN_POINT('Ctrl Pts',(-12.46475248657,-8.342421702109,7.570342596881)); #483341=CARTESIAN_POINT('Ctrl Pts',(-12.45317552478,-8.365367253016,7.609324983388)); #483342=CARTESIAN_POINT('Ctrl Pts',(-13.06659620244,-8.148438814922,7.099609998323)); #483343=CARTESIAN_POINT('Ctrl Pts',(-13.02369335781,-8.134954380744,7.119371340457)); #483344=CARTESIAN_POINT('Ctrl Pts',(-12.95442227254,-8.117999426475,7.15776908018)); #483345=CARTESIAN_POINT('Ctrl Pts',(-12.86368443308,-8.106884986093,7.223017059491)); #483346=CARTESIAN_POINT('Ctrl Pts',(-12.79210980661,-8.105872181333,7.284935100005)); #483347=CARTESIAN_POINT('Ctrl Pts',(-12.72655929019,-8.113228171193,7.352805569035)); #483348=CARTESIAN_POINT('Ctrl Pts',(-12.66806960322,-8.128835871013,7.425554807613)); #483349=CARTESIAN_POINT('Ctrl Pts',(-12.61756585095,-8.152447740223,7.502032137124)); #483350=CARTESIAN_POINT('Ctrl Pts',(-12.5680437592,-8.189533274295,7.595803563985)); #483351=CARTESIAN_POINT('Ctrl Pts',(-12.54275341944,-8.224005396627,7.664630537618)); #483352=CARTESIAN_POINT('Ctrl Pts',(-12.53149797642,-8.247531003007,7.706294736913)); #483353=CARTESIAN_POINT('Ctrl Pts',(-13.16636479476,-8.058851850373,7.157434382736)); #483354=CARTESIAN_POINT('Ctrl Pts',(-13.12097618903,-8.042803817539,7.17634083063)); #483355=CARTESIAN_POINT('Ctrl Pts',(-13.0474597489,-8.021917087833,7.214265075578)); #483356=CARTESIAN_POINT('Ctrl Pts',(-12.95095848438,-8.006211817313,7.280795040627)); #483357=CARTESIAN_POINT('Ctrl Pts',(-12.87483087792,-8.001988940533,7.344959858934)); #483358=CARTESIAN_POINT('Ctrl Pts',(-12.80522949195,-8.006849686343,7.416100862132)); #483359=CARTESIAN_POINT('Ctrl Pts',(-12.74337049104,-8.020706831733,7.49297429673)); #483360=CARTESIAN_POINT('Ctrl Pts',(-12.69033493445,-8.043316135203,7.574236657673)); #483361=CARTESIAN_POINT('Ctrl Pts',(-12.63895388599,-8.080072299214,7.674222884711)); #483362=CARTESIAN_POINT('Ctrl Pts',(-12.61348848395,-8.115047653101,7.747768983418)); #483363=CARTESIAN_POINT('Ctrl Pts',(-12.60259797618,-8.139109618236,7.792257764467)); #483364=CARTESIAN_POINT('Ctrl Pts',(-13.29560466043,-7.948582389535,7.225247842603)); #483365=CARTESIAN_POINT('Ctrl Pts',(-13.24685516147,-7.928919581913,7.242414580986)); #483366=CARTESIAN_POINT('Ctrl Pts',(-13.16749431777,-7.90240494539,7.278873643149)); #483367=CARTESIAN_POINT('Ctrl Pts',(-13.06292306102,-7.879987586273,7.346313077267)); #483368=CARTESIAN_POINT('Ctrl Pts',(-12.98036370302,-7.870983410483,7.413030290065)); #483369=CARTESIAN_POINT('Ctrl Pts',(-12.90501060845,-7.872040179733,7.488301316377)); #483370=CARTESIAN_POINT('Ctrl Pts',(-12.83836176681,-7.883131568303,7.57062684026)); #483371=CARTESIAN_POINT('Ctrl Pts',(-12.78174249079,-7.904032015903,7.658368524019)); #483372=CARTESIAN_POINT('Ctrl Pts',(-12.72777581706,-7.939986702729,7.766879383646)); #483373=CARTESIAN_POINT('Ctrl Pts',(-12.70214971588,-7.97544599408,7.846945728939)); #483374=CARTESIAN_POINT('Ctrl Pts',(-12.69185128991,-8.000132552959,7.895327843598)); #483375=CARTESIAN_POINT('Ctrl Pts',(-13.50802339382,-7.774928598902,7.327321095022)); #483376=CARTESIAN_POINT('Ctrl Pts',(-13.45371151588,-7.749053703216,7.340103735726)); #483377=CARTESIAN_POINT('Ctrl Pts',(-13.36437563001,-7.712628991956,7.371994528145)); #483378=CARTESIAN_POINT('Ctrl Pts',(-13.24563682711,-7.678005182563,7.438812027467)); #483379=CARTESIAN_POINT('Ctrl Pts',(-13.15162193447,-7.660074998313,7.508529974767)); #483380=CARTESIAN_POINT('Ctrl Pts',(-13.06593878079,-7.653813391593,7.589951813207)); #483381=CARTESIAN_POINT('Ctrl Pts',(-12.99066816356,-7.659357966793,7.68109174418)); #483382=CARTESIAN_POINT('Ctrl Pts',(-12.92763896412,-7.676560339903,7.779731296709)); #483383=CARTESIAN_POINT('Ctrl Pts',(-12.86917173743,-7.710307578053,7.902876560504)); #483384=CARTESIAN_POINT('Ctrl Pts',(-12.84349433303,-7.746084529202,7.994263446069)); #483385=CARTESIAN_POINT('Ctrl Pts',(-12.83445773128,-7.77156114802,8.049380390286)); #483386=CARTESIAN_POINT('Ctrl Pts',(-13.77684024257,-7.560438397204,7.449129556666)); #483387=CARTESIAN_POINT('Ctrl Pts',(-13.71589955339,-7.526867322207,7.453202016744)); #483388=CARTESIAN_POINT('Ctrl Pts',(-13.61392069371,-7.477571994947,7.474656887603)); #483389=CARTESIAN_POINT('Ctrl Pts',(-13.47625867765,-7.426164565353,7.535802928842)); #483390=CARTESIAN_POINT('Ctrl Pts',(-13.36654788494,-7.395432863433,7.606311558693)); #483391=CARTESIAN_POINT('Ctrl Pts',(-13.26650448212,-7.378203808883,7.69359412893)); #483392=CARTESIAN_POINT('Ctrl Pts',(-13.17919854613,-7.374973643153,7.794941677704)); #483393=CARTESIAN_POINT('Ctrl Pts',(-13.10731090574,-7.385808690893,7.907223663364)); #483394=CARTESIAN_POINT('Ctrl Pts',(-13.04290454325,-7.414933759372,8.049382232577)); #483395=CARTESIAN_POINT('Ctrl Pts',(-13.01768971562,-7.450001505721,8.155769421582)); #483396=CARTESIAN_POINT('Ctrl Pts',(-13.01085253299,-7.47587728363,8.219753907542)); #483397=CARTESIAN_POINT('Ctrl Pts',(-14.09905716701,-7.299942015366,7.593233583005)); #483398=CARTESIAN_POINT('Ctrl Pts',(-14.03165359763,-7.259061073573,7.581519403421)); #483399=CARTESIAN_POINT('Ctrl Pts',(-13.91550057236,-7.196007596726,7.582150856822)); #483400=CARTESIAN_POINT('Ctrl Pts',(-13.75431563866,-7.124250030303,7.627261625661)); #483401=CARTESIAN_POINT('Ctrl Pts',(-13.62417260836,-7.076670729013,7.692790271176)); #483402=CARTESIAN_POINT('Ctrl Pts',(-13.50494880953,-7.043861550503,7.78313353545)); #483403=CARTESIAN_POINT('Ctrl Pts',(-13.40143147341,-7.027100937583,7.894613934546)); #483404=CARTESIAN_POINT('Ctrl Pts',(-13.31777838482,-7.027019057073,8.022720858754)); #483405=CARTESIAN_POINT('Ctrl Pts',(-13.24605286478,-7.046671675001,8.18839481)); #483406=CARTESIAN_POINT('Ctrl Pts',(-13.22253121796,-7.078219123521,8.313935194642)); #483407=CARTESIAN_POINT('Ctrl Pts',(-13.21951920747,-7.10306545245,8.389128632325)); #483408=CARTESIAN_POINT('Ctrl Pts',(-14.36383451368,-7.07677040161,7.713463989144)); #483409=CARTESIAN_POINT('Ctrl Pts',(-14.2930222479,-7.033244331581,7.684012736486)); #483410=CARTESIAN_POINT('Ctrl Pts',(-14.16675864784,-6.962650652924,7.659596262619)); #483411=CARTESIAN_POINT('Ctrl Pts',(-13.98583056483,-6.875924049703,7.682162346314)); #483412=CARTESIAN_POINT('Ctrl Pts',(-13.83744783619,-6.814012376263,7.73737172099)); #483413=CARTESIAN_POINT('Ctrl Pts',(-13.70054547501,-6.766343277003,7.825962482401)); #483414=CARTESIAN_POINT('Ctrl Pts',(-13.58193982925,-6.735264472253,7.943476169915)); #483415=CARTESIAN_POINT('Ctrl Pts',(-13.48753663105,-6.722289014713,8.084028184573)); #483416=CARTESIAN_POINT('Ctrl Pts',(-13.409790407,-6.729095758588,8.269885513285)); #483417=CARTESIAN_POINT('Ctrl Pts',(-13.38905651821,-6.754085393972,8.412527133837)); #483418=CARTESIAN_POINT('Ctrl Pts',(-13.39055332642,-6.775995975591,8.497607685015)); #483419=CARTESIAN_POINT('Ctrl Pts',(-14.57047831532,-6.885457944099,7.807799123266)); #483420=CARTESIAN_POINT('Ctrl Pts',(-14.49851359063,-6.843627660839,7.762177863305)); #483421=CARTESIAN_POINT('Ctrl Pts',(-14.36576897118,-6.772050114101,7.713713144721)); #483422=CARTESIAN_POINT('Ctrl Pts',(-14.16956667687,-6.677321365203,7.71263766309)); #483423=CARTESIAN_POINT('Ctrl Pts',(-14.00625004284,-6.605326939433,7.75541788726)); #483424=CARTESIAN_POINT('Ctrl Pts',(-13.85450045156,-6.545368964693,7.839356621065)); #483425=CARTESIAN_POINT('Ctrl Pts',(-13.72318115599,-6.500939259373,7.959528862575)); #483426=CARTESIAN_POINT('Ctrl Pts',(-13.61996210537,-6.474617266433,8.10889937883)); #483427=CARTESIAN_POINT('Ctrl Pts',(-13.53795177253,-6.466668958052,8.310500985035)); #483428=CARTESIAN_POINT('Ctrl Pts',(-13.52070139055,-6.482811995749,8.467008365276)); #483429=CARTESIAN_POINT('Ctrl Pts',(-13.52684181973,-6.500268333473,8.560013250676)); #483430=CARTESIAN_POINT('Ctrl Pts',(-14.71903603077,-6.736237655304,7.874202572915)); #483431=CARTESIAN_POINT('Ctrl Pts',(-14.64699940217,-6.698031605046,7.816111888167)); #483432=CARTESIAN_POINT('Ctrl Pts',(-14.51031259414,-6.6290548056,7.748444946716)); #483433=CARTESIAN_POINT('Ctrl Pts',(-14.30320925794,-6.531326971843,7.727497028871)); #483434=CARTESIAN_POINT('Ctrl Pts',(-14.1288202432,-6.453142755613,7.759121542625)); #483435=CARTESIAN_POINT('Ctrl Pts',(-13.96590212275,-6.384210108763,7.837938065794)); #483436=CARTESIAN_POINT('Ctrl Pts',(-13.82503839936,-6.328998306073,7.958802317301)); #483437=CARTESIAN_POINT('Ctrl Pts',(-13.71537984782,-6.291077814313,8.113844501823)); #483438=CARTESIAN_POINT('Ctrl Pts',(-13.63071136943,-6.269488860308,8.326488696855)); #483439=CARTESIAN_POINT('Ctrl Pts',(-13.61679587498,-6.276799143166,8.493026807967)); #483440=CARTESIAN_POINT('Ctrl Pts',(-13.62694238368,-6.289612823816,8.591712778927)); #483441=CARTESIAN_POINT('Ctrl Pts',(-14.85804408204,-6.580848627382,7.932138743919)); #483442=CARTESIAN_POINT('Ctrl Pts',(-14.78658968652,-6.54882153427,7.862125330322)); #483443=CARTESIAN_POINT('Ctrl Pts',(-14.64684855831,-6.486318764692,7.775384669186)); #483444=CARTESIAN_POINT('Ctrl Pts',(-14.42965927239,-6.389469973623,7.733662647383)); #483445=CARTESIAN_POINT('Ctrl Pts',(-14.24467768385,-6.307170092923,7.752937877052)); #483446=CARTESIAN_POINT('Ctrl Pts',(-14.07097285574,-6.230075915723,7.825239500799)); #483447=CARTESIAN_POINT('Ctrl Pts',(-13.92096633878,-6.163694202523,7.945373343126)); #483448=CARTESIAN_POINT('Ctrl Pts',(-13.80538509484,-6.112756263843,8.104729789839)); #483449=CARTESIAN_POINT('Ctrl Pts',(-13.71886401666,-6.074920149529,8.326911453743)); #483450=CARTESIAN_POINT('Ctrl Pts',(-13.70901777164,-6.07101130856,8.502462647844)); #483451=CARTESIAN_POINT('Ctrl Pts',(-13.72361684647,-6.077746570046,8.606196263895)); #483452=CARTESIAN_POINT('Ctrl Pts',(-14.98657211641,-6.415390262971,7.976214657807)); #483453=CARTESIAN_POINT('Ctrl Pts',(-14.91606252208,-6.391906214358,7.895856350725)); #483454=CARTESIAN_POINT('Ctrl Pts',(-14.77394871539,-6.339765121836,7.791826459357)); #483455=CARTESIAN_POINT('Ctrl Pts',(-14.54766018789,-6.248160406143,7.730222167733)); #483456=CARTESIAN_POINT('Ctrl Pts',(-14.35291864632,-6.164410123803,7.737010247799)); #483457=CARTESIAN_POINT('Ctrl Pts',(-14.16926298566,-6.080621217593,7.801949336978)); #483458=CARTESIAN_POINT('Ctrl Pts',(-14.01096171511,-6.003300702843,7.919974273117)); #483459=CARTESIAN_POINT('Ctrl Pts',(-13.89031368863,-5.938443014703,8.081898352039)); #483460=CARTESIAN_POINT('Ctrl Pts',(-13.80292545826,-5.882204766065,8.311273722466)); #483461=CARTESIAN_POINT('Ctrl Pts',(-13.79775009254,-5.864864986527,8.49403429337)); #483462=CARTESIAN_POINT('Ctrl Pts',(-13.81705878085,-5.864153394952,8.601740891274)); #483463=CARTESIAN_POINT('Ctrl Pts',(-15.08346117212,-6.26557967385,7.994061026448)); #483464=CARTESIAN_POINT('Ctrl Pts',(-15.0136967145,-6.25012727527,7.907492049168)); #483465=CARTESIAN_POINT('Ctrl Pts',(-14.87000379368,-6.20860627478,7.792514629118)); #483466=CARTESIAN_POINT('Ctrl Pts',(-14.63736567515,-6.124391454513,7.717512293262)); #483467=CARTESIAN_POINT('Ctrl Pts',(-14.43579721575,-6.041820775093,7.715381608952)); #483468=CARTESIAN_POINT('Ctrl Pts',(-14.24523746656,-5.954424023663,7.774493103501)); #483469=CARTESIAN_POINT('Ctrl Pts',(-14.08131352634,-5.869368690493,7.889994112384)); #483470=CARTESIAN_POINT('Ctrl Pts',(-13.95746783931,-5.793626655083,8.052407038267)); #483471=CARTESIAN_POINT('Ctrl Pts',(-13.87008919211,-5.722138319968,8.285072346789)); #483472=CARTESIAN_POINT('Ctrl Pts',(-13.86876653122,-5.693080621638,8.471539777189)); #483473=CARTESIAN_POINT('Ctrl Pts',(-13.89172227127,-5.685734069133,8.581228522398)); #483474=CARTESIAN_POINT('Ctrl Pts',(-15.15202371547,-6.138530934348,7.99123148298)); #483475=CARTESIAN_POINT('Ctrl Pts',(-15.08262024211,-6.128176730274,7.901749710372)); #483476=CARTESIAN_POINT('Ctrl Pts',(-14.9379754542,-6.093745327103,7.781439347104)); #483477=CARTESIAN_POINT('Ctrl Pts',(-14.70172195219,-6.015237713612,7.699627179957)); #483478=CARTESIAN_POINT('Ctrl Pts',(-14.49629726295,-5.934491518469,7.692789184961)); #483479=CARTESIAN_POINT('Ctrl Pts',(-14.30185212215,-5.845963866607,7.748631407821)); #483480=CARTESIAN_POINT('Ctrl Pts',(-14.1347719084,-5.757114909141,7.862448009567)); #483481=CARTESIAN_POINT('Ctrl Pts',(-14.00913540493,-5.675431234612,8.02464647715)); #483482=CARTESIAN_POINT('Ctrl Pts',(-13.92176238798,-5.595143702805,8.258392240439)); #483483=CARTESIAN_POINT('Ctrl Pts',(-13.9225861601,-5.558989326385,8.446300686489)); #483484=CARTESIAN_POINT('Ctrl Pts',(-13.94756485475,-5.547526197879,8.556730523514)); #483485=CARTESIAN_POINT('Ctrl Pts',(-15.18275972334,-6.072313255517,7.98325146892)); #483486=CARTESIAN_POINT('Ctrl Pts',(-15.11342508931,-6.063541706428,7.8930673699)); #483487=CARTESIAN_POINT('Ctrl Pts',(-14.96845379151,-6.031435518836,7.771440313659)); #483488=CARTESIAN_POINT('Ctrl Pts',(-14.73108693655,-5.955092444324,7.6879031157)); #483489=CARTESIAN_POINT('Ctrl Pts',(-14.52448613702,-5.875348882332,7.679846106448)); #483490=CARTESIAN_POINT('Ctrl Pts',(-14.32884715127,-5.786963777307,7.734812459214)); #483491=CARTESIAN_POINT('Ctrl Pts',(-14.16077065475,-5.69743682565,7.848138951108)); #483492=CARTESIAN_POINT('Ctrl Pts',(-14.03451786916,-5.614364631858,8.010208860476)); #483493=CARTESIAN_POINT('Ctrl Pts',(-13.94701370283,-5.531783225036,8.244132327807)); #483494=CARTESIAN_POINT('Ctrl Pts',(-13.94835311687,-5.493608764961,8.432333352614)); #483495=CARTESIAN_POINT('Ctrl Pts',(-13.97385416853,-5.480917438498,8.542907473753)); #483496=CARTESIAN_POINT('Ctrl Pts',(-15.19648449357,-6.039386490599,7.977407075369)); #483497=CARTESIAN_POINT('Ctrl Pts',(-15.12714084996,-6.030979820011,7.887119695126)); #483498=CARTESIAN_POINT('Ctrl Pts',(-14.98206317573,-5.999472625138,7.765297262358)); #483499=CARTESIAN_POINT('Ctrl Pts',(-14.74440658523,-5.923835660423,7.681501126372)); #483500=CARTESIAN_POINT('Ctrl Pts',(-14.53750673539,-5.844558651414,7.673259051349)); #483501=CARTESIAN_POINT('Ctrl Pts',(-14.34155751405,-5.756478936133,7.728089876926)); #483502=CARTESIAN_POINT('Ctrl Pts',(-14.17320328343,-5.667077990508,7.84133700816)); #483503=CARTESIAN_POINT('Ctrl Pts',(-14.04674375216,-5.58394954891,8.003380424037)); #483504=CARTESIAN_POINT('Ctrl Pts',(-13.95911257638,-5.501099330352,8.237320853812)); #483505=CARTESIAN_POINT('Ctrl Pts',(-13.96048678701,-5.462573195133,8.425558256476)); #483506=CARTESIAN_POINT('Ctrl Pts',(-13.98605633087,-5.449631411993,8.536149554936)); #483507=CARTESIAN_POINT('Ctrl Pts',(-13.8819204368073,-7.05589257241298, 7.6377950907081)); #483508=CARTESIAN_POINT('Ctrl Pts',(-13.8728106386435,-7.06312934670472, 7.63608672305662)); #483509=CARTESIAN_POINT('Ctrl Pts',(-13.8632695301549,-7.06987073640704, 7.63460322735278)); #483510=CARTESIAN_POINT('Ctrl Pts',(-13.8418158120828,-7.08335976485461, 7.63198759621783)); #483511=CARTESIAN_POINT('Ctrl Pts',(-13.8297828483678,-7.08991524152125, 7.63095638686326)); #483512=CARTESIAN_POINT('Ctrl Pts',(-13.7900550167638,-7.10857664615145, 7.62914041931999)); #483513=CARTESIAN_POINT('Ctrl Pts',(-13.7613257990966,-7.11766555671753, 7.63018021290506)); #483514=CARTESIAN_POINT('Ctrl Pts',(-13.6937954478698,-7.13101231321487, 7.63942023208087)); #483515=CARTESIAN_POINT('Ctrl Pts',(-13.6559747759828,-7.13264975912556, 7.64960088831783)); #483516=CARTESIAN_POINT('Ctrl Pts',(-13.5816933988192,-7.12750811839303, 7.6813515162679)); #483517=CARTESIAN_POINT('Ctrl Pts',(-13.5468209627297,-7.12032534590277, 7.70298158268753)); #483518=CARTESIAN_POINT('Ctrl Pts',(-13.4739867913448,-7.09801425378698, 7.76322566812519)); #483519=CARTESIAN_POINT('Ctrl Pts',(-13.4395729229889,-7.08117261142564, 7.80464700529169)); #483520=CARTESIAN_POINT('Ctrl Pts',(-13.3822463535061,-7.0447230768204, 7.89754789175886)); #483521=CARTESIAN_POINT('Ctrl Pts',(-13.3599549485721,-7.02460622258815, 7.95028122301377)); #483522=CARTESIAN_POINT('Ctrl Pts',(-13.3441102129686,-7.00544527996074, 8.00424550416374)); #483523=CARTESIAN_POINT('Origin',(-14.7348953717374,-5.84753340561702,8.28116616474171)); #483524=CARTESIAN_POINT('Origin',(-13.0052097772505,-8.88408794641608,7.46451847910472)); #483525=CARTESIAN_POINT('Ctrl Pts',(-12.35384307616,-8.875379604444,6.507470028573)); #483526=CARTESIAN_POINT('Ctrl Pts',(-12.26694880043,-8.87221320691,6.562948582438)); #483527=CARTESIAN_POINT('Ctrl Pts',(-12.10624004439,-8.900875378321,6.696290836851)); #483528=CARTESIAN_POINT('Ctrl Pts',(-11.99824077331,-8.993902667537,6.851581967215)); #483529=CARTESIAN_POINT('Ctrl Pts',(-11.96213077737,-9.052845984574,6.928292771623)); #483530=CARTESIAN_POINT('Ctrl Pts',(-12.40215842289,-8.827745219535,6.553698152675)); #483531=CARTESIAN_POINT('Ctrl Pts',(-12.31257650198,-8.822338578861,6.610382647458)); #483532=CARTESIAN_POINT('Ctrl Pts',(-12.14682996799,-8.84822571354,6.74827833608)); #483533=CARTESIAN_POINT('Ctrl Pts',(-12.0367822681,-8.941989825694,6.910315403264)); #483534=CARTESIAN_POINT('Ctrl Pts',(-12.00076189041,-9.001950283045,6.990304172919)); #483535=CARTESIAN_POINT('Ctrl Pts',(-12.49048368771,-8.740010985051,6.635238932779)); #483536=CARTESIAN_POINT('Ctrl Pts',(-12.39597221785,-8.730403044713,6.693709133771)); #483537=CARTESIAN_POINT('Ctrl Pts',(-12.22088214562,-8.750980589692,6.839371863033)); #483538=CARTESIAN_POINT('Ctrl Pts',(-12.10710796359,-8.845964905517,7.013514296834)); #483539=CARTESIAN_POINT('Ctrl Pts',(-12.07134311282,-8.907738300599,7.099367825668)); #483540=CARTESIAN_POINT('Ctrl Pts',(-12.59955736728,-8.628851360527,6.732192025119)); #483541=CARTESIAN_POINT('Ctrl Pts',(-12.49904704093,-8.614039646294,6.791972212816)); #483542=CARTESIAN_POINT('Ctrl Pts',(-12.31233629079,-8.62785572637,6.945908356772)); #483543=CARTESIAN_POINT('Ctrl Pts',(-12.1940632768,-8.724148196969,7.134291563292)); #483544=CARTESIAN_POINT('Ctrl Pts',(-12.15879391723,-8.788050858388,7.227007526841)); #483545=CARTESIAN_POINT('Ctrl Pts',(-12.68004248833,-8.546301911933,6.801217811877)); #483546=CARTESIAN_POINT('Ctrl Pts',(-12.57503378311,-8.527524043172,6.86157424588)); #483547=CARTESIAN_POINT('Ctrl Pts',(-12.37945969968,-8.536072567951,7.021189059138)); #483548=CARTESIAN_POINT('Ctrl Pts',(-12.25779922849,-8.633222283782,7.220098333403)); #483549=CARTESIAN_POINT('Ctrl Pts',(-12.22298096623,-8.698671395558,7.317868202147)); #483550=CARTESIAN_POINT('Ctrl Pts',(-12.77176717373,-8.453670403577,6.875885516432)); #483551=CARTESIAN_POINT('Ctrl Pts',(-12.66137647192,-8.430015776449,6.936609349863)); #483552=CARTESIAN_POINT('Ctrl Pts',(-12.45504927789,-8.431943087503,7.102647572165)); #483553=CARTESIAN_POINT('Ctrl Pts',(-12.32929154735,-8.529913934153,7.314135851938)); #483554=CARTESIAN_POINT('Ctrl Pts',(-12.29506742455,-8.597139682033,7.417930077224)); #483555=CARTESIAN_POINT('Ctrl Pts',(-12.84490719977,-8.381228301901,6.930919941421)); #483556=CARTESIAN_POINT('Ctrl Pts',(-12.72995877833,-8.353284659632,6.991459541785)); #483557=CARTESIAN_POINT('Ctrl Pts',(-12.51429397298,-8.349187195149,7.162360098231)); #483558=CARTESIAN_POINT('Ctrl Pts',(-12.38500402837,-8.447589759734,7.384474070063)); #483559=CARTESIAN_POINT('Ctrl Pts',(-12.35137275281,-8.516226860088,7.493333616662)); #483560=CARTESIAN_POINT('Ctrl Pts',(-12.89772943965,-8.329234353308,6.969081243379)); #483561=CARTESIAN_POINT('Ctrl Pts',(-12.7794172604,-8.298056462398,7.029166236677)); #483562=CARTESIAN_POINT('Ctrl Pts',(-12.55669540712,-8.289289598179,7.203287386728)); #483563=CARTESIAN_POINT('Ctrl Pts',(-12.42475304243,-8.387871012327,7.433256892899)); #483564=CARTESIAN_POINT('Ctrl Pts',(-12.3916222235,-8.457502988582,7.545845836494)); #483565=CARTESIAN_POINT('Ctrl Pts',(-12.3419283004197,-8.84697176034134, 6.56047002366859)); #483566=CARTESIAN_POINT('Ctrl Pts',(-12.2687920550721,-8.84779386030545, 6.61100115640652)); #483567=CARTESIAN_POINT('Ctrl Pts',(-12.2012154127423,-8.86139029865219, 6.66934823521832)); #483568=CARTESIAN_POINT('Ctrl Pts',(-12.1434131059923,-8.88690759383775, 6.73186720029161)); #483569=CARTESIAN_POINT('Ctrl Pts',(-12.0856108267293,-8.91242487688896, 6.794386135635)); #483570=CARTESIAN_POINT('Ctrl Pts',(-12.0375829904987,-8.94986311715203, 6.86107697524502)); #483571=CARTESIAN_POINT('Ctrl Pts',(-12.0023323819801,-8.99688931756311, 6.927777088905)); #483572=CARTESIAN_POINT('Ctrl Pts',(-12.1610397665,-9.069234990618,6.301669990724)); #483573=CARTESIAN_POINT('Ctrl Pts',(-12.08308985169,-9.074182088596,6.35178149106)); #483574=CARTESIAN_POINT('Ctrl Pts',(-11.93900292807,-9.113397608394,6.467301246115)); #483575=CARTESIAN_POINT('Ctrl Pts',(-11.83771977674,-9.20528463284,6.597464933359)); #483576=CARTESIAN_POINT('Ctrl Pts',(-11.80136079378,-9.261419298701,6.661811881225)); #483577=CARTESIAN_POINT('Ctrl Pts',(-12.24184662432,-8.98894499647,6.393242668363)); #483578=CARTESIAN_POINT('Ctrl Pts',(-12.15972476227,-8.990732386048,6.446161727834)); #483579=CARTESIAN_POINT('Ctrl Pts',(-12.00802249796,-9.026352509142,6.570066400987)); #483580=CARTESIAN_POINT('Ctrl Pts',(-11.90353269977,-9.119898744383,6.711348560053)); #483581=CARTESIAN_POINT('Ctrl Pts',(-11.86716331754,-9.177829106783,6.781118024758)); #483582=CARTESIAN_POINT('Ctrl Pts',(-12.32828073252,-8.906501728355,6.475657332168)); #483583=CARTESIAN_POINT('Ctrl Pts',(-12.24106030237,-8.904032005606,6.531300251055)); #483584=CARTESIAN_POINT('Ctrl Pts',(-12.07989988665,-8.934487071237,6.66450608461)); #483585=CARTESIAN_POINT('Ctrl Pts',(-11.97154273232,-9.029563149046,6.818938057006)); #483586=CARTESIAN_POINT('Ctrl Pts',(-11.93530448623,-9.089493030732,6.895090313245)); #483587=CARTESIAN_POINT('Ctrl Pts',(-12.41553433685,-8.820153775077,6.557129863889)); #483588=CARTESIAN_POINT('Ctrl Pts',(-12.32340320073,-8.813477281539,6.614539765715)); #483589=CARTESIAN_POINT('Ctrl Pts',(-12.15292219922,-8.838659261206,6.755518990693)); #483590=CARTESIAN_POINT('Ctrl Pts',(-12.04091318156,-8.935054195799,6.922059247422)); #483591=CARTESIAN_POINT('Ctrl Pts',(-12.00499482098,-8.996847444679,7.004050212419)); #483592=CARTESIAN_POINT('Ctrl Pts',(-12.1478060076692,-9.04630780453318, 6.35768824266609)); #483593=CARTESIAN_POINT('Ctrl Pts',(-12.0836960739795,-9.05374451701299, 6.40226194574753)); #483594=CARTESIAN_POINT('Ctrl Pts',(-12.0243368763018,-9.07143983032937, 6.45194517226359)); #483595=CARTESIAN_POINT('Ctrl Pts',(-11.9726195224305,-9.09852910095306, 6.5043159162125)); #483596=CARTESIAN_POINT('Ctrl Pts',(-11.9209023056445,-9.12561829977227, 6.55668652134429)); #483597=CARTESIAN_POINT('Ctrl Pts',(-11.8768269177082,-9.16210143109462, 6.61174463365403)); #483598=CARTESIAN_POINT('Ctrl Pts',(-11.8425434191403,-9.20620254859923, 6.66680736004)); #483599=CARTESIAN_POINT('Ctrl Pts',(-11.86215765281,-9.380190326761,5.876822015828)); #483600=CARTESIAN_POINT('Ctrl Pts',(-11.7949745015,-9.394014073268,5.916738934461)); #483601=CARTESIAN_POINT('Ctrl Pts',(-11.67016531156,-9.443900453347,6.004828518779)); #483602=CARTESIAN_POINT('Ctrl Pts',(-11.57663579857,-9.532877241678,6.100541474672)); #483603=CARTESIAN_POINT('Ctrl Pts',(-11.54011123511,-9.585007568297,6.147926015043)); #483604=CARTESIAN_POINT('Ctrl Pts',(-11.91608899866,-9.323933627736,5.966020968426)); #483605=CARTESIAN_POINT('Ctrl Pts',(-11.84690018081,-9.336564663147,6.00795811418)); #483606=CARTESIAN_POINT('Ctrl Pts',(-11.71853410478,-9.385379785688,6.101104800627)); #483607=CARTESIAN_POINT('Ctrl Pts',(-11.62345894302,-9.475659080699,6.202831705981)); #483608=CARTESIAN_POINT('Ctrl Pts',(-11.58686037579,-9.528873778464,6.253164294078)); #483609=CARTESIAN_POINT('Ctrl Pts',(-12.02981746259,-9.206721103004,6.13512354472)); #483610=CARTESIAN_POINT('Ctrl Pts',(-11.95590820252,-9.216338115123,6.181461577838)); #483611=CARTESIAN_POINT('Ctrl Pts',(-11.81913266056,-9.262248901375,6.285944931505)); #483612=CARTESIAN_POINT('Ctrl Pts',(-11.72044532148,-9.35531342851,6.401402124115)); #483613=CARTESIAN_POINT('Ctrl Pts',(-11.6837211765,-9.410957719426,6.458452550007)); #483614=CARTESIAN_POINT('Ctrl Pts',(-12.15672482804,-9.081023408573,6.28429410288)); #483615=CARTESIAN_POINT('Ctrl Pts',(-12.07624202131,-9.08572060398,6.335302810554)); #483616=CARTESIAN_POINT('Ctrl Pts',(-11.92751393876,-9.126221694953,6.453417560244)); #483617=CARTESIAN_POINT('Ctrl Pts',(-11.82389155004,-9.222263045946,6.586628228639)); #483618=CARTESIAN_POINT('Ctrl Pts',(-11.78723617489,-9.280918454745,6.652302549037)); #483619=CARTESIAN_POINT('Ctrl Pts',(-12.22348208526,-9.016296275121,6.354464801163)); #483620=CARTESIAN_POINT('Ctrl Pts',(-12.13923741454,-9.017944353339,6.407641202787)); #483621=CARTESIAN_POINT('Ctrl Pts',(-11.98355405693,-9.054886137571,6.532885970579)); #483622=CARTESIAN_POINT('Ctrl Pts',(-11.87715108364,-9.152345115909,6.675952555182)); #483623=CARTESIAN_POINT('Ctrl Pts',(-11.84064747654,-9.212612447759,6.746388081579)); #483624=CARTESIAN_POINT('Origin',(-12.1612027554311,-10.008378866987,6.16128182288645)); #483625=CARTESIAN_POINT('Ctrl Pts',(-11.58883015062,-9.681210889888,5.306633342731)); #483626=CARTESIAN_POINT('Ctrl Pts',(-11.52681318611,-9.698091470709,5.339848461972)); #483627=CARTESIAN_POINT('Ctrl Pts',(-11.41089874318,-9.750665182291,5.411775949325)); #483628=CARTESIAN_POINT('Ctrl Pts',(-11.32062911318,-9.836180584748,5.488666379)); #483629=CARTESIAN_POINT('Ctrl Pts',(-11.28384606897,-9.88546626286,5.526760817642)); #483630=CARTESIAN_POINT('Ctrl Pts',(-11.63645980645,-9.626285453526,5.424350767023)); #483631=CARTESIAN_POINT('Ctrl Pts',(-11.57402708973,-9.643312366098,5.457785555803)); #483632=CARTESIAN_POINT('Ctrl Pts',(-11.45742264414,-9.696414651219,5.530276373007)); #483633=CARTESIAN_POINT('Ctrl Pts',(-11.3669299983,-9.782819618054,5.607844243992)); #483634=CARTESIAN_POINT('Ctrl Pts',(-11.33018473996,-9.832599179042,5.646269386744)); #483635=CARTESIAN_POINT('Ctrl Pts',(-11.70763743173,-9.547062309738,5.586470003997)); #483636=CARTESIAN_POINT('Ctrl Pts',(-11.64387028519,-9.563735241648,5.621385977886)); #483637=CARTESIAN_POINT('Ctrl Pts',(-11.5249604593,-9.61696717369,5.697353452797)); #483638=CARTESIAN_POINT('Ctrl Pts',(-11.4335006752,-9.704925580273,5.778867945574)); #483639=CARTESIAN_POINT('Ctrl Pts',(-11.39671914954,-9.755728375326,5.819233321719)); #483640=CARTESIAN_POINT('Ctrl Pts',(-11.81825703243,-9.430424307562,5.792328697042)); #483641=CARTESIAN_POINT('Ctrl Pts',(-11.75085238963,-9.4451516927,5.831226468791)); #483642=CARTESIAN_POINT('Ctrl Pts',(-11.62553093391,-9.496892569088,5.916877285452)); #483643=CARTESIAN_POINT('Ctrl Pts',(-11.53129558926,-9.587616157789,6.009659065187)); #483644=CARTESIAN_POINT('Ctrl Pts',(-11.49438167042,-9.640570622125,6.055546775815)); #483645=CARTESIAN_POINT('Ctrl Pts',(-11.87742516201,-9.368904371176,5.890608566557)); #483646=CARTESIAN_POINT('Ctrl Pts',(-11.80778119144,-9.382285048429,5.931753241461)); #483647=CARTESIAN_POINT('Ctrl Pts',(-11.67848775747,-9.432832999937,6.023055520424)); #483648=CARTESIAN_POINT('Ctrl Pts',(-11.58256526815,-9.525059328917,6.122560986107)); #483649=CARTESIAN_POINT('Ctrl Pts',(-11.54560590276,-9.579252220624,6.171735272838)); #483650=CARTESIAN_POINT('Ctrl Pts',(-11.91186220526,-9.33342546587,5.94411127302)); #483651=CARTESIAN_POINT('Ctrl Pts',(-11.84081171376,-9.345909976113,5.986574065757)); #483652=CARTESIAN_POINT('Ctrl Pts',(-11.70901077337,-9.395615014041,6.081282877922)); #483653=CARTESIAN_POINT('Ctrl Pts',(-11.61203545375,-9.488720099373,6.184911556311)); #483654=CARTESIAN_POINT('Ctrl Pts',(-11.57506212568,-9.543663030127,6.236097182028)); #483655=CARTESIAN_POINT('Origin',(-11.8918107174662,-10.3270197957013,5.54326575281734)); #483656=CARTESIAN_POINT('Ctrl Pts',(-10.8637054212,-10.45355733446,3.404810240972)); #483657=CARTESIAN_POINT('Ctrl Pts',(-10.80774348448,-10.47050119564,3.430378182926)); #483658=CARTESIAN_POINT('Ctrl Pts',(-10.70188445026,-10.51937126092,3.484641413769)); #483659=CARTESIAN_POINT('Ctrl Pts',(-10.61475339158,-10.59483665658,3.541663609553)); #483660=CARTESIAN_POINT('Ctrl Pts',(-10.57733551223,-10.63802500128,3.569931974764)); #483661=CARTESIAN_POINT('Ctrl Pts',(-10.88820128992,-10.42943095502,3.472984294698)); #483662=CARTESIAN_POINT('Ctrl Pts',(-10.83194402338,-10.44636081722,3.498910709517)); #483663=CARTESIAN_POINT('Ctrl Pts',(-10.72557367011,-10.49538084091,3.553976857241)); #483664=CARTESIAN_POINT('Ctrl Pts',(-10.63820343415,-10.57129762622,3.611878240627)); #483665=CARTESIAN_POINT('Ctrl Pts',(-10.60075637777,-10.6147656712,3.640579670252)); #483666=CARTESIAN_POINT('Ctrl Pts',(-10.93299221614,-10.38535157267,3.593945999754)); #483667=CARTESIAN_POINT('Ctrl Pts',(-10.87611847588,-10.40221218055,3.620610841432)); #483668=CARTESIAN_POINT('Ctrl Pts',(-10.7686733952,-10.45146762759,3.67733861109)); #483669=CARTESIAN_POINT('Ctrl Pts',(-10.68078623371,-10.52825778835,3.737065668632)); #483670=CARTESIAN_POINT('Ctrl Pts',(-10.64326775116,-10.57227786858,3.76666590902)); #483671=CARTESIAN_POINT('Ctrl Pts',(-10.98684177497,-10.3300862493,3.74494602054)); #483672=CARTESIAN_POINT('Ctrl Pts',(-10.92954129929,-10.34712502922,3.771840757478)); #483673=CARTESIAN_POINT('Ctrl Pts',(-10.82137164245,-10.39696827281,3.829118588312)); #483674=CARTESIAN_POINT('Ctrl Pts',(-10.73317571255,-10.47470920812,3.889476102183)); #483675=CARTESIAN_POINT('Ctrl Pts',(-10.69563715033,-10.5192599147,3.919384766396)); #483676=CARTESIAN_POINT('Ctrl Pts',(-11.02485301306,-10.28995917078,3.856061046162)); #483677=CARTESIAN_POINT('Ctrl Pts',(-10.96741727385,-10.30724157405,3.882841776581)); #483678=CARTESIAN_POINT('Ctrl Pts',(-10.8590392127,-10.35762629326,3.939892259875)); #483679=CARTESIAN_POINT('Ctrl Pts',(-10.77080127251,-10.43596478436,4.000023193015)); #483680=CARTESIAN_POINT('Ctrl Pts',(-10.73329069069,-10.4808127782,4.029818562604)); #483681=CARTESIAN_POINT('Ctrl Pts',(-11.06163736244,-10.24994394684,3.969461709767)); #483682=CARTESIAN_POINT('Ctrl Pts',(-11.00427771912,-10.26760117832,3.995788769477)); #483683=CARTESIAN_POINT('Ctrl Pts',(-10.89607550389,-10.31865371928,4.051856056483)); #483684=CARTESIAN_POINT('Ctrl Pts',(-10.8080159884,-10.39746994897,4.110936631315)); #483685=CARTESIAN_POINT('Ctrl Pts',(-10.77058514656,-10.44250645425,4.140212642659)); #483686=CARTESIAN_POINT('Ctrl Pts',(-11.10887065029,-10.2007183718,4.105590675135)); #483687=CARTESIAN_POINT('Ctrl Pts',(-11.0511057836,-10.21845407084,4.132364982243)); #483688=CARTESIAN_POINT('Ctrl Pts',(-10.94222713456,-10.26990768203,4.189444795818)); #483689=CARTESIAN_POINT('Ctrl Pts',(-10.85392034523,-10.34951977075,4.249642765345)); #483690=CARTESIAN_POINT('Ctrl Pts',(-10.8165038864,-10.3950184981,4.279468521172)); #483691=CARTESIAN_POINT('Ctrl Pts',(-11.17130551793,-10.14012946742,4.256093329298)); #483692=CARTESIAN_POINT('Ctrl Pts',(-11.1119641335,-10.15718929262,4.285249219107)); #483693=CARTESIAN_POINT('Ctrl Pts',(-11.00031332883,-10.20832030673,4.347683123322)); #483694=CARTESIAN_POINT('Ctrl Pts',(-10.91067291887,-10.28941746514,4.413760523769)); #483695=CARTESIAN_POINT('Ctrl Pts',(-10.87308169378,-10.33599904051,4.446481077168)); #483696=CARTESIAN_POINT('Ctrl Pts',(-11.23756426379,-10.07528648959,4.403552967295)); #483697=CARTESIAN_POINT('Ctrl Pts',(-11.17651138966,-10.09158974385,4.434989760485)); #483698=CARTESIAN_POINT('Ctrl Pts',(-11.06184401366,-10.14233357167,4.502656498959)); #483699=CARTESIAN_POINT('Ctrl Pts',(-10.9707763523,-10.22502469988,4.574565153421)); #483700=CARTESIAN_POINT('Ctrl Pts',(-10.93301881172,-10.27277262921,4.610150405443)); #483701=CARTESIAN_POINT('Ctrl Pts',(-11.29095485989,-10.0184980488,4.533322132129)); #483702=CARTESIAN_POINT('Ctrl Pts',(-11.22925229076,-10.03480045878,4.565309968783)); #483703=CARTESIAN_POINT('Ctrl Pts',(-11.11347120109,-10.0859389318,4.634294322066)); #483704=CARTESIAN_POINT('Ctrl Pts',(-11.02195137746,-10.16967730285,4.707713511565)); #483705=CARTESIAN_POINT('Ctrl Pts',(-10.98418744798,-10.21805553777,4.74403771333)); #483706=CARTESIAN_POINT('Ctrl Pts',(-11.33077914893,-9.972497088476,4.643465503306)); #483707=CARTESIAN_POINT('Ctrl Pts',(-11.26920704505,-9.989300083192,4.674909331164)); #483708=CARTESIAN_POINT('Ctrl Pts',(-11.15370931189,-10.04130699017,4.742683388052)); #483709=CARTESIAN_POINT('Ctrl Pts',(-11.06243437742,-10.12561232091,4.814783421505)); #483710=CARTESIAN_POINT('Ctrl Pts',(-11.02476541675,-10.17419718332,4.850457377034)); #483711=CARTESIAN_POINT('Ctrl Pts',(-11.37039797084,-9.927085120678,4.752787076859)); #483712=CARTESIAN_POINT('Ctrl Pts',(-11.30884791944,-9.944281283735,4.783888562749)); #483713=CARTESIAN_POINT('Ctrl Pts',(-11.1934403093,-9.997023540449,4.850913913104)); #483714=CARTESIAN_POINT('Ctrl Pts',(-11.10232088565,-10.08191505733,4.922208396501)); #483715=CARTESIAN_POINT('Ctrl Pts',(-11.06473908667,-10.13074685656,4.957484480894)); #483716=CARTESIAN_POINT('Ctrl Pts',(-11.42071225992,-9.870873417508,4.885973566626)); #483717=CARTESIAN_POINT('Ctrl Pts',(-11.35882397167,-9.888265941209,4.917259835653)); #483718=CARTESIAN_POINT('Ctrl Pts',(-11.2428730477,-9.941594388286,4.984745112869)); #483719=CARTESIAN_POINT('Ctrl Pts',(-11.15162066481,-10.02735533453,5.056580459613)); #483720=CARTESIAN_POINT('Ctrl Pts',(-11.11410068177,-10.07665663859,5.092120153881)); #483721=CARTESIAN_POINT('Ctrl Pts',(-11.51092854631,-9.774228570503,5.102444116033)); #483722=CARTESIAN_POINT('Ctrl Pts',(-11.44741934243,-9.791184076794,5.135583562922)); #483723=CARTESIAN_POINT('Ctrl Pts',(-11.32866440745,-9.844685371653,5.207404531584)); #483724=CARTESIAN_POINT('Ctrl Pts',(-11.2362429314,-9.932399950071,5.284138465635)); #483725=CARTESIAN_POINT('Ctrl Pts',(-11.1986895033,-9.982987621642,5.322079713507)); #483726=CARTESIAN_POINT('Ctrl Pts',(-11.58193155679,-9.696028109501,5.267240252888)); #483727=CARTESIAN_POINT('Ctrl Pts',(-11.51722827602,-9.712756927745,5.301477757189)); #483728=CARTESIAN_POINT('Ctrl Pts',(-11.39640770293,-9.766563356886,5.375953764679)); #483729=CARTESIAN_POINT('Ctrl Pts',(-11.30314574068,-9.85585357576,5.455754838113)); #483730=CARTESIAN_POINT('Ctrl Pts',(-11.26558531061,-9.90744038508,5.495194852528)); #483731=CARTESIAN_POINT('Ctrl Pts',(-11.62972610165,-9.640869587176,5.384146300555)); #483732=CARTESIAN_POINT('Ctrl Pts',(-11.56453301812,-9.657697372315,5.418698571683)); #483733=CARTESIAN_POINT('Ctrl Pts',(-11.44289569028,-9.711996674824,5.493968454612)); #483734=CARTESIAN_POINT('Ctrl Pts',(-11.34937602561,-9.80223642649,5.574711366456)); #483735=CARTESIAN_POINT('Ctrl Pts',(-11.31186802303,-9.854361377537,5.6146098484)); #483736=CARTESIAN_POINT('Origin',(-11.1521955350471,-11.1216471237224,3.59256816028147)); #483737=CARTESIAN_POINT('Ctrl Pts',(-10.09420152563,-11.17556081467,0.6964562875018)); #483738=CARTESIAN_POINT('Ctrl Pts',(-10.04092611853,-11.19245409929,0.7125146206229)); #483739=CARTESIAN_POINT('Ctrl Pts',(-9.940554326195,-11.23829115978,0.745650513581)); #483740=CARTESIAN_POINT('Ctrl Pts',(-9.854200182234,-11.30628481833,0.7800327184725)); #483741=CARTESIAN_POINT('Ctrl Pts',(-9.816215278595,-11.34428371499,0.7968205459462)); #483742=CARTESIAN_POINT('Ctrl Pts',(-10.10472159428,-11.16633150481,0.7409974118535)); #483743=CARTESIAN_POINT('Ctrl Pts',(-10.0513701494,-11.18325195982,0.7571538158831)); #483744=CARTESIAN_POINT('Ctrl Pts',(-9.950869259579,-11.22918437701,0.7904973802092)); #483745=CARTESIAN_POINT('Ctrl Pts',(-9.864450871483,-11.2973408999,0.8250992429146)); #483746=CARTESIAN_POINT('Ctrl Pts',(-9.826454795274,-11.33543150079,0.8419939235545)); #483747=CARTESIAN_POINT('Ctrl Pts',(-10.12039991295,-11.15124055169,0.8198203219244)); #483748=CARTESIAN_POINT('Ctrl Pts',(-10.06727474841,-11.16839769379,0.8352417732788)); #483749=CARTESIAN_POINT('Ctrl Pts',(-9.967198265428,-11.21464557144,0.8670504083238)); #483750=CARTESIAN_POINT('Ctrl Pts',(-9.881081459601,-11.28283782566,0.900045143791)); #483751=CARTESIAN_POINT('Ctrl Pts',(-9.843187346122,-11.32088672983,0.9161564945704)); #483752=CARTESIAN_POINT('Ctrl Pts',(-10.14874590334,-11.12529196843,0.9562124599038)); #483753=CARTESIAN_POINT('Ctrl Pts',(-10.09566959512,-11.14264323416,0.9713311759787)); #483754=CARTESIAN_POINT('Ctrl Pts',(-9.995710030134,-11.18923723754,1.002512412068)); #483755=CARTESIAN_POINT('Ctrl Pts',(-9.909733379292,-11.25769332966,1.034854016379)); #483756=CARTESIAN_POINT('Ctrl Pts',(-9.871909756515,-11.29585048889,1.050646666418)); #483757=CARTESIAN_POINT('Ctrl Pts',(-10.17507573362,-11.10230689686,1.072074575383)); #483758=CARTESIAN_POINT('Ctrl Pts',(-10.12172274954,-11.11965359056,1.087796179162)); #483759=CARTESIAN_POINT('Ctrl Pts',(-10.02128802944,-11.16640685021,1.120241399864)); #483760=CARTESIAN_POINT('Ctrl Pts',(-9.935067449196,-11.23530557967,1.15391022268)); #483761=CARTESIAN_POINT('Ctrl Pts',(-9.897198333087,-11.27373281833,1.170349413914)); #483762=CARTESIAN_POINT('Ctrl Pts',(-10.20453313776,-11.07775518095,1.182355120068)); #483763=CARTESIAN_POINT('Ctrl Pts',(-10.15053556233,-11.09489891954,1.199523788209)); #483764=CARTESIAN_POINT('Ctrl Pts',(-10.04896238796,-11.14165397808,1.235012292276)); #483765=CARTESIAN_POINT('Ctrl Pts',(-9.962086598474,-11.21125128188,1.271884005917)); #483766=CARTESIAN_POINT('Ctrl Pts',(-9.924057006563,-11.25015652094,1.289882758233)); #483767=CARTESIAN_POINT('Ctrl Pts',(-10.23631022555,-11.05165889698,1.290023845843)); #483768=CARTESIAN_POINT('Ctrl Pts',(-10.18149973442,-11.06850146128,1.308934840002)); #483769=CARTESIAN_POINT('Ctrl Pts',(-10.07848650364,-11.11517724495,1.348103807382)); #483770=CARTESIAN_POINT('Ctrl Pts',(-9.990779408101,-11.18559126191,1.388861609194)); #483771=CARTESIAN_POINT('Ctrl Pts',(-9.952546233734,-11.22507172497,1.408751399731)); #483772=CARTESIAN_POINT('Ctrl Pts',(-10.26883544566,-11.02344535452,1.401411022647)); #483773=CARTESIAN_POINT('Ctrl Pts',(-10.21352151224,-11.04019185188,1.421167828863)); #483774=CARTESIAN_POINT('Ctrl Pts',(-10.10962764266,-11.08699043992,1.462143414772)); #483775=CARTESIAN_POINT('Ctrl Pts',(-10.02144139974,-11.15806064858,1.504824190053)); #483776=CARTESIAN_POINT('Ctrl Pts',(-9.983105667796,-11.19796367248,1.52564828461)); #483777=CARTESIAN_POINT('Ctrl Pts',(-10.30063087232,-10.99449367924,1.516397444412)); #483778=CARTESIAN_POINT('Ctrl Pts',(-10.24510231411,-11.01134717528,1.536298057227)); #483779=CARTESIAN_POINT('Ctrl Pts',(-10.14084717766,-11.05847164012,1.577591801971)); #483780=CARTESIAN_POINT('Ctrl Pts',(-10.05249185864,-11.13004738651,1.620619619147)); #483781=CARTESIAN_POINT('Ctrl Pts',(-10.01413312358,-11.1702276981,1.641611546869)); #483782=CARTESIAN_POINT('Ctrl Pts',(-10.33077772721,-10.96555491277,1.635881822874)); #483783=CARTESIAN_POINT('Ctrl Pts',(-10.2753733529,-10.98273348709,1.655137575336)); #483784=CARTESIAN_POINT('Ctrl Pts',(-10.17136642131,-11.03038766957,1.695076619548)); #483785=CARTESIAN_POINT('Ctrl Pts',(-10.08320797276,-11.10226997781,1.736679795372)); #483786=CARTESIAN_POINT('Ctrl Pts',(-10.04492150434,-11.14254943153,1.756977927649)); #483787=CARTESIAN_POINT('Ctrl Pts',(-10.36788744431,-10.93055602473,1.783156757896)); #483788=CARTESIAN_POINT('Ctrl Pts',(-10.31244429935,-10.94799184922,1.802107439889)); #483789=CARTESIAN_POINT('Ctrl Pts',(-10.20839957031,-10.99615053098,1.841415075143)); #483790=CARTESIAN_POINT('Ctrl Pts',(-10.12028345097,-11.06850334234,1.882361593506)); #483791=CARTESIAN_POINT('Ctrl Pts',(-10.08203737254,-11.10899906245,1.902339242548)); #483792=CARTESIAN_POINT('Ctrl Pts',(-10.41436239394,-10.88834568051,1.953434753271)); #483793=CARTESIAN_POINT('Ctrl Pts',(-10.35840067733,-10.90579018393,1.973164012375)); #483794=CARTESIAN_POINT('Ctrl Pts',(-10.25346401637,-10.95427763077,2.014137171059)); #483795=CARTESIAN_POINT('Ctrl Pts',(-10.16489636577,-11.0274768124,2.056858408418)); #483796=CARTESIAN_POINT('Ctrl Pts',(-10.12657080736,-11.06848127593,2.077698150353)); #483797=CARTESIAN_POINT('Ctrl Pts',(-10.46423791866,-10.84397723681,2.118366158453)); #483798=CARTESIAN_POINT('Ctrl Pts',(-10.40741833069,-10.86121274956,2.139600323741)); #483799=CARTESIAN_POINT('Ctrl Pts',(-10.3009859258,-10.90983280457,2.183797046484)); #483800=CARTESIAN_POINT('Ctrl Pts',(-10.21162958005,-10.98409342462,2.229956561637)); #483801=CARTESIAN_POINT('Ctrl Pts',(-10.17315049957,-11.02579260134,2.252466179211)); #483802=CARTESIAN_POINT('Ctrl Pts',(-10.5149736273,-10.79729973934,2.285574142315)); #483803=CARTESIAN_POINT('Ctrl Pts',(-10.45755121821,-10.81449956984,2.307683959519)); #483804=CARTESIAN_POINT('Ctrl Pts',(-10.35008595773,-10.86342608272,2.353777217066)); #483805=CARTESIAN_POINT('Ctrl Pts',(-10.26023256095,-10.93862069904,2.401975560233)); #483806=CARTESIAN_POINT('Ctrl Pts',(-10.22168309028,-10.98089090328,2.425473895484)); #483807=CARTESIAN_POINT('Ctrl Pts',(-10.58259174986,-10.73329623562,2.511218088942)); #483808=CARTESIAN_POINT('Ctrl Pts',(-10.52464203046,-10.75064997617,2.533874180087)); #483809=CARTESIAN_POINT('Ctrl Pts',(-10.41629846626,-10.80019289272,2.581169253463)); #483810=CARTESIAN_POINT('Ctrl Pts',(-10.32608052136,-10.87650035193,2.630673698228)); #483811=CARTESIAN_POINT('Ctrl Pts',(-10.28751335026,-10.91939848922,2.654804117913)); #483812=CARTESIAN_POINT('Ctrl Pts',(-10.6689452676,-10.65121970176,2.790236720178)); #483813=CARTESIAN_POINT('Ctrl Pts',(-10.61014092663,-10.66864902213,2.813948677989)); #483814=CARTESIAN_POINT('Ctrl Pts',(-10.50035416557,-10.71886659544,2.863555213753)); #483815=CARTESIAN_POINT('Ctrl Pts',(-10.40949795719,-10.79670279572,2.915563232206)); #483816=CARTESIAN_POINT('Ctrl Pts',(-10.37087440744,-10.84049766345,2.940906022594)); #483817=CARTESIAN_POINT('Ctrl Pts',(-10.78303046224,-10.54250276046,3.132340722979)); #483818=CARTESIAN_POINT('Ctrl Pts',(-10.7226942122,-10.55974668755,3.158142442192)); #483819=CARTESIAN_POINT('Ctrl Pts',(-10.6102867687,-10.61057924747,3.212340740975)); #483820=CARTESIAN_POINT('Ctrl Pts',(-10.51821975327,-10.69065839975,3.269335149848)); #483821=CARTESIAN_POINT('Ctrl Pts',(-10.47946053832,-10.73584166099,3.297091443687)); #483822=CARTESIAN_POINT('Ctrl Pts',(-10.86581760512,-10.46255207697,3.360896312173)); #483823=CARTESIAN_POINT('Ctrl Pts',(-10.80422819883,-10.47954973888,3.388335155078)); #483824=CARTESIAN_POINT('Ctrl Pts',(-10.68967336543,-10.53071777185,3.446181105768)); #483825=CARTESIAN_POINT('Ctrl Pts',(-10.59663604393,-10.61250091518,3.507174792594)); #483826=CARTESIAN_POINT('Ctrl Pts',(-10.55778617067,-10.65876134615,3.536863332871)); #483827=CARTESIAN_POINT('Ctrl Pts',(-10.9103630231,-10.41861375506,3.482636666849)); #483828=CARTESIAN_POINT('Ctrl Pts',(-10.84816303162,-10.43555258692,3.510742014027)); #483829=CARTESIAN_POINT('Ctrl Pts',(-10.73256471231,-10.48699539241,3.570095976062)); #483830=CARTESIAN_POINT('Ctrl Pts',(-10.63906437437,-10.56969422076,3.632760152099)); #483831=CARTESIAN_POINT('Ctrl Pts',(-10.60017662029,-10.61651322554,3.663254242278)); #483832=CARTESIAN_POINT('Origin',(-10.3549524922058,-11.869586113815,0.828710977309838)); #483833=CARTESIAN_POINT('Ctrl Pts',(-9.91673096625692,-11.3257530847535, -0.134603799359692)); #483834=CARTESIAN_POINT('Ctrl Pts',(-9.81595249510391,-11.3588099313404, -0.10872789498767)); #483835=CARTESIAN_POINT('Ctrl Pts',(-9.72332344072981,-11.4146492142981, -0.0806197946411286)); #483836=CARTESIAN_POINT('Ctrl Pts',(-9.64744609045278,-11.4880812998151, -0.052890468039704)); #483837=CARTESIAN_POINT('Ctrl Pts',(-9.92359115541218,-11.3197982292944, -0.0988825961373774)); #483838=CARTESIAN_POINT('Ctrl Pts',(-9.8227824984902,-11.3529202800573, -0.0730421271815371)); #483839=CARTESIAN_POINT('Ctrl Pts',(-9.73013915928271,-11.4088383331716, -0.0449707286841134)); #483840=CARTESIAN_POINT('Ctrl Pts',(-9.6542708472847,-11.4823517620337, -0.0172778837596205)); #483841=CARTESIAN_POINT('Ctrl Pts',(-9.934088843511,-11.3104836786259,-0.0420721105015874)); #483842=CARTESIAN_POINT('Ctrl Pts',(-9.83333692714278,-11.3437579956282, -0.016567175201371)); #483843=CARTESIAN_POINT('Ctrl Pts',(-9.74075933380873,-11.3997867124558, 0.0111359042467299)); #483844=CARTESIAN_POINT('Ctrl Pts',(-9.66494643337655,-11.4733669011406, 0.0384659820989058)); #483845=CARTESIAN_POINT('Ctrl Pts',(-9.951444430733,-11.29480263455,0.05697010762836)); #483846=CARTESIAN_POINT('Ctrl Pts',(-9.850930040515,-11.32838694023,0.0815735346832)); #483847=CARTESIAN_POINT('Ctrl Pts',(-9.758585557787,-11.38457593223,0.1082838248729)); #483848=CARTESIAN_POINT('Ctrl Pts',(-9.682929129541,-11.45818262719,0.1346365636522)); #483849=CARTESIAN_POINT('Ctrl Pts',(-9.9695918435,-11.27877221119,0.1592686729133)); #483850=CARTESIAN_POINT('Ctrl Pts',(-9.869108383064,-11.31255538794,0.1836482119652)); #483851=CARTESIAN_POINT('Ctrl Pts',(-9.776825663506,-11.36892443084,0.2101141400885)); #483852=CARTESIAN_POINT('Ctrl Pts',(-9.701252955674,-11.44267769359,0.2362259872031)); #483853=CARTESIAN_POINT('Ctrl Pts',(-9.992532564581,-11.25922892164,0.2784569190551)); #483854=CARTESIAN_POINT('Ctrl Pts',(-9.8916457962,-11.2930378736,0.303839709737)); #483855=CARTESIAN_POINT('Ctrl Pts',(-9.79906711108,-11.34967784435,0.3314206157181)); #483856=CARTESIAN_POINT('Ctrl Pts',(-9.723426423215,-11.42386507611,0.3586285553493)); #483857=CARTESIAN_POINT('Ctrl Pts',(-10.01343869834,-11.24199371845,0.3746422217603)); #483858=CARTESIAN_POINT('Ctrl Pts',(-9.911824933078,-11.2756486264,0.4018689232065)); #483859=CARTESIAN_POINT('Ctrl Pts',(-9.818672109549,-11.3325747939,0.4315010966917)); #483860=CARTESIAN_POINT('Ctrl Pts',(-9.742827614828,-11.40736129791,0.4607252613669)); #483861=CARTESIAN_POINT('Ctrl Pts',(-10.03163524041,-11.22723720393,0.4500001312236)); #483862=CARTESIAN_POINT('Ctrl Pts',(-9.929232847315,-11.26066846256,0.4791270454306)); #483863=CARTESIAN_POINT('Ctrl Pts',(-9.835449842152,-11.31785438547,0.5108857233967)); #483864=CARTESIAN_POINT('Ctrl Pts',(-9.759374452623,-11.39324892908,0.5421981883414)); #483865=CARTESIAN_POINT('Ctrl Pts',(-10.05025498597,-11.21188082918,0.5260672721763)); #483866=CARTESIAN_POINT('Ctrl Pts',(-9.947163816265,-11.24514796239,0.5567402722274)); #483867=CARTESIAN_POINT('Ctrl Pts',(-9.852835429332,-11.30259145194,0.5902371258379)); #483868=CARTESIAN_POINT('Ctrl Pts',(-9.776569663671,-11.37854347105,0.62325535914)); #483869=CARTESIAN_POINT('Ctrl Pts',(-10.0807184778819,-11.1858611391347, 0.652045786094188)); #483870=CARTESIAN_POINT('Ctrl Pts',(-9.97691242237601,-11.2191061451115, 0.684025995558863)); #483871=CARTESIAN_POINT('Ctrl Pts',(-9.88203620561261,-11.2769532713124, 0.71900636431087)); #483872=CARTESIAN_POINT('Ctrl Pts',(-9.80560679946294,-11.3535952295668, 0.753478405820364)); #483873=CARTESIAN_POINT('Ctrl Pts',(-10.1055245117833,-11.1633090451808, 0.763139398648117)); #483874=CARTESIAN_POINT('Ctrl Pts',(-10.00176762422,-11.1969082148551,0.794496977190306)); #483875=CARTESIAN_POINT('Ctrl Pts',(-9.90697374388765,-11.2550518652182, 0.828792765468201)); #483876=CARTESIAN_POINT('Ctrl Pts',(-9.83063531465743,-11.3319130440715, 0.862590713994354)); #483877=CARTESIAN_POINT('Ctrl Pts',(-10.1196964792365,-11.149633535144, 0.83637639299311)); #483878=CARTESIAN_POINT('Ctrl Pts',(-10.0163518691585,-11.1836491009652, 0.866461828368025)); #483879=CARTESIAN_POINT('Ctrl Pts',(-9.92192788681706,-11.241934014608, 0.899331071339259)); #483880=CARTESIAN_POINT('Ctrl Pts',(-9.84578019505538,-11.318708416611, 0.93172852414349)); #483881=CARTESIAN_POINT('Ctrl Pts',(-15.09615177809,-6.069552782355,7.894161664841)); #483882=CARTESIAN_POINT('Ctrl Pts',(-15.08949886572,-6.068208767482,7.887837132195)); #483883=CARTESIAN_POINT('Ctrl Pts',(-15.08274264519,-6.066799042337,7.881635362787)); #483884=CARTESIAN_POINT('Ctrl Pts',(-15.07588821362,-6.06532487918,7.875560006778)); #483885=CARTESIAN_POINT('Ctrl Pts',(-15.10128250856,-6.058552800417,7.892592134762)); #483886=CARTESIAN_POINT('Ctrl Pts',(-15.09462809885,-6.057226909788,7.886258293605)); #483887=CARTESIAN_POINT('Ctrl Pts',(-15.08787014283,-6.055835110723,7.880047164146)); #483888=CARTESIAN_POINT('Ctrl Pts',(-15.08101374516,-6.05437866554,7.873962406912)); #483889=CARTESIAN_POINT('Ctrl Pts',(-15.10627754558,-6.047265383798,7.890742117054)); #483890=CARTESIAN_POINT('Ctrl Pts',(-15.09962170843,-6.045953648729,7.88440214744)); #483891=CARTESIAN_POINT('Ctrl Pts',(-15.09286215033,-6.044575895251,7.878184853353)); #483892=CARTESIAN_POINT('Ctrl Pts',(-15.08600398136,-6.043133377446,7.872093902205)); #483893=CARTESIAN_POINT('Ctrl Pts',(-15.11121758505,-6.03587674135,7.888787852417)); #483894=CARTESIAN_POINT('Ctrl Pts',(-15.10456035625,-6.034577817495,7.882442837009)); #483895=CARTESIAN_POINT('Ctrl Pts',(-15.09779925332,-6.033212795326,7.876220466129)); #483896=CARTESIAN_POINT('Ctrl Pts',(-15.09093939102,-6.031782921328,7.870124412888)); #483897=CARTESIAN_POINT('Ctrl Pts',(-13.84719287477,-7.160861824055,8.086787357773)); #483898=CARTESIAN_POINT('Ctrl Pts',(-13.81481083798,-7.195337652572,8.070786593427)); #483899=CARTESIAN_POINT('Ctrl Pts',(-13.74428417286,-7.238563219558,8.045929284804)); #483900=CARTESIAN_POINT('Ctrl Pts',(-13.62826059862,-7.253942032149,8.02251385052)); #483901=CARTESIAN_POINT('Ctrl Pts',(-13.52756025953,-7.234025832582,8.012622420179)); #483902=CARTESIAN_POINT('Ctrl Pts',(-13.43785768078,-7.183172222123,8.014195302641)); #483903=CARTESIAN_POINT('Ctrl Pts',(-13.36935533573,-7.107164310889,8.027053857683)); #483904=CARTESIAN_POINT('Ctrl Pts',(-13.32984363818,-7.014647143014,8.049735416141)); #483905=CARTESIAN_POINT('Ctrl Pts',(-13.32286809265,-6.900624806769,8.084374853076)); #483906=CARTESIAN_POINT('Ctrl Pts',(-13.352714287,-6.824757945111,8.112949427099)); #483907=CARTESIAN_POINT('Ctrl Pts',(-13.38114140367,-6.787093248827,8.129316444488)); #483908=CARTESIAN_POINT('Ctrl Pts',(-13.87557648634,-7.105554579197,7.911439061636)); #483909=CARTESIAN_POINT('Ctrl Pts',(-13.8432476276,-7.140092684314,7.895598850718)); #483910=CARTESIAN_POINT('Ctrl Pts',(-13.77281787688,-7.183472556108,7.871007480182)); #483911=CARTESIAN_POINT('Ctrl Pts',(-13.65688628234,-7.199155327175,7.847872078805)); #483912=CARTESIAN_POINT('Ctrl Pts',(-13.55620614667,-7.17953543264,7.838125801543)); #483913=CARTESIAN_POINT('Ctrl Pts',(-13.4664427799,-7.128974261507,7.839728627681)); #483914=CARTESIAN_POINT('Ctrl Pts',(-13.39778825483,-7.053211851202,7.852498811701)); #483915=CARTESIAN_POINT('Ctrl Pts',(-13.35803707674,-6.960850656171,7.87498621973)); #483916=CARTESIAN_POINT('Ctrl Pts',(-13.35070596532,-6.84686403392,7.909309097924)); #483917=CARTESIAN_POINT('Ctrl Pts',(-13.38026446079,-6.770872164007,7.93761505575)); #483918=CARTESIAN_POINT('Ctrl Pts',(-13.40853144014,-6.733077165063,7.953829281165)); #483919=CARTESIAN_POINT('Ctrl Pts',(-13.90327248926,-7.050768048745,7.735776078871)); #483920=CARTESIAN_POINT('Ctrl Pts',(-13.87099733623,-7.085366814141,7.720092815204)); #483921=CARTESIAN_POINT('Ctrl Pts',(-13.80066528774,-7.128897518828,7.69576103434)); #483922=CARTESIAN_POINT('Ctrl Pts',(-13.68482700068,-7.144878585086,7.672898384572)); #483923=CARTESIAN_POINT('Ctrl Pts',(-13.58416858749,-7.125550220973,7.663293029428)); #483924=CARTESIAN_POINT('Ctrl Pts',(-13.49434646501,-7.075277607434,7.66492422307)); #483925=CARTESIAN_POINT('Ctrl Pts',(-13.42554230876,-6.999758472739,7.677607306612)); #483926=CARTESIAN_POINT('Ctrl Pts',(-13.38555454331,-6.907553305177,7.699904447122)); #483927=CARTESIAN_POINT('Ctrl Pts',(-13.37787120977,-6.793605518463,7.733917565236)); #483928=CARTESIAN_POINT('Ctrl Pts',(-13.40714388449,-6.71749356206,7.761960841454)); #483929=CARTESIAN_POINT('Ctrl Pts',(-13.43525137302,-6.679571939232,7.77802562765)); #483930=CARTESIAN_POINT('Ctrl Pts',(-13.93030325431,-6.996519508579,7.559907605731)); #483931=CARTESIAN_POINT('Ctrl Pts',(-13.89808217948,-7.031177436342,7.544377929194)); #483932=CARTESIAN_POINT('Ctrl Pts',(-13.82784835541,-7.074855712346,7.520299827914)); #483933=CARTESIAN_POINT('Ctrl Pts',(-13.71210438445,-7.091129650833,7.497703156611)); #483934=CARTESIAN_POINT('Ctrl Pts',(-13.61146900282,-7.072088173347,7.48823478936)); #483935=CARTESIAN_POINT('Ctrl Pts',(-13.52159003555,-7.022100258343,7.489892884061)); #483936=CARTESIAN_POINT('Ctrl Pts',(-13.4526387803,-6.946822070099,7.502490044612)); #483937=CARTESIAN_POINT('Ctrl Pts',(-13.41241741705,-6.854772753473,7.524600520024)); #483938=CARTESIAN_POINT('Ctrl Pts',(-13.40438544677,-6.740866531154,7.558310185992)); #483939=CARTESIAN_POINT('Ctrl Pts',(-13.43337445461,-6.664639060242,7.586096286754)); #483940=CARTESIAN_POINT('Ctrl Pts',(-13.46132328872,-6.626594288502,7.60201474445)); #483941=CARTESIAN_POINT('',(-13.69960344381,-7.099320848917,7.543864013625)); #483942=CARTESIAN_POINT('Origin',(-13.6999192405331,-6.81237100038259,7.6320792770171)); #483943=CARTESIAN_POINT('Origin',(-13.6885181550706,-6.78249818339192,7.63951501720439)); #483944=CARTESIAN_POINT('Ctrl Pts',(-13.91810042207,-7.022103337588,7.647663532716)); #483945=CARTESIAN_POINT('Ctrl Pts',(-13.88568577543,-7.057412358143,7.631832270343)); #483946=CARTESIAN_POINT('Ctrl Pts',(-13.81495217988,-7.101609541962,7.607391642813)); #483947=CARTESIAN_POINT('Ctrl Pts',(-13.69852150603,-7.117830824056,7.584549965413)); #483948=CARTESIAN_POINT('Ctrl Pts',(-13.59787398045,-7.098648465556,7.575018611887)); #483949=CARTESIAN_POINT('Ctrl Pts',(-13.50802346471,-7.0485188285,7.576662275979)); #483950=CARTESIAN_POINT('Ctrl Pts',(-13.43914639986,-6.973118772017,7.589295044724)); #483951=CARTESIAN_POINT('Ctrl Pts',(-13.39904297125,-6.880988242506,7.611485958296)); #483952=CARTESIAN_POINT('Ctrl Pts',(-13.39115232879,-6.766552471652,7.645477151508)); #483953=CARTESIAN_POINT('Ctrl Pts',(-13.42068194297,-6.689936095312,7.673572409704)); #483954=CARTESIAN_POINT('Ctrl Pts',(-13.44922734668,-6.651710147018,7.689707663831)); #483955=CARTESIAN_POINT('Ctrl Pts',(-13.92548468476,-7.007353358891,7.600085707544)); #483956=CARTESIAN_POINT('Ctrl Pts',(-13.89308834067,-7.042671157343,7.584273899194)); #483957=CARTESIAN_POINT('Ctrl Pts',(-13.82238704884,-7.086897377193,7.559867721319)); #483958=CARTESIAN_POINT('Ctrl Pts',(-13.70598713271,-7.103188230007,7.537066052869)); #483959=CARTESIAN_POINT('Ctrl Pts',(-13.60534792076,-7.084079515833,7.527558462007)); #483960=CARTESIAN_POINT('Ctrl Pts',(-13.51548152994,-7.034028065835,7.529212090747)); #483961=CARTESIAN_POINT('Ctrl Pts',(-13.44656161457,-6.958699328082,7.541839982109)); #483962=CARTESIAN_POINT('Ctrl Pts',(-13.40638969915,-6.866621327605,7.56401238139)); #483963=CARTESIAN_POINT('Ctrl Pts',(-13.39839626022,-6.752212407554,7.597969962773)); #483964=CARTESIAN_POINT('Ctrl Pts',(-13.42784197224,-6.675576942856,7.626035461724)); #483965=CARTESIAN_POINT('Ctrl Pts',(-13.45634028857,-6.637324160103,7.642153918527)); #483966=CARTESIAN_POINT('Ctrl Pts',(-13.93794784712,-6.982359989559,7.51896198303)); #483967=CARTESIAN_POINT('Ctrl Pts',(-13.90556845557,-7.017722291941,7.503275565427)); #483968=CARTESIAN_POINT('Ctrl Pts',(-13.83490011785,-7.062043473873,7.479070157999)); #483969=CARTESIAN_POINT('Ctrl Pts',(-13.71853184767,-7.07849503789,7.456468848132)); #483970=CARTESIAN_POINT('Ctrl Pts',(-13.61789810412,-7.059529061958,7.447056374501)); #483971=CARTESIAN_POINT('Ctrl Pts',(-13.52800618372,-7.009607197093,7.44871650781)); #483972=CARTESIAN_POINT('Ctrl Pts',(-13.45902489836,-6.934375921186,7.461261692906)); #483973=CARTESIAN_POINT('Ctrl Pts',(-13.41875679177,-6.842345747346,7.483272608027)); #483974=CARTESIAN_POINT('Ctrl Pts',(-13.41061966991,-6.72791921758,7.516974279842)); #483975=CARTESIAN_POINT('Ctrl Pts',(-13.43994847235,-6.651199257009,7.544825037395)); #483976=CARTESIAN_POINT('Ctrl Pts',(-13.4683815097,-6.612871063869,7.560820645186)); #483977=CARTESIAN_POINT('Ctrl Pts',(-13.96065013556,-6.936522087409,7.370157285592)); #483978=CARTESIAN_POINT('Ctrl Pts',(-13.92834188446,-6.971882370091,7.354440679643)); #483979=CARTESIAN_POINT('Ctrl Pts',(-13.85779609265,-7.016248246564,7.330202261094)); #483980=CARTESIAN_POINT('Ctrl Pts',(-13.74154462658,-7.032872776573,7.307594170617)); #483981=CARTESIAN_POINT('Ctrl Pts',(-13.64094618043,-7.014116995343,7.298200659244)); #483982=CARTESIAN_POINT('Ctrl Pts',(-13.55100459711,-6.964440145703,7.299900899147)); #483983=CARTESIAN_POINT('Ctrl Pts',(-13.48188008272,-6.889453136633,7.3125030699)); #483984=CARTESIAN_POINT('Ctrl Pts',(-13.44138045664,-6.797626993783,7.334583416956)); #483985=CARTESIAN_POINT('Ctrl Pts',(-13.43289450729,-6.683347192161,7.368375251547)); #483986=CARTESIAN_POINT('Ctrl Pts',(-13.4619376755,-6.606620835058,7.396294438158)); #483987=CARTESIAN_POINT('Ctrl Pts',(-13.49020981012,-6.568239853023,7.41233024988)); #483988=CARTESIAN_POINT('Ctrl Pts',(-13.98492774041,-6.887074696316,7.204431617443)); #483989=CARTESIAN_POINT('Ctrl Pts',(-13.95240423255,-6.923038276825,7.190607044172)); #483990=CARTESIAN_POINT('Ctrl Pts',(-13.88153948639,-6.968387645235,7.169300234255)); #483991=CARTESIAN_POINT('Ctrl Pts',(-13.76499147949,-6.986074675943,7.149450827402)); #483992=CARTESIAN_POINT('Ctrl Pts',(-13.66425389383,-6.967913259393,7.141225544365)); #483993=CARTESIAN_POINT('Ctrl Pts',(-13.57428753759,-6.918441860433,7.142758346679)); #483994=CARTESIAN_POINT('Ctrl Pts',(-13.50524019588,-6.843239777073,7.153876572034)); #483995=CARTESIAN_POINT('Ctrl Pts',(-13.46489926539,-6.750789659993,7.173326147953)); #483996=CARTESIAN_POINT('Ctrl Pts',(-13.45666377551,-6.635372874937,7.203075574296)); #483997=CARTESIAN_POINT('Ctrl Pts',(-13.48591770322,-6.557567252476,7.227648750034)); #483998=CARTESIAN_POINT('Ctrl Pts',(-13.51431456462,-6.518512746507,7.24176363944)); #483999=CARTESIAN_POINT('Ctrl Pts',(-14.00160249414,-6.852150261601,7.039788661355)); #484000=CARTESIAN_POINT('Ctrl Pts',(-13.96852839295,-6.889039144071,7.030591177507)); #484001=CARTESIAN_POINT('Ctrl Pts',(-13.8969039678,-6.935815522691,7.016441823632)); #484002=CARTESIAN_POINT('Ctrl Pts',(-13.77979333068,-6.954873192473,7.003306596297)); #484003=CARTESIAN_POINT('Ctrl Pts',(-13.67893387127,-6.937329022843,6.997905680647)); #484004=CARTESIAN_POINT('Ctrl Pts',(-13.58918151687,-6.887837984283,6.998996647731)); #484005=CARTESIAN_POINT('Ctrl Pts',(-13.52062474346,-6.811962345453,7.006457153622)); #484006=CARTESIAN_POINT('Ctrl Pts',(-13.48096868239,-6.718231211603,7.019449593809)); #484007=CARTESIAN_POINT('Ctrl Pts',(-13.47364735009,-6.600744356773,7.039291390928)); #484008=CARTESIAN_POINT('Ctrl Pts',(-13.50356375672,-6.521113627615,7.055669130789)); #484009=CARTESIAN_POINT('Ctrl Pts',(-13.53231720795,-6.480953140311,7.065078278555)); #484010=CARTESIAN_POINT('Ctrl Pts',(-14.00671249387,-6.839674743065,6.907683213237)); #484011=CARTESIAN_POINT('Ctrl Pts',(-13.97310472364,-6.876722642806,6.903878313086)); #484012=CARTESIAN_POINT('Ctrl Pts',(-13.90089720285,-6.92364675395,6.898045646593)); #484013=CARTESIAN_POINT('Ctrl Pts',(-13.78367188404,-6.942688787503,6.89266808942)); #484014=CARTESIAN_POINT('Ctrl Pts',(-13.6831255764,-6.924992933703,6.890490873575)); #484015=CARTESIAN_POINT('Ctrl Pts',(-13.59397910174,-6.875214357713,6.890999326661)); #484016=CARTESIAN_POINT('Ctrl Pts',(-13.52617856822,-6.798906219803,6.894136911634)); #484017=CARTESIAN_POINT('Ctrl Pts',(-13.48728764563,-6.704582631873,6.89955450917)); #484018=CARTESIAN_POINT('Ctrl Pts',(-13.48072860921,-6.586206968776,6.907803439622)); #484019=CARTESIAN_POINT('Ctrl Pts',(-13.51099503495,-6.505765754898,6.914602917868)); #484020=CARTESIAN_POINT('Ctrl Pts',(-13.53987255454,-6.465070323906,6.918510660692)); #484021=CARTESIAN_POINT('Ctrl Pts',(-14.00519807334,-6.840036600314,6.808273731026)); #484022=CARTESIAN_POINT('Ctrl Pts',(-13.97117463411,-6.876579378163,6.809449552824)); #484023=CARTESIAN_POINT('Ctrl Pts',(-13.89865246176,-6.922575058232,6.811260489978)); #484024=CARTESIAN_POINT('Ctrl Pts',(-13.78172571113,-6.940492343403,6.812945418134)); #484025=CARTESIAN_POINT('Ctrl Pts',(-13.68180799189,-6.922077124003,6.813641779308)); #484026=CARTESIAN_POINT('Ctrl Pts',(-13.59348313125,-6.871886958053,6.813508413474)); #484027=CARTESIAN_POINT('Ctrl Pts',(-13.52650925219,-6.795466572823,6.812560406087)); #484028=CARTESIAN_POINT('Ctrl Pts',(-13.48828475879,-6.701259427583,6.810904572823)); #484029=CARTESIAN_POINT('Ctrl Pts',(-13.48217932128,-6.583150534366,6.808373247547)); #484030=CARTESIAN_POINT('Ctrl Pts',(-13.51243359636,-6.502875203964,6.806282888202)); #484031=CARTESIAN_POINT('Ctrl Pts',(-13.54119663328,-6.46219934963,6.80508210262)); #484032=CARTESIAN_POINT('Ctrl Pts',(-13.99734347584,-6.851836924998,6.70858793074)); #484033=CARTESIAN_POINT('Ctrl Pts',(-13.96298884638,-6.887014823282,6.714734498955)); #484034=CARTESIAN_POINT('Ctrl Pts',(-13.89043586814,-6.930692061517,6.724090522213)); #484035=CARTESIAN_POINT('Ctrl Pts',(-13.77435673734,-6.946060244223,6.73259704484)); #484036=CARTESIAN_POINT('Ctrl Pts',(-13.6755437418,-6.926201105373,6.73593035661)); #484037=CARTESIAN_POINT('Ctrl Pts',(-13.5884219555,-6.875441873103,6.734923555781)); #484038=CARTESIAN_POINT('Ctrl Pts',(-13.52247903636,-6.799310159213,6.729686646605)); #484039=CARTESIAN_POINT('Ctrl Pts',(-13.48489544036,-6.706097257053,6.72079198419)); #484040=CARTESIAN_POINT('Ctrl Pts',(-13.47893037608,-6.589665679668,6.707327870281)); #484041=CARTESIAN_POINT('Ctrl Pts',(-13.50872635677,-6.510721199425,6.696259448632)); #484042=CARTESIAN_POINT('Ctrl Pts',(-13.53706502242,-6.470711049806,6.689893845588)); #484043=CARTESIAN_POINT('Ctrl Pts',(-13.98064978393,-6.880080465294,6.563462742282)); #484044=CARTESIAN_POINT('Ctrl Pts',(-13.94601943428,-6.913173944628,6.57430937511)); #484045=CARTESIAN_POINT('Ctrl Pts',(-13.87365359964,-6.953381474488,6.590697706843)); #484046=CARTESIAN_POINT('Ctrl Pts',(-13.75887394082,-6.965030605517,6.605374748241)); #484047=CARTESIAN_POINT('Ctrl Pts',(-13.6615736881,-6.943134364893,6.610914600408)); #484048=CARTESIAN_POINT('Ctrl Pts',(-13.57600105668,-6.891675476326,6.608789231156)); #484049=CARTESIAN_POINT('Ctrl Pts',(-13.51130339178,-6.816155233142,6.599226581346)); #484050=CARTESIAN_POINT('Ctrl Pts',(-13.47439581858,-6.724647092577,6.583252194035)); #484051=CARTESIAN_POINT('Ctrl Pts',(-13.46838324565,-6.611039404065,6.559216253363)); #484052=CARTESIAN_POINT('Ctrl Pts',(-13.49740000467,-6.53438027836,6.539512032431)); #484053=CARTESIAN_POINT('Ctrl Pts',(-13.52508122158,-6.495570632311,6.528171651881)); #484054=CARTESIAN_POINT('Ctrl Pts',(-13.96390887711,-6.911304326603,6.449293623847)); #484055=CARTESIAN_POINT('Ctrl Pts',(-13.92918619554,-6.94337547091,6.461493211242)); #484056=CARTESIAN_POINT('Ctrl Pts',(-13.85694477071,-6.981898232007,6.479846548873)); #484057=CARTESIAN_POINT('Ctrl Pts',(-13.74278042073,-6.991748213443,6.496135751779)); #484058=CARTESIAN_POINT('Ctrl Pts',(-13.64617546534,-6.96886331079,6.502140984818)); #484059=CARTESIAN_POINT('Ctrl Pts',(-13.561310973,-6.917057786927,6.499518043702)); #484060=CARTESIAN_POINT('Ctrl Pts',(-13.49718898622,-6.841826098661,6.488544878962)); #484061=CARTESIAN_POINT('Ctrl Pts',(-13.46061056763,-6.75114851871,6.470385148206)); #484062=CARTESIAN_POINT('Ctrl Pts',(-13.45461824044,-6.638947121769,6.443154922285)); #484063=CARTESIAN_POINT('Ctrl Pts',(-13.48332150956,-6.563467548354,6.420867823593)); #484064=CARTESIAN_POINT('Ctrl Pts',(-13.51072734982,-6.525304917005,6.408035563727)); #484065=CARTESIAN_POINT('Ctrl Pts',(-13.95422808835,-6.931537685536,6.364425317388)); #484066=CARTESIAN_POINT('Ctrl Pts',(-13.91947616022,-6.963803648355,6.376312607612)); #484067=CARTESIAN_POINT('Ctrl Pts',(-13.84713615035,-7.002619827364,6.39421499957)); #484068=CARTESIAN_POINT('Ctrl Pts',(-13.73279156706,-7.012712690531,6.410139312712)); #484069=CARTESIAN_POINT('Ctrl Pts',(-13.63604238979,-6.989896496225,6.416044578201)); #484070=CARTESIAN_POINT('Ctrl Pts',(-13.55108243036,-6.938018048853,6.413544141647)); #484071=CARTESIAN_POINT('Ctrl Pts',(-13.48694387733,-6.862591927391,6.402903689558)); #484072=CARTESIAN_POINT('Ctrl Pts',(-13.45044465901,-6.771636868578,6.385254661921)); #484073=CARTESIAN_POINT('Ctrl Pts',(-13.44465601452,-6.659075405856,6.358768090711)); #484074=CARTESIAN_POINT('Ctrl Pts',(-13.47358564137,-6.583363134397,6.337081195596)); #484075=CARTESIAN_POINT('Ctrl Pts',(-13.50113767854,-6.545098428389,6.324595784121)); #484076=CARTESIAN_POINT('Origin',(-13.7519312830331,-6.70629450494703,6.3790338029275)); #484077=CARTESIAN_POINT('Ctrl Pts',(-13.96525700271,-6.909365202898,6.453952192607)); #484078=CARTESIAN_POINT('Ctrl Pts',(-13.93058080992,-6.941710133955,6.46559860455)); #484079=CARTESIAN_POINT('Ctrl Pts',(-13.85833293704,-6.980738718876,6.483152786152)); #484080=CARTESIAN_POINT('Ctrl Pts',(-13.74401158245,-6.991170069161,6.498784791952)); #484081=CARTESIAN_POINT('Ctrl Pts',(-13.64716215987,-6.968588966369,6.504593415578)); #484082=CARTESIAN_POINT('Ctrl Pts',(-13.5620638799,-6.916860808576,6.502152022594)); #484083=CARTESIAN_POINT('Ctrl Pts',(-13.49777041182,-6.841488313415,6.491720190904)); #484084=CARTESIAN_POINT('Ctrl Pts',(-13.46112126711,-6.750490544909,6.47440788871)); #484085=CARTESIAN_POINT('Ctrl Pts',(-13.45517797024,-6.637628359835,6.448386271069)); #484086=CARTESIAN_POINT('Ctrl Pts',(-13.48415967565,-6.561609785048,6.427061298795)); #484087=CARTESIAN_POINT('Ctrl Pts',(-13.51184315153,-6.52315749308,6.414774928151)); #484088=CARTESIAN_POINT('Ctrl Pts',(-13.95837349004,-6.922383211743,6.404684459583)); #484089=CARTESIAN_POINT('Ctrl Pts',(-13.92365560492,-6.954380666477,6.416879893252)); #484090=CARTESIAN_POINT('Ctrl Pts',(-13.85143681971,-6.992830729873,6.435242727485)); #484091=CARTESIAN_POINT('Ctrl Pts',(-13.73731854439,-7.002632888354,6.45155954153)); #484092=CARTESIAN_POINT('Ctrl Pts',(-13.64070915777,-6.979696424289,6.457588187415)); #484093=CARTESIAN_POINT('Ctrl Pts',(-13.55586313049,-6.92782977404,6.454976317336)); #484094=CARTESIAN_POINT('Ctrl Pts',(-13.49178299644,-6.852535528895,6.444000911952)); #484095=CARTESIAN_POINT('Ctrl Pts',(-13.45526723679,-6.761802832142,6.425826700226)); #484096=CARTESIAN_POINT('Ctrl Pts',(-13.44935747955,-6.649403642086,6.398531833736)); #484097=CARTESIAN_POINT('Ctrl Pts',(-13.47825022235,-6.573780279042,6.376171937526)); #484098=CARTESIAN_POINT('Ctrl Pts',(-13.50584891454,-6.535546245423,6.363288003448)); #484099=CARTESIAN_POINT('Ctrl Pts',(-13.94888954182,-6.942824655247,6.316719387842)); #484100=CARTESIAN_POINT('Ctrl Pts',(-13.91415636161,-6.975216550601,6.3282073455)); #484101=CARTESIAN_POINT('Ctrl Pts',(-13.84180402747,-7.014286425176,6.345532105437)); #484102=CARTESIAN_POINT('Ctrl Pts',(-13.72736993651,-7.024668985119,6.360977417666)); #484103=CARTESIAN_POINT('Ctrl Pts',(-13.63047144555,-7.001975394705,6.366733849326)); #484104=CARTESIAN_POINT('Ctrl Pts',(-13.54539121754,-6.950079469075,6.364353301784)); #484105=CARTESIAN_POINT('Ctrl Pts',(-13.48119334102,-6.874509274226,6.354089244657)); #484106=CARTESIAN_POINT('Ctrl Pts',(-13.44471647925,-6.783315831091,6.337035329494)); #484107=CARTESIAN_POINT('Ctrl Pts',(-13.43904790287,-6.670267774783,6.311390981116)); #484108=CARTESIAN_POINT('Ctrl Pts',(-13.46826637338,-6.5941859959,6.290370936817)); #484109=CARTESIAN_POINT('Ctrl Pts',(-13.49608789163,-6.555733604825,6.278260895015)); #484110=CARTESIAN_POINT('Ctrl Pts',(-13.92831847122,-6.983692948598,6.145568663721)); #484111=CARTESIAN_POINT('Ctrl Pts',(-13.89349708725,-7.015840087592,6.157362952261)); #484112=CARTESIAN_POINT('Ctrl Pts',(-13.82107200052,-7.054468765954,6.175142146084)); #484113=CARTESIAN_POINT('Ctrl Pts',(-13.7067036792,-7.064286314617,6.190978465419)); #484114=CARTESIAN_POINT('Ctrl Pts',(-13.60996095265,-7.041196477353,6.196866828798)); #484115=CARTESIAN_POINT('Ctrl Pts',(-13.52510861347,-6.989033544734,6.194400546233)); #484116=CARTESIAN_POINT('Ctrl Pts',(-13.46117725385,-6.913348376741,6.183841902449)); #484117=CARTESIAN_POINT('Ctrl Pts',(-13.42497017611,-6.822196063206,6.166314687483)); #484118=CARTESIAN_POINT('Ctrl Pts',(-13.41958139274,-6.70936108848,6.139967563667)); #484119=CARTESIAN_POINT('Ctrl Pts',(-13.44894193613,-6.633547266959,6.118374886712)); #484120=CARTESIAN_POINT('Ctrl Pts',(-13.47681858162,-6.59527402887,6.105934422203)); #484121=CARTESIAN_POINT('Ctrl Pts',(-13.91081114016,-7.016020470864,6.029843192709)); #484122=CARTESIAN_POINT('Ctrl Pts',(-13.87588374639,-7.047184956633,6.043214508657)); #484123=CARTESIAN_POINT('Ctrl Pts',(-13.8035596902,-7.084181739196,6.063318576289)); #484124=CARTESIAN_POINT('Ctrl Pts',(-13.68978212268,-7.092235888013,6.081127914584)); #484125=CARTESIAN_POINT('Ctrl Pts',(-13.59372277111,-7.068160728432,6.087654666588)); #484126=CARTESIAN_POINT('Ctrl Pts',(-13.50957708093,-7.015629436809,6.084705647893)); #484127=CARTESIAN_POINT('Ctrl Pts',(-13.44623076747,-6.940189334704,6.072592615695)); #484128=CARTESIAN_POINT('Ctrl Pts',(-13.41037242188,-6.849807230771,6.052596371322)); #484129=CARTESIAN_POINT('Ctrl Pts',(-13.40503676219,-6.738297660488,6.022599423306)); #484130=CARTESIAN_POINT('Ctrl Pts',(-13.43411259328,-6.663602826068,5.998039140509)); #484131=CARTESIAN_POINT('Ctrl Pts',(-13.46173115106,-6.625942967707,5.983885330802)); #484132=CARTESIAN_POINT('Ctrl Pts',(-13.89477898305,-7.044247641366,5.951074934189)); #484133=CARTESIAN_POINT('Ctrl Pts',(-13.85977816468,-7.074168506847,5.96599262221)); #484134=CARTESIAN_POINT('Ctrl Pts',(-13.78766441346,-7.10913805406,5.988326821708)); #484135=CARTESIAN_POINT('Ctrl Pts',(-13.67468601391,-7.115072513257,6.00793252066)); #484136=CARTESIAN_POINT('Ctrl Pts',(-13.57948852497,-7.089870262059,6.01494083792)); #484137=CARTESIAN_POINT('Ctrl Pts',(-13.49618951225,-7.036999111351,6.011367354697)); #484138=CARTESIAN_POINT('Ctrl Pts',(-13.4334988097,-6.961986709028,5.997585555238)); #484139=CARTESIAN_POINT('Ctrl Pts',(-13.39797074436,-6.872676514659,5.975036708533)); #484140=CARTESIAN_POINT('Ctrl Pts',(-13.39255728257,-6.762925313274,5.941322885791)); #484141=CARTESIAN_POINT('Ctrl Pts',(-13.42116874719,-6.689673070943,5.913762377985)); #484142=CARTESIAN_POINT('Ctrl Pts',(-13.44840474649,-6.65279474765,5.897873038798)); #484143=CARTESIAN_POINT('Origin',(-13.7004635969152,-6.81082333622286,5.96106693063441)); #484144=CARTESIAN_POINT('Ctrl Pts',(-13.91249345582,-7.013665495368,6.040052395536)); #484145=CARTESIAN_POINT('Ctrl Pts',(-13.87736345734,-7.04532928968,6.053615127895)); #484146=CARTESIAN_POINT('Ctrl Pts',(-13.804626224,-7.082684248753,6.073902136321)); #484147=CARTESIAN_POINT('Ctrl Pts',(-13.69034200016,-7.090667640697,6.091763997094)); #484148=CARTESIAN_POINT('Ctrl Pts',(-13.59433770771,-7.066547741879,6.098250442844)); #484149=CARTESIAN_POINT('Ctrl Pts',(-13.51023582878,-7.014023990906,6.095257522904)); #484150=CARTESIAN_POINT('Ctrl Pts',(-13.44691233929,-6.938638866794,6.083100830492)); #484151=CARTESIAN_POINT('Ctrl Pts',(-13.41104959302,-6.848348439722,6.063063255195)); #484152=CARTESIAN_POINT('Ctrl Pts',(-13.40565537732,-6.736562192679,6.03291112305)); #484153=CARTESIAN_POINT('Ctrl Pts',(-13.43499575402,-6.661607800038,6.008177831036)); #484154=CARTESIAN_POINT('Ctrl Pts',(-13.46298973944,-6.623798951771,5.993893611292)); #484155=CARTESIAN_POINT('Ctrl Pts',(-13.90467981416,-7.027962673852,5.992603143762)); #484156=CARTESIAN_POINT('Ctrl Pts',(-13.86950419345,-7.059149256943,6.006871301365)); #484157=CARTESIAN_POINT('Ctrl Pts',(-13.7968249085,-7.095718744135,6.028186895587)); #484158=CARTESIAN_POINT('Ctrl Pts',(-13.68283157965,-7.102864476392,6.046905045353)); #484159=CARTESIAN_POINT('Ctrl Pts',(-13.58715450684,-7.078285220667,6.053654408449)); #484160=CARTESIAN_POINT('Ctrl Pts',(-13.5033857218,-7.025598768353,6.050429380488)); #484161=CARTESIAN_POINT('Ctrl Pts',(-13.44033277702,-6.950344267154,6.037569078288)); #484162=CARTESIAN_POINT('Ctrl Pts',(-13.40462443294,-6.860434260162,6.016426444077)); #484163=CARTESIAN_POINT('Ctrl Pts',(-13.39923932629,-6.749295701552,5.984642048332)); #484164=CARTESIAN_POINT('Ctrl Pts',(-13.42843016929,-6.674885048642,5.958581651251)); #484165=CARTESIAN_POINT('Ctrl Pts',(-13.45629251845,-6.637373785464,5.94352913452)); #484166=CARTESIAN_POINT('Ctrl Pts',(-13.88936662566,-7.054827551411,5.9161788862)); #484167=CARTESIAN_POINT('Ctrl Pts',(-13.85411308546,-7.084750255069,5.932030369009)); #484168=CARTESIAN_POINT('Ctrl Pts',(-13.781646389,-7.11926794468,5.95561847028)); #484169=CARTESIAN_POINT('Ctrl Pts',(-13.66846164492,-7.124280072996,5.976158479924)); #484170=CARTESIAN_POINT('Ctrl Pts',(-13.57365013911,-7.098575449437,5.983394309173)); #484171=CARTESIAN_POINT('Ctrl Pts',(-13.49072949596,-7.045555035257,5.979540034234)); #484172=CARTESIAN_POINT('Ctrl Pts',(-13.42833040301,-6.970737230211,5.96499697327)); #484173=CARTESIAN_POINT('Ctrl Pts',(-13.39294689615,-6.881909601954,5.941279912157)); #484174=CARTESIAN_POINT('Ctrl Pts',(-13.38747324497,-6.7725475552,5.905732853879)); #484175=CARTESIAN_POINT('Ctrl Pts',(-13.41618495866,-6.699593706265,5.876628904082)); #484176=CARTESIAN_POINT('Ctrl Pts',(-13.44365329145,-6.662870886838,5.859811911166)); #484177=CARTESIAN_POINT('Ctrl Pts',(-13.86638272818,-7.096425627195,5.809071473685)); #484178=CARTESIAN_POINT('Ctrl Pts',(-13.83104802256,-7.125054824241,5.826276740817)); #484179=CARTESIAN_POINT('Ctrl Pts',(-13.7587899607,-7.157474360147,5.85177613752)); #484180=CARTESIAN_POINT('Ctrl Pts',(-13.64641954351,-7.160291749423,5.873785280527)); #484181=CARTESIAN_POINT('Ctrl Pts',(-13.55249016839,-7.133412414483,5.88134457899)); #484182=CARTESIAN_POINT('Ctrl Pts',(-13.47044903365,-7.080014454273,5.876854620159)); #484183=CARTESIAN_POINT('Ctrl Pts',(-13.40875122308,-7.005600560113,5.860778022712)); #484184=CARTESIAN_POINT('Ctrl Pts',(-13.37375458454,-6.917839885383,5.834772060139)); #484185=CARTESIAN_POINT('Ctrl Pts',(-13.3682765974,-6.810264629534,5.795913849088)); #484186=CARTESIAN_POINT('Ctrl Pts',(-13.39657202147,-6.738806202564,5.764145075836)); #484187=CARTESIAN_POINT('Ctrl Pts',(-13.4236795893,-6.702907971051,5.745781020281)); #484188=CARTESIAN_POINT('Ctrl Pts',(-13.84587977983,-7.134221773185,5.716418961739)); #484189=CARTESIAN_POINT('Ctrl Pts',(-13.8104854184,-7.162023482091,5.734411404137)); #484190=CARTESIAN_POINT('Ctrl Pts',(-13.73834567052,-7.193095053293,5.761013465835)); #484191=CARTESIAN_POINT('Ctrl Pts',(-13.62648176126,-7.194481698483,5.783852398289)); #484192=CARTESIAN_POINT('Ctrl Pts',(-13.53311464891,-7.166815695353,5.791574022832)); #484193=CARTESIAN_POINT('Ctrl Pts',(-13.45164909974,-7.113132240663,5.786686884251)); #484194=CARTESIAN_POINT('Ctrl Pts',(-13.39043057034,-7.038930190963,5.769691417808)); #484195=CARTESIAN_POINT('Ctrl Pts',(-13.35572972428,-6.951810987253,5.742328890937)); #484196=CARTESIAN_POINT('Ctrl Pts',(-13.35032228834,-6.845347244201,5.701517347878)); #484197=CARTESIAN_POINT('Ctrl Pts',(-13.37841239997,-6.774845840866,5.668180146772)); #484198=CARTESIAN_POINT('Ctrl Pts',(-13.40532403325,-6.739486756492,5.648904961384)); #484199=CARTESIAN_POINT('Ctrl Pts',(-13.81916940291,-7.18543129234,5.590451639494)); #484200=CARTESIAN_POINT('Ctrl Pts',(-13.78370580415,-7.21289356826,5.608737614677)); #484201=CARTESIAN_POINT('Ctrl Pts',(-13.71153815692,-7.243376291082,5.635759796561)); #484202=CARTESIAN_POINT('Ctrl Pts',(-13.59981409327,-7.244045637503,5.658932842137)); #484203=CARTESIAN_POINT('Ctrl Pts',(-13.50666425213,-7.215901480803,5.666740496367)); #484204=CARTESIAN_POINT('Ctrl Pts',(-13.42547944979,-7.161920666393,5.661731780481)); #484205=CARTESIAN_POINT('Ctrl Pts',(-13.36456602542,-7.087625550303,5.644418888664)); #484206=CARTESIAN_POINT('Ctrl Pts',(-13.33015562196,-7.000617587753,5.616573273353)); #484207=CARTESIAN_POINT('Ctrl Pts',(-13.32503053882,-6.894496289218,5.575057124216)); #484208=CARTESIAN_POINT('Ctrl Pts',(-13.35324491997,-6.82438632877,5.54115053786)); #484209=CARTESIAN_POINT('Ctrl Pts',(-13.38019536533,-6.789284114581,5.521545166497)); #484210=CARTESIAN_POINT('Ctrl Pts',(-13.78501284984,-7.250169659591,5.433764713355)); #484211=CARTESIAN_POINT('Ctrl Pts',(-13.74947113499,-7.277147744217,5.452510008753)); #484212=CARTESIAN_POINT('Ctrl Pts',(-13.67729230982,-7.306799888793,5.480191678063)); #484213=CARTESIAN_POINT('Ctrl Pts',(-13.56578508922,-7.306479502843,5.503893447621)); #484214=CARTESIAN_POINT('Ctrl Pts',(-13.47294173372,-7.277693218043,5.511841974077)); #484215=CARTESIAN_POINT('Ctrl Pts',(-13.39213473701,-7.223335256113,5.50664975345)); #484216=CARTESIAN_POINT('Ctrl Pts',(-13.3316158313,-7.148956075833,5.488846865591)); #484217=CARTESIAN_POINT('Ctrl Pts',(-13.29756432809,-7.062151166213,5.460251719088)); #484218=CARTESIAN_POINT('Ctrl Pts',(-13.29276619492,-6.956558349716,5.417640072219)); #484219=CARTESIAN_POINT('Ctrl Pts',(-13.32110068929,-6.887019607026,5.382847328814)); #484220=CARTESIAN_POINT('Ctrl Pts',(-13.34807526906,-6.852283949032,5.362728218744)); #484221=CARTESIAN_POINT('Ctrl Pts',(-13.73958962125,-7.332554461633,5.251595902662)); #484222=CARTESIAN_POINT('Ctrl Pts',(-13.703959952,-7.358128068981,5.271516683263)); #484223=CARTESIAN_POINT('Ctrl Pts',(-13.63199303423,-7.385490429638,5.300825013947)); #484224=CARTESIAN_POINT('Ctrl Pts',(-13.52134929925,-7.382718365853,5.325709697827)); #484225=CARTESIAN_POINT('Ctrl Pts',(-13.42946415233,-7.352560146843,5.333841401946)); #484226=CARTESIAN_POINT('Ctrl Pts',(-13.34964755347,-7.297666825963,5.327992695609)); #484227=CARTESIAN_POINT('Ctrl Pts',(-13.28997237173,-7.223590303443,5.308755119829)); #484228=CARTESIAN_POINT('Ctrl Pts',(-13.25647364822,-7.137823111753,5.27807513982)); #484229=CARTESIAN_POINT('Ctrl Pts',(-13.25187734629,-7.034080410306,5.23248201892)); #484230=CARTESIAN_POINT('Ctrl Pts',(-13.27993610695,-6.966174917029,5.195303498533)); #484231=CARTESIAN_POINT('Ctrl Pts',(-13.3066208941,-6.932378240963,5.173797129116)); #484232=CARTESIAN_POINT('Ctrl Pts',(-13.69030248247,-7.420483811255,5.072838713741)); #484233=CARTESIAN_POINT('Ctrl Pts',(-13.65458237451,-7.444585395837,5.093799329203)); #484234=CARTESIAN_POINT('Ctrl Pts',(-13.58284978295,-7.469557770707,5.124511689419)); #484235=CARTESIAN_POINT('Ctrl Pts',(-13.47314170213,-7.464239498563,5.1503445295)); #484236=CARTESIAN_POINT('Ctrl Pts',(-13.3822922918,-7.432663225373,5.158534909)); #484237=CARTESIAN_POINT('Ctrl Pts',(-13.30354643383,-7.377222604933,5.151995098397)); #484238=CARTESIAN_POINT('Ctrl Pts',(-13.24478589666,-7.303466767123,5.131379780849)); #484239=CARTESIAN_POINT('Ctrl Pts',(-13.21189143606,-7.218778301093,5.09875329218)); #484240=CARTESIAN_POINT('Ctrl Pts',(-13.20752667628,-7.116950337232,5.050411347064)); #484241=CARTESIAN_POINT('Ctrl Pts',(-13.23530109075,-7.050729582257,5.011047248429)); #484242=CARTESIAN_POINT('Ctrl Pts',(-13.26168120403,-7.01789983366,4.988267769557)); #484243=CARTESIAN_POINT('Ctrl Pts',(-13.63689130035,-7.51371918832,4.898237264469)); #484244=CARTESIAN_POINT('Ctrl Pts',(-13.60111140088,-7.536135382604,4.920245564588)); #484245=CARTESIAN_POINT('Ctrl Pts',(-13.5297113604,-7.558401636989,4.952335149188)); #484246=CARTESIAN_POINT('Ctrl Pts',(-13.42112716986,-7.550254581413,4.979016708943)); #484247=CARTESIAN_POINT('Ctrl Pts',(-13.33147888172,-7.517144845123,4.987152751635)); #484248=CARTESIAN_POINT('Ctrl Pts',(-13.2539438755,-7.461169969003,4.979793553112)); #484249=CARTESIAN_POINT('Ctrl Pts',(-13.19618790195,-7.387863852403,4.957666575012)); #484250=CARTESIAN_POINT('Ctrl Pts',(-13.1639205634,-7.304474295523,4.922959955968)); #484251=CARTESIAN_POINT('Ctrl Pts',(-13.15972806356,-7.204885397143,4.871716113098)); #484252=CARTESIAN_POINT('Ctrl Pts',(-13.18710379403,-7.140601007906,4.830059206765)); #484253=CARTESIAN_POINT('Ctrl Pts',(-13.21309340819,-7.108874759625,4.805941808994)); #484254=CARTESIAN_POINT('Ctrl Pts',(-13.58822599835,-7.601083533549,4.737278677567)); #484255=CARTESIAN_POINT('Ctrl Pts',(-13.55238368367,-7.623072254042,4.759567853282)); #484256=CARTESIAN_POINT('Ctrl Pts',(-13.48097602256,-7.644588597967,4.792058396627)); #484257=CARTESIAN_POINT('Ctrl Pts',(-13.3725887593,-7.635494798318,4.819056293504)); #484258=CARTESIAN_POINT('Ctrl Pts',(-13.28322899779,-7.601724091324,4.827270690567)); #484259=CARTESIAN_POINT('Ctrl Pts',(-13.20606928593,-7.545300305009,4.81979030497)); #484260=CARTESIAN_POINT('Ctrl Pts',(-13.14873423584,-7.471798349234,4.797354032481)); #484261=CARTESIAN_POINT('Ctrl Pts',(-13.11688890324,-7.388481249155,4.762179070407)); #484262=CARTESIAN_POINT('Ctrl Pts',(-13.1131402174,-7.289276907627,4.71025347908)); #484263=CARTESIAN_POINT('Ctrl Pts',(-13.14074971195,-7.225497169764,4.668046174534)); #484264=CARTESIAN_POINT('Ctrl Pts',(-13.16683368541,-7.194124132474,4.643609518697)); #484265=CARTESIAN_POINT('Ctrl Pts',(-13.55909505514,-7.655185922806,4.631874716927)); #484266=CARTESIAN_POINT('Ctrl Pts',(-13.52318431598,-7.677657433106,4.65392248934)); #484267=CARTESIAN_POINT('Ctrl Pts',(-13.45153019802,-7.699858033495,4.686146853692)); #484268=CARTESIAN_POINT('Ctrl Pts',(-13.34268533804,-7.691255837573,4.713092833996)); #484269=CARTESIAN_POINT('Ctrl Pts',(-13.25295770062,-7.657551320013,4.721470551722)); #484270=CARTESIAN_POINT('Ctrl Pts',(-13.17554965254,-7.600855367219,4.714339521213)); #484271=CARTESIAN_POINT('Ctrl Pts',(-13.11816174487,-7.526807544242,4.692408940427)); #484272=CARTESIAN_POINT('Ctrl Pts',(-13.08650234271,-7.442774045033,4.65786077478)); #484273=CARTESIAN_POINT('Ctrl Pts',(-13.0832530386,-7.34268121747,4.606763809474)); #484274=CARTESIAN_POINT('Ctrl Pts',(-13.11142972096,-7.278358583723,4.5651922812)); #484275=CARTESIAN_POINT('Ctrl Pts',(-13.13788532075,-7.246759843102,4.541129705548)); #484276=CARTESIAN_POINT('Ctrl Pts',(-13.54735699399,-7.677298249943,4.586867962724)); #484277=CARTESIAN_POINT('Ctrl Pts',(-13.51141042134,-7.70010773922,4.608715627626)); #484278=CARTESIAN_POINT('Ctrl Pts',(-13.43960759619,-7.72280613386,4.640697265246)); #484279=CARTESIAN_POINT('Ctrl Pts',(-13.33046630683,-7.714612476518,4.667537814578)); #484280=CARTESIAN_POINT('Ctrl Pts',(-13.24048725894,-7.681028801787,4.675985023859)); #484281=CARTESIAN_POINT('Ctrl Pts',(-13.16289091064,-7.624226324161,4.669072868706)); #484282=CARTESIAN_POINT('Ctrl Pts',(-13.10542750952,-7.549877853088,4.647491438495)); #484283=CARTESIAN_POINT('Ctrl Pts',(-13.07383582946,-7.465409207835,4.613396423624)); #484284=CARTESIAN_POINT('Ctrl Pts',(-13.0708398074,-7.364740810843,4.562913466436)); #484285=CARTESIAN_POINT('Ctrl Pts',(-13.0993318152,-7.300034120997,4.52181954687)); #484286=CARTESIAN_POINT('Ctrl Pts',(-13.1259998242,-7.268258758638,4.498036896088)); #484287=CARTESIAN_POINT('Origin',(-13.3758870489122,-7.42803107251485,4.56208943522651)); #484288=CARTESIAN_POINT('Ctrl Pts',(-13.56989890083,-7.63515457049,4.670577075999)); #484289=CARTESIAN_POINT('Ctrl Pts',(-13.53401075717,-7.657470020411,4.692704036961)); #484290=CARTESIAN_POINT('Ctrl Pts',(-13.46243816078,-7.6794496878,4.725013220221)); #484291=CARTESIAN_POINT('Ctrl Pts',(-13.35374378575,-7.670693464748,4.751970343591)); #484292=CARTESIAN_POINT('Ctrl Pts',(-13.26413823238,-7.636977016775,4.760289293731)); #484293=CARTESIAN_POINT('Ctrl Pts',(-13.18680992043,-7.580382141464,4.75303969533)); #484294=CARTESIAN_POINT('Ctrl Pts',(-13.12943389087,-7.506525469977,4.730940780373)); #484295=CARTESIAN_POINT('Ctrl Pts',(-13.09770426027,-7.422737445175,4.696186047248)); #484296=CARTESIAN_POINT('Ctrl Pts',(-13.09427660643,-7.322944218507,4.644817498488)); #484297=CARTESIAN_POINT('Ctrl Pts',(-13.12225476101,-7.25880030197,4.603038244329)); #484298=CARTESIAN_POINT('Ctrl Pts',(-13.14858115867,-7.227273567922,4.578853327375)); #484299=CARTESIAN_POINT('Ctrl Pts',(-13.55363926853,-7.665420391766,4.611752738328)); #484300=CARTESIAN_POINT('Ctrl Pts',(-13.51771261989,-7.688011988106,4.633739637957)); #484301=CARTESIAN_POINT('Ctrl Pts',(-13.44600028405,-7.710383930245,4.665893131328)); #484302=CARTESIAN_POINT('Ctrl Pts',(-13.33704543576,-7.701911912162,4.69281657693)); #484303=CARTESIAN_POINT('Ctrl Pts',(-13.24722986078,-7.668236782108,4.701226517511)); #484304=CARTESIAN_POINT('Ctrl Pts',(-13.16975891382,-7.611490086659,4.694175561349)); #484305=CARTESIAN_POINT('Ctrl Pts',(-13.11235091386,-7.537324859836,4.672366027397)); #484306=CARTESIAN_POINT('Ctrl Pts',(-13.08072476541,-7.453129963867,4.637971142396)); #484307=CARTESIAN_POINT('Ctrl Pts',(-13.07757831418,-7.352830404708,4.587079168455)); #484308=CARTESIAN_POINT('Ctrl Pts',(-13.10587714102,-7.288375532483,4.545665945912)); #484309=CARTESIAN_POINT('Ctrl Pts',(-13.13241392954,-7.256718700304,4.521696333462)); #484310=CARTESIAN_POINT('Ctrl Pts',(-13.53804425212,-7.694800684263,4.551761126175)); #484311=CARTESIAN_POINT('Ctrl Pts',(-13.50206953688,-7.717849589952,4.573475480266)); #484312=CARTESIAN_POINT('Ctrl Pts',(-13.4301567734,-7.740895808009,4.605297471282)); #484313=CARTESIAN_POINT('Ctrl Pts',(-13.32080158993,-7.732978984459,4.632074703719)); #484314=CARTESIAN_POINT('Ctrl Pts',(-13.23064602121,-7.699470123936,4.64057648377)); #484315=CARTESIAN_POINT('Ctrl Pts',(-13.15291980715,-7.642582395707,4.633820568931)); #484316=CARTESIAN_POINT('Ctrl Pts',(-13.09540840429,-7.568013392129,4.612483482305)); #484317=CARTESIAN_POINT('Ctrl Pts',(-13.06387186358,-7.48323222572,4.578702792914)); #484318=CARTESIAN_POINT('Ctrl Pts',(-13.06106499052,-7.382155778568,4.528643751659)); #484319=CARTESIAN_POINT('Ctrl Pts',(-13.08978779014,-7.317181378309,4.487878730958)); #484320=CARTESIAN_POINT('Ctrl Pts',(-13.11661038856,-7.285285106912,4.464288977596)); #484321=CARTESIAN_POINT('Ctrl Pts',(-13.52219160935,-7.72365097228,4.492403415941)); #484322=CARTESIAN_POINT('Ctrl Pts',(-13.48617612207,-7.746930924258,4.513970580961)); #484323=CARTESIAN_POINT('Ctrl Pts',(-13.41413403604,-7.770303533194,4.545616052515)); #484324=CARTESIAN_POINT('Ctrl Pts',(-13.30455298391,-7.762615460447,4.572321051427)); #484325=CARTESIAN_POINT('Ctrl Pts',(-13.21422320937,-7.729129063769,4.580879179892)); #484326=CARTESIAN_POINT('Ctrl Pts',(-13.1363884712,-7.672099048025,4.574289328182)); #484327=CARTESIAN_POINT('Ctrl Pts',(-13.07887025423,-7.597255678592,4.553213393893)); #484328=CARTESIAN_POINT('Ctrl Pts',(-13.04744783894,-7.512119814443,4.519769387958)); #484329=CARTESIAN_POINT('Ctrl Pts',(-13.04491405769,-7.410608844453,4.470164903747)); #484330=CARTESIAN_POINT('Ctrl Pts',(-13.07393322389,-7.345374464479,4.429752749974)); #484331=CARTESIAN_POINT('Ctrl Pts',(-13.10094659039,-7.313373325704,4.406369928506)); #484332=CARTESIAN_POINT('Origin',(-13.3502604939204,-7.47399464222276,4.47190672609066)); #484333=CARTESIAN_POINT('Ctrl Pts',(-13.54426129121,-7.682476208334,4.577296350954)); #484334=CARTESIAN_POINT('Ctrl Pts',(-13.50839130792,-7.705163788318,4.59901946895)); #484335=CARTESIAN_POINT('Ctrl Pts',(-13.43671940959,-7.727794222543,4.630874372661)); #484336=CARTESIAN_POINT('Ctrl Pts',(-13.3277225309,-7.719647850068,4.65766382341)); #484337=CARTESIAN_POINT('Ctrl Pts',(-13.23771594658,-7.686065484873,4.666122402689)); #484338=CARTESIAN_POINT('Ctrl Pts',(-13.16010268402,-7.629238333936,4.659237220584)); #484339=CARTESIAN_POINT('Ctrl Pts',(-13.10263873802,-7.554844650935,4.637696041727)); #484340=CARTESIAN_POINT('Ctrl Pts',(-13.07106594386,-7.470318665724,4.603651669495)); #484341=CARTESIAN_POINT('Ctrl Pts',(-13.06811686455,-7.369664408894,4.553277974249)); #484342=CARTESIAN_POINT('Ctrl Pts',(-13.0965883531,-7.304983668513,4.512289439643)); #484343=CARTESIAN_POINT('Ctrl Pts',(-13.12319897872,-7.273226258038,4.488579700202)); #484344=CARTESIAN_POINT('Ctrl Pts',(-13.50425002076,-7.756821508971,4.427180081645)); #484345=CARTESIAN_POINT('Ctrl Pts',(-13.46827099907,-7.780367247904,4.448401330944)); #484346=CARTESIAN_POINT('Ctrl Pts',(-13.39618925781,-7.804244828904,4.479656014836)); #484347=CARTESIAN_POINT('Ctrl Pts',(-13.28640884913,-7.797072074381,4.506207201561)); #484348=CARTESIAN_POINT('Ctrl Pts',(-13.19575576642,-7.763718761041,4.514869606493)); #484349=CARTESIAN_POINT('Ctrl Pts',(-13.1176833711,-7.706528943572,4.50856666507)); #484350=CARTESIAN_POINT('Ctrl Pts',(-13.06007792381,-7.631278835712,4.487935399923)); #484351=CARTESIAN_POINT('Ctrl Pts',(-13.02875786213,-7.545569117352,4.455061051684)); #484352=CARTESIAN_POINT('Ctrl Pts',(-13.02657475436,-7.44339604369,4.406263690782)); #484353=CARTESIAN_POINT('Ctrl Pts',(-13.05594882168,-7.377741528514,4.366497509833)); #484354=CARTESIAN_POINT('Ctrl Pts',(-13.08315696702,-7.345555459563,4.343504311956)); #484355=CARTESIAN_POINT('Ctrl Pts',(-13.45653950976,-7.842212439388,4.241624915636)); #484356=CARTESIAN_POINT('Ctrl Pts',(-13.42041927428,-7.866400367466,4.262335488746)); #484357=CARTESIAN_POINT('Ctrl Pts',(-13.34794172949,-7.89118518365,4.292938780173)); #484358=CARTESIAN_POINT('Ctrl Pts',(-13.2375136697,-7.884639701238,4.319131263357)); #484359=CARTESIAN_POINT('Ctrl Pts',(-13.14638237998,-7.851332967837,4.327876845354)); #484360=CARTESIAN_POINT('Ctrl Pts',(-13.06803849258,-7.793723320389,4.322031309608)); #484361=CARTESIAN_POINT('Ctrl Pts',(-13.01046579176,-7.717680839856,4.30219003795)); #484362=CARTESIAN_POINT('Ctrl Pts',(-12.97953070892,-7.630954652916,4.27037505981)); #484363=CARTESIAN_POINT('Ctrl Pts',(-12.97819474205,-7.527544419083,4.223035503848)); #484364=CARTESIAN_POINT('Ctrl Pts',(-13.00845335324,-7.461156318551,4.184412728438)); #484365=CARTESIAN_POINT('Ctrl Pts',(-13.03622178265,-7.428677599773,4.162087653367)); #484366=CARTESIAN_POINT('Ctrl Pts',(-13.39719104644,-7.943102901011,4.025917111856)); #484367=CARTESIAN_POINT('Ctrl Pts',(-13.3609616276,-7.967012195013,4.04683017634)); #484368=CARTESIAN_POINT('Ctrl Pts',(-13.28837152922,-7.991251162117,4.077747442196)); #484369=CARTESIAN_POINT('Ctrl Pts',(-13.17799309629,-7.983893664983,4.104237149284)); #484370=CARTESIAN_POINT('Ctrl Pts',(-13.08705337532,-7.949930140223,4.113111119464)); #484371=CARTESIAN_POINT('Ctrl Pts',(-13.00904144575,-7.891773941813,4.107253492474)); #484372=CARTESIAN_POINT('Ctrl Pts',(-12.95191657252,-7.815357939113,4.087261629384)); #484373=CARTESIAN_POINT('Ctrl Pts',(-12.92150633736,-7.728478541613,4.055175413364)); #484374=CARTESIAN_POINT('Ctrl Pts',(-12.92081480581,-7.625177044078,4.007415265625)); #484375=CARTESIAN_POINT('Ctrl Pts',(-12.95150343784,-7.559124849673,3.968442751807)); #484376=CARTESIAN_POINT('Ctrl Pts',(-12.97948703851,-7.526926751567,3.945916550562)); #484377=CARTESIAN_POINT('Ctrl Pts',(-13.3590540998,-8.006685579043,3.892759060725)); #484378=CARTESIAN_POINT('Ctrl Pts',(-13.32277811319,-8.03016101694,3.913994566899)); #484379=CARTESIAN_POINT('Ctrl Pts',(-13.25021537725,-8.053655919309,3.945370022005)); #484380=CARTESIAN_POINT('Ctrl Pts',(-13.14007631284,-8.045407215633,3.972216599294)); #484381=CARTESIAN_POINT('Ctrl Pts',(-13.04944454478,-8.010859839563,3.981173649924)); #484382=CARTESIAN_POINT('Ctrl Pts',(-12.97179849708,-7.952353995733,3.975169237054)); #484383=CARTESIAN_POINT('Ctrl Pts',(-12.91504855741,-7.875849480813,3.954814746644)); #484384=CARTESIAN_POINT('Ctrl Pts',(-12.88497560789,-7.789140345283,3.922183914094)); #484385=CARTESIAN_POINT('Ctrl Pts',(-12.88458848331,-7.686304467908,3.873634438151)); #484386=CARTESIAN_POINT('Ctrl Pts',(-12.91538685985,-7.620767369019,3.834026096485)); #484387=CARTESIAN_POINT('Ctrl Pts',(-12.94339087269,-7.588904604673,3.811131090209)); #484388=CARTESIAN_POINT('Ctrl Pts',(-13.32199541348,-8.070083958349,3.756449416969)); #484389=CARTESIAN_POINT('Ctrl Pts',(-13.28562595783,-8.093945841552,3.777445502895)); #484390=CARTESIAN_POINT('Ctrl Pts',(-13.21280554428,-8.117969160854,3.808542522333)); #484391=CARTESIAN_POINT('Ctrl Pts',(-13.10225440337,-8.110040022053,3.835296363944)); #484392=CARTESIAN_POINT('Ctrl Pts',(-13.01132685015,-8.075455472253,3.844371363714)); #484393=CARTESIAN_POINT('Ctrl Pts',(-12.93352669513,-8.016626390133,3.838664837174)); #484394=CARTESIAN_POINT('Ctrl Pts',(-12.87682648811,-7.939580762183,3.818761694984)); #484395=CARTESIAN_POINT('Ctrl Pts',(-12.84703603447,-7.852213578833,3.786702511964)); #484396=CARTESIAN_POINT('Ctrl Pts',(-12.84723803736,-7.748611523302,3.738916800998)); #484397=CARTESIAN_POINT('Ctrl Pts',(-12.87863581503,-7.682653430449,3.699897925883)); #484398=CARTESIAN_POINT('Ctrl Pts',(-12.90701548149,-7.650641121689,3.677348922418)); #484399=CARTESIAN_POINT('Ctrl Pts',(-13.28860732578,-8.129052343534,3.614062006551)); #484400=CARTESIAN_POINT('Ctrl Pts',(-13.25206326408,-8.154264319541,3.633912115918)); #484401=CARTESIAN_POINT('Ctrl Pts',(-13.17861975184,-8.180329580174,3.663468795868)); #484402=CARTESIAN_POINT('Ctrl Pts',(-13.06687882522,-8.174191915713,3.689197288314)); #484403=CARTESIAN_POINT('Ctrl Pts',(-12.97495487457,-8.140260785053,3.698227748054)); #484404=CARTESIAN_POINT('Ctrl Pts',(-12.89641429039,-8.081184399883,3.693302547854)); #484405=CARTESIAN_POINT('Ctrl Pts',(-12.83941715163,-8.003099987283,3.674933302124)); #484406=CARTESIAN_POINT('Ctrl Pts',(-12.80988461407,-7.914120115463,3.645029046964)); #484407=CARTESIAN_POINT('Ctrl Pts',(-12.81105354109,-7.808306002662,3.600275387691)); #484408=CARTESIAN_POINT('Ctrl Pts',(-12.84364688518,-7.740810778957,3.563662526368)); #484409=CARTESIAN_POINT('Ctrl Pts',(-12.87282528955,-7.708064760528,3.542514878)); #484410=CARTESIAN_POINT('Ctrl Pts',(-13.26382091089,-8.17130518791,3.507804943415)); #484411=CARTESIAN_POINT('Ctrl Pts',(-13.22717135582,-8.197103281218,3.527074237463)); #484412=CARTESIAN_POINT('Ctrl Pts',(-13.15341116349,-8.224040387837,3.555836593549)); #484413=CARTESIAN_POINT('Ctrl Pts',(-13.04111955152,-8.218623898583,3.581006906054)); #484414=CARTESIAN_POINT('Ctrl Pts',(-12.94876343232,-8.184907036863,3.589974129554)); #484415=CARTESIAN_POINT('Ctrl Pts',(-12.86993694439,-8.125641223263,3.585401406674)); #484416=CARTESIAN_POINT('Ctrl Pts',(-12.81288247521,-8.047022811753,3.567766638244)); #484417=CARTESIAN_POINT('Ctrl Pts',(-12.78356516269,-7.957272342913,3.538914001784)); #484418=CARTESIAN_POINT('Ctrl Pts',(-12.78530024647,-7.850445809707,3.495652789354)); #484419=CARTESIAN_POINT('Ctrl Pts',(-12.81852576024,-7.782284492608,3.460229441939)); #484420=CARTESIAN_POINT('Ctrl Pts',(-12.84811249203,-7.749238321022,3.439773771312)); #484421=CARTESIAN_POINT('Ctrl Pts',(-13.23893677832,-8.212599474803,3.402240759703)); #484422=CARTESIAN_POINT('Ctrl Pts',(-13.20220409461,-8.238661410073,3.421218263842)); #484423=CARTESIAN_POINT('Ctrl Pts',(-13.12824457899,-8.26596614454,3.449584720083)); #484424=CARTESIAN_POINT('Ctrl Pts',(-13.01566137696,-8.260785705583,3.474483186454)); #484425=CARTESIAN_POINT('Ctrl Pts',(-12.92310931964,-8.227060628923,3.483427356424)); #484426=CARTESIAN_POINT('Ctrl Pts',(-12.84419619484,-8.167589668233,3.479040536334)); #484427=CARTESIAN_POINT('Ctrl Pts',(-12.78720318849,-8.088613041343,3.461782821954)); #484428=CARTESIAN_POINT('Ctrl Pts',(-12.75811046797,-7.998418534163,3.433465414594)); #484429=CARTESIAN_POINT('Ctrl Pts',(-12.76028358612,-7.891067292895,3.390960793584)); #484430=CARTESIAN_POINT('Ctrl Pts',(-12.79393747348,-7.822610768113,3.356139237286)); #484431=CARTESIAN_POINT('Ctrl Pts',(-12.82378744238,-7.7894559264,3.33603384448)); #484432=CARTESIAN_POINT('Ctrl Pts',(-13.20491603051,-8.267467133816,3.263255818279)); #484433=CARTESIAN_POINT('Ctrl Pts',(-13.16811173999,-8.293395389466,3.282325678484)); #484434=CARTESIAN_POINT('Ctrl Pts',(-13.09407014615,-8.32042804524,3.310838544205)); #484435=CARTESIAN_POINT('Ctrl Pts',(-12.98149245085,-8.314823793493,3.335881210294)); #484436=CARTESIAN_POINT('Ctrl Pts',(-12.88903486239,-8.280744587663,3.344892633704)); #484437=CARTESIAN_POINT('Ctrl Pts',(-12.81030341874,-8.220968428873,3.340508976134)); #484438=CARTESIAN_POINT('Ctrl Pts',(-12.75356652494,-8.141772331163,3.323190337754)); #484439=CARTESIAN_POINT('Ctrl Pts',(-12.72478199325,-8.051473436903,3.294755671014)); #484440=CARTESIAN_POINT('Ctrl Pts',(-12.72734064321,-7.944155904131,3.252065343852)); #484441=CARTESIAN_POINT('Ctrl Pts',(-12.76125658943,-7.875867057061,3.217087908721)); #484442=CARTESIAN_POINT('Ctrl Pts',(-12.79123880041,-7.842858588805,3.196893097409)); #484443=CARTESIAN_POINT('Ctrl Pts',(-13.15813769163,-8.342056550906,3.075571022527)); #484444=CARTESIAN_POINT('Ctrl Pts',(-13.12124576015,-8.367759153483,3.094826645248)); #484445=CARTESIAN_POINT('Ctrl Pts',(-13.04711611687,-8.394353410181,3.12362583579)); #484446=CARTESIAN_POINT('Ctrl Pts',(-12.93458382789,-8.388107409221,3.148936068189)); #484447=CARTESIAN_POINT('Ctrl Pts',(-12.84228442969,-8.353517242458,3.158059609631)); #484448=CARTESIAN_POINT('Ctrl Pts',(-12.76382124614,-8.293325557158,3.153658391806)); #484449=CARTESIAN_POINT('Ctrl Pts',(-12.70744080677,-8.213857848738,3.136194704309)); #484450=CARTESIAN_POINT('Ctrl Pts',(-12.67906800423,-8.123466171559,3.107504127626)); #484451=CARTESIAN_POINT('Ctrl Pts',(-12.68212405452,-8.016268962276,3.064419634615)); #484452=CARTESIAN_POINT('Ctrl Pts',(-12.71636335374,-7.9482668825,3.029115408994)); #484453=CARTESIAN_POINT('Ctrl Pts',(-12.74650322996,-7.915489268732,3.008732518311)); #484454=CARTESIAN_POINT('Ctrl Pts',(-13.11869578345,-8.403742867367,2.923702049437)); #484455=CARTESIAN_POINT('Ctrl Pts',(-13.0817535311,-8.429138641472,2.943251601986)); #484456=CARTESIAN_POINT('Ctrl Pts',(-13.00761171101,-8.455182793004,2.972488207379)); #484457=CARTESIAN_POINT('Ctrl Pts',(-12.89522201676,-8.448226596088,2.998178783879)); #484458=CARTESIAN_POINT('Ctrl Pts',(-12.80313914925,-8.413132766461,3.00743544154)); #484459=CARTESIAN_POINT('Ctrl Pts',(-12.72496193491,-8.352594487239,3.002960743501)); #484460=CARTESIAN_POINT('Ctrl Pts',(-12.66890505195,-8.272972261034,2.985224630696)); #484461=CARTESIAN_POINT('Ctrl Pts',(-12.64085812368,-8.182632493448,2.956090979369)); #484462=CARTESIAN_POINT('Ctrl Pts',(-12.6442566651,-8.075728035455,2.912343642756)); #484463=CARTESIAN_POINT('Ctrl Pts',(-12.6786746823,-8.008112856923,2.876497243823)); #484464=CARTESIAN_POINT('Ctrl Pts',(-12.70888491221,-7.975605566159,2.855801177777)); #484465=CARTESIAN_POINT('Ctrl Pts',(-13.09809203406,-8.436717116368,2.838552128451)); #484466=CARTESIAN_POINT('Ctrl Pts',(-13.06108001785,-8.462394393496,2.857821013427)); #484467=CARTESIAN_POINT('Ctrl Pts',(-12.98675225677,-8.488843113964,2.886678107112)); #484468=CARTESIAN_POINT('Ctrl Pts',(-12.87406663491,-8.482182284946,2.912110590156)); #484469=CARTESIAN_POINT('Ctrl Pts',(-12.78176877915,-8.447131341259,2.921348373729)); #484470=CARTESIAN_POINT('Ctrl Pts',(-12.70347248103,-8.386434416215,2.917055608182)); #484471=CARTESIAN_POINT('Ctrl Pts',(-12.64743437702,-8.306491527993,2.899684719572)); #484472=CARTESIAN_POINT('Ctrl Pts',(-12.61956316829,-8.215732876861,2.871067713905)); #484473=CARTESIAN_POINT('Ctrl Pts',(-12.62334075502,-8.108315949738,2.828049503361)); #484474=CARTESIAN_POINT('Ctrl Pts',(-12.65814807543,-8.040398025382,2.792782550994)); #484475=CARTESIAN_POINT('Ctrl Pts',(-12.6886015942,-8.007771926973,2.772423836845)); #484476=CARTESIAN_POINT('Origin',(-12.925377992401,-8.18685907100621,2.83624853993835)); #484477=CARTESIAN_POINT('Ctrl Pts',(-13.11885262724,-8.402924990914,2.926562258116)); #484478=CARTESIAN_POINT('Ctrl Pts',(-13.08207077986,-8.428096654559,2.945809831576)); #484479=CARTESIAN_POINT('Ctrl Pts',(-13.00819905324,-8.454071525465,2.974691945098)); #484480=CARTESIAN_POINT('Ctrl Pts',(-12.89608223196,-8.447332297685,3.000170015106)); #484481=CARTESIAN_POINT('Ctrl Pts',(-12.80390694464,-8.412332272075,3.009401392353)); #484482=CARTESIAN_POINT('Ctrl Pts',(-12.72565124341,-8.351808760489,3.004986996754)); #484483=CARTESIAN_POINT('Ctrl Pts',(-12.66954614184,-8.272127002309,2.987390875826)); #484484=CARTESIAN_POINT('Ctrl Pts',(-12.6414921016,-8.181667945117,2.958464010289)); #484485=CARTESIAN_POINT('Ctrl Pts',(-12.6449304598,-8.074707686598,2.915065993576)); #484486=CARTESIAN_POINT('Ctrl Pts',(-12.67931106855,-8.007047674706,2.879525446046)); #484487=CARTESIAN_POINT('Ctrl Pts',(-12.70943700757,-7.974510216324,2.859020545227)); #484488=CARTESIAN_POINT('Ctrl Pts',(-13.1007816147,-8.431533500653,2.854526878643)); #484489=CARTESIAN_POINT('Ctrl Pts',(-13.06395897537,-8.456745404887,2.873723281567)); #484490=CARTESIAN_POINT('Ctrl Pts',(-12.99000823158,-8.482752352152,2.902543633165)); #484491=CARTESIAN_POINT('Ctrl Pts',(-12.87780481333,-8.475962513875,2.927995569486)); #484492=CARTESIAN_POINT('Ctrl Pts',(-12.78559400592,-8.440858215693,2.937245491464)); #484493=CARTESIAN_POINT('Ctrl Pts',(-12.70735921345,-8.380185758976,2.932887383304)); #484494=CARTESIAN_POINT('Ctrl Pts',(-12.65134092993,-8.300335021075,2.915379973371)); #484495=CARTESIAN_POINT('Ctrl Pts',(-12.62343884138,-8.209716608333,2.886567373041)); #484496=CARTESIAN_POINT('Ctrl Pts',(-12.62711656168,-8.102617071598,2.843323123429)); #484497=CARTESIAN_POINT('Ctrl Pts',(-12.66170057495,-8.034926516413,2.807901708709)); #484498=CARTESIAN_POINT('Ctrl Pts',(-12.69194344558,-8.002405649104,2.787466588726)); #484499=CARTESIAN_POINT('Ctrl Pts',(-13.07594425815,-8.471213107421,2.752621037323)); #484500=CARTESIAN_POINT('Ctrl Pts',(-13.03903952814,-8.496747819414,2.771527407001)); #484501=CARTESIAN_POINT('Ctrl Pts',(-12.96487208027,-8.523216862613,2.799962515428)); #484502=CARTESIAN_POINT('Ctrl Pts',(-12.85232505552,-8.516758096719,2.825169085248)); #484503=CARTESIAN_POINT('Ctrl Pts',(-12.75986497526,-8.4816927938,2.834423594802)); #484504=CARTESIAN_POINT('Ctrl Pts',(-12.68149393355,-8.420825463776,2.830280877142)); #484505=CARTESIAN_POINT('Ctrl Pts',(-12.62550126051,-8.340593462023,2.813179124101)); #484506=CARTESIAN_POINT('Ctrl Pts',(-12.59780868105,-8.249482767275,2.784928062432)); #484507=CARTESIAN_POINT('Ctrl Pts',(-12.60193393421,-8.141786545729,2.742467379354)); #484508=CARTESIAN_POINT('Ctrl Pts',(-12.6369754772,-8.073747645136,2.707665016976)); #484509=CARTESIAN_POINT('Ctrl Pts',(-12.66750377255,-8.041091904604,2.68759054838)); #484510=CARTESIAN_POINT('Ctrl Pts',(-13.0472690033,-8.5179699146,2.617545871035)); #484511=CARTESIAN_POINT('Ctrl Pts',(-13.0101876218,-8.544547098707,2.63526133826)); #484512=CARTESIAN_POINT('Ctrl Pts',(-12.93547625413,-8.572610841307,2.662008865892)); #484513=CARTESIAN_POINT('Ctrl Pts',(-12.82195449601,-8.567573094663,2.685913391604)); #484514=CARTESIAN_POINT('Ctrl Pts',(-12.72870439881,-8.533041801143,2.694879804164)); #484515=CARTESIAN_POINT('Ctrl Pts',(-12.64977615597,-8.472001411573,2.691301258794)); #484516=CARTESIAN_POINT('Ctrl Pts',(-12.59360367187,-8.390973700973,2.675559952894)); #484517=CARTESIAN_POINT('Ctrl Pts',(-12.56618853308,-8.298616819113,2.649338266644)); #484518=CARTESIAN_POINT('Ctrl Pts',(-12.57117083431,-8.189212821477,2.609806126081)); #484519=CARTESIAN_POINT('Ctrl Pts',(-12.60721425462,-8.119994748642,2.577357379718)); #484520=CARTESIAN_POINT('Ctrl Pts',(-12.63839640358,-8.086782457701,2.558647708363)); #484521=CARTESIAN_POINT('Ctrl Pts',(-13.02205966757,-8.557878745222,2.49868387023)); #484522=CARTESIAN_POINT('Ctrl Pts',(-12.98486360962,-8.584946951974,2.515763506522)); #484523=CARTESIAN_POINT('Ctrl Pts',(-12.90984563631,-8.613743983249,2.541604090821)); #484524=CARTESIAN_POINT('Ctrl Pts',(-12.79582514549,-8.609309167903,2.564796750844)); #484525=CARTESIAN_POINT('Ctrl Pts',(-12.70220058177,-8.574949241143,2.573591765424)); #484526=CARTESIAN_POINT('Ctrl Pts',(-12.6230457849,-8.513734437853,2.570295810414)); #484527=CARTESIAN_POINT('Ctrl Pts',(-12.56686416253,-8.432240120643,2.555262733354)); #484528=CARTESIAN_POINT('Ctrl Pts',(-12.53969040812,-8.339220911083,2.530107711364)); #484529=CARTESIAN_POINT('Ctrl Pts',(-12.54523439685,-8.228960903154,2.492121081386)); #484530=CARTESIAN_POINT('Ctrl Pts',(-12.58187242332,-8.159194909152,2.460916912259)); #484531=CARTESIAN_POINT('Ctrl Pts',(-12.61342935691,-8.125744503691,2.442928547173)); #484532=CARTESIAN_POINT('Ctrl Pts',(-12.99663110432,-8.596781133134,2.381341432648)); #484533=CARTESIAN_POINT('Ctrl Pts',(-12.95936901317,-8.623848630596,2.398373351237)); #484534=CARTESIAN_POINT('Ctrl Pts',(-12.88424572816,-8.652600136293,2.424154669908)); #484535=CARTESIAN_POINT('Ctrl Pts',(-12.77014388495,-8.648005959763,2.447318085544)); #484536=CARTESIAN_POINT('Ctrl Pts',(-12.67651644263,-8.613458295673,2.456125080494)); #484537=CARTESIAN_POINT('Ctrl Pts',(-12.5974392391,-8.552030092853,2.452875713904)); #484538=CARTESIAN_POINT('Ctrl Pts',(-12.54141838976,-8.470328289343,2.437919267354)); #484539=CARTESIAN_POINT('Ctrl Pts',(-12.51447910506,-8.377141285353,2.412864746774)); #484540=CARTESIAN_POINT('Ctrl Pts',(-12.52035740446,-8.266768170826,2.375014595541)); #484541=CARTESIAN_POINT('Ctrl Pts',(-12.55725560734,-8.197019711144,2.343916681452)); #484542=CARTESIAN_POINT('Ctrl Pts',(-12.58895493742,-8.163622047929,2.325990469687)); #484543=CARTESIAN_POINT('Ctrl Pts',(-12.96950593999,-8.637008007143,2.266381547711)); #484544=CARTESIAN_POINT('Ctrl Pts',(-12.93223036398,-8.663607982359,2.283940834875)); #484545=CARTESIAN_POINT('Ctrl Pts',(-12.85720081119,-8.691572955115,2.310495869799)); #484546=CARTESIAN_POINT('Ctrl Pts',(-12.74341914206,-8.686089442793,2.334308913024)); #484547=CARTESIAN_POINT('Ctrl Pts',(-12.65014290335,-8.651005505133,2.343319059854)); #484548=CARTESIAN_POINT('Ctrl Pts',(-12.57143133048,-8.589318152413,2.339897886644)); #484549=CARTESIAN_POINT('Ctrl Pts',(-12.51573120226,-8.507646501513,2.324412275604)); #484550=CARTESIAN_POINT('Ctrl Pts',(-12.48901908294,-8.414754924063,2.298524207664)); #484551=CARTESIAN_POINT('Ctrl Pts',(-12.49501677976,-8.304971072323,2.259443930793)); #484552=CARTESIAN_POINT('Ctrl Pts',(-12.53186039289,-8.235780262195,2.22734648576)); #484553=CARTESIAN_POINT('Ctrl Pts',(-12.56348376102,-8.202715866327,2.208842386797)); #484554=CARTESIAN_POINT('Ctrl Pts',(-12.93915424698,-8.682549074397,2.135962358762)); #484555=CARTESIAN_POINT('Ctrl Pts',(-12.90182368862,-8.708992892486,2.153658594365)); #484556=CARTESIAN_POINT('Ctrl Pts',(-12.82674390374,-8.736659024651,2.180423830125)); #484557=CARTESIAN_POINT('Ctrl Pts',(-12.71300582916,-8.730749294813,2.204431102008)); #484558=CARTESIAN_POINT('Ctrl Pts',(-12.61984524343,-8.695333280971,2.213520267426)); #484559=CARTESIAN_POINT('Ctrl Pts',(-12.54131833954,-8.633385055985,2.21008135875)); #484560=CARTESIAN_POINT('Ctrl Pts',(-12.48585483684,-8.551553851837,2.194483264116)); #484561=CARTESIAN_POINT('Ctrl Pts',(-12.45940786005,-8.458623994581,2.16840052973)); #484562=CARTESIAN_POINT('Ctrl Pts',(-12.46571592181,-8.348945501043,2.129022732281)); #484563=CARTESIAN_POINT('Ctrl Pts',(-12.50275232288,-8.279957076863,2.096679527224)); #484564=CARTESIAN_POINT('Ctrl Pts',(-12.53446591782,-8.247048721211,2.078033963481)); #484565=CARTESIAN_POINT('Ctrl Pts',(-12.91627227536,-8.717404208549,2.032836654463)); #484566=CARTESIAN_POINT('Ctrl Pts',(-12.87885334271,-8.744129668979,2.050171778526)); #484567=CARTESIAN_POINT('Ctrl Pts',(-12.80356165945,-8.772201342398,2.076427672719)); #484568=CARTESIAN_POINT('Ctrl Pts',(-12.68950945281,-8.766584883141,2.100046439181)); #484569=CARTESIAN_POINT('Ctrl Pts',(-12.59613252527,-8.731205785285,2.109054486369)); #484570=CARTESIAN_POINT('Ctrl Pts',(-12.51750102178,-8.669088602807,2.105792650693)); #484571=CARTESIAN_POINT('Ctrl Pts',(-12.46208520114,-8.58692389592,2.090612128113)); #484572=CARTESIAN_POINT('Ctrl Pts',(-12.43585375632,-8.493562382294,2.065148561372)); #484573=CARTESIAN_POINT('Ctrl Pts',(-12.44259342492,-8.383360541778,2.02666191985)); #484574=CARTESIAN_POINT('Ctrl Pts',(-12.48005536722,-8.314067222146,1.995033966918)); #484575=CARTESIAN_POINT('Ctrl Pts',(-12.51202976598,-8.281041441105,1.976803307805)); #484576=CARTESIAN_POINT('Ctrl Pts',(-12.90123508763,-8.740657729251,1.957675264613)); #484577=CARTESIAN_POINT('Ctrl Pts',(-12.86372644251,-8.767819924415,1.974422048133)); #484578=CARTESIAN_POINT('Ctrl Pts',(-12.78818175055,-8.796558233363,1.999828354709)); #484579=CARTESIAN_POINT('Ctrl Pts',(-12.6736991626,-8.791528125291,2.022759516868)); #484580=CARTESIAN_POINT('Ctrl Pts',(-12.5799855192,-8.756360146712,2.031578859766)); #484581=CARTESIAN_POINT('Ctrl Pts',(-12.50113040629,-8.694154566413,2.02854711511)); #484582=CARTESIAN_POINT('Ctrl Pts',(-12.44566476747,-8.611640322941,2.013992028777)); #484583=CARTESIAN_POINT('Ctrl Pts',(-12.41958835038,-8.517744145477,1.989488416188)); #484584=CARTESIAN_POINT('Ctrl Pts',(-12.42674223053,-8.40682101051,1.952403615645)); #484585=CARTESIAN_POINT('Ctrl Pts',(-12.46466524367,-8.337040774031,1.92190889521)); #484586=CARTESIAN_POINT('Ctrl Pts',(-12.49693483428,-8.303791084349,1.904334328933)); #484587=CARTESIAN_POINT('Origin',(-12.7267595698045,-8.49232541618426,1.96543555046716)); #484588=CARTESIAN_POINT('Ctrl Pts',(-12.91913216554,-8.712706288562,2.046542654281)); #484589=CARTESIAN_POINT('Ctrl Pts',(-12.88180981836,-8.739238410563,2.063802959965)); #484590=CARTESIAN_POINT('Ctrl Pts',(-12.80669793887,-8.767158642364,2.089980627282)); #484591=CARTESIAN_POINT('Ctrl Pts',(-12.69285531836,-8.761557364091,2.113557863455)); #484592=CARTESIAN_POINT('Ctrl Pts',(-12.59948576641,-8.726196035332,2.122558409732)); #484593=CARTESIAN_POINT('Ctrl Pts',(-12.52085032445,-8.664106497104,2.119284274092)); #484594=CARTESIAN_POINT('Ctrl Pts',(-12.46541758242,-8.581974669452,2.104087803597)); #484595=CARTESIAN_POINT('Ctrl Pts',(-12.43915653665,-8.488645603853,2.078605720358)); #484596=CARTESIAN_POINT('Ctrl Pts',(-12.44583805898,-8.37866575943,2.040162547051)); #484597=CARTESIAN_POINT('Ctrl Pts',(-12.48309023286,-8.309528079823,2.008604115562)); #484598=CARTESIAN_POINT('Ctrl Pts',(-12.5148353991,-8.276567005165,1.990431169442)); #484599=CARTESIAN_POINT('Ctrl Pts',(-12.90340022038,-8.737014797439,1.972061941314)); #484600=CARTESIAN_POINT('Ctrl Pts',(-12.86599349183,-8.763925401764,1.988831408234)); #484601=CARTESIAN_POINT('Ctrl Pts',(-12.79065246475,-8.792418443154,2.014304149318)); #484602=CARTESIAN_POINT('Ctrl Pts',(-12.67642984946,-8.787307671874,2.037319857708)); #484603=CARTESIAN_POINT('Ctrl Pts',(-12.58276867625,-8.752108140806,2.046176797617)); #484604=CARTESIAN_POINT('Ctrl Pts',(-12.50394767999,-8.689917109524,2.043110763489)); #484605=CARTESIAN_POINT('Ctrl Pts',(-12.4484883022,-8.60745736861,2.028453065381)); #484606=CARTESIAN_POINT('Ctrl Pts',(-12.42238556897,-8.513643612861,2.003788760724)); #484607=CARTESIAN_POINT('Ctrl Pts',(-12.42945963183,-8.403023182899,1.966532260448)); #484608=CARTESIAN_POINT('Ctrl Pts',(-12.46713699594,-8.333462742941,1.935930028395)); #484609=CARTESIAN_POINT('Ctrl Pts',(-12.4991518403,-8.300311313131,1.918310427364)); #484610=CARTESIAN_POINT('Ctrl Pts',(-12.87617404918,-8.778528511219,1.837526084139)); #484611=CARTESIAN_POINT('Ctrl Pts',(-12.83863783617,-8.805965315765,1.853542049586)); #484612=CARTESIAN_POINT('Ctrl Pts',(-12.76295599594,-8.835249273361,1.877923295818)); #484613=CARTESIAN_POINT('Ctrl Pts',(-12.64818312386,-8.830797193821,1.900050875838)); #484614=CARTESIAN_POINT('Ctrl Pts',(-12.55410999296,-8.795793100329,1.90866005601)); #484615=CARTESIAN_POINT('Ctrl Pts',(-12.47504129817,-8.733425936945,1.905884941751)); #484616=CARTESIAN_POINT('Ctrl Pts',(-12.41957383517,-8.650475778176,1.892027063083)); #484617=CARTESIAN_POINT('Ctrl Pts',(-12.39373755922,-8.555961281307,1.868593399496)); #484618=CARTESIAN_POINT('Ctrl Pts',(-12.40142635091,-8.444436043515,1.833132693967)); #484619=CARTESIAN_POINT('Ctrl Pts',(-12.43974981898,-8.374298737789,1.803981436405)); #484620=CARTESIAN_POINT('Ctrl Pts',(-12.47216943544,-8.340898416807,1.787200892612)); #484621=CARTESIAN_POINT('Ctrl Pts',(-12.83736286451,-8.835949404305,1.644455980574)); #484622=CARTESIAN_POINT('Ctrl Pts',(-12.79971322974,-8.863544544197,1.660176939032)); #484623=CARTESIAN_POINT('Ctrl Pts',(-12.72380652457,-8.893016402681,1.684139132893)); #484624=CARTESIAN_POINT('Ctrl Pts',(-12.60876841613,-8.888582044363,1.705941659134)); #484625=CARTESIAN_POINT('Ctrl Pts',(-12.5145621981,-8.853422256023,1.714476997794)); #484626=CARTESIAN_POINT('Ctrl Pts',(-12.43550410558,-8.790757976233,1.711839162954)); #484627=CARTESIAN_POINT('Ctrl Pts',(-12.38021820336,-8.707424106453,1.698315664054)); #484628=CARTESIAN_POINT('Ctrl Pts',(-12.3547345432,-8.612511021593,1.675381850224)); #484629=CARTESIAN_POINT('Ctrl Pts',(-12.36299892097,-8.500594697125,1.64064173663)); #484630=CARTESIAN_POINT('Ctrl Pts',(-12.40182153094,-8.430316842061,1.612069222468)); #484631=CARTESIAN_POINT('Ctrl Pts',(-12.43452913435,-8.39691143526,1.595623884931)); #484632=CARTESIAN_POINT('Ctrl Pts',(-12.80140748961,-8.888492387367,1.466168539248)); #484633=CARTESIAN_POINT('Ctrl Pts',(-12.76365732869,-8.916148006561,1.481721582538)); #484634=CARTESIAN_POINT('Ctrl Pts',(-12.68757482115,-8.945656027695,1.505449027853)); #484635=CARTESIAN_POINT('Ctrl Pts',(-12.57236779728,-8.941101566393,1.527076885254)); #484636=CARTESIAN_POINT('Ctrl Pts',(-12.47811034944,-8.905732103253,1.535580803824)); #484637=CARTESIAN_POINT('Ctrl Pts',(-12.39912408409,-8.842783534573,1.533031870584)); #484638=CARTESIAN_POINT('Ctrl Pts',(-12.34404470741,-8.759137289663,1.519708547564)); #484639=CARTESIAN_POINT('Ctrl Pts',(-12.31889332869,-8.663938423933,1.497067694814)); #484640=CARTESIAN_POINT('Ctrl Pts',(-12.32765597294,-8.551783948913,1.4627460424)); #484641=CARTESIAN_POINT('Ctrl Pts',(-12.36688301903,-8.481467879304,1.4345080647)); #484642=CARTESIAN_POINT('Ctrl Pts',(-12.3998163498,-8.448103288006,1.418256729354)); #484643=CARTESIAN_POINT('Ctrl Pts',(-12.76681883739,-8.93911439296,1.285968082394)); #484644=CARTESIAN_POINT('Ctrl Pts',(-12.72892697887,-8.96712171672,1.300887516249)); #484645=CARTESIAN_POINT('Ctrl Pts',(-12.65253273738,-8.997132424694,1.323687722559)); #484646=CARTESIAN_POINT('Ctrl Pts',(-12.53689691045,-8.992924303613,1.344542535234)); #484647=CARTESIAN_POINT('Ctrl Pts',(-12.44236441083,-8.957573343143,1.352810778844)); #484648=CARTESIAN_POINT('Ctrl Pts',(-12.36327290563,-8.894378521203,1.350478040484)); #484649=CARTESIAN_POINT('Ctrl Pts',(-12.30830768694,-8.810278604613,1.337800294794)); #484650=CARTESIAN_POINT('Ctrl Pts',(-12.28350384511,-8.714508723903,1.316169983994)); #484651=CARTESIAN_POINT('Ctrl Pts',(-12.29291245239,-8.601679218884,1.283333204116)); #484652=CARTESIAN_POINT('Ctrl Pts',(-12.33274827417,-8.530986221201,1.256299010598)); #484653=CARTESIAN_POINT('Ctrl Pts',(-12.36604639032,-8.497485609442,1.240743169443)); #484654=CARTESIAN_POINT('Ctrl Pts',(-12.73369280437,-8.987108153195,1.10490747315)); #484655=CARTESIAN_POINT('Ctrl Pts',(-12.69566652096,-9.015414917333,1.119222953972)); #484656=CARTESIAN_POINT('Ctrl Pts',(-12.61898551525,-9.045848698303,1.1411327285)); #484657=CARTESIAN_POINT('Ctrl Pts',(-12.50296801008,-9.041916783343,1.161232450554)); #484658=CARTESIAN_POINT('Ctrl Pts',(-12.40819975052,-9.006558837293,1.169257201764)); #484659=CARTESIAN_POINT('Ctrl Pts',(-12.32903165266,-8.943127952743,1.167111165304)); #484660=CARTESIAN_POINT('Ctrl Pts',(-12.27419110438,-8.858615796093,1.155030705964)); #484661=CARTESIAN_POINT('Ctrl Pts',(-12.2497228092,-8.762338749213,1.134347720124)); #484662=CARTESIAN_POINT('Ctrl Pts',(-12.25973670819,-8.64892088912,1.102910192612)); #484663=CARTESIAN_POINT('Ctrl Pts',(-12.30013237456,-8.577910306402,1.077013215851)); #484664=CARTESIAN_POINT('Ctrl Pts',(-12.33376296543,-8.544301502719,1.062113973141)); #484665=CARTESIAN_POINT('Ctrl Pts',(-12.70057886593,-9.034118290727,0.9248665155569)); #484666=CARTESIAN_POINT('Ctrl Pts',(-12.66246781061,-9.062383861095,0.9391431998942)); #484667=CARTESIAN_POINT('Ctrl Pts',(-12.58566319142,-9.092697289301,0.9610039311112)); #484668=CARTESIAN_POINT('Ctrl Pts',(-12.46957377613,-9.088505278963,0.9810774630637)); #484669=CARTESIAN_POINT('Ctrl Pts',(-12.37483450117,-9.052885170743,0.9891093826037)); #484670=CARTESIAN_POINT('Ctrl Pts',(-12.29579604642,-8.989187734663,0.9869983710337)); #484671=CARTESIAN_POINT('Ctrl Pts',(-12.24118230221,-8.904442723923,0.9749772089937)); #484672=CARTESIAN_POINT('Ctrl Pts',(-12.21702041314,-8.808003776423,0.9543728576837)); #484673=CARTESIAN_POINT('Ctrl Pts',(-12.22744816836,-8.694516173552,0.9230424881803)); #484674=CARTESIAN_POINT('Ctrl Pts',(-12.2681481206,-8.623582046238,0.8972290893215)); #484675=CARTESIAN_POINT('Ctrl Pts',(-12.30193883315,-8.590067123809,0.8823786389892)); #484676=CARTESIAN_POINT('Ctrl Pts',(-12.65618824217,-9.096724097849,0.6848410887934)); #484677=CARTESIAN_POINT('Ctrl Pts',(-12.61797272808,-9.124958973033,0.6990750269223)); #484678=CARTESIAN_POINT('Ctrl Pts',(-12.5410048739,-9.155151858344,0.7208875526723)); #484679=CARTESIAN_POINT('Ctrl Pts',(-12.42480010527,-9.150654643863,0.7409481861437)); #484680=CARTESIAN_POINT('Ctrl Pts',(-12.33007341715,-9.114707106393,0.7490043206237)); #484681=CARTESIAN_POINT('Ctrl Pts',(-12.2511790263,-9.050661748483,0.7469483835637)); #484682=CARTESIAN_POINT('Ctrl Pts',(-12.19684238258,-8.965600852953,0.7350075460837)); #484683=CARTESIAN_POINT('Ctrl Pts',(-12.17307208616,-8.868931693273,0.7145025838737)); #484684=CARTESIAN_POINT('Ctrl Pts',(-12.18404484597,-8.75533024698,0.683302682994)); #484685=CARTESIAN_POINT('Ctrl Pts',(-12.2251573822,-8.684485451766,0.6575889374405)); #484686=CARTESIAN_POINT('Ctrl Pts',(-12.25916865654,-8.651091783441,0.6427969994983)); #484687=CARTESIAN_POINT('Ctrl Pts',(-12.61858208646,-9.149600526328,0.4728354638145)); #484688=CARTESIAN_POINT('Ctrl Pts',(-12.58022328936,-9.178108722599,0.4864763221968)); #484689=CARTESIAN_POINT('Ctrl Pts',(-12.50295996994,-9.20867726524,0.507412274508)); #484690=CARTESIAN_POINT('Ctrl Pts',(-12.38637872559,-9.204393958603,0.5267253031237)); #484691=CARTESIAN_POINT('Ctrl Pts',(-12.29143283087,-9.168394724323,0.5345358983937)); #484692=CARTESIAN_POINT('Ctrl Pts',(-12.21248893659,-9.104082865143,0.5326565120737)); #484693=CARTESIAN_POINT('Ctrl Pts',(-12.15831160778,-9.018597550183,0.5212956301737)); #484694=CARTESIAN_POINT('Ctrl Pts',(-12.13491491651,-8.921429404363,0.5017148608237)); #484695=CARTESIAN_POINT('Ctrl Pts',(-12.14653561427,-8.807272655396,0.4718825470502)); #484696=CARTESIAN_POINT('Ctrl Pts',(-12.18823183276,-8.736152181708,0.4472812510539)); #484697=CARTESIAN_POINT('Ctrl Pts',(-12.2225850604,-8.702679371435,0.4331314635155)); #484698=CARTESIAN_POINT('Ctrl Pts',(-12.58851405426,-9.19166656945,0.2893466896382)); #484699=CARTESIAN_POINT('Ctrl Pts',(-12.55001810123,-9.220504931306,0.3022313639869)); #484700=CARTESIAN_POINT('Ctrl Pts',(-12.47245567716,-9.251553473656,0.3220359646972)); #484701=CARTESIAN_POINT('Ctrl Pts',(-12.35546397074,-9.247621018303,0.3403581784937)); #484702=CARTESIAN_POINT('Ctrl Pts',(-12.26025355662,-9.211668065573,0.3478171519737)); #484703=CARTESIAN_POINT('Ctrl Pts',(-12.18120445165,-9.147156918643,0.3461237578237)); #484704=CARTESIAN_POINT('Ctrl Pts',(-12.12712689299,-9.061276628553,0.3354665359937)); #484705=CARTESIAN_POINT('Ctrl Pts',(-12.1040470822,-8.963598658753,0.3170334186237)); #484706=CARTESIAN_POINT('Ctrl Pts',(-12.11625993663,-8.848829572546,0.2889146171702)); #484707=CARTESIAN_POINT('Ctrl Pts',(-12.15851398322,-8.777359830145,0.2657131054321)); #484708=CARTESIAN_POINT('Ctrl Pts',(-12.19320030154,-8.743757416592,0.2523704216136)); #484709=CARTESIAN_POINT('Ctrl Pts',(-12.5675318252,-9.221042539287,0.1552699399509)); #484710=CARTESIAN_POINT('Ctrl Pts',(-12.52893139144,-9.250185576725,0.1674650676874)); #484711=CARTESIAN_POINT('Ctrl Pts',(-12.4511255468,-9.281689062349,0.1862343556505)); #484712=CARTESIAN_POINT('Ctrl Pts',(-12.33377660679,-9.278122187265,0.2036430335579)); #484713=CARTESIAN_POINT('Ctrl Pts',(-12.23831906013,-9.242261013583,0.2107710884676)); #484714=CARTESIAN_POINT('Ctrl Pts',(-12.15914597156,-9.177621540604,0.2092368724158)); #484715=CARTESIAN_POINT('Ctrl Pts',(-12.10511050538,-9.091430869578,0.1992117731482)); #484716=CARTESIAN_POINT('Ctrl Pts',(-12.08225404085,-8.993326725317,0.181817009807)); #484717=CARTESIAN_POINT('Ctrl Pts',(-12.0949187429,-8.878023956322,0.1552527109298)); #484718=CARTESIAN_POINT('Ctrl Pts',(-12.1376169041,-8.806230561072,0.1333227007822)); #484719=CARTESIAN_POINT('Ctrl Pts',(-12.17257288811,-8.772497692977,0.1207129086308)); #484720=CARTESIAN_POINT('Ctrl Pts',(-12.55183159835,-9.242607173591,0.05232484712181)); #484721=CARTESIAN_POINT('Ctrl Pts',(-12.51318324975,-9.271792484788,0.06436649397652)); #484722=CARTESIAN_POINT('Ctrl Pts',(-12.43528924251,-9.303339188161,0.08290673465485)); #484723=CARTESIAN_POINT('Ctrl Pts',(-12.31784667018,-9.299751201952,0.1001158500076)); #484724=CARTESIAN_POINT('Ctrl Pts',(-12.22235055494,-9.263817482882,0.1071740574847)); #484725=CARTESIAN_POINT('Ctrl Pts',(-12.14319678961,-9.199063514414,0.1056790398523)); #484726=CARTESIAN_POINT('Ctrl Pts',(-12.0892459083,-9.112736994545,0.09579795662516)); #484727=CARTESIAN_POINT('Ctrl Pts',(-12.06653630397,-9.014501257066,0.07863700120099)); #484728=CARTESIAN_POINT('Ctrl Pts',(-12.07942848503,-8.899081195391,0.05242116837656)); #484729=CARTESIAN_POINT('Ctrl Pts',(-12.12231515997,-8.827260099649,0.03077557970864)); #484730=CARTESIAN_POINT('Ctrl Pts',(-12.15737684759,-8.793539536349,0.01832981955447)); #484731=CARTESIAN_POINT('Ctrl Pts',(-12.54051520135,-9.257966721846,-0.01954258480073)); #484732=CARTESIAN_POINT('Ctrl Pts',(-12.50184405912,-9.287102068076,-0.007435809097831)); #484733=CARTESIAN_POINT('Ctrl Pts',(-12.42392634277,-9.318550543327,0.01120589847478)); #484734=CARTESIAN_POINT('Ctrl Pts',(-12.30649290523,-9.314818134657,0.02851133576333)); #484735=CARTESIAN_POINT('Ctrl Pts',(-12.21103483967,-9.278769422422,0.03561102251014)); #484736=CARTESIAN_POINT('Ctrl Pts',(-12.13194660309,-9.213923325698,0.03411123587061)); #484737=CARTESIAN_POINT('Ctrl Pts',(-12.07808268597,-9.127538920835,0.0241796972941)); #484738=CARTESIAN_POINT('Ctrl Pts',(-12.05547262652,-9.029287432329,0.006928431222755)); #484739=CARTESIAN_POINT('Ctrl Pts',(-12.06848279563,-8.913902000915,-0.01942679523902)); #484740=CARTESIAN_POINT('Ctrl Pts',(-12.1114436107,-8.842150937453,-0.04118801816776)); #484741=CARTESIAN_POINT('Ctrl Pts',(-12.14654002685,-8.808484806891,-0.0537001842001)); #484742=CARTESIAN_POINT('Origin',(0.,0.,0.)); #484743=CARTESIAN_POINT('Origin',(-20.,-20.,27.908560524)); #484744=CARTESIAN_POINT('',(-17.75,-20.,27.908560524)); #484745=CARTESIAN_POINT('Origin',(-20.,20.,27.908560524)); #484746=CARTESIAN_POINT('',(-17.75,20.,27.908560524)); #484747=CARTESIAN_POINT('Origin',(20.,20.,27.908560524)); #484748=CARTESIAN_POINT('',(22.25,20.,27.908560524)); #484749=CARTESIAN_POINT('Origin',(20.,-20.,27.908560524)); #484750=CARTESIAN_POINT('',(22.25,-20.,27.908560524)); #484751=CARTESIAN_POINT('Origin',(22.65165042945,-17.34834957055,28.48424550476)); #484752=CARTESIAN_POINT('',(22.12132034356,-17.87867965644,4.004245504764)); #484753=CARTESIAN_POINT('Origin',(20.89628473095,-16.65364404383,16.00424550476)); #484754=CARTESIAN_POINT('',(20.38330271131,-16.14066202419,23.00424550476)); #484755=CARTESIAN_POINT('',(20.38330271131,-16.14066202419,22.00424550476)); #484756=CARTESIAN_POINT('',(20.38330271131,-16.14066202419,23.00424550476)); #484757=CARTESIAN_POINT('',(19.67124911834,-15.42860843122,22.00424550476)); #484758=CARTESIAN_POINT('',(20.38330271131,-16.14066202419,22.00424550476)); #484759=CARTESIAN_POINT('',(19.67124911834,-15.42860843122,16.50424550476)); #484760=CARTESIAN_POINT('',(19.67124911834,-15.42860843122,22.00424550476)); #484761=CARTESIAN_POINT('',(20.38330271131,-16.14066202419,16.50424550476)); #484762=CARTESIAN_POINT('',(19.67124911834,-15.42860843122,16.50424550476)); #484763=CARTESIAN_POINT('',(20.38330271131,-16.14066202419,15.50424550476)); #484764=CARTESIAN_POINT('',(20.38330271131,-16.14066202419,16.50424550476)); #484765=CARTESIAN_POINT('',(19.67124911834,-15.42860843122,15.50424550476)); #484766=CARTESIAN_POINT('',(20.38330271131,-16.14066202419,15.50424550476)); #484767=CARTESIAN_POINT('',(19.67124911834,-15.42860843122,7.004245504761)); #484768=CARTESIAN_POINT('',(19.67124911834,-15.42860843122,15.50424550476)); #484769=CARTESIAN_POINT('Ctrl Pts',(19.6712491183442,-15.4286084312233, 7.0042455047628)); #484770=CARTESIAN_POINT('Ctrl Pts',(19.7503897830888,-15.507749095969,6.67090637769148)); #484771=CARTESIAN_POINT('Ctrl Pts',(19.8295223480117,-15.5868815810823, 6.33756905197693)); #484772=CARTESIAN_POINT('Ctrl Pts',(19.9086470327243,-15.6660062284572, 6.00423365994074)); #484773=CARTESIAN_POINT('Ctrl Pts',(19.9877717174369,-15.7451308758321, 5.67089826790445)); #484774=CARTESIAN_POINT('Ctrl Pts',(20.0668885220848,-15.8242476850661, 5.33756480950189)); #484775=CARTESIAN_POINT('Ctrl Pts',(20.1459977090581,-15.9033569107624, 5.00423341047609)); #484776=CARTESIAN_POINT('Ctrl Pts',(20.2251068960313,-15.9824661364587, 4.6709020114504)); #484777=CARTESIAN_POINT('Ctrl Pts',(20.3042084654284,-16.0615677783088, 4.33757267176334)); #484778=CARTESIAN_POINT('Ctrl Pts',(20.3833027113093,-16.1406620241895, 4.00424550476372)); #484779=CARTESIAN_POINT('',(19.67124911834,-15.42860843122,23.00424550476)); #484780=CARTESIAN_POINT('',(19.67124911834,-15.42860843122,28.00424550476)); #484781=CARTESIAN_POINT('',(19.67124911834,-15.42860843122,23.00424550476)); #484782=CARTESIAN_POINT('Origin',(0.,0.,23.09993048552)); #484783=CARTESIAN_POINT('',(16.14066202419,-20.38330271131,22.00424550476)); #484784=CARTESIAN_POINT('',(16.14066202419,-20.38330271131,23.00424550476)); #484785=CARTESIAN_POINT('',(16.14066202419,-20.38330271131,22.00424550476)); #484786=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #484787=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #484788=CARTESIAN_POINT('Origin',(16.65364404383,-20.89628473095,16.00424550476)); #484789=CARTESIAN_POINT('',(15.42860843123,-19.67124911834,16.50424550476)); #484790=CARTESIAN_POINT('',(15.42860843123,-19.67124911834,22.00424550476)); #484791=CARTESIAN_POINT('',(15.42860843123,-19.67124911834,16.50424550476)); #484792=CARTESIAN_POINT('',(15.42860843123,-19.67124911834,22.00424550476)); #484793=CARTESIAN_POINT('',(15.42860843123,-19.67124911834,23.00424550476)); #484794=CARTESIAN_POINT('',(16.14066202419,-20.38330271131,23.00424550476)); #484795=CARTESIAN_POINT('',(15.42860843123,-19.67124911834,23.00424550476)); #484796=CARTESIAN_POINT('',(17.87867965644,-22.12132034356,28.00424550476)); #484797=CARTESIAN_POINT('',(15.42860843123,-19.67124911834,7.004245504761)); #484798=CARTESIAN_POINT('Ctrl Pts',(16.1406620241895,-20.3833027113093, 4.00424550476372)); #484799=CARTESIAN_POINT('Ctrl Pts',(16.0615677783084,-20.304208465428,4.33757267176514)); #484800=CARTESIAN_POINT('Ctrl Pts',(15.9824661364581,-20.2251068960306, 4.6709020114531)); #484801=CARTESIAN_POINT('Ctrl Pts',(15.9033569107618,-20.1459977090574, 5.00423341047894)); #484802=CARTESIAN_POINT('Ctrl Pts',(15.8242476850654,-20.0668885220841, 5.33756480950489)); #484803=CARTESIAN_POINT('Ctrl Pts',(15.7451308758315,-19.9877717174363, 5.67089826790685)); #484804=CARTESIAN_POINT('Ctrl Pts',(15.6660062284567,-19.9086470327237, 6.00423365994284)); #484805=CARTESIAN_POINT('Ctrl Pts',(15.5868815810819,-19.8295223480112, 6.33756905197873)); #484806=CARTESIAN_POINT('Ctrl Pts',(15.5077490959687,-19.7503897830883, 6.67090637769328)); #484807=CARTESIAN_POINT('Ctrl Pts',(15.4286084312299,-19.6712491183399, 7.00424550476095)); #484808=CARTESIAN_POINT('',(15.42860843123,-19.67124911834,15.50424550476)); #484809=CARTESIAN_POINT('',(15.42860843123,-19.67124911834,7.004245504761)); #484810=CARTESIAN_POINT('',(16.14066202419,-20.38330271131,15.50424550476)); #484811=CARTESIAN_POINT('',(15.42860843123,-19.67124911834,15.50424550476)); #484812=CARTESIAN_POINT('',(16.14066202419,-20.38330271131,16.50424550476)); #484813=CARTESIAN_POINT('',(16.14066202419,-20.38330271131,15.50424550476)); #484814=CARTESIAN_POINT('',(16.14066202419,-20.38330271131,16.50424550476)); #484815=CARTESIAN_POINT('Origin',(0.,0.,22.11424550476)); #484816=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #484817=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #484818=CARTESIAN_POINT('Origin',(17.90595557127,-17.90595557127,22.00424550476)); #484819=CARTESIAN_POINT('Origin',(17.90595557127,-17.90595557127,16.50424550476)); #484820=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #484821=CARTESIAN_POINT('Origin',(0.,0.,16.59993048552)); #484822=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #484823=CARTESIAN_POINT('Origin',(17.90595557127,-17.90595557127,15.50424550476)); #484824=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #484825=CARTESIAN_POINT('Origin',(0.,0.,15.67424550476)); #484826=CARTESIAN_POINT('Origin',(0.,0.,7.004245504761)); #484827=CARTESIAN_POINT('Origin',(0.,0.,5.504245504762)); #484828=CARTESIAN_POINT('Ctrl Pts',(19.6551091023616,-17.0198908965063, 4.00424550737523)); #484829=CARTESIAN_POINT('Ctrl Pts',(19.5282896141431,-17.034567838058,4.26303599969183)); #484830=CARTESIAN_POINT('Ctrl Pts',(19.3966025919612,-17.05779649648,4.51462032057768)); #484831=CARTESIAN_POINT('Ctrl Pts',(19.0247247971414,-17.1528088887567, 5.15975954140712)); #484832=CARTESIAN_POINT('Ctrl Pts',(18.7207180780395,-17.260329511637,5.62194827132514)); #484833=CARTESIAN_POINT('Ctrl Pts',(18.3227666890047,-17.5109173102506, 5.97049649740332)); #484834=CARTESIAN_POINT('Ctrl Pts',(18.2332955648218,-17.5726458529351, 6.03621949773692)); #484835=CARTESIAN_POINT('Ctrl Pts',(18.0522082088496,-17.7153993738746, 6.12710273666098)); #484836=CARTESIAN_POINT('Ctrl Pts',(17.9605105735708,-17.7968487393099, 6.15143176237601)); #484837=CARTESIAN_POINT('Ctrl Pts',(17.7968487393099,-17.9605105735708, 6.15143176237601)); #484838=CARTESIAN_POINT('Ctrl Pts',(17.7153993738746,-18.0522082088496, 6.12710273666099)); #484839=CARTESIAN_POINT('Ctrl Pts',(17.5726458529351,-18.2332955648218, 6.03621949773693)); #484840=CARTESIAN_POINT('Ctrl Pts',(17.5109173102506,-18.3227666890047, 5.97049649740332)); #484841=CARTESIAN_POINT('Ctrl Pts',(17.260329511637,-18.7207180780395,5.62194827132514)); #484842=CARTESIAN_POINT('Ctrl Pts',(17.1528088887567,-19.0247247971414, 5.15975954140712)); #484843=CARTESIAN_POINT('Ctrl Pts',(17.0577964963603,-19.3966025924296, 4.51462031976516)); #484844=CARTESIAN_POINT('Ctrl Pts',(17.0345678379001,-19.5282896150303, 4.26303599800014)); #484845=CARTESIAN_POINT('Ctrl Pts',(17.0198908963582,-19.6551091036414, 4.00424550476377)); #484846=CARTESIAN_POINT('Origin',(20.,-20.,6.246431090179)); #484847=CARTESIAN_POINT('Origin',(17.34834957055,-22.65165042945,28.48424550476)); #484848=CARTESIAN_POINT('',(16.81801948466,-23.18198051534,4.004245504764)); #484849=CARTESIAN_POINT('Origin',(15.5124861158,-21.87644714648,16.00424550476)); #484850=CARTESIAN_POINT('',(14.92533844689,-21.28929947757,23.00424550476)); #484851=CARTESIAN_POINT('',(14.92533844689,-21.28929947757,22.00424550476)); #484852=CARTESIAN_POINT('',(14.92533844689,-21.28929947757,23.00424550476)); #484853=CARTESIAN_POINT('',(14.20695274694,-20.57091377762,22.00424550476)); #484854=CARTESIAN_POINT('',(14.92533844689,-21.28929947757,22.00424550476)); #484855=CARTESIAN_POINT('',(14.20695274694,-20.57091377762,16.50424550476)); #484856=CARTESIAN_POINT('',(14.20695274694,-20.57091377762,22.00424550476)); #484857=CARTESIAN_POINT('',(14.92533844689,-21.28929947757,16.50424550476)); #484858=CARTESIAN_POINT('',(14.20695274694,-20.57091377762,16.50424550476)); #484859=CARTESIAN_POINT('',(14.92533844689,-21.28929947757,15.50424550476)); #484860=CARTESIAN_POINT('',(14.92533844689,-21.28929947757,16.50424550476)); #484861=CARTESIAN_POINT('',(14.20695274694,-20.57091377762,15.50424550476)); #484862=CARTESIAN_POINT('',(14.92533844689,-21.28929947757,15.50424550476)); #484863=CARTESIAN_POINT('',(14.2069527464359,-20.5709137781196,7.00424550476006)); #484864=CARTESIAN_POINT('',(14.20695274694,-20.57091377762,15.50424550476)); #484865=CARTESIAN_POINT('Origin',(-5497.4483303276,5550.62868627241,-2648.58926805294)); #484866=CARTESIAN_POINT('',(14.20695274694,-20.57091377762,23.00424550476)); #484867=CARTESIAN_POINT('',(14.20695274694,-20.57091377762,28.00424550476)); #484868=CARTESIAN_POINT('',(14.20695274694,-20.57091377762,23.00424550476)); #484869=CARTESIAN_POINT('Origin',(0.,0.,23.09993048552)); #484870=CARTESIAN_POINT('',(10.,-24.,23.00424550476)); #484871=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #484872=CARTESIAN_POINT('',(10.,-24.,22.00424550476)); #484873=CARTESIAN_POINT('',(10.,-24.,22.00424550476)); #484874=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #484875=CARTESIAN_POINT('Origin',(12.46266922345,-22.28545688881,22.00424550476)); #484876=CARTESIAN_POINT('',(10.,-22.91287847478,22.00424550476)); #484877=CARTESIAN_POINT('',(10.,-24.,22.00424550476)); #484878=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #484879=CARTESIAN_POINT('Origin',(0.,0.,22.11424550476)); #484880=CARTESIAN_POINT('',(10.,-22.91287847478,16.50424550476)); #484881=CARTESIAN_POINT('',(10.,-22.91287847478,16.50424550476)); #484882=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #484883=CARTESIAN_POINT('Origin',(12.46266922345,-22.28545688881,16.50424550476)); #484884=CARTESIAN_POINT('',(10.,-24.,16.50424550476)); #484885=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #484886=CARTESIAN_POINT('',(10.,-22.91287847478,16.50424550476)); #484887=CARTESIAN_POINT('Origin',(0.,0.,16.59993048552)); #484888=CARTESIAN_POINT('',(10.,-24.,15.50424550476)); #484889=CARTESIAN_POINT('',(10.,-24.,15.50424550476)); #484890=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #484891=CARTESIAN_POINT('Origin',(12.46266922345,-22.28545688881,15.50424550476)); #484892=CARTESIAN_POINT('',(10.,-22.91287847478,15.50424550476)); #484893=CARTESIAN_POINT('',(10.,-24.,15.50424550476)); #484894=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #484895=CARTESIAN_POINT('Origin',(0.,0.,15.67424550476)); #484896=CARTESIAN_POINT('',(9.999999999999,-22.91287847478,7.004245504761)); #484897=CARTESIAN_POINT('',(10.,-22.91287847478,7.004245504761)); #484898=CARTESIAN_POINT('Origin',(0.,0.,7.004245504761)); #484899=CARTESIAN_POINT('Origin',(0.,0.,5.504245504762)); #484900=CARTESIAN_POINT('Ctrl Pts',(10.,-23.9999999999996,4.00424550476385)); #484901=CARTESIAN_POINT('Ctrl Pts',(10.,-23.4457811832207,5.53900530507399)); #484902=CARTESIAN_POINT('Ctrl Pts',(10.,-22.9128784747794,7.00424550476078)); #484903=CARTESIAN_POINT('Origin',(10.,-23.90643923739,16.00424550476)); #484904=CARTESIAN_POINT('',(10.,-24.9,28.00424550476)); #484905=CARTESIAN_POINT('',(10.,-22.91287847478,23.00424550476)); #484906=CARTESIAN_POINT('',(10.,-22.91287847478,23.00424550476)); #484907=CARTESIAN_POINT('',(10.,-22.91287847478,23.00424550476)); #484908=CARTESIAN_POINT('Origin',(0.,-24.9,16.00424550476)); #484909=CARTESIAN_POINT('',(-10.,-24.9,28.00424550476)); #484910=CARTESIAN_POINT('Origin',(-10.,-23.90643923739,16.00424550476)); #484911=CARTESIAN_POINT('',(-10.,-22.91287847478,23.00424550476)); #484912=CARTESIAN_POINT('',(-10.,-22.91287847478,28.00424550476)); #484913=CARTESIAN_POINT('',(-10.,-24.,23.00424550476)); #484914=CARTESIAN_POINT('',(-10.,-22.91287847478,23.00424550476)); #484915=CARTESIAN_POINT('',(-10.,-24.,22.00424550476)); #484916=CARTESIAN_POINT('',(-10.,-24.,23.00424550476)); #484917=CARTESIAN_POINT('',(-10.,-22.91287847478,22.00424550476)); #484918=CARTESIAN_POINT('',(-10.,-24.,22.00424550476)); #484919=CARTESIAN_POINT('',(-10.,-22.91287847478,16.50424550476)); #484920=CARTESIAN_POINT('',(-10.,-22.91287847478,22.00424550476)); #484921=CARTESIAN_POINT('',(-10.,-24.,16.50424550476)); #484922=CARTESIAN_POINT('',(-10.,-24.,16.50424550476)); #484923=CARTESIAN_POINT('',(-10.,-24.,15.50424550476)); #484924=CARTESIAN_POINT('',(-10.,-24.,16.50424550476)); #484925=CARTESIAN_POINT('',(-10.,-22.91287847478,15.50424550476)); #484926=CARTESIAN_POINT('',(-10.,-22.91287847478,15.50424550476)); #484927=CARTESIAN_POINT('',(-10.,-22.91287847478,7.004245504761)); #484928=CARTESIAN_POINT('',(-10.,-22.91287847478,15.50424550476)); #484929=CARTESIAN_POINT('Ctrl Pts',(-10.,-22.9128784747794,7.00424550476078)); #484930=CARTESIAN_POINT('Ctrl Pts',(-10.,-23.4457811832207,5.53900530507398)); #484931=CARTESIAN_POINT('Ctrl Pts',(-10.,-23.9999999999996,4.00424550476386)); #484932=CARTESIAN_POINT('Origin',(0.,0.,5.504245504762)); #484933=CARTESIAN_POINT('',(-14.2069527463756,-20.570913778178,7.00424550475877)); #484934=CARTESIAN_POINT('Origin',(5497.44833032251,5550.6286861456,-2648.58926806195)); #484935=CARTESIAN_POINT('Origin',(0.,0.,7.004245504761)); #484936=CARTESIAN_POINT('Origin',(-15.5124861158,-21.87644714648,16.00424550476)); #484937=CARTESIAN_POINT('',(-14.20695274694,-20.57091377762,16.50424550476)); #484938=CARTESIAN_POINT('',(-14.20695274694,-20.57091377762,22.00424550476)); #484939=CARTESIAN_POINT('',(-14.20695274694,-20.57091377762,16.50424550476)); #484940=CARTESIAN_POINT('',(-14.92533844689,-21.28929947757,22.00424550476)); #484941=CARTESIAN_POINT('',(-14.20695274694,-20.57091377762,22.00424550476)); #484942=CARTESIAN_POINT('',(-14.92533844689,-21.28929947757,23.00424550476)); #484943=CARTESIAN_POINT('',(-14.92533844689,-21.28929947757,22.00424550476)); #484944=CARTESIAN_POINT('',(-14.20695274694,-20.57091377762,23.00424550476)); #484945=CARTESIAN_POINT('',(-14.92533844689,-21.28929947757,23.00424550476)); #484946=CARTESIAN_POINT('',(-14.20695274694,-20.57091377762,23.00424550476)); #484947=CARTESIAN_POINT('',(-16.81801948465,-23.18198051534,28.00424550476)); #484948=CARTESIAN_POINT('',(-14.20695274694,-20.57091377762,15.50424550476)); #484949=CARTESIAN_POINT('',(-14.20695274694,-20.57091377762,7.00424550476)); #484950=CARTESIAN_POINT('',(-14.92533844689,-21.28929947757,15.50424550476)); #484951=CARTESIAN_POINT('',(-14.20695274694,-20.57091377762,15.50424550476)); #484952=CARTESIAN_POINT('',(-14.92533844689,-21.28929947757,16.50424550476)); #484953=CARTESIAN_POINT('',(-14.92533844689,-21.28929947757,15.50424550476)); #484954=CARTESIAN_POINT('',(-14.92533844689,-21.28929947757,16.50424550476)); #484955=CARTESIAN_POINT('Origin',(0.,0.,22.11424550476)); #484956=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #484957=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #484958=CARTESIAN_POINT('Origin',(-12.46266922345,-22.28545688881,22.00424550476)); #484959=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #484960=CARTESIAN_POINT('Origin',(0.,0.,23.09993048552)); #484961=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #484962=CARTESIAN_POINT('Origin',(-12.46266922345,-22.28545688881,23.00424550476)); #484963=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #484964=CARTESIAN_POINT('Origin',(0.,0.,28.10424550476)); #484965=CARTESIAN_POINT('Origin',(-12.46266922345,-22.28545688881,16.50424550476)); #484966=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #484967=CARTESIAN_POINT('Origin',(0.,0.,16.59993048552)); #484968=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #484969=CARTESIAN_POINT('Origin',(-12.46266922345,-22.28545688881,15.50424550476)); #484970=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #484971=CARTESIAN_POINT('Origin',(0.,0.,15.67424550476)); #484972=CARTESIAN_POINT('Origin',(-17.34834957055,-22.65165042945,28.48424550476)); #484973=CARTESIAN_POINT('',(-17.87867965644,-22.12132034356,4.004245504764)); #484974=CARTESIAN_POINT('Origin',(-16.65364404383,-20.89628473095,16.00424550476)); #484975=CARTESIAN_POINT('',(-16.14066202419,-20.38330271131,23.00424550476)); #484976=CARTESIAN_POINT('',(-16.14066202419,-20.38330271131,22.00424550476)); #484977=CARTESIAN_POINT('',(-16.14066202419,-20.38330271131,23.00424550476)); #484978=CARTESIAN_POINT('',(-15.42860843122,-19.67124911834,22.00424550476)); #484979=CARTESIAN_POINT('',(-16.14066202419,-20.38330271131,22.00424550476)); #484980=CARTESIAN_POINT('',(-15.42860843122,-19.67124911834,16.50424550476)); #484981=CARTESIAN_POINT('',(-15.42860843122,-19.67124911834,22.00424550476)); #484982=CARTESIAN_POINT('',(-16.14066202419,-20.38330271131,16.50424550476)); #484983=CARTESIAN_POINT('',(-15.42860843122,-19.67124911834,16.50424550476)); #484984=CARTESIAN_POINT('',(-16.14066202419,-20.38330271131,15.50424550476)); #484985=CARTESIAN_POINT('',(-16.14066202419,-20.38330271131,16.50424550476)); #484986=CARTESIAN_POINT('',(-15.42860843122,-19.67124911834,15.50424550476)); #484987=CARTESIAN_POINT('',(-16.14066202419,-20.38330271131,15.50424550476)); #484988=CARTESIAN_POINT('',(-15.42860843122,-19.67124911834,7.00424550476)); #484989=CARTESIAN_POINT('',(-15.42860843122,-19.67124911834,15.50424550476)); #484990=CARTESIAN_POINT('Ctrl Pts',(-15.4286084312235,-19.6712491183445, 7.0042455047619)); #484991=CARTESIAN_POINT('Ctrl Pts',(-15.5077490959683,-19.7503897830878, 6.67090637769508)); #484992=CARTESIAN_POINT('Ctrl Pts',(-15.586881581081,-19.82952234801,6.33756905198323)); #484993=CARTESIAN_POINT('Ctrl Pts',(-15.6660062284556,-19.9086470327223, 6.00423365994839)); #484994=CARTESIAN_POINT('Ctrl Pts',(-15.7451308758302,-19.9877717174346, 5.67089826791345)); #484995=CARTESIAN_POINT('Ctrl Pts',(-15.8242476850642,-20.0668885220825, 5.33756480951089)); #484996=CARTESIAN_POINT('Ctrl Pts',(-15.9033569107608,-20.145997709056, 5.00423341048397)); #484997=CARTESIAN_POINT('Ctrl Pts',(-15.9824661364573,-20.2251068960296, 4.67090201145715)); #484998=CARTESIAN_POINT('Ctrl Pts',(-16.0615677783078,-20.3042084654273, 4.33757267176784)); #484999=CARTESIAN_POINT('Ctrl Pts',(-16.1406620241895,-20.3833027113093, 4.00424550476372)); #485000=CARTESIAN_POINT('',(-15.42860843122,-19.67124911834,23.00424550476)); #485001=CARTESIAN_POINT('',(-15.42860843122,-19.67124911834,28.00424550476)); #485002=CARTESIAN_POINT('',(-15.42860843122,-19.67124911834,23.00424550476)); #485003=CARTESIAN_POINT('Origin',(0.,0.,23.09993048552)); #485004=CARTESIAN_POINT('',(-20.38330271131,-16.14066202419,22.00424550476)); #485005=CARTESIAN_POINT('',(-20.38330271131,-16.14066202419,23.00424550476)); #485006=CARTESIAN_POINT('',(-20.38330271131,-16.14066202419,22.00424550476)); #485007=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #485008=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #485009=CARTESIAN_POINT('Origin',(-20.89628473095,-16.65364404383,16.00424550476)); #485010=CARTESIAN_POINT('',(-19.67124911834,-15.42860843122,16.50424550476)); #485011=CARTESIAN_POINT('',(-19.67124911834,-15.42860843122,22.00424550476)); #485012=CARTESIAN_POINT('',(-19.67124911834,-15.42860843122,16.50424550476)); #485013=CARTESIAN_POINT('',(-19.67124911834,-15.42860843122,22.00424550476)); #485014=CARTESIAN_POINT('',(-19.67124911834,-15.42860843122,23.00424550476)); #485015=CARTESIAN_POINT('',(-20.38330271131,-16.14066202419,23.00424550476)); #485016=CARTESIAN_POINT('',(-19.67124911834,-15.42860843122,23.00424550476)); #485017=CARTESIAN_POINT('',(-22.12132034356,-17.87867965644,28.00424550476)); #485018=CARTESIAN_POINT('',(-19.67124911834,-15.42860843122,7.004245504762)); #485019=CARTESIAN_POINT('Ctrl Pts',(-20.3833027113093,-16.1406620241895, 4.00424550476372)); #485020=CARTESIAN_POINT('Ctrl Pts',(-20.3042084654284,-16.0615677783088, 4.33757267176334)); #485021=CARTESIAN_POINT('Ctrl Pts',(-20.2251068960315,-15.9824661364588, 4.67090201144994)); #485022=CARTESIAN_POINT('Ctrl Pts',(-20.1459977090583,-15.9033569107626, 5.00423341047527)); #485023=CARTESIAN_POINT('Ctrl Pts',(-20.0668885220852,-15.8242476850663, 5.33756480950069)); #485024=CARTESIAN_POINT('Ctrl Pts',(-19.9877717174373,-15.7451308758324, 5.67089826790295)); #485025=CARTESIAN_POINT('Ctrl Pts',(-19.9086470327247,-15.6660062284575, 6.00423365993909)); #485026=CARTESIAN_POINT('Ctrl Pts',(-19.8295223480121,-15.5868815810827, 6.33756905197513)); #485027=CARTESIAN_POINT('Ctrl Pts',(-19.7503897830892,-15.5077490959694, 6.67090637768968)); #485028=CARTESIAN_POINT('Ctrl Pts',(-19.671249118344,-15.4286084312232, 7.0042455047637)); #485029=CARTESIAN_POINT('',(-19.67124911834,-15.42860843122,15.50424550476)); #485030=CARTESIAN_POINT('',(-19.67124911834,-15.42860843122,7.004245504762)); #485031=CARTESIAN_POINT('',(-20.38330271131,-16.14066202419,15.50424550476)); #485032=CARTESIAN_POINT('',(-19.67124911834,-15.42860843122,15.50424550476)); #485033=CARTESIAN_POINT('',(-20.38330271131,-16.14066202419,16.50424550476)); #485034=CARTESIAN_POINT('',(-20.38330271131,-16.14066202419,15.50424550476)); #485035=CARTESIAN_POINT('',(-20.38330271131,-16.14066202419,16.50424550476)); #485036=CARTESIAN_POINT('Origin',(0.,0.,22.11424550476)); #485037=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #485038=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #485039=CARTESIAN_POINT('Origin',(-17.90595557127,-17.90595557127,22.00424550476)); #485040=CARTESIAN_POINT('Origin',(-17.90595557127,-17.90595557127,16.50424550476)); #485041=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #485042=CARTESIAN_POINT('Origin',(0.,0.,16.59993048552)); #485043=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #485044=CARTESIAN_POINT('Origin',(-17.90595557127,-17.90595557127,15.50424550476)); #485045=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #485046=CARTESIAN_POINT('Origin',(0.,0.,15.67424550476)); #485047=CARTESIAN_POINT('Origin',(0.,0.,7.004245504761)); #485048=CARTESIAN_POINT('Origin',(0.,0.,5.504245504762)); #485049=CARTESIAN_POINT('Ctrl Pts',(-17.0198908965063,-19.6551091023616, 4.00424550737523)); #485050=CARTESIAN_POINT('Ctrl Pts',(-17.034567838058,-19.5282896141431, 4.26303599969183)); #485051=CARTESIAN_POINT('Ctrl Pts',(-17.05779649648,-19.3966025919613,4.51462032057768)); #485052=CARTESIAN_POINT('Ctrl Pts',(-17.1528088887567,-19.0247247971414, 5.15975954140712)); #485053=CARTESIAN_POINT('Ctrl Pts',(-17.260329511637,-18.7207180780395, 5.62194827132515)); #485054=CARTESIAN_POINT('Ctrl Pts',(-17.5109173102506,-18.3227666890047, 5.97049649740333)); #485055=CARTESIAN_POINT('Ctrl Pts',(-17.5726458529351,-18.2332955648218, 6.03621949773693)); #485056=CARTESIAN_POINT('Ctrl Pts',(-17.7153993738746,-18.0522082088496, 6.12710273666099)); #485057=CARTESIAN_POINT('Ctrl Pts',(-17.7968487393099,-17.9605105735708, 6.15143176237601)); #485058=CARTESIAN_POINT('Ctrl Pts',(-17.9605105735708,-17.7968487393099, 6.15143176237601)); #485059=CARTESIAN_POINT('Ctrl Pts',(-18.0522082088496,-17.7153993738746, 6.12710273666098)); #485060=CARTESIAN_POINT('Ctrl Pts',(-18.2332955648218,-17.5726458529351, 6.03621949773692)); #485061=CARTESIAN_POINT('Ctrl Pts',(-18.3227666890047,-17.5109173102506, 5.97049649740332)); #485062=CARTESIAN_POINT('Ctrl Pts',(-18.7207180780395,-17.260329511637, 5.62194827132514)); #485063=CARTESIAN_POINT('Ctrl Pts',(-19.0247247971414,-17.1528088887567, 5.15975954140712)); #485064=CARTESIAN_POINT('Ctrl Pts',(-19.3966025924296,-17.0577964963603, 4.51462031976516)); #485065=CARTESIAN_POINT('Ctrl Pts',(-19.5282896150303,-17.0345678379001, 4.26303599800014)); #485066=CARTESIAN_POINT('Ctrl Pts',(-19.6551091036414,-17.0198908963582, 4.00424550476377)); #485067=CARTESIAN_POINT('Origin',(-20.,-20.,6.246431090179)); #485068=CARTESIAN_POINT('Origin',(-22.65165042945,-17.34834957055,28.48424550476)); #485069=CARTESIAN_POINT('Origin',(0.,0.,28.10424550476)); #485070=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #485071=CARTESIAN_POINT('Origin',(-17.90595557127,-17.90595557127,23.00424550476)); #485072=CARTESIAN_POINT('Origin',(0.,0.,28.10424550476)); #485073=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #485074=CARTESIAN_POINT('Origin',(12.46266922345,-22.28545688881,23.00424550476)); #485075=CARTESIAN_POINT('Origin',(0.,0.,28.10424550476)); #485076=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #485077=CARTESIAN_POINT('Origin',(17.90595557127,-17.90595557127,23.00424550476)); #485078=CARTESIAN_POINT('Origin',(0.,0.,28.10424550476)); #485079=CARTESIAN_POINT('Origin',(0.,0.,28.10424550476)); #485080=CARTESIAN_POINT('',(-20.57091377762,14.20695274694,23.00424550476)); #485081=CARTESIAN_POINT('',(-20.57091377762,14.20695274694,23.00424550476)); #485082=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #485083=CARTESIAN_POINT('Origin',(-21.87644714648,15.5124861158,16.00424550476)); #485084=CARTESIAN_POINT('',(-20.57091377762,14.20695274694,16.50424550476)); #485085=CARTESIAN_POINT('',(-20.57091377762,14.20695274694,22.00424550476)); #485086=CARTESIAN_POINT('',(-20.57091377762,14.20695274694,16.50424550476)); #485087=CARTESIAN_POINT('',(-21.28929947757,14.92533844689,22.00424550476)); #485088=CARTESIAN_POINT('',(-20.57091377762,14.20695274694,22.00424550476)); #485089=CARTESIAN_POINT('',(-21.28929947757,14.92533844689,23.00424550476)); #485090=CARTESIAN_POINT('',(-21.28929947757,14.92533844689,22.00424550476)); #485091=CARTESIAN_POINT('',(-21.28929947757,14.92533844689,23.00424550476)); #485092=CARTESIAN_POINT('',(-23.18198051534,16.81801948466,28.00424550476)); #485093=CARTESIAN_POINT('',(-20.57091377762,14.20695274694,7.004245504761)); #485094=CARTESIAN_POINT('Origin',(5550.62869649265,-5497.44832257489,-2648.58926867154)); #485095=CARTESIAN_POINT('',(-20.57091377762,14.20695274694,15.50424550476)); #485096=CARTESIAN_POINT('',(-20.57091377762,14.20695274694,7.004245504761)); #485097=CARTESIAN_POINT('',(-21.28929947757,14.92533844689,15.50424550476)); #485098=CARTESIAN_POINT('',(-20.57091377762,14.20695274694,15.50424550476)); #485099=CARTESIAN_POINT('',(-21.28929947757,14.92533844689,16.50424550476)); #485100=CARTESIAN_POINT('',(-21.28929947757,14.92533844689,15.50424550476)); #485101=CARTESIAN_POINT('',(-21.28929947757,14.92533844689,16.50424550476)); #485102=CARTESIAN_POINT('Origin',(0.,0.,22.11424550476)); #485103=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #485104=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #485105=CARTESIAN_POINT('Origin',(-22.28545688881,12.46266922345,22.00424550476)); #485106=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #485107=CARTESIAN_POINT('Origin',(0.,0.,23.09993048552)); #485108=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #485109=CARTESIAN_POINT('Origin',(-22.28545688881,12.46266922345,23.00424550476)); #485110=CARTESIAN_POINT('Origin',(-22.28545688881,12.46266922345,16.50424550476)); #485111=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #485112=CARTESIAN_POINT('Origin',(0.,0.,16.59993048552)); #485113=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #485114=CARTESIAN_POINT('Origin',(-22.28545688881,12.46266922345,15.50424550476)); #485115=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #485116=CARTESIAN_POINT('Origin',(0.,0.,15.67424550476)); #485117=CARTESIAN_POINT('Origin',(0.,0.,7.004245504761)); #485118=CARTESIAN_POINT('Origin',(0.,0.,5.504245504762)); #485119=CARTESIAN_POINT('Origin',(-22.65165042945,17.34834957055,28.48424550476)); #485120=CARTESIAN_POINT('',(-22.12132034356,17.87867965644,4.004245504764)); #485121=CARTESIAN_POINT('Origin',(-20.89628473095,16.65364404383,16.00424550476)); #485122=CARTESIAN_POINT('',(-20.38330271131,16.14066202419,23.00424550476)); #485123=CARTESIAN_POINT('',(-20.38330271131,16.14066202419,22.00424550476)); #485124=CARTESIAN_POINT('',(-20.38330271131,16.14066202419,23.00424550476)); #485125=CARTESIAN_POINT('',(-19.67124911834,15.42860843122,22.00424550476)); #485126=CARTESIAN_POINT('',(-20.38330271131,16.14066202419,22.00424550476)); #485127=CARTESIAN_POINT('',(-19.67124911834,15.42860843122,16.50424550476)); #485128=CARTESIAN_POINT('',(-19.67124911834,15.42860843122,22.00424550476)); #485129=CARTESIAN_POINT('',(-20.38330271131,16.14066202419,16.50424550476)); #485130=CARTESIAN_POINT('',(-19.67124911834,15.42860843122,16.50424550476)); #485131=CARTESIAN_POINT('',(-20.38330271131,16.14066202419,15.50424550476)); #485132=CARTESIAN_POINT('',(-20.38330271131,16.14066202419,16.50424550476)); #485133=CARTESIAN_POINT('',(-19.67124911834,15.42860843122,15.50424550476)); #485134=CARTESIAN_POINT('',(-20.38330271131,16.14066202419,15.50424550476)); #485135=CARTESIAN_POINT('',(-19.67124911834,15.42860843122,7.00424550476)); #485136=CARTESIAN_POINT('',(-19.67124911834,15.42860843122,15.50424550476)); #485137=CARTESIAN_POINT('Ctrl Pts',(-19.6712491183445,15.4286084312235, 7.0042455047619)); #485138=CARTESIAN_POINT('Ctrl Pts',(-19.7503897830873,15.5077490959679, 6.67090637769688)); #485139=CARTESIAN_POINT('Ctrl Pts',(-19.829522348007,15.5868815810825,6.33756905198756)); #485140=CARTESIAN_POINT('Ctrl Pts',(-19.9086470327187,15.666006228457,6.00423365995429)); #485141=CARTESIAN_POINT('Ctrl Pts',(-19.9877717174304,15.7451308758315, 5.67089826792093)); #485142=CARTESIAN_POINT('Ctrl Pts',(-20.0668885220798,15.8242476850633, 5.33756480951898)); #485143=CARTESIAN_POINT('Ctrl Pts',(-20.1459977090542,15.9033569107594, 5.00423341049064)); #485144=CARTESIAN_POINT('Ctrl Pts',(-20.2251068960287,15.9824661364556, 4.6709020114624)); #485145=CARTESIAN_POINT('Ctrl Pts',(-20.3042084654268,16.0615677783075, 4.33757267176964)); #485146=CARTESIAN_POINT('Ctrl Pts',(-20.3833027113093,16.1406620241895, 4.00424550476372)); #485147=CARTESIAN_POINT('',(-19.67124911834,15.42860843122,23.00424550476)); #485148=CARTESIAN_POINT('',(-19.67124911834,15.42860843122,28.00424550476)); #485149=CARTESIAN_POINT('',(-19.67124911834,15.42860843122,23.00424550476)); #485150=CARTESIAN_POINT('Origin',(0.,0.,23.09993048552)); #485151=CARTESIAN_POINT('',(-16.14066202419,20.38330271131,22.00424550476)); #485152=CARTESIAN_POINT('',(-16.14066202419,20.38330271131,23.00424550476)); #485153=CARTESIAN_POINT('',(-16.14066202419,20.38330271131,22.00424550476)); #485154=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #485155=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #485156=CARTESIAN_POINT('Origin',(-16.65364404383,20.89628473095,16.00424550476)); #485157=CARTESIAN_POINT('',(-15.42860843122,19.67124911834,16.50424550476)); #485158=CARTESIAN_POINT('',(-15.42860843122,19.67124911834,22.00424550476)); #485159=CARTESIAN_POINT('',(-15.42860843122,19.67124911834,16.50424550476)); #485160=CARTESIAN_POINT('',(-15.42860843122,19.67124911834,22.00424550476)); #485161=CARTESIAN_POINT('',(-15.42860843122,19.67124911834,23.00424550476)); #485162=CARTESIAN_POINT('',(-16.14066202419,20.38330271131,23.00424550476)); #485163=CARTESIAN_POINT('',(-15.42860843122,19.67124911834,23.00424550476)); #485164=CARTESIAN_POINT('',(-17.87867965643,22.12132034355,28.00424550476)); #485165=CARTESIAN_POINT('',(-15.42860843122,19.67124911835,7.004245504761)); #485166=CARTESIAN_POINT('Ctrl Pts',(-16.1406620241895,20.3833027113093, 4.00424550476372)); #485167=CARTESIAN_POINT('Ctrl Pts',(-16.061567778308,20.3042084654275,4.33757267176694)); #485168=CARTESIAN_POINT('Ctrl Pts',(-15.9824661364576,20.2251068960299, 4.6709020114558)); #485169=CARTESIAN_POINT('Ctrl Pts',(-15.9033569107619,20.1459977090558, 5.00423341048234)); #485170=CARTESIAN_POINT('Ctrl Pts',(-15.8242476850662,20.0668885220817, 5.33756480950898)); #485171=CARTESIAN_POINT('Ctrl Pts',(-15.7451308758339,19.9877717174325, 5.67089826791142)); #485172=CARTESIAN_POINT('Ctrl Pts',(-15.6660062284572,19.9086470327216, 6.00423365994708)); #485173=CARTESIAN_POINT('Ctrl Pts',(-15.5868815810805,19.8295223480107, 6.33756905198264)); #485174=CARTESIAN_POINT('Ctrl Pts',(-15.5077490959619,19.7503897830924, 6.67090637769603)); #485175=CARTESIAN_POINT('Ctrl Pts',(-15.428608431219,19.6712491183487,7.00424550476044)); #485176=CARTESIAN_POINT('',(-15.42860843122,19.67124911835,15.50424550476)); #485177=CARTESIAN_POINT('',(-15.42860843122,19.67124911835,7.004245504761)); #485178=CARTESIAN_POINT('',(-16.14066202419,20.38330271131,15.50424550476)); #485179=CARTESIAN_POINT('',(-15.42860843122,19.67124911835,15.50424550476)); #485180=CARTESIAN_POINT('',(-16.14066202419,20.38330271131,16.50424550476)); #485181=CARTESIAN_POINT('',(-16.14066202419,20.38330271131,15.50424550476)); #485182=CARTESIAN_POINT('',(-16.14066202419,20.38330271131,16.50424550476)); #485183=CARTESIAN_POINT('Origin',(0.,0.,22.11424550476)); #485184=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #485185=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #485186=CARTESIAN_POINT('Origin',(-17.90595557126,17.90595557127,22.00424550476)); #485187=CARTESIAN_POINT('Origin',(-17.90595557127,17.90595557127,16.50424550476)); #485188=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #485189=CARTESIAN_POINT('Origin',(0.,0.,16.59993048552)); #485190=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #485191=CARTESIAN_POINT('Origin',(-17.90595557126,17.90595557127,15.50424550476)); #485192=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #485193=CARTESIAN_POINT('Origin',(0.,0.,15.67424550476)); #485194=CARTESIAN_POINT('Origin',(0.,0.,7.004245504761)); #485195=CARTESIAN_POINT('Origin',(0.,0.,5.504245504762)); #485196=CARTESIAN_POINT('Ctrl Pts',(-19.6551091023616,17.0198908965063, 4.00424550737524)); #485197=CARTESIAN_POINT('Ctrl Pts',(-19.5282896141431,17.034567838058,4.26303599969183)); #485198=CARTESIAN_POINT('Ctrl Pts',(-19.3966025919612,17.05779649648,4.51462032057767)); #485199=CARTESIAN_POINT('Ctrl Pts',(-19.0247247971414,17.1528088887567, 5.15975954140712)); #485200=CARTESIAN_POINT('Ctrl Pts',(-18.7207180780395,17.260329511637,5.62194827132514)); #485201=CARTESIAN_POINT('Ctrl Pts',(-18.3227666890047,17.5109173102506, 5.97049649740332)); #485202=CARTESIAN_POINT('Ctrl Pts',(-18.2332955648218,17.5726458529351, 6.03621949773692)); #485203=CARTESIAN_POINT('Ctrl Pts',(-18.0522082088496,17.7153993738746, 6.12710273666098)); #485204=CARTESIAN_POINT('Ctrl Pts',(-17.9605105735708,17.7968487393099, 6.15143176237601)); #485205=CARTESIAN_POINT('Ctrl Pts',(-17.7968487393099,17.9605105735708, 6.15143176237601)); #485206=CARTESIAN_POINT('Ctrl Pts',(-17.7153993738746,18.0522082088496, 6.12710273666098)); #485207=CARTESIAN_POINT('Ctrl Pts',(-17.5726458529351,18.2332955648218, 6.03621949773692)); #485208=CARTESIAN_POINT('Ctrl Pts',(-17.5109173102506,18.3227666890047, 5.97049649740332)); #485209=CARTESIAN_POINT('Ctrl Pts',(-17.260329511637,18.7207180780395,5.62194827132514)); #485210=CARTESIAN_POINT('Ctrl Pts',(-17.1528088887567,19.0247247971414, 5.15975954140713)); #485211=CARTESIAN_POINT('Ctrl Pts',(-17.0577964963603,19.3966025924296, 4.51462031976517)); #485212=CARTESIAN_POINT('Ctrl Pts',(-17.0345678379001,19.5282896150303, 4.26303599800015)); #485213=CARTESIAN_POINT('Ctrl Pts',(-17.0198908963582,19.6551091036414, 4.00424550476377)); #485214=CARTESIAN_POINT('Origin',(-20.,20.,6.246431090179)); #485215=CARTESIAN_POINT('Origin',(-17.34834957055,22.65165042945,28.48424550476)); #485216=CARTESIAN_POINT('',(-16.81801948466,23.18198051534,4.004245504764)); #485217=CARTESIAN_POINT('Origin',(-15.5124861158,21.87644714648,16.00424550476)); #485218=CARTESIAN_POINT('',(-14.92533844689,21.28929947757,23.00424550476)); #485219=CARTESIAN_POINT('',(-14.92533844689,21.28929947757,22.00424550476)); #485220=CARTESIAN_POINT('',(-14.92533844689,21.28929947757,23.00424550476)); #485221=CARTESIAN_POINT('',(-14.20695274694,20.57091377762,22.00424550476)); #485222=CARTESIAN_POINT('',(-14.92533844689,21.28929947757,22.00424550476)); #485223=CARTESIAN_POINT('',(-14.20695274694,20.57091377762,16.50424550476)); #485224=CARTESIAN_POINT('',(-14.20695274694,20.57091377762,22.00424550476)); #485225=CARTESIAN_POINT('',(-14.92533844689,21.28929947757,16.50424550476)); #485226=CARTESIAN_POINT('',(-14.20695274694,20.57091377762,16.50424550476)); #485227=CARTESIAN_POINT('',(-14.92533844689,21.28929947757,15.50424550476)); #485228=CARTESIAN_POINT('',(-14.92533844689,21.28929947757,16.50424550476)); #485229=CARTESIAN_POINT('',(-14.20695274694,20.57091377762,15.50424550476)); #485230=CARTESIAN_POINT('',(-14.92533844689,21.28929947757,15.50424550476)); #485231=CARTESIAN_POINT('',(-14.20695274694,20.57091377762,7.004245504761)); #485232=CARTESIAN_POINT('',(-14.20695274694,20.57091377762,15.50424550476)); #485233=CARTESIAN_POINT('Origin',(5497.44832858969,-5550.62868842106,-2648.58926815119)); #485234=CARTESIAN_POINT('',(-14.20695274694,20.57091377762,23.00424550476)); #485235=CARTESIAN_POINT('',(-14.20695274694,20.57091377762,28.00424550476)); #485236=CARTESIAN_POINT('',(-14.20695274694,20.57091377762,23.00424550476)); #485237=CARTESIAN_POINT('Origin',(0.,0.,23.09993048552)); #485238=CARTESIAN_POINT('',(-10.,24.,23.00424550476)); #485239=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #485240=CARTESIAN_POINT('',(-10.,24.,22.00424550476)); #485241=CARTESIAN_POINT('',(-10.,24.,22.00424550476)); #485242=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #485243=CARTESIAN_POINT('Origin',(-12.46266922345,22.28545688881,22.00424550476)); #485244=CARTESIAN_POINT('',(-10.,22.91287847478,22.00424550476)); #485245=CARTESIAN_POINT('',(-10.,24.,22.00424550476)); #485246=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #485247=CARTESIAN_POINT('Origin',(0.,0.,22.11424550476)); #485248=CARTESIAN_POINT('',(-10.,22.91287847478,16.50424550476)); #485249=CARTESIAN_POINT('',(-10.,22.91287847478,16.50424550476)); #485250=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #485251=CARTESIAN_POINT('Origin',(-12.46266922345,22.28545688881,16.50424550476)); #485252=CARTESIAN_POINT('',(-10.,24.,16.50424550476)); #485253=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #485254=CARTESIAN_POINT('',(-10.,22.91287847478,16.50424550476)); #485255=CARTESIAN_POINT('Origin',(0.,0.,16.59993048552)); #485256=CARTESIAN_POINT('',(-10.,24.,15.50424550476)); #485257=CARTESIAN_POINT('',(-10.,24.,15.50424550476)); #485258=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #485259=CARTESIAN_POINT('Origin',(-12.46266922345,22.28545688881,15.50424550476)); #485260=CARTESIAN_POINT('',(-10.,22.91287847478,15.50424550476)); #485261=CARTESIAN_POINT('',(-10.,24.,15.50424550476)); #485262=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #485263=CARTESIAN_POINT('Origin',(0.,0.,15.67424550476)); #485264=CARTESIAN_POINT('',(-10.,22.91287847478,7.004245504761)); #485265=CARTESIAN_POINT('',(-10.,22.91287847478,7.004245504761)); #485266=CARTESIAN_POINT('Origin',(0.,0.,7.004245504761)); #485267=CARTESIAN_POINT('Origin',(0.,0.,5.504245504763)); #485268=CARTESIAN_POINT('Ctrl Pts',(-10.,23.9999999999999,4.00424550476396)); #485269=CARTESIAN_POINT('Ctrl Pts',(-10.,23.445781183221,5.5390053050741)); #485270=CARTESIAN_POINT('Ctrl Pts',(-10.,22.9128784747797,7.0042455047609)); #485271=CARTESIAN_POINT('Origin',(-10.,23.90643923739,16.00424550476)); #485272=CARTESIAN_POINT('',(-10.,24.9,28.00424550476)); #485273=CARTESIAN_POINT('',(-10.,22.91287847478,23.00424550476)); #485274=CARTESIAN_POINT('',(-10.,22.91287847478,23.00424550476)); #485275=CARTESIAN_POINT('',(-10.,22.91287847478,23.00424550476)); #485276=CARTESIAN_POINT('Origin',(0.,24.9,16.00424550476)); #485277=CARTESIAN_POINT('',(10.,24.9,28.00424550476)); #485278=CARTESIAN_POINT('Origin',(10.,23.90643923739,16.00424550476)); #485279=CARTESIAN_POINT('',(10.,22.91287847478,23.00424550476)); #485280=CARTESIAN_POINT('',(10.,22.91287847478,28.00424550476)); #485281=CARTESIAN_POINT('',(10.,24.,23.00424550476)); #485282=CARTESIAN_POINT('',(10.,22.91287847478,23.00424550476)); #485283=CARTESIAN_POINT('',(10.,24.,22.00424550476)); #485284=CARTESIAN_POINT('',(10.,24.,23.00424550476)); #485285=CARTESIAN_POINT('',(10.,22.91287847478,22.00424550476)); #485286=CARTESIAN_POINT('',(10.,24.,22.00424550476)); #485287=CARTESIAN_POINT('',(10.,22.91287847478,16.50424550476)); #485288=CARTESIAN_POINT('',(10.,22.91287847478,22.00424550476)); #485289=CARTESIAN_POINT('',(10.,24.,16.50424550476)); #485290=CARTESIAN_POINT('',(10.,24.,16.50424550476)); #485291=CARTESIAN_POINT('',(10.,24.,15.50424550476)); #485292=CARTESIAN_POINT('',(10.,24.,16.50424550476)); #485293=CARTESIAN_POINT('',(10.,22.91287847478,15.50424550476)); #485294=CARTESIAN_POINT('',(10.,22.91287847478,15.50424550476)); #485295=CARTESIAN_POINT('',(10.,22.91287847478,7.00424550476)); #485296=CARTESIAN_POINT('',(10.,22.91287847478,15.50424550476)); #485297=CARTESIAN_POINT('Ctrl Pts',(10.,22.9128784747797,7.0042455047599)); #485298=CARTESIAN_POINT('Ctrl Pts',(10.,23.4457811832208,5.53900530507352)); #485299=CARTESIAN_POINT('Ctrl Pts',(10.,23.9999999999996,4.00424550476385)); #485300=CARTESIAN_POINT('Origin',(0.,0.,5.504245504762)); #485301=CARTESIAN_POINT('',(14.2069527472093,20.5709137773515,7.00424550476119)); #485302=CARTESIAN_POINT('Origin',(-5497.44831470005,-5550.62870756585,-2648.58926941229)); #485303=CARTESIAN_POINT('Origin',(0.,0.,7.004245504761)); #485304=CARTESIAN_POINT('Origin',(15.5124861158,21.87644714648,16.00424550476)); #485305=CARTESIAN_POINT('',(14.20695274694,20.57091377762,16.50424550476)); #485306=CARTESIAN_POINT('',(14.20695274694,20.57091377762,22.00424550476)); #485307=CARTESIAN_POINT('',(14.20695274694,20.57091377762,16.50424550476)); #485308=CARTESIAN_POINT('',(14.92533844689,21.28929947757,22.00424550476)); #485309=CARTESIAN_POINT('',(14.20695274694,20.57091377762,22.00424550476)); #485310=CARTESIAN_POINT('',(14.92533844689,21.28929947757,23.00424550476)); #485311=CARTESIAN_POINT('',(14.92533844689,21.28929947757,22.00424550476)); #485312=CARTESIAN_POINT('',(14.20695274694,20.57091377762,23.00424550476)); #485313=CARTESIAN_POINT('',(14.92533844689,21.28929947757,23.00424550476)); #485314=CARTESIAN_POINT('',(14.20695274694,20.57091377762,23.00424550476)); #485315=CARTESIAN_POINT('',(16.81801948466,23.18198051534,28.00424550476)); #485316=CARTESIAN_POINT('',(14.20695274694,20.57091377762,15.50424550476)); #485317=CARTESIAN_POINT('',(14.20695274694,20.57091377762,7.004245504761)); #485318=CARTESIAN_POINT('',(14.92533844689,21.28929947757,15.50424550476)); #485319=CARTESIAN_POINT('',(14.20695274694,20.57091377762,15.50424550476)); #485320=CARTESIAN_POINT('',(14.92533844689,21.28929947757,16.50424550476)); #485321=CARTESIAN_POINT('',(14.92533844689,21.28929947757,15.50424550476)); #485322=CARTESIAN_POINT('',(14.92533844689,21.28929947757,16.50424550476)); #485323=CARTESIAN_POINT('Origin',(0.,0.,22.11424550476)); #485324=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #485325=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #485326=CARTESIAN_POINT('Origin',(12.46266922345,22.28545688881,22.00424550476)); #485327=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #485328=CARTESIAN_POINT('Origin',(0.,0.,23.09993048552)); #485329=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #485330=CARTESIAN_POINT('Origin',(12.46266922345,22.28545688881,23.00424550476)); #485331=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #485332=CARTESIAN_POINT('Origin',(0.,0.,28.10424550476)); #485333=CARTESIAN_POINT('Origin',(12.46266922345,22.28545688881,16.50424550476)); #485334=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #485335=CARTESIAN_POINT('Origin',(0.,0.,16.59993048552)); #485336=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #485337=CARTESIAN_POINT('Origin',(12.46266922345,22.28545688881,15.50424550476)); #485338=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #485339=CARTESIAN_POINT('Origin',(0.,0.,15.67424550476)); #485340=CARTESIAN_POINT('Origin',(17.34834957055,22.65165042945,28.48424550476)); #485341=CARTESIAN_POINT('',(17.87867965644,22.12132034356,4.004245504764)); #485342=CARTESIAN_POINT('Origin',(16.65364404384,20.89628473095,16.00424550476)); #485343=CARTESIAN_POINT('',(16.14066202419,20.38330271131,23.00424550476)); #485344=CARTESIAN_POINT('',(16.14066202419,20.38330271131,22.00424550476)); #485345=CARTESIAN_POINT('',(16.14066202419,20.38330271131,23.00424550476)); #485346=CARTESIAN_POINT('',(15.42860843123,19.67124911834,22.00424550476)); #485347=CARTESIAN_POINT('',(16.14066202419,20.38330271131,22.00424550476)); #485348=CARTESIAN_POINT('',(15.42860843123,19.67124911834,16.50424550476)); #485349=CARTESIAN_POINT('',(15.42860843123,19.67124911834,22.00424550476)); #485350=CARTESIAN_POINT('',(16.14066202419,20.38330271131,16.50424550476)); #485351=CARTESIAN_POINT('',(15.42860843123,19.67124911834,16.50424550476)); #485352=CARTESIAN_POINT('',(16.14066202419,20.38330271131,15.50424550476)); #485353=CARTESIAN_POINT('',(16.14066202419,20.38330271131,16.50424550476)); #485354=CARTESIAN_POINT('',(15.42860843123,19.67124911834,15.50424550476)); #485355=CARTESIAN_POINT('',(16.14066202419,20.38330271131,15.50424550476)); #485356=CARTESIAN_POINT('',(15.42860843123,19.67124911834,7.004245504761)); #485357=CARTESIAN_POINT('',(15.42860843123,19.67124911834,15.50424550476)); #485358=CARTESIAN_POINT('Ctrl Pts',(15.4286084312299,19.6712491183399,7.00424550476095)); #485359=CARTESIAN_POINT('Ctrl Pts',(15.5077490959706,19.7503897830808,6.67090637770734)); #485360=CARTESIAN_POINT('Ctrl Pts',(15.586881581079,19.8295223480037,6.33756905200182)); #485361=CARTESIAN_POINT('Ctrl Pts',(15.6660062284531,19.9086470327148,6.00423365997052)); #485362=CARTESIAN_POINT('Ctrl Pts',(15.7451308758273,19.9877717174259,5.67089826793913)); #485363=CARTESIAN_POINT('Ctrl Pts',(15.8242476850648,20.0668885220708,5.33756480953734)); #485364=CARTESIAN_POINT('Ctrl Pts',(15.9033569107627,20.1459977090448,5.00423341050683)); #485365=CARTESIAN_POINT('Ctrl Pts',(15.9824661364606,20.2251068960188,4.67090201147643)); #485366=CARTESIAN_POINT('Ctrl Pts',(16.0615677783104,20.3042084654204,4.33757267177919)); #485367=CARTESIAN_POINT('Ctrl Pts',(16.1406620241895,20.3833027113093,4.00424550476372)); #485368=CARTESIAN_POINT('',(15.42860843123,19.67124911834,23.00424550476)); #485369=CARTESIAN_POINT('',(15.42860843123,19.67124911834,28.00424550476)); #485370=CARTESIAN_POINT('',(15.42860843123,19.67124911834,23.00424550476)); #485371=CARTESIAN_POINT('Origin',(0.,0.,23.09993048552)); #485372=CARTESIAN_POINT('',(20.38330271131,16.14066202419,22.00424550476)); #485373=CARTESIAN_POINT('',(20.38330271131,16.14066202419,23.00424550476)); #485374=CARTESIAN_POINT('',(20.38330271131,16.14066202419,22.00424550476)); #485375=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #485376=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #485377=CARTESIAN_POINT('Origin',(20.89628473095,16.65364404383,16.00424550476)); #485378=CARTESIAN_POINT('',(19.67124911834,15.42860843122,16.50424550476)); #485379=CARTESIAN_POINT('',(19.67124911834,15.42860843122,22.00424550476)); #485380=CARTESIAN_POINT('',(19.67124911834,15.42860843122,16.50424550476)); #485381=CARTESIAN_POINT('',(19.67124911834,15.42860843122,22.00424550476)); #485382=CARTESIAN_POINT('',(19.67124911834,15.42860843122,23.00424550476)); #485383=CARTESIAN_POINT('',(20.38330271131,16.14066202419,23.00424550476)); #485384=CARTESIAN_POINT('',(19.67124911834,15.42860843122,23.00424550476)); #485385=CARTESIAN_POINT('',(22.12132034358,17.87867965645,28.00424550476)); #485386=CARTESIAN_POINT('',(19.67124911835,15.42860843122,7.004245504761)); #485387=CARTESIAN_POINT('Ctrl Pts',(20.3833027113093,16.1406620241895,4.00424550476372)); #485388=CARTESIAN_POINT('Ctrl Pts',(20.3042084654259,16.0615677783067,4.33757267177324)); #485389=CARTESIAN_POINT('Ctrl Pts',(20.2251068960269,15.9824661364542,4.67090201146915)); #485390=CARTESIAN_POINT('Ctrl Pts',(20.1459977090529,15.9033569107567,5.00423341049883)); #485391=CARTESIAN_POINT('Ctrl Pts',(20.0668885220789,15.8242476850593,5.3375648095286)); #485392=CARTESIAN_POINT('Ctrl Pts',(19.9877717174312,15.7451308758254,5.67089826793027)); #485393=CARTESIAN_POINT('Ctrl Pts',(19.9086470327205,15.6660062284501,6.00423365996282)); #485394=CARTESIAN_POINT('Ctrl Pts',(19.8295223480098,15.5868815810748,6.33756905199527)); #485395=CARTESIAN_POINT('Ctrl Pts',(19.7503897830905,15.5077490959604,6.67090637770323)); #485396=CARTESIAN_POINT('Ctrl Pts',(19.6712491183487,15.428608431219,7.00424550476044)); #485397=CARTESIAN_POINT('',(19.67124911835,15.42860843122,15.50424550476)); #485398=CARTESIAN_POINT('',(19.67124911835,15.42860843122,7.004245504761)); #485399=CARTESIAN_POINT('',(20.38330271131,16.14066202419,15.50424550476)); #485400=CARTESIAN_POINT('',(19.67124911835,15.42860843122,15.50424550476)); #485401=CARTESIAN_POINT('',(20.38330271131,16.14066202419,16.50424550476)); #485402=CARTESIAN_POINT('',(20.38330271131,16.14066202419,15.50424550476)); #485403=CARTESIAN_POINT('',(20.38330271131,16.14066202419,16.50424550476)); #485404=CARTESIAN_POINT('Origin',(0.,0.,22.11424550476)); #485405=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #485406=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #485407=CARTESIAN_POINT('Origin',(17.90595557127,17.90595557127,22.00424550476)); #485408=CARTESIAN_POINT('Origin',(17.90595557127,17.90595557127,16.50424550476)); #485409=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #485410=CARTESIAN_POINT('Origin',(0.,0.,16.59993048552)); #485411=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #485412=CARTESIAN_POINT('Origin',(17.90595557127,17.90595557127,15.50424550476)); #485413=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #485414=CARTESIAN_POINT('Origin',(0.,0.,15.67424550476)); #485415=CARTESIAN_POINT('Origin',(0.,0.,7.004245504761)); #485416=CARTESIAN_POINT('Origin',(0.,0.,5.504245504762)); #485417=CARTESIAN_POINT('Ctrl Pts',(17.0198908965063,19.6551091023616,4.00424550737523)); #485418=CARTESIAN_POINT('Ctrl Pts',(17.034567838058,19.5282896141431,4.26303599969183)); #485419=CARTESIAN_POINT('Ctrl Pts',(17.05779649648,19.3966025919612,4.51462032057768)); #485420=CARTESIAN_POINT('Ctrl Pts',(17.1528088887567,19.0247247971414,5.15975954140712)); #485421=CARTESIAN_POINT('Ctrl Pts',(17.260329511637,18.7207180780395,5.62194827132514)); #485422=CARTESIAN_POINT('Ctrl Pts',(17.5109173102506,18.3227666890047,5.97049649740332)); #485423=CARTESIAN_POINT('Ctrl Pts',(17.5726458529351,18.2332955648218,6.03621949773693)); #485424=CARTESIAN_POINT('Ctrl Pts',(17.7153993738746,18.0522082088496,6.12710273666099)); #485425=CARTESIAN_POINT('Ctrl Pts',(17.7968487393099,17.9605105735708,6.15143176237601)); #485426=CARTESIAN_POINT('Ctrl Pts',(17.9605105735708,17.7968487393099,6.15143176237601)); #485427=CARTESIAN_POINT('Ctrl Pts',(18.0522082088496,17.7153993738746,6.12710273666098)); #485428=CARTESIAN_POINT('Ctrl Pts',(18.2332955648218,17.5726458529351,6.03621949773692)); #485429=CARTESIAN_POINT('Ctrl Pts',(18.3227666890047,17.5109173102506,5.97049649740332)); #485430=CARTESIAN_POINT('Ctrl Pts',(18.7207180780395,17.260329511637,5.62194827132514)); #485431=CARTESIAN_POINT('Ctrl Pts',(19.0247247971414,17.1528088887567,5.15975954140712)); #485432=CARTESIAN_POINT('Ctrl Pts',(19.3966025924296,17.0577964963603,4.51462031976516)); #485433=CARTESIAN_POINT('Ctrl Pts',(19.5282896150303,17.0345678379001,4.26303599800014)); #485434=CARTESIAN_POINT('Ctrl Pts',(19.6551091036414,17.0198908963582,4.00424550476378)); #485435=CARTESIAN_POINT('Origin',(20.,20.,6.246431090179)); #485436=CARTESIAN_POINT('Origin',(22.65165042945,17.34834957055,28.48424550476)); #485437=CARTESIAN_POINT('',(23.18198051534,16.81801948466,4.004245504764)); #485438=CARTESIAN_POINT('Origin',(21.87644714648,15.5124861158,16.00424550476)); #485439=CARTESIAN_POINT('',(21.28929947757,14.92533844689,23.00424550476)); #485440=CARTESIAN_POINT('',(21.28929947757,14.92533844689,22.00424550476)); #485441=CARTESIAN_POINT('',(21.28929947757,14.92533844689,23.00424550476)); #485442=CARTESIAN_POINT('',(20.57091377762,14.20695274694,22.00424550476)); #485443=CARTESIAN_POINT('',(21.28929947757,14.92533844689,22.00424550476)); #485444=CARTESIAN_POINT('',(20.57091377762,14.20695274694,16.50424550476)); #485445=CARTESIAN_POINT('',(20.57091377762,14.20695274694,22.00424550476)); #485446=CARTESIAN_POINT('',(21.28929947757,14.92533844689,16.50424550476)); #485447=CARTESIAN_POINT('',(20.57091377762,14.20695274694,16.50424550476)); #485448=CARTESIAN_POINT('',(21.28929947757,14.92533844689,15.50424550476)); #485449=CARTESIAN_POINT('',(21.28929947757,14.92533844689,16.50424550476)); #485450=CARTESIAN_POINT('',(20.57091377762,14.20695274694,15.50424550476)); #485451=CARTESIAN_POINT('',(21.28929947757,14.92533844689,15.50424550476)); #485452=CARTESIAN_POINT('',(20.5709137779078,14.2069527466522,7.004245504761)); #485453=CARTESIAN_POINT('',(20.57091377762,14.20695274694,15.50424550476)); #485454=CARTESIAN_POINT('Origin',(-5550.62839150057,-5497.44854754093,-2648.58924946347)); #485455=CARTESIAN_POINT('',(20.57091377762,14.20695274694,23.00424550476)); #485456=CARTESIAN_POINT('',(20.57091377762,14.20695274694,28.00424550476)); #485457=CARTESIAN_POINT('',(20.57091377762,14.20695274694,23.00424550476)); #485458=CARTESIAN_POINT('Origin',(0.,0.,23.09993048552)); #485459=CARTESIAN_POINT('',(24.,10.,23.00424550476)); #485460=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #485461=CARTESIAN_POINT('',(24.,10.,22.00424550476)); #485462=CARTESIAN_POINT('',(24.,10.,22.00424550476)); #485463=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #485464=CARTESIAN_POINT('Origin',(22.28545688881,12.46266922345,22.00424550476)); #485465=CARTESIAN_POINT('',(22.91287847478,10.,22.00424550476)); #485466=CARTESIAN_POINT('',(24.,10.,22.00424550476)); #485467=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #485468=CARTESIAN_POINT('Origin',(0.,0.,22.11424550476)); #485469=CARTESIAN_POINT('',(22.91287847478,10.,16.50424550476)); #485470=CARTESIAN_POINT('',(22.91287847478,10.,16.50424550476)); #485471=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #485472=CARTESIAN_POINT('Origin',(22.28545688881,12.46266922345,16.50424550476)); #485473=CARTESIAN_POINT('',(24.,10.,16.50424550476)); #485474=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #485475=CARTESIAN_POINT('',(22.91287847478,10.,16.50424550476)); #485476=CARTESIAN_POINT('Origin',(0.,0.,16.59993048552)); #485477=CARTESIAN_POINT('',(24.,10.,15.50424550476)); #485478=CARTESIAN_POINT('',(24.,10.,15.50424550476)); #485479=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #485480=CARTESIAN_POINT('Origin',(22.28545688881,12.46266922345,15.50424550476)); #485481=CARTESIAN_POINT('',(22.91287847478,10.,15.50424550476)); #485482=CARTESIAN_POINT('',(24.,10.,15.50424550476)); #485483=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #485484=CARTESIAN_POINT('Origin',(0.,0.,15.67424550476)); #485485=CARTESIAN_POINT('',(22.91287847478,9.999999999999,7.004245504761)); #485486=CARTESIAN_POINT('',(22.91287847478,10.,7.004245504761)); #485487=CARTESIAN_POINT('Origin',(0.,0.,7.004245504761)); #485488=CARTESIAN_POINT('Origin',(0.,0.,5.504245504762)); #485489=CARTESIAN_POINT('Ctrl Pts',(23.9999999999996,10.,4.00424550476385)); #485490=CARTESIAN_POINT('Ctrl Pts',(23.4457811832207,10.,5.53900530507398)); #485491=CARTESIAN_POINT('Ctrl Pts',(22.9128784747794,10.,7.00424550476078)); #485492=CARTESIAN_POINT('Origin',(23.90643923739,10.,16.00424550476)); #485493=CARTESIAN_POINT('',(24.9,10.,28.00424550476)); #485494=CARTESIAN_POINT('',(22.91287847478,10.,23.00424550476)); #485495=CARTESIAN_POINT('',(22.91287847478,10.,23.00424550476)); #485496=CARTESIAN_POINT('',(22.91287847478,10.,23.00424550476)); #485497=CARTESIAN_POINT('Origin',(24.9,-1.497468815614E-12,16.00424550476)); #485498=CARTESIAN_POINT('Origin',(0.,0.,28.10424550476)); #485499=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #485500=CARTESIAN_POINT('Origin',(22.28545688881,12.46266922345,23.00424550476)); #485501=CARTESIAN_POINT('Origin',(0.,0.,28.10424550476)); #485502=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #485503=CARTESIAN_POINT('Origin',(17.90595557127,17.90595557127,23.00424550476)); #485504=CARTESIAN_POINT('Origin',(0.,0.,28.10424550476)); #485505=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #485506=CARTESIAN_POINT('Origin',(-12.46266922345,22.28545688881,23.00424550476)); #485507=CARTESIAN_POINT('Origin',(0.,0.,28.10424550476)); #485508=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #485509=CARTESIAN_POINT('Origin',(-17.90595557127,17.90595557127,23.00424550476)); #485510=CARTESIAN_POINT('Origin',(22.29719694523,-15.22612913336,4.099930485524)); #485511=CARTESIAN_POINT('',(21.88428589069,-14.94416377903,0.004245504763669)); #485512=CARTESIAN_POINT('Origin',(0.,0.,4.099930485524)); #485513=CARTESIAN_POINT('Origin',(24.4,-10.4,4.099930485524)); #485514=CARTESIAN_POINT('Origin',(20.,-20.,-0.09143947599633)); #485515=CARTESIAN_POINT('',(22.25,-20.,-0.09143947599633)); #485516=CARTESIAN_POINT('Origin',(20.,20.,-0.09143947599633)); #485517=CARTESIAN_POINT('',(22.25,20.,-0.09143947599633)); #485518=CARTESIAN_POINT('Origin',(-20.,20.,-0.09143947599633)); #485519=CARTESIAN_POINT('',(-17.75,20.,-0.09143947599633)); #485520=CARTESIAN_POINT('Origin',(-20.,-20.,-0.09143947599633)); #485521=CARTESIAN_POINT('',(-17.75,-20.,-0.09143947599633)); #485522=CARTESIAN_POINT('Origin',(0.,0.,5.504245504763)); #485523=CARTESIAN_POINT('Origin',(0.,0.,7.004245504761)); #485524=CARTESIAN_POINT('Origin',(0.,0.,15.67424550476)); #485525=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #485526=CARTESIAN_POINT('Origin',(-22.28545688881,-12.46266922345,15.50424550476)); #485527=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #485528=CARTESIAN_POINT('Origin',(0.,0.,16.59993048552)); #485529=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #485530=CARTESIAN_POINT('Origin',(-22.28545688881,-12.46266922345,16.50424550476)); #485531=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #485532=CARTESIAN_POINT('Origin',(0.,0.,22.11424550476)); #485533=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #485534=CARTESIAN_POINT('Origin',(-22.28545688881,-12.46266922345,22.00424550476)); #485535=CARTESIAN_POINT('Ctrl Pts',(0.,14.52066050143,22.90705281011)); #485536=CARTESIAN_POINT('Ctrl Pts',(-14.520660501427,14.52066050143,22.90705281011)); #485537=CARTESIAN_POINT('Ctrl Pts',(-14.520660501427,3.00611558895709E-12, 22.9070528102172)); #485538=CARTESIAN_POINT('Ctrl Pts',(-14.520660501427,-14.520660501424,22.9070528103245)); #485539=CARTESIAN_POINT('Ctrl Pts',(0.,-14.520660501424,22.9070528103245)); #485540=CARTESIAN_POINT('Ctrl Pts',(14.520660501427,-14.520660501424,22.9070528103245)); #485541=CARTESIAN_POINT('Ctrl Pts',(14.520660501427,3.00611558895709E-12, 22.9070528102172)); #485542=CARTESIAN_POINT('Ctrl Pts',(14.520660501427,14.52066050143,22.90705281011)); #485543=CARTESIAN_POINT('Ctrl Pts',(0.,14.52066050143,22.90705281011)); #485544=CARTESIAN_POINT('Ctrl Pts',(0.,14.51292846221,22.93791465603)); #485545=CARTESIAN_POINT('Ctrl Pts',(-14.5129284622068,14.51292846221,22.93791465603)); #485546=CARTESIAN_POINT('Ctrl Pts',(-14.5129284622068,3.23403264012892E-12, 22.9379146561372)); #485547=CARTESIAN_POINT('Ctrl Pts',(-14.5129284622068,-14.5129284622035, 22.9379146562444)); #485548=CARTESIAN_POINT('Ctrl Pts',(0.,-14.5129284622035,22.9379146562444)); #485549=CARTESIAN_POINT('Ctrl Pts',(14.5129284622068,-14.5129284622035, 22.9379146562444)); #485550=CARTESIAN_POINT('Ctrl Pts',(14.5129284622068,3.23403264012892E-12, 22.9379146561372)); #485551=CARTESIAN_POINT('Ctrl Pts',(14.5129284622068,14.51292846221,22.93791465603)); #485552=CARTESIAN_POINT('Ctrl Pts',(0.,14.51292846221,22.93791465603)); #485553=CARTESIAN_POINT('Ctrl Pts',(0.,14.49137592825,23.02395934962)); #485554=CARTESIAN_POINT('Ctrl Pts',(-14.4913759282461,14.49137592825,23.02395934962)); #485555=CARTESIAN_POINT('Ctrl Pts',(-14.4913759282461,3.86947916795011E-12, 23.023959349727)); #485556=CARTESIAN_POINT('Ctrl Pts',(-14.4913759282461,-14.4913759282423, 23.023959349834)); #485557=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4913759282423,23.023959349834)); #485558=CARTESIAN_POINT('Ctrl Pts',(14.4913759282461,-14.4913759282423, 23.023959349834)); #485559=CARTESIAN_POINT('Ctrl Pts',(14.4913759282461,3.86947916795011E-12, 23.023959349727)); #485560=CARTESIAN_POINT('Ctrl Pts',(14.4913759282461,14.49137592825,23.02395934962)); #485561=CARTESIAN_POINT('Ctrl Pts',(0.,14.49137592825,23.02395934962)); #485562=CARTESIAN_POINT('Ctrl Pts',(0.,14.45598854161,23.165457968)); #485563=CARTESIAN_POINT('Ctrl Pts',(-14.4559885416051,14.45598854161,23.165457968)); #485564=CARTESIAN_POINT('Ctrl Pts',(-14.4559885416051,4.91445709732138E-12, 23.1654579681068)); #485565=CARTESIAN_POINT('Ctrl Pts',(-14.4559885416051,-14.4559885416002, 23.1654579682135)); #485566=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4559885416002,23.1654579682135)); #485567=CARTESIAN_POINT('Ctrl Pts',(14.4559885416051,-14.4559885416002, 23.1654579682135)); #485568=CARTESIAN_POINT('Ctrl Pts',(14.4559885416051,4.91445709732138E-12, 23.1654579681068)); #485569=CARTESIAN_POINT('Ctrl Pts',(14.4559885416051,14.45598854161,23.165457968)); #485570=CARTESIAN_POINT('Ctrl Pts',(0.,14.45598854161,23.165457968)); #485571=CARTESIAN_POINT('Ctrl Pts',(0.,14.41356770364,23.33578736769)); #485572=CARTESIAN_POINT('Ctrl Pts',(-14.4135677036338,14.41356770364,23.33578736769)); #485573=CARTESIAN_POINT('Ctrl Pts',(-14.4135677036338,6.17235251309931E-12, 23.3357873677964)); #485574=CARTESIAN_POINT('Ctrl Pts',(-14.4135677036338,-14.4135677036277, 23.3357873679029)); #485575=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4135677036277,23.3357873679029)); #485576=CARTESIAN_POINT('Ctrl Pts',(14.4135677036338,-14.4135677036277, 23.3357873679029)); #485577=CARTESIAN_POINT('Ctrl Pts',(14.4135677036338,6.17235251309931E-12, 23.3357873677964)); #485578=CARTESIAN_POINT('Ctrl Pts',(14.4135677036338,14.41356770364,23.33578736769)); #485579=CARTESIAN_POINT('Ctrl Pts',(0.,14.41356770364,23.33578736769)); #485580=CARTESIAN_POINT('Ctrl Pts',(0.,14.37011479721,23.51131634965)); #485581=CARTESIAN_POINT('Ctrl Pts',(-14.3701147972025,14.37011479721,23.51131634965)); #485582=CARTESIAN_POINT('Ctrl Pts',(-14.3701147972025,7.46864723465352E-12, 23.5113163497561)); #485583=CARTESIAN_POINT('Ctrl Pts',(-14.3701147972025,-14.3701147971951, 23.5113163498622)); #485584=CARTESIAN_POINT('Ctrl Pts',(0.,-14.3701147971951,23.5113163498622)); #485585=CARTESIAN_POINT('Ctrl Pts',(14.3701147972025,-14.3701147971951, 23.5113163498622)); #485586=CARTESIAN_POINT('Ctrl Pts',(14.3701147972025,7.46864723465352E-12, 23.5113163497561)); #485587=CARTESIAN_POINT('Ctrl Pts',(14.3701147972025,14.37011479721,23.51131634965)); #485588=CARTESIAN_POINT('Ctrl Pts',(0.,14.37011479721,23.51131634965)); #485589=CARTESIAN_POINT('Ctrl Pts',(0.,14.32719551245,23.68632580916)); #485590=CARTESIAN_POINT('Ctrl Pts',(-14.3271955124412,14.32719551245,23.68632580916)); #485591=CARTESIAN_POINT('Ctrl Pts',(-14.3271955124412,8.76110524387607E-12, 23.6863258092658)); #485592=CARTESIAN_POINT('Ctrl Pts',(-14.3271955124412,-14.3271955124325, 23.6863258093716)); #485593=CARTESIAN_POINT('Ctrl Pts',(0.,-14.3271955124325,23.6863258093716)); #485594=CARTESIAN_POINT('Ctrl Pts',(14.3271955124412,-14.3271955124325, 23.6863258093716)); #485595=CARTESIAN_POINT('Ctrl Pts',(14.3271955124412,8.76110524387607E-12, 23.6863258092658)); #485596=CARTESIAN_POINT('Ctrl Pts',(14.3271955124412,14.32719551245,23.68632580916)); #485597=CARTESIAN_POINT('Ctrl Pts',(0.,14.32719551245,23.68632580916)); #485598=CARTESIAN_POINT('Ctrl Pts',(0.,14.28769677143,23.85162145032)); #485599=CARTESIAN_POINT('Ctrl Pts',(-14.28769677142,14.28769677143,23.85162145032)); #485600=CARTESIAN_POINT('Ctrl Pts',(-14.28769677142,9.98182597465814E-12, 23.8516214504255)); #485601=CARTESIAN_POINT('Ctrl Pts',(-14.28769677142,-14.28769677141,23.851621450531)); #485602=CARTESIAN_POINT('Ctrl Pts',(0.,-14.28769677141,23.851621450531)); #485603=CARTESIAN_POINT('Ctrl Pts',(14.28769677142,-14.28769677141,23.851621450531)); #485604=CARTESIAN_POINT('Ctrl Pts',(14.28769677142,9.98182597465814E-12, 23.8516214504255)); #485605=CARTESIAN_POINT('Ctrl Pts',(14.28769677142,14.28769677143,23.85162145032)); #485606=CARTESIAN_POINT('Ctrl Pts',(0.,14.28769677143,23.85162145032)); #485607=CARTESIAN_POINT('Ctrl Pts',(0.,14.25324025792,24.00247976249)); #485608=CARTESIAN_POINT('Ctrl Pts',(-14.2532402579089,14.25324025792,24.00247976249)); #485609=CARTESIAN_POINT('Ctrl Pts',(-14.2532402579089,1.10959259459845E-11, 24.0024797625953)); #485610=CARTESIAN_POINT('Ctrl Pts',(-14.2532402579089,-14.2532402578978, 24.0024797627005)); #485611=CARTESIAN_POINT('Ctrl Pts',(0.,-14.2532402578978,24.0024797627005)); #485612=CARTESIAN_POINT('Ctrl Pts',(14.2532402579089,-14.2532402578978, 24.0024797627005)); #485613=CARTESIAN_POINT('Ctrl Pts',(14.2532402579089,1.10959259459845E-11, 24.0024797625953)); #485614=CARTESIAN_POINT('Ctrl Pts',(14.2532402579089,14.25324025792,24.00247976249)); #485615=CARTESIAN_POINT('Ctrl Pts',(0.,14.25324025792,24.00247976249)); #485616=CARTESIAN_POINT('Ctrl Pts',(0.,14.2203292670947,24.1552846689298)); #485617=CARTESIAN_POINT('Ctrl Pts',(-14.2203292670825,14.2203292670947, 24.1552846689298)); #485618=CARTESIAN_POINT('Ctrl Pts',(-14.2203292670825,1.22244016622664E-11, 24.1552846690348)); #485619=CARTESIAN_POINT('Ctrl Pts',(-14.2203292670825,-14.2203292670703, 24.1552846691398)); #485620=CARTESIAN_POINT('Ctrl Pts',(0.,-14.2203292670703,24.1552846691398)); #485621=CARTESIAN_POINT('Ctrl Pts',(14.2203292670825,-14.2203292670703, 24.1552846691398)); #485622=CARTESIAN_POINT('Ctrl Pts',(14.2203292670825,1.22244016622664E-11, 24.1552846690348)); #485623=CARTESIAN_POINT('Ctrl Pts',(14.2203292670825,14.2203292670947,24.1552846689298)); #485624=CARTESIAN_POINT('Ctrl Pts',(0.,14.2203292670947,24.1552846689298)); #485625=CARTESIAN_POINT('Ctrl Pts',(0.,14.1997785199556,24.2583198562566)); #485626=CARTESIAN_POINT('Ctrl Pts',(-14.1997785199426,14.1997785199556, 24.2583198562566)); #485627=CARTESIAN_POINT('Ctrl Pts',(-14.1997785199426,1.29853242630517E-11, 24.2583198563615)); #485628=CARTESIAN_POINT('Ctrl Pts',(-14.1997785199426,-14.1997785199296, 24.2583198564664)); #485629=CARTESIAN_POINT('Ctrl Pts',(0.,-14.1997785199296,24.2583198564664)); #485630=CARTESIAN_POINT('Ctrl Pts',(14.1997785199426,-14.1997785199296, 24.2583198564664)); #485631=CARTESIAN_POINT('Ctrl Pts',(14.1997785199426,1.29853242630517E-11, 24.2583198563615)); #485632=CARTESIAN_POINT('Ctrl Pts',(14.1997785199426,14.1997785199556,24.2583198562566)); #485633=CARTESIAN_POINT('Ctrl Pts',(0.,14.1997785199556,24.2583198562566)); #485634=CARTESIAN_POINT('Ctrl Pts',(0.,14.1888433619,24.31536690022)); #485635=CARTESIAN_POINT('Ctrl Pts',(-14.1888433618866,14.1888433619,24.31536690022)); #485636=CARTESIAN_POINT('Ctrl Pts',(-14.1888433618866,1.3406620969409E-11, 24.3153669003248)); #485637=CARTESIAN_POINT('Ctrl Pts',(-14.1888433618866,-14.1888433618732, 24.3153669004296)); #485638=CARTESIAN_POINT('Ctrl Pts',(0.,-14.1888433618732,24.3153669004296)); #485639=CARTESIAN_POINT('Ctrl Pts',(14.1888433618866,-14.1888433618732, 24.3153669004296)); #485640=CARTESIAN_POINT('Ctrl Pts',(14.1888433618866,1.3406620969409E-11, 24.3153669003248)); #485641=CARTESIAN_POINT('Ctrl Pts',(14.1888433618866,14.1888433619,24.31536690022)); #485642=CARTESIAN_POINT('Ctrl Pts',(0.,14.1888433619,24.31536690022)); #485643=CARTESIAN_POINT('',(14.3125845846017,2.3077795719395,22.9999797930248)); #485644=CARTESIAN_POINT('',(8.73177903274843,-11.5729354155086,22.9999753924692)); #485645=CARTESIAN_POINT('Origin',(5.74873482150906E-11,-4.02997080151124E-11, 23.0000000001592)); #485646=CARTESIAN_POINT('',(13.76842607788,3.503882233883,24.22133926098)); #485647=CARTESIAN_POINT('Ctrl Pts',(14.3127307618533,2.30777509048184,22.999171636876)); #485648=CARTESIAN_POINT('Ctrl Pts',(14.2209647660769,2.51025767793772,23.2323088643719)); #485649=CARTESIAN_POINT('Ctrl Pts',(14.1327913127446,2.70174377642255,23.4412960738781)); #485650=CARTESIAN_POINT('Ctrl Pts',(13.9492796163943,3.10023309038219,23.8472935842523)); #485651=CARTESIAN_POINT('Ctrl Pts',(13.8564178390108,3.30341166011516,24.0426515668647)); #485652=CARTESIAN_POINT('Ctrl Pts',(13.7684260778795,3.50388223387619,24.2213392609874)); #485653=CARTESIAN_POINT('',(14.2072779719309,-1.73988974929219E-15,24.2213392609541)); #485654=CARTESIAN_POINT('Origin',(0.,1.271221978753E-11,24.22133926101)); #485655=CARTESIAN_POINT('',(9.37282434396,-10.6769336042,24.22133926098)); #485656=CARTESIAN_POINT('Origin',(0.,1.271221978753E-11,24.22133926101)); #485657=CARTESIAN_POINT('Ctrl Pts',(9.37282434395816,-10.6769336041996, 24.2213392609806)); #485658=CARTESIAN_POINT('Ctrl Pts',(9.34967792292922,-10.7095943647614, 24.1750882831495)); #485659=CARTESIAN_POINT('Ctrl Pts',(9.32900831908644,-10.7387501992504, 24.1343018778639)); #485660=CARTESIAN_POINT('Ctrl Pts',(9.27389150245234,-10.8177494260726, 24.0236583041057)); #485661=CARTESIAN_POINT('Ctrl Pts',(9.24991706568452,-10.8524485249867, 23.9751506838579)); #485662=CARTESIAN_POINT('Ctrl Pts',(9.17471560176658,-10.9615764998771, 23.8234818646908)); #485663=CARTESIAN_POINT('Ctrl Pts',(9.12837678753622,-11.0291562310901, 23.7307355639664)); #485664=CARTESIAN_POINT('Ctrl Pts',(8.96346748993847,-11.2647324546531, 23.4109374691328)); #485665=CARTESIAN_POINT('Ctrl Pts',(8.83937586096916,-11.4336505244222, 23.1849425154018)); #485666=CARTESIAN_POINT('Ctrl Pts',(8.73110869436709,-11.5735579942189, 22.9992520991772)); #485667=CARTESIAN_POINT('Origin',(0.,0.,11.)); #485668=CARTESIAN_POINT('',(8.725438461838,-11.58087749908,22.98954145574)); #485669=CARTESIAN_POINT('',(14.3165178331,2.299416694363,22.98954145569)); #485670=CARTESIAN_POINT('Origin',(0.,3.615300434321E-12,22.9895414557)); #485671=CARTESIAN_POINT('Ctrl Pts',(14.3150788826268,2.30835798440597,22.9991703594235)); #485672=CARTESIAN_POINT('Ctrl Pts',(14.3153001924947,2.30698555073789,22.9976931798338)); #485673=CARTESIAN_POINT('Ctrl Pts',(14.3155212730631,2.30561328325477,22.9962158100669)); #485674=CARTESIAN_POINT('Ctrl Pts',(14.3160010084471,2.30263279315014,22.9930062462944)); #485675=CARTESIAN_POINT('Ctrl Pts',(14.3162595781438,2.30102462901977,22.9912739779647)); #485676=CARTESIAN_POINT('Ctrl Pts',(14.3165178331079,2.29941669436064,22.9895414556933)); #485677=CARTESIAN_POINT('Ctrl Pts',(8.72543846185453,-11.5808774990667, 22.9895414557123)); #485678=CARTESIAN_POINT('Ctrl Pts',(8.72673240969286,-11.579902593475,22.9912843847211)); #485679=CARTESIAN_POINT('Ctrl Pts',(8.72802545290973,-11.5789280296702, 22.9930268274887)); #485680=CARTESIAN_POINT('Ctrl Pts',(8.73044206047031,-11.5771060031574, 22.9962846883695)); #485681=CARTESIAN_POINT('Ctrl Pts',(8.731565219738,-11.5762589290316,22.9977993822748)); #485682=CARTESIAN_POINT('Ctrl Pts',(8.73268810649015,-11.5754118041123, 22.999314251257)); #485683=CARTESIAN_POINT('Ctrl Pts',(0.,14.99978874879,18.80162297012)); #485684=CARTESIAN_POINT('Ctrl Pts',(-14.9997887488173,14.99978874879,18.80162297012)); #485685=CARTESIAN_POINT('Ctrl Pts',(-14.9997887488173,-2.7312792273838E-11, 18.8016229702308)); #485686=CARTESIAN_POINT('Ctrl Pts',(-14.9997887488173,-14.9997887488446, 18.8016229703415)); #485687=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9997887488446,18.8016229703415)); #485688=CARTESIAN_POINT('Ctrl Pts',(14.9997887488173,-14.9997887488446, 18.8016229703415)); #485689=CARTESIAN_POINT('Ctrl Pts',(14.9997887488173,-2.7312792273838E-11, 18.8016229702308)); #485690=CARTESIAN_POINT('Ctrl Pts',(14.9997887488173,14.99978874879,18.80162297012)); #485691=CARTESIAN_POINT('Ctrl Pts',(0.,14.99978874879,18.80162297012)); #485692=CARTESIAN_POINT('Ctrl Pts',(0.,15.0001147019408,18.8754296570212)); #485693=CARTESIAN_POINT('Ctrl Pts',(-15.0001147019676,15.0001147019408, 18.8754296570212)); #485694=CARTESIAN_POINT('Ctrl Pts',(-15.0001147019676,-2.67677243450133E-11, 18.875429657132)); #485695=CARTESIAN_POINT('Ctrl Pts',(-15.0001147019676,-15.0001147019943, 18.8754296572428)); #485696=CARTESIAN_POINT('Ctrl Pts',(0.,-15.0001147019943,18.8754296572428)); #485697=CARTESIAN_POINT('Ctrl Pts',(15.0001147019676,-15.0001147019943, 18.8754296572428)); #485698=CARTESIAN_POINT('Ctrl Pts',(15.0001147019676,-2.67677243450133E-11, 18.875429657132)); #485699=CARTESIAN_POINT('Ctrl Pts',(15.0001147019676,15.0001147019408,18.8754296570212)); #485700=CARTESIAN_POINT('Ctrl Pts',(0.,15.0001147019408,18.8754296570212)); #485701=CARTESIAN_POINT('Ctrl Pts',(0.,15.0000152035358,19.0212441001061)); #485702=CARTESIAN_POINT('Ctrl Pts',(-15.0000152035615,15.0000152035358, 19.0212441001061)); #485703=CARTESIAN_POINT('Ctrl Pts',(-15.0000152035615,-2.56908737258907E-11, 19.0212441002168)); #485704=CARTESIAN_POINT('Ctrl Pts',(-15.0000152035615,-15.0000152035871, 19.0212441003276)); #485705=CARTESIAN_POINT('Ctrl Pts',(0.,-15.0000152035871,19.0212441003276)); #485706=CARTESIAN_POINT('Ctrl Pts',(15.0000152035615,-15.0000152035871, 19.0212441003276)); #485707=CARTESIAN_POINT('Ctrl Pts',(15.0000152035615,-2.56908737258907E-11, 19.0212441002168)); #485708=CARTESIAN_POINT('Ctrl Pts',(15.0000152035615,15.0000152035358,19.0212441001061)); #485709=CARTESIAN_POINT('Ctrl Pts',(0.,15.0000152035358,19.0212441001061)); #485710=CARTESIAN_POINT('Ctrl Pts',(0.,14.99769019786,19.23667200881)); #485711=CARTESIAN_POINT('Ctrl Pts',(-14.9976901978841,14.99769019786,19.23667200881)); #485712=CARTESIAN_POINT('Ctrl Pts',(-14.9976901978841,-2.40999224322034E-11, 19.2366720089208)); #485713=CARTESIAN_POINT('Ctrl Pts',(-14.9976901978841,-14.9976901979082, 19.2366720090315)); #485714=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9976901979082,19.2366720090315)); #485715=CARTESIAN_POINT('Ctrl Pts',(14.9976901978841,-14.9976901979082, 19.2366720090315)); #485716=CARTESIAN_POINT('Ctrl Pts',(14.9976901978841,-2.40999224322034E-11, 19.2366720089208)); #485717=CARTESIAN_POINT('Ctrl Pts',(14.9976901978841,14.99769019786,19.23667200881)); #485718=CARTESIAN_POINT('Ctrl Pts',(0.,14.99769019786,19.23667200881)); #485719=CARTESIAN_POINT('Ctrl Pts',(0.,14.99333689889,19.4421404013)); #485720=CARTESIAN_POINT('Ctrl Pts',(-14.9933368989126,14.99333689889,19.4421404013)); #485721=CARTESIAN_POINT('Ctrl Pts',(-14.9933368989126,-2.25825229141445E-11, 19.4421404014107)); #485722=CARTESIAN_POINT('Ctrl Pts',(-14.9933368989126,-14.9933368989352, 19.4421404015215)); #485723=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9933368989352,19.4421404015215)); #485724=CARTESIAN_POINT('Ctrl Pts',(14.9933368989126,-14.9933368989352, 19.4421404015215)); #485725=CARTESIAN_POINT('Ctrl Pts',(14.9933368989126,-2.25825229141445E-11, 19.4421404014107)); #485726=CARTESIAN_POINT('Ctrl Pts',(14.9933368989126,14.99333689889,19.4421404013)); #485727=CARTESIAN_POINT('Ctrl Pts',(0.,14.99333689889,19.4421404013)); #485728=CARTESIAN_POINT('Ctrl Pts',(0.,14.98727207157,19.63416225315)); #485729=CARTESIAN_POINT('Ctrl Pts',(-14.9872720715912,14.98727207157,19.63416225315)); #485730=CARTESIAN_POINT('Ctrl Pts',(-14.9872720715912,-2.11644271091262E-11, 19.6341622532607)); #485731=CARTESIAN_POINT('Ctrl Pts',(-14.9872720715912,-14.9872720716123, 19.6341622533714)); #485732=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9872720716123,19.6341622533714)); #485733=CARTESIAN_POINT('Ctrl Pts',(14.9872720715912,-14.9872720716123, 19.6341622533714)); #485734=CARTESIAN_POINT('Ctrl Pts',(14.9872720715912,-2.11644271091262E-11, 19.6341622532607)); #485735=CARTESIAN_POINT('Ctrl Pts',(14.9872720715912,14.98727207157,19.63416225315)); #485736=CARTESIAN_POINT('Ctrl Pts',(0.,14.98727207157,19.63416225315)); #485737=CARTESIAN_POINT('Ctrl Pts',(0.,14.97988512931,19.81313903094)); #485738=CARTESIAN_POINT('Ctrl Pts',(-14.9798851293298,14.97988512931,19.81313903094)); #485739=CARTESIAN_POINT('Ctrl Pts',(-14.9798851293298,-1.98426701562875E-11, 19.8131390310506)); #485740=CARTESIAN_POINT('Ctrl Pts',(-14.9798851293298,-14.9798851293497, 19.8131390311613)); #485741=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9798851293497,19.8131390311613)); #485742=CARTESIAN_POINT('Ctrl Pts',(14.9798851293298,-14.9798851293497, 19.8131390311613)); #485743=CARTESIAN_POINT('Ctrl Pts',(14.9798851293298,-1.98426701562875E-11, 19.8131390310506)); #485744=CARTESIAN_POINT('Ctrl Pts',(14.9798851293298,14.97988512931,19.81313903094)); #485745=CARTESIAN_POINT('Ctrl Pts',(0.,14.97988512931,19.81313903094)); #485746=CARTESIAN_POINT('Ctrl Pts',(0.,14.97112391427,19.9871456963)); #485747=CARTESIAN_POINT('Ctrl Pts',(-14.9711239142886,14.97112391427,19.9871456963)); #485748=CARTESIAN_POINT('Ctrl Pts',(-14.9711239142886,-1.85576178572139E-11, 19.9871456964106)); #485749=CARTESIAN_POINT('Ctrl Pts',(-14.9711239142886,-14.9711239143071, 19.9871456965211)); #485750=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9711239143071,19.9871456965211)); #485751=CARTESIAN_POINT('Ctrl Pts',(14.9711239142886,-14.9711239143071, 19.9871456965211)); #485752=CARTESIAN_POINT('Ctrl Pts',(14.9711239142886,-1.85576178572139E-11, 19.9871456964106)); #485753=CARTESIAN_POINT('Ctrl Pts',(14.9711239142886,14.97112391427,19.9871456963)); #485754=CARTESIAN_POINT('Ctrl Pts',(0.,14.97112391427,19.9871456963)); #485755=CARTESIAN_POINT('Ctrl Pts',(0.,14.96040920421,20.16678002333)); #485756=CARTESIAN_POINT('Ctrl Pts',(-14.9604092042272,14.96040920421,20.16678002333)); #485757=CARTESIAN_POINT('Ctrl Pts',(-14.9604092042272,-1.72310048538278E-11, 20.1667800234405)); #485758=CARTESIAN_POINT('Ctrl Pts',(-14.9604092042272,-14.9604092042445, 20.166780023551)); #485759=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9604092042445,20.166780023551)); #485760=CARTESIAN_POINT('Ctrl Pts',(14.9604092042272,-14.9604092042445, 20.166780023551)); #485761=CARTESIAN_POINT('Ctrl Pts',(14.9604092042272,-1.72310048538278E-11, 20.1667800234405)); #485762=CARTESIAN_POINT('Ctrl Pts',(14.9604092042272,14.96040920421,20.16678002333)); #485763=CARTESIAN_POINT('Ctrl Pts',(0.,14.96040920421,20.16678002333)); #485764=CARTESIAN_POINT('Ctrl Pts',(0.,14.94679748055,20.36061144988)); #485765=CARTESIAN_POINT('Ctrl Pts',(-14.9467974805658,14.94679748055,20.36061144988)); #485766=CARTESIAN_POINT('Ctrl Pts',(-14.9467974805658,-1.57995452036734E-11, 20.3606114499904)); #485767=CARTESIAN_POINT('Ctrl Pts',(-14.9467974805658,-14.9467974805816, 20.3606114501008)); #485768=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9467974805816,20.3606114501008)); #485769=CARTESIAN_POINT('Ctrl Pts',(14.9467974805658,-14.9467974805816, 20.3606114501008)); #485770=CARTESIAN_POINT('Ctrl Pts',(14.9467974805658,-1.57995452036734E-11, 20.3606114499904)); #485771=CARTESIAN_POINT('Ctrl Pts',(14.9467974805658,14.94679748055,20.36061144988)); #485772=CARTESIAN_POINT('Ctrl Pts',(0.,14.94679748055,20.36061144988)); #485773=CARTESIAN_POINT('Ctrl Pts',(0.,14.92918063306,20.57288312013)); #485774=CARTESIAN_POINT('Ctrl Pts',(-14.9291806330742,14.92918063306,20.57288312013)); #485775=CARTESIAN_POINT('Ctrl Pts',(-14.9291806330742,-1.42319029681386E-11, 20.5728831202403)); #485776=CARTESIAN_POINT('Ctrl Pts',(-14.9291806330742,-14.9291806330885, 20.5728831203505)); #485777=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9291806330885,20.5728831203505)); #485778=CARTESIAN_POINT('Ctrl Pts',(14.9291806330742,-14.9291806330885, 20.5728831203505)); #485779=CARTESIAN_POINT('Ctrl Pts',(14.9291806330742,-1.42319029681386E-11, 20.5728831202403)); #485780=CARTESIAN_POINT('Ctrl Pts',(14.9291806330742,14.92918063306,20.57288312013)); #485781=CARTESIAN_POINT('Ctrl Pts',(0.,14.92918063306,20.57288312013)); #485782=CARTESIAN_POINT('Ctrl Pts',(0.,14.90668350891,20.80251376406)); #485783=CARTESIAN_POINT('Ctrl Pts',(-14.9066835089225,14.90668350891,20.80251376406)); #485784=CARTESIAN_POINT('Ctrl Pts',(-14.9066835089225,-1.25360634075712E-11, 20.8025137641701)); #485785=CARTESIAN_POINT('Ctrl Pts',(-14.9066835089225,-14.9066835089351, 20.8025137642802)); #485786=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9066835089351,20.8025137642802)); #485787=CARTESIAN_POINT('Ctrl Pts',(14.9066835089225,-14.9066835089351, 20.8025137642802)); #485788=CARTESIAN_POINT('Ctrl Pts',(14.9066835089225,-1.25360634075712E-11, 20.8025137641701)); #485789=CARTESIAN_POINT('Ctrl Pts',(14.9066835089225,14.90668350891,20.80251376406)); #485790=CARTESIAN_POINT('Ctrl Pts',(0.,14.90668350891,20.80251376406)); #485791=CARTESIAN_POINT('Ctrl Pts',(0.,14.87945857498,21.04066055957)); #485792=CARTESIAN_POINT('Ctrl Pts',(-14.8794585749908,14.87945857498,21.04066055957)); #485793=CARTESIAN_POINT('Ctrl Pts',(-14.8794585749908,-1.07773314276562E-11, 21.0406605596799)); #485794=CARTESIAN_POINT('Ctrl Pts',(-14.8794585749908,-14.8794585750016, 21.0406605597898)); #485795=CARTESIAN_POINT('Ctrl Pts',(0.,-14.8794585750016,21.0406605597898)); #485796=CARTESIAN_POINT('Ctrl Pts',(14.8794585749908,-14.8794585750016, 21.0406605597898)); #485797=CARTESIAN_POINT('Ctrl Pts',(14.8794585749908,-1.07773314276562E-11, 21.0406605596799)); #485798=CARTESIAN_POINT('Ctrl Pts',(14.8794585749908,14.87945857498,21.04066055957)); #485799=CARTESIAN_POINT('Ctrl Pts',(0.,14.87945857498,21.04066055957)); #485800=CARTESIAN_POINT('Ctrl Pts',(0.,14.84868646109,21.27484036964)); #485801=CARTESIAN_POINT('Ctrl Pts',(-14.848686461099,14.84868646109,21.27484036964)); #485802=CARTESIAN_POINT('Ctrl Pts',(-14.848686461099,-9.04789593330716E-12, 21.2748403697497)); #485803=CARTESIAN_POINT('Ctrl Pts',(-14.848686461099,-14.8486864611081, 21.2748403698593)); #485804=CARTESIAN_POINT('Ctrl Pts',(0.,-14.8486864611081,21.2748403698593)); #485805=CARTESIAN_POINT('Ctrl Pts',(14.848686461099,-14.8486864611081,21.2748403698593)); #485806=CARTESIAN_POINT('Ctrl Pts',(14.848686461099,-9.04789593330716E-12, 21.2748403697497)); #485807=CARTESIAN_POINT('Ctrl Pts',(14.848686461099,14.84868646109,21.27484036964)); #485808=CARTESIAN_POINT('Ctrl Pts',(0.,14.84868646109,21.27484036964)); #485809=CARTESIAN_POINT('Ctrl Pts',(0.,14.81501161349,21.500532289)); #485810=CARTESIAN_POINT('Ctrl Pts',(-14.8150116134974,14.81501161349,21.500532289)); #485811=CARTESIAN_POINT('Ctrl Pts',(-14.8150116134974,-7.38114414965758E-12, 21.5005322891094)); #485812=CARTESIAN_POINT('Ctrl Pts',(-14.8150116134974,-14.8150116135048, 21.5005322892188)); #485813=CARTESIAN_POINT('Ctrl Pts',(0.,-14.8150116135048,21.5005322892188)); #485814=CARTESIAN_POINT('Ctrl Pts',(14.8150116134974,-14.8150116135048, 21.5005322892188)); #485815=CARTESIAN_POINT('Ctrl Pts',(14.8150116134974,-7.38114414965758E-12, 21.5005322891094)); #485816=CARTESIAN_POINT('Ctrl Pts',(14.8150116134974,14.81501161349,21.500532289)); #485817=CARTESIAN_POINT('Ctrl Pts',(0.,14.81501161349,21.500532289)); #485818=CARTESIAN_POINT('Ctrl Pts',(0.,14.77788206396,21.72249425652)); #485819=CARTESIAN_POINT('Ctrl Pts',(-14.7778820639657,14.77788206396,21.72249425652)); #485820=CARTESIAN_POINT('Ctrl Pts',(-14.7778820639657,-5.74193834062651E-12, 21.7224942566291)); #485821=CARTESIAN_POINT('Ctrl Pts',(-14.7778820639657,-14.7778820639715, 21.7224942567383)); #485822=CARTESIAN_POINT('Ctrl Pts',(0.,-14.7778820639715,21.7224942567383)); #485823=CARTESIAN_POINT('Ctrl Pts',(14.7778820639657,-14.7778820639715, 21.7224942567383)); #485824=CARTESIAN_POINT('Ctrl Pts',(14.7778820639657,-5.74193834062651E-12, 21.7224942566291)); #485825=CARTESIAN_POINT('Ctrl Pts',(14.7778820639657,14.77788206396,21.72249425652)); #485826=CARTESIAN_POINT('Ctrl Pts',(0.,14.77788206396,21.72249425652)); #485827=CARTESIAN_POINT('Ctrl Pts',(0.,14.73732945281,21.94287596693)); #485828=CARTESIAN_POINT('Ctrl Pts',(-14.7373294528141,14.73732945281,21.94287596693)); #485829=CARTESIAN_POINT('Ctrl Pts',(-14.7373294528141,-4.11440284909832E-12, 21.9428759670388)); #485830=CARTESIAN_POINT('Ctrl Pts',(-14.7373294528141,-14.7373294528182, 21.9428759671477)); #485831=CARTESIAN_POINT('Ctrl Pts',(0.,-14.7373294528182,21.9428759671477)); #485832=CARTESIAN_POINT('Ctrl Pts',(14.7373294528141,-14.7373294528182, 21.9428759671477)); #485833=CARTESIAN_POINT('Ctrl Pts',(14.7373294528141,-4.11440284909832E-12, 21.9428759670388)); #485834=CARTESIAN_POINT('Ctrl Pts',(14.7373294528141,14.73732945281,21.94287596693)); #485835=CARTESIAN_POINT('Ctrl Pts',(0.,14.73732945281,21.94287596693)); #485836=CARTESIAN_POINT('Ctrl Pts',(0.,14.69554657917,22.15354319514)); #485837=CARTESIAN_POINT('Ctrl Pts',(-14.6955465791726,14.69554657917,22.15354319514)); #485838=CARTESIAN_POINT('Ctrl Pts',(-14.6955465791726,-2.55860953859294E-12, 22.1535431952485)); #485839=CARTESIAN_POINT('Ctrl Pts',(-14.6955465791726,-14.6955465791751, 22.1535431953571)); #485840=CARTESIAN_POINT('Ctrl Pts',(0.,-14.6955465791751,22.1535431953571)); #485841=CARTESIAN_POINT('Ctrl Pts',(14.6955465791726,-14.6955465791751, 22.1535431953571)); #485842=CARTESIAN_POINT('Ctrl Pts',(14.6955465791726,-2.55860953859294E-12, 22.1535431952485)); #485843=CARTESIAN_POINT('Ctrl Pts',(14.6955465791726,14.69554657917,22.15354319514)); #485844=CARTESIAN_POINT('Ctrl Pts',(0.,14.69554657917,22.15354319514)); #485845=CARTESIAN_POINT('Ctrl Pts',(0.,14.65510897876,22.34409731383)); #485846=CARTESIAN_POINT('Ctrl Pts',(-14.6551089787612,14.65510897876,22.34409731383)); #485847=CARTESIAN_POINT('Ctrl Pts',(-14.6551089787612,-1.15135305325297E-12, 22.3440973139382)); #485848=CARTESIAN_POINT('Ctrl Pts',(-14.6551089787612,-14.6551089787623, 22.3440973140465)); #485849=CARTESIAN_POINT('Ctrl Pts',(0.,-14.6551089787623,22.3440973140465)); #485850=CARTESIAN_POINT('Ctrl Pts',(14.6551089787612,-14.6551089787623, 22.3440973140465)); #485851=CARTESIAN_POINT('Ctrl Pts',(14.6551089787612,-1.15135305325297E-12, 22.3440973139382)); #485852=CARTESIAN_POINT('Ctrl Pts',(14.6551089787612,14.65510897876,22.34409731383)); #485853=CARTESIAN_POINT('Ctrl Pts',(0.,14.65510897876,22.34409731383)); #485854=CARTESIAN_POINT('Ctrl Pts',(0.,14.61664866301,22.51395747322)); #485855=CARTESIAN_POINT('Ctrl Pts',(-14.6166486630099,14.61664866301,22.51395747322)); #485856=CARTESIAN_POINT('Ctrl Pts',(-14.6166486630099,1.03076987649491E-13, 22.5139574733279)); #485857=CARTESIAN_POINT('Ctrl Pts',(-14.6166486630099,-14.6166486630098, 22.5139574734359)); #485858=CARTESIAN_POINT('Ctrl Pts',(0.,-14.6166486630098,22.5139574734359)); #485859=CARTESIAN_POINT('Ctrl Pts',(14.6166486630099,-14.6166486630098, 22.5139574734359)); #485860=CARTESIAN_POINT('Ctrl Pts',(14.6166486630099,1.03076987649491E-13, 22.5139574733279)); #485861=CARTESIAN_POINT('Ctrl Pts',(14.6166486630099,14.61664866301,22.51395747322)); #485862=CARTESIAN_POINT('Ctrl Pts',(0.,14.61664866301,22.51395747322)); #485863=CARTESIAN_POINT('Ctrl Pts',(0.,14.57877523162,22.67265200704)); #485864=CARTESIAN_POINT('Ctrl Pts',(-14.5787752316187,14.57877523162,22.67265200704)); #485865=CARTESIAN_POINT('Ctrl Pts',(-14.5787752316187,1.27504804469849E-12, 22.6726520071477)); #485866=CARTESIAN_POINT('Ctrl Pts',(-14.5787752316187,-14.5787752316174, 22.6726520072553)); #485867=CARTESIAN_POINT('Ctrl Pts',(0.,-14.5787752316175,22.6726520072553)); #485868=CARTESIAN_POINT('Ctrl Pts',(14.5787752316187,-14.5787752316174, 22.6726520072553)); #485869=CARTESIAN_POINT('Ctrl Pts',(14.5787752316187,1.27504804469849E-12, 22.6726520071477)); #485870=CARTESIAN_POINT('Ctrl Pts',(14.5787752316187,14.57877523162,22.67265200704)); #485871=CARTESIAN_POINT('Ctrl Pts',(0.,14.57877523162,22.67265200704)); #485872=CARTESIAN_POINT('Ctrl Pts',(0.,14.5323740325615,22.8607563264774)); #485873=CARTESIAN_POINT('Ctrl Pts',(-14.5323740325588,14.5323740325615, 22.8607563264774)); #485874=CARTESIAN_POINT('Ctrl Pts',(-14.5323740325588,2.66421257847225E-12, 22.8607563265847)); #485875=CARTESIAN_POINT('Ctrl Pts',(-14.5323740325588,-14.5323740325561, 22.860756326692)); #485876=CARTESIAN_POINT('Ctrl Pts',(0.,-14.5323740325561,22.860756326692)); #485877=CARTESIAN_POINT('Ctrl Pts',(14.5323740325588,-14.5323740325561, 22.860756326692)); #485878=CARTESIAN_POINT('Ctrl Pts',(14.5323740325588,2.66421257847225E-12, 22.8607563265847)); #485879=CARTESIAN_POINT('Ctrl Pts',(14.5323740325588,14.5323740325615,22.8607563264774)); #485880=CARTESIAN_POINT('Ctrl Pts',(0.,14.5323740325615,22.8607563264774)); #485881=CARTESIAN_POINT('Ctrl Pts',(0.,14.4979219623173,22.997664731754)); #485882=CARTESIAN_POINT('Ctrl Pts',(-14.4979219623136,14.4979219623173, 22.997664731754)); #485883=CARTESIAN_POINT('Ctrl Pts',(-14.4979219623136,3.67529143915257E-12, 22.9976647318611)); #485884=CARTESIAN_POINT('Ctrl Pts',(-14.4979219623136,-14.4979219623099, 22.9976647319682)); #485885=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4979219623099,22.9976647319682)); #485886=CARTESIAN_POINT('Ctrl Pts',(14.4979219623136,-14.4979219623099, 22.9976647319682)); #485887=CARTESIAN_POINT('Ctrl Pts',(14.4979219623136,3.67529143915257E-12, 22.9976647318611)); #485888=CARTESIAN_POINT('Ctrl Pts',(14.4979219623136,14.4979219623173,22.997664731754)); #485889=CARTESIAN_POINT('Ctrl Pts',(0.,14.4979219623173,22.997664731754)); #485890=CARTESIAN_POINT('Ctrl Pts',(0.,14.47675660715,23.08249750563)); #485891=CARTESIAN_POINT('Ctrl Pts',(-14.4767566071457,14.47675660715,23.08249750563)); #485892=CARTESIAN_POINT('Ctrl Pts',(-14.4767566071457,4.30178784881837E-12, 23.0824975057369)); #485893=CARTESIAN_POINT('Ctrl Pts',(-14.4767566071457,-14.4767566071414, 23.0824975058438)); #485894=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4767566071414,23.0824975058438)); #485895=CARTESIAN_POINT('Ctrl Pts',(14.4767566071457,-14.4767566071414, 23.0824975058438)); #485896=CARTESIAN_POINT('Ctrl Pts',(14.4767566071457,4.30178784881837E-12, 23.0824975057369)); #485897=CARTESIAN_POINT('Ctrl Pts',(14.4767566071457,14.47675660715,23.08249750563)); #485898=CARTESIAN_POINT('Ctrl Pts',(0.,14.47675660715,23.08249750563)); #485899=CARTESIAN_POINT('',(5.86001700617325,-13.8079761949523,18.8974409923495)); #485900=CARTESIAN_POINT('Ctrl Pts',(8.72543846186374,-11.5808774990703, 22.9895414557176)); #485901=CARTESIAN_POINT('Ctrl Pts',(8.62814042512452,-11.7063412399566, 22.8231616149679)); #485902=CARTESIAN_POINT('Ctrl Pts',(8.54302696129265,-11.8097164717451, 22.6904882889124)); #485903=CARTESIAN_POINT('Ctrl Pts',(8.3385965097011,-12.0403536784195,22.3911011930898)); #485904=CARTESIAN_POINT('Ctrl Pts',(8.23449557360417,-12.1501109978877, 22.2455589651724)); #485905=CARTESIAN_POINT('Ctrl Pts',(7.91880262170277,-12.465867493708,21.8021557530908)); #485906=CARTESIAN_POINT('Ctrl Pts',(7.69227817668905,-12.6702242419235, 21.4920056785845)); #485907=CARTESIAN_POINT('Ctrl Pts',(6.8133625322287,-13.3677472954947,20.2697968801269)); #485908=CARTESIAN_POINT('Ctrl Pts',(6.22078677694265,-13.6548680078001, 19.4142970571674)); #485909=CARTESIAN_POINT('Ctrl Pts',(5.86001708593014,-13.8079763643203, 18.8974409868505)); #485910=CARTESIAN_POINT('',(14.9862944733457,-0.64108161814289,18.8974407657436)); #485911=CARTESIAN_POINT('Origin',(0.,-2.660516932494E-11,18.8974409457)); #485912=CARTESIAN_POINT('',(14.5278834452681,1.80996580306637,22.4094355863462)); #485913=CARTESIAN_POINT('Ctrl Pts',(14.9862946061945,-0.641081508598211, 18.8974406969891)); #485914=CARTESIAN_POINT('Ctrl Pts',(15.0026945103206,-0.257707973845439, 19.5399475824079)); #485915=CARTESIAN_POINT('Ctrl Pts',(14.9773280913959,0.154464217038055, 20.1792697568554)); #485916=CARTESIAN_POINT('Ctrl Pts',(14.7982616668161,1.09594403927388,21.5237718132349)); #485917=CARTESIAN_POINT('Ctrl Pts',(14.644845053662,1.51548121741724,22.0543634236741)); #485918=CARTESIAN_POINT('Ctrl Pts',(14.5278834452197,1.80996580012464,22.40943558877)); #485919=CARTESIAN_POINT('Ctrl Pts',(14.5278834452197,1.80996580012547,22.4094355887693)); #485920=CARTESIAN_POINT('Ctrl Pts',(14.4954323244423,1.89167086025603,22.5079507305969)); #485921=CARTESIAN_POINT('Ctrl Pts',(14.461956571241,1.9712795261782,22.6035649307451)); #485922=CARTESIAN_POINT('Ctrl Pts',(14.3912707316512,2.13372048077802,22.7966312816681)); #485923=CARTESIAN_POINT('Ctrl Pts',(14.3541285806306,2.21638421787908,22.8938119863634)); #485924=CARTESIAN_POINT('Ctrl Pts',(14.3165178331002,2.299416694359,22.9895414556936)); #485925=CARTESIAN_POINT('Ctrl Pts',(12.3357805767,5.603318718959,25.20377703144)); #485926=CARTESIAN_POINT('Ctrl Pts',(14.18592045445,8.657922067541,26.85480023637)); #485927=CARTESIAN_POINT('Ctrl Pts',(16.0360603322,11.71252541612,28.5058234413)); #485928=CARTESIAN_POINT('Ctrl Pts',(17.88620020996,14.7671287647,30.15684664622)); #485929=CARTESIAN_POINT('Ctrl Pts',(12.33550866115,5.597848850414,25.20174279673)); #485930=CARTESIAN_POINT('Ctrl Pts',(14.20172376846,8.634810662719,26.85792511991)); #485931=CARTESIAN_POINT('Ctrl Pts',(16.06793887578,11.67177247502,28.51410744309)); #485932=CARTESIAN_POINT('Ctrl Pts',(17.9341539831,14.70873428733,30.17028976627)); #485933=CARTESIAN_POINT('Ctrl Pts',(12.33579515196,5.570961630546,25.19251241379)); #485934=CARTESIAN_POINT('Ctrl Pts',(14.27280770578,8.529762982475,26.87211690827)); #485935=CARTESIAN_POINT('Ctrl Pts',(16.20982025959,11.4885643344,28.55172140274)); #485936=CARTESIAN_POINT('Ctrl Pts',(18.14683281341,14.44736568633,30.23132589722)); #485937=CARTESIAN_POINT('Ctrl Pts',(12.34764929833,5.511349006687,25.17964329926)); #485938=CARTESIAN_POINT('Ctrl Pts',(14.38393171515,8.357248926468,26.8921654961)); #485939=CARTESIAN_POINT('Ctrl Pts',(16.42021413198,11.20314884625,28.60468769294)); #485940=CARTESIAN_POINT('Ctrl Pts',(18.4564965488,14.04904876603,30.31720988978)); #485941=CARTESIAN_POINT('Ctrl Pts',(12.37606735221,5.418146346397,25.18547555144)); #485942=CARTESIAN_POINT('Ctrl Pts',(14.50932058334,8.151255424344,26.88525916312)); #485943=CARTESIAN_POINT('Ctrl Pts',(16.64257381447,10.88436450229,28.58504277479)); #485944=CARTESIAN_POINT('Ctrl Pts',(18.7758270456,13.61747358024,30.28482638647)); #485945=CARTESIAN_POINT('Ctrl Pts',(12.40064269719,5.332666376201,25.20581366418)); #485946=CARTESIAN_POINT('Ctrl Pts',(14.62977217837,7.94686619106,26.84961144045)); #485947=CARTESIAN_POINT('Ctrl Pts',(16.85890165955,10.56106600592,28.49340921672)); #485948=CARTESIAN_POINT('Ctrl Pts',(19.08803114072,13.17526582078,30.13720699299)); #485949=CARTESIAN_POINT('Ctrl Pts',(12.42171602574,5.255855116204,25.21992383026)); #485950=CARTESIAN_POINT('Ctrl Pts',(14.74312389985,7.747745832031,26.80419777163)); #485951=CARTESIAN_POINT('Ctrl Pts',(17.06453177396,10.23963654786,28.388471713)); #485952=CARTESIAN_POINT('Ctrl Pts',(19.38593964807,12.73152726369,29.97274565437)); #485953=CARTESIAN_POINT('Ctrl Pts',(12.43995423457,5.186956638628,25.22661826054)); #485954=CARTESIAN_POINT('Ctrl Pts',(14.84947842204,7.554356503697,26.74897225862)); #485955=CARTESIAN_POINT('Ctrl Pts',(17.25900260952,9.921756368767,28.2713262567)); #485956=CARTESIAN_POINT('Ctrl Pts',(19.66852679699,12.28915623384,29.79368025478)); #485957=CARTESIAN_POINT('Ctrl Pts',(12.46608840893,5.094495465534,25.22640379474)); #485958=CARTESIAN_POINT('Ctrl Pts',(14.98352785397,7.300909476185,26.65329618362)); #485959=CARTESIAN_POINT('Ctrl Pts',(17.500967299,9.507323486837,28.0801885725)); #485960=CARTESIAN_POINT('Ctrl Pts',(20.01840674404,11.71373749749,29.50708096138)); #485961=CARTESIAN_POINT('Ctrl Pts',(12.50072472425,4.979928777285,25.19622708431)); #485962=CARTESIAN_POINT('Ctrl Pts',(15.13681707494,6.993739429678,26.50481033744)); #485963=CARTESIAN_POINT('Ctrl Pts',(17.77290942563,9.007550082072,27.81339359057)); #485964=CARTESIAN_POINT('Ctrl Pts',(20.40900177632,11.02136073447,29.1219768437)); #485965=CARTESIAN_POINT('Ctrl Pts',(12.55247042851,4.825497494673,25.1297128582)); #485966=CARTESIAN_POINT('Ctrl Pts',(15.30107783564,6.638260342234,26.28934103721)); #485967=CARTESIAN_POINT('Ctrl Pts',(18.04968524277,8.451023189795,27.44896921621)); #485968=CARTESIAN_POINT('Ctrl Pts',(20.7982926499,10.26378603736,28.60859739522)); #485969=CARTESIAN_POINT('Ctrl Pts',(12.64218562764,4.570313053214,25.00899596114)); #485970=CARTESIAN_POINT('Ctrl Pts',(15.50269607994,6.167300215491,25.98012940946)); #485971=CARTESIAN_POINT('Ctrl Pts',(18.36320653224,7.764287377767,26.95126285779)); #485972=CARTESIAN_POINT('Ctrl Pts',(21.22371698455,9.361274540044,27.92239630612)); #485973=CARTESIAN_POINT('Ctrl Pts',(12.78032626966,4.169498086029,24.80516140702)); #485974=CARTESIAN_POINT('Ctrl Pts',(15.7258017217,5.583877292741,25.58647619742)); #485975=CARTESIAN_POINT('Ctrl Pts',(18.67127717374,6.998256499453,26.36779098781)); #485976=CARTESIAN_POINT('Ctrl Pts',(21.61675262578,8.412635706165,27.14910577821)); #485977=CARTESIAN_POINT('Ctrl Pts',(12.96143402564,3.576207187218,24.40237354777)); #485978=CARTESIAN_POINT('Ctrl Pts',(15.95307740241,4.894170607047,25.04686011857)); #485979=CARTESIAN_POINT('Ctrl Pts',(18.94472077917,6.212134026876,25.69134668937)); #485980=CARTESIAN_POINT('Ctrl Pts',(21.93636415594,7.530097446705,26.33583326016)); #485981=CARTESIAN_POINT('Ctrl Pts',(13.13700261875,2.89291130697,23.82345482838)); #485982=CARTESIAN_POINT('Ctrl Pts',(16.14565578057,4.205031256881,24.43046003802)); #485983=CARTESIAN_POINT('Ctrl Pts',(19.1543089424,5.517151206792,25.03746524765)); #485984=CARTESIAN_POINT('Ctrl Pts',(22.16296210422,6.829271156703,25.64447045729)); #485985=CARTESIAN_POINT('Ctrl Pts',(13.2963612062,2.117527514157,23.07888342476)); #485986=CARTESIAN_POINT('Ctrl Pts',(16.30700096111,3.500444353641,23.73158102323)); #485987=CARTESIAN_POINT('Ctrl Pts',(19.31764071602,4.883361193126,24.38427862169)); #485988=CARTESIAN_POINT('Ctrl Pts',(22.32828047094,6.26627803261,25.03697622016)); #485989=CARTESIAN_POINT('Ctrl Pts',(13.38487187241,1.52935351979,22.42994039434)); #485990=CARTESIAN_POINT('Ctrl Pts',(16.39569417647,2.998552837526,23.1752535309)); #485991=CARTESIAN_POINT('Ctrl Pts',(19.40651648052,4.467752155262,23.92056666746)); #485992=CARTESIAN_POINT('Ctrl Pts',(22.41733878458,5.936951472997,24.66587980402)); #485993=CARTESIAN_POINT('Ctrl Pts',(13.42290332603,1.203976115929,22.0623035499)); #485994=CARTESIAN_POINT('Ctrl Pts',(16.43492065554,2.728523289149,22.86932127159)); #485995=CARTESIAN_POINT('Ctrl Pts',(19.44693798504,4.25307046237,23.67633899329)); #485996=CARTESIAN_POINT('Ctrl Pts',(22.45895531455,5.77761763559,24.48335671498)); #485997=CARTESIAN_POINT('Ctrl Pts',(13.4256025377,1.180419045319,22.03565008262)); #485998=CARTESIAN_POINT('Ctrl Pts',(16.43771228335,2.709010113031,22.84718433376)); #485999=CARTESIAN_POINT('Ctrl Pts',(19.449822029,4.237601180744,23.65871858489)); #486000=CARTESIAN_POINT('Ctrl Pts',(22.46193177465,5.766192248457,24.47025283603)); #486001=CARTESIAN_POINT('',(22.2791412506135,5.71313093713899,24.4636599142772)); #486002=CARTESIAN_POINT('',(22.27913819485,5.713129401273,24.4636591059)); #486003=CARTESIAN_POINT('',(17.9148694877061,14.4241967285133,30.0999434961683)); #486004=CARTESIAN_POINT('Ctrl Pts',(22.2791412513788,5.71313093691838,24.4636599146418)); #486005=CARTESIAN_POINT('Ctrl Pts',(22.2101592478661,5.9821357640659,24.7712625654683)); #486006=CARTESIAN_POINT('Ctrl Pts',(22.1333132403068,6.26089605113442,25.0814454663547)); #486007=CARTESIAN_POINT('Ctrl Pts',(21.9691074636768,6.81490230213772,25.6680554558696)); #486008=CARTESIAN_POINT('Ctrl Pts',(21.8496991193585,7.19893963785434,26.054064696353)); #486009=CARTESIAN_POINT('Ctrl Pts',(21.5705439247299,7.98984288804316,26.7914586460055)); #486010=CARTESIAN_POINT('Ctrl Pts',(21.4471654220913,8.3163595961398,27.0795455011647)); #486011=CARTESIAN_POINT('Ctrl Pts',(21.2099305023794,8.89956462113268,27.5643386802881)); #486012=CARTESIAN_POINT('Ctrl Pts',(21.1092907890673,9.13590999052014,27.7538726155492)); #486013=CARTESIAN_POINT('Ctrl Pts',(20.7321943212812,9.98100223342729,28.4146745257563)); #486014=CARTESIAN_POINT('Ctrl Pts',(20.5011885924088,10.4350579163283,28.7359111382962)); #486015=CARTESIAN_POINT('Ctrl Pts',(20.1479423982901,11.0977608961732,29.1522332007933)); #486016=CARTESIAN_POINT('Ctrl Pts',(19.9914347895032,11.3772971322619,29.3091803467002)); #486017=CARTESIAN_POINT('Ctrl Pts',(19.6598427594845,11.9417215344407,29.6044209981386)); #486018=CARTESIAN_POINT('Ctrl Pts',(19.5325358030778,12.1456709734275,29.6984897051276)); #486019=CARTESIAN_POINT('Ctrl Pts',(19.3250830773969,12.4731319458349,29.8359855733662)); #486020=CARTESIAN_POINT('Ctrl Pts',(19.2068484365464,12.6544046496751,29.9054139754976)); #486021=CARTESIAN_POINT('Ctrl Pts',(19.0219131787937,12.9300547152457,30.0067891186874)); #486022=CARTESIAN_POINT('Ctrl Pts',(18.9223464457372,13.0753821837163,30.0589985974861)); #486023=CARTESIAN_POINT('Ctrl Pts',(18.7002880077713,13.3924679754404,30.160354595188)); #486024=CARTESIAN_POINT('Ctrl Pts',(18.5748902483162,13.5658442159385,30.1990639509384)); #486025=CARTESIAN_POINT('Ctrl Pts',(18.3505372885947,13.8663409324607,30.2008540820564)); #486026=CARTESIAN_POINT('Ctrl Pts',(18.2739068472831,13.9671407412565,30.189859140332)); #486027=CARTESIAN_POINT('Ctrl Pts',(18.1045466470792,14.1861621281948,30.1537863583205)); #486028=CARTESIAN_POINT('Ctrl Pts',(18.0070844581079,14.3096656248772,30.1261624589461)); #486029=CARTESIAN_POINT('Ctrl Pts',(17.9148694874308,14.4241967281049,30.0999434953524)); #486030=CARTESIAN_POINT('',(12.4453189983789,5.7592306356496,25.2939687836247)); #486031=CARTESIAN_POINT('Ctrl Pts',(12.4453189988176,5.75923063542849,25.2939687835241)); #486032=CARTESIAN_POINT('Ctrl Pts',(12.957578679428,6.57075614071729,25.7440768030162)); #486033=CARTESIAN_POINT('Ctrl Pts',(13.8854530956687,8.04070464374777,26.559379320175)); #486034=CARTESIAN_POINT('Ctrl Pts',(16.0916943298508,11.5358750766406,28.4979561155852)); #486035=CARTESIAN_POINT('Ctrl Pts',(17.0032826061102,12.9800353131527,29.2989500749758)); #486036=CARTESIAN_POINT('Ctrl Pts',(17.91486948846,14.4241967281708,30.0999434959277)); #486037=CARTESIAN_POINT('Ctrl Pts',(13.7684260778906,3.50388223388119,24.2213392609899)); #486038=CARTESIAN_POINT('Ctrl Pts',(13.7306280473469,3.558127019311,24.2718299939992)); #486039=CARTESIAN_POINT('Ctrl Pts',(13.6536518857188,3.66833154985891,24.3745254758536)); #486040=CARTESIAN_POINT('Ctrl Pts',(13.5483144937274,3.82355439749546,24.5031129637456)); #486041=CARTESIAN_POINT('Ctrl Pts',(13.4526356144371,3.96454467474968,24.6199101095611)); #486042=CARTESIAN_POINT('Ctrl Pts',(13.3334334669344,4.14305209180953,24.7579049546648)); #486043=CARTESIAN_POINT('Ctrl Pts',(13.1989495963825,4.35410003383335,24.8916602053346)); #486044=CARTESIAN_POINT('Ctrl Pts',(13.1114846822661,4.4913602870355,24.9786512434751)); #486045=CARTESIAN_POINT('Ctrl Pts',(13.0178589290081,4.6430386429402,25.0625917537455)); #486046=CARTESIAN_POINT('Ctrl Pts',(12.9189260645978,4.80284790835567,25.1430295476791)); #486047=CARTESIAN_POINT('Ctrl Pts',(12.8818562308842,4.86272793841598,25.1731693365468)); #486048=CARTESIAN_POINT('Ctrl Pts',(12.8427945980718,4.92552479001142,25.2039550143098)); #486049=CARTESIAN_POINT('Ctrl Pts',(12.8056990010199,4.98552325808931,25.231751551505)); #486050=CARTESIAN_POINT('Ctrl Pts',(12.7680524436295,5.04641285015263,25.2599609352577)); #486051=CARTESIAN_POINT('Ctrl Pts',(12.7380391400062,5.09566323677018,25.28080601423)); #486052=CARTESIAN_POINT('Ctrl Pts',(12.7131265891078,5.13877494165547,25.2958774444383)); #486053=CARTESIAN_POINT('Ctrl Pts',(12.6825338360553,5.19171635847838,25.3143852457627)); #486054=CARTESIAN_POINT('Ctrl Pts',(12.6595091474118,5.23520411249179,25.3245883620841)); #486055=CARTESIAN_POINT('Ctrl Pts',(12.6388794722802,5.27944127965944,25.3288985643849)); #486056=CARTESIAN_POINT('Ctrl Pts',(12.6279171918035,5.30294820504225,25.3311889371722)); #486057=CARTESIAN_POINT('Ctrl Pts',(12.617441048464,5.32718265466055,25.3317336689717)); #486058=CARTESIAN_POINT('Ctrl Pts',(12.6074791016226,5.35138020410986,25.3311161064095)); #486059=CARTESIAN_POINT('Ctrl Pts',(12.597030125958,5.37676074562089,25.3304683518806)); #486060=CARTESIAN_POINT('Ctrl Pts',(12.5872462674656,5.40190174246858,25.32851111996)); #486061=CARTESIAN_POINT('Ctrl Pts',(12.5782791077566,5.42576789932725,25.3258638032831)); #486062=CARTESIAN_POINT('Ctrl Pts',(12.5669473225351,5.45592752315041,25.32251839348)); #486063=CARTESIAN_POINT('Ctrl Pts',(12.5568691025666,5.48404446295471,25.3181770251345)); #486064=CARTESIAN_POINT('Ctrl Pts',(12.5483675775781,5.50804831894615,25.3141310909625)); #486065=CARTESIAN_POINT('Ctrl Pts',(12.5357032756308,5.54380567784203,25.3081040626256)); #486066=CARTESIAN_POINT('Ctrl Pts',(12.526351793806,5.5706042492222,25.3030353534519)); #486067=CARTESIAN_POINT('Ctrl Pts',(12.5156192197387,5.59899419731796,25.2991345929959)); #486068=CARTESIAN_POINT('Ctrl Pts',(12.5043129485818,5.62890169660836,25.2950253219901)); #486069=CARTESIAN_POINT('Ctrl Pts',(12.4925703093649,5.65813401506983,25.2921561345488)); #486070=CARTESIAN_POINT('Ctrl Pts',(12.4785313246376,5.68973046457244,25.2914294941374)); #486071=CARTESIAN_POINT('Ctrl Pts',(12.4688594772072,5.71149813829421,25.2909288913281)); #486072=CARTESIAN_POINT('Ctrl Pts',(12.457999291349,5.73435575524519,25.2916648489828)); #486073=CARTESIAN_POINT('Ctrl Pts',(12.4453189980838,5.75923063553314,25.2939687832582)); #486074=CARTESIAN_POINT('Origin',(0.,0.,26.86981969837)); #486075=CARTESIAN_POINT('',(11.76788809538,0.,29.51830013575)); #486076=CARTESIAN_POINT('',(12.98758303364,-1.59052019908077E-15,26.86981969837)); #486077=CARTESIAN_POINT('Origin',(0.,0.,29.51830013575)); #486078=CARTESIAN_POINT('',(13.05072928582,5.614731737043,24.22133926103)); #486079=CARTESIAN_POINT('Ctrl Pts',(12.4453189983261,5.75923063478823,25.2939687834601)); #486080=CARTESIAN_POINT('Ctrl Pts',(12.5258147558183,5.78995567551707,25.1073203243251)); #486081=CARTESIAN_POINT('Ctrl Pts',(12.615908437681,5.82151485893057,24.9012308018134)); #486082=CARTESIAN_POINT('Ctrl Pts',(12.8089393686308,5.78749650895929,24.5506046627699)); #486083=CARTESIAN_POINT('Ctrl Pts',(12.9025691196874,5.72761816981556,24.4199952095715)); #486084=CARTESIAN_POINT('Ctrl Pts',(13.0507292858198,5.61473173704568,24.2213392610283)); #486085=CARTESIAN_POINT('',(0.9222876131098,14.17731049703,24.221339261)); #486086=CARTESIAN_POINT('Origin',(0.,1.271221978822E-11,24.2213392611)); #486087=CARTESIAN_POINT('',(-1.63153875389656,13.6159018716754,25.29396878374)); #486088=CARTESIAN_POINT('Ctrl Pts',(0.922287613115451,14.1773104970455, 24.221339261013)); #486089=CARTESIAN_POINT('Ctrl Pts',(0.859017523037146,14.15812499446,24.2718299937283)); #486090=CARTESIAN_POINT('Ctrl Pts',(0.730419843943707,14.1189713871089, 24.3745254756835)); #486091=CARTESIAN_POINT('Ctrl Pts',(0.55024309858855,14.0667560717737,24.50311296384)); #486092=CARTESIAN_POINT('Ctrl Pts',(0.386586976993529,14.0193284418718, 24.6199101096418)); #486093=CARTESIAN_POINT('Ctrl Pts',(0.179980845426971,13.9611222882176, 24.7579049547678)); #486094=CARTESIAN_POINT('Ctrl Pts',(-0.0622954765536424,13.8984379275054, 24.8916602054404)); #486095=CARTESIAN_POINT('Ctrl Pts',(-0.219865879677985,13.8576696018751, 24.9786512435913)); #486096=CARTESIAN_POINT('Ctrl Pts',(-0.393052517318447,13.8154974087987, 25.0625917538623)); #486097=CARTESIAN_POINT('Ctrl Pts',(-0.575612096933688,13.7707904423035, 25.1430295477869)); #486098=CARTESIAN_POINT('Ctrl Pts',(-0.64401659831626,13.7540388823038, 25.1731693366565)); #486099=CARTESIAN_POINT('Ctrl Pts',(-0.71581066157146,13.7362943562219, 25.2039550144116)); #486100=CARTESIAN_POINT('Ctrl Pts',(-0.784335765530314,13.719554893187, 25.2317515516164)); #486101=CARTESIAN_POINT('Ctrl Pts',(-0.853878634834819,13.7025668081962, 25.2599609353645)); #486102=CARTESIAN_POINT('Ctrl Pts',(-0.909993156834336,13.6892416666536, 25.2808060143385)); #486103=CARTESIAN_POINT('Ctrl Pts',(-0.958693226307215,13.6788706722477, 25.2958774445508)); #486104=CARTESIAN_POINT('Ctrl Pts',(-1.01849718632859,13.6661350326163, 25.3143852458668)); #486105=CARTESIAN_POINT('Ctrl Pts',(-1.06697151825075,13.6576757074806, 25.3245883621944)); #486106=CARTESIAN_POINT('Ctrl Pts',(-1.1154184845674,13.6517257569526,25.3288985644992)); #486107=CARTESIAN_POINT('Ctrl Pts',(-1.14116243009459,13.6485640481,25.3311889372878)); #486108=CARTESIAN_POINT('Ctrl Pts',(-1.16744806765149,13.6460895004918, 25.3317336690894)); #486109=CARTESIAN_POINT('Ctrl Pts',(-1.19353971560325,13.6440925800355, 25.3311161065275)); #486110=CARTESIAN_POINT('Ctrl Pts',(-1.22090695604812,13.6419980322945, 25.3304683519987)); #486111=CARTESIAN_POINT('Ctrl Pts',(-1.24784084346919,13.6404620252033, 25.3285111200764)); #486112=CARTESIAN_POINT('Ctrl Pts',(-1.27330991221321,13.6393087975898, 25.3258638033977)); #486113=CARTESIAN_POINT('Ctrl Pts',(-1.30549513317046,13.6378514657208, 25.3225183935947)); #486114=CARTESIAN_POINT('Ctrl Pts',(-1.33535027322566,13.636955121177,25.3181770252501)); #486115=CARTESIAN_POINT('Ctrl Pts',(-1.36080641260339,13.6362872898693, 25.3141310910755)); #486116=CARTESIAN_POINT('Ctrl Pts',(-1.39872716620487,13.6352924545532, 25.3081040627565)); #486117=CARTESIAN_POINT('Ctrl Pts',(-1.42710388897502,13.6346798808077, 25.3030353535798)); #486118=CARTESIAN_POINT('Ctrl Pts',(-1.45742088196976,13.633245572731,25.2991345931149)); #486119=CARTESIAN_POINT('Ctrl Pts',(-1.48935843393805,13.631734595414,25.2950253221168)); #486120=CARTESIAN_POINT('Ctrl Pts',(-1.52078869600435,13.6295999650575, 25.2921561346697)); #486121=CARTESIAN_POINT('Ctrl Pts',(-1.55517699007761,13.6260119370077, 25.2914294942563)); #486122=CARTESIAN_POINT('Ctrl Pts',(-1.57886804325237,13.6235400445917, 25.2909288914454)); #486123=CARTESIAN_POINT('Ctrl Pts',(-1.60396291080085,13.6202747861515, 25.2916648490988)); #486124=CARTESIAN_POINT('Ctrl Pts',(-1.63153875387698,13.6159018713588, 25.2939687833735)); #486125=CARTESIAN_POINT('',(-1.307030067312,14.1470286553,24.22133926099)); #486126=CARTESIAN_POINT('Ctrl Pts',(-1.63153875309366,13.615901871359,25.2939687835754)); #486127=CARTESIAN_POINT('Ctrl Pts',(-1.63588544625268,13.7019524458293, 25.1073203243643)); #486128=CARTESIAN_POINT('Ctrl Pts',(-1.63805953451739,13.7973889530301, 24.9012308018824)); #486129=CARTESIAN_POINT('Ctrl Pts',(-1.54605632295217,13.9704600295209, 24.5506046627929)); #486130=CARTESIAN_POINT('Ctrl Pts',(-1.46017545403316,14.0410037900035, 24.4199952095766)); #486131=CARTESIAN_POINT('Ctrl Pts',(-1.30703006730071,14.147028655312,24.2213392610051)); #486132=CARTESIAN_POINT('',(-13.19842098548,5.258177522737,24.22133926103)); #486133=CARTESIAN_POINT('Origin',(0.,1.27122197882E-11,24.2213392611)); #486134=CARTESIAN_POINT('',(-13.4536654021277,2.65585950860796,25.2939687839529)); #486135=CARTESIAN_POINT('Ctrl Pts',(-13.1984209854839,5.25817752273853, 24.2213392610319)); #486136=CARTESIAN_POINT('Ctrl Pts',(-13.1997260213109,5.19207544444275, 24.2718299941292)); #486137=CARTESIAN_POINT('Ctrl Pts',(-13.2022275961844,5.05767265366244, 24.3745254760898)); #486138=CARTESIAN_POINT('Ctrl Pts',(-13.2082455565843,4.87017896633188, 24.5031129640865)); #486139=CARTESIAN_POINT('Ctrl Pts',(-13.213711722923,4.69987680175739,24.6199101099255)); #486140=CARTESIAN_POINT('Ctrl Pts',(-13.2221991870008,4.4853960033969,24.7579049550214)); #486141=CARTESIAN_POINT('Ctrl Pts',(-13.2374503181015,4.23560699592166, 24.8916602056758)); #486142=CARTESIAN_POINT('Ctrl Pts',(-13.2473692687282,4.07315053181608, 24.9786512438183)); #486143=CARTESIAN_POINT('Ctrl Pts',(-13.2607787439234,3.89540832721505, 25.0625917540883)); #486144=CARTESIAN_POINT('Ctrl Pts',(-13.2746739047053,3.70796863697419, 25.1430295480172)); #486145=CARTESIAN_POINT('Ctrl Pts',(-13.279880377829,3.63773557347377,25.1731693368825)); #486146=CARTESIAN_POINT('Ctrl Pts',(-13.285189916313,3.56397200166898,25.2039550146333)); #486147=CARTESIAN_POINT('Ctrl Pts',(-13.2904451625803,3.49362797645961, 25.2317515518381)); #486148=CARTESIAN_POINT('Ctrl Pts',(-13.295778462104,3.42223917048288,25.2599609355837)); #486149=CARTESIAN_POINT('Ctrl Pts',(-13.3004458403382,3.36475339348952, 25.2808060145571)); #486150=CARTESIAN_POINT('Ctrl Pts',(-13.3056315876221,3.3152320615546,25.2958774447693)); #486151=CARTESIAN_POINT('Ctrl Pts',(-13.3119997145384,3.25441958659926, 25.3143852460846)); #486152=CARTESIAN_POINT('Ctrl Pts',(-13.3189338105988,3.2057036821254,25.3245883624131)); #486153=CARTESIAN_POINT('Ctrl Pts',(-13.3282460072956,3.15778924328393, 25.3288985647184)); #486154=CARTESIAN_POINT('Ctrl Pts',(-13.3331943601585,3.13232827437035, 25.331188937507)); #486155=CARTESIAN_POINT('Ctrl Pts',(-13.3389636342438,3.10656447022279, 25.3317336693087)); #486156=CARTESIAN_POINT('Ctrl Pts',(-13.3451272126597,3.08113275606002, 25.3311161067467)); #486157=CARTESIAN_POINT('Ctrl Pts',(-13.3515921217683,3.05445771285581, 25.3304683522179)); #486158=CARTESIAN_POINT('Ctrl Pts',(-13.3584543211526,3.0283674114215,25.3285111202955)); #486159=CARTESIAN_POINT('Ctrl Pts',(-13.3652279115887,3.00378852069814, 25.3258638036166)); #486160=CARTESIAN_POINT('Ctrl Pts',(-13.3737876868611,2.97272821626747, 25.3225183938137)); #486161=CARTESIAN_POINT('Ctrl Pts',(-13.3821609581881,2.94405730507771, 25.3181770254692)); #486162=CARTESIAN_POINT('Ctrl Pts',(-13.3893921925507,2.91964070661746, 25.3141310912941)); #486163=CARTESIAN_POINT('Ctrl Pts',(-13.4001642052614,2.88326850572091, 25.3081040629647)); #486164=CARTESIAN_POINT('Ctrl Pts',(-13.4083505025943,2.8560913429,25.3030353537871)); #486165=CARTESIAN_POINT('Ctrl Pts',(-13.4163548605825,2.82681494367273, 25.2991345933323)); #486166=CARTESIAN_POINT('Ctrl Pts',(-13.4247870820928,2.79597360903096, 25.2950253223249)); #486167=CARTESIAN_POINT('Ctrl Pts',(-13.4324694130894,2.76542201645974, 25.2921561348823)); #486168=CARTESIAN_POINT('Ctrl Pts',(-13.4396835629023,2.73160804368181, 25.2914294944692)); #486169=CARTESIAN_POINT('Ctrl Pts',(-13.4446535915551,2.70831265643215, 25.2909288916584)); #486170=CARTESIAN_POINT('Ctrl Pts',(-13.4493028867798,2.68343699878736, 25.2916648493122)); #486171=CARTESIAN_POINT('Ctrl Pts',(-13.4536654018205,2.65585950852876, 25.2939687835864)); #486172=CARTESIAN_POINT('',(-13.85851829175,3.12861281211,24.221339261)); #486173=CARTESIAN_POINT('Ctrl Pts',(-13.4536654015787,2.6558595092738,25.2939687837883)); #486174=CARTESIAN_POINT('Ctrl Pts',(-13.5368475632487,2.67831664831303, 25.1073203245033)); #486175=CARTESIAN_POINT('Ctrl Pts',(-13.6282849055681,2.70574047012651, 24.9012308019457)); #486176=CARTESIAN_POINT('Ctrl Pts',(-13.7644547247327,2.8467226279803,24.5506046628172)); #486177=CARTESIAN_POINT('Ctrl Pts',(-13.8050071798266,2.95019940887191, 24.419995209599)); #486178=CARTESIAN_POINT('Ctrl Pts',(-13.8585182917595,3.12861281210711, 24.221339261)); #486179=CARTESIAN_POINT('',(-9.079360380349,-10.92757806903,24.22133926102)); #486180=CARTESIAN_POINT('Origin',(0.,1.271221978753E-11,24.22133926101)); #486181=CARTESIAN_POINT('',(-6.68328373787111,-11.9744904259329,25.2939687839701)); #486182=CARTESIAN_POINT('Ctrl Pts',(-9.07936038033733,-10.9275780690457, 24.2213392609988)); #486183=CARTESIAN_POINT('Ctrl Pts',(-9.01689684626991,-10.9492458974346, 24.271829994106)); #486184=CARTESIAN_POINT('Ctrl Pts',(-8.88984522539803,-10.9931577829765, 24.3745254760999)); #486185=CARTESIAN_POINT('Ctrl Pts',(-8.71338778427358,-11.0568199391755, 24.5031129641368)); #486186=CARTESIAN_POINT('Ctrl Pts',(-8.55310993920047,-11.1146448353276, 24.6199101099788)); #486187=CARTESIAN_POINT('Ctrl Pts',(-8.35174934893834,-11.1889951050072, 24.7579049550714)); #486188=CARTESIAN_POINT('Ctrl Pts',(-8.11889874437794,-11.2806888409394, 24.8916602057186)); #486189=CARTESIAN_POINT('Ctrl Pts',(-7.96745858988511,-11.340324131824, 24.9786512438587)); #486190=CARTESIAN_POINT('Ctrl Pts',(-7.80255946369981,-11.4080026624299, 25.0625917541234)); #486191=CARTESIAN_POINT('Ctrl Pts',(-7.62858756570659,-11.4791397953424, 25.1430295480473)); #486192=CARTESIAN_POINT('Ctrl Pts',(-7.56340084168128,-11.5057946557228, 25.1731693369109)); #486193=CARTESIAN_POINT('Ctrl Pts',(-7.49488825368522,-11.5336385241463, 25.2039550146559)); #486194=CARTESIAN_POINT('Ctrl Pts',(-7.42961107052058,-11.5603740596015, 25.2317515518654)); #486195=CARTESIAN_POINT('Ctrl Pts',(-7.36336436158457,-11.5875066831261, 25.2599609356145)); #486196=CARTESIAN_POINT('Ctrl Pts',(-7.31013443797528,-11.6097097056352, 25.2808060145868)); #486197=CARTESIAN_POINT('Ctrl Pts',(-7.26463933659031,-11.6299445775293, 25.2958774447968)); #486198=CARTESIAN_POINT('Ctrl Pts',(-7.20877109543907,-11.6547931143657, 25.3143852461169)); #486199=CARTESIAN_POINT('Ctrl Pts',(-7.16458227057281,-11.6764418739838, 25.3245883624428)); #486200=CARTESIAN_POINT('Ctrl Pts',(-7.12189055832907,-11.7001046752091, 25.3288985647441)); #486201=CARTESIAN_POINT('Ctrl Pts',(-7.09920486306259,-11.712678710532, 25.3311889375309)); #486202=CARTESIAN_POINT('Ctrl Pts',(-7.07648483298213,-11.7261270695672, 25.3317336693298)); #486203=CARTESIAN_POINT('Ctrl Pts',(-7.05420248598194,-11.7398478128576, 25.3311161067662)); #486204=CARTESIAN_POINT('Ctrl Pts',(-7.03083077910201,-11.7542393484689, 25.3304683522359)); #486205=CARTESIAN_POINT('Ctrl Pts',(-7.00813796413731,-11.7688280344421, 25.3285111203134)); #486206=CARTESIAN_POINT('Ctrl Pts',(-6.98685520451123,-11.7828653967001, 25.3258638036349)); #486207=CARTESIAN_POINT('Ctrl Pts',(-6.95996021559787,-11.8006043886792, 25.3225183938305)); #486208=CARTESIAN_POINT('Ctrl Pts',(-6.93528004181673,-11.8174276417426, 25.3181770254841)); #486209=CARTESIAN_POINT('Ctrl Pts',(-6.9142930510724,-11.8318500981609, 25.3141310913113)); #486210=CARTESIAN_POINT('Ctrl Pts',(-6.8830297673113,-11.8533345192972, 25.3081040629648)); #486211=CARTESIAN_POINT('Ctrl Pts',(-6.85971245453543,-11.8695183558749, 25.3030353537905)); #486212=CARTESIAN_POINT('Ctrl Pts',(-6.83434242697966,-11.8861778575572, 25.2991345933441)); #486213=CARTESIAN_POINT('Ctrl Pts',(-6.8076162744169,-11.9037278733229, 25.2950253223324)); #486214=CARTESIAN_POINT('Ctrl Pts',(-6.78093395407614,-11.9204751655702, 25.292156134897)); #486215=CARTESIAN_POINT('Ctrl Pts',(-6.75100424982087,-11.9377853219908, 25.2914294944863)); #486216=CARTESIAN_POINT('Ctrl Pts',(-6.73038484330799,-11.9497107706686, 25.2909288916776)); #486217=CARTESIAN_POINT('Ctrl Pts',(-6.70816339825086,-11.9618195141428, 25.2916648493316)); #486218=CARTESIAN_POINT('Ctrl Pts',(-6.68328373770087,-11.9744904256653, 25.2939687836036)); #486219=CARTESIAN_POINT('',(-7.25800527095,-12.21343959981,24.22133926096)); #486220=CARTESIAN_POINT('Ctrl Pts',(-6.68328373833471,-11.9744904252051, 25.2939687838055)); #486221=CARTESIAN_POINT('Ctrl Pts',(-6.73034644842406,-12.046661724613, 25.1073203242038)); #486222=CARTESIAN_POINT('Ctrl Pts',(-6.7846837456115,-12.1251493779183, 24.9012308014955)); #486223=CARTESIAN_POINT('Ctrl Pts',(-6.96084453390055,-12.2110886889184, 24.5506046625168)); #486224=CARTESIAN_POINT('Ctrl Pts',(-7.07178819843599,-12.2176802817442, 24.4199952093419)); #486225=CARTESIAN_POINT('Ctrl Pts',(-7.25800527095305,-12.2134395998187, 24.2213392609627)); #486226=CARTESIAN_POINT('',(7.587067674513,-12.01179218409,24.22133926095)); #486227=CARTESIAN_POINT('Origin',(0.,1.271221978645E-11,24.22133926086)); #486228=CARTESIAN_POINT('',(9.32316889576737,-10.0565015897807,25.2939687837632)); #486229=CARTESIAN_POINT('Ctrl Pts',(7.5870676745142,-12.0117921840994,24.2213392609589)); #486230=CARTESIAN_POINT('Ctrl Pts',(7.62697729733548,-11.9590815603531, 24.2718299939139)); #486231=CARTESIAN_POINT('Ctrl Pts',(7.70800109220517,-11.8518178073434, 24.3745254758741)); #486232=CARTESIAN_POINT('Ctrl Pts',(7.82307574880913,-11.703669496255,24.5031129639287)); #486233=CARTESIAN_POINT('Ctrl Pts',(7.92759907103133,-11.5691050829119, 24.6199101097498)); #486234=CARTESIAN_POINT('Ctrl Pts',(8.06053422389521,-11.4005752782754, 24.7579049548512)); #486235=CARTESIAN_POINT('Ctrl Pts',(8.21969494292983,-11.2074561161502, 24.8916602055077)); #486236=CARTESIAN_POINT('Ctrl Pts',(8.32320905629924,-11.0818562887349, 24.9786512436494)); #486237=CARTESIAN_POINT('Ctrl Pts',(8.43853179619821,-10.9459417163514, 25.0625917539165)); #486238=CARTESIAN_POINT('Ctrl Pts',(8.55994750302601,-10.8024671921154, 25.1430295478406)); #486239=CARTESIAN_POINT('Ctrl Pts',(8.6054415872147,-10.7487077382929,25.1731693367061)); #486240=CARTESIAN_POINT('Ctrl Pts',(8.65309423373939,-10.6921526235594, 25.2039550144536)); #486241=CARTESIAN_POINT('Ctrl Pts',(8.69869299789107,-10.6383320679573, 25.2317515516619)); #486242=CARTESIAN_POINT('Ctrl Pts',(8.74496901517225,-10.5837121455215, 25.2599609354059)); #486243=CARTESIAN_POINT('Ctrl Pts',(8.78253429541709,-10.5399485910907, 25.2808060143797)); #486244=CARTESIAN_POINT('Ctrl Pts',(8.815837561689,-10.5029330977417,25.2958774445921)); #486245=CARTESIAN_POINT('Ctrl Pts',(8.85673416051132,-10.4574778631306, 25.3143852459003)); #486246=CARTESIAN_POINT('Ctrl Pts',(8.8909784522692,-10.4221416279151,25.3245883622284)); #486247=CARTESIAN_POINT('Ctrl Pts',(8.92667557818399,-10.3888516044933, 25.3288985645331)); #486248=CARTESIAN_POINT('Ctrl Pts',(8.94564446177997,-10.3711618167859, 25.3311889373208)); #486249=CARTESIAN_POINT('Ctrl Pts',(8.96545548668207,-10.3537095556135, 25.3317336691225)); #486250=CARTESIAN_POINT('Ctrl Pts',(8.98539031289272,-10.3367577271533, 25.3311161065602)); #486251=CARTESIAN_POINT('Ctrl Pts',(9.00629973122899,-10.3189771421061, 25.3304683520312)); #486252=CARTESIAN_POINT('Ctrl Pts',(9.02718686156102,-10.3019031444534, 25.3285111201085)); #486253=CARTESIAN_POINT('Ctrl Pts',(9.0471139208213,-10.2859998207188,25.3258638034299)); #486254=CARTESIAN_POINT('Ctrl Pts',(9.07229571336432,-10.2659028162486, 25.3225183936267)); #486255=CARTESIAN_POINT('Ctrl Pts',(9.09592217093534,-10.2476292472494, 25.3181770252822)); #486256=CARTESIAN_POINT('Ctrl Pts',(9.1161240789097,-10.2321262170656,25.3141310911069)); #486257=CARTESIAN_POINT('Ctrl Pts',(9.14621786348775,-10.209032118664,25.3081040627864)); #486258=CARTESIAN_POINT('Ctrl Pts',(9.16881505263772,-10.1918571169438, 25.303035353608)); #486259=CARTESIAN_POINT('Ctrl Pts',(9.19249895005715,-10.1728768559571, 25.2991345931404)); #486260=CARTESIAN_POINT('Ctrl Pts',(9.21744884217616,-10.1528820275882, 25.2950253221397)); #486261=CARTESIAN_POINT('Ctrl Pts',(9.2416217540859,-10.1326808308336,25.2921561346898)); #486262=CARTESIAN_POINT('Ctrl Pts',(9.26733347842258,-10.1095651230607, 25.2914294942758)); #486263=CARTESIAN_POINT('Ctrl Pts',(9.28504700115052,-10.0936400684184, 25.2909288914644)); #486264=CARTESIAN_POINT('Ctrl Pts',(9.30342990471238,-10.0762480257956, 25.2916648491187)); #486265=CARTESIAN_POINT('Ctrl Pts',(9.32316889556543,-10.0565015895361, 25.2939687833967)); #486266=CARTESIAN_POINT('Ctrl Pts',(9.32316889493184,-10.0565015899967, 25.2939687835986)); #486267=CARTESIAN_POINT('Ctrl Pts',(9.37726470232237,-10.1235630451514, 25.107320323928)); #486268=CARTESIAN_POINT('Ctrl Pts',(9.43511974813404,-10.1994949043835, 24.9012308013872)); #486269=CARTESIAN_POINT('Ctrl Pts',(9.46241621259029,-10.3935904775165, 24.550604662451)); #486270=CARTESIAN_POINT('Ctrl Pts',(9.4344017121669,-10.5011410867759,24.4199952093059)); #486271=CARTESIAN_POINT('Ctrl Pts',(9.37282434396326,-10.6769336042031, 24.2213392609817)); #486272=CARTESIAN_POINT('Ctrl Pts',(-13.27340423216,2.717609830012,25.20377703177)); #486273=CARTESIAN_POINT('Ctrl Pts',(-16.56564963512,1.333868744739,26.85480023681)); #486274=CARTESIAN_POINT('Ctrl Pts',(-19.85789503807,-0.04987234053327,28.50582344186)); #486275=CARTESIAN_POINT('Ctrl Pts',(-23.15014044103,-1.433613425806,30.1568466469)); #486276=CARTESIAN_POINT('Ctrl Pts',(-13.2699691398,2.721875218671,25.20174279705)); #486277=CARTESIAN_POINT('Ctrl Pts',(-16.56485024181,1.361855218921,26.85792512035)); #486278=CARTESIAN_POINT('Ctrl Pts',(-19.85973134382,0.001835219171517,28.51410744365)); #486279=CARTESIAN_POINT('Ctrl Pts',(-23.15461244583,-1.358184780578,30.17028976694)); #486280=CARTESIAN_POINT('Ctrl Pts',(-13.25439700442,2.743795831546,25.19251241411)); #486281=CARTESIAN_POINT('Ctrl Pts',(-16.56061287788,1.488622667486,26.87211690871)); #486282=CARTESIAN_POINT('Ctrl Pts',(-19.86682875135,0.2334495034261,28.55172140331)); #486283=CARTESIAN_POINT('Ctrl Pts',(-23.17304462481,-1.021723660634,30.2313258979)); #486284=CARTESIAN_POINT('Ctrl Pts',(-13.22894778913,2.79899114974,25.17964329958)); #486285=CARTESIAN_POINT('Ctrl Pts',(-16.54911287202,1.693506524455,26.89216549654)); #486286=CARTESIAN_POINT('Ctrl Pts',(-19.86927795491,0.5880218991691,28.6046876935)); #486287=CARTESIAN_POINT('Ctrl Pts',(-23.18944303779,-0.5174627261165,30.31720989046)); #486288=CARTESIAN_POINT('Ctrl Pts',(-13.19715532847,2.891097398801,25.18547555177)); #486289=CARTESIAN_POINT('Ctrl Pts',(-16.52947465467,1.933860495922,26.88525916356)); #486290=CARTESIAN_POINT('Ctrl Pts',(-19.86179398086,0.9766235930433,28.58504277535)); #486291=CARTESIAN_POINT('Ctrl Pts',(-23.19411330706,0.0193866901644,30.28482638714)); #486292=CARTESIAN_POINT('Ctrl Pts',(-13.16679333436,2.974697172719,25.2058136645)); #486293=CARTESIAN_POINT('Ctrl Pts',(-16.506785065,2.170014530293,26.84961144089)); #486294=CARTESIAN_POINT('Ctrl Pts',(-19.84677679563,1.365331887867,28.49340921728)); #486295=CARTESIAN_POINT('Ctrl Pts',(-23.18676852627,0.5606492454414,30.13720699367)); #486296=CARTESIAN_POINT('Ctrl Pts',(-13.13869348944,3.049225379144,25.21992383058)); #486297=CARTESIAN_POINT('Ctrl Pts',(-16.48144852355,2.397732754873,26.80419777207)); #486298=CARTESIAN_POINT('Ctrl Pts',(-19.82420355765,1.746240130603,28.38847171355)); #486299=CARTESIAN_POINT('Ctrl Pts',(-23.16695859175,1.094747506332,29.97274565504)); #486300=CARTESIAN_POINT('Ctrl Pts',(-13.11295100131,3.115685568565,25.22661826087)); #486301=CARTESIAN_POINT('Ctrl Pts',(-16.45381974429,2.616701627684,26.74897225906)); #486302=CARTESIAN_POINT('Ctrl Pts',(-19.79468848727,2.117717686803,28.27132625725)); #486303=CARTESIAN_POINT('Ctrl Pts',(-23.13555723024,1.618733745921,29.79368025544)); #486304=CARTESIAN_POINT('Ctrl Pts',(-13.07974667855,3.205849511185,25.22640379506)); #486305=CARTESIAN_POINT('Ctrl Pts',(-16.41329558779,2.900536859275,26.65329618405)); #486306=CARTESIAN_POINT('Ctrl Pts',(-19.74684449704,2.595224207366,28.08018857305)); #486307=CARTESIAN_POINT('Ctrl Pts',(-23.08039340628,2.289911555456,29.50708096204)); #486308=CARTESIAN_POINT('Ctrl Pts',(-13.04042743651,3.318894624298,25.19622708463)); #486309=CARTESIAN_POINT('Ctrl Pts',(-16.35675914934,3.239143790482,26.50481033787)); #486310=CARTESIAN_POINT('Ctrl Pts',(-19.67309086217,3.159392956667,27.81339359111)); #486311=CARTESIAN_POINT('Ctrl Pts',(-22.989422575,3.079642122852,29.12197684435)); #486312=CARTESIAN_POINT('Ctrl Pts',(-12.99151816023,3.474247518219,25.12971285852)); #486313=CARTESIAN_POINT('Ctrl Pts',(-16.28070353116,3.623282466034,26.28934103764)); #486314=CARTESIAN_POINT('Ctrl Pts',(-19.56988890209,3.772317413848,27.44896921675)); #486315=CARTESIAN_POINT('Ctrl Pts',(-22.85907427302,3.921352361662,28.60859739587)); #486316=CARTESIAN_POINT('Ctrl Pts',(-12.91410562968,3.733429339009,25.00899596147)); #486317=CARTESIAN_POINT('Ctrl Pts',(-16.16699270027,4.12280544283,25.9801294099)); #486318=CARTESIAN_POINT('Ctrl Pts',(-19.41987977086,4.512181546651,26.95126285833)); #486319=CARTESIAN_POINT('Ctrl Pts',(-22.67276684145,4.901557650471,27.92239630675)); #486320=CARTESIAN_POINT('Ctrl Pts',(-12.79027063009,4.138892491177,24.80516140735)); #486321=CARTESIAN_POINT('Ctrl Pts',(-16.00456156616,4.725942708166,25.58647619785)); #486322=CARTESIAN_POINT('Ctrl Pts',(-19.21885250224,5.312992925154,26.36779098834)); #486323=CARTESIAN_POINT('Ctrl Pts',(-22.43314343832,5.900043142142,27.14910577883)); #486324=CARTESIAN_POINT('Ctrl Pts',(-12.58806224186,4.725327378961,24.4023735481)); #486325=CARTESIAN_POINT('Ctrl Pts',(-15.78303203602,5.417516431345,25.04686011899)); #486326=CARTESIAN_POINT('Ctrl Pts',(-18.97800183018,6.10970548373,25.69134668988)); #486327=CARTESIAN_POINT('Ctrl Pts',(-22.17297162434,6.801894536115,26.33583326077)); #486328=CARTESIAN_POINT('Ctrl Pts',(-12.32846897603,5.381321988063,23.8234548287)); #486329=CARTESIAN_POINT('Ctrl Pts',(-15.53376526993,6.088236607717,24.43046003843)); #486330=CARTESIAN_POINT('Ctrl Pts',(-18.73906156383,6.795151227371,25.03746524816)); #486331=CARTESIAN_POINT('Ctrl Pts',(-21.94435785773,7.502065847025,25.64447045789)); #486332=CARTESIAN_POINT('Ctrl Pts',(-12.00163362321,6.102289281133,23.07888342506)); #486333=CARTESIAN_POINT('Ctrl Pts',(-15.25015047228,6.753095704095,23.73158102363)); #486334=CARTESIAN_POINT('Ctrl Pts',(-18.49866732135,7.403902127058,24.38427862219)); #486335=CARTESIAN_POINT('Ctrl Pts',(-21.74718417042,8.05470855002,25.03697622075)); #486336=CARTESIAN_POINT('Ctrl Pts',(-11.7275202567,6.630157302493,22.42994039463)); #486337=CARTESIAN_POINT('Ctrl Pts',(-15.02690035937,7.211267033927,23.17525353129)); #486338=CARTESIAN_POINT('Ctrl Pts',(-18.32628046205,7.792376765362,23.92056666795)); #486339=CARTESIAN_POINT('Ctrl Pts',(-21.62566056473,8.373486496797,24.66587980461)); #486340=CARTESIAN_POINT('Ctrl Pts',(-11.56703630959,6.91574747936,22.06230355018)); #486341=CARTESIAN_POINT('Ctrl Pts',(-14.89991586136,7.452782273444,22.86932127197)); #486342=CARTESIAN_POINT('Ctrl Pts',(-18.23279541314,7.989817067528,23.67633899377)); #486343=CARTESIAN_POINT('Ctrl Pts',(-21.56567496492,8.526851861611,24.48335671556)); #486344=CARTESIAN_POINT('Ctrl Pts',(-11.55537351901,6.936392106637,22.03565008289)); #486345=CARTESIAN_POINT('Ctrl Pts',(-14.89070477856,7.470209642198,22.84718433413)); #486346=CARTESIAN_POINT('Ctrl Pts',(-18.22603603811,8.004027177759,23.65871858537)); #486347=CARTESIAN_POINT('Ctrl Pts',(-21.56136729766,8.53784471332,24.47025283662)); #486348=CARTESIAN_POINT('',(-12.9356029601396,6.54569324833277,22.9999797930711)); #486349=CARTESIAN_POINT('',(-12.93386944889,6.554770863957,22.98954145561)); #486350=CARTESIAN_POINT('Ctrl Pts',(-12.9379608719639,6.54669141441154, 22.9991703594347)); #486351=CARTESIAN_POINT('Ctrl Pts',(-12.9373332191335,6.54793181925848, 22.9976931798339)); #486352=CARTESIAN_POINT('Ctrl Pts',(-12.9367054784773,6.54917195487943, 22.9962158100566)); #486353=CARTESIAN_POINT('Ctrl Pts',(-12.935341704414,6.55186520343622,22.9930062462518)); #486354=CARTESIAN_POINT('Ctrl Pts',(-12.9346056365234,6.55331821902199, 22.9912739778979)); #486355=CARTESIAN_POINT('Ctrl Pts',(-12.9338694488923,6.55477086395925, 22.9895414556109)); #486356=CARTESIAN_POINT('',(-12.8171758056337,7.07498254221942,22.4094355866583)); #486357=CARTESIAN_POINT('Ctrl Pts',(-12.8171758038658,7.07498254457145, 22.4094355890826)); #486358=CARTESIAN_POINT('Ctrl Pts',(-12.8389473250355,6.98980747224151, 22.5079507308489)); #486359=CARTESIAN_POINT('Ctrl Pts',(-12.8586576715685,6.90572615461312, 22.6035649309514)); #486360=CARTESIAN_POINT('Ctrl Pts',(-12.8969515373215,6.73276276390565, 22.7966288304528)); #486361=CARTESIAN_POINT('Ctrl Pts',(-12.9154923762431,6.64405036344604, 22.893814374956)); #486362=CARTESIAN_POINT('Ctrl Pts',(-12.9338694488954,6.5547708639598,22.9895414556116)); #486363=CARTESIAN_POINT('',(-21.3822980010341,8.47333064163036,24.4636599148476)); #486364=CARTESIAN_POINT('',(-21.38229462611,8.473330088039,24.46365910647)); #486365=CARTESIAN_POINT('',(-22.9717639805279,-1.13932420191455,30.0999434968377)); #486366=CARTESIAN_POINT('Ctrl Pts',(-21.3822980015234,8.47333064225923, 24.4636599152126)); #486367=CARTESIAN_POINT('Ctrl Pts',(-21.4846074585508,8.21515456121287, 24.7712625660266)); #486368=CARTESIAN_POINT('Ctrl Pts',(-21.586288918152,7.94446380164668,25.0814454669446)); #486369=CARTESIAN_POINT('Ctrl Pts',(-21.77908035827,7.39974559579654,25.668055456425)); #486370=CARTESIAN_POINT('Ctrl Pts',(-21.9082084606895,7.01886640096941, 26.0540646968869)); #486371=CARTESIAN_POINT('Ctrl Pts',(-22.1472484305873,6.21492892420367, 26.7914586465183)); #486372=CARTESIAN_POINT('Ctrl Pts',(-22.2393548308463,5.87825129412773, 27.0795455016685)); #486373=CARTESIAN_POINT('Ctrl Pts',(-22.3902270618751,5.26698533060781, 27.5643386807787)); #486374=CARTESIAN_POINT('Ctrl Pts',(-22.4477281460628,5.01662337096966, 27.7538726160341)); #486375=CARTESIAN_POINT('Ctrl Pts',(-22.6393834522931,4.11127764223286, 28.4146745262411)); #486376=CARTESIAN_POINT('Ctrl Pts',(-22.7193831259751,3.60815711770537, 28.7359111388362)); #486377=CARTESIAN_POINT('Ctrl Pts',(-22.8231279899453,2.86438624134173, 29.1522332014098)); #486378=CARTESIAN_POINT('Ctrl Pts',(-22.8608179517385,2.54624381144055, 29.3091803473408)); #486379=CARTESIAN_POINT('Ctrl Pts',(-22.9243147038782,1.89470997305909, 29.6044209987668)); #486380=CARTESIAN_POINT('Ctrl Pts',(-22.9411996850928,1.65488225940265, 29.6984897057708)); #486381=CARTESIAN_POINT('Ctrl Pts',(-22.9658436347655,1.26802311504971, 29.8359855740111)); #486382=CARTESIAN_POINT('Ctrl Pts',(-22.9767392229529,1.05187383881867, 29.9054139761502)); #486383=CARTESIAN_POINT('Ctrl Pts',(-22.9891464999062,0.720166034112231, 30.006789119352)); #486384=CARTESIAN_POINT('Ctrl Pts',(-22.9940166635072,0.54406978508404, 30.0589985981434)); #486385=CARTESIAN_POINT('Ctrl Pts',(-23.0007459655337,0.157019315875334, 30.1603545958547)); #486386=CARTESIAN_POINT('Ctrl Pts',(-23.0012050443389,-0.056951962794274, 30.1990639516019)); #486387=CARTESIAN_POINT('Ctrl Pts',(-22.9963272255204,-0.431930274226026, 30.2008540827272)); #486388=CARTESIAN_POINT('Ctrl Pts',(-22.9935805372573,-0.558521275853056, 30.1898591410051)); #486389=CARTESIAN_POINT('Ctrl Pts',(-22.9853027983014,-0.835260728030354, 30.1537863589946)); #486390=CARTESIAN_POINT('Ctrl Pts',(-22.9790477650712,-0.992463993060633, 30.1261624596163)); #486391=CARTESIAN_POINT('Ctrl Pts',(-22.9717639800652,-1.13932420174595, 30.0999434960219)); #486392=CARTESIAN_POINT('Ctrl Pts',(-13.4536654023526,2.65585950904472, 25.2939687838522)); #486393=CARTESIAN_POINT('Ctrl Pts',(-14.3450949226496,2.30042026579975, 25.744076808067)); #486394=CARTESIAN_POINT('Ctrl Pts',(-15.9597751676869,1.65659783508386, 26.5593793363684)); #486395=CARTESIAN_POINT('Ctrl Pts',(-19.799071423273,0.125741629540585, 28.4979561161439)); #486396=CARTESIAN_POINT('Ctrl Pts',(-21.3854179195984,-0.506790399451872, 29.2989500755905)); #486397=CARTESIAN_POINT('Ctrl Pts',(-22.9717639809366,-1.13932420119427, 30.0999434965972)); #486398=CARTESIAN_POINT('Ctrl Pts',(-12.9357185858869,6.54578279473493, 22.9991716368874)); #486399=CARTESIAN_POINT('Ctrl Pts',(-12.9804946145318,6.32803224154667, 23.2323088642855)); #486400=CARTESIAN_POINT('Ctrl Pts',(-13.0217134970267,6.12128967824645, 23.441296073776)); #486401=CARTESIAN_POINT('Ctrl Pts',(-13.1074755580508,5.69103958197442, 23.8472935846304)); #486402=CARTESIAN_POINT('Ctrl Pts',(-13.1517741688668,5.47208188312102, 24.0426515670365)); #486403=CARTESIAN_POINT('Ctrl Pts',(-13.1984209854818,5.25817752273841, 24.2213392610312)); #486404=CARTESIAN_POINT('Origin',(0.,0.,11.)); #486405=CARTESIAN_POINT('',(-0.261674287363641,14.4951023043362,22.9999786053051)); #486406=CARTESIAN_POINT('Origin',(0.,3.692537570485E-12,23.00000000009)); #486407=CARTESIAN_POINT('',(-0.2519589963879,14.49781075419,22.98954145557)); #486408=CARTESIAN_POINT('Ctrl Pts',(-0.251958996377548,14.4978107541844, 22.9895414555795)); #486409=CARTESIAN_POINT('Ctrl Pts',(-0.253570534549087,14.4977827470914, 22.9912754295232)); #486410=CARTESIAN_POINT('Ctrl Pts',(-0.255181149272124,14.4977544874069, 22.993008921928)); #486411=CARTESIAN_POINT('Ctrl Pts',(-0.258209035160516,14.4977008557368, 22.9962687636364)); #486412=CARTESIAN_POINT('Ctrl Pts',(-0.259625744206086,14.4976755543825, 22.9977943930838)); #486413=CARTESIAN_POINT('Ctrl Pts',(-0.261042259918987,14.4976500488373, 22.9993202001858)); #486414=CARTESIAN_POINT('Origin',(0.,3.615300434116E-12,22.98954145568)); #486415=CARTESIAN_POINT('Ctrl Pts',(0.,14.52066050139,22.90705281024)); #486416=CARTESIAN_POINT('Ctrl Pts',(-14.520660501387,14.52066050139,22.90705281024)); #486417=CARTESIAN_POINT('Ctrl Pts',(-14.520660501387,3.00611558991718E-12, 22.9070528103472)); #486418=CARTESIAN_POINT('Ctrl Pts',(-14.520660501387,-14.520660501384,22.9070528104545)); #486419=CARTESIAN_POINT('Ctrl Pts',(0.,-14.520660501384,22.9070528104545)); #486420=CARTESIAN_POINT('Ctrl Pts',(14.520660501387,-14.520660501384,22.9070528104545)); #486421=CARTESIAN_POINT('Ctrl Pts',(14.520660501387,3.00611558991718E-12, 22.9070528103472)); #486422=CARTESIAN_POINT('Ctrl Pts',(14.520660501387,14.52066050139,22.90705281024)); #486423=CARTESIAN_POINT('Ctrl Pts',(0.,14.52066050139,22.90705281024)); #486424=CARTESIAN_POINT('Ctrl Pts',(0.,14.51292846218,22.93791465613)); #486425=CARTESIAN_POINT('Ctrl Pts',(-14.5129284621768,14.51292846218,22.93791465613)); #486426=CARTESIAN_POINT('Ctrl Pts',(-14.5129284621768,3.23403264086746E-12, 22.9379146562372)); #486427=CARTESIAN_POINT('Ctrl Pts',(-14.5129284621768,-14.5129284621735, 22.9379146563444)); #486428=CARTESIAN_POINT('Ctrl Pts',(0.,-14.5129284621735,22.9379146563444)); #486429=CARTESIAN_POINT('Ctrl Pts',(14.5129284621768,-14.5129284621735, 22.9379146563444)); #486430=CARTESIAN_POINT('Ctrl Pts',(14.5129284621768,3.23403264086746E-12, 22.9379146562372)); #486431=CARTESIAN_POINT('Ctrl Pts',(14.5129284621768,14.51292846218,22.93791465613)); #486432=CARTESIAN_POINT('Ctrl Pts',(0.,14.51292846218,22.93791465613)); #486433=CARTESIAN_POINT('Ctrl Pts',(0.,14.49137592823,23.02395934967)); #486434=CARTESIAN_POINT('Ctrl Pts',(-14.4913759282261,14.49137592823,23.02395934967)); #486435=CARTESIAN_POINT('Ctrl Pts',(-14.4913759282261,3.86947916831936E-12, 23.023959349777)); #486436=CARTESIAN_POINT('Ctrl Pts',(-14.4913759282261,-14.4913759282223, 23.023959349884)); #486437=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4913759282223,23.023959349884)); #486438=CARTESIAN_POINT('Ctrl Pts',(14.4913759282261,-14.4913759282223, 23.023959349884)); #486439=CARTESIAN_POINT('Ctrl Pts',(14.4913759282261,3.86947916831936E-12, 23.023959349777)); #486440=CARTESIAN_POINT('Ctrl Pts',(14.4913759282261,14.49137592823,23.02395934967)); #486441=CARTESIAN_POINT('Ctrl Pts',(0.,14.49137592823,23.02395934967)); #486442=CARTESIAN_POINT('Ctrl Pts',(0.,14.45598854161,23.16545796801)); #486443=CARTESIAN_POINT('Ctrl Pts',(-14.4559885416051,14.45598854161,23.16545796801)); #486444=CARTESIAN_POINT('Ctrl Pts',(-14.4559885416051,4.91445709739523E-12, 23.1654579681168)); #486445=CARTESIAN_POINT('Ctrl Pts',(-14.4559885416051,-14.4559885416002, 23.1654579682235)); #486446=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4559885416002,23.1654579682235)); #486447=CARTESIAN_POINT('Ctrl Pts',(14.4559885416051,-14.4559885416002, 23.1654579682235)); #486448=CARTESIAN_POINT('Ctrl Pts',(14.4559885416051,4.91445709739523E-12, 23.1654579681168)); #486449=CARTESIAN_POINT('Ctrl Pts',(14.4559885416051,14.45598854161,23.16545796801)); #486450=CARTESIAN_POINT('Ctrl Pts',(0.,14.45598854161,23.16545796801)); #486451=CARTESIAN_POINT('Ctrl Pts',(0.,14.41356770364,23.33578736769)); #486452=CARTESIAN_POINT('Ctrl Pts',(-14.4135677036338,14.41356770364,23.33578736769)); #486453=CARTESIAN_POINT('Ctrl Pts',(-14.4135677036338,6.17235251309931E-12, 23.3357873677964)); #486454=CARTESIAN_POINT('Ctrl Pts',(-14.4135677036338,-14.4135677036277, 23.3357873679029)); #486455=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4135677036277,23.3357873679029)); #486456=CARTESIAN_POINT('Ctrl Pts',(14.4135677036338,-14.4135677036277, 23.3357873679029)); #486457=CARTESIAN_POINT('Ctrl Pts',(14.4135677036338,6.17235251309931E-12, 23.3357873677964)); #486458=CARTESIAN_POINT('Ctrl Pts',(14.4135677036338,14.41356770364,23.33578736769)); #486459=CARTESIAN_POINT('Ctrl Pts',(0.,14.41356770364,23.33578736769)); #486460=CARTESIAN_POINT('Ctrl Pts',(0.,14.37011479721,23.51131634965)); #486461=CARTESIAN_POINT('Ctrl Pts',(-14.3701147972025,14.37011479721,23.51131634965)); #486462=CARTESIAN_POINT('Ctrl Pts',(-14.3701147972025,7.46864723465352E-12, 23.5113163497561)); #486463=CARTESIAN_POINT('Ctrl Pts',(-14.3701147972025,-14.3701147971951, 23.5113163498622)); #486464=CARTESIAN_POINT('Ctrl Pts',(0.,-14.3701147971951,23.5113163498622)); #486465=CARTESIAN_POINT('Ctrl Pts',(14.3701147972025,-14.3701147971951, 23.5113163498622)); #486466=CARTESIAN_POINT('Ctrl Pts',(14.3701147972025,7.46864723465352E-12, 23.5113163497561)); #486467=CARTESIAN_POINT('Ctrl Pts',(14.3701147972025,14.37011479721,23.51131634965)); #486468=CARTESIAN_POINT('Ctrl Pts',(0.,14.37011479721,23.51131634965)); #486469=CARTESIAN_POINT('Ctrl Pts',(0.,14.32719551245,23.68632580916)); #486470=CARTESIAN_POINT('Ctrl Pts',(-14.3271955124412,14.32719551245,23.68632580916)); #486471=CARTESIAN_POINT('Ctrl Pts',(-14.3271955124412,8.76110524387607E-12, 23.6863258092658)); #486472=CARTESIAN_POINT('Ctrl Pts',(-14.3271955124412,-14.3271955124325, 23.6863258093716)); #486473=CARTESIAN_POINT('Ctrl Pts',(0.,-14.3271955124325,23.6863258093716)); #486474=CARTESIAN_POINT('Ctrl Pts',(14.3271955124412,-14.3271955124325, 23.6863258093716)); #486475=CARTESIAN_POINT('Ctrl Pts',(14.3271955124412,8.76110524387607E-12, 23.6863258092658)); #486476=CARTESIAN_POINT('Ctrl Pts',(14.3271955124412,14.32719551245,23.68632580916)); #486477=CARTESIAN_POINT('Ctrl Pts',(0.,14.32719551245,23.68632580916)); #486478=CARTESIAN_POINT('Ctrl Pts',(0.,14.28769677143,23.85162145032)); #486479=CARTESIAN_POINT('Ctrl Pts',(-14.28769677142,14.28769677143,23.85162145032)); #486480=CARTESIAN_POINT('Ctrl Pts',(-14.28769677142,9.98182597465814E-12, 23.8516214504255)); #486481=CARTESIAN_POINT('Ctrl Pts',(-14.28769677142,-14.28769677141,23.851621450531)); #486482=CARTESIAN_POINT('Ctrl Pts',(0.,-14.28769677141,23.851621450531)); #486483=CARTESIAN_POINT('Ctrl Pts',(14.28769677142,-14.28769677141,23.851621450531)); #486484=CARTESIAN_POINT('Ctrl Pts',(14.28769677142,9.98182597465814E-12, 23.8516214504255)); #486485=CARTESIAN_POINT('Ctrl Pts',(14.28769677142,14.28769677143,23.85162145032)); #486486=CARTESIAN_POINT('Ctrl Pts',(0.,14.28769677143,23.85162145032)); #486487=CARTESIAN_POINT('Ctrl Pts',(0.,14.25324025792,24.00247976249)); #486488=CARTESIAN_POINT('Ctrl Pts',(-14.2532402579089,14.25324025792,24.00247976249)); #486489=CARTESIAN_POINT('Ctrl Pts',(-14.2532402579089,1.10959259459845E-11, 24.0024797625953)); #486490=CARTESIAN_POINT('Ctrl Pts',(-14.2532402579089,-14.2532402578978, 24.0024797627005)); #486491=CARTESIAN_POINT('Ctrl Pts',(0.,-14.2532402578978,24.0024797627005)); #486492=CARTESIAN_POINT('Ctrl Pts',(14.2532402579089,-14.2532402578978, 24.0024797627005)); #486493=CARTESIAN_POINT('Ctrl Pts',(14.2532402579089,1.10959259459845E-11, 24.0024797625953)); #486494=CARTESIAN_POINT('Ctrl Pts',(14.2532402579089,14.25324025792,24.00247976249)); #486495=CARTESIAN_POINT('Ctrl Pts',(0.,14.25324025792,24.00247976249)); #486496=CARTESIAN_POINT('Ctrl Pts',(0.,14.2203292670849,24.1552846689671)); #486497=CARTESIAN_POINT('Ctrl Pts',(-14.2203292670727,14.2203292670849, 24.1552846689671)); #486498=CARTESIAN_POINT('Ctrl Pts',(-14.2203292670727,1.22244016625421E-11, 24.1552846690721)); #486499=CARTESIAN_POINT('Ctrl Pts',(-14.2203292670727,-14.2203292670605, 24.1552846691772)); #486500=CARTESIAN_POINT('Ctrl Pts',(0.,-14.2203292670605,24.1552846691772)); #486501=CARTESIAN_POINT('Ctrl Pts',(14.2203292670727,-14.2203292670605, 24.1552846691772)); #486502=CARTESIAN_POINT('Ctrl Pts',(14.2203292670727,1.22244016625421E-11, 24.1552846690721)); #486503=CARTESIAN_POINT('Ctrl Pts',(14.2203292670727,14.2203292670849,24.1552846689671)); #486504=CARTESIAN_POINT('Ctrl Pts',(0.,14.2203292670849,24.1552846689671)); #486505=CARTESIAN_POINT('Ctrl Pts',(0.,14.1997785199493,24.2583198563073)); #486506=CARTESIAN_POINT('Ctrl Pts',(-14.1997785199363,14.1997785199493, 24.2583198563073)); #486507=CARTESIAN_POINT('Ctrl Pts',(-14.1997785199363,1.2985324263426E-11, 24.2583198564122)); #486508=CARTESIAN_POINT('Ctrl Pts',(-14.1997785199363,-14.1997785199233, 24.2583198565171)); #486509=CARTESIAN_POINT('Ctrl Pts',(0.,-14.1997785199234,24.2583198565171)); #486510=CARTESIAN_POINT('Ctrl Pts',(14.1997785199363,-14.1997785199233, 24.2583198565171)); #486511=CARTESIAN_POINT('Ctrl Pts',(14.1997785199363,1.2985324263426E-11, 24.2583198564122)); #486512=CARTESIAN_POINT('Ctrl Pts',(14.1997785199363,14.1997785199493,24.2583198563073)); #486513=CARTESIAN_POINT('Ctrl Pts',(0.,14.1997785199493,24.2583198563073)); #486514=CARTESIAN_POINT('Ctrl Pts',(0.,14.18884336187,24.31536690031)); #486515=CARTESIAN_POINT('Ctrl Pts',(-14.1888433618566,14.18884336187,24.31536690031)); #486516=CARTESIAN_POINT('Ctrl Pts',(-14.1888433618566,1.34066209700737E-11, 24.3153669004148)); #486517=CARTESIAN_POINT('Ctrl Pts',(-14.1888433618566,-14.1888433618432, 24.3153669005196)); #486518=CARTESIAN_POINT('Ctrl Pts',(0.,-14.1888433618432,24.3153669005196)); #486519=CARTESIAN_POINT('Ctrl Pts',(14.1888433618566,-14.1888433618432, 24.3153669005196)); #486520=CARTESIAN_POINT('Ctrl Pts',(14.1888433618566,1.34066209700737E-11, 24.3153669004148)); #486521=CARTESIAN_POINT('Ctrl Pts',(14.1888433618566,14.18884336187,24.31536690031)); #486522=CARTESIAN_POINT('Ctrl Pts',(0.,14.18884336187,24.31536690031)); #486523=CARTESIAN_POINT('Ctrl Pts',(-1.30703006729603,14.1470286552985, 24.2213392610027)); #486524=CARTESIAN_POINT('Ctrl Pts',(-1.26907100235697,14.1598587084243, 24.1750447394143)); #486525=CARTESIAN_POINT('Ctrl Pts',(-1.23517280916976,14.1713100304417, 24.1342118367092)); #486526=CARTESIAN_POINT('Ctrl Pts',(-1.14400296089093,14.2028762512547, 24.0233913610717)); #486527=CARTESIAN_POINT('Ctrl Pts',(-1.10415778280999,14.2168761797733, 23.9748180712495)); #486528=CARTESIAN_POINT('Ctrl Pts',(-0.979047999219972,14.2610058060698, 23.8229990761574)); #486529=CARTESIAN_POINT('Ctrl Pts',(-0.901701300250491,14.2884888355096, 23.7300956985432)); #486530=CARTESIAN_POINT('Ctrl Pts',(-0.62994196570667,14.3820862227475, 23.4104755933532)); #486531=CARTESIAN_POINT('Ctrl Pts',(-0.430465926588034,14.4457329800492, 23.1847150860139)); #486532=CARTESIAN_POINT('Ctrl Pts',(-0.260854274714548,14.4952203759921, 22.9992582953101)); #486533=CARTESIAN_POINT('Ctrl Pts',(-1.544507016796,13.4552232425,25.36593208658)); #486534=CARTESIAN_POINT('Ctrl Pts',(-3.840293959265,16.17276767935,27.03285880593)); #486535=CARTESIAN_POINT('Ctrl Pts',(-6.136080901733,18.89031211619,28.69978552528)); #486536=CARTESIAN_POINT('Ctrl Pts',(-8.431867844202,21.60785655304,30.36671224463)); #486537=CARTESIAN_POINT('Ctrl Pts',(-1.529087323584,13.45638160803,25.30838012225)); #486538=CARTESIAN_POINT('Ctrl Pts',(-3.816757242571,16.17869309271,26.97560546632)); #486539=CARTESIAN_POINT('Ctrl Pts',(-6.104427161557,18.90100457738,28.64283081039)); #486540=CARTESIAN_POINT('Ctrl Pts',(-8.392097080544,21.62331606206,30.31005615446)); #486541=CARTESIAN_POINT('Ctrl Pts',(-1.496752754809,13.45861979964,25.18351176581)); #486542=CARTESIAN_POINT('Ctrl Pts',(-3.765996477293,16.1914558907,26.85001910117)); #486543=CARTESIAN_POINT('Ctrl Pts',(-6.035240199777,18.92429198175,28.51652643653)); #486544=CARTESIAN_POINT('Ctrl Pts',(-8.304483922262,21.65712807281,30.1830337719)); #486545=CARTESIAN_POINT('Ctrl Pts',(-1.432833960948,13.46244624858,24.93450672281)); #486546=CARTESIAN_POINT('Ctrl Pts',(-3.661902432308,16.2173157735,26.5949945891)); #486547=CARTESIAN_POINT('Ctrl Pts',(-5.890970903668,18.97218529843,28.2554824554)); #486548=CARTESIAN_POINT('Ctrl Pts',(-8.120039375028,21.72705482335,29.91597032169)); #486549=CARTESIAN_POINT('Ctrl Pts',(-1.351391047971,13.46707206191,24.65857472526)); #486550=CARTESIAN_POINT('Ctrl Pts',(-3.530220226083,16.24888141345,26.30797212268)); #486551=CARTESIAN_POINT('Ctrl Pts',(-5.709049404195,19.03069076499,27.9573695201)); #486552=CARTESIAN_POINT('Ctrl Pts',(-7.887878582307,21.81250011654,29.60676691751)); #486553=CARTESIAN_POINT('Ctrl Pts',(-1.242712111429,13.4726618931,24.39404954648)); #486554=CARTESIAN_POINT('Ctrl Pts',(-3.354909427253,16.28887239621,26.03413741006)); #486555=CARTESIAN_POINT('Ctrl Pts',(-5.467106743078,19.10508289933,27.67422527363)); #486556=CARTESIAN_POINT('Ctrl Pts',(-7.579304058902,21.92129340244,29.31431313721)); #486557=CARTESIAN_POINT('Ctrl Pts',(-1.111667899795,13.48089328527,24.1735382187)); #486558=CARTESIAN_POINT('Ctrl Pts',(-3.165364306081,16.32884777375,25.81388332817)); #486559=CARTESIAN_POINT('Ctrl Pts',(-5.219060712367,19.17680226223,27.45422843764)); #486560=CARTESIAN_POINT('Ctrl Pts',(-7.272757118653,22.02475675071,29.09457354711)); #486561=CARTESIAN_POINT('Ctrl Pts',(-0.945436309831,13.49228597524,23.9545399027)); #486562=CARTESIAN_POINT('Ctrl Pts',(-2.950720856433,16.36987988424,25.5971996268)); #486563=CARTESIAN_POINT('Ctrl Pts',(-4.956005403036,19.24747379324,27.23985935089)); #486564=CARTESIAN_POINT('Ctrl Pts',(-6.961289949638,22.12506770224,28.88251907499)); #486565=CARTESIAN_POINT('Ctrl Pts',(-0.763960442655,13.50349379066,23.73465620732)); #486566=CARTESIAN_POINT('Ctrl Pts',(-2.727212362876,16.4088158675,25.37636667816)); #486567=CARTESIAN_POINT('Ctrl Pts',(-4.690464283097,19.31413794435,27.01807714901)); #486568=CARTESIAN_POINT('Ctrl Pts',(-6.653716203317,22.2194600212,28.65978761986)); #486569=CARTESIAN_POINT('Ctrl Pts',(-0.5715746455991,13.51332003989,23.49793256109)); #486570=CARTESIAN_POINT('Ctrl Pts',(-2.495793579016,16.44549486034,25.14578039659)); #486571=CARTESIAN_POINT('Ctrl Pts',(-4.420012512433,19.37766968079,26.79362823208)); #486572=CARTESIAN_POINT('Ctrl Pts',(-6.344231445849,22.30984450125,28.44147606758)); #486573=CARTESIAN_POINT('Ctrl Pts',(-0.3684812405755,13.52088064945,23.24924051436)); #486574=CARTESIAN_POINT('Ctrl Pts',(-2.252750499289,16.480353644,24.90884477053)); #486575=CARTESIAN_POINT('Ctrl Pts',(-4.137019758002,19.43982663855,26.5684490267)); #486576=CARTESIAN_POINT('Ctrl Pts',(-6.021289016715,22.3992996331,28.22805328287)); #486577=CARTESIAN_POINT('Ctrl Pts',(-0.155784153055,13.52505508971,22.99259380239)); #486578=CARTESIAN_POINT('Ctrl Pts',(-1.993303969211,16.5138317573,24.66146644822)); #486579=CARTESIAN_POINT('Ctrl Pts',(-3.830823785366,19.50260842489,26.33033909404)); #486580=CARTESIAN_POINT('Ctrl Pts',(-5.668343601522,22.49138509248,27.99921173987)); #486581=CARTESIAN_POINT('Ctrl Pts',(0.0648350390736,13.5248955936,22.73110857449)); #486582=CARTESIAN_POINT('Ctrl Pts',(-1.714723532974,16.54573808863,24.39746174518)); #486583=CARTESIAN_POINT('Ctrl Pts',(-3.494282105021,19.56658058366,26.06381491587)); #486584=CARTESIAN_POINT('Ctrl Pts',(-5.273840677068,22.58742307869,27.73016808656)); #486585=CARTESIAN_POINT('Ctrl Pts',(0.2882437736087,13.51901525673,22.46346017093)); #486586=CARTESIAN_POINT('Ctrl Pts',(-1.411799031977,16.57622100078,24.1160959766)); #486587=CARTESIAN_POINT('Ctrl Pts',(-3.111841837563,19.63342674483,25.76873178226)); #486588=CARTESIAN_POINT('Ctrl Pts',(-4.811884643149,22.69063248889,27.42136758792)); #486589=CARTESIAN_POINT('Ctrl Pts',(0.5910381555383,13.50241140633,22.10551756888)); #486590=CARTESIAN_POINT('Ctrl Pts',(-0.9632766287026,16.61466141813,23.71926674266)); #486591=CARTESIAN_POINT('Ctrl Pts',(-2.517591412943,19.72691142992,25.33301591643)); #486592=CARTESIAN_POINT('Ctrl Pts',(-4.071906197184,22.83916144172,26.94676509021)); #486593=CARTESIAN_POINT('Ctrl Pts',(0.9903584731766,13.46740195931,21.66958447715)); #486594=CARTESIAN_POINT('Ctrl Pts',(-0.3241283264518,16.65019973064,23.16911399596)); #486595=CARTESIAN_POINT('Ctrl Pts',(-1.63861512608,19.83299750197,24.66864351478)); #486596=CARTESIAN_POINT('Ctrl Pts',(-2.953101925709,23.0157952733,26.16817303359)); #486597=CARTESIAN_POINT('Ctrl Pts',(1.453133803995,13.41900334387,21.15804581839)); #486598=CARTESIAN_POINT('Ctrl Pts',(0.318004461562,16.65118502179,22.56098997025)); #486599=CARTESIAN_POINT('Ctrl Pts',(-0.8171248808713,19.88336669971,23.96393412211)); #486600=CARTESIAN_POINT('Ctrl Pts',(-1.952254223305,23.11554837763,25.36687827398)); #486601=CARTESIAN_POINT('Ctrl Pts',(1.852208158495,13.3650532774,20.70453717577)); #486602=CARTESIAN_POINT('Ctrl Pts',(0.8550938929651,16.63211026319,22.03483246096)); #486603=CARTESIAN_POINT('Ctrl Pts',(-0.1420203725653,19.89916724897,23.36512774616)); #486604=CARTESIAN_POINT('Ctrl Pts',(-1.139134638096,23.16622423476,24.69542303135)); #486605=CARTESIAN_POINT('Ctrl Pts',(2.166334840019,13.31701359309,20.33534973994)); #486606=CARTESIAN_POINT('Ctrl Pts',(1.257497384802,16.60704215441,21.6109093011)); #486607=CARTESIAN_POINT('Ctrl Pts',(0.3486599295859,19.89707071573,22.88646886227)); #486608=CARTESIAN_POINT('Ctrl Pts',(-0.5601775256304,23.18709927705,24.16202842344)); #486609=CARTESIAN_POINT('Ctrl Pts',(2.497333540263,13.25943856473,19.93750829332)); #486610=CARTESIAN_POINT('Ctrl Pts',(1.665781731286,16.57089567405,21.16308274616)); #486611=CARTESIAN_POINT('Ctrl Pts',(0.8342299223095,19.88235278337,22.38865719899)); #486612=CARTESIAN_POINT('Ctrl Pts',(0.002678113332597,23.19380989268,23.61423165182)); #486613=CARTESIAN_POINT('Ctrl Pts',(2.94931285745,13.1697694255,19.35291967769)); #486614=CARTESIAN_POINT('Ctrl Pts',(2.214464436526,16.50814264188,20.52608575783)); #486615=CARTESIAN_POINT('Ctrl Pts',(1.479616015603,19.84651585825,21.69925183797)); #486616=CARTESIAN_POINT('Ctrl Pts',(0.7447675946796,23.18488907462,22.87241791812)); #486617=CARTESIAN_POINT('Ctrl Pts',(3.547414402898,13.02816881039,18.5556570344)); #486618=CARTESIAN_POINT('Ctrl Pts',(2.932161975856,16.39864211222,19.6429974775)); #486619=CARTESIAN_POINT('Ctrl Pts',(2.316909548814,19.76911541405,20.73033792061)); #486620=CARTESIAN_POINT('Ctrl Pts',(1.701657121772,23.13958871588,21.81767836372)); #486621=CARTESIAN_POINT('Ctrl Pts',(4.195899178867,12.83745244973,17.64012713666)); #486622=CARTESIAN_POINT('Ctrl Pts',(3.683666802264,16.23928161216,18.61373679947)); #486623=CARTESIAN_POINT('Ctrl Pts',(3.171434425662,19.64111077458,19.58734646228)); #486624=CARTESIAN_POINT('Ctrl Pts',(2.65920204906,23.04293993701,20.56095612509)); #486625=CARTESIAN_POINT('Ctrl Pts',(4.749706091022,12.65415893156,16.73325689756)); #486626=CARTESIAN_POINT('Ctrl Pts',(4.238840797136,16.09271875109,17.74608954464)); #486627=CARTESIAN_POINT('Ctrl Pts',(3.727975503249,19.53127857061,18.75892219172)); #486628=CARTESIAN_POINT('Ctrl Pts',(3.217110209363,22.96983839013,19.7717548388)); #486629=CARTESIAN_POINT('Ctrl Pts',(5.184435374482,12.49558314695,16.01072526395)); #486630=CARTESIAN_POINT('Ctrl Pts',(4.666777574239,15.9666905396,17.04993423849)); #486631=CARTESIAN_POINT('Ctrl Pts',(4.149119773996,19.43779793224,18.08914321302)); #486632=CARTESIAN_POINT('Ctrl Pts',(3.631461973753,22.90890532488,19.12835218756)); #486633=CARTESIAN_POINT('Ctrl Pts',(5.616852032022,12.32229147417,15.19191701379)); #486634=CARTESIAN_POINT('Ctrl Pts',(5.090132680133,15.8287445748,16.28323980996)); #486635=CARTESIAN_POINT('Ctrl Pts',(4.563413328244,19.33519767542,17.37456260614)); #486636=CARTESIAN_POINT('Ctrl Pts',(4.036693976354,22.84165077605,18.46588540232)); #486637=CARTESIAN_POINT('Ctrl Pts',(5.991186547014,12.15856568852,14.46522179657)); #486638=CARTESIAN_POINT('Ctrl Pts',(5.447342288777,15.69987650406,15.61505467884)); #486639=CARTESIAN_POINT('Ctrl Pts',(4.90349803054,19.2411873196,16.7648875611)); #486640=CARTESIAN_POINT('Ctrl Pts',(4.359653772304,22.78249813515,17.91472044336)); #486641=CARTESIAN_POINT('Ctrl Pts',(6.260458198345,12.03270907039,13.8550408758)); #486642=CARTESIAN_POINT('Ctrl Pts',(5.707323358364,15.60021762848,15.05864625583)); #486643=CARTESIAN_POINT('Ctrl Pts',(5.154188518383,19.16772618656,16.26225163585)); #486644=CARTESIAN_POINT('Ctrl Pts',(4.601053678402,22.73523474465,17.46585701587)); #486645=CARTESIAN_POINT('Ctrl Pts',(6.470055625864,11.93154064308,13.39029831512)); #486646=CARTESIAN_POINT('Ctrl Pts',(5.90473304863,15.5210483533,14.63510689476)); #486647=CARTESIAN_POINT('Ctrl Pts',(5.339410471396,19.11055606352,15.8799154744)); #486648=CARTESIAN_POINT('Ctrl Pts',(4.774087894162,22.70006377375,17.12472405404)); #486649=CARTESIAN_POINT('Ctrl Pts',(6.672716490486,11.8296857511,12.90862107203)); #486650=CARTESIAN_POINT('Ctrl Pts',(6.095465965628,15.44141351245,14.19915576338)); #486651=CARTESIAN_POINT('Ctrl Pts',(5.518215440771,19.05314127381,15.48969045473)); #486652=CARTESIAN_POINT('Ctrl Pts',(4.940964915913,22.66486903517,16.78022514608)); #486653=CARTESIAN_POINT('Ctrl Pts',(6.923627236189,11.69858689639,12.23400372058)); #486654=CARTESIAN_POINT('Ctrl Pts',(6.334738829904,15.33827745977,13.59519398576)); #486655=CARTESIAN_POINT('Ctrl Pts',(5.745850423619,18.97796802315,14.95638425094)); #486656=CARTESIAN_POINT('Ctrl Pts',(5.156962017334,22.61765858653,16.31757451613)); #486657=CARTESIAN_POINT('Ctrl Pts',(7.206789401495,11.54348161538,11.4721274918)); #486658=CARTESIAN_POINT('Ctrl Pts',(6.598695936584,15.21763925647,12.919036894)); #486659=CARTESIAN_POINT('Ctrl Pts',(5.990602471672,18.89179689756,14.3659462962)); #486660=CARTESIAN_POINT('Ctrl Pts',(5.382509006761,22.56595453865,15.8128556984)); #486661=CARTESIAN_POINT('Ctrl Pts',(7.440823212294,11.40723971414,10.72214736031)); #486662=CARTESIAN_POINT('Ctrl Pts',(6.820415970775,15.11098566652,12.26272530842)); #486663=CARTESIAN_POINT('Ctrl Pts',(6.200008729256,18.81473161889,13.80330325653)); #486664=CARTESIAN_POINT('Ctrl Pts',(5.579601487738,22.51847757127,15.34388120464)); #486665=CARTESIAN_POINT('Ctrl Pts',(7.562057455477,11.33408387804,10.27581352849)); #486666=CARTESIAN_POINT('Ctrl Pts',(6.93841656216,15.05298438026,11.87562963252)); #486667=CARTESIAN_POINT('Ctrl Pts',(6.314775668842,18.77188488247,13.47544573655)); #486668=CARTESIAN_POINT('Ctrl Pts',(5.691134775525,22.49078538468,15.07526184059)); #486669=CARTESIAN_POINT('Ctrl Pts',(7.613537247522,11.30266230855,10.08696151965)); #486670=CARTESIAN_POINT('Ctrl Pts',(6.988266109673,15.0281334867,11.71233877911)); #486671=CARTESIAN_POINT('Ctrl Pts',(6.362994971824,18.75360466484,13.33771603858)); #486672=CARTESIAN_POINT('Ctrl Pts',(5.737723833975,22.47907584299,14.96309329805)); #486673=CARTESIAN_POINT('Ctrl Pts',(7.629373986717,11.29297317661,10.02946490721)); #486674=CARTESIAN_POINT('Ctrl Pts',(7.00354044797,15.02048460959,11.66266256332)); #486675=CARTESIAN_POINT('Ctrl Pts',(6.377706909222,18.74799604256,13.29586021943)); #486676=CARTESIAN_POINT('Ctrl Pts',(5.751873370475,22.47550747553,14.92905787554)); #486677=CARTESIAN_POINT('',(-8.18222716714218,21.4953752841174,30.0999434964738)); #486678=CARTESIAN_POINT('Ctrl Pts',(-1.63153875355071,13.6159018720243, 25.2939687836394)); #486679=CARTESIAN_POINT('Ctrl Pts',(-2.24504843920241,14.3538649669059, 25.7440768123609)); #486680=CARTESIAN_POINT('Ctrl Pts',(-3.35632366439377,15.6905651485584, 26.5593793926743)); #486681=CARTESIAN_POINT('Ctrl Pts',(-5.99866214648943,18.8688921942486, 28.4979561158264)); #486682=CARTESIAN_POINT('Ctrl Pts',(-7.09044388075232,20.1821342202434, 29.2989500752487)); #486683=CARTESIAN_POINT('Ctrl Pts',(-8.18222716658344,21.4953752847286, 30.0999434962332)); #486684=CARTESIAN_POINT('',(5.7455690982454,22.2707977443865,14.9380251357526)); #486685=CARTESIAN_POINT('Ctrl Pts',(-8.18222716664087,21.4953752838048, 30.0999434967346)); #486686=CARTESIAN_POINT('Ctrl Pts',(-8.10642215556079,21.5242305055848, 29.9888140815602)); #486687=CARTESIAN_POINT('Ctrl Pts',(-8.02954988522865,21.5530352612889, 29.8762335605141)); #486688=CARTESIAN_POINT('Ctrl Pts',(-7.85785725471301,21.6162887883136, 29.6426074205403)); #486689=CARTESIAN_POINT('Ctrl Pts',(-7.77845951018005,21.6449340810628, 29.5456300059468)); #486690=CARTESIAN_POINT('Ctrl Pts',(-7.5059489449756,21.7417001875814,29.2533699491865)); #486691=CARTESIAN_POINT('Ctrl Pts',(-7.31755133702264,21.8062681262621, 29.1158476773463)); #486692=CARTESIAN_POINT('Ctrl Pts',(-6.99505853403624,21.91068324824,28.8902150522189)); #486693=CARTESIAN_POINT('Ctrl Pts',(-6.88014859570673,21.9481195089428, 28.8115699882875)); #486694=CARTESIAN_POINT('Ctrl Pts',(-6.29959000982295,22.1235470263298, 28.3916884325054)); #486695=CARTESIAN_POINT('Ctrl Pts',(-5.56592444049143,22.339778084505,27.8850788669251)); #486696=CARTESIAN_POINT('Ctrl Pts',(-4.26648249894037,22.6028584997391, 27.0354436324832)); #486697=CARTESIAN_POINT('Ctrl Pts',(-3.97041677446219,22.6574947377362, 26.8411639257267)); #486698=CARTESIAN_POINT('Ctrl Pts',(-3.2083368395733,22.7789357533673,26.3091658832358)); #486699=CARTESIAN_POINT('Ctrl Pts',(-2.82550927052808,22.8296073884253, 26.0269134996393)); #486700=CARTESIAN_POINT('Ctrl Pts',(-2.1808826481871,22.8975299391393,25.5207170091784)); #486701=CARTESIAN_POINT('Ctrl Pts',(-1.95407449004014,22.9179545698715, 25.3373496135937)); #486702=CARTESIAN_POINT('Ctrl Pts',(-1.32959353698847,22.9650262642381, 24.8259258397924)); #486703=CARTESIAN_POINT('Ctrl Pts',(-1.00816064330938,22.980515987327,24.5521504323678)); #486704=CARTESIAN_POINT('Ctrl Pts',(-0.333486223302727,22.9999185130535, 23.9219399122193)); #486705=CARTESIAN_POINT('Ctrl Pts',(0.0426575570264118,23.001841779813, 23.5521059067813)); #486706=CARTESIAN_POINT('Ctrl Pts',(0.484307288246464,22.9953735827503, 23.1063645215342)); #486707=CARTESIAN_POINT('Ctrl Pts',(0.627242652074963,22.9919004043363, 22.9591637090426)); #486708=CARTESIAN_POINT('Ctrl Pts',(1.05721132830612,22.97746420433,22.5038332975276)); #486709=CARTESIAN_POINT('Ctrl Pts',(1.33318995565422,22.9628974632352,22.195551008294)); #486710=CARTESIAN_POINT('Ctrl Pts',(1.85221754171579,22.926681459877,21.5804897241814)); #486711=CARTESIAN_POINT('Ctrl Pts',(2.09577640054855,22.9054420626313,21.2742673441229)); #486712=CARTESIAN_POINT('Ctrl Pts',(2.5164190815866,22.8627634215066,20.7261061093031)); #486713=CARTESIAN_POINT('Ctrl Pts',(2.70867170679472,22.8406393069126,20.4672949678868)); #486714=CARTESIAN_POINT('Ctrl Pts',(3.02802170063823,22.8002581494535,20.0235763018619)); #486715=CARTESIAN_POINT('Ctrl Pts',(3.16378076751609,22.7818061641209,19.8289853631341)); #486716=CARTESIAN_POINT('Ctrl Pts',(3.54171861878406,22.7269381785448,19.2601200539042)); #486717=CARTESIAN_POINT('Ctrl Pts',(3.78806126464626,22.686997539208,18.8629544866452)); #486718=CARTESIAN_POINT('Ctrl Pts',(4.36956598386956,22.5841258652583,17.8949316583677)); #486719=CARTESIAN_POINT('Ctrl Pts',(4.6370024445569,22.5299944974761,17.4119680622032)); #486720=CARTESIAN_POINT('Ctrl Pts',(5.08665220999145,22.4309088726515,16.4676153980801)); #486721=CARTESIAN_POINT('Ctrl Pts',(5.23280647866927,22.3972149057102,16.1464448243567)); #486722=CARTESIAN_POINT('Ctrl Pts',(5.51704386179291,22.3290803363354,15.4963554803053)); #486723=CARTESIAN_POINT('Ctrl Pts',(5.63379308074857,22.2996345475337,15.2101585006816)); #486724=CARTESIAN_POINT('Ctrl Pts',(5.74556909687784,22.2707978247005,14.9380251266804)); #486725=CARTESIAN_POINT('',(7.28168245342257,13.1140039766053,10.9767683580582)); #486726=CARTESIAN_POINT('',(7.281682450681,13.11400396905,10.97676835698)); #486727=CARTESIAN_POINT('',(7.27501592640728,13.1177034296131,10.9999999025722)); #486728=CARTESIAN_POINT('',(7.27501519569815,13.1177038347376,10.9999994345881)); #486729=CARTESIAN_POINT('',(3.37527142434618,14.6153189725581,18.8974410030499)); #486730=CARTESIAN_POINT('Ctrl Pts',(7.27501665556657,13.1177030253482,11.0000001761174)); #486731=CARTESIAN_POINT('Ctrl Pts',(7.21707895614156,13.1498350020737,11.2019252641098)); #486732=CARTESIAN_POINT('Ctrl Pts',(7.14757391632703,13.1881534104167,11.4467133911471)); #486733=CARTESIAN_POINT('Ctrl Pts',(6.95516435998609,13.2903963550098,12.0334860589081)); #486734=CARTESIAN_POINT('Ctrl Pts',(6.8702853623952,13.3345331794292,12.2724300411736)); #486735=CARTESIAN_POINT('Ctrl Pts',(6.7193804991742,13.4109772762288,12.6799339038302)); #486736=CARTESIAN_POINT('Ctrl Pts',(6.6595972763912,13.440771750503,12.8373439441833)); #486737=CARTESIAN_POINT('Ctrl Pts',(6.53773732419064,13.5004672623519,13.1566183447221)); #486738=CARTESIAN_POINT('Ctrl Pts',(6.44666195971194,13.5443751436949,13.3964980916849)); #486739=CARTESIAN_POINT('Ctrl Pts',(6.24073046732647,13.6407511806847,13.895012061845)); #486740=CARTESIAN_POINT('Ctrl Pts',(6.12478802031475,13.6930968386295,14.1487049636739)); #486741=CARTESIAN_POINT('Ctrl Pts',(5.93981538152187,13.7740928370965,14.5544531083694)); #486742=CARTESIAN_POINT('Ctrl Pts',(5.88109759371392,13.7991716171465,14.684168809659)); #486743=CARTESIAN_POINT('Ctrl Pts',(5.73952928794428,13.8587646856064,14.9850228680142)); #486744=CARTESIAN_POINT('Ctrl Pts',(5.6598255783009,13.8914721555885,15.1445741438701)); #486745=CARTESIAN_POINT('Ctrl Pts',(5.43542889944151,13.9814819154283,15.5817935868246)); #486746=CARTESIAN_POINT('Ctrl Pts',(5.1988271929652,14.0715316912178,16.0263152604199)); #486747=CARTESIAN_POINT('Ctrl Pts',(4.8755508419288,14.1863550008799,16.6043802220039)); #486748=CARTESIAN_POINT('Ctrl Pts',(4.73793111170806,14.2328119648628,16.8351269171986)); #486749=CARTESIAN_POINT('Ctrl Pts',(4.50951644382664,14.3064092759677,17.2091282058358)); #486750=CARTESIAN_POINT('Ctrl Pts',(4.39282189479506,14.3427517585777,17.3982337690637)); #486751=CARTESIAN_POINT('Ctrl Pts',(3.99684327892241,14.4609520585478,18.0271357333062)); #486752=CARTESIAN_POINT('Ctrl Pts',(3.7410496486886,14.5308457064873,18.4023567093079)); #486753=CARTESIAN_POINT('Ctrl Pts',(3.37527140946562,14.6153187756013,18.8974410256613)); #486754=CARTESIAN_POINT('Ctrl Pts',(3.37527145544478,14.6153191592093,18.8974409941926)); #486755=CARTESIAN_POINT('Ctrl Pts',(2.89631232862138,14.7259302946779,19.545716720838)); #486756=CARTESIAN_POINT('Ctrl Pts',(2.24964015397915,14.8182474953304,20.3772671550501)); #486757=CARTESIAN_POINT('Ctrl Pts',(1.20292813186361,14.7698102608136,21.5138792231787)); #486758=CARTESIAN_POINT('Ctrl Pts',(0.903565019662465,14.7374072944078, 21.8197984617095)); #486759=CARTESIAN_POINT('Ctrl Pts',(0.467586703831893,14.6675347947874, 22.257665563422)); #486760=CARTESIAN_POINT('Ctrl Pts',(0.32151274786012,14.6402175683178,22.4005106756799)); #486761=CARTESIAN_POINT('Ctrl Pts',(0.0249728508607665,14.5744042222048, 22.6957229620508)); #486762=CARTESIAN_POINT('Ctrl Pts',(-0.102860291729197,14.5411453264505, 22.8268314045932)); #486763=CARTESIAN_POINT('Ctrl Pts',(-0.251958996376536,14.4978107541829, 22.9895414555785)); #486764=CARTESIAN_POINT('Ctrl Pts',(3.3752239801396,14.6151129175432,18.8016229703528)); #486765=CARTESIAN_POINT('Ctrl Pts',(1.70953283088271,14.9997887488,18.80162297035)); #486766=CARTESIAN_POINT('Ctrl Pts',(0.,14.9997887488,18.80162297035)); #486767=CARTESIAN_POINT('Ctrl Pts',(-14.9997887488273,14.9997887488,18.80162297035)); #486768=CARTESIAN_POINT('Ctrl Pts',(-14.9997887488273,-2.73127922721394E-11, 18.8016229704608)); #486769=CARTESIAN_POINT('Ctrl Pts',(-14.9997887488273,-14.9997887488546, 18.8016229705715)); #486770=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9997887488546,18.8016229705715)); #486771=CARTESIAN_POINT('Ctrl Pts',(14.9997887488273,-14.9997887488546, 18.8016229705715)); #486772=CARTESIAN_POINT('Ctrl Pts',(14.9997887488273,-2.73127922721394E-11, 18.8016229704608)); #486773=CARTESIAN_POINT('Ctrl Pts',(14.9997887488273,11.93052813279,18.8016229703727)); #486774=CARTESIAN_POINT('Ctrl Pts',(3.3752239801396,14.6151129175432,18.8016229703528)); #486775=CARTESIAN_POINT('Ctrl Pts',(3.3752557196155,14.6152503531705,18.8335620836328)); #486776=CARTESIAN_POINT('Ctrl Pts',(1.7095489067569,14.99992980179,18.83356208363)); #486777=CARTESIAN_POINT('Ctrl Pts',(0.,14.99992980179,18.83356208363)); #486778=CARTESIAN_POINT('Ctrl Pts',(-14.9999298018171,14.99992980179,18.83356208363)); #486779=CARTESIAN_POINT('Ctrl Pts',(-14.9999298018171,-2.70769195205644E-11, 18.8335620837408)); #486780=CARTESIAN_POINT('Ctrl Pts',(-14.9999298018171,-14.9999298018442, 18.8335620838516)); #486781=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9999298018442,18.8335620838516)); #486782=CARTESIAN_POINT('Ctrl Pts',(14.9999298018171,-14.9999298018442, 18.8335620838516)); #486783=CARTESIAN_POINT('Ctrl Pts',(14.9999298018171,-2.70769195205644E-11, 18.8335620837408)); #486784=CARTESIAN_POINT('Ctrl Pts',(14.9999298018171,11.9306403234811,18.8335620836527)); #486785=CARTESIAN_POINT('Ctrl Pts',(3.3752557196155,14.6152503531705,18.8335620836328)); #486786=CARTESIAN_POINT('Ctrl Pts',(3.37529242132326,14.61540927584,18.9073694978428)); #486787=CARTESIAN_POINT('Ctrl Pts',(1.7095674959749,15.00009290737,18.90736949784)); #486788=CARTESIAN_POINT('Ctrl Pts',(0.,15.00009290737,18.90736949784)); #486789=CARTESIAN_POINT('Ctrl Pts',(-15.0000929073965,15.00009290737,18.90736949784)); #486790=CARTESIAN_POINT('Ctrl Pts',(-15.0000929073965,-2.65318462205098E-11, 18.9073694979508)); #486791=CARTESIAN_POINT('Ctrl Pts',(-15.0000929073965,-15.0000929074231, 18.9073694980616)); #486792=CARTESIAN_POINT('Ctrl Pts',(0.,-15.0000929074231,18.9073694980616)); #486793=CARTESIAN_POINT('Ctrl Pts',(15.0000929073965,-15.0000929074231, 18.9073694980616)); #486794=CARTESIAN_POINT('Ctrl Pts',(15.0000929073965,-2.65318462205098E-11, 18.9073694979508)); #486795=CARTESIAN_POINT('Ctrl Pts',(15.0000929073965,11.9307700543556,18.9073694978627)); #486796=CARTESIAN_POINT('Ctrl Pts',(3.37529242132326,14.61540927584,18.9073694978428)); #486797=CARTESIAN_POINT('Ctrl Pts',(3.37519737481798,14.614997713404,19.0531821213428)); #486798=CARTESIAN_POINT('Ctrl Pts',(1.7095193554301,14.99967051244,19.05318212134)); #486799=CARTESIAN_POINT('Ctrl Pts',(0.,14.99967051244,19.05318212134)); #486800=CARTESIAN_POINT('Ctrl Pts',(-14.9996705124655,14.99967051244,19.05318212134)); #486801=CARTESIAN_POINT('Ctrl Pts',(-14.9996705124655,-2.54550090391579E-11, 19.0531821214508)); #486802=CARTESIAN_POINT('Ctrl Pts',(-14.9996705124655,-14.9996705124909, 19.0531821215615)); #486803=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9996705124909,19.0531821215615)); #486804=CARTESIAN_POINT('Ctrl Pts',(14.9996705124655,-14.9996705124909, 19.0531821215615)); #486805=CARTESIAN_POINT('Ctrl Pts',(14.9996705124655,-2.54550090391579E-11, 19.0531821214508)); #486806=CARTESIAN_POINT('Ctrl Pts',(14.9996705124655,11.9304340899846,19.0531821213627)); #486807=CARTESIAN_POINT('Ctrl Pts',(3.37519737481798,14.614997713404,19.0531821213428)); #486808=CARTESIAN_POINT('Ctrl Pts',(3.37475176819144,14.6130681848164,19.2366720088128)); #486809=CARTESIAN_POINT('Ctrl Pts',(1.70929365806536,14.99769019786,19.23667200881)); #486810=CARTESIAN_POINT('Ctrl Pts',(0.,14.99769019786,19.23667200881)); #486811=CARTESIAN_POINT('Ctrl Pts',(-14.9976901978841,14.99769019786,19.23667200881)); #486812=CARTESIAN_POINT('Ctrl Pts',(-14.9976901978841,-2.40999224322034E-11, 19.2366720089208)); #486813=CARTESIAN_POINT('Ctrl Pts',(-14.9976901978841,-14.9976901979082, 19.2366720090315)); #486814=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9976901979082,19.2366720090315)); #486815=CARTESIAN_POINT('Ctrl Pts',(14.9976901978841,-14.9976901979082, 19.2366720090315)); #486816=CARTESIAN_POINT('Ctrl Pts',(14.9976901978841,-2.40999224322034E-11, 19.2366720089208)); #486817=CARTESIAN_POINT('Ctrl Pts',(14.9976901978841,11.9288589878815,19.2366720088327)); #486818=CARTESIAN_POINT('Ctrl Pts',(3.37475176819144,14.6130681848164,19.2366720088128)); #486819=CARTESIAN_POINT('Ctrl Pts',(3.37377219712365,14.6088265280121,19.4421404013028)); #486820=CARTESIAN_POINT('Ctrl Pts',(1.70879751057695,14.99333689889,19.4421404013)); #486821=CARTESIAN_POINT('Ctrl Pts',(0.,14.99333689889,19.4421404013)); #486822=CARTESIAN_POINT('Ctrl Pts',(-14.9933368989126,14.99333689889,19.4421404013)); #486823=CARTESIAN_POINT('Ctrl Pts',(-14.9933368989126,-2.25825229141445E-11, 19.4421404014107)); #486824=CARTESIAN_POINT('Ctrl Pts',(-14.9933368989126,-14.9933368989352, 19.4421404015215)); #486825=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9933368989352,19.4421404015215)); #486826=CARTESIAN_POINT('Ctrl Pts',(14.9933368989126,-14.9933368989352, 19.4421404015215)); #486827=CARTESIAN_POINT('Ctrl Pts',(14.9933368989126,-2.25825229141445E-11, 19.4421404014107)); #486828=CARTESIAN_POINT('Ctrl Pts',(14.9933368989126,11.9253964620622,19.4421404013227)); #486829=CARTESIAN_POINT('Ctrl Pts',(3.37377219712365,14.6088265280121,19.4421404013028)); #486830=CARTESIAN_POINT('Ctrl Pts',(3.3724075011967,14.6029172357153,19.6341622531528)); #486831=CARTESIAN_POINT('Ctrl Pts',(1.70810629941436,14.98727207157,19.63416225315)); #486832=CARTESIAN_POINT('Ctrl Pts',(0.,14.98727207157,19.63416225315)); #486833=CARTESIAN_POINT('Ctrl Pts',(-14.9872720715912,14.98727207157,19.63416225315)); #486834=CARTESIAN_POINT('Ctrl Pts',(-14.9872720715912,-2.11644271091262E-11, 19.6341622532607)); #486835=CARTESIAN_POINT('Ctrl Pts',(-14.9872720715912,-14.9872720716123, 19.6341622533714)); #486836=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9872720716123,19.6341622533714)); #486837=CARTESIAN_POINT('Ctrl Pts',(14.9872720715912,-14.9872720716123, 19.6341622533714)); #486838=CARTESIAN_POINT('Ctrl Pts',(14.9872720715912,-2.11644271091262E-11, 19.6341622532607)); #486839=CARTESIAN_POINT('Ctrl Pts',(14.9872720715912,11.9205726212622,19.6341622531727)); #486840=CARTESIAN_POINT('Ctrl Pts',(3.3724075011967,14.6029172357153,19.6341622531528)); #486841=CARTESIAN_POINT('Ctrl Pts',(3.37074530547662,14.5957197346669,19.8131390309428)); #486842=CARTESIAN_POINT('Ctrl Pts',(1.70726440620326,14.97988512931,19.81313903094)); #486843=CARTESIAN_POINT('Ctrl Pts',(0.,14.97988512931,19.81313903094)); #486844=CARTESIAN_POINT('Ctrl Pts',(-14.9798851293298,14.97988512931,19.81313903094)); #486845=CARTESIAN_POINT('Ctrl Pts',(-14.9798851293298,-1.98426701562875E-11, 19.8131390310506)); #486846=CARTESIAN_POINT('Ctrl Pts',(-14.9798851293298,-14.9798851293497, 19.8131390311613)); #486847=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9798851293497,19.8131390311613)); #486848=CARTESIAN_POINT('Ctrl Pts',(14.9798851293298,-14.9798851293497, 19.8131390311613)); #486849=CARTESIAN_POINT('Ctrl Pts',(14.9798851293298,-1.98426701562875E-11, 19.8131390310506)); #486850=CARTESIAN_POINT('Ctrl Pts',(14.9798851293298,11.9146971970199,19.8131390309626)); #486851=CARTESIAN_POINT('Ctrl Pts',(3.37074530547662,14.5957197346669,19.8131390309428)); #486852=CARTESIAN_POINT('Ctrl Pts',(3.3687738735053,14.5871832046365,19.9871456963028)); #486853=CARTESIAN_POINT('Ctrl Pts',(1.7062658864906,14.97112391427,19.9871456963)); #486854=CARTESIAN_POINT('Ctrl Pts',(0.,14.97112391427,19.9871456963)); #486855=CARTESIAN_POINT('Ctrl Pts',(-14.9711239142886,14.97112391427,19.9871456963)); #486856=CARTESIAN_POINT('Ctrl Pts',(-14.9711239142886,-1.85576178572139E-11, 19.9871456964106)); #486857=CARTESIAN_POINT('Ctrl Pts',(-14.9711239142886,-14.9711239143071, 19.9871456965211)); #486858=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9711239143071,19.9871456965211)); #486859=CARTESIAN_POINT('Ctrl Pts',(14.9711239142886,-14.9711239143071, 19.9871456965211)); #486860=CARTESIAN_POINT('Ctrl Pts',(14.9711239142886,-1.85576178572139E-11, 19.9871456964106)); #486861=CARTESIAN_POINT('Ctrl Pts',(14.9711239142886,11.9077287040458,19.9871456963226)); #486862=CARTESIAN_POINT('Ctrl Pts',(3.3687738735053,14.5871832046365,19.9871456963028)); #486863=CARTESIAN_POINT('Ctrl Pts',(3.36636286979419,14.5767432777797,20.1667800233328)); #486864=CARTESIAN_POINT('Ctrl Pts',(1.70504472605094,14.96040920421,20.16678002333)); #486865=CARTESIAN_POINT('Ctrl Pts',(0.,14.96040920421,20.16678002333)); #486866=CARTESIAN_POINT('Ctrl Pts',(-14.9604092042272,14.96040920421,20.16678002333)); #486867=CARTESIAN_POINT('Ctrl Pts',(-14.9604092042272,-1.72310048538278E-11, 20.1667800234405)); #486868=CARTESIAN_POINT('Ctrl Pts',(-14.9604092042272,-14.9604092042445, 20.166780023551)); #486869=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9604092042445,20.166780023551)); #486870=CARTESIAN_POINT('Ctrl Pts',(14.9604092042272,-14.9604092042445, 20.166780023551)); #486871=CARTESIAN_POINT('Ctrl Pts',(14.9604092042272,-1.72310048538278E-11, 20.1667800234405)); #486872=CARTESIAN_POINT('Ctrl Pts',(14.9604092042272,11.8992064407032,20.1667800233526)); #486873=CARTESIAN_POINT('Ctrl Pts',(3.36636286979419,14.5767432777797,20.1667800233328)); #486874=CARTESIAN_POINT('Ctrl Pts',(3.36329998558413,14.5634806324435,20.3606114498828)); #486875=CARTESIAN_POINT('Ctrl Pts',(1.70349339163726,14.94679748055,20.36061144988)); #486876=CARTESIAN_POINT('Ctrl Pts',(0.,14.94679748055,20.36061144988)); #486877=CARTESIAN_POINT('Ctrl Pts',(-14.9467974805658,14.94679748055,20.36061144988)); #486878=CARTESIAN_POINT('Ctrl Pts',(-14.9467974805658,-1.57995452036734E-11, 20.3606114499904)); #486879=CARTESIAN_POINT('Ctrl Pts',(-14.9467974805658,-14.9467974805816, 20.3606114501008)); #486880=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9467974805816,20.3606114501008)); #486881=CARTESIAN_POINT('Ctrl Pts',(14.9467974805658,-14.9467974805816, 20.3606114501008)); #486882=CARTESIAN_POINT('Ctrl Pts',(14.9467974805658,-1.57995452036734E-11, 20.3606114499904)); #486883=CARTESIAN_POINT('Ctrl Pts',(14.9467974805658,11.8883799514254,20.3606114499026)); #486884=CARTESIAN_POINT('Ctrl Pts',(3.36329998558413,14.5634806324435,20.3606114498828)); #486885=CARTESIAN_POINT('Ctrl Pts',(3.35933587601541,14.5463155763465,20.5728831201328)); #486886=CARTESIAN_POINT('Ctrl Pts',(1.70148559141635,14.92918063306,20.57288312013)); #486887=CARTESIAN_POINT('Ctrl Pts',(0.,14.92918063306,20.57288312013)); #486888=CARTESIAN_POINT('Ctrl Pts',(-14.9291806330742,14.92918063306,20.57288312013)); #486889=CARTESIAN_POINT('Ctrl Pts',(-14.9291806330742,-1.42319029681386E-11, 20.5728831202403)); #486890=CARTESIAN_POINT('Ctrl Pts',(-14.9291806330742,-14.9291806330885, 20.5728831203505)); #486891=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9291806330885,20.5728831203505)); #486892=CARTESIAN_POINT('Ctrl Pts',(14.9291806330742,-14.9291806330885, 20.5728831203505)); #486893=CARTESIAN_POINT('Ctrl Pts',(14.9291806330742,-1.42319029681386E-11, 20.5728831202403)); #486894=CARTESIAN_POINT('Ctrl Pts',(14.9291806330742,11.8743678677818,20.5728831201526)); #486895=CARTESIAN_POINT('Ctrl Pts',(3.35933587601541,14.5463155763465,20.5728831201328)); #486896=CARTESIAN_POINT('Ctrl Pts',(3.35427361586012,14.5243954003175,20.8025137640628)); #486897=CARTESIAN_POINT('Ctrl Pts',(1.69892158381719,14.90668350891,20.80251376406)); #486898=CARTESIAN_POINT('Ctrl Pts',(0.,14.90668350891,20.80251376406)); #486899=CARTESIAN_POINT('Ctrl Pts',(-14.9066835089225,14.90668350891,20.80251376406)); #486900=CARTESIAN_POINT('Ctrl Pts',(-14.9066835089225,-1.25360634075712E-11, 20.8025137641701)); #486901=CARTESIAN_POINT('Ctrl Pts',(-14.9066835089225,-14.9066835089351, 20.8025137642802)); #486902=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9066835089351,20.8025137642802)); #486903=CARTESIAN_POINT('Ctrl Pts',(14.9066835089225,-14.9066835089351, 20.8025137642802)); #486904=CARTESIAN_POINT('Ctrl Pts',(14.9066835089225,-1.25360634075712E-11, 20.8025137641701)); #486905=CARTESIAN_POINT('Ctrl Pts',(14.9066835089225,11.8564741109384,20.8025137640825)); #486906=CARTESIAN_POINT('Ctrl Pts',(3.35427361586012,14.5243954003175,20.8025137640628)); #486907=CARTESIAN_POINT('Ctrl Pts',(3.34814751292608,14.4978686611599,21.0406605595728)); #486908=CARTESIAN_POINT('Ctrl Pts',(1.69581874556031,14.87945857498,21.04066055957)); #486909=CARTESIAN_POINT('Ctrl Pts',(0.,14.87945857498,21.04066055957)); #486910=CARTESIAN_POINT('Ctrl Pts',(-14.8794585749908,14.87945857498,21.04066055957)); #486911=CARTESIAN_POINT('Ctrl Pts',(-14.8794585749908,-1.07773314276562E-11, 21.0406605596799)); #486912=CARTESIAN_POINT('Ctrl Pts',(-14.8794585749908,-14.8794585750016, 21.0406605597898)); #486913=CARTESIAN_POINT('Ctrl Pts',(0.,-14.8794585750016,21.0406605597898)); #486914=CARTESIAN_POINT('Ctrl Pts',(14.8794585749908,-14.8794585750016, 21.0406605597898)); #486915=CARTESIAN_POINT('Ctrl Pts',(14.8794585749908,-1.07773314276562E-11, 21.0406605596799)); #486916=CARTESIAN_POINT('Ctrl Pts',(14.8794585749908,11.8348199499632,21.0406605595925)); #486917=CARTESIAN_POINT('Ctrl Pts',(3.34814751292608,14.4978686611599,21.0406605595728)); #486918=CARTESIAN_POINT('Ctrl Pts',(3.34122323029342,14.46788571095,21.2748403696428)); #486919=CARTESIAN_POINT('Ctrl Pts',(1.69231163356997,14.84868646109,21.27484036964)); #486920=CARTESIAN_POINT('Ctrl Pts',(0.,14.84868646109,21.27484036964)); #486921=CARTESIAN_POINT('Ctrl Pts',(-14.848686461099,14.84868646109,21.27484036964)); #486922=CARTESIAN_POINT('Ctrl Pts',(-14.848686461099,-9.04789593330716E-12, 21.2748403697497)); #486923=CARTESIAN_POINT('Ctrl Pts',(-14.848686461099,-14.8486864611081, 21.2748403698593)); #486924=CARTESIAN_POINT('Ctrl Pts',(0.,-14.8486864611081,21.2748403698593)); #486925=CARTESIAN_POINT('Ctrl Pts',(14.848686461099,-14.8486864611081,21.2748403698593)); #486926=CARTESIAN_POINT('Ctrl Pts',(14.848686461099,-9.04789593330716E-12, 21.2748403697497)); #486927=CARTESIAN_POINT('Ctrl Pts',(14.848686461099,11.8103444339001,21.2748403696624)); #486928=CARTESIAN_POINT('Ctrl Pts',(3.34122323029342,14.46788571095,21.2748403696428)); #486929=CARTESIAN_POINT('Ctrl Pts',(3.33364578003356,14.4350744688454,21.5005322890028)); #486930=CARTESIAN_POINT('Ctrl Pts',(1.68847369568204,14.81501161349,21.500532289)); #486931=CARTESIAN_POINT('Ctrl Pts',(0.,14.81501161349,21.500532289)); #486932=CARTESIAN_POINT('Ctrl Pts',(-14.8150116134974,14.81501161349,21.500532289)); #486933=CARTESIAN_POINT('Ctrl Pts',(-14.8150116134974,-7.38114414965758E-12, 21.5005322891094)); #486934=CARTESIAN_POINT('Ctrl Pts',(-14.8150116134974,-14.8150116135048, 21.5005322892188)); #486935=CARTESIAN_POINT('Ctrl Pts',(0.,-14.8150116135048,21.5005322892188)); #486936=CARTESIAN_POINT('Ctrl Pts',(14.8150116134974,-14.8150116135048, 21.5005322892188)); #486937=CARTESIAN_POINT('Ctrl Pts',(14.8150116134974,-7.38114414965758E-12, 21.5005322891094)); #486938=CARTESIAN_POINT('Ctrl Pts',(14.8150116134974,11.7835601422422,21.5005322890224)); #486939=CARTESIAN_POINT('Ctrl Pts',(3.33364578003356,14.4350744688454,21.5005322890028)); #486940=CARTESIAN_POINT('Ctrl Pts',(3.32529095930578,14.3988971220811,21.7224942565228)); #486941=CARTESIAN_POINT('Ctrl Pts',(1.68424202382432,14.77788206396,21.72249425652)); #486942=CARTESIAN_POINT('Ctrl Pts',(0.,14.77788206396,21.72249425652)); #486943=CARTESIAN_POINT('Ctrl Pts',(-14.7778820639657,14.77788206396,21.72249425652)); #486944=CARTESIAN_POINT('Ctrl Pts',(-14.7778820639657,-5.74193834062651E-12, 21.7224942566291)); #486945=CARTESIAN_POINT('Ctrl Pts',(-14.7778820639657,-14.7778820639715, 21.7224942567383)); #486946=CARTESIAN_POINT('Ctrl Pts',(0.,-14.7778820639715,21.7224942567383)); #486947=CARTESIAN_POINT('Ctrl Pts',(14.7778820639657,-14.7778820639715, 21.7224942567383)); #486948=CARTESIAN_POINT('Ctrl Pts',(14.7778820639657,-5.74193834062651E-12, 21.7224942566291)); #486949=CARTESIAN_POINT('Ctrl Pts',(14.7778820639657,11.7540280506482,21.7224942565423)); #486950=CARTESIAN_POINT('Ctrl Pts',(3.32529095930578,14.3988971220811,21.7224942565228)); #486951=CARTESIAN_POINT('Ctrl Pts',(3.31616588775256,14.3593844995379,21.9428759669328)); #486952=CARTESIAN_POINT('Ctrl Pts',(1.6796202240575,14.73732945281,21.94287596693)); #486953=CARTESIAN_POINT('Ctrl Pts',(0.,14.73732945281,21.94287596693)); #486954=CARTESIAN_POINT('Ctrl Pts',(-14.7373294528141,14.73732945281,21.94287596693)); #486955=CARTESIAN_POINT('Ctrl Pts',(-14.7373294528141,-4.11440284909832E-12, 21.9428759670388)); #486956=CARTESIAN_POINT('Ctrl Pts',(-14.7373294528141,-14.7373294528182, 21.9428759671477)); #486957=CARTESIAN_POINT('Ctrl Pts',(0.,-14.7373294528182,21.9428759671477)); #486958=CARTESIAN_POINT('Ctrl Pts',(14.7373294528141,-14.7373294528182, 21.9428759671477)); #486959=CARTESIAN_POINT('Ctrl Pts',(14.7373294528141,-4.11440284909832E-12, 21.9428759670388)); #486960=CARTESIAN_POINT('Ctrl Pts',(14.7373294528141,11.7217733251796,21.9428759669523)); #486961=CARTESIAN_POINT('Ctrl Pts',(3.31616588775256,14.3593844995379,21.9428759669328)); #486962=CARTESIAN_POINT('Ctrl Pts',(3.30676398487009,14.3186731650989,22.1535431951428)); #486963=CARTESIAN_POINT('Ctrl Pts',(1.67485821070818,14.69554657917,22.15354319514)); #486964=CARTESIAN_POINT('Ctrl Pts',(0.,14.69554657917,22.15354319514)); #486965=CARTESIAN_POINT('Ctrl Pts',(-14.6955465791726,14.69554657917,22.15354319514)); #486966=CARTESIAN_POINT('Ctrl Pts',(-14.6955465791726,-2.55860953859294E-12, 22.1535431952485)); #486967=CARTESIAN_POINT('Ctrl Pts',(-14.6955465791726,-14.6955465791751, 22.1535431953571)); #486968=CARTESIAN_POINT('Ctrl Pts',(0.,-14.6955465791751,22.1535431953571)); #486969=CARTESIAN_POINT('Ctrl Pts',(14.6955465791726,-14.6955465791751, 22.1535431953571)); #486970=CARTESIAN_POINT('Ctrl Pts',(14.6955465791726,-2.55860953859294E-12, 22.1535431952485)); #486971=CARTESIAN_POINT('Ctrl Pts',(14.6955465791726,11.6885400738469,22.1535431951622)); #486972=CARTESIAN_POINT('Ctrl Pts',(3.30676398487009,14.3186731650989,22.1535431951428)); #486973=CARTESIAN_POINT('Ctrl Pts',(3.29766479281523,14.2792726037974,22.3440973138328)); #486974=CARTESIAN_POINT('Ctrl Pts',(1.67024951876838,14.65510897876,22.34409731383)); #486975=CARTESIAN_POINT('Ctrl Pts',(0.,14.65510897876,22.34409731383)); #486976=CARTESIAN_POINT('Ctrl Pts',(-14.6551089787612,14.65510897876,22.34409731383)); #486977=CARTESIAN_POINT('Ctrl Pts',(-14.6551089787612,-1.15135305325297E-12, 22.3440973139382)); #486978=CARTESIAN_POINT('Ctrl Pts',(-14.6551089787612,-14.6551089787623, 22.3440973140465)); #486979=CARTESIAN_POINT('Ctrl Pts',(0.,-14.6551089787623,22.3440973140465)); #486980=CARTESIAN_POINT('Ctrl Pts',(14.6551089787612,-14.6551089787623, 22.3440973140465)); #486981=CARTESIAN_POINT('Ctrl Pts',(14.6551089787612,-1.15135305325297E-12, 22.3440973139382)); #486982=CARTESIAN_POINT('Ctrl Pts',(14.6551089787612,11.6563768255913,22.3440973138521)); #486983=CARTESIAN_POINT('Ctrl Pts',(3.29766479281523,14.2792726037974,22.3440973138328)); #486984=CARTESIAN_POINT('Ctrl Pts',(3.2890105255998,14.2417986188671,22.5139574732228)); #486985=CARTESIAN_POINT('Ctrl Pts',(1.66586617887181,14.61664866301,22.51395747322)); #486986=CARTESIAN_POINT('Ctrl Pts',(0.,14.61664866301,22.51395747322)); #486987=CARTESIAN_POINT('Ctrl Pts',(-14.6166486630099,14.61664866301,22.51395747322)); #486988=CARTESIAN_POINT('Ctrl Pts',(-14.6166486630099,1.03076987649491E-13, 22.5139574733279)); #486989=CARTESIAN_POINT('Ctrl Pts',(-14.6166486630099,-14.6166486630098, 22.5139574734359)); #486990=CARTESIAN_POINT('Ctrl Pts',(0.,-14.6166486630098,22.5139574734359)); #486991=CARTESIAN_POINT('Ctrl Pts',(14.6166486630099,-14.6166486630098, 22.5139574734359)); #486992=CARTESIAN_POINT('Ctrl Pts',(14.6166486630099,1.03076987649491E-13, 22.5139574733279)); #486993=CARTESIAN_POINT('Ctrl Pts',(14.6166486630099,11.625786269502,22.5139574732421)); #486994=CARTESIAN_POINT('Ctrl Pts',(3.2890105255998,14.2417986188671,22.5139574732228)); #486995=CARTESIAN_POINT('Ctrl Pts',(3.2804883179886,14.2048964674029,22.6726520070428)); #486996=CARTESIAN_POINT('Ctrl Pts',(1.661549726456,14.57877523162,22.67265200704)); #486997=CARTESIAN_POINT('Ctrl Pts',(0.,14.57877523162,22.67265200704)); #486998=CARTESIAN_POINT('Ctrl Pts',(-14.5787752316187,14.57877523162,22.67265200704)); #486999=CARTESIAN_POINT('Ctrl Pts',(-14.5787752316187,1.27504804469849E-12, 22.6726520071477)); #487000=CARTESIAN_POINT('Ctrl Pts',(-14.5787752316187,-14.5787752316174, 22.6726520072553)); #487001=CARTESIAN_POINT('Ctrl Pts',(0.,-14.5787752316175,22.6726520072553)); #487002=CARTESIAN_POINT('Ctrl Pts',(14.5787752316187,-14.5787752316174, 22.6726520072553)); #487003=CARTESIAN_POINT('Ctrl Pts',(14.5787752316187,1.27504804469849E-12, 22.6726520071477)); #487004=CARTESIAN_POINT('Ctrl Pts',(14.5787752316187,11.5956625093446,22.672652007062)); #487005=CARTESIAN_POINT('Ctrl Pts',(3.2804883179886,14.2048964674029,22.6726520070428)); #487006=CARTESIAN_POINT('Ctrl Pts',(3.27004720829052,14.1596852464246,22.8607563264685)); #487007=CARTESIAN_POINT('Ctrl Pts',(1.65626136043208,14.5323740325614,22.8607563264657)); #487008=CARTESIAN_POINT('Ctrl Pts',(0.,14.5323740325614,22.8607563264657)); #487009=CARTESIAN_POINT('Ctrl Pts',(-14.5323740325587,14.5323740325614, 22.8607563264657)); #487010=CARTESIAN_POINT('Ctrl Pts',(-14.5323740325587,2.66421257838593E-12, 22.860756326573)); #487011=CARTESIAN_POINT('Ctrl Pts',(-14.5323740325587,-14.5323740325561, 22.8607563266804)); #487012=CARTESIAN_POINT('Ctrl Pts',(0.,-14.5323740325561,22.8607563266804)); #487013=CARTESIAN_POINT('Ctrl Pts',(14.5323740325587,-14.5323740325561, 22.8607563266804)); #487014=CARTESIAN_POINT('Ctrl Pts',(14.5323740325587,2.66421257838593E-12, 22.860756326573)); #487015=CARTESIAN_POINT('Ctrl Pts',(14.5323740325587,11.5587559355234,22.8607563264877)); #487016=CARTESIAN_POINT('Ctrl Pts',(3.27004720829052,14.1596852464246,22.8607563264685)); #487017=CARTESIAN_POINT('Ctrl Pts',(3.26229486886729,14.1261167138741,22.9976647317354)); #487018=CARTESIAN_POINT('Ctrl Pts',(1.65233484212155,14.4979219623171,22.9976647317326)); #487019=CARTESIAN_POINT('Ctrl Pts',(0.,14.4979219623171,22.9976647317326)); #487020=CARTESIAN_POINT('Ctrl Pts',(-14.4979219623134,14.4979219623171, 22.9976647317326)); #487021=CARTESIAN_POINT('Ctrl Pts',(-14.4979219623134,3.67529143899465E-12, 22.9976647318397)); #487022=CARTESIAN_POINT('Ctrl Pts',(-14.4979219623134,-14.4979219623097, 22.9976647319468)); #487023=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4979219623097,22.9976647319468)); #487024=CARTESIAN_POINT('Ctrl Pts',(14.4979219623134,-14.4979219623097, 22.9976647319468)); #487025=CARTESIAN_POINT('Ctrl Pts',(14.4979219623134,3.67529143899465E-12, 22.9976647318397)); #487026=CARTESIAN_POINT('Ctrl Pts',(14.4979219623134,11.5313534567176,22.9976647317545)); #487027=CARTESIAN_POINT('Ctrl Pts',(3.26229486886729,14.1261167138741,22.9976647317354)); #487028=CARTESIAN_POINT('Ctrl Pts',(3.25753228084205,14.1054941530674,23.0824975056027)); #487029=CARTESIAN_POINT('Ctrl Pts',(1.6499226168479,14.47675660716,23.0824975056)); #487030=CARTESIAN_POINT('Ctrl Pts',(0.,14.47675660716,23.0824975056)); #487031=CARTESIAN_POINT('Ctrl Pts',(-14.4767566071557,14.47675660716,23.0824975056)); #487032=CARTESIAN_POINT('Ctrl Pts',(-14.4767566071557,4.30178784859684E-12, 23.0824975057069)); #487033=CARTESIAN_POINT('Ctrl Pts',(-14.4767566071557,-14.4767566071514, 23.0824975058138)); #487034=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4767566071514,23.0824975058138)); #487035=CARTESIAN_POINT('Ctrl Pts',(14.4767566071557,-14.4767566071514, 23.0824975058138)); #487036=CARTESIAN_POINT('Ctrl Pts',(14.4767566071557,4.30178784859684E-12, 23.0824975057069)); #487037=CARTESIAN_POINT('Ctrl Pts',(14.4767566071557,11.5145189619545,23.0824975056219)); #487038=CARTESIAN_POINT('Ctrl Pts',(3.25753228084205,14.1054941530674,23.0824975056027)); #487039=CARTESIAN_POINT('',(-11.7473485875067,9.32736880615839,18.8974407605214)); #487040=CARTESIAN_POINT('Origin',(0.,-2.660516932324E-11,18.89744094593)); #487041=CARTESIAN_POINT('Ctrl Pts',(-11.7473487604347,9.32736879427886, 18.8974406907444)); #487042=CARTESIAN_POINT('Ctrl Pts',(-11.9859578499762,9.02685273871818, 19.5399475181712)); #487043=CARTESIAN_POINT('Ctrl Pts',(-12.2077047240659,8.67848842639199, 20.1792696972797)); #487044=CARTESIAN_POINT('Ctrl Pts',(-12.6162249061014,7.81156265714721, 21.5237717595078)); #487045=CARTESIAN_POINT('Ctrl Pts',(-12.7387060329573,7.3819739050139,22.0543634009442)); #487046=CARTESIAN_POINT('Ctrl Pts',(-12.8171758038658,7.07498254457145, 22.4094355890826)); #487047=CARTESIAN_POINT('Ctrl Pts',(-12.68728193052,6.971114404666,22.46455378315)); #487048=CARTESIAN_POINT('Ctrl Pts',(-15.64837782946,7.466709970086,23.16176909504)); #487049=CARTESIAN_POINT('Ctrl Pts',(-18.6094737284,7.962305535506,23.85898440693)); #487050=CARTESIAN_POINT('Ctrl Pts',(-21.57056962734,8.457901100926,24.55619971882)); #487051=CARTESIAN_POINT('Ctrl Pts',(-12.67349522393,6.996535628994,22.43258744163)); #487052=CARTESIAN_POINT('Ctrl Pts',(-15.63729671669,7.488517207657,23.13471337955)); #487053=CARTESIAN_POINT('Ctrl Pts',(-18.60109820945,7.980498786319,23.83683931748)); #487054=CARTESIAN_POINT('Ctrl Pts',(-21.56489970221,8.472480364982,24.5389652554)); #487055=CARTESIAN_POINT('Ctrl Pts',(-12.4841272233,7.343631019397,21.99263853122)); #487056=CARTESIAN_POINT('Ctrl Pts',(-15.48525228066,7.786072128747,22.76300063543)); #487057=CARTESIAN_POINT('Ctrl Pts',(-18.48637733801,8.228513238096,23.53336273965)); #487058=CARTESIAN_POINT('Ctrl Pts',(-21.48750239537,8.670954347446,24.30372484387)); #487059=CARTESIAN_POINT('Ctrl Pts',(-12.11345999462,7.968582836069,21.10890388637)); #487060=CARTESIAN_POINT('Ctrl Pts',(-15.19174813477,8.317022042725,22.03274794293)); #487061=CARTESIAN_POINT('Ctrl Pts',(-18.27003627492,8.66546124938,22.95659199948)); #487062=CARTESIAN_POINT('Ctrl Pts',(-21.34832441507,9.013900456035,23.88043605604)); #487063=CARTESIAN_POINT('Ctrl Pts',(-11.38509116845,9.025238768103,19.314837112)); #487064=CARTESIAN_POINT('Ctrl Pts',(-14.62319687925,9.214736729269,20.57240768198)); #487065=CARTESIAN_POINT('Ctrl Pts',(-17.86130259005,9.404234690435,21.82997825195)); #487066=CARTESIAN_POINT('Ctrl Pts',(-21.09940830085,9.593732651601,23.08754882193)); #487067=CARTESIAN_POINT('Ctrl Pts',(-10.67108118666,9.870455938375,17.3993922027)); #487068=CARTESIAN_POINT('Ctrl Pts',(-14.06428295795,9.957925004896,19.02828483703)); #487069=CARTESIAN_POINT('Ctrl Pts',(-17.45748472925,10.04539407142,20.65717747136)); #487070=CARTESIAN_POINT('Ctrl Pts',(-20.85068650054,10.13286313794,22.28607010569)); #487071=CARTESIAN_POINT('Ctrl Pts',(-10.12843926343,10.4298123769,15.65273895787)); #487072=CARTESIAN_POINT('Ctrl Pts',(-13.60803900631,10.51828334544,17.53949964274)); #487073=CARTESIAN_POINT('Ctrl Pts',(-17.08763874919,10.60675431397,19.42626032762)); #487074=CARTESIAN_POINT('Ctrl Pts',(-20.56723849207,10.69522528251,21.31302101249)); #487075=CARTESIAN_POINT('Ctrl Pts',(-9.830906627256,10.71220153969,14.65068788925)); #487076=CARTESIAN_POINT('Ctrl Pts',(-13.34397684769,10.83025276603,16.63610323128)); #487077=CARTESIAN_POINT('Ctrl Pts',(-16.85704706813,10.94830399237,18.62151857332)); #487078=CARTESIAN_POINT('Ctrl Pts',(-20.37011728857,11.06635521871,20.60693391535)); #487079=CARTESIAN_POINT('Ctrl Pts',(-9.635510936871,10.89109586959,13.89066048327)); #487080=CARTESIAN_POINT('Ctrl Pts',(-13.15621101299,11.05372521634,15.91825500251)); #487081=CARTESIAN_POINT('Ctrl Pts',(-16.67691108911,11.21635456309,17.94584952174)); #487082=CARTESIAN_POINT('Ctrl Pts',(-20.19761116523,11.37898390984,19.97344404097)); #487083=CARTESIAN_POINT('Ctrl Pts',(-9.454442480421,11.04753853871,13.1322318323)); #487084=CARTESIAN_POINT('Ctrl Pts',(-12.96928074577,11.27341533583,15.15303760287)); #487085=CARTESIAN_POINT('Ctrl Pts',(-16.48411901112,11.49929213296,17.17384337343)); #487086=CARTESIAN_POINT('Ctrl Pts',(-19.99895727646,11.72516893008,19.194649144)); #487087=CARTESIAN_POINT('Ctrl Pts',(-9.287919391793,11.18382559799,12.37992242527)); #487088=CARTESIAN_POINT('Ctrl Pts',(-12.77944221373,11.49561433395,14.32820730435)); #487089=CARTESIAN_POINT('Ctrl Pts',(-16.27096503567,11.8074030699,16.27649218344)); #487090=CARTESIAN_POINT('Ctrl Pts',(-19.76248785761,12.11919180585,18.22477706253)); #487091=CARTESIAN_POINT('Ctrl Pts',(-9.169744406462,11.2778735298,11.76065097934)); #487092=CARTESIAN_POINT('Ctrl Pts',(-12.62730730977,11.67496610868,13.56987465104)); #487093=CARTESIAN_POINT('Ctrl Pts',(-16.08487021308,12.07205868756,15.37909832273)); #487094=CARTESIAN_POINT('Ctrl Pts',(-19.54243311639,12.46915126645,17.18832199443)); #487095=CARTESIAN_POINT('Ctrl Pts',(-9.079269270106,11.34566997962,11.27595002857)); #487096=CARTESIAN_POINT('Ctrl Pts',(-12.49837604287,11.82495474716,12.90976146058)); #487097=CARTESIAN_POINT('Ctrl Pts',(-15.91748281562,12.30423951471,14.5435728926)); #487098=CARTESIAN_POINT('Ctrl Pts',(-19.33658958838,12.78352428225,16.17738432462)); #487099=CARTESIAN_POINT('Ctrl Pts',(-9.031568099839,11.38119768368,11.02572161661)); #487100=CARTESIAN_POINT('Ctrl Pts',(-12.43178731422,11.9004125771,12.5372634811)); #487101=CARTESIAN_POINT('Ctrl Pts',(-15.83200652861,12.41962747052,14.04880534559)); #487102=CARTESIAN_POINT('Ctrl Pts',(-19.23222574299,12.93884236394,15.56034721009)); #487103=CARTESIAN_POINT('Ctrl Pts',(-9.00674546471,11.39957814108,10.89590176635)); #487104=CARTESIAN_POINT('Ctrl Pts',(-12.39564725261,11.94141457419,12.33364435103)); #487105=CARTESIAN_POINT('Ctrl Pts',(-15.7845490405,12.48325100731,13.77138693572)); #487106=CARTESIAN_POINT('Ctrl Pts',(-19.1734508284,13.02508744043,15.20912952041)); #487107=CARTESIAN_POINT('Ctrl Pts',(-9.004311664531,11.40137920815,10.88317586099)); #487108=CARTESIAN_POINT('Ctrl Pts',(-12.39208730978,11.94545456354,12.31358188798)); #487109=CARTESIAN_POINT('Ctrl Pts',(-15.77986295503,12.48952991894,13.74398791498)); #487110=CARTESIAN_POINT('Ctrl Pts',(-19.16763860027,13.03360527433,15.17439394197)); #487111=CARTESIAN_POINT('',(-9.63662849342041,11.4950159130908,11.1890452638299)); #487112=CARTESIAN_POINT('Ctrl Pts',(-11.7473483877878,9.32736864586896, 18.8974407597201)); #487113=CARTESIAN_POINT('Ctrl Pts',(-11.3499942961506,9.82781599870754, 17.827478522245)); #487114=CARTESIAN_POINT('Ctrl Pts',(-11.0438805052448,10.1558667000995, 16.8899741212444)); #487115=CARTESIAN_POINT('Ctrl Pts',(-10.7272908106727,10.485290817705,15.8561642941486)); #487116=CARTESIAN_POINT('Ctrl Pts',(-10.6211872796254,10.5927264765897, 15.5037604586798)); #487117=CARTESIAN_POINT('Ctrl Pts',(-10.4351772265644,10.7761684206295, 14.8499281063562)); #487118=CARTESIAN_POINT('Ctrl Pts',(-10.3491723517192,10.858436644601,14.5182569786075)); #487119=CARTESIAN_POINT('Ctrl Pts',(-10.1563031279857,11.0397019976289, 13.7400860542222)); #487120=CARTESIAN_POINT('Ctrl Pts',(-10.0327774855578,11.1517855245219, 13.2078376797722)); #487121=CARTESIAN_POINT('Ctrl Pts',(-9.87722029169689,11.2891720493512, 12.458126402227)); #487122=CARTESIAN_POINT('Ctrl Pts',(-9.827826954163,11.3321600935349,12.2041846352661)); #487123=CARTESIAN_POINT('Ctrl Pts',(-9.73189032133929,11.4146519989469, 11.699424817148)); #487124=CARTESIAN_POINT('Ctrl Pts',(-9.68453672264202,11.4548529758071, 11.4444664355383)); #487125=CARTESIAN_POINT('Ctrl Pts',(-9.63662868912185,11.495015768062,11.1890452043187)); #487126=CARTESIAN_POINT('',(-18.9892744475771,12.9771898007452,15.1940291648429)); #487127=CARTESIAN_POINT('',(-18.98926496194,12.97718827153,15.19402517537)); #487128=CARTESIAN_POINT('Ctrl Pts',(-18.9892744675418,12.9771898035733, 15.1940291621891)); #487129=CARTESIAN_POINT('Ctrl Pts',(-19.0184459634811,12.9345037096336, 15.3679996092242)); #487130=CARTESIAN_POINT('Ctrl Pts',(-19.0499902539051,12.8880158847682, 15.5554055815284)); #487131=CARTESIAN_POINT('Ctrl Pts',(-19.1094028506112,12.7997387718001, 15.8959321531872)); #487132=CARTESIAN_POINT('Ctrl Pts',(-19.1383560397897,12.7564444954128, 16.056373159133)); #487133=CARTESIAN_POINT('Ctrl Pts',(-19.2273602307064,12.6221602069057, 16.5205722801619)); #487134=CARTESIAN_POINT('Ctrl Pts',(-19.303759408926,12.5048659791708,16.888715832215)); #487135=CARTESIAN_POINT('Ctrl Pts',(-19.4404778371227,12.2921462021213, 17.5447100751826)); #487136=CARTESIAN_POINT('Ctrl Pts',(-19.5421427369511,12.1306774589198, 18.0171032659343)); #487137=CARTESIAN_POINT('Ctrl Pts',(-19.7157380997924,11.8449398522292, 18.7479869504149)); #487138=CARTESIAN_POINT('Ctrl Pts',(-19.7800480482241,11.7372039923537, 19.0081416869175)); #487139=CARTESIAN_POINT('Ctrl Pts',(-19.9023203002598,11.5288667456945, 19.4952611770303)); #487140=CARTESIAN_POINT('Ctrl Pts',(-19.9706860292627,11.4102282931108, 19.761256302517)); #487141=CARTESIAN_POINT('Ctrl Pts',(-20.1761071865745,11.0452957847256, 20.5301735126373)); #487142=CARTESIAN_POINT('Ctrl Pts',(-20.3253047497084,10.7697686219623, 21.0621223921028)); #487143=CARTESIAN_POINT('Ctrl Pts',(-20.516404251721,10.3964406899117,21.7158511807519)); #487144=CARTESIAN_POINT('Ctrl Pts',(-20.5599252492115,10.3101142370486, 21.8627721792173)); #487145=CARTESIAN_POINT('Ctrl Pts',(-20.6693463655349,10.089649571311,22.2284447364045)); #487146=CARTESIAN_POINT('Ctrl Pts',(-20.7359451824883,9.95219753380081, 22.4469154180121)); #487147=CARTESIAN_POINT('Ctrl Pts',(-20.8990231071408,9.60626022659339, 22.9720284587268)); #487148=CARTESIAN_POINT('Ctrl Pts',(-20.9976713739615,9.38863134110704, 23.2835122730135)); #487149=CARTESIAN_POINT('Ctrl Pts',(-21.1339525832482,9.07582655251509, 23.7096584457389)); #487150=CARTESIAN_POINT('Ctrl Pts',(-21.1806055768392,8.96650062625181, 23.8536831141769)); #487151=CARTESIAN_POINT('Ctrl Pts',(-21.280744766836,8.72625950164005,24.1584052563778)); #487152=CARTESIAN_POINT('Ctrl Pts',(-21.3318106008123,8.60073469303335, 24.3118649738452)); #487153=CARTESIAN_POINT('Ctrl Pts',(-21.3822980015235,8.47333064225898, 24.4636599152124)); #487154=CARTESIAN_POINT('Origin',(0.,0.,9.6)); #487155=CARTESIAN_POINT('',(-10.1630463473958,11.0323384837592,10.9999997720329)); #487156=CARTESIAN_POINT('Origin',(0.,0.,11.)); #487157=CARTESIAN_POINT('Ctrl Pts',(-10.1630461902856,11.0323384707922, 10.9999994001732)); #487158=CARTESIAN_POINT('Ctrl Pts',(-10.0771500874064,11.1114663967018, 11.0335311225373)); #487159=CARTESIAN_POINT('Ctrl Pts',(-9.99014201938892,11.1897643165647, 11.0661123432498)); #487160=CARTESIAN_POINT('Ctrl Pts',(-9.81462955603426,11.3440108618515, 11.129117859967)); #487161=CARTESIAN_POINT('Ctrl Pts',(-9.72616186146669,11.4199573323174, 11.1595511107879)); #487162=CARTESIAN_POINT('Ctrl Pts',(-9.63662846062232,11.4950159596202, 11.1890450458542)); #487163=CARTESIAN_POINT('Ctrl Pts',(-10.02997273021,10.87471277616,10.8364893407)); #487164=CARTESIAN_POINT('Ctrl Pts',(-9.815222745061,11.09323054297,10.93727704931)); #487165=CARTESIAN_POINT('Ctrl Pts',(-9.600472759908,11.31174830978,11.03806475792)); #487166=CARTESIAN_POINT('Ctrl Pts',(-9.385722774755,11.53026607658,11.13885246653)); #487167=CARTESIAN_POINT('Ctrl Pts',(-10.09160695123,10.88382602914,10.86309962633)); #487168=CARTESIAN_POINT('Ctrl Pts',(-9.877130473562,11.10262921376,10.96392405662)); #487169=CARTESIAN_POINT('Ctrl Pts',(-9.662653995898,11.32143239837,11.06474848691)); #487170=CARTESIAN_POINT('Ctrl Pts',(-9.448177518233,11.54023558298,11.1655729172)); #487171=CARTESIAN_POINT('Ctrl Pts',(-13.2349934814,11.34860803168,12.22024200676)); #487172=CARTESIAN_POINT('Ctrl Pts',(-13.03446606872,11.58196771543,12.32293926721)); #487173=CARTESIAN_POINT('Ctrl Pts',(-12.83393865603,11.81532739919,12.42563652767)); #487174=CARTESIAN_POINT('Ctrl Pts',(-12.63341124335,12.04868708294,12.52833378812)); #487175=CARTESIAN_POINT('Ctrl Pts',(-16.44001506501,11.82250341028,13.60399503222)); #487176=CARTESIAN_POINT('Ctrl Pts',(-16.25371022848,12.07070501483,13.708601845)); #487177=CARTESIAN_POINT('Ctrl Pts',(-16.06740539196,12.31890661939,13.81320865779)); #487178=CARTESIAN_POINT('Ctrl Pts',(-15.88110055543,12.56710822394,13.91781547058)); #487179=CARTESIAN_POINT('Ctrl Pts',(-19.5834024276,12.28728553589,14.96113777204)); #487180=CARTESIAN_POINT('Ctrl Pts',(-19.41104665975,12.55004364344,15.06761741548)); #487181=CARTESIAN_POINT('Ctrl Pts',(-19.23869089189,12.81280175099,15.17409705893)); #487182=CARTESIAN_POINT('Ctrl Pts',(-19.06633512404,13.07555985854,15.28057670237)); #487183=CARTESIAN_POINT('Ctrl Pts',(-19.64503748103,12.29639891196,14.98774841706)); #487184=CARTESIAN_POINT('Ctrl Pts',(-19.47295522436,12.55944244117,15.09426478268)); #487185=CARTESIAN_POINT('Ctrl Pts',(-19.30087296769,12.82248597038,15.2007811483)); #487186=CARTESIAN_POINT('Ctrl Pts',(-19.12879071102,13.08552949959,15.30729751392)); #487187=CARTESIAN_POINT('',(-10.2219953110722,10.9777416400829,10.9767683582909)); #487188=CARTESIAN_POINT('Ctrl Pts',(-10.2219953047207,10.9777416351316, 10.9767683572079)); #487189=CARTESIAN_POINT('Ctrl Pts',(-10.2154587251803,10.9838282135706, 10.9793687370392)); #487190=CARTESIAN_POINT('Ctrl Pts',(-10.2089177861671,10.9899080163349, 10.9819627323692)); #487191=CARTESIAN_POINT('Ctrl Pts',(-10.202372058578,10.9959812850344,10.9845504024093)); #487192=CARTESIAN_POINT('Ctrl Pts',(-10.1958263309889,11.0020545537339, 10.9871380724495)); #487193=CARTESIAN_POINT('Ctrl Pts',(-10.1892758148372,11.0081212883435, 10.9897194172743)); #487194=CARTESIAN_POINT('Ctrl Pts',(-10.1827217863908,11.0141807220128, 10.9922942619235)); #487195=CARTESIAN_POINT('Ctrl Pts',(-10.1761677579444,11.0202401556822, 10.9948691065726)); #487196=CARTESIAN_POINT('Ctrl Pts',(-10.1696102171719,11.0262922884633, 10.9974374508858)); #487197=CARTESIAN_POINT('Ctrl Pts',(-10.1630467065781,11.0323386260016, 10.9999996823205)); #487198=CARTESIAN_POINT('',(-19.4053088243339,12.3464159120721,14.9380251360047)); #487199=CARTESIAN_POINT('',(-10.22199530472,10.97774163513,10.97676835721)); #487200=CARTESIAN_POINT('Ctrl Pts',(-19.4053088421481,12.3464160282585, 14.9380248825749)); #487201=CARTESIAN_POINT('Ctrl Pts',(-19.3379146733899,12.4523418820356, 14.9818498913071)); #487202=CARTESIAN_POINT('Ctrl Pts',(-19.2695465745009,12.55788346784,15.0250941774067)); #487203=CARTESIAN_POINT('Ctrl Pts',(-19.1308638749576,12.7681599918144, 15.1104288588964)); #487204=CARTESIAN_POINT('Ctrl Pts',(-19.0605533495335,12.8728887745549, 15.1525167614109)); #487205=CARTESIAN_POINT('Ctrl Pts',(-18.9892744516097,12.9771898268864, 15.1940291060865)); #487206=CARTESIAN_POINT('Origin',(2.550185749491E-10,2.674868293879E-10, 35.)); #487207=CARTESIAN_POINT('Ctrl Pts',(-22.9717639800757,-1.13932420153437, 30.0999434970985)); #487208=CARTESIAN_POINT('Ctrl Pts',(-22.9757818900965,-1.05831259790286, 29.9888140819661)); #487209=CARTESIAN_POINT('Ctrl Pts',(-22.9794220027815,-0.97630156526686, 29.876233560945)); #487210=CARTESIAN_POINT('Ctrl Pts',(-22.9865237412002,-0.793465755483995, 29.6426074210624)); #487211=CARTESIAN_POINT('Ctrl Pts',(-22.9892317811566,-0.70910213090961, 29.545630006469)); #487212=CARTESIAN_POINT('Ctrl Pts',(-22.9970514215301,-0.420026810695003, 29.2533699496393)); #487213=CARTESIAN_POINT('Ctrl Pts',(-23.0002411177992,-0.220897447644778, 29.1158476777923)); #487214=CARTESIAN_POINT('Ctrl Pts',(-22.999890043279,0.118077481008529, 28.8902150528347)); #487215=CARTESIAN_POINT('Ctrl Pts',(-22.9999849192085,0.238931767068265, 28.8115699891506)); #487216=CARTESIAN_POINT('Ctrl Pts',(-22.9874239335519,0.845285875310162, 28.3916884348229)); #487217=CARTESIAN_POINT('Ctrl Pts',(-22.9663567614841,1.60986236795143, 27.885078868834)); #487218=CARTESIAN_POINT('Ctrl Pts',(-22.8150114616683,2.92700141319492, 27.0354436344023)); #487219=CARTESIAN_POINT('Ctrl Pts',(-22.7754842716029,3.22546017557812, 26.841163927849)); #487220=CARTESIAN_POINT('Ctrl Pts',(-22.6554858899381,3.98776860159913, 26.3091658852304)); #487221=CARTESIAN_POINT('Ctrl Pts',(-22.5853772539284,4.36751765219452, 26.0269135016675)); #487222=CARTESIAN_POINT('Ctrl Pts',(-22.4507748573193,5.00158322352346, 25.5207170120336)); #487223=CARTESIAN_POINT('Ctrl Pts',(-22.4001122604074,5.22360215730458, 25.3373496173145)); #487224=CARTESIAN_POINT('Ctrl Pts',(-22.2519048745243,5.83206479270595, 24.825925841812)); #487225=CARTESIAN_POINT('Ctrl Pts',(-22.1673082488264,6.1425522325242,24.5521504308873)); #487226=CARTESIAN_POINT('Ctrl Pts',(-21.9772752846647,6.79020144979408, 23.9219399067198)); #487227=CARTESIAN_POINT('Ctrl Pts',(-21.8628695996664,7.14852976477449, 23.5521059017009)); #487228=CARTESIAN_POINT('Ctrl Pts',(-21.7202407060712,7.56656483680181, 23.1063645161516)); #487229=CARTESIAN_POINT('Ctrl Pts',(-21.6727680606052,7.70143117467717, 22.9591637037099)); #487230=CARTESIAN_POINT('Ctrl Pts',(-21.5261707905302,8.10589465455779, 22.5038332922164)); #487231=CARTESIAN_POINT('Ctrl Pts',(-21.4270349105661,8.3638645557213,22.1955510029656)); #487232=CARTESIAN_POINT('Ctrl Pts',(-21.232203100062,8.84629776249911,21.5804897191108)); #487233=CARTESIAN_POINT('Ctrl Pts',(-21.1367394064127,9.07137266746279, 21.2742673390432)); #487234=CARTESIAN_POINT('Ctrl Pts',(-20.9661638698348,9.45823920434105, 20.7261061047194)); #487235=CARTESIAN_POINT('Ctrl Pts',(-20.8857132581631,9.63424558868092, 20.46729496356)); #487236=CARTESIAN_POINT('Ctrl Pts',(-20.7486239201842,9.9254870168703,20.0235762981327)); #487237=CARTESIAN_POINT('Ctrl Pts',(-20.689123180601,10.0488995847609,19.8289853596887)); #487238=CARTESIAN_POINT('Ctrl Pts',(-20.5201514066642,10.3913847006172, 19.2601200507509)); #487239=CARTESIAN_POINT('Ctrl Pts',(-20.4060415374909,10.6133281425756, 18.8629544839596)); #487240=CARTESIAN_POINT('Ctrl Pts',(-20.1285099203511,11.1345829008787, 17.8949316530124)); #487241=CARTESIAN_POINT('Ctrl Pts',(-19.9943855198675,11.37220257531,17.4119680594443)); #487242=CARTESIAN_POINT('Ctrl Pts',(-19.7612000720886,11.7692257720928, 16.4676153968176)); #487243=CARTESIAN_POINT('Ctrl Pts',(-19.6839910525313,11.8978147331743, 16.1464448233972)); #487244=CARTESIAN_POINT('Ctrl Pts',(-19.531357044487,12.1470858087906,15.4963554790961)); #487245=CARTESIAN_POINT('Ctrl Pts',(-19.4672749424911,12.2490216650012, 15.2101584996897)); #487246=CARTESIAN_POINT('Ctrl Pts',(-19.4053089010783,12.3464159356358, 14.9380251269629)); #487247=CARTESIAN_POINT('Ctrl Pts',(-13.27395665903,2.688979182253,25.36593208679)); #487248=CARTESIAN_POINT('Ctrl Pts',(-16.56793218468,1.345323464528,27.03285880619)); #487249=CARTESIAN_POINT('Ctrl Pts',(-19.86190771034,0.00166774680335,28.69978552559)); #487250=CARTESIAN_POINT('Ctrl Pts',(-23.155883236,-1.341987970921,30.36671224499)); #487251=CARTESIAN_POINT('Ctrl Pts',(-13.27029338286,2.704002136595,25.30838012246)); #487252=CARTESIAN_POINT('Ctrl Pts',(-16.56629434222,1.369539265738,26.97560546659)); #487253=CARTESIAN_POINT('Ctrl Pts',(-19.86229530157,0.03507639488144,28.64283081071)); #487254=CARTESIAN_POINT('Ctrl Pts',(-23.15829626093,-1.299386475975,30.31005615483)); #487255=CARTESIAN_POINT('Ctrl Pts',(-13.26243009831,2.735445778174,25.18351176602)); #487256=CARTESIAN_POINT('Ctrl Pts',(-16.56274654529,1.421759543802,26.85001910144)); #487257=CARTESIAN_POINT('Ctrl Pts',(-19.86306299227,0.1080733094298,28.51652643685)); #487258=CARTESIAN_POINT('Ctrl Pts',(-23.16337943924,-1.205612924942,30.18303377227)); #487259=CARTESIAN_POINT('Ctrl Pts',(-13.24631727394,2.797418601338,24.93450672303)); #487260=CARTESIAN_POINT('Ctrl Pts',(-16.55517392643,1.528750006852,26.59499458937)); #487261=CARTESIAN_POINT('Ctrl Pts',(-19.86403057892,0.2600814123651,28.25548245572)); #487262=CARTESIAN_POINT('Ctrl Pts',(-23.1728872314,-1.008587182121,29.91597032206)); #487263=CARTESIAN_POINT('Ctrl Pts',(-13.22554943968,2.876304869365,24.65857472548)); #487264=CARTESIAN_POINT('Ctrl Pts',(-16.54450259442,1.663741546347,26.30797212295)); #487265=CARTESIAN_POINT('Ctrl Pts',(-19.86345574917,0.4511782233297,27.95736952042)); #487266=CARTESIAN_POINT('Ctrl Pts',(-23.18240890391,-0.7613850996879,29.60676691789)); #487267=CARTESIAN_POINT('Ctrl Pts',(-13.19728204675,2.981392032982,24.3940495467)); #487268=CARTESIAN_POINT('Ctrl Pts',(-16.52836226304,1.842829917248,26.03413741033)); #487269=CARTESIAN_POINT('Ctrl Pts',(-19.85944247934,0.7042678015141,27.67422527396)); #487270=CARTESIAN_POINT('Ctrl Pts',(-23.19052269564,-0.4342943142201,29.31431313758)); #487271=CARTESIAN_POINT('Ctrl Pts',(-13.16461567749,3.108566124448,24.17353821892)); #487272=CARTESIAN_POINT('Ctrl Pts',(-16.50780844269,2.035451110886,25.81388332844)); #487273=CARTESIAN_POINT('Ctrl Pts',(-19.85100120789,0.9623360973244,27.45422843797)); #487274=CARTESIAN_POINT('Ctrl Pts',(-23.19419397309,-0.1107789162374,29.09457354749)); #487275=CARTESIAN_POINT('Ctrl Pts',(-13.12408238324,3.270182296111,23.95453990292)); #487276=CARTESIAN_POINT('Ctrl Pts',(-16.48050382509,2.252268781813,25.59719962707)); #487277=CARTESIAN_POINT('Ctrl Pts',(-19.83692526694,1.234355267515,27.23985935122)); #487278=CARTESIAN_POINT('Ctrl Pts',(-23.19334670879,0.2164417532162,28.88251907538)); #487279=CARTESIAN_POINT('Ctrl Pts',(-13.07866252211,3.446239507574,23.73465620754)); #487280=CARTESIAN_POINT('Ctrl Pts',(-16.4484662228,2.47686987158,25.37636667844)); #487281=CARTESIAN_POINT('Ctrl Pts',(-19.81826992349,1.507500235585,27.01807714934)); #487282=CARTESIAN_POINT('Ctrl Pts',(-23.18807362419,0.5381305995905,28.65978762024)); #487283=CARTESIAN_POINT('Ctrl Pts',(-13.0285573597,3.632245751512,23.49793256131)); #487284=CARTESIAN_POINT('Ctrl Pts',(-16.41183768092,2.708296646088,25.14578039686)); #487285=CARTESIAN_POINT('Ctrl Pts',(-19.79511800214,1.784347540664,26.79362823241)); #487286=CARTESIAN_POINT('Ctrl Pts',(-23.17839832335,0.8603984352402,28.44147606796)); #487287=CARTESIAN_POINT('Ctrl Pts',(-12.97298861309,3.827735414619,23.24924051459)); #487288=CARTESIAN_POINT('Ctrl Pts',(-16.36988591227,2.950216307357,24.90884477081)); #487289=CARTESIAN_POINT('Ctrl Pts',(-19.76678321145,2.072697200096,26.56844902704)); #487290=CARTESIAN_POINT('Ctrl Pts',(-23.16368051062,1.195178092834,28.22805328327)); #487291=CARTESIAN_POINT('Ctrl Pts',(-12.91123172701,4.031312338684,22.99259380261)); #487292=CARTESIAN_POINT('Ctrl Pts',(-16.32155210316,3.207309926368,24.6614664485)); #487293=CARTESIAN_POINT('Ctrl Pts',(-19.7318724793,2.383307514051,26.33033909438)); #487294=CARTESIAN_POINT('Ctrl Pts',(-23.14219285544,1.559305101735,27.99921174026)); #487295=CARTESIAN_POINT('Ctrl Pts',(-12.84290495756,4.241084371973,22.73110857471)); #487296=CARTESIAN_POINT('Ctrl Pts',(-16.26581073839,3.482115264176,24.39746174546)); #487297=CARTESIAN_POINT('Ctrl Pts',(-19.68871651922,2.723146156379,26.06381491621)); #487298=CARTESIAN_POINT('Ctrl Pts',(-23.11162230006,1.964177048582,27.73016808695)); #487299=CARTESIAN_POINT('Ctrl Pts',(-12.7682753292,4.451741580726,22.46346017115)); #487300=CARTESIAN_POINT('Ctrl Pts',(-16.20119289181,3.77963332269,24.11609597688)); #487301=CARTESIAN_POINT('Ctrl Pts',(-19.63411045443,3.107525064653,25.7687317826)); #487302=CARTESIAN_POINT('Ctrl Pts',(-23.06702801705,2.435416806617,27.42136758832)); #487303=CARTESIAN_POINT('Ctrl Pts',(-12.65891551926,4.734585278812,22.1055175691)); #487304=CARTESIAN_POINT('Ctrl Pts',(-16.09915085625,4.218082219259,23.71926674294)); #487305=CARTESIAN_POINT('Ctrl Pts',(-19.53938619323,3.701579159706,25.33301591678)); #487306=CARTESIAN_POINT('Ctrl Pts',(-22.97962153022,3.185076100153,26.94676509061)); #487307=CARTESIAN_POINT('Ctrl Pts',(-12.50222279221,5.103542954899,21.66958447738)); #487308=CARTESIAN_POINT('Ctrl Pts',(-15.93544211264,4.836930319515,23.16911399625)); #487309=CARTESIAN_POINT('Ctrl Pts',(-19.36866143307,4.570317684131,24.66864351513)); #487310=CARTESIAN_POINT('Ctrl Pts',(-22.8018807535,4.303705048748,26.168173034)); #487311=CARTESIAN_POINT('Ctrl Pts',(-12.31318753182,5.528712454182,21.15804581861)); #487312=CARTESIAN_POINT('Ctrl Pts',(-15.73794923607,5.447939363596,22.56098997054)); #487313=CARTESIAN_POINT('Ctrl Pts',(-19.16271094033,5.367166273009,23.96393412247)); #487314=CARTESIAN_POINT('Ctrl Pts',(-22.58747264458,5.286393182423,25.36687827439)); #487315=CARTESIAN_POINT('Ctrl Pts',(-12.13855721199,5.891583232128,20.70453717599)); #487316=CARTESIAN_POINT('Ctrl Pts',(-15.55383830081,5.952847342594,22.03483246125)); #487317=CARTESIAN_POINT('Ctrl Pts',(-18.96911938963,6.01411145306,23.36512774651)); #487318=CARTESIAN_POINT('Ctrl Pts',(-22.38440047844,6.075375563525,24.69542303177)); #487319=CARTESIAN_POINT('Ctrl Pts',(-11.99579827422,6.175490380679,20.33534974017)); #487320=CARTESIAN_POINT('Ctrl Pts',(-15.40564759504,6.327809334061,21.6109093014)); #487321=CARTESIAN_POINT('Ctrl Pts',(-18.81549691587,6.480128287442,22.88646886263)); #487322=CARTESIAN_POINT('Ctrl Pts',(-22.22534623669,6.632447240823,24.16202842386)); #487323=CARTESIAN_POINT('Ctrl Pts',(-11.83875694483,6.47249718922,19.93750829355)); #487324=CARTESIAN_POINT('Ctrl Pts',(-15.24510344775,6.704940945569,21.16308274645)); #487325=CARTESIAN_POINT('Ctrl Pts',(-18.65144995067,6.937384701919,22.38865719934)); #487326=CARTESIAN_POINT('Ctrl Pts',(-22.05779645359,7.169828458268,23.61423165224)); #487327=CARTESIAN_POINT('Ctrl Pts',(-11.61380723556,6.874645776169,19.35291967792)); #487328=CARTESIAN_POINT('Ctrl Pts',(-15.01586948716,7.207377454379,20.52608575812)); #487329=CARTESIAN_POINT('Ctrl Pts',(-18.41793173877,7.540109132588,21.69925183833)); #487330=CARTESIAN_POINT('Ctrl Pts',(-21.81999399037,7.872840810798,22.87241791854)); #487331=CARTESIAN_POINT('Ctrl Pts',(-11.29431350595,7.399717151899,18.55565703462)); #487332=CARTESIAN_POINT('Ctrl Pts',(-14.68994755844,7.856110851339,19.64299747779)); #487333=CARTESIAN_POINT('Ctrl Pts',(-18.08558161092,8.312504550778,20.73033792097)); #487334=CARTESIAN_POINT('Ctrl Pts',(-21.48121566341,8.768898250217,21.81767836415)); #487335=CARTESIAN_POINT('Ctrl Pts',(-10.91253865203,7.957528227257,17.64012713689)); #487336=CARTESIAN_POINT('Ctrl Pts',(-14.30615895372,8.521589310777,18.61373679976)); #487337=CARTESIAN_POINT('Ctrl Pts',(-17.6997792554,9.085650394296,19.58734646264)); #487338=CARTESIAN_POINT('Ctrl Pts',(-21.09339955709,9.649711477816,20.56095612551)); #487339=CARTESIAN_POINT('Ctrl Pts',(-10.56708040974,8.427589087767,16.73325689779)); #487340=CARTESIAN_POINT('Ctrl Pts',(-13.99521119041,9.004300741467,17.74608954493)); #487341=CARTESIAN_POINT('Ctrl Pts',(-17.42334197108,9.581012395167,18.75892219207)); #487342=CARTESIAN_POINT('Ctrl Pts',(-20.85147275175,10.15772404887,19.77175483922)); #487343=CARTESIAN_POINT('Ctrl Pts',(-10.28192713993,8.792038593291,16.01072526418)); #487344=CARTESIAN_POINT('Ctrl Pts',(-13.74311150201,9.372347942779,17.04993423878)); #487345=CARTESIAN_POINT('Ctrl Pts',(-17.20429586408,9.952657292267,18.08914321338)); #487346=CARTESIAN_POINT('Ctrl Pts',(-20.66548022616,10.53296664176,19.12835218799)); #487347=CARTESIAN_POINT('Ctrl Pts',(-9.983492869489,9.149741201331,15.19191701401)); #487348=CARTESIAN_POINT('Ctrl Pts',(-13.48109317092,9.732354927524,16.28323981026)); #487349=CARTESIAN_POINT('Ctrl Pts',(-16.97869347235,10.31496865372,17.3745626065)); #487350=CARTESIAN_POINT('Ctrl Pts',(-20.47629377379,10.89758237991,18.46588540275)); #487351=CARTESIAN_POINT('Ctrl Pts',(-9.712104667456,9.45516043091,14.46522179679)); #487352=CARTESIAN_POINT('Ctrl Pts',(-13.24814851289,10.03225902963,15.61505467912)); #487353=CARTESIAN_POINT('Ctrl Pts',(-16.78419235832,10.60935762834,16.76488756146)); #487354=CARTESIAN_POINT('Ctrl Pts',(-20.32023620375,11.18645622705,17.91472044379)); #487355=CARTESIAN_POINT('Ctrl Pts',(-9.509198394306,9.672361155708,13.85504087602)); #487356=CARTESIAN_POINT('Ctrl Pts',(-13.07302872114,10.24871943377,15.05864625611)); #487357=CARTESIAN_POINT('Ctrl Pts',(-16.63685904798,10.82507771184,16.2622516362)); #487358=CARTESIAN_POINT('Ctrl Pts',(-20.20068937482,11.4014359899,17.46585701629)); #487359=CARTESIAN_POINT('Ctrl Pts',(-9.348212335199,9.840437391621,13.39029831534)); #487360=CARTESIAN_POINT('Ctrl Pts',(-12.93673131696,10.41200255463,14.63510689505)); #487361=CARTESIAN_POINT('Ctrl Pts',(-16.52525029873,10.98356771763,15.87991547476)); #487362=CARTESIAN_POINT('Ctrl Pts',(-20.11376928049,11.55513288063,17.12472405447)); #487363=CARTESIAN_POINT('Ctrl Pts',(-9.188716925212,10.00170443494,12.90862107225)); #487364=CARTESIAN_POINT('Ctrl Pts',(-12.80205436992,10.56879181905,14.19915576367)); #487365=CARTESIAN_POINT('Ctrl Pts',(-16.41539181463,11.13587920315,15.48969045509)); #487366=CARTESIAN_POINT('Ctrl Pts',(-20.02872925934,11.70296658726,16.78022514651)); #487367=CARTESIAN_POINT('Ctrl Pts',(-8.986498820677,10.19982296061,12.2340037208)); #487368=CARTESIAN_POINT('Ctrl Pts',(-12.63002677361,10.76448304278,13.59519398605)); #487369=CARTESIAN_POINT('Ctrl Pts',(-16.27355472653,11.32914312495,14.9563842513)); #487370=CARTESIAN_POINT('Ctrl Pts',(-19.91708267946,11.89380320713,16.31757451655)); #487371=CARTESIAN_POINT('Ctrl Pts',(-8.751483011227,10.42119601535,11.472127492)); #487372=CARTESIAN_POINT('Ctrl Pts',(-12.4337257925,10.97824191412,12.91903689428)); #487373=CARTESIAN_POINT('Ctrl Pts',(-16.11596857378,11.5352878129,14.36594629655)); #487374=CARTESIAN_POINT('Ctrl Pts',(-19.79821135505,12.09233371168,15.81285569882)); #487375=CARTESIAN_POINT('Ctrl Pts',(-8.549588838479,10.60167433332,10.72214736051)); #487376=CARTESIAN_POINT('Ctrl Pts',(-12.26377694224,11.15615242564,12.2627253087)); #487377=CARTESIAN_POINT('Ctrl Pts',(-15.97796504601,11.71063051795,13.80330325688)); #487378=CARTESIAN_POINT('Ctrl Pts',(-19.69215314977,12.26510861027,15.34388120507)); #487379=CARTESIAN_POINT('Ctrl Pts',(-8.442550062423,10.69436855371,10.27581352869)); #487380=CARTESIAN_POINT('Ctrl Pts',(-12.17215025292,11.25045427385,11.87562963279)); #487381=CARTESIAN_POINT('Ctrl Pts',(-15.90175044341,11.80653999399,13.4754457369)); #487382=CARTESIAN_POINT('Ctrl Pts',(-19.63135063391,12.36262571414,15.07526184101)); #487383=CARTESIAN_POINT('Ctrl Pts',(-8.396758243396,10.73361894642,10.08696151984)); #487384=CARTESIAN_POINT('Ctrl Pts',(-12.13311129132,11.29018466241,11.71233877939)); #487385=CARTESIAN_POINT('Ctrl Pts',(-15.86946433924,11.8467503784,13.33771603893)); #487386=CARTESIAN_POINT('Ctrl Pts',(-19.60581738717,12.40331609439,14.96309329847)); #487387=CARTESIAN_POINT('Ctrl Pts',(-8.382649509787,10.745686474,10.0294649074)); #487388=CARTESIAN_POINT('Ctrl Pts',(-12.12111674679,11.30234778837,11.66266256359)); #487389=CARTESIAN_POINT('Ctrl Pts',(-15.8595839838,11.85900910273,13.29586021978)); #487390=CARTESIAN_POINT('Ctrl Pts',(-19.5980512208,12.4156704171,14.92905787596)); #487391=CARTESIAN_POINT('',(-13.8660970015,4.240442659065,22.98954145567)); #487392=CARTESIAN_POINT('',(-13.8665235459705,4.23036515034511,22.9999786144571)); #487393=CARTESIAN_POINT('Ctrl Pts',(-13.8660970015027,4.24044265907686, 22.9895414556719)); #487394=CARTESIAN_POINT('Ctrl Pts',(-13.8665683446708,4.23890138364632, 22.9912753811097)); #487395=CARTESIAN_POINT('Ctrl Pts',(-13.867039162278,4.23736090836894,22.9930088250342)); #487396=CARTESIAN_POINT('Ctrl Pts',(-13.8679238580104,4.23446453251805, 22.9962687929262)); #487397=CARTESIAN_POINT('Ctrl Pts',(-13.8683376295253,4.23310918842724, 22.9977945970788)); #487398=CARTESIAN_POINT('Ctrl Pts',(-13.8687511470417,4.23175396513587, 22.9993205789263)); #487399=CARTESIAN_POINT('Ctrl Pts',(-13.85851829175,3.12861281210764,24.221339260998)); #487400=CARTESIAN_POINT('Ctrl Pts',(-13.859009538869,3.17030286329202,24.173168123294)); #487401=CARTESIAN_POINT('Ctrl Pts',(-13.8594473294129,3.20805901885198, 24.1300821353766)); #487402=CARTESIAN_POINT('Ctrl Pts',(-13.8614787863654,3.31128195852506, 24.0114770099478)); #487403=CARTESIAN_POINT('Ctrl Pts',(-13.8625700484822,3.35604913453938, 23.9599865477508)); #487404=CARTESIAN_POINT('Ctrl Pts',(-13.8660550711259,3.49452060374256, 23.8016668510611)); #487405=CARTESIAN_POINT('Ctrl Pts',(-13.8684209735742,3.58328963030882, 23.701419840996)); #487406=CARTESIAN_POINT('Ctrl Pts',(-13.8727175382301,3.86266362194028, 23.3919113374392)); #487407=CARTESIAN_POINT('Ctrl Pts',(-13.8714681996543,4.06321766668042, 23.1756439165478)); #487408=CARTESIAN_POINT('Ctrl Pts',(-13.8663822083198,4.23118192234592, 22.9992586665417)); #487409=CARTESIAN_POINT('',(-10.2275737523929,10.9725446063829,10.9999999047727)); #487410=CARTESIAN_POINT('',(-10.2275743472195,10.9725440519278,10.9999994484891)); #487411=CARTESIAN_POINT('',(-12.8569781685247,7.72645574106947,18.8974411008832)); #487412=CARTESIAN_POINT('Ctrl Pts',(-10.2275731588324,10.9725451596578, 11.0000001710036)); #487413=CARTESIAN_POINT('Ctrl Pts',(-10.2760345303547,10.9273740334141, 11.2019182255457)); #487414=CARTESIAN_POINT('Ctrl Pts',(-10.3339523538381,10.8731149932445, 11.4466919523406)); #487415=CARTESIAN_POINT('Ctrl Pts',(-10.4906377706469,10.7217286164866, 12.0334268816788)); #487416=CARTESIAN_POINT('Ctrl Pts',(-10.5588385477805,10.6546479759736, 12.2723563357009)); #487417=CARTESIAN_POINT('Ctrl Pts',(-10.6781669977596,10.5347584132258, 12.6798406395652)); #487418=CARTESIAN_POINT('Ctrl Pts',(-10.72497449255,10.4871112711639,12.8372418000068)); #487419=CARTESIAN_POINT('Ctrl Pts',(-10.819369851682,10.3896994732367,13.1563962322118)); #487420=CARTESIAN_POINT('Ctrl Pts',(-10.8893044982777,10.3166173258516, 13.3963848368872)); #487421=CARTESIAN_POINT('Ctrl Pts',(-11.0445770296529,10.1505725329127, 13.8948376938567)); #487422=CARTESIAN_POINT('Ctrl Pts',(-11.1301785057395,10.0564933507928, 14.1485050885615)); #487423=CARTESIAN_POINT('Ctrl Pts',(-11.2643803348213,9.90559318553347, 14.5542805404502)); #487424=CARTESIAN_POINT('Ctrl Pts',(-11.3063743511673,9.85750189630077, 14.6839895101751)); #487425=CARTESIAN_POINT('Ctrl Pts',(-11.4067956454209,9.74128171806666, 14.9848456847198)); #487426=CARTESIAN_POINT('Ctrl Pts',(-11.4625255408663,9.6755945371513,15.1443819546255)); #487427=CARTESIAN_POINT('Ctrl Pts',(-11.6174873838146,9.48998014150475, 15.581650886299)); #487428=CARTESIAN_POINT('Ctrl Pts',(-11.7762457292949,9.29278439837063, 16.0261733157786)); #487429=CARTESIAN_POINT('Ctrl Pts',(-11.9853514966958,9.02081024949318, 16.6042561142727)); #487430=CARTESIAN_POINT('Ctrl Pts',(-12.0720618456518,8.9042828954151,16.8350059759016)); #487431=CARTESIAN_POINT('Ctrl Pts',(-12.2126531457548,8.70977551156797, 17.2090392902996)); #487432=CARTESIAN_POINT('Ctrl Pts',(-12.283282561377,8.61001639301399,17.3981576977201)); #487433=CARTESIAN_POINT('Ctrl Pts',(-12.5180741304303,8.26992872947471, 18.0270931548641)); #487434=CARTESIAN_POINT('Ctrl Pts',(-12.6635969238572,8.04824564514768, 18.4023294310511)); #487435=CARTESIAN_POINT('Ctrl Pts',(-12.8569779756364,7.72645567734657, 18.897441134806)); #487436=CARTESIAN_POINT('Ctrl Pts',(-13.8660970014975,4.24044265907135, 22.9895414556761)); #487437=CARTESIAN_POINT('Ctrl Pts',(-13.8612667617653,4.39467185867983, 22.827841277813)); #487438=CARTESIAN_POINT('Ctrl Pts',(-13.8534562560715,4.52602745294393, 22.6971660602432)); #487439=CARTESIAN_POINT('Ctrl Pts',(-13.8246271952247,4.82716683500619, 22.4031176615443)); #487440=CARTESIAN_POINT('Ctrl Pts',(-13.8056189486318,4.97380926770252, 22.261015277152)); #487441=CARTESIAN_POINT('Ctrl Pts',(-13.7377466650801,5.40885188208847, 21.8245435654833)); #487442=CARTESIAN_POINT('Ctrl Pts',(-13.6764566500215,5.70247430615217, 21.5198333121722)); #487443=CARTESIAN_POINT('Ctrl Pts',(-13.3999220993526,6.71389471841747, 20.383795961363)); #487444=CARTESIAN_POINT('Ctrl Pts',(-13.1115646613235,7.30281903502025, 19.549256143515)); #487445=CARTESIAN_POINT('Ctrl Pts',(-12.8569783262693,7.72645583962776, 18.8974411033276)); #487446=CARTESIAN_POINT('Origin',(0.,0.,11.)); #487447=CARTESIAN_POINT('',(-10.222645363931,-10.2797590343351,22.9999797931274)); #487448=CARTESIAN_POINT('Origin',(-3.14503978415814E-11,-1.41936462583203E-11, 23.0000000001692)); #487449=CARTESIAN_POINT('',(-10.23074300571,-10.2753052291,22.98954145571)); #487450=CARTESIAN_POINT('Origin',(0.,3.615300434296E-12,22.9895414557)); #487451=CARTESIAN_POINT('Ctrl Pts',(-10.2243233112999,-10.2816930914129, 22.999170360328)); #487452=CARTESIAN_POINT('Ctrl Pts',(-10.2253090512279,-10.2807128517157, 22.9976931804179)); #487453=CARTESIAN_POINT('Ctrl Pts',(-10.2262945079674,-10.279732611686, 22.9962158103305)); #487454=CARTESIAN_POINT('Ctrl Pts',(-10.2284345103739,-10.2776033257244, 22.9930062462578)); #487455=CARTESIAN_POINT('Ctrl Pts',(-10.229588952798,-10.2764542770813, 22.9912739779486)); #487456=CARTESIAN_POINT('Ctrl Pts',(-10.2307430057095,-10.2753052290979, 22.9895414557111)); #487457=CARTESIAN_POINT('Ctrl Pts',(0.,14.52066050143,22.90705281011)); #487458=CARTESIAN_POINT('Ctrl Pts',(-14.520660501427,14.52066050143,22.90705281011)); #487459=CARTESIAN_POINT('Ctrl Pts',(-14.520660501427,3.00611558895709E-12, 22.9070528102172)); #487460=CARTESIAN_POINT('Ctrl Pts',(-14.520660501427,-14.520660501424,22.9070528103245)); #487461=CARTESIAN_POINT('Ctrl Pts',(0.,-14.520660501424,22.9070528103245)); #487462=CARTESIAN_POINT('Ctrl Pts',(14.520660501427,-14.520660501424,22.9070528103245)); #487463=CARTESIAN_POINT('Ctrl Pts',(14.520660501427,3.00611558895709E-12, 22.9070528102172)); #487464=CARTESIAN_POINT('Ctrl Pts',(14.520660501427,14.52066050143,22.90705281011)); #487465=CARTESIAN_POINT('Ctrl Pts',(0.,14.52066050143,22.90705281011)); #487466=CARTESIAN_POINT('Ctrl Pts',(0.,14.51292846221,22.93791465603)); #487467=CARTESIAN_POINT('Ctrl Pts',(-14.5129284622068,14.51292846221,22.93791465603)); #487468=CARTESIAN_POINT('Ctrl Pts',(-14.5129284622068,3.23403264012892E-12, 22.9379146561372)); #487469=CARTESIAN_POINT('Ctrl Pts',(-14.5129284622068,-14.5129284622035, 22.9379146562444)); #487470=CARTESIAN_POINT('Ctrl Pts',(0.,-14.5129284622035,22.9379146562444)); #487471=CARTESIAN_POINT('Ctrl Pts',(14.5129284622068,-14.5129284622035, 22.9379146562444)); #487472=CARTESIAN_POINT('Ctrl Pts',(14.5129284622068,3.23403264012892E-12, 22.9379146561372)); #487473=CARTESIAN_POINT('Ctrl Pts',(14.5129284622068,14.51292846221,22.93791465603)); #487474=CARTESIAN_POINT('Ctrl Pts',(0.,14.51292846221,22.93791465603)); #487475=CARTESIAN_POINT('Ctrl Pts',(0.,14.49137592825,23.02395934962)); #487476=CARTESIAN_POINT('Ctrl Pts',(-14.4913759282461,14.49137592825,23.02395934962)); #487477=CARTESIAN_POINT('Ctrl Pts',(-14.4913759282461,3.86947916795011E-12, 23.023959349727)); #487478=CARTESIAN_POINT('Ctrl Pts',(-14.4913759282461,-14.4913759282423, 23.023959349834)); #487479=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4913759282423,23.023959349834)); #487480=CARTESIAN_POINT('Ctrl Pts',(14.4913759282461,-14.4913759282423, 23.023959349834)); #487481=CARTESIAN_POINT('Ctrl Pts',(14.4913759282461,3.86947916795011E-12, 23.023959349727)); #487482=CARTESIAN_POINT('Ctrl Pts',(14.4913759282461,14.49137592825,23.02395934962)); #487483=CARTESIAN_POINT('Ctrl Pts',(0.,14.49137592825,23.02395934962)); #487484=CARTESIAN_POINT('Ctrl Pts',(0.,14.45598854161,23.165457968)); #487485=CARTESIAN_POINT('Ctrl Pts',(-14.4559885416051,14.45598854161,23.165457968)); #487486=CARTESIAN_POINT('Ctrl Pts',(-14.4559885416051,4.91445709732138E-12, 23.1654579681068)); #487487=CARTESIAN_POINT('Ctrl Pts',(-14.4559885416051,-14.4559885416002, 23.1654579682135)); #487488=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4559885416002,23.1654579682135)); #487489=CARTESIAN_POINT('Ctrl Pts',(14.4559885416051,-14.4559885416002, 23.1654579682135)); #487490=CARTESIAN_POINT('Ctrl Pts',(14.4559885416051,4.91445709732138E-12, 23.1654579681068)); #487491=CARTESIAN_POINT('Ctrl Pts',(14.4559885416051,14.45598854161,23.165457968)); #487492=CARTESIAN_POINT('Ctrl Pts',(0.,14.45598854161,23.165457968)); #487493=CARTESIAN_POINT('Ctrl Pts',(0.,14.41356770364,23.33578736769)); #487494=CARTESIAN_POINT('Ctrl Pts',(-14.4135677036338,14.41356770364,23.33578736769)); #487495=CARTESIAN_POINT('Ctrl Pts',(-14.4135677036338,6.17235251309931E-12, 23.3357873677964)); #487496=CARTESIAN_POINT('Ctrl Pts',(-14.4135677036338,-14.4135677036277, 23.3357873679029)); #487497=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4135677036277,23.3357873679029)); #487498=CARTESIAN_POINT('Ctrl Pts',(14.4135677036338,-14.4135677036277, 23.3357873679029)); #487499=CARTESIAN_POINT('Ctrl Pts',(14.4135677036338,6.17235251309931E-12, 23.3357873677964)); #487500=CARTESIAN_POINT('Ctrl Pts',(14.4135677036338,14.41356770364,23.33578736769)); #487501=CARTESIAN_POINT('Ctrl Pts',(0.,14.41356770364,23.33578736769)); #487502=CARTESIAN_POINT('Ctrl Pts',(0.,14.37011479721,23.51131634965)); #487503=CARTESIAN_POINT('Ctrl Pts',(-14.3701147972025,14.37011479721,23.51131634965)); #487504=CARTESIAN_POINT('Ctrl Pts',(-14.3701147972025,7.46864723465352E-12, 23.5113163497561)); #487505=CARTESIAN_POINT('Ctrl Pts',(-14.3701147972025,-14.3701147971951, 23.5113163498622)); #487506=CARTESIAN_POINT('Ctrl Pts',(0.,-14.3701147971951,23.5113163498622)); #487507=CARTESIAN_POINT('Ctrl Pts',(14.3701147972025,-14.3701147971951, 23.5113163498622)); #487508=CARTESIAN_POINT('Ctrl Pts',(14.3701147972025,7.46864723465352E-12, 23.5113163497561)); #487509=CARTESIAN_POINT('Ctrl Pts',(14.3701147972025,14.37011479721,23.51131634965)); #487510=CARTESIAN_POINT('Ctrl Pts',(0.,14.37011479721,23.51131634965)); #487511=CARTESIAN_POINT('Ctrl Pts',(0.,14.32719551245,23.68632580916)); #487512=CARTESIAN_POINT('Ctrl Pts',(-14.3271955124412,14.32719551245,23.68632580916)); #487513=CARTESIAN_POINT('Ctrl Pts',(-14.3271955124412,8.76110524387607E-12, 23.6863258092658)); #487514=CARTESIAN_POINT('Ctrl Pts',(-14.3271955124412,-14.3271955124325, 23.6863258093716)); #487515=CARTESIAN_POINT('Ctrl Pts',(0.,-14.3271955124325,23.6863258093716)); #487516=CARTESIAN_POINT('Ctrl Pts',(14.3271955124412,-14.3271955124325, 23.6863258093716)); #487517=CARTESIAN_POINT('Ctrl Pts',(14.3271955124412,8.76110524387607E-12, 23.6863258092658)); #487518=CARTESIAN_POINT('Ctrl Pts',(14.3271955124412,14.32719551245,23.68632580916)); #487519=CARTESIAN_POINT('Ctrl Pts',(0.,14.32719551245,23.68632580916)); #487520=CARTESIAN_POINT('Ctrl Pts',(0.,14.28769677143,23.85162145032)); #487521=CARTESIAN_POINT('Ctrl Pts',(-14.28769677142,14.28769677143,23.85162145032)); #487522=CARTESIAN_POINT('Ctrl Pts',(-14.28769677142,9.98182597465814E-12, 23.8516214504255)); #487523=CARTESIAN_POINT('Ctrl Pts',(-14.28769677142,-14.28769677141,23.851621450531)); #487524=CARTESIAN_POINT('Ctrl Pts',(0.,-14.28769677141,23.851621450531)); #487525=CARTESIAN_POINT('Ctrl Pts',(14.28769677142,-14.28769677141,23.851621450531)); #487526=CARTESIAN_POINT('Ctrl Pts',(14.28769677142,9.98182597465814E-12, 23.8516214504255)); #487527=CARTESIAN_POINT('Ctrl Pts',(14.28769677142,14.28769677143,23.85162145032)); #487528=CARTESIAN_POINT('Ctrl Pts',(0.,14.28769677143,23.85162145032)); #487529=CARTESIAN_POINT('Ctrl Pts',(0.,14.25324025792,24.00247976249)); #487530=CARTESIAN_POINT('Ctrl Pts',(-14.2532402579089,14.25324025792,24.00247976249)); #487531=CARTESIAN_POINT('Ctrl Pts',(-14.2532402579089,1.10959259459845E-11, 24.0024797625953)); #487532=CARTESIAN_POINT('Ctrl Pts',(-14.2532402579089,-14.2532402578978, 24.0024797627005)); #487533=CARTESIAN_POINT('Ctrl Pts',(0.,-14.2532402578978,24.0024797627005)); #487534=CARTESIAN_POINT('Ctrl Pts',(14.2532402579089,-14.2532402578978, 24.0024797627005)); #487535=CARTESIAN_POINT('Ctrl Pts',(14.2532402579089,1.10959259459845E-11, 24.0024797625953)); #487536=CARTESIAN_POINT('Ctrl Pts',(14.2532402579089,14.25324025792,24.00247976249)); #487537=CARTESIAN_POINT('Ctrl Pts',(0.,14.25324025792,24.00247976249)); #487538=CARTESIAN_POINT('Ctrl Pts',(0.,14.2203292670947,24.1552846689298)); #487539=CARTESIAN_POINT('Ctrl Pts',(-14.2203292670825,14.2203292670947, 24.1552846689298)); #487540=CARTESIAN_POINT('Ctrl Pts',(-14.2203292670825,1.22244016622664E-11, 24.1552846690348)); #487541=CARTESIAN_POINT('Ctrl Pts',(-14.2203292670825,-14.2203292670703, 24.1552846691398)); #487542=CARTESIAN_POINT('Ctrl Pts',(0.,-14.2203292670703,24.1552846691398)); #487543=CARTESIAN_POINT('Ctrl Pts',(14.2203292670825,-14.2203292670703, 24.1552846691398)); #487544=CARTESIAN_POINT('Ctrl Pts',(14.2203292670825,1.22244016622664E-11, 24.1552846690348)); #487545=CARTESIAN_POINT('Ctrl Pts',(14.2203292670825,14.2203292670947,24.1552846689298)); #487546=CARTESIAN_POINT('Ctrl Pts',(0.,14.2203292670947,24.1552846689298)); #487547=CARTESIAN_POINT('Ctrl Pts',(0.,14.1997785199556,24.2583198562566)); #487548=CARTESIAN_POINT('Ctrl Pts',(-14.1997785199426,14.1997785199556, 24.2583198562566)); #487549=CARTESIAN_POINT('Ctrl Pts',(-14.1997785199426,1.29853242630517E-11, 24.2583198563615)); #487550=CARTESIAN_POINT('Ctrl Pts',(-14.1997785199426,-14.1997785199296, 24.2583198564664)); #487551=CARTESIAN_POINT('Ctrl Pts',(0.,-14.1997785199296,24.2583198564664)); #487552=CARTESIAN_POINT('Ctrl Pts',(14.1997785199426,-14.1997785199296, 24.2583198564664)); #487553=CARTESIAN_POINT('Ctrl Pts',(14.1997785199426,1.29853242630517E-11, 24.2583198563615)); #487554=CARTESIAN_POINT('Ctrl Pts',(14.1997785199426,14.1997785199556,24.2583198562566)); #487555=CARTESIAN_POINT('Ctrl Pts',(0.,14.1997785199556,24.2583198562566)); #487556=CARTESIAN_POINT('Ctrl Pts',(0.,14.1888433619,24.31536690022)); #487557=CARTESIAN_POINT('Ctrl Pts',(-14.1888433618866,14.1888433619,24.31536690022)); #487558=CARTESIAN_POINT('Ctrl Pts',(-14.1888433618866,1.3406620969409E-11, 24.3153669003248)); #487559=CARTESIAN_POINT('Ctrl Pts',(-14.1888433618866,-14.1888433618732, 24.3153669004296)); #487560=CARTESIAN_POINT('Ctrl Pts',(0.,-14.1888433618732,24.3153669004296)); #487561=CARTESIAN_POINT('Ctrl Pts',(14.1888433618866,-14.1888433618732, 24.3153669004296)); #487562=CARTESIAN_POINT('Ctrl Pts',(14.1888433618866,1.3406620969409E-11, 24.3153669003248)); #487563=CARTESIAN_POINT('Ctrl Pts',(14.1888433618866,14.1888433619,24.31536690022)); #487564=CARTESIAN_POINT('Ctrl Pts',(0.,14.1888433619,24.31536690022)); #487565=CARTESIAN_POINT('Ctrl Pts',(-10.2227662580164,-10.2798413293981, 22.9991716377708)); #487566=CARTESIAN_POINT('Ctrl Pts',(-10.0294941862551,-10.3897233214312, 23.2323276156271)); #487567=CARTESIAN_POINT('Ctrl Pts',(-9.84559498269577,-10.4928187013991, 23.4413280388349)); #487568=CARTESIAN_POINT('Ctrl Pts',(-9.46290152366305,-10.707339776571, 23.8473251472432)); #487569=CARTESIAN_POINT('Ctrl Pts',(-9.26836850046198,-10.8171214488295, 24.042663248144)); #487570=CARTESIAN_POINT('Ctrl Pts',(-9.07936038033677,-10.9275780690442, 24.2213392609983)); #487571=CARTESIAN_POINT('Ctrl Pts',(-6.686308018208,-11.78396996731,25.20377703197)); #487572=CARTESIAN_POINT('Ctrl Pts',(-6.387651819175,-15.34268092265,26.85480023651)); #487573=CARTESIAN_POINT('Ctrl Pts',(-6.088995620142,-18.901391878,28.50582344104)); #487574=CARTESIAN_POINT('Ctrl Pts',(-5.790339421109,-22.46010283335,30.15684664558)); #487575=CARTESIAN_POINT('Ctrl Pts',(-6.68930314203,-11.77938492261,25.20174279719)); #487576=CARTESIAN_POINT('Ctrl Pts',(-6.414021512543,-15.33327235801,26.85792512015)); #487577=CARTESIAN_POINT('Ctrl Pts',(-6.138739883055,-18.88715979341,28.5141074431)); #487578=CARTESIAN_POINT('Ctrl Pts',(-5.863458253568,-22.44104722881,30.17028976605)); #487579=CARTESIAN_POINT('Ctrl Pts',(-6.705338829323,-11.75780109974,25.19251241418)); #487580=CARTESIAN_POINT('Ctrl Pts',(-6.533275103963,-15.29006908919,26.87211690862)); #487581=CARTESIAN_POINT('Ctrl Pts',(-6.361211378602,-18.82233707865,28.55172140306)); #487582=CARTESIAN_POINT('Ctrl Pts',(-6.189147653241,-22.3546050681,30.23132589751)); #487583=CARTESIAN_POINT('Ctrl Pts',(-6.749968456509,-11.71654116622,25.1796432996)); #487584=CARTESIAN_POINT('Ctrl Pts',(-6.724577534681,-15.21581933973,26.89216549653)); #487585=CARTESIAN_POINT('Ctrl Pts',(-6.699186612852,-18.71509751323,28.60468769346)); #487586=CARTESIAN_POINT('Ctrl Pts',(-6.673795691024,-22.21437568673,30.3172098904)); #487587=CARTESIAN_POINT('Ctrl Pts',(-6.827742294233,-11.6578423431,25.18547555178)); #487588=CARTESIAN_POINT('Ctrl Pts',(-6.947099202562,-15.1228688233,26.88525916355)); #487589=CARTESIAN_POINT('Ctrl Pts',(-7.066456110891,-18.5878953035,28.58504277532)); #487590=CARTESIAN_POINT('Ctrl Pts',(-7.185813019221,-22.0529217837,30.28482638709)); #487591=CARTESIAN_POINT('Ctrl Pts',(-6.897868031815,-11.60313261988,25.20581366452)); #487592=CARTESIAN_POINT('Ctrl Pts',(-7.164683566997,-15.02831413128,26.84961144089)); #487593=CARTESIAN_POINT('Ctrl Pts',(-7.43149910218,-18.45349564268,28.49340921725)); #487594=CARTESIAN_POINT('Ctrl Pts',(-7.698314637362,-21.87867715407,30.13720699362)); #487595=CARTESIAN_POINT('Ctrl Pts',(-6.960065238563,-11.55337759692,25.2199238306)); #487596=CARTESIAN_POINT('Ctrl Pts',(-7.373427046477,-14.93384884711,26.80419777207)); #487597=CARTESIAN_POINT('Ctrl Pts',(-7.786788854391,-18.31432009729,28.38847171354)); #487598=CARTESIAN_POINT('Ctrl Pts',(-8.200150662304,-21.69479134748,29.97274565501)); #487599=CARTESIAN_POINT('Ctrl Pts',(-7.015317768477,-11.50835770786,25.22661826089)); #487600=CARTESIAN_POINT('Ctrl Pts',(-7.573141057506,-14.83990721362,26.74897225907)); #487601=CARTESIAN_POINT('Ctrl Pts',(-8.130964346535,-18.17145671938,28.27132625724)); #487602=CARTESIAN_POINT('Ctrl Pts',(-8.688787635564,-21.50300622514,29.79368025542)); #487603=CARTESIAN_POINT('Ctrl Pts',(-7.090808073619,-11.44891632977,25.22640379509)); #487604=CARTESIAN_POINT('Ctrl Pts',(-7.830561751027,-14.71365654035,26.65329618407)); #487605=CARTESIAN_POINT('Ctrl Pts',(-8.570315428435,-17.97839675093,28.08018857304)); #487606=CARTESIAN_POINT('Ctrl Pts',(-9.310069105843,-21.24313696151,29.50708096202)); #487607=CARTESIAN_POINT('Ctrl Pts',(-7.186170051084,-11.37658864734,25.19622708466)); #487608=CARTESIAN_POINT('Ctrl Pts',(-8.135125359131,-14.555251896,26.50481033789)); #487609=CARTESIAN_POINT('Ctrl Pts',(-9.084080667177,-17.73391514465,27.81339359112)); #487610=CARTESIAN_POINT('Ctrl Pts',(-10.03303597522,-20.91257839331,29.12197684435)); #487611=CARTESIAN_POINT('Ctrl Pts',(-7.318805635622,-11.28206647708,25.12971285855)); #487612=CARTESIAN_POINT('Ctrl Pts',(-8.476960471145,-14.36421332579,26.28934103766)); #487613=CARTESIAN_POINT('Ctrl Pts',(-9.635115306668,-17.44636017449,27.44896921677)); #487614=CARTESIAN_POINT('Ctrl Pts',(-10.79327014219,-20.52850702319,28.60859739588)); #487615=CARTESIAN_POINT('Ctrl Pts',(-7.541380407674,-11.12835119819,25.0089959615)); #487616=CARTESIAN_POINT('Ctrl Pts',(-8.916896474082,-14.10170681017,25.98012940993)); #487617=CARTESIAN_POINT('Ctrl Pts',(-10.29241254049,-17.07506242216,26.95126285836)); #487618=CARTESIAN_POINT('Ctrl Pts',(-11.6679286069,-20.04841803414,27.92239630679)); #487619=CARTESIAN_POINT('Ctrl Pts',(-7.888731661291,-10.88528211028,24.8051614074)); #487620=CARTESIAN_POINT('Ctrl Pts',(-9.440320119651,-13.76084595671,25.58647619789)); #487621=CARTESIAN_POINT('Ctrl Pts',(-10.99190857801,-16.63640980314,26.36779098839)); #487622=CARTESIAN_POINT('Ctrl Pts',(-12.54349703637,-19.51197364957,27.14910577888)); #487623=CARTESIAN_POINT('Ctrl Pts',(-8.38397855434,-10.51175215858,24.40237354815)); #487624=CARTESIAN_POINT('Ctrl Pts',(-10.02958942602,-13.33645082018,25.04686011905)); #487625=CARTESIAN_POINT('Ctrl Pts',(-11.6752002977,-16.16114948178,25.69134668994)); #487626=CARTESIAN_POINT('Ctrl Pts',(-13.32081116937,-18.98584814338,26.33583326084)); #487627=CARTESIAN_POINT('Ctrl Pts',(-8.927647771222,-10.06215080909,23.82345482876)); #487628=CARTESIAN_POINT('Ctrl Pts',(-10.59045455352,-12.89212010502,24.4304600385)); #487629=CARTESIAN_POINT('Ctrl Pts',(-12.25326133582,-15.72208940095,25.03746524823)); #487630=CARTESIAN_POINT('Ctrl Pts',(-13.91606811812,-18.55205869688,25.64447045797)); #487631=CARTESIAN_POINT('Ctrl Pts',(-9.512330644364,-9.528520853764,23.07888354052)); #487632=CARTESIAN_POINT('Ctrl Pts',(-11.13513125283,-12.41693371757,23.731581132)); #487633=CARTESIAN_POINT('Ctrl Pts',(-12.7579318613,-15.30534658139,24.38427872349)); #487634=CARTESIAN_POINT('Ctrl Pts',(-14.38073246977,-18.1937594452,25.03697631498)); #487635=CARTESIAN_POINT('Ctrl Pts',(-9.929657216094,-9.104703432684,22.4299406316)); #487636=CARTESIAN_POINT('Ctrl Pts',(-11.50188995404,-12.06302757081,23.17525373409)); #487637=CARTESIAN_POINT('Ctrl Pts',(-13.07412269199,-15.02135170895,23.92056683658)); #487638=CARTESIAN_POINT('Ctrl Pts',(-14.64635542994,-17.97967584708,24.66587993907)); #487639=CARTESIAN_POINT('Ctrl Pts',(-10.15167728414,-8.863821990666,22.06230390756)); #487640=CARTESIAN_POINT('Ctrl Pts',(-11.69234417698,-11.8676258841,22.86932156913)); #487641=CARTESIAN_POINT('Ctrl Pts',(-13.23301106982,-14.87142977754,23.6763392307)); #487642=CARTESIAN_POINT('Ctrl Pts',(-14.77367796266,-17.87523367098,24.48335689228)); #487643=CARTESIAN_POINT('Ctrl Pts',(-10.16770752685,-8.84635043848,22.0356503814)); #487644=CARTESIAN_POINT('Ctrl Pts',(-11.70607223939,-11.85348023926,22.84718458207)); #487645=CARTESIAN_POINT('Ctrl Pts',(-13.24443695193,-14.86061004005,23.65871878275)); #487646=CARTESIAN_POINT('Ctrl Pts',(-14.78280166448,-17.86773984083,24.47025298343)); #487647=CARTESIAN_POINT('',(-10.6894331154499,-10.0035689062358,22.4094359543084)); #487648=CARTESIAN_POINT('Ctrl Pts',(-10.6894331174697,-10.003568903289, 22.4094359572379)); #487649=CARTESIAN_POINT('Ctrl Pts',(-10.6151498072748,-10.0505984118828, 22.5079574230887)); #487650=CARTESIAN_POINT('Ctrl Pts',(-10.5412697702429,-10.0953293748032, 22.6035776514233)); #487651=CARTESIAN_POINT('Ctrl Pts',(-10.3886032327498,-10.1851985137079, 22.7966435503693)); #487652=CARTESIAN_POINT('Ctrl Pts',(-10.3099710264394,-10.2302404189432, 22.8938180012094)); #487653=CARTESIAN_POINT('Ctrl Pts',(-10.2307430057142,-10.2753052291042, 22.9895414557116)); #487654=CARTESIAN_POINT('',(-14.6661097475078,-17.7173707090267,24.4636599652989)); #487655=CARTESIAN_POINT('',(-14.6661081781,-17.71736767035,24.46365915692)); #487656=CARTESIAN_POINT('',(-6.0151037542231,-22.1995163650301,30.0999434967628)); #487657=CARTESIAN_POINT('Ctrl Pts',(-14.6661097482568,-17.7173707092979, 24.4636599656638)); #487658=CARTESIAN_POINT('Ctrl Pts',(-14.4521850690669,-17.8944535775306, 24.771262608887)); #487659=CARTESIAN_POINT('Ctrl Pts',(-14.226164156695,-18.0748064371299, 25.0814455092853)); #487660=CARTESIAN_POINT('Ctrl Pts',(-13.7676821928045,-18.4264891709936, 25.6680554897151)); #487661=CARTESIAN_POINT('Ctrl Pts',(-13.4453473297604,-18.6669954381454, 26.054064729119)); #487662=CARTESIAN_POINT('Ctrl Pts',(-12.7546247748369,-19.1427662950859, 26.7914586671383)); #487663=CARTESIAN_POINT('Ctrl Pts',(-12.4628877674642,-19.3344037937544, 27.0795455175517)); #487664=CARTESIAN_POINT('Ctrl Pts',(-11.9281613815413,-19.6667833772683, 27.564338687975)); #487665=CARTESIAN_POINT('Ctrl Pts',(-11.7078218246055,-19.7988362558545, 27.7538726196302)); #487666=CARTESIAN_POINT('Ctrl Pts',(-10.9060116205678,-20.2608784973243, 28.414674526424)); #487667=CARTESIAN_POINT('Ctrl Pts',(-10.4522368260012,-20.4924355005359, 28.7359111389372)); #487668=CARTESIAN_POINT('Ctrl Pts',(-9.77692761355609,-20.8209405701108, 29.1522332014199)); #487669=CARTESIAN_POINT('Ctrl Pts',(-9.48600302125084,-20.9550972713281, 29.3091803473181)); #487670=CARTESIAN_POINT('Ctrl Pts',(-8.88597909411064,-21.2168212997088, 29.604420998761)); #487671=CARTESIAN_POINT('Ctrl Pts',(-8.66310713042437,-21.3069907103504, 29.6984897057533)); #487672=CARTESIAN_POINT('Ctrl Pts',(-8.30279761952124,-21.4499745493218, 29.8359855739978)); #487673=CARTESIAN_POINT('Ctrl Pts',(-8.10059436379813,-21.5271306691375, 29.9054139761242)); #487674=CARTESIAN_POINT('Ctrl Pts',(-7.78895555406586,-21.6414340395516, 30.0067891193131)); #487675=CARTESIAN_POINT('Ctrl Pts',(-7.62298303223088,-21.7004825739821, 30.0589985981069)); #487676=CARTESIAN_POINT('Ctrl Pts',(-7.25695563006306,-21.8264876931831, 30.1603545958073)); #487677=CARTESIAN_POINT('Ctrl Pts',(-7.05359871434195,-21.893045064488, 30.1990639515536)); #487678=CARTESIAN_POINT('Ctrl Pts',(-6.69546581886727,-22.004280653872, 30.2008540826694)); #487679=CARTESIAN_POINT('Ctrl Pts',(-6.57422184851407,-22.0407871689386, 30.1898591409439)); #487680=CARTESIAN_POINT('Ctrl Pts',(-6.30846902719789,-22.1184317650995, 30.1537863589271)); #487681=CARTESIAN_POINT('Ctrl Pts',(-6.15702692604072,-22.1610613554513, 30.1261624595449)); #487682=CARTESIAN_POINT('Ctrl Pts',(-6.01510375424046,-22.199516364538, 30.099943495947)); #487683=CARTESIAN_POINT('Ctrl Pts',(-6.68328373835601,-11.9744904260119, 25.2939687838695)); #487684=CARTESIAN_POINT('Ctrl Pts',(-6.62070780391269,-12.9321270017462, 25.744076786926)); #487685=CARTESIAN_POINT('Ctrl Pts',(-6.50735991282564,-14.6667313837995, 26.5593793813982)); #487686=CARTESIAN_POINT('Ctrl Pts',(-6.2378369386796,-18.7911795933146, 28.4979561160967)); #487687=CARTESIAN_POINT('Ctrl Pts',(-6.12647125705987,-20.4953479121997, 29.2989500755285)); #487688=CARTESIAN_POINT('Ctrl Pts',(-6.01510375503441,-22.1995163651962, 30.0999434965223)); #487689=CARTESIAN_POINT('Ctrl Pts',(-6.659249363652,-11.79334271276,25.3659320868)); #487690=CARTESIAN_POINT('Ctrl Pts',(-6.399251254108,-15.34131205233,27.03285880617)); #487691=CARTESIAN_POINT('Ctrl Pts',(-6.139253144564,-18.88928139191,28.69978552554)); #487692=CARTESIAN_POINT('Ctrl Pts',(-5.87925503502,-22.43725073148,30.36671224491)); #487693=CARTESIAN_POINT('Ctrl Pts',(-6.672405027682,-11.78521638189,25.30838012248)); #487694=CARTESIAN_POINT('Ctrl Pts',(-6.421775728491,-15.33227127748,26.97560546657)); #487695=CARTESIAN_POINT('Ctrl Pts',(-6.171146429299,-18.87932617307,28.64283081066)); #487696=CARTESIAN_POINT('Ctrl Pts',(-5.920517130108,-22.42638106865,30.31005615475)); #487697=CARTESIAN_POINT('Ctrl Pts',(-6.699879819349,-11.76802133427,25.18351176603)); #487698=CARTESIAN_POINT('Ctrl Pts',(-6.470343834686,-15.31276016872,26.85001910142)); #487699=CARTESIAN_POINT('Ctrl Pts',(-6.240807850023,-18.85749900317,28.5165264368)); #487700=CARTESIAN_POINT('Ctrl Pts',(-6.01127186536,-22.40223783762,30.18303377219)); #487701=CARTESIAN_POINT('Ctrl Pts',(-6.753840340101,-11.73354647211,24.93450672304)); #487702=CARTESIAN_POINT('Ctrl Pts',(-6.569757743836,-15.27249630889,26.59499458935)); #487703=CARTESIAN_POINT('Ctrl Pts',(-6.385675147572,-18.81144614566,28.25548245567)); #487704=CARTESIAN_POINT('Ctrl Pts',(-6.201592551307,-22.35039598244,29.91597032199)); #487705=CARTESIAN_POINT('Ctrl Pts',(-6.822448025634,-11.68941789056,24.6585747255)); #487706=CARTESIAN_POINT('Ctrl Pts',(-6.69484470418,-15.22063258924,26.30797212294)); #487707=CARTESIAN_POINT('Ctrl Pts',(-6.567241382726,-18.75184728792,27.95736952038)); #487708=CARTESIAN_POINT('Ctrl Pts',(-6.439638061271,-22.2830619866,29.60676691782)); #487709=CARTESIAN_POINT('Ctrl Pts',(-6.913656752571,-11.63006028286,24.39404954672)); #487710=CARTESIAN_POINT('Ctrl Pts',(-6.860180229631,-15.1499408718,26.03413741032)); #487711=CARTESIAN_POINT('Ctrl Pts',(-6.80670370669,-18.66982146074,27.67422527392)); #487712=CARTESIAN_POINT('Ctrl Pts',(-6.75322718375,-22.18970204968,29.31431313752)); #487713=CARTESIAN_POINT('Ctrl Pts',(-7.024512037725,-11.55969376402,24.17353821894)); #487714=CARTESIAN_POINT('Ctrl Pts',(-7.037022391234,-15.07086980471,25.81388332844)); #487715=CARTESIAN_POINT('Ctrl Pts',(-7.049532744742,-18.58204584541,27.45422843793)); #487716=CARTESIAN_POINT('Ctrl Pts',(-7.06204309825,-22.09322188611,29.09457354743)); #487717=CARTESIAN_POINT('Ctrl Pts',(-7.165692674164,-11.47120216677,23.95453990294)); #487718=CARTESIAN_POINT('Ctrl Pts',(-7.234790659155,-14.97790122522,25.59719962707)); #487719=CARTESIAN_POINT('Ctrl Pts',(-7.303888644146,-18.48460028366,27.2398593512)); #487720=CARTESIAN_POINT('Ctrl Pts',(-7.372986629138,-21.9912993421,28.88251907532)); #487721=CARTESIAN_POINT('Ctrl Pts',(-7.319097523396,-11.37360064154,23.73465620756)); #487722=CARTESIAN_POINT('Ctrl Pts',(-7.43849882558,-14.87802610109,25.37636667844)); #487723=CARTESIAN_POINT('Ctrl Pts',(-7.557900127764,-18.38245156064,27.01807714932)); #487724=CARTESIAN_POINT('Ctrl Pts',(-7.677301429948,-21.88687702019,28.6597876202)); #487725=CARTESIAN_POINT('Ctrl Pts',(-7.480516627081,-11.2684687099,23.49793256134)); #487726=CARTESIAN_POINT('Ctrl Pts',(-7.647279925606,-14.77167548138,25.14578039687)); #487727=CARTESIAN_POINT('Ctrl Pts',(-7.814043224132,-18.27488225286,26.7936282324)); #487728=CARTESIAN_POINT('Ctrl Pts',(-7.980806522658,-21.77808902434,28.44147606792)); #487729=CARTESIAN_POINT('Ctrl Pts',(-7.649266657992,-11.15521006321,23.24924051462)); #487730=CARTESIAN_POINT('Ctrl Pts',(-7.864395386422,-14.65701969182,24.90884477082)); #487731=CARTESIAN_POINT('Ctrl Pts',(-8.079524114852,-18.15882932044,26.56844902703)); #487732=CARTESIAN_POINT('Ctrl Pts',(-8.294652843282,-21.66063894905,28.22805328323)); #487733=CARTESIAN_POINT('Ctrl Pts',(-7.823795890877,-11.03356704507,22.99259380265)); #487734=CARTESIAN_POINT('Ctrl Pts',(-8.093969979665,-14.53160521029,24.66146644851)); #487735=CARTESIAN_POINT('Ctrl Pts',(-8.364144068452,-18.0296433755,26.33033909437)); #487736=CARTESIAN_POINT('Ctrl Pts',(-8.63431815724,-21.52768154071,27.99921174024)); #487737=CARTESIAN_POINT('Ctrl Pts',(-8.002186817143,-10.90376130251,22.73110857475)); #487738=CARTESIAN_POINT('Ctrl Pts',(-8.338100357902,-14.39367250257,24.39746174548)); #487739=CARTESIAN_POINT('Ctrl Pts',(-8.67401389866,-17.88358370263,26.0638149162)); #487740=CARTESIAN_POINT('Ctrl Pts',(-9.009927439419,-21.37349490269,27.73016808693)); #487741=CARTESIAN_POINT('Ctrl Pts',(-8.17947190479,-10.76768765066,22.4634601712)); #487742=CARTESIAN_POINT('Ctrl Pts',(-8.601088833439,-14.2402791423,24.1160959769)); #487743=CARTESIAN_POINT('Ctrl Pts',(-9.022705762087,-17.71287063394,25.76873178261)); #487744=CARTESIAN_POINT('Ctrl Pts',(-9.444322690735,-21.18546212558,27.42136758831)); #487745=CARTESIAN_POINT('Ctrl Pts',(-8.414678207182,-10.57627678133,22.10551756915)); #487746=CARTESIAN_POINT('Ctrl Pts',(-8.98654579046,-14.00774323923,23.71926674297)); #487747=CARTESIAN_POINT('Ctrl Pts',(-9.558413373739,-17.43920969713,25.33301591679)); #487748=CARTESIAN_POINT('Ctrl Pts',(-10.13028095702,-20.87067615502,26.94676509062)); #487749=CARTESIAN_POINT('Ctrl Pts',(-8.717157093711,-10.3132389501,21.66958447743)); #487750=CARTESIAN_POINT('Ctrl Pts',(-9.52451652491,-13.66081239193,23.16911399629)); #487751=CARTESIAN_POINT('Ctrl Pts',(-10.33187595611,-17.00838583375,24.66864351516)); #487752=CARTESIAN_POINT('Ctrl Pts',(-11.13923538731,-20.35595927558,26.16817303402)); #487753=CARTESIAN_POINT('Ctrl Pts',(-9.063102208549,-10.00207113313,21.15804581868)); #487754=CARTESIAN_POINT('Ctrl Pts',(-10.04459200268,-13.28417332641,22.5609899706)); #487755=CARTESIAN_POINT('Ctrl Pts',(-11.02608179682,-16.56627551969,23.96393412251)); #487756=CARTESIAN_POINT('Ctrl Pts',(-12.00757159095,-19.84837771298,25.36687827443)); #487757=CARTESIAN_POINT('Ctrl Pts',(-9.354249089934,-9.723854592357,20.70453717607)); #487758=CARTESIAN_POINT('Ctrl Pts',(-10.4678946184,-12.95304827559,22.03483246132)); #487759=CARTESIAN_POINT('Ctrl Pts',(-11.58154014686,-16.18224195882,23.36512774657)); #487760=CARTESIAN_POINT('Ctrl Pts',(-12.69518567533,-19.41143564206,24.69542303183)); #487761=CARTESIAN_POINT('Ctrl Pts',(-9.580145895721,-9.5003506406,20.33534974025)); #487762=CARTESIAN_POINT('Ctrl Pts',(-10.77871121726,-12.69624091161,21.61090930147)); #487763=CARTESIAN_POINT('Ctrl Pts',(-11.9772765388,-15.89213118261,22.8864688627)); #487764=CARTESIAN_POINT('Ctrl Pts',(-13.17584186034,-19.08802145362,24.16202842392)); #487765=CARTESIAN_POINT('Ctrl Pts',(-9.814087716776,-9.259215309676,19.93750829364)); #487766=CARTESIAN_POINT('Ctrl Pts',(-11.08777382404,-12.4270142771,21.16308274653)); #487767=CARTESIAN_POINT('Ctrl Pts',(-12.3614599313,-15.59481324453,22.38865719942)); #487768=CARTESIAN_POINT('Ctrl Pts',(-13.63514603856,-18.76261221196,23.61423165232)); #487769=CARTESIAN_POINT('Ctrl Pts',(-10.12704046788,-8.921004675187,19.35291967801)); #487770=CARTESIAN_POINT('Ctrl Pts',(-11.49478215026,-12.0537384053,20.52608575822)); #487771=CARTESIAN_POINT('Ctrl Pts',(-12.86252383265,-15.18647213541,21.69925183842)); #487772=CARTESIAN_POINT('Ctrl Pts',(-14.23026551504,-18.31920586552,22.87241791863)); #487773=CARTESIAN_POINT('Ctrl Pts',(-10.52768402925,-8.454892103373,18.55565703473)); #487774=CARTESIAN_POINT('Ctrl Pts',(-12.01104885998,-11.5432985867,19.6429974779)); #487775=CARTESIAN_POINT('Ctrl Pts',(-13.49441369071,-14.63170507003,20.73033792108)); #487776=CARTESIAN_POINT('Ctrl Pts',(-14.97777852143,-17.72011155336,21.81767836425)); #487777=CARTESIAN_POINT('Ctrl Pts',(-10.94021896946,-7.919429538843,17.640127137)); #487778=CARTESIAN_POINT('Ctrl Pts',(-12.52535928419,-10.97264977993,18.61373679988)); #487779=CARTESIAN_POINT('Ctrl Pts',(-14.11049959893,-14.02587002102,19.58734646276)); #487780=CARTESIAN_POINT('Ctrl Pts',(-15.69563991366,-17.0790902621,20.56095612564)); #487781=CARTESIAN_POINT('Ctrl Pts',(-11.2805209462,-7.445622432113,16.73325689792)); #487782=CARTESIAN_POINT('Ctrl Pts',(-12.88835699263,-10.52775484794,17.74608954506)); #487783=CARTESIAN_POINT('Ctrl Pts',(-14.49619303906,-13.60988726378,18.75892219221)); #487784=CARTESIAN_POINT('Ctrl Pts',(-16.10402908549,-16.69201967961,19.77175483936)); #487785=CARTESIAN_POINT('Ctrl Pts',(-11.53901581693,-7.061804465912,16.0107252643)); #487786=CARTESIAN_POINT('Ctrl Pts',(-13.16048759376,-10.17426095659,17.04993423891)); #487787=CARTESIAN_POINT('Ctrl Pts',(-14.78195937059,-13.28671744727,18.08914321352)); #487788=CARTESIAN_POINT('Ctrl Pts',(-16.40343114742,-16.39917393795,19.12835218813)); #487789=CARTESIAN_POINT('Ctrl Pts',(-11.78698995195,-6.6674404235,15.19191701415)); #487790=CARTESIAN_POINT('Ctrl Pts',(-13.42190646539,-9.813818439034,16.2832398104)); #487791=CARTESIAN_POINT('Ctrl Pts',(-15.05682297882,-12.96019645457,17.37456260665)); #487792=CARTESIAN_POINT('Ctrl Pts',(-16.69173949226,-16.1065744701,18.4658854029)); #487793=CARTESIAN_POINT('Ctrl Pts',(-11.99359733395,-6.314955173157,14.46522179693)); #487794=CARTESIAN_POINT('Ctrl Pts',(-13.63514835788,-9.499599439842,15.61505467927)); #487795=CARTESIAN_POINT('Ctrl Pts',(-15.27669938181,-12.68424370653,16.76488756161)); #487796=CARTESIAN_POINT('Ctrl Pts',(-16.91825040574,-15.86888797321,17.91472044394)); #487797=CARTESIAN_POINT('Ctrl Pts',(-12.13746601195,-6.054861124722,13.85504087618)); #487798=CARTESIAN_POINT('Ctrl Pts',(-13.78689944408,-9.266160677277,15.05864625627)); #487799=CARTESIAN_POINT('Ctrl Pts',(-15.4363328762,-12.47746022983,16.26225163636)); #487800=CARTESIAN_POINT('Ctrl Pts',(-17.08576630832,-15.68875978239,17.46585701645)); #487801=CARTESIAN_POINT('Ctrl Pts',(-12.24756858324,-5.849815870925,13.39029831548)); #487802=CARTESIAN_POINT('Ctrl Pts',(-13.90007270599,-9.086076883633,14.6351068952)); #487803=CARTESIAN_POINT('Ctrl Pts',(-15.55257682873,-12.32233789634,15.87991547492)); #487804=CARTESIAN_POINT('Ctrl Pts',(-17.20508095148,-15.55859890905,17.12472405463)); #487805=CARTESIAN_POINT('Ctrl Pts',(-12.35165586345,-5.648292464915,12.90862107239)); #487806=CARTESIAN_POINT('Ctrl Pts',(-14.00757069222,-8.909540948309,14.19915576382)); #487807=CARTESIAN_POINT('Ctrl Pts',(-15.66348552099,-12.1707894317,15.48969045525)); #487808=CARTESIAN_POINT('Ctrl Pts',(-17.31940034976,-15.4320379151,16.78022514667)); #487809=CARTESIAN_POINT('Ctrl Pts',(-12.47758894742,-5.394749627548,12.23400372095)); #487810=CARTESIAN_POINT('Ctrl Pts',(-14.14052465498,-8.68546106806,13.59519398621)); #487811=CARTESIAN_POINT('Ctrl Pts',(-15.80346036254,-11.97617250857,14.95638425146)); #487812=CARTESIAN_POINT('Ctrl Pts',(-17.4663960701,-15.26688394909,16.31757451671)); #487813=CARTESIAN_POINT('Ctrl Pts',(-12.61550335462,-5.102828274521,11.47212749217)); #487814=CARTESIAN_POINT('Ctrl Pts',(-14.28316108333,-8.432712616879,12.91903689444)); #487815=CARTESIAN_POINT('Ctrl Pts',(-15.95081881205,-11.76259695924,14.36594629672)); #487816=CARTESIAN_POINT('Ctrl Pts',(-17.61847654077,-15.09248130159,15.81285569899)); #487817=CARTESIAN_POINT('Ctrl Pts',(-12.72475970454,-4.855044638551,10.72214736068)); #487818=CARTESIAN_POINT('Ctrl Pts',(-14.39984695173,-8.216104283865,12.26272530886)); #487819=CARTESIAN_POINT('Ctrl Pts',(-16.07493419892,-11.57716392918,13.80330325705)); #487820=CARTESIAN_POINT('Ctrl Pts',(-17.7500214461,-14.93822357449,15.34388120524)); #487821=CARTESIAN_POINT('Ctrl Pts',(-12.77984034601,-4.724600623701,10.27581352886)); #487822=CARTESIAN_POINT('Ctrl Pts',(-14.46121913483,-8.09982125021,11.87562963297)); #487823=CARTESIAN_POINT('Ctrl Pts',(-16.14259792366,-11.47504187672,13.47544573707)); #487824=CARTESIAN_POINT('Ctrl Pts',(-17.82397671248,-14.85026250323,15.07526184118)); #487825=CARTESIAN_POINT('Ctrl Pts',(-12.8030192375,-4.668920977434,10.08696152001)); #487826=CARTESIAN_POINT('Ctrl Pts',(-14.4869412772,-8.050415626131,11.71233877956)); #487827=CARTESIAN_POINT('Ctrl Pts',(-16.1708633169,-11.43191027483,13.3377160391)); #487828=CARTESIAN_POINT('Ctrl Pts',(-17.85478535661,-14.81340492353,14.96309329864)); #487829=CARTESIAN_POINT('Ctrl Pts',(-12.81013629979,-4.651773703293,10.02946490757)); #487830=CARTESIAN_POINT('Ctrl Pts',(-14.4948025793,-8.035249523771,11.66266256376)); #487831=CARTESIAN_POINT('Ctrl Pts',(-16.17946885882,-11.41872534425,13.29586021995)); #487832=CARTESIAN_POINT('Ctrl Pts',(-17.86413513833,-14.80220116473,14.92905787614)); #487833=CARTESIAN_POINT('',(-8.31776024198,-11.87707306355,22.98954145574)); #487834=CARTESIAN_POINT('',(-8.30824699271275,-11.8806494458694,22.9999754037926)); #487835=CARTESIAN_POINT('Ctrl Pts',(-8.31776024198508,-11.8770730635478, 22.9895414557371)); #487836=CARTESIAN_POINT('Ctrl Pts',(-8.31643316783718,-11.8780024410579, 22.9912844267223)); #487837=CARTESIAN_POINT('Ctrl Pts',(-8.3151066983194,-11.8789310638042, 22.9930269114442)); #487838=CARTESIAN_POINT('Ctrl Pts',(-8.31262698611193,-11.88066641942,22.9962848895672)); #487839=CARTESIAN_POINT('Ctrl Pts',(-8.31147423816056,-11.8814728871093, 22.9977996587691)); #487840=CARTESIAN_POINT('Ctrl Pts',(-8.31032152611423,-11.8822790798995, 22.9993146030688)); #487841=CARTESIAN_POINT('Ctrl Pts',(-7.25800527095033,-12.2134395998087, 24.2213392609606)); #487842=CARTESIAN_POINT('Ctrl Pts',(-7.29759606086929,-12.2010895669625, 24.1734230202681)); #487843=CARTESIAN_POINT('Ctrl Pts',(-7.33335783526373,-12.1899265562076, 24.130673548784)); #487844=CARTESIAN_POINT('Ctrl Pts',(-7.43126054760628,-12.1602250249343, 24.0131432899977)); #487845=CARTESIAN_POINT('Ctrl Pts',(-7.47383231717673,-12.147526859071, 23.9620592867886)); #487846=CARTESIAN_POINT('Ctrl Pts',(-7.60583713224848,-12.1082756045527, 23.8046236660058)); #487847=CARTESIAN_POINT('Ctrl Pts',(-7.69005976070042,-12.0833791571812, 23.7054416151052)); #487848=CARTESIAN_POINT('Ctrl Pts',(-7.95819832061944,-12.0008835086132, 23.3945097000128)); #487849=CARTESIAN_POINT('Ctrl Pts',(-8.14972249131033,-11.9373583333124, 23.1769223576328)); #487850=CARTESIAN_POINT('Ctrl Pts',(-8.30904647149769,-11.8802040347538, 22.9992524443306)); #487851=CARTESIAN_POINT('',(-17.7387095140031,-14.6402930715919,14.9380251361756)); #487852=CARTESIAN_POINT('Ctrl Pts',(-6.01510375444494,-22.1995163644826, 30.0999434970236)); #487853=CARTESIAN_POINT('Ctrl Pts',(-6.09339197045938,-22.1783036617237, 29.9888140818848)); #487854=CARTESIAN_POINT('Ctrl Pts',(-6.1725139541862,-22.1564228117882, 29.8762335608016)); #487855=CARTESIAN_POINT('Ctrl Pts',(-6.34859570043424,-22.1066775939608, 29.6426074208308)); #487856=CARTESIAN_POINT('Ctrl Pts',(-6.42966710570794,-22.0831832993015, 29.5456300062383)); #487857=CARTESIAN_POINT('Ctrl Pts',(-6.70701047446154,-22.0012910326306, 29.2533699494732)); #487858=CARTESIAN_POINT('Ctrl Pts',(-6.89737942312918,-21.9427902567925, 29.1158476776372)); #487859=CARTESIAN_POINT('Ctrl Pts',(-7.21965525010121,-21.8377073513867, 28.8902150525428)); #487860=CARTESIAN_POINT('Ctrl Pts',(-7.33462382492578,-21.8004515554279, 28.8115699886557)); #487861=CARTESIAN_POINT('Ctrl Pts',(-7.90741929422523,-21.6011316235721, 28.3916884332451)); #487862=CARTESIAN_POINT('Ctrl Pts',(-8.62806463526553,-21.344828422573, 27.8850788675454)); #487863=CARTESIAN_POINT('Ctrl Pts',(-9.83397003803438,-20.7938721396592, 27.035443632735)); #487864=CARTESIAN_POINT('Ctrl Pts',(-10.1056066155409,-20.6640507181401, 26.8411639259696)); #487865=CARTESIAN_POINT('Ctrl Pts',(-10.7935234715855,-20.3143592169925, 26.3091658837767)); #487866=CARTESIAN_POINT('Ctrl Pts',(-11.1330215203898,-20.1303330317832, 26.0269135004234)); #487867=CARTESIAN_POINT('Ctrl Pts',(-11.6944592857752,-19.8063815082226, 25.5207170106891)); #487868=CARTESIAN_POINT('Ctrl Pts',(-11.8899562365079,-19.6895908913966, 25.3373496155214)); #487869=CARTESIAN_POINT('Ctrl Pts',(-12.422839988014,-19.3606119975849, 24.8259258417539)); #487870=CARTESIAN_POINT('Ctrl Pts',(-12.6919892935796,-19.1842099315319, 24.5521504332073)); #487871=CARTESIAN_POINT('Ctrl Pts',(-13.2492168844568,-18.8033432297403, 23.9219399119346)); #487872=CARTESIAN_POINT('Ctrl Pts',(-13.5546540628589,-18.5838074184479, 23.5521059065735)); #487873=CARTESIAN_POINT('Ctrl Pts',(-13.9081542902198,-18.3189793383402, 23.1063645212021)); #487874=CARTESIAN_POINT('Ctrl Pts',(-14.0217499455951,-18.2321541790935, 22.9591637087114)); #487875=CARTESIAN_POINT('Ctrl Pts',(-14.3611165262428,-17.9677458011191, 22.5038332971777)); #487876=CARTESIAN_POINT('Ctrl Pts',(-14.5758258102588,-17.7937448929172, 22.1955510079248)); #487877=CARTESIAN_POINT('Ctrl Pts',(-14.9744407152242,-17.4593687701387, 21.5804897238224)); #487878=CARTESIAN_POINT('Ctrl Pts',(-15.1589997666864,-17.2990254315252, 21.2742673437435)); #487879=CARTESIAN_POINT('Ctrl Pts',(-15.4742209683241,-17.0172501211955, 20.7261061089779)); #487880=CARTESIAN_POINT('Ctrl Pts',(-15.616752381037,-16.8863480787067, 20.4672949675874)); #487881=CARTESIAN_POINT('Ctrl Pts',(-15.8513765043401,-16.6659698194144, 20.0235763016321)); #487882=CARTESIAN_POINT('Ctrl Pts',(-15.9503620917022,-16.5712446723539, 19.8289853629444)); #487883=CARTESIAN_POINT('Ctrl Pts',(-16.2238696434144,-16.3047092443603, 19.2601200537562)); #487884=CARTESIAN_POINT('Ctrl Pts',(-16.3996885116167,-16.1276000140992, 18.8629544864441)); #487885=CARTESIAN_POINT('Ctrl Pts',(-16.8096692591613,-15.7025751833359, 17.8949316576961)); #487886=CARTESIAN_POINT('Ctrl Pts',(-16.9942122808856,-15.5015867795894, 17.4119680619695)); #487887=CARTESIAN_POINT('Ctrl Pts',(-17.2997455135718,-15.1571273245058, 16.467615398079)); #487888=CARTESIAN_POINT('Ctrl Pts',(-17.3981819838938,-15.0439610089409, 16.1464448243184)); #487889=CARTESIAN_POINT('Ctrl Pts',(-17.5880863626891,-14.8217684419417, 15.4963554787173)); #487890=CARTESIAN_POINT('Ctrl Pts',(-17.6652306645207,-14.7293228292389, 15.2101584988778)); #487891=CARTESIAN_POINT('Ctrl Pts',(-17.738709560129,-14.6402931372992, 14.9380251271336)); #487892=CARTESIAN_POINT('',(-13.5992229874657,-6.32938652700962,10.9767683571962)); #487893=CARTESIAN_POINT('',(-13.59922298205,-6.329386518857,10.97676835736)); #487894=CARTESIAN_POINT('',(-13.5960045159221,-6.33629712093154,10.9999999996048)); #487895=CARTESIAN_POINT('Ctrl Pts',(-13.5960045157459,-6.33629712144373, 10.9999999991667)); #487896=CARTESIAN_POINT('Ctrl Pts',(-13.5965411515017,-6.33514564416621, 10.9961280388233)); #487897=CARTESIAN_POINT('Ctrl Pts',(-13.5970776660253,-6.33399404497278, 10.9922560488011)); #487898=CARTESIAN_POINT('Ctrl Pts',(-13.5981504808081,-6.33169054194495, 10.9845121400395)); #487899=CARTESIAN_POINT('Ctrl Pts',(-13.5986867777143,-6.33053864532116, 10.9806402455254)); #487900=CARTESIAN_POINT('Ctrl Pts',(-13.5992229950895,-6.32938653687957, 10.9767683538044)); #487901=CARTESIAN_POINT('',(-11.321320928744,-9.84010671241222,18.8974410032817)); #487902=CARTESIAN_POINT('Ctrl Pts',(-13.5960045156372,-6.33629712140876, 10.9999999994233)); #487903=CARTESIAN_POINT('Ctrl Pts',(-13.5680213001598,-6.39634164216006, 11.2019058535198)); #487904=CARTESIAN_POINT('Ctrl Pts',(-13.5343212394598,-6.46817922848083, 11.446636186649)); #487905=CARTESIAN_POINT('Ctrl Pts',(-13.4387832832608,-6.66393622034495, 12.0332621321451)); #487906=CARTESIAN_POINT('Ctrl Pts',(-13.3960701112025,-6.74951090878097, 12.2721514962376)); #487907=CARTESIAN_POINT('Ctrl Pts',(-13.3189357104718,-6.9000242978311, 12.6795819898013)); #487908=CARTESIAN_POINT('Ctrl Pts',(-13.2880906260301,-6.95925476939447, 12.8369586797785)); #487909=CARTESIAN_POINT('Ctrl Pts',(-13.2246835916033,-7.07900734920397, 13.1557804104706)); #487910=CARTESIAN_POINT('Ctrl Pts',(-13.1767305787026,-7.16821516104879, 13.396071439125)); #487911=CARTESIAN_POINT('Ctrl Pts',(-13.0668430899672,-7.36711627369086, 13.8943545913481)); #487912=CARTESIAN_POINT('Ctrl Pts',(-13.0038460733616,-7.4775615802247, 14.1479510046671)); #487913=CARTESIAN_POINT('Ctrl Pts',(-12.9017855356126,-7.65186066168431, 14.5537999895112)); #487914=CARTESIAN_POINT('Ctrl Pts',(-12.8690300012011,-7.70665342609927, 14.6834928437371)); #487915=CARTESIAN_POINT('Ctrl Pts',(-12.7895384153022,-7.83806527445833, 14.9843558419345)); #487916=CARTESIAN_POINT('Ctrl Pts',(-12.7443042323461,-7.9113414393608, 15.1438503060821)); #487917=CARTESIAN_POINT('Ctrl Pts',(-12.6156336238183,-8.11612952601577, 15.5812563207034)); #487918=CARTESIAN_POINT('Ctrl Pts',(-12.4771477113581,-8.32805991079742, 16.0257793399919)); #487919=CARTESIAN_POINT('Ctrl Pts',(-12.283100222923,-8.6109887609178,16.6039097592592)); #487920=CARTESIAN_POINT('Ctrl Pts',(-12.1990738566151,-8.72946376272016, 16.8346674729892)); #487921=CARTESIAN_POINT('Ctrl Pts',(-12.0575026373571,-8.92332451015337, 17.2087890793209)); #487922=CARTESIAN_POINT('Ctrl Pts',(-11.9844393701238,-9.02134287187129, 17.3979424200006)); #487923=CARTESIAN_POINT('Ctrl Pts',(-11.733521061332,-9.34978149389674, 18.0269709089995)); #487924=CARTESIAN_POINT('Ctrl Pts',(-11.5676423860827,-9.55670652092188, 18.402250496135)); #487925=CARTESIAN_POINT('Ctrl Pts',(-11.3213207612605,-9.84010651470049, 18.8974410331221)); #487926=CARTESIAN_POINT('Ctrl Pts',(-8.317760241983,-11.8770730635527,22.9895414557418)); #487927=CARTESIAN_POINT('Ctrl Pts',(-8.46130059061012,-11.8254128009276, 22.8296763811462)); #487928=CARTESIAN_POINT('Ctrl Pts',(-8.58298436174066,-11.7777786826997, 22.6997916162818)); #487929=CARTESIAN_POINT('Ctrl Pts',(-8.85846201534891,-11.6583683270533, 22.4078760428806)); #487930=CARTESIAN_POINT('Ctrl Pts',(-8.99088467660152,-11.5956439014215, 22.2671234963833)); #487931=CARTESIAN_POINT('Ctrl Pts',(-9.38195972888504,-11.397948967236, 21.8330419769699)); #487932=CARTESIAN_POINT('Ctrl Pts',(-9.64051937067331,-11.2502616416507, 21.5305780230494)); #487933=CARTESIAN_POINT('Ctrl Pts',(-10.5190740927244,-10.6756909089776, 20.3957208062114)); #487934=CARTESIAN_POINT('Ctrl Pts',(-10.9938911493233,-10.2168241218039, 19.5556868052271)); #487935=CARTESIAN_POINT('Ctrl Pts',(-11.321321023972,-9.84010679804752, 18.8974410016412)); #487936=CARTESIAN_POINT('Origin',(0.,0.,11.)); #487937=CARTESIAN_POINT('',(6.61766066998054,-12.8989337273194,22.9999797930272)); #487938=CARTESIAN_POINT('Origin',(-3.21964677141295E-14,3.70370401014952E-12, 22.9999999999)); #487939=CARTESIAN_POINT('',(6.610922541421,-12.90525874019,22.98954145575)); #487940=CARTESIAN_POINT('Origin',(0.,3.615300433935E-12,22.98954145565)); #487941=CARTESIAN_POINT('Ctrl Pts',(6.61898155339159,-12.9011272064057, 22.999170359433)); #487942=CARTESIAN_POINT('Ctrl Pts',(6.61774467992486,-12.9017617899215, 22.9976931798509)); #487943=CARTESIAN_POINT('Ctrl Pts',(6.61650789365368,-12.9023961040057, 22.9962158100935)); #487944=CARTESIAN_POINT('Ctrl Pts',(6.61382152552039,-12.9037733815544, 22.9930062463401)); #487945=CARTESIAN_POINT('Ctrl Pts',(6.61237197297846,-12.9045162459938, 22.991273978014)); #487946=CARTESIAN_POINT('Ctrl Pts',(6.61092254142217,-12.9052587401926, 22.9895414557501)); #487947=CARTESIAN_POINT('Ctrl Pts',(0.,14.52066050144,22.90705281005)); #487948=CARTESIAN_POINT('Ctrl Pts',(-14.520660501437,14.52066050144,22.90705281005)); #487949=CARTESIAN_POINT('Ctrl Pts',(-14.520660501437,3.00611558851402E-12, 22.9070528101572)); #487950=CARTESIAN_POINT('Ctrl Pts',(-14.520660501437,-14.520660501434,22.9070528102645)); #487951=CARTESIAN_POINT('Ctrl Pts',(0.,-14.520660501434,22.9070528102645)); #487952=CARTESIAN_POINT('Ctrl Pts',(14.520660501437,-14.520660501434,22.9070528102645)); #487953=CARTESIAN_POINT('Ctrl Pts',(14.520660501437,3.00611558851402E-12, 22.9070528101572)); #487954=CARTESIAN_POINT('Ctrl Pts',(14.520660501437,14.52066050144,22.90705281005)); #487955=CARTESIAN_POINT('Ctrl Pts',(0.,14.52066050144,22.90705281005)); #487956=CARTESIAN_POINT('Ctrl Pts',(0.,14.51292846222,22.93791465596)); #487957=CARTESIAN_POINT('Ctrl Pts',(-14.5129284622168,14.51292846222,22.93791465596)); #487958=CARTESIAN_POINT('Ctrl Pts',(-14.5129284622168,3.23403263961198E-12, 22.9379146560672)); #487959=CARTESIAN_POINT('Ctrl Pts',(-14.5129284622168,-14.5129284622135, 22.9379146561744)); #487960=CARTESIAN_POINT('Ctrl Pts',(0.,-14.5129284622135,22.9379146561744)); #487961=CARTESIAN_POINT('Ctrl Pts',(14.5129284622168,-14.5129284622135, 22.9379146561744)); #487962=CARTESIAN_POINT('Ctrl Pts',(14.5129284622168,3.23403263961198E-12, 22.9379146560672)); #487963=CARTESIAN_POINT('Ctrl Pts',(14.5129284622168,14.51292846222,22.93791465596)); #487964=CARTESIAN_POINT('Ctrl Pts',(0.,14.51292846222,22.93791465596)); #487965=CARTESIAN_POINT('Ctrl Pts',(0.,14.49137592826,23.02395934956)); #487966=CARTESIAN_POINT('Ctrl Pts',(-14.4913759282561,14.49137592826,23.02395934956)); #487967=CARTESIAN_POINT('Ctrl Pts',(-14.4913759282561,3.869479167507E-12, 23.023959349667)); #487968=CARTESIAN_POINT('Ctrl Pts',(-14.4913759282561,-14.4913759282523, 23.023959349774)); #487969=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4913759282523,23.023959349774)); #487970=CARTESIAN_POINT('Ctrl Pts',(14.4913759282561,-14.4913759282523, 23.023959349774)); #487971=CARTESIAN_POINT('Ctrl Pts',(14.4913759282561,3.869479167507E-12, 23.023959349667)); #487972=CARTESIAN_POINT('Ctrl Pts',(14.4913759282561,14.49137592826,23.02395934956)); #487973=CARTESIAN_POINT('Ctrl Pts',(0.,14.49137592826,23.02395934956)); #487974=CARTESIAN_POINT('Ctrl Pts',(0.,14.45598854162,23.16545796796)); #487975=CARTESIAN_POINT('Ctrl Pts',(-14.4559885416151,14.45598854162,23.16545796796)); #487976=CARTESIAN_POINT('Ctrl Pts',(-14.4559885416151,4.91445709702598E-12, 23.1654579680668)); #487977=CARTESIAN_POINT('Ctrl Pts',(-14.4559885416151,-14.4559885416102, 23.1654579681735)); #487978=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4559885416102,23.1654579681735)); #487979=CARTESIAN_POINT('Ctrl Pts',(14.4559885416151,-14.4559885416102, 23.1654579681735)); #487980=CARTESIAN_POINT('Ctrl Pts',(14.4559885416151,4.91445709702598E-12, 23.1654579680668)); #487981=CARTESIAN_POINT('Ctrl Pts',(14.4559885416151,14.45598854162,23.16545796796)); #487982=CARTESIAN_POINT('Ctrl Pts',(0.,14.45598854162,23.16545796796)); #487983=CARTESIAN_POINT('Ctrl Pts',(0.,14.41356770364,23.33578736769)); #487984=CARTESIAN_POINT('Ctrl Pts',(-14.4135677036338,14.41356770364,23.33578736769)); #487985=CARTESIAN_POINT('Ctrl Pts',(-14.4135677036338,6.17235251309931E-12, 23.3357873677964)); #487986=CARTESIAN_POINT('Ctrl Pts',(-14.4135677036338,-14.4135677036277, 23.3357873679029)); #487987=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4135677036277,23.3357873679029)); #487988=CARTESIAN_POINT('Ctrl Pts',(14.4135677036338,-14.4135677036277, 23.3357873679029)); #487989=CARTESIAN_POINT('Ctrl Pts',(14.4135677036338,6.17235251309931E-12, 23.3357873677964)); #487990=CARTESIAN_POINT('Ctrl Pts',(14.4135677036338,14.41356770364,23.33578736769)); #487991=CARTESIAN_POINT('Ctrl Pts',(0.,14.41356770364,23.33578736769)); #487992=CARTESIAN_POINT('Ctrl Pts',(0.,14.37011479721,23.51131634965)); #487993=CARTESIAN_POINT('Ctrl Pts',(-14.3701147972025,14.37011479721,23.51131634965)); #487994=CARTESIAN_POINT('Ctrl Pts',(-14.3701147972025,7.46864723465352E-12, 23.5113163497561)); #487995=CARTESIAN_POINT('Ctrl Pts',(-14.3701147972025,-14.3701147971951, 23.5113163498622)); #487996=CARTESIAN_POINT('Ctrl Pts',(0.,-14.3701147971951,23.5113163498622)); #487997=CARTESIAN_POINT('Ctrl Pts',(14.3701147972025,-14.3701147971951, 23.5113163498622)); #487998=CARTESIAN_POINT('Ctrl Pts',(14.3701147972025,7.46864723465352E-12, 23.5113163497561)); #487999=CARTESIAN_POINT('Ctrl Pts',(14.3701147972025,14.37011479721,23.51131634965)); #488000=CARTESIAN_POINT('Ctrl Pts',(0.,14.37011479721,23.51131634965)); #488001=CARTESIAN_POINT('Ctrl Pts',(0.,14.32719551245,23.68632580916)); #488002=CARTESIAN_POINT('Ctrl Pts',(-14.3271955124412,14.32719551245,23.68632580916)); #488003=CARTESIAN_POINT('Ctrl Pts',(-14.3271955124412,8.76110524387607E-12, 23.6863258092658)); #488004=CARTESIAN_POINT('Ctrl Pts',(-14.3271955124412,-14.3271955124325, 23.6863258093716)); #488005=CARTESIAN_POINT('Ctrl Pts',(0.,-14.3271955124325,23.6863258093716)); #488006=CARTESIAN_POINT('Ctrl Pts',(14.3271955124412,-14.3271955124325, 23.6863258093716)); #488007=CARTESIAN_POINT('Ctrl Pts',(14.3271955124412,8.76110524387607E-12, 23.6863258092658)); #488008=CARTESIAN_POINT('Ctrl Pts',(14.3271955124412,14.32719551245,23.68632580916)); #488009=CARTESIAN_POINT('Ctrl Pts',(0.,14.32719551245,23.68632580916)); #488010=CARTESIAN_POINT('Ctrl Pts',(0.,14.28769677143,23.85162145032)); #488011=CARTESIAN_POINT('Ctrl Pts',(-14.28769677142,14.28769677143,23.85162145032)); #488012=CARTESIAN_POINT('Ctrl Pts',(-14.28769677142,9.98182597465814E-12, 23.8516214504255)); #488013=CARTESIAN_POINT('Ctrl Pts',(-14.28769677142,-14.28769677141,23.851621450531)); #488014=CARTESIAN_POINT('Ctrl Pts',(0.,-14.28769677141,23.851621450531)); #488015=CARTESIAN_POINT('Ctrl Pts',(14.28769677142,-14.28769677141,23.851621450531)); #488016=CARTESIAN_POINT('Ctrl Pts',(14.28769677142,9.98182597465814E-12, 23.8516214504255)); #488017=CARTESIAN_POINT('Ctrl Pts',(14.28769677142,14.28769677143,23.85162145032)); #488018=CARTESIAN_POINT('Ctrl Pts',(0.,14.28769677143,23.85162145032)); #488019=CARTESIAN_POINT('Ctrl Pts',(0.,14.25324025792,24.00247976249)); #488020=CARTESIAN_POINT('Ctrl Pts',(-14.2532402579089,14.25324025792,24.00247976249)); #488021=CARTESIAN_POINT('Ctrl Pts',(-14.2532402579089,1.10959259459845E-11, 24.0024797625953)); #488022=CARTESIAN_POINT('Ctrl Pts',(-14.2532402579089,-14.2532402578978, 24.0024797627005)); #488023=CARTESIAN_POINT('Ctrl Pts',(0.,-14.2532402578978,24.0024797627005)); #488024=CARTESIAN_POINT('Ctrl Pts',(14.2532402579089,-14.2532402578978, 24.0024797627005)); #488025=CARTESIAN_POINT('Ctrl Pts',(14.2532402579089,1.10959259459845E-11, 24.0024797625953)); #488026=CARTESIAN_POINT('Ctrl Pts',(14.2532402579089,14.25324025792,24.00247976249)); #488027=CARTESIAN_POINT('Ctrl Pts',(0.,14.25324025792,24.00247976249)); #488028=CARTESIAN_POINT('Ctrl Pts',(0.,14.2203292671043,24.1552846688809)); #488029=CARTESIAN_POINT('Ctrl Pts',(-14.2203292670921,14.2203292671043, 24.1552846688809)); #488030=CARTESIAN_POINT('Ctrl Pts',(-14.2203292670921,1.2224401661905E-11, 24.1552846689859)); #488031=CARTESIAN_POINT('Ctrl Pts',(-14.2203292670921,-14.2203292670798, 24.1552846690909)); #488032=CARTESIAN_POINT('Ctrl Pts',(0.,-14.2203292670798,24.1552846690909)); #488033=CARTESIAN_POINT('Ctrl Pts',(14.2203292670921,-14.2203292670798, 24.1552846690909)); #488034=CARTESIAN_POINT('Ctrl Pts',(14.2203292670921,1.2224401661905E-11, 24.1552846689859)); #488035=CARTESIAN_POINT('Ctrl Pts',(14.2203292670921,14.2203292671043,24.1552846688809)); #488036=CARTESIAN_POINT('Ctrl Pts',(0.,14.2203292671043,24.1552846688809)); #488037=CARTESIAN_POINT('Ctrl Pts',(0.,14.1997785199756,24.2583198561573)); #488038=CARTESIAN_POINT('Ctrl Pts',(-14.1997785199626,14.1997785199756, 24.2583198561573)); #488039=CARTESIAN_POINT('Ctrl Pts',(-14.1997785199626,1.29853242623178E-11, 24.2583198562621)); #488040=CARTESIAN_POINT('Ctrl Pts',(-14.1997785199626,-14.1997785199496, 24.258319856367)); #488041=CARTESIAN_POINT('Ctrl Pts',(0.,-14.1997785199496,24.258319856367)); #488042=CARTESIAN_POINT('Ctrl Pts',(14.1997785199626,-14.1997785199496, 24.258319856367)); #488043=CARTESIAN_POINT('Ctrl Pts',(14.1997785199626,1.29853242623178E-11, 24.2583198562621)); #488044=CARTESIAN_POINT('Ctrl Pts',(14.1997785199626,14.1997785199756,24.2583198561573)); #488045=CARTESIAN_POINT('Ctrl Pts',(0.,14.1997785199756,24.2583198561573)); #488046=CARTESIAN_POINT('Ctrl Pts',(0.,14.18884336193,24.31536690007)); #488047=CARTESIAN_POINT('Ctrl Pts',(-14.1888433619166,14.18884336193,24.31536690007)); #488048=CARTESIAN_POINT('Ctrl Pts',(-14.1888433619166,1.34066209683013E-11, 24.3153669001748)); #488049=CARTESIAN_POINT('Ctrl Pts',(-14.1888433619166,-14.1888433619032, 24.3153669002796)); #488050=CARTESIAN_POINT('Ctrl Pts',(0.,-14.1888433619032,24.3153669002796)); #488051=CARTESIAN_POINT('Ctrl Pts',(14.1888433619166,-14.1888433619032, 24.3153669002796)); #488052=CARTESIAN_POINT('Ctrl Pts',(14.1888433619166,1.34066209683013E-11, 24.3153669001748)); #488053=CARTESIAN_POINT('Ctrl Pts',(14.1888433619166,14.18884336193,24.31536690007)); #488054=CARTESIAN_POINT('Ctrl Pts',(0.,14.18884336193,24.31536690007)); #488055=CARTESIAN_POINT('Ctrl Pts',(6.61770157911125,-12.8990741349855, 22.9991716368852)); #488056=CARTESIAN_POINT('Ctrl Pts',(6.78191671119932,-12.7492289261017, 23.232308864452)); #488057=CARTESIAN_POINT('Ctrl Pts',(6.93678371735264,-12.6061985301785, 23.4412960738809)); #488058=CARTESIAN_POINT('Ctrl Pts',(7.25906313647939,-12.3085269091321, 23.8472958433551)); #488059=CARTESIAN_POINT('Ctrl Pts',(7.42359804115474,-12.1574276839184, 24.0426496676577)); #488060=CARTESIAN_POINT('Ctrl Pts',(7.58706767450669,-12.0117921840911, 24.2213392609567)); #488061=CARTESIAN_POINT('Ctrl Pts',(9.141038617594,-10.00050379194,25.20377703158)); #488062=CARTESIAN_POINT('Ctrl Pts',(12.61786370119,-10.81616703289,26.85480023652)); #488063=CARTESIAN_POINT('Ctrl Pts',(16.09468878479,-11.63183027385,28.50582344145)); #488064=CARTESIAN_POINT('Ctrl Pts',(19.57151386839,-12.4474935148,30.15684664638)); #488065=CARTESIAN_POINT('Ctrl Pts',(9.135752436944,-10.00193546722,25.20174279687)); #488066=CARTESIAN_POINT('Ctrl Pts',(12.60076694163,-10.83833869452,26.85792512005)); #488067=CARTESIAN_POINT('Ctrl Pts',(16.06578144632,-11.67474192182,28.51410744324)); #488068=CARTESIAN_POINT('Ctrl Pts',(19.53079595101,-12.51114514913,30.17028976643)); #488069=CARTESIAN_POINT('Ctrl Pts',(9.110269701813,-10.01051654404,25.19251241392)); #488070=CARTESIAN_POINT('Ctrl Pts',(12.52282680546,-10.93840505473,26.87211690842)); #488071=CARTESIAN_POINT('Ctrl Pts',(15.93538390912,-11.86629356541,28.55172140291)); #488072=CARTESIAN_POINT('Ctrl Pts',(19.34794101277,-12.7941820761,30.2313258974)); #488073=CARTESIAN_POINT('Ctrl Pts',(9.057237860118,-10.04021182104,25.17964329939)); #488074=CARTESIAN_POINT('Ctrl Pts',(12.39309539572,-11.09740004304,26.89216549626)); #488075=CARTESIAN_POINT('Ctrl Pts',(15.72895293132,-12.15458826505,28.60468769312)); #488076=CARTESIAN_POINT('Ctrl Pts',(19.06481046692,-13.21177648706,30.31720988998)); #488077=CARTESIAN_POINT('Ctrl Pts',(8.977378524307,-10.09604020231,25.18547555158)); #488078=CARTESIAN_POINT('Ctrl Pts',(12.23593122438,-11.28030743609,26.88525916328)); #488079=CARTESIAN_POINT('Ctrl Pts',(15.49448392445,-12.46457466988,28.58504277497)); #488080=CARTESIAN_POINT('Ctrl Pts',(18.75303662453,-13.64884190366,30.28482638667)); #488081=CARTESIAN_POINT('Ctrl Pts',(8.903676440883,-10.14582750777,25.20581366432)); #488082=CARTESIAN_POINT('Ctrl Pts',(12.07876710207,-11.458023457,26.84961144061)); #488083=CARTESIAN_POINT('Ctrl Pts',(15.25385776325,-12.77021940623,28.49340921691)); #488084=CARTESIAN_POINT('Ctrl Pts',(18.42894842443,-14.08241535546,30.13720699321)); #488085=CARTESIAN_POINT('Ctrl Pts',(8.837136608187,-10.1896054189,25.2199238304)); #488086=CARTESIAN_POINT('Ctrl Pts',(11.92441999536,-11.62735892521,26.8041977718)); #488087=CARTESIAN_POINT('Ctrl Pts',(15.01170338254,-13.06511243152,28.38847171319)); #488088=CARTESIAN_POINT('Ctrl Pts',(18.09898676972,-14.50286593784,29.97274565459)); #488089=CARTESIAN_POINT('Ctrl Pts',(8.777246178604,-10.22824178671,25.22661826069)); #488090=CARTESIAN_POINT('Ctrl Pts',(11.77336116926,-11.78826867557,26.74897225879)); #488091=CARTESIAN_POINT('Ctrl Pts',(14.76947615991,-13.34829556443,28.2713262569)); #488092=CARTESIAN_POINT('Ctrl Pts',(17.76559115057,-14.90832245329,29.79368025501)); #488093=CARTESIAN_POINT('Ctrl Pts',(8.697386281442,-10.28166893734,25.22640379488)); #488094=CARTESIAN_POINT('Ctrl Pts',(11.57374227476,-11.99407669998,26.65329618379)); #488095=CARTESIAN_POINT('Ctrl Pts',(14.45009826807,-13.70648446262,28.08018857271)); #488096=CARTESIAN_POINT('Ctrl Pts',(17.32645426139,-15.41889222526,29.50708096162)); #488097=CARTESIAN_POINT('Ctrl Pts',(8.59913009609,-10.35001308437,25.19622708446)); #488098=CARTESIAN_POINT('Ctrl Pts',(11.32897517475,-12.234784177,26.50481033762)); #488099=CARTESIAN_POINT('Ctrl Pts',(14.05882025342,-14.11955526962,27.81339359079)); #488100=CARTESIAN_POINT('Ctrl Pts',(16.78866533208,-16.00432636225,29.12197684395)); #488101=CARTESIAN_POINT('Ctrl Pts',(8.468247520446,-10.44694806439,25.12971285835)); #488102=CARTESIAN_POINT('Ctrl Pts',(11.0416538388,-12.500854523,26.2893410374)); #488103=CARTESIAN_POINT('Ctrl Pts',(13.61506015715,-14.55476098161,27.44896921644)); #488104=CARTESIAN_POINT('Ctrl Pts',(16.1884664755,-16.60866744022,28.60859739549)); #488105=CARTESIAN_POINT('Ctrl Pts',(8.253276215726,-10.61112861825,25.0089959613)); #488106=CARTESIAN_POINT('Ctrl Pts',(10.65604760521,-12.83813955089,25.98012940966)); #488107=CARTESIAN_POINT('Ctrl Pts',(13.0588189947,-15.06515048353,26.95126285803)); #488108=CARTESIAN_POINT('Ctrl Pts',(15.46159038418,-17.29216141618,27.9223963064)); #488109=CARTESIAN_POINT('Ctrl Pts',(7.914766335356,-10.86636681247,24.80516140719)); #488110=CARTESIAN_POINT('Ctrl Pts',(10.17012286762,-13.23061322335,25.58647619763)); #488111=CARTESIAN_POINT('Ctrl Pts',(12.42547939988,-15.59485963424,26.36779098806)); #488112=CARTESIAN_POINT('Ctrl Pts',(14.68083593215,-17.95910604512,27.1491057785)); #488113=CARTESIAN_POINT('Ctrl Pts',(7.406478534394,-11.2219474943,24.40237354795)); #488114=CARTESIAN_POINT('Ctrl Pts',(9.584404877601,-13.65989632751,25.04686011879)); #488115=CARTESIAN_POINT('Ctrl Pts',(11.76233122081,-16.09784516072,25.69134668963)); #488116=CARTESIAN_POINT('Ctrl Pts',(13.94025756402,-18.53579399393,26.33583326046)); #488117=CARTESIAN_POINT('Ctrl Pts',(6.810879213877,-11.60007318802,23.82345482857)); #488118=CARTESIAN_POINT('Ctrl Pts',(8.988504399596,-14.05600501966,24.43046003824)); #488119=CARTESIAN_POINT('Ctrl Pts',(11.16612958531,-16.51193685131,25.03746524792)); #488120=CARTESIAN_POINT('Ctrl Pts',(13.34375477103,-18.96786868295,25.6444704576)); #488121=CARTESIAN_POINT('Ctrl Pts',(6.12268991681,-11.9912389802,23.07888342496)); #488122=CARTESIAN_POINT('Ctrl Pts',(8.368260836718,-14.42718273212,23.73158102346)); #488123=CARTESIAN_POINT('Ctrl Pts',(10.61383175662,-16.86312648403,24.38427862197)); #488124=CARTESIAN_POINT('Ctrl Pts',(12.85940267653,-19.29907023595,25.03697622048)); #488125=CARTESIAN_POINT('Ctrl Pts',(5.59065450679,-12.25717338597,22.42994039454)); #488126=CARTESIAN_POINT('Ctrl Pts',(7.918341350668,-14.66662800035,23.17525353114)); #488127=CARTESIAN_POINT('Ctrl Pts',(10.24602819454,-17.07608261472,23.92056666774)); #488128=CARTESIAN_POINT('Ctrl Pts',(12.57371503842,-19.4855372291,24.66587980434)); #488129=CARTESIAN_POINT('Ctrl Pts',(5.292954572079,-12.39389059515,22.0623035501)); #488130=CARTESIAN_POINT('Ctrl Pts',(7.673649637753,-14.78737831832,22.86932127183)); #488131=CARTESIAN_POINT('Ctrl Pts',(10.05434470343,-17.18086604149,23.67633899357)); #488132=CARTESIAN_POINT('Ctrl Pts',(12.4350397691,-19.57435376466,24.4833567153)); #488133=CARTESIAN_POINT('Ctrl Pts',(5.271384568846,-12.40373723315,22.03565008281)); #488134=CARTESIAN_POINT('Ctrl Pts',(7.655954164887,-14.79606321718,22.847184334)); #488135=CARTESIAN_POINT('Ctrl Pts',(10.04052376093,-17.1883892012,23.65871858518)); #488136=CARTESIAN_POINT('Ctrl Pts',(12.42509335697,-19.58071518522,24.47025283636)); #488137=CARTESIAN_POINT('',(6.21074264815165,-13.2575280261931,22.4094355865697)); #488138=CARTESIAN_POINT('Ctrl Pts',(6.21074264533854,-13.2575280270556, 22.4094355889935)); #488139=CARTESIAN_POINT('Ctrl Pts',(6.27842082738233,-13.2014169250719, 22.5079507308178)); #488140=CARTESIAN_POINT('Ctrl Pts',(6.34378859123246,-13.144979161171,22.6035649309794)); #488141=CARTESIAN_POINT('Ctrl Pts',(6.4764343097295,-13.0275574081658,22.7966288305778)); #488142=CARTESIAN_POINT('Ctrl Pts',(6.54357800419991,-12.9666856002574, 22.8938143750843)); #488143=CARTESIAN_POINT('Ctrl Pts',(6.61092254142383,-12.9052587401942, 22.9895414557507)); #488144=CARTESIAN_POINT('',(12.3181436727379,-19.4232679131553,24.4636599145975)); #488145=CARTESIAN_POINT('',(12.31814126776,-19.42326548156,24.46365910622)); #488146=CARTESIAN_POINT('',(19.2542254147025,-12.5807314454076,30.0999434963448)); #488147=CARTESIAN_POINT('Ctrl Pts',(12.318143672764,-19.4232679139517,24.4636599149627)); #488148=CARTESIAN_POINT('Ctrl Pts',(12.5526658551229,-19.2745350668559, 24.7712625657598)); #488149=CARTESIAN_POINT('Ctrl Pts',(12.7940359203629,-19.115308504533,25.0814454666677)); #488150=CARTESIAN_POINT('Ctrl Pts',(13.2701847998671,-18.7879421841159, 25.6680554561411)); #488151=CARTESIAN_POINT('Ctrl Pts',(13.5985268028094,-18.5557040369549, 26.0540646965874)); #488152=CARTESIAN_POINT('Ctrl Pts',(14.2644567934176,-18.0458091248498, 26.7914586461937)); #488153=CARTESIAN_POINT('Ctrl Pts',(14.5368665822621,-17.8275699842046, 27.0795455013375)); #488154=CARTESIAN_POINT('Ctrl Pts',(15.0182178997366,-17.4217259040164, 27.5643386804298)); #488155=CARTESIAN_POINT('Ctrl Pts',(15.2118963216532,-17.2529771132016, 27.7538726156783)); #488156=CARTESIAN_POINT('Ctrl Pts',(15.8990975890745,-16.6331891953719, 28.4146745258845)); #488157=CARTESIAN_POINT('Ctrl Pts',(16.259545509066,-16.2731787691913,28.735911138451)); #488158=CARTESIAN_POINT('Ctrl Pts',(16.7806544192751,-15.7324351913815, 29.1522332009743)); #488159=CARTESIAN_POINT('Ctrl Pts',(16.9981456672865,-15.497206162699,29.3091803468815)); #488160=CARTESIAN_POINT('Ctrl Pts',(17.4324776004671,-15.0074266694767, 29.6044209983183)); #488161=CARTESIAN_POINT('Ctrl Pts',(17.5871050303969,-14.8233267163555, 29.6984897053188)); #488162=CARTESIAN_POINT('Ctrl Pts',(17.8344325042811,-14.5248364442949, 29.8359855735591)); #488163=CARTESIAN_POINT('Ctrl Pts',(17.9702965771739,-14.3563722725272, 29.9054139757027)); #488164=CARTESIAN_POINT('Ctrl Pts',(18.1753072307585,-14.0953078357724, 30.0067891188966)); #488165=CARTESIAN_POINT('Ctrl Pts',(18.2827540540373,-13.9557055880091, 30.0589985976829)); #488166=CARTESIAN_POINT('Ctrl Pts',(18.5157007314412,-13.6465305652177, 30.1603545953897)); #488167=CARTESIAN_POINT('Ctrl Pts',(18.6418412960145,-13.4736940042158, 30.1990639511318)); #488168=CARTESIAN_POINT('Ctrl Pts',(18.8583017790833,-13.1674630677818, 30.2008540822498)); #488169=CARTESIAN_POINT('Ctrl Pts',(18.9304879854307,-13.0634343332747, 30.1898591405243)); #488170=CARTESIAN_POINT('Ctrl Pts',(19.0864545226572,-12.8346818805681, 30.1537863585074)); #488171=CARTESIAN_POINT('Ctrl Pts',(19.1737958552669,-12.7038251513065, 30.1261624591268)); #488172=CARTESIAN_POINT('Ctrl Pts',(19.254225414229,-12.5807314452721,30.099943495529)); #488173=CARTESIAN_POINT('Ctrl Pts',(9.32316889569264,-10.0565015902663, 25.2939687836626)); #488174=CARTESIAN_POINT('Ctrl Pts',(10.2532725271628,-10.2929143414759, 25.7440768344584)); #488175=CARTESIAN_POINT('Ctrl Pts',(11.9380056925859,-10.7211363083876, 26.5593793998835)); #488176=CARTESIAN_POINT('Ctrl Pts',(15.9438761790208,-11.7393293068579, 28.4979561157504)); #488177=CARTESIAN_POINT('Ctrl Pts',(17.5990504517731,-12.1600312214768, 29.298950075147)); #488178=CARTESIAN_POINT('Ctrl Pts',(19.2542254146097,-12.5807314462306, 30.0999434961043)); #488179=CARTESIAN_POINT('Ctrl Pts',(9.158314212833,-9.977665819705,25.3659320866)); #488180=CARTESIAN_POINT('Ctrl Pts',(12.61297740721,-10.82677574486,27.03285880589)); #488181=CARTESIAN_POINT('Ctrl Pts',(16.06764060159,-11.67588567001,28.69978552519)); #488182=CARTESIAN_POINT('Ctrl Pts',(19.52230379598,-12.52499559516,30.36671224449)); #488183=CARTESIAN_POINT('Ctrl Pts',(9.146520289147,-9.987666425366,25.30838012227)); #488184=CARTESIAN_POINT('Ctrl Pts',(12.597418674,-10.84540403992,26.97560546629)); #488185=CARTESIAN_POINT('Ctrl Pts',(16.04831705885,-11.70314165448,28.64283081031)); #488186=CARTESIAN_POINT('Ctrl Pts',(19.4992154437,-12.56087926903,30.31005615433)); #488187=CARTESIAN_POINT('Ctrl Pts',(9.121676649519,-10.00848294308,25.18351176583)); #488188=CARTESIAN_POINT('Ctrl Pts',(12.56385413667,-10.88556578962,26.85001910114)); #488189=CARTESIAN_POINT('Ctrl Pts',(16.00603162383,-11.76264863615,28.51652643646)); #488190=CARTESIAN_POINT('Ctrl Pts',(19.44820911098,-12.63973148269,30.18303377177)); #488191=CARTESIAN_POINT('Ctrl Pts',(9.072214389272,-10.04914912968,24.93450672284)); #488192=CARTESIAN_POINT('Ctrl Pts',(12.494840343,-10.96767181878,26.59499458908)); #488193=CARTESIAN_POINT('Ctrl Pts',(15.91746629673,-11.88619450788,28.25548245532)); #488194=CARTESIAN_POINT('Ctrl Pts',(19.34009225045,-12.80471719697,29.91597032157)); #488195=CARTESIAN_POINT('Ctrl Pts',(9.009044673455,-10.10076243443,24.6585747253)); #488196=CARTESIAN_POINT('Ctrl Pts',(12.40686101795,-11.07060981675,26.30797212267)); #488197=CARTESIAN_POINT('Ctrl Pts',(15.80467736244,-12.04045719907,27.95736952003)); #488198=CARTESIAN_POINT('Ctrl Pts',(19.20249370693,-13.01030458138,29.6067669174)); #488199=CARTESIAN_POINT('Ctrl Pts',(8.924407187194,-10.16916457901,24.39404954652)); #488200=CARTESIAN_POINT('Ctrl Pts',(12.28853771228,-11.20600830356,26.03413741005)); #488201=CARTESIAN_POINT('Ctrl Pts',(15.65266823737,-12.2428520281,27.67422527358)); #488202=CARTESIAN_POINT('Ctrl Pts',(19.01679876246,-13.27969575265,29.3143131371)); #488203=CARTESIAN_POINT('Ctrl Pts',(8.823228483885,-10.25284977015,24.17353821874)); #488204=CARTESIAN_POINT('Ctrl Pts',(12.15868942542,-11.3497608902,25.81388332817)); #488205=CARTESIAN_POINT('Ctrl Pts',(15.49415036695,-12.44667201026,27.45422843759)); #488206=CARTESIAN_POINT('Ctrl Pts',(18.82961130848,-13.54358313031,29.09457354702)); #488207=CARTESIAN_POINT('Ctrl Pts',(8.695440757747,-10.35977512701,23.95453990275)); #488208=CARTESIAN_POINT('Ctrl Pts',(12.00915729634,-11.50912081912,25.5971996268)); #488209=CARTESIAN_POINT('Ctrl Pts',(15.32287383492,-12.65846651123,27.23985935085)); #488210=CARTESIAN_POINT('Ctrl Pts',(18.63659037351,-13.80781220334,28.88251907491)); #488211=CARTESIAN_POINT('Ctrl Pts',(8.555211485742,-10.47551127853,23.73465620737)); #488212=CARTESIAN_POINT('Ctrl Pts',(11.8512211234,-11.67199568755,25.37636667817)); #488213=CARTESIAN_POINT('Ctrl Pts',(15.14723076106,-12.86848009658,27.01807714898)); #488214=CARTESIAN_POINT('Ctrl Pts',(18.44324039872,-14.0649645056,28.65978761978)); #488215=CARTESIAN_POINT('Ctrl Pts',(8.405343830826,-10.59654241541,23.49793256115)); #488216=CARTESIAN_POINT('Ctrl Pts',(11.6855587655,-11.83769416436,25.1457803966)); #488217=CARTESIAN_POINT('Ctrl Pts',(14.96577370017,-13.0788459133,26.79362823206)); #488218=CARTESIAN_POINT('Ctrl Pts',(18.24598863484,-14.31999766225,28.44147606751)); #488219=CARTESIAN_POINT('Ctrl Pts',(8.245481829506,-10.72203438534,23.24924051443)); #488220=CARTESIAN_POINT('Ctrl Pts',(11.50942226257,-12.00875265067,24.90884477056)); #488221=CARTESIAN_POINT('Ctrl Pts',(14.77336269564,-13.295470916,26.56844902669)); #488222=CARTESIAN_POINT('Ctrl Pts',(18.0373031287,-14.58218918133,28.22805328282)); #488223=CARTESIAN_POINT('Ctrl Pts',(8.075859945463,-10.85043178971,22.99259380246)); #488224=CARTESIAN_POINT('Ctrl Pts',(11.31920355187,-12.18833585742,24.66146644825)); #488225=CARTESIAN_POINT('Ctrl Pts',(14.56254715828,-13.52623992512,26.33033909404)); #488226=CARTESIAN_POINT('Ctrl Pts',(17.80589076469,-14.86414399283,27.99921173983)); #488227=CARTESIAN_POINT('Ctrl Pts',(7.897281520283,-10.97997946217,22.73110857456)); #488228=CARTESIAN_POINT('Ctrl Pts',(11.11258131566,-12.3778940937,24.39746174522)); #488229=CARTESIAN_POINT('Ctrl Pts',(14.32788111104,-13.77580872524,26.06381491587)); #488230=CARTESIAN_POINT('Ctrl Pts',(17.54318090642,-15.17372335677,27.73016808652)); #488231=CARTESIAN_POINT('Ctrl Pts',(7.713083682057,-11.1065385291,22.46346017101)); #488232=CARTESIAN_POINT('Ctrl Pts',(10.88542765255,-12.58060984192,24.11609597664)); #488233=CARTESIAN_POINT('Ctrl Pts',(14.05777162304,-14.05468115473,25.76873178228)); #488234=CARTESIAN_POINT('Ctrl Pts',(17.23011559354,-15.52875246755,27.42136758791)); #488235=CARTESIAN_POINT('Ctrl Pts',(7.458358382868,-11.27108380414,22.10551756897)); #488236=CARTESIAN_POINT('Ctrl Pts',(10.54516011634,-12.87534364679,23.71926674272)); #488237=CARTESIAN_POINT('Ctrl Pts',(13.63196184981,-14.47960348945,25.33301591647)); #488238=CARTESIAN_POINT('Ctrl Pts',(16.71876358328,-16.08386333211,26.94676509021)); #488239=CARTESIAN_POINT('Ctrl Pts',(7.114723423042,-11.4774751602,21.66958447725)); #488240=CARTESIAN_POINT('Ctrl Pts',(10.04896717387,-13.27977669168,23.16911399604)); #488241=CARTESIAN_POINT('Ctrl Pts',(12.9832109247,-15.08207822315,24.66864351484)); #488242=CARTESIAN_POINT('Ctrl Pts',(15.91745467552,-16.88437975463,26.16817303363)); #488243=CARTESIAN_POINT('Ctrl Pts',(6.711882323439,-11.7103323724,21.1580458185)); #488244=CARTESIAN_POINT('Ctrl Pts',(9.530049975319,-13.65800999178,22.56098997035)); #488245=CARTESIAN_POINT('Ctrl Pts',(12.3482176272,-15.60568761117,23.9639341222)); #488246=CARTESIAN_POINT('Ctrl Pts',(15.16638527908,-17.55336523056,25.36687827404)); #488247=CARTESIAN_POINT('Ctrl Pts',(6.357313335184,-11.90125587192,20.70453717589)); #488248=CARTESIAN_POINT('Ctrl Pts',(9.084323636001,-13.95827143486,22.03483246108)); #488249=CARTESIAN_POINT('Ctrl Pts',(11.81133393682,-16.01528699779,23.36512774626)); #488250=CARTESIAN_POINT('Ctrl Pts',(14.53834423764,-18.07230256073,24.69542303144)); #488251=CARTESIAN_POINT('Ctrl Pts',(6.074942493476,-12.04702998168,20.33534974007)); #488252=CARTESIAN_POINT('Ctrl Pts',(8.744037707862,-14.17451774683,21.61090930123)); #488253=CARTESIAN_POINT('Ctrl Pts',(11.41313292225,-16.30200551198,22.88646886239)); #488254=CARTESIAN_POINT('Ctrl Pts',(14.08222813663,-18.42949327713,24.16202842354)); #488255=CARTESIAN_POINT('Ctrl Pts',(5.773317167284,-12.19500695981,19.93750829347)); #488256=CARTESIAN_POINT('Ctrl Pts',(8.392482364931,-14.38525814754,21.16308274629)); #488257=CARTESIAN_POINT('Ctrl Pts',(11.01164756258,-16.57550933526,22.38865719912)); #488258=CARTESIAN_POINT('Ctrl Pts',(13.63081276022,-18.76576052298,23.61423165194)); #488259=CARTESIAN_POINT('Ctrl Pts',(5.354952020936,-12.38812987931,19.35291967785)); #488260=CARTESIAN_POINT('Ctrl Pts',(7.911703425007,-14.6569974804,20.52608575798)); #488261=CARTESIAN_POINT('Ctrl Pts',(10.46845482908,-16.9258650815,21.69925183812)); #488262=CARTESIAN_POINT('Ctrl Pts',(13.02520623315,-19.1947326826,22.87241791826)); #488263=CARTESIAN_POINT('Ctrl Pts',(4.787846953018,-12.62512784308,18.55565703457)); #488264=CARTESIAN_POINT('Ctrl Pts',(7.266711122406,-14.99026172027,19.64299747768)); #488265=CARTESIAN_POINT('Ctrl Pts',(9.745575291795,-17.35539559746,20.73033792079)); #488266=CARTESIAN_POINT('Ctrl Pts',(12.22443946118,-19.72052947464,21.8176783639)); #488267=CARTESIAN_POINT('Ctrl Pts',(4.151111484475,-12.85200485386,17.64012713686)); #488268=CARTESIAN_POINT('Ctrl Pts',(6.565061194728,-15.30305982149,18.61373679967)); #488269=CARTESIAN_POINT('Ctrl Pts',(8.979010904981,-17.75411478912,19.58734646248)); #488270=CARTESIAN_POINT('Ctrl Pts',(11.39296061523,-20.20516975675,20.56095612529)); #488271=CARTESIAN_POINT('Ctrl Pts',(3.595335054105,-13.02923681832,16.73325689777)); #488272=CARTESIAN_POINT('Ctrl Pts',(6.029768509756,-15.51081106281,17.74608954485)); #488273=CARTESIAN_POINT('Ctrl Pts',(8.464201965407,-17.9923853073,18.75892219193)); #488274=CARTESIAN_POINT('Ctrl Pts',(10.89863542106,-20.47395955178,19.77175483902)); #488275=CARTESIAN_POINT('Ctrl Pts',(3.150423168253,-13.15647377524,16.01072526417)); #488276=CARTESIAN_POINT('Ctrl Pts',(5.60948286046,-15.66038702445,17.04993423871)); #488277=CARTESIAN_POINT('Ctrl Pts',(8.068542552667,-18.16430027365,18.08914321325)); #488278=CARTESIAN_POINT('Ctrl Pts',(10.52760224487,-20.66821352286,19.12835218779)); #488279=CARTESIAN_POINT('Ctrl Pts',(2.698732454027,-13.27044600114,15.19191701402)); #488280=CARTESIAN_POINT('Ctrl Pts',(5.185898781396,-15.79762828236,16.2832398102)); #488281=CARTESIAN_POINT('Ctrl Pts',(7.673065108764,-18.32481056358,17.37456260638)); #488282=CARTESIAN_POINT('Ctrl Pts',(10.16023143613,-20.8519928448,18.46588540256)); #488283=CARTESIAN_POINT('Ctrl Pts',(2.299653867576,-13.35801736549,14.46522179681)); #488284=CARTESIAN_POINT('Ctrl Pts',(4.821163385965,-15.90333436306,15.61505467908)); #488285=CARTESIAN_POINT('Ctrl Pts',(7.342672904354,-18.44865136063,16.76488756134)); #488286=CARTESIAN_POINT('Ctrl Pts',(9.864182422743,-20.99396835821,17.91472044361)); #488287=CARTESIAN_POINT('Ctrl Pts',(2.007831861491,-13.41447112808,13.85504087606)); #488288=CARTESIAN_POINT('Ctrl Pts',(4.552256265112,-15.97552167765,15.05864625608)); #488289=CARTESIAN_POINT('Ctrl Pts',(7.096680668733,-18.53657222723,16.2622516361)); #488290=CARTESIAN_POINT('Ctrl Pts',(9.641105072354,-21.0976227768,17.46585701612)); #488291=CARTESIAN_POINT('Ctrl Pts',(1.778798671073,-13.45582242792,13.39029831538)); #488292=CARTESIAN_POINT('Ctrl Pts',(4.346013938443,-16.02750689322,14.63510689502)); #488293=CARTESIAN_POINT('Ctrl Pts',(6.913229205812,-18.59919135851,15.87991547466)); #488294=CARTESIAN_POINT('Ctrl Pts',(9.480444473182,-21.17087582381,17.1247240543)); #488295=CARTESIAN_POINT('Ctrl Pts',(1.554973784111,-13.49254115681,12.90862107229)); #488296=CARTESIAN_POINT('Ctrl Pts',(4.144899582178,-16.07519094938,14.19915576364)); #488297=CARTESIAN_POINT('Ctrl Pts',(6.734825380245,-18.65784074195,15.489690455)); #488298=CARTESIAN_POINT('Ctrl Pts',(9.324751178312,-21.24049053452,16.78022514635)); #488299=CARTESIAN_POINT('Ctrl Pts',(1.274924753361,-13.53396159138,12.23400372085)); #488300=CARTESIAN_POINT('Ctrl Pts',(3.890701917926,-16.13239319093,13.59519398603)); #488301=CARTESIAN_POINT('Ctrl Pts',(6.506479082491,-18.73082479048,14.95638425121)); #488302=CARTESIAN_POINT('Ctrl Pts',(9.122256247055,-21.32925639003,16.3175745164)); #488303=CARTESIAN_POINT('Ctrl Pts',(0.954673152717,-13.57491732792,11.47212749207)); #488304=CARTESIAN_POINT('Ctrl Pts',(3.606246776058,-16.18994492885,12.91903689428)); #488305=CARTESIAN_POINT('Ctrl Pts',(6.2578203994,-18.80497252978,14.36594629648)); #488306=CARTESIAN_POINT('Ctrl Pts',(8.909394022741,-21.42000013071,15.81285569868)); #488307=CARTESIAN_POINT('Ctrl Pts',(0.6852548422158,-13.602256937,10.72214736059)); #488308=CARTESIAN_POINT('Ctrl Pts',(3.364182093115,-16.23398412831,12.2627253087)); #488309=CARTESIAN_POINT('Ctrl Pts',(6.043109344014,-18.86571131962,13.80330325682)); #488310=CARTESIAN_POINT('Ctrl Pts',(8.722036594913,-21.49743851093,15.34388120493)); #488311=CARTESIAN_POINT('Ctrl Pts',(0.5441743575976,-13.6143323226,10.27581352878)); #488312=CARTESIAN_POINT('Ctrl Pts',(3.234625308654,-16.25641910942,11.87562963281)); #488313=CARTESIAN_POINT('Ctrl Pts',(5.92507625971,-18.89850589625,13.47544573684)); #488314=CARTESIAN_POINT('Ctrl Pts',(8.615527210766,-21.54059268307,15.07526184087)); #488315=CARTESIAN_POINT('Ctrl Pts',(0.4840571958088,-13.61917080145,10.08696151993)); #488316=CARTESIAN_POINT('Ctrl Pts',(3.179689188808,-16.26561514307,11.7123387794)); #488317=CARTESIAN_POINT('Ctrl Pts',(5.875321181807,-18.9120594847,13.33771603886)); #488318=CARTESIAN_POINT('Ctrl Pts',(8.570953174806,-21.55850382633,14.96309329833)); #488319=CARTESIAN_POINT('Ctrl Pts',(0.4655498758037,-13.6206407308,10.02946490749)); #488320=CARTESIAN_POINT('Ctrl Pts',(3.162836092385,-16.26840510229,11.6626625636)); #488321=CARTESIAN_POINT('Ctrl Pts',(5.860122308966,-18.91616947379,13.29586021971)); #488322=CARTESIAN_POINT('Ctrl Pts',(8.557408525547,-21.56393384529,14.92905787582)); #488323=CARTESIAN_POINT('',(8.44218342793731,-21.3946146356274,14.9380251360875)); #488324=CARTESIAN_POINT('Ctrl Pts',(19.2542254141131,-12.5807314454494, 30.0999434966056)); #488325=CARTESIAN_POINT('Ctrl Pts',(19.209858545704,-12.6486328778082,29.9888140814397)); #488326=CARTESIAN_POINT('Ctrl Pts',(19.1645985832234,-12.7171208014786, 29.8762335604397)); #488327=CARTESIAN_POINT('Ctrl Pts',(19.0628758176945,-12.869212375899,29.6426074205345)); #488328=CARTESIAN_POINT('Ctrl Pts',(19.0154789736811,-12.9390557278388, 29.5456300059411)); #488329=CARTESIAN_POINT('Ctrl Pts',(18.8518909856093,-13.1775188438246, 29.2533699491486)); #488330=CARTESIAN_POINT('Ctrl Pts',(18.737426201207,-13.3404927390233,29.1158476773229)); #488331=CARTESIAN_POINT('Ctrl Pts',(18.5378977118579,-13.6145228607219, 28.8902150522222)); #488332=CARTESIAN_POINT('Ctrl Pts',(18.4669381009802,-13.7123517987784, 28.811569988426)); #488333=CARTESIAN_POINT('Ctrl Pts',(18.1003700471339,-14.1955194154598, 28.39168843357)); #488334=CARTESIAN_POINT('Ctrl Pts',(17.6339195603458,-14.8016918182581, 27.8850788677956)); #488335=CARTESIAN_POINT('Ctrl Pts',(16.7372837347354,-15.7783211545374, 27.0354436334168)); #488336=CARTESIAN_POINT('Ctrl Pts',(16.5298759072138,-15.9965458660825, 26.8411639267888)); #488337=CARTESIAN_POINT('Ctrl Pts',(15.9847215267471,-16.542733058485,26.3091658842905)); #488338=CARTESIAN_POINT('Ctrl Pts',(15.7047915572732,-16.8087476716633, 26.026913500749)); #488339=CARTESIAN_POINT('Ctrl Pts',(15.2232015389401,-17.2426001908915, 25.5207170109381)); #488340=CARTESIAN_POINT('Ctrl Pts',(15.051715181842,-17.3924385542519,25.3373496159962)); #488341=CARTESIAN_POINT('Ctrl Pts',(14.5741675249468,-17.7975810504295, 24.825925841201)); #488342=CARTESIAN_POINT('Ctrl Pts',(14.3232274799853,-17.9990460159613, 24.5521504314255)); #488343=CARTESIAN_POINT('Ctrl Pts',(13.7888089249478,-18.4113066646278, 23.921939908647)); #488344=CARTESIAN_POINT('Ctrl Pts',(13.4856326823906,-18.6339543867134, 23.5521059035059)); #488345=CARTESIAN_POINT('Ctrl Pts',(13.1245286333602,-18.8883167040275, 23.1063645181103)); #488346=CARTESIAN_POINT('Ctrl Pts',(13.0068500119417,-18.9695221424842, 22.9591637056643)); #488347=CARTESIAN_POINT('Ctrl Pts',(12.6505126604952,-19.2105722580183, 22.5038332942115)); #488348=CARTESIAN_POINT('Ctrl Pts',(12.4186791453778,-19.3610036839286, 22.195551005002)); #488349=CARTESIAN_POINT('Ctrl Pts',(11.9774897753176,-19.6367810821138, 21.5804897211108)); #488350=CARTESIAN_POINT('Ctrl Pts',(11.7679623149852,-19.7627583540135, 21.2742673410816)); #488351=CARTESIAN_POINT('Ctrl Pts',(11.4025693619149,-19.9754781722309, 20.7261061066153)); #488352=CARTESIAN_POINT('Ctrl Pts',(11.234029492763,-20.0705826452579,20.4672949653802)); #488353=CARTESIAN_POINT('Ctrl Pts',(10.9519344720537,-20.2256228191398, 20.0235762997444)); #488354=CARTESIAN_POINT('Ctrl Pts',(10.8312572751019,-20.2904920267141, 19.8289853612011)); #488355=CARTESIAN_POINT('Ctrl Pts',(10.4932485380697,-20.4682491890662, 19.2601200522115)); #488356=CARTESIAN_POINT('Ctrl Pts',(10.2704766325385,-20.5807331072264, 18.8629544852677)); #488357=CARTESIAN_POINT('Ctrl Pts',(9.73956297903307,-20.8393080730952, 17.8949316560235)); #488358=CARTESIAN_POINT('Ctrl Pts',(9.49138471852051,-20.9527100837707, 17.4119680611234)); #488359=CARTESIAN_POINT('Ctrl Pts',(9.06936934815453,-21.1368456300498, 16.4676153978223)); #488360=CARTESIAN_POINT('Ctrl Pts',(8.93132324421762,-21.1954939618426, 16.1464448243084)); #488361=CARTESIAN_POINT('Ctrl Pts',(8.66132187535646,-21.3074424794484, 15.4963554792539)); #488362=CARTESIAN_POINT('Ctrl Pts',(8.54956197249108,-21.3522438051063, 15.2101584989297)); #488363=CARTESIAN_POINT('Ctrl Pts',(8.44218347627903,-21.3946146997987, 14.9380251270042)); #488364=CARTESIAN_POINT('',(1.81721328866088,-14.8895176481587,10.9767683670588)); #488365=CARTESIAN_POINT('',(1.817213280994,-14.88951763186,10.97676835723)); #488366=CARTESIAN_POINT('',(1.82478104171929,-14.8885920808444,10.9999999086084)); #488367=CARTESIAN_POINT('',(1.82478181598314,-14.8885919859487,10.9999994708237)); #488368=CARTESIAN_POINT('Ctrl Pts',(1.82478026910415,-14.8885921755379, 11.0000001639992)); #488369=CARTESIAN_POINT('Ctrl Pts',(1.8905398983254,-14.88053252339,11.201926307407)); #488370=CARTESIAN_POINT('Ctrl Pts',(1.96929477593157,-14.8706785966531, 11.4467180008354)); #488371=CARTESIAN_POINT('Ctrl Pts',(2.1850579829206,-14.840298798525,12.0334996491092)); #488372=CARTESIAN_POINT('Ctrl Pts',(2.27967108865529,-14.8261153392395, 12.2724469408472)); #488373=CARTESIAN_POINT('Ctrl Pts',(2.44669050379623,-14.799259829383,12.6799552462101)); #488374=CARTESIAN_POINT('Ctrl Pts',(2.51256982153873,-14.7882241708654, 12.837367307438)); #488375=CARTESIAN_POINT('Ctrl Pts',(2.64625621199578,-14.7648892703606, 13.1566691101339)); #488376=CARTESIAN_POINT('Ctrl Pts',(2.74573584595877,-14.746880476668,13.3965239686434)); #488377=CARTESIAN_POINT('Ctrl Pts',(2.96899400720519,-14.7038051288887, 13.8950518425221)); #488378=CARTESIAN_POINT('Ctrl Pts',(3.09356506280507,-14.6780034031323, 14.1487505389457)); #488379=CARTESIAN_POINT('Ctrl Pts',(3.29081605241752,-14.6348067924847, 14.5544923831957)); #488380=CARTESIAN_POINT('Ctrl Pts',(3.35306148370773,-14.6205822484805, 14.6842096815976)); #488381=CARTESIAN_POINT('Ctrl Pts',(3.5026215080564,-14.5855818300419,14.9850632726877)); #488382=CARTESIAN_POINT('Ctrl Pts',(3.5863304579486,-14.5651933977357,15.1446179558122)); #488383=CARTESIAN_POINT('Ctrl Pts',(3.82077278533836,-14.5061165160737, 15.5818260912298)); #488384=CARTESIAN_POINT('Ctrl Pts',(4.06511692358133,-14.4398972769076, 16.0263474300154)); #488385=CARTESIAN_POINT('Ctrl Pts',(4.3941431900892,-14.3427741027323,16.6044081621882)); #488386=CARTESIAN_POINT('Ctrl Pts',(4.53278659615382,-14.299467561002,16.8351540468206)); #488387=CARTESIAN_POINT('Ctrl Pts',(4.76083309712209,-14.2247514154555, 17.209147993053)); #488388=CARTESIAN_POINT('Ctrl Pts',(4.87660071461106,-14.1855622919653, 17.3982505738393)); #488389=CARTESIAN_POINT('Ctrl Pts',(5.26642777370987,-14.0484386251036, 18.0271474770738)); #488390=CARTESIAN_POINT('Ctrl Pts',(5.51444534424097,-13.9546343885801, 18.4023585392539)); #488391=CARTESIAN_POINT('Ctrl Pts',(5.86001689762994,-13.8079760269017, 18.897441018332)); #488392=CARTESIAN_POINT('Origin',(0.,0.,9.6)); #488393=CARTESIAN_POINT('',(14.5527970485322,-3.63539511361383,11.1890452634473)); #488394=CARTESIAN_POINT('Ctrl Pts',(14.9862942174652,-0.641081607573125, 18.8974407659681)); #488395=CARTESIAN_POINT('Ctrl Pts',(14.9589835444361,-1.27951168645351, 17.8274772042419)); #488396=CARTESIAN_POINT('Ctrl Pts',(14.9041555151814,-1.72484047780194, 16.8899705705366)); #488397=CARTESIAN_POINT('Ctrl Pts',(14.8416593646375,-2.17743861567529, 15.8561560974053)); #488398=CARTESIAN_POINT('Ctrl Pts',(14.8189687715442,-2.3267226022693,15.503750622605)); #488399=CARTESIAN_POINT('Ctrl Pts',(14.7763079740609,-2.58446357464942, 14.8499186601887)); #488400=CARTESIAN_POINT('Ctrl Pts',(14.755084609978,-2.70157226902769,14.5182472038761)); #488401=CARTESIAN_POINT('Ctrl Pts',(14.7055955170705,-2.96158295269167, 13.7400807184315)); #488402=CARTESIAN_POINT('Ctrl Pts',(14.6715423341494,-3.12486638122847, 13.2078341367742)); #488403=CARTESIAN_POINT('Ctrl Pts',(14.6264478223171,-3.32744801790815, 12.4581248099224)); #488404=CARTESIAN_POINT('Ctrl Pts',(14.6117555654922,-3.39125851153341, 12.20418398026)); #488405=CARTESIAN_POINT('Ctrl Pts',(14.5826287708361,-3.51438580965395, 11.6994249642816)); #488406=CARTESIAN_POINT('Ctrl Pts',(14.5679484403179,-3.57474285385792, 11.4444664814114)); #488407=CARTESIAN_POINT('Ctrl Pts',(14.5527971212769,-3.63539488184645, 11.1890452040969)); #488408=CARTESIAN_POINT('',(14.7067230693094,-2.95166055869528,10.9999997721144)); #488409=CARTESIAN_POINT('Origin',(0.,0.,11.)); #488410=CARTESIAN_POINT('Ctrl Pts',(14.7067229341748,-2.95166064062545, 10.9999993997235)); #488411=CARTESIAN_POINT('Ctrl Pts',(14.6837417470334,-3.06616498009282, 11.033531133859)); #488412=CARTESIAN_POINT('Ctrl Pts',(14.6593730937725,-3.18065143250658, 11.0661123671552)); #488413=CARTESIAN_POINT('Ctrl Pts',(14.6080443710832,-3.40860314476706, 11.1291178820354)); #488414=CARTESIAN_POINT('Ctrl Pts',(14.5811127274335,-3.52204509443707, 11.1595511213208)); #488415=CARTESIAN_POINT('Ctrl Pts',(14.5527970491768,-3.63539517046942, 11.1890450455606)); #488416=CARTESIAN_POINT('Ctrl Pts',(14.3617449334,1.817647187365,22.46455378284)); #488417=CARTESIAN_POINT('Ctrl Pts',(17.04862560206,3.157190452626,23.16176909464)); #488418=CARTESIAN_POINT('Ctrl Pts',(19.73550627072,4.496733717888,23.85898440644)); #488419=CARTESIAN_POINT('Ctrl Pts',(22.42238693937,5.83627698315,24.55619971824)); #488420=CARTESIAN_POINT('Ctrl Pts',(14.36553347423,1.788977362055,22.43258744132)); #488421=CARTESIAN_POINT('Ctrl Pts',(17.05247876615,3.133034712166,23.13471337915)); #488422=CARTESIAN_POINT('Ctrl Pts',(19.73942405807,4.477092062276,23.83683931699)); #488423=CARTESIAN_POINT('Ctrl Pts',(22.42636934999,5.821149412387,24.53896525482)); #488424=CARTESIAN_POINT('Ctrl Pts',(14.41634909513,1.396863574516,21.99263853091)); #488425=CARTESIAN_POINT('Ctrl Pts',(17.10437062785,2.802938247046,22.76300063504)); #488426=CARTESIAN_POINT('Ctrl Pts',(19.79239216057,4.209012919576,23.53336273916)); #488427=CARTESIAN_POINT('Ctrl Pts',(22.48041369329,5.615087592106,24.30372484329)); #488428=CARTESIAN_POINT('Ctrl Pts',(14.48381046908,0.6733942036209,21.10890388608)); #488429=CARTESIAN_POINT('Ctrl Pts',(17.17900531503,2.200873335022,22.03274794255)); #488430=CARTESIAN_POINT('Ctrl Pts',(19.87420016098,3.728352466422,22.95659199901)); #488431=CARTESIAN_POINT('Ctrl Pts',(22.56939500694,5.255831597823,23.88043605547)); #488432=CARTESIAN_POINT('Ctrl Pts',(14.51563448411,-0.6095828568825,19.31483711175)); #488433=CARTESIAN_POINT('Ctrl Pts',(17.24670114064,1.140420854318,20.57240768163)); #488434=CARTESIAN_POINT('Ctrl Pts',(19.97776779717,2.890424565518,21.8299782515)); #488435=CARTESIAN_POINT('Ctrl Pts',(22.7088344537,4.640428276718,23.08754882137)); #488436=CARTESIAN_POINT('Ctrl Pts',(14.43479446231,-1.7130624489,17.39939220249)); #488437=CARTESIAN_POINT('Ctrl Pts',(17.23136538797,0.21064754904,19.0282848367)); #488438=CARTESIAN_POINT('Ctrl Pts',(20.02793631363,2.13435754698,20.65717747092)); #488439=CARTESIAN_POINT('Ctrl Pts',(22.82450723929,4.05806754492,22.28607010514)); #488440=CARTESIAN_POINT('Ctrl Pts',(14.32456938987,-2.484548233364,15.65273895769)); #488441=CARTESIAN_POINT('Ctrl Pts',(17.19162664605,-0.5108653376527,17.53949964244)); #488442=CARTESIAN_POINT('Ctrl Pts',(20.05868390223,1.462817558058,19.42626032719)); #488443=CARTESIAN_POINT('Ctrl Pts',(22.92574115842,3.436500453769,21.31302101194)); #488444=CARTESIAN_POINT('Ctrl Pts',(14.24984461609,-2.887891160722,14.65068788907)); #488445=CARTESIAN_POINT('Ctrl Pts',(17.16136689673,-0.9184657431763,16.63610323099)); #488446=CARTESIAN_POINT('Ctrl Pts',(20.07288917737,1.050959674369,18.6215185729)); #488447=CARTESIAN_POINT('Ctrl Pts',(22.98441145801,3.020385091915,20.60693391482)); #488448=CARTESIAN_POINT('Ctrl Pts',(14.19691763077,-3.147470418998,13.89066048311)); #488449=CARTESIAN_POINT('Ctrl Pts',(17.14081495607,-1.209624741791,15.91825500222)); #488450=CARTESIAN_POINT('Ctrl Pts',(20.08471228137,0.7282209354167,17.94584952133)); #488451=CARTESIAN_POINT('Ctrl Pts',(23.02860960667,2.666066612624,19.97344404044)); #488452=CARTESIAN_POINT('Ctrl Pts',(14.14238486608,-3.380464565341,13.13223183215)); #488453=CARTESIAN_POINT('Ctrl Pts',(17.11871580542,-1.497232636257,15.15303760259)); #488454=CARTESIAN_POINT('Ctrl Pts',(20.09504674476,0.3859992928272,17.17384337304)); #488455=CARTESIAN_POINT('Ctrl Pts',(23.07137768411,2.269231221911,19.19464914348)); #488456=CARTESIAN_POINT('Ctrl Pts',(14.08777238093,-3.588602928094,12.37992242511)); #488457=CARTESIAN_POINT('Ctrl Pts',(17.09573850096,-1.788579691331,14.32820730408)); #488458=CARTESIAN_POINT('Ctrl Pts',(20.10370462099,0.01144354543115,16.27649218305)); #488459=CARTESIAN_POINT('Ctrl Pts',(23.11167074102,1.811466782193,18.22477706201)); #488460=CARTESIAN_POINT('Ctrl Pts',(14.0474467968,-3.734150816791,11.76065097919)); #488461=CARTESIAN_POINT('Ctrl Pts',(17.07807910637,-2.023100977985,13.56987465076)); #488462=CARTESIAN_POINT('Ctrl Pts',(20.10871141594,-0.3120511391794,15.37909832234)); #488463=CARTESIAN_POINT('Ctrl Pts',(23.13934372551,1.398998699626,17.18832199392)); #488464=CARTESIAN_POINT('Ctrl Pts',(14.01410062728,-3.842179247719,11.27595002841)); #488465=CARTESIAN_POINT('Ctrl Pts',(17.06193263004,-2.220228232745,12.90976146031)); #488466=CARTESIAN_POINT('Ctrl Pts',(20.1097646328,-0.5982772177703,14.54357289221)); #488467=CARTESIAN_POINT('Ctrl Pts',(23.15759663556,1.023673797204,16.17738432412)); #488468=CARTESIAN_POINT('Ctrl Pts',(13.99639223036,-3.898959808479,11.02572161646)); #488469=CARTESIAN_POINT('Ctrl Pts',(17.05241421653,-2.320414772194,12.53726348084)); #488470=CARTESIAN_POINT('Ctrl Pts',(20.10843620269,-0.7418697359085,14.04880534522)); #488471=CARTESIAN_POINT('Ctrl Pts',(23.16445818885,0.8366753003767,15.5603472096)); #488472=CARTESIAN_POINT('Ctrl Pts',(13.98711405849,-3.928420289729,10.89590176619)); #488473=CARTESIAN_POINT('Ctrl Pts',(17.0472766617,-2.374828679884,12.33364435077)); #488474=CARTESIAN_POINT('Ctrl Pts',(20.10743926492,-0.8212370700394,13.77138693535)); #488475=CARTESIAN_POINT('Ctrl Pts',(23.16760186814,0.7323545398056,15.20912951992)); #488476=CARTESIAN_POINT('Ctrl Pts',(13.98620371344,-3.931307935453,10.88317586084)); #488477=CARTESIAN_POINT('Ctrl Pts',(17.04677125362,-2.380189581819,12.31358188772)); #488478=CARTESIAN_POINT('Ctrl Pts',(20.10733879379,-0.8290712281838,13.7439879146)); #488479=CARTESIAN_POINT('Ctrl Pts',(23.16790633396,0.722047125451,15.17439394148)); #488480=CARTESIAN_POINT('',(22.990446519883,0.662848383825623,15.1940291643179)); #488481=CARTESIAN_POINT('',(22.99043794698,0.6628440454381,15.19402517478)); #488482=CARTESIAN_POINT('Ctrl Pts',(22.9904465376835,0.662848393269083, 15.1940291616651)); #488483=CARTESIAN_POINT('Ctrl Pts',(22.9889565171579,0.71452874378209,15.367999608659)); #488484=CARTESIAN_POINT('Ctrl Pts',(22.9871515263235,0.770679452830906, 15.5554055809363)); #488485=CARTESIAN_POINT('Ctrl Pts',(22.9833293416288,0.877018985572143, 15.8959321525498)); #488486=CARTESIAN_POINT('Ctrl Pts',(22.9813052265497,0.929063048540985, 16.0563731585096)); #488487=CARTESIAN_POINT('Ctrl Pts',(22.9743808051824,1.09001667084731,16.5205722795549)); #488488=CARTESIAN_POINT('Ctrl Pts',(22.9672452214834,1.22981600469828,16.8887158316538)); #488489=CARTESIAN_POINT('Ctrl Pts',(22.9528192055331,1.48227099517561,17.5447100745697)); #488490=CARTESIAN_POINT('Ctrl Pts',(22.9401588912751,1.67265908129995,18.0171032653525)); #488491=CARTESIAN_POINT('Ctrl Pts',(22.9126481387349,2.00586245521342,18.7479869498526)); #488492=CARTESIAN_POINT('Ctrl Pts',(22.9013504303508,2.13082303602772,19.008141686358)); #488493=CARTESIAN_POINT('Ctrl Pts',(22.877813199108,2.37124123566649,19.495261176487)); #488494=CARTESIAN_POINT('Ctrl Pts',(22.8633883029254,2.50740612727127,19.7612563019781)); #488495=CARTESIAN_POINT('Ctrl Pts',(22.8150755636946,2.92338625519309,20.5301735121891)); #488496=CARTESIAN_POINT('Ctrl Pts',(22.7738281249185,3.23398853959358,21.0621223916469)); #488497=CARTESIAN_POINT('Ctrl Pts',(22.7089942169569,3.64834265006139,21.7158511802017)); #488498=CARTESIAN_POINT('Ctrl Pts',(22.6934620276662,3.74376321798502,21.8627721786656)); #488499=CARTESIAN_POINT('Ctrl Pts',(22.65239969115,3.98643899770193,22.228444735854)); #488500=CARTESIAN_POINT('Ctrl Pts',(22.6254869853288,4.13678583439,22.4469154174601)); #488501=CARTESIAN_POINT('Ctrl Pts',(22.5540829503971,4.51250979400217,22.9720284581649)); #488502=CARTESIAN_POINT('Ctrl Pts',(22.5059720253633,4.74655925724506,23.2835122724637)); #488503=CARTESIAN_POINT('Ctrl Pts',(22.4323637981195,5.07972773212426,23.7096584451777)); #488504=CARTESIAN_POINT('Ctrl Pts',(22.4058466956272,5.19559620599979,23.8536831136022)); #488505=CARTESIAN_POINT('Ctrl Pts',(22.3456508121008,5.44881569763021,24.1584052558051)); #488506=CARTESIAN_POINT('Ctrl Pts',(22.3131823083271,5.5803831451181,24.311864973268)); #488507=CARTESIAN_POINT('Ctrl Pts',(22.279141251379,5.71313093691784,24.4636599146423)); #488508=CARTESIAN_POINT('Origin',(2.05929134501E-10,-9.881025527621E-11, 35.)); #488509=CARTESIAN_POINT('',(22.9562658116006,1.41769405046126,14.9380251355495)); #488510=CARTESIAN_POINT('Ctrl Pts',(22.9562658943176,1.41769396692011,14.9380248820735)); #488511=CARTESIAN_POINT('Ctrl Pts',(22.9640045211571,1.29238485259551,14.9818498908086)); #488512=CARTESIAN_POINT('Ctrl Pts',(22.9707293549224,1.1668141558245,15.0250941769091)); #488513=CARTESIAN_POINT('Ctrl Pts',(22.9821301338627,0.915181228886592, 15.1104288584046)); #488514=CARTESIAN_POINT('Ctrl Pts',(22.9868057578975,0.789126373925984, 15.1525167609242)); #488515=CARTESIAN_POINT('Ctrl Pts',(22.9904465384968,0.662848365061784, 15.194029105607)); #488516=CARTESIAN_POINT('Ctrl Pts',(17.9148694875637,14.4241967279399,30.0999434964291)); #488517=CARTESIAN_POINT('Ctrl Pts',(17.9657374709731,14.3610186320589,29.9888140812083)); #488518=CARTESIAN_POINT('Ctrl Pts',(18.0168872594853,14.2968099175026,29.876233560224)); #488519=CARTESIAN_POINT('Ctrl Pts',(18.1301008791772,14.1530669372531,29.6426074202538)); #488520=CARTESIAN_POINT('Ctrl Pts',(18.1818794238824,14.0864070772032,29.5456300056603)); #488521=CARTESIAN_POINT('Ctrl Pts',(18.3581198558459,13.8571364997842,29.2533699488837)); #488522=CARTESIAN_POINT('Ctrl Pts',(18.4777456772337,13.69791231742,29.1158476770391)); #488523=CARTESIAN_POINT('Ctrl Pts',(18.6767061160203,13.4234694829161,28.8902150519819)); #488524=CARTESIAN_POINT('Ctrl Pts',(18.7478192394596,13.3257520780647,28.8115699880741)); #488525=CARTESIAN_POINT('Ctrl Pts',(19.0940631922662,12.8278181360294,28.3916884323058)); #488526=CARTESIAN_POINT('Ctrl Pts',(19.5264262782974,12.1968797871982,27.885078866717)); #488527=CARTESIAN_POINT('Ctrl Pts',(20.1781802646553,11.0423333803278,27.035443632307)); #488528=CARTESIAN_POINT('Ctrl Pts',(20.3216317551417,10.7776416698662,26.841163925566)); #488529=CARTESIAN_POINT('Ctrl Pts',(20.6726246752906,10.0903879196188,26.3091658831914)); #488530=CARTESIAN_POINT('Ctrl Pts',(20.839116488694,9.74195566194378,26.0269134997061)); #488531=CARTESIAN_POINT('Ctrl Pts',(21.1029152542455,9.14986853523099,25.5207170097722)); #488532=CARTESIAN_POINT('Ctrl Pts',(21.1924278075826,8.9404727168591,25.337349614542)); #488533=CARTESIAN_POINT('Ctrl Pts',(21.4301708766747,8.36110199009484,24.8259258402709)); #488534=CARTESIAN_POINT('Ctrl Pts',(21.5442307058922,8.06018772854899,24.5521504318593)); #488535=CARTESIAN_POINT('Ctrl Pts',(21.77116946618,7.42452993418032,23.921939910602)); #488536=CARTESIAN_POINT('Ctrl Pts',(21.8892334219408,7.06739026310019,23.5521059053387)); #488537=CARTESIAN_POINT('Ctrl Pts',(22.0195590734943,6.64535762535082,23.1063645199126)); #488538=CARTESIAN_POINT('Ctrl Pts',(22.0604253410344,6.50834474504038,22.9591637074265)); #488539=CARTESIAN_POINT('Ctrl Pts',(22.1795633269087,6.09495920261943,22.5038332959126)); #488540=CARTESIAN_POINT('Ctrl Pts',(22.2509916187788,5.82798656019656,22.1955510066733)); #488541=CARTESIAN_POINT('Ctrl Pts',(22.3769364973733,5.32317063193618,21.5804897226137)); #488542=CARTESIAN_POINT('Ctrl Pts',(22.4320004567132,5.08496905745688,21.2742673425448)); #488543=CARTESIAN_POINT('Ctrl Pts',(22.5213963939155,4.67172566933794,20.7261061078432)); #488544=CARTESIAN_POINT('Ctrl Pts',(22.5597644389458,4.48204583001559,20.467294966486)); #488545=CARTESIAN_POINT('Ctrl Pts',(22.6200442512215,4.16584747361032,20.0235763006073)); #488546=CARTESIAN_POINT('Ctrl Pts',(22.6444472291203,4.03103095142886,19.828985361951)); #488547=CARTESIAN_POINT('Ctrl Pts',(22.7090538927324,3.65463555531814,19.2601200527926)); #488548=CARTESIAN_POINT('Ctrl Pts',(22.7471921514215,3.40800744045482,18.8629544856086)); #488549=CARTESIAN_POINT('Ctrl Pts',(22.8290502161936,2.82317449181616,17.894931656209)); #488550=CARTESIAN_POINT('Ctrl Pts',(22.8602106372983,2.55209979120419,17.4119680608757)); #488551=CARTESIAN_POINT('Ctrl Pts',(22.9049240271287,2.09383831011772,16.4676153974349)); #488552=CARTESIAN_POINT('Ctrl Pts',(22.9180433131343,1.94442533204446,16.1464448235532)); #488553=CARTESIAN_POINT('Ctrl Pts',(22.9410776687618,1.65304477680557,15.4963554795084)); #488554=CARTESIAN_POINT('Ctrl Pts',(22.9491505521682,1.53291042234071,15.210158500219)); #488555=CARTESIAN_POINT('Ctrl Pts',(22.9562658875483,1.41769407653242,14.9380251264972)); #488556=CARTESIAN_POINT('Ctrl Pts',(12.3193988269,5.626806107918,25.36593208646)); #488557=CARTESIAN_POINT('Ctrl Pts',(14.19449999119,8.649996653549,27.03285880575)); #488558=CARTESIAN_POINT('Ctrl Pts',(16.06960115548,11.67318719918,28.69978552503)); #488559=CARTESIAN_POINT('Ctrl Pts',(17.94470231977,14.69637774481,30.36671224431)); #488560=CARTESIAN_POINT('Ctrl Pts',(12.32526544523,5.612499062841,25.30838012214)); #488561=CARTESIAN_POINT('Ctrl Pts',(14.20740863963,8.62944295919,26.97560546614)); #488562=CARTESIAN_POINT('Ctrl Pts',(16.08955183402,11.64638685554,28.64283081015)); #488563=CARTESIAN_POINT('Ctrl Pts',(17.97169502841,14.66333075189,30.31005615415)); #488564=CARTESIAN_POINT('Ctrl Pts',(12.3373860232,5.582438699746,25.18351176569)); #488565=CARTESIAN_POINT('Ctrl Pts',(14.23523272094,8.585110524072,26.85001910099)); #488566=CARTESIAN_POINT('Ctrl Pts',(16.13307941867,11.5877823484,28.51652643629)); #488567=CARTESIAN_POINT('Ctrl Pts',(18.03092611641,14.59045417272,30.18303377159)); #488568=CARTESIAN_POINT('Ctrl Pts',(12.36077718596,5.522830752076,24.9345067227)); #488569=CARTESIAN_POINT('Ctrl Pts',(14.2919937599,8.494102347535,26.59499458893)); #488570=CARTESIAN_POINT('Ctrl Pts',(16.22321033384,11.46537394299,28.25548245515)); #488571=CARTESIAN_POINT('Ctrl Pts',(18.15442690779,14.43664553845,29.91597032138)); #488572=CARTESIAN_POINT('Ctrl Pts',(12.39034384006,5.44680339391,24.65857472515)); #488573=CARTESIAN_POINT('Ctrl Pts',(14.36270650705,8.378619446403,26.3079721225)); #488574=CARTESIAN_POINT('Ctrl Pts',(16.33506917405,11.3104354989,27.95736951986)); #488575=CARTESIAN_POINT('Ctrl Pts',(18.30743184104,14.24225155139,29.60676691721)); #488576=CARTESIAN_POINT('Ctrl Pts',(12.42924372375,5.345170935957,24.39404954637)); #488577=CARTESIAN_POINT('Ctrl Pts',(14.45491420793,8.224246862091,26.03413740988)); #488578=CARTESIAN_POINT('Ctrl Pts',(16.48058469211,11.10332278822,27.6742252734)); #488579=CARTESIAN_POINT('Ctrl Pts',(18.50625517629,13.98239871436,29.31431313691)); #488580=CARTESIAN_POINT('Ctrl Pts',(12.47756713133,5.223084124622,24.17353821859)); #488581=CARTESIAN_POINT('Ctrl Pts',(14.55150571487,8.056331810478,25.813883328)); #488582=CARTESIAN_POINT('Ctrl Pts',(16.62544429842,10.88957949633,27.45422843741)); #488583=CARTESIAN_POINT('Ctrl Pts',(18.69938288197,13.72282718219,29.09457354681)); #488584=CARTESIAN_POINT('Ctrl Pts',(12.53977060966,5.068509022581,23.95453990259)); #488585=CARTESIAN_POINT('Ctrl Pts',(14.65685804461,7.864873378464,25.59719962663)); #488586=CARTESIAN_POINT('Ctrl Pts',(16.77394547955,10.66123773435,27.23985935067)); #488587=CARTESIAN_POINT('Ctrl Pts',(18.8910329145,13.45760209023,28.8825190747)); #488588=CARTESIAN_POINT('Ctrl Pts',(12.60650900257,4.899378621983,23.73465620722)); #488589=CARTESIAN_POINT('Ctrl Pts',(14.7629562881,7.664336049734,25.376366678)); #488590=CARTESIAN_POINT('Ctrl Pts',(16.91940357363,10.42929347749,27.01807714879)); #488591=CARTESIAN_POINT('Ctrl Pts',(19.07585085915,13.19425090524,28.65978761957)); #488592=CARTESIAN_POINT('Ctrl Pts',(12.6753048017,4.719445334049,23.49793256099)); #488593=CARTESIAN_POINT('Ctrl Pts',(14.86935242028,7.455578139469,25.14578039643)); #488594=CARTESIAN_POINT('Ctrl Pts',(17.06340003886,10.19171094489,26.79362823186)); #488595=CARTESIAN_POINT('Ctrl Pts',(19.25744765744,12.92784375031,28.44147606729)); #488596=CARTESIAN_POINT('Ctrl Pts',(12.74525468228,4.52862838462,23.24924051427)); #488597=CARTESIAN_POINT('Ctrl Pts',(14.97760953563,7.235202391302,24.90884477038)); #488598=CARTESIAN_POINT('Ctrl Pts',(17.20996438897,9.941776397984,26.56844902649)); #488599=CARTESIAN_POINT('Ctrl Pts',(19.44231924231,12.64835040467,28.2280532826)); #488600=CARTESIAN_POINT('Ctrl Pts',(12.81495182559,4.327631406514,22.9925938023)); #488601=CARTESIAN_POINT('Ctrl Pts',(15.08962250036,6.998799384187,24.66146644807)); #488602=CARTESIAN_POINT('Ctrl Pts',(17.36429317512,9.66996736186,26.33033909383)); #488603=CARTESIAN_POINT('Ctrl Pts',(19.63896384989,12.34113533953,27.9992117396)); #488604=CARTESIAN_POINT('Ctrl Pts',(12.88297521543,4.117760799208,22.7311085744)); #488605=CARTESIAN_POINT('Ctrl Pts',(15.20605331378,6.743713243596,24.39746174503)); #488606=CARTESIAN_POINT('Ctrl Pts',(17.52913141212,9.369665687984,26.06381491566)); #488607=CARTESIAN_POINT('Ctrl Pts',(19.85220951047,11.99561813237,27.73016808629)); #488608=CARTESIAN_POINT('Ctrl Pts',(12.9464197784,3.903469342401,22.46346017085)); #488609=CARTESIAN_POINT('Ctrl Pts',(15.32865310484,6.465034660868,24.11609597645)); #488610=CARTESIAN_POINT('Ctrl Pts',(17.71088643128,9.026599979334,25.76873178206)); #488611=CARTESIAN_POINT('Ctrl Pts',(20.09311975772,11.5881652978,27.42136758766)); #488612=CARTESIAN_POINT('Ctrl Pts',(13.0241971881,3.610363900414,22.1055175688)); #488613=CARTESIAN_POINT('Ctrl Pts',(15.50381315921,6.050343248747,23.71926674252)); #488614=CARTESIAN_POINT('Ctrl Pts',(17.98342913033,8.490322597079,25.33301591624)); #488615=CARTESIAN_POINT('Ctrl Pts',(20.46304510144,10.93030194541,26.94676508996)); #488616=CARTESIAN_POINT('Ctrl Pts',(13.11429798973,3.219769196133,21.66958447708)); #488617=CARTESIAN_POINT('Ctrl Pts',(15.73511979023,5.453459033522,23.16911399584)); #488618=CARTESIAN_POINT('Ctrl Pts',(18.35594159073,7.687148870911,24.6686435146)); #488619=CARTESIAN_POINT('Ctrl Pts',(20.97676339124,9.9208387083,26.16817303336)); #488620=CARTESIAN_POINT('Ctrl Pts',(13.21127361294,2.764687707494,21.15804581832)); #488621=CARTESIAN_POINT('Ctrl Pts',(15.93448680194,4.843058932853,22.56098997013)); #488622=CARTESIAN_POINT('Ctrl Pts',(18.65769999094,6.921430158213,23.96393412195)); #488623=CARTESIAN_POINT('Ctrl Pts',(21.38091317994,8.999801383573,25.36687827376)); #488624=CARTESIAN_POINT('Ctrl Pts',(13.28328480823,2.36847395476,20.70453717571)); #488625=CARTESIAN_POINT('Ctrl Pts',(16.08231539028,4.326362104699,22.03483246085)); #488626=CARTESIAN_POINT('Ctrl Pts',(18.88134597233,6.284250254639,23.365127746)); #488627=CARTESIAN_POINT('Ctrl Pts',(21.68037655438,8.242138404578,24.69542303115)); #488628=CARTESIAN_POINT('Ctrl Pts',(13.3346668364,2.054876648493,20.33534973988)); #488629=CARTESIAN_POINT('Ctrl Pts',(16.18282371964,3.935907169972,21.610909301)); #488630=CARTESIAN_POINT('Ctrl Pts',(19.03098060289,5.816937691451,22.88646886212)); #488631=CARTESIAN_POINT('Ctrl Pts',(21.87913748614,7.69796821293,24.16202842324)); #488632=CARTESIAN_POINT('Ctrl Pts',(13.38219395399,1.722286515517,19.93750829327)); #488633=CARTESIAN_POINT('Ctrl Pts',(16.27461317555,3.536435805019,21.16308274606)); #488634=CARTESIAN_POINT('Ctrl Pts',(19.16703239712,5.350585094521,22.38865719885)); #488635=CARTESIAN_POINT('Ctrl Pts',(22.05945161868,7.164734384023,23.61423165164)); #488636=CARTESIAN_POINT('Ctrl Pts',(13.43658282493,1.264719352771,19.35291967764)); #488637=CARTESIAN_POINT('Ctrl Pts',(16.38448377582,2.995215789419,20.52608575774)); #488638=CARTESIAN_POINT('Ctrl Pts',(19.33238472672,4.725712226067,21.69925183784)); #488639=CARTESIAN_POINT('Ctrl Pts',(22.28028567761,6.456208662714,22.87241791794)); #488640=CARTESIAN_POINT('Ctrl Pts',(13.48673617913,0.6521339840704,18.55565703436)); #488641=CARTESIAN_POINT('Ctrl Pts',(16.50212332004,2.278807343339,19.64299747743)); #488642=CARTESIAN_POINT('Ctrl Pts',(19.51751046094,3.905480702608,20.7303379205)); #488643=CARTESIAN_POINT('Ctrl Pts',(22.53289760184,5.532154061876,21.81767836357)); #488644=CARTESIAN_POINT('Ctrl Pts',(13.50574695792,-0.02354628440248,17.64012713664)); #488645=CARTESIAN_POINT('Ctrl Pts',(16.58279024072,1.514838678383,18.61373679941)); #488646=CARTESIAN_POINT('Ctrl Pts',(19.65983352352,3.053223641169,19.58734646218)); #488647=CARTESIAN_POINT('Ctrl Pts',(22.73687680632,4.591608603955,20.56095612495)); #488648=CARTESIAN_POINT('Ctrl Pts',(13.50256021053,-0.6068887690636,16.73325689756)); #488649=CARTESIAN_POINT('Ctrl Pts',(16.6149588759,0.9415464180545,17.74608954459)); #488650=CARTESIAN_POINT('Ctrl Pts',(19.72735754127,2.489981605173,18.75892219163)); #488651=CARTESIAN_POINT('Ctrl Pts',(22.83975620664,4.038416792291,19.77175483867)); #488652=CARTESIAN_POINT('Ctrl Pts',(13.48608441379,-1.069343499276,16.01072526394)); #488653=CARTESIAN_POINT('Ctrl Pts',(16.62733866077,0.4956094984945,17.04993423844)); #488654=CARTESIAN_POINT('Ctrl Pts',(19.76859290774,2.060562496266,18.08914321294)); #488655=CARTESIAN_POINT('Ctrl Pts',(22.90984715472,3.625515494037,19.12835218744)); #488656=CARTESIAN_POINT('Ctrl Pts',(13.454898335,-1.534146251074,15.1919170138)); #488657=CARTESIAN_POINT('Ctrl Pts',(16.62696817442,0.05034721888426,16.28323980994)); #488658=CARTESIAN_POINT('Ctrl Pts',(19.79903801385,1.634840688843,17.37456260607)); #488659=CARTESIAN_POINT('Ctrl Pts',(22.97110785328,3.219334158801,18.46588540221)); #488660=CARTESIAN_POINT('Ctrl Pts',(13.41486158639,-1.940753581029,14.46522179658)); #488661=CARTESIAN_POINT('Ctrl Pts',(16.61479119563,-0.3292017310022,15.61505467881)); #488662=CARTESIAN_POINT('Ctrl Pts',(19.81472080488,1.282350119024,16.76488756104)); #488663=CARTESIAN_POINT('Ctrl Pts',(23.01465041413,2.893901969051,17.91472044326)); #488664=CARTESIAN_POINT('Ctrl Pts',(13.37837434595,-2.235737973549,13.85504087583)); #488665=CARTESIAN_POINT('Ctrl Pts',(16.60034854131,-0.6072547075526,15.05864625581)); #488666=CARTESIAN_POINT('Ctrl Pts',(19.82232273668,1.021228558444,16.26225163579)); #488667=CARTESIAN_POINT('Ctrl Pts',(23.04429693204,2.649711824441,17.46585701577)); #488668=CARTESIAN_POINT('Ctrl Pts',(13.346926621,-2.46633973613,13.39029831514)); #488669=CARTESIAN_POINT('Ctrl Pts',(16.58605703542,-0.8194671313285,14.63510689474)); #488670=CARTESIAN_POINT('Ctrl Pts',(19.82518744984,0.8274054734731,15.87991547434)); #488671=CARTESIAN_POINT('Ctrl Pts',(23.06431786426,2.474278078275,17.12472405394)); #488672=CARTESIAN_POINT('Ctrl Pts',(13.31268251353,-2.690556564617,12.90862107206)); #488673=CARTESIAN_POINT('Ctrl Pts',(16.56925951385,-1.025473434085,14.19915576337)); #488674=CARTESIAN_POINT('Ctrl Pts',(19.82583651416,0.6396096964467,15.48969045468)); #488675=CARTESIAN_POINT('Ctrl Pts',(23.08241351448,2.304692826978,16.78022514599)); #488676=CARTESIAN_POINT('Ctrl Pts',(13.26553577796,-2.969698638387,12.23400372063)); #488677=CARTESIAN_POINT('Ctrl Pts',(16.54511068022,-1.28490624385,13.59519398576)); #488678=CARTESIAN_POINT('Ctrl Pts',(19.82468558248,0.3998861506865,14.95638425089)); #488679=CARTESIAN_POINT('Ctrl Pts',(23.10426048474,2.084678545223,16.31757451602)); #488680=CARTESIAN_POINT('Ctrl Pts',(13.20552381099,-3.286932028633,11.47212749184)); #488681=CARTESIAN_POINT('Ctrl Pts',(16.51194416261,-1.573223625183,12.919036894)); #488682=CARTESIAN_POINT('Ctrl Pts',(19.81836451423,0.140484778266,14.36594629615)); #488683=CARTESIAN_POINT('Ctrl Pts',(23.12478486586,1.854193181715,15.81285569831)); #488684=CARTESIAN_POINT('Ctrl Pts',(13.14827048782,-3.551612472268,10.72214736036)); #488685=CARTESIAN_POINT('Ctrl Pts',(16.47902582946,-1.817049680311,12.26272530842)); #488686=CARTESIAN_POINT('Ctrl Pts',(19.8097811711,-0.08248688835472,13.80330325649)); #488687=CARTESIAN_POINT('Ctrl Pts',(23.14053651274,1.652075903602,15.34388120456)); #488688=CARTESIAN_POINT('Ctrl Pts',(13.11615859465,-3.689519485843,10.27581352854)); #488689=CARTESIAN_POINT('Ctrl Pts',(16.4603275163,-1.94719829483,11.87562963253)); #488690=CARTESIAN_POINT('Ctrl Pts',(19.80449643795,-0.2048771038177,13.47544573651)); #488691=CARTESIAN_POINT('Ctrl Pts',(23.1486653596,1.537444087195,15.0752618405)); #488692=CARTESIAN_POINT('Ctrl Pts',(13.10218303683,-3.748189476492,10.0869615197)); #488693=CARTESIAN_POINT('Ctrl Pts',(16.45209726938,-2.002287380266,11.71233877912)); #488694=CARTESIAN_POINT('Ctrl Pts',(19.80201150193,-0.2563852840404,13.33771603854)); #488695=CARTESIAN_POINT('Ctrl Pts',(23.15192573448,1.489516812186,14.96309329796)); #488696=CARTESIAN_POINT('Ctrl Pts',(13.09786194632,-3.766245216931,10.02946490726)); #488697=CARTESIAN_POINT('Ctrl Pts',(16.44954278508,-2.019177772252,11.66266256332)); #488698=CARTESIAN_POINT('Ctrl Pts',(19.80122362384,-0.2721103275724,13.29586021939)); #488699=CARTESIAN_POINT('Ctrl Pts',(23.1529044626,1.474957117107,14.92905787545)); #488700=CARTESIAN_POINT('',(13.71037777797,4.719697149746,22.98954145564)); #488701=CARTESIAN_POINT('',(13.704799448632,4.72810074218081,22.9999786145155)); #488702=CARTESIAN_POINT('Ctrl Pts',(13.7103777779649,4.71969714976361,22.989541455615)); #488703=CARTESIAN_POINT('Ctrl Pts',(13.7098531114722,4.72122126785827,22.9912755534427)); #488704=CARTESIAN_POINT('Ctrl Pts',(13.7093284901175,4.72274442951274,22.9930091696664)); #488705=CARTESIAN_POINT('Ctrl Pts',(13.7083417769306,4.7256076577656,22.9962691376268)); #488706=CARTESIAN_POINT('Ctrl Pts',(13.707879926001,4.72694721163025,22.9977947694774)); #488707=CARTESIAN_POINT('Ctrl Pts',(13.7074179406155,4.72828651852946,22.9993205789873)); #488708=CARTESIAN_POINT('Ctrl Pts',(13.0507292858171,5.61473173704381,24.2213392610279)); #488709=CARTESIAN_POINT('Ctrl Pts',(13.0756315103544,5.58129252493348,24.173168123295)); #488710=CARTESIAN_POINT('Ctrl Pts',(13.0981782017351,5.55100448030541,24.1300821354349)); #488711=CARTESIAN_POINT('Ctrl Pts',(13.1604946064561,5.46868942849267,24.0114770102318)); #488712=CARTESIAN_POINT('Ctrl Pts',(13.1876909418639,5.43311345013595,23.9599865481072)); #488713=CARTESIAN_POINT('Ctrl Pts',(13.2719018718357,5.32313612327782,23.8016668514787)); #488714=CARTESIAN_POINT('Ctrl Pts',(13.3259930516557,5.25271111497387,23.7014198416784)); #488715=CARTESIAN_POINT('Ctrl Pts',(13.4936809578237,5.02921826508156,23.3919113377781)); #488716=CARTESIAN_POINT('Ctrl Pts',(13.6105529315805,4.86623229165197,23.1756439166899)); #488717=CARTESIAN_POINT('Ctrl Pts',(13.7051651905955,4.727356883615,22.9992586666019)); #488718=CARTESIAN_POINT('',(14.7223225623915,-2.87284145405658,10.9767683580708)); #488719=CARTESIAN_POINT('',(14.72232255436,-2.872841453785,10.97676835699)); #488720=CARTESIAN_POINT('',(14.7237807286307,-2.86535885054147,11.0000000149257)); #488721=CARTESIAN_POINT('',(14.7237809909839,-2.86535745125139,10.9999995209054)); #488722=CARTESIAN_POINT('',(14.9430105735067,1.30630811387905,18.8974410681785)); #488723=CARTESIAN_POINT('Ctrl Pts',(14.7237807203111,-2.86535884318106, 11.0000001496436)); #488724=CARTESIAN_POINT('Ctrl Pts',(14.7366119345748,-2.79942504726439, 11.2047273594396)); #488725=CARTESIAN_POINT('Ctrl Pts',(14.7519312865083,-2.71968461734786, 11.4552257593371)); #488726=CARTESIAN_POINT('Ctrl Pts',(14.7907101652287,-2.49886299357886, 12.0569943353547)); #488727=CARTESIAN_POINT('Ctrl Pts',(14.8068507016138,-2.40170976443629, 12.3017493559322)); #488728=CARTESIAN_POINT('Ctrl Pts',(14.8333383126946,-2.23084678209465, 12.7170223620467)); #488729=CARTESIAN_POINT('Ctrl Pts',(14.8433727403819,-2.16310896476624, 12.8780603041764)); #488730=CARTESIAN_POINT('Ctrl Pts',(14.8642097738952,-2.0153678778191,13.2290852815833)); #488731=CARTESIAN_POINT('Ctrl Pts',(14.876249617399,-1.92556216447375,13.4432378849201)); #488732=CARTESIAN_POINT('Ctrl Pts',(14.904217696588,-1.69719286653938,13.9420365963133)); #488733=CARTESIAN_POINT('Ctrl Pts',(14.9173505777024,-1.57849331300705, 14.1787969248717)); #488734=CARTESIAN_POINT('Ctrl Pts',(14.9375979162546,-1.3687530239079,14.6030787296557)); #488735=CARTESIAN_POINT('Ctrl Pts',(14.9438518915172,-1.29892591308018, 14.7452081188719)); #488736=CARTESIAN_POINT('Ctrl Pts',(14.9570204526746,-1.13785335208747, 15.0568093175437)); #488737=CARTESIAN_POINT('Ctrl Pts',(14.9634577514311,-1.05023697675044, 15.21795465067)); #488738=CARTESIAN_POINT('Ctrl Pts',(14.9752547048579,-0.866405282956357, 15.5479994954766)); #488739=CARTESIAN_POINT('Ctrl Pts',(14.9814597541223,-0.750609276505666, 15.7514316355546)); #488740=CARTESIAN_POINT('Ctrl Pts',(14.9920699661271,-0.511083391796138, 16.1699886395627)); #488741=CARTESIAN_POINT('Ctrl Pts',(14.9972588052935,-0.344963348484342, 16.4548037624257)); #488742=CARTESIAN_POINT('Ctrl Pts',(15.0012372147347,0.0445099373353752, 17.0770331224251)); #488743=CARTESIAN_POINT('Ctrl Pts',(14.9978527702604,0.34903046324379,17.5495475321361)); #488744=CARTESIAN_POINT('Ctrl Pts',(14.9793565133147,0.820150123497766, 18.2417635231464)); #488745=CARTESIAN_POINT('Ctrl Pts',(14.9647650093352,1.05745432987615,18.5680016047763)); #488746=CARTESIAN_POINT('Ctrl Pts',(14.9430103809198,1.30630806310122,18.8974410938177)); #488747=CARTESIAN_POINT('Ctrl Pts',(13.7103777779669,4.71969714976932,22.98954145562)); #488748=CARTESIAN_POINT('Ctrl Pts',(13.7971236809647,4.59208396254048,22.8278412777062)); #488749=CARTESIAN_POINT('Ctrl Pts',(13.8680137303164,4.48122415431384,22.6971660600078)); #488750=CARTESIAN_POINT('Ctrl Pts',(14.021695817923,4.22065197955659,22.4031176611064)); #488751=CARTESIAN_POINT('Ctrl Pts',(14.0925120827468,4.09084299228047,22.2610152766009)); #488752=CARTESIAN_POINT('Ctrl Pts',(14.2933138848425,3.69899179643647,21.8245435646998)); #488753=CARTESIAN_POINT('Ctrl Pts',(14.4163161517415,3.42542089827861,21.5198333111969)); #488754=CARTESIAN_POINT('Ctrl Pts',(14.7870930073398,2.4446216535294,20.3837959462456)); #488755=CARTESIAN_POINT('Ctrl Pts',(14.8999679672624,1.7986796110646,19.5492561114436)); #488756=CARTESIAN_POINT('Ctrl Pts',(14.9430107599849,1.30630813791197,18.8974410623385)); #488757=CARTESIAN_POINT('Origin',(0.,0.,11.)); #488758=CARTESIAN_POINT('',(2.2280030701085,14.3252199411781,22.9999797930061)); #488759=CARTESIAN_POINT('Origin',(0.,3.69253757043E-12,23.00000000008)); #488760=CARTESIAN_POINT('',(2.237172080039,14.32637641151,22.98954145558)); #488761=CARTESIAN_POINT('Origin',(0.,3.615300434109E-12,22.98954145567)); #488762=CARTESIAN_POINT('Ctrl Pts',(2.22822374764316,14.3277708988677,22.9991703593977)); #488763=CARTESIAN_POINT('Ctrl Pts',(2.2295973981423,14.3275572717316,22.9976931798019)); #488764=CARTESIAN_POINT('Ctrl Pts',(2.23097081973278,14.3273434778728,22.9962158100286)); #488765=CARTESIAN_POINT('Ctrl Pts',(2.23395368068699,14.326878711237,22.9930062462215)); #488766=CARTESIAN_POINT('Ctrl Pts',(2.23556303811888,14.3266276755818,22.9912739778638)); #488767=CARTESIAN_POINT('Ctrl Pts',(2.23717208003878,14.3263764115109,22.9895414555796)); #488768=CARTESIAN_POINT('Ctrl Pts',(0.,14.52066050139,22.90705281024)); #488769=CARTESIAN_POINT('Ctrl Pts',(-14.520660501387,14.52066050139,22.90705281024)); #488770=CARTESIAN_POINT('Ctrl Pts',(-14.520660501387,3.00611558991718E-12, 22.9070528103472)); #488771=CARTESIAN_POINT('Ctrl Pts',(-14.520660501387,-14.520660501384,22.9070528104545)); #488772=CARTESIAN_POINT('Ctrl Pts',(0.,-14.520660501384,22.9070528104545)); #488773=CARTESIAN_POINT('Ctrl Pts',(14.520660501387,-14.520660501384,22.9070528104545)); #488774=CARTESIAN_POINT('Ctrl Pts',(14.520660501387,3.00611558991718E-12, 22.9070528103472)); #488775=CARTESIAN_POINT('Ctrl Pts',(14.520660501387,14.52066050139,22.90705281024)); #488776=CARTESIAN_POINT('Ctrl Pts',(0.,14.52066050139,22.90705281024)); #488777=CARTESIAN_POINT('Ctrl Pts',(0.,14.51292846218,22.93791465613)); #488778=CARTESIAN_POINT('Ctrl Pts',(-14.5129284621768,14.51292846218,22.93791465613)); #488779=CARTESIAN_POINT('Ctrl Pts',(-14.5129284621768,3.23403264086746E-12, 22.9379146562372)); #488780=CARTESIAN_POINT('Ctrl Pts',(-14.5129284621768,-14.5129284621735, 22.9379146563444)); #488781=CARTESIAN_POINT('Ctrl Pts',(0.,-14.5129284621735,22.9379146563444)); #488782=CARTESIAN_POINT('Ctrl Pts',(14.5129284621768,-14.5129284621735, 22.9379146563444)); #488783=CARTESIAN_POINT('Ctrl Pts',(14.5129284621768,3.23403264086746E-12, 22.9379146562372)); #488784=CARTESIAN_POINT('Ctrl Pts',(14.5129284621768,14.51292846218,22.93791465613)); #488785=CARTESIAN_POINT('Ctrl Pts',(0.,14.51292846218,22.93791465613)); #488786=CARTESIAN_POINT('Ctrl Pts',(0.,14.49137592823,23.02395934967)); #488787=CARTESIAN_POINT('Ctrl Pts',(-14.4913759282261,14.49137592823,23.02395934967)); #488788=CARTESIAN_POINT('Ctrl Pts',(-14.4913759282261,3.86947916831936E-12, 23.023959349777)); #488789=CARTESIAN_POINT('Ctrl Pts',(-14.4913759282261,-14.4913759282223, 23.023959349884)); #488790=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4913759282223,23.023959349884)); #488791=CARTESIAN_POINT('Ctrl Pts',(14.4913759282261,-14.4913759282223, 23.023959349884)); #488792=CARTESIAN_POINT('Ctrl Pts',(14.4913759282261,3.86947916831936E-12, 23.023959349777)); #488793=CARTESIAN_POINT('Ctrl Pts',(14.4913759282261,14.49137592823,23.02395934967)); #488794=CARTESIAN_POINT('Ctrl Pts',(0.,14.49137592823,23.02395934967)); #488795=CARTESIAN_POINT('Ctrl Pts',(0.,14.45598854161,23.16545796801)); #488796=CARTESIAN_POINT('Ctrl Pts',(-14.4559885416051,14.45598854161,23.16545796801)); #488797=CARTESIAN_POINT('Ctrl Pts',(-14.4559885416051,4.91445709739523E-12, 23.1654579681168)); #488798=CARTESIAN_POINT('Ctrl Pts',(-14.4559885416051,-14.4559885416002, 23.1654579682235)); #488799=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4559885416002,23.1654579682235)); #488800=CARTESIAN_POINT('Ctrl Pts',(14.4559885416051,-14.4559885416002, 23.1654579682235)); #488801=CARTESIAN_POINT('Ctrl Pts',(14.4559885416051,4.91445709739523E-12, 23.1654579681168)); #488802=CARTESIAN_POINT('Ctrl Pts',(14.4559885416051,14.45598854161,23.16545796801)); #488803=CARTESIAN_POINT('Ctrl Pts',(0.,14.45598854161,23.16545796801)); #488804=CARTESIAN_POINT('Ctrl Pts',(0.,14.41356770364,23.33578736769)); #488805=CARTESIAN_POINT('Ctrl Pts',(-14.4135677036338,14.41356770364,23.33578736769)); #488806=CARTESIAN_POINT('Ctrl Pts',(-14.4135677036338,6.17235251309931E-12, 23.3357873677964)); #488807=CARTESIAN_POINT('Ctrl Pts',(-14.4135677036338,-14.4135677036277, 23.3357873679029)); #488808=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4135677036277,23.3357873679029)); #488809=CARTESIAN_POINT('Ctrl Pts',(14.4135677036338,-14.4135677036277, 23.3357873679029)); #488810=CARTESIAN_POINT('Ctrl Pts',(14.4135677036338,6.17235251309931E-12, 23.3357873677964)); #488811=CARTESIAN_POINT('Ctrl Pts',(14.4135677036338,14.41356770364,23.33578736769)); #488812=CARTESIAN_POINT('Ctrl Pts',(0.,14.41356770364,23.33578736769)); #488813=CARTESIAN_POINT('Ctrl Pts',(0.,14.37011479721,23.51131634965)); #488814=CARTESIAN_POINT('Ctrl Pts',(-14.3701147972025,14.37011479721,23.51131634965)); #488815=CARTESIAN_POINT('Ctrl Pts',(-14.3701147972025,7.46864723465352E-12, 23.5113163497561)); #488816=CARTESIAN_POINT('Ctrl Pts',(-14.3701147972025,-14.3701147971951, 23.5113163498622)); #488817=CARTESIAN_POINT('Ctrl Pts',(0.,-14.3701147971951,23.5113163498622)); #488818=CARTESIAN_POINT('Ctrl Pts',(14.3701147972025,-14.3701147971951, 23.5113163498622)); #488819=CARTESIAN_POINT('Ctrl Pts',(14.3701147972025,7.46864723465352E-12, 23.5113163497561)); #488820=CARTESIAN_POINT('Ctrl Pts',(14.3701147972025,14.37011479721,23.51131634965)); #488821=CARTESIAN_POINT('Ctrl Pts',(0.,14.37011479721,23.51131634965)); #488822=CARTESIAN_POINT('Ctrl Pts',(0.,14.32719551245,23.68632580916)); #488823=CARTESIAN_POINT('Ctrl Pts',(-14.3271955124412,14.32719551245,23.68632580916)); #488824=CARTESIAN_POINT('Ctrl Pts',(-14.3271955124412,8.76110524387607E-12, 23.6863258092658)); #488825=CARTESIAN_POINT('Ctrl Pts',(-14.3271955124412,-14.3271955124325, 23.6863258093716)); #488826=CARTESIAN_POINT('Ctrl Pts',(0.,-14.3271955124325,23.6863258093716)); #488827=CARTESIAN_POINT('Ctrl Pts',(14.3271955124412,-14.3271955124325, 23.6863258093716)); #488828=CARTESIAN_POINT('Ctrl Pts',(14.3271955124412,8.76110524387607E-12, 23.6863258092658)); #488829=CARTESIAN_POINT('Ctrl Pts',(14.3271955124412,14.32719551245,23.68632580916)); #488830=CARTESIAN_POINT('Ctrl Pts',(0.,14.32719551245,23.68632580916)); #488831=CARTESIAN_POINT('Ctrl Pts',(0.,14.28769677143,23.85162145032)); #488832=CARTESIAN_POINT('Ctrl Pts',(-14.28769677142,14.28769677143,23.85162145032)); #488833=CARTESIAN_POINT('Ctrl Pts',(-14.28769677142,9.98182597465814E-12, 23.8516214504255)); #488834=CARTESIAN_POINT('Ctrl Pts',(-14.28769677142,-14.28769677141,23.851621450531)); #488835=CARTESIAN_POINT('Ctrl Pts',(0.,-14.28769677141,23.851621450531)); #488836=CARTESIAN_POINT('Ctrl Pts',(14.28769677142,-14.28769677141,23.851621450531)); #488837=CARTESIAN_POINT('Ctrl Pts',(14.28769677142,9.98182597465814E-12, 23.8516214504255)); #488838=CARTESIAN_POINT('Ctrl Pts',(14.28769677142,14.28769677143,23.85162145032)); #488839=CARTESIAN_POINT('Ctrl Pts',(0.,14.28769677143,23.85162145032)); #488840=CARTESIAN_POINT('Ctrl Pts',(0.,14.25324025792,24.00247976249)); #488841=CARTESIAN_POINT('Ctrl Pts',(-14.2532402579089,14.25324025792,24.00247976249)); #488842=CARTESIAN_POINT('Ctrl Pts',(-14.2532402579089,1.10959259459845E-11, 24.0024797625953)); #488843=CARTESIAN_POINT('Ctrl Pts',(-14.2532402579089,-14.2532402578978, 24.0024797627005)); #488844=CARTESIAN_POINT('Ctrl Pts',(0.,-14.2532402578978,24.0024797627005)); #488845=CARTESIAN_POINT('Ctrl Pts',(14.2532402579089,-14.2532402578978, 24.0024797627005)); #488846=CARTESIAN_POINT('Ctrl Pts',(14.2532402579089,1.10959259459845E-11, 24.0024797625953)); #488847=CARTESIAN_POINT('Ctrl Pts',(14.2532402579089,14.25324025792,24.00247976249)); #488848=CARTESIAN_POINT('Ctrl Pts',(0.,14.25324025792,24.00247976249)); #488849=CARTESIAN_POINT('Ctrl Pts',(0.,14.2203292670849,24.1552846689671)); #488850=CARTESIAN_POINT('Ctrl Pts',(-14.2203292670727,14.2203292670849, 24.1552846689671)); #488851=CARTESIAN_POINT('Ctrl Pts',(-14.2203292670727,1.22244016625421E-11, 24.1552846690721)); #488852=CARTESIAN_POINT('Ctrl Pts',(-14.2203292670727,-14.2203292670605, 24.1552846691772)); #488853=CARTESIAN_POINT('Ctrl Pts',(0.,-14.2203292670605,24.1552846691772)); #488854=CARTESIAN_POINT('Ctrl Pts',(14.2203292670727,-14.2203292670605, 24.1552846691772)); #488855=CARTESIAN_POINT('Ctrl Pts',(14.2203292670727,1.22244016625421E-11, 24.1552846690721)); #488856=CARTESIAN_POINT('Ctrl Pts',(14.2203292670727,14.2203292670849,24.1552846689671)); #488857=CARTESIAN_POINT('Ctrl Pts',(0.,14.2203292670849,24.1552846689671)); #488858=CARTESIAN_POINT('Ctrl Pts',(0.,14.1997785199493,24.2583198563073)); #488859=CARTESIAN_POINT('Ctrl Pts',(-14.1997785199363,14.1997785199493, 24.2583198563073)); #488860=CARTESIAN_POINT('Ctrl Pts',(-14.1997785199363,1.2985324263426E-11, 24.2583198564122)); #488861=CARTESIAN_POINT('Ctrl Pts',(-14.1997785199363,-14.1997785199233, 24.2583198565171)); #488862=CARTESIAN_POINT('Ctrl Pts',(0.,-14.1997785199234,24.2583198565171)); #488863=CARTESIAN_POINT('Ctrl Pts',(14.1997785199363,-14.1997785199233, 24.2583198565171)); #488864=CARTESIAN_POINT('Ctrl Pts',(14.1997785199363,1.2985324263426E-11, 24.2583198564122)); #488865=CARTESIAN_POINT('Ctrl Pts',(14.1997785199363,14.1997785199493,24.2583198563073)); #488866=CARTESIAN_POINT('Ctrl Pts',(0.,14.1997785199493,24.2583198563073)); #488867=CARTESIAN_POINT('Ctrl Pts',(0.,14.18884336187,24.31536690031)); #488868=CARTESIAN_POINT('Ctrl Pts',(-14.1888433618566,14.18884336187,24.31536690031)); #488869=CARTESIAN_POINT('Ctrl Pts',(-14.1888433618566,1.34066209700737E-11, 24.3153669004148)); #488870=CARTESIAN_POINT('Ctrl Pts',(-14.1888433618566,-14.1888433618432, 24.3153669005196)); #488871=CARTESIAN_POINT('Ctrl Pts',(0.,-14.1888433618432,24.3153669005196)); #488872=CARTESIAN_POINT('Ctrl Pts',(14.1888433618566,-14.1888433618432, 24.3153669005196)); #488873=CARTESIAN_POINT('Ctrl Pts',(14.1888433618566,1.34066209700737E-11, 24.3153669004148)); #488874=CARTESIAN_POINT('Ctrl Pts',(14.1888433618566,14.18884336187,24.31536690031)); #488875=CARTESIAN_POINT('Ctrl Pts',(0.,14.18884336187,24.31536690031)); #488876=CARTESIAN_POINT('Ctrl Pts',(2.22805250348315,14.3253575791581,22.9991716368499)); #488877=CARTESIAN_POINT('Ctrl Pts',(2.0071228680471,14.3006534916035,23.232308863301)); #488878=CARTESIAN_POINT('Ctrl Pts',(1.79776167159293,14.2759680129763,23.441296072059)); #488879=CARTESIAN_POINT('Ctrl Pts',(1.36206758010583,14.2245779884417,23.8472935826106)); #488880=CARTESIAN_POINT('Ctrl Pts',(1.14013740910606,14.1990468207684,24.042651566113)); #488881=CARTESIAN_POINT('Ctrl Pts',(0.922287613117569,14.1773104970336, 24.2213392610099)); #488882=CARTESIAN_POINT('Ctrl Pts',(-1.5171069434,13.46354521007,25.20377703156)); #488883=CARTESIAN_POINT('Ctrl Pts',(-3.850482698535,16.16705714265,26.85480023655)); #488884=CARTESIAN_POINT('Ctrl Pts',(-6.18385845367,18.87056907524,28.50582344155)); #488885=CARTESIAN_POINT('Ctrl Pts',(-8.517234208805,21.57408100782,30.15684664654)); #488886=CARTESIAN_POINT('Ctrl Pts',(-1.511988815803,13.46159632067,25.20174279684)); #488887=CARTESIAN_POINT('Ctrl Pts',(-3.82361895378,16.17494517057,26.85792512009)); #488888=CARTESIAN_POINT('Ctrl Pts',(-6.135249091757,18.88829402047,28.51410744334)); #488889=CARTESIAN_POINT('Ctrl Pts',(-8.446879229733,21.60164287036,30.17028976659)); #488890=CARTESIAN_POINT('Ctrl Pts',(-1.486329019615,13.45356018176,25.1925124139)); #488891=CARTESIAN_POINT('Ctrl Pts',(-3.701746528314,16.21008849393,26.87211690845)); #488892=CARTESIAN_POINT('Ctrl Pts',(-5.917164037013,18.96661680611,28.55172140299)); #488893=CARTESIAN_POINT('Ctrl Pts',(-8.132581545712,21.72314511829,30.23132589754)); #488894=CARTESIAN_POINT('Ctrl Pts',(-1.425970912559,13.44641283106,25.17964329938)); #488895=CARTESIAN_POINT('Ctrl Pts',(-3.503336703821,16.26246393209,26.89216549628)); #488896=CARTESIAN_POINT('Ctrl Pts',(-5.580702495082,19.07851503313,28.60468769318)); #488897=CARTESIAN_POINT('Ctrl Pts',(-7.658068286344,21.89456613417,30.31720989008)); #488898=CARTESIAN_POINT('Ctrl Pts',(-1.328548253562,13.44463880043,25.18547555156)); #488899=CARTESIAN_POINT('Ctrl Pts',(-3.268677950144,16.31806033936,26.88525916329)); #488900=CARTESIAN_POINT('Ctrl Pts',(-5.208807646726,19.19148187829,28.58504277503)); #488901=CARTESIAN_POINT('Ctrl Pts',(-7.148937343308,22.06490341723,30.28482638676)); #488902=CARTESIAN_POINT('Ctrl Pts',(-1.23965777165,13.44159657895,25.20581366429)); #488903=CARTESIAN_POINT('Ctrl Pts',(-3.037070648101,16.36945686717,26.84961144062)); #488904=CARTESIAN_POINT('Ctrl Pts',(-4.834483524552,19.29731715538,28.49340921695)); #488905=CARTESIAN_POINT('Ctrl Pts',(-6.631896401002,22.2251774436,30.13720699328)); #488906=CARTESIAN_POINT('Ctrl Pts',(-1.16009390566,13.43790252068,25.21992383037)); #488907=CARTESIAN_POINT('Ctrl Pts',(-2.812668324846,16.41572918564,26.8041977718)); #488908=CARTESIAN_POINT('Ctrl Pts',(-4.465242744032,19.3935558506,28.38847171322)); #488909=CARTESIAN_POINT('Ctrl Pts',(-6.117817163218,22.37138251557,29.97274565465)); #488910=CARTESIAN_POINT('Ctrl Pts',(-1.088931643123,13.43395728758,25.22661826066)); #488911=CARTESIAN_POINT('Ctrl Pts',(-2.595878789167,16.45711775803,26.74897225879)); #488912=CARTESIAN_POINT('Ctrl Pts',(-4.102825935211,19.48027822848,28.27132625692)); #488913=CARTESIAN_POINT('Ctrl Pts',(-5.609773081255,22.50343869893,29.79368025505)); #488914=CARTESIAN_POINT('Ctrl Pts',(-0.992919937937,13.4302402906,25.22640379485)); #488915=CARTESIAN_POINT('Ctrl Pts',(-2.313412789567,16.50628690509,26.65329618378)); #488916=CARTESIAN_POINT('Ctrl Pts',(-3.633905641197,19.58233351959,28.08018857271)); #488917=CARTESIAN_POINT('Ctrl Pts',(-4.954398492827,22.65838013408,29.50708096164)); #488918=CARTESIAN_POINT('Ctrl Pts',(-0.8732573324746,13.42777833032,25.19622708442)); #488919=CARTESIAN_POINT('Ctrl Pts',(-1.973907740893,16.55715285304,26.50481033759)); #488920=CARTESIAN_POINT('Ctrl Pts',(-3.074558149311,19.68652737576,27.81339359077)); #488921=CARTESIAN_POINT('Ctrl Pts',(-4.175208557729,22.81590189849,29.12197684395)); #488922=CARTESIAN_POINT('Ctrl Pts',(-0.7103941528276,13.42926952876,25.12971285831)); #488923=CARTESIAN_POINT('Ctrl Pts',(-1.585067671812,16.60352504071,26.28934103736)); #488924=CARTESIAN_POINT('Ctrl Pts',(-2.459741190796,19.77778055267,27.44896921641)); #488925=CARTESIAN_POINT('Ctrl Pts',(-3.33441470978,22.95203606463,28.60859739546)); #488926=CARTESIAN_POINT('Ctrl Pts',(-0.4399758057393,13.43573742439,25.00899596124)); #488927=CARTESIAN_POINT('Ctrl Pts',(-1.074854510499,16.64974070293,25.98012940961)); #488928=CARTESIAN_POINT('Ctrl Pts',(-1.709733215258,19.86374398147,26.95126285797)); #488929=CARTESIAN_POINT('Ctrl Pts',(-2.344611920018,23.07774726,27.92239630634)); #488930=CARTESIAN_POINT('Ctrl Pts',(-0.01609031337574,13.4432583457,24.80516140713)); #488931=CARTESIAN_POINT('Ctrl Pts',(-0.4510429032249,16.68163917931,25.58647619755)); #488932=CARTESIAN_POINT('Ctrl Pts',(-0.8859954930741,19.92002001293,26.36779098798)); #488933=CARTESIAN_POINT('Ctrl Pts',(-1.320948082923,23.15840084655,27.14910577841)); #488934=CARTESIAN_POINT('Ctrl Pts',(0.6041282364017,13.43216508684,24.40237354786)); #488935=CARTESIAN_POINT('Ctrl Pts',(0.2751391822741,16.68466010944,25.04686011869)); #488936=CARTESIAN_POINT('Ctrl Pts',(-0.05384987185353,19.93715513203,25.69134668952)); #488937=CARTESIAN_POINT('Ctrl Pts',(-0.3828389259811,23.18965015463,26.33583326035)); #488938=CARTESIAN_POINT('Ctrl Pts',(1.308234914811,13.3879907022,23.82345482846)); #488939=CARTESIAN_POINT('Ctrl Pts',(0.9900596434781,16.6548572602,24.43046003813)); #488940=CARTESIAN_POINT('Ctrl Pts',(0.6718843721457,19.9217238182,25.0374652478)); #488941=CARTESIAN_POINT('Ctrl Pts',(0.3537091008132,23.18859037621,25.64447045746)); #488942=CARTESIAN_POINT('Ctrl Pts',(2.0949132353,13.29994295607,23.07888342483)); #488943=CARTESIAN_POINT('Ctrl Pts',(1.710020011833,16.59057631841,23.73158102333)); #488944=CARTESIAN_POINT('Ctrl Pts',(1.325126788366,19.88120968075,24.38427862183)); #488945=CARTESIAN_POINT('Ctrl Pts',(0.9402335648986,23.17184304309,25.03697622033)); #488946=CARTESIAN_POINT('Ctrl Pts',(2.681651245389,13.20236584203,22.4299403944)); #488947=CARTESIAN_POINT('Ctrl Pts',(2.214754919528,16.51983557102,23.175253531)); #488948=CARTESIAN_POINT('Ctrl Pts',(1.747858593667,19.8373053,23.92056666759)); #488949=CARTESIAN_POINT('Ctrl Pts',(1.280962267806,23.15477502899,24.66587980419)); #488950=CARTESIAN_POINT('Ctrl Pts',(3.002855911067,13.13798875644,22.06230354995)); #488951=CARTESIAN_POINT('Ctrl Pts',(2.48368992976,16.47369845012,22.86932127168)); #488952=CARTESIAN_POINT('Ctrl Pts',(1.964523948453,19.8094081438,23.67633899341)); #488953=CARTESIAN_POINT('Ctrl Pts',(1.445357967146,23.14511783747,24.48335671514)); #488954=CARTESIAN_POINT('Ctrl Pts',(3.026094118855,13.13327632414,22.03565008267)); #488955=CARTESIAN_POINT('Ctrl Pts',(2.503110723498,16.47032354291,22.84718433385)); #488956=CARTESIAN_POINT('Ctrl Pts',(1.980127328141,19.80737076168,23.65871858502)); #488957=CARTESIAN_POINT('Ctrl Pts',(1.457143932784,23.14441798045,24.4702528362)); #488958=CARTESIAN_POINT('',(2.76798310560865,14.3761484109474,22.4094355864061)); #488959=CARTESIAN_POINT('Ctrl Pts',(2.76798310839071,14.3761484099934,22.4094355888293)); #488960=CARTESIAN_POINT('Ctrl Pts',(2.68024903073297,14.3705338122222,22.5079507306614)); #488961=CARTESIAN_POINT('Ctrl Pts',(2.59419211360647,14.3632969096699,22.603564930824)); #488962=CARTESIAN_POINT('Ctrl Pts',(2.41786069842467,14.3462679130869,22.7966288304015)); #488963=CARTESIAN_POINT('Ctrl Pts',(2.3277607575635,14.3364876594052,22.8938143749153)); #488964=CARTESIAN_POINT('Ctrl Pts',(2.23717208003868,14.3263764115094,22.9895414555796)); #488965=CARTESIAN_POINT('',(1.45112286034083,22.9541770145057,24.4636599144297)); #488966=CARTESIAN_POINT('',(1.451123376752,22.95417363369,24.46365910605)); #488967=CARTESIAN_POINT('Ctrl Pts',(1.45112286078848,22.9541770151653,24.4636599147955)); #488968=CARTESIAN_POINT('Ctrl Pts',(1.17396745563832,22.9716982943718,24.7712625656219)); #488969=CARTESIAN_POINT('Ctrl Pts',(0.885103945830872,22.9847550641911, 25.0814454665322)); #488970=CARTESIAN_POINT('Ctrl Pts',(0.307470315229085,22.9997834368584, 25.6680554560249)); #488971=CARTESIAN_POINT('Ctrl Pts',(-0.0946701030646134,23.0048934161034, 26.0540646965239)); #488972=CARTESIAN_POINT('Ctrl Pts',(-0.933127492146944,22.9838035944016, 26.7914586462191)); #488973=CARTESIAN_POINT('Ctrl Pts',(-1.28178938912104,22.9673628772425, 27.0795455013882)); #488974=CARTESIAN_POINT('Ctrl Pts',(-1.90975995032574,22.9219593248802, 27.5643386805265)); #488975=CARTESIAN_POINT('Ctrl Pts',(-2.16563713570139,22.8992800054169, 27.7538726157953)); #488976=CARTESIAN_POINT('Ctrl Pts',(-3.08589683721618,22.8017878173254, 28.414674526002)); #488977=CARTESIAN_POINT('Ctrl Pts',(-3.58911414918022,22.7223992359943, 28.7359111385311)); #488978=CARTESIAN_POINT('Ctrl Pts',(-4.32854121372723,22.5912286242092, 29.1522332010488)); #488979=CARTESIAN_POINT('Ctrl Pts',(-4.64275948348578,22.5287624905086, 29.3091803469681)); #488980=CARTESIAN_POINT('Ctrl Pts',(-5.282026561574,22.3878164619253,29.6044209984008)); #488981=CARTESIAN_POINT('Ctrl Pts',(-5.51533401754728,22.3297641941045, 29.6984897053942)); #488982=CARTESIAN_POINT('Ctrl Pts',(-5.89087432694846,22.2336559329903, 29.8359855736395)); #488983=CARTESIAN_POINT('Ctrl Pts',(-6.09981142651081,22.1772244534592, 29.9054139757766)); #488984=CARTESIAN_POINT('Ctrl Pts',(-6.4191183550951,22.0865211262409,30.0067891189729)); #488985=CARTESIAN_POINT('Ctrl Pts',(-6.58810080355769,22.0367361934711, 30.0589985977698)); #488986=CARTESIAN_POINT('Ctrl Pts',(-6.9582871430997,21.9235309673548,30.1603545954795)); #488987=CARTESIAN_POINT('Ctrl Pts',(-7.16192778512203,21.8578468158306, 30.1990639512271)); #488988=CARTESIAN_POINT('Ctrl Pts',(-7.51704602277379,21.7373330637014, 30.2008540823512)); #488989=CARTESIAN_POINT('Ctrl Pts',(-7.63659244642407,21.6956020370925, 30.1898591406297)); #488990=CARTESIAN_POINT('Ctrl Pts',(-7.8972293437156,21.6022122457828,30.153786358624)); #488991=CARTESIAN_POINT('Ctrl Pts',(-8.04480562173587,21.5476848752038, 30.1261624592492)); #488992=CARTESIAN_POINT('Ctrl Pts',(-8.18222716683885,21.4953752837294, 30.0999434956579)); #488993=CARTESIAN_POINT('Origin',(3.635384560344E-10,6.650716269761E-11, 35.)); #488994=CARTESIAN_POINT('',(6.47403240804459,22.0700453906313,15.1940291643614)); #488995=CARTESIAN_POINT('Ctrl Pts',(6.474032404566,22.070045410469,15.1940291617099)); #488996=CARTESIAN_POINT('Ctrl Pts',(6.4244210287904,22.0845984233195,15.3679996086827)); #488997=CARTESIAN_POINT('Ctrl Pts',(6.37046075822201,22.1002332983635,15.5554055809377)); #488998=CARTESIAN_POINT('Ctrl Pts',(6.26814473265799,22.1294589074886,15.895932152524)); #488999=CARTESIAN_POINT('Ctrl Pts',(6.21802240147882,22.1436163595662,16.0563731584982)); #489000=CARTESIAN_POINT('Ctrl Pts',(6.06280664628936,22.1867682480994,16.520572279563)); #489001=CARTESIAN_POINT('Ctrl Pts',(5.92764456223103,22.2231822746854,16.8887158316767)); #489002=CARTESIAN_POINT('Ctrl Pts',(5.68308771439345,22.2874752005774,17.5447100745851)); #489003=CARTESIAN_POINT('Ctrl Pts',(5.49810563220603,22.33426768034,18.0171032653813)); #489004=CARTESIAN_POINT('Ctrl Pts',(5.17270910213849,22.4110689049864,18.7479869499)); #489005=CARTESIAN_POINT('Ctrl Pts',(5.05037334358682,22.4389390889062,19.0081416864167)); #489006=CARTESIAN_POINT('Ctrl Pts',(4.81444864374553,22.4908471611972,19.4952611765365)); #489007=CARTESIAN_POINT('Ctrl Pts',(4.68049059823076,22.5192055352304,19.7612563020441)); #489008=CARTESIAN_POINT('Ctrl Pts',(4.26994052947022,22.6018023186085,20.5301735122574)); #489009=CARTESIAN_POINT('Ctrl Pts',(3.96179404336546,22.6585550575459,21.0621223917284)); #489010=CARTESIAN_POINT('Ctrl Pts',(3.54768508718108,22.7249368087223,21.7158511803063)); #489011=CARTESIAN_POINT('Ctrl Pts',(3.45213502381955,22.7396513959854,21.8627721787734)); #489012=CARTESIAN_POINT('Ctrl Pts',(3.20864768236686,22.7755897333202,22.2284447359666)); #489013=CARTESIAN_POINT('Ctrl Pts',(3.05734286016994,22.7964539566634,22.4469154175771)); #489014=CARTESIAN_POINT('Ctrl Pts',(2.67794307979573,22.8446497726625,22.9720284582965)); #489015=CARTESIAN_POINT('Ctrl Pts',(2.44048171920179,22.8712188255676,23.2835122725936)); #489016=CARTESIAN_POINT('Ctrl Pts',(2.10087347700135,22.9041679621193,23.7096584453199)); #489017=CARTESIAN_POINT('Ctrl Pts',(1.98248177457475,22.9147540265398,23.853683113753)); #489018=CARTESIAN_POINT('Ctrl Pts',(1.72305417601405,22.935753465476,24.1584052559581)); #489019=CARTESIAN_POINT('Ctrl Pts',(1.58789277830112,22.9455306605669,24.3118649734253)); #489020=CARTESIAN_POINT('Ctrl Pts',(1.45112286078822,22.9541770151653,24.4636599147952)); #489021=CARTESIAN_POINT('Ctrl Pts',(5.74556920329641,22.2707977972459,14.9380248821768)); #489022=CARTESIAN_POINT('Ctrl Pts',(5.86713662021529,22.2394350228565,14.9818498909032)); #489023=CARTESIAN_POINT('Ctrl Pts',(5.98863953753665,22.2070272405364,15.0250941769961)); #489024=CARTESIAN_POINT('Ctrl Pts',(6.23147970684404,22.1401111748737,15.110428858483)); #489025=CARTESIAN_POINT('Ctrl Pts',(6.35280984535374,22.1056048651725,15.1525167610012)); #489026=CARTESIAN_POINT('Ctrl Pts',(6.47403243162982,22.0700454025301,15.1940291056812)); #489027=CARTESIAN_POINT('Ctrl Pts',(7.243034421787,12.89954198013,10.83648934055)); #489028=CARTESIAN_POINT('Ctrl Pts',(7.517218562788,12.76282831089,10.93727704916)); #489029=CARTESIAN_POINT('Ctrl Pts',(7.791402703789,12.62611464166,11.03806475777)); #489030=CARTESIAN_POINT('Ctrl Pts',(8.06558684479,12.48940097242,11.13885246638)); #489031=CARTESIAN_POINT('Ctrl Pts',(7.232655618689,12.96097575771,10.86309962618)); #489032=CARTESIAN_POINT('Ctrl Pts',(7.507026689692,12.8246104085,10.96392405647)); #489033=CARTESIAN_POINT('Ctrl Pts',(7.781397760695,12.6882450593,11.06474848676)); #489034=CARTESIAN_POINT('Ctrl Pts',(8.055768831698,12.55187971009,11.16557291705)); #489035=CARTESIAN_POINT('Ctrl Pts',(6.703329713132,16.09413953804,12.22024200659)); #489036=CARTESIAN_POINT('Ctrl Pts',(6.987234339363,15.97553874359,12.32293926704)); #489037=CARTESIAN_POINT('Ctrl Pts',(7.271138965594,15.85693794914,12.4256365275)); #489038=CARTESIAN_POINT('Ctrl Pts',(7.555043591825,15.7383371547,12.52833378795)); #489039=CARTESIAN_POINT('Ctrl Pts',(6.163624864311,19.28873792562,13.60399503201)); #489040=CARTESIAN_POINT('Ctrl Pts',(6.457249978296,19.18825001066,13.7086018448)); #489041=CARTESIAN_POINT('Ctrl Pts',(6.750875092281,19.08776209571,13.81320865759)); #489042=CARTESIAN_POINT('Ctrl Pts',(7.044500206266,18.98727418076,13.91781547038)); #489043=CARTESIAN_POINT('Ctrl Pts',(5.634298818588,22.42190253562,14.9611377718)); #489044=CARTESIAN_POINT('Ctrl Pts',(5.937457490325,22.33917918013,15.06761741525)); #489045=CARTESIAN_POINT('Ctrl Pts',(6.240616162062,22.25645582463,15.1740970587)); #489046=CARTESIAN_POINT('Ctrl Pts',(6.5437748338,22.17373246914,15.28057670215)); #489047=CARTESIAN_POINT('Ctrl Pts',(5.623919875323,22.48333714286,14.98774841681)); #489048=CARTESIAN_POINT('Ctrl Pts',(5.927265479587,22.40096211211,15.09426478243)); #489049=CARTESIAN_POINT('Ctrl Pts',(6.230611083851,22.31858708135,15.20078114806)); #489050=CARTESIAN_POINT('Ctrl Pts',(6.533956688115,22.2362120506,15.30729751368)); #489051=CARTESIAN_POINT('',(7.35182337106933,13.0748115319761,10.9999997722667)); #489052=CARTESIAN_POINT('',(7.95452781603208,12.7171335916104,11.1890452637017)); #489053=CARTESIAN_POINT('Ctrl Pts',(7.35182340726333,13.0748113786936,10.9999994007256)); #489054=CARTESIAN_POINT('Ctrl Pts',(7.45362189486756,13.0175712027311,11.0335311239059)); #489055=CARTESIAN_POINT('Ctrl Pts',(7.55497461757654,12.9590169980706,11.0661123459164)); #489056=CARTESIAN_POINT('Ctrl Pts',(7.7559081321511,12.8397595304821,11.1291178620966)); #489057=CARTESIAN_POINT('Ctrl Pts',(7.85547553509915,12.7790905053464,11.1595511117415)); #489058=CARTESIAN_POINT('Ctrl Pts',(7.9545278704156,12.7171335747794,11.189045045704)); #489059=CARTESIAN_POINT('Ctrl Pts',(7.28168245068319,13.1140039690519,10.9767683569765)); #489060=CARTESIAN_POINT('Ctrl Pts',(7.2894910452787,13.1096681684606,10.9793687369189)); #489061=CARTESIAN_POINT('Ctrl Pts',(7.29729454298806,13.1053261279231,10.9819627323448)); #489062=CARTESIAN_POINT('Ctrl Pts',(7.30509330617146,13.1009775140873,10.9845504024972)); #489063=CARTESIAN_POINT('Ctrl Pts',(7.31289206935486,13.0966289002515,10.9871380726497)); #489064=CARTESIAN_POINT('Ctrl Pts',(7.32068609798433,13.0922737131224,10.9897194176033)); #489065=CARTESIAN_POINT('Ctrl Pts',(7.32847426841124,13.0879129294077,10.9922942623669)); #489066=CARTESIAN_POINT('Ctrl Pts',(7.33626243883816,13.0835521456931,10.9948691071305)); #489067=CARTESIAN_POINT('Ctrl Pts',(7.34404475112167,13.0791857653792,10.9974374515439)); #489068=CARTESIAN_POINT('Ctrl Pts',(7.35182339531272,13.0748119173867,10.9999996827027)); #489069=CARTESIAN_POINT('',(6.474033884938,22.07003589667,15.19402517478)); #489070=CARTESIAN_POINT('Origin',(0.,0.,9.6)); #489071=CARTESIAN_POINT('',(5.2407245271204,14.0547078985404,18.8974407639248)); #489072=CARTESIAN_POINT('Ctrl Pts',(5.24072443743436,14.0547076586772,18.8974407637873)); #489073=CARTESIAN_POINT('Ctrl Pts',(5.83946738330838,13.8314481740698,17.8274784146628)); #489074=CARTESIAN_POINT('Ctrl Pts',(6.24605658254137,13.6416898629266,16.8899738266555)); #489075=CARTESIAN_POINT('Ctrl Pts',(6.65718925605676,13.442392751057,15.8561636121887)); #489076=CARTESIAN_POINT('Ctrl Pts',(6.79215447960997,13.3746817146708,15.5037596404879)); #489077=CARTESIAN_POINT('Ctrl Pts',(7.02409835315171,13.2544623384982,14.8499273205759)); #489078=CARTESIAN_POINT('Ctrl Pts',(7.12891704396845,13.1980891229557,14.5182561654268)); #489079=CARTESIAN_POINT('Ctrl Pts',(7.36091037568146,13.0706737331326,13.7400856101735)); #489080=CARTESIAN_POINT('Ctrl Pts',(7.50567962259922,12.9878296052323,13.2078373847163)); #489081=CARTESIAN_POINT('Ctrl Pts',(7.68441174300934,12.8823407194174,12.4581262691197)); #489082=CARTESIAN_POINT('Ctrl Pts',(7.74055916568846,12.8486489105495,12.2041845799913)); #489083=CARTESIAN_POINT('Ctrl Pts',(7.84865966573263,12.7828991600276,11.699424828443)); #489084=CARTESIAN_POINT('Ctrl Pts',(7.90152613531781,12.7502859953227,11.4444664379097)); #489085=CARTESIAN_POINT('Ctrl Pts',(7.9545276176354,12.7171337328926,11.1890452041955)); #489086=CARTESIAN_POINT('Origin',(0.,-2.660516932358E-11,18.89744094588)); #489087=CARTESIAN_POINT('Origin',(0.,0.,11.)); #489088=CARTESIAN_POINT('Ctrl Pts',(2.70933805143,14.22051497491,22.4645537829)); #489089=CARTESIAN_POINT('Ctrl Pts',(2.265648488627,17.18983197702,23.16176909474)); #489090=CARTESIAN_POINT('Ctrl Pts',(1.821958925825,20.15914897914,23.85898440657)); #489091=CARTESIAN_POINT('Ctrl Pts',(1.378269363022,23.12846598125,24.5561997184)); #489092=CARTESIAN_POINT('Ctrl Pts',(2.737775399114,14.21525862811,22.43258744138)); #489093=CARTESIAN_POINT('Ctrl Pts',(2.289812656186,17.18603201953,23.13471337925)); #489094=CARTESIAN_POINT('Ctrl Pts',(1.841849913258,20.15680541094,23.83683931711)); #489095=CARTESIAN_POINT('Ctrl Pts',(1.39388717033,23.12757880236,24.53896525498)); #489096=CARTESIAN_POINT('Ctrl Pts',(3.126400662317,14.14241733143,21.99263853096)); #489097=CARTESIAN_POINT('Ctrl Pts',(2.619788517476,17.13337869525,22.76300063512)); #489098=CARTESIAN_POINT('Ctrl Pts',(2.113176372636,20.12434005906,23.53336273929)); #489099=CARTESIAN_POINT('Ctrl Pts',(1.606564227796,23.11530142288,24.30372484345)); #489100=CARTESIAN_POINT('Ctrl Pts',(3.835307632851,13.98301258021,21.10890388612)); #489101=CARTESIAN_POINT('Ctrl Pts',(3.215449661989,17.0183122113,22.03274794262)); #489102=CARTESIAN_POINT('Ctrl Pts',(2.595591691128,20.05361184239,22.95659199912)); #489103=CARTESIAN_POINT('Ctrl Pts',(1.975733720267,23.08891147347,23.88043605562)); #489104=CARTESIAN_POINT('Ctrl Pts',(5.065325487943,13.616817302,19.31483711176)); #489105=CARTESIAN_POINT('Ctrl Pts',(4.244919064522,16.75499692911,20.57240768168)); #489106=CARTESIAN_POINT('Ctrl Pts',(3.4245126411,19.89317655623,21.82997825159)); #489107=CARTESIAN_POINT('Ctrl Pts',(2.604106217679,23.03135618335,23.08754882151)); #489108=CARTESIAN_POINT('Ctrl Pts',(6.089816003938,13.19893992563,17.39939220247)); #489109=CARTESIAN_POINT('Ctrl Pts',(5.124447016961,16.45309600937,19.02828483673)); #489110=CARTESIAN_POINT('Ctrl Pts',(4.159078029985,19.70725209312,20.657177471)); #489111=CARTESIAN_POINT('Ctrl Pts',(3.193709043008,22.96140817687,22.28607010527)); #489112=CARTESIAN_POINT('Ctrl Pts',(6.789481165862,12.85570743391,15.65273895766)); #489113=CARTESIAN_POINT('Ctrl Pts',(5.79836660283,16.19234247628,17.53949964246)); #489114=CARTESIAN_POINT('Ctrl Pts',(4.807252039797,19.52897751865,19.42626032726)); #489115=CARTESIAN_POINT('Ctrl Pts',(3.816137476764,22.86561256102,21.31302101206)); #489116=CARTESIAN_POINT('Ctrl Pts',(7.149991860214,12.66000013178,14.65068788903)); #489117=CARTESIAN_POINT('Ctrl Pts',(6.176666847747,16.0376082923,16.636103231)); #489118=CARTESIAN_POINT('Ctrl Pts',(5.203341835279,19.41521645281,18.62151857297)); #489119=CARTESIAN_POINT('Ctrl Pts',(4.230016822812,22.79282461332,20.60693391493)); #489120=CARTESIAN_POINT('Ctrl Pts',(7.380511067355,12.52944917531,13.89066048306)); #489121=CARTESIAN_POINT('Ctrl Pts',(6.447224611713,15.92808915658,15.91825500222)); #489122=CARTESIAN_POINT('Ctrl Pts',(5.513938156071,19.32672913784,17.94584952139)); #489123=CARTESIAN_POINT('Ctrl Pts',(4.58065170043,22.7253691191,19.97344404055)); #489124=CARTESIAN_POINT('Ctrl Pts',(7.585250117439,12.4055862833,13.13223183209)); #489125=CARTESIAN_POINT('Ctrl Pts',(6.713926960759,15.81819588825,15.15303760259)); #489126=CARTESIAN_POINT('Ctrl Pts',(5.842603804078,19.2308054932,17.17384337308)); #489127=CARTESIAN_POINT('Ctrl Pts',(4.971280647397,22.64341509815,19.19464914358)); #489128=CARTESIAN_POINT('Ctrl Pts',(7.766325277598,12.28932843216,12.37992242505)); #489129=CARTESIAN_POINT('Ctrl Pts',(6.983914098414,15.70631198184,14.32820730407)); #489130=CARTESIAN_POINT('Ctrl Pts',(6.201502919231,19.12329553152,16.27649218309)); #489131=CARTESIAN_POINT('Ctrl Pts',(5.419091740047,22.5402790812,18.2247770621)); #489132=CARTESIAN_POINT('Ctrl Pts',(7.892288254761,12.20599975151,11.76065097913)); #489133=CARTESIAN_POINT('Ctrl Pts',(7.201500043243,15.61704583643,13.56987465075)); #489134=CARTESIAN_POINT('Ctrl Pts',(6.510711831725,19.02809192135,15.37909832238)); #489135=CARTESIAN_POINT('Ctrl Pts',(5.819923620208,22.43913800627,17.18832199401)); #489136=CARTESIAN_POINT('Ctrl Pts',(7.984724864849,12.14090303866,11.27595002836)); #489137=CARTESIAN_POINT('Ctrl Pts',(7.383989667823,15.54077395313,12.9097614603)); #489138=CARTESIAN_POINT('Ctrl Pts',(6.783254470797,18.9406448676,14.54357289225)); #489139=CARTESIAN_POINT('Ctrl Pts',(6.182519273771,22.34051578207,16.1773843242)); #489140=CARTESIAN_POINT('Ctrl Pts',(8.033254191566,12.10651519416,11.0257216164)); #489141=CARTESIAN_POINT('Ctrl Pts',(7.476331377471,15.50076206064,12.53726348082)); #489142=CARTESIAN_POINT('Ctrl Pts',(6.919408563376,18.89500892712,14.04880534524)); #489143=CARTESIAN_POINT('Ctrl Pts',(6.362485749282,22.28925579361,15.56034720967)); #489144=CARTESIAN_POINT('Ctrl Pts',(8.058405661442,12.08858733896,10.89590176613)); #489145=CARTESIAN_POINT('Ctrl Pts',(7.526494487204,15.47906113344,12.33364435075)); #489146=CARTESIAN_POINT('Ctrl Pts',(6.994583312967,18.86953492792,13.77138693537)); #489147=CARTESIAN_POINT('Ctrl Pts',(6.462672138729,22.26000872239,15.20912951999)); #489148=CARTESIAN_POINT('Ctrl Pts',(8.060870663636,12.08682921777,10.88317586078)); #489149=CARTESIAN_POINT('Ctrl Pts',(7.531436828234,15.47692385198,12.3135818877)); #489150=CARTESIAN_POINT('Ctrl Pts',(7.002002992831,18.86701848619,13.74398791462)); #489151=CARTESIAN_POINT('Ctrl Pts',(6.472569157429,22.25711312039,15.17439394155)); #489152=CARTESIAN_POINT('Ctrl Pts',(5.24072446342577,14.0547080589378,18.8974406948131)); #489153=CARTESIAN_POINT('Ctrl Pts',(4.88118243605315,14.1887742239698,19.5399475412069)); #489154=CARTESIAN_POINT('Ctrl Pts',(4.48134472786476,14.2920175410768,20.1792697282507)); #489155=CARTESIAN_POINT('Ctrl Pts',(3.53060964561632,14.4126485214008,21.5237717848351)); #489156=CARTESIAN_POINT('Ctrl Pts',(3.08419772522359,14.396384769915,22.0543634115973)); #489157=CARTESIAN_POINT('Ctrl Pts',(2.76798310839292,14.3761484099926,22.4094355888312)); #489158=CARTESIAN_POINT('Ctrl Pts',(0.,14.9997887488,18.80162297035)); #489159=CARTESIAN_POINT('Ctrl Pts',(-14.9997887488273,14.9997887488,18.80162297035)); #489160=CARTESIAN_POINT('Ctrl Pts',(-14.9997887488273,-2.73127922721394E-11, 18.8016229704608)); #489161=CARTESIAN_POINT('Ctrl Pts',(-14.9997887488273,-14.9997887488546, 18.8016229705715)); #489162=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9997887488546,18.8016229705715)); #489163=CARTESIAN_POINT('Ctrl Pts',(14.9997887488273,-14.9997887488546, 18.8016229705715)); #489164=CARTESIAN_POINT('Ctrl Pts',(14.9997887488273,-2.73127922721394E-11, 18.8016229704608)); #489165=CARTESIAN_POINT('Ctrl Pts',(14.9997887488273,14.9997887488,18.80162297035)); #489166=CARTESIAN_POINT('Ctrl Pts',(0.,14.9997887488,18.80162297035)); #489167=CARTESIAN_POINT('Ctrl Pts',(0.,14.99992980179,18.83356208363)); #489168=CARTESIAN_POINT('Ctrl Pts',(-14.9999298018171,14.99992980179,18.83356208363)); #489169=CARTESIAN_POINT('Ctrl Pts',(-14.9999298018171,-2.70769195205644E-11, 18.8335620837408)); #489170=CARTESIAN_POINT('Ctrl Pts',(-14.9999298018171,-14.9999298018442, 18.8335620838516)); #489171=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9999298018442,18.8335620838516)); #489172=CARTESIAN_POINT('Ctrl Pts',(14.9999298018171,-14.9999298018442, 18.8335620838516)); #489173=CARTESIAN_POINT('Ctrl Pts',(14.9999298018171,-2.70769195205644E-11, 18.8335620837408)); #489174=CARTESIAN_POINT('Ctrl Pts',(14.9999298018171,14.99992980179,18.83356208363)); #489175=CARTESIAN_POINT('Ctrl Pts',(0.,14.99992980179,18.83356208363)); #489176=CARTESIAN_POINT('Ctrl Pts',(0.,15.00009290737,18.90736949784)); #489177=CARTESIAN_POINT('Ctrl Pts',(-15.0000929073965,15.00009290737,18.90736949784)); #489178=CARTESIAN_POINT('Ctrl Pts',(-15.0000929073965,-2.65318462205098E-11, 18.9073694979508)); #489179=CARTESIAN_POINT('Ctrl Pts',(-15.0000929073965,-15.0000929074231, 18.9073694980616)); #489180=CARTESIAN_POINT('Ctrl Pts',(0.,-15.0000929074231,18.9073694980616)); #489181=CARTESIAN_POINT('Ctrl Pts',(15.0000929073965,-15.0000929074231, 18.9073694980616)); #489182=CARTESIAN_POINT('Ctrl Pts',(15.0000929073965,-2.65318462205098E-11, 18.9073694979508)); #489183=CARTESIAN_POINT('Ctrl Pts',(15.0000929073965,15.00009290737,18.90736949784)); #489184=CARTESIAN_POINT('Ctrl Pts',(0.,15.00009290737,18.90736949784)); #489185=CARTESIAN_POINT('Ctrl Pts',(0.,14.99967051244,19.05318212134)); #489186=CARTESIAN_POINT('Ctrl Pts',(-14.9996705124655,14.99967051244,19.05318212134)); #489187=CARTESIAN_POINT('Ctrl Pts',(-14.9996705124655,-2.54550090391579E-11, 19.0531821214508)); #489188=CARTESIAN_POINT('Ctrl Pts',(-14.9996705124655,-14.9996705124909, 19.0531821215615)); #489189=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9996705124909,19.0531821215615)); #489190=CARTESIAN_POINT('Ctrl Pts',(14.9996705124655,-14.9996705124909, 19.0531821215615)); #489191=CARTESIAN_POINT('Ctrl Pts',(14.9996705124655,-2.54550090391579E-11, 19.0531821214508)); #489192=CARTESIAN_POINT('Ctrl Pts',(14.9996705124655,14.99967051244,19.05318212134)); #489193=CARTESIAN_POINT('Ctrl Pts',(0.,14.99967051244,19.05318212134)); #489194=CARTESIAN_POINT('Ctrl Pts',(0.,14.99769019786,19.23667200881)); #489195=CARTESIAN_POINT('Ctrl Pts',(-14.9976901978841,14.99769019786,19.23667200881)); #489196=CARTESIAN_POINT('Ctrl Pts',(-14.9976901978841,-2.40999224322034E-11, 19.2366720089208)); #489197=CARTESIAN_POINT('Ctrl Pts',(-14.9976901978841,-14.9976901979082, 19.2366720090315)); #489198=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9976901979082,19.2366720090315)); #489199=CARTESIAN_POINT('Ctrl Pts',(14.9976901978841,-14.9976901979082, 19.2366720090315)); #489200=CARTESIAN_POINT('Ctrl Pts',(14.9976901978841,-2.40999224322034E-11, 19.2366720089208)); #489201=CARTESIAN_POINT('Ctrl Pts',(14.9976901978841,14.99769019786,19.23667200881)); #489202=CARTESIAN_POINT('Ctrl Pts',(0.,14.99769019786,19.23667200881)); #489203=CARTESIAN_POINT('Ctrl Pts',(0.,14.99333689889,19.4421404013)); #489204=CARTESIAN_POINT('Ctrl Pts',(-14.9933368989126,14.99333689889,19.4421404013)); #489205=CARTESIAN_POINT('Ctrl Pts',(-14.9933368989126,-2.25825229141445E-11, 19.4421404014107)); #489206=CARTESIAN_POINT('Ctrl Pts',(-14.9933368989126,-14.9933368989352, 19.4421404015215)); #489207=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9933368989352,19.4421404015215)); #489208=CARTESIAN_POINT('Ctrl Pts',(14.9933368989126,-14.9933368989352, 19.4421404015215)); #489209=CARTESIAN_POINT('Ctrl Pts',(14.9933368989126,-2.25825229141445E-11, 19.4421404014107)); #489210=CARTESIAN_POINT('Ctrl Pts',(14.9933368989126,14.99333689889,19.4421404013)); #489211=CARTESIAN_POINT('Ctrl Pts',(0.,14.99333689889,19.4421404013)); #489212=CARTESIAN_POINT('Ctrl Pts',(0.,14.98727207157,19.63416225315)); #489213=CARTESIAN_POINT('Ctrl Pts',(-14.9872720715912,14.98727207157,19.63416225315)); #489214=CARTESIAN_POINT('Ctrl Pts',(-14.9872720715912,-2.11644271091262E-11, 19.6341622532607)); #489215=CARTESIAN_POINT('Ctrl Pts',(-14.9872720715912,-14.9872720716123, 19.6341622533714)); #489216=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9872720716123,19.6341622533714)); #489217=CARTESIAN_POINT('Ctrl Pts',(14.9872720715912,-14.9872720716123, 19.6341622533714)); #489218=CARTESIAN_POINT('Ctrl Pts',(14.9872720715912,-2.11644271091262E-11, 19.6341622532607)); #489219=CARTESIAN_POINT('Ctrl Pts',(14.9872720715912,14.98727207157,19.63416225315)); #489220=CARTESIAN_POINT('Ctrl Pts',(0.,14.98727207157,19.63416225315)); #489221=CARTESIAN_POINT('Ctrl Pts',(0.,14.97988512931,19.81313903094)); #489222=CARTESIAN_POINT('Ctrl Pts',(-14.9798851293298,14.97988512931,19.81313903094)); #489223=CARTESIAN_POINT('Ctrl Pts',(-14.9798851293298,-1.98426701562875E-11, 19.8131390310506)); #489224=CARTESIAN_POINT('Ctrl Pts',(-14.9798851293298,-14.9798851293497, 19.8131390311613)); #489225=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9798851293497,19.8131390311613)); #489226=CARTESIAN_POINT('Ctrl Pts',(14.9798851293298,-14.9798851293497, 19.8131390311613)); #489227=CARTESIAN_POINT('Ctrl Pts',(14.9798851293298,-1.98426701562875E-11, 19.8131390310506)); #489228=CARTESIAN_POINT('Ctrl Pts',(14.9798851293298,14.97988512931,19.81313903094)); #489229=CARTESIAN_POINT('Ctrl Pts',(0.,14.97988512931,19.81313903094)); #489230=CARTESIAN_POINT('Ctrl Pts',(0.,14.97112391427,19.9871456963)); #489231=CARTESIAN_POINT('Ctrl Pts',(-14.9711239142886,14.97112391427,19.9871456963)); #489232=CARTESIAN_POINT('Ctrl Pts',(-14.9711239142886,-1.85576178572139E-11, 19.9871456964106)); #489233=CARTESIAN_POINT('Ctrl Pts',(-14.9711239142886,-14.9711239143071, 19.9871456965211)); #489234=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9711239143071,19.9871456965211)); #489235=CARTESIAN_POINT('Ctrl Pts',(14.9711239142886,-14.9711239143071, 19.9871456965211)); #489236=CARTESIAN_POINT('Ctrl Pts',(14.9711239142886,-1.85576178572139E-11, 19.9871456964106)); #489237=CARTESIAN_POINT('Ctrl Pts',(14.9711239142886,14.97112391427,19.9871456963)); #489238=CARTESIAN_POINT('Ctrl Pts',(0.,14.97112391427,19.9871456963)); #489239=CARTESIAN_POINT('Ctrl Pts',(0.,14.96040920421,20.16678002333)); #489240=CARTESIAN_POINT('Ctrl Pts',(-14.9604092042272,14.96040920421,20.16678002333)); #489241=CARTESIAN_POINT('Ctrl Pts',(-14.9604092042272,-1.72310048538278E-11, 20.1667800234405)); #489242=CARTESIAN_POINT('Ctrl Pts',(-14.9604092042272,-14.9604092042445, 20.166780023551)); #489243=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9604092042445,20.166780023551)); #489244=CARTESIAN_POINT('Ctrl Pts',(14.9604092042272,-14.9604092042445, 20.166780023551)); #489245=CARTESIAN_POINT('Ctrl Pts',(14.9604092042272,-1.72310048538278E-11, 20.1667800234405)); #489246=CARTESIAN_POINT('Ctrl Pts',(14.9604092042272,14.96040920421,20.16678002333)); #489247=CARTESIAN_POINT('Ctrl Pts',(0.,14.96040920421,20.16678002333)); #489248=CARTESIAN_POINT('Ctrl Pts',(0.,14.94679748055,20.36061144988)); #489249=CARTESIAN_POINT('Ctrl Pts',(-14.9467974805658,14.94679748055,20.36061144988)); #489250=CARTESIAN_POINT('Ctrl Pts',(-14.9467974805658,-1.57995452036734E-11, 20.3606114499904)); #489251=CARTESIAN_POINT('Ctrl Pts',(-14.9467974805658,-14.9467974805816, 20.3606114501008)); #489252=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9467974805816,20.3606114501008)); #489253=CARTESIAN_POINT('Ctrl Pts',(14.9467974805658,-14.9467974805816, 20.3606114501008)); #489254=CARTESIAN_POINT('Ctrl Pts',(14.9467974805658,-1.57995452036734E-11, 20.3606114499904)); #489255=CARTESIAN_POINT('Ctrl Pts',(14.9467974805658,14.94679748055,20.36061144988)); #489256=CARTESIAN_POINT('Ctrl Pts',(0.,14.94679748055,20.36061144988)); #489257=CARTESIAN_POINT('Ctrl Pts',(0.,14.92918063306,20.57288312013)); #489258=CARTESIAN_POINT('Ctrl Pts',(-14.9291806330742,14.92918063306,20.57288312013)); #489259=CARTESIAN_POINT('Ctrl Pts',(-14.9291806330742,-1.42319029681386E-11, 20.5728831202403)); #489260=CARTESIAN_POINT('Ctrl Pts',(-14.9291806330742,-14.9291806330885, 20.5728831203505)); #489261=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9291806330885,20.5728831203505)); #489262=CARTESIAN_POINT('Ctrl Pts',(14.9291806330742,-14.9291806330885, 20.5728831203505)); #489263=CARTESIAN_POINT('Ctrl Pts',(14.9291806330742,-1.42319029681386E-11, 20.5728831202403)); #489264=CARTESIAN_POINT('Ctrl Pts',(14.9291806330742,14.92918063306,20.57288312013)); #489265=CARTESIAN_POINT('Ctrl Pts',(0.,14.92918063306,20.57288312013)); #489266=CARTESIAN_POINT('Ctrl Pts',(0.,14.90668350891,20.80251376406)); #489267=CARTESIAN_POINT('Ctrl Pts',(-14.9066835089225,14.90668350891,20.80251376406)); #489268=CARTESIAN_POINT('Ctrl Pts',(-14.9066835089225,-1.25360634075712E-11, 20.8025137641701)); #489269=CARTESIAN_POINT('Ctrl Pts',(-14.9066835089225,-14.9066835089351, 20.8025137642802)); #489270=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9066835089351,20.8025137642802)); #489271=CARTESIAN_POINT('Ctrl Pts',(14.9066835089225,-14.9066835089351, 20.8025137642802)); #489272=CARTESIAN_POINT('Ctrl Pts',(14.9066835089225,-1.25360634075712E-11, 20.8025137641701)); #489273=CARTESIAN_POINT('Ctrl Pts',(14.9066835089225,14.90668350891,20.80251376406)); #489274=CARTESIAN_POINT('Ctrl Pts',(0.,14.90668350891,20.80251376406)); #489275=CARTESIAN_POINT('Ctrl Pts',(0.,14.87945857498,21.04066055957)); #489276=CARTESIAN_POINT('Ctrl Pts',(-14.8794585749908,14.87945857498,21.04066055957)); #489277=CARTESIAN_POINT('Ctrl Pts',(-14.8794585749908,-1.07773314276562E-11, 21.0406605596799)); #489278=CARTESIAN_POINT('Ctrl Pts',(-14.8794585749908,-14.8794585750016, 21.0406605597898)); #489279=CARTESIAN_POINT('Ctrl Pts',(0.,-14.8794585750016,21.0406605597898)); #489280=CARTESIAN_POINT('Ctrl Pts',(14.8794585749908,-14.8794585750016, 21.0406605597898)); #489281=CARTESIAN_POINT('Ctrl Pts',(14.8794585749908,-1.07773314276562E-11, 21.0406605596799)); #489282=CARTESIAN_POINT('Ctrl Pts',(14.8794585749908,14.87945857498,21.04066055957)); #489283=CARTESIAN_POINT('Ctrl Pts',(0.,14.87945857498,21.04066055957)); #489284=CARTESIAN_POINT('Ctrl Pts',(0.,14.84868646109,21.27484036964)); #489285=CARTESIAN_POINT('Ctrl Pts',(-14.848686461099,14.84868646109,21.27484036964)); #489286=CARTESIAN_POINT('Ctrl Pts',(-14.848686461099,-9.04789593330716E-12, 21.2748403697497)); #489287=CARTESIAN_POINT('Ctrl Pts',(-14.848686461099,-14.8486864611081, 21.2748403698593)); #489288=CARTESIAN_POINT('Ctrl Pts',(0.,-14.8486864611081,21.2748403698593)); #489289=CARTESIAN_POINT('Ctrl Pts',(14.848686461099,-14.8486864611081,21.2748403698593)); #489290=CARTESIAN_POINT('Ctrl Pts',(14.848686461099,-9.04789593330716E-12, 21.2748403697497)); #489291=CARTESIAN_POINT('Ctrl Pts',(14.848686461099,14.84868646109,21.27484036964)); #489292=CARTESIAN_POINT('Ctrl Pts',(0.,14.84868646109,21.27484036964)); #489293=CARTESIAN_POINT('Ctrl Pts',(0.,14.81501161349,21.500532289)); #489294=CARTESIAN_POINT('Ctrl Pts',(-14.8150116134974,14.81501161349,21.500532289)); #489295=CARTESIAN_POINT('Ctrl Pts',(-14.8150116134974,-7.38114414965758E-12, 21.5005322891094)); #489296=CARTESIAN_POINT('Ctrl Pts',(-14.8150116134974,-14.8150116135048, 21.5005322892188)); #489297=CARTESIAN_POINT('Ctrl Pts',(0.,-14.8150116135048,21.5005322892188)); #489298=CARTESIAN_POINT('Ctrl Pts',(14.8150116134974,-14.8150116135048, 21.5005322892188)); #489299=CARTESIAN_POINT('Ctrl Pts',(14.8150116134974,-7.38114414965758E-12, 21.5005322891094)); #489300=CARTESIAN_POINT('Ctrl Pts',(14.8150116134974,14.81501161349,21.500532289)); #489301=CARTESIAN_POINT('Ctrl Pts',(0.,14.81501161349,21.500532289)); #489302=CARTESIAN_POINT('Ctrl Pts',(0.,14.77788206396,21.72249425652)); #489303=CARTESIAN_POINT('Ctrl Pts',(-14.7778820639657,14.77788206396,21.72249425652)); #489304=CARTESIAN_POINT('Ctrl Pts',(-14.7778820639657,-5.74193834062651E-12, 21.7224942566291)); #489305=CARTESIAN_POINT('Ctrl Pts',(-14.7778820639657,-14.7778820639715, 21.7224942567383)); #489306=CARTESIAN_POINT('Ctrl Pts',(0.,-14.7778820639715,21.7224942567383)); #489307=CARTESIAN_POINT('Ctrl Pts',(14.7778820639657,-14.7778820639715, 21.7224942567383)); #489308=CARTESIAN_POINT('Ctrl Pts',(14.7778820639657,-5.74193834062651E-12, 21.7224942566291)); #489309=CARTESIAN_POINT('Ctrl Pts',(14.7778820639657,14.77788206396,21.72249425652)); #489310=CARTESIAN_POINT('Ctrl Pts',(0.,14.77788206396,21.72249425652)); #489311=CARTESIAN_POINT('Ctrl Pts',(0.,14.73732945281,21.94287596693)); #489312=CARTESIAN_POINT('Ctrl Pts',(-14.7373294528141,14.73732945281,21.94287596693)); #489313=CARTESIAN_POINT('Ctrl Pts',(-14.7373294528141,-4.11440284909832E-12, 21.9428759670388)); #489314=CARTESIAN_POINT('Ctrl Pts',(-14.7373294528141,-14.7373294528182, 21.9428759671477)); #489315=CARTESIAN_POINT('Ctrl Pts',(0.,-14.7373294528182,21.9428759671477)); #489316=CARTESIAN_POINT('Ctrl Pts',(14.7373294528141,-14.7373294528182, 21.9428759671477)); #489317=CARTESIAN_POINT('Ctrl Pts',(14.7373294528141,-4.11440284909832E-12, 21.9428759670388)); #489318=CARTESIAN_POINT('Ctrl Pts',(14.7373294528141,14.73732945281,21.94287596693)); #489319=CARTESIAN_POINT('Ctrl Pts',(0.,14.73732945281,21.94287596693)); #489320=CARTESIAN_POINT('Ctrl Pts',(0.,14.69554657917,22.15354319514)); #489321=CARTESIAN_POINT('Ctrl Pts',(-14.6955465791726,14.69554657917,22.15354319514)); #489322=CARTESIAN_POINT('Ctrl Pts',(-14.6955465791726,-2.55860953859294E-12, 22.1535431952485)); #489323=CARTESIAN_POINT('Ctrl Pts',(-14.6955465791726,-14.6955465791751, 22.1535431953571)); #489324=CARTESIAN_POINT('Ctrl Pts',(0.,-14.6955465791751,22.1535431953571)); #489325=CARTESIAN_POINT('Ctrl Pts',(14.6955465791726,-14.6955465791751, 22.1535431953571)); #489326=CARTESIAN_POINT('Ctrl Pts',(14.6955465791726,-2.55860953859294E-12, 22.1535431952485)); #489327=CARTESIAN_POINT('Ctrl Pts',(14.6955465791726,14.69554657917,22.15354319514)); #489328=CARTESIAN_POINT('Ctrl Pts',(0.,14.69554657917,22.15354319514)); #489329=CARTESIAN_POINT('Ctrl Pts',(0.,14.65510897876,22.34409731383)); #489330=CARTESIAN_POINT('Ctrl Pts',(-14.6551089787612,14.65510897876,22.34409731383)); #489331=CARTESIAN_POINT('Ctrl Pts',(-14.6551089787612,-1.15135305325297E-12, 22.3440973139382)); #489332=CARTESIAN_POINT('Ctrl Pts',(-14.6551089787612,-14.6551089787623, 22.3440973140465)); #489333=CARTESIAN_POINT('Ctrl Pts',(0.,-14.6551089787623,22.3440973140465)); #489334=CARTESIAN_POINT('Ctrl Pts',(14.6551089787612,-14.6551089787623, 22.3440973140465)); #489335=CARTESIAN_POINT('Ctrl Pts',(14.6551089787612,-1.15135305325297E-12, 22.3440973139382)); #489336=CARTESIAN_POINT('Ctrl Pts',(14.6551089787612,14.65510897876,22.34409731383)); #489337=CARTESIAN_POINT('Ctrl Pts',(0.,14.65510897876,22.34409731383)); #489338=CARTESIAN_POINT('Ctrl Pts',(0.,14.61664866301,22.51395747322)); #489339=CARTESIAN_POINT('Ctrl Pts',(-14.6166486630099,14.61664866301,22.51395747322)); #489340=CARTESIAN_POINT('Ctrl Pts',(-14.6166486630099,1.03076987649491E-13, 22.5139574733279)); #489341=CARTESIAN_POINT('Ctrl Pts',(-14.6166486630099,-14.6166486630098, 22.5139574734359)); #489342=CARTESIAN_POINT('Ctrl Pts',(0.,-14.6166486630098,22.5139574734359)); #489343=CARTESIAN_POINT('Ctrl Pts',(14.6166486630099,-14.6166486630098, 22.5139574734359)); #489344=CARTESIAN_POINT('Ctrl Pts',(14.6166486630099,1.03076987649491E-13, 22.5139574733279)); #489345=CARTESIAN_POINT('Ctrl Pts',(14.6166486630099,14.61664866301,22.51395747322)); #489346=CARTESIAN_POINT('Ctrl Pts',(0.,14.61664866301,22.51395747322)); #489347=CARTESIAN_POINT('Ctrl Pts',(0.,14.57877523162,22.67265200704)); #489348=CARTESIAN_POINT('Ctrl Pts',(-14.5787752316187,14.57877523162,22.67265200704)); #489349=CARTESIAN_POINT('Ctrl Pts',(-14.5787752316187,1.27504804469849E-12, 22.6726520071477)); #489350=CARTESIAN_POINT('Ctrl Pts',(-14.5787752316187,-14.5787752316174, 22.6726520072553)); #489351=CARTESIAN_POINT('Ctrl Pts',(0.,-14.5787752316175,22.6726520072553)); #489352=CARTESIAN_POINT('Ctrl Pts',(14.5787752316187,-14.5787752316174, 22.6726520072553)); #489353=CARTESIAN_POINT('Ctrl Pts',(14.5787752316187,1.27504804469849E-12, 22.6726520071477)); #489354=CARTESIAN_POINT('Ctrl Pts',(14.5787752316187,14.57877523162,22.67265200704)); #489355=CARTESIAN_POINT('Ctrl Pts',(0.,14.57877523162,22.67265200704)); #489356=CARTESIAN_POINT('Ctrl Pts',(0.,14.5323740325614,22.8607563264657)); #489357=CARTESIAN_POINT('Ctrl Pts',(-14.5323740325587,14.5323740325614, 22.8607563264657)); #489358=CARTESIAN_POINT('Ctrl Pts',(-14.5323740325587,2.66421257838593E-12, 22.860756326573)); #489359=CARTESIAN_POINT('Ctrl Pts',(-14.5323740325587,-14.5323740325561, 22.8607563266804)); #489360=CARTESIAN_POINT('Ctrl Pts',(0.,-14.5323740325561,22.8607563266804)); #489361=CARTESIAN_POINT('Ctrl Pts',(14.5323740325587,-14.5323740325561, 22.8607563266804)); #489362=CARTESIAN_POINT('Ctrl Pts',(14.5323740325587,2.66421257838593E-12, 22.860756326573)); #489363=CARTESIAN_POINT('Ctrl Pts',(14.5323740325587,14.5323740325614,22.8607563264657)); #489364=CARTESIAN_POINT('Ctrl Pts',(0.,14.5323740325614,22.8607563264657)); #489365=CARTESIAN_POINT('Ctrl Pts',(0.,14.4979219623171,22.9976647317326)); #489366=CARTESIAN_POINT('Ctrl Pts',(-14.4979219623134,14.4979219623171, 22.9976647317326)); #489367=CARTESIAN_POINT('Ctrl Pts',(-14.4979219623134,3.67529143899465E-12, 22.9976647318397)); #489368=CARTESIAN_POINT('Ctrl Pts',(-14.4979219623134,-14.4979219623097, 22.9976647319468)); #489369=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4979219623097,22.9976647319468)); #489370=CARTESIAN_POINT('Ctrl Pts',(14.4979219623134,-14.4979219623097, 22.9976647319468)); #489371=CARTESIAN_POINT('Ctrl Pts',(14.4979219623134,3.67529143899465E-12, 22.9976647318397)); #489372=CARTESIAN_POINT('Ctrl Pts',(14.4979219623134,14.4979219623171,22.9976647317326)); #489373=CARTESIAN_POINT('Ctrl Pts',(0.,14.4979219623171,22.9976647317326)); #489374=CARTESIAN_POINT('Ctrl Pts',(0.,14.47675660716,23.0824975056)); #489375=CARTESIAN_POINT('Ctrl Pts',(-14.4767566071557,14.47675660716,23.0824975056)); #489376=CARTESIAN_POINT('Ctrl Pts',(-14.4767566071557,4.30178784859684E-12, 23.0824975057069)); #489377=CARTESIAN_POINT('Ctrl Pts',(-14.4767566071557,-14.4767566071514, 23.0824975058138)); #489378=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4767566071514,23.0824975058138)); #489379=CARTESIAN_POINT('Ctrl Pts',(14.4767566071557,-14.4767566071514, 23.0824975058138)); #489380=CARTESIAN_POINT('Ctrl Pts',(14.4767566071557,4.30178784859684E-12, 23.0824975057069)); #489381=CARTESIAN_POINT('Ctrl Pts',(14.4767566071557,14.47675660716,23.0824975056)); #489382=CARTESIAN_POINT('Ctrl Pts',(0.,14.47675660716,23.0824975056)); #489383=CARTESIAN_POINT('Origin',(0.,0.,11.)); #489384=CARTESIAN_POINT('',(1.73692447566468,-14.8990970654512,11.000071494631)); #489385=CARTESIAN_POINT('Origin',(0.,0.,11.)); #489386=CARTESIAN_POINT('',(-13.6329314394563,-6.25645137930156,10.9999997717398)); #489387=CARTESIAN_POINT('Origin',(-2.791229251406E-11,-2.082751489758E-10, 10.99999999985)); #489388=CARTESIAN_POINT('Origin',(0.,0.,11.)); #489389=CARTESIAN_POINT('Origin',(-2.346181198966E-10,-2.460893836376E-10, 11.00000000003)); #489390=CARTESIAN_POINT('Origin',(-3.344584751062E-10,-6.118349333281E-11, 11.00000000028)); #489391=CARTESIAN_POINT('Origin',(-1.894556770891E-10,9.090664415717E-11, 11.00000000027)); #489392=CARTESIAN_POINT('Origin',(0.,0.,11.)); #489393=CARTESIAN_POINT('Origin',(0.,0.,9.6)); #489394=CARTESIAN_POINT('',(1.03959569428848,-14.9639313196543,11.189044721874)); #489395=CARTESIAN_POINT('Ctrl Pts',(1.73693446757941,-14.899095900602,11.000142989262)); #489396=CARTESIAN_POINT('Ctrl Pts',(1.62096905493627,-14.9126151318097, 11.0336611883521)); #489397=CARTESIAN_POINT('Ctrl Pts',(1.50458473144311,-14.9248108553021, 11.0662315691247)); #489398=CARTESIAN_POINT('Ctrl Pts',(1.27209600510634,-14.9464135124157, 11.1291869437806)); #489399=CARTESIAN_POINT('Ctrl Pts',(1.15601564280984,-14.9558432340738, 11.1595845344408)); #489400=CARTESIAN_POINT('Ctrl Pts',(1.03959575013451,-14.963931324231,11.1890449413065)); #489401=CARTESIAN_POINT('',(4.02131500515911,-14.4509180008961,18.8974410486052)); #489402=CARTESIAN_POINT('Ctrl Pts',(4.02131492811242,-14.4509178341357, 18.8974410662353)); #489403=CARTESIAN_POINT('Ctrl Pts',(3.40568795767416,-14.6222308230258, 17.8274698507151)); #489404=CARTESIAN_POINT('Ctrl Pts',(2.96520685969333,-14.7077014175999, 16.8899510885565)); #489405=CARTESIAN_POINT('Ctrl Pts',(2.51543924572159,-14.7881255338751, 15.8561123992345)); #489406=CARTESIAN_POINT('Ctrl Pts',(2.3664463707845,-14.8126771913385,15.5036976628387)); #489407=CARTESIAN_POINT('Ctrl Pts',(2.10813981957845,-14.8517498817592, 14.8498658632981)); #489408=CARTESIAN_POINT('Ctrl Pts',(1.99020427840931,-14.8677537206067, 14.518190608296)); #489409=CARTESIAN_POINT('Ctrl Pts',(1.72763609376172,-14.9010330090093, 13.7400481245363)); #489410=CARTESIAN_POINT('Ctrl Pts',(1.56182366061408,-14.9191035586436, 13.2078083655766)); #489411=CARTESIAN_POINT('Ctrl Pts',(1.35522423750283,-14.9388169976503, 12.4581033988267)); #489412=CARTESIAN_POINT('Ctrl Pts',(1.28999757889825,-14.9445622579652, 12.2041654154746)); #489413=CARTESIAN_POINT('Ctrl Pts',(1.16389832119488,-14.954909228658,11.6994156366306)); #489414=CARTESIAN_POINT('Ctrl Pts',(1.10195995584196,-14.9595986694093, 11.4444615656902)); #489415=CARTESIAN_POINT('Ctrl Pts',(1.03959552656293,-14.9639313397633, 11.1890447631682)); #489416=CARTESIAN_POINT('Origin',(0.,-2.660516932546E-11,18.89744094563)); #489417=CARTESIAN_POINT('Ctrl Pts',(0.,14.99978874879,18.80162297012)); #489418=CARTESIAN_POINT('Ctrl Pts',(-14.9997887488173,14.99978874879,18.80162297012)); #489419=CARTESIAN_POINT('Ctrl Pts',(-14.9997887488173,-2.7312792273838E-11, 18.8016229702308)); #489420=CARTESIAN_POINT('Ctrl Pts',(-14.9997887488173,-14.9997887488446, 18.8016229703415)); #489421=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9997887488446,18.8016229703415)); #489422=CARTESIAN_POINT('Ctrl Pts',(14.9997887488173,-14.9997887488446, 18.8016229703415)); #489423=CARTESIAN_POINT('Ctrl Pts',(14.9997887488173,-2.7312792273838E-11, 18.8016229702308)); #489424=CARTESIAN_POINT('Ctrl Pts',(14.9997887488173,14.99978874879,18.80162297012)); #489425=CARTESIAN_POINT('Ctrl Pts',(0.,14.99978874879,18.80162297012)); #489426=CARTESIAN_POINT('Ctrl Pts',(0.,15.0001147019408,18.8754296570212)); #489427=CARTESIAN_POINT('Ctrl Pts',(-15.0001147019676,15.0001147019408, 18.8754296570212)); #489428=CARTESIAN_POINT('Ctrl Pts',(-15.0001147019676,-2.67677243450133E-11, 18.875429657132)); #489429=CARTESIAN_POINT('Ctrl Pts',(-15.0001147019676,-15.0001147019943, 18.8754296572428)); #489430=CARTESIAN_POINT('Ctrl Pts',(0.,-15.0001147019943,18.8754296572428)); #489431=CARTESIAN_POINT('Ctrl Pts',(15.0001147019676,-15.0001147019943, 18.8754296572428)); #489432=CARTESIAN_POINT('Ctrl Pts',(15.0001147019676,-2.67677243450133E-11, 18.875429657132)); #489433=CARTESIAN_POINT('Ctrl Pts',(15.0001147019676,15.0001147019408,18.8754296570212)); #489434=CARTESIAN_POINT('Ctrl Pts',(0.,15.0001147019408,18.8754296570212)); #489435=CARTESIAN_POINT('Ctrl Pts',(0.,15.0000152035358,19.0212441001061)); #489436=CARTESIAN_POINT('Ctrl Pts',(-15.0000152035615,15.0000152035358, 19.0212441001061)); #489437=CARTESIAN_POINT('Ctrl Pts',(-15.0000152035615,-2.56908737258907E-11, 19.0212441002168)); #489438=CARTESIAN_POINT('Ctrl Pts',(-15.0000152035615,-15.0000152035871, 19.0212441003276)); #489439=CARTESIAN_POINT('Ctrl Pts',(0.,-15.0000152035871,19.0212441003276)); #489440=CARTESIAN_POINT('Ctrl Pts',(15.0000152035615,-15.0000152035871, 19.0212441003276)); #489441=CARTESIAN_POINT('Ctrl Pts',(15.0000152035615,-2.56908737258907E-11, 19.0212441002168)); #489442=CARTESIAN_POINT('Ctrl Pts',(15.0000152035615,15.0000152035358,19.0212441001061)); #489443=CARTESIAN_POINT('Ctrl Pts',(0.,15.0000152035358,19.0212441001061)); #489444=CARTESIAN_POINT('Ctrl Pts',(0.,14.99769019786,19.23667200881)); #489445=CARTESIAN_POINT('Ctrl Pts',(-14.9976901978841,14.99769019786,19.23667200881)); #489446=CARTESIAN_POINT('Ctrl Pts',(-14.9976901978841,-2.40999224322034E-11, 19.2366720089208)); #489447=CARTESIAN_POINT('Ctrl Pts',(-14.9976901978841,-14.9976901979082, 19.2366720090315)); #489448=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9976901979082,19.2366720090315)); #489449=CARTESIAN_POINT('Ctrl Pts',(14.9976901978841,-14.9976901979082, 19.2366720090315)); #489450=CARTESIAN_POINT('Ctrl Pts',(14.9976901978841,-2.40999224322034E-11, 19.2366720089208)); #489451=CARTESIAN_POINT('Ctrl Pts',(14.9976901978841,14.99769019786,19.23667200881)); #489452=CARTESIAN_POINT('Ctrl Pts',(0.,14.99769019786,19.23667200881)); #489453=CARTESIAN_POINT('Ctrl Pts',(0.,14.99333689889,19.4421404013)); #489454=CARTESIAN_POINT('Ctrl Pts',(-14.9933368989126,14.99333689889,19.4421404013)); #489455=CARTESIAN_POINT('Ctrl Pts',(-14.9933368989126,-2.25825229141445E-11, 19.4421404014107)); #489456=CARTESIAN_POINT('Ctrl Pts',(-14.9933368989126,-14.9933368989352, 19.4421404015215)); #489457=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9933368989352,19.4421404015215)); #489458=CARTESIAN_POINT('Ctrl Pts',(14.9933368989126,-14.9933368989352, 19.4421404015215)); #489459=CARTESIAN_POINT('Ctrl Pts',(14.9933368989126,-2.25825229141445E-11, 19.4421404014107)); #489460=CARTESIAN_POINT('Ctrl Pts',(14.9933368989126,14.99333689889,19.4421404013)); #489461=CARTESIAN_POINT('Ctrl Pts',(0.,14.99333689889,19.4421404013)); #489462=CARTESIAN_POINT('Ctrl Pts',(0.,14.98727207157,19.63416225315)); #489463=CARTESIAN_POINT('Ctrl Pts',(-14.9872720715912,14.98727207157,19.63416225315)); #489464=CARTESIAN_POINT('Ctrl Pts',(-14.9872720715912,-2.11644271091262E-11, 19.6341622532607)); #489465=CARTESIAN_POINT('Ctrl Pts',(-14.9872720715912,-14.9872720716123, 19.6341622533714)); #489466=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9872720716123,19.6341622533714)); #489467=CARTESIAN_POINT('Ctrl Pts',(14.9872720715912,-14.9872720716123, 19.6341622533714)); #489468=CARTESIAN_POINT('Ctrl Pts',(14.9872720715912,-2.11644271091262E-11, 19.6341622532607)); #489469=CARTESIAN_POINT('Ctrl Pts',(14.9872720715912,14.98727207157,19.63416225315)); #489470=CARTESIAN_POINT('Ctrl Pts',(0.,14.98727207157,19.63416225315)); #489471=CARTESIAN_POINT('Ctrl Pts',(0.,14.97988512931,19.81313903094)); #489472=CARTESIAN_POINT('Ctrl Pts',(-14.9798851293298,14.97988512931,19.81313903094)); #489473=CARTESIAN_POINT('Ctrl Pts',(-14.9798851293298,-1.98426701562875E-11, 19.8131390310506)); #489474=CARTESIAN_POINT('Ctrl Pts',(-14.9798851293298,-14.9798851293497, 19.8131390311613)); #489475=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9798851293497,19.8131390311613)); #489476=CARTESIAN_POINT('Ctrl Pts',(14.9798851293298,-14.9798851293497, 19.8131390311613)); #489477=CARTESIAN_POINT('Ctrl Pts',(14.9798851293298,-1.98426701562875E-11, 19.8131390310506)); #489478=CARTESIAN_POINT('Ctrl Pts',(14.9798851293298,14.97988512931,19.81313903094)); #489479=CARTESIAN_POINT('Ctrl Pts',(0.,14.97988512931,19.81313903094)); #489480=CARTESIAN_POINT('Ctrl Pts',(0.,14.97112391427,19.9871456963)); #489481=CARTESIAN_POINT('Ctrl Pts',(-14.9711239142886,14.97112391427,19.9871456963)); #489482=CARTESIAN_POINT('Ctrl Pts',(-14.9711239142886,-1.85576178572139E-11, 19.9871456964106)); #489483=CARTESIAN_POINT('Ctrl Pts',(-14.9711239142886,-14.9711239143071, 19.9871456965211)); #489484=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9711239143071,19.9871456965211)); #489485=CARTESIAN_POINT('Ctrl Pts',(14.9711239142886,-14.9711239143071, 19.9871456965211)); #489486=CARTESIAN_POINT('Ctrl Pts',(14.9711239142886,-1.85576178572139E-11, 19.9871456964106)); #489487=CARTESIAN_POINT('Ctrl Pts',(14.9711239142886,14.97112391427,19.9871456963)); #489488=CARTESIAN_POINT('Ctrl Pts',(0.,14.97112391427,19.9871456963)); #489489=CARTESIAN_POINT('Ctrl Pts',(0.,14.96040920421,20.16678002333)); #489490=CARTESIAN_POINT('Ctrl Pts',(-14.9604092042272,14.96040920421,20.16678002333)); #489491=CARTESIAN_POINT('Ctrl Pts',(-14.9604092042272,-1.72310048538278E-11, 20.1667800234405)); #489492=CARTESIAN_POINT('Ctrl Pts',(-14.9604092042272,-14.9604092042445, 20.166780023551)); #489493=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9604092042445,20.166780023551)); #489494=CARTESIAN_POINT('Ctrl Pts',(14.9604092042272,-14.9604092042445, 20.166780023551)); #489495=CARTESIAN_POINT('Ctrl Pts',(14.9604092042272,-1.72310048538278E-11, 20.1667800234405)); #489496=CARTESIAN_POINT('Ctrl Pts',(14.9604092042272,14.96040920421,20.16678002333)); #489497=CARTESIAN_POINT('Ctrl Pts',(0.,14.96040920421,20.16678002333)); #489498=CARTESIAN_POINT('Ctrl Pts',(0.,14.94679748055,20.36061144988)); #489499=CARTESIAN_POINT('Ctrl Pts',(-14.9467974805658,14.94679748055,20.36061144988)); #489500=CARTESIAN_POINT('Ctrl Pts',(-14.9467974805658,-1.57995452036734E-11, 20.3606114499904)); #489501=CARTESIAN_POINT('Ctrl Pts',(-14.9467974805658,-14.9467974805816, 20.3606114501008)); #489502=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9467974805816,20.3606114501008)); #489503=CARTESIAN_POINT('Ctrl Pts',(14.9467974805658,-14.9467974805816, 20.3606114501008)); #489504=CARTESIAN_POINT('Ctrl Pts',(14.9467974805658,-1.57995452036734E-11, 20.3606114499904)); #489505=CARTESIAN_POINT('Ctrl Pts',(14.9467974805658,14.94679748055,20.36061144988)); #489506=CARTESIAN_POINT('Ctrl Pts',(0.,14.94679748055,20.36061144988)); #489507=CARTESIAN_POINT('Ctrl Pts',(0.,14.92918063306,20.57288312013)); #489508=CARTESIAN_POINT('Ctrl Pts',(-14.9291806330742,14.92918063306,20.57288312013)); #489509=CARTESIAN_POINT('Ctrl Pts',(-14.9291806330742,-1.42319029681386E-11, 20.5728831202403)); #489510=CARTESIAN_POINT('Ctrl Pts',(-14.9291806330742,-14.9291806330885, 20.5728831203505)); #489511=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9291806330885,20.5728831203505)); #489512=CARTESIAN_POINT('Ctrl Pts',(14.9291806330742,-14.9291806330885, 20.5728831203505)); #489513=CARTESIAN_POINT('Ctrl Pts',(14.9291806330742,-1.42319029681386E-11, 20.5728831202403)); #489514=CARTESIAN_POINT('Ctrl Pts',(14.9291806330742,14.92918063306,20.57288312013)); #489515=CARTESIAN_POINT('Ctrl Pts',(0.,14.92918063306,20.57288312013)); #489516=CARTESIAN_POINT('Ctrl Pts',(0.,14.90668350891,20.80251376406)); #489517=CARTESIAN_POINT('Ctrl Pts',(-14.9066835089225,14.90668350891,20.80251376406)); #489518=CARTESIAN_POINT('Ctrl Pts',(-14.9066835089225,-1.25360634075712E-11, 20.8025137641701)); #489519=CARTESIAN_POINT('Ctrl Pts',(-14.9066835089225,-14.9066835089351, 20.8025137642802)); #489520=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9066835089351,20.8025137642802)); #489521=CARTESIAN_POINT('Ctrl Pts',(14.9066835089225,-14.9066835089351, 20.8025137642802)); #489522=CARTESIAN_POINT('Ctrl Pts',(14.9066835089225,-1.25360634075712E-11, 20.8025137641701)); #489523=CARTESIAN_POINT('Ctrl Pts',(14.9066835089225,14.90668350891,20.80251376406)); #489524=CARTESIAN_POINT('Ctrl Pts',(0.,14.90668350891,20.80251376406)); #489525=CARTESIAN_POINT('Ctrl Pts',(0.,14.87945857498,21.04066055957)); #489526=CARTESIAN_POINT('Ctrl Pts',(-14.8794585749908,14.87945857498,21.04066055957)); #489527=CARTESIAN_POINT('Ctrl Pts',(-14.8794585749908,-1.07773314276562E-11, 21.0406605596799)); #489528=CARTESIAN_POINT('Ctrl Pts',(-14.8794585749908,-14.8794585750016, 21.0406605597898)); #489529=CARTESIAN_POINT('Ctrl Pts',(0.,-14.8794585750016,21.0406605597898)); #489530=CARTESIAN_POINT('Ctrl Pts',(14.8794585749908,-14.8794585750016, 21.0406605597898)); #489531=CARTESIAN_POINT('Ctrl Pts',(14.8794585749908,-1.07773314276562E-11, 21.0406605596799)); #489532=CARTESIAN_POINT('Ctrl Pts',(14.8794585749908,14.87945857498,21.04066055957)); #489533=CARTESIAN_POINT('Ctrl Pts',(0.,14.87945857498,21.04066055957)); #489534=CARTESIAN_POINT('Ctrl Pts',(0.,14.84868646109,21.27484036964)); #489535=CARTESIAN_POINT('Ctrl Pts',(-14.848686461099,14.84868646109,21.27484036964)); #489536=CARTESIAN_POINT('Ctrl Pts',(-14.848686461099,-9.04789593330716E-12, 21.2748403697497)); #489537=CARTESIAN_POINT('Ctrl Pts',(-14.848686461099,-14.8486864611081, 21.2748403698593)); #489538=CARTESIAN_POINT('Ctrl Pts',(0.,-14.8486864611081,21.2748403698593)); #489539=CARTESIAN_POINT('Ctrl Pts',(14.848686461099,-14.8486864611081,21.2748403698593)); #489540=CARTESIAN_POINT('Ctrl Pts',(14.848686461099,-9.04789593330716E-12, 21.2748403697497)); #489541=CARTESIAN_POINT('Ctrl Pts',(14.848686461099,14.84868646109,21.27484036964)); #489542=CARTESIAN_POINT('Ctrl Pts',(0.,14.84868646109,21.27484036964)); #489543=CARTESIAN_POINT('Ctrl Pts',(0.,14.81501161349,21.500532289)); #489544=CARTESIAN_POINT('Ctrl Pts',(-14.8150116134974,14.81501161349,21.500532289)); #489545=CARTESIAN_POINT('Ctrl Pts',(-14.8150116134974,-7.38114414965758E-12, 21.5005322891094)); #489546=CARTESIAN_POINT('Ctrl Pts',(-14.8150116134974,-14.8150116135048, 21.5005322892188)); #489547=CARTESIAN_POINT('Ctrl Pts',(0.,-14.8150116135048,21.5005322892188)); #489548=CARTESIAN_POINT('Ctrl Pts',(14.8150116134974,-14.8150116135048, 21.5005322892188)); #489549=CARTESIAN_POINT('Ctrl Pts',(14.8150116134974,-7.38114414965758E-12, 21.5005322891094)); #489550=CARTESIAN_POINT('Ctrl Pts',(14.8150116134974,14.81501161349,21.500532289)); #489551=CARTESIAN_POINT('Ctrl Pts',(0.,14.81501161349,21.500532289)); #489552=CARTESIAN_POINT('Ctrl Pts',(0.,14.77788206396,21.72249425652)); #489553=CARTESIAN_POINT('Ctrl Pts',(-14.7778820639657,14.77788206396,21.72249425652)); #489554=CARTESIAN_POINT('Ctrl Pts',(-14.7778820639657,-5.74193834062651E-12, 21.7224942566291)); #489555=CARTESIAN_POINT('Ctrl Pts',(-14.7778820639657,-14.7778820639715, 21.7224942567383)); #489556=CARTESIAN_POINT('Ctrl Pts',(0.,-14.7778820639715,21.7224942567383)); #489557=CARTESIAN_POINT('Ctrl Pts',(14.7778820639657,-14.7778820639715, 21.7224942567383)); #489558=CARTESIAN_POINT('Ctrl Pts',(14.7778820639657,-5.74193834062651E-12, 21.7224942566291)); #489559=CARTESIAN_POINT('Ctrl Pts',(14.7778820639657,14.77788206396,21.72249425652)); #489560=CARTESIAN_POINT('Ctrl Pts',(0.,14.77788206396,21.72249425652)); #489561=CARTESIAN_POINT('Ctrl Pts',(0.,14.73732945281,21.94287596693)); #489562=CARTESIAN_POINT('Ctrl Pts',(-14.7373294528141,14.73732945281,21.94287596693)); #489563=CARTESIAN_POINT('Ctrl Pts',(-14.7373294528141,-4.11440284909832E-12, 21.9428759670388)); #489564=CARTESIAN_POINT('Ctrl Pts',(-14.7373294528141,-14.7373294528182, 21.9428759671477)); #489565=CARTESIAN_POINT('Ctrl Pts',(0.,-14.7373294528182,21.9428759671477)); #489566=CARTESIAN_POINT('Ctrl Pts',(14.7373294528141,-14.7373294528182, 21.9428759671477)); #489567=CARTESIAN_POINT('Ctrl Pts',(14.7373294528141,-4.11440284909832E-12, 21.9428759670388)); #489568=CARTESIAN_POINT('Ctrl Pts',(14.7373294528141,14.73732945281,21.94287596693)); #489569=CARTESIAN_POINT('Ctrl Pts',(0.,14.73732945281,21.94287596693)); #489570=CARTESIAN_POINT('Ctrl Pts',(0.,14.69554657917,22.15354319514)); #489571=CARTESIAN_POINT('Ctrl Pts',(-14.6955465791726,14.69554657917,22.15354319514)); #489572=CARTESIAN_POINT('Ctrl Pts',(-14.6955465791726,-2.55860953859294E-12, 22.1535431952485)); #489573=CARTESIAN_POINT('Ctrl Pts',(-14.6955465791726,-14.6955465791751, 22.1535431953571)); #489574=CARTESIAN_POINT('Ctrl Pts',(0.,-14.6955465791751,22.1535431953571)); #489575=CARTESIAN_POINT('Ctrl Pts',(14.6955465791726,-14.6955465791751, 22.1535431953571)); #489576=CARTESIAN_POINT('Ctrl Pts',(14.6955465791726,-2.55860953859294E-12, 22.1535431952485)); #489577=CARTESIAN_POINT('Ctrl Pts',(14.6955465791726,14.69554657917,22.15354319514)); #489578=CARTESIAN_POINT('Ctrl Pts',(0.,14.69554657917,22.15354319514)); #489579=CARTESIAN_POINT('Ctrl Pts',(0.,14.65510897876,22.34409731383)); #489580=CARTESIAN_POINT('Ctrl Pts',(-14.6551089787612,14.65510897876,22.34409731383)); #489581=CARTESIAN_POINT('Ctrl Pts',(-14.6551089787612,-1.15135305325297E-12, 22.3440973139382)); #489582=CARTESIAN_POINT('Ctrl Pts',(-14.6551089787612,-14.6551089787623, 22.3440973140465)); #489583=CARTESIAN_POINT('Ctrl Pts',(0.,-14.6551089787623,22.3440973140465)); #489584=CARTESIAN_POINT('Ctrl Pts',(14.6551089787612,-14.6551089787623, 22.3440973140465)); #489585=CARTESIAN_POINT('Ctrl Pts',(14.6551089787612,-1.15135305325297E-12, 22.3440973139382)); #489586=CARTESIAN_POINT('Ctrl Pts',(14.6551089787612,14.65510897876,22.34409731383)); #489587=CARTESIAN_POINT('Ctrl Pts',(0.,14.65510897876,22.34409731383)); #489588=CARTESIAN_POINT('Ctrl Pts',(0.,14.61664866301,22.51395747322)); #489589=CARTESIAN_POINT('Ctrl Pts',(-14.6166486630099,14.61664866301,22.51395747322)); #489590=CARTESIAN_POINT('Ctrl Pts',(-14.6166486630099,1.03076987649491E-13, 22.5139574733279)); #489591=CARTESIAN_POINT('Ctrl Pts',(-14.6166486630099,-14.6166486630098, 22.5139574734359)); #489592=CARTESIAN_POINT('Ctrl Pts',(0.,-14.6166486630098,22.5139574734359)); #489593=CARTESIAN_POINT('Ctrl Pts',(14.6166486630099,-14.6166486630098, 22.5139574734359)); #489594=CARTESIAN_POINT('Ctrl Pts',(14.6166486630099,1.03076987649491E-13, 22.5139574733279)); #489595=CARTESIAN_POINT('Ctrl Pts',(14.6166486630099,14.61664866301,22.51395747322)); #489596=CARTESIAN_POINT('Ctrl Pts',(0.,14.61664866301,22.51395747322)); #489597=CARTESIAN_POINT('Ctrl Pts',(0.,14.57877523162,22.67265200704)); #489598=CARTESIAN_POINT('Ctrl Pts',(-14.5787752316187,14.57877523162,22.67265200704)); #489599=CARTESIAN_POINT('Ctrl Pts',(-14.5787752316187,1.27504804469849E-12, 22.6726520071477)); #489600=CARTESIAN_POINT('Ctrl Pts',(-14.5787752316187,-14.5787752316174, 22.6726520072553)); #489601=CARTESIAN_POINT('Ctrl Pts',(0.,-14.5787752316175,22.6726520072553)); #489602=CARTESIAN_POINT('Ctrl Pts',(14.5787752316187,-14.5787752316174, 22.6726520072553)); #489603=CARTESIAN_POINT('Ctrl Pts',(14.5787752316187,1.27504804469849E-12, 22.6726520071477)); #489604=CARTESIAN_POINT('Ctrl Pts',(14.5787752316187,14.57877523162,22.67265200704)); #489605=CARTESIAN_POINT('Ctrl Pts',(0.,14.57877523162,22.67265200704)); #489606=CARTESIAN_POINT('Ctrl Pts',(0.,14.5323740325615,22.8607563264774)); #489607=CARTESIAN_POINT('Ctrl Pts',(-14.5323740325588,14.5323740325615, 22.8607563264774)); #489608=CARTESIAN_POINT('Ctrl Pts',(-14.5323740325588,2.66421257847225E-12, 22.8607563265847)); #489609=CARTESIAN_POINT('Ctrl Pts',(-14.5323740325588,-14.5323740325561, 22.860756326692)); #489610=CARTESIAN_POINT('Ctrl Pts',(0.,-14.5323740325561,22.860756326692)); #489611=CARTESIAN_POINT('Ctrl Pts',(14.5323740325588,-14.5323740325561, 22.860756326692)); #489612=CARTESIAN_POINT('Ctrl Pts',(14.5323740325588,2.66421257847225E-12, 22.8607563265847)); #489613=CARTESIAN_POINT('Ctrl Pts',(14.5323740325588,14.5323740325615,22.8607563264774)); #489614=CARTESIAN_POINT('Ctrl Pts',(0.,14.5323740325615,22.8607563264774)); #489615=CARTESIAN_POINT('Ctrl Pts',(0.,14.4979219623173,22.997664731754)); #489616=CARTESIAN_POINT('Ctrl Pts',(-14.4979219623136,14.4979219623173, 22.997664731754)); #489617=CARTESIAN_POINT('Ctrl Pts',(-14.4979219623136,3.67529143915257E-12, 22.9976647318611)); #489618=CARTESIAN_POINT('Ctrl Pts',(-14.4979219623136,-14.4979219623099, 22.9976647319682)); #489619=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4979219623099,22.9976647319682)); #489620=CARTESIAN_POINT('Ctrl Pts',(14.4979219623136,-14.4979219623099, 22.9976647319682)); #489621=CARTESIAN_POINT('Ctrl Pts',(14.4979219623136,3.67529143915257E-12, 22.9976647318611)); #489622=CARTESIAN_POINT('Ctrl Pts',(14.4979219623136,14.4979219623173,22.997664731754)); #489623=CARTESIAN_POINT('Ctrl Pts',(0.,14.4979219623173,22.997664731754)); #489624=CARTESIAN_POINT('Ctrl Pts',(0.,14.47675660715,23.08249750563)); #489625=CARTESIAN_POINT('Ctrl Pts',(-14.4767566071457,14.47675660715,23.08249750563)); #489626=CARTESIAN_POINT('Ctrl Pts',(-14.4767566071457,4.30178784881837E-12, 23.0824975057369)); #489627=CARTESIAN_POINT('Ctrl Pts',(-14.4767566071457,-14.4767566071414, 23.0824975058438)); #489628=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4767566071414,23.0824975058438)); #489629=CARTESIAN_POINT('Ctrl Pts',(14.4767566071457,-14.4767566071414, 23.0824975058438)); #489630=CARTESIAN_POINT('Ctrl Pts',(14.4767566071457,4.30178784881837E-12, 23.0824975057369)); #489631=CARTESIAN_POINT('Ctrl Pts',(14.4767566071457,14.47675660715,23.08249750563)); #489632=CARTESIAN_POINT('Ctrl Pts',(0.,14.47675660715,23.08249750563)); #489633=CARTESIAN_POINT('Ctrl Pts',(4.02131514238077,-14.4509181732759, 18.8974409972519)); #489634=CARTESIAN_POINT('Ctrl Pts',(4.39099253535116,-14.3480465561865, 19.5399472580872)); #489635=CARTESIAN_POINT('Ctrl Pts',(4.77515244634728,-14.1965536615046, 20.1792686471283)); #489636=CARTESIAN_POINT('Ctrl Pts',(5.61521839068646,-13.7353183582683, 21.523770794101)); #489637=CARTESIAN_POINT('Ctrl Pts',(5.96681399037908,-13.4597661148996, 22.0543629896567)); #489638=CARTESIAN_POINT('Ctrl Pts',(6.21074264533904,-13.2575280270555, 22.4094355889931)); #489639=CARTESIAN_POINT('Ctrl Pts',(6.166708455147,-13.09714723358,22.46455378306)); #489640=CARTESIAN_POINT('Ctrl Pts',(8.270981594916,-15.23858096835,23.16176909489)); #489641=CARTESIAN_POINT('Ctrl Pts',(10.37525473468,-17.38001470311,23.85898440673)); #489642=CARTESIAN_POINT('Ctrl Pts',(12.47952787445,-19.52144843787,24.55619971856)); #489643=CARTESIAN_POINT('Ctrl Pts',(6.140612554462,-13.10960981327,22.43258744153)); #489644=CARTESIAN_POINT('Ctrl Pts',(8.249198813728,-15.24971007948,23.1347133794)); #489645=CARTESIAN_POINT('Ctrl Pts',(10.35778507299,-17.38981034568,23.83683931727)); #489646=CARTESIAN_POINT('Ctrl Pts',(12.46637133226,-19.52991061189,24.53896525514)); #489647=CARTESIAN_POINT('Ctrl Pts',(5.783393072132,-13.27910816475,21.99263853113)); #489648=CARTESIAN_POINT('Ctrl Pts',(7.951293886705,-15.4010675902,22.76300063529)); #489649=CARTESIAN_POINT('Ctrl Pts',(10.11919470128,-17.52302701566,23.53336273945)); #489650=CARTESIAN_POINT('Ctrl Pts',(12.28709551585,-19.64498644112,24.30372484362)); #489651=CARTESIAN_POINT('Ctrl Pts',(5.116179523615,-13.56683207458,21.10890388631)); #489652=CARTESIAN_POINT('Ctrl Pts',(7.4017595156,-15.65809768534,22.0327479428)); #489653=CARTESIAN_POINT('Ctrl Pts',(9.687339507585,-17.7493632961,22.9565919993)); #489654=CARTESIAN_POINT('Ctrl Pts',(11.97291949957,-19.84062890686,23.8804360558)); #489655=CARTESIAN_POINT('Ctrl Pts',(3.905829991432,-13.99356022656,19.31483711198)); #489656=CARTESIAN_POINT('Ctrl Pts',(6.414128434162,-16.0501780797,20.57240768189)); #489657=CARTESIAN_POINT('Ctrl Pts',(8.922426876892,-18.10679593283,21.8299782518)); #489658=CARTESIAN_POINT('Ctrl Pts',(11.43072531962,-20.16341378597,23.08754882171)); #489659=CARTESIAN_POINT('Ctrl Pts',(2.831377594277,-14.25767074397,17.39939220272)); #489660=CARTESIAN_POINT('Ctrl Pts',(5.525122465296,-16.32290866447,19.02828483698)); #489661=CARTESIAN_POINT('Ctrl Pts',(8.218867336314,-18.38814658496,20.65717747123)); #489662=CARTESIAN_POINT('Ctrl Pts',(10.91261220733,-20.45338450546,22.28607010548)); #489663=CARTESIAN_POINT('Ctrl Pts',(2.063589591137,-14.39124268891,15.65273895793)); #489664=CARTESIAN_POINT('Ctrl Pts',(4.826642986223,-16.50807461869,17.53949964272)); #489665=CARTESIAN_POINT('Ctrl Pts',(7.58969638131,-18.62490654846,19.42626032751)); #489666=CARTESIAN_POINT('Ctrl Pts',(10.3527497764,-20.74173847824,21.3130210123)); #489667=CARTESIAN_POINT('Ctrl Pts',(1.656896446773,-14.44481502502,14.65068788931)); #489668=CARTESIAN_POINT('Ctrl Pts',(4.429641187721,-16.60525133915,16.63610323127)); #489669=CARTESIAN_POINT('Ctrl Pts',(7.202385928668,-18.76568765329,18.62151857322)); #489670=CARTESIAN_POINT('Ctrl Pts',(9.975130669616,-20.92612396743,20.60693391517)); #489671=CARTESIAN_POINT('Ctrl Pts',(1.393666563765,-14.47469287294,13.89066048335)); #489672=CARTESIAN_POINT('Ctrl Pts',(4.146381625875,-16.67567836071,15.9182550025)); #489673=CARTESIAN_POINT('Ctrl Pts',(6.899096687985,-18.87666384848,17.94584952165)); #489674=CARTESIAN_POINT('Ctrl Pts',(9.651811750094,-21.07764933625,19.9734440408)); #489675=CARTESIAN_POINT('Ctrl Pts',(1.155224411588,-14.49482828256,13.13223183239)); #489676=CARTESIAN_POINT('Ctrl Pts',(3.866021250594,-16.74353654661,15.15303760287)); #489677=CARTESIAN_POINT('Ctrl Pts',(6.5768180896,-18.99224481066,17.17384337336)); #489678=CARTESIAN_POINT('Ctrl Pts',(9.287614928606,-21.2409530747,19.19464914384)); #489679=CARTESIAN_POINT('Ctrl Pts',(0.9403968793847,-14.50720701398,12.37992242535)); #489680=CARTESIAN_POINT('Ctrl Pts',(3.581833357798,-16.81171502277,14.32820730436)); #489681=CARTESIAN_POINT('Ctrl Pts',(6.22326983621,-19.11622303156,16.27649218337)); #489682=CARTESIAN_POINT('Ctrl Pts',(8.864706314623,-21.42073104036,18.22477706238)); #489683=CARTESIAN_POINT('Ctrl Pts',(0.7895113206002,-14.51383187553,11.76065097944)); #489684=CARTESIAN_POINT('Ctrl Pts',(3.353333306874,-16.8673910036,13.56987465105)); #489685=CARTESIAN_POINT('Ctrl Pts',(5.917155293148,-19.22095013167,15.37909832267)); #489686=CARTESIAN_POINT('Ctrl Pts',(8.480977279422,-21.57450925974,17.18832199429)); #489687=CARTESIAN_POINT('Ctrl Pts',(0.6764656443399,-14.51550040475,11.27595002865)); #489688=CARTESIAN_POINT('Ctrl Pts',(3.160864611108,-16.91295046385,12.9097614606)); #489689=CARTESIAN_POINT('Ctrl Pts',(5.645263577876,-19.31040052296,14.54357289254)); #489690=CARTESIAN_POINT('Ctrl Pts',(8.129662544645,-21.70785058206,16.17738432449)); #489691=CARTESIAN_POINT('Ctrl Pts',(0.6169919264419,-14.5162048767,11.0257216167)); #489692=CARTESIAN_POINT('Ctrl Pts',(3.062640198386,-16.93485725796,12.53726348112)); #489693=CARTESIAN_POINT('Ctrl Pts',(5.50828847033,-19.35350963922,14.04880534555)); #489694=CARTESIAN_POINT('Ctrl Pts',(7.953936742273,-21.77216202049,15.56034720997)); #489695=CARTESIAN_POINT('Ctrl Pts',(0.5861062309872,-14.51648460025,10.89590176643)); #489696=CARTESIAN_POINT('Ctrl Pts',(3.009301905149,-16.94678597519,12.33364435106)); #489697=CARTESIAN_POINT('Ctrl Pts',(5.43249757931,-19.37708735012,13.77138693568)); #489698=CARTESIAN_POINT('Ctrl Pts',(7.855693253471,-21.80738872506,15.2091295203)); #489699=CARTESIAN_POINT('Ctrl Pts',(0.583078604616,-14.51651114227,10.88317586108)); #489700=CARTESIAN_POINT('Ctrl Pts',(3.004047204743,-16.94796191333,12.313581888)); #489701=CARTESIAN_POINT('Ctrl Pts',(5.425015804869,-19.3794126844,13.74398791493)); #489702=CARTESIAN_POINT('Ctrl Pts',(7.845984404995,-21.81086345546,15.17439394186)); #489703=CARTESIAN_POINT('',(7.73484495764246,-21.6603825601316,15.1940291646191)); #489704=CARTESIAN_POINT('',(7.734838182373,-21.66037574745,15.19402517497)); #489705=CARTESIAN_POINT('Ctrl Pts',(7.73484497210528,-21.6603825741259, 15.19402916197)); #489706=CARTESIAN_POINT('Ctrl Pts',(7.78353546454954,-21.642995373813,15.3679996089099)); #489707=CARTESIAN_POINT('Ctrl Pts',(7.83638018943235,-21.6239272021763, 15.5554055811417)); #489708=CARTESIAN_POINT('Ctrl Pts',(7.93633397494113,-21.5874313657261, 15.895932152692)); #489709=CARTESIAN_POINT('Ctrl Pts',(7.98520533421161,-21.5694238179713, 16.0563731586825)); #489710=CARTESIAN_POINT('Ctrl Pts',(8.13614156165911,-21.513100897298,16.5205722797723)); #489711=CARTESIAN_POINT('Ctrl Pts',(8.26689361247478,-21.4631141839511, 16.8887158319041)); #489712=CARTESIAN_POINT('Ctrl Pts',(8.50253469214223,-21.3713813450973, 17.5447100748068)); #489713=CARTESIAN_POINT('Ctrl Pts',(8.67969226982795,-21.3005075165727, 18.0171032656329)); #489714=CARTESIAN_POINT('Ctrl Pts',(8.98808621980307,-21.1713777309557, 18.7479869501843)); #489715=CARTESIAN_POINT('Ctrl Pts',(9.10343961059572,-21.1220180286674, 19.0081416867227)); #489716=CARTESIAN_POINT('Ctrl Pts',(9.32481750153251,-21.0253394820694, 19.4952611768233)); #489717=CARTESIAN_POINT('Ctrl Pts',(9.44986047093121,-20.9695433250088, 19.7612563023345)); #489718=CARTESIAN_POINT('Ctrl Pts',(9.83055162475921,-20.7950502506863, 20.5301735125054)); #489719=CARTESIAN_POINT('Ctrl Pts',(10.1132057917577,-20.6598402208761, 21.0621223919729)); #489720=CARTESIAN_POINT('Ctrl Pts',(10.4872451892222,-20.4701370483862, 21.7158511805604)); #489721=CARTESIAN_POINT('Ctrl Pts',(10.5731958316833,-20.4258784814455, 21.8627721790214)); #489722=CARTESIAN_POINT('Ctrl Pts',(10.7913052535172,-20.3118349386659, 22.2284447362033)); #489723=CARTESIAN_POINT('Ctrl Pts',(10.9259771087908,-20.2397797068325, 22.4469154178061)); #489724=CARTESIAN_POINT('Ctrl Pts',(11.2612467686514,-20.0557653453959, 22.9720284585075)); #489725=CARTESIAN_POINT('Ctrl Pts',(11.4689739423519,-19.9376838749668, 23.283512272797)); #489726=CARTESIAN_POINT('Ctrl Pts',(11.7630897982689,-19.7647235700568, 23.7096584455096)); #489727=CARTESIAN_POINT('Ctrl Pts',(11.8650930300759,-19.7036989793922, 23.8536831139423)); #489728=CARTESIAN_POINT('Ctrl Pts',(12.0873175266371,-19.5682001658879, 24.1584052561368)); #489729=CARTESIAN_POINT('Ctrl Pts',(12.202412285456,-19.4966642066159,24.3118649735998)); #489730=CARTESIAN_POINT('Ctrl Pts',(12.3181436727643,-19.4232679139514, 24.4636599149623)); #489731=CARTESIAN_POINT('Ctrl Pts',(1.722419319164,-14.69329428324,10.83648737941)); #489732=CARTESIAN_POINT('Ctrl Pts',(1.420241823537,-14.7438518974,10.93727494639)); #489733=CARTESIAN_POINT('Ctrl Pts',(1.11806432791,-14.79440951155,11.03806251338)); #489734=CARTESIAN_POINT('Ctrl Pts',(0.8158868322825,-14.84496712571,11.13885008036)); #489735=CARTESIAN_POINT('Ctrl Pts',(1.76692642164,-14.73689533953,10.86309802733)); #489736=CARTESIAN_POINT('Ctrl Pts',(1.464802434496,-14.78784463,10.96392231644)); #489737=CARTESIAN_POINT('Ctrl Pts',(1.162678447353,-14.83879392047,11.06474660555)); #489738=CARTESIAN_POINT('Ctrl Pts',(0.8605544602096,-14.88974321094,11.16557089467)); #489739=CARTESIAN_POINT('Ctrl Pts',(4.036788631338,-16.96054919413,12.22024106149)); #489740=CARTESIAN_POINT('Ctrl Pts',(3.737393576851,-17.03147397656,12.32293817909)); #489741=CARTESIAN_POINT('Ctrl Pts',(3.437998522365,-17.10239875898,12.42563529668)); #489742=CARTESIAN_POINT('Ctrl Pts',(3.138603467879,-17.1733235414,12.52833241428)); #489743=CARTESIAN_POINT('Ctrl Pts',(6.351157960069,-19.22780412125,13.60399475347)); #489744=CARTESIAN_POINT('Ctrl Pts',(6.054545346743,-19.31909607208,13.70860142169)); #489745=CARTESIAN_POINT('Ctrl Pts',(5.757932733418,-19.41038802291,13.81320808991)); #489746=CARTESIAN_POINT('Ctrl Pts',(5.461320120093,-19.50167997375,13.91781475813)); #489747=CARTESIAN_POINT('Ctrl Pts',(8.621020186324,-21.45145799207,14.96113779753)); #489748=CARTESIAN_POINT('Ctrl Pts',(8.327136505676,-21.562725435,15.06761729425)); #489749=CARTESIAN_POINT('Ctrl Pts',(8.033252825028,-21.67399287793,15.17409679097)); #489750=CARTESIAN_POINT('Ctrl Pts',(7.739369144379,-21.78526032085,15.28057628769)); #489751=CARTESIAN_POINT('Ctrl Pts',(8.665527305357,-21.49505906458,14.98774845535)); #489752=CARTESIAN_POINT('Ctrl Pts',(8.371697133213,-21.60671818397,15.09426467421)); #489753=CARTESIAN_POINT('Ctrl Pts',(8.077866961068,-21.71837730336,15.20078089307)); #489754=CARTESIAN_POINT('Ctrl Pts',(7.784036788923,-21.83003642275,15.30729711193)); #489755=CARTESIAN_POINT('Ctrl Pts',(1.81721328736464,-14.8895176506234, 10.9767683711431)); #489756=CARTESIAN_POINT('Ctrl Pts',(1.8038243125527,-14.8911517279322,10.9806954166873)); #489757=CARTESIAN_POINT('Ctrl Pts',(1.79042949689205,-14.8927681896056, 10.9846098309744)); #489758=CARTESIAN_POINT('Ctrl Pts',(1.76383455602773,-14.895941199781,10.9923533299056)); #489759=CARTESIAN_POINT('Ctrl Pts',(1.75063463382028,-14.8974982844409, 10.9961827929742)); #489760=CARTESIAN_POINT('Ctrl Pts',(1.73742915575745,-14.8990382216009, 11.)); #489761=CARTESIAN_POINT('Ctrl Pts',(8.44218337400914,-21.3946147401537, 14.9380248824692)); #489762=CARTESIAN_POINT('Ctrl Pts',(8.3253986915115,-21.4406972575019,14.9818498911956)); #489763=CARTESIAN_POINT('Ctrl Pts',(8.20805195003498,-21.4858964337625, 15.0250941772879)); #489764=CARTESIAN_POINT('Ctrl Pts',(7.97225784962144,-21.5744980696225, 15.1104288587781)); #489765=CARTESIAN_POINT('Ctrl Pts',(7.85381740568445,-21.6178979447349, 15.1525167613002)); #489766=CARTESIAN_POINT('Ctrl Pts',(7.73484494556547,-21.6603825836032, 15.1940291059857)); #489767=CARTESIAN_POINT('Origin',(0.,0.,35.)); #489768=CARTESIAN_POINT('Origin',(0.,0.,30.1)); #489769=CARTESIAN_POINT('Origin',(1.252047354625E-10,-6.007638830662E-11, 30.1)); #489770=CARTESIAN_POINT('Ctrl Pts',(14.7223225543588,-2.87284145378428, 10.9767683569917)); #489771=CARTESIAN_POINT('Ctrl Pts',(14.7206119513872,-2.88160770459829, 10.9793687369239)); #489772=CARTESIAN_POINT('Ctrl Pts',(14.7188938390385,-2.89037103600104, 10.9819627323716)); #489773=CARTESIAN_POINT('Ctrl Pts',(14.7171680116979,-2.89913189632895, 10.9845504024906)); #489774=CARTESIAN_POINT('Ctrl Pts',(14.7154421843574,-2.90789275665685, 10.9871380726096)); #489775=CARTESIAN_POINT('Ctrl Pts',(14.7137086420212,-2.91665114588166, 10.9897194174747)); #489776=CARTESIAN_POINT('Ctrl Pts',(14.7119679679989,-2.92540569143128, 10.9922942623354)); #489777=CARTESIAN_POINT('Ctrl Pts',(14.7102272939767,-2.9341602369809,10.9948691071961)); #489778=CARTESIAN_POINT('Ctrl Pts',(14.7084794882739,-2.94291093891598, 10.9974374518917)); #489779=CARTESIAN_POINT('Ctrl Pts',(14.7067234448752,-2.95166046260776, 10.9999996830786)); #489780=CARTESIAN_POINT('Ctrl Pts',(14.50641418086,-2.902357395227,10.83648933877)); #489781=CARTESIAN_POINT('Ctrl Pts',(14.4611193141,-3.205368855648,10.93727704716)); #489782=CARTESIAN_POINT('Ctrl Pts',(14.41582444735,-3.508380316068,11.03806475554)); #489783=CARTESIAN_POINT('Ctrl Pts',(14.37052958059,-3.811391776489,11.13885246393)); #489784=CARTESIAN_POINT('Ctrl Pts',(14.56163395003,-2.873502484969,10.863099625)); #489785=CARTESIAN_POINT('Ctrl Pts',(14.51672811987,-3.176584089578,10.96392405506)); #489786=CARTESIAN_POINT('Ctrl Pts',(14.4718222897,-3.479665694187,11.06474848512)); #489787=CARTESIAN_POINT('Ctrl Pts',(14.42691645953,-3.782747298797,11.16557291519)); #489788=CARTESIAN_POINT('Ctrl Pts',(17.37787908066,-1.401882778367,12.2202420059)); #489789=CARTESIAN_POINT('Ctrl Pts',(17.3528143766,-1.708541783474,12.32293926612)); #489790=CARTESIAN_POINT('Ctrl Pts',(17.32774967253,-2.015200788582,12.42563652635)); #489791=CARTESIAN_POINT('Ctrl Pts',(17.30268496847,-2.32185979369,12.52833378657)); #489792=CARTESIAN_POINT('Ctrl Pts',(20.249344725,0.09859222755749,13.60399503183)); #489793=CARTESIAN_POINT('Ctrl Pts',(20.24451018889,-0.211714323183,13.70860184439)); #489794=CARTESIAN_POINT('Ctrl Pts',(20.23967565277,-0.5220208739235,13.81320865694)); #489795=CARTESIAN_POINT('Ctrl Pts',(20.23484111665,-0.832327424664,13.9178154695)); #489796=CARTESIAN_POINT('Ctrl Pts',(23.06559060018,1.570212323223,14.96113777153)); #489797=CARTESIAN_POINT('Ctrl Pts',(23.08059719541,1.256328371039,15.06761741474)); #489798=CARTESIAN_POINT('Ctrl Pts',(23.09560379064,0.9424444188541,15.17409705795)); #489799=CARTESIAN_POINT('Ctrl Pts',(23.11061038588,0.6285604666695,15.28057670117)); #489800=CARTESIAN_POINT('Ctrl Pts',(23.12081111391,1.599067622546,14.98774841656)); #489801=CARTESIAN_POINT('Ctrl Pts',(23.13620675097,1.285113525226,15.09426478194)); #489802=CARTESIAN_POINT('Ctrl Pts',(23.15160238804,0.9711594279073,15.20078114733)); #489803=CARTESIAN_POINT('Ctrl Pts',(23.16699802511,0.6572053305882,15.30729751271)); #489804=CARTESIAN_POINT('Origin',(2.210307492553E-10,4.043698708715E-11, 30.1)); #489805=CARTESIAN_POINT('Origin',(1.550510830875E-10,1.626316858911E-10, 30.1)); #489806=CARTESIAN_POINT('Origin',(0.,0.,9.6)); #489807=CARTESIAN_POINT('',(-12.500985225164,-8.29007696486913,18.8974407786153)); #489808=CARTESIAN_POINT('',(-13.9102917620779,-5.61282305648012,11.1890452639039)); #489809=CARTESIAN_POINT('Ctrl Pts',(-12.5009850141807,-8.29007681962178, 18.8974407812697)); #489810=CARTESIAN_POINT('Ctrl Pts',(-12.8541503048444,-7.75752276708685, 17.8274763003388)); #489811=CARTESIAN_POINT('Ctrl Pts',(-13.0715515841549,-7.36501639512373, 16.8899679710502)); #489812=CARTESIAN_POINT('Ctrl Pts',(-13.2870222166542,-6.96212124213475, 15.8561497797189)); #489813=CARTESIAN_POINT('Ctrl Pts',(-13.3564122458871,-6.82801036128494, 15.5037431554963)); #489814=CARTESIAN_POINT('Ctrl Pts',(-13.4733950181017,-6.59441853469986, 14.8499114413794)); #489815=CARTESIAN_POINT('Ctrl Pts',(-13.5250596916973,-6.48720084419157, 14.5182396008245)); #489816=CARTESIAN_POINT('Ctrl Pts',(-13.6378520126417,-6.24776002457658, 13.7400764530772)); #489817=CARTESIAN_POINT('Ctrl Pts',(-13.7062778319292,-6.09564535590701, 13.2078310246143)); #489818=CARTESIAN_POINT('Ctrl Pts',(-13.7888699392539,-5.90524783401698, 12.4581227448929)); #489819=CARTESIAN_POINT('Ctrl Pts',(-13.8144904584617,-5.84498831040361, 12.2041824693558)); #489820=CARTESIAN_POINT('Ctrl Pts',(-13.863298700423,-5.72825615881126, 11.6994243991734)); #489821=CARTESIAN_POINT('Ctrl Pts',(-13.8868990187401,-5.67079746290016, 11.4444661861835)); #489822=CARTESIAN_POINT('Ctrl Pts',(-13.9102916846416,-5.61282328674178, 11.1890452045483)); #489823=CARTESIAN_POINT('Origin',(0.,-2.660516932451E-11,18.89744094576)); #489824=CARTESIAN_POINT('Ctrl Pts',(-13.6329313782335,-6.2564512334372, 10.9999993989482)); #489825=CARTESIAN_POINT('Ctrl Pts',(-13.6816431754535,-6.15030726075468, 11.0335311372955)); #489826=CARTESIAN_POINT('Ctrl Pts',(-13.7292219734125,-6.0433622302279, 11.0661123734952)); #489827=CARTESIAN_POINT('Ctrl Pts',(-13.8216828196466,-5.82877515232049, 11.1291178886437)); #489828=CARTESIAN_POINT('Ctrl Pts',(-13.8665741624339,-5.72116867538803, 11.1595511246454)); #489829=CARTESIAN_POINT('Ctrl Pts',(-13.910291795988,-5.61282301079145, 11.1890450458035)); #489830=CARTESIAN_POINT('Ctrl Pts',(-10.55050928546,-9.912129423627,22.46455403953)); #489831=CARTESIAN_POINT('Ctrl Pts',(-11.93687767161,-12.57515152114,23.16176931663)); #489832=CARTESIAN_POINT('Ctrl Pts',(-13.32324605775,-15.23817361866,23.85898459373)); #489833=CARTESIAN_POINT('Ctrl Pts',(-14.7096144439,-17.90119571617,24.55619987083)); #489834=CARTESIAN_POINT('Ctrl Pts',(-10.57042603901,-9.891161834483,22.4325876048)); #489835=CARTESIAN_POINT('Ctrl Pts',(-11.95419338628,-12.55787389873,23.13471352201)); #489836=CARTESIAN_POINT('Ctrl Pts',(-13.33796073355,-15.22458596297,23.83683943922)); #489837=CARTESIAN_POINT('Ctrl Pts',(-14.72172808081,-17.89129802722,24.53896535643)); #489838=CARTESIAN_POINT('Ctrl Pts',(-10.84201550551,-9.603803725162,21.99263860204)); #489839=CARTESIAN_POINT('Ctrl Pts',(-12.19020067401,-12.32132146661,22.7630006985)); #489840=CARTESIAN_POINT('Ctrl Pts',(-13.53838584251,-15.03883920806,23.53336279495)); #489841=CARTESIAN_POINT('Ctrl Pts',(-14.88657101101,-17.75635694951,24.3037248914)); #489842=CARTESIAN_POINT('Ctrl Pts',(-11.32183758569,-9.058157445868,21.1089038539)); #489843=CARTESIAN_POINT('Ctrl Pts',(-12.60446632796,-11.87810983803,22.03274792153)); #489844=CARTESIAN_POINT('Ctrl Pts',(-13.88709507023,-14.6980622302,22.95659198916)); #489845=CARTESIAN_POINT('Ctrl Pts',(-15.1697238125,-17.51801462237,23.88043605679)); #489846=CARTESIAN_POINT('Ctrl Pts',(-12.10169875349,-8.038912880166,19.31483706778)); #489847=CARTESIAN_POINT('Ctrl Pts',(-13.28255173264,-11.0599763627,20.57240765283)); #489848=CARTESIAN_POINT('Ctrl Pts',(-14.46340471178,-14.08103984523,21.82997823788)); #489849=CARTESIAN_POINT('Ctrl Pts',(-15.64425769092,-17.10210332776,23.08754882292)); #489850=CARTESIAN_POINT('Ctrl Pts',(-12.68490683419,-7.098662558392,17.3993921454)); #489851=CARTESIAN_POINT('Ctrl Pts',(-13.8166518861,-10.29875982442,19.02828479925)); #489852=CARTESIAN_POINT('Ctrl Pts',(-14.948396938,-13.49885709045,20.6571774531)); #489853=CARTESIAN_POINT('Ctrl Pts',(-16.0801419899,-16.69895435648,22.28607010695)); #489854=CARTESIAN_POINT('Ctrl Pts',(-13.0492008429,-6.409728772974,15.6527388915)); #489855=CARTESIAN_POINT('Ctrl Pts',(-14.20859720207,-9.691685789106,17.53949959898)); #489856=CARTESIAN_POINT('Ctrl Pts',(-15.36799356124,-12.97364280524,19.42626030646)); #489857=CARTESIAN_POINT('Ctrl Pts',(-16.5273899204,-16.25559982137,21.31302101393)); #489858=CARTESIAN_POINT('Ctrl Pts',(-13.22582625401,-6.039495369397,14.6506878194)); #489859=CARTESIAN_POINT('Ctrl Pts',(-14.42369805695,-9.344143899227,16.63610318522)); #489860=CARTESIAN_POINT('Ctrl Pts',(-15.62156985989,-12.64879242906,18.62151855105)); #489861=CARTESIAN_POINT('Ctrl Pts',(-16.81944166283,-15.95344095889,20.60693391688)); #489862=CARTESIAN_POINT('Ctrl Pts',(-13.33558428167,-5.798381636888,13.89066041194)); #489863=CARTESIAN_POINT('Ctrl Pts',(-14.57821015211,-9.09651119382,15.91825495547)); #489864=CARTESIAN_POINT('Ctrl Pts',(-15.82083602255,-12.39464075075,17.945849499)); #489865=CARTESIAN_POINT('Ctrl Pts',(-17.063461893,-15.69277030768,19.97344404253)); #489866=CARTESIAN_POINT('Ctrl Pts',(-13.42841686933,-5.57783185896,13.13223176121)); #489867=CARTESIAN_POINT('Ctrl Pts',(-14.72938324114,-8.850841965245,15.153037556)); #489868=CARTESIAN_POINT('Ctrl Pts',(-16.03034961296,-12.12385207153,17.17384335078)); #489869=CARTESIAN_POINT('Ctrl Pts',(-17.33131598477,-15.39686217781,19.19464914556)); #489870=CARTESIAN_POINT('Ctrl Pts',(-13.50657509819,-5.377343974687,12.37992235673)); #489871=CARTESIAN_POINT('Ctrl Pts',(-14.88204371191,-8.601631526883,14.32820725917)); #489872=CARTESIAN_POINT('Ctrl Pts',(-16.25751232562,-11.82591907908,16.27649216161)); #489873=CARTESIAN_POINT('Ctrl Pts',(-17.63298093934,-15.05020663127,18.22477706405)); #489874=CARTESIAN_POINT('Ctrl Pts',(-13.55950191532,-5.235890477677,11.76065091572)); #489875=CARTESIAN_POINT('Ctrl Pts',(-15.00560511358,-8.401519890113,13.5698746091)); #489876=CARTESIAN_POINT('Ctrl Pts',(-16.45170831185,-11.56714930255,15.37909830248)); #489877=CARTESIAN_POINT('Ctrl Pts',(-17.89781151011,-14.73277871499,17.18832199586)); #489878=CARTESIAN_POINT('Ctrl Pts',(-13.59602181367,-5.12889325671,11.27594997113)); #489879=CARTESIAN_POINT('Ctrl Pts',(-15.10841083147,-8.23254993175,12.90976142273)); #489880=CARTESIAN_POINT('Ctrl Pts',(-16.62079984927,-11.33620660679,14.54357287432)); #489881=CARTESIAN_POINT('Ctrl Pts',(-18.13318886707,-14.43986328183,16.17738432592)); #489882=CARTESIAN_POINT('Ctrl Pts',(-13.61507019476,-5.072548084552,11.02572156312)); #489883=CARTESIAN_POINT('Ctrl Pts',(-15.15959844288,-8.145902536232,12.53726344555)); #489884=CARTESIAN_POINT('Ctrl Pts',(-16.70412669101,-11.21925698791,14.04880532798)); #489885=CARTESIAN_POINT('Ctrl Pts',(-18.24865493913,-14.29261143959,15.56034721041)); #489886=CARTESIAN_POINT('Ctrl Pts',(-13.62488043183,-5.043260482506,10.8959017151)); #489887=CARTESIAN_POINT('Ctrl Pts',(-15.18742576585,-8.098860981503,12.33364431661)); #489888=CARTESIAN_POINT('Ctrl Pts',(-16.74997109986,-11.1544614805,13.77138691812)); #489889=CARTESIAN_POINT('Ctrl Pts',(-18.31251643388,-14.2100619795,15.20912951963)); #489890=CARTESIAN_POINT('Ctrl Pts',(-13.62584126276,-5.040389240633,10.88317580965)); #489891=CARTESIAN_POINT('Ctrl Pts',(-15.19016794125,-8.094226849221,12.31358185316)); #489892=CARTESIAN_POINT('Ctrl Pts',(-16.75449461974,-11.14806445781,13.74398789667)); #489893=CARTESIAN_POINT('Ctrl Pts',(-18.31882129823,-14.2019020664,15.17439394018)); #489894=CARTESIAN_POINT('',(-18.2100494384702,-14.0497010153686,15.1940291650347)); #489895=CARTESIAN_POINT('',(-18.21004505288,-14.04969246654,15.19402517556)); #489896=CARTESIAN_POINT('Ctrl Pts',(-18.2100494473262,-14.0497010334847, 15.1940291623797)); #489897=CARTESIAN_POINT('Ctrl Pts',(-18.1784670473709,-14.0906355034376, 15.3679996102523)); #489898=CARTESIAN_POINT('Ctrl Pts',(-18.1440022202198,-14.135001434567, 15.5554055836231)); #489899=CARTESIAN_POINT('Ctrl Pts',(-18.0784051983137,-14.218785300487, 15.8959321573728)); #489900=CARTESIAN_POINT('Ctrl Pts',(-18.0461769220312,-14.25970008701,16.0563731636357)); #489901=CARTESIAN_POINT('Ctrl Pts',(-17.9459687814992,-14.3858442305569, 16.5205722862617)); #489902=CARTESIAN_POINT('Ctrl Pts',(-17.8580239858357,-14.4947500771188, 16.8887158400641)); #489903=CARTESIAN_POINT('Ctrl Pts',(-17.6979637724209,-14.6905110565351, 17.5447100867895)); #489904=CARTESIAN_POINT('Ctrl Pts',(-17.5758140536318,-14.8370967078463, 18.0171032773328)); #489905=CARTESIAN_POINT('Ctrl Pts',(-17.3577053570143,-15.0904934863948, 18.747986963856)); #489906=CARTESIAN_POINT('Ctrl Pts',(-17.2751153318603,-15.1849480940928, 19.0081417016489)); #489907=CARTESIAN_POINT('Ctrl Pts',(-17.1147590391269,-15.3656156664104, 19.4952611924818)); #489908=CARTESIAN_POINT('Ctrl Pts',(-17.0230533376771,-15.4672966365821, 19.7612563177317)); #489909=CARTESIAN_POINT('Ctrl Pts',(-16.7394605247585,-15.7754341150303, 20.5301735298511)); #489910=CARTESIAN_POINT('Ctrl Pts',(-16.5235232029014,-16.0024720060806, 21.0621224105706)); #489911=CARTESIAN_POINT('Ctrl Pts',(-16.227520230698,-16.2995831112787, 21.7158512046457)); #489912=CARTESIAN_POINT('Ctrl Pts',(-16.1588676223605,-16.3676503810866, 21.8627722040206)); #489913=CARTESIAN_POINT('Ctrl Pts',(-15.9830062483663,-16.5398433763881, 22.2284447630605)); #489914=CARTESIAN_POINT('Ctrl Pts',(-15.8728617577254,-16.6456576314531, 22.4469154455955)); #489915=CARTESIAN_POINT('Ctrl Pts',(-15.5942496767845,-16.9076544615611, 22.9720284855764)); #489916=CARTESIAN_POINT('Ctrl Pts',(-15.4177562941554,-17.0687255658939, 23.2835123060191)); #489917=CARTESIAN_POINT('Ctrl Pts',(-15.1623744653658,-17.2949986983197, 23.7096584861608)); #489918=CARTESIAN_POINT('Ctrl Pts',(-15.0728158975009,-17.3731519017842, 23.8536831552436)); #489919=CARTESIAN_POINT('Ctrl Pts',(-14.8752777178599,-17.5426285242029, 24.158405301517)); #489920=CARTESIAN_POINT('Ctrl Pts',(-14.7716767386208,-17.629984319307, 24.3118650217081)); #489921=CARTESIAN_POINT('Ctrl Pts',(-14.6661097482577,-17.7173707092972, 24.4636599656647)); #489922=CARTESIAN_POINT('Ctrl Pts',(-12.5009852705023,-8.29007712819441, 18.8974407122883)); #489923=CARTESIAN_POINT('Ctrl Pts',(-12.2977041231522,-8.59661405048439, 19.5133098849695)); #489924=CARTESIAN_POINT('Ctrl Pts',(-12.0484429413328,-8.90104607878544, 20.1243898051948)); #489925=CARTESIAN_POINT('Ctrl Pts',(-11.3577247914441,-9.56415584352075, 21.4800437147436)); #489926=CARTESIAN_POINT('Ctrl Pts',(-10.9695130655595,-9.82624748302245, 22.0379677531417)); #489927=CARTESIAN_POINT('Ctrl Pts',(-10.6894331174698,-10.0035689032888, 22.4094359572381)); #489928=CARTESIAN_POINT('Ctrl Pts',(0.,14.99978874879,18.80162297012)); #489929=CARTESIAN_POINT('Ctrl Pts',(-14.9997887488173,14.99978874879,18.80162297012)); #489930=CARTESIAN_POINT('Ctrl Pts',(-14.9997887488173,-2.7312792273838E-11, 18.8016229702308)); #489931=CARTESIAN_POINT('Ctrl Pts',(-14.9997887488173,-14.9997887488446, 18.8016229703415)); #489932=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9997887488446,18.8016229703415)); #489933=CARTESIAN_POINT('Ctrl Pts',(14.9997887488173,-14.9997887488446, 18.8016229703415)); #489934=CARTESIAN_POINT('Ctrl Pts',(14.9997887488173,-2.7312792273838E-11, 18.8016229702308)); #489935=CARTESIAN_POINT('Ctrl Pts',(14.9997887488173,14.99978874879,18.80162297012)); #489936=CARTESIAN_POINT('Ctrl Pts',(0.,14.99978874879,18.80162297012)); #489937=CARTESIAN_POINT('Ctrl Pts',(0.,15.0001147019408,18.8754296570212)); #489938=CARTESIAN_POINT('Ctrl Pts',(-15.0001147019676,15.0001147019408, 18.8754296570212)); #489939=CARTESIAN_POINT('Ctrl Pts',(-15.0001147019676,-2.67677243450133E-11, 18.875429657132)); #489940=CARTESIAN_POINT('Ctrl Pts',(-15.0001147019676,-15.0001147019943, 18.8754296572428)); #489941=CARTESIAN_POINT('Ctrl Pts',(0.,-15.0001147019943,18.8754296572428)); #489942=CARTESIAN_POINT('Ctrl Pts',(15.0001147019676,-15.0001147019943, 18.8754296572428)); #489943=CARTESIAN_POINT('Ctrl Pts',(15.0001147019676,-2.67677243450133E-11, 18.875429657132)); #489944=CARTESIAN_POINT('Ctrl Pts',(15.0001147019676,15.0001147019408,18.8754296570212)); #489945=CARTESIAN_POINT('Ctrl Pts',(0.,15.0001147019408,18.8754296570212)); #489946=CARTESIAN_POINT('Ctrl Pts',(0.,15.0000152035358,19.0212441001061)); #489947=CARTESIAN_POINT('Ctrl Pts',(-15.0000152035615,15.0000152035358, 19.0212441001061)); #489948=CARTESIAN_POINT('Ctrl Pts',(-15.0000152035615,-2.56908737258907E-11, 19.0212441002168)); #489949=CARTESIAN_POINT('Ctrl Pts',(-15.0000152035615,-15.0000152035871, 19.0212441003276)); #489950=CARTESIAN_POINT('Ctrl Pts',(0.,-15.0000152035871,19.0212441003276)); #489951=CARTESIAN_POINT('Ctrl Pts',(15.0000152035615,-15.0000152035871, 19.0212441003276)); #489952=CARTESIAN_POINT('Ctrl Pts',(15.0000152035615,-2.56908737258907E-11, 19.0212441002168)); #489953=CARTESIAN_POINT('Ctrl Pts',(15.0000152035615,15.0000152035358,19.0212441001061)); #489954=CARTESIAN_POINT('Ctrl Pts',(0.,15.0000152035358,19.0212441001061)); #489955=CARTESIAN_POINT('Ctrl Pts',(0.,14.99769019786,19.23667200881)); #489956=CARTESIAN_POINT('Ctrl Pts',(-14.9976901978841,14.99769019786,19.23667200881)); #489957=CARTESIAN_POINT('Ctrl Pts',(-14.9976901978841,-2.40999224322034E-11, 19.2366720089208)); #489958=CARTESIAN_POINT('Ctrl Pts',(-14.9976901978841,-14.9976901979082, 19.2366720090315)); #489959=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9976901979082,19.2366720090315)); #489960=CARTESIAN_POINT('Ctrl Pts',(14.9976901978841,-14.9976901979082, 19.2366720090315)); #489961=CARTESIAN_POINT('Ctrl Pts',(14.9976901978841,-2.40999224322034E-11, 19.2366720089208)); #489962=CARTESIAN_POINT('Ctrl Pts',(14.9976901978841,14.99769019786,19.23667200881)); #489963=CARTESIAN_POINT('Ctrl Pts',(0.,14.99769019786,19.23667200881)); #489964=CARTESIAN_POINT('Ctrl Pts',(0.,14.99333689889,19.4421404013)); #489965=CARTESIAN_POINT('Ctrl Pts',(-14.9933368989126,14.99333689889,19.4421404013)); #489966=CARTESIAN_POINT('Ctrl Pts',(-14.9933368989126,-2.25825229141445E-11, 19.4421404014107)); #489967=CARTESIAN_POINT('Ctrl Pts',(-14.9933368989126,-14.9933368989352, 19.4421404015215)); #489968=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9933368989352,19.4421404015215)); #489969=CARTESIAN_POINT('Ctrl Pts',(14.9933368989126,-14.9933368989352, 19.4421404015215)); #489970=CARTESIAN_POINT('Ctrl Pts',(14.9933368989126,-2.25825229141445E-11, 19.4421404014107)); #489971=CARTESIAN_POINT('Ctrl Pts',(14.9933368989126,14.99333689889,19.4421404013)); #489972=CARTESIAN_POINT('Ctrl Pts',(0.,14.99333689889,19.4421404013)); #489973=CARTESIAN_POINT('Ctrl Pts',(0.,14.98727207157,19.63416225315)); #489974=CARTESIAN_POINT('Ctrl Pts',(-14.9872720715912,14.98727207157,19.63416225315)); #489975=CARTESIAN_POINT('Ctrl Pts',(-14.9872720715912,-2.11644271091262E-11, 19.6341622532607)); #489976=CARTESIAN_POINT('Ctrl Pts',(-14.9872720715912,-14.9872720716123, 19.6341622533714)); #489977=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9872720716123,19.6341622533714)); #489978=CARTESIAN_POINT('Ctrl Pts',(14.9872720715912,-14.9872720716123, 19.6341622533714)); #489979=CARTESIAN_POINT('Ctrl Pts',(14.9872720715912,-2.11644271091262E-11, 19.6341622532607)); #489980=CARTESIAN_POINT('Ctrl Pts',(14.9872720715912,14.98727207157,19.63416225315)); #489981=CARTESIAN_POINT('Ctrl Pts',(0.,14.98727207157,19.63416225315)); #489982=CARTESIAN_POINT('Ctrl Pts',(0.,14.97988512931,19.81313903094)); #489983=CARTESIAN_POINT('Ctrl Pts',(-14.9798851293298,14.97988512931,19.81313903094)); #489984=CARTESIAN_POINT('Ctrl Pts',(-14.9798851293298,-1.98426701562875E-11, 19.8131390310506)); #489985=CARTESIAN_POINT('Ctrl Pts',(-14.9798851293298,-14.9798851293497, 19.8131390311613)); #489986=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9798851293497,19.8131390311613)); #489987=CARTESIAN_POINT('Ctrl Pts',(14.9798851293298,-14.9798851293497, 19.8131390311613)); #489988=CARTESIAN_POINT('Ctrl Pts',(14.9798851293298,-1.98426701562875E-11, 19.8131390310506)); #489989=CARTESIAN_POINT('Ctrl Pts',(14.9798851293298,14.97988512931,19.81313903094)); #489990=CARTESIAN_POINT('Ctrl Pts',(0.,14.97988512931,19.81313903094)); #489991=CARTESIAN_POINT('Ctrl Pts',(0.,14.97112391427,19.9871456963)); #489992=CARTESIAN_POINT('Ctrl Pts',(-14.9711239142886,14.97112391427,19.9871456963)); #489993=CARTESIAN_POINT('Ctrl Pts',(-14.9711239142886,-1.85576178572139E-11, 19.9871456964106)); #489994=CARTESIAN_POINT('Ctrl Pts',(-14.9711239142886,-14.9711239143071, 19.9871456965211)); #489995=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9711239143071,19.9871456965211)); #489996=CARTESIAN_POINT('Ctrl Pts',(14.9711239142886,-14.9711239143071, 19.9871456965211)); #489997=CARTESIAN_POINT('Ctrl Pts',(14.9711239142886,-1.85576178572139E-11, 19.9871456964106)); #489998=CARTESIAN_POINT('Ctrl Pts',(14.9711239142886,14.97112391427,19.9871456963)); #489999=CARTESIAN_POINT('Ctrl Pts',(0.,14.97112391427,19.9871456963)); #490000=CARTESIAN_POINT('Ctrl Pts',(0.,14.96040920421,20.16678002333)); #490001=CARTESIAN_POINT('Ctrl Pts',(-14.9604092042272,14.96040920421,20.16678002333)); #490002=CARTESIAN_POINT('Ctrl Pts',(-14.9604092042272,-1.72310048538278E-11, 20.1667800234405)); #490003=CARTESIAN_POINT('Ctrl Pts',(-14.9604092042272,-14.9604092042445, 20.166780023551)); #490004=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9604092042445,20.166780023551)); #490005=CARTESIAN_POINT('Ctrl Pts',(14.9604092042272,-14.9604092042445, 20.166780023551)); #490006=CARTESIAN_POINT('Ctrl Pts',(14.9604092042272,-1.72310048538278E-11, 20.1667800234405)); #490007=CARTESIAN_POINT('Ctrl Pts',(14.9604092042272,14.96040920421,20.16678002333)); #490008=CARTESIAN_POINT('Ctrl Pts',(0.,14.96040920421,20.16678002333)); #490009=CARTESIAN_POINT('Ctrl Pts',(0.,14.94679748055,20.36061144988)); #490010=CARTESIAN_POINT('Ctrl Pts',(-14.9467974805658,14.94679748055,20.36061144988)); #490011=CARTESIAN_POINT('Ctrl Pts',(-14.9467974805658,-1.57995452036734E-11, 20.3606114499904)); #490012=CARTESIAN_POINT('Ctrl Pts',(-14.9467974805658,-14.9467974805816, 20.3606114501008)); #490013=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9467974805816,20.3606114501008)); #490014=CARTESIAN_POINT('Ctrl Pts',(14.9467974805658,-14.9467974805816, 20.3606114501008)); #490015=CARTESIAN_POINT('Ctrl Pts',(14.9467974805658,-1.57995452036734E-11, 20.3606114499904)); #490016=CARTESIAN_POINT('Ctrl Pts',(14.9467974805658,14.94679748055,20.36061144988)); #490017=CARTESIAN_POINT('Ctrl Pts',(0.,14.94679748055,20.36061144988)); #490018=CARTESIAN_POINT('Ctrl Pts',(0.,14.92918063306,20.57288312013)); #490019=CARTESIAN_POINT('Ctrl Pts',(-14.9291806330742,14.92918063306,20.57288312013)); #490020=CARTESIAN_POINT('Ctrl Pts',(-14.9291806330742,-1.42319029681386E-11, 20.5728831202403)); #490021=CARTESIAN_POINT('Ctrl Pts',(-14.9291806330742,-14.9291806330885, 20.5728831203505)); #490022=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9291806330885,20.5728831203505)); #490023=CARTESIAN_POINT('Ctrl Pts',(14.9291806330742,-14.9291806330885, 20.5728831203505)); #490024=CARTESIAN_POINT('Ctrl Pts',(14.9291806330742,-1.42319029681386E-11, 20.5728831202403)); #490025=CARTESIAN_POINT('Ctrl Pts',(14.9291806330742,14.92918063306,20.57288312013)); #490026=CARTESIAN_POINT('Ctrl Pts',(0.,14.92918063306,20.57288312013)); #490027=CARTESIAN_POINT('Ctrl Pts',(0.,14.90668350891,20.80251376406)); #490028=CARTESIAN_POINT('Ctrl Pts',(-14.9066835089225,14.90668350891,20.80251376406)); #490029=CARTESIAN_POINT('Ctrl Pts',(-14.9066835089225,-1.25360634075712E-11, 20.8025137641701)); #490030=CARTESIAN_POINT('Ctrl Pts',(-14.9066835089225,-14.9066835089351, 20.8025137642802)); #490031=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9066835089351,20.8025137642802)); #490032=CARTESIAN_POINT('Ctrl Pts',(14.9066835089225,-14.9066835089351, 20.8025137642802)); #490033=CARTESIAN_POINT('Ctrl Pts',(14.9066835089225,-1.25360634075712E-11, 20.8025137641701)); #490034=CARTESIAN_POINT('Ctrl Pts',(14.9066835089225,14.90668350891,20.80251376406)); #490035=CARTESIAN_POINT('Ctrl Pts',(0.,14.90668350891,20.80251376406)); #490036=CARTESIAN_POINT('Ctrl Pts',(0.,14.87945857498,21.04066055957)); #490037=CARTESIAN_POINT('Ctrl Pts',(-14.8794585749908,14.87945857498,21.04066055957)); #490038=CARTESIAN_POINT('Ctrl Pts',(-14.8794585749908,-1.07773314276562E-11, 21.0406605596799)); #490039=CARTESIAN_POINT('Ctrl Pts',(-14.8794585749908,-14.8794585750016, 21.0406605597898)); #490040=CARTESIAN_POINT('Ctrl Pts',(0.,-14.8794585750016,21.0406605597898)); #490041=CARTESIAN_POINT('Ctrl Pts',(14.8794585749908,-14.8794585750016, 21.0406605597898)); #490042=CARTESIAN_POINT('Ctrl Pts',(14.8794585749908,-1.07773314276562E-11, 21.0406605596799)); #490043=CARTESIAN_POINT('Ctrl Pts',(14.8794585749908,14.87945857498,21.04066055957)); #490044=CARTESIAN_POINT('Ctrl Pts',(0.,14.87945857498,21.04066055957)); #490045=CARTESIAN_POINT('Ctrl Pts',(0.,14.84868646109,21.27484036964)); #490046=CARTESIAN_POINT('Ctrl Pts',(-14.848686461099,14.84868646109,21.27484036964)); #490047=CARTESIAN_POINT('Ctrl Pts',(-14.848686461099,-9.04789593330716E-12, 21.2748403697497)); #490048=CARTESIAN_POINT('Ctrl Pts',(-14.848686461099,-14.8486864611081, 21.2748403698593)); #490049=CARTESIAN_POINT('Ctrl Pts',(0.,-14.8486864611081,21.2748403698593)); #490050=CARTESIAN_POINT('Ctrl Pts',(14.848686461099,-14.8486864611081,21.2748403698593)); #490051=CARTESIAN_POINT('Ctrl Pts',(14.848686461099,-9.04789593330716E-12, 21.2748403697497)); #490052=CARTESIAN_POINT('Ctrl Pts',(14.848686461099,14.84868646109,21.27484036964)); #490053=CARTESIAN_POINT('Ctrl Pts',(0.,14.84868646109,21.27484036964)); #490054=CARTESIAN_POINT('Ctrl Pts',(0.,14.81501161349,21.500532289)); #490055=CARTESIAN_POINT('Ctrl Pts',(-14.8150116134974,14.81501161349,21.500532289)); #490056=CARTESIAN_POINT('Ctrl Pts',(-14.8150116134974,-7.38114414965758E-12, 21.5005322891094)); #490057=CARTESIAN_POINT('Ctrl Pts',(-14.8150116134974,-14.8150116135048, 21.5005322892188)); #490058=CARTESIAN_POINT('Ctrl Pts',(0.,-14.8150116135048,21.5005322892188)); #490059=CARTESIAN_POINT('Ctrl Pts',(14.8150116134974,-14.8150116135048, 21.5005322892188)); #490060=CARTESIAN_POINT('Ctrl Pts',(14.8150116134974,-7.38114414965758E-12, 21.5005322891094)); #490061=CARTESIAN_POINT('Ctrl Pts',(14.8150116134974,14.81501161349,21.500532289)); #490062=CARTESIAN_POINT('Ctrl Pts',(0.,14.81501161349,21.500532289)); #490063=CARTESIAN_POINT('Ctrl Pts',(0.,14.77788206396,21.72249425652)); #490064=CARTESIAN_POINT('Ctrl Pts',(-14.7778820639657,14.77788206396,21.72249425652)); #490065=CARTESIAN_POINT('Ctrl Pts',(-14.7778820639657,-5.74193834062651E-12, 21.7224942566291)); #490066=CARTESIAN_POINT('Ctrl Pts',(-14.7778820639657,-14.7778820639715, 21.7224942567383)); #490067=CARTESIAN_POINT('Ctrl Pts',(0.,-14.7778820639715,21.7224942567383)); #490068=CARTESIAN_POINT('Ctrl Pts',(14.7778820639657,-14.7778820639715, 21.7224942567383)); #490069=CARTESIAN_POINT('Ctrl Pts',(14.7778820639657,-5.74193834062651E-12, 21.7224942566291)); #490070=CARTESIAN_POINT('Ctrl Pts',(14.7778820639657,14.77788206396,21.72249425652)); #490071=CARTESIAN_POINT('Ctrl Pts',(0.,14.77788206396,21.72249425652)); #490072=CARTESIAN_POINT('Ctrl Pts',(0.,14.73732945281,21.94287596693)); #490073=CARTESIAN_POINT('Ctrl Pts',(-14.7373294528141,14.73732945281,21.94287596693)); #490074=CARTESIAN_POINT('Ctrl Pts',(-14.7373294528141,-4.11440284909832E-12, 21.9428759670388)); #490075=CARTESIAN_POINT('Ctrl Pts',(-14.7373294528141,-14.7373294528182, 21.9428759671477)); #490076=CARTESIAN_POINT('Ctrl Pts',(0.,-14.7373294528182,21.9428759671477)); #490077=CARTESIAN_POINT('Ctrl Pts',(14.7373294528141,-14.7373294528182, 21.9428759671477)); #490078=CARTESIAN_POINT('Ctrl Pts',(14.7373294528141,-4.11440284909832E-12, 21.9428759670388)); #490079=CARTESIAN_POINT('Ctrl Pts',(14.7373294528141,14.73732945281,21.94287596693)); #490080=CARTESIAN_POINT('Ctrl Pts',(0.,14.73732945281,21.94287596693)); #490081=CARTESIAN_POINT('Ctrl Pts',(0.,14.69554657917,22.15354319514)); #490082=CARTESIAN_POINT('Ctrl Pts',(-14.6955465791726,14.69554657917,22.15354319514)); #490083=CARTESIAN_POINT('Ctrl Pts',(-14.6955465791726,-2.55860953859294E-12, 22.1535431952485)); #490084=CARTESIAN_POINT('Ctrl Pts',(-14.6955465791726,-14.6955465791751, 22.1535431953571)); #490085=CARTESIAN_POINT('Ctrl Pts',(0.,-14.6955465791751,22.1535431953571)); #490086=CARTESIAN_POINT('Ctrl Pts',(14.6955465791726,-14.6955465791751, 22.1535431953571)); #490087=CARTESIAN_POINT('Ctrl Pts',(14.6955465791726,-2.55860953859294E-12, 22.1535431952485)); #490088=CARTESIAN_POINT('Ctrl Pts',(14.6955465791726,14.69554657917,22.15354319514)); #490089=CARTESIAN_POINT('Ctrl Pts',(0.,14.69554657917,22.15354319514)); #490090=CARTESIAN_POINT('Ctrl Pts',(0.,14.65510897876,22.34409731383)); #490091=CARTESIAN_POINT('Ctrl Pts',(-14.6551089787612,14.65510897876,22.34409731383)); #490092=CARTESIAN_POINT('Ctrl Pts',(-14.6551089787612,-1.15135305325297E-12, 22.3440973139382)); #490093=CARTESIAN_POINT('Ctrl Pts',(-14.6551089787612,-14.6551089787623, 22.3440973140465)); #490094=CARTESIAN_POINT('Ctrl Pts',(0.,-14.6551089787623,22.3440973140465)); #490095=CARTESIAN_POINT('Ctrl Pts',(14.6551089787612,-14.6551089787623, 22.3440973140465)); #490096=CARTESIAN_POINT('Ctrl Pts',(14.6551089787612,-1.15135305325297E-12, 22.3440973139382)); #490097=CARTESIAN_POINT('Ctrl Pts',(14.6551089787612,14.65510897876,22.34409731383)); #490098=CARTESIAN_POINT('Ctrl Pts',(0.,14.65510897876,22.34409731383)); #490099=CARTESIAN_POINT('Ctrl Pts',(0.,14.61664866301,22.51395747322)); #490100=CARTESIAN_POINT('Ctrl Pts',(-14.6166486630099,14.61664866301,22.51395747322)); #490101=CARTESIAN_POINT('Ctrl Pts',(-14.6166486630099,1.03076987649491E-13, 22.5139574733279)); #490102=CARTESIAN_POINT('Ctrl Pts',(-14.6166486630099,-14.6166486630098, 22.5139574734359)); #490103=CARTESIAN_POINT('Ctrl Pts',(0.,-14.6166486630098,22.5139574734359)); #490104=CARTESIAN_POINT('Ctrl Pts',(14.6166486630099,-14.6166486630098, 22.5139574734359)); #490105=CARTESIAN_POINT('Ctrl Pts',(14.6166486630099,1.03076987649491E-13, 22.5139574733279)); #490106=CARTESIAN_POINT('Ctrl Pts',(14.6166486630099,14.61664866301,22.51395747322)); #490107=CARTESIAN_POINT('Ctrl Pts',(0.,14.61664866301,22.51395747322)); #490108=CARTESIAN_POINT('Ctrl Pts',(0.,14.57877523162,22.67265200704)); #490109=CARTESIAN_POINT('Ctrl Pts',(-14.5787752316187,14.57877523162,22.67265200704)); #490110=CARTESIAN_POINT('Ctrl Pts',(-14.5787752316187,1.27504804469849E-12, 22.6726520071477)); #490111=CARTESIAN_POINT('Ctrl Pts',(-14.5787752316187,-14.5787752316174, 22.6726520072553)); #490112=CARTESIAN_POINT('Ctrl Pts',(0.,-14.5787752316175,22.6726520072553)); #490113=CARTESIAN_POINT('Ctrl Pts',(14.5787752316187,-14.5787752316174, 22.6726520072553)); #490114=CARTESIAN_POINT('Ctrl Pts',(14.5787752316187,1.27504804469849E-12, 22.6726520071477)); #490115=CARTESIAN_POINT('Ctrl Pts',(14.5787752316187,14.57877523162,22.67265200704)); #490116=CARTESIAN_POINT('Ctrl Pts',(0.,14.57877523162,22.67265200704)); #490117=CARTESIAN_POINT('Ctrl Pts',(0.,14.5323740325615,22.8607563264774)); #490118=CARTESIAN_POINT('Ctrl Pts',(-14.5323740325588,14.5323740325615, 22.8607563264774)); #490119=CARTESIAN_POINT('Ctrl Pts',(-14.5323740325588,2.66421257847225E-12, 22.8607563265847)); #490120=CARTESIAN_POINT('Ctrl Pts',(-14.5323740325588,-14.5323740325561, 22.860756326692)); #490121=CARTESIAN_POINT('Ctrl Pts',(0.,-14.5323740325561,22.860756326692)); #490122=CARTESIAN_POINT('Ctrl Pts',(14.5323740325588,-14.5323740325561, 22.860756326692)); #490123=CARTESIAN_POINT('Ctrl Pts',(14.5323740325588,2.66421257847225E-12, 22.8607563265847)); #490124=CARTESIAN_POINT('Ctrl Pts',(14.5323740325588,14.5323740325615,22.8607563264774)); #490125=CARTESIAN_POINT('Ctrl Pts',(0.,14.5323740325615,22.8607563264774)); #490126=CARTESIAN_POINT('Ctrl Pts',(0.,14.4979219623173,22.997664731754)); #490127=CARTESIAN_POINT('Ctrl Pts',(-14.4979219623136,14.4979219623173, 22.997664731754)); #490128=CARTESIAN_POINT('Ctrl Pts',(-14.4979219623136,3.67529143915257E-12, 22.9976647318611)); #490129=CARTESIAN_POINT('Ctrl Pts',(-14.4979219623136,-14.4979219623099, 22.9976647319682)); #490130=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4979219623099,22.9976647319682)); #490131=CARTESIAN_POINT('Ctrl Pts',(14.4979219623136,-14.4979219623099, 22.9976647319682)); #490132=CARTESIAN_POINT('Ctrl Pts',(14.4979219623136,3.67529143915257E-12, 22.9976647318611)); #490133=CARTESIAN_POINT('Ctrl Pts',(14.4979219623136,14.4979219623173,22.997664731754)); #490134=CARTESIAN_POINT('Ctrl Pts',(0.,14.4979219623173,22.997664731754)); #490135=CARTESIAN_POINT('Ctrl Pts',(0.,14.47675660715,23.08249750563)); #490136=CARTESIAN_POINT('Ctrl Pts',(-14.4767566071457,14.47675660715,23.08249750563)); #490137=CARTESIAN_POINT('Ctrl Pts',(-14.4767566071457,4.30178784881837E-12, 23.0824975057369)); #490138=CARTESIAN_POINT('Ctrl Pts',(-14.4767566071457,-14.4767566071414, 23.0824975058438)); #490139=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4767566071414,23.0824975058438)); #490140=CARTESIAN_POINT('Ctrl Pts',(14.4767566071457,-14.4767566071414, 23.0824975058438)); #490141=CARTESIAN_POINT('Ctrl Pts',(14.4767566071457,4.30178784881837E-12, 23.0824975057369)); #490142=CARTESIAN_POINT('Ctrl Pts',(14.4767566071457,14.47675660715,23.08249750563)); #490143=CARTESIAN_POINT('Ctrl Pts',(0.,14.47675660715,23.08249750563)); #490144=CARTESIAN_POINT('Origin',(3.033857483946E-11,2.263838985759E-10, 35.)); #490145=CARTESIAN_POINT('Ctrl Pts',(-17.7387096300086,-14.6402930526305, 14.9380248827441)); #490146=CARTESIAN_POINT('Ctrl Pts',(-17.8186251600189,-14.543464500312, 14.9818498914818)); #490147=CARTESIAN_POINT('Ctrl Pts',(-17.8978742685085,-14.4458284307294, 15.0250941775852)); #490148=CARTESIAN_POINT('Ctrl Pts',(-18.0550038158726,-14.2489543262053, 15.1104288590818)); #490149=CARTESIAN_POINT('Ctrl Pts',(-18.1328796599009,-14.1497220691705, 15.1525167615994)); #490150=CARTESIAN_POINT('Ctrl Pts',(-18.210049464577,-14.0497010111255, 15.1940291062797)); #490151=CARTESIAN_POINT('Ctrl Pts',(-13.44189847419,-6.178599863693,10.83648933955)); #490152=CARTESIAN_POINT('Ctrl Pts',(-13.58335982503,-5.906834787849,10.937277048)); #490153=CARTESIAN_POINT('Ctrl Pts',(-13.72482117588,-5.635069712005,11.03806475646)); #490154=CARTESIAN_POINT('Ctrl Pts',(-13.86628252672,-5.363304636161,11.13885246491)); #490155=CARTESIAN_POINT('Ctrl Pts',(-13.46961171498,-6.234401342039,10.86309962559)); #490156=CARTESIAN_POINT('Ctrl Pts',(-13.61142903275,-5.962808188324,10.96392405573)); #490157=CARTESIAN_POINT('Ctrl Pts',(-13.75324635053,-5.691215034609,11.06474848586)); #490158=CARTESIAN_POINT('Ctrl Pts',(-13.89506366831,-5.419621880894,11.165572916)); #490159=CARTESIAN_POINT('Ctrl Pts',(-14.88300552529,-9.080314048267,12.22024200646)); #490160=CARTESIAN_POINT('Ctrl Pts',(-15.04297739454,-8.817489038073,12.32293926676)); #490161=CARTESIAN_POINT('Ctrl Pts',(-15.20294926379,-8.554664027878,12.42563652705)); #490162=CARTESIAN_POINT('Ctrl Pts',(-15.36292113304,-8.291839017684,12.52833378735)); #490163=CARTESIAN_POINT('Ctrl Pts',(-16.32411295026,-11.98202898563,13.60399503237)); #490164=CARTESIAN_POINT('Ctrl Pts',(-16.50259534272,-11.7281440434,13.708601845)); #490165=CARTESIAN_POINT('Ctrl Pts',(-16.68107773518,-11.47425910118,13.81320865763)); #490166=CARTESIAN_POINT('Ctrl Pts',(-16.85956012764,-11.22037415895,13.91781547026)); #490167=CARTESIAN_POINT('Ctrl Pts',(-17.73750713444,-14.82794244464,14.96113777222)); #490168=CARTESIAN_POINT('Ctrl Pts',(-17.93414408318,-14.58282564826,15.06761741551)); #490169=CARTESIAN_POINT('Ctrl Pts',(-18.13078103191,-14.33770885187,15.17409705879)); #490170=CARTESIAN_POINT('Ctrl Pts',(-18.32741798065,-14.09259205549,15.28057670208)); #490171=CARTESIAN_POINT('Ctrl Pts',(-17.7652207491,-14.88374467578,14.98774841726)); #490172=CARTESIAN_POINT('Ctrl Pts',(-17.96221366957,-14.63879980384,15.09426478271)); #490173=CARTESIAN_POINT('Ctrl Pts',(-18.15920659003,-14.3938549319,15.20078114817)); #490174=CARTESIAN_POINT('Ctrl Pts',(-18.3561995105,-14.14891005996,15.30729751363)); #490175=CARTESIAN_POINT('Ctrl Pts',(-13.5992229820525,-6.32938651885719, 10.976768357357)); #490176=CARTESIAN_POINT('Ctrl Pts',(-13.6029917478606,-6.32128900636005, 10.9793687371093)); #490177=CARTESIAN_POINT('Ctrl Pts',(-13.6067527224865,-6.31318944184778, 10.9819627323942)); #490178=CARTESIAN_POINT('Ctrl Pts',(-13.6105060030738,-6.30508734173268, 10.9845504023365)); #490179=CARTESIAN_POINT('Ctrl Pts',(-13.614259283661,-6.29698524161759, 10.9871380722788)); #490180=CARTESIAN_POINT('Ctrl Pts',(-13.6180048701898,-6.28888060592025, 10.9897194169532)); #490181=CARTESIAN_POINT('Ctrl Pts',(-13.6217424278059,-6.28077488776709, 10.9922942616118)); #490182=CARTESIAN_POINT('Ctrl Pts',(-13.6254799854219,-6.27266916961393, 10.9948691062703)); #490183=CARTESIAN_POINT('Ctrl Pts',(-13.6292095141652,-6.26456236895903, 10.9974374507521)); #490184=CARTESIAN_POINT('Ctrl Pts',(-13.6329316868359,-6.25645167779768, 10.999999682374)); #490185=CARTESIAN_POINT('Origin',(1.844568942616E-11,1.376410097028E-10, 30.1)); #490186=CARTESIAN_POINT('Origin',(0.,0.,29.1)); #490187=CARTESIAN_POINT('',(10.85957319485,0.,30.09999999998)); #490188=CARTESIAN_POINT('Origin',(10.85957922917,-1.32991489430901E-15, 29.1)); #490189=CARTESIAN_POINT('Origin',(0.,0.,30.09999999998)); #490190=CARTESIAN_POINT('Origin',(0.,0.,30.1)); #490191=CARTESIAN_POINT('',(0.,0.,0.)); #490192=CARTESIAN_POINT('Origin',(5.73609794300138,-4.90481842426441,70.7)); #490193=CARTESIAN_POINT('',(-7.02692378864641,-7.80977309068274,70.7)); #490194=CARTESIAN_POINT('',(-0.750880325350662,-4.18629770770294,70.7)); #490195=CARTESIAN_POINT('',(-1.61184950478847,-4.68337849521533,70.7)); #490196=CARTESIAN_POINT('',(-10.2769237886465,-2.18060796608438,70.7)); #490197=CARTESIAN_POINT('',(-7.68548720668035,-6.66910779064185,70.7)); #490198=CARTESIAN_POINT('',(-4.00088032535064,1.44286741689587,70.7)); #490199=CARTESIAN_POINT('',(-1.72382777314053,2.7575243208733,70.7)); #490200=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838333,70.7)); #490201=CARTESIAN_POINT('Origin',(5.73609794300138,-4.90481842426441,70.7)); #490202=CARTESIAN_POINT('',(-3.24999999999999,4.62361162513374,70.7)); #490203=CARTESIAN_POINT('',(-3.24999999999997,2.74343029080707,70.7)); #490204=CARTESIAN_POINT('',(-3.24999999999998,-0.140603399565337,70.7)); #490205=CARTESIAN_POINT('',(-1.98025340991878,2.42434601837573,70.7)); #490206=CARTESIAN_POINT('',(2.75081042541505,-5.77009691827414,70.7)); #490207=CARTESIAN_POINT('Origin',(0.,12.9903810567667,70.7)); #490208=CARTESIAN_POINT('Origin',(5.73609794300138,-4.90481842426441,70.7)); #490209=CARTESIAN_POINT('',(12.2230762113497,-10.8097730906763,70.7)); #490210=CARTESIAN_POINT('',(18.4991196746495,-14.4332484736626,70.7)); #490211=CARTESIAN_POINT('',(8.51199917339017,-8.66718176383123,70.7)); #490212=CARTESIAN_POINT('',(15.4730762113528,-5.18060796608492,70.7)); #490213=CARTESIAN_POINT('',(14.3156641151334,-7.1853045220271,70.7)); #490214=CARTESIAN_POINT('',(21.7491196746495,-8.80408334906375,70.7)); #490215=CARTESIAN_POINT('',(14.900020905041,-4.84975433072394,70.7)); #490216=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838335,70.7)); #490217=CARTESIAN_POINT('Origin',(5.73609794300138,-4.90481842426441,70.7)); #490218=CARTESIAN_POINT('',(0.750880325350676,-4.18629770770294,70.7)); #490219=CARTESIAN_POINT('',(2.37916512459885,-5.12638837486626,70.7)); #490220=CARTESIAN_POINT('',(2.7759012303916,-5.35544407234294,70.7)); #490221=CARTESIAN_POINT('',(1.10941853451766,-2.9271227681083,70.7)); #490222=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838335,70.7)); #490223=CARTESIAN_POINT('',(2.75081042541505,-5.77009691827414,70.7)); #490224=CARTESIAN_POINT('Origin',(-4.44089209850063E-15,3.24759526419166, 70.5)); #490225=CARTESIAN_POINT('',(-18.4991196746495,-14.4332484736625,70.5)); #490226=CARTESIAN_POINT('',(-0.750880325350662,-4.18629770770294,70.5)); #490227=CARTESIAN_POINT('',(-1.99783689432325,-4.90622841846703,70.5)); #490228=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838333,70.5)); #490229=CARTESIAN_POINT('Origin',(-4.44089209850063E-15,3.24759526419166, 70.5)); #490230=CARTESIAN_POINT('',(-4.00088032535064,1.44286741689587,70.5)); #490231=CARTESIAN_POINT('',(-21.7491196746495,-8.80408334906373,70.5)); #490232=CARTESIAN_POINT('',(-2.10981516267531,2.53467439762159,70.5)); #490233=CARTESIAN_POINT('',(-22.0000000000001,-6.49519052838334,70.5)); #490234=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838333,70.5)); #490235=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838333,70.5)); #490236=CARTESIAN_POINT('Origin',(-10.2769237886465,-2.18060796608438,71.5)); #490237=CARTESIAN_POINT('',(-7.02692378864641,-7.80977309068274,71.5)); #490238=CARTESIAN_POINT('',(-7.02692378864641,-7.80977309068274,71.5)); #490239=CARTESIAN_POINT('',(-10.2769237886465,-2.18060796608438,71.5)); #490240=CARTESIAN_POINT('',(-10.1675487886465,-2.37005102316221,71.5)); #490241=CARTESIAN_POINT('',(-10.2769237886465,-2.18060796608438,71.5)); #490242=CARTESIAN_POINT('Origin',(-4.44089209850063E-15,3.24759526419166, 70.5)); #490243=CARTESIAN_POINT('',(21.7491196746495,-8.80408334906375,70.5)); #490244=CARTESIAN_POINT('',(4.00088032535065,1.44286741689587,70.5)); #490245=CARTESIAN_POINT('',(10.9839348373247,-2.58880098535821,70.5)); #490246=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838335,70.5)); #490247=CARTESIAN_POINT('Origin',(2.37916512459885,-5.12638837486626,71.5)); #490248=CARTESIAN_POINT('',(-1.98025340991878,2.42434601837574,70.5)); #490249=CARTESIAN_POINT('',(-1.98025340991878,2.42434601837574,70.5)); #490250=CARTESIAN_POINT('',(-3.24999999999999,4.62361162513374,71.5)); #490251=CARTESIAN_POINT('',(-3.24999999999999,4.62361162513374,71.5)); #490252=CARTESIAN_POINT('',(2.37916512459885,-5.12638837486626,71.5)); #490253=CARTESIAN_POINT('',(0.268748843449141,-1.47104015079439,71.5)); #490254=CARTESIAN_POINT('',(2.37916512459885,-5.12638837486626,71.5)); #490255=CARTESIAN_POINT('',(1.10941853451766,-2.92712276810829,70.5)); #490256=CARTESIAN_POINT('',(1.10941853451766,-2.92712276810829,70.5)); #490257=CARTESIAN_POINT('',(-0.752665056095784,0.298100618774473,70.5)); #490258=CARTESIAN_POINT('Origin',(-2.99999999999999,-20.2451905283833,-0.999999999999998)); #490259=CARTESIAN_POINT('',(-2.99999999999999,-20.2451905283833,-0.999999999999998)); #490260=CARTESIAN_POINT('',(-2.99999999999999,-20.2451905283833,6.00000000000001)); #490261=CARTESIAN_POINT('',(-2.99999999999999,-20.2451905283833,-0.5)); #490262=CARTESIAN_POINT('',(-2.99999999999999,-18.2451905283833,-0.999999999999998)); #490263=CARTESIAN_POINT('',(-2.99999999999999,-8.49879763209584,-1.)); #490264=CARTESIAN_POINT('',(-2.99999999999999,-18.2451905283833,6.00000000000001)); #490265=CARTESIAN_POINT('',(-2.99999999999999,-18.2451905283833,-0.999999999999998)); #490266=CARTESIAN_POINT('',(-2.99999999999999,-20.2451905283833,6.00000000000001)); #490267=CARTESIAN_POINT('Origin',(3.00000000000001,-20.2451905283833,6.00000000000001)); #490268=CARTESIAN_POINT('',(3.00000000000001,-20.2451905283833,6.00000000000001)); #490269=CARTESIAN_POINT('',(3.00000000000001,-20.2451905283833,-0.999999999999998)); #490270=CARTESIAN_POINT('',(3.00000000000001,-20.2451905283833,3.)); #490271=CARTESIAN_POINT('',(3.00000000000001,-18.2451905283833,6.00000000000001)); #490272=CARTESIAN_POINT('',(3.00000000000001,-20.2451905283833,6.00000000000001)); #490273=CARTESIAN_POINT('',(3.00000000000001,-18.2451905283833,-0.999999999999998)); #490274=CARTESIAN_POINT('',(3.00000000000001,-18.2451905283833,6.00000000000001)); #490275=CARTESIAN_POINT('',(3.00000000000001,-8.49879763209584,-1.)); #490276=CARTESIAN_POINT('Origin',(9.43689570931383E-15,-18.2451905283833, 2.5)); #490277=CARTESIAN_POINT('',(5.,-18.2451905283833,6.00000000000001)); #490278=CARTESIAN_POINT('',(-4.84721400875051E-16,-18.2451905283833,-1.)); #490279=CARTESIAN_POINT('Origin',(11.2500000000001,-20.2451905283833,0.)); #490280=CARTESIAN_POINT('',(11.2500000000001,-20.2451905283833,-1.)); #490281=CARTESIAN_POINT('',(-11.2500000000001,-20.2451905283833,-1.)); #490282=CARTESIAN_POINT('',(11.2500000000001,-20.2451905283834,12.)); #490283=CARTESIAN_POINT('',(11.2500000000001,-20.2451905283833,0.)); #490284=CARTESIAN_POINT('',(9.99999999999999,-20.2451905283834,12.)); #490285=CARTESIAN_POINT('',(5.62500000000004,-20.2451905283833,12.)); #490286=CARTESIAN_POINT('',(9.99999999999999,-20.2451905283833,6.00000000000001)); #490287=CARTESIAN_POINT('',(9.99999999999999,-20.2451905283833,32.25)); #490288=CARTESIAN_POINT('',(10.625,-20.2451905283833,6.00000000000001)); #490289=CARTESIAN_POINT('Origin',(11.2500000000001,-20.2451905283833,0.)); #490290=CARTESIAN_POINT('',(-10.,-20.2451905283833,6.00000000000001)); #490291=CARTESIAN_POINT('',(10.625,-20.2451905283833,6.00000000000001)); #490292=CARTESIAN_POINT('',(-10.,-20.2451905283834,12.)); #490293=CARTESIAN_POINT('',(-10.,-20.2451905283833,3.)); #490294=CARTESIAN_POINT('',(-11.2500000000001,-20.2451905283834,12.)); #490295=CARTESIAN_POINT('',(5.62500000000004,-20.2451905283833,12.)); #490296=CARTESIAN_POINT('',(-11.2500000000001,-20.2451905283833,-1.)); #490297=CARTESIAN_POINT('',(-11.2500000000001,-20.2451905283833,0.)); #490298=CARTESIAN_POINT('',(-11.2500000000001,-20.2451905283833,-1.)); #490299=CARTESIAN_POINT('Origin',(9.99999999999999,-20.2451905283833,6.00000000000001)); #490300=CARTESIAN_POINT('',(9.99999999999998,-17.7451905283833,6.00000000000001)); #490301=CARTESIAN_POINT('',(9.99999999999999,-20.2451905283833,6.00000000000001)); #490302=CARTESIAN_POINT('',(-10.,-17.7451905283833,6.00000000000001)); #490303=CARTESIAN_POINT('',(9.99999999999999,-17.7451905283833,6.00000000000001)); #490304=CARTESIAN_POINT('',(-10.,-20.2451905283833,6.00000000000001)); #490305=CARTESIAN_POINT('Origin',(2.60902410786912E-14,26.5455756647104, 15.25)); #490306=CARTESIAN_POINT('',(-3.24999999999998,25.3192090627047,12.)); #490307=CARTESIAN_POINT('',(3.25000000000003,25.3192090627046,18.5)); #490308=CARTESIAN_POINT('Origin',(0.,12.9903810567667,15.25)); #490309=CARTESIAN_POINT('',(-3.24999999999997,26.3507702726541,12.)); #490310=CARTESIAN_POINT('',(-3.24999999999997,26.5455756647104,12.)); #490311=CARTESIAN_POINT('',(3.25000000000003,26.3507702726541,18.5)); #490312=CARTESIAN_POINT('Origin',(0.,12.9903810567667,15.25)); #490313=CARTESIAN_POINT('',(3.25000000000003,26.5455756647104,18.5)); #490314=CARTESIAN_POINT('Origin',(0.,12.9903810567667,15.25)); #490315=CARTESIAN_POINT('',(11.0418238982516,19.3653810567666,18.5)); #490316=CARTESIAN_POINT('Origin',(0.,12.9903810567667,18.5)); #490317=CARTESIAN_POINT('',(11.0418238982516,19.3653810567666,12.)); #490318=CARTESIAN_POINT('',(11.0418238982516,19.3653810567666,12.)); #490319=CARTESIAN_POINT('',(3.24999999999998,25.3192090627047,12.)); #490320=CARTESIAN_POINT('Origin',(6.66133814775094E-15,12.9903810567667, 12.)); #490321=CARTESIAN_POINT('',(3.24999999999998,25.3192090627047,0.)); #490322=CARTESIAN_POINT('',(3.24999999999998,25.3192090627047,18.5)); #490323=CARTESIAN_POINT('',(-3.24999999999998,25.3192090627047,0.)); #490324=CARTESIAN_POINT('Origin',(0.,12.9903810567667,0.)); #490325=CARTESIAN_POINT('',(-3.24999999999998,25.3192090627047,18.5)); #490326=CARTESIAN_POINT('Origin',(0.,26.7403810567667,18.5)); #490327=CARTESIAN_POINT('',(11.9078493020361,19.8653810567667,18.5)); #490328=CARTESIAN_POINT('Origin',(0.,12.9903810567667,18.5)); #490329=CARTESIAN_POINT('',(15.9078493020361,12.9371778264911,18.5)); #490330=CARTESIAN_POINT('',(8.93088697652703,25.0216310567667,18.5)); #490331=CARTESIAN_POINT('',(15.0418238982518,12.4371778264912,18.5)); #490332=CARTESIAN_POINT('',(12.9308869765271,11.2184278264911,18.5)); #490333=CARTESIAN_POINT('',(11.0418238982516,19.3653810567666,18.5)); #490334=CARTESIAN_POINT('Origin',(-15.576924207266,-8.99334138421146,55.2499999999999)); #490335=CARTESIAN_POINT('',(-23.5520782520314,-9.84502196905291,58.4999999999999)); #490336=CARTESIAN_POINT('',(-20.3020782520314,-15.4741870936517,52.)); #490337=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,55.2499999999999)); #490338=CARTESIAN_POINT('',(-24.4454364654062,-10.3608025740276,58.4999999999999)); #490339=CARTESIAN_POINT('',(-17.201924207266,-6.17875882191203,58.4999999999999)); #490340=CARTESIAN_POINT('',(-21.1954364654062,-15.9899676986265,52.0000000000001)); #490341=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,55.2499999999999)); #490342=CARTESIAN_POINT('',(-13.951924207266,-11.8079239465109,51.9999999999999)); #490343=CARTESIAN_POINT('Origin',(-24.4454364654062,-10.3608025740276,71.5)); #490344=CARTESIAN_POINT('',(-23.5520782520314,-9.84502196905291,70.5)); #490345=CARTESIAN_POINT('',(-23.5520782520314,-9.84502196905291,51.9999999999999)); #490346=CARTESIAN_POINT('',(-13.5537693966714,-4.07249565996979,70.5)); #490347=CARTESIAN_POINT('',(-4.00088032535064,1.44286741689587,70.5)); #490348=CARTESIAN_POINT('',(-24.4454364654062,-10.3608025740276,71.5)); #490349=CARTESIAN_POINT('',(-12.3320932327031,-3.36716059784015,71.5)); #490350=CARTESIAN_POINT('',(-24.4454364654062,-10.3608025740276,0.)); #490351=CARTESIAN_POINT('Origin',(-4.59971867645503,2.6556488160481,15.2499999999998)); #490352=CARTESIAN_POINT('',(-15.0418238982517,12.4371778264911,18.4999999999997)); #490353=CARTESIAN_POINT('',(-18.2918238982516,6.80801270189226,12.)); #490354=CARTESIAN_POINT('',(-10.6668238982515,20.0149001096051,27.2499999999998)); #490355=CARTESIAN_POINT('',(-15.9078493020361,12.9371778264911,18.4999999999997)); #490356=CARTESIAN_POINT('',(-2.97471867645508,5.47023137834756,18.4999999999998)); #490357=CARTESIAN_POINT('',(-19.1578493020361,7.30801270189226,11.9999999999997)); #490358=CARTESIAN_POINT('',(-20.845349302036,4.38517696411986,8.62499999999986)); #490359=CARTESIAN_POINT('',(-6.22471867645498,-0.158933746251352,11.9999999999998)); #490360=CARTESIAN_POINT('Origin',(0.,-19.2451905283833,12.)); #490361=CARTESIAN_POINT('',(-23.1578493020362,0.379809471616697,12.)); #490362=CARTESIAN_POINT('',(-24.512055276599,-1.96574408023952,11.9999999999998)); #490363=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,12.)); #490364=CARTESIAN_POINT('',(-10.,-19.2451905283833,12.)); #490365=CARTESIAN_POINT('',(-10.,-19.7451905283833,12.)); #490366=CARTESIAN_POINT('',(-11.2500000000001,-19.2451905283833,12.)); #490367=CARTESIAN_POINT('',(0.,-19.2451905283833,12.)); #490368=CARTESIAN_POINT('',(-22.2918238982517,-0.120190528383343,12.)); #490369=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838333,12.)); #490370=CARTESIAN_POINT('',(-22.2918238982517,-0.120190528383343,12.)); #490371=CARTESIAN_POINT('Origin',(-13.9063679236888,8.02884526419171,55.25)); #490372=CARTESIAN_POINT('',(-18.2918238982517,6.80801270189232,52.)); #490373=CARTESIAN_POINT('',(-15.0418238982516,12.4371778264911,58.5)); #490374=CARTESIAN_POINT('',(-15.6668238982516,11.3546460717606,57.25)); #490375=CARTESIAN_POINT('',(-19.1578493020361,7.30801270189226,52.)); #490376=CARTESIAN_POINT('',(-15.5313679236888,5.21426270189228,52.)); #490377=CARTESIAN_POINT('',(-15.9078493020361,12.9371778264911,58.5)); #490378=CARTESIAN_POINT('',(-25.8453493020361,-4.27507707372463,38.625)); #490379=CARTESIAN_POINT('',(-12.2813679236888,10.8434278264911,58.5)); #490380=CARTESIAN_POINT('Origin',(0.,25.7403810567667,58.5)); #490381=CARTESIAN_POINT('',(-11.9078493020361,19.8653810567667,58.5)); #490382=CARTESIAN_POINT('',(-14.7723933274732,14.9038452641917,58.5)); #490383=CARTESIAN_POINT('',(-3.24999999999997,26.3507702726541,58.5)); #490384=CARTESIAN_POINT('Origin',(0.,12.9903810567667,58.5)); #490385=CARTESIAN_POINT('',(-3.24999999999998,25.3192090627047,58.5)); #490386=CARTESIAN_POINT('',(-3.24999999999997,26.0455756647104,58.5)); #490387=CARTESIAN_POINT('',(-11.0418238982516,19.3653810567667,58.5)); #490388=CARTESIAN_POINT('Origin',(9.99200722162641E-15,12.9903810567667, 58.5)); #490389=CARTESIAN_POINT('',(-11.0418238982516,19.3653810567667,58.5)); #490390=CARTESIAN_POINT('Origin',(-2.55351295663786E-14,26.5455756647104, 55.25)); #490391=CARTESIAN_POINT('',(3.24999999999998,25.3192090627047,58.5)); #490392=CARTESIAN_POINT('',(-3.25000000000003,25.3192090627046,52.)); #490393=CARTESIAN_POINT('Origin',(0.,12.9903810567667,55.2500000000001)); #490394=CARTESIAN_POINT('',(3.24999999999997,26.3507702726541,58.5)); #490395=CARTESIAN_POINT('',(3.24999999999997,26.5455756647104,58.5)); #490396=CARTESIAN_POINT('',(-3.25000000000003,26.3507702726541,52.)); #490397=CARTESIAN_POINT('Origin',(0.,12.9903810567667,55.2500000000001)); #490398=CARTESIAN_POINT('',(-3.25000000000003,26.5455756647104,52.)); #490399=CARTESIAN_POINT('Origin',(3.24999999999997,26.3507702726541,71.5)); #490400=CARTESIAN_POINT('',(3.24999999999998,25.3192090627047,70.5)); #490401=CARTESIAN_POINT('',(3.24999999999998,25.3192090627047,52.)); #490402=CARTESIAN_POINT('',(3.24999999999998,23.2373318227263,70.5)); #490403=CARTESIAN_POINT('',(3.24999999999998,15.8629884758621,70.5)); #490404=CARTESIAN_POINT('',(3.24999999999998,2.74343029080707,70.5)); #490405=CARTESIAN_POINT('',(3.24999999999998,14.7991827684229,70.5)); #490406=CARTESIAN_POINT('',(3.24999999999997,1.87638837486627,70.5)); #490407=CARTESIAN_POINT('',(3.24999999999998,15.8629884758621,70.5)); #490408=CARTESIAN_POINT('',(3.24999999999997,1.87638837486627,71.5)); #490409=CARTESIAN_POINT('',(3.24999999999997,1.87638837486627,71.5)); #490410=CARTESIAN_POINT('',(3.24999999999997,26.3507702726541,71.5)); #490411=CARTESIAN_POINT('',(3.24999999999998,14.7991827684229,71.5)); #490412=CARTESIAN_POINT('',(3.24999999999997,26.3507702726541,0.)); #490413=CARTESIAN_POINT('Origin',(13.9063679236889,8.02884526419169,15.25)); #490414=CARTESIAN_POINT('',(18.2918238982517,6.80801270189227,18.5)); #490415=CARTESIAN_POINT('',(15.0418238982516,12.4371778264911,12.)); #490416=CARTESIAN_POINT('',(20.1668238982516,3.56041743770056,22.25)); #490417=CARTESIAN_POINT('',(19.1578493020361,7.30801270189224,18.5)); #490418=CARTESIAN_POINT('',(15.5313679236889,5.21426270189228,18.5)); #490419=CARTESIAN_POINT('',(15.9078493020361,12.9371778264911,12.)); #490420=CARTESIAN_POINT('',(14.2203493020361,15.8600135642636,8.62500000000002)); #490421=CARTESIAN_POINT('',(12.2813679236888,10.8434278264911,12.)); #490422=CARTESIAN_POINT('Origin',(0.,25.7403810567666,12.)); #490423=CARTESIAN_POINT('',(11.9078493020361,19.8653810567667,12.)); #490424=CARTESIAN_POINT('',(9.14739332747316,24.6466310567667,12.)); #490425=CARTESIAN_POINT('',(3.24999999999997,26.3507702726541,12.)); #490426=CARTESIAN_POINT('Origin',(0.,12.9903810567667,12.)); #490427=CARTESIAN_POINT('',(3.24999999999997,26.0455756647104,12.)); #490428=CARTESIAN_POINT('',(11.0418238982516,19.3653810567666,12.)); #490429=CARTESIAN_POINT('Origin',(15.576924207266,-8.99334138421146,15.2499999999999)); #490430=CARTESIAN_POINT('',(23.5520782520314,-9.84502196905293,11.9999999999999)); #490431=CARTESIAN_POINT('',(20.3020782520313,-15.4741870936518,18.5)); #490432=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,15.2499999999999)); #490433=CARTESIAN_POINT('',(24.4454364654062,-10.3608025740276,11.9999999999999)); #490434=CARTESIAN_POINT('',(17.201924207266,-6.17875882191204,11.9999999999999)); #490435=CARTESIAN_POINT('',(21.1954364654062,-15.9899676986265,18.5)); #490436=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,15.2499999999999)); #490437=CARTESIAN_POINT('',(13.951924207266,-11.8079239465109,18.4999999999999)); #490438=CARTESIAN_POINT('Origin',(24.4454364654062,-10.3608025740276,-1.)); #490439=CARTESIAN_POINT('',(23.5520782520314,-9.84502196905293,0.)); #490440=CARTESIAN_POINT('',(23.5520782520314,-9.84502196905293,18.4999999999999)); #490441=CARTESIAN_POINT('',(21.7491196746495,-8.80408334906375,0.)); #490442=CARTESIAN_POINT('',(13.5537693966721,-4.07249565997021,0.)); #490443=CARTESIAN_POINT('',(10.2769237886465,-2.18060796608394,0.)); #490444=CARTESIAN_POINT('',(12.3320932327031,-3.36716059784016,0.)); #490445=CARTESIAN_POINT('',(10.2769237886465,-2.18060796608394,-1.)); #490446=CARTESIAN_POINT('',(10.2769237886465,-2.18060796608394,-1.)); #490447=CARTESIAN_POINT('',(24.4454364654062,-10.3608025740276,-1.)); #490448=CARTESIAN_POINT('',(12.3320932327031,-3.36716059784016,-1.)); #490449=CARTESIAN_POINT('',(24.4454364654062,-10.3608025740276,0.)); #490450=CARTESIAN_POINT('Origin',(4.59971867645515,2.65564881604797,35.2499999999999)); #490451=CARTESIAN_POINT('',(15.0418238982518,12.4371778264912,31.9999999999998)); #490452=CARTESIAN_POINT('',(18.2918238982516,6.80801270189223,38.5)); #490453=CARTESIAN_POINT('',(17.6668238982516,7.89054445662274,37.2499999999999)); #490454=CARTESIAN_POINT('',(15.9078493020361,12.9371778264911,31.9999999999998)); #490455=CARTESIAN_POINT('',(2.97471867645515,5.4702313783474,31.9999999999999)); #490456=CARTESIAN_POINT('',(19.1578493020361,7.30801270189224,38.4999999999999)); #490457=CARTESIAN_POINT('',(11.7203493020361,20.1901405831857,23.6249999999998)); #490458=CARTESIAN_POINT('',(6.22471867645515,-0.158933746251453,38.4999999999999)); #490459=CARTESIAN_POINT('Origin',(0.,-19.2451905283833,38.5)); #490460=CARTESIAN_POINT('',(23.1578493020362,0.379809471616667,38.5)); #490461=CARTESIAN_POINT('',(18.8870552765989,7.77704171233548,38.4999999999999)); #490462=CARTESIAN_POINT('',(11.2500000000001,-20.2451905283834,38.5)); #490463=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,38.5)); #490464=CARTESIAN_POINT('',(9.99999999999999,-20.2451905283834,38.5)); #490465=CARTESIAN_POINT('',(5.62500000000004,-20.2451905283833,38.5)); #490466=CARTESIAN_POINT('',(9.99999999999999,-19.2451905283833,38.5)); #490467=CARTESIAN_POINT('',(9.99999999999999,-19.7451905283834,38.5)); #490468=CARTESIAN_POINT('',(11.2500000000001,-19.2451905283833,38.5)); #490469=CARTESIAN_POINT('',(0.,-19.2451905283833,38.5)); #490470=CARTESIAN_POINT('',(22.2918238982517,-0.12019052838337,38.5)); #490471=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,38.5)); #490472=CARTESIAN_POINT('',(22.2918238982517,-0.12019052838337,38.5)); #490473=CARTESIAN_POINT('Origin',(4.48618012702831,5.37520667907011,0.)); #490474=CARTESIAN_POINT('',(3.24999999999998,23.2373318227263,0.)); #490475=CARTESIAN_POINT('',(3.24999999999998,4.99940915210191,0.)); #490476=CARTESIAN_POINT('',(-3.24999999999997,23.2373318227263,0.)); #490477=CARTESIAN_POINT('Origin',(0.,12.9903810567667,0.)); #490478=CARTESIAN_POINT('',(-3.24999999999998,15.8629884758621,0.)); #490479=CARTESIAN_POINT('Origin',(0.,12.9903810567667,0.)); #490480=CARTESIAN_POINT('',(3.24999999999997,26.3507702726541,-1.)); #490481=CARTESIAN_POINT('',(3.24999999999998,26.3507702726541,0.)); #490482=CARTESIAN_POINT('',(11.9078493020361,19.8653810567667,-1.)); #490483=CARTESIAN_POINT('',(11.9078493020361,19.8653810567667,0.)); #490484=CARTESIAN_POINT('Origin',(0.,12.9903810567667,-1.)); #490485=CARTESIAN_POINT('Origin',(0.,12.9903810567667,0.)); #490486=CARTESIAN_POINT('',(-3.24999999999997,26.3507702726541,-1.)); #490487=CARTESIAN_POINT('',(-3.24999999999997,26.3507702726541,0.)); #490488=CARTESIAN_POINT('',(-11.907849302036,19.8653810567667,-1.)); #490489=CARTESIAN_POINT('Origin',(0.,12.9903810567667,-1.)); #490490=CARTESIAN_POINT('',(-11.9078493020361,19.8653810567667,52.)); #490491=CARTESIAN_POINT('',(-11.907849302036,19.8653810567667,0.)); #490492=CARTESIAN_POINT('Origin',(0.,12.9903810567667,52.)); #490493=CARTESIAN_POINT('',(11.9078493020361,19.8653810567667,71.5)); #490494=CARTESIAN_POINT('Origin',(0.,12.9903810567667,71.5)); #490495=CARTESIAN_POINT('',(11.9078493020361,19.8653810567667,0.)); #490496=CARTESIAN_POINT('Origin',(15.9078493020361,12.9371778264911,12.)); #490497=CARTESIAN_POINT('',(15.0418238982518,12.4371778264912,12.)); #490498=CARTESIAN_POINT('',(15.9078493020361,12.9371778264911,6.00000000000001)); #490499=CARTESIAN_POINT('Origin',(18.2918238982517,6.80801270189227,12.)); #490500=CARTESIAN_POINT('',(18.2918238982517,6.80801270189227,31.9999999999999)); #490501=CARTESIAN_POINT('',(18.2918238982517,6.80801270189227,12.)); #490502=CARTESIAN_POINT('',(19.1578493020361,7.30801270189224,31.9999999999999)); #490503=CARTESIAN_POINT('',(5.57519962361686,-0.533933746251675,31.9999999999999)); #490504=CARTESIAN_POINT('',(19.1578493020361,7.30801270189224,6.00000000000001)); #490505=CARTESIAN_POINT('Origin',(11.9078493020361,19.8653810567667,0.)); #490506=CARTESIAN_POINT('',(23.1578493020361,0.379809471616645,71.5)); #490507=CARTESIAN_POINT('',(19.6422243020361,6.46905059197603,71.5)); #490508=CARTESIAN_POINT('',(23.1578493020361,0.379809471616645,0.)); #490509=CARTESIAN_POINT('Origin',(11.9078493020361,19.8653810567667,0.)); #490510=CARTESIAN_POINT('',(23.1578493020362,0.379809471616671,32.)); #490511=CARTESIAN_POINT('',(19.1035616275451,7.40204171233544,31.9999999999999)); #490512=CARTESIAN_POINT('',(23.1578493020361,0.379809471616645,-1.)); #490513=CARTESIAN_POINT('',(23.1578493020361,0.379809471616645,0.)); #490514=CARTESIAN_POINT('',(23.1578493020361,0.379809471616645,-1.)); #490515=CARTESIAN_POINT('Origin',(0.,-20.2451905283834,32.)); #490516=CARTESIAN_POINT('',(22.2918238982517,-0.120190528383368,32.)); #490517=CARTESIAN_POINT('',(22.2918238982517,-0.120190528383368,32.)); #490518=CARTESIAN_POINT('',(11.2500000000001,-19.2451905283833,32.)); #490519=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,32.)); #490520=CARTESIAN_POINT('',(9.99999999999999,-19.2451905283833,32.)); #490521=CARTESIAN_POINT('',(0.,-19.2451905283833,32.)); #490522=CARTESIAN_POINT('',(9.99999999999999,-20.2451905283833,32.)); #490523=CARTESIAN_POINT('',(9.99999999999999,-20.2451905283834,32.)); #490524=CARTESIAN_POINT('',(11.2500000000001,-20.2451905283834,32.)); #490525=CARTESIAN_POINT('',(5.62500000000004,-20.2451905283833,32.)); #490526=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,32.)); #490527=CARTESIAN_POINT('Origin',(22.2918238982517,-0.120190528383368,32.)); #490528=CARTESIAN_POINT('',(22.2918238982517,-0.12019052838337,38.5)); #490529=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,35.25)); #490530=CARTESIAN_POINT('',(11.2500000000001,-19.2451905283833,38.5)); #490531=CARTESIAN_POINT('Origin',(0.,-19.2451905283833,32.)); #490532=CARTESIAN_POINT('',(9.99999999999999,-19.2451905283833,48.25)); #490533=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,0.)); #490534=CARTESIAN_POINT('',(11.2500000000001,-20.2451905283834,18.5)); #490535=CARTESIAN_POINT('',(11.2500000000001,-20.2451905283833,0.)); #490536=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,18.5)); #490537=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,-1.)); #490538=CARTESIAN_POINT('Origin',(11.2500000000001,-20.2451905283833,0.)); #490539=CARTESIAN_POINT('',(9.99999999999999,-20.2451905283834,18.5)); #490540=CARTESIAN_POINT('',(9.99999999999999,-20.2451905283833,32.25)); #490541=CARTESIAN_POINT('',(5.62500000000004,-20.2451905283833,18.5)); #490542=CARTESIAN_POINT('Origin',(-4.48618012702642,5.37520667907012,70.5)); #490543=CARTESIAN_POINT('',(-3.24999999999998,25.3192090627047,70.5)); #490544=CARTESIAN_POINT('Origin',(0.,12.9903810567667,70.5)); #490545=CARTESIAN_POINT('',(-3.24999999999997,23.2373318227263,70.5)); #490546=CARTESIAN_POINT('',(-3.24999999999997,4.99940915210193,70.5)); #490547=CARTESIAN_POINT('Origin',(0.,12.9903810567667,70.5)); #490548=CARTESIAN_POINT('Origin',(0.,12.9903810567667,0.)); #490549=CARTESIAN_POINT('',(-3.24999999999997,26.3507702726541,71.5)); #490550=CARTESIAN_POINT('',(-3.24999999999997,26.3507702726541,0.)); #490551=CARTESIAN_POINT('',(-11.907849302036,19.8653810567667,71.5)); #490552=CARTESIAN_POINT('',(-11.907849302036,19.8653810567667,0.)); #490553=CARTESIAN_POINT('Origin',(0.,12.9903810567667,71.5)); #490554=CARTESIAN_POINT('Origin',(-18.2918238982517,6.80801270189232,58.5)); #490555=CARTESIAN_POINT('',(-18.2918238982516,6.80801270189224,18.5)); #490556=CARTESIAN_POINT('',(-18.2918238982517,6.80801270189232,58.5)); #490557=CARTESIAN_POINT('',(-19.1578493020361,7.30801270189225,18.4999999999999)); #490558=CARTESIAN_POINT('',(-5.5751996236168,-0.533933746251467,18.4999999999999)); #490559=CARTESIAN_POINT('',(-19.1578493020361,7.30801270189226,29.25)); #490560=CARTESIAN_POINT('Origin',(-15.9078493020361,12.9371778264911,58.5)); #490561=CARTESIAN_POINT('',(-15.0418238982516,12.4371778264911,52.)); #490562=CARTESIAN_POINT('',(-15.0418238982517,12.4371778264911,58.5)); #490563=CARTESIAN_POINT('',(-15.9078493020361,12.9371778264911,52.)); #490564=CARTESIAN_POINT('',(-12.9308869765269,11.2184278264911,52.)); #490565=CARTESIAN_POINT('',(-15.9078493020361,12.9371778264911,29.25)); #490566=CARTESIAN_POINT('Origin',(-11.0418238982516,19.3653810567667,52.)); #490567=CARTESIAN_POINT('',(-22.2918238982517,-0.120190528383345,18.5)); #490568=CARTESIAN_POINT('',(-22.2918238982516,-0.120190528383344,18.5)); #490569=CARTESIAN_POINT('',(-22.2918238982517,-0.120190528383345,18.5)); #490570=CARTESIAN_POINT('',(-11.0418238982516,19.3653810567667,52.)); #490571=CARTESIAN_POINT('',(-11.0418238982516,19.3653810567667,52.)); #490572=CARTESIAN_POINT('',(-11.0418238982516,19.3653810567667,52.)); #490573=CARTESIAN_POINT('Origin',(-23.1578493020361,0.379809471616677,0.)); #490574=CARTESIAN_POINT('',(-23.1578493020362,0.379809471616693,18.5)); #490575=CARTESIAN_POINT('',(-24.7285616275451,-2.34074408023956,18.4999999999999)); #490576=CARTESIAN_POINT('',(-23.1578493020361,0.379809471616672,71.5)); #490577=CARTESIAN_POINT('',(-23.1578493020361,0.379809471616672,0.)); #490578=CARTESIAN_POINT('',(-14.0172243020361,16.2118363845511,71.5)); #490579=CARTESIAN_POINT('Origin',(0.,-20.2451905283834,18.5)); #490580=CARTESIAN_POINT('',(-11.2500000000001,-19.2451905283833,18.5)); #490581=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838333,18.5)); #490582=CARTESIAN_POINT('',(-10.,-19.2451905283833,18.5)); #490583=CARTESIAN_POINT('',(0.,-19.2451905283833,18.5)); #490584=CARTESIAN_POINT('',(-10.,-20.2451905283834,18.5)); #490585=CARTESIAN_POINT('',(-10.,-20.2451905283833,18.5)); #490586=CARTESIAN_POINT('',(-11.2500000000001,-20.2451905283834,18.5)); #490587=CARTESIAN_POINT('',(5.62500000000004,-20.2451905283833,18.5)); #490588=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,18.5)); #490589=CARTESIAN_POINT('Origin',(0.,12.9903810567667,55.25)); #490590=CARTESIAN_POINT('Origin',(6.66133814775094E-15,12.9903810567667, 52.)); #490591=CARTESIAN_POINT('',(-3.24999999999998,25.3192090627047,52.)); #490592=CARTESIAN_POINT('Origin',(0.,26.7403810567667,52.)); #490593=CARTESIAN_POINT('',(-14.5558869765271,15.2788452641917,52.)); #490594=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,15.25)); #490595=CARTESIAN_POINT('',(-11.2500000000001,-19.2451905283833,18.5)); #490596=CARTESIAN_POINT('Origin',(0.,-19.2451905283833,18.5)); #490597=CARTESIAN_POINT('',(-10.,-19.2451905283833,12.25)); #490598=CARTESIAN_POINT('Origin',(-23.1578493020361,0.379809471616677,0.)); #490599=CARTESIAN_POINT('',(-23.1578493020361,0.379809471616672,-1.)); #490600=CARTESIAN_POINT('',(-11.907849302036,19.8653810567667,-1.)); #490601=CARTESIAN_POINT('',(-23.1578493020361,0.379809471616672,0.)); #490602=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,0.)); #490603=CARTESIAN_POINT('',(-11.2500000000001,-20.2451905283834,52.)); #490604=CARTESIAN_POINT('',(-11.2500000000001,-20.2451905283833,0.)); #490605=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,52.)); #490606=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,71.5)); #490607=CARTESIAN_POINT('Origin',(11.2500000000001,-20.2451905283833,0.)); #490608=CARTESIAN_POINT('',(-10.,-20.2451905283834,52.)); #490609=CARTESIAN_POINT('',(-10.,-20.2451905283833,3.)); #490610=CARTESIAN_POINT('',(5.62500000000004,-20.2451905283833,52.)); #490611=CARTESIAN_POINT('Origin',(-4.48618012702642,5.37520667907012,70.5)); #490612=CARTESIAN_POINT('',(-20.3020782520314,-15.4741870936517,70.5)); #490613=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,70.5)); #490614=CARTESIAN_POINT('',(-3.21951305829153,-5.61156348059667,70.5)); #490615=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838333,70.5)); #490616=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,0.)); #490617=CARTESIAN_POINT('',(-21.1954364654062,-15.9899676986264,71.5)); #490618=CARTESIAN_POINT('',(-21.1954364654062,-15.9899676986264,58.4999999999999)); #490619=CARTESIAN_POINT('',(-21.1954364654062,-15.9899676986264,0.)); #490620=CARTESIAN_POINT('',(-11.2500000000001,-20.2451905283834,58.5)); #490621=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,58.5)); #490622=CARTESIAN_POINT('',(-11.2500000000001,-20.2451905283833,71.5)); #490623=CARTESIAN_POINT('',(-11.2500000000001,-20.2451905283833,0.)); #490624=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,71.5)); #490625=CARTESIAN_POINT('Origin',(0.,-20.2451905283834,58.5)); #490626=CARTESIAN_POINT('',(-20.3020782520314,-15.4741870936517,58.5)); #490627=CARTESIAN_POINT('',(-14.1684305582121,-11.9329239465108,58.4999999999999)); #490628=CARTESIAN_POINT('',(-11.2500000000001,-19.2451905283833,58.5)); #490629=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,58.5)); #490630=CARTESIAN_POINT('',(-10.,-19.2451905283833,58.5)); #490631=CARTESIAN_POINT('',(0.,-19.2451905283833,58.5)); #490632=CARTESIAN_POINT('',(-10.,-20.2451905283834,58.5)); #490633=CARTESIAN_POINT('',(-10.,-20.2451905283831,58.5)); #490634=CARTESIAN_POINT('',(5.62500000000004,-20.2451905283833,58.5)); #490635=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,55.25)); #490636=CARTESIAN_POINT('',(-11.2500000000001,-19.2451905283833,52.)); #490637=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838337,52.)); #490638=CARTESIAN_POINT('',(-11.2500000000001,-19.2451905283833,58.5)); #490639=CARTESIAN_POINT('',(-20.3020782520314,-15.4741870936517,52.)); #490640=CARTESIAN_POINT('Origin',(0.,-19.2451905283833,52.)); #490641=CARTESIAN_POINT('',(-10.,-19.2451905283833,52.)); #490642=CARTESIAN_POINT('',(-10.,-19.7451905283831,52.)); #490643=CARTESIAN_POINT('',(0.,-19.2451905283833,52.)); #490644=CARTESIAN_POINT('Origin',(0.,-19.2451905283833,58.5)); #490645=CARTESIAN_POINT('',(-10.,-19.2451905283833,32.25)); #490646=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,0.)); #490647=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,-1.)); #490648=CARTESIAN_POINT('Origin',(11.2500000000001,-20.2451905283833,0.)); #490649=CARTESIAN_POINT('',(11.2500000000001,-20.2451905283833,71.5)); #490650=CARTESIAN_POINT('',(11.2500000000001,-20.2451905283833,0.)); #490651=CARTESIAN_POINT('',(-5.62500000000006,-20.2451905283833,71.5)); #490652=CARTESIAN_POINT('',(-10.,-20.2451905283833,64.5)); #490653=CARTESIAN_POINT('',(-10.,-20.2451905283833,3.)); #490654=CARTESIAN_POINT('',(9.99999999999999,-20.2451905283833,64.5)); #490655=CARTESIAN_POINT('',(0.625000000000028,-20.2451905283833,64.5)); #490656=CARTESIAN_POINT('',(9.99999999999999,-20.2451905283833,32.25)); #490657=CARTESIAN_POINT('Origin',(4.48618012702831,5.37520667907011,0.)); #490658=CARTESIAN_POINT('',(20.3020782520314,-15.4741870936517,0.)); #490659=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,0.)); #490660=CARTESIAN_POINT('',(18.4991196746495,-14.4332484736626,0.)); #490661=CARTESIAN_POINT('',(3.21951305829228,-5.61156348059711,0.)); #490662=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838335,0.)); #490663=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,0.)); #490664=CARTESIAN_POINT('',(21.1954364654062,-15.9899676986265,-1.)); #490665=CARTESIAN_POINT('',(21.1954364654062,-15.9899676986265,11.9999999999999)); #490666=CARTESIAN_POINT('',(21.1954364654062,-15.9899676986264,0.)); #490667=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,12.)); #490668=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,-1.)); #490669=CARTESIAN_POINT('Origin',(0.,-20.2451905283834,12.)); #490670=CARTESIAN_POINT('',(20.3020782520314,-15.4741870936517,12.)); #490671=CARTESIAN_POINT('',(14.1684305582122,-11.9329239465109,11.9999999999999)); #490672=CARTESIAN_POINT('',(11.2500000000001,-19.2451905283833,12.)); #490673=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838333,12.)); #490674=CARTESIAN_POINT('',(9.99999999999999,-19.2451905283833,12.)); #490675=CARTESIAN_POINT('',(0.,-19.2451905283833,12.)); #490676=CARTESIAN_POINT('',(9.99999999999999,-20.2451905283836,12.)); #490677=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,15.25)); #490678=CARTESIAN_POINT('',(11.2500000000001,-19.2451905283833,18.5)); #490679=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838333,18.5)); #490680=CARTESIAN_POINT('',(11.2500000000001,-19.2451905283833,18.5)); #490681=CARTESIAN_POINT('',(20.3020782520314,-15.4741870936517,18.5)); #490682=CARTESIAN_POINT('Origin',(0.,-19.2451905283833,18.5)); #490683=CARTESIAN_POINT('',(9.99999999999999,-19.2451905283833,18.5)); #490684=CARTESIAN_POINT('',(9.99999999999999,-19.7451905283834,18.5)); #490685=CARTESIAN_POINT('',(0.,-19.2451905283833,18.5)); #490686=CARTESIAN_POINT('Origin',(0.,-19.2451905283833,12.)); #490687=CARTESIAN_POINT('',(9.99999999999999,-19.2451905283833,38.25)); #490688=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,0.)); #490689=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,71.5)); #490690=CARTESIAN_POINT('Origin',(-10.,-20.2451905283833,6.00000000000001)); #490691=CARTESIAN_POINT('',(-10.,-17.7451905283833,64.5)); #490692=CARTESIAN_POINT('',(-10.,-17.7451905283833,6.00000000000001)); #490693=CARTESIAN_POINT('',(-10.,-20.2451905283833,64.5)); #490694=CARTESIAN_POINT('Origin',(9.99999999999999,-20.2451905283833,64.5)); #490695=CARTESIAN_POINT('',(9.99999999999998,-17.7451905283833,64.5)); #490696=CARTESIAN_POINT('',(9.99999999999999,-20.2451905283833,64.5)); #490697=CARTESIAN_POINT('',(9.99999999999999,-17.7451905283833,64.5)); #490698=CARTESIAN_POINT('Origin',(-10.,-20.2451905283833,64.5)); #490699=CARTESIAN_POINT('',(-10.,-17.7451905283833,64.5)); #490700=CARTESIAN_POINT('Origin',(-1.55431223447522E-14,-17.7451905283833, 35.25)); #490701=CARTESIAN_POINT('Origin',(-4.48618012702642,5.37520667907012,70.5)); #490702=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838335,70.5)); #490703=CARTESIAN_POINT('',(-0.408583931301554,3.98867279245605,70.5)); #490704=CARTESIAN_POINT('Origin',(0.,12.9903810567667,70.5)); #490705=CARTESIAN_POINT('Origin',(-3.24999999999997,4.62361162513374,71.5)); #490706=CARTESIAN_POINT('',(-3.24999999999997,3.9356034446627,71.5)); #490707=CARTESIAN_POINT('',(-3.24999999999997,2.74343029080707,70.5)); #490708=CARTESIAN_POINT('',(-3.24999999999997,2.74343029080707,70.5)); #490709=CARTESIAN_POINT('',(-3.24999999999997,3.9356034446627,70.5)); #490710=CARTESIAN_POINT('Origin',(12.2230762113478,-10.8097730906795,71.5)); #490711=CARTESIAN_POINT('',(0.750880325350676,-4.18629770770294,70.5)); #490712=CARTESIAN_POINT('',(18.4991196746495,-14.4332484736626,70.5)); #490713=CARTESIAN_POINT('',(-1.14018483732466,-3.09449072697721,70.5)); #490714=CARTESIAN_POINT('',(0.750880325350676,-4.18629770770294,70.5)); #490715=CARTESIAN_POINT('',(12.2230762113497,-10.8097730906763,71.5)); #490716=CARTESIAN_POINT('',(4.5959131056739,-6.4062284184655,71.5)); #490717=CARTESIAN_POINT('',(12.2230762113497,-10.8097730906763,71.5)); #490718=CARTESIAN_POINT('',(18.4991196746495,-14.4332484736626,70.5)); #490719=CARTESIAN_POINT('Origin',(15.4730762113528,-5.18060796608492,71.5)); #490720=CARTESIAN_POINT('',(15.4730762113528,-5.18060796608492,71.5)); #490721=CARTESIAN_POINT('',(15.3637012113486,-5.37005102316972,71.5)); #490722=CARTESIAN_POINT('',(15.4730762113528,-5.18060796608492,71.5)); #490723=CARTESIAN_POINT('Origin',(3.24999999999997,1.87638837486627,71.5)); #490724=CARTESIAN_POINT('',(21.7491196746495,-8.80408334906375,70.5)); #490725=CARTESIAN_POINT('',(1.73437499999997,2.7514348766068,71.5)); #490726=CARTESIAN_POINT('Origin',(-7.0269237886465,-7.80977309068258,71.5)); #490727=CARTESIAN_POINT('',(-1.99783689432325,-4.90622841846704,71.5)); #490728=CARTESIAN_POINT('',(-0.750880325350662,-4.18629770770294,70.5)); #490729=CARTESIAN_POINT('Origin',(-4.44089209850063E-15,3.24759526419166, 70.5)); #490730=CARTESIAN_POINT('Origin',(0.,12.9903810567667,70.5)); #490731=CARTESIAN_POINT('Origin',(-4.44089209850063E-15,3.24759526419166, 70.5)); #490732=CARTESIAN_POINT('',(-10.75,12.9903810567667,70.5)); #490733=CARTESIAN_POINT('Origin',(0.,12.9903810567667,70.5)); #490734=CARTESIAN_POINT('Origin',(0.,12.9903810567667,70.5)); #490735=CARTESIAN_POINT('Origin',(4.48618012702831,5.37520667907011,0.)); #490736=CARTESIAN_POINT('',(-1.10941853451765,-2.92712276810828,0.)); #490737=CARTESIAN_POINT('',(-4.00088032535064,1.44286741689587,0.)); #490738=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838333,0.)); #490739=CARTESIAN_POINT('',(-3.24999999999998,1.87638837486627,0.)); #490740=CARTESIAN_POINT('',(0.408583931302262,3.98867279245645,0.)); #490741=CARTESIAN_POINT('',(-3.24999999999997,2.74343029080707,0.)); #490742=CARTESIAN_POINT('',(-3.24999999999998,15.8629884758621,0.)); #490743=CARTESIAN_POINT('',(1.98025340991878,2.42434601837574,0.)); #490744=CARTESIAN_POINT('Origin',(0.,12.9903810567667,0.)); #490745=CARTESIAN_POINT('',(0.752665056096011,0.298100618774864,0.)); #490746=CARTESIAN_POINT('Origin',(-15.4730762113496,-5.18060796607752,-1.)); #490747=CARTESIAN_POINT('',(-12.223076211354,-10.8097730906818,0.)); #490748=CARTESIAN_POINT('',(-15.4730762113496,-5.18060796607752,0.)); #490749=CARTESIAN_POINT('',(-15.3637012113533,-5.3700510231494,1.38777878078145E-16)); #490750=CARTESIAN_POINT('',(-12.223076211354,-10.8097730906818,-1.)); #490751=CARTESIAN_POINT('',(-12.223076211354,-10.8097730906818,-1.)); #490752=CARTESIAN_POINT('',(-15.4730762113496,-5.18060796607752,-1.)); #490753=CARTESIAN_POINT('',(-15.3637012113533,-5.3700510231494,-1.)); #490754=CARTESIAN_POINT('',(-15.4730762113496,-5.18060796607752,-1.)); #490755=CARTESIAN_POINT('Origin',(-3.24999999999998,1.87638837486627,-1.)); #490756=CARTESIAN_POINT('',(-1.73437499999998,2.75143487660679,0.)); #490757=CARTESIAN_POINT('',(-3.24999999999998,1.87638837486627,-1.)); #490758=CARTESIAN_POINT('',(-1.73437499999998,2.75143487660679,-1.)); #490759=CARTESIAN_POINT('',(-3.24999999999998,1.87638837486627,-1.)); #490760=CARTESIAN_POINT('Origin',(-3.24999999999997,26.3507702726541,-1.)); #490761=CARTESIAN_POINT('',(-3.24999999999998,14.7991827684229,0.)); #490762=CARTESIAN_POINT('',(-3.24999999999998,14.7991827684229,-1.)); #490763=CARTESIAN_POINT('Origin',(3.24999999999998,4.6236116251337,-1.)); #490764=CARTESIAN_POINT('',(3.24999999999998,4.6236116251337,-1.)); #490765=CARTESIAN_POINT('',(3.24999999999998,3.93560344466268,-1.)); #490766=CARTESIAN_POINT('',(3.24999999999998,4.6236116251337,0.)); #490767=CARTESIAN_POINT('',(3.24999999999998,4.6236116251337,-1.)); #490768=CARTESIAN_POINT('',(3.24999999999998,3.93560344466268,0.)); #490769=CARTESIAN_POINT('Origin',(-2.37916512459887,-5.12638837486629,-1.)); #490770=CARTESIAN_POINT('',(-0.268748843449151,-1.47104015079441,0.)); #490771=CARTESIAN_POINT('',(-2.37916512459887,-5.12638837486629,-1.)); #490772=CARTESIAN_POINT('',(-0.268748843449151,-1.47104015079441,-1.)); #490773=CARTESIAN_POINT('',(-2.37916512459887,-5.12638837486629,0.)); #490774=CARTESIAN_POINT('',(-2.37916512459887,-5.12638837486629,-1.)); #490775=CARTESIAN_POINT('',(-0.268748843449151,-1.47104015079441,0.)); #490776=CARTESIAN_POINT('Origin',(-12.2230762113534,-10.8097730906827,-1.)); #490777=CARTESIAN_POINT('',(-4.59591310567672,-6.40622841846712,0.)); #490778=CARTESIAN_POINT('',(-4.59591310567672,-6.40622841846712,-1.)); #490779=CARTESIAN_POINT('Origin',(10.2769237886465,-2.18060796608394,-1.)); #490780=CARTESIAN_POINT('',(7.02692378864667,-7.80977309068248,0.)); #490781=CARTESIAN_POINT('',(10.1675487886465,-2.37005102316172,-6.93889390390723E-17)); #490782=CARTESIAN_POINT('',(7.02692378864667,-7.80977309068248,-1.)); #490783=CARTESIAN_POINT('',(7.02692378864667,-7.80977309068248,-1.)); #490784=CARTESIAN_POINT('',(10.1675487886465,-2.37005102316172,-1.)); #490785=CARTESIAN_POINT('Origin',(7.02692378864658,-7.80977309068263,-1.)); #490786=CARTESIAN_POINT('',(1.99783689432329,-4.90622841846706,-1.)); #490787=CARTESIAN_POINT('',(1.99783689432329,-4.90622841846706,0.)); #490788=CARTESIAN_POINT('Origin',(-4.44089209850063E-15,3.24759526419167, -1.)); #490789=CARTESIAN_POINT('Origin',(-4.44089209850063E-15,3.24759526419166, 71.5)); #490790=CARTESIAN_POINT('Origin',(-4.44089209850063E-15,3.24759526419166, 70.5)); #490791=CARTESIAN_POINT('',(0.500000000000087,-6.49519052838335,70.5)); #490792=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838335,70.5)); #490793=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838335,70.5)); #490794=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838335,0.)); #490795=CARTESIAN_POINT('',(0.500000000000087,-6.49519052838335,0.)); #490796=CARTESIAN_POINT('',(0.500000000000087,-6.49519052838335,0.)); #490797=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838335,0.)); #490798=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838335,0.)); #490799=CARTESIAN_POINT('Origin',(-4.44089209850063E-15,3.24759526419167, 0.)); #490800=CARTESIAN_POINT('Origin',(-4.44089209850063E-15,3.24759526419167, 0.)); #490801=CARTESIAN_POINT('Origin',(0.,12.9903810567667,0.)); #490802=CARTESIAN_POINT('Origin',(-4.44089209850063E-15,3.24759526419167, 0.)); #490803=CARTESIAN_POINT('',(-10.75,12.9903810567667,0.)); #490804=CARTESIAN_POINT('Origin',(0.,12.9903810567667,0.)); #490805=CARTESIAN_POINT('Origin',(0.,12.9903810567667,0.)); #490806=CARTESIAN_POINT('Origin',(0.,12.9903810567667,0.)); #490807=CARTESIAN_POINT('',(-10.75,12.9903810567667,0.)); #490808=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838333,0.)); #490809=CARTESIAN_POINT('',(-22.0000000000001,-6.49519052838334,0.)); #490810=CARTESIAN_POINT('',(-22.0000000000001,-6.49519052838334,0.)); #490811=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838333,0.)); #490812=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838333,0.)); #490813=CARTESIAN_POINT('Origin',(-4.44089209850063E-15,3.24759526419167, 0.)); #490814=CARTESIAN_POINT('Origin',(9.49999999999999,-20.7451905283834,20.625)); #490815=CARTESIAN_POINT('',(9.99999999999999,-20.2451905283833,-3.)); #490816=CARTESIAN_POINT('',(9.99999999999999,-20.2451905283833,64.5)); #490817=CARTESIAN_POINT('',(9.99999999999999,-20.2451905283833,2.5)); #490818=CARTESIAN_POINT('',(8.99999999999999,-21.2451905283833,-3.)); #490819=CARTESIAN_POINT('',(12.9981964500064,-17.2469940783769,-3.)); #490820=CARTESIAN_POINT('',(8.99999999999999,-21.2451905283833,63.5)); #490821=CARTESIAN_POINT('',(8.99999999999999,-21.2451905283833,20.625)); #490822=CARTESIAN_POINT('',(8.99999999999999,-21.2451905283833,63.5)); #490823=CARTESIAN_POINT('Origin',(4.99999999999998,-20.7451905283833,64.)); #490824=CARTESIAN_POINT('',(-10.,-20.2451905283833,64.5)); #490825=CARTESIAN_POINT('',(10.625,-20.2451905283833,64.5)); #490826=CARTESIAN_POINT('',(-9.00000000000001,-21.2451905283833,63.5)); #490827=CARTESIAN_POINT('',(4.99999999999998,-21.2451905283833,63.5)); #490828=CARTESIAN_POINT('',(-9.00000000000001,-21.2451905283833,63.5)); #490829=CARTESIAN_POINT('Origin',(-9.50000000000001,-20.7451905283833,49.875)); #490830=CARTESIAN_POINT('',(-9.,-21.2451905283833,-3.)); #490831=CARTESIAN_POINT('',(-9.00000000000001,-21.2451905283833,49.875)); #490832=CARTESIAN_POINT('',(-10.,-20.2451905283833,-3.)); #490833=CARTESIAN_POINT('',(-12.9981964500064,-17.2469940783769,-3.)); #490834=CARTESIAN_POINT('',(-10.,-20.2451905283833,31.75)); #490835=CARTESIAN_POINT('Origin',(11.2500000000001,-20.2451905283833,-1.)); #490836=CARTESIAN_POINT('',(-11.2500000000001,-20.2451905283833,-3.)); #490837=CARTESIAN_POINT('',(-5.62500000000005,-20.2451905283833,-3.)); #490838=CARTESIAN_POINT('',(-11.2500000000001,-20.2451905283833,71.5)); #490839=CARTESIAN_POINT('',(-11.2500000000001,-20.2451905283833,-1.)); #490840=CARTESIAN_POINT('',(11.2500000000001,-20.2451905283833,71.5)); #490841=CARTESIAN_POINT('',(5.62500000000003,-20.2451905283833,71.5)); #490842=CARTESIAN_POINT('',(11.2500000000001,-20.2451905283833,-3.)); #490843=CARTESIAN_POINT('',(11.2500000000001,-20.2451905283833,-1.)); #490844=CARTESIAN_POINT('',(-5.62500000000005,-20.2451905283833,-3.)); #490845=CARTESIAN_POINT('Origin',(0.,2.74759527164225,-3.)); #490846=CARTESIAN_POINT('',(11.2500000000001,-21.2451905432845,-3.)); #490847=CARTESIAN_POINT('',(11.,-21.2451905432845,-3.)); #490848=CARTESIAN_POINT('',(5.62500000000003,-21.2451905432845,-3.)); #490849=CARTESIAN_POINT('',(9.99999999999998,-22.2451905283833,-3.)); #490850=CARTESIAN_POINT('',(13.9981964537317,-18.2469940895529,-3.)); #490851=CARTESIAN_POINT('',(-10.,-22.2451905283833,-3.)); #490852=CARTESIAN_POINT('',(4.99999999999999,-22.2451905283833,-3.)); #490853=CARTESIAN_POINT('',(-11.,-21.2451905432845,-3.)); #490854=CARTESIAN_POINT('',(-13.9981964537317,-18.2469940895528,-3.)); #490855=CARTESIAN_POINT('',(-11.2500000000001,-21.2451905432845,-3.)); #490856=CARTESIAN_POINT('',(-5.00000000000001,-21.2451905432845,-3.)); #490857=CARTESIAN_POINT('',(-24.0238747187253,0.879809479067255,-3.)); #490858=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,-3.)); #490859=CARTESIAN_POINT('',(-12.7738747187253,20.3653810642173,-3.)); #490860=CARTESIAN_POINT('',(-20.6165028925853,6.7815506022206,-3.)); #490861=CARTESIAN_POINT('',(12.7738747187253,20.3653810642172,-3.)); #490862=CARTESIAN_POINT('Origin',(0.,12.9903810567667,-3.)); #490863=CARTESIAN_POINT('',(24.0238747187253,0.879809479067224,-3.)); #490864=CARTESIAN_POINT('',(14.9915028925852,16.5243363947956,-3.)); #490865=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,-3.)); #490866=CARTESIAN_POINT('',(4.99999999999999,-21.2451905283833,-3.)); #490867=CARTESIAN_POINT('',(23.1578493020361,0.379809471616643,-3.)); #490868=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,-3.)); #490869=CARTESIAN_POINT('',(11.907849302036,19.8653810567667,-3.)); #490870=CARTESIAN_POINT('',(19.7504774758961,6.28155059477,-3.)); #490871=CARTESIAN_POINT('',(-11.907849302036,19.8653810567667,-3.)); #490872=CARTESIAN_POINT('Origin',(0.,12.9903810567667,-3.)); #490873=CARTESIAN_POINT('',(-23.1578493020361,0.379809471616674,-3.)); #490874=CARTESIAN_POINT('',(-14.125477475896,16.024336387345,-3.)); #490875=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,-3.)); #490876=CARTESIAN_POINT('Origin',(-1.4432899320127E-14,-21.2451905283833, 35.25)); #490877=CARTESIAN_POINT('Origin',(-10.5,-21.7451905432845,-1.)); #490878=CARTESIAN_POINT('',(-10.,-22.2451905283833,72.5)); #490879=CARTESIAN_POINT('',(-11.,-21.2451905432845,72.5)); #490880=CARTESIAN_POINT('',(-13.9981964537317,-18.2469940895528,72.5)); #490881=CARTESIAN_POINT('',(-11.,-21.2451905432845,-1.)); #490882=CARTESIAN_POINT('',(-10.,-22.2451905283833,-1.)); #490883=CARTESIAN_POINT('Origin',(-11.2500000000001,-21.2451905432845,-1.)); #490884=CARTESIAN_POINT('',(-11.2500000000001,-21.2451905432845,72.5)); #490885=CARTESIAN_POINT('',(-5.62500000000005,-21.2451905432845,72.5)); #490886=CARTESIAN_POINT('',(-11.2500000000001,-21.2451905432845,-1.)); #490887=CARTESIAN_POINT('Origin',(10.5,-21.7451905432845,-1.)); #490888=CARTESIAN_POINT('',(11.,-21.2451905432845,72.5)); #490889=CARTESIAN_POINT('',(11.,-21.2451905432845,-1.)); #490890=CARTESIAN_POINT('',(9.99999999999998,-22.2451905283833,72.5)); #490891=CARTESIAN_POINT('',(13.9981964537317,-18.2469940895529,72.5)); #490892=CARTESIAN_POINT('',(9.99999999999998,-22.2451905283833,-1.)); #490893=CARTESIAN_POINT('Origin',(9.99999999999998,-21.2451905432845,-1.)); #490894=CARTESIAN_POINT('',(11.2500000000001,-21.2451905432845,72.5)); #490895=CARTESIAN_POINT('',(11.2500000000001,-21.2451905432845,-1.)); #490896=CARTESIAN_POINT('',(4.99999999999999,-21.2451905432845,72.5)); #490897=CARTESIAN_POINT('Origin',(0.,2.74759527164225,71.5)); #490898=CARTESIAN_POINT('',(11.907849302036,19.8653810567667,71.5)); #490899=CARTESIAN_POINT('',(-11.907849302036,19.8653810567667,71.5)); #490900=CARTESIAN_POINT('Origin',(0.,12.9903810567667,71.5)); #490901=CARTESIAN_POINT('',(23.1578493020361,0.379809471616645,71.5)); #490902=CARTESIAN_POINT('',(14.125477475896,16.024336387345,71.5)); #490903=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,71.5)); #490904=CARTESIAN_POINT('',(-23.1578493020361,0.379809471616673,71.5)); #490905=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,71.5)); #490906=CARTESIAN_POINT('',(-19.7504774758961,6.28155059477002,71.5)); #490907=CARTESIAN_POINT('Origin',(0.,2.74759527164225,72.5)); #490908=CARTESIAN_POINT('',(-5.,-22.2451905283833,72.5)); #490909=CARTESIAN_POINT('',(24.0238747187253,0.879809479067224,72.5)); #490910=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,72.5)); #490911=CARTESIAN_POINT('',(12.7738747187253,20.3653810642172,72.5)); #490912=CARTESIAN_POINT('',(20.6165028925853,6.78155060222058,72.5)); #490913=CARTESIAN_POINT('',(-12.7738747187253,20.3653810642173,72.5)); #490914=CARTESIAN_POINT('Origin',(0.,12.9903810567667,72.5)); #490915=CARTESIAN_POINT('',(-24.0238747187253,0.879809479067255,72.5)); #490916=CARTESIAN_POINT('',(-14.9915028925852,16.5243363947956,72.5)); #490917=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,72.5)); #490918=CARTESIAN_POINT('Origin',(0.,12.9903810567667,-1.)); #490919=CARTESIAN_POINT('',(-11.907849302036,19.8653810567667,-1.)); #490920=CARTESIAN_POINT('',(11.907849302036,19.8653810567667,-1.)); #490921=CARTESIAN_POINT('Origin',(-23.1578493020361,0.379809471616674,-1.)); #490922=CARTESIAN_POINT('',(-23.1578493020361,0.379809471616673,-1.)); #490923=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,-1.)); #490924=CARTESIAN_POINT('Origin',(-12.7738747187253,20.3653810642173,-1.)); #490925=CARTESIAN_POINT('',(-12.7738747187253,20.3653810642173,-1.)); #490926=CARTESIAN_POINT('',(-24.0238747187253,0.879809479067255,-1.)); #490927=CARTESIAN_POINT('Origin',(0.,12.9903810567667,-1.)); #490928=CARTESIAN_POINT('',(12.7738747187253,20.3653810642172,-1.)); #490929=CARTESIAN_POINT('Origin',(24.0238747187253,0.879809479067228,-1.)); #490930=CARTESIAN_POINT('',(24.0238747187253,0.879809479067226,-1.)); #490931=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,-1.)); #490932=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,-1.)); #490933=CARTESIAN_POINT('',(23.1578493020361,0.379809471616645,-1.)); #490934=CARTESIAN_POINT('Origin',(11.907849302036,19.8653810567667,-1.)); #490935=CARTESIAN_POINT('Origin',(-10.,-22.2451905283833,-1.)); #490936=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,-1.)); #490937=CARTESIAN_POINT('Origin',(9.49999999999998,-20.7451905432845,-1.)); #490938=CARTESIAN_POINT('',(8.99999999999998,-21.2451905432845,-2.)); #490939=CARTESIAN_POINT('',(9.99999999999999,-20.2451905283833,-2.)); #490940=CARTESIAN_POINT('',(12.9981964500064,-17.2469940932781,-2.)); #490941=CARTESIAN_POINT('',(9.99999999999999,-20.2451905283833,-1.)); #490942=CARTESIAN_POINT('',(9.99999999999999,-20.2451905283833,-1.)); #490943=CARTESIAN_POINT('',(8.99999999999998,-21.2451905432845,-1.)); #490944=CARTESIAN_POINT('',(12.9981964500064,-17.2469940932781,-1.)); #490945=CARTESIAN_POINT('',(8.99999999999998,-21.2451905432845,-1.)); #490946=CARTESIAN_POINT('Origin',(9.99999999999998,-21.2451905432845,-1.)); #490947=CARTESIAN_POINT('',(3.00000000000001,-21.2451905432845,-1.)); #490948=CARTESIAN_POINT('',(4.99999999999999,-21.2451905432845,-1.)); #490949=CARTESIAN_POINT('',(3.00000000000001,-21.2451905432845,-2.)); #490950=CARTESIAN_POINT('',(3.00000000000001,-21.2451905432845,-1.5)); #490951=CARTESIAN_POINT('',(4.99999999999999,-21.2451905432845,-2.)); #490952=CARTESIAN_POINT('Origin',(-4.44089209850063E-15,2.74759525674108, -1.)); #490953=CARTESIAN_POINT('',(11.2500000000001,-20.2451905283833,-1.)); #490954=CARTESIAN_POINT('',(5.62500000000003,-20.2451905283833,-1.)); #490955=CARTESIAN_POINT('',(23.1578493020361,0.379809471616645,-1.)); #490956=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,-1.)); #490957=CARTESIAN_POINT('',(11.907849302036,19.8653810567667,-1.)); #490958=CARTESIAN_POINT('',(14.1254774791222,16.0243363817571,-1.)); #490959=CARTESIAN_POINT('',(-11.907849302036,19.8653810567667,-1.)); #490960=CARTESIAN_POINT('Origin',(0.,12.9903810567667,-1.)); #490961=CARTESIAN_POINT('',(-23.1578493020361,0.379809471616673,-1.)); #490962=CARTESIAN_POINT('',(-19.7504774791222,6.28155058918208,-1.)); #490963=CARTESIAN_POINT('',(-11.2500000000001,-20.2451905283833,-1.)); #490964=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,-1.)); #490965=CARTESIAN_POINT('',(-10.,-20.2451905283833,-1.)); #490966=CARTESIAN_POINT('',(-5.00000000000001,-20.2451905283833,-1.)); #490967=CARTESIAN_POINT('',(-9.00000000000001,-21.2451905432845,-1.)); #490968=CARTESIAN_POINT('',(-12.9981964500064,-17.2469940932781,-1.)); #490969=CARTESIAN_POINT('',(-2.99999999999999,-21.2451905432845,-1.)); #490970=CARTESIAN_POINT('',(4.99999999999999,-21.2451905432845,-1.)); #490971=CARTESIAN_POINT('',(-2.99999999999999,-18.2451905283833,-1.)); #490972=CARTESIAN_POINT('',(-2.99999999999999,-7.74879763582113,-1.)); #490973=CARTESIAN_POINT('',(3.00000000000001,-18.2451905283833,-1.)); #490974=CARTESIAN_POINT('',(1.5,-18.2451905283833,-1.)); #490975=CARTESIAN_POINT('',(3.00000000000002,-8.74879763582113,-1.)); #490976=CARTESIAN_POINT('Origin',(-9.50000000000001,-20.7451905432845,-1.)); #490977=CARTESIAN_POINT('',(-10.,-20.2451905283833,-2.)); #490978=CARTESIAN_POINT('',(-9.00000000000001,-21.2451905432845,-2.)); #490979=CARTESIAN_POINT('',(-12.9981964500064,-17.2469940932781,-2.)); #490980=CARTESIAN_POINT('',(-9.00000000000001,-21.2451905432845,-1.)); #490981=CARTESIAN_POINT('',(-10.,-20.2451905283833,-1.)); #490982=CARTESIAN_POINT('Origin',(9.99999999999998,-21.2451905432845,-1.)); #490983=CARTESIAN_POINT('',(-2.99999999999999,-21.2451905432845,-2.)); #490984=CARTESIAN_POINT('',(4.99999999999999,-21.2451905432845,-2.)); #490985=CARTESIAN_POINT('',(-2.99999999999999,-21.2451905432845,-1.)); #490986=CARTESIAN_POINT('Origin',(3.00000000000001,-18.2451905283833,-1.)); #490987=CARTESIAN_POINT('',(-2.99999999999999,-18.2451905283833,-2.)); #490988=CARTESIAN_POINT('',(-2.99999999999999,-18.2451905283833,-1.)); #490989=CARTESIAN_POINT('',(3.00000000000001,-18.2451905283833,-2.)); #490990=CARTESIAN_POINT('',(1.5,-18.2451905283833,-2.)); #490991=CARTESIAN_POINT('',(3.00000000000001,-18.2451905283833,-1.)); #490992=CARTESIAN_POINT('Origin',(3.00000000000001,-20.2451905283833,-1.)); #490993=CARTESIAN_POINT('',(3.00000000000002,-8.74879763582113,-2.)); #490994=CARTESIAN_POINT('Origin',(-2.99999999999999,-18.2451905283833,-1.)); #490995=CARTESIAN_POINT('',(-2.99999999999999,-7.74879763582113,-2.)); #490996=CARTESIAN_POINT('Origin',(11.2500000000001,-20.2451905283834,-1.)); #490997=CARTESIAN_POINT('',(11.2500000000001,-20.2451905283833,-2.)); #490998=CARTESIAN_POINT('',(5.62500000000003,-20.2451905283833,-2.)); #490999=CARTESIAN_POINT('',(11.2500000000001,-20.2451905283833,-1.)); #491000=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,-1.)); #491001=CARTESIAN_POINT('',(23.1578493020361,0.379809471616643,-2.)); #491002=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,-2.)); #491003=CARTESIAN_POINT('',(23.1578493020361,0.379809471616645,-1.)); #491004=CARTESIAN_POINT('Origin',(11.907849302036,19.8653810567667,-1.)); #491005=CARTESIAN_POINT('',(11.907849302036,19.8653810567667,-2.)); #491006=CARTESIAN_POINT('',(14.1254774791222,16.0243363817571,-2.)); #491007=CARTESIAN_POINT('',(11.907849302036,19.8653810567667,-1.)); #491008=CARTESIAN_POINT('Origin',(0.,12.9903810567667,-1.)); #491009=CARTESIAN_POINT('',(-11.907849302036,19.8653810567667,-2.)); #491010=CARTESIAN_POINT('Origin',(0.,12.9903810567667,-2.)); #491011=CARTESIAN_POINT('',(-11.907849302036,19.8653810567667,-1.)); #491012=CARTESIAN_POINT('Origin',(-23.1578493020361,0.379809471616674,-1.)); #491013=CARTESIAN_POINT('',(-23.1578493020361,0.379809471616674,-2.)); #491014=CARTESIAN_POINT('',(-19.7504774791222,6.28155058918208,-2.)); #491015=CARTESIAN_POINT('',(-23.1578493020361,0.379809471616673,-1.)); #491016=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,-1.)); #491017=CARTESIAN_POINT('',(-11.2500000000001,-20.2451905283833,-2.)); #491018=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,-2.)); #491019=CARTESIAN_POINT('',(-11.2500000000001,-20.2451905283833,-1.)); #491020=CARTESIAN_POINT('Origin',(-10.,-20.2451905283833,-1.)); #491021=CARTESIAN_POINT('',(-5.00000000000001,-20.2451905283833,-2.)); #491022=CARTESIAN_POINT('Origin',(-4.44089209850063E-15,2.74759525674108, -2.)); #491023=CARTESIAN_POINT('Origin',(14.7380413357265,2.95566213311044,-32.6249999999963)); #491024=CARTESIAN_POINT('',(24.9230195342123,6.66956500060405,-31.9999999999963)); #491025=CARTESIAN_POINT('',(25.1,6.74592828898317,-31.9999999999963)); #491026=CARTESIAN_POINT('',(14.9856496028718,2.38180226690502,-31.9999999999963)); #491027=CARTESIAN_POINT('',(28.4856496028718,8.2067637310425,-31.9999999999963)); #491028=CARTESIAN_POINT('',(28.7456154255859,8.31893342728709,-31.9999999999963)); #491029=CARTESIAN_POINT('',(27.9904330685812,9.35448346345333,-33.2499999999963)); #491030=CARTESIAN_POINT('',(27.9904330685812,9.35448346345333,-33.2499999999963)); #491031=CARTESIAN_POINT('',(25.8324238887751,8.42334862555513,-33.2499999999963)); #491032=CARTESIAN_POINT('',(14.4904330685812,3.52952199931585,-33.2499999999963)); #491033=CARTESIAN_POINT('Ctrl Pts',(25.8324238887751,8.42334862555513,-33.2499999999963)); #491034=CARTESIAN_POINT('Ctrl Pts',(25.3821549726729,7.5285657217855,-32.6068164937968)); #491035=CARTESIAN_POINT('Ctrl Pts',(24.9230195342123,6.66956500060404,-31.9999999999963)); #491036=CARTESIAN_POINT('Origin',(0.,0.,-78.9999999999963)); #491037=CARTESIAN_POINT('',(-29.2842712474619,3.58628890485671E-15,-76.9999999999963)); #491038=CARTESIAN_POINT('Origin',(0.,0.,-76.9999999999963)); #491039=CARTESIAN_POINT('',(-33.2842712474619,4.07614762451565E-15,-80.9999999999963)); #491040=CARTESIAN_POINT('',(-31.2842712474619,-3.83121826468618E-15,-78.9999999999963)); #491041=CARTESIAN_POINT('Origin',(0.,0.,-80.9999999999963)); #491042=CARTESIAN_POINT('Origin',(0.,0.,-169.399999999996)); #491043=CARTESIAN_POINT('',(-33.2842712474619,4.07614762451565E-15,-169.399999999996)); #491044=CARTESIAN_POINT('Origin',(0.,0.,-169.399999999996)); #491045=CARTESIAN_POINT('',(-33.2842712474619,-4.07614762451565E-15,-169.399999999996)); #491046=CARTESIAN_POINT('Origin',(-34.8504574210193,13.2500000000014,-22.5)); #491047=CARTESIAN_POINT('',(-32.9810118744127,12.5392445228695,-22.)); #491048=CARTESIAN_POINT('',(-33.6910108993484,10.4831093690971,-23.)); #491049=CARTESIAN_POINT('Ctrl Pts',(-32.9810118744127,12.5392445228695, -22.)); #491050=CARTESIAN_POINT('Ctrl Pts',(-33.1029657693196,12.2184785589618, -22.)); #491051=CARTESIAN_POINT('Ctrl Pts',(-33.1992566945862,11.9523010218211, -22.0867627737283)); #491052=CARTESIAN_POINT('Ctrl Pts',(-33.3727179591103,11.4590505104054, -22.3098286400492)); #491053=CARTESIAN_POINT('Ctrl Pts',(-33.449191463604,11.2332490897395,-22.4494704591759)); #491054=CARTESIAN_POINT('Ctrl Pts',(-33.5809497733403,10.8321390421008, -22.7271769304122)); #491055=CARTESIAN_POINT('Ctrl Pts',(-33.6368658512137,10.6571227598406, -22.8604340890752)); #491056=CARTESIAN_POINT('Ctrl Pts',(-33.6910108993483,10.4831093690975, -22.9999999999998)); #491057=CARTESIAN_POINT('',(-34.8504574210194,10.2500000000014,-23.)); #491058=CARTESIAN_POINT('Origin',(-34.8504574210193,13.2500000000014,-23.)); #491059=CARTESIAN_POINT('',(-34.8504574210193,11.2500000000014,-22.)); #491060=CARTESIAN_POINT('',(-34.8504574210194,10.2500000000014,-23.)); #491061=CARTESIAN_POINT('Origin',(-34.8504574210193,13.2500000000014,-22.)); #491062=CARTESIAN_POINT('Origin',(-36.2636399080668,10.7500000000014,-22.5)); #491063=CARTESIAN_POINT('',(-39.7263199528033,10.2500000000015,-23.)); #491064=CARTESIAN_POINT('',(-36.2636399080668,10.2500000000014,-23.)); #491065=CARTESIAN_POINT('',(-39.7263199528033,11.2500000000015,-22.)); #491066=CARTESIAN_POINT('',(-39.7263199528033,10.2500000000015,-23.)); #491067=CARTESIAN_POINT('',(-36.2636399080668,11.2500000000014,-22.)); #491068=CARTESIAN_POINT('Origin',(-39.7263199528034,6.25000000000148,-22.5)); #491069=CARTESIAN_POINT('',(-43.7263199528034,6.25000000000157,-23.)); #491070=CARTESIAN_POINT('',(-44.7263199528034,6.2500000000016,-22.)); #491071=CARTESIAN_POINT('',(-43.7263199528034,6.25000000000157,-23.)); #491072=CARTESIAN_POINT('Origin',(-39.7263199528034,6.25000000000148,-22.)); #491073=CARTESIAN_POINT('Origin',(-39.7263199528034,6.25000000000148,-23.)); #491074=CARTESIAN_POINT('Origin',(-44.2263199528034,5.62500000000162,-22.5)); #491075=CARTESIAN_POINT('',(-43.7263199528037,-6.2499999999984,-23.)); #491076=CARTESIAN_POINT('',(-43.7263199528034,5.62500000000161,-23.)); #491077=CARTESIAN_POINT('',(-44.7263199528037,-6.24999999999838,-22.)); #491078=CARTESIAN_POINT('',(-43.7263199528037,-6.2499999999984,-23.)); #491079=CARTESIAN_POINT('',(-44.7263199528034,5.62500000000163,-22.)); #491080=CARTESIAN_POINT('Origin',(-39.7263199528037,-6.2499999999985,-22.5)); #491081=CARTESIAN_POINT('',(-39.7263199528037,-10.2499999999985,-23.)); #491082=CARTESIAN_POINT('',(-39.7263199528037,-11.2499999999985,-22.)); #491083=CARTESIAN_POINT('',(-39.7263199528037,-10.2499999999985,-23.)); #491084=CARTESIAN_POINT('Origin',(-39.7263199528037,-6.2499999999985,-22.)); #491085=CARTESIAN_POINT('Origin',(-39.7263199528037,-6.2499999999985,-23.)); #491086=CARTESIAN_POINT('Origin',(-41.9054266045582,-10.7499999999985,-22.5)); #491087=CARTESIAN_POINT('',(-34.8504574210204,-10.2499999999985,-23.)); #491088=CARTESIAN_POINT('',(-41.9054266045582,-10.2499999999985,-23.)); #491089=CARTESIAN_POINT('',(-34.8504574210204,-11.2499999999985,-22.)); #491090=CARTESIAN_POINT('',(-34.8504574210204,-10.2499999999985,-23.)); #491091=CARTESIAN_POINT('',(-41.9054266045582,-11.2499999999985,-22.)); #491092=CARTESIAN_POINT('Origin',(-34.8504574210204,-13.2499999999985,-22.5)); #491093=CARTESIAN_POINT('',(-33.6910108993493,-10.4831093690944,-23.)); #491094=CARTESIAN_POINT('',(-32.9810118744138,-12.5392445228668,-22.)); #491095=CARTESIAN_POINT('Ctrl Pts',(-33.6910108993492,-10.4831093690947, -22.9999999999997)); #491096=CARTESIAN_POINT('Ctrl Pts',(-33.6368658512146,-10.6571227598379, -22.8604340890752)); #491097=CARTESIAN_POINT('Ctrl Pts',(-33.5809497733411,-10.832139042098, -22.7271769304122)); #491098=CARTESIAN_POINT('Ctrl Pts',(-33.449191463605,-11.2332490897368, -22.4494704591759)); #491099=CARTESIAN_POINT('Ctrl Pts',(-33.3727179591113,-11.4590505104026, -22.3098286400492)); #491100=CARTESIAN_POINT('Ctrl Pts',(-33.1992566945871,-11.9523010218183, -22.0867627737283)); #491101=CARTESIAN_POINT('Ctrl Pts',(-33.1029657693206,-12.2184785589591, -22.)); #491102=CARTESIAN_POINT('Ctrl Pts',(-32.9810118744138,-12.5392445228668, -22.)); #491103=CARTESIAN_POINT('Origin',(-34.8504574210204,-13.2499999999985,-22.)); #491104=CARTESIAN_POINT('Origin',(-34.8504574210204,-13.2499999999985,-23.)); #491105=CARTESIAN_POINT('Origin',(-39.0845332563125,1.54098955817972E-12, -23.)); #491106=CARTESIAN_POINT('',(-34.7398341124716,6.17444113280048,-23.)); #491107=CARTESIAN_POINT('Origin',(0.,0.,-23.)); #491108=CARTESIAN_POINT('',(-37.0412524417614,7.25000000000143,-23.)); #491109=CARTESIAN_POINT('Origin',(-37.0412524417615,4.25000000000143,-23.)); #491110=CARTESIAN_POINT('',(-37.7263199528034,7.25000000000145,-23.)); #491111=CARTESIAN_POINT('',(-39.4054266045579,7.25000000000149,-23.)); #491112=CARTESIAN_POINT('',(-40.7263199528035,4.25000000000152,-23.)); #491113=CARTESIAN_POINT('Origin',(-37.7263199528034,4.25000000000145,-23.)); #491114=CARTESIAN_POINT('',(-40.7263199528036,-4.24999999999843,-23.)); #491115=CARTESIAN_POINT('',(-40.7263199528036,-3.12499999999845,-23.)); #491116=CARTESIAN_POINT('',(-37.7263199528036,-7.2499999999985,-23.)); #491117=CARTESIAN_POINT('Origin',(-37.7263199528036,-4.2499999999985,-23.)); #491118=CARTESIAN_POINT('',(-37.0412524417618,-7.2499999999985,-23.)); #491119=CARTESIAN_POINT('',(-36.9054266045581,-7.2499999999985,-23.)); #491120=CARTESIAN_POINT('',(-34.7398341124721,-6.17444113279773,-23.)); #491121=CARTESIAN_POINT('Origin',(-37.0412524417618,-4.2499999999985,-23.)); #491122=CARTESIAN_POINT('Origin',(0.,0.,-23.)); #491123=CARTESIAN_POINT('Origin',(-37.0412524417618,-4.2499999999985,-22.5)); #491124=CARTESIAN_POINT('',(-35.0542884377767,-4.02202182808839,-22.)); #491125=CARTESIAN_POINT('Ctrl Pts',(-35.0542884377767,-4.02202182808838, -22.)); #491126=CARTESIAN_POINT('Ctrl Pts',(-35.0151711507312,-4.3629520173336, -22.)); #491127=CARTESIAN_POINT('Ctrl Pts',(-34.9783514036601,-4.64360612792642, -22.0867627737283)); #491128=CARTESIAN_POINT('Ctrl Pts',(-34.9057033460228,-5.16139675841686, -22.3098286400492)); #491129=CARTESIAN_POINT('Ctrl Pts',(-34.869840580044,-5.39708371283011, -22.4494704591759)); #491130=CARTESIAN_POINT('Ctrl Pts',(-34.8025038896554,-5.81387537856831, -22.7271769304122)); #491131=CARTESIAN_POINT('Ctrl Pts',(-34.7717249762006,-5.99501059134448, -22.8604340890752)); #491132=CARTESIAN_POINT('Ctrl Pts',(-34.7398341124722,-6.17444113279736, -22.9999999999997)); #491133=CARTESIAN_POINT('',(-37.0412524417618,-6.2499999999985,-22.)); #491134=CARTESIAN_POINT('',(-37.0412524417618,-7.2499999999985,-23.)); #491135=CARTESIAN_POINT('Origin',(-37.0412524417618,-4.2499999999985,-22.)); #491136=CARTESIAN_POINT('Origin',(-36.9054266045581,-6.7499999999985,-22.5)); #491137=CARTESIAN_POINT('',(-37.7263199528036,-6.2499999999985,-22.)); #491138=CARTESIAN_POINT('',(-37.7263199528036,-7.2499999999985,-23.)); #491139=CARTESIAN_POINT('',(-36.9054266045581,-6.2499999999985,-22.)); #491140=CARTESIAN_POINT('Origin',(-37.7263199528036,-4.2499999999985,-22.5)); #491141=CARTESIAN_POINT('',(-39.7263199528036,-4.24999999999845,-22.)); #491142=CARTESIAN_POINT('',(-40.7263199528036,-4.24999999999843,-23.)); #491143=CARTESIAN_POINT('Origin',(-37.7263199528036,-4.2499999999985,-22.)); #491144=CARTESIAN_POINT('Origin',(-40.2263199528036,-3.12499999999846,-22.5)); #491145=CARTESIAN_POINT('',(-39.7263199528034,4.2500000000015,-22.)); #491146=CARTESIAN_POINT('',(-40.7263199528035,4.25000000000152,-23.)); #491147=CARTESIAN_POINT('',(-39.7263199528036,-3.12499999999847,-22.)); #491148=CARTESIAN_POINT('Origin',(-37.7263199528034,4.25000000000145,-22.5)); #491149=CARTESIAN_POINT('',(-37.7263199528034,6.25000000000145,-22.)); #491150=CARTESIAN_POINT('',(-37.7263199528034,7.25000000000145,-23.)); #491151=CARTESIAN_POINT('Origin',(-37.7263199528034,4.25000000000145,-22.)); #491152=CARTESIAN_POINT('Origin',(-39.4054266045579,6.75000000000149,-22.5)); #491153=CARTESIAN_POINT('',(-37.0412524417615,6.25000000000143,-22.)); #491154=CARTESIAN_POINT('',(-37.0412524417614,7.25000000000143,-23.)); #491155=CARTESIAN_POINT('',(-39.4054266045579,6.25000000000149,-22.)); #491156=CARTESIAN_POINT('Origin',(-37.0412524417615,4.25000000000143,-22.5)); #491157=CARTESIAN_POINT('',(-35.0542884377764,4.02202182809116,-22.)); #491158=CARTESIAN_POINT('Ctrl Pts',(-34.7398341124717,6.1744411328001,-22.9999999999997)); #491159=CARTESIAN_POINT('Ctrl Pts',(-34.7717249762001,5.99501059134723, -22.8604340890752)); #491160=CARTESIAN_POINT('Ctrl Pts',(-34.802503889655,5.81387537857107,-22.7271769304122)); #491161=CARTESIAN_POINT('Ctrl Pts',(-34.8698405800435,5.39708371283288, -22.4494704591759)); #491162=CARTESIAN_POINT('Ctrl Pts',(-34.9057033460224,5.16139675841963, -22.3098286400492)); #491163=CARTESIAN_POINT('Ctrl Pts',(-34.9783514036598,4.64360612792919, -22.0867627737283)); #491164=CARTESIAN_POINT('Ctrl Pts',(-35.0151711507308,4.36295201733638, -22.)); #491165=CARTESIAN_POINT('Ctrl Pts',(-35.0542884377764,4.02202182809116, -22.)); #491166=CARTESIAN_POINT('Origin',(-37.0412524417615,4.25000000000143,-22.)); #491167=CARTESIAN_POINT('Origin',(37.0412524417612,4.2500000000045,-22.5)); #491168=CARTESIAN_POINT('',(35.0542884377761,4.02202182809407,-22.)); #491169=CARTESIAN_POINT('',(34.7398341124711,6.17444113280336,-23.)); #491170=CARTESIAN_POINT('Ctrl Pts',(35.0542884377761,4.02202182809407,-22.)); #491171=CARTESIAN_POINT('Ctrl Pts',(35.0151711507305,4.36295201733928,-22.)); #491172=CARTESIAN_POINT('Ctrl Pts',(34.9783514036594,4.64360612793209,-22.0867627737283)); #491173=CARTESIAN_POINT('Ctrl Pts',(34.905703346022,5.16139675842252,-22.3098286400492)); #491174=CARTESIAN_POINT('Ctrl Pts',(34.8698405800431,5.39708371283576,-22.4494704591759)); #491175=CARTESIAN_POINT('Ctrl Pts',(34.8025038896545,5.81387537857395,-22.7271769304122)); #491176=CARTESIAN_POINT('Ctrl Pts',(34.7717249761996,5.99501059135012,-22.8604340890752)); #491177=CARTESIAN_POINT('Ctrl Pts',(34.7398341124712,6.17444113280298,-22.9999999999997)); #491178=CARTESIAN_POINT('',(37.0412524417645,7.2500000000045,-23.)); #491179=CARTESIAN_POINT('Origin',(37.0412524417612,4.2500000000045,-23.)); #491180=CARTESIAN_POINT('',(37.0412524417634,6.2500000000045,-22.)); #491181=CARTESIAN_POINT('',(37.0412524417645,7.2500000000045,-23.)); #491182=CARTESIAN_POINT('Origin',(37.0412524417612,4.2500000000045,-22.)); #491183=CARTESIAN_POINT('Origin',(36.905426604562,6.75000000000465,-22.5)); #491184=CARTESIAN_POINT('',(37.7263199528068,7.25000000000373,-23.)); #491185=CARTESIAN_POINT('',(36.9054266045626,7.25000000000465,-23.)); #491186=CARTESIAN_POINT('',(37.7263199528057,6.25000000000373,-22.)); #491187=CARTESIAN_POINT('',(37.7263199528068,7.25000000000373,-23.)); #491188=CARTESIAN_POINT('',(36.9054266045615,6.25000000000465,-22.)); #491189=CARTESIAN_POINT('Origin',(37.7263199528034,4.25000000000373,-22.5)); #491190=CARTESIAN_POINT('',(40.7263199528034,4.2500000000038,-23.)); #491191=CARTESIAN_POINT('',(39.7263199528034,4.25000000000378,-22.)); #491192=CARTESIAN_POINT('',(40.7263199528034,4.2500000000038,-23.)); #491193=CARTESIAN_POINT('Origin',(37.7263199528034,4.25000000000373,-22.)); #491194=CARTESIAN_POINT('Origin',(37.7263199528034,4.25000000000373,-23.)); #491195=CARTESIAN_POINT('Origin',(40.2263199528035,3.12500000000154,-22.5)); #491196=CARTESIAN_POINT('',(40.7263199528036,-4.24999999999844,-23.)); #491197=CARTESIAN_POINT('',(40.7263199528035,3.12500000000155,-23.)); #491198=CARTESIAN_POINT('',(39.7263199528036,-4.24999999999846,-22.)); #491199=CARTESIAN_POINT('',(40.7263199528036,-4.24999999999844,-23.)); #491200=CARTESIAN_POINT('',(39.7263199528035,3.12500000000153,-22.)); #491201=CARTESIAN_POINT('Origin',(37.7263199528036,-4.24999999999851,-22.5)); #491202=CARTESIAN_POINT('',(37.7263199528037,-7.24999999999851,-23.)); #491203=CARTESIAN_POINT('',(37.7263199528037,-6.24999999999851,-22.)); #491204=CARTESIAN_POINT('',(37.7263199528037,-7.24999999999851,-23.)); #491205=CARTESIAN_POINT('Origin',(37.7263199528036,-4.24999999999851,-22.)); #491206=CARTESIAN_POINT('Origin',(37.7263199528036,-4.24999999999851,-23.)); #491207=CARTESIAN_POINT('Origin',(39.4054266045581,-6.7499999999985,-22.5)); #491208=CARTESIAN_POINT('',(37.0412524417619,-7.24999999999851,-23.)); #491209=CARTESIAN_POINT('',(39.4054266045581,-7.2499999999985,-23.)); #491210=CARTESIAN_POINT('',(37.0412524417618,-6.24999999999852,-22.)); #491211=CARTESIAN_POINT('',(37.0412524417619,-7.24999999999851,-23.)); #491212=CARTESIAN_POINT('',(39.4054266045581,-6.2499999999985,-22.)); #491213=CARTESIAN_POINT('Origin',(37.0412524417618,-4.24999999999851,-22.5)); #491214=CARTESIAN_POINT('',(34.7398341124721,-6.17444113279775,-23.)); #491215=CARTESIAN_POINT('',(35.0542884377767,-4.02202182808841,-22.)); #491216=CARTESIAN_POINT('Ctrl Pts',(34.7398341124722,-6.17444113279737, -22.9999999999997)); #491217=CARTESIAN_POINT('Ctrl Pts',(34.7717249762006,-5.9950105913445,-22.8604340890752)); #491218=CARTESIAN_POINT('Ctrl Pts',(34.8025038896554,-5.81387537856833, -22.7271769304122)); #491219=CARTESIAN_POINT('Ctrl Pts',(34.8698405800439,-5.39708371283014, -22.4494704591759)); #491220=CARTESIAN_POINT('Ctrl Pts',(34.9057033460228,-5.16139675841689, -22.3098286400492)); #491221=CARTESIAN_POINT('Ctrl Pts',(34.9783514036601,-4.64360612792644, -22.0867627737283)); #491222=CARTESIAN_POINT('Ctrl Pts',(35.0151711507312,-4.36295201733363, -22.)); #491223=CARTESIAN_POINT('Ctrl Pts',(35.0542884377767,-4.02202182808841, -22.)); #491224=CARTESIAN_POINT('Origin',(37.0412524417618,-4.24999999999851,-22.)); #491225=CARTESIAN_POINT('Origin',(37.0412524417618,-4.24999999999851,-23.)); #491226=CARTESIAN_POINT('Origin',(39.0845332563125,1.54098955817972E-12, -23.)); #491227=CARTESIAN_POINT('',(33.6910108993484,10.4831093690971,-23.)); #491228=CARTESIAN_POINT('Origin',(0.,0.,-23.)); #491229=CARTESIAN_POINT('',(34.8504574210194,10.2500000000014,-23.)); #491230=CARTESIAN_POINT('Origin',(34.8504574210193,13.2500000000014,-23.)); #491231=CARTESIAN_POINT('',(39.7263199528033,10.2500000000015,-23.)); #491232=CARTESIAN_POINT('',(41.9054266045578,10.2500000000015,-23.)); #491233=CARTESIAN_POINT('',(43.7263199528034,6.25000000000158,-23.)); #491234=CARTESIAN_POINT('Origin',(39.7263199528034,6.25000000000148,-23.)); #491235=CARTESIAN_POINT('',(43.7263199528037,-6.24999999999841,-23.)); #491236=CARTESIAN_POINT('',(43.7263199528037,-5.62499999999844,-23.)); #491237=CARTESIAN_POINT('',(39.7263199528037,-10.2499999999985,-23.)); #491238=CARTESIAN_POINT('Origin',(39.7263199528037,-6.2499999999985,-23.)); #491239=CARTESIAN_POINT('',(34.8504574210204,-10.2499999999985,-23.)); #491240=CARTESIAN_POINT('',(36.2636399080674,-10.2499999999985,-23.)); #491241=CARTESIAN_POINT('',(33.6910108993493,-10.4831093690944,-23.)); #491242=CARTESIAN_POINT('Origin',(34.8504574210204,-13.2499999999985,-23.)); #491243=CARTESIAN_POINT('Origin',(0.,0.,-23.)); #491244=CARTESIAN_POINT('Origin',(34.8504574210204,-13.2499999999985,-22.5)); #491245=CARTESIAN_POINT('',(32.9810118744138,-12.5392445228668,-22.)); #491246=CARTESIAN_POINT('Ctrl Pts',(32.9810118744138,-12.5392445228668, -22.)); #491247=CARTESIAN_POINT('Ctrl Pts',(33.1029657693206,-12.2184785589591, -22.)); #491248=CARTESIAN_POINT('Ctrl Pts',(33.1992566945871,-11.9523010218183, -22.0867627737283)); #491249=CARTESIAN_POINT('Ctrl Pts',(33.3727179591113,-11.4590505104026, -22.3098286400492)); #491250=CARTESIAN_POINT('Ctrl Pts',(33.449191463605,-11.2332490897368,-22.4494704591759)); #491251=CARTESIAN_POINT('Ctrl Pts',(33.5809497733411,-10.832139042098,-22.7271769304122)); #491252=CARTESIAN_POINT('Ctrl Pts',(33.6368658512146,-10.6571227598379, -22.8604340890752)); #491253=CARTESIAN_POINT('Ctrl Pts',(33.6910108993492,-10.4831093690947, -22.9999999999997)); #491254=CARTESIAN_POINT('',(34.8504574210204,-11.2499999999985,-22.)); #491255=CARTESIAN_POINT('',(34.8504574210204,-10.2499999999985,-23.)); #491256=CARTESIAN_POINT('Origin',(34.8504574210204,-13.2499999999985,-22.)); #491257=CARTESIAN_POINT('Origin',(36.2636399080674,-10.7499999999985,-22.5)); #491258=CARTESIAN_POINT('',(39.7263199528037,-11.2499999999985,-22.)); #491259=CARTESIAN_POINT('',(39.7263199528037,-10.2499999999985,-23.)); #491260=CARTESIAN_POINT('',(36.2636399080674,-11.2499999999985,-22.)); #491261=CARTESIAN_POINT('Origin',(39.7263199528037,-6.2499999999985,-22.5)); #491262=CARTESIAN_POINT('',(44.7263199528037,-6.24999999999838,-22.)); #491263=CARTESIAN_POINT('',(43.7263199528037,-6.24999999999841,-23.)); #491264=CARTESIAN_POINT('Origin',(39.7263199528037,-6.2499999999985,-22.)); #491265=CARTESIAN_POINT('Origin',(44.2263199528037,-5.62499999999843,-22.5)); #491266=CARTESIAN_POINT('',(44.7263199528034,6.2500000000016,-22.)); #491267=CARTESIAN_POINT('',(43.7263199528034,6.25000000000158,-23.)); #491268=CARTESIAN_POINT('',(44.7263199528037,-5.62499999999841,-22.)); #491269=CARTESIAN_POINT('Origin',(39.7263199528034,6.25000000000148,-22.5)); #491270=CARTESIAN_POINT('',(39.7263199528033,11.2500000000015,-22.)); #491271=CARTESIAN_POINT('',(39.7263199528033,10.2500000000015,-23.)); #491272=CARTESIAN_POINT('Origin',(39.7263199528034,6.25000000000148,-22.)); #491273=CARTESIAN_POINT('Origin',(41.9054266045578,10.7500000000015,-22.5)); #491274=CARTESIAN_POINT('',(34.8504574210193,11.2500000000014,-22.)); #491275=CARTESIAN_POINT('',(34.8504574210194,10.2500000000014,-23.)); #491276=CARTESIAN_POINT('',(41.9054266045578,11.2500000000015,-22.)); #491277=CARTESIAN_POINT('Origin',(34.8504574210193,13.2500000000014,-22.5)); #491278=CARTESIAN_POINT('',(32.9810118744127,12.5392445228695,-22.)); #491279=CARTESIAN_POINT('Ctrl Pts',(33.6910108993483,10.4831093690975,-22.9999999999997)); #491280=CARTESIAN_POINT('Ctrl Pts',(33.6368658512137,10.6571227598406,-22.8604340890752)); #491281=CARTESIAN_POINT('Ctrl Pts',(33.5809497733403,10.8321390421008,-22.7271769304122)); #491282=CARTESIAN_POINT('Ctrl Pts',(33.449191463604,11.2332490897396,-22.4494704591759)); #491283=CARTESIAN_POINT('Ctrl Pts',(33.3727179591103,11.4590505104054,-22.3098286400492)); #491284=CARTESIAN_POINT('Ctrl Pts',(33.1992566945862,11.9523010218211,-22.0867627737283)); #491285=CARTESIAN_POINT('Ctrl Pts',(33.1029657693196,12.2184785589618,-22.)); #491286=CARTESIAN_POINT('Ctrl Pts',(32.9810118744127,12.5392445228695,-22.)); #491287=CARTESIAN_POINT('Origin',(34.8504574210193,13.2500000000014,-22.)); #491288=CARTESIAN_POINT('Origin',(37.0412524417618,-4.24999999999851,-17.5)); #491289=CARTESIAN_POINT('',(35.0542884377767,-4.02202182808841,-18.)); #491290=CARTESIAN_POINT('',(34.7398341124721,-6.17444113279775,-17.)); #491291=CARTESIAN_POINT('Ctrl Pts',(35.0542884377767,-4.02202182808838, -18.)); #491292=CARTESIAN_POINT('Ctrl Pts',(35.0151711507312,-4.3629520173336,-18.)); #491293=CARTESIAN_POINT('Ctrl Pts',(34.9783514036601,-4.64360612792642, -17.9132372262717)); #491294=CARTESIAN_POINT('Ctrl Pts',(34.9057033460228,-5.16139675841686, -17.6901713599508)); #491295=CARTESIAN_POINT('Ctrl Pts',(34.869840580044,-5.39708371283011,-17.5505295408241)); #491296=CARTESIAN_POINT('Ctrl Pts',(34.8025038896554,-5.81387537856832, -17.2728230695878)); #491297=CARTESIAN_POINT('Ctrl Pts',(34.7717249762006,-5.99501059134449, -17.1395659109248)); #491298=CARTESIAN_POINT('Ctrl Pts',(34.7398341124722,-6.17444113279737, -17.0000000000003)); #491299=CARTESIAN_POINT('',(37.0412524417619,-7.24999999999852,-17.)); #491300=CARTESIAN_POINT('Origin',(37.0412524417618,-4.24999999999851,-17.)); #491301=CARTESIAN_POINT('',(37.0412524417618,-6.24999999999852,-18.)); #491302=CARTESIAN_POINT('',(37.0412524417619,-7.24999999999852,-17.)); #491303=CARTESIAN_POINT('Origin',(37.0412524417618,-4.24999999999851,-18.)); #491304=CARTESIAN_POINT('Origin',(39.4054266045581,-6.7499999999985,-17.5)); #491305=CARTESIAN_POINT('',(37.7263199528037,-7.24999999999851,-17.)); #491306=CARTESIAN_POINT('',(39.4054266045581,-7.2499999999985,-17.)); #491307=CARTESIAN_POINT('',(37.7263199528037,-6.24999999999851,-18.)); #491308=CARTESIAN_POINT('',(37.7263199528037,-7.24999999999851,-17.)); #491309=CARTESIAN_POINT('',(39.4054266045581,-6.2499999999985,-18.)); #491310=CARTESIAN_POINT('Origin',(37.7263199528036,-4.24999999999851,-17.5)); #491311=CARTESIAN_POINT('',(40.7263199528036,-4.24999999999844,-17.)); #491312=CARTESIAN_POINT('',(39.7263199528036,-4.24999999999846,-18.)); #491313=CARTESIAN_POINT('',(40.7263199528036,-4.24999999999844,-17.)); #491314=CARTESIAN_POINT('Origin',(37.7263199528036,-4.24999999999851,-18.)); #491315=CARTESIAN_POINT('Origin',(37.7263199528036,-4.24999999999851,-17.)); #491316=CARTESIAN_POINT('Origin',(40.2263199528035,3.12500000000154,-17.5)); #491317=CARTESIAN_POINT('',(40.7263199528034,4.2500000000038,-17.)); #491318=CARTESIAN_POINT('',(40.7263199528035,3.12500000000155,-17.)); #491319=CARTESIAN_POINT('',(39.7263199528034,4.25000000000378,-18.)); #491320=CARTESIAN_POINT('',(40.7263199528034,4.2500000000038,-17.)); #491321=CARTESIAN_POINT('',(39.7263199528035,3.12500000000153,-18.)); #491322=CARTESIAN_POINT('Origin',(37.7263199528034,4.25000000000373,-17.5)); #491323=CARTESIAN_POINT('',(37.7263199528068,7.25000000000373,-17.)); #491324=CARTESIAN_POINT('',(37.7263199528057,6.25000000000373,-18.)); #491325=CARTESIAN_POINT('',(37.7263199528068,7.25000000000373,-17.)); #491326=CARTESIAN_POINT('Origin',(37.7263199528034,4.25000000000373,-18.)); #491327=CARTESIAN_POINT('Origin',(37.7263199528034,4.25000000000373,-17.)); #491328=CARTESIAN_POINT('Origin',(36.905426604562,6.75000000000465,-17.5)); #491329=CARTESIAN_POINT('',(37.0412524417645,7.2500000000045,-17.)); #491330=CARTESIAN_POINT('',(36.9054266045626,7.25000000000465,-17.)); #491331=CARTESIAN_POINT('',(37.0412524417634,6.2500000000045,-18.)); #491332=CARTESIAN_POINT('',(37.0412524417645,7.2500000000045,-17.)); #491333=CARTESIAN_POINT('',(36.9054266045615,6.25000000000465,-18.)); #491334=CARTESIAN_POINT('Origin',(37.0412524417612,4.2500000000045,-17.5)); #491335=CARTESIAN_POINT('',(34.7398341124711,6.17444113280336,-17.)); #491336=CARTESIAN_POINT('',(35.0542884377761,4.02202182809407,-18.)); #491337=CARTESIAN_POINT('Ctrl Pts',(34.7398341124712,6.17444113280298,-17.0000000000003)); #491338=CARTESIAN_POINT('Ctrl Pts',(34.7717249761996,5.99501059135011,-17.1395659109248)); #491339=CARTESIAN_POINT('Ctrl Pts',(34.8025038896545,5.81387537857395,-17.2728230695878)); #491340=CARTESIAN_POINT('Ctrl Pts',(34.8698405800431,5.39708371283576,-17.5505295408241)); #491341=CARTESIAN_POINT('Ctrl Pts',(34.905703346022,5.16139675842252,-17.6901713599508)); #491342=CARTESIAN_POINT('Ctrl Pts',(34.9783514036594,4.64360612793209,-17.9132372262717)); #491343=CARTESIAN_POINT('Ctrl Pts',(35.0151711507305,4.36295201733928,-18.)); #491344=CARTESIAN_POINT('Ctrl Pts',(35.0542884377761,4.02202182809406,-18.)); #491345=CARTESIAN_POINT('Origin',(37.0412524417612,4.2500000000045,-18.)); #491346=CARTESIAN_POINT('Origin',(37.0412524417612,4.2500000000045,-17.)); #491347=CARTESIAN_POINT('Origin',(39.0845332563125,1.54098955817972E-12, -17.)); #491348=CARTESIAN_POINT('',(33.6910108993493,-10.4831093690944,-17.)); #491349=CARTESIAN_POINT('Origin',(0.,0.,-17.)); #491350=CARTESIAN_POINT('',(34.8504574210204,-10.2499999999985,-17.)); #491351=CARTESIAN_POINT('Origin',(34.8504574210204,-13.2499999999985,-17.)); #491352=CARTESIAN_POINT('',(39.7263199528037,-10.2499999999985,-17.)); #491353=CARTESIAN_POINT('',(36.2636399080674,-10.2499999999985,-17.)); #491354=CARTESIAN_POINT('',(43.7263199528037,-6.24999999999841,-17.)); #491355=CARTESIAN_POINT('Origin',(39.7263199528037,-6.2499999999985,-17.)); #491356=CARTESIAN_POINT('',(43.7263199528034,6.25000000000158,-17.)); #491357=CARTESIAN_POINT('',(43.7263199528037,-5.62499999999844,-17.)); #491358=CARTESIAN_POINT('',(39.7263199528033,10.2500000000015,-17.)); #491359=CARTESIAN_POINT('Origin',(39.7263199528034,6.25000000000148,-17.)); #491360=CARTESIAN_POINT('',(34.8504574210194,10.2500000000014,-17.)); #491361=CARTESIAN_POINT('',(41.9054266045578,10.2500000000015,-17.)); #491362=CARTESIAN_POINT('',(33.6910108993484,10.4831093690971,-17.)); #491363=CARTESIAN_POINT('Origin',(34.8504574210193,13.2500000000014,-17.)); #491364=CARTESIAN_POINT('Origin',(0.,0.,-17.)); #491365=CARTESIAN_POINT('Origin',(34.8504574210193,13.2500000000014,-17.5)); #491366=CARTESIAN_POINT('',(32.9810118744127,12.5392445228695,-18.)); #491367=CARTESIAN_POINT('Ctrl Pts',(32.9810118744127,12.5392445228696,-18.)); #491368=CARTESIAN_POINT('Ctrl Pts',(33.1029657693195,12.2184785589618,-18.)); #491369=CARTESIAN_POINT('Ctrl Pts',(33.1992566945862,11.9523010218211,-17.9132372262717)); #491370=CARTESIAN_POINT('Ctrl Pts',(33.3727179591103,11.4590505104054,-17.6901713599508)); #491371=CARTESIAN_POINT('Ctrl Pts',(33.449191463604,11.2332490897396,-17.5505295408241)); #491372=CARTESIAN_POINT('Ctrl Pts',(33.5809497733402,10.8321390421008,-17.2728230695878)); #491373=CARTESIAN_POINT('Ctrl Pts',(33.6368658512137,10.6571227598406,-17.1395659109248)); #491374=CARTESIAN_POINT('Ctrl Pts',(33.6910108993483,10.4831093690975,-17.0000000000003)); #491375=CARTESIAN_POINT('',(34.8504574210193,11.2500000000014,-18.)); #491376=CARTESIAN_POINT('',(34.8504574210194,10.2500000000014,-17.)); #491377=CARTESIAN_POINT('Origin',(34.8504574210193,13.2500000000014,-18.)); #491378=CARTESIAN_POINT('Origin',(41.9054266045578,10.7500000000015,-17.5)); #491379=CARTESIAN_POINT('',(39.7263199528033,11.2500000000015,-18.)); #491380=CARTESIAN_POINT('',(39.7263199528033,10.2500000000015,-17.)); #491381=CARTESIAN_POINT('',(41.9054266045578,11.2500000000015,-18.)); #491382=CARTESIAN_POINT('Origin',(39.7263199528034,6.25000000000148,-17.5)); #491383=CARTESIAN_POINT('',(44.7263199528034,6.2500000000016,-18.)); #491384=CARTESIAN_POINT('',(43.7263199528034,6.25000000000158,-17.)); #491385=CARTESIAN_POINT('Origin',(39.7263199528034,6.25000000000148,-18.)); #491386=CARTESIAN_POINT('Origin',(44.2263199528037,-5.62499999999843,-17.5)); #491387=CARTESIAN_POINT('',(44.7263199528037,-6.24999999999838,-18.)); #491388=CARTESIAN_POINT('',(43.7263199528037,-6.24999999999841,-17.)); #491389=CARTESIAN_POINT('',(44.7263199528037,-5.62499999999841,-18.)); #491390=CARTESIAN_POINT('Origin',(39.7263199528037,-6.2499999999985,-17.5)); #491391=CARTESIAN_POINT('',(39.7263199528037,-11.2499999999985,-18.)); #491392=CARTESIAN_POINT('',(39.7263199528037,-10.2499999999985,-17.)); #491393=CARTESIAN_POINT('Origin',(39.7263199528037,-6.2499999999985,-18.)); #491394=CARTESIAN_POINT('Origin',(36.2636399080674,-10.7499999999985,-17.5)); #491395=CARTESIAN_POINT('',(34.8504574210204,-11.2499999999985,-18.)); #491396=CARTESIAN_POINT('',(34.8504574210204,-10.2499999999985,-17.)); #491397=CARTESIAN_POINT('',(36.2636399080674,-11.2499999999985,-18.)); #491398=CARTESIAN_POINT('Origin',(34.8504574210204,-13.2499999999985,-17.5)); #491399=CARTESIAN_POINT('',(32.9810118744138,-12.5392445228668,-18.)); #491400=CARTESIAN_POINT('Ctrl Pts',(33.6910108993492,-10.4831093690947, -17.0000000000003)); #491401=CARTESIAN_POINT('Ctrl Pts',(33.6368658512146,-10.6571227598379, -17.1395659109248)); #491402=CARTESIAN_POINT('Ctrl Pts',(33.5809497733411,-10.832139042098,-17.2728230695878)); #491403=CARTESIAN_POINT('Ctrl Pts',(33.449191463605,-11.2332490897368,-17.5505295408241)); #491404=CARTESIAN_POINT('Ctrl Pts',(33.3727179591113,-11.4590505104026, -17.6901713599508)); #491405=CARTESIAN_POINT('Ctrl Pts',(33.1992566945871,-11.9523010218183, -17.9132372262717)); #491406=CARTESIAN_POINT('Ctrl Pts',(33.1029657693206,-12.2184785589591, -18.)); #491407=CARTESIAN_POINT('Ctrl Pts',(32.9810118744138,-12.5392445228668, -18.)); #491408=CARTESIAN_POINT('Origin',(34.8504574210204,-13.2499999999985,-18.)); #491409=CARTESIAN_POINT('Origin',(-34.8504574210204,-13.2499999999985,-17.5)); #491410=CARTESIAN_POINT('',(-32.9810118744138,-12.5392445228668,-18.)); #491411=CARTESIAN_POINT('',(-33.6910108993493,-10.4831093690944,-17.)); #491412=CARTESIAN_POINT('Ctrl Pts',(-32.9810118744138,-12.5392445228668, -18.)); #491413=CARTESIAN_POINT('Ctrl Pts',(-33.1029657693206,-12.2184785589591, -18.)); #491414=CARTESIAN_POINT('Ctrl Pts',(-33.1992566945871,-11.9523010218183, -17.9132372262717)); #491415=CARTESIAN_POINT('Ctrl Pts',(-33.3727179591113,-11.4590505104026, -17.6901713599508)); #491416=CARTESIAN_POINT('Ctrl Pts',(-33.449191463605,-11.2332490897368, -17.5505295408241)); #491417=CARTESIAN_POINT('Ctrl Pts',(-33.5809497733411,-10.832139042098, -17.2728230695878)); #491418=CARTESIAN_POINT('Ctrl Pts',(-33.6368658512146,-10.6571227598379, -17.1395659109248)); #491419=CARTESIAN_POINT('Ctrl Pts',(-33.6910108993492,-10.4831093690947, -17.0000000000003)); #491420=CARTESIAN_POINT('',(-34.8504574210204,-10.2499999999985,-17.)); #491421=CARTESIAN_POINT('Origin',(-34.8504574210204,-13.2499999999985,-17.)); #491422=CARTESIAN_POINT('',(-34.8504574210204,-11.2499999999985,-18.)); #491423=CARTESIAN_POINT('',(-34.8504574210204,-10.2499999999985,-17.)); #491424=CARTESIAN_POINT('Origin',(-34.8504574210204,-13.2499999999985,-18.)); #491425=CARTESIAN_POINT('Origin',(-41.9054266045582,-10.7499999999985,-17.5)); #491426=CARTESIAN_POINT('',(-39.7263199528037,-10.2499999999985,-17.)); #491427=CARTESIAN_POINT('',(-41.9054266045582,-10.2499999999985,-17.)); #491428=CARTESIAN_POINT('',(-39.7263199528037,-11.2499999999985,-18.)); #491429=CARTESIAN_POINT('',(-39.7263199528037,-10.2499999999985,-17.)); #491430=CARTESIAN_POINT('',(-41.9054266045582,-11.2499999999985,-18.)); #491431=CARTESIAN_POINT('Origin',(-39.7263199528037,-6.2499999999985,-17.5)); #491432=CARTESIAN_POINT('',(-43.7263199528037,-6.24999999999841,-17.)); #491433=CARTESIAN_POINT('',(-44.7263199528037,-6.24999999999838,-18.)); #491434=CARTESIAN_POINT('',(-43.7263199528037,-6.24999999999841,-17.)); #491435=CARTESIAN_POINT('Origin',(-39.7263199528037,-6.2499999999985,-18.)); #491436=CARTESIAN_POINT('Origin',(-39.7263199528037,-6.2499999999985,-17.)); #491437=CARTESIAN_POINT('Origin',(-44.2263199528034,5.62500000000162,-17.5)); #491438=CARTESIAN_POINT('',(-43.7263199528034,6.25000000000157,-17.)); #491439=CARTESIAN_POINT('',(-43.7263199528034,5.62500000000161,-17.)); #491440=CARTESIAN_POINT('',(-44.7263199528034,6.2500000000016,-18.)); #491441=CARTESIAN_POINT('',(-43.7263199528034,6.25000000000157,-17.)); #491442=CARTESIAN_POINT('',(-44.7263199528034,5.62500000000163,-18.)); #491443=CARTESIAN_POINT('Origin',(-39.7263199528034,6.25000000000148,-17.5)); #491444=CARTESIAN_POINT('',(-39.7263199528033,10.2500000000015,-17.)); #491445=CARTESIAN_POINT('',(-39.7263199528033,11.2500000000015,-18.)); #491446=CARTESIAN_POINT('',(-39.7263199528033,10.2500000000015,-17.)); #491447=CARTESIAN_POINT('Origin',(-39.7263199528034,6.25000000000148,-18.)); #491448=CARTESIAN_POINT('Origin',(-39.7263199528034,6.25000000000148,-17.)); #491449=CARTESIAN_POINT('Origin',(-36.2636399080668,10.7500000000014,-17.5)); #491450=CARTESIAN_POINT('',(-34.8504574210194,10.2500000000014,-17.)); #491451=CARTESIAN_POINT('',(-36.2636399080668,10.2500000000014,-17.)); #491452=CARTESIAN_POINT('',(-34.8504574210193,11.2500000000014,-18.)); #491453=CARTESIAN_POINT('',(-34.8504574210194,10.2500000000014,-17.)); #491454=CARTESIAN_POINT('',(-36.2636399080668,11.2500000000014,-18.)); #491455=CARTESIAN_POINT('Origin',(-34.8504574210193,13.2500000000014,-17.5)); #491456=CARTESIAN_POINT('',(-33.6910108993484,10.4831093690971,-17.)); #491457=CARTESIAN_POINT('',(-32.9810118744127,12.5392445228695,-18.)); #491458=CARTESIAN_POINT('Ctrl Pts',(-33.6910108993483,10.4831093690975, -17.0000000000003)); #491459=CARTESIAN_POINT('Ctrl Pts',(-33.6368658512138,10.6571227598406, -17.1395659109248)); #491460=CARTESIAN_POINT('Ctrl Pts',(-33.5809497733403,10.8321390421008, -17.2728230695878)); #491461=CARTESIAN_POINT('Ctrl Pts',(-33.449191463604,11.2332490897395,-17.5505295408241)); #491462=CARTESIAN_POINT('Ctrl Pts',(-33.3727179591103,11.4590505104054, -17.6901713599508)); #491463=CARTESIAN_POINT('Ctrl Pts',(-33.1992566945862,11.952301021821,-17.9132372262717)); #491464=CARTESIAN_POINT('Ctrl Pts',(-33.1029657693196,12.2184785589618, -18.)); #491465=CARTESIAN_POINT('Ctrl Pts',(-32.9810118744127,12.5392445228695, -18.)); #491466=CARTESIAN_POINT('Origin',(-34.8504574210193,13.2500000000014,-18.)); #491467=CARTESIAN_POINT('Origin',(-34.8504574210193,13.2500000000014,-17.)); #491468=CARTESIAN_POINT('Origin',(-39.0845332563125,1.54098955817972E-12, -17.)); #491469=CARTESIAN_POINT('',(-34.7398341124721,-6.17444113279773,-17.)); #491470=CARTESIAN_POINT('Origin',(0.,0.,-17.)); #491471=CARTESIAN_POINT('',(-37.0412524417618,-7.2499999999985,-17.)); #491472=CARTESIAN_POINT('Origin',(-37.0412524417618,-4.2499999999985,-17.)); #491473=CARTESIAN_POINT('',(-37.7263199528036,-7.2499999999985,-17.)); #491474=CARTESIAN_POINT('',(-36.9054266045581,-7.2499999999985,-17.)); #491475=CARTESIAN_POINT('',(-40.7263199528036,-4.24999999999843,-17.)); #491476=CARTESIAN_POINT('Origin',(-37.7263199528036,-4.2499999999985,-17.)); #491477=CARTESIAN_POINT('',(-40.7263199528034,4.25000000000152,-17.)); #491478=CARTESIAN_POINT('',(-40.7263199528036,-3.12499999999845,-17.)); #491479=CARTESIAN_POINT('',(-37.7263199528034,7.25000000000145,-17.)); #491480=CARTESIAN_POINT('Origin',(-37.7263199528034,4.25000000000145,-17.)); #491481=CARTESIAN_POINT('',(-37.0412524417614,7.25000000000143,-17.)); #491482=CARTESIAN_POINT('',(-39.4054266045579,7.25000000000149,-17.)); #491483=CARTESIAN_POINT('',(-34.7398341124716,6.17444113280048,-17.)); #491484=CARTESIAN_POINT('Origin',(-37.0412524417615,4.25000000000143,-17.)); #491485=CARTESIAN_POINT('Origin',(0.,0.,-17.)); #491486=CARTESIAN_POINT('Origin',(-37.0412524417615,4.25000000000143,-17.5)); #491487=CARTESIAN_POINT('',(-35.0542884377764,4.02202182809116,-18.)); #491488=CARTESIAN_POINT('Ctrl Pts',(-35.0542884377764,4.02202182809115, -18.)); #491489=CARTESIAN_POINT('Ctrl Pts',(-35.0151711507308,4.36295201733637, -18.)); #491490=CARTESIAN_POINT('Ctrl Pts',(-34.9783514036598,4.64360612792919, -17.9132372262717)); #491491=CARTESIAN_POINT('Ctrl Pts',(-34.9057033460224,5.16139675841962, -17.6901713599508)); #491492=CARTESIAN_POINT('Ctrl Pts',(-34.8698405800435,5.39708371283287, -17.5505295408241)); #491493=CARTESIAN_POINT('Ctrl Pts',(-34.802503889655,5.81387537857107,-17.2728230695878)); #491494=CARTESIAN_POINT('Ctrl Pts',(-34.7717249762001,5.99501059134723, -17.1395659109248)); #491495=CARTESIAN_POINT('Ctrl Pts',(-34.7398341124717,6.1744411328001,-17.0000000000003)); #491496=CARTESIAN_POINT('',(-37.0412524417615,6.25000000000143,-18.)); #491497=CARTESIAN_POINT('',(-37.0412524417614,7.25000000000143,-17.)); #491498=CARTESIAN_POINT('Origin',(-37.0412524417615,4.25000000000143,-18.)); #491499=CARTESIAN_POINT('Origin',(-39.4054266045579,6.75000000000149,-17.5)); #491500=CARTESIAN_POINT('',(-37.7263199528034,6.25000000000145,-18.)); #491501=CARTESIAN_POINT('',(-37.7263199528034,7.25000000000145,-17.)); #491502=CARTESIAN_POINT('',(-39.4054266045579,6.25000000000149,-18.)); #491503=CARTESIAN_POINT('Origin',(-37.7263199528034,4.25000000000145,-17.5)); #491504=CARTESIAN_POINT('',(-39.7263199528034,4.2500000000015,-18.)); #491505=CARTESIAN_POINT('',(-40.7263199528034,4.25000000000152,-17.)); #491506=CARTESIAN_POINT('Origin',(-37.7263199528034,4.25000000000145,-18.)); #491507=CARTESIAN_POINT('Origin',(-40.2263199528036,-3.12499999999846,-17.5)); #491508=CARTESIAN_POINT('',(-39.7263199528036,-4.24999999999845,-18.)); #491509=CARTESIAN_POINT('',(-40.7263199528036,-4.24999999999843,-17.)); #491510=CARTESIAN_POINT('',(-39.7263199528036,-3.12499999999847,-18.)); #491511=CARTESIAN_POINT('Origin',(-37.7263199528036,-4.2499999999985,-17.5)); #491512=CARTESIAN_POINT('',(-37.7263199528036,-6.2499999999985,-18.)); #491513=CARTESIAN_POINT('',(-37.7263199528036,-7.2499999999985,-17.)); #491514=CARTESIAN_POINT('Origin',(-37.7263199528036,-4.2499999999985,-18.)); #491515=CARTESIAN_POINT('Origin',(-36.9054266045581,-6.7499999999985,-17.5)); #491516=CARTESIAN_POINT('',(-37.0412524417618,-6.2499999999985,-18.)); #491517=CARTESIAN_POINT('',(-37.0412524417618,-7.2499999999985,-17.)); #491518=CARTESIAN_POINT('',(-36.9054266045581,-6.2499999999985,-18.)); #491519=CARTESIAN_POINT('Origin',(-37.0412524417618,-4.2499999999985,-17.5)); #491520=CARTESIAN_POINT('',(-35.0542884377767,-4.02202182808839,-18.)); #491521=CARTESIAN_POINT('Ctrl Pts',(-34.7398341124722,-6.17444113279735, -17.0000000000003)); #491522=CARTESIAN_POINT('Ctrl Pts',(-34.7717249762006,-5.99501059134449, -17.1395659109248)); #491523=CARTESIAN_POINT('Ctrl Pts',(-34.8025038896554,-5.81387537856832, -17.2728230695878)); #491524=CARTESIAN_POINT('Ctrl Pts',(-34.869840580044,-5.39708371283012, -17.5505295408241)); #491525=CARTESIAN_POINT('Ctrl Pts',(-34.9057033460228,-5.16139675841687, -17.6901713599508)); #491526=CARTESIAN_POINT('Ctrl Pts',(-34.9783514036601,-4.64360612792643, -17.9132372262717)); #491527=CARTESIAN_POINT('Ctrl Pts',(-35.0151711507312,-4.36295201733361, -18.)); #491528=CARTESIAN_POINT('Ctrl Pts',(-35.0542884377767,-4.02202182808839, -18.)); #491529=CARTESIAN_POINT('Origin',(-37.0412524417618,-4.2499999999985,-18.)); #491530=CARTESIAN_POINT('Origin',(-39.7263199528037,-6.2499999999985,-20.)); #491531=CARTESIAN_POINT('',(-39.7263199528037,-11.2499999999985,-20.)); #491532=CARTESIAN_POINT('',(-44.7263199528037,-6.24999999999838,-20.)); #491533=CARTESIAN_POINT('Origin',(-44.7263199528038,-11.2499999999985,-20.)); #491534=CARTESIAN_POINT('',(-34.8504574210204,-11.2499999999985,0.)); #491535=CARTESIAN_POINT('Origin',(-39.7263199528034,6.25000000000148,-20.)); #491536=CARTESIAN_POINT('',(-44.7263199528034,6.2500000000016,-20.)); #491537=CARTESIAN_POINT('',(-39.7263199528033,11.2500000000015,-20.)); #491538=CARTESIAN_POINT('Origin',(-33.4427465598213,11.2500000000013,-20.)); #491539=CARTESIAN_POINT('',(-34.8504574210193,11.2500000000014,0.)); #491540=CARTESIAN_POINT('Origin',(39.7263199528034,6.25000000000148,-20.)); #491541=CARTESIAN_POINT('',(39.7263199528033,11.2500000000015,-20.)); #491542=CARTESIAN_POINT('',(44.7263199528034,6.2500000000016,-20.)); #491543=CARTESIAN_POINT('Origin',(44.7263199528033,11.2500000000016,-20.)); #491544=CARTESIAN_POINT('',(34.8504574210193,11.2500000000014,0.)); #491545=CARTESIAN_POINT('Origin',(39.7263199528037,-6.2499999999985,-20.)); #491546=CARTESIAN_POINT('',(44.7263199528037,-6.24999999999838,-20.)); #491547=CARTESIAN_POINT('',(39.7263199528037,-11.2499999999985,-20.)); #491548=CARTESIAN_POINT('Origin',(33.4427465598222,-11.2499999999985,-20.)); #491549=CARTESIAN_POINT('',(34.8504574210204,-11.2499999999985,0.)); #491550=CARTESIAN_POINT('Origin',(37.7263199528034,4.25000000000373,-20.)); #491551=CARTESIAN_POINT('',(39.7263199528034,4.25000000000378,-20.)); #491552=CARTESIAN_POINT('',(37.7263199528057,6.25000000000373,-20.)); #491553=CARTESIAN_POINT('Origin',(39.7263199528034,6.2500000000015,-20.)); #491554=CARTESIAN_POINT('',(39.7263199528036,-4.24999999999846,-20.)); #491555=CARTESIAN_POINT('Origin',(37.7263199528036,-4.24999999999851,-20.)); #491556=CARTESIAN_POINT('',(37.7263199528037,-6.24999999999851,-20.)); #491557=CARTESIAN_POINT('Origin',(39.7263199528037,-6.2499999999985,-20.)); #491558=CARTESIAN_POINT('',(37.0412524417618,-6.24999999999852,0.)); #491559=CARTESIAN_POINT('Origin',(34.8504574210193,13.2500000000014,0.)); #491560=CARTESIAN_POINT('',(32.9810118744127,12.5392445228695,0.)); #491561=CARTESIAN_POINT('Origin',(37.0412524417618,-4.24999999999851,0.)); #491562=CARTESIAN_POINT('',(35.0542884377767,-4.02202182808841,0.)); #491563=CARTESIAN_POINT('Origin',(37.0412524417612,4.2500000000045,0.)); #491564=CARTESIAN_POINT('',(37.0412524417634,6.2500000000045,0.)); #491565=CARTESIAN_POINT('',(35.0542884377761,4.02202182809407,0.)); #491566=CARTESIAN_POINT('Origin',(34.7263199528034,6.25000000000709,-20.)); #491567=CARTESIAN_POINT('Origin',(34.8504574210204,-13.2499999999985,0.)); #491568=CARTESIAN_POINT('',(32.9810118744138,-12.5392445228668,0.)); #491569=CARTESIAN_POINT('Origin',(-37.7263199528034,4.25000000000145,-20.)); #491570=CARTESIAN_POINT('',(-37.7263199528034,6.25000000000145,-20.)); #491571=CARTESIAN_POINT('',(-39.7263199528034,4.2500000000015,-20.)); #491572=CARTESIAN_POINT('Origin',(-39.7263199528034,6.2500000000015,-20.)); #491573=CARTESIAN_POINT('',(-37.0412524417615,6.25000000000143,0.)); #491574=CARTESIAN_POINT('Origin',(-37.7263199528036,-4.2499999999985,-20.)); #491575=CARTESIAN_POINT('',(-39.7263199528036,-4.24999999999845,-20.)); #491576=CARTESIAN_POINT('',(-37.7263199528036,-6.2499999999985,-20.)); #491577=CARTESIAN_POINT('Origin',(-39.7263199528037,-6.2499999999985,-20.)); #491578=CARTESIAN_POINT('Origin',(-34.8504574210204,-13.2499999999985,0.)); #491579=CARTESIAN_POINT('',(-32.9810118744138,-12.5392445228668,0.)); #491580=CARTESIAN_POINT('Origin',(-37.0412524417615,4.25000000000143,0.)); #491581=CARTESIAN_POINT('',(-35.0542884377764,4.02202182809116,0.)); #491582=CARTESIAN_POINT('Origin',(-37.0412524417618,-4.2499999999985,0.)); #491583=CARTESIAN_POINT('',(-37.0412524417618,-6.2499999999985,0.)); #491584=CARTESIAN_POINT('',(-35.0542884377767,-4.02202182808839,0.)); #491585=CARTESIAN_POINT('Origin',(-34.7263199528037,-6.2499999999985,-20.)); #491586=CARTESIAN_POINT('Origin',(-34.8504574210193,13.2500000000014,0.)); #491587=CARTESIAN_POINT('',(-32.9810118744127,12.5392445228695,0.)); #491588=CARTESIAN_POINT('Origin',(44.7263199528038,-11.2499999999985,-20.)); #491589=CARTESIAN_POINT('Origin',(-44.7263199528033,11.2500000000016,-20.)); #491590=CARTESIAN_POINT('Origin',(27.,11.649922928275,0.)); #491591=CARTESIAN_POINT('',(30.6667473494439,10.8641342319028,-31.9999999999963)); #491592=CARTESIAN_POINT('',(28.9440599472867,14.8566550532514,-31.9999999999963)); #491593=CARTESIAN_POINT('Ctrl Pts',(30.6667473494438,10.8641342319026,-31.9999999999964)); #491594=CARTESIAN_POINT('Ctrl Pts',(30.711303523623,11.072047929729,-31.8885730302428)); #491595=CARTESIAN_POINT('Ctrl Pts',(30.7393085300187,11.2898986917921,-31.7879021176604)); #491596=CARTESIAN_POINT('Ctrl Pts',(30.7573939933233,11.7825005855486,-31.5982372054786)); #491597=CARTESIAN_POINT('Ctrl Pts',(30.7379094058704,12.0601611602564,-31.5162181875876)); #491598=CARTESIAN_POINT('Ctrl Pts',(30.6361203348879,12.6085109754147,-31.4061610659381)); #491599=CARTESIAN_POINT('Ctrl Pts',(30.5537799888597,12.8791964682045,-31.3781374615498)); #491600=CARTESIAN_POINT('Ctrl Pts',(30.3325384056053,13.3919485940892,-31.3781374615498)); #491601=CARTESIAN_POINT('Ctrl Pts',(30.1921042817825,13.6375678634005,-31.4061610659381)); #491602=CARTESIAN_POINT('Ctrl Pts',(29.8630093071728,14.0878400393589,-31.5162181875876)); #491603=CARTESIAN_POINT('Ctrl Pts',(29.674375787888,14.2925164652058,-31.5982372054786)); #491604=CARTESIAN_POINT('Ctrl Pts',(29.3035930246462,14.6173300304987,-31.7879021176604)); #491605=CARTESIAN_POINT('Ctrl Pts',(29.1258896373369,14.7464220172891,-31.8885730302428)); #491606=CARTESIAN_POINT('Ctrl Pts',(28.9440599472865,14.8566550532515,-31.9999999999964)); #491607=CARTESIAN_POINT('Origin',(27.,11.649922928275,-31.9999999999963)); #491608=CARTESIAN_POINT('',(28.4856496028718,8.2067637310425,0.)); #491609=CARTESIAN_POINT('',(28.4856496028718,8.2067637310425,0.)); #491610=CARTESIAN_POINT('',(27.2355659933011,15.3925167698239,0.)); #491611=CARTESIAN_POINT('Origin',(27.,11.649922928275,0.)); #491612=CARTESIAN_POINT('',(27.2355659933011,15.3925167698239,-31.9999999999963)); #491613=CARTESIAN_POINT('',(27.2355659933011,15.3925167698239,0.)); #491614=CARTESIAN_POINT('Origin',(27.,11.649922928275,-31.9999999999963)); #491615=CARTESIAN_POINT('Origin',(0.,0.,-32.6249999999963)); #491616=CARTESIAN_POINT('',(28.0079544673593,13.9377226991751,-33.2499999999963)); #491617=CARTESIAN_POINT('Ctrl Pts',(28.0079544673593,13.9377226991751,-33.2499999999963)); #491618=CARTESIAN_POINT('Ctrl Pts',(28.112157720533,14.0469163227916,-33.108061887339)); #491619=CARTESIAN_POINT('Ctrl Pts',(28.2180198117297,14.1547726277541,-32.9651092256037)); #491620=CARTESIAN_POINT('Ctrl Pts',(28.5271084787882,14.462903947023,-32.5504689845035)); #491621=CARTESIAN_POINT('Ctrl Pts',(28.7337757991074,14.6607510301625,-32.27653779047)); #491622=CARTESIAN_POINT('Ctrl Pts',(28.9440599472867,14.8566550532514,-31.9999999999964)); #491623=CARTESIAN_POINT('',(29.3559558837001,10.8135785769108,-33.2499999999963)); #491624=CARTESIAN_POINT('Origin',(0.,0.,-33.2499999999963)); #491625=CARTESIAN_POINT('Ctrl Pts',(30.6667473494439,10.8641342319028,-31.9999999999964)); #491626=CARTESIAN_POINT('Ctrl Pts',(30.3799499642009,10.845558197457,-32.27653779047)); #491627=CARTESIAN_POINT('Ctrl Pts',(30.0942204591304,10.8309465744514,-32.5504689845035)); #491628=CARTESIAN_POINT('Ctrl Pts',(29.6579870771577,10.8174873593515,-32.9651092256037)); #491629=CARTESIAN_POINT('Ctrl Pts',(29.5068888799697,10.8144707918952,-33.108061887339)); #491630=CARTESIAN_POINT('Ctrl Pts',(29.3559558837001,10.8135785769108,-33.2499999999963)); #491631=CARTESIAN_POINT('Origin',(0.,0.,-36.9999999999963)); #491632=CARTESIAN_POINT('',(29.3561124710534,10.8134760886271,-39.2696315307897)); #491633=CARTESIAN_POINT('',(28.0078151560864,13.9383938986851,-39.9167369297697)); #491634=CARTESIAN_POINT('Ctrl Pts',(29.3561124710533,10.8134760886271,-39.2696315307897)); #491635=CARTESIAN_POINT('Ctrl Pts',(29.3264415442357,10.8940927899344,-39.2301219010209)); #491636=CARTESIAN_POINT('Ctrl Pts',(29.277384079255,11.0257226270779,-39.173365540958)); #491637=CARTESIAN_POINT('Ctrl Pts',(29.0498837667096,11.6230851339083,-38.9741221557828)); #491638=CARTESIAN_POINT('Ctrl Pts',(28.6762679930703,12.5343893460808,-38.9354270250767)); #491639=CARTESIAN_POINT('Ctrl Pts',(28.2305742406481,13.4872761603515,-39.4455255263595)); #491640=CARTESIAN_POINT('Ctrl Pts',(28.0471817493878,13.8593855812488,-39.8245379135585)); #491641=CARTESIAN_POINT('Ctrl Pts',(28.0078151560864,13.9383938986851,-39.9167369297697)); #491642=CARTESIAN_POINT('',(29.9171802452408,9.14701883995802,-41.9999999999963)); #491643=CARTESIAN_POINT('Ctrl Pts',(29.9171802452408,9.14701883995802,-41.9999999999963)); #491644=CARTESIAN_POINT('Ctrl Pts',(29.9171802452408,9.14701883995802,-41.56907585012)); #491645=CARTESIAN_POINT('Ctrl Pts',(29.857282864367,9.34644173770398,-40.6391955192432)); #491646=CARTESIAN_POINT('Ctrl Pts',(29.6576668570779,9.96459727114246,-39.8447083163921)); #491647=CARTESIAN_POINT('Ctrl Pts',(29.4778105690957,10.478244551835,-39.4555200338371)); #491648=CARTESIAN_POINT('Ctrl Pts',(29.4038956453155,10.6833456670791,-39.3348188980357)); #491649=CARTESIAN_POINT('Ctrl Pts',(29.3622401220903,10.7968270969593,-39.2777910744842)); #491650=CARTESIAN_POINT('Ctrl Pts',(29.3561124710533,10.8134760886271,-39.2696315307897)); #491651=CARTESIAN_POINT('',(29.9171802452407,9.1470188399583,-71.9999999999963)); #491652=CARTESIAN_POINT('',(29.9171802452407,9.1470188399583,-36.9999999999963)); #491653=CARTESIAN_POINT('',(28.9037780556959,11.9723361402977,-75.001107807325)); #491654=CARTESIAN_POINT('Ctrl Pts',(28.9030410017093,11.9720310011391,-75.0022051963432)); #491655=CARTESIAN_POINT('Ctrl Pts',(28.98682007922,11.7697649085758,-75.0020871347181)); #491656=CARTESIAN_POINT('Ctrl Pts',(29.1499888678348,11.3636975843852,-74.9520652223585)); #491657=CARTESIAN_POINT('Ctrl Pts',(29.3340721507091,10.8761132494742,-74.7746044891837)); #491658=CARTESIAN_POINT('Ctrl Pts',(29.4711711874077,10.4969442148276,-74.5570595047344)); #491659=CARTESIAN_POINT('Ctrl Pts',(29.6536628994911,9.97756832157592,-74.1760474749366)); #491660=CARTESIAN_POINT('Ctrl Pts',(29.8553721966303,9.35237618740627,-73.3668715804903)); #491661=CARTESIAN_POINT('Ctrl Pts',(29.9171802452408,9.14701883995802,-72.4309241498726)); #491662=CARTESIAN_POINT('Ctrl Pts',(29.9171802452408,9.14701883995802,-71.9999999999964)); #491663=CARTESIAN_POINT('',(31.2852617711744,8.76709009223949E-7,-75.0010800307322)); #491664=CARTESIAN_POINT('Origin',(0.,0.,-74.9999999999963)); #491665=CARTESIAN_POINT('',(31.1412285455937,2.99719998765822,-71.9999999999963)); #491666=CARTESIAN_POINT('Ctrl Pts',(31.1412596702596,2.99717148783511,-71.9999999999963)); #491667=CARTESIAN_POINT('Ctrl Pts',(31.1412598507157,2.99717084542844,-72.3769367317092)); #491668=CARTESIAN_POINT('Ctrl Pts',(31.1566459092829,2.84680345318026,-73.1812593236912)); #491669=CARTESIAN_POINT('Ctrl Pts',(31.1954180926828,2.38614982718476,-73.8554688322956)); #491670=CARTESIAN_POINT('Ctrl Pts',(31.2351703480931,1.80178643837627,-74.4417923034717)); #491671=CARTESIAN_POINT('Ctrl Pts',(31.2700008087903,1.16751726058599,-74.8404264703864)); #491672=CARTESIAN_POINT('Ctrl Pts',(31.2843263907281,0.38253725989416,-74.9889237011208)); #491673=CARTESIAN_POINT('Ctrl Pts',(31.2854850932271,0.0765347462664348, -75.001163727726)); #491674=CARTESIAN_POINT('Ctrl Pts',(31.2854869204091,8.5302741834048E-7, -75.0012156065626)); #491675=CARTESIAN_POINT('',(31.1402830646123,2.99806573320116,-41.9999999999963)); #491676=CARTESIAN_POINT('',(31.1402830646123,2.99806573320116,-36.9999999999963)); #491677=CARTESIAN_POINT('',(31.1402830646122,-2.99806573320147,-41.9999999999963)); #491678=CARTESIAN_POINT('Ctrl Pts',(31.1402830646122,-2.99806573320147, -41.9999999999963)); #491679=CARTESIAN_POINT('Ctrl Pts',(31.1402830646122,-2.99806573320147, -41.5690758501201)); #491680=CARTESIAN_POINT('Ctrl Pts',(31.1614045784027,-2.79241362108958, -40.6374228681298)); #491681=CARTESIAN_POINT('Ctrl Pts',(31.2135896766594,-2.1466080814874,-39.8421102962863)); #491682=CARTESIAN_POINT('Ctrl Pts',(31.2440270355764,-1.60230292528809, -39.4526838274717)); #491683=CARTESIAN_POINT('Ctrl Pts',(31.2628266170424,-1.20471819197487, -39.2295738173719)); #491684=CARTESIAN_POINT('Ctrl Pts',(31.2872285438282,-0.434663262720147, -38.9667401875334)); #491685=CARTESIAN_POINT('Ctrl Pts',(31.2873840153208,0.433642654299321, -38.9669447212466)); #491686=CARTESIAN_POINT('Ctrl Pts',(31.2591612938052,1.31511340917225,-39.2693310436615)); #491687=CARTESIAN_POINT('Ctrl Pts',(31.2191870173497,2.07603375893278,-39.7562494035257)); #491688=CARTESIAN_POINT('Ctrl Pts',(31.1615630175515,2.79031753799107,-40.6362730629213)); #491689=CARTESIAN_POINT('Ctrl Pts',(31.1402830646122,2.99806573320145,-41.5690758501199)); #491690=CARTESIAN_POINT('Ctrl Pts',(31.1402830646122,2.99806573320145,-41.9999999999963)); #491691=CARTESIAN_POINT('',(31.1402830646123,-2.99806573320117,-71.9999999999963)); #491692=CARTESIAN_POINT('',(31.1402830646123,-2.99806573320117,-36.9999999999963)); #491693=CARTESIAN_POINT('Ctrl Pts',(31.2845892138102,3.07169602940804E-7, -75.0021133586199)); #491694=CARTESIAN_POINT('Ctrl Pts',(31.2845928455615,-0.152231693579892, -75.0022165383)); #491695=CARTESIAN_POINT('Ctrl Pts',(31.2817433355102,-0.531402258029432, -74.9726086754604)); #491696=CARTESIAN_POINT('Ctrl Pts',(31.2686645323882,-1.03933581060691, -74.8336902863452)); #491697=CARTESIAN_POINT('Ctrl Pts',(31.2502451195108,-1.47127118119646, -74.6220736177409)); #491698=CARTESIAN_POINT('Ctrl Pts',(31.2194401703591,-2.06465683146527, -74.2389495117276)); #491699=CARTESIAN_POINT('Ctrl Pts',(31.1640095766734,-2.7637589695908,-73.4345967634078)); #491700=CARTESIAN_POINT('Ctrl Pts',(31.1402830646122,-2.99806573320147, -72.4524702530432)); #491701=CARTESIAN_POINT('Ctrl Pts',(31.1402830646122,-2.99806573320147, -71.9999999999963)); #491702=CARTESIAN_POINT('',(28.9045021003579,-11.9726346402556,-75.0021004017905)); #491703=CARTESIAN_POINT('Origin',(0.,0.,-74.9999999999963)); #491704=CARTESIAN_POINT('',(29.9182492295258,-9.1493091236382,-71.9999999999963)); #491705=CARTESIAN_POINT('Ctrl Pts',(29.9183729695616,-9.14957423491111, -71.9999999999963)); #491706=CARTESIAN_POINT('Ctrl Pts',(29.9183729695616,-9.14957423491111, -72.4306268001972)); #491707=CARTESIAN_POINT('Ctrl Pts',(29.8575664129675,-9.3524132050732,-73.3657891626409)); #491708=CARTESIAN_POINT('Ctrl Pts',(29.6715504029802,-9.92870327609438, -74.1100447036756)); #491709=CARTESIAN_POINT('Ctrl Pts',(29.4972343565455,-10.4295924947252, -74.5108105552635)); #491710=CARTESIAN_POINT('Ctrl Pts',(29.3651652251338,-10.799542679216,-74.7458664813416)); #491711=CARTESIAN_POINT('Ctrl Pts',(29.1525396129987,-11.36295117469,-74.95166650745)); #491712=CARTESIAN_POINT('Ctrl Pts',(28.9889481613476,-11.7700633446244, -75.0020478525753)); #491713=CARTESIAN_POINT('Ctrl Pts',(28.9049634009112,-11.9728258216075, -75.0022311079745)); #491714=CARTESIAN_POINT('',(29.9171802452407,-9.1470188399583,-41.9999999999963)); #491715=CARTESIAN_POINT('',(29.9171802452407,-9.1470188399583,-36.9999999999963)); #491716=CARTESIAN_POINT('',(27.6225600747654,-14.6867219760146,-41.9999999999963)); #491717=CARTESIAN_POINT('Ctrl Pts',(27.6225600747654,-14.6867219760146, -41.9999999999963)); #491718=CARTESIAN_POINT('Ctrl Pts',(27.6225600747654,-14.6867219760146, -41.5690758501202)); #491719=CARTESIAN_POINT('Ctrl Pts',(27.6937813604812,-14.5552273774095, -40.8732218782907)); #491720=CARTESIAN_POINT('Ctrl Pts',(27.9224203402056,-14.1102607281457, -40.1015910866814)); #491721=CARTESIAN_POINT('Ctrl Pts',(28.1924569611177,-13.5687703542075, -39.4891509239687)); #491722=CARTESIAN_POINT('Ctrl Pts',(28.6762679930702,-12.5343893460808, -38.9354270250767)); #491723=CARTESIAN_POINT('Ctrl Pts',(29.0498837667095,-11.6230851339082, -38.9741221557827)); #491724=CARTESIAN_POINT('Ctrl Pts',(29.2840648855021,-11.008180395497,-39.1792165502927)); #491725=CARTESIAN_POINT('Ctrl Pts',(29.3756791159213,-10.761641650503,-39.2887420441058)); #491726=CARTESIAN_POINT('Ctrl Pts',(29.4778105690956,-10.4782445518349, -39.455520033837)); #491727=CARTESIAN_POINT('Ctrl Pts',(29.6576668570778,-9.96459727114242, -39.8447083163921)); #491728=CARTESIAN_POINT('Ctrl Pts',(29.8572828643669,-9.346441737704,-40.6391955192431)); #491729=CARTESIAN_POINT('Ctrl Pts',(29.9171802452408,-9.14701883995803, -41.56907585012)); #491730=CARTESIAN_POINT('Ctrl Pts',(29.9171802452408,-9.14701883995803, -41.9999999999963)); #491731=CARTESIAN_POINT('',(27.6225600747655,-14.6867219760143,-71.9999999999963)); #491732=CARTESIAN_POINT('',(27.6225600747655,-14.6867219760143,-36.9999999999963)); #491733=CARTESIAN_POINT('Ctrl Pts',(28.9031974962767,-11.9720943041128, -75.0041457855107)); #491734=CARTESIAN_POINT('Ctrl Pts',(28.8192516982853,-12.1747627235432, -75.0043289925687)); #491735=CARTESIAN_POINT('Ctrl Pts',(28.6469839488208,-12.5785131243012, -74.9547321685119)); #491736=CARTESIAN_POINT('Ctrl Pts',(28.4211482540653,-13.0781054907766, -74.7669094458929)); #491737=CARTESIAN_POINT('Ctrl Pts',(28.2512864712818,-13.4390851089358, -74.5437550708679)); #491738=CARTESIAN_POINT('Ctrl Pts',(28.0149671728974,-13.9301024955772, -74.1548444502183)); #491739=CARTESIAN_POINT('Ctrl Pts',(27.723622495125,-14.4990073927157,-73.3677532843522)); #491740=CARTESIAN_POINT('Ctrl Pts',(27.6225600747654,-14.6867219760146, -72.4309241498726)); #491741=CARTESIAN_POINT('Ctrl Pts',(27.6225600747654,-14.6867219760146, -71.9999999999963)); #491742=CARTESIAN_POINT('',(22.1235384077325,-22.1235573739113,-75.0037495040648)); #491743=CARTESIAN_POINT('Origin',(0.,0.,-74.9999999999963)); #491744=CARTESIAN_POINT('',(24.1396594956265,-19.9041312887204,-71.9999999999963)); #491745=CARTESIAN_POINT('Ctrl Pts',(24.1396813271424,-19.904627201473,-71.9999999999963)); #491746=CARTESIAN_POINT('Ctrl Pts',(24.1396813271424,-19.904627201473,-72.4303885694683)); #491747=CARTESIAN_POINT('Ctrl Pts',(24.0456893333897,-20.0204877870547, -73.1254872443481)); #491748=CARTESIAN_POINT('Ctrl Pts',(23.7231242286245,-20.4008711085396, -73.8960325365413)); #491749=CARTESIAN_POINT('Ctrl Pts',(23.325668838264,-20.8579370297114,-74.5081390186622)); #491750=CARTESIAN_POINT('Ctrl Pts',(22.7419095705876,-21.4968887144993, -74.9291059266534)); #491751=CARTESIAN_POINT('Ctrl Pts',(22.2801594982754,-21.9682576070517, -75.0045863739707)); #491752=CARTESIAN_POINT('Ctrl Pts',(22.1241322460132,-22.1241502153164, -75.0039772389557)); #491753=CARTESIAN_POINT('',(24.1394579334455,-19.8995527126664,-41.9999999999963)); #491754=CARTESIAN_POINT('',(24.1394579334455,-19.8995527126664,-36.9999999999963)); #491755=CARTESIAN_POINT('',(19.8995527126661,-24.1394579334457,-41.9999999999963)); #491756=CARTESIAN_POINT('Ctrl Pts',(19.8995527126661,-24.1394579334457, -41.9999999999963)); #491757=CARTESIAN_POINT('Ctrl Pts',(19.8995527126661,-24.1394579334457, -41.5690758501201)); #491758=CARTESIAN_POINT('Ctrl Pts',(20.0608569903626,-24.007818869035,-40.6392058540775)); #491759=CARTESIAN_POINT('Ctrl Pts',(20.5555628120607,-23.5868448059514, -39.844724813377)); #491760=CARTESIAN_POINT('Ctrl Pts',(20.961278998428,-23.2241184369315,-39.4555400145229)); #491761=CARTESIAN_POINT('Ctrl Pts',(21.1840196491451,-23.0213099951992, -39.2887622145911)); #491762=CARTESIAN_POINT('Ctrl Pts',(21.37673222239,-22.842323394092,-39.1792372214232)); #491763=CARTESIAN_POINT('Ctrl Pts',(21.8552123071478,-22.390654925606,-38.9741432605217)); #491764=CARTESIAN_POINT('Ctrl Pts',(22.5541847757175,-21.6967248283235, -38.9354439420206)); #491765=CARTESIAN_POINT('Ctrl Pts',(23.3246876167549,-20.8538961064506, -39.4891623496603)); #491766=CARTESIAN_POINT('Ctrl Pts',(23.7216225570927,-20.3971940293728, -40.1016014790624)); #491767=CARTESIAN_POINT('Ctrl Pts',(24.0452229330893,-20.0156768340313, -40.8732308271003)); #491768=CARTESIAN_POINT('Ctrl Pts',(24.1394579334457,-19.8995527126661, -41.5690758501199)); #491769=CARTESIAN_POINT('Ctrl Pts',(24.1394579334457,-19.8995527126661, -41.9999999999963)); #491770=CARTESIAN_POINT('',(19.8995527126664,-24.1394579334455,-71.9999999999963)); #491771=CARTESIAN_POINT('',(19.8995527126664,-24.1394579334455,-36.9999999999963)); #491772=CARTESIAN_POINT('Ctrl Pts',(22.1216980949017,-22.1217162342411, -75.0074299984999)); #491773=CARTESIAN_POINT('Ctrl Pts',(21.8894923968976,-22.3537213670108, -75.0065238246468)); #491774=CARTESIAN_POINT('Ctrl Pts',(21.5749923983683,-22.6580455219863, -74.9289665185812)); #491775=CARTESIAN_POINT('Ctrl Pts',(21.1819583271964,-23.0233231193431, -74.7121664053647)); #491776=CARTESIAN_POINT('Ctrl Pts',(20.9992865413556,-23.1895825520771, -74.5733735141514)); #491777=CARTESIAN_POINT('Ctrl Pts',(20.8591644466988,-23.3154518273159, -74.4472784471922)); #491778=CARTESIAN_POINT('Ctrl Pts',(20.5284184201878,-23.6099684872427, -74.1118346786908)); #491779=CARTESIAN_POINT('Ctrl Pts',(20.0602324439737,-24.0083875350661, -73.3605896251515)); #491780=CARTESIAN_POINT('Ctrl Pts',(19.8995527126661,-24.1394579334457, -72.4309241498725)); #491781=CARTESIAN_POINT('Ctrl Pts',(19.8995527126661,-24.1394579334457, -71.9999999999963)); #491782=CARTESIAN_POINT('',(11.9723361402976,-28.9037780556957,-75.0011078073248)); #491783=CARTESIAN_POINT('Origin',(0.,0.,-74.9999999999963)); #491784=CARTESIAN_POINT('',(14.6862507903832,-27.6238561032441,-71.9999999999963)); #491785=CARTESIAN_POINT('Ctrl Pts',(14.686205161345,-27.6239816090512,-71.9999999999963)); #491786=CARTESIAN_POINT('Ctrl Pts',(14.686205161345,-27.6239816090512,-72.4307646624638)); #491787=CARTESIAN_POINT('Ctrl Pts',(14.5678991832844,-27.6880324413873, -73.0642497215452)); #491788=CARTESIAN_POINT('Ctrl Pts',(14.1439401381118,-27.9065620456728, -73.8548478483799)); #491789=CARTESIAN_POINT('Ctrl Pts',(13.8120073552189,-28.0727522695124, -74.2461001293332)); #491790=CARTESIAN_POINT('Ctrl Pts',(13.4411204269086,-28.2514052639979, -74.5413116789635)); #491791=CARTESIAN_POINT('Ctrl Pts',(13.1922518132039,-28.3683910331549, -74.693561471762)); #491792=CARTESIAN_POINT('Ctrl Pts',(12.9068373782935,-28.4995984424761, -74.8298088407921)); #491793=CARTESIAN_POINT('Ctrl Pts',(12.5033947926724,-28.6799615191901, -74.9608851779668)); #491794=CARTESIAN_POINT('Ctrl Pts',(12.1751556690863,-28.820016104754,-75.0012972448862)); #491795=CARTESIAN_POINT('Ctrl Pts',(11.9724232716191,-28.9039883276827, -75.0011789181612)); #491796=CARTESIAN_POINT('',(14.6867219760143,-27.6225600747655,-41.9999999999963)); #491797=CARTESIAN_POINT('',(14.6867219760143,-27.6225600747655,-36.9999999999963)); #491798=CARTESIAN_POINT('',(9.147018839958,-29.9171802452408,-41.9999999999963)); #491799=CARTESIAN_POINT('Ctrl Pts',(9.147018839958,-29.9171802452408,-41.9999999999963)); #491800=CARTESIAN_POINT('Ctrl Pts',(9.147018839958,-29.9171802452408,-41.5690758501202)); #491801=CARTESIAN_POINT('Ctrl Pts',(9.34509069029159,-29.857991368968,-40.6374808803018)); #491802=CARTESIAN_POINT('Ctrl Pts',(9.96169922348201,-29.659066720871,-39.8421878501545)); #491803=CARTESIAN_POINT('Ctrl Pts',(10.4762157279659,-29.4788906975085, -39.4527753928037)); #491804=CARTESIAN_POINT('Ctrl Pts',(10.850731364131,-29.3441096966083,-39.2296659419547)); #491805=CARTESIAN_POINT('Ctrl Pts',(11.5715096028268,-29.0719653272965, -38.9668391675895)); #491806=CARTESIAN_POINT('Ctrl Pts',(12.3737997132818,-28.739814969874,-38.9670336489815)); #491807=CARTESIAN_POINT('Ctrl Pts',(13.1773807964208,-28.3764129897822, -39.2694161629863)); #491808=CARTESIAN_POINT('Ctrl Pts',(13.8650793319928,-28.0482928019756, -39.7563187278504)); #491809=CARTESIAN_POINT('Ctrl Pts',(14.5029346986053,-27.7217147443555, -40.6363198392495)); #491810=CARTESIAN_POINT('Ctrl Pts',(14.6867219760146,-27.6225600747654, -41.56907585012)); #491811=CARTESIAN_POINT('Ctrl Pts',(14.6867219760146,-27.6225600747654, -41.9999999999963)); #491812=CARTESIAN_POINT('',(9.14701883995828,-29.9171802452407,-71.9999999999963)); #491813=CARTESIAN_POINT('',(9.14701883995828,-29.9171802452407,-36.9999999999963)); #491814=CARTESIAN_POINT('Ctrl Pts',(11.972031001139,-28.9030410017092,-75.0022051963429)); #491815=CARTESIAN_POINT('Ctrl Pts',(11.7697649085758,-28.9868200792198, -75.0020871347178)); #491816=CARTESIAN_POINT('Ctrl Pts',(11.3636975843852,-29.1499888678347, -74.9520652223583)); #491817=CARTESIAN_POINT('Ctrl Pts',(10.8761132494742,-29.334072150709,-74.7746044891835)); #491818=CARTESIAN_POINT('Ctrl Pts',(10.4969442148276,-29.4711711874077, -74.5570595047342)); #491819=CARTESIAN_POINT('Ctrl Pts',(9.97756832157587,-29.653662899491,-74.1760474749365)); #491820=CARTESIAN_POINT('Ctrl Pts',(9.35237618740629,-29.8553721966302, -73.3668715804902)); #491821=CARTESIAN_POINT('Ctrl Pts',(9.147018839958,-29.9171802452408,-72.4309241498726)); #491822=CARTESIAN_POINT('Ctrl Pts',(9.147018839958,-29.9171802452408,-71.9999999999963)); #491823=CARTESIAN_POINT('',(-7.48954029820948E-7,-31.2852598266555,-75.0011503941004)); #491824=CARTESIAN_POINT('Origin',(0.,0.,-74.9999999999963)); #491825=CARTESIAN_POINT('',(2.99715771401115,-31.141274712657,-71.9999999999963)); #491826=CARTESIAN_POINT('Ctrl Pts',(2.99709603563396,-31.1413420716323, -71.9999999999963)); #491827=CARTESIAN_POINT('Ctrl Pts',(2.99709252258874,-31.1413426732172, -72.4523120449209)); #491828=CARTESIAN_POINT('Ctrl Pts',(2.76278211853074,-31.165037485144,-73.4341426880231)); #491829=CARTESIAN_POINT('Ctrl Pts',(2.06389804819687,-31.2204313279972, -74.2382556979072)); #491830=CARTESIAN_POINT('Ctrl Pts',(1.47064709498761,-31.2512098179533, -74.6212946956312)); #491831=CARTESIAN_POINT('Ctrl Pts',(1.03885159113041,-31.2696185025767, -74.832848630941)); #491832=CARTESIAN_POINT('Ctrl Pts',(0.531092766984454,-31.2826804073423, -74.9717347682747)); #491833=CARTESIAN_POINT('Ctrl Pts',(0.152114833151039,-31.285527845053, -75.0013418607685)); #491834=CARTESIAN_POINT('Ctrl Pts',(-7.63221731509001E-7,-31.285524171768, -75.0012528685247)); #491835=CARTESIAN_POINT('',(2.99806573320116,-31.1402830646123,-41.9999999999963)); #491836=CARTESIAN_POINT('',(2.99806573320116,-31.1402830646123,-36.9999999999963)); #491837=CARTESIAN_POINT('',(-2.99806573320146,-31.1402830646122,-41.9999999999963)); #491838=CARTESIAN_POINT('Ctrl Pts',(-2.99806573320146,-31.1402830646122, -41.9999999999963)); #491839=CARTESIAN_POINT('Ctrl Pts',(-2.99806573320146,-31.1402830646122, -41.7360589842779)); #491840=CARTESIAN_POINT('Ctrl Pts',(-2.92858776845565,-31.1471705220443, -41.1858727764707)); #491841=CARTESIAN_POINT('Ctrl Pts',(-2.57760565676926,-31.1788969923135, -40.3957724660351)); #491842=CARTESIAN_POINT('Ctrl Pts',(-2.06330010987658,-31.2179117184915, -39.7757648494925)); #491843=CARTESIAN_POINT('Ctrl Pts',(-1.39253535153344,-31.2564145094957, -39.2979614628205)); #491844=CARTESIAN_POINT('Ctrl Pts',(-0.573406631273976,-31.2824397414799, -39.0188632334263)); #491845=CARTESIAN_POINT('Ctrl Pts',(0.222152805901888,-31.2868024633822, -38.9755165413878)); #491846=CARTESIAN_POINT('Ctrl Pts',(1.04548836146981,-31.2701995311934, -39.1458419291159)); #491847=CARTESIAN_POINT('Ctrl Pts',(1.7983103010186,-31.2347082085966,-39.5562171237088)); #491848=CARTESIAN_POINT('Ctrl Pts',(2.36967695701765,-31.1955823570898, -40.1060278321422)); #491849=CARTESIAN_POINT('Ctrl Pts',(2.66184706728322,-31.1709560841209, -40.5924600370047)); #491850=CARTESIAN_POINT('Ctrl Pts',(2.92265065521713,-31.1477521191636, -41.2207322699154)); #491851=CARTESIAN_POINT('Ctrl Pts',(2.99806573320146,-31.1402830646122, -41.6229411080467)); #491852=CARTESIAN_POINT('Ctrl Pts',(2.99806573320146,-31.1402830646122, -41.9999999999963)); #491853=CARTESIAN_POINT('',(-2.99806573320117,-31.1402830646123,-71.9999999999963)); #491854=CARTESIAN_POINT('',(-2.99806573320117,-31.1402830646123,-36.9999999999963)); #491855=CARTESIAN_POINT('Ctrl Pts',(-9.37449112364819E-8,-31.2845507225195, -75.0022263565286)); #491856=CARTESIAN_POINT('Ctrl Pts',(-0.076631410698121,-31.2845488741935, -75.0021815275536)); #491857=CARTESIAN_POINT('Ctrl Pts',(-0.382807062971479,-31.283387425063, -74.9899551995194)); #491858=CARTESIAN_POINT('Ctrl Pts',(-1.16826922549472,-31.2690320522606, -74.8414946520618)); #491859=CARTESIAN_POINT('Ctrl Pts',(-1.80229847400781,-31.2342166283658, -74.4425644606306)); #491860=CARTESIAN_POINT('Ctrl Pts',(-2.44163805525231,-31.1906466586535, -73.8035267244078)); #491861=CARTESIAN_POINT('Ctrl Pts',(-2.88211630030153,-31.1520163545224, -73.0980535889786)); #491862=CARTESIAN_POINT('Ctrl Pts',(-2.99806573320147,-31.1402830646122, -72.301647113556)); #491863=CARTESIAN_POINT('Ctrl Pts',(-2.99806573320147,-31.1402830646122, -71.9999999999963)); #491864=CARTESIAN_POINT('',(-11.9723373852658,-28.9037775164287,-75.0011077808174)); #491865=CARTESIAN_POINT('Origin',(0.,0.,-74.9999999999963)); #491866=CARTESIAN_POINT('',(-9.14826842130377,-29.9177634842662,-71.9999999999963)); #491867=CARTESIAN_POINT('Ctrl Pts',(-9.14838942889488,-29.9178199642623, -71.9999999999963)); #491868=CARTESIAN_POINT('Ctrl Pts',(-9.14838942889488,-29.9178199642623, -72.4307646660423)); #491869=CARTESIAN_POINT('Ctrl Pts',(-9.27733519751794,-29.8794557651013, -73.0642496900243)); #491870=CARTESIAN_POINT('Ctrl Pts',(-9.73164328042974,-29.7341952142114, -73.8548478221407)); #491871=CARTESIAN_POINT('Ctrl Pts',(-10.0838694480106,-29.6169975215045, -74.2461000984188)); #491872=CARTESIAN_POINT('Ctrl Pts',(-10.4724528581057,-29.4810676018427, -74.5413116489597)); #491873=CARTESIAN_POINT('Ctrl Pts',(-10.7311509766575,-29.3878123467876, -74.6935614420046)); #491874=CARTESIAN_POINT('Ctrl Pts',(-11.0257471107734,-29.2787715122389, -74.8298088117275)); #491875=CARTESIAN_POINT('Ctrl Pts',(-11.438560041088,-29.1210304834033, -74.960885143262)); #491876=CARTESIAN_POINT('Ctrl Pts',(-11.7696936879925,-28.9879639248418, -75.0012972116773)); #491877=CARTESIAN_POINT('Ctrl Pts',(-11.9724244561992,-28.903987805884, -75.0011788894534)); #491878=CARTESIAN_POINT('',(-9.14701883995829,-29.9171802452407,-41.9999999999963)); #491879=CARTESIAN_POINT('',(-9.14701883995829,-29.9171802452407,-36.9999999999963)); #491880=CARTESIAN_POINT('',(-14.6867219760146,-27.6225600747654,-41.9999999999963)); #491881=CARTESIAN_POINT('Ctrl Pts',(-14.6867219760146,-27.6225600747654, -41.9999999999963)); #491882=CARTESIAN_POINT('Ctrl Pts',(-14.6867219760146,-27.6225600747654, -41.547529329657)); #491883=CARTESIAN_POINT('Ctrl Pts',(-14.5210155639918,-27.7119620843964, -40.7364445803899)); #491884=CARTESIAN_POINT('Ctrl Pts',(-14.0930223381363,-27.9311203744388, -40.0921298625103)); #491885=CARTESIAN_POINT('Ctrl Pts',(-13.663011530324,-28.1442994916658, -39.6132422836674)); #491886=CARTESIAN_POINT('Ctrl Pts',(-13.2100152480992,-28.3630723573878, -39.2673253265008)); #491887=CARTESIAN_POINT('Ctrl Pts',(-12.3249436035972,-28.7587407405967, -38.9812141872541)); #491888=CARTESIAN_POINT('Ctrl Pts',(-11.6189736515867,-29.0510882715529, -38.9819979906857)); #491889=CARTESIAN_POINT('Ctrl Pts',(-10.8951480100714,-29.3277713043785, -39.2087940035945)); #491890=CARTESIAN_POINT('Ctrl Pts',(-10.3936203574158,-29.5086761601905, -39.4991713473251)); #491891=CARTESIAN_POINT('Ctrl Pts',(-9.83267663915978,-29.7013359550114, -39.9869093965966)); #491892=CARTESIAN_POINT('Ctrl Pts',(-9.51427787891562,-29.8028650899861, -40.4801217080465)); #491893=CARTESIAN_POINT('Ctrl Pts',(-9.21893185227745,-29.8954860529514, -41.2208364107197)); #491894=CARTESIAN_POINT('Ctrl Pts',(-9.14701883995801,-29.9171802452408, -41.6229411080466)); #491895=CARTESIAN_POINT('Ctrl Pts',(-9.14701883995801,-29.9171802452408, -41.9999999999963)); #491896=CARTESIAN_POINT('',(-14.6867219760143,-27.6225600747655,-71.9999999999963)); #491897=CARTESIAN_POINT('',(-14.6867219760143,-27.6225600747655,-36.9999999999963)); #491898=CARTESIAN_POINT('Ctrl Pts',(-11.972031981505,-28.9030405893962, -75.0022051445892)); #491899=CARTESIAN_POINT('Ctrl Pts',(-12.1742964816719,-28.8192576093804, -75.0020870874352)); #491900=CARTESIAN_POINT('Ctrl Pts',(-12.576807220522,-28.6475023981757, -74.9520651780042)); #491901=CARTESIAN_POINT('Ctrl Pts',(-13.0517479672711,-28.4328947375761, -74.7746044406032)); #491902=CARTESIAN_POINT('Ctrl Pts',(-13.4168046229605,-28.2617253997355, -74.55705945512)); #491903=CARTESIAN_POINT('Ctrl Pts',(-13.9130999565166,-28.0235123157554, -74.1760474337449)); #491904=CARTESIAN_POINT('Ctrl Pts',(-14.4978075683767,-27.7240647286098, -73.3668715391281)); #491905=CARTESIAN_POINT('Ctrl Pts',(-14.6867219760146,-27.6225600747654, -72.4309241498728)); #491906=CARTESIAN_POINT('Ctrl Pts',(-14.6867219760146,-27.6225600747654, -71.9999999999963)); #491907=CARTESIAN_POINT('',(-22.1213674832528,-22.1213660318834,-74.9993611179952)); #491908=CARTESIAN_POINT('Origin',(0.,0.,-74.9999999999963)); #491909=CARTESIAN_POINT('',(-19.8995527126664,-24.1394579334455,-71.9999999999963)); #491910=CARTESIAN_POINT('Ctrl Pts',(-19.8995527126661,-24.1394579334457, -71.9999999999963)); #491911=CARTESIAN_POINT('Ctrl Pts',(-19.8995527126661,-24.1394579334457, -72.4524706703356)); #491912=CARTESIAN_POINT('Ctrl Pts',(-20.0453003326879,-24.0208198135518, -73.2634783330519)); #491913=CARTESIAN_POINT('Ctrl Pts',(-20.4116333442329,-23.7092063860038, -73.9078146505828)); #491914=CARTESIAN_POINT('Ctrl Pts',(-20.77233852811,-23.3942335435734,-74.3865954509755)); #491915=CARTESIAN_POINT('Ctrl Pts',(-21.2788613102497,-22.9425333171766, -74.8482439277093)); #491916=CARTESIAN_POINT('Ctrl Pts',(-21.8521688390028,-22.3905311532645, -74.9982781802373)); #491917=CARTESIAN_POINT('Ctrl Pts',(-22.1214017261939,-22.1213992205292, -74.9988970250553)); #491918=CARTESIAN_POINT('',(-19.8995527126664,-24.1394579334455,-41.9999999999963)); #491919=CARTESIAN_POINT('',(-19.8995527126664,-24.1394579334455,-36.9999999999963)); #491920=CARTESIAN_POINT('',(-24.1394579334457,-19.8995527126661,-41.9999999999963)); #491921=CARTESIAN_POINT('Ctrl Pts',(-24.1394579334457,-19.8995527126661, -41.9999999999963)); #491922=CARTESIAN_POINT('Ctrl Pts',(-24.1394579334457,-19.8995527126661, -41.5690758501201)); #491923=CARTESIAN_POINT('Ctrl Pts',(-24.0089759658229,-20.0598931669429, -40.6375026347906)); #491924=CARTESIAN_POINT('Ctrl Pts',(-23.5892295179883,-20.5534372905346, -39.8422170354144)); #491925=CARTESIAN_POINT('Ctrl Pts',(-23.2258722226968,-20.959836867679, -39.4528098709403)); #491926=CARTESIAN_POINT('Ctrl Pts',(-22.9580295846708,-21.2542660109815, -39.2297006314943)); #491927=CARTESIAN_POINT('Ctrl Pts',(-22.4307702384248,-21.8160336284528, -38.9668764488181)); #491928=CARTESIAN_POINT('Ctrl Pts',(-21.8168744561992,-22.4301507300282, -38.9670671324771)); #491929=CARTESIAN_POINT('Ctrl Pts',(-21.1736151944426,-23.0334974971867, -39.2694482087157)); #491930=CARTESIAN_POINT('Ctrl Pts',(-20.6073022330342,-23.5432813856066, -39.7563448117172)); #491931=CARTESIAN_POINT('Ctrl Pts',(-20.0614889831626,-24.0076056938498, -40.6363373631844)); #491932=CARTESIAN_POINT('Ctrl Pts',(-19.8995527126661,-24.1394579334457, -41.5690758501199)); #491933=CARTESIAN_POINT('Ctrl Pts',(-19.8995527126661,-24.1394579334457, -41.9999999999963)); #491934=CARTESIAN_POINT('',(-24.1394579334455,-19.8995527126664,-71.9999999999963)); #491935=CARTESIAN_POINT('',(-24.1394579334455,-19.8995527126664,-36.9999999999963)); #491936=CARTESIAN_POINT('Ctrl Pts',(-22.1214017261939,-22.1213992205292, -74.9988970250553)); #491937=CARTESIAN_POINT('Ctrl Pts',(-22.1219769805769,-22.1208241818498, -74.9988983473053)); #491938=CARTESIAN_POINT('Ctrl Pts',(-22.1899950305459,-22.0528259497305, -74.9990145893555)); #491939=CARTESIAN_POINT('Ctrl Pts',(-22.3232706928683,-21.9182490074298, -74.9897978136556)); #491940=CARTESIAN_POINT('Ctrl Pts',(-22.596468400838,-21.6375163622598, -74.9334175966403)); #491941=CARTESIAN_POINT('Ctrl Pts',(-22.9247865002878,-21.2902413562109, -74.7906299068021)); #491942=CARTESIAN_POINT('Ctrl Pts',(-23.2856906236162,-20.8942938477844, -74.5007241571714)); #491943=CARTESIAN_POINT('Ctrl Pts',(-23.6773604210794,-20.450753109907, -74.0127482192666)); #491944=CARTESIAN_POINT('Ctrl Pts',(-23.8934988281677,-20.194930211954, -73.5198264462212)); #491945=CARTESIAN_POINT('Ctrl Pts',(-24.0919254590119,-19.9576676970725, -72.7791320436606)); #491946=CARTESIAN_POINT('Ctrl Pts',(-24.1394579334457,-19.8995527126661, -72.3770588919459)); #491947=CARTESIAN_POINT('Ctrl Pts',(-24.1394579334457,-19.8995527126661, -71.9999999999963)); #491948=CARTESIAN_POINT('',(-28.9056876427476,-11.9731422064703,-75.0037640361259)); #491949=CARTESIAN_POINT('Origin',(0.,0.,-74.9999999999963)); #491950=CARTESIAN_POINT('',(-27.6267697686364,-14.6851914947813,-71.9999999999963)); #491951=CARTESIAN_POINT('Ctrl Pts',(-27.6272840496319,-14.6850045221804, -71.9999999999963)); #491952=CARTESIAN_POINT('Ctrl Pts',(-27.6272840496319,-14.6850045221804, -72.43039414893)); #491953=CARTESIAN_POINT('Ctrl Pts',(-27.7254535908389,-14.5025648089959, -73.3586637387456)); #491954=CARTESIAN_POINT('Ctrl Pts',(-28.0050304506944,-13.956226226018, -74.1090781327376)); #491955=CARTESIAN_POINT('Ctrl Pts',(-28.197810561201,-13.5576291329744, -74.4440171415241)); #491956=CARTESIAN_POINT('Ctrl Pts',(-28.2787284211146,-13.388531933187, -74.5701713675779)); #491957=CARTESIAN_POINT('Ctrl Pts',(-28.3636669356325,-13.2077698828162, -74.6804213377269)); #491958=CARTESIAN_POINT('Ctrl Pts',(-28.4506072440936,-13.0192130114254, -74.7740719673847)); #491959=CARTESIAN_POINT('Ctrl Pts',(-28.6288256947558,-12.6271661255615, -74.9351513594292)); #491960=CARTESIAN_POINT('Ctrl Pts',(-28.780937442966,-12.2763417431239, -75.0029985726969)); #491961=CARTESIAN_POINT('Ctrl Pts',(-28.9065258225067,-11.9734886749588, -75.0039202641104)); #491962=CARTESIAN_POINT('',(-27.6225600747655,-14.6867219760143,-41.9999999999963)); #491963=CARTESIAN_POINT('',(-27.6225600747655,-14.6867219760143,-36.9999999999963)); #491964=CARTESIAN_POINT('',(-29.9171802452408,-9.14701883995801,-41.9999999999963)); #491965=CARTESIAN_POINT('Ctrl Pts',(-29.9171802452408,-9.14701883995801, -41.9999999999963)); #491966=CARTESIAN_POINT('Ctrl Pts',(-29.9171802452408,-9.14701883995801, -41.5690758501202)); #491967=CARTESIAN_POINT('Ctrl Pts',(-29.857282864367,-9.34644173770401, -40.6391955192432)); #491968=CARTESIAN_POINT('Ctrl Pts',(-29.6576668570779,-9.96459727114246, -39.8447083163922)); #491969=CARTESIAN_POINT('Ctrl Pts',(-29.4778105690957,-10.478244551835, -39.4555200338372)); #491970=CARTESIAN_POINT('Ctrl Pts',(-29.3756791159214,-10.761641650503, -39.288742044106)); #491971=CARTESIAN_POINT('Ctrl Pts',(-29.2840648855022,-11.008180395497, -39.1792165502929)); #491972=CARTESIAN_POINT('Ctrl Pts',(-29.0498837667096,-11.6230851339083, -38.9741221557829)); #491973=CARTESIAN_POINT('Ctrl Pts',(-28.6762679930703,-12.5343893460808, -38.9354270250768)); #491974=CARTESIAN_POINT('Ctrl Pts',(-28.1924569611177,-13.5687703542076, -39.4891509239688)); #491975=CARTESIAN_POINT('Ctrl Pts',(-27.9224203402056,-14.1102607281457, -40.1015910866813)); #491976=CARTESIAN_POINT('Ctrl Pts',(-27.6937813604812,-14.5552273774095, -40.8732218782907)); #491977=CARTESIAN_POINT('Ctrl Pts',(-27.6225600747654,-14.6867219760146, -41.5690758501199)); #491978=CARTESIAN_POINT('Ctrl Pts',(-27.6225600747654,-14.6867219760146, -41.9999999999963)); #491979=CARTESIAN_POINT('',(-29.9171802452407,-9.14701883995829,-71.9999999999963)); #491980=CARTESIAN_POINT('',(-29.9171802452407,-9.14701883995829,-36.9999999999963)); #491981=CARTESIAN_POINT('Ctrl Pts',(-28.9033785733041,-11.9721852625096, -75.0073369863868)); #491982=CARTESIAN_POINT('Ctrl Pts',(-28.9878157720408,-11.7685673978097, -75.007956476233)); #491983=CARTESIAN_POINT('Ctrl Pts',(-29.2339732751442,-11.156444594592, -74.9325449319486)); #491984=CARTESIAN_POINT('Ctrl Pts',(-29.529439279221,-10.3410163771892, -74.5110482382563)); #491985=CARTESIAN_POINT('Ctrl Pts',(-29.7218382507062,-9.76613821702304, -73.8983383920772)); #491986=CARTESIAN_POINT('Ctrl Pts',(-29.8745293785277,-9.29045891600863, -73.1268622816152)); #491987=CARTESIAN_POINT('Ctrl Pts',(-29.9171802452408,-9.14701883995801, -72.4309241498725)); #491988=CARTESIAN_POINT('Ctrl Pts',(-29.9171802452408,-9.14701883995801, -71.9999999999963)); #491989=CARTESIAN_POINT('',(-31.2873365235938,-1.11528260018638E-5,-75.0038159980451)); #491990=CARTESIAN_POINT('Origin',(0.,0.,-74.9999999999963)); #491991=CARTESIAN_POINT('',(-31.1436216218808,-2.99500872715621,-71.9999999999963)); #491992=CARTESIAN_POINT('Ctrl Pts',(-31.1440280802081,-2.99463654676104, -71.9999999999963)); #491993=CARTESIAN_POINT('Ctrl Pts',(-31.1440280802081,-2.99463654676103, -72.4303887343167)); #491994=CARTESIAN_POINT('Ctrl Pts',(-31.1649139351793,-2.78842638391696, -73.3586964951667)); #491995=CARTESIAN_POINT('Ctrl Pts',(-31.2141355127926,-2.17666451610661, -74.1091258588337)); #491996=CARTESIAN_POINT('Ctrl Pts',(-31.2397051294789,-1.73462514758608, -74.4440714886171)); #491997=CARTESIAN_POINT('Ctrl Pts',(-31.2497525306758,-1.54743671482052, -74.5702239506547)); #491998=CARTESIAN_POINT('Ctrl Pts',(-31.2590509632764,-1.34792819930171, -74.6804758587343)); #491999=CARTESIAN_POINT('Ctrl Pts',(-31.2672155602375,-1.14045675965085, -74.7741253360512)); #492000=CARTESIAN_POINT('Ctrl Pts',(-31.2818366702998,-0.710091021863311, -74.9351909075732)); #492001=CARTESIAN_POINT('Ctrl Pts',(-31.2881144525447,-0.327817341141607, -75.0030339433033)); #492002=CARTESIAN_POINT('Ctrl Pts',(-31.2882468597355,-1.28460230582328E-5, -75.0039635584985)); #492003=CARTESIAN_POINT('',(-31.1402830646123,-2.99806573320117,-41.9999999999963)); #492004=CARTESIAN_POINT('',(-31.1402830646123,-2.99806573320117,-36.9999999999963)); #492005=CARTESIAN_POINT('',(-31.2876903416576,-2.46737517376174E-6,-38.995894832684)); #492006=CARTESIAN_POINT('Ctrl Pts',(-31.2849683578699,-2.79686311065175E-6, -38.9919580530485)); #492007=CARTESIAN_POINT('Ctrl Pts',(-31.2849770661521,-0.217966283689554, -38.9921104637043)); #492008=CARTESIAN_POINT('Ctrl Pts',(-31.2803234348489,-0.654163385970998, -39.04259380285)); #492009=CARTESIAN_POINT('Ctrl Pts',(-31.2591612938052,-1.31511340917225, -39.2693310436615)); #492010=CARTESIAN_POINT('Ctrl Pts',(-31.2191870173496,-2.07603375893279, -39.7562494035257)); #492011=CARTESIAN_POINT('Ctrl Pts',(-31.1615630175515,-2.79031753799107, -40.6362730629213)); #492012=CARTESIAN_POINT('Ctrl Pts',(-31.1402830646122,-2.99806573320146, -41.5690758501199)); #492013=CARTESIAN_POINT('Ctrl Pts',(-31.1402830646122,-2.99806573320146, -41.9999999999963)); #492014=CARTESIAN_POINT('',(-31.2842712474619,3.83121826468618E-15,-36.9999999999963)); #492015=CARTESIAN_POINT('',(-31.2842712474619,3.83121826468618E-15,-36.9999999999963)); #492016=CARTESIAN_POINT('',(29.3559558837001,10.8135785769108,-36.9999999999963)); #492017=CARTESIAN_POINT('Origin',(0.,0.,-36.9999999999963)); #492018=CARTESIAN_POINT('',(29.3559558837001,10.8135785769108,0.)); #492019=CARTESIAN_POINT('',(28.0079544673593,13.9377226991751,-36.9999999999963)); #492020=CARTESIAN_POINT('',(28.0079544673593,13.9377226991751,0.)); #492021=CARTESIAN_POINT('Origin',(0.,0.,-36.9999999999963)); #492022=CARTESIAN_POINT('',(-31.1438938691541,2.99475943936709,-41.9999999999963)); #492023=CARTESIAN_POINT('Ctrl Pts',(-31.1442812356487,2.99440474072924, -41.9999999999963)); #492024=CARTESIAN_POINT('Ctrl Pts',(-31.1442812356487,2.99440474072924, -41.5696474586787)); #492025=CARTESIAN_POINT('Ctrl Pts',(-31.1653532321995,2.78905020008778, -40.6392268335185)); #492026=CARTESIAN_POINT('Ctrl Pts',(-31.2174200228816,2.1440977578286,-39.8448661021419)); #492027=CARTESIAN_POINT('Ctrl Pts',(-31.2477900385557,1.60045636831278, -39.4558769257991)); #492028=CARTESIAN_POINT('Ctrl Pts',(-31.2665482509114,1.20334610528623, -39.2330112592962)); #492029=CARTESIAN_POINT('Ctrl Pts',(-31.2839451593641,0.65378907159404, -39.0454169939061)); #492030=CARTESIAN_POINT('Ctrl Pts',(-31.2886331810583,0.218017784145582, -38.9954911240244)); #492031=CARTESIAN_POINT('Ctrl Pts',(-31.2886418843773,-2.87034295173849E-6, -38.995643501703)); #492032=CARTESIAN_POINT('',(-31.1402830646123,2.99806573320117,-71.9999999999963)); #492033=CARTESIAN_POINT('',(-31.1402830646123,2.99806573320117,-36.9999999999963)); #492034=CARTESIAN_POINT('Ctrl Pts',(-31.2848056047613,-1.30308399813039E-5, -75.007415278241)); #492035=CARTESIAN_POINT('Ctrl Pts',(-31.2848947364537,0.220445700026768, -75.0080402773068)); #492036=CARTESIAN_POINT('Ctrl Pts',(-31.2780651292712,0.880178961827826, -74.9326257712055)); #492037=CARTESIAN_POINT('Ctrl Pts',(-31.238986035687,1.74661952710948,-74.5110942848117)); #492038=CARTESIAN_POINT('Ctrl Pts',(-31.1967424677015,2.35135695499332, -73.8983798596783)); #492039=CARTESIAN_POINT('Ctrl Pts',(-31.1557755278929,2.84925304205419, -73.1268979677136)); #492040=CARTESIAN_POINT('Ctrl Pts',(-31.1402830646122,2.99806573320146, -72.4309241498726)); #492041=CARTESIAN_POINT('Ctrl Pts',(-31.1402830646122,2.99806573320146, -71.9999999999963)); #492042=CARTESIAN_POINT('',(-28.9056977933527,11.9731177007409,-75.0037640361257)); #492043=CARTESIAN_POINT('Origin',(0.,0.,-74.9999999999963)); #492044=CARTESIAN_POINT('',(-29.919074734665,9.15107775669919,-71.9999999999963)); #492045=CARTESIAN_POINT('Ctrl Pts',(-29.9193061766504,9.15157361787258, -71.9999999999963)); #492046=CARTESIAN_POINT('Ctrl Pts',(-29.9193061766504,9.15157361787258, -72.43039414893)); #492047=CARTESIAN_POINT('Ctrl Pts',(-29.8597181665932,9.34999432451654, -73.3586637387453)); #492048=CARTESIAN_POINT('Ctrl Pts',(-29.6710891432123,9.9340047348307,-74.1090781327372)); #492049=CARTESIAN_POINT('Ctrl Pts',(-29.5255545591771,10.3521715657002, -74.4440171415236)); #492050=CARTESIAN_POINT('Ctrl Pts',(-29.4632023499917,10.5289589098136, -74.5701713675774)); #492051=CARTESIAN_POINT('Ctrl Pts',(-29.3954448779928,10.7168375810115, -74.6804213377264)); #492052=CARTESIAN_POINT('Ctrl Pts',(-29.3235911172643,10.9116435050826, -74.7740719673843)); #492053=CARTESIAN_POINT('Ctrl Pts',(-29.1723915807227,11.3148819916158, -74.9351513594287)); #492054=CARTESIAN_POINT('Ctrl Pts',(-29.0318805295531,11.6705115401006, -75.0029985726965)); #492055=CARTESIAN_POINT('Ctrl Pts',(-28.9065354661618,11.9734653931147, -75.00392026411)); #492056=CARTESIAN_POINT('',(-29.9171802452407,9.14701883995829,-41.9999999999963)); #492057=CARTESIAN_POINT('',(-29.9171802452407,9.14701883995829,-36.9999999999963)); #492058=CARTESIAN_POINT('',(-27.6225600747654,14.6867219760146,-41.9999999999963)); #492059=CARTESIAN_POINT('Ctrl Pts',(-27.6225600747654,14.6867219760146, -41.9999999999963)); #492060=CARTESIAN_POINT('Ctrl Pts',(-27.6225600747654,14.6867219760146, -41.736058984278)); #492061=CARTESIAN_POINT('Ctrl Pts',(-27.6553908705952,14.6254907300057, -41.1857528567283)); #492062=CARTESIAN_POINT('Ctrl Pts',(-27.8192580957365,14.3127307793358, -40.3958325223255)); #492063=CARTESIAN_POINT('Ctrl Pts',(-28.0515903023692,13.8539146773469, -39.7753531003213)); #492064=CARTESIAN_POINT('Ctrl Pts',(-28.3449328009233,13.2460746588531, -39.2984545127561)); #492065=CARTESIAN_POINT('Ctrl Pts',(-28.6240168962497,12.6295110229967, -39.0640015441456)); #492066=CARTESIAN_POINT('Ctrl Pts',(-28.8377444734766,12.129845843565,-38.9983337056123)); #492067=CARTESIAN_POINT('Ctrl Pts',(-29.0394955626173,11.6418234421397, -39.0029022987301)); #492068=CARTESIAN_POINT('Ctrl Pts',(-29.2907443705692,10.9986876156611, -39.1463668921175)); #492069=CARTESIAN_POINT('Ctrl Pts',(-29.5451769968046,10.2919255435661, -39.5558956300974)); #492070=CARTESIAN_POINT('Ctrl Pts',(-29.7280496180121,9.74807493586226, -40.1061603185466)); #492071=CARTESIAN_POINT('Ctrl Pts',(-29.8169021503561,9.46926603495186, -40.5924161908451)); #492072=CARTESIAN_POINT('Ctrl Pts',(-29.8952586729422,9.21945639747021, -41.220726348546)); #492073=CARTESIAN_POINT('Ctrl Pts',(-29.9171802452408,9.14701883995801, -41.6229411080466)); #492074=CARTESIAN_POINT('Ctrl Pts',(-29.9171802452408,9.14701883995801, -41.9999999999963)); #492075=CARTESIAN_POINT('',(-27.6225600747655,14.6867219760143,-71.9999999999963)); #492076=CARTESIAN_POINT('',(-27.6225600747655,14.6867219760143,-36.9999999999963)); #492077=CARTESIAN_POINT('Ctrl Pts',(-28.9033883731273,11.972161603643,-75.0073369863862)); #492078=CARTESIAN_POINT('Ctrl Pts',(-28.8191149160384,12.1758472923541, -75.0079564762325)); #492079=CARTESIAN_POINT('Ctrl Pts',(-28.5603383706487,12.7827431171127, -74.9325449319483)); #492080=CARTESIAN_POINT('Ctrl Pts',(-28.1926695636451,13.568263954302,-74.511048238256)); #492081=CARTESIAN_POINT('Ctrl Pts',(-27.9222159356661,14.1108108171419, -73.8983383920771)); #492082=CARTESIAN_POINT('Ctrl Pts',(-27.6938288081583,14.5551358084689, -73.126862281615)); #492083=CARTESIAN_POINT('Ctrl Pts',(-27.6225600747654,14.6867219760146, -72.4309241498727)); #492084=CARTESIAN_POINT('Ctrl Pts',(-27.6225600747654,14.6867219760146, -71.9999999999963)); #492085=CARTESIAN_POINT('',(-22.1219899788059,22.1219909248242,-75.0011020613204)); #492086=CARTESIAN_POINT('Origin',(0.,0.,-74.9999999999963)); #492087=CARTESIAN_POINT('',(-24.1395182915611,19.9009237745435,-71.9999999999964)); #492088=CARTESIAN_POINT('Ctrl Pts',(-24.1395241330152,19.9010564658136, -71.9999999999964)); #492089=CARTESIAN_POINT('Ctrl Pts',(-24.1395241330152,19.9010564658136, -72.4307654381779)); #492090=CARTESIAN_POINT('Ctrl Pts',(-24.0547287151379,20.0055102644702, -73.0642428931899)); #492091=CARTESIAN_POINT('Ctrl Pts',(-23.7466690852644,20.3696477881638, -73.8548421628656)); #492092=CARTESIAN_POINT('Ctrl Pts',(-23.5035994032527,20.6502146094374, -74.246093430909)); #492093=CARTESIAN_POINT('Ctrl Pts',(-23.2293114613649,20.9572014463548, -74.5413051775388)); #492094=CARTESIAN_POINT('Ctrl Pts',(-23.0441547959556,21.1605206896804, -74.6935550234563)); #492095=CARTESIAN_POINT('Ctrl Pts',(-22.8306766946037,21.3909643934423, -74.8298025426656)); #492096=CARTESIAN_POINT('Ctrl Pts',(-22.5269682688574,21.7119868434027, -74.9608776561052)); #492097=CARTESIAN_POINT('Ctrl Pts',(-22.2773131685295,21.9669903645935, -75.0012900466692)); #492098=CARTESIAN_POINT('Ctrl Pts',(-22.122149875716,22.1221507780456,-75.0011726953835)); #492099=CARTESIAN_POINT('',(-24.1394579334455,19.8995527126664,-41.9999999999963)); #492100=CARTESIAN_POINT('',(-24.1394579334455,19.8995527126664,-36.9999999999963)); #492101=CARTESIAN_POINT('',(-19.8995527126661,24.1394579334457,-41.9999999999963)); #492102=CARTESIAN_POINT('Ctrl Pts',(-19.8995527126661,24.1394579334457, -41.9999999999963)); #492103=CARTESIAN_POINT('Ctrl Pts',(-19.8995527126661,24.1394579334457, -41.7360589842779)); #492104=CARTESIAN_POINT('Ctrl Pts',(-19.9535544151766,24.0954326747895, -41.1824473297153)); #492105=CARTESIAN_POINT('Ctrl Pts',(-20.312554912525,23.7954185755906,-40.1397079531586)); #492106=CARTESIAN_POINT('Ctrl Pts',(-20.9184613963389,23.2721553102912, -39.3972456920706)); #492107=CARTESIAN_POINT('Ctrl Pts',(-21.7144275905722,22.5258395244503, -39.01690161209)); #492108=CARTESIAN_POINT('Ctrl Pts',(-22.2821627561317,21.9641336489667, -38.975686235047)); #492109=CARTESIAN_POINT('Ctrl Pts',(-22.8515013850369,21.3713093357276, -39.1458816896224)); #492110=CARTESIAN_POINT('Ctrl Pts',(-23.3591838291483,20.8133525948474, -39.5568160444541)); #492111=CARTESIAN_POINT('Ctrl Pts',(-23.7347863636448,20.3824694752052, -40.1058955504853)); #492112=CARTESIAN_POINT('Ctrl Pts',(-23.9239215154127,20.1584762538094, -40.5925828350955)); #492113=CARTESIAN_POINT('Ctrl Pts',(-24.0916464217086,19.9579334889547, -41.2207668389284)); #492114=CARTESIAN_POINT('Ctrl Pts',(-24.1394579334457,19.8995527126661, -41.6229411080466)); #492115=CARTESIAN_POINT('Ctrl Pts',(-24.1394579334457,19.8995527126661, -41.9999999999964)); #492116=CARTESIAN_POINT('',(-19.8995527126664,24.1394579334455,-71.9999999999963)); #492117=CARTESIAN_POINT('',(-19.8995527126664,24.1394579334455,-36.9999999999963)); #492118=CARTESIAN_POINT('Ctrl Pts',(-22.1214284637593,22.1214292052094, -75.0021939779744)); #492119=CARTESIAN_POINT('Ctrl Pts',(-21.9666164661726,22.2762383288669, -75.0020768853403)); #492120=CARTESIAN_POINT('Ctrl Pts',(-21.6538973064265,22.5823857687811, -74.952055607397)); #492121=CARTESIAN_POINT('Ctrl Pts',(-21.2738703948977,22.9390501903756, -74.7745939580788)); #492122=CARTESIAN_POINT('Ctrl Pts',(-20.9760291320343,23.2108151357103, -74.5570487486651)); #492123=CARTESIAN_POINT('Ctrl Pts',(-20.5660267380422,23.5781705158004, -74.1760385483983)); #492124=CARTESIAN_POINT('Ctrl Pts',(-20.0656148550759,24.0037766468976, -73.3668626203057)); #492125=CARTESIAN_POINT('Ctrl Pts',(-19.8995527126661,24.1394579334457, -72.4309241498724)); #492126=CARTESIAN_POINT('Ctrl Pts',(-19.8995527126661,24.1394579334457, -71.9999999999963)); #492127=CARTESIAN_POINT('',(-11.9723439283461,28.9037927697067,-75.0010577433346)); #492128=CARTESIAN_POINT('Origin',(0.,0.,-74.9999999999963)); #492129=CARTESIAN_POINT('',(-14.6862930788581,27.6237397858861,-71.9999999999963)); #492130=CARTESIAN_POINT('Ctrl Pts',(-14.6862786277554,27.6237795346376, -71.9999999999963)); #492131=CARTESIAN_POINT('Ctrl Pts',(-14.6862781137943,27.6237799389456, -72.3769391876177)); #492132=CARTESIAN_POINT('Ctrl Pts',(-14.5532312982699,27.6955406867876, -73.1812559695327)); #492133=CARTESIAN_POINT('Ctrl Pts',(-14.1424724169287,27.9076494733227, -73.8554664453259)); #492134=CARTESIAN_POINT('Ctrl Pts',(-13.6177854259269,28.168007816195,-74.441782519502)); #492135=CARTESIAN_POINT('Ctrl Pts',(-13.0450983118238,28.4429208010746, -74.8404032454941)); #492136=CARTESIAN_POINT('Ctrl Pts',(-12.3253676528639,28.7565483209355, -74.9888948444911)); #492137=CARTESIAN_POINT('Ctrl Pts',(-12.0431167921324,28.874714791157,-75.0011365392043)); #492138=CARTESIAN_POINT('Ctrl Pts',(-11.9724284869788,28.9039969779561, -75.0011899308587)); #492139=CARTESIAN_POINT('',(-14.6867219760143,27.6225600747655,-41.9999999999963)); #492140=CARTESIAN_POINT('',(-14.6867219760143,27.6225600747655,-36.9999999999963)); #492141=CARTESIAN_POINT('',(-9.14701883995801,29.9171802452408,-41.9999999999963)); #492142=CARTESIAN_POINT('Ctrl Pts',(-9.14701883995801,29.9171802452408, -41.9999999999963)); #492143=CARTESIAN_POINT('Ctrl Pts',(-9.14701883995801,29.9171802452408, -41.5690758501201)); #492144=CARTESIAN_POINT('Ctrl Pts',(-9.34644173770398,29.8572828643669, -40.6391955192431)); #492145=CARTESIAN_POINT('Ctrl Pts',(-9.96459727114241,29.6576668570778, -39.844708316392)); #492146=CARTESIAN_POINT('Ctrl Pts',(-10.4782445518349,29.4778105690956, -39.4555200338369)); #492147=CARTESIAN_POINT('Ctrl Pts',(-10.761641650503,29.3756791159212,-39.2887420441058)); #492148=CARTESIAN_POINT('Ctrl Pts',(-11.0081803954969,29.284064885502,-39.1792165502926)); #492149=CARTESIAN_POINT('Ctrl Pts',(-11.6230851339082,29.0498837667094, -38.9741221557827)); #492150=CARTESIAN_POINT('Ctrl Pts',(-12.5343893460808,28.6762679930702, -38.9354270250766)); #492151=CARTESIAN_POINT('Ctrl Pts',(-13.5687703542077,28.1924569611176, -39.4891509239687)); #492152=CARTESIAN_POINT('Ctrl Pts',(-14.1102607281456,27.9224203402056, -40.1015910866811)); #492153=CARTESIAN_POINT('Ctrl Pts',(-14.5552273774095,27.6937813604812, -40.8732218782906)); #492154=CARTESIAN_POINT('Ctrl Pts',(-14.6867219760146,27.6225600747654, -41.56907585012)); #492155=CARTESIAN_POINT('Ctrl Pts',(-14.6867219760146,27.6225600747654, -41.9999999999963)); #492156=CARTESIAN_POINT('',(-9.14701883995829,29.9171802452407,-71.9999999999963)); #492157=CARTESIAN_POINT('',(-9.14701883995829,29.9171802452407,-36.9999999999963)); #492158=CARTESIAN_POINT('Ctrl Pts',(-11.9720913781917,28.9031844767728, -75.0020696343907)); #492159=CARTESIAN_POINT('Ctrl Pts',(-11.8314266338307,28.9614539616508, -75.0021758688176)); #492160=CARTESIAN_POINT('Ctrl Pts',(-11.4800041898974,29.1039338038533, -74.9725699207521)); #492161=CARTESIAN_POINT('Ctrl Pts',(-11.0057081748602,29.2862368701494, -74.8336465466316)); #492162=CARTESIAN_POINT('Ctrl Pts',(-10.5996023049398,29.4345143561636, -74.6220296574692)); #492163=CARTESIAN_POINT('Ctrl Pts',(-10.0396132659159,29.633128256685,-74.2389167075667)); #492164=CARTESIAN_POINT('Ctrl Pts',(-9.37252013715523,29.8494500374074, -73.4345706895729)); #492165=CARTESIAN_POINT('Ctrl Pts',(-9.14701883995801,29.9171802452408, -72.4524702530431)); #492166=CARTESIAN_POINT('Ctrl Pts',(-9.14701883995801,29.9171802452408, -71.9999999999963)); #492167=CARTESIAN_POINT('',(7.48954026340524E-7,31.2852598266555,-75.0011503941004)); #492168=CARTESIAN_POINT('Origin',(0.,0.,-74.9999999999963)); #492169=CARTESIAN_POINT('',(-2.9971577140112,31.141274712657,-71.9999999999963)); #492170=CARTESIAN_POINT('Ctrl Pts',(-2.997096035634,31.1413420716323,-71.9999999999963)); #492171=CARTESIAN_POINT('Ctrl Pts',(-2.99709252258878,31.1413426732172, -72.4523120449209)); #492172=CARTESIAN_POINT('Ctrl Pts',(-2.76278211853078,31.165037485144,-73.434142688023)); #492173=CARTESIAN_POINT('Ctrl Pts',(-2.06389804819689,31.2204313279971, -74.2382556979071)); #492174=CARTESIAN_POINT('Ctrl Pts',(-1.47064709498763,31.2512098179532, -74.6212946956311)); #492175=CARTESIAN_POINT('Ctrl Pts',(-1.03885159113042,31.2696185025766, -74.8328486309409)); #492176=CARTESIAN_POINT('Ctrl Pts',(-0.531092766984467,31.2826804073422, -74.9717347682746)); #492177=CARTESIAN_POINT('Ctrl Pts',(-0.152114833151045,31.2855278450529, -75.0013418607685)); #492178=CARTESIAN_POINT('Ctrl Pts',(7.63221727942409E-7,31.2855241717679, -75.0012528685247)); #492179=CARTESIAN_POINT('',(-2.99806573320117,31.1402830646123,-41.9999999999963)); #492180=CARTESIAN_POINT('',(-2.99806573320117,31.1402830646123,-36.9999999999963)); #492181=CARTESIAN_POINT('',(2.99806573320146,31.1402830646122,-41.9999999999963)); #492182=CARTESIAN_POINT('Ctrl Pts',(2.99806573320146,31.1402830646122,-41.9999999999963)); #492183=CARTESIAN_POINT('Ctrl Pts',(2.99806573320146,31.1402830646122,-41.5690758501201)); #492184=CARTESIAN_POINT('Ctrl Pts',(2.79241362108958,31.1614045784027,-40.6374228681298)); #492185=CARTESIAN_POINT('Ctrl Pts',(2.14660808148738,31.2135896766594,-39.8421102962863)); #492186=CARTESIAN_POINT('Ctrl Pts',(1.60230292528808,31.2440270355763,-39.4526838274717)); #492187=CARTESIAN_POINT('Ctrl Pts',(1.20471819197486,31.2628266170424,-39.2295738173719)); #492188=CARTESIAN_POINT('Ctrl Pts',(0.43466326272013,31.2872285438282,-38.9667401875334)); #492189=CARTESIAN_POINT('Ctrl Pts',(-0.433642654299332,31.2873840153207, -38.9669447212466)); #492190=CARTESIAN_POINT('Ctrl Pts',(-1.31511340917226,31.2591612938052, -39.2693310436615)); #492191=CARTESIAN_POINT('Ctrl Pts',(-2.07603375893279,31.2191870173496, -39.7562494035257)); #492192=CARTESIAN_POINT('Ctrl Pts',(-2.79031753799108,31.1615630175516, -40.6362730629214)); #492193=CARTESIAN_POINT('Ctrl Pts',(-2.99806573320146,31.1402830646122, -41.5690758501199)); #492194=CARTESIAN_POINT('Ctrl Pts',(-2.99806573320146,31.1402830646122, -41.9999999999963)); #492195=CARTESIAN_POINT('',(2.99806573320117,31.1402830646123,-71.9999999999963)); #492196=CARTESIAN_POINT('',(2.99806573320117,31.1402830646123,-36.9999999999963)); #492197=CARTESIAN_POINT('Ctrl Pts',(9.37449077045849E-8,31.2845507225195, -75.0022263565285)); #492198=CARTESIAN_POINT('Ctrl Pts',(0.0766314106981184,31.2845488741935, -75.0021815275535)); #492199=CARTESIAN_POINT('Ctrl Pts',(0.382807062971479,31.283387425063,-74.9899551995193)); #492200=CARTESIAN_POINT('Ctrl Pts',(1.16826922549473,31.2690320522605,-74.8414946520617)); #492201=CARTESIAN_POINT('Ctrl Pts',(1.8022984740078,31.2342166283658,-74.4425644606306)); #492202=CARTESIAN_POINT('Ctrl Pts',(2.44163805525229,31.1906466586534,-73.8035267244078)); #492203=CARTESIAN_POINT('Ctrl Pts',(2.88211630030153,31.1520163545224,-73.0980535889785)); #492204=CARTESIAN_POINT('Ctrl Pts',(2.99806573320146,31.1402830646122,-72.301647113556)); #492205=CARTESIAN_POINT('Ctrl Pts',(2.99806573320146,31.1402830646122,-71.9999999999963)); #492206=CARTESIAN_POINT('',(11.9726346402557,28.904502100358,-75.0021004017906)); #492207=CARTESIAN_POINT('Origin',(0.,0.,-74.9999999999963)); #492208=CARTESIAN_POINT('',(9.14930912363832,29.9182492295259,-71.9999999999963)); #492209=CARTESIAN_POINT('Ctrl Pts',(9.1495742349112,29.9183729695617,-71.9999999999963)); #492210=CARTESIAN_POINT('Ctrl Pts',(9.1495742349112,29.9183729695617,-72.4306268001972)); #492211=CARTESIAN_POINT('Ctrl Pts',(9.3524132050733,29.8575664129676,-73.365789162641)); #492212=CARTESIAN_POINT('Ctrl Pts',(9.92870327609446,29.6715504029802,-74.1100447036756)); #492213=CARTESIAN_POINT('Ctrl Pts',(10.4295924947253,29.4972343565456,-74.5108105552635)); #492214=CARTESIAN_POINT('Ctrl Pts',(10.7995426792161,29.3651652251339,-74.7458664813417)); #492215=CARTESIAN_POINT('Ctrl Pts',(11.3629511746901,29.1525396129988,-74.9516665074501)); #492216=CARTESIAN_POINT('Ctrl Pts',(11.7700633446245,28.9889481613477,-75.0020478525754)); #492217=CARTESIAN_POINT('Ctrl Pts',(11.9728258216075,28.9049634009113,-75.0022311079746)); #492218=CARTESIAN_POINT('',(9.14701883995831,29.9171802452407,-41.9999999999963)); #492219=CARTESIAN_POINT('',(9.14701883995831,29.9171802452407,-36.9999999999963)); #492220=CARTESIAN_POINT('',(14.6867219760146,27.6225600747654,-41.9999999999963)); #492221=CARTESIAN_POINT('Ctrl Pts',(14.6867219760146,27.6225600747654,-41.9999999999963)); #492222=CARTESIAN_POINT('Ctrl Pts',(14.6867219760146,27.6225600747654,-41.5690758501202)); #492223=CARTESIAN_POINT('Ctrl Pts',(14.5048111716983,27.7207651675152,-40.637480880302)); #492224=CARTESIAN_POINT('Ctrl Pts',(13.9281421289273,28.0161122750571,-39.8421878501546)); #492225=CARTESIAN_POINT('Ctrl Pts',(13.4369203316475,28.2525266964832,-39.4527753928039)); #492226=CARTESIAN_POINT('Ctrl Pts',(13.0767932259431,28.4220446827643,-39.2296659419548)); #492227=CARTESIAN_POINT('Ctrl Pts',(12.3746909166275,28.7392767340756,-38.9668391675897)); #492228=CARTESIAN_POINT('Ctrl Pts',(11.5725203689388,29.0717157415503,-38.9670336489816)); #492229=CARTESIAN_POINT('Ctrl Pts',(10.7473387313985,29.3829693702516,-39.2694161629864)); #492230=CARTESIAN_POINT('Ctrl Pts',(10.0290464236412,29.6372296583244,-39.7563187278505)); #492231=CARTESIAN_POINT('Ctrl Pts',(9.34708900936338,29.8573359543423,-40.6363198392496)); #492232=CARTESIAN_POINT('Ctrl Pts',(9.14701883995803,29.9171802452408,-41.56907585012)); #492233=CARTESIAN_POINT('Ctrl Pts',(9.14701883995803,29.9171802452408,-41.9999999999963)); #492234=CARTESIAN_POINT('',(14.6867219760143,27.6225600747655,-71.9999999999963)); #492235=CARTESIAN_POINT('',(14.6867219760143,27.6225600747655,-36.9999999999963)); #492236=CARTESIAN_POINT('Ctrl Pts',(11.9720943041129,28.9031974962768,-75.0041457855109)); #492237=CARTESIAN_POINT('Ctrl Pts',(12.1747627235432,28.8192516982854,-75.0043289925689)); #492238=CARTESIAN_POINT('Ctrl Pts',(12.5785131243012,28.6469839488209,-74.9547321685122)); #492239=CARTESIAN_POINT('Ctrl Pts',(13.0781054907766,28.4211482540653,-74.7669094458931)); #492240=CARTESIAN_POINT('Ctrl Pts',(13.4390851089359,28.2512864712819,-74.5437550708682)); #492241=CARTESIAN_POINT('Ctrl Pts',(13.9301024955773,28.0149671728975,-74.1548444502185)); #492242=CARTESIAN_POINT('Ctrl Pts',(14.4990073927157,27.723622495125,-73.3677532843524)); #492243=CARTESIAN_POINT('Ctrl Pts',(14.6867219760146,27.6225600747654,-72.4309241498726)); #492244=CARTESIAN_POINT('Ctrl Pts',(14.6867219760146,27.6225600747654,-71.9999999999963)); #492245=CARTESIAN_POINT('',(22.1212858836443,22.1212907806852,-74.9989082673313)); #492246=CARTESIAN_POINT('Origin',(0.,0.,-74.9999999999963)); #492247=CARTESIAN_POINT('',(19.8995527126664,24.1394579334455,-71.9999999999963)); #492248=CARTESIAN_POINT('Ctrl Pts',(19.8995527126661,24.1394579334457,-71.9999999999963)); #492249=CARTESIAN_POINT('Ctrl Pts',(19.8995527126661,24.1394579334457,-72.2639410157146)); #492250=CARTESIAN_POINT('Ctrl Pts',(19.9535448923076,24.0952050117828,-72.8140972291089)); #492251=CARTESIAN_POINT('Ctrl Pts',(20.2241359770357,23.8694781576057,-73.6041463989727)); #492252=CARTESIAN_POINT('Ctrl Pts',(20.6153865108478,23.5334015755545,-74.2241331788764)); #492253=CARTESIAN_POINT('Ctrl Pts',(21.1168730739243,23.0863615522191,-74.7018852317672)); #492254=CARTESIAN_POINT('Ctrl Pts',(21.6584770994421,22.5781125608402,-74.9548150908726)); #492255=CARTESIAN_POINT('Ctrl Pts',(21.9851223425465,22.2574700125028,-74.9986403899658)); #492256=CARTESIAN_POINT('Ctrl Pts',(22.1212582108925,22.1212670404619,-74.9980315603881)); #492257=CARTESIAN_POINT('',(19.8995527126664,24.1394579334455,-41.9999999999963)); #492258=CARTESIAN_POINT('',(19.8995527126664,24.1394579334455,-36.9999999999963)); #492259=CARTESIAN_POINT('',(24.1394579334457,19.8995527126661,-41.9999999999963)); #492260=CARTESIAN_POINT('Ctrl Pts',(24.1394579334457,19.8995527126661,-41.9999999999963)); #492261=CARTESIAN_POINT('Ctrl Pts',(24.1394579334457,19.8995527126661,-41.5690758501203)); #492262=CARTESIAN_POINT('Ctrl Pts',(24.0078188690351,20.0608569903627,-40.6392058540776)); #492263=CARTESIAN_POINT('Ctrl Pts',(23.5868448059515,20.5555628120608,-39.8447248133772)); #492264=CARTESIAN_POINT('Ctrl Pts',(23.2241184369316,20.9612789984281,-39.4555400145231)); #492265=CARTESIAN_POINT('Ctrl Pts',(23.0213099951994,21.1840196491453,-39.2887622145913)); #492266=CARTESIAN_POINT('Ctrl Pts',(22.8423233940921,21.3767322223902,-39.1792372214234)); #492267=CARTESIAN_POINT('Ctrl Pts',(22.3906549256061,21.855212307148,-38.9741432605219)); #492268=CARTESIAN_POINT('Ctrl Pts',(21.6967248283237,22.5541847757175,-38.9354439420207)); #492269=CARTESIAN_POINT('Ctrl Pts',(20.8538961064507,23.3246876167549,-39.4891623496604)); #492270=CARTESIAN_POINT('Ctrl Pts',(20.3971940293729,23.7216225570928,-40.1016014790624)); #492271=CARTESIAN_POINT('Ctrl Pts',(20.0156768340313,24.0452229330893,-40.8732308271004)); #492272=CARTESIAN_POINT('Ctrl Pts',(19.8995527126661,24.1394579334457,-41.5690758501199)); #492273=CARTESIAN_POINT('Ctrl Pts',(19.8995527126661,24.1394579334457,-41.9999999999963)); #492274=CARTESIAN_POINT('',(24.1394579334455,19.8995527126664,-71.9999999999963)); #492275=CARTESIAN_POINT('',(24.1394579334455,19.8995527126664,-36.9999999999963)); #492276=CARTESIAN_POINT('Ctrl Pts',(22.1212582108925,22.1212670404619,-74.9980315603881)); #492277=CARTESIAN_POINT('Ctrl Pts',(22.1744946730549,22.0680043371379,-74.9977934752076)); #492278=CARTESIAN_POINT('Ctrl Pts',(22.4167720610804,21.8238110439752,-74.9835107935257)); #492279=CARTESIAN_POINT('Ctrl Pts',(22.850517352207,21.3722129366055,-74.8540085419)); #492280=CARTESIAN_POINT('Ctrl Pts',(23.357759615821,20.8147800863617,-74.4436547099649)); #492281=CARTESIAN_POINT('Ctrl Pts',(23.7341315515873,20.3830800462697,-73.8938946553678)); #492282=CARTESIAN_POINT('Ctrl Pts',(23.9233404842541,20.1590452843239,-73.4074817764558)); #492283=CARTESIAN_POINT('Ctrl Pts',(24.0913792237823,19.9581932131705,-72.7792401714503)); #492284=CARTESIAN_POINT('Ctrl Pts',(24.1394579334457,19.8995527126661,-72.3770588919459)); #492285=CARTESIAN_POINT('Ctrl Pts',(24.1394579334457,19.8995527126661,-71.9999999999963)); #492286=CARTESIAN_POINT('Origin',(0.,0.,-74.9999999999963)); #492287=CARTESIAN_POINT('',(27.6238561032442,14.6862507903832,-71.9999999999963)); #492288=CARTESIAN_POINT('Ctrl Pts',(27.6239816090513,14.686205161345,-71.9999999999963)); #492289=CARTESIAN_POINT('Ctrl Pts',(27.6239816090513,14.686205161345,-72.4307646624637)); #492290=CARTESIAN_POINT('Ctrl Pts',(27.6880324413875,14.5678991832844,-73.0642497215456)); #492291=CARTESIAN_POINT('Ctrl Pts',(27.906562045673,14.1439401381119,-73.85484784838)); #492292=CARTESIAN_POINT('Ctrl Pts',(28.0727522695126,13.8120073552189,-74.2461001293335)); #492293=CARTESIAN_POINT('Ctrl Pts',(28.2514052639982,13.4411204269087,-74.5413116789637)); #492294=CARTESIAN_POINT('Ctrl Pts',(28.3683910331552,13.192251813204,-74.6935614717623)); #492295=CARTESIAN_POINT('Ctrl Pts',(28.4995984424764,12.9068373782936,-74.8298088407924)); #492296=CARTESIAN_POINT('Ctrl Pts',(28.6799615191903,12.5033947926725,-74.9608851779671)); #492297=CARTESIAN_POINT('Ctrl Pts',(28.8200161047542,12.1751556690864,-75.0012972448865)); #492298=CARTESIAN_POINT('Ctrl Pts',(28.9039883276829,11.9724232716192,-75.0011789181615)); #492299=CARTESIAN_POINT('',(27.6225600747655,14.6867219760143,-41.9999999999963)); #492300=CARTESIAN_POINT('',(27.6225600747655,14.6867219760143,-36.9999999999963)); #492301=CARTESIAN_POINT('Ctrl Pts',(28.0078151560864,13.9383938986851,-39.9167369297697)); #492302=CARTESIAN_POINT('Ctrl Pts',(27.9800463715313,13.9941255422174,-39.9817731547172)); #492303=CARTESIAN_POINT('Ctrl Pts',(27.8889032214561,14.1754902005696,-40.2147075854705)); #492304=CARTESIAN_POINT('Ctrl Pts',(27.6937813604812,14.5552273774096,-40.8732218782907)); #492305=CARTESIAN_POINT('Ctrl Pts',(27.6225600747654,14.6867219760146,-41.56907585012)); #492306=CARTESIAN_POINT('Ctrl Pts',(27.6225600747654,14.6867219760146,-41.9999999999963)); #492307=CARTESIAN_POINT('Origin',(27.,11.649922928275,-32.6249999999963)); #492308=CARTESIAN_POINT('Origin',(27.,11.649922928275,-33.2499999999963)); #492309=CARTESIAN_POINT('Origin',(0.,0.,-31.9999999999963)); #492310=CARTESIAN_POINT('',(25.1,5.96908703237039,-31.9999999999963)); #492311=CARTESIAN_POINT('Origin',(0.,0.,-31.9999999999963)); #492312=CARTESIAN_POINT('',(25.1,-10.,-31.9999999999963)); #492313=CARTESIAN_POINT('Origin',(10.5506885812252,7.95588432935068,-32.6249999999963)); #492314=CARTESIAN_POINT('',(21.9517203284687,13.555883395069,-31.9999999999963)); #492315=CARTESIAN_POINT('',(23.8515577516126,13.0142275551984,-33.2499999999963)); #492316=CARTESIAN_POINT('Ctrl Pts',(21.9517203284686,13.555883395069,-31.9999999999963)); #492317=CARTESIAN_POINT('Ctrl Pts',(22.8916646645456,13.300555694031,-32.6068164937968)); #492318=CARTESIAN_POINT('Ctrl Pts',(23.8515577516126,13.0142275551984,-33.2499999999963)); #492319=CARTESIAN_POINT('',(26.0095669314188,13.9453623930967,-33.2499999999963)); #492320=CARTESIAN_POINT('',(10.7982968483705,7.38202446314526,-33.2499999999963)); #492321=CARTESIAN_POINT('',(25.5143503971282,15.0930821255075,-31.9999999999963)); #492322=CARTESIAN_POINT('',(26.0095669314188,13.9453623930967,-33.2499999999963)); #492323=CARTESIAN_POINT('',(10.3030803140799,8.52974419555609,-31.9999999999963)); #492324=CARTESIAN_POINT('Origin',(27.,11.649922928275,-32.6249999999963)); #492325=CARTESIAN_POINT('',(27.,15.399922928275,-31.9999999999963)); #492326=CARTESIAN_POINT('Origin',(27.,11.649922928275,-31.9999999999963)); #492327=CARTESIAN_POINT('Origin',(27.,11.649922928275,-31.9999999999963)); #492328=CARTESIAN_POINT('Origin',(27.,11.649922928275,-33.2499999999963)); #492329=CARTESIAN_POINT('Origin',(0.,0.,-31.9999999999963)); #492330=CARTESIAN_POINT('',(25.1,15.399922928275,-31.9999999999963)); #492331=CARTESIAN_POINT('',(26.4375,15.399922928275,-31.9999999999963)); #492332=CARTESIAN_POINT('',(25.1,20.,-31.9999999999963)); #492333=CARTESIAN_POINT('',(25.1,-10.,-31.9999999999963)); #492334=CARTESIAN_POINT('',(20.,25.1,-31.9999999999963)); #492335=CARTESIAN_POINT('Origin',(20.,20.,-31.9999999999963)); #492336=CARTESIAN_POINT('',(5.96908703237037,25.1,-31.9999999999963)); #492337=CARTESIAN_POINT('',(10.,25.1,-31.9999999999963)); #492338=CARTESIAN_POINT('Origin',(0.,0.,-31.9999999999963)); #492339=CARTESIAN_POINT('Origin',(27.,11.649922928275,0.)); #492340=CARTESIAN_POINT('',(26.0095669314188,13.9453623930967,-35.3844175739112)); #492341=CARTESIAN_POINT('',(26.0095669314188,13.9453623930967,0.)); #492342=CARTESIAN_POINT('Ctrl Pts',(28.0079544673593,13.9377226991751,-36.9999999999963)); #492343=CARTESIAN_POINT('Ctrl Pts',(27.9459178500617,13.9650546739136,-36.9604662246648)); #492344=CARTESIAN_POINT('Ctrl Pts',(27.8840969478711,13.9892705361046,-36.9199613458775)); #492345=CARTESIAN_POINT('Ctrl Pts',(27.4838858465426,14.128813890508,-36.6512936593195)); #492346=CARTESIAN_POINT('Ctrl Pts',(27.1188183310815,14.1713267870101,-36.3743464098975)); #492347=CARTESIAN_POINT('Ctrl Pts',(26.5013593861719,14.1146718153068,-35.8524486970038)); #492348=CARTESIAN_POINT('Ctrl Pts',(26.2416546678278,14.0455032909398,-35.6140396241332)); #492349=CARTESIAN_POINT('Ctrl Pts',(26.0095669314188,13.9453623930967,-35.3844175739112)); #492350=CARTESIAN_POINT('Origin',(22.5870267653222,12.4686094614689,0.)); #492351=CARTESIAN_POINT('Ctrl Pts',(26.0095669314188,13.9453623930967,-35.3844175739112)); #492352=CARTESIAN_POINT('Ctrl Pts',(24.8859970630967,13.4605660090047,-34.2727844444597)); #492353=CARTESIAN_POINT('Ctrl Pts',(23.8515577516126,13.0142275551984,-33.2499999999963)); #492354=CARTESIAN_POINT('Origin',(27.,11.649922928275,0.)); #492355=CARTESIAN_POINT('',(27.9904330685812,9.35448346345333,-35.3844175739112)); #492356=CARTESIAN_POINT('Ctrl Pts',(27.9904330685812,9.35448346345333,-35.3844175739112)); #492357=CARTESIAN_POINT('Ctrl Pts',(28.2225208049903,9.45462436129642,-35.6140396241332)); #492358=CARTESIAN_POINT('Ctrl Pts',(28.4510235599805,9.59610692720166,-35.8524486970038)); #492359=CARTESIAN_POINT('Ctrl Pts',(28.915875405136,10.0064459291539,-36.3743464098975)); #492360=CARTESIAN_POINT('Ctrl Pts',(29.1354171731238,10.3012052365943,-36.6512936593195)); #492361=CARTESIAN_POINT('Ctrl Pts',(29.3084797771846,10.6881039422789,-36.9199613458775)); #492362=CARTESIAN_POINT('Ctrl Pts',(29.3332773367772,10.7496938194306,-36.9604662246647)); #492363=CARTESIAN_POINT('Ctrl Pts',(29.3559558837001,10.8135785769108,-36.9999999999963)); #492364=CARTESIAN_POINT('',(27.9904330685812,9.35448346345333,0.)); #492365=CARTESIAN_POINT('Origin',(27.9904330685812,9.35448346345333,0.)); #492366=CARTESIAN_POINT('Ctrl Pts',(25.8324238887751,8.42334862555513,-33.2499999999963)); #492367=CARTESIAN_POINT('Ctrl Pts',(26.8668632002311,8.86968707934921,-34.2727844444319)); #492368=CARTESIAN_POINT('Ctrl Pts',(27.9904330685812,9.35448346345333,-35.3844175739112)); #492369=CARTESIAN_POINT('Origin',(0.,0.,-34.4999999999963)); #492370=CARTESIAN_POINT('',(-5.96908703237037,25.1,-31.9999999999963)); #492371=CARTESIAN_POINT('Origin',(0.,0.,-31.9999999999963)); #492372=CARTESIAN_POINT('',(-25.1,5.96908703237038,-31.9999999999963)); #492373=CARTESIAN_POINT('Origin',(0.,0.,-31.9999999999963)); #492374=CARTESIAN_POINT('',(-25.1,-5.96908703237038,-31.9999999999963)); #492375=CARTESIAN_POINT('Origin',(0.,0.,-31.9999999999963)); #492376=CARTESIAN_POINT('',(-5.96908703237037,-25.1,-31.9999999999963)); #492377=CARTESIAN_POINT('Origin',(0.,0.,-31.9999999999963)); #492378=CARTESIAN_POINT('',(5.96908703237037,-25.1,-31.9999999999963)); #492379=CARTESIAN_POINT('Origin',(0.,0.,-31.9999999999963)); #492380=CARTESIAN_POINT('',(25.1,-5.96908703237039,-31.9999999999963)); #492381=CARTESIAN_POINT('Origin',(0.,0.,-31.9999999999963)); #492382=CARTESIAN_POINT('Origin',(0.,0.,-31.9999999999963)); #492383=CARTESIAN_POINT('Origin',(0.,0.,-31.9999999999963)); #492384=CARTESIAN_POINT('',(27.2313789824893,15.399922928275,-31.9999999999963)); #492385=CARTESIAN_POINT('Origin',(0.,0.,-31.9999999999963)); #492386=CARTESIAN_POINT('',(26.4375,15.399922928275,-31.9999999999963)); #492387=CARTESIAN_POINT('Origin',(25.,15.399922928275,0.)); #492388=CARTESIAN_POINT('',(27.2313789824893,15.399922928275,0.)); #492389=CARTESIAN_POINT('',(27.2313789824893,15.399922928275,0.)); #492390=CARTESIAN_POINT('',(25.1,15.399922928275,0.)); #492391=CARTESIAN_POINT('',(12.5,15.399922928275,0.)); #492392=CARTESIAN_POINT('',(25.1,15.399922928275,0.)); #492393=CARTESIAN_POINT('Origin',(28.4856496028718,8.2067637310425,0.)); #492394=CARTESIAN_POINT('',(25.1,6.74592828898318,0.)); #492395=CARTESIAN_POINT('',(25.1,6.74592828898318,0.)); #492396=CARTESIAN_POINT('',(14.9856496028718,2.38180226690501,0.)); #492397=CARTESIAN_POINT('Origin',(0.,0.,0.)); #492398=CARTESIAN_POINT('Origin',(0.,0.,0.)); #492399=CARTESIAN_POINT('Origin',(25.1,-20.,0.)); #492400=CARTESIAN_POINT('',(25.1,20.,0.)); #492401=CARTESIAN_POINT('',(25.1,-10.,0.)); #492402=CARTESIAN_POINT('',(25.1,20.,0.)); #492403=CARTESIAN_POINT('Origin',(25.1,-20.,0.)); #492404=CARTESIAN_POINT('',(25.1,-10.,-31.9999999999963)); #492405=CARTESIAN_POINT('',(25.1,-20.,-31.9999999999963)); #492406=CARTESIAN_POINT('',(25.1,-10.,-31.9999999999963)); #492407=CARTESIAN_POINT('',(25.1,-20.,0.)); #492408=CARTESIAN_POINT('',(25.1,-20.,0.)); #492409=CARTESIAN_POINT('',(25.1,-10.,0.)); #492410=CARTESIAN_POINT('Origin',(-6.56141807553473E-15,-29.5500000000002, 0.)); #492411=CARTESIAN_POINT('',(2.29999999999999,-29.5500000000002,0.)); #492412=CARTESIAN_POINT('Origin',(-6.56141807553473E-15,-29.5500000000002, 0.)); #492413=CARTESIAN_POINT('',(2.29999999999999,-29.5500000000002,-4.4)); #492414=CARTESIAN_POINT('',(2.29999999999999,-29.5500000000002,0.)); #492415=CARTESIAN_POINT('Origin',(-6.56141807553473E-15,-29.5500000000002, -4.4)); #492416=CARTESIAN_POINT('Origin',(0.,2.22044604925031E-15,-4.4)); #492417=CARTESIAN_POINT('',(1.69999999999999,-29.5500000000002,-4.4)); #492418=CARTESIAN_POINT('Origin',(-5.27355936696949E-15,-29.5500000000002, -4.4)); #492419=CARTESIAN_POINT('Origin',(-6.56141807553473E-15,29.5500000000002, 0.)); #492420=CARTESIAN_POINT('',(2.29999999999999,29.5500000000002,0.)); #492421=CARTESIAN_POINT('Origin',(-6.56141807553473E-15,29.5500000000002, 0.)); #492422=CARTESIAN_POINT('',(2.29999999999999,29.5500000000002,-4.4)); #492423=CARTESIAN_POINT('',(2.29999999999999,29.5500000000002,0.)); #492424=CARTESIAN_POINT('Origin',(-6.56141807553473E-15,29.5500000000002, -4.4)); #492425=CARTESIAN_POINT('Origin',(0.,2.22044604925031E-15,-4.4)); #492426=CARTESIAN_POINT('',(1.7,29.5500000000002,-4.4)); #492427=CARTESIAN_POINT('Origin',(1.94289029309402E-15,29.5500000000002, -4.4)); #492428=CARTESIAN_POINT('Origin',(29.5500000000002,0.,0.)); #492429=CARTESIAN_POINT('',(31.8500000000002,-2.81668763803891E-16,0.)); #492430=CARTESIAN_POINT('Origin',(29.5500000000002,0.,0.)); #492431=CARTESIAN_POINT('',(31.8500000000002,-2.81668763803891E-16,-4.4)); #492432=CARTESIAN_POINT('',(31.8500000000002,-2.81668763803891E-16,0.)); #492433=CARTESIAN_POINT('Origin',(29.5500000000002,0.,-4.4)); #492434=CARTESIAN_POINT('Origin',(0.,2.22044604925031E-15,-4.4)); #492435=CARTESIAN_POINT('',(31.2500000000002,2.0818995585505E-16,-4.4)); #492436=CARTESIAN_POINT('Origin',(29.5500000000002,0.,-4.4)); #492437=CARTESIAN_POINT('Origin',(-29.5500000000002,3.61883129148046E-15, 0.)); #492438=CARTESIAN_POINT('',(-27.2500000000002,3.33716252767657E-15,0.)); #492439=CARTESIAN_POINT('Origin',(-29.5500000000002,3.61883129148046E-15, 0.)); #492440=CARTESIAN_POINT('',(-27.2500000000002,3.33716252767657E-15,-4.4)); #492441=CARTESIAN_POINT('',(-27.2500000000002,3.33716252767657E-15,0.)); #492442=CARTESIAN_POINT('Origin',(-29.5500000000002,3.61883129148046E-15, -4.4)); #492443=CARTESIAN_POINT('Origin',(0.,2.22044604925031E-15,-4.4)); #492444=CARTESIAN_POINT('',(-27.8500000000002,3.81641478588681E-15,-4.4)); #492445=CARTESIAN_POINT('Origin',(-29.5500000000002,3.60822483003176E-15, -4.4)); #492446=CARTESIAN_POINT('Origin',(-5.27355936696949E-15,-29.5500000000002, 2.)); #492447=CARTESIAN_POINT('',(1.69999999999999,-29.5500000000002,-10.)); #492448=CARTESIAN_POINT('',(1.69999999999999,-29.5500000000002,2.)); #492449=CARTESIAN_POINT('Origin',(-5.27355936696949E-15,-29.5500000000002, -10.)); #492450=CARTESIAN_POINT('Origin',(0.,0.,-10.)); #492451=CARTESIAN_POINT('Origin',(-29.5500000000002,3.60822483003176E-15, 2.)); #492452=CARTESIAN_POINT('',(-27.8500000000002,3.40003487417671E-15,-10.)); #492453=CARTESIAN_POINT('',(-27.8500000000002,3.40003487417671E-15,2.)); #492454=CARTESIAN_POINT('Origin',(-29.5500000000002,3.60822483003176E-15, -10.)); #492455=CARTESIAN_POINT('Origin',(0.,0.,-10.)); #492456=CARTESIAN_POINT('Origin',(29.5500000000002,0.,2.)); #492457=CARTESIAN_POINT('',(31.2500000000002,-2.0818995585505E-16,-10.)); #492458=CARTESIAN_POINT('',(31.2500000000002,-2.0818995585505E-16,2.)); #492459=CARTESIAN_POINT('Origin',(29.5500000000002,0.,-10.)); #492460=CARTESIAN_POINT('Origin',(0.,0.,-10.)); #492461=CARTESIAN_POINT('Origin',(1.94289029309402E-15,29.5500000000002, 2.)); #492462=CARTESIAN_POINT('',(1.7,29.5500000000002,-10.)); #492463=CARTESIAN_POINT('',(1.7,29.5500000000002,2.)); #492464=CARTESIAN_POINT('Origin',(1.94289029309402E-15,29.5500000000002, -10.)); #492465=CARTESIAN_POINT('Origin',(0.,0.,-10.)); #492466=CARTESIAN_POINT('Origin',(0.,0.,-169.399999999996)); #492467=CARTESIAN_POINT('',(-35.2842712474619,-4.32107698434512E-15,-169.399999999996)); #492468=CARTESIAN_POINT('Origin',(0.,0.,-169.399999999996)); #492469=CARTESIAN_POINT('Origin',(0.,0.,-75.9999999999963)); #492470=CARTESIAN_POINT('',(-30.2842712474619,-3.70875358477144E-15,-75.9999999999963)); #492471=CARTESIAN_POINT('Origin',(9.4811731899031,30.0731459677287,-36.9999999999963)); #492472=CARTESIAN_POINT('',(9.8153275398479,30.2291116902168,-41.9999999999963)); #492473=CARTESIAN_POINT('',(9.8153275398479,30.2291116902168,-41.9999999999963)); #492474=CARTESIAN_POINT('',(9.8153275398479,30.2291116902168,-71.9999999999963)); #492475=CARTESIAN_POINT('',(9.14701883995831,29.9171802452407,-71.9999999999963)); #492476=CARTESIAN_POINT('',(9.8153275398479,30.2291116902168,-36.9999999999963)); #492477=CARTESIAN_POINT('Ctrl Pts',(9.14701883995803,29.9171802452408,-41.9999999999963)); #492478=CARTESIAN_POINT('Ctrl Pts',(9.14701883995803,29.9171802452408,-41.56907585012)); #492479=CARTESIAN_POINT('Ctrl Pts',(9.34708900936338,29.8573359543423,-40.6363198392496)); #492480=CARTESIAN_POINT('Ctrl Pts',(10.0290464236412,29.6372296583244,-39.7563187278505)); #492481=CARTESIAN_POINT('Ctrl Pts',(10.7473387313985,29.3829693702516,-39.2694161629864)); #492482=CARTESIAN_POINT('Ctrl Pts',(11.5725203689388,29.0717157415503,-38.9670336489816)); #492483=CARTESIAN_POINT('Ctrl Pts',(12.3746909166275,28.7392767340756,-38.9668391675897)); #492484=CARTESIAN_POINT('Ctrl Pts',(13.0767932259431,28.4220446827643,-39.2296659419548)); #492485=CARTESIAN_POINT('Ctrl Pts',(13.4369203316475,28.2525266964832,-39.4527753928039)); #492486=CARTESIAN_POINT('Ctrl Pts',(13.9281421289273,28.0161122750571,-39.8421878501546)); #492487=CARTESIAN_POINT('Ctrl Pts',(14.5048111716983,27.7207651675152,-40.637480880302)); #492488=CARTESIAN_POINT('Ctrl Pts',(14.6867219760146,27.6225600747654,-41.5690758501202)); #492489=CARTESIAN_POINT('Ctrl Pts',(14.6867219760146,27.6225600747654,-41.9999999999963)); #492490=CARTESIAN_POINT('Ctrl Pts',(9.36978840658798,30.0211573935661,-41.9999999999963)); #492491=CARTESIAN_POINT('Ctrl Pts',(9.36978840658798,30.0211573935661,-41.5949976584836)); #492492=CARTESIAN_POINT('Ctrl Pts',(9.55639902168268,29.9644398997705,-40.7181864497831)); #492493=CARTESIAN_POINT('Ctrl Pts',(10.1965775233021,29.7552295958019,-39.885932672564)); #492494=CARTESIAN_POINT('Ctrl Pts',(10.8749038563977,29.5132022024096,-39.4233833113315)); #492495=CARTESIAN_POINT('Ctrl Pts',(11.6563749791143,29.2170413214734,-39.1353343062592)); #492496=CARTESIAN_POINT('Ctrl Pts',(12.4181064325557,28.9013695620818,-39.1351703322503)); #492497=CARTESIAN_POINT('Ctrl Pts',(13.083892685731,28.6017824882933,-39.3855448714477)); #492498=CARTESIAN_POINT('Ctrl Pts',(13.4248588262558,28.4422318409602,-39.5975736430141)); #492499=CARTESIAN_POINT('Ctrl Pts',(13.8894396870705,28.2201578589238,-39.9671561673243)); #492500=CARTESIAN_POINT('Ctrl Pts',(14.4324201177631,27.9445720009305,-40.7192853983616)); #492501=CARTESIAN_POINT('Ctrl Pts',(14.6027230514778,27.8536048926407,-41.5949976584837)); #492502=CARTESIAN_POINT('Ctrl Pts',(14.6027230514778,27.8536048926407,-41.9999999999963)); #492503=CARTESIAN_POINT('Ctrl Pts',(9.59255797321794,30.1251345418914,-41.9999999999963)); #492504=CARTESIAN_POINT('Ctrl Pts',(9.59255797321794,30.1251345418914,-41.6209194668472)); #492505=CARTESIAN_POINT('Ctrl Pts',(9.76570903400198,30.0715438451987,-40.8000530603167)); #492506=CARTESIAN_POINT('Ctrl Pts',(10.364108622963,29.8732295332793,-40.0155466172774)); #492507=CARTESIAN_POINT('Ctrl Pts',(11.0024689813968,29.6434350345675,-39.5773504596766)); #492508=CARTESIAN_POINT('Ctrl Pts',(11.7402295892898,29.3623669013965,-39.3036349635368)); #492509=CARTESIAN_POINT('Ctrl Pts',(12.4615219484839,29.063462390088,-39.3035014969109)); #492510=CARTESIAN_POINT('Ctrl Pts',(13.090992145519,28.7815202938223,-39.5414238009405)); #492511=CARTESIAN_POINT('Ctrl Pts',(13.4127973208641,28.6319369854371,-39.7423718932244)); #492512=CARTESIAN_POINT('Ctrl Pts',(13.8507372452137,28.4242034427905,-40.0921244844939)); #492513=CARTESIAN_POINT('Ctrl Pts',(14.3600290638278,28.1683788343458,-40.8010899164213)); #492514=CARTESIAN_POINT('Ctrl Pts',(14.5187241269411,28.084649710516,-41.6209194668473)); #492515=CARTESIAN_POINT('Ctrl Pts',(14.5187241269411,28.084649710516,-41.9999999999963)); #492516=CARTESIAN_POINT('Ctrl Pts',(9.8153275398479,30.2291116902168,-41.9999999999963)); #492517=CARTESIAN_POINT('Ctrl Pts',(9.8153275398479,30.2291116902168,-41.6468412752109)); #492518=CARTESIAN_POINT('Ctrl Pts',(9.97501904632127,30.1786477906268,-40.8819196708503)); #492519=CARTESIAN_POINT('Ctrl Pts',(10.5316397226239,29.9912294707568,-40.1451605619909)); #492520=CARTESIAN_POINT('Ctrl Pts',(11.1300341063959,29.7736678667255,-39.7313176080217)); #492521=CARTESIAN_POINT('Ctrl Pts',(11.8240841994653,29.5076924813195,-39.4719356208144)); #492522=CARTESIAN_POINT('Ctrl Pts',(12.5049374644121,29.2255552180942,-39.4718326615716)); #492523=CARTESIAN_POINT('Ctrl Pts',(13.0980916053069,28.9612580993512,-39.6973027304333)); #492524=CARTESIAN_POINT('Ctrl Pts',(13.4007358154724,28.8216421299141,-39.8871701434347)); #492525=CARTESIAN_POINT('Ctrl Pts',(13.8120348033569,28.6282490266572,-40.2170928016635)); #492526=CARTESIAN_POINT('Ctrl Pts',(14.2876380098926,28.3921856677612,-40.8828944344809)); #492527=CARTESIAN_POINT('Ctrl Pts',(14.4347252024043,28.3156945283913,-41.6468412752108)); #492528=CARTESIAN_POINT('Ctrl Pts',(14.4347252024043,28.3156945283913,-41.9999999999963)); #492529=CARTESIAN_POINT('',(14.4347252024043,28.3156945283913,-41.9999999999963)); #492530=CARTESIAN_POINT('',(14.4347252024043,28.3156945283913,-41.9999999999963)); #492531=CARTESIAN_POINT('Ctrl Pts',(9.8153275398479,30.2291116902168,-41.9999999999963)); #492532=CARTESIAN_POINT('Ctrl Pts',(9.8153275398479,30.2291116902168,-41.6468412752109)); #492533=CARTESIAN_POINT('Ctrl Pts',(9.97501904632127,30.1786477906268,-40.8819196708503)); #492534=CARTESIAN_POINT('Ctrl Pts',(10.5316397226239,29.9912294707568,-40.1451605619909)); #492535=CARTESIAN_POINT('Ctrl Pts',(11.1300341063959,29.7736678667255,-39.7313176080217)); #492536=CARTESIAN_POINT('Ctrl Pts',(11.8240841994653,29.5076924813195,-39.4719356208144)); #492537=CARTESIAN_POINT('Ctrl Pts',(12.5049374644121,29.2255552180942,-39.4718326615716)); #492538=CARTESIAN_POINT('Ctrl Pts',(13.0980916053069,28.9612580993512,-39.6973027304333)); #492539=CARTESIAN_POINT('Ctrl Pts',(13.4007358154724,28.8216421299141,-39.8871701434347)); #492540=CARTESIAN_POINT('Ctrl Pts',(13.8120348033569,28.6282490266572,-40.2170928016635)); #492541=CARTESIAN_POINT('Ctrl Pts',(14.2876380098926,28.3921856677612,-40.8828944344809)); #492542=CARTESIAN_POINT('Ctrl Pts',(14.4347252024043,28.3156945283913,-41.6468412752108)); #492543=CARTESIAN_POINT('Ctrl Pts',(14.4347252024043,28.3156945283913,-41.9999999999963)); #492544=CARTESIAN_POINT('Origin',(14.5607235892093,27.9691273015784,-36.9999999999963)); #492545=CARTESIAN_POINT('',(14.4347252024043,28.3156945283913,-71.9999999999963)); #492546=CARTESIAN_POINT('',(14.4347252024043,28.3156945283913,-71.9999999999963)); #492547=CARTESIAN_POINT('',(14.4347252024043,28.3156945283913,-36.9999999999963)); #492548=CARTESIAN_POINT('Ctrl Pts',(14.6867219760146,27.6225600747654,-71.9999999999963)); #492549=CARTESIAN_POINT('Ctrl Pts',(14.6867219760146,27.6225600747654,-72.4309241498726)); #492550=CARTESIAN_POINT('Ctrl Pts',(14.4990073927157,27.723622495125,-73.3677532843524)); #492551=CARTESIAN_POINT('Ctrl Pts',(13.9301024955773,28.0149671728975,-74.1548444502185)); #492552=CARTESIAN_POINT('Ctrl Pts',(13.4390851089359,28.2512864712819,-74.5437550708682)); #492553=CARTESIAN_POINT('Ctrl Pts',(13.0781054907766,28.4211482540653,-74.7669094458931)); #492554=CARTESIAN_POINT('Ctrl Pts',(12.3785292268123,28.737384654396,-75.0299165040362)); #492555=CARTESIAN_POINT('Ctrl Pts',(11.5667145370191,29.0736105082079,-75.0283769792866)); #492556=CARTESIAN_POINT('Ctrl Pts',(10.7981102370752,29.3636604697044,-74.747641779513)); #492557=CARTESIAN_POINT('Ctrl Pts',(10.4279249458522,29.4958026938575,-74.5124512226932)); #492558=CARTESIAN_POINT('Ctrl Pts',(9.92670965591655,29.6702155038692,-74.1114492414012)); #492559=CARTESIAN_POINT('Ctrl Pts',(9.35001425611624,29.8563372175219,-73.3667300958471)); #492560=CARTESIAN_POINT('Ctrl Pts',(9.14701883995803,29.9171802452408,-72.4309241498723)); #492561=CARTESIAN_POINT('Ctrl Pts',(9.14701883995803,29.9171802452408,-71.9999999999963)); #492562=CARTESIAN_POINT('Ctrl Pts',(14.6027230514778,27.8536048926407,-71.9999999999963)); #492563=CARTESIAN_POINT('Ctrl Pts',(14.6027230514778,27.8536048926407,-72.405002341509)); #492564=CARTESIAN_POINT('Ctrl Pts',(14.4269670070813,27.9472363833826,-73.2856634785732)); #492565=CARTESIAN_POINT('Ctrl Pts',(13.8912863145087,28.2190960728824,-74.0300422645437)); #492566=CARTESIAN_POINT('Ctrl Pts',(13.42690610086,28.4410772657392,-74.3991504162554)); #492567=CARTESIAN_POINT('Ctrl Pts',(13.0851351963453,28.6009530416021,-74.6112218339095)); #492568=CARTESIAN_POINT('Ctrl Pts',(12.421741233244,28.8995944341711,-74.8617681633319)); #492569=CARTESIAN_POINT('Ctrl Pts',(11.6509066697055,29.2188510589065,-74.8603292855123)); #492570=CARTESIAN_POINT('Ctrl Pts',(10.9229850688752,29.4948392976577,-74.5928780692014)); #492571=CARTESIAN_POINT('Ctrl Pts',(10.5732954841009,29.6206095759408,-74.3694241059468)); #492572=CARTESIAN_POINT('Ctrl Pts',(10.1005058444491,29.7865869070794,-73.9890070098959)); #492573=CARTESIAN_POINT('Ctrl Pts',(9.55914545866003,29.9634937791349,-73.2847031347004)); #492574=CARTESIAN_POINT('Ctrl Pts',(9.36978840658798,30.0211573935661,-72.4050023415088)); #492575=CARTESIAN_POINT('Ctrl Pts',(9.36978840658798,30.0211573935661,-71.9999999999963)); #492576=CARTESIAN_POINT('Ctrl Pts',(14.5187241269411,28.084649710516,-71.9999999999963)); #492577=CARTESIAN_POINT('Ctrl Pts',(14.5187241269411,28.084649710516,-72.3790805331454)); #492578=CARTESIAN_POINT('Ctrl Pts',(14.3549266214469,28.1708502716401,-73.2035736727941)); #492579=CARTESIAN_POINT('Ctrl Pts',(13.8524701334401,28.4232249728673,-73.9052400788689)); #492580=CARTESIAN_POINT('Ctrl Pts',(13.4147270927841,28.6308680601966,-74.2545457616426)); #492581=CARTESIAN_POINT('Ctrl Pts',(13.0921649019139,28.7807578291389,-74.4555342219258)); #492582=CARTESIAN_POINT('Ctrl Pts',(12.4649532396757,29.0618042139462,-74.6936198226276)); #492583=CARTESIAN_POINT('Ctrl Pts',(11.7350988023919,29.3640916096051,-74.6922815917381)); #492584=CARTESIAN_POINT('Ctrl Pts',(11.0478599006752,29.6260181256109,-74.4381143588898)); #492585=CARTESIAN_POINT('Ctrl Pts',(10.7186660223497,29.7454164580241,-74.2263969892004)); #492586=CARTESIAN_POINT('Ctrl Pts',(10.2743020329817,29.9029583102895,-73.8665647783907)); #492587=CARTESIAN_POINT('Ctrl Pts',(9.76827666120382,30.0706503407478,-73.2026761735538)); #492588=CARTESIAN_POINT('Ctrl Pts',(9.59255797321794,30.1251345418914,-72.3790805331454)); #492589=CARTESIAN_POINT('Ctrl Pts',(9.59255797321794,30.1251345418914,-71.9999999999963)); #492590=CARTESIAN_POINT('Ctrl Pts',(14.4347252024043,28.3156945283913,-71.9999999999963)); #492591=CARTESIAN_POINT('Ctrl Pts',(14.4347252024043,28.3156945283913,-72.3531587247818)); #492592=CARTESIAN_POINT('Ctrl Pts',(14.2828862358126,28.3944641598977,-73.1214838670149)); #492593=CARTESIAN_POINT('Ctrl Pts',(13.8136539523715,28.6273538728523,-73.780437893194)); #492594=CARTESIAN_POINT('Ctrl Pts',(13.4025480847083,28.820658854654,-74.1099411070298)); #492595=CARTESIAN_POINT('Ctrl Pts',(13.0991946074826,28.9605626166756,-74.2998466099422)); #492596=CARTESIAN_POINT('Ctrl Pts',(12.5081652461074,29.2240139937214,-74.5254714819233)); #492597=CARTESIAN_POINT('Ctrl Pts',(11.8192909350783,29.5093321603037,-74.5242338979639)); #492598=CARTESIAN_POINT('Ctrl Pts',(11.1727347324752,29.7571969535641,-74.2833506485782)); #492599=CARTESIAN_POINT('Ctrl Pts',(10.8640365605984,29.8702233401074,-74.083369872454)); #492600=CARTESIAN_POINT('Ctrl Pts',(10.4480982215143,30.0193297134997,-73.7441225468855)); #492601=CARTESIAN_POINT('Ctrl Pts',(9.97740786374761,30.1778069023607,-73.1206492124071)); #492602=CARTESIAN_POINT('Ctrl Pts',(9.8153275398479,30.2291116902168,-72.3531587247818)); #492603=CARTESIAN_POINT('Ctrl Pts',(9.8153275398479,30.2291116902168,-71.9999999999963)); #492604=CARTESIAN_POINT('Ctrl Pts',(14.4347252024043,28.3156945283913,-71.9999999999963)); #492605=CARTESIAN_POINT('Ctrl Pts',(14.4347252024043,28.3156945283913,-72.3531587247818)); #492606=CARTESIAN_POINT('Ctrl Pts',(14.2828862358126,28.3944641598977,-73.1214838670149)); #492607=CARTESIAN_POINT('Ctrl Pts',(13.8136539523715,28.6273538728523,-73.780437893194)); #492608=CARTESIAN_POINT('Ctrl Pts',(13.4025480847083,28.820658854654,-74.1099411070298)); #492609=CARTESIAN_POINT('Ctrl Pts',(13.0991946074826,28.9605626166756,-74.2998466099422)); #492610=CARTESIAN_POINT('Ctrl Pts',(12.5081652461074,29.2240139937214,-74.5254714819233)); #492611=CARTESIAN_POINT('Ctrl Pts',(11.8192909350783,29.5093321603037,-74.5242338979639)); #492612=CARTESIAN_POINT('Ctrl Pts',(11.1727347324752,29.7571969535641,-74.2833506485782)); #492613=CARTESIAN_POINT('Ctrl Pts',(10.8640365605984,29.8702233401074,-74.083369872454)); #492614=CARTESIAN_POINT('Ctrl Pts',(10.4480982215143,30.0193297134997,-73.7441225468855)); #492615=CARTESIAN_POINT('Ctrl Pts',(9.97740786374761,30.1778069023607,-73.1206492124071)); #492616=CARTESIAN_POINT('Ctrl Pts',(9.8153275398479,30.2291116902168,-72.3531587247818)); #492617=CARTESIAN_POINT('Ctrl Pts',(9.8153275398479,30.2291116902168,-71.9999999999963)); #492618=CARTESIAN_POINT('Origin',(0.,0.,-41.9999999999963)); #492619=CARTESIAN_POINT('',(10.9677299535817,33.0112572267645,-41.9999999999963)); #492620=CARTESIAN_POINT('',(-2.30969883127822,0.956708580912726,-41.9999999999963)); #492621=CARTESIAN_POINT('',(15.5871276161382,31.097840064939,-41.9999999999963)); #492622=CARTESIAN_POINT('Ctrl Pts',(15.5871276161382,31.097840064939,-41.9999999999963)); #492623=CARTESIAN_POINT('Ctrl Pts',(15.5871276161382,31.097840064939,-41.6815203509833)); #492624=CARTESIAN_POINT('Ctrl Pts',(15.5290663523758,31.1269408956857,-41.3418197456654)); #492625=CARTESIAN_POINT('Ctrl Pts',(15.3290883141165,31.2253952307669,-40.8141514622037)); #492626=CARTESIAN_POINT('Ctrl Pts',(15.106016638978,31.3343165439297,-40.4077138653221)); #492627=CARTESIAN_POINT('Ctrl Pts',(14.6708542144935,31.540675696618,-39.9529518999812)); #492628=CARTESIAN_POINT('Ctrl Pts',(14.1036918428226,31.7990006461645,-39.6184642036617)); #492629=CARTESIAN_POINT('Ctrl Pts',(13.5824021758152,32.024111671655,-39.5024524567576)); #492630=CARTESIAN_POINT('Ctrl Pts',(13.1817733077442,32.1902984552171,-39.4987079402082)); #492631=CARTESIAN_POINT('Ctrl Pts',(12.7677478452526,32.3576904624231,-39.5519114344894)); #492632=CARTESIAN_POINT('Ctrl Pts',(12.2469625783324,32.5589970973293,-39.7422506811817)); #492633=CARTESIAN_POINT('Ctrl Pts',(11.7185625814531,32.7523964058432,-40.1336619565556)); #492634=CARTESIAN_POINT('Ctrl Pts',(11.3091843067269,32.8958387878482,-40.6490586583344)); #492635=CARTESIAN_POINT('Ctrl Pts',(11.0243241127139,32.9924825444766,-41.3121625993772)); #492636=CARTESIAN_POINT('Ctrl Pts',(10.9677299535817,33.0112572267645,-41.7770643544567)); #492637=CARTESIAN_POINT('Ctrl Pts',(10.9677299535817,33.0112572267645,-41.9999999999963)); #492638=CARTESIAN_POINT('',(2.30969883127822,-0.956708580912726,-41.9999999999963)); #492639=CARTESIAN_POINT('Origin',(-2.30969883127822,0.956708580912726,-71.9999999999963)); #492640=CARTESIAN_POINT('',(10.9677299535817,33.0112572267645,-71.9999999999963)); #492641=CARTESIAN_POINT('',(-2.30969883127822,0.956708580912726,-71.9999999999963)); #492642=CARTESIAN_POINT('',(10.9677299535817,33.0112572267645,-1.69922627077548E-17)); #492643=CARTESIAN_POINT('Origin',(10.8256785839348,33.319610198737,-8.49613135387741E-18)); #492644=CARTESIAN_POINT('',(10.6836272142879,33.6279631707095,-71.9999999999963)); #492645=CARTESIAN_POINT('',(10.9677299535817,33.0112572267645,-71.9999999999963)); #492646=CARTESIAN_POINT('',(10.6836272142879,33.6279631707095,-41.9999999999963)); #492647=CARTESIAN_POINT('',(10.6836272142879,33.6279631707095,0.)); #492648=CARTESIAN_POINT('',(10.6836272142879,33.6279631707095,-41.9999999999963)); #492649=CARTESIAN_POINT('Ctrl Pts',(10.6836272142877,33.6279631707095,-71.9999999999963)); #492650=CARTESIAN_POINT('Ctrl Pts',(10.6836272142877,33.6279631707095,-72.3015831815492)); #492651=CARTESIAN_POINT('Ctrl Pts',(10.7949072004689,33.5931577451803,-73.098009781957)); #492652=CARTESIAN_POINT('Ctrl Pts',(11.2150980554448,33.4561283267411,-73.8032945009556)); #492653=CARTESIAN_POINT('Ctrl Pts',(11.8206708463701,33.2470886056416,-74.4423717536881)); #492654=CARTESIAN_POINT('Ctrl Pts',(12.41932768672,33.0325076226153,-74.8412126163973)); #492655=CARTESIAN_POINT('Ctrl Pts',(13.2946337096691,32.6864569634687,-75.019017962315)); #492656=CARTESIAN_POINT('Ctrl Pts',(13.9212266337685,32.4244995686493,-74.9936185114596)); #492657=CARTESIAN_POINT('Ctrl Pts',(14.4584301371644,32.1874472374831,-74.8327912539389)); #492658=CARTESIAN_POINT('Ctrl Pts',(14.8507604612052,32.0073146421786,-74.6215990941754)); #492659=CARTESIAN_POINT('Ctrl Pts',(15.3914344710236,31.7535549421613,-74.2362028448557)); #492660=CARTESIAN_POINT('Ctrl Pts',(16.0151355124275,31.4423477774851,-73.4347663454747)); #492661=CARTESIAN_POINT('Ctrl Pts',(16.2240955446083,31.3330260463922,-72.4523745148285)); #492662=CARTESIAN_POINT('Ctrl Pts',(16.2240955446083,31.3330260463922,-71.9999999999963)); #492663=CARTESIAN_POINT('Ctrl Pts',(10.7783281273857,33.4223945227279,-71.9999999999963)); #492664=CARTESIAN_POINT('Ctrl Pts',(10.778230685876,33.422438569898,-72.2859899586913)); #492665=CARTESIAN_POINT('Ctrl Pts',(10.8843607161562,33.3886666400894,-73.0412882426871)); #492666=CARTESIAN_POINT('Ctrl Pts',(11.2828480771206,33.2569319785793,-73.7079204384632)); #492667=CARTESIAN_POINT('Ctrl Pts',(11.8555661228547,33.0572474371149,-74.3101832864005)); #492668=CARTESIAN_POINT('Ctrl Pts',(12.4199920359993,32.8533978508491,-74.6847363395149)); #492669=CARTESIAN_POINT('Ctrl Pts',(13.2432109488111,32.5271819475699,-74.8512230779568)); #492670=CARTESIAN_POINT('Ctrl Pts',(13.8323541614205,32.2809982568113,-74.8274758545652)); #492671=CARTESIAN_POINT('Ctrl Pts',(14.3382011706434,32.0584471969648,-74.6767149171882)); #492672=CARTESIAN_POINT('Ctrl Pts',(14.7083695221966,31.8893428305768,-74.4785465672652)); #492673=CARTESIAN_POINT('Ctrl Pts',(15.2194993776334,31.6508645658691,-74.116074505875)); #492674=CARTESIAN_POINT('Ctrl Pts',(15.8122308929544,31.357787524869,-73.3602128604098)); #492675=CARTESIAN_POINT('Ctrl Pts',(16.0114371025753,31.2548584181546,-72.4292099899338)); #492676=CARTESIAN_POINT('Ctrl Pts',(16.0117729017849,31.2546307192411,-71.9999999999963)); #492677=CARTESIAN_POINT('Ctrl Pts',(10.8730290404837,33.2168258747462,-71.9999999999963)); #492678=CARTESIAN_POINT('Ctrl Pts',(10.8731264819935,33.2167818275761,-72.2703769420646)); #492679=CARTESIAN_POINT('Ctrl Pts',(10.973539448147,33.184264993991,-72.9845555428611)); #492680=CARTESIAN_POINT('Ctrl Pts',(11.3509127529409,33.0575800622723,-73.6124081151499)); #492681=CARTESIAN_POINT('Ctrl Pts',(11.8902981735538,32.8674552632501,-74.1780004983588)); #492682=CARTESIAN_POINT('Ctrl Pts',(12.4208222701182,32.6741636884689,-74.5280342305262)); #492683=CARTESIAN_POINT('Ctrl Pts',(13.1917939209567,32.3678514548967,-74.6831911655864)); #492684=CARTESIAN_POINT('Ctrl Pts',(13.7438650198482,32.1372669803328,-74.661044332238)); #492685=CARTESIAN_POINT('Ctrl Pts',(14.2184395327873,31.9292178724366,-74.5205345909323)); #492686=CARTESIAN_POINT('Ctrl Pts',(14.5666218143052,31.7710117863131,-74.3350791404169)); #492687=CARTESIAN_POINT('Ctrl Pts',(15.0476131885116,31.5481585177746,-73.9961017986721)); #492688=CARTESIAN_POINT('Ctrl Pts',(15.6087666599831,31.273561988751,-73.286163876454)); #492689=CARTESIAN_POINT('Ctrl Pts',(15.7997860581711,31.1760076931766,-72.4053398861677)); #492690=CARTESIAN_POINT('Ctrl Pts',(15.7994502589615,31.1762353920901,-71.9999999999963)); #492691=CARTESIAN_POINT('Ctrl Pts',(10.9677299535817,33.0112572267645,-71.9999999999963)); #492692=CARTESIAN_POINT('Ctrl Pts',(10.9677299535817,33.0112572267645,-72.2547837192068)); #492693=CARTESIAN_POINT('Ctrl Pts',(11.0629929638343,32.9797738889,-72.9278340035912)); #492694=CARTESIAN_POINT('Ctrl Pts',(11.4186627746167,32.8583837141105,-73.5170340526575)); #492695=CARTESIAN_POINT('Ctrl Pts',(11.9251934500384,32.6776140947234,-74.0458120310712)); #492696=CARTESIAN_POINT('Ctrl Pts',(12.4214866193975,32.4950539167028,-74.3715579536438)); #492697=CARTESIAN_POINT('Ctrl Pts',(13.1403711600987,32.208576438998,-74.5153962812282)); #492698=CARTESIAN_POINT('Ctrl Pts',(13.6549925475002,31.9937656684948,-74.4949016753435)); #492699=CARTESIAN_POINT('Ctrl Pts',(14.0982105662663,31.8002178319184,-74.3644582541816)); #492700=CARTESIAN_POINT('Ctrl Pts',(14.4242308752967,31.6530399747113,-74.1920266135067)); #492701=CARTESIAN_POINT('Ctrl Pts',(14.8756780951214,31.4454681414823,-73.8759734596914)); #492702=CARTESIAN_POINT('Ctrl Pts',(15.40586204051,31.1890017361349,-73.211610391389)); #492703=CARTESIAN_POINT('Ctrl Pts',(15.5871276161382,31.097840064939,-72.382175361273)); #492704=CARTESIAN_POINT('Ctrl Pts',(15.5871276161382,31.097840064939,-71.9999999999963)); #492705=CARTESIAN_POINT('',(15.5871276161382,31.097840064939,-71.9999999999963)); #492706=CARTESIAN_POINT('',(16.2240955446083,31.3330260463922,-71.9999999999963)); #492707=CARTESIAN_POINT('',(15.5871276161382,31.097840064939,-71.9999999999963)); #492708=CARTESIAN_POINT('Ctrl Pts',(16.2240955446083,31.3330260463922,-71.9999999999963)); #492709=CARTESIAN_POINT('Ctrl Pts',(16.2240955446083,31.3330260463922,-72.4523745148285)); #492710=CARTESIAN_POINT('Ctrl Pts',(16.0151355124275,31.4423477774851,-73.4347663454747)); #492711=CARTESIAN_POINT('Ctrl Pts',(15.3914344710236,31.7535549421613,-74.2362028448557)); #492712=CARTESIAN_POINT('Ctrl Pts',(14.8507604612052,32.0073146421786,-74.6215990941754)); #492713=CARTESIAN_POINT('Ctrl Pts',(14.4584301371644,32.1874472374831,-74.8327912539389)); #492714=CARTESIAN_POINT('Ctrl Pts',(13.9212266337685,32.4244995686493,-74.9936185114596)); #492715=CARTESIAN_POINT('Ctrl Pts',(13.2946337096691,32.6864569634687,-75.019017962315)); #492716=CARTESIAN_POINT('Ctrl Pts',(12.41932768672,33.0325076226153,-74.8412126163973)); #492717=CARTESIAN_POINT('Ctrl Pts',(11.8206708463701,33.2470886056416,-74.4423717536881)); #492718=CARTESIAN_POINT('Ctrl Pts',(11.2150980554448,33.4561283267411,-73.8032945009556)); #492719=CARTESIAN_POINT('Ctrl Pts',(10.7949072004689,33.5931577451803,-73.098009781957)); #492720=CARTESIAN_POINT('Ctrl Pts',(10.6836272142877,33.6279631707095,-72.3015831815492)); #492721=CARTESIAN_POINT('Ctrl Pts',(10.6836272142877,33.6279631707095,-71.9999999999963)); #492722=CARTESIAN_POINT('Ctrl Pts',(10.9677299535817,33.0112572267645,-71.9999999999963)); #492723=CARTESIAN_POINT('Ctrl Pts',(10.9677299535817,33.0112572267645,-72.2547837192068)); #492724=CARTESIAN_POINT('Ctrl Pts',(11.0629929638343,32.9797738889,-72.9278340035912)); #492725=CARTESIAN_POINT('Ctrl Pts',(11.4186627746167,32.8583837141105,-73.5170340526575)); #492726=CARTESIAN_POINT('Ctrl Pts',(11.9251934500384,32.6776140947234,-74.0458120310712)); #492727=CARTESIAN_POINT('Ctrl Pts',(12.4214866193975,32.4950539167028,-74.3715579536438)); #492728=CARTESIAN_POINT('Ctrl Pts',(13.1403711600987,32.208576438998,-74.5153962812282)); #492729=CARTESIAN_POINT('Ctrl Pts',(13.6549925475002,31.9937656684948,-74.4949016753435)); #492730=CARTESIAN_POINT('Ctrl Pts',(14.0982105662663,31.8002178319184,-74.3644582541816)); #492731=CARTESIAN_POINT('Ctrl Pts',(14.4242308752967,31.6530399747113,-74.1920266135067)); #492732=CARTESIAN_POINT('Ctrl Pts',(14.8756780951214,31.4454681414823,-73.8759734596914)); #492733=CARTESIAN_POINT('Ctrl Pts',(15.40586204051,31.1890017361349,-73.211610391389)); #492734=CARTESIAN_POINT('Ctrl Pts',(15.5871276161382,31.097840064939,-72.382175361273)); #492735=CARTESIAN_POINT('Ctrl Pts',(15.5871276161382,31.097840064939,-71.9999999999963)); #492736=CARTESIAN_POINT('Origin',(15.9056115803731,31.2154330556656,-8.49613135387756E-18)); #492737=CARTESIAN_POINT('',(16.2240955446081,31.3330260463922,-41.9999999999963)); #492738=CARTESIAN_POINT('',(15.5871276161382,31.097840064939,-41.9999999999963)); #492739=CARTESIAN_POINT('',(16.2240955446081,31.3330260463922,0.)); #492740=CARTESIAN_POINT('',(15.5871276161382,31.097840064939,-1.69922627077551E-17)); #492741=CARTESIAN_POINT('Ctrl Pts',(16.2240955446083,31.3330260463922,-41.9999999999963)); #492742=CARTESIAN_POINT('Ctrl Pts',(16.2240955446083,31.3330260463922,-41.6230210230553)); #492743=CARTESIAN_POINT('Ctrl Pts',(16.1570399120803,31.3679613967963,-41.220871627014)); #492744=CARTESIAN_POINT('Ctrl Pts',(15.9239254165357,31.4867793815567,-40.5925745239208)); #492745=CARTESIAN_POINT('Ctrl Pts',(15.6628021987162,31.6185464185334,-40.1062803175302)); #492746=CARTESIAN_POINT('Ctrl Pts',(15.1470505944892,31.8697666001534,-39.5559267171497)); #492747=CARTESIAN_POINT('Ctrl Pts',(14.465711119582,32.1859599475472,-39.1463780881033)); #492748=CARTESIAN_POINT('Ctrl Pts',(13.8326866506059,32.4615949170251,-39.0029385105736)); #492749=CARTESIAN_POINT('Ctrl Pts',(13.3449794888508,32.663959386433,-38.9983715736936)); #492750=CARTESIAN_POINT('Ctrl Pts',(12.8408355218212,32.8667905451351,-39.0640280639755)); #492751=CARTESIAN_POINT('Ctrl Pts',(12.2084257705805,33.1077359634076,-39.2984658611308)); #492752=CARTESIAN_POINT('Ctrl Pts',(11.5727015641831,33.3342074017163,-39.775415004536)); #492753=CARTESIAN_POINT('Ctrl Pts',(11.0855303472783,33.4985151714688,-40.3959938575029)); #492754=CARTESIAN_POINT('Ctrl Pts',(10.7498326587614,33.6071549615213,-41.1859097965474)); #492755=CARTESIAN_POINT('Ctrl Pts',(10.6836272142877,33.6279631707095,-41.7361148448863)); #492756=CARTESIAN_POINT('Ctrl Pts',(10.6836272142877,33.6279631707095,-41.9999999999963)); #492757=CARTESIAN_POINT('Ctrl Pts',(16.0117729017849,31.2546307192411,-41.9999999999963)); #492758=CARTESIAN_POINT('Ctrl Pts',(16.0117244994001,31.2546678738677,-41.6425471928188)); #492759=CARTESIAN_POINT('Ctrl Pts',(15.9477213574635,31.2876205791077,-41.2611472129928)); #492760=CARTESIAN_POINT('Ctrl Pts',(15.7255787004271,31.399678531666,-40.666486186679)); #492761=CARTESIAN_POINT('Ctrl Pts',(15.4771092741487,31.523854932238,-40.2066686072042)); #492762=CARTESIAN_POINT('Ctrl Pts',(14.988249467372,31.760086052718,-39.6883987330924)); #492763=CARTESIAN_POINT('Ctrl Pts',(14.3449497690939,32.0570032654427,-39.3038141606016)); #492764=CARTESIAN_POINT('Ctrl Pts',(13.749129536227,32.3158048510617,-39.1695760104229)); #492765=CARTESIAN_POINT('Ctrl Pts',(13.2905309120417,32.5060818845257,-39.1652664698927)); #492766=CARTESIAN_POINT('Ctrl Pts',(12.8163660560582,32.6971205771812,-39.2267886712437)); #492767=CARTESIAN_POINT('Ctrl Pts',(12.2211996165737,32.9248446565467,-39.4464945404058)); #492768=CARTESIAN_POINT('Ctrl Pts',(11.6213518857774,33.1402613067815,-39.8948365919925)); #492769=CARTESIAN_POINT('Ctrl Pts',(11.1600882931214,33.2976210640253,-40.4803637456654)); #492770=CARTESIAN_POINT('Ctrl Pts',(10.8414136002889,33.4022427627173,-41.2279300028742)); #492771=CARTESIAN_POINT('Ctrl Pts',(10.7781995573396,33.4224341080179,-41.7499009623342)); #492772=CARTESIAN_POINT('Ctrl Pts',(10.7783281273857,33.4223945227279,-41.9999999999963)); #492773=CARTESIAN_POINT('Ctrl Pts',(15.7994502589615,31.1762353920901,-41.9999999999963)); #492774=CARTESIAN_POINT('Ctrl Pts',(15.7994986613463,31.1761982374635,-41.6619941812197)); #492775=CARTESIAN_POINT('Ctrl Pts',(15.7383849069926,31.2072817133743,-41.3015441596866)); #492776=CARTESIAN_POINT('Ctrl Pts',(15.5274350302251,31.3124960806576,-40.7402397994455)); #492777=CARTESIAN_POINT('Ctrl Pts',(15.2917095635456,31.4290080302251,-40.3073255756481)); #492778=CARTESIAN_POINT('Ctrl Pts',(14.8296553416107,31.6503562440534,-39.8204798840385)); #492779=CARTESIAN_POINT('Ctrl Pts',(14.2244531933107,31.927957328269,-39.4610281311634)); #492780=CARTESIAN_POINT('Ctrl Pts',(13.6659592901941,32.1699017376184,-39.3358149569083)); #492781=CARTESIAN_POINT('Ctrl Pts',(13.2362218845532,32.3481759571244,-39.3318130440091)); #492782=CARTESIAN_POINT('Ctrl Pts',(12.7922173110156,32.5273604303769,-39.3891508272212)); #492783=CARTESIAN_POINT('Ctrl Pts',(12.2341887323391,32.7418884041902,-39.5942220019067)); #492784=CARTESIAN_POINT('Ctrl Pts',(11.6699122598588,32.946342500778,-40.0142403690991)); #492785=CARTESIAN_POINT('Ctrl Pts',(11.2346263608838,33.0967328952917,-40.564688770172)); #492786=CARTESIAN_POINT('Ctrl Pts',(10.9327431711865,33.1973947432807,-41.2701423930504)); #492787=CARTESIAN_POINT('Ctrl Pts',(10.8731576105299,33.2167862894562,-41.7632782370088)); #492788=CARTESIAN_POINT('Ctrl Pts',(10.8730290404837,33.2168258747462,-41.9999999999963)); #492789=CARTESIAN_POINT('Ctrl Pts',(15.5871276161382,31.097840064939,-41.9999999999963)); #492790=CARTESIAN_POINT('Ctrl Pts',(15.5871276161382,31.097840064939,-41.6815203509833)); #492791=CARTESIAN_POINT('Ctrl Pts',(15.5290663523758,31.1269408956857,-41.3418197456654)); #492792=CARTESIAN_POINT('Ctrl Pts',(15.3290883141165,31.2253952307669,-40.8141514622037)); #492793=CARTESIAN_POINT('Ctrl Pts',(15.106016638978,31.3343165439297,-40.4077138653221)); #492794=CARTESIAN_POINT('Ctrl Pts',(14.6708542144935,31.540675696618,-39.9529518999812)); #492795=CARTESIAN_POINT('Ctrl Pts',(14.1036918428226,31.7990006461645,-39.6184642036617)); #492796=CARTESIAN_POINT('Ctrl Pts',(13.5824021758152,32.024111671655,-39.5024524567576)); #492797=CARTESIAN_POINT('Ctrl Pts',(13.1817733077442,32.1902984552171,-39.4987079402082)); #492798=CARTESIAN_POINT('Ctrl Pts',(12.7677478452526,32.3576904624231,-39.5519114344894)); #492799=CARTESIAN_POINT('Ctrl Pts',(12.2469625783324,32.5589970973293,-39.7422506811817)); #492800=CARTESIAN_POINT('Ctrl Pts',(11.7185625814531,32.7523964058432,-40.1336619565556)); #492801=CARTESIAN_POINT('Ctrl Pts',(11.3091843067269,32.8958387878482,-40.6490586583344)); #492802=CARTESIAN_POINT('Ctrl Pts',(11.0243241127139,32.9924825444766,-41.3121625993772)); #492803=CARTESIAN_POINT('Ctrl Pts',(10.9677299535817,33.0112572267645,-41.7770643544567)); #492804=CARTESIAN_POINT('Ctrl Pts',(10.9677299535817,33.0112572267645,-41.9999999999963)); #492805=CARTESIAN_POINT('Ctrl Pts',(10.6836272142877,33.6279631707095,-41.9999999999963)); #492806=CARTESIAN_POINT('Ctrl Pts',(10.6836272142877,33.6279631707095,-41.7361148448863)); #492807=CARTESIAN_POINT('Ctrl Pts',(10.7498326587614,33.6071549615213,-41.1859097965474)); #492808=CARTESIAN_POINT('Ctrl Pts',(11.0855303472783,33.4985151714688,-40.3959938575029)); #492809=CARTESIAN_POINT('Ctrl Pts',(11.5727015641831,33.3342074017163,-39.775415004536)); #492810=CARTESIAN_POINT('Ctrl Pts',(12.2084257705805,33.1077359634076,-39.2984658611308)); #492811=CARTESIAN_POINT('Ctrl Pts',(12.8408355218212,32.8667905451351,-39.0640280639755)); #492812=CARTESIAN_POINT('Ctrl Pts',(13.3449794888508,32.663959386433,-38.9983715736936)); #492813=CARTESIAN_POINT('Ctrl Pts',(13.8326866506059,32.4615949170251,-39.0029385105736)); #492814=CARTESIAN_POINT('Ctrl Pts',(14.465711119582,32.1859599475472,-39.1463780881033)); #492815=CARTESIAN_POINT('Ctrl Pts',(15.1470505944892,31.8697666001534,-39.5559267171497)); #492816=CARTESIAN_POINT('Ctrl Pts',(15.6628021987162,31.6185464185334,-40.1062803175302)); #492817=CARTESIAN_POINT('Ctrl Pts',(15.9239254165357,31.4867793815567,-40.5925745239208)); #492818=CARTESIAN_POINT('Ctrl Pts',(16.1570399120803,31.3679613967963,-41.220871627014)); #492819=CARTESIAN_POINT('Ctrl Pts',(16.2240955446083,31.3330260463922,-41.6230210230553)); #492820=CARTESIAN_POINT('Ctrl Pts',(16.2240955446083,31.3330260463922,-41.9999999999963)); #492821=CARTESIAN_POINT('Origin',(0.,0.,-71.9999999999963)); #492822=CARTESIAN_POINT('',(2.30969883127822,-0.956708580912726,-71.9999999999963)); #492823=CARTESIAN_POINT('Origin',(0.,0.,0.)); #492824=CARTESIAN_POINT('',(-35.2816635640726,1.32391267352434E-5,-75.0041233072197)); #492825=CARTESIAN_POINT('',(-35.2842712474619,4.32107698434512E-15,0.)); #492826=CARTESIAN_POINT('',(-35.153481399434,2.99507121464009,-71.9999999999963)); #492827=CARTESIAN_POINT('Ctrl Pts',(-35.1530429420905,2.99459715192608, -71.9999999999963)); #492828=CARTESIAN_POINT('Ctrl Pts',(-35.1530429420905,2.99459715192608, -72.4303121828803)); #492829=CARTESIAN_POINT('Ctrl Pts',(-35.1715455912222,2.78810983530982, -73.3584701928202)); #492830=CARTESIAN_POINT('Ctrl Pts',(-35.215170081287,2.17604573882395,-74.1088774098584)); #492831=CARTESIAN_POINT('Ctrl Pts',(-35.2378338821717,1.73391782804942, -74.4437578000179)); #492832=CARTESIAN_POINT('Ctrl Pts',(-35.2467389516713,1.54670447144187, -74.5698670197391)); #492833=CARTESIAN_POINT('Ctrl Pts',(-35.2570409762421,1.29736875849053, -74.7076948584361)); #492834=CARTESIAN_POINT('Ctrl Pts',(-35.2656678719706,1.03430117266964, -74.8175429264087)); #492835=CARTESIAN_POINT('Ctrl Pts',(-35.2767995041675,0.597980965861558, -74.953508125517)); #492836=CARTESIAN_POINT('Ctrl Pts',(-35.2807856000327,0.271458002777974, -75.0022181787534)); #492837=CARTESIAN_POINT('Ctrl Pts',(-35.2808806463976,1.33445440927277E-5, -75.003383874555)); #492838=CARTESIAN_POINT('',(-35.1566340259346,2.99847985335284,-41.9999999999963)); #492839=CARTESIAN_POINT('',(-35.1566340259346,2.99847985335284,0.)); #492840=CARTESIAN_POINT('',(-35.2833503602138,5.69805771086214E-7,-38.9983508259588)); #492841=CARTESIAN_POINT('Ctrl Pts',(-35.2845836072061,-2.67536864870244E-7, -38.9972918921186)); #492842=CARTESIAN_POINT('Ctrl Pts',(-35.2845812248411,0.0765550397076865, -38.9973430201749)); #492843=CARTESIAN_POINT('Ctrl Pts',(-35.2835490733839,0.382479024229106, -39.0095908332409)); #492844=CARTESIAN_POINT('Ctrl Pts',(-35.2708773728056,1.16833283598923, -39.1576963872368)); #492845=CARTESIAN_POINT('Ctrl Pts',(-35.2398411863087,1.8017410739773,-39.5585217332267)); #492846=CARTESIAN_POINT('Ctrl Pts',(-35.2046353668866,2.38697763606976, -40.1442820147055)); #492847=CARTESIAN_POINT('Ctrl Pts',(-35.1702415436453,2.84784849597214, -40.8189051297939)); #492848=CARTESIAN_POINT('Ctrl Pts',(-35.1566340259346,2.99847985335299, -41.6230210230552)); #492849=CARTESIAN_POINT('Ctrl Pts',(-35.1566340259346,2.99847985335299, -41.9999999999963)); #492850=CARTESIAN_POINT('',(-35.2842712474619,-4.32107698434512E-15,0.)); #492851=CARTESIAN_POINT('',(-35.2842712474619,4.32107698434512E-15,0.)); #492852=CARTESIAN_POINT('Origin',(0.,0.,0.)); #492853=CARTESIAN_POINT('',(-35.155423292333,-2.99717080090897,-41.9999999999963)); #492854=CARTESIAN_POINT('Ctrl Pts',(-35.1552415120793,-2.99697425900664, -41.9999999999963)); #492855=CARTESIAN_POINT('Ctrl Pts',(-35.1552421780813,-2.99697168518318, -41.5478358799966)); #492856=CARTESIAN_POINT('Ctrl Pts',(-35.1761766467376,-2.76425273799983, -40.5666047844551)); #492857=CARTESIAN_POINT('Ctrl Pts',(-35.22258181827,-2.10226754170234,-39.80700486515)); #492858=CARTESIAN_POINT('Ctrl Pts',(-35.2457399722855,-1.62056398477266, -39.4751423019638)); #492859=CARTESIAN_POINT('Ctrl Pts',(-35.2547502715834,-1.41554423350192, -39.3517465816152)); #492860=CARTESIAN_POINT('Ctrl Pts',(-35.2688946213876,-1.03954270067922, -39.1677308990288)); #492861=CARTESIAN_POINT('Ctrl Pts',(-35.2805584490106,-0.53060087292195, -39.0282720155069)); #492862=CARTESIAN_POINT('Ctrl Pts',(-35.2830781180385,-0.151980759146765, -38.9987007773218)); #492863=CARTESIAN_POINT('Ctrl Pts',(-35.2830733889589,7.62717596580753E-7, -38.9988022909145)); #492864=CARTESIAN_POINT('',(-35.1566340259346,-2.99847985335284,-71.9999999999963)); #492865=CARTESIAN_POINT('',(-35.1566340259346,-2.99847985335284,0.)); #492866=CARTESIAN_POINT('Ctrl Pts',(-35.284776278651,1.32585680970437E-5, -75.0072872509228)); #492867=CARTESIAN_POINT('Ctrl Pts',(-35.2848534259261,-0.220104087284347, -75.0082325386023)); #492868=CARTESIAN_POINT('Ctrl Pts',(-35.2787549749613,-0.876694157224919, -74.933801583848)); #492869=CARTESIAN_POINT('Ctrl Pts',(-35.2441363651356,-1.74731662625756, -74.5110552715817)); #492870=CARTESIAN_POINT('Ctrl Pts',(-35.2066670205568,-2.3511280108514, -73.8985107948277)); #492871=CARTESIAN_POINT('Ctrl Pts',(-35.1703643873278,-2.84981576006348, -73.1267631035288)); #492872=CARTESIAN_POINT('Ctrl Pts',(-35.1566340259346,-2.99847985335299, -72.4308329325739)); #492873=CARTESIAN_POINT('Ctrl Pts',(-35.1566340259346,-2.99847985335298, -71.9999999999963)); #492874=CARTESIAN_POINT('',(22.7392488858751,26.9797397609891,-71.9999999999963)); #492875=CARTESIAN_POINT('',(22.7392488858751,26.9797397609891,-41.9999999999963)); #492876=CARTESIAN_POINT('',(22.7392488858751,26.9797397609891,0.)); #492877=CARTESIAN_POINT('',(26.9797397609892,22.7392488858749,-71.9999999999963)); #492878=CARTESIAN_POINT('Ctrl Pts',(26.9797397609892,22.7392488858749,-71.9999999999963)); #492879=CARTESIAN_POINT('Ctrl Pts',(26.9797397609892,22.7392488858749,-72.4308329325739)); #492880=CARTESIAN_POINT('Ctrl Pts',(26.8938077924815,22.8426097480042,-73.0644907349205)); #492881=CARTESIAN_POINT('Ctrl Pts',(26.5823638792832,23.2037887399283,-73.8554281007366)); #492882=CARTESIAN_POINT('Ctrl Pts',(26.3370019561177,23.4824155904558,-74.2468815551915)); #492883=CARTESIAN_POINT('Ctrl Pts',(26.060697517937,23.7877292913832,-74.5422234350488)); #492884=CARTESIAN_POINT('Ctrl Pts',(25.8744160730247,23.990123864496,-74.6945314320523)); #492885=CARTESIAN_POINT('Ctrl Pts',(25.6598822817023,24.2197086961519,-74.8308241980004)); #492886=CARTESIAN_POINT('Ctrl Pts',(25.2029765082624,24.6996381582351,-75.0273524880922)); #492887=CARTESIAN_POINT('Ctrl Pts',(24.6425141359664,25.2600862014277,-75.0269480663949)); #492888=CARTESIAN_POINT('Ctrl Pts',(24.1040258199075,25.7691249738881,-74.7746431240578)); #492889=CARTESIAN_POINT('Ctrl Pts',(23.8076139398895,26.0424173580184,-74.5570819272904)); #492890=CARTESIAN_POINT('Ctrl Pts',(23.3999238353836,26.412294294999,-74.1760223160087)); #492891=CARTESIAN_POINT('Ctrl Pts',(22.9036028423149,26.8423016428165,-73.3666851009859)); #492892=CARTESIAN_POINT('Ctrl Pts',(22.7392488858749,26.9797397609892,-72.430832932574)); #492893=CARTESIAN_POINT('Ctrl Pts',(22.7392488858749,26.9797397609892,-71.9999999999963)); #492894=CARTESIAN_POINT('',(26.9797397609891,22.739248885875,-41.9999999999963)); #492895=CARTESIAN_POINT('',(26.9797397609891,22.739248885875,0.)); #492896=CARTESIAN_POINT('Ctrl Pts',(22.7392488858749,26.9797397609892,-41.9999999999963)); #492897=CARTESIAN_POINT('Ctrl Pts',(22.7392488858749,26.9797397609892,-41.569167067419)); #492898=CARTESIAN_POINT('Ctrl Pts',(22.8978924197258,26.8475543842325,-40.6376353821041)); #492899=CARTESIAN_POINT('Ctrl Pts',(23.387406847295,26.4234619611826,-39.842166966642)); #492900=CARTESIAN_POINT('Ctrl Pts',(23.7914708718177,26.0575625547526,-39.4526955423314)); #492901=CARTESIAN_POINT('Ctrl Pts',(24.0844440518295,25.7881615576447,-39.2295664982368)); #492902=CARTESIAN_POINT('Ctrl Pts',(24.6442977210736,25.2589029890744,-38.966749019024)); #492903=CARTESIAN_POINT('Ctrl Pts',(25.2582790351611,24.6451158864212,-38.9669562198511)); #492904=CARTESIAN_POINT('Ctrl Pts',(25.8639302251446,24.0040799579824,-39.2693232067301)); #492905=CARTESIAN_POINT('Ctrl Pts',(26.3770485123321,23.44083756278,-39.7562906375487)); #492906=CARTESIAN_POINT('Ctrl Pts',(26.8461727940936,22.8994724752506,-40.6364838327232)); #492907=CARTESIAN_POINT('Ctrl Pts',(26.9797397609892,22.7392488858749,-41.5691670674187)); #492908=CARTESIAN_POINT('Ctrl Pts',(26.9797397609892,22.7392488858749,-41.9999999999963)); #492909=CARTESIAN_POINT('',(35.1566340259346,2.99847985335283,-71.9999999999963)); #492910=CARTESIAN_POINT('',(35.1566340259346,2.99847985335283,-41.9999999999963)); #492911=CARTESIAN_POINT('',(35.1566340259346,2.99847985335283,0.)); #492912=CARTESIAN_POINT('',(35.1566340259346,-2.99847985335299,-71.9999999999963)); #492913=CARTESIAN_POINT('Ctrl Pts',(35.1566340259346,-2.99847985335299, -71.9999999999963)); #492914=CARTESIAN_POINT('Ctrl Pts',(35.1566340259346,-2.99847985335299, -72.2638851551065)); #492915=CARTESIAN_POINT('Ctrl Pts',(35.1628828640525,-2.92919175799492, -72.8173586962704)); #492916=CARTESIAN_POINT('Ctrl Pts',(35.199843464431,-2.46314635406515,-73.8600849660023)); #492917=CARTESIAN_POINT('Ctrl Pts',(35.2516536310539,-1.66444319441761, -74.6025954812563)); #492918=CARTESIAN_POINT('Ctrl Pts',(35.2827781269774,-0.573825811525736, -74.9828437484492)); #492919=CARTESIAN_POINT('Ctrl Pts',(35.286557940586,0.224712139355426,-75.0240613804536)); #492920=CARTESIAN_POINT('Ctrl Pts',(35.2718356842161,1.0464691813816,-74.8538817734598)); #492921=CARTESIAN_POINT('Ctrl Pts',(35.2403187608639,1.8001608870469,-74.4429937698031)); #492922=CARTESIAN_POINT('Ctrl Pts',(35.2056647501411,2.37063799188117,-73.8938802377274)); #492923=CARTESIAN_POINT('Ctrl Pts',(35.1838182475565,2.66286853330322,-73.407182720336)); #492924=CARTESIAN_POINT('Ctrl Pts',(35.1632544888337,2.92335578327561,-72.7790509760547)); #492925=CARTESIAN_POINT('Ctrl Pts',(35.1566340259346,2.99847985335298,-72.3769789769375)); #492926=CARTESIAN_POINT('Ctrl Pts',(35.1566340259346,2.99847985335298,-71.9999999999963)); #492927=CARTESIAN_POINT('',(35.1566340259346,-2.99847985335285,-41.9999999999963)); #492928=CARTESIAN_POINT('',(35.1566340259346,-2.99847985335285,0.)); #492929=CARTESIAN_POINT('Ctrl Pts',(35.1566340259346,2.99847985335298,-41.9999999999963)); #492930=CARTESIAN_POINT('Ctrl Pts',(35.1566340259346,2.99847985335298,-41.569167067419)); #492931=CARTESIAN_POINT('Ctrl Pts',(35.1753500106642,2.79282338902181,-40.6375573238297)); #492932=CARTESIAN_POINT('Ctrl Pts',(35.2216122730958,2.14679492112076,-39.8420631438778)); #492933=CARTESIAN_POINT('Ctrl Pts',(35.2486003345588,1.60234397050899,-39.4525730648602)); #492934=CARTESIAN_POINT('Ctrl Pts',(35.2652684560591,1.20468675548028,-39.2294432776782)); #492935=CARTESIAN_POINT('Ctrl Pts',(35.2869032249589,0.434571334137849, -38.9666166808007)); #492936=CARTESIAN_POINT('Ctrl Pts',(35.287039669137,-0.433563354937821, -38.9668372708243)); #492937=CARTESIAN_POINT('Ctrl Pts',(35.2620185974132,-1.31509212250869, -39.269209335542)); #492938=CARTESIAN_POINT('Ctrl Pts',(35.2265736282218,-2.07619870222808, -39.7561978183411)); #492939=CARTESIAN_POINT('Ctrl Pts',(35.17548943722,-2.79073063611486,-40.6364208143545)); #492940=CARTESIAN_POINT('Ctrl Pts',(35.1566340259346,-2.99847985335299, -41.5691670674188)); #492941=CARTESIAN_POINT('Ctrl Pts',(35.1566340259346,-2.99847985335299, -41.9999999999963)); #492942=CARTESIAN_POINT('',(26.9797397609891,-22.7392488858751,-71.9999999999963)); #492943=CARTESIAN_POINT('',(26.9797397609891,-22.7392488858751,-41.9999999999963)); #492944=CARTESIAN_POINT('',(26.9797397609891,-22.7392488858751,0.)); #492945=CARTESIAN_POINT('',(22.7392488858749,-26.9797397609892,-71.9999999999963)); #492946=CARTESIAN_POINT('Ctrl Pts',(22.7392488858749,-26.9797397609892, -71.9999999999963)); #492947=CARTESIAN_POINT('Ctrl Pts',(22.7392488858749,-26.9797397609892, -72.3769789769375)); #492948=CARTESIAN_POINT('Ctrl Pts',(22.7966158329822,-26.9317592656536, -72.7789562087357)); #492949=CARTESIAN_POINT('Ctrl Pts',(22.9858292475375,-26.7702905942404, -73.3789357337839)); #492950=CARTESIAN_POINT('Ctrl Pts',(23.1792602512451,-26.6039196508837, -73.8190760684792)); #492951=CARTESIAN_POINT('Ctrl Pts',(23.5466585232576,-26.2801710637289, -74.3315033039112)); #492952=CARTESIAN_POINT('Ctrl Pts',(24.0154487647824,-25.8534723356579, -74.740437837801)); #492953=CARTESIAN_POINT('Ctrl Pts',(24.7103093390603,-25.1953783693365, -75.0491828610405)); #492954=CARTESIAN_POINT('Ctrl Pts',(25.5742392730066,-24.3239770818201, -74.997482431141)); #492955=CARTESIAN_POINT('Ctrl Pts',(26.187138649845,-23.6506481588231,-74.4570206147617)); #492956=CARTESIAN_POINT('Ctrl Pts',(26.5814230340033,-23.2052349833381, -73.8555239581573)); #492957=CARTESIAN_POINT('Ctrl Pts',(26.733018431588,-23.0295659998376,-73.5189121794281)); #492958=CARTESIAN_POINT('Ctrl Pts',(26.9316870352729,-22.7967106591516, -72.7791806603286)); #492959=CARTESIAN_POINT('Ctrl Pts',(26.9797397609892,-22.7392488858749, -72.3769789769375)); #492960=CARTESIAN_POINT('Ctrl Pts',(26.9797397609892,-22.7392488858749, -71.9999999999963)); #492961=CARTESIAN_POINT('',(22.739248885875,-26.9797397609891,-41.9999999999963)); #492962=CARTESIAN_POINT('',(22.739248885875,-26.9797397609891,0.)); #492963=CARTESIAN_POINT('Ctrl Pts',(26.9797397609892,-22.7392488858749, -41.9999999999963)); #492964=CARTESIAN_POINT('Ctrl Pts',(26.9797397609892,-22.7392488858749, -41.569167067419)); #492965=CARTESIAN_POINT('Ctrl Pts',(26.8475543842325,-22.8978924197258, -40.6376353821041)); #492966=CARTESIAN_POINT('Ctrl Pts',(26.4234619611826,-23.3874068472951, -39.842166966642)); #492967=CARTESIAN_POINT('Ctrl Pts',(26.0575625547526,-23.7914708718177, -39.4526955423315)); #492968=CARTESIAN_POINT('Ctrl Pts',(25.7881615576447,-24.0844440518296, -39.2295664982368)); #492969=CARTESIAN_POINT('Ctrl Pts',(25.2589029890746,-24.6442977210735, -38.9667490190241)); #492970=CARTESIAN_POINT('Ctrl Pts',(24.6451158864212,-25.2582790351612, -38.9669562198511)); #492971=CARTESIAN_POINT('Ctrl Pts',(24.0040799579824,-25.8639302251446, -39.2693232067302)); #492972=CARTESIAN_POINT('Ctrl Pts',(23.44083756278,-26.3770485123321,-39.7562906375488)); #492973=CARTESIAN_POINT('Ctrl Pts',(22.8994724752506,-26.8461727940936, -40.6364838327233)); #492974=CARTESIAN_POINT('Ctrl Pts',(22.7392488858749,-26.9797397609892, -41.5691670674188)); #492975=CARTESIAN_POINT('Ctrl Pts',(22.7392488858749,-26.9797397609892, -41.9999999999963)); #492976=CARTESIAN_POINT('',(2.99847985335284,-35.1566340259346,-71.9999999999963)); #492977=CARTESIAN_POINT('',(2.99847985335284,-35.1566340259346,-41.9999999999963)); #492978=CARTESIAN_POINT('',(2.99847985335284,-35.1566340259346,0.)); #492979=CARTESIAN_POINT('',(-2.99847985335299,-35.1566340259346,-71.9999999999963)); #492980=CARTESIAN_POINT('Ctrl Pts',(-2.99847985335299,-35.1566340259346, -71.9999999999963)); #492981=CARTESIAN_POINT('Ctrl Pts',(-2.99847985335299,-35.1566340259346, -72.2638851551065)); #492982=CARTESIAN_POINT('Ctrl Pts',(-2.92919175799491,-35.1628828640525, -72.8173586962704)); #492983=CARTESIAN_POINT('Ctrl Pts',(-2.46314635406514,-35.199843464431, -73.8600849660024)); #492984=CARTESIAN_POINT('Ctrl Pts',(-1.6644431944176,-35.2516536310539, -74.6025954812563)); #492985=CARTESIAN_POINT('Ctrl Pts',(-0.573825811525724,-35.2827781269774, -74.9828437484492)); #492986=CARTESIAN_POINT('Ctrl Pts',(0.224712139355435,-35.286557940586, -75.0240613804536)); #492987=CARTESIAN_POINT('Ctrl Pts',(1.04646918138161,-35.2718356842161, -74.8538817734599)); #492988=CARTESIAN_POINT('Ctrl Pts',(1.80016088704691,-35.2403187608639, -74.4429937698031)); #492989=CARTESIAN_POINT('Ctrl Pts',(2.37063799188117,-35.2056647501411, -73.8938802377274)); #492990=CARTESIAN_POINT('Ctrl Pts',(2.66286853330322,-35.1838182475565, -73.4071827203359)); #492991=CARTESIAN_POINT('Ctrl Pts',(2.92335578327562,-35.1632544888337, -72.7790509760547)); #492992=CARTESIAN_POINT('Ctrl Pts',(2.99847985335298,-35.1566340259346, -72.3769789769375)); #492993=CARTESIAN_POINT('Ctrl Pts',(2.99847985335298,-35.1566340259346, -71.9999999999963)); #492994=CARTESIAN_POINT('',(-2.99847985335284,-35.1566340259346,-41.9999999999963)); #492995=CARTESIAN_POINT('',(-2.99847985335284,-35.1566340259346,0.)); #492996=CARTESIAN_POINT('Ctrl Pts',(2.99847985335298,-35.1566340259346, -41.9999999999963)); #492997=CARTESIAN_POINT('Ctrl Pts',(2.99847985335298,-35.1566340259346, -41.5476254851644)); #492998=CARTESIAN_POINT('Ctrl Pts',(2.76566038187735,-35.1775879542263, -40.5659303507731)); #492999=CARTESIAN_POINT('Ctrl Pts',(2.10336670575776,-35.2240353496866, -39.8059384216226)); #493000=CARTESIAN_POINT('Ctrl Pts',(1.621423206754,-35.2472148465392,-39.4738985205467)); #493001=CARTESIAN_POINT('Ctrl Pts',(1.41629767962994,-35.2562336697441, -39.3504348704489)); #493002=CARTESIAN_POINT('Ctrl Pts',(1.04010294635549,-35.2703913294483, -39.1663172244997)); #493003=CARTESIAN_POINT('Ctrl Pts',(0.452133669497831,-35.2838719006109, -39.0051976387664)); #493004=CARTESIAN_POINT('Ctrl Pts',(-0.225739944213127,-35.2860764527274, -38.9800510792418)); #493005=CARTESIAN_POINT('Ctrl Pts',(-1.16833283598924,-35.2708773728055, -39.1576963872367)); #493006=CARTESIAN_POINT('Ctrl Pts',(-1.8017410739773,-35.2398411863087, -39.5585217332267)); #493007=CARTESIAN_POINT('Ctrl Pts',(-2.38697763606977,-35.2046353668865, -40.1442820147055)); #493008=CARTESIAN_POINT('Ctrl Pts',(-2.84784849597214,-35.1702415436452, -40.8189051297939)); #493009=CARTESIAN_POINT('Ctrl Pts',(-2.99847985335299,-35.1566340259346, -41.6230210230552)); #493010=CARTESIAN_POINT('Ctrl Pts',(-2.99847985335299,-35.1566340259346, -41.9999999999963)); #493011=CARTESIAN_POINT('',(-22.7392488858751,-26.9797397609891,-71.9999999999963)); #493012=CARTESIAN_POINT('',(-22.7392488858751,-26.9797397609891,-41.9999999999963)); #493013=CARTESIAN_POINT('',(-22.7392488858751,-26.9797397609891,0.)); #493014=CARTESIAN_POINT('',(-26.9797397609892,-22.7392488858749,-71.9999999999963)); #493015=CARTESIAN_POINT('Ctrl Pts',(-26.9797397609892,-22.7392488858749, -71.9999999999963)); #493016=CARTESIAN_POINT('Ctrl Pts',(-26.9797397609892,-22.7392488858749, -72.3769789769375)); #493017=CARTESIAN_POINT('Ctrl Pts',(-26.9310484991665,-22.7972854238164, -72.7790542569211)); #493018=CARTESIAN_POINT('Ctrl Pts',(-26.7502060333621,-23.0090531198223, -73.4461525561923)); #493019=CARTESIAN_POINT('Ctrl Pts',(-26.5278590984421,-23.2669564057014, -73.9855210708263)); #493020=CARTESIAN_POINT('Ctrl Pts',(-26.0641363217007,-23.7876323419952, -74.5881429501646)); #493021=CARTESIAN_POINT('Ctrl Pts',(-25.2290960693646,-24.6814501409937, -75.0965292767664)); #493022=CARTESIAN_POINT('Ctrl Pts',(-24.5618181941368,-25.3386307145898, -75.0126311179209)); #493023=CARTESIAN_POINT('Ctrl Pts',(-24.0452703064212,-25.8227499279823, -74.7244668370662)); #493024=CARTESIAN_POINT('Ctrl Pts',(-23.8864585267063,-25.9700850268746, -74.618650905515)); #493025=CARTESIAN_POINT('Ctrl Pts',(-23.4445366223767,-26.372836256596, -74.2329940397847)); #493026=CARTESIAN_POINT('Ctrl Pts',(-23.0705582113806,-26.6980586561983, -73.6587690137388)); #493027=CARTESIAN_POINT('Ctrl Pts',(-22.7883669512913,-26.9386215406674, -72.7542011387476)); #493028=CARTESIAN_POINT('Ctrl Pts',(-22.7392488858749,-26.9797397609892, -72.2827341522343)); #493029=CARTESIAN_POINT('Ctrl Pts',(-22.7392488858749,-26.9797397609892, -71.9999999999963)); #493030=CARTESIAN_POINT('',(-26.9797397609891,-22.739248885875,-41.9999999999963)); #493031=CARTESIAN_POINT('',(-26.9797397609891,-22.739248885875,0.)); #493032=CARTESIAN_POINT('Ctrl Pts',(-22.7392488858749,-26.9797397609892, -41.9999999999963)); #493033=CARTESIAN_POINT('Ctrl Pts',(-22.7392488858749,-26.9797397609892, -41.569167067419)); #493034=CARTESIAN_POINT('Ctrl Pts',(-22.8978924197258,-26.8475543842325, -40.6376353821042)); #493035=CARTESIAN_POINT('Ctrl Pts',(-23.3874068472951,-26.4234619611826, -39.8421669666421)); #493036=CARTESIAN_POINT('Ctrl Pts',(-23.7914708718178,-26.0575625547526, -39.4526955423315)); #493037=CARTESIAN_POINT('Ctrl Pts',(-24.0844440518296,-25.7881615576448, -39.2295664982369)); #493038=CARTESIAN_POINT('Ctrl Pts',(-24.6442977210735,-25.2589029890746, -38.9667490190242)); #493039=CARTESIAN_POINT('Ctrl Pts',(-25.2582790351612,-24.6451158864212, -38.9669562198511)); #493040=CARTESIAN_POINT('Ctrl Pts',(-25.8639302251446,-24.0040799579824, -39.2693232067302)); #493041=CARTESIAN_POINT('Ctrl Pts',(-26.3770485123321,-23.44083756278,-39.7562906375488)); #493042=CARTESIAN_POINT('Ctrl Pts',(-26.8461727940936,-22.8994724752506, -40.6364838327234)); #493043=CARTESIAN_POINT('Ctrl Pts',(-26.9797397609892,-22.7392488858749, -41.5691670674188)); #493044=CARTESIAN_POINT('Ctrl Pts',(-26.9797397609892,-22.7392488858749, -41.9999999999963)); #493045=CARTESIAN_POINT('',(-26.9797397609891,22.739248885875,-71.9999999999963)); #493046=CARTESIAN_POINT('',(-26.9797397609891,22.739248885875,-41.9999999999963)); #493047=CARTESIAN_POINT('',(-26.9797397609891,22.739248885875,0.)); #493048=CARTESIAN_POINT('',(-22.7392488858749,26.9797397609892,-71.9999999999964)); #493049=CARTESIAN_POINT('Ctrl Pts',(-22.7392488858749,26.9797397609892, -71.9999999999963)); #493050=CARTESIAN_POINT('Ctrl Pts',(-22.7392488858749,26.9797397609892, -72.4523745148286)); #493051=CARTESIAN_POINT('Ctrl Pts',(-22.920207732289,26.8285272980378,-73.4347630024096)); #493052=CARTESIAN_POINT('Ctrl Pts',(-23.4464026439347,26.3713992192347, -74.236196686671)); #493053=CARTESIAN_POINT('Ctrl Pts',(-23.8877515919299,25.9689924251383, -74.6215917491207)); #493054=CARTESIAN_POINT('Ctrl Pts',(-24.2043106257692,25.6754603137266, -74.8327837928764)); #493055=CARTESIAN_POINT('Ctrl Pts',(-24.628897585533,25.2698646678825,-74.9936107184284)); #493056=CARTESIAN_POINT('Ctrl Pts',(-25.1107020623995,24.7912143910335, -75.0190101344667)); #493057=CARTESIAN_POINT('Ctrl Pts',(-25.7653772813,24.1149640423043,-74.8412052918269)); #493058=CARTESIAN_POINT('Ctrl Pts',(-26.1927234013329,23.6439911683981, -74.4423665210046)); #493059=CARTESIAN_POINT('Ctrl Pts',(-26.6175956648167,23.1645091592951, -73.8032908297479)); #493060=CARTESIAN_POINT('Ctrl Pts',(-26.9049964262286,22.8287405891656, -73.0980075886629)); #493061=CARTESIAN_POINT('Ctrl Pts',(-26.9797397609892,22.7392488858749, -72.301583181549)); #493062=CARTESIAN_POINT('Ctrl Pts',(-26.9797397609892,22.7392488858749, -71.9999999999963)); #493063=CARTESIAN_POINT('',(-22.739248885875,26.9797397609891,-41.9999999999963)); #493064=CARTESIAN_POINT('',(-22.739248885875,26.9797397609891,0.)); #493065=CARTESIAN_POINT('Ctrl Pts',(-26.9797397609892,22.7392488858749, -41.9999999999963)); #493066=CARTESIAN_POINT('Ctrl Pts',(-26.9797397609892,22.7392488858749, -41.7361148448862)); #493067=CARTESIAN_POINT('Ctrl Pts',(-26.9351791199015,22.7924524647956, -41.1859077673439)); #493068=CARTESIAN_POINT('Ctrl Pts',(-26.7063412213143,23.0610241476138, -40.3959881925608)); #493069=CARTESIAN_POINT('Ctrl Pts',(-26.3681077225077,23.4482344277374, -39.7754079077343)); #493070=CARTESIAN_POINT('Ctrl Pts',(-25.9155914694799,23.948903272064,-39.2984547518443)); #493071=CARTESIAN_POINT('Ctrl Pts',(-25.4509734212263,24.4409687401906, -39.0640158204356)); #493072=CARTESIAN_POINT('Ctrl Pts',(-25.0706540255623,24.8291172197619, -38.998359189222)); #493073=CARTESIAN_POINT('Ctrl Pts',(-24.6970559655265,25.2022585593415, -39.0029263971866)); #493074=CARTESIAN_POINT('Ctrl Pts',(-24.2001540640989,25.6816163246212, -39.1463669377702)); #493075=CARTESIAN_POINT('Ctrl Pts',(-23.6472930339469,26.1900889921656, -39.5559170931305)); #493076=CARTESIAN_POINT('Ctrl Pts',(-23.2178272858062,26.5704422134798, -40.1062746676374)); #493077=CARTESIAN_POINT('Ctrl Pts',(-22.9961646025576,26.7612617681203, -40.5925702362495)); #493078=CARTESIAN_POINT('Ctrl Pts',(-22.7971838816837,26.9311598242495, -41.2208696199048)); #493079=CARTESIAN_POINT('Ctrl Pts',(-22.7392488858749,26.9797397609892, -41.6230210230554)); #493080=CARTESIAN_POINT('Ctrl Pts',(-22.7392488858749,26.9797397609892, -41.9999999999963)); #493081=CARTESIAN_POINT('',(-2.99847985335284,35.1566340259346,-71.9999999999963)); #493082=CARTESIAN_POINT('',(-2.99847985335284,35.1566340259346,-41.9999999999963)); #493083=CARTESIAN_POINT('',(-2.99847985335284,35.1566340259346,0.)); #493084=CARTESIAN_POINT('',(2.99847985335299,35.1566340259346,-71.9999999999963)); #493085=CARTESIAN_POINT('Ctrl Pts',(2.99847985335299,35.1566340259346,-71.9999999999963)); #493086=CARTESIAN_POINT('Ctrl Pts',(2.99847985335299,35.1566340259346,-72.2638851551065)); #493087=CARTESIAN_POINT('Ctrl Pts',(2.92919175799491,35.1628828640525,-72.8173586962704)); #493088=CARTESIAN_POINT('Ctrl Pts',(2.46314635406514,35.199843464431,-73.8600849660023)); #493089=CARTESIAN_POINT('Ctrl Pts',(1.6644431944176,35.2516536310539,-74.6025954812563)); #493090=CARTESIAN_POINT('Ctrl Pts',(0.573825811525727,35.2827781269774, -74.9828437484492)); #493091=CARTESIAN_POINT('Ctrl Pts',(-0.224712139355435,35.286557940586, -75.0240613804536)); #493092=CARTESIAN_POINT('Ctrl Pts',(-1.04646918138161,35.2718356842161, -74.8538817734599)); #493093=CARTESIAN_POINT('Ctrl Pts',(-1.80016088704691,35.2403187608639, -74.4429937698031)); #493094=CARTESIAN_POINT('Ctrl Pts',(-2.37063799188117,35.2056647501411, -73.8938802377274)); #493095=CARTESIAN_POINT('Ctrl Pts',(-2.66286853330323,35.1838182475566, -73.407182720336)); #493096=CARTESIAN_POINT('Ctrl Pts',(-2.92335578327562,35.1632544888337, -72.7790509760548)); #493097=CARTESIAN_POINT('Ctrl Pts',(-2.99847985335299,35.1566340259346, -72.3769789769375)); #493098=CARTESIAN_POINT('Ctrl Pts',(-2.99847985335299,35.1566340259346, -71.9999999999963)); #493099=CARTESIAN_POINT('',(2.99847985335284,35.1566340259346,-41.9999999999963)); #493100=CARTESIAN_POINT('',(2.99847985335284,35.1566340259346,0.)); #493101=CARTESIAN_POINT('Ctrl Pts',(-2.99847985335299,35.1566340259346, -41.9999999999963)); #493102=CARTESIAN_POINT('Ctrl Pts',(-2.99847985335298,35.1566340259346, -41.569167067419)); #493103=CARTESIAN_POINT('Ctrl Pts',(-2.84980129716683,35.1703504571577, -40.8733892767555)); #493104=CARTESIAN_POINT('Ctrl Pts',(-2.35196776618679,35.2066638705364, -40.1018914663581)); #493105=CARTESIAN_POINT('Ctrl Pts',(-1.7467817630856,35.2441115606819,-39.489011658965)); #493106=CARTESIAN_POINT('Ctrl Pts',(-0.557955263045722,35.2917168241901, -38.910458734209)); #493107=CARTESIAN_POINT('Ctrl Pts',(0.550026409948759,35.2852401279264, -38.983899799241)); #493108=CARTESIAN_POINT('Ctrl Pts',(1.30241444794465,35.2608276970587,-39.2880542261287)); #493109=CARTESIAN_POINT('Ctrl Pts',(1.54918514301963,35.2505386131231,-39.4268548269495)); #493110=CARTESIAN_POINT('Ctrl Pts',(1.73731476770919,35.2416030840896,-39.5529643431438)); #493111=CARTESIAN_POINT('Ctrl Pts',(2.17952125883874,35.2188922143173,-39.8884245043511)); #493112=CARTESIAN_POINT('Ctrl Pts',(2.7924256874342,35.1751653587151,-40.6397522844885)); #493113=CARTESIAN_POINT('Ctrl Pts',(2.99847985335298,35.1566340259346,-41.5691670674188)); #493114=CARTESIAN_POINT('Ctrl Pts',(2.99847985335299,35.1566340259346,-41.9999999999963)); #493115=CARTESIAN_POINT('',(-16.2240955446081,31.3330260463922,-71.9999999999963)); #493116=CARTESIAN_POINT('',(-16.2240955446081,31.3330260463922,-41.9999999999963)); #493117=CARTESIAN_POINT('',(-16.2240955446081,31.3330260463922,0.)); #493118=CARTESIAN_POINT('',(-10.6836272142877,33.6279631707096,-71.9999999999963)); #493119=CARTESIAN_POINT('Ctrl Pts',(-10.6836272142877,33.6279631707096, -71.9999999999963)); #493120=CARTESIAN_POINT('Ctrl Pts',(-10.6836272142877,33.6279631707096, -72.4308329325739)); #493121=CARTESIAN_POINT('Ctrl Pts',(-10.811998193001,33.5881306270971,-73.0644996244261)); #493122=CARTESIAN_POINT('Ctrl Pts',(-11.2648688940847,33.4386110124933, -73.8554371725983)); #493123=CARTESIAN_POINT('Ctrl Pts',(-11.6161805551442,33.3185529962498, -74.2468922904557)); #493124=CARTESIAN_POINT('Ctrl Pts',(-12.0039905180507,33.1801195993772, -74.5422344249468)); #493125=CARTESIAN_POINT('Ctrl Pts',(-12.2622652112906,33.0854711911423, -74.6945425954865)); #493126=CARTESIAN_POINT('Ctrl Pts',(-12.5564723192479,32.9751261916402, -74.8308354101979)); #493127=CARTESIAN_POINT('Ctrl Pts',(-13.1747187923505,32.7366617122297, -75.0273639577054)); #493128=CARTESIAN_POINT('Ctrl Pts',(-13.9069819397115,32.4333374808014, -75.0269594422414)); #493129=CARTESIAN_POINT('Ctrl Pts',(-14.5833423768566,32.1306400729494, -74.7746537902761)); #493130=CARTESIAN_POINT('Ctrl Pts',(-14.9492632020958,31.9613758341701, -74.5570928162879)); #493131=CARTESIAN_POINT('Ctrl Pts',(-15.4470032815815,31.7262640061101, -74.1760313739913)); #493132=CARTESIAN_POINT('Ctrl Pts',(-16.0342115519357,31.4322801805675, -73.3666942128163)); #493133=CARTESIAN_POINT('Ctrl Pts',(-16.2240955446082,31.3330260463922, -72.430832932574)); #493134=CARTESIAN_POINT('Ctrl Pts',(-16.2240955446082,31.3330260463922, -71.9999999999963)); #493135=CARTESIAN_POINT('',(-10.6836272142878,33.6279631707095,-41.9999999999963)); #493136=CARTESIAN_POINT('',(-10.6836272142878,33.6279631707095,0.)); #493137=CARTESIAN_POINT('Ctrl Pts',(-16.2240955446082,31.3330260463922, -41.9999999999963)); #493138=CARTESIAN_POINT('Ctrl Pts',(-16.2240955446082,31.3330260463922, -41.7361148448863)); #493139=CARTESIAN_POINT('Ctrl Pts',(-16.1625677539262,31.3651266692748, -41.1859101621239)); #493140=CARTESIAN_POINT('Ctrl Pts',(-15.8483741669842,31.5256806278609, -40.395994877103)); #493141=CARTESIAN_POINT('Ctrl Pts',(-15.3877090943565,31.7539794951087, -39.7754162818641)); #493142=CARTESIAN_POINT('Ctrl Pts',(-14.7780453863471,32.0433645769632, -39.2984678578805)); #493143=CARTESIAN_POINT('Ctrl Pts',(-14.1604902109202,32.3201715716204, -39.0640302641705)); #493144=CARTESIAN_POINT('Ctrl Pts',(-13.6605833756751,32.5332318704589, -38.9983737991739)); #493145=CARTESIAN_POINT('Ctrl Pts',(-13.172629095624,32.7349996043554,-39.0029406874446)); #493146=CARTESIAN_POINT('Ctrl Pts',(-12.5301099376373,32.98771211376,-39.1463800924169)); #493147=CARTESIAN_POINT('Ctrl Pts',(-11.8247475048156,33.2459095188727, -39.5559284473564)); #493148=CARTESIAN_POINT('Ctrl Pts',(-11.2824162907642,33.4329616316044, -40.106281335014)); #493149=CARTESIAN_POINT('Ctrl Pts',(-11.0046005209023,33.5244304416466, -40.5925752957064)); #493150=CARTESIAN_POINT('Ctrl Pts',(-10.7557462363925,33.6052504817902, -41.2208719885449)); #493151=CARTESIAN_POINT('Ctrl Pts',(-10.6836272142877,33.6279631707096, -41.6230210230552)); #493152=CARTESIAN_POINT('Ctrl Pts',(-10.6836272142877,33.6279631707096, -41.9999999999963)); #493153=CARTESIAN_POINT('',(-33.6279631707095,10.6836272142878,-71.9999999999963)); #493154=CARTESIAN_POINT('',(-33.6279631707095,10.6836272142878,-41.9999999999963)); #493155=CARTESIAN_POINT('',(-33.6279631707095,10.6836272142878,0.)); #493156=CARTESIAN_POINT('',(-31.3330260463922,16.2240955446082,-71.9999999999963)); #493157=CARTESIAN_POINT('Ctrl Pts',(-31.3330260463922,16.2240955446082, -71.9999999999963)); #493158=CARTESIAN_POINT('Ctrl Pts',(-31.3330260463922,16.2240955446082, -72.3769789769369)); #493159=CARTESIAN_POINT('Ctrl Pts',(-31.3676648475845,16.1578142225938, -72.7789560932791)); #493160=CARTESIAN_POINT('Ctrl Pts',(-31.4806838944824,15.9362278556803, -73.3789356397993)); #493161=CARTESIAN_POINT('Ctrl Pts',(-31.5957233780349,15.7084985377294, -73.8190758864423)); #493162=CARTESIAN_POINT('Ctrl Pts',(-31.8112621742524,15.2687960826212, -74.3315033901456)); #493163=CARTESIAN_POINT('Ctrl Pts',(-32.0810774306599,14.6951795386817, -74.7404379834367)); #493164=CARTESIAN_POINT('Ctrl Pts',(-32.4712037967895,13.821267027881,-75.0491835962107)); #493165=CARTESIAN_POINT('Ctrl Pts',(-32.9359009763393,12.68558359583,-74.9974837756988)); #493166=CARTESIAN_POINT('Ctrl Pts',(-33.2444738118732,11.8289633755822, -74.4570214322126)); #493167=CARTESIAN_POINT('Ctrl Pts',(-33.4382927608034,11.2665692400289, -73.8555248117668)); #493168=CARTESIAN_POINT('Ctrl Pts',(-33.5111227333904,11.0462598347182, -73.518912788826)); #493169=CARTESIAN_POINT('Ctrl Pts',(-33.6055583904056,10.7551030182075, -72.779181040878)); #493170=CARTESIAN_POINT('Ctrl Pts',(-33.6279631707096,10.6836272142877, -72.3769789769376)); #493171=CARTESIAN_POINT('Ctrl Pts',(-33.6279631707096,10.6836272142877, -71.9999999999963)); #493172=CARTESIAN_POINT('',(-31.3330260463922,16.2240955446081,-41.9999999999963)); #493173=CARTESIAN_POINT('',(-31.3330260463922,16.2240955446081,0.)); #493174=CARTESIAN_POINT('Ctrl Pts',(-33.6279631707096,10.6836272142877, -41.9999999999963)); #493175=CARTESIAN_POINT('Ctrl Pts',(-33.6279631707096,10.6836272142877, -41.5476254851644)); #493176=CARTESIAN_POINT('Ctrl Pts',(-33.5582368008546,10.906707334516,-40.5659540047594)); #493177=CARTESIAN_POINT('Ctrl Pts',(-33.3477021501001,11.5363544604495, -39.8059702278578)); #493178=CARTESIAN_POINT('Ctrl Pts',(-33.1846885381292,11.9904727032247, -39.473938121295)); #493179=CARTESIAN_POINT('Ctrl Pts',(-33.1145224742837,12.1834359785662, -39.350474189659)); #493180=CARTESIAN_POINT('Ctrl Pts',(-32.9836395509172,12.5364106500698, -39.1663578976766)); #493181=CARTESIAN_POINT('Ctrl Pts',(-32.7710859937126,13.0847866133623, -39.0052384429693)); #493182=CARTESIAN_POINT('Ctrl Pts',(-32.5137095983935,13.7119091084177, -38.9800909529453)); #493183=CARTESIAN_POINT('Ctrl Pts',(-32.1389523669072,14.5769364788815, -39.1577345525144)); #493184=CARTESIAN_POINT('Ctrl Pts',(-31.8678756098675,15.1502782401122, -39.558537278448)); #493185=CARTESIAN_POINT('Ctrl Pts',(-31.6113875505085,15.6775003966805, -40.1442914691821)); #493186=CARTESIAN_POINT('Ctrl Pts',(-31.4032426381726,16.0901318581409, -40.8189112796494)); #493187=CARTESIAN_POINT('Ctrl Pts',(-31.3330260463922,16.2240955446082, -41.6230210230554)); #493188=CARTESIAN_POINT('Ctrl Pts',(-31.3330260463922,16.2240955446082, -41.9999999999963)); #493189=CARTESIAN_POINT('',(-31.3330260463922,-16.2240955446081,-71.9999999999963)); #493190=CARTESIAN_POINT('',(-31.3330260463922,-16.2240955446081,-41.9999999999963)); #493191=CARTESIAN_POINT('',(-31.3330260463922,-16.2240955446081,0.)); #493192=CARTESIAN_POINT('',(-33.6279631707096,-10.6836272142877,-71.9999999999963)); #493193=CARTESIAN_POINT('Ctrl Pts',(-33.6279631707096,-10.6836272142877, -71.9999999999963)); #493194=CARTESIAN_POINT('Ctrl Pts',(-33.6279631707096,-10.6836272142877, -72.4523746435771)); #493195=CARTESIAN_POINT('Ctrl Pts',(-33.5773897131587,-10.8460633563856, -73.1837991864909)); #493196=CARTESIAN_POINT('Ctrl Pts',(-33.4071082106039,-11.35890332218,-73.9750427246389)); #493197=CARTESIAN_POINT('Ctrl Pts',(-33.2432063509058,-11.831687368641, -74.4390286392698)); #493198=CARTESIAN_POINT('Ctrl Pts',(-33.097618309557,-12.2308445732471, -74.6824141524862)); #493199=CARTESIAN_POINT('Ctrl Pts',(-32.7593496346246,-13.1301270054864, -75.0764484386751)); #493200=CARTESIAN_POINT('Ctrl Pts',(-32.3698859559582,-14.0683298498162, -75.0837501979865)); #493201=CARTESIAN_POINT('Ctrl Pts',(-31.9160320541455,-15.047416418432, -74.5039498940897)); #493202=CARTESIAN_POINT('Ctrl Pts',(-31.6618374608314,-15.5771953758491, -74.0187391553767)); #493203=CARTESIAN_POINT('Ctrl Pts',(-31.4056764113403,-16.0851679200147, -73.1866779794001)); #493204=CARTESIAN_POINT('Ctrl Pts',(-31.3330260463922,-16.2240955446082, -72.3769787838144)); #493205=CARTESIAN_POINT('Ctrl Pts',(-31.3330260463922,-16.2240955446082, -71.9999999999963)); #493206=CARTESIAN_POINT('',(-33.6279631707095,-10.6836272142878,-41.9999999999963)); #493207=CARTESIAN_POINT('',(-33.6279631707095,-10.6836272142878,0.)); #493208=CARTESIAN_POINT('Ctrl Pts',(-31.3330260463922,-16.2240955446082, -41.9999999999963)); #493209=CARTESIAN_POINT('Ctrl Pts',(-31.3330260463922,-16.2240955446082, -41.7361148448863)); #493210=CARTESIAN_POINT('Ctrl Pts',(-31.3651266692748,-16.1625677539262, -41.1859101621239)); #493211=CARTESIAN_POINT('Ctrl Pts',(-31.5256806278609,-15.8483741669842, -40.395994877103)); #493212=CARTESIAN_POINT('Ctrl Pts',(-31.7539794951087,-15.3877090943565, -39.7754162818641)); #493213=CARTESIAN_POINT('Ctrl Pts',(-32.0433645769632,-14.7780453863471, -39.2984678578805)); #493214=CARTESIAN_POINT('Ctrl Pts',(-32.3201715716203,-14.1604902109202, -39.0640302641705)); #493215=CARTESIAN_POINT('Ctrl Pts',(-32.5332318704589,-13.6605833756751, -38.9983737991739)); #493216=CARTESIAN_POINT('Ctrl Pts',(-32.7349996043554,-13.172629095624, -39.0029406874445)); #493217=CARTESIAN_POINT('Ctrl Pts',(-32.9877121137599,-12.5301099376373, -39.1463800924169)); #493218=CARTESIAN_POINT('Ctrl Pts',(-33.2459095188726,-11.8247475048156, -39.5559284473563)); #493219=CARTESIAN_POINT('Ctrl Pts',(-33.4329616316044,-11.2824162907642, -40.106281335014)); #493220=CARTESIAN_POINT('Ctrl Pts',(-33.5244304416466,-11.0046005209023, -40.5925752957064)); #493221=CARTESIAN_POINT('Ctrl Pts',(-33.6052504817902,-10.7557462363925, -41.2208719885449)); #493222=CARTESIAN_POINT('Ctrl Pts',(-33.6279631707096,-10.6836272142877, -41.6230210230553)); #493223=CARTESIAN_POINT('Ctrl Pts',(-33.6279631707096,-10.6836272142877, -41.9999999999963)); #493224=CARTESIAN_POINT('',(-10.6836272142878,-33.6279631707095,-71.9999999999963)); #493225=CARTESIAN_POINT('',(-10.6836272142878,-33.6279631707095,-41.9999999999963)); #493226=CARTESIAN_POINT('',(-10.6836272142878,-33.6279631707095,0.)); #493227=CARTESIAN_POINT('',(-16.2240955446082,-31.3330260463922,-71.9999999999963)); #493228=CARTESIAN_POINT('Ctrl Pts',(-16.2240955446082,-31.3330260463922, -71.9999999999963)); #493229=CARTESIAN_POINT('Ctrl Pts',(-16.2240955446082,-31.3330260463922, -72.3015831815491)); #493230=CARTESIAN_POINT('Ctrl Pts',(-16.1207975350165,-31.3871017339823, -73.09800976322)); #493231=CARTESIAN_POINT('Ctrl Pts',(-15.7267832795527,-31.5873271130068, -73.8032944695825)); #493232=CARTESIAN_POINT('Ctrl Pts',(-15.1507652262079,-31.8677183426245, -74.4423717089643)); #493233=CARTESIAN_POINT('Ctrl Pts',(-14.5757192135103,-32.1393009967265, -74.841212553798)); #493234=CARTESIAN_POINT('Ctrl Pts',(-13.7120896107089,-32.513541057199, -75.0190178954184)); #493235=CARTESIAN_POINT('Ctrl Pts',(-13.0837896473758,-32.771377315572, -74.9936184448559)); #493236=CARTESIAN_POINT('Ctrl Pts',(-12.5363080931246,-32.983616246459, -74.8327911901646)); #493237=CARTESIAN_POINT('Ctrl Pts',(-12.1315156819413,-33.1336626990523, -74.6215990313922)); #493238=CARTESIAN_POINT('Ctrl Pts',(-11.5697662337893,-33.3365417481535, -74.2362027921927)); #493239=CARTESIAN_POINT('Ctrl Pts',(-10.9086863346202,-33.5575082760422, -73.4347663168225)); #493240=CARTESIAN_POINT('Ctrl Pts',(-10.6836272142877,-33.6279631707096, -72.4523745148283)); #493241=CARTESIAN_POINT('Ctrl Pts',(-10.6836272142877,-33.6279631707096, -71.9999999999963)); #493242=CARTESIAN_POINT('',(-16.2240955446081,-31.3330260463922,-41.9999999999963)); #493243=CARTESIAN_POINT('',(-16.2240955446081,-31.3330260463922,0.)); #493244=CARTESIAN_POINT('Ctrl Pts',(-10.6836272142877,-33.6279631707096, -41.9999999999963)); #493245=CARTESIAN_POINT('Ctrl Pts',(-10.6836272142877,-33.6279631707096, -41.7361148448863)); #493246=CARTESIAN_POINT('Ctrl Pts',(-10.7500526443784,-33.6072127056268, -41.1826089843865)); #493247=CARTESIAN_POINT('Ctrl Pts',(-11.1947901515847,-33.4630027664042, -40.1398552319588)); #493248=CARTESIAN_POINT('Ctrl Pts',(-11.9525579804336,-33.2052067575438, -39.3972437527565)); #493249=CARTESIAN_POINT('Ctrl Pts',(-12.9720911597208,-32.8165926495935, -39.0169432719369)); #493250=CARTESIAN_POINT('Ctrl Pts',(-13.7112915122341,-32.5144964067417, -38.9757346634212)); #493251=CARTESIAN_POINT('Ctrl Pts',(-14.4648641647201,-32.1864213711883, -39.1459058424448)); #493252=CARTESIAN_POINT('Ctrl Pts',(-15.1491039336215,-31.8688844651973, -39.5568515742621)); #493253=CARTESIAN_POINT('Ctrl Pts',(-15.662881020543,-31.6185598182721, -40.1060196483842)); #493254=CARTESIAN_POINT('Ctrl Pts',(-15.9244851520477,-31.4865532398271, -40.5927438677314)); #493255=CARTESIAN_POINT('Ctrl Pts',(-16.1572507099332,-31.36787989344,-41.2209135566225)); #493256=CARTESIAN_POINT('Ctrl Pts',(-16.2240955446082,-31.3330260463922, -41.6230210230553)); #493257=CARTESIAN_POINT('Ctrl Pts',(-16.2240955446082,-31.3330260463922, -41.9999999999963)); #493258=CARTESIAN_POINT('',(16.2240955446081,-31.3330260463923,-71.9999999999963)); #493259=CARTESIAN_POINT('',(16.2240955446081,-31.3330260463923,-41.9999999999963)); #493260=CARTESIAN_POINT('',(16.2240955446081,-31.3330260463923,0.)); #493261=CARTESIAN_POINT('',(10.6836272142877,-33.6279631707096,-71.9999999999963)); #493262=CARTESIAN_POINT('Ctrl Pts',(10.6836272142877,-33.6279631707096, -71.9999999999963)); #493263=CARTESIAN_POINT('Ctrl Pts',(10.6836272142877,-33.6279631707096, -72.4523745148281)); #493264=CARTESIAN_POINT('Ctrl Pts',(10.9069592172154,-33.5581601541444, -73.4342154912846)); #493265=CARTESIAN_POINT('Ctrl Pts',(11.5366537300735,-33.3476097814803, -74.1942530810864)); #493266=CARTESIAN_POINT('Ctrl Pts',(11.9908391725251,-33.1845750735333, -74.5263381739447)); #493267=CARTESIAN_POINT('Ctrl Pts',(12.1837981819429,-33.1144105198619, -74.6498002029363)); #493268=CARTESIAN_POINT('Ctrl Pts',(12.5367853562294,-32.983523574242,-74.8339257431517)); #493269=CARTESIAN_POINT('Ctrl Pts',(13.0851331358693,-32.7709821105026, -74.9950461734071)); #493270=CARTESIAN_POINT('Ctrl Pts',(13.7122209691091,-32.5136196478123, -75.0201872306886)); #493271=CARTESIAN_POINT('Ctrl Pts',(14.5772375310006,-32.1388654816599, -74.8425318872903)); #493272=CARTESIAN_POINT('Ctrl Pts',(15.1503997067324,-31.8678455594431, -74.4415734872627)); #493273=CARTESIAN_POINT('Ctrl Pts',(15.6775733808103,-31.61137048579,-73.8557760233492)); #493274=CARTESIAN_POINT('Ctrl Pts',(16.0901754767627,-31.403235950532,-73.1811333423016)); #493275=CARTESIAN_POINT('Ctrl Pts',(16.2240955446082,-31.3330260463922, -72.3769789769373)); #493276=CARTESIAN_POINT('Ctrl Pts',(16.2240955446082,-31.3330260463922, -71.9999999999963)); #493277=CARTESIAN_POINT('',(10.6836272142878,-33.6279631707095,-41.9999999999963)); #493278=CARTESIAN_POINT('',(10.6836272142878,-33.6279631707095,0.)); #493279=CARTESIAN_POINT('Ctrl Pts',(16.2240955446082,-31.3330260463922, -41.9999999999963)); #493280=CARTESIAN_POINT('Ctrl Pts',(16.2240955446082,-31.3330260463922, -41.5691670674189)); #493281=CARTESIAN_POINT('Ctrl Pts',(16.0408643709427,-31.4289776354642, -40.6397814087302)); #493282=CARTESIAN_POINT('Ctrl Pts',(15.4913641980386,-31.7039164466773, -39.8884688799284)); #493283=CARTESIAN_POINT('Ctrl Pts',(15.0915174834944,-31.8941198394565, -39.5530149310027)); #493284=CARTESIAN_POINT('Ctrl Pts',(14.9211277053548,-31.9743693559883, -39.42690532324)); #493285=CARTESIAN_POINT('Ctrl Pts',(14.6970796501605,-32.0783097897773, -39.2881059063388)); #493286=CARTESIAN_POINT('Ctrl Pts',(14.0113092237049,-32.3887888394625, -38.9839537913088)); #493287=CARTESIAN_POINT('Ctrl Pts',(12.990113794297,-32.818792986549,-38.9105009992539)); #493288=CARTESIAN_POINT('Ctrl Pts',(11.873545293007,-33.2297645232478,-39.4890387423029)); #493289=CARTESIAN_POINT('Ctrl Pts',(11.3000914291598,-33.4267641853123, -40.1019162208715)); #493290=CARTESIAN_POINT('Ctrl Pts',(10.8262529773141,-33.583729470805,-40.8734104372917)); #493291=CARTESIAN_POINT('Ctrl Pts',(10.6836272142877,-33.6279631707096, -41.5691670674189)); #493292=CARTESIAN_POINT('Ctrl Pts',(10.6836272142877,-33.6279631707096, -41.9999999999963)); #493293=CARTESIAN_POINT('',(33.6279631707095,-10.6836272142879,-71.9999999999963)); #493294=CARTESIAN_POINT('',(33.6279631707095,-10.6836272142879,-41.9999999999963)); #493295=CARTESIAN_POINT('',(33.6279631707095,-10.6836272142879,0.)); #493296=CARTESIAN_POINT('',(31.3330260463922,-16.2240955446083,-71.9999999999963)); #493297=CARTESIAN_POINT('Ctrl Pts',(31.3330260463922,-16.2240955446083, -71.9999999999963)); #493298=CARTESIAN_POINT('Ctrl Pts',(31.3330260463922,-16.2240955446083, -72.4523745148285)); #493299=CARTESIAN_POINT('Ctrl Pts',(31.4415874913289,-16.0168176766075, -73.4342155714107)); #493300=CARTESIAN_POINT('Ctrl Pts',(31.7379671649938,-15.4226748006918, -74.1942531844437)); #493301=CARTESIAN_POINT('Ctrl Pts',(31.9438418382755,-14.9862342190299, -74.5263383009801)); #493302=CARTESIAN_POINT('Ctrl Pts',(32.0306706297804,-14.800177765045,-74.6498003291267)); #493303=CARTESIAN_POINT('Ctrl Pts',(32.1877192102519,-14.4580270884206, -74.8339258734965)); #493304=CARTESIAN_POINT('Ctrl Pts',(32.4251701286618,-13.9199971565679, -74.9950463042288)); #493305=CARTESIAN_POINT('Ctrl Pts',(32.6866054390712,-13.2945963693599, -75.0201873585818)); #493306=CARTESIAN_POINT('Ctrl Pts',(33.0332733011106,-12.4179460850323, -74.8425320098711)); #493307=CARTESIAN_POINT('Ctrl Pts',(33.2469200977313,-11.8210192753226, -74.4415735393299)); #493308=CARTESIAN_POINT('Ctrl Pts',(33.4383329019259,-11.266895952365,-73.8557760551396)); #493309=CARTESIAN_POINT('Ctrl Pts',(33.5829132944805,-10.8279688838356, -73.1811333636707)); #493310=CARTESIAN_POINT('Ctrl Pts',(33.6279631707095,-10.6836272142877, -72.3769789769374)); #493311=CARTESIAN_POINT('Ctrl Pts',(33.6279631707095,-10.6836272142877, -71.9999999999963)); #493312=CARTESIAN_POINT('',(31.3330260463922,-16.2240955446081,-41.9999999999963)); #493313=CARTESIAN_POINT('',(31.3330260463922,-16.2240955446081,0.)); #493314=CARTESIAN_POINT('Ctrl Pts',(33.6279631707095,-10.6836272142877, -41.9999999999963)); #493315=CARTESIAN_POINT('Ctrl Pts',(33.6279631707095,-10.6836272142877, -41.7361148448863)); #493316=CARTESIAN_POINT('Ctrl Pts',(33.6071549615213,-10.7498326587614, -41.1859097965474)); #493317=CARTESIAN_POINT('Ctrl Pts',(33.4985151714688,-11.0855303472783, -40.3959938575029)); #493318=CARTESIAN_POINT('Ctrl Pts',(33.3342074017163,-11.5727015641831, -39.775415004536)); #493319=CARTESIAN_POINT('Ctrl Pts',(33.1077359634077,-12.2084257705805, -39.2984658611309)); #493320=CARTESIAN_POINT('Ctrl Pts',(32.8667905451351,-12.8408355218212, -39.0640280639755)); #493321=CARTESIAN_POINT('Ctrl Pts',(32.6639593864331,-13.3449794888508, -38.9983715736936)); #493322=CARTESIAN_POINT('Ctrl Pts',(32.4615949170252,-13.832686650606,-39.0029385105736)); #493323=CARTESIAN_POINT('Ctrl Pts',(32.1859599475472,-14.4657111195821, -39.1463780881033)); #493324=CARTESIAN_POINT('Ctrl Pts',(31.8697666001535,-15.1470505944892, -39.5559267171498)); #493325=CARTESIAN_POINT('Ctrl Pts',(31.6185464185334,-15.6628021987162, -40.1062803175302)); #493326=CARTESIAN_POINT('Ctrl Pts',(31.4867793815567,-15.9239254165357, -40.5925745239209)); #493327=CARTESIAN_POINT('Ctrl Pts',(31.3679613967964,-16.1570399120803, -41.220871627014)); #493328=CARTESIAN_POINT('Ctrl Pts',(31.3330260463922,-16.2240955446083, -41.6230210230553)); #493329=CARTESIAN_POINT('Ctrl Pts',(31.3330260463922,-16.2240955446083, -41.9999999999963)); #493330=CARTESIAN_POINT('',(31.3330260463922,16.2240955446081,-71.9999999999963)); #493331=CARTESIAN_POINT('',(31.3330260463922,16.2240955446081,-41.9999999999963)); #493332=CARTESIAN_POINT('',(31.3330260463922,16.2240955446081,0.)); #493333=CARTESIAN_POINT('',(33.6279631707096,10.6836272142877,-71.9999999999963)); #493334=CARTESIAN_POINT('Ctrl Pts',(33.6279631707096,10.6836272142877,-71.9999999999963)); #493335=CARTESIAN_POINT('Ctrl Pts',(33.6279631707096,10.6836272142877,-72.3769789769372)); #493336=CARTESIAN_POINT('Ctrl Pts',(33.6059504483388,10.7538993772025,-72.7778139997892)); #493337=CARTESIAN_POINT('Ctrl Pts',(33.4997696679509,11.0807774042891,-73.5949708259856)); #493338=CARTESIAN_POINT('Ctrl Pts',(33.3280710020753,11.6019254521815,-74.3545314169784)); #493339=CARTESIAN_POINT('Ctrl Pts',(32.8026249197404,13.0435841694575,-75.1487501208689)); #493340=CARTESIAN_POINT('Ctrl Pts',(32.2215407794557,14.3999480692086,-74.965079207436)); #493341=CARTESIAN_POINT('Ctrl Pts',(31.706546126526,15.4885148080291,-74.161569013597)); #493342=CARTESIAN_POINT('Ctrl Pts',(31.5233729198872,15.8520984334766,-73.5954498061284)); #493343=CARTESIAN_POINT('Ctrl Pts',(31.3669627605789,16.159109456028,-72.777535624187)); #493344=CARTESIAN_POINT('Ctrl Pts',(31.3330260463922,16.2240955446082,-72.3769789769375)); #493345=CARTESIAN_POINT('Ctrl Pts',(31.3330260463922,16.2240955446082,-71.9999999999963)); #493346=CARTESIAN_POINT('',(33.6279631707095,10.6836272142878,-41.9999999999963)); #493347=CARTESIAN_POINT('',(33.6279631707095,10.6836272142878,0.)); #493348=CARTESIAN_POINT('Ctrl Pts',(31.3330260463922,16.2240955446082,-41.9999999999964)); #493349=CARTESIAN_POINT('Ctrl Pts',(31.3330260463922,16.2240955446082,-41.5691670674189)); #493350=CARTESIAN_POINT('Ctrl Pts',(31.4290115354017,16.0412601345136,-40.6376116408206)); #493351=CARTESIAN_POINT('Ctrl Pts',(31.7189725803279,15.4621178864508,-39.8421353181115)); #493352=CARTESIAN_POINT('Ctrl Pts',(31.9522564143751,14.9694411874711,-39.4526581933188)); #493353=CARTESIAN_POINT('Ctrl Pts',(32.1198328982777,14.6084315387621,-39.2295289220448)); #493354=CARTESIAN_POINT('Ctrl Pts',(32.4345315941053,13.9052146650928,-38.9667086552552)); #493355=CARTESIAN_POINT('Ctrl Pts',(32.7668865778708,13.1031969077512,-38.9669199479211)); #493356=CARTESIAN_POINT('Ctrl Pts',(33.0811199759816,12.2791876801019,-39.2692884859515)); #493357=CARTESIAN_POINT('Ctrl Pts',(33.3396359477085,11.5624561457764,-39.7562623464852)); #493358=CARTESIAN_POINT('Ctrl Pts',(33.565879144966,10.8827713648538,-40.6364646778281)); #493359=CARTESIAN_POINT('Ctrl Pts',(33.6279631707096,10.6836272142877,-41.5691670674189)); #493360=CARTESIAN_POINT('Ctrl Pts',(33.6279631707096,10.6836272142877,-41.9999999999963)); #493361=CARTESIAN_POINT('Origin',(2.30969883127822,-0.956708580912726,-41.9999999999963)); #493362=CARTESIAN_POINT('Origin',(20.267956575293,24.1556761386489,-36.9999999999963)); #493363=CARTESIAN_POINT('',(20.6363604379196,24.1718943438524,-41.9999999999963)); #493364=CARTESIAN_POINT('',(20.6363604379196,24.1718943438524,-41.9999999999963)); #493365=CARTESIAN_POINT('',(20.6363604379196,24.1718943438524,-71.9999999999963)); #493366=CARTESIAN_POINT('',(19.8995527126664,24.1394579334455,-71.9999999999963)); #493367=CARTESIAN_POINT('',(20.6363604379196,24.1718943438524,-36.9999999999963)); #493368=CARTESIAN_POINT('Ctrl Pts',(19.8995527126661,24.1394579334457,-41.9999999999963)); #493369=CARTESIAN_POINT('Ctrl Pts',(19.8995527126661,24.1394579334457,-41.5690758501199)); #493370=CARTESIAN_POINT('Ctrl Pts',(20.0156768340313,24.0452229330893,-40.8732308271004)); #493371=CARTESIAN_POINT('Ctrl Pts',(20.3971940293729,23.7216225570928,-40.1016014790624)); #493372=CARTESIAN_POINT('Ctrl Pts',(20.8538961064507,23.3246876167549,-39.4891623496604)); #493373=CARTESIAN_POINT('Ctrl Pts',(21.6967248283237,22.5541847757175,-38.9354439420207)); #493374=CARTESIAN_POINT('Ctrl Pts',(22.3906549256061,21.855212307148,-38.9741432605219)); #493375=CARTESIAN_POINT('Ctrl Pts',(22.8423233940921,21.3767322223902,-39.1792372214234)); #493376=CARTESIAN_POINT('Ctrl Pts',(23.0213099951994,21.1840196491453,-39.2887622145913)); #493377=CARTESIAN_POINT('Ctrl Pts',(23.2241184369316,20.9612789984281,-39.4555400145231)); #493378=CARTESIAN_POINT('Ctrl Pts',(23.5868448059515,20.5555628120608,-39.8447248133772)); #493379=CARTESIAN_POINT('Ctrl Pts',(24.0078188690351,20.0608569903627,-40.6392058540776)); #493380=CARTESIAN_POINT('Ctrl Pts',(24.1394579334457,19.8995527126661,-41.5690758501203)); #493381=CARTESIAN_POINT('Ctrl Pts',(24.1394579334457,19.8995527126661,-41.9999999999963)); #493382=CARTESIAN_POINT('Ctrl Pts',(20.1451552877506,24.1502700702479,-41.9999999999963)); #493383=CARTESIAN_POINT('Ctrl Pts',(20.1451552877506,24.1502700702479,-41.5949976584836)); #493384=CARTESIAN_POINT('Ctrl Pts',(20.2531948021918,24.0617941074556,-40.9409039586295)); #493385=CARTESIAN_POINT('Ctrl Pts',(20.6103966926278,23.756522035973,-40.2124979791472)); #493386=CARTESIAN_POINT('Ctrl Pts',(21.039405957111,23.3812032821463,-39.632570931645)); #493387=CARTESIAN_POINT('Ctrl Pts',(21.835591435631,22.650190027448,-39.1052844258158)); #493388=CARTESIAN_POINT('Ctrl Pts',(22.4948900851485,21.9863535978321,-39.1421084726669)); #493389=CARTESIAN_POINT('Ctrl Pts',(22.9235612036561,21.5335143406886,-39.3374586297437)); #493390=CARTESIAN_POINT('Ctrl Pts',(23.0934003980225,21.3513095339234,-39.4416737076647)); #493391=CARTESIAN_POINT('Ctrl Pts',(23.2856885380437,21.1410773728833,-39.6001793443296)); #493392=CARTESIAN_POINT('Ctrl Pts',(23.6293199169752,20.7587695113462,-39.9695441179684)); #493393=CARTESIAN_POINT('Ctrl Pts',(24.026661467768,20.29527575228,-40.7209109615992)); #493394=CARTESIAN_POINT('Ctrl Pts',(24.1502700702479,20.1451552877506,-41.5949976584838)); #493395=CARTESIAN_POINT('Ctrl Pts',(24.1502700702479,20.1451552877506,-41.9999999999963)); #493396=CARTESIAN_POINT('Ctrl Pts',(20.3907578628351,24.1610822070501,-41.9999999999963)); #493397=CARTESIAN_POINT('Ctrl Pts',(20.3907578628351,24.1610822070501,-41.6209194668472)); #493398=CARTESIAN_POINT('Ctrl Pts',(20.4907127703523,24.0783652818219,-41.0085770901586)); #493399=CARTESIAN_POINT('Ctrl Pts',(20.8235993558828,23.7914215148533,-40.3233944792319)); #493400=CARTESIAN_POINT('Ctrl Pts',(21.2249158077714,23.4377189475377,-39.7759795136295)); #493401=CARTESIAN_POINT('Ctrl Pts',(21.9744580429384,22.7461952791785,-39.2751249096109)); #493402=CARTESIAN_POINT('Ctrl Pts',(22.5991252446908,22.1174948885162,-39.3100736848119)); #493403=CARTESIAN_POINT('Ctrl Pts',(23.0047990132202,21.690296458987,-39.495680038064)); #493404=CARTESIAN_POINT('Ctrl Pts',(23.1654908008455,21.5185994187015,-39.594585200738)); #493405=CARTESIAN_POINT('Ctrl Pts',(23.3472586391559,21.3208757473386,-39.744818674136)); #493406=CARTESIAN_POINT('Ctrl Pts',(23.6717950279989,20.9619762106316,-40.0943634225595)); #493407=CARTESIAN_POINT('Ctrl Pts',(24.0455040665009,20.5296945141973,-40.8026160691208)); #493408=CARTESIAN_POINT('Ctrl Pts',(24.1610822070501,20.3907578628351,-41.6209194668473)); #493409=CARTESIAN_POINT('Ctrl Pts',(24.1610822070501,20.3907578628351,-41.9999999999963)); #493410=CARTESIAN_POINT('Ctrl Pts',(20.6363604379196,24.1718943438524,-41.9999999999963)); #493411=CARTESIAN_POINT('Ctrl Pts',(20.6363604379196,24.1718943438524,-41.6468412752109)); #493412=CARTESIAN_POINT('Ctrl Pts',(20.7282307385128,24.0949364561882,-41.0762502216878)); #493413=CARTESIAN_POINT('Ctrl Pts',(21.0368020191377,23.8263209937335,-40.4342909793166)); #493414=CARTESIAN_POINT('Ctrl Pts',(21.4104256584318,23.494234612929,-39.9193880956141)); #493415=CARTESIAN_POINT('Ctrl Pts',(22.1133246502457,22.8422005309089,-39.444965393406)); #493416=CARTESIAN_POINT('Ctrl Pts',(22.7033604042332,22.2486361792003,-39.478038896957)); #493417=CARTESIAN_POINT('Ctrl Pts',(23.0860368227843,21.8470785772854,-39.6539014463842)); #493418=CARTESIAN_POINT('Ctrl Pts',(23.2375812036686,21.6858893034796,-39.7474966938114)); #493419=CARTESIAN_POINT('Ctrl Pts',(23.4088287402681,21.5006741217938,-39.8894580039425)); #493420=CARTESIAN_POINT('Ctrl Pts',(23.7142701390226,21.165182909917,-40.2191827271507)); #493421=CARTESIAN_POINT('Ctrl Pts',(24.0643466652338,20.7641132761146,-40.8843211766424)); #493422=CARTESIAN_POINT('Ctrl Pts',(24.1718943438524,20.6363604379196,-41.6468412752108)); #493423=CARTESIAN_POINT('Ctrl Pts',(24.1718943438524,20.6363604379196,-41.9999999999963)); #493424=CARTESIAN_POINT('',(24.1718943438524,20.6363604379196,-41.9999999999963)); #493425=CARTESIAN_POINT('',(24.1718943438524,20.6363604379196,-41.9999999999963)); #493426=CARTESIAN_POINT('Ctrl Pts',(20.6363604379196,24.1718943438524,-41.9999999999963)); #493427=CARTESIAN_POINT('Ctrl Pts',(20.6363604379196,24.1718943438524,-41.6468412752109)); #493428=CARTESIAN_POINT('Ctrl Pts',(20.7282307385128,24.0949364561882,-41.0762502216878)); #493429=CARTESIAN_POINT('Ctrl Pts',(21.0368020191377,23.8263209937335,-40.4342909793166)); #493430=CARTESIAN_POINT('Ctrl Pts',(21.4104256584318,23.494234612929,-39.9193880956141)); #493431=CARTESIAN_POINT('Ctrl Pts',(22.1133246502457,22.8422005309089,-39.444965393406)); #493432=CARTESIAN_POINT('Ctrl Pts',(22.7033604042332,22.2486361792003,-39.478038896957)); #493433=CARTESIAN_POINT('Ctrl Pts',(23.0860368227843,21.8470785772854,-39.6539014463842)); #493434=CARTESIAN_POINT('Ctrl Pts',(23.2375812036686,21.6858893034796,-39.7474966938114)); #493435=CARTESIAN_POINT('Ctrl Pts',(23.4088287402681,21.5006741217938,-39.8894580039425)); #493436=CARTESIAN_POINT('Ctrl Pts',(23.7142701390226,21.165182909917,-40.2191827271507)); #493437=CARTESIAN_POINT('Ctrl Pts',(24.0643466652338,20.7641132761146,-40.8843211766424)); #493438=CARTESIAN_POINT('Ctrl Pts',(24.1718943438524,20.6363604379196,-41.6468412752108)); #493439=CARTESIAN_POINT('Ctrl Pts',(24.1718943438524,20.6363604379196,-41.9999999999963)); #493440=CARTESIAN_POINT('Origin',(24.1556761386489,20.267956575293,-36.9999999999963)); #493441=CARTESIAN_POINT('',(24.1718943438524,20.6363604379196,-71.9999999999963)); #493442=CARTESIAN_POINT('',(24.1718943438524,20.6363604379196,-71.9999999999963)); #493443=CARTESIAN_POINT('',(24.1718943438524,20.6363604379196,-36.9999999999963)); #493444=CARTESIAN_POINT('Ctrl Pts',(24.1394579334457,19.8995527126661,-71.9999999999963)); #493445=CARTESIAN_POINT('Ctrl Pts',(24.1394579334457,19.8995527126661,-72.3770588919459)); #493446=CARTESIAN_POINT('Ctrl Pts',(24.0913792237823,19.9581932131705,-72.7792401714503)); #493447=CARTESIAN_POINT('Ctrl Pts',(23.9233404842541,20.1590452843239,-73.4074817764558)); #493448=CARTESIAN_POINT('Ctrl Pts',(23.7341315515873,20.3830800462697,-73.8938946553678)); #493449=CARTESIAN_POINT('Ctrl Pts',(23.357759615821,20.8147800863617,-74.4436547099649)); #493450=CARTESIAN_POINT('Ctrl Pts',(22.850517352207,21.3722129366055,-74.8540085419)); #493451=CARTESIAN_POINT('Ctrl Pts',(22.2800802273865,21.9661290694429,-75.0243225339742)); #493452=CARTESIAN_POINT('Ctrl Pts',(21.7144766577225,22.5255617715685,-74.9809669651544)); #493453=CARTESIAN_POINT('Ctrl Pts',(21.1168730739243,23.0863615522191,-74.7018852317672)); #493454=CARTESIAN_POINT('Ctrl Pts',(20.6153865108478,23.5334015755545,-74.2241331788764)); #493455=CARTESIAN_POINT('Ctrl Pts',(20.2241359770357,23.8694781576057,-73.6041463989727)); #493456=CARTESIAN_POINT('Ctrl Pts',(19.9535448923076,24.0952050117828,-72.8140972291089)); #493457=CARTESIAN_POINT('Ctrl Pts',(19.8995527126661,24.1394579334457,-72.2639410157146)); #493458=CARTESIAN_POINT('Ctrl Pts',(19.8995527126661,24.1394579334457,-71.9999999999963)); #493459=CARTESIAN_POINT('Ctrl Pts',(24.1502700702479,20.1451552877506,-71.9999999999963)); #493460=CARTESIAN_POINT('Ctrl Pts',(24.1502029008168,20.1452525955834,-72.354321132343)); #493461=CARTESIAN_POINT('Ctrl Pts',(24.105094743505,20.199775314356,-72.7324413076207)); #493462=CARTESIAN_POINT('Ctrl Pts',(23.9467543981652,20.3875024928791,-73.3240759503648)); #493463=CARTESIAN_POINT('Ctrl Pts',(23.7683174651612,20.5971706519849,-73.7831801043821)); #493464=CARTESIAN_POINT('Ctrl Pts',(23.4123627926067,21.0028114279197,-74.3037851480335)); #493465=CARTESIAN_POINT('Ctrl Pts',(22.9313397426607,21.5290304465661,-74.6940336719971)); #493466=CARTESIAN_POINT('Ctrl Pts',(22.3896102798092,22.0919436281451,-74.8564627539006)); #493467=CARTESIAN_POINT('Ctrl Pts',(21.8531069919419,22.622857114015,-74.8150109385469)); #493468=CARTESIAN_POINT('Ctrl Pts',(21.2875445254164,23.1552574046949,-74.5491928662725)); #493469=CARTESIAN_POINT('Ctrl Pts',(20.8151727720353,23.578661043696,-74.0956680706659)); #493470=CARTESIAN_POINT('Ctrl Pts',(20.448191341371,23.8961157499313,-73.509372261879)); #493471=CARTESIAN_POINT('Ctrl Pts',(20.1955130886452,24.1086386135274,-72.7651290209832)); #493472=CARTESIAN_POINT('Ctrl Pts',(20.1450668340967,24.1503392604657,-72.2479597947624)); #493473=CARTESIAN_POINT('Ctrl Pts',(20.1451552877506,24.1502700702479,-71.9999999999963)); #493474=CARTESIAN_POINT('Ctrl Pts',(24.1610822070501,20.3907578628351,-71.9999999999963)); #493475=CARTESIAN_POINT('Ctrl Pts',(24.1611493764813,20.3906605550024,-72.3317518575285)); #493476=CARTESIAN_POINT('Ctrl Pts',(24.1188252043907,20.4413351829615,-72.6854807427139)); #493477=CARTESIAN_POINT('Ctrl Pts',(23.9703783084556,20.6157452247118,-73.2408562902638)); #493478=CARTESIAN_POINT('Ctrl Pts',(23.8027668758174,20.8109546135975,-73.6722474015252)); #493479=CARTESIAN_POINT('Ctrl Pts',(23.4672446383149,21.1905986442238,-74.16426748317)); #493480=CARTESIAN_POINT('Ctrl Pts',(23.0124145272998,21.68563805781,-74.5343117385872)); #493481=CARTESIAN_POINT('Ctrl Pts',(22.4996645336882,22.2172614745542,-74.6889025509363)); #493482=CARTESIAN_POINT('Ctrl Pts',(21.9917735487044,22.7202067297682,-74.6495400973705)); #493483=CARTESIAN_POINT('Ctrl Pts',(21.4584109868918,23.224005704186,-74.3968298829248)); #493484=CARTESIAN_POINT('Ctrl Pts',(21.0148502248427,23.6240185555004,-73.9672715864084)); #493485=CARTESIAN_POINT('Ctrl Pts',(20.6721967695645,23.9228050441226,-73.4146356800935)); #493486=CARTESIAN_POINT('Ctrl Pts',(20.4372481595627,24.1222443952785,-72.7160548340667)); #493487=CARTESIAN_POINT('Ctrl Pts',(20.3908463164891,24.1610130168323,-72.2322909185054)); #493488=CARTESIAN_POINT('Ctrl Pts',(20.3907578628351,24.1610822070501,-71.9999999999963)); #493489=CARTESIAN_POINT('Ctrl Pts',(24.1718943438524,20.6363604379196,-71.9999999999963)); #493490=CARTESIAN_POINT('Ctrl Pts',(24.1718943438524,20.6363604379196,-72.3090140979256)); #493491=CARTESIAN_POINT('Ctrl Pts',(24.1325407241135,20.6829172841469,-72.6386818788843)); #493492=CARTESIAN_POINT('Ctrl Pts',(23.9937922223667,20.844202433267,-73.1574504641728)); #493493=CARTESIAN_POINT('Ctrl Pts',(23.8369527893914,21.0250452193128,-73.5615328505396)); #493494=CARTESIAN_POINT('Ctrl Pts',(23.5218478151006,21.3786299857817,-74.0243979212387)); #493495=CARTESIAN_POINT('Ctrl Pts',(23.0932369177536,21.8424555677706,-74.3743368686844)); #493496=CARTESIAN_POINT('Ctrl Pts',(22.6091945861109,22.3430760332563,-74.5210427708626)); #493497=CARTESIAN_POINT('Ctrl Pts',(22.1304038829238,22.8175020722146,-74.4835840707631)); #493498=CARTESIAN_POINT('Ctrl Pts',(21.6290824383839,23.2929015566617,-74.24413751743)); #493499=CARTESIAN_POINT('Ctrl Pts',(21.2146364860302,23.6692780236419,-73.8388064781978)); #493500=CARTESIAN_POINT('Ctrl Pts',(20.8962521338998,23.9494426364482,-73.3198615429998)); #493501=CARTESIAN_POINT('Ctrl Pts',(20.6792163559003,24.135677997023,-72.6670866259409)); #493502=CARTESIAN_POINT('Ctrl Pts',(20.6363604379196,24.1718943438524,-72.2163096975533)); #493503=CARTESIAN_POINT('Ctrl Pts',(20.6363604379196,24.1718943438524,-71.9999999999963)); #493504=CARTESIAN_POINT('Ctrl Pts',(24.1718943438524,20.6363604379196,-71.9999999999963)); #493505=CARTESIAN_POINT('Ctrl Pts',(24.1718943438524,20.6363604379196,-72.3090140979256)); #493506=CARTESIAN_POINT('Ctrl Pts',(24.1325407241135,20.6829172841469,-72.6386818788843)); #493507=CARTESIAN_POINT('Ctrl Pts',(23.9937922223667,20.844202433267,-73.1574504641728)); #493508=CARTESIAN_POINT('Ctrl Pts',(23.8369527893914,21.0250452193128,-73.5615328505396)); #493509=CARTESIAN_POINT('Ctrl Pts',(23.5218478151006,21.3786299857817,-74.0243979212387)); #493510=CARTESIAN_POINT('Ctrl Pts',(23.0932369177536,21.8424555677706,-74.3743368686844)); #493511=CARTESIAN_POINT('Ctrl Pts',(22.6091945861109,22.3430760332563,-74.5210427708626)); #493512=CARTESIAN_POINT('Ctrl Pts',(22.1304038829238,22.8175020722146,-74.4835840707631)); #493513=CARTESIAN_POINT('Ctrl Pts',(21.6290824383839,23.2929015566617,-74.24413751743)); #493514=CARTESIAN_POINT('Ctrl Pts',(21.2146364860302,23.6692780236419,-73.8388064781978)); #493515=CARTESIAN_POINT('Ctrl Pts',(20.8962521338998,23.9494426364482,-73.3198615429998)); #493516=CARTESIAN_POINT('Ctrl Pts',(20.6792163559003,24.135677997023,-72.6670866259409)); #493517=CARTESIAN_POINT('Ctrl Pts',(20.6363604379196,24.1718943438524,-72.2163096975533)); #493518=CARTESIAN_POINT('Ctrl Pts',(20.6363604379196,24.1718943438524,-71.9999999999963)); #493519=CARTESIAN_POINT('Origin',(0.,0.,-41.9999999999963)); #493520=CARTESIAN_POINT('',(22.7657224444502,26.301256350383,-41.9999999999963)); #493521=CARTESIAN_POINT('',(-1.76776695296637,1.76776695296637,-41.9999999999963)); #493522=CARTESIAN_POINT('',(26.301256350383,22.7657224444502,-41.9999999999963)); #493523=CARTESIAN_POINT('Ctrl Pts',(26.301256350383,22.7657224444502,-41.9999999999963)); #493524=CARTESIAN_POINT('Ctrl Pts',(26.301256350383,22.7657224444502,-41.6360234136521)); #493525=CARTESIAN_POINT('Ctrl Pts',(26.1841046806,22.9017010095301,-40.8484130704158)); #493526=CARTESIAN_POINT('Ctrl Pts',(25.7815592518973,23.3546648287112,-40.1174599858939)); #493527=CARTESIAN_POINT('Ctrl Pts',(25.3510475304803,23.819764685378,-39.7185189220646)); #493528=CARTESIAN_POINT('Ctrl Pts',(24.8487613366452,24.3463272066701,-39.4729651557052)); #493529=CARTESIAN_POINT('Ctrl Pts',(24.3456534394662,24.8493031891743,-39.4727367497149)); #493530=CARTESIAN_POINT('Ctrl Pts',(23.8857941601027,25.2881783950466,-39.6861552720309)); #493531=CARTESIAN_POINT('Ctrl Pts',(23.6441672618982,25.5135481846867,-39.8687383901901)); #493532=CARTESIAN_POINT('Ctrl Pts',(23.3099646557025,25.8213675203816,-40.1887364028165)); #493533=CARTESIAN_POINT('Ctrl Pts',(22.9003568031257,26.1853085341154,-40.8493679194596)); #493534=CARTESIAN_POINT('Ctrl Pts',(22.7657224444502,26.3012563503829,-41.6360234136522)); #493535=CARTESIAN_POINT('Ctrl Pts',(22.7657224444502,26.3012563503829,-41.9999999999963)); #493536=CARTESIAN_POINT('',(1.76776695296637,-1.76776695296637,-41.9999999999963)); #493537=CARTESIAN_POINT('Origin',(-1.76776695296637,1.76776695296637,-71.9999999999963)); #493538=CARTESIAN_POINT('',(22.7657224444502,26.301256350383,-71.9999999999963)); #493539=CARTESIAN_POINT('',(-1.76776695296637,1.76776695296637,-71.9999999999963)); #493540=CARTESIAN_POINT('',(22.7657224444502,26.301256350383,-1.69922627077552E-17)); #493541=CARTESIAN_POINT('Origin',(22.7524856651626,26.640498055686,-8.49613135387758E-18)); #493542=CARTESIAN_POINT('',(22.7657224444502,26.301256350383,-71.9999999999963)); #493543=CARTESIAN_POINT('',(22.7392488858751,26.9797397609891,-41.9999999999963)); #493544=CARTESIAN_POINT('Ctrl Pts',(22.7392488858749,26.9797397609892,-71.9999999999963)); #493545=CARTESIAN_POINT('Ctrl Pts',(22.7392488858749,26.9797397609892,-72.430832932574)); #493546=CARTESIAN_POINT('Ctrl Pts',(22.9036028423149,26.8423016428165,-73.3666851009859)); #493547=CARTESIAN_POINT('Ctrl Pts',(23.3999238353836,26.412294294999,-74.1760223160087)); #493548=CARTESIAN_POINT('Ctrl Pts',(23.8076139398895,26.0424173580184,-74.5570819272904)); #493549=CARTESIAN_POINT('Ctrl Pts',(24.1040258199075,25.7691249738881,-74.7746431240578)); #493550=CARTESIAN_POINT('Ctrl Pts',(24.6425141359664,25.2600862014277,-75.0269480663949)); #493551=CARTESIAN_POINT('Ctrl Pts',(25.2029765082624,24.6996381582351,-75.0273524880922)); #493552=CARTESIAN_POINT('Ctrl Pts',(25.6598822817023,24.2197086961519,-74.8308241980004)); #493553=CARTESIAN_POINT('Ctrl Pts',(25.8744160730247,23.990123864496,-74.6945314320523)); #493554=CARTESIAN_POINT('Ctrl Pts',(26.060697517937,23.7877292913832,-74.5422234350488)); #493555=CARTESIAN_POINT('Ctrl Pts',(26.3370019561177,23.4824155904558,-74.2468815551915)); #493556=CARTESIAN_POINT('Ctrl Pts',(26.5823638792832,23.2037887399283,-73.8554281007366)); #493557=CARTESIAN_POINT('Ctrl Pts',(26.8938077924815,22.8426097480042,-73.0644907349205)); #493558=CARTESIAN_POINT('Ctrl Pts',(26.9797397609892,22.7392488858749,-72.4308329325739)); #493559=CARTESIAN_POINT('Ctrl Pts',(26.9797397609892,22.7392488858749,-71.9999999999963)); #493560=CARTESIAN_POINT('Ctrl Pts',(22.7480734054,26.7535786241205,-71.9999999999963)); #493561=CARTESIAN_POINT('Ctrl Pts',(22.7480734054,26.7535786241205,-72.4085474838295)); #493562=CARTESIAN_POINT('Ctrl Pts',(22.9041308772122,26.6218005449448,-73.2958588708155)); #493563=CARTESIAN_POINT('Ctrl Pts',(23.3734409530792,26.212126436654,-74.0594594368014)); #493564=CARTESIAN_POINT('Ctrl Pts',(23.7575851259893,25.861899273611,-74.4177758011189)); #493565=CARTESIAN_POINT('Ctrl Pts',(24.0366556545419,25.6035550383658,-74.6221348652012)); #493566=CARTESIAN_POINT('Ctrl Pts',(24.5430775744024,25.1234979441314,-74.8586176221002)); #493567=CARTESIAN_POINT('Ctrl Pts',(25.0698460255609,24.5967425553992,-74.8590024807047)); #493568=CARTESIAN_POINT('Ctrl Pts',(25.500550903719,24.1454258379691,-74.6748053469956)); #493569=CARTESIAN_POINT('Ctrl Pts',(25.703088749708,23.9294024083116,-74.5468923796325)); #493570=CARTESIAN_POINT('Ctrl Pts',(25.8792079321989,23.7388382030593,-74.4038216977934)); #493571=CARTESIAN_POINT('Ctrl Pts',(26.140924566556,23.4510942577525,-74.1260212944504)); #493572=CARTESIAN_POINT('Ctrl Pts',(26.3741384824893,23.1879921348458,-73.7570025157637)); #493573=CARTESIAN_POINT('Ctrl Pts',(26.6711462216402,22.8462267670717,-73.0093680107606)); #493574=CARTESIAN_POINT('Ctrl Pts',(26.7535786241205,22.7480734054,-72.4085474838294)); #493575=CARTESIAN_POINT('Ctrl Pts',(26.7535786241205,22.7480734054,-71.9999999999963)); #493576=CARTESIAN_POINT('Ctrl Pts',(22.7568979249251,26.5274174872517,-71.9999999999963)); #493577=CARTESIAN_POINT('Ctrl Pts',(22.7568979249251,26.5274174872517,-72.386262035085)); #493578=CARTESIAN_POINT('Ctrl Pts',(22.9046589121094,26.4012994470732,-73.2250326406451)); #493579=CARTESIAN_POINT('Ctrl Pts',(23.3469580707748,26.0119585783089,-73.9428965575941)); #493580=CARTESIAN_POINT('Ctrl Pts',(23.7075563120891,25.6813811892035,-74.2784696749474)); #493581=CARTESIAN_POINT('Ctrl Pts',(23.9692854891763,25.4379851028436,-74.4696266063445)); #493582=CARTESIAN_POINT('Ctrl Pts',(24.4436410128385,24.9869096868352,-74.6902871778054)); #493583=CARTESIAN_POINT('Ctrl Pts',(24.9367155428594,24.4938469525632,-74.6906524733171)); #493584=CARTESIAN_POINT('Ctrl Pts',(25.3412195257357,24.0711429797863,-74.5187864959907)); #493585=CARTESIAN_POINT('Ctrl Pts',(25.5317614263914,23.8686809521272,-74.3992533272126)); #493586=CARTESIAN_POINT('Ctrl Pts',(25.6977183464608,23.6899471147355,-74.2654199605381)); #493587=CARTESIAN_POINT('Ctrl Pts',(25.9448471769944,23.4197729250491,-74.0051610337093)); #493588=CARTESIAN_POINT('Ctrl Pts',(26.1659130856954,23.1721955297633,-73.6585769307908)); #493589=CARTESIAN_POINT('Ctrl Pts',(26.4484846507989,22.8498437861392,-72.9542452866007)); #493590=CARTESIAN_POINT('Ctrl Pts',(26.5274174872517,22.7568979249251,-72.386262035085)); #493591=CARTESIAN_POINT('Ctrl Pts',(26.5274174872517,22.7568979249251,-71.9999999999963)); #493592=CARTESIAN_POINT('Ctrl Pts',(22.7657224444502,26.3012563503829,-71.9999999999963)); #493593=CARTESIAN_POINT('Ctrl Pts',(22.7657224444502,26.3012563503829,-72.3639765863405)); #493594=CARTESIAN_POINT('Ctrl Pts',(22.9051869470067,26.1807983492015,-73.1542064104746)); #493595=CARTESIAN_POINT('Ctrl Pts',(23.3204751884704,25.8117907199639,-73.8263336783868)); #493596=CARTESIAN_POINT('Ctrl Pts',(23.6575274981889,25.5008631047961,-74.1391635487759)); #493597=CARTESIAN_POINT('Ctrl Pts',(23.9019153238107,25.2724151673213,-74.3171183474879)); #493598=CARTESIAN_POINT('Ctrl Pts',(24.3442044512745,24.850321429539,-74.5219567335106)); #493599=CARTESIAN_POINT('Ctrl Pts',(24.803585060158,24.3909513497273,-74.5223024659295)); #493600=CARTESIAN_POINT('Ctrl Pts',(25.1818881477524,23.9968601216034,-74.3627676449858)); #493601=CARTESIAN_POINT('Ctrl Pts',(25.3604341030747,23.8079594959428,-74.2516142747927)); #493602=CARTESIAN_POINT('Ctrl Pts',(25.5162287607227,23.6410560264116,-74.1270182232828)); #493603=CARTESIAN_POINT('Ctrl Pts',(25.7487697874327,23.3884515923457,-73.8843007729682)); #493604=CARTESIAN_POINT('Ctrl Pts',(25.9576876889016,23.1563989246807,-73.5601513458179)); #493605=CARTESIAN_POINT('Ctrl Pts',(26.2258230799576,22.8534608052067,-72.8991225624408)); #493606=CARTESIAN_POINT('Ctrl Pts',(26.301256350383,22.7657224444502,-72.3639765863405)); #493607=CARTESIAN_POINT('Ctrl Pts',(26.301256350383,22.7657224444502,-71.9999999999963)); #493608=CARTESIAN_POINT('',(26.301256350383,22.7657224444502,-71.9999999999963)); #493609=CARTESIAN_POINT('',(26.301256350383,22.7657224444502,-71.9999999999963)); #493610=CARTESIAN_POINT('Ctrl Pts',(22.7657224444502,26.3012563503829,-71.9999999999963)); #493611=CARTESIAN_POINT('Ctrl Pts',(22.7657224444502,26.3012563503829,-72.3639765863405)); #493612=CARTESIAN_POINT('Ctrl Pts',(22.9051869470067,26.1807983492015,-73.1542064104746)); #493613=CARTESIAN_POINT('Ctrl Pts',(23.3204751884704,25.8117907199639,-73.8263336783868)); #493614=CARTESIAN_POINT('Ctrl Pts',(23.6575274981889,25.5008631047961,-74.1391635487759)); #493615=CARTESIAN_POINT('Ctrl Pts',(23.9019153238107,25.2724151673213,-74.3171183474879)); #493616=CARTESIAN_POINT('Ctrl Pts',(24.3442044512745,24.850321429539,-74.5219567335106)); #493617=CARTESIAN_POINT('Ctrl Pts',(24.803585060158,24.3909513497273,-74.5223024659295)); #493618=CARTESIAN_POINT('Ctrl Pts',(25.1818881477524,23.9968601216034,-74.3627676449858)); #493619=CARTESIAN_POINT('Ctrl Pts',(25.3604341030747,23.8079594959428,-74.2516142747927)); #493620=CARTESIAN_POINT('Ctrl Pts',(25.5162287607227,23.6410560264116,-74.1270182232828)); #493621=CARTESIAN_POINT('Ctrl Pts',(25.7487697874327,23.3884515923457,-73.8843007729682)); #493622=CARTESIAN_POINT('Ctrl Pts',(25.9576876889016,23.1563989246807,-73.5601513458179)); #493623=CARTESIAN_POINT('Ctrl Pts',(26.2258230799576,22.8534608052067,-72.8991225624408)); #493624=CARTESIAN_POINT('Ctrl Pts',(26.301256350383,22.7657224444502,-72.3639765863405)); #493625=CARTESIAN_POINT('Ctrl Pts',(26.301256350383,22.7657224444502,-71.9999999999963)); #493626=CARTESIAN_POINT('Origin',(26.6404980556861,22.7524856651626,-8.49613135387748E-18)); #493627=CARTESIAN_POINT('',(26.301256350383,22.7657224444502,-41.9999999999963)); #493628=CARTESIAN_POINT('',(26.301256350383,22.7657224444502,-1.6992262707755E-17)); #493629=CARTESIAN_POINT('Ctrl Pts',(26.9797397609892,22.7392488858749,-41.9999999999963)); #493630=CARTESIAN_POINT('Ctrl Pts',(26.9797397609892,22.7392488858749,-41.5691670674187)); #493631=CARTESIAN_POINT('Ctrl Pts',(26.8461727940936,22.8994724752506,-40.6364838327232)); #493632=CARTESIAN_POINT('Ctrl Pts',(26.3770485123321,23.44083756278,-39.7562906375487)); #493633=CARTESIAN_POINT('Ctrl Pts',(25.8639302251446,24.0040799579824,-39.2693232067301)); #493634=CARTESIAN_POINT('Ctrl Pts',(25.2582790351611,24.6451158864212,-38.9669562198511)); #493635=CARTESIAN_POINT('Ctrl Pts',(24.6442977210736,25.2589029890744,-38.966749019024)); #493636=CARTESIAN_POINT('Ctrl Pts',(24.0844440518295,25.7881615576447,-39.2295664982368)); #493637=CARTESIAN_POINT('Ctrl Pts',(23.7914708718177,26.0575625547526,-39.4526955423314)); #493638=CARTESIAN_POINT('Ctrl Pts',(23.387406847295,26.4234619611826,-39.842166966642)); #493639=CARTESIAN_POINT('Ctrl Pts',(22.8978924197258,26.8475543842325,-40.6376353821041)); #493640=CARTESIAN_POINT('Ctrl Pts',(22.7392488858749,26.9797397609892,-41.569167067419)); #493641=CARTESIAN_POINT('Ctrl Pts',(22.7392488858749,26.9797397609892,-41.9999999999963)); #493642=CARTESIAN_POINT('Ctrl Pts',(26.7535786241205,22.7480734054,-41.9999999999963)); #493643=CARTESIAN_POINT('Ctrl Pts',(26.7535786241205,22.7480734054,-41.5914525161632)); #493644=CARTESIAN_POINT('Ctrl Pts',(26.625483422929,22.9002153200104,-40.7071269119541)); #493645=CARTESIAN_POINT('Ctrl Pts',(26.1785520921872,23.4121133180904,-39.8766804203305)); #493646=CARTESIAN_POINT('Ctrl Pts',(25.6929693269231,23.9426415337809,-39.4190551118416)); #493647=CARTESIAN_POINT('Ctrl Pts',(25.1217731356558,24.5455196598375,-39.1356258651358)); #493648=CARTESIAN_POINT('Ctrl Pts',(24.5447496272044,25.1223697224411,-39.135411595921)); #493649=CARTESIAN_POINT('Ctrl Pts',(24.0182274212539,25.6215005034453,-39.3817627561682)); #493650=CARTESIAN_POINT('Ctrl Pts',(23.7423696685112,25.8762244313973,-39.5913764916176)); #493651=CARTESIAN_POINT('Ctrl Pts',(23.3615927834309,26.2227638142489,-39.9576901120335)); #493652=CARTESIAN_POINT('Ctrl Pts',(22.8987138808591,26.6268057675268,-40.7082128945559)); #493653=CARTESIAN_POINT('Ctrl Pts',(22.7480734054,26.7535786241205,-41.5914525161634)); #493654=CARTESIAN_POINT('Ctrl Pts',(22.7480734054,26.7535786241205,-41.9999999999963)); #493655=CARTESIAN_POINT('Ctrl Pts',(26.5274174872517,22.7568979249251,-41.9999999999963)); #493656=CARTESIAN_POINT('Ctrl Pts',(26.5274174872517,22.7568979249251,-41.6137379649077)); #493657=CARTESIAN_POINT('Ctrl Pts',(26.4047940517645,22.9009581647703,-40.7777699911849)); #493658=CARTESIAN_POINT('Ctrl Pts',(25.9800556720422,23.3833890734008,-39.9970702031122)); #493659=CARTESIAN_POINT('Ctrl Pts',(25.5220084287017,23.8812031095795,-39.5687870169531)); #493660=CARTESIAN_POINT('Ctrl Pts',(24.9852672361505,24.4459234332538,-39.3042955104205)); #493661=CARTESIAN_POINT('Ctrl Pts',(24.4452015333353,24.9858364558077,-39.3040741728179)); #493662=CARTESIAN_POINT('Ctrl Pts',(23.9520107906783,25.454839449246,-39.5339590140995)); #493663=CARTESIAN_POINT('Ctrl Pts',(23.6932684652047,25.694886308042,-39.7300574409039)); #493664=CARTESIAN_POINT('Ctrl Pts',(23.3357787195667,26.0220656673153,-40.073213257425)); #493665=CARTESIAN_POINT('Ctrl Pts',(22.8995353419924,26.4060571508211,-40.7787904070077)); #493666=CARTESIAN_POINT('Ctrl Pts',(22.7568979249251,26.5274174872517,-41.6137379649078)); #493667=CARTESIAN_POINT('Ctrl Pts',(22.7568979249251,26.5274174872517,-41.9999999999963)); #493668=CARTESIAN_POINT('Ctrl Pts',(26.301256350383,22.7657224444502,-41.9999999999963)); #493669=CARTESIAN_POINT('Ctrl Pts',(26.301256350383,22.7657224444502,-41.6360234136521)); #493670=CARTESIAN_POINT('Ctrl Pts',(26.1841046806,22.9017010095301,-40.8484130704158)); #493671=CARTESIAN_POINT('Ctrl Pts',(25.7815592518973,23.3546648287112,-40.1174599858939)); #493672=CARTESIAN_POINT('Ctrl Pts',(25.3510475304803,23.819764685378,-39.7185189220646)); #493673=CARTESIAN_POINT('Ctrl Pts',(24.8487613366452,24.3463272066701,-39.4729651557052)); #493674=CARTESIAN_POINT('Ctrl Pts',(24.3456534394662,24.8493031891743,-39.4727367497149)); #493675=CARTESIAN_POINT('Ctrl Pts',(23.8857941601027,25.2881783950466,-39.6861552720309)); #493676=CARTESIAN_POINT('Ctrl Pts',(23.6441672618982,25.5135481846867,-39.8687383901901)); #493677=CARTESIAN_POINT('Ctrl Pts',(23.3099646557025,25.8213675203816,-40.1887364028165)); #493678=CARTESIAN_POINT('Ctrl Pts',(22.9003568031257,26.1853085341154,-40.8493679194596)); #493679=CARTESIAN_POINT('Ctrl Pts',(22.7657224444502,26.3012563503829,-41.6360234136522)); #493680=CARTESIAN_POINT('Ctrl Pts',(22.7657224444502,26.3012563503829,-41.9999999999963)); #493681=CARTESIAN_POINT('Origin',(0.,0.,-71.9999999999963)); #493682=CARTESIAN_POINT('',(1.76776695296637,-1.76776695296637,-71.9999999999963)); #493683=CARTESIAN_POINT('Origin',(1.76776695296637,-1.76776695296637,-41.9999999999963)); #493684=CARTESIAN_POINT('Origin',(27.9691273015784,14.5607235892093,-36.9999999999963)); #493685=CARTESIAN_POINT('',(28.3156945283913,14.4347252024043,-41.9999999999963)); #493686=CARTESIAN_POINT('',(28.3156945283913,14.4347252024043,-41.9999999999963)); #493687=CARTESIAN_POINT('',(28.3156945283913,14.4347252024043,-71.9999999999963)); #493688=CARTESIAN_POINT('',(27.6225600747655,14.6867219760143,-71.9999999999963)); #493689=CARTESIAN_POINT('',(28.3156945283913,14.4347252024043,-36.9999999999963)); #493690=CARTESIAN_POINT('Ctrl Pts',(27.6225600747654,14.6867219760146,-41.9999999999963)); #493691=CARTESIAN_POINT('Ctrl Pts',(27.6225600747654,14.6867219760146,-41.56907585012)); #493692=CARTESIAN_POINT('Ctrl Pts',(27.6937813604812,14.5552273774096,-40.8732218782907)); #493693=CARTESIAN_POINT('Ctrl Pts',(27.9224203402056,14.1102607281457,-40.1015910866813)); #493694=CARTESIAN_POINT('Ctrl Pts',(28.1924569611177,13.5687703542076,-39.4891509239688)); #493695=CARTESIAN_POINT('Ctrl Pts',(28.6762679930703,12.5343893460808,-38.9354270250767)); #493696=CARTESIAN_POINT('Ctrl Pts',(29.0498837667096,11.6230851339083,-38.9741221557828)); #493697=CARTESIAN_POINT('Ctrl Pts',(29.2840648855021,11.008180395497,-39.1792165502928)); #493698=CARTESIAN_POINT('Ctrl Pts',(29.3756791159213,10.761641650503,-39.2887420441059)); #493699=CARTESIAN_POINT('Ctrl Pts',(29.4778105690957,10.478244551835,-39.4555200338371)); #493700=CARTESIAN_POINT('Ctrl Pts',(29.6576668570779,9.96459727114246,-39.8447083163921)); #493701=CARTESIAN_POINT('Ctrl Pts',(29.857282864367,9.34644173770398,-40.6391955192432)); #493702=CARTESIAN_POINT('Ctrl Pts',(29.9171802452408,9.14701883995802,-41.56907585012)); #493703=CARTESIAN_POINT('Ctrl Pts',(29.9171802452408,9.14701883995802,-41.9999999999963)); #493704=CARTESIAN_POINT('Ctrl Pts',(27.8536048926407,14.6027230514778,-41.9999999999963)); #493705=CARTESIAN_POINT('Ctrl Pts',(27.8536048926407,14.6027230514778,-41.5949976584836)); #493706=CARTESIAN_POINT('Ctrl Pts',(27.9195609017307,14.4796425355049,-40.9408955179111)); #493707=CARTESIAN_POINT('Ctrl Pts',(28.1327494307107,14.0609140016113,-40.2124881782313)); #493708=CARTESIAN_POINT('Ctrl Pts',(28.3854734227052,13.549991822984,-39.6325601551716)); #493709=CARTESIAN_POINT('Ctrl Pts',(28.8413038446153,12.5699436034594,-39.105268463826)); #493710=CARTESIAN_POINT('Ctrl Pts',(29.1963706808845,11.7043527283981,-39.1420885516275)); #493711=CARTESIAN_POINT('Ctrl Pts',(29.4191173259556,11.1219377720288,-39.3374391175289)); #493712=CARTESIAN_POINT('Ctrl Pts',(29.5063015995853,10.888607520865,-39.4416546679238)); #493713=CARTESIAN_POINT('Ctrl Pts',(29.6035003516159,10.6207927127903,-39.6001604835997)); #493714=CARTESIAN_POINT('Ctrl Pts',(29.7746730630902,10.1360795486126,-39.9695285457584)); #493715=CARTESIAN_POINT('Ctrl Pts',(29.9643996960494,9.55580440284501,-40.7209012045378)); #493716=CARTESIAN_POINT('Ctrl Pts',(30.0211573935661,9.36978840658797,-41.5949976584836)); #493717=CARTESIAN_POINT('Ctrl Pts',(30.0211573935661,9.36978840658797,-41.9999999999963)); #493718=CARTESIAN_POINT('Ctrl Pts',(28.084649710516,14.5187241269411,-41.9999999999963)); #493719=CARTESIAN_POINT('Ctrl Pts',(28.084649710516,14.5187241269411,-41.6209194668472)); #493720=CARTESIAN_POINT('Ctrl Pts',(28.1453404429801,14.4040576936002,-41.0085691575314)); #493721=CARTESIAN_POINT('Ctrl Pts',(28.3430785212158,14.011567275077,-40.3233852697813)); #493722=CARTESIAN_POINT('Ctrl Pts',(28.5784898842928,13.5312132917603,-39.7759693863745)); #493723=CARTESIAN_POINT('Ctrl Pts',(29.0063396961604,12.605497860838,-39.2751099025753)); #493724=CARTESIAN_POINT('Ctrl Pts',(29.3428575950594,11.785620322888,-39.3100549474722)); #493725=CARTESIAN_POINT('Ctrl Pts',(29.5541697664091,11.2356951485607,-39.495661684765)); #493726=CARTESIAN_POINT('Ctrl Pts',(29.6369240832493,11.0155733912269,-39.5945672917416)); #493727=CARTESIAN_POINT('Ctrl Pts',(29.7291901341362,10.7633408737456,-39.7448009333623)); #493728=CARTESIAN_POINT('Ctrl Pts',(29.8916792691025,10.3075618260828,-40.0943487751247)); #493729=CARTESIAN_POINT('Ctrl Pts',(30.0715165277319,9.76516706798604,-40.8026068898323)); #493730=CARTESIAN_POINT('Ctrl Pts',(30.1251345418914,9.59255797321793,-41.6209194668472)); #493731=CARTESIAN_POINT('Ctrl Pts',(30.1251345418914,9.59255797321793,-41.9999999999963)); #493732=CARTESIAN_POINT('Ctrl Pts',(28.3156945283913,14.4347252024043,-41.9999999999963)); #493733=CARTESIAN_POINT('Ctrl Pts',(28.3156945283913,14.4347252024043,-41.6468412752109)); #493734=CARTESIAN_POINT('Ctrl Pts',(28.3711199842295,14.3284728516955,-41.0762427971517)); #493735=CARTESIAN_POINT('Ctrl Pts',(28.5534076117209,13.9622205485426,-40.4342823613314)); #493736=CARTESIAN_POINT('Ctrl Pts',(28.7715063458803,13.5124347605367,-39.9193786175774)); #493737=CARTESIAN_POINT('Ctrl Pts',(29.1713755477055,12.6410521182166,-39.4449513413246)); #493738=CARTESIAN_POINT('Ctrl Pts',(29.4893445092344,11.8668879173779,-39.4780213433169)); #493739=CARTESIAN_POINT('Ctrl Pts',(29.6892222068626,11.3494525250926,-39.653884252001)); #493740=CARTESIAN_POINT('Ctrl Pts',(29.7675465669132,11.1425392615889,-39.7474799155595)); #493741=CARTESIAN_POINT('Ctrl Pts',(29.8548799166565,10.9058890347009,-39.8894413831249)); #493742=CARTESIAN_POINT('Ctrl Pts',(30.0086854751149,10.4790441035529,-40.219169004491)); #493743=CARTESIAN_POINT('Ctrl Pts',(30.1786333594144,9.97452973312708,-40.8843125751269)); #493744=CARTESIAN_POINT('Ctrl Pts',(30.2291116902168,9.81532753984789,-41.6468412752109)); #493745=CARTESIAN_POINT('Ctrl Pts',(30.2291116902168,9.81532753984789,-41.9999999999963)); #493746=CARTESIAN_POINT('',(30.2291116902168,9.81532753984789,-41.9999999999963)); #493747=CARTESIAN_POINT('',(30.2291116902168,9.81532753984789,-41.9999999999963)); #493748=CARTESIAN_POINT('Ctrl Pts',(28.3156945283913,14.4347252024043,-41.9999999999963)); #493749=CARTESIAN_POINT('Ctrl Pts',(28.3156945283913,14.4347252024043,-41.6468412752109)); #493750=CARTESIAN_POINT('Ctrl Pts',(28.3711199842295,14.3284728516955,-41.0762427971517)); #493751=CARTESIAN_POINT('Ctrl Pts',(28.5534076117209,13.9622205485426,-40.4342823613314)); #493752=CARTESIAN_POINT('Ctrl Pts',(28.7715063458803,13.5124347605367,-39.9193786175774)); #493753=CARTESIAN_POINT('Ctrl Pts',(29.1713755477055,12.6410521182166,-39.4449513413246)); #493754=CARTESIAN_POINT('Ctrl Pts',(29.4893445092344,11.8668879173779,-39.4780213433169)); #493755=CARTESIAN_POINT('Ctrl Pts',(29.6892222068626,11.3494525250926,-39.653884252001)); #493756=CARTESIAN_POINT('Ctrl Pts',(29.7675465669132,11.1425392615889,-39.7474799155595)); #493757=CARTESIAN_POINT('Ctrl Pts',(29.8548799166565,10.9058890347009,-39.8894413831249)); #493758=CARTESIAN_POINT('Ctrl Pts',(30.0086854751149,10.4790441035529,-40.219169004491)); #493759=CARTESIAN_POINT('Ctrl Pts',(30.1786333594144,9.97452973312708,-40.8843125751269)); #493760=CARTESIAN_POINT('Ctrl Pts',(30.2291116902168,9.81532753984789,-41.6468412752109)); #493761=CARTESIAN_POINT('Ctrl Pts',(30.2291116902168,9.81532753984789,-41.9999999999963)); #493762=CARTESIAN_POINT('Origin',(30.0731459677287,9.48117318990309,-36.9999999999963)); #493763=CARTESIAN_POINT('',(30.2291116902168,9.81532753984789,-71.9999999999963)); #493764=CARTESIAN_POINT('',(30.2291116902168,9.81532753984789,-71.9999999999963)); #493765=CARTESIAN_POINT('',(30.2291116902168,9.81532753984789,-36.9999999999963)); #493766=CARTESIAN_POINT('Ctrl Pts',(29.9171802452408,9.14701883995802,-71.9999999999964)); #493767=CARTESIAN_POINT('Ctrl Pts',(29.9171802452408,9.14701883995802,-72.4309241498726)); #493768=CARTESIAN_POINT('Ctrl Pts',(29.8553721966303,9.35237618740627,-73.3668715804903)); #493769=CARTESIAN_POINT('Ctrl Pts',(29.6536628994911,9.97756832157592,-74.1760474749366)); #493770=CARTESIAN_POINT('Ctrl Pts',(29.4711711874077,10.4969442148276,-74.5570595047344)); #493771=CARTESIAN_POINT('Ctrl Pts',(29.3340721507091,10.8761132494742,-74.7746044891837)); #493772=CARTESIAN_POINT('Ctrl Pts',(29.0723359017054,11.5693782374727,-75.0269245582382)); #493773=CARTESIAN_POINT('Ctrl Pts',(28.7689778189658,12.3017796315826,-75.0273283925043)); #493774=CARTESIAN_POINT('Ctrl Pts',(28.4985185695393,12.9067397141538,-74.8307852721031)); #493775=CARTESIAN_POINT('Ctrl Pts',(28.3672651486824,13.1922460819121,-74.6944971019793)); #493776=CARTESIAN_POINT('Ctrl Pts',(28.2502371167354,13.4411961265284,-74.5422008481416)); #493777=CARTESIAN_POINT('Ctrl Pts',(28.0715172659984,13.8122070924413,-74.2468966519157)); #493778=CARTESIAN_POINT('Ctrl Pts',(27.9052610628591,14.1442557234347,-73.8555158557817)); #493779=CARTESIAN_POINT('Ctrl Pts',(27.6866400281638,14.5683694483678,-73.0646432126898)); #493780=CARTESIAN_POINT('Ctrl Pts',(27.6225600747654,14.6867219760146,-72.4309241498726)); #493781=CARTESIAN_POINT('Ctrl Pts',(27.6225600747654,14.6867219760146,-71.9999999999963)); #493782=CARTESIAN_POINT('Ctrl Pts',(30.0211573935661,9.36978840658797,-71.9999999999964)); #493783=CARTESIAN_POINT('Ctrl Pts',(30.0211573935661,9.36978840658797,-72.405002341509)); #493784=CARTESIAN_POINT('Ctrl Pts',(29.9625879552737,9.56136109414069,-73.2848363119756)); #493785=CARTESIAN_POINT('Ctrl Pts',(29.7708643492504,10.1482425726198,-74.0501009055504)); #493786=CARTESIAN_POINT('Ctrl Pts',(29.597177523175,10.6384667831292,-74.4118077010972)); #493787=CARTESIAN_POINT('Ctrl Pts',(29.4666986018337,10.9968485306316,-74.6185746737993)); #493788=CARTESIAN_POINT('Ctrl Pts',(29.2176563509528,11.6534217584218,-74.8589559155148)); #493789=CARTESIAN_POINT('Ctrl Pts',(28.9296139068242,12.3488443959789,-74.8593340012644)); #493790=CARTESIAN_POINT('Ctrl Pts',(28.674032483309,12.9226132626249,-74.6720839332801)); #493791=CARTESIAN_POINT('Ctrl Pts',(28.5502574096049,13.1931776002796,-74.5424272467213)); #493792=CARTESIAN_POINT('Ctrl Pts',(28.4400984336519,13.4288925155457,-74.3976823987427)); #493793=CARTESIAN_POINT('Ctrl Pts',(28.2722448635239,13.7797434011862,-74.1174362394883)); #493794=CARTESIAN_POINT('Ctrl Pts',(28.1167124082545,14.0929630081227,-73.7469432733405)); #493795=CARTESIAN_POINT('Ctrl Pts',(27.9129517414065,14.4919363214199,-73.0006883080136)); #493796=CARTESIAN_POINT('Ctrl Pts',(27.8536048926407,14.6027230514778,-72.405002341509)); #493797=CARTESIAN_POINT('Ctrl Pts',(27.8536048926407,14.6027230514778,-71.9999999999963)); #493798=CARTESIAN_POINT('Ctrl Pts',(30.1251345418914,9.59255797321793,-71.9999999999964)); #493799=CARTESIAN_POINT('Ctrl Pts',(30.1251345418914,9.59255797321793,-72.3790805331454)); #493800=CARTESIAN_POINT('Ctrl Pts',(30.0698037139171,9.7703460008751,-73.202801043461)); #493801=CARTESIAN_POINT('Ctrl Pts',(29.8880657990098,10.3189168236637,-73.9241543361642)); #493802=CARTESIAN_POINT('Ctrl Pts',(29.7231838589422,10.7799893514308,-74.2665558974601)); #493803=CARTESIAN_POINT('Ctrl Pts',(29.5993250529584,11.117583811789,-74.4625448584148)); #493804=CARTESIAN_POINT('Ctrl Pts',(29.3629768002002,11.7374652793709,-74.6909872727913)); #493805=CARTESIAN_POINT('Ctrl Pts',(29.0902499946826,12.3959091603752,-74.6913396100245)); #493806=CARTESIAN_POINT('Ctrl Pts',(28.8495463970788,12.938486811096,-74.5133825944572)); #493807=CARTESIAN_POINT('Ctrl Pts',(28.7332496705275,13.1941091186471,-74.3903573914634)); #493808=CARTESIAN_POINT('Ctrl Pts',(28.6299597505683,13.4165889045631,-74.2531639493438)); #493809=CARTESIAN_POINT('Ctrl Pts',(28.4729724610493,13.7472797099312,-73.9879758270609)); #493810=CARTESIAN_POINT('Ctrl Pts',(28.3281637536499,14.0416702928108,-73.6383706908993)); #493811=CARTESIAN_POINT('Ctrl Pts',(28.1392634546492,14.415503194472,-72.9367334033374)); #493812=CARTESIAN_POINT('Ctrl Pts',(28.084649710516,14.5187241269411,-72.3790805331454)); #493813=CARTESIAN_POINT('Ctrl Pts',(28.084649710516,14.5187241269411,-71.9999999999963)); #493814=CARTESIAN_POINT('Ctrl Pts',(30.2291116902168,9.81532753984789,-71.9999999999964)); #493815=CARTESIAN_POINT('Ctrl Pts',(30.2291116902168,9.81532753984789,-72.3531587247818)); #493816=CARTESIAN_POINT('Ctrl Pts',(30.1770194725605,9.97933090760953,-73.1207657749464)); #493817=CARTESIAN_POINT('Ctrl Pts',(30.0052672487692,10.4895910747077,-73.798207766778)); #493818=CARTESIAN_POINT('Ctrl Pts',(29.8491901947095,10.9215119197323,-74.121304093823)); #493819=CARTESIAN_POINT('Ctrl Pts',(29.7319515040831,11.2383190929463,-74.3065150430304)); #493820=CARTESIAN_POINT('Ctrl Pts',(29.5082972494476,11.82150880032,-74.5230186300679)); #493821=CARTESIAN_POINT('Ctrl Pts',(29.2508860825411,12.4429739247715,-74.5233452187846)); #493822=CARTESIAN_POINT('Ctrl Pts',(29.0250603108486,12.9543603595671,-74.3546812556342)); #493823=CARTESIAN_POINT('Ctrl Pts',(28.91624193145,13.1950406370146,-74.2382875362054)); #493824=CARTESIAN_POINT('Ctrl Pts',(28.8198210674847,13.4042852935805,-74.1086454999448)); #493825=CARTESIAN_POINT('Ctrl Pts',(28.6737000585748,13.7148160186761,-73.8585154146336)); #493826=CARTESIAN_POINT('Ctrl Pts',(28.5396150990452,13.9903775774988,-73.5297981084581)); #493827=CARTESIAN_POINT('Ctrl Pts',(28.365575167892,14.3390700675241,-72.8727784986611)); #493828=CARTESIAN_POINT('Ctrl Pts',(28.3156945283913,14.4347252024043,-72.3531587247818)); #493829=CARTESIAN_POINT('Ctrl Pts',(28.3156945283913,14.4347252024043,-71.9999999999963)); #493830=CARTESIAN_POINT('Ctrl Pts',(30.2291116902168,9.81532753984789,-71.9999999999964)); #493831=CARTESIAN_POINT('Ctrl Pts',(30.2291116902168,9.81532753984789,-72.3531587247818)); #493832=CARTESIAN_POINT('Ctrl Pts',(30.1770194725605,9.97933090760953,-73.1207657749464)); #493833=CARTESIAN_POINT('Ctrl Pts',(30.0052672487692,10.4895910747077,-73.798207766778)); #493834=CARTESIAN_POINT('Ctrl Pts',(29.8491901947095,10.9215119197323,-74.121304093823)); #493835=CARTESIAN_POINT('Ctrl Pts',(29.7319515040831,11.2383190929463,-74.3065150430304)); #493836=CARTESIAN_POINT('Ctrl Pts',(29.5082972494476,11.82150880032,-74.5230186300679)); #493837=CARTESIAN_POINT('Ctrl Pts',(29.2508860825411,12.4429739247715,-74.5233452187846)); #493838=CARTESIAN_POINT('Ctrl Pts',(29.0250603108486,12.9543603595671,-74.3546812556342)); #493839=CARTESIAN_POINT('Ctrl Pts',(28.91624193145,13.1950406370146,-74.2382875362054)); #493840=CARTESIAN_POINT('Ctrl Pts',(28.8198210674847,13.4042852935805,-74.1086454999448)); #493841=CARTESIAN_POINT('Ctrl Pts',(28.6737000585748,13.7148160186761,-73.8585154146336)); #493842=CARTESIAN_POINT('Ctrl Pts',(28.5396150990452,13.9903775774988,-73.5297981084581)); #493843=CARTESIAN_POINT('Ctrl Pts',(28.365575167892,14.3390700675241,-72.8727784986611)); #493844=CARTESIAN_POINT('Ctrl Pts',(28.3156945283913,14.4347252024043,-72.3531587247818)); #493845=CARTESIAN_POINT('Ctrl Pts',(28.3156945283913,14.4347252024043,-71.9999999999963)); #493846=CARTESIAN_POINT('Origin',(0.,0.,-41.9999999999963)); #493847=CARTESIAN_POINT('',(31.097840064939,15.5871276161382,-41.9999999999963)); #493848=CARTESIAN_POINT('',(-0.956708580912725,2.30969883127822,-41.9999999999963)); #493849=CARTESIAN_POINT('',(33.0112572267645,10.9677299535817,-41.9999999999963)); #493850=CARTESIAN_POINT('Ctrl Pts',(33.0112572267645,10.9677299535817,-41.9999999999963)); #493851=CARTESIAN_POINT('Ctrl Pts',(33.0112572267645,10.9677299535817,-41.6360234136521)); #493852=CARTESIAN_POINT('Ctrl Pts',(32.9550604235887,11.1381921922381,-40.8483970558619)); #493853=CARTESIAN_POINT('Ctrl Pts',(32.7564983378462,11.7107257749864,-40.1174363250916)); #493854=CARTESIAN_POINT('Ctrl Pts',(32.5367432912909,12.3051729916869,-39.7184898849509)); #493855=CARTESIAN_POINT('Ctrl Pts',(32.2741993770036,12.9838670372044,-39.4729348050029)); #493856=CARTESIAN_POINT('Ctrl Pts',(32.0018718820988,13.641080530686,-39.4727029379622)); #493857=CARTESIAN_POINT('Ctrl Pts',(31.7449677420743,14.2225280423394,-39.6861237954216)); #493858=CARTESIAN_POINT('Ctrl Pts',(31.6079790040188,14.5232088521476,-39.868707101166)); #493859=CARTESIAN_POINT('Ctrl Pts',(31.4170126366272,14.9354916092104,-40.1887098865304)); #493860=CARTESIAN_POINT('Ctrl Pts',(31.1778570547996,15.4284818533501,-40.8493480172937)); #493861=CARTESIAN_POINT('Ctrl Pts',(31.097840064939,15.5871276161382,-41.6360234136522)); #493862=CARTESIAN_POINT('Ctrl Pts',(31.097840064939,15.5871276161382,-41.9999999999963)); #493863=CARTESIAN_POINT('',(0.956708580912725,-2.30969883127822,-41.9999999999963)); #493864=CARTESIAN_POINT('Origin',(-0.956708580912726,2.30969883127822,-71.9999999999963)); #493865=CARTESIAN_POINT('',(31.097840064939,15.5871276161382,-71.9999999999963)); #493866=CARTESIAN_POINT('',(-0.956708580912726,2.30969883127822,-71.9999999999963)); #493867=CARTESIAN_POINT('',(31.097840064939,15.5871276161382,-1.6992262707755E-17)); #493868=CARTESIAN_POINT('Origin',(31.2154330556656,15.9056115803731,-8.49613135387749E-18)); #493869=CARTESIAN_POINT('',(31.097840064939,15.5871276161382,-71.9999999999963)); #493870=CARTESIAN_POINT('',(31.3330260463922,16.2240955446081,-41.9999999999963)); #493871=CARTESIAN_POINT('Ctrl Pts',(31.3330260463922,16.2240955446082,-71.9999999999963)); #493872=CARTESIAN_POINT('Ctrl Pts',(31.3330260463922,16.2240955446082,-72.3769789769375)); #493873=CARTESIAN_POINT('Ctrl Pts',(31.3669627605789,16.159109456028,-72.777535624187)); #493874=CARTESIAN_POINT('Ctrl Pts',(31.5233729198872,15.8520984334766,-73.5954498061284)); #493875=CARTESIAN_POINT('Ctrl Pts',(31.706546126526,15.4885148080291,-74.161569013597)); #493876=CARTESIAN_POINT('Ctrl Pts',(32.2215407794557,14.3999480692086,-74.965079207436)); #493877=CARTESIAN_POINT('Ctrl Pts',(32.8026249197404,13.0435841694575,-75.1487501208689)); #493878=CARTESIAN_POINT('Ctrl Pts',(33.3280710020753,11.6019254521815,-74.3545314169784)); #493879=CARTESIAN_POINT('Ctrl Pts',(33.4997696679509,11.0807774042891,-73.5949708259856)); #493880=CARTESIAN_POINT('Ctrl Pts',(33.6059504483388,10.7538993772025,-72.7778139997892)); #493881=CARTESIAN_POINT('Ctrl Pts',(33.6279631707096,10.6836272142877,-72.3769789769372)); #493882=CARTESIAN_POINT('Ctrl Pts',(33.6279631707096,10.6836272142877,-71.9999999999963)); #493883=CARTESIAN_POINT('Ctrl Pts',(31.2546307192411,16.0117729017849,-71.9999999999963)); #493884=CARTESIAN_POINT('Ctrl Pts',(31.2545221939981,16.0119779503965,-72.3572451659094)); #493885=CARTESIAN_POINT('Ctrl Pts',(31.286835736587,15.9494182303914,-72.7375636987765)); #493886=CARTESIAN_POINT('Ctrl Pts',(31.4339209482306,15.6576144961335,-73.5111345628648)); #493887=CARTESIAN_POINT('Ctrl Pts',(31.6068008780339,15.311256308228,-74.0462420933448)); #493888=CARTESIAN_POINT('Ctrl Pts',(32.0903395434242,14.2824141832954,-74.800913080212)); #493889=CARTESIAN_POINT('Ctrl Pts',(32.6357614484997,13.0074146071376,-74.9727639391494)); #493890=CARTESIAN_POINT('Ctrl Pts',(33.1338146304759,11.6499986089102,-74.2283239772041)); #493891=CARTESIAN_POINT('Ctrl Pts',(33.2990019472258,11.1551134272518,-73.5108217648122)); #493892=CARTESIAN_POINT('Ctrl Pts',(33.4010148011576,10.845476216394,-72.7377425328411)); #493893=CARTESIAN_POINT('Ctrl Pts',(33.4224649753013,10.7781327119757,-72.3573134139845)); #493894=CARTESIAN_POINT('Ctrl Pts',(33.4223945227279,10.7783281273857,-71.9999999999963)); #493895=CARTESIAN_POINT('Ctrl Pts',(31.1762353920901,15.7994502589615,-71.9999999999963)); #493896=CARTESIAN_POINT('Ctrl Pts',(31.1763439173331,15.7992452103499,-72.3382134600375)); #493897=CARTESIAN_POINT('Ctrl Pts',(31.2062352912097,15.7405352452176,-72.6968516957857)); #493898=CARTESIAN_POINT('Ctrl Pts',(31.3451515486896,15.4619972212582,-73.4280204869062)); #493899=CARTESIAN_POINT('Ctrl Pts',(31.5066570943519,15.1347255968941,-73.9305918859658)); #493900=CARTESIAN_POINT('Ctrl Pts',(31.9595656872412,14.1642608621364,-74.637297069088)); #493901=CARTESIAN_POINT('Ctrl Pts',(32.4683131328361,12.9724964042534,-74.7964094226356)); #493902=CARTESIAN_POINT('Ctrl Pts',(32.9397916043082,11.6973124553678,-74.1018911559284)); #493903=CARTESIAN_POINT('Ctrl Pts',(33.0979088127404,11.2304526151321,-73.4273752792111)); #493904=CARTESIAN_POINT('Ctrl Pts',(33.1963030140836,10.9362562003406,-72.6971421508532)); #493905=CARTESIAN_POINT('Ctrl Pts',(33.2167554221727,10.8732244558937,-72.338145211962)); #493906=CARTESIAN_POINT('Ctrl Pts',(33.2168258747462,10.8730290404837,-71.9999999999963)); #493907=CARTESIAN_POINT('Ctrl Pts',(31.097840064939,15.5871276161382,-71.9999999999963)); #493908=CARTESIAN_POINT('Ctrl Pts',(31.097840064939,15.5871276161382,-72.3184796490094)); #493909=CARTESIAN_POINT('Ctrl Pts',(31.1261082672178,15.530844019581,-72.6568797703752)); #493910=CARTESIAN_POINT('Ctrl Pts',(31.255699577033,15.2675132839151,-73.3437052436426)); #493911=CARTESIAN_POINT('Ctrl Pts',(31.4069118458598,14.9574670970929,-73.8152649657136)); #493912=CARTESIAN_POINT('Ctrl Pts',(31.8283644512097,14.0467269762232,-74.4731309418641)); #493913=CARTESIAN_POINT('Ctrl Pts',(32.3014496615954,12.9363268419336,-74.6204232409161)); #493914=CARTESIAN_POINT('Ctrl Pts',(32.7455352327087,11.7453856120966,-73.9756837161542)); #493915=CARTESIAN_POINT('Ctrl Pts',(32.8971410920154,11.3047886380949,-73.3432262180378)); #493916=CARTESIAN_POINT('Ctrl Pts',(32.9913673669024,11.0278330395321,-72.6570706839051)); #493917=CARTESIAN_POINT('Ctrl Pts',(33.0112572267645,10.9677299535817,-72.3184796490094)); #493918=CARTESIAN_POINT('Ctrl Pts',(33.0112572267645,10.9677299535817,-71.9999999999963)); #493919=CARTESIAN_POINT('',(33.0112572267645,10.9677299535817,-71.9999999999963)); #493920=CARTESIAN_POINT('',(33.0112572267645,10.9677299535817,-71.9999999999963)); #493921=CARTESIAN_POINT('Ctrl Pts',(31.097840064939,15.5871276161382,-71.9999999999963)); #493922=CARTESIAN_POINT('Ctrl Pts',(31.097840064939,15.5871276161382,-72.3184796490094)); #493923=CARTESIAN_POINT('Ctrl Pts',(31.1261082672178,15.530844019581,-72.6568797703752)); #493924=CARTESIAN_POINT('Ctrl Pts',(31.255699577033,15.2675132839151,-73.3437052436426)); #493925=CARTESIAN_POINT('Ctrl Pts',(31.4069118458598,14.9574670970929,-73.8152649657136)); #493926=CARTESIAN_POINT('Ctrl Pts',(31.8283644512097,14.0467269762232,-74.4731309418641)); #493927=CARTESIAN_POINT('Ctrl Pts',(32.3014496615954,12.9363268419336,-74.6204232409161)); #493928=CARTESIAN_POINT('Ctrl Pts',(32.7455352327087,11.7453856120966,-73.9756837161542)); #493929=CARTESIAN_POINT('Ctrl Pts',(32.8971410920154,11.3047886380949,-73.3432262180378)); #493930=CARTESIAN_POINT('Ctrl Pts',(32.9913673669024,11.0278330395321,-72.6570706839051)); #493931=CARTESIAN_POINT('Ctrl Pts',(33.0112572267645,10.9677299535817,-72.3184796490094)); #493932=CARTESIAN_POINT('Ctrl Pts',(33.0112572267645,10.9677299535817,-71.9999999999963)); #493933=CARTESIAN_POINT('Origin',(33.319610198737,10.8256785839348,-8.49613135387751E-18)); #493934=CARTESIAN_POINT('',(33.0112572267645,10.9677299535817,-41.9999999999963)); #493935=CARTESIAN_POINT('',(33.0112572267645,10.9677299535817,-1.6992262707755E-17)); #493936=CARTESIAN_POINT('Ctrl Pts',(33.6279631707096,10.6836272142877,-41.9999999999963)); #493937=CARTESIAN_POINT('Ctrl Pts',(33.6279631707096,10.6836272142877,-41.5691670674189)); #493938=CARTESIAN_POINT('Ctrl Pts',(33.565879144966,10.8827713648538,-40.6364646778281)); #493939=CARTESIAN_POINT('Ctrl Pts',(33.3396359477085,11.5624561457764,-39.7562623464852)); #493940=CARTESIAN_POINT('Ctrl Pts',(33.0811199759816,12.2791876801019,-39.2692884859515)); #493941=CARTESIAN_POINT('Ctrl Pts',(32.7668865778708,13.1031969077512,-38.9669199479211)); #493942=CARTESIAN_POINT('Ctrl Pts',(32.4345315941053,13.9052146650928,-38.9667086552552)); #493943=CARTESIAN_POINT('Ctrl Pts',(32.1198328982777,14.6084315387621,-39.2295289220448)); #493944=CARTESIAN_POINT('Ctrl Pts',(31.9522564143751,14.9694411874711,-39.4526581933188)); #493945=CARTESIAN_POINT('Ctrl Pts',(31.7189725803279,15.4621178864508,-39.8421353181115)); #493946=CARTESIAN_POINT('Ctrl Pts',(31.4290115354017,16.0412601345136,-40.6376116408206)); #493947=CARTESIAN_POINT('Ctrl Pts',(31.3330260463922,16.2240955446082,-41.5691670674189)); #493948=CARTESIAN_POINT('Ctrl Pts',(31.3330260463922,16.2240955446082,-41.9999999999964)); #493949=CARTESIAN_POINT('Ctrl Pts',(33.4223945227279,10.7783281273857,-41.9999999999963)); #493950=CARTESIAN_POINT('Ctrl Pts',(33.4223945227279,10.7783281273857,-41.5914525161633)); #493951=CARTESIAN_POINT('Ctrl Pts',(33.3622729045069,10.9679116406486,-40.7071088038393)); #493952=CARTESIAN_POINT('Ctrl Pts',(33.145256744421,11.6118793555131,-39.8766536726873)); #493953=CARTESIAN_POINT('Ctrl Pts',(32.8996610810847,12.2878494506302,-39.419022285618)); #493954=CARTESIAN_POINT('Ctrl Pts',(32.6026575109151,13.0634202842356,-39.1355915669483)); #493955=CARTESIAN_POINT('Ctrl Pts',(32.2903116901031,13.8171699536239,-39.1353734161576)); #493956=CARTESIAN_POINT('Ctrl Pts',(31.9948778462099,14.4797970399545,-39.3817272131704)); #493957=CARTESIAN_POINT('Ctrl Pts',(31.8374972775897,14.8206970756966,-39.5913411626012)); #493958=CARTESIAN_POINT('Ctrl Pts',(31.618319265761,15.2865757940373,-39.9576601742511)); #493959=CARTESIAN_POINT('Ctrl Pts',(31.345293375201,15.8370007074591,-40.7081904329783)); #493960=CARTESIAN_POINT('Ctrl Pts',(31.2546307192411,16.0117729017849,-41.5914525161633)); #493961=CARTESIAN_POINT('Ctrl Pts',(31.2546307192411,16.0117729017849,-41.9999999999964)); #493962=CARTESIAN_POINT('Ctrl Pts',(33.2168258747462,10.8730290404837,-41.9999999999963)); #493963=CARTESIAN_POINT('Ctrl Pts',(33.2168258747462,10.8730290404837,-41.6137379649077)); #493964=CARTESIAN_POINT('Ctrl Pts',(33.1586666640478,11.0530519164434,-40.7777529298506)); #493965=CARTESIAN_POINT('Ctrl Pts',(32.9508775411336,11.6613025652498,-39.9970449988894)); #493966=CARTESIAN_POINT('Ctrl Pts',(32.7182021861878,12.2965112211586,-39.5687560852844)); #493967=CARTESIAN_POINT('Ctrl Pts',(32.4384284439593,13.02364366072,-39.3042631859756)); #493968=CARTESIAN_POINT('Ctrl Pts',(32.146091786101,13.7291252421549,-39.3040381770599)); #493969=CARTESIAN_POINT('Ctrl Pts',(31.8699227941421,14.351162541147,-39.533925504296)); #493970=CARTESIAN_POINT('Ctrl Pts',(31.7227381408042,14.6719529639221,-39.7300241318836)); #493971=CARTESIAN_POINT('Ctrl Pts',(31.5176659511941,15.1110337016238,-40.0731850303907)); #493972=CARTESIAN_POINT('Ctrl Pts',(31.2615752150003,15.6327412804046,-40.778769225136)); #493973=CARTESIAN_POINT('Ctrl Pts',(31.1762353920901,15.7994502589615,-41.6137379649077)); #493974=CARTESIAN_POINT('Ctrl Pts',(31.1762353920901,15.7994502589615,-41.9999999999963)); #493975=CARTESIAN_POINT('Ctrl Pts',(33.0112572267645,10.9677299535817,-41.9999999999963)); #493976=CARTESIAN_POINT('Ctrl Pts',(33.0112572267645,10.9677299535817,-41.6360234136521)); #493977=CARTESIAN_POINT('Ctrl Pts',(32.9550604235887,11.1381921922381,-40.8483970558619)); #493978=CARTESIAN_POINT('Ctrl Pts',(32.7564983378462,11.7107257749864,-40.1174363250916)); #493979=CARTESIAN_POINT('Ctrl Pts',(32.5367432912909,12.3051729916869,-39.7184898849509)); #493980=CARTESIAN_POINT('Ctrl Pts',(32.2741993770036,12.9838670372044,-39.4729348050029)); #493981=CARTESIAN_POINT('Ctrl Pts',(32.0018718820988,13.641080530686,-39.4727029379622)); #493982=CARTESIAN_POINT('Ctrl Pts',(31.7449677420743,14.2225280423394,-39.6861237954216)); #493983=CARTESIAN_POINT('Ctrl Pts',(31.6079790040188,14.5232088521476,-39.868707101166)); #493984=CARTESIAN_POINT('Ctrl Pts',(31.4170126366272,14.9354916092104,-40.1887098865304)); #493985=CARTESIAN_POINT('Ctrl Pts',(31.1778570547996,15.4284818533501,-40.8493480172937)); #493986=CARTESIAN_POINT('Ctrl Pts',(31.097840064939,15.5871276161382,-41.6360234136522)); #493987=CARTESIAN_POINT('Ctrl Pts',(31.097840064939,15.5871276161382,-41.9999999999963)); #493988=CARTESIAN_POINT('Origin',(0.,0.,-71.9999999999963)); #493989=CARTESIAN_POINT('',(0.956708580912726,-2.30969883127822,-71.9999999999963)); #493990=CARTESIAN_POINT('Origin',(0.956708580912725,-2.30969883127822,-41.9999999999963)); #493991=CARTESIAN_POINT('Origin',(31.4122519369689,2.74903286660058,-36.9999999999963)); #493992=CARTESIAN_POINT('',(31.6842208093255,2.49999999999999,-41.9999999999963)); #493993=CARTESIAN_POINT('',(31.6842208093255,2.49999999999999,-41.9999999999963)); #493994=CARTESIAN_POINT('',(31.6842208093255,2.5,-71.9999999999963)); #493995=CARTESIAN_POINT('',(31.1402830646123,2.99806573320116,-71.9999999999963)); #493996=CARTESIAN_POINT('',(31.6842208093255,2.49999999999999,-36.9999999999963)); #493997=CARTESIAN_POINT('Ctrl Pts',(31.1402830646122,2.99806573320145,-41.9999999999963)); #493998=CARTESIAN_POINT('Ctrl Pts',(31.1402830646122,2.99806573320145,-41.5690758501199)); #493999=CARTESIAN_POINT('Ctrl Pts',(31.1615630175515,2.79031753799107,-40.6362730629213)); #494000=CARTESIAN_POINT('Ctrl Pts',(31.2191870173497,2.07603375893278,-39.7562494035257)); #494001=CARTESIAN_POINT('Ctrl Pts',(31.2591612938052,1.31511340917225,-39.2693310436615)); #494002=CARTESIAN_POINT('Ctrl Pts',(31.2873840153208,0.433642654299321, -38.9669447212466)); #494003=CARTESIAN_POINT('Ctrl Pts',(31.2872285438282,-0.434663262720147, -38.9667401875334)); #494004=CARTESIAN_POINT('Ctrl Pts',(31.2628266170424,-1.20471819197487, -39.2295738173719)); #494005=CARTESIAN_POINT('Ctrl Pts',(31.2440270355764,-1.60230292528809, -39.4526838274717)); #494006=CARTESIAN_POINT('Ctrl Pts',(31.2135896766594,-2.1466080814874,-39.8421102962863)); #494007=CARTESIAN_POINT('Ctrl Pts',(31.1614045784027,-2.79241362108958, -40.6374228681298)); #494008=CARTESIAN_POINT('Ctrl Pts',(31.1402830646122,-2.99806573320147, -41.5690758501201)); #494009=CARTESIAN_POINT('Ctrl Pts',(31.1402830646122,-2.99806573320147, -41.9999999999963)); #494010=CARTESIAN_POINT('Ctrl Pts',(31.3215956461833,2.8320438221343,-41.9999999999963)); #494011=CARTESIAN_POINT('Ctrl Pts',(31.3215956461833,2.8320438221343,-41.5949976584836)); #494012=CARTESIAN_POINT('Ctrl Pts',(31.3406130545762,2.63792756574357,-40.7181422894779)); #494013=CARTESIAN_POINT('Ctrl Pts',(31.3923153367427,1.96641253817985,-39.8858672377108)); #494014=CARTESIAN_POINT('Ctrl Pts',(31.4282968460968,1.24709747328629,-39.4233029670097)); #494015=CARTESIAN_POINT('Ctrl Pts',(31.453736132003,0.41178515908029,-39.1352503821759)); #494016=CARTESIAN_POINT('Ctrl Pts',(31.4535961233166,-0.412744624979083, -39.1350769547642)); #494017=CARTESIAN_POINT('Ctrl Pts',(31.4315985475833,-1.14249559634672, -39.3854579612989)); #494018=CARTESIAN_POINT('Ctrl Pts',(31.4146750089676,-1.51856363320765, -39.5974872627627)); #494019=CARTESIAN_POINT('Ctrl Pts',(31.3872915907426,-2.03276771322874, -39.9670830074191)); #494020=CARTESIAN_POINT('Ctrl Pts',(31.3404716085771,-2.63988624315063, -40.7192306794259)); #494021=CARTESIAN_POINT('Ctrl Pts',(31.3215956461833,-2.83204382213431, -41.5949976584837)); #494022=CARTESIAN_POINT('Ctrl Pts',(31.3215956461833,-2.83204382213431, -41.9999999999963)); #494023=CARTESIAN_POINT('Ctrl Pts',(31.5029082277544,2.66602191106715,-41.9999999999963)); #494024=CARTESIAN_POINT('Ctrl Pts',(31.5029082277544,2.66602191106715,-41.6209194668472)); #494025=CARTESIAN_POINT('Ctrl Pts',(31.5196630916008,2.48553759349608,-40.8000115160345)); #494026=CARTESIAN_POINT('Ctrl Pts',(31.5654436561357,1.85679131742692,-40.0154850718959)); #494027=CARTESIAN_POINT('Ctrl Pts',(31.5974323983885,1.17908153740034,-39.5772748903578)); #494028=CARTESIAN_POINT('Ctrl Pts',(31.6200882486852,0.38992766386126,-39.3035560431051)); #494029=CARTESIAN_POINT('Ctrl Pts',(31.619963702805,-0.390825987238021, -39.3034137219949)); #494030=CARTESIAN_POINT('Ctrl Pts',(31.6003704781241,-1.08027300071857, -39.5413421052257)); #494031=CARTESIAN_POINT('Ctrl Pts',(31.5853229823588,-1.43482434112721, -39.7422906980536)); #494032=CARTESIAN_POINT('Ctrl Pts',(31.5609935048257,-1.91892734497008, -40.0920557185518)); #494033=CARTESIAN_POINT('Ctrl Pts',(31.5195386387515,-2.48735886521168, -40.801038490722)); #494034=CARTESIAN_POINT('Ctrl Pts',(31.5029082277544,-2.66602191106716, -41.6209194668473)); #494035=CARTESIAN_POINT('Ctrl Pts',(31.5029082277544,-2.66602191106716, -41.9999999999963)); #494036=CARTESIAN_POINT('Ctrl Pts',(31.6842208093255,2.49999999999999,-41.9999999999963)); #494037=CARTESIAN_POINT('Ctrl Pts',(31.6842208093255,2.49999999999999,-41.6468412752109)); #494038=CARTESIAN_POINT('Ctrl Pts',(31.6987131286255,2.33314762124858,-40.8818807425911)); #494039=CARTESIAN_POINT('Ctrl Pts',(31.7385719755288,1.74717009667399,-40.145102906081)); #494040=CARTESIAN_POINT('Ctrl Pts',(31.7665679506801,1.11106560151439,-39.7312468137059)); #494041=CARTESIAN_POINT('Ctrl Pts',(31.7864403653675,0.36807016864223,-39.4718617040343)); #494042=CARTESIAN_POINT('Ctrl Pts',(31.7863312822933,-0.368907349496958, -39.4717504892257)); #494043=CARTESIAN_POINT('Ctrl Pts',(31.769142408665,-1.01805040509042,-39.6972262491527)); #494044=CARTESIAN_POINT('Ctrl Pts',(31.75597095575,-1.35108504904677,-39.8870941333446)); #494045=CARTESIAN_POINT('Ctrl Pts',(31.7346954189089,-1.80508697671143, -40.2170284296846)); #494046=CARTESIAN_POINT('Ctrl Pts',(31.6986056689259,-2.33483148727273, -40.8828463020181)); #494047=CARTESIAN_POINT('Ctrl Pts',(31.6842208093255,-2.50000000000001, -41.6468412752109)); #494048=CARTESIAN_POINT('Ctrl Pts',(31.6842208093255,-2.50000000000001, -41.9999999999963)); #494049=CARTESIAN_POINT('',(31.6842208093255,-2.50000000000001,-41.9999999999963)); #494050=CARTESIAN_POINT('',(31.6842208093255,-2.50000000000001,-41.9999999999963)); #494051=CARTESIAN_POINT('Ctrl Pts',(31.6842208093255,2.49999999999999,-41.9999999999963)); #494052=CARTESIAN_POINT('Ctrl Pts',(31.6842208093255,2.49999999999999,-41.6468412752109)); #494053=CARTESIAN_POINT('Ctrl Pts',(31.6987131286255,2.33314762124858,-40.8818807425911)); #494054=CARTESIAN_POINT('Ctrl Pts',(31.7385719755288,1.74717009667399,-40.145102906081)); #494055=CARTESIAN_POINT('Ctrl Pts',(31.7665679506801,1.11106560151439,-39.7312468137059)); #494056=CARTESIAN_POINT('Ctrl Pts',(31.7864403653675,0.36807016864223,-39.4718617040343)); #494057=CARTESIAN_POINT('Ctrl Pts',(31.7863312822933,-0.368907349496958, -39.4717504892257)); #494058=CARTESIAN_POINT('Ctrl Pts',(31.769142408665,-1.01805040509042,-39.6972262491527)); #494059=CARTESIAN_POINT('Ctrl Pts',(31.75597095575,-1.35108504904677,-39.8870941333446)); #494060=CARTESIAN_POINT('Ctrl Pts',(31.7346954189089,-1.80508697671143, -40.2170284296846)); #494061=CARTESIAN_POINT('Ctrl Pts',(31.6986056689259,-2.33483148727273, -40.8828463020181)); #494062=CARTESIAN_POINT('Ctrl Pts',(31.6842208093255,-2.50000000000001, -41.6468412752109)); #494063=CARTESIAN_POINT('Ctrl Pts',(31.6842208093255,-2.50000000000001, -41.9999999999963)); #494064=CARTESIAN_POINT('Origin',(31.4122519369689,-2.74903286660059,-36.9999999999963)); #494065=CARTESIAN_POINT('',(31.6842208093255,-2.50000000000001,-71.9999999999963)); #494066=CARTESIAN_POINT('',(31.6842208093255,-2.50000000000001,-71.9999999999963)); #494067=CARTESIAN_POINT('',(31.6842208093255,-2.50000000000001,-36.9999999999963)); #494068=CARTESIAN_POINT('Ctrl Pts',(31.1402830646122,-2.99806573320147, -71.9999999999963)); #494069=CARTESIAN_POINT('Ctrl Pts',(31.1402830646122,-2.99806573320147, -72.4524702530432)); #494070=CARTESIAN_POINT('Ctrl Pts',(31.1640095766734,-2.7637589695908,-73.4345967634078)); #494071=CARTESIAN_POINT('Ctrl Pts',(31.2194401703591,-2.06465683146527, -74.2389495117276)); #494072=CARTESIAN_POINT('Ctrl Pts',(31.2502451195108,-1.47127118119646, -74.6220736177409)); #494073=CARTESIAN_POINT('Ctrl Pts',(31.2686645323882,-1.03933581060691, -74.8336902863452)); #494074=CARTESIAN_POINT('Ctrl Pts',(31.2837643749795,-0.452912372681375, -74.994075436967)); #494075=CARTESIAN_POINT('Ctrl Pts',(31.2862881110548,0.22596451722182,-75.0194568610663)); #494076=CARTESIAN_POINT('Ctrl Pts',(31.2690949298053,1.16783918385654,-74.8412841614126)); #494077=CARTESIAN_POINT('Ctrl Pts',(31.2342458601862,1.80229550894092,-74.4425451495722)); #494078=CARTESIAN_POINT('Ctrl Pts',(31.1944717720836,2.38683998293259,-73.8560533470259)); #494079=CARTESIAN_POINT('Ctrl Pts',(31.1556781270085,2.84764430845993,-73.1816419940591)); #494080=CARTESIAN_POINT('Ctrl Pts',(31.1402830646122,2.99806573320145,-72.3770588919459)); #494081=CARTESIAN_POINT('Ctrl Pts',(31.1402830646122,2.99806573320145,-71.9999999999963)); #494082=CARTESIAN_POINT('Ctrl Pts',(31.3215956461833,-2.83204382213431, -71.9999999999963)); #494083=CARTESIAN_POINT('Ctrl Pts',(31.3216992873215,-2.83143910216393, -72.425383903654)); #494084=CARTESIAN_POINT('Ctrl Pts',(31.342763516637,-2.61335120985477,-73.3484242933041)); #494085=CARTESIAN_POINT('Ctrl Pts',(31.3925770032746,-1.9554489683354,-74.1097711477881)); #494086=CARTESIAN_POINT('Ctrl Pts',(31.4203195314639,-1.39434022854385, -74.4737399661555)); #494087=CARTESIAN_POINT('Ctrl Pts',(31.4368905111783,-0.985653849519057, -74.6748992575733)); #494088=CARTESIAN_POINT('Ctrl Pts',(31.4505126762015,-0.429592880636055, -74.8277751906492)); #494089=CARTESIAN_POINT('Ctrl Pts',(31.4527846107065,0.214479340829932, -74.8519809709831)); #494090=CARTESIAN_POINT('Ctrl Pts',(31.4372768591599,1.10807292981277,-74.6820492042165)); #494091=CARTESIAN_POINT('Ctrl Pts',(31.4058825614248,1.70778361762276,-74.3027748111507)); #494092=CARTESIAN_POINT('Ctrl Pts',(31.3701619055748,2.2587083968161,-73.7475350178385)); #494093=CARTESIAN_POINT('Ctrl Pts',(31.3353525904748,2.69153475070432,-73.1105969845911)); #494094=CARTESIAN_POINT('Ctrl Pts',(31.3216293301409,2.83192391045132,-72.3543791355259)); #494095=CARTESIAN_POINT('Ctrl Pts',(31.3215956461833,2.8320438221343,-71.9999999999963)); #494096=CARTESIAN_POINT('Ctrl Pts',(31.5029082277544,-2.66602191106716, -71.9999999999963)); #494097=CARTESIAN_POINT('Ctrl Pts',(31.5028045866163,-2.66662663103754, -72.3979029249136)); #494098=CARTESIAN_POINT('Ctrl Pts',(31.5216283068549,-2.46218069520714, -73.2626015060416)); #494099=CARTESIAN_POINT('Ctrl Pts',(31.5656254199544,-1.84673590917208, -73.9804276890988)); #494100=CARTESIAN_POINT('Ctrl Pts',(31.590257398644,-1.31839844142141,-74.3248802548425)); #494101=CARTESIAN_POINT('Ctrl Pts',(31.6050294052765,-0.932635355565236, -74.5158447315705)); #494102=CARTESIAN_POINT('Ctrl Pts',(31.6171523661898,-0.407018757158399, -74.6611160198589)); #494103=CARTESIAN_POINT('Ctrl Pts',(31.6191908677936,0.202826756106494, -74.6842181494664)); #494104=CARTESIAN_POINT('Ctrl Pts',(31.6053647866319,1.0479195248167,-74.5225768783674)); #494105=CARTESIAN_POINT('Ctrl Pts',(31.5774720448234,1.61324233282653,-74.1630226473598)); #494106=CARTESIAN_POINT('Ctrl Pts',(31.5457688365651,2.13063977971353,-73.6388673209437)); #494107=CARTESIAN_POINT('Ctrl Pts',(31.5150309815025,2.53533053590114,-73.039554920221)); #494108=CARTESIAN_POINT('Ctrl Pts',(31.5028745437969,2.66614182275013,-72.3316938543456)); #494109=CARTESIAN_POINT('Ctrl Pts',(31.5029082277544,2.66602191106715,-71.9999999999963)); #494110=CARTESIAN_POINT('Ctrl Pts',(31.6842208093255,-2.50000000000001, -71.9999999999963)); #494111=CARTESIAN_POINT('Ctrl Pts',(31.6842208093255,-2.50000000000001, -72.3708165755243)); #494112=CARTESIAN_POINT('Ctrl Pts',(31.7003822468185,-2.31177293547112, -73.1764290359379)); #494113=CARTESIAN_POINT('Ctrl Pts',(31.7387622528699,-1.73752804604222, -73.8512493251593)); #494114=CARTESIAN_POINT('Ctrl Pts',(31.7603318105971,-1.2414674887688,-74.1765466032571)); #494115=CARTESIAN_POINT('Ctrl Pts',(31.7732553840667,-0.87895339447738, -74.3570537027986)); #494116=CARTESIAN_POINT('Ctrl Pts',(31.7839006674118,-0.38369926511308, -74.4948157735411)); #494117=CARTESIAN_POINT('Ctrl Pts',(31.7856873674452,0.191341579714606, -74.5167422593832)); #494118=CARTESIAN_POINT('Ctrl Pts',(31.7735467159866,0.988153270772936, -74.3633419211712)); #494119=CARTESIAN_POINT('Ctrl Pts',(31.7491087460619,1.51873044150837,-74.0232523089382)); #494120=CARTESIAN_POINT('Ctrl Pts',(31.7214589700563,2.00250819359704,-73.5303489917563)); #494121=CARTESIAN_POINT('Ctrl Pts',(31.6947054449689,2.37922097814553,-72.968509910753)); #494122=CARTESIAN_POINT('Ctrl Pts',(31.6842208093255,2.5,-72.3090140979256)); #494123=CARTESIAN_POINT('Ctrl Pts',(31.6842208093255,2.5,-71.9999999999963)); #494124=CARTESIAN_POINT('Ctrl Pts',(31.6842208093255,-2.50000000000001, -71.9999999999963)); #494125=CARTESIAN_POINT('Ctrl Pts',(31.6842208093255,-2.50000000000001, -72.3708165755243)); #494126=CARTESIAN_POINT('Ctrl Pts',(31.7003822468185,-2.31177293547112, -73.1764290359379)); #494127=CARTESIAN_POINT('Ctrl Pts',(31.7387622528699,-1.73752804604222, -73.8512493251593)); #494128=CARTESIAN_POINT('Ctrl Pts',(31.7603318105971,-1.2414674887688,-74.1765466032571)); #494129=CARTESIAN_POINT('Ctrl Pts',(31.7732553840667,-0.87895339447738, -74.3570537027986)); #494130=CARTESIAN_POINT('Ctrl Pts',(31.7839006674118,-0.38369926511308, -74.4948157735411)); #494131=CARTESIAN_POINT('Ctrl Pts',(31.7856873674452,0.191341579714606, -74.5167422593832)); #494132=CARTESIAN_POINT('Ctrl Pts',(31.7735467159866,0.988153270772936, -74.3633419211712)); #494133=CARTESIAN_POINT('Ctrl Pts',(31.7491087460619,1.51873044150837,-74.0232523089382)); #494134=CARTESIAN_POINT('Ctrl Pts',(31.7214589700563,2.00250819359704,-73.5303489917563)); #494135=CARTESIAN_POINT('Ctrl Pts',(31.6947054449689,2.37922097814553,-72.968509910753)); #494136=CARTESIAN_POINT('Ctrl Pts',(31.6842208093255,2.5,-72.3090140979256)); #494137=CARTESIAN_POINT('Ctrl Pts',(31.6842208093255,2.5,-71.9999999999963)); #494138=CARTESIAN_POINT('Origin',(0.,0.,-41.9999999999963)); #494139=CARTESIAN_POINT('',(34.6955934381631,2.49999999999999,-41.9999999999963)); #494140=CARTESIAN_POINT('',(4.59242549680257E-16,2.5,-41.9999999999963)); #494141=CARTESIAN_POINT('',(34.6955934381631,-2.50000000000001,-41.9999999999963)); #494142=CARTESIAN_POINT('Ctrl Pts',(34.6955934381631,-2.50000000000001, -41.9999999999963)); #494143=CARTESIAN_POINT('Ctrl Pts',(34.6955934381631,-2.50000000000001, -41.6360234136521)); #494144=CARTESIAN_POINT('Ctrl Pts',(34.708910573559,-2.32100322265918,-40.8483603827369)); #494145=CARTESIAN_POINT('Ctrl Pts',(34.7445633397433,-1.71606009583728, -40.1173823571297)); #494146=CARTESIAN_POINT('Ctrl Pts',(34.7690221760921,-1.08276315964372, -39.7184236901026)); #494147=CARTESIAN_POINT('Ctrl Pts',(34.7861883367985,-0.355267518405299, -39.4728656239774)); #494148=CARTESIAN_POINT('Ctrl Pts',(34.7860956974173,0.356117135222291, -39.4726258937885)); #494149=CARTESIAN_POINT('Ctrl Pts',(34.7712571712973,0.991615674296645, -39.6860520538365)); #494150=CARTESIAN_POINT('Ctrl Pts',(34.7597616097928,1.32183103551101,-39.8686357856414)); #494151=CARTESIAN_POINT('Ctrl Pts',(34.7411046804075,1.77581298934138,-40.1886494169535)); #494152=CARTESIAN_POINT('Ctrl Pts',(34.708811988502,2.32280378607095,-40.8493024847081)); #494153=CARTESIAN_POINT('Ctrl Pts',(34.6955934381631,2.49999999999999,-41.6360234136522)); #494154=CARTESIAN_POINT('Ctrl Pts',(34.6955934381631,2.49999999999999,-41.9999999999963)); #494155=CARTESIAN_POINT('',(-4.59242549680257E-16,-2.5,-41.9999999999963)); #494156=CARTESIAN_POINT('Origin',(4.59242549680258E-16,2.5,-71.9999999999963)); #494157=CARTESIAN_POINT('',(34.6955934381631,2.49999999999999,-71.9999999999963)); #494158=CARTESIAN_POINT('',(4.59242549680258E-16,2.5,-71.9999999999963)); #494159=CARTESIAN_POINT('',(34.6955934381631,2.49999999999999,-1.69922627077549E-17)); #494160=CARTESIAN_POINT('Origin',(34.9261137320489,2.74923992667641,-8.49613135387747E-18)); #494161=CARTESIAN_POINT('',(34.6955934381631,2.49999999999999,-71.9999999999963)); #494162=CARTESIAN_POINT('',(35.1566340259346,2.99847985335283,-41.9999999999963)); #494163=CARTESIAN_POINT('Ctrl Pts',(35.1566340259346,2.99847985335298,-71.9999999999963)); #494164=CARTESIAN_POINT('Ctrl Pts',(35.1566340259346,2.99847985335298,-72.3769789769375)); #494165=CARTESIAN_POINT('Ctrl Pts',(35.1632544888337,2.92335578327561,-72.7790509760547)); #494166=CARTESIAN_POINT('Ctrl Pts',(35.1838182475565,2.66286853330322,-73.407182720336)); #494167=CARTESIAN_POINT('Ctrl Pts',(35.2056647501411,2.37063799188117,-73.8938802377274)); #494168=CARTESIAN_POINT('Ctrl Pts',(35.2403187608639,1.8001608870469,-74.4429937698031)); #494169=CARTESIAN_POINT('Ctrl Pts',(35.2718356842161,1.0464691813816,-74.8538817734598)); #494170=CARTESIAN_POINT('Ctrl Pts',(35.286557940586,0.224712139355426,-75.0240613804536)); #494171=CARTESIAN_POINT('Ctrl Pts',(35.2827781269774,-0.573825811525736, -74.9828437484492)); #494172=CARTESIAN_POINT('Ctrl Pts',(35.2516536310539,-1.66444319441761, -74.6025954812563)); #494173=CARTESIAN_POINT('Ctrl Pts',(35.199843464431,-2.46314635406515,-73.8600849660023)); #494174=CARTESIAN_POINT('Ctrl Pts',(35.1628828640525,-2.92919175799492, -72.8173586962704)); #494175=CARTESIAN_POINT('Ctrl Pts',(35.1566340259346,-2.99847985335299, -72.2638851551065)); #494176=CARTESIAN_POINT('Ctrl Pts',(35.1566340259346,-2.99847985335299, -71.9999999999963)); #494177=CARTESIAN_POINT('Ctrl Pts',(35.0029538300108,2.83231990223532,-71.9999999999963)); #494178=CARTESIAN_POINT('Ctrl Pts',(35.002971491332,2.83224701350575,-72.3574690573086)); #494179=CARTESIAN_POINT('Ctrl Pts',(35.0089235987888,2.76073760855291,-72.73874993441)); #494180=CARTESIAN_POINT('Ctrl Pts',(35.027447346734,2.51292362940005,-73.3333042459556)); #494181=CARTESIAN_POINT('Ctrl Pts',(35.0471118195586,2.23537526518512,-73.7934236484541)); #494182=CARTESIAN_POINT('Ctrl Pts',(35.0782286251985,1.69533134828063,-74.3106273054542)); #494183=CARTESIAN_POINT('Ctrl Pts',(35.1064675062812,0.984370094966366, -74.6963324810861)); #494184=CARTESIAN_POINT('Ctrl Pts',(35.1196535472036,0.211219692120292, -74.8557930566564)); #494185=CARTESIAN_POINT('Ctrl Pts',(35.1162547755543,-0.539687387629348, -74.8170690879072)); #494186=CARTESIAN_POINT('Ctrl Pts',(35.0884054654276,-1.56654782059093, -74.4607841212361)); #494187=CARTESIAN_POINT('Ctrl Pts',(35.0418936368692,-2.32298037094241, -73.7622387850387)); #494188=CARTESIAN_POINT('Ctrl Pts',(35.0085870667452,-2.76624054749851, -72.7750063250278)); #494189=CARTESIAN_POINT('Ctrl Pts',(35.0029600188493,-2.83240594146366, -72.250321143699)); #494190=CARTESIAN_POINT('Ctrl Pts',(35.0029538300108,-2.83231990223533, -71.9999999999963)); #494191=CARTESIAN_POINT('Ctrl Pts',(34.8492736340869,2.66615995111766,-71.9999999999963)); #494192=CARTESIAN_POINT('Ctrl Pts',(34.8492559727656,2.66623283984723,-72.3379895686382)); #494193=CARTESIAN_POINT('Ctrl Pts',(34.8545950900272,2.59800549224832,-72.6984176478078)); #494194=CARTESIAN_POINT('Ctrl Pts',(34.8710667206353,2.3631951027341,-73.2595280119508)); #494195=CARTESIAN_POINT('Ctrl Pts',(34.888538811058,2.1002782228386,-73.6928713762972)); #494196=CARTESIAN_POINT('Ctrl Pts',(34.9161479624034,1.59062533034137,-74.1785340910578)); #494197=CARTESIAN_POINT('Ctrl Pts',(34.9411303167063,0.922445005151612, -74.5392625716816)); #494198=CARTESIAN_POINT('Ctrl Pts',(34.952741709554,0.197926174076311,-74.6876586933037)); #494199=CARTESIAN_POINT('Ctrl Pts',(34.9497754594249,-0.505245896775491, -74.6519246484252)); #494200=CARTESIAN_POINT('Ctrl Pts',(34.9251695846085,-1.46867235295225, -74.3192850114182)); #494201=CARTESIAN_POINT('Ctrl Pts',(34.8839161830047,-2.18268849375826, -73.6639753684194)); #494202=CARTESIAN_POINT('Ctrl Pts',(34.8542887458122,-2.60340329165412, -72.7328277555689)); #494203=CARTESIAN_POINT('Ctrl Pts',(34.8492674452483,-2.66607391188934, -72.2364996569434)); #494204=CARTESIAN_POINT('Ctrl Pts',(34.8492736340869,-2.66615995111767, -71.9999999999963)); #494205=CARTESIAN_POINT('Ctrl Pts',(34.6955934381631,2.49999999999999,-71.9999999999963)); #494206=CARTESIAN_POINT('Ctrl Pts',(34.6955934381631,2.5,-72.3184796490094)); #494207=CARTESIAN_POINT('Ctrl Pts',(34.7002641999823,2.43538731752562,-72.658116606163)); #494208=CARTESIAN_POINT('Ctrl Pts',(34.7146958198128,2.21325019883094,-73.1856495375704)); #494209=CARTESIAN_POINT('Ctrl Pts',(34.7299858804755,1.96501549614255,-73.5924147870239)); #494210=CARTESIAN_POINT('Ctrl Pts',(34.7540578267379,1.48579579157509,-74.046167626709)); #494211=CARTESIAN_POINT('Ctrl Pts',(34.7757621387715,0.860345918736375, -74.3817132793079)); #494212=CARTESIAN_POINT('Ctrl Pts',(34.7858373161717,0.184433726841177, -74.5193903695065)); #494213=CARTESIAN_POINT('Ctrl Pts',(34.7832521080018,-0.471107472879103, -74.4861499878831)); #494214=CARTESIAN_POINT('Ctrl Pts',(34.7619214189821,-1.37077697912557, -74.177473651398)); #494215=CARTESIAN_POINT('Ctrl Pts',(34.725966355443,-2.04252251063552,-73.5661291874558)); #494216=CARTESIAN_POINT('Ctrl Pts',(34.6999929485049,-2.44045208115772, -72.6904753843263)); #494217=CARTESIAN_POINT('Ctrl Pts',(34.6955934381631,-2.50000000000001, -72.222935645536)); #494218=CARTESIAN_POINT('Ctrl Pts',(34.6955934381631,-2.50000000000001, -71.9999999999963)); #494219=CARTESIAN_POINT('',(34.6955934381631,-2.50000000000001,-71.9999999999963)); #494220=CARTESIAN_POINT('',(34.6955934381631,-2.50000000000001,-71.9999999999963)); #494221=CARTESIAN_POINT('Ctrl Pts',(34.6955934381631,2.49999999999999,-71.9999999999963)); #494222=CARTESIAN_POINT('Ctrl Pts',(34.6955934381631,2.5,-72.3184796490094)); #494223=CARTESIAN_POINT('Ctrl Pts',(34.7002641999823,2.43538731752562,-72.658116606163)); #494224=CARTESIAN_POINT('Ctrl Pts',(34.7146958198128,2.21325019883094,-73.1856495375704)); #494225=CARTESIAN_POINT('Ctrl Pts',(34.7299858804755,1.96501549614255,-73.5924147870239)); #494226=CARTESIAN_POINT('Ctrl Pts',(34.7540578267379,1.48579579157509,-74.046167626709)); #494227=CARTESIAN_POINT('Ctrl Pts',(34.7757621387715,0.860345918736375, -74.3817132793079)); #494228=CARTESIAN_POINT('Ctrl Pts',(34.7858373161717,0.184433726841177, -74.5193903695065)); #494229=CARTESIAN_POINT('Ctrl Pts',(34.7832521080018,-0.471107472879103, -74.4861499878831)); #494230=CARTESIAN_POINT('Ctrl Pts',(34.7619214189821,-1.37077697912557, -74.177473651398)); #494231=CARTESIAN_POINT('Ctrl Pts',(34.725966355443,-2.04252251063552,-73.5661291874558)); #494232=CARTESIAN_POINT('Ctrl Pts',(34.6999929485049,-2.44045208115772, -72.6904753843263)); #494233=CARTESIAN_POINT('Ctrl Pts',(34.6955934381631,-2.50000000000001, -72.222935645536)); #494234=CARTESIAN_POINT('Ctrl Pts',(34.6955934381631,-2.50000000000001, -71.9999999999963)); #494235=CARTESIAN_POINT('Origin',(34.9261137320489,-2.74923992667643,-8.49613135387749E-18)); #494236=CARTESIAN_POINT('',(34.6955934381631,-2.50000000000001,-41.9999999999963)); #494237=CARTESIAN_POINT('',(34.6955934381631,-2.5,-1.6992262707755E-17)); #494238=CARTESIAN_POINT('Ctrl Pts',(35.1566340259346,-2.99847985335299, -41.9999999999963)); #494239=CARTESIAN_POINT('Ctrl Pts',(35.1566340259346,-2.99847985335299, -41.5691670674188)); #494240=CARTESIAN_POINT('Ctrl Pts',(35.17548943722,-2.79073063611486,-40.6364208143545)); #494241=CARTESIAN_POINT('Ctrl Pts',(35.2265736282218,-2.07619870222808, -39.7561978183411)); #494242=CARTESIAN_POINT('Ctrl Pts',(35.2620185974132,-1.31509212250869, -39.269209335542)); #494243=CARTESIAN_POINT('Ctrl Pts',(35.287039669137,-0.433563354937821, -38.9668372708243)); #494244=CARTESIAN_POINT('Ctrl Pts',(35.2869032249589,0.434571334137849, -38.9666166808007)); #494245=CARTESIAN_POINT('Ctrl Pts',(35.2652684560591,1.20468675548028,-39.2294432776782)); #494246=CARTESIAN_POINT('Ctrl Pts',(35.2486003345588,1.60234397050899,-39.4525730648602)); #494247=CARTESIAN_POINT('Ctrl Pts',(35.2216122730958,2.14679492112076,-39.8420631438778)); #494248=CARTESIAN_POINT('Ctrl Pts',(35.1753500106642,2.79282338902181,-40.6375573238297)); #494249=CARTESIAN_POINT('Ctrl Pts',(35.1566340259346,2.99847985335298,-41.569167067419)); #494250=CARTESIAN_POINT('Ctrl Pts',(35.1566340259346,2.99847985335298,-41.9999999999963)); #494251=CARTESIAN_POINT('Ctrl Pts',(35.0029538300108,-2.83231990223533, -41.9999999999963)); #494252=CARTESIAN_POINT('Ctrl Pts',(35.0029538300108,-2.83231990223533, -41.5914525161632)); #494253=CARTESIAN_POINT('Ctrl Pts',(35.019963149333,-2.63415483162963,-40.7070673371486)); #494254=CARTESIAN_POINT('Ctrl Pts',(35.0659035320623,-1.95615250009781, -39.876592664604)); #494255=CARTESIAN_POINT('Ctrl Pts',(35.0976864569728,-1.23764913488704, -39.4189474537289)); #494256=CARTESIAN_POINT('Ctrl Pts',(35.1200892250242,-0.407464742760314, -39.135513388542)); #494257=CARTESIAN_POINT('Ctrl Pts',(35.119967382445,0.40841993449933,-39.1352864184633)); #494258=CARTESIAN_POINT('Ctrl Pts',(35.1005980278051,1.1336630617524,-39.3816462030643)); #494259=CARTESIAN_POINT('Ctrl Pts',(35.0856540929701,1.50883965884299,-39.591260638454)); #494260=CARTESIAN_POINT('Ctrl Pts',(35.061443075533,2.0231342771943,-39.9575919015697)); #494261=CARTESIAN_POINT('Ctrl Pts',(35.0198373366101,2.63615018803819,-40.7081390441225)); #494262=CARTESIAN_POINT('Ctrl Pts',(35.0029538300108,2.83231990223532,-41.5914525161634)); #494263=CARTESIAN_POINT('Ctrl Pts',(35.0029538300108,2.83231990223532,-41.9999999999963)); #494264=CARTESIAN_POINT('Ctrl Pts',(34.8492736340869,-2.66615995111767, -41.9999999999963)); #494265=CARTESIAN_POINT('Ctrl Pts',(34.8492736340869,-2.66615995111767, -41.6137379649077)); #494266=CARTESIAN_POINT('Ctrl Pts',(34.864436861446,-2.47757902714441,-40.7777138599427)); #494267=CARTESIAN_POINT('Ctrl Pts',(34.9052334359028,-1.83610629796755, -39.9969875108668)); #494268=CARTESIAN_POINT('Ctrl Pts',(34.9333543165325,-1.16020614726538, -39.5686855719157)); #494269=CARTESIAN_POINT('Ctrl Pts',(34.9531387809114,-0.381366130582805, -39.3041895062597)); #494270=CARTESIAN_POINT('Ctrl Pts',(34.9530315399312,0.38226853486081,-39.3039561561259)); #494271=CARTESIAN_POINT('Ctrl Pts',(34.9359275995512,1.06263936802452,-39.5338491284504)); #494272=CARTESIAN_POINT('Ctrl Pts',(34.9227078513815,1.41533534717701,-39.7299482120477)); #494273=CARTESIAN_POINT('Ctrl Pts',(34.9012738779703,1.89947363326784,-40.0731206592616)); #494274=CARTESIAN_POINT('Ctrl Pts',(34.8643246625561,2.47947698705457,-40.7787207644153)); #494275=CARTESIAN_POINT('Ctrl Pts',(34.8492736340869,2.66615995111765,-41.6137379649078)); #494276=CARTESIAN_POINT('Ctrl Pts',(34.8492736340869,2.66615995111766,-41.9999999999963)); #494277=CARTESIAN_POINT('Ctrl Pts',(34.6955934381631,-2.50000000000001, -41.9999999999963)); #494278=CARTESIAN_POINT('Ctrl Pts',(34.6955934381631,-2.50000000000001, -41.6360234136521)); #494279=CARTESIAN_POINT('Ctrl Pts',(34.708910573559,-2.32100322265918,-40.8483603827369)); #494280=CARTESIAN_POINT('Ctrl Pts',(34.7445633397433,-1.71606009583728, -40.1173823571297)); #494281=CARTESIAN_POINT('Ctrl Pts',(34.7690221760921,-1.08276315964372, -39.7184236901026)); #494282=CARTESIAN_POINT('Ctrl Pts',(34.7861883367985,-0.355267518405299, -39.4728656239774)); #494283=CARTESIAN_POINT('Ctrl Pts',(34.7860956974173,0.356117135222291, -39.4726258937885)); #494284=CARTESIAN_POINT('Ctrl Pts',(34.7712571712973,0.991615674296645, -39.6860520538365)); #494285=CARTESIAN_POINT('Ctrl Pts',(34.7597616097928,1.32183103551101,-39.8686357856414)); #494286=CARTESIAN_POINT('Ctrl Pts',(34.7411046804075,1.77581298934138,-40.1886494169535)); #494287=CARTESIAN_POINT('Ctrl Pts',(34.708811988502,2.32280378607095,-40.8493024847081)); #494288=CARTESIAN_POINT('Ctrl Pts',(34.6955934381631,2.49999999999999,-41.6360234136522)); #494289=CARTESIAN_POINT('Ctrl Pts',(34.6955934381631,2.49999999999999,-41.9999999999963)); #494290=CARTESIAN_POINT('Origin',(0.,0.,-71.9999999999963)); #494291=CARTESIAN_POINT('',(-4.59242549680258E-16,-2.5,-71.9999999999963)); #494292=CARTESIAN_POINT('Origin',(-4.59242549680257E-16,-2.5,-41.9999999999963)); #494293=CARTESIAN_POINT('Origin',(30.0731459677287,-9.4811731899031,-36.9999999999963)); #494294=CARTESIAN_POINT('',(30.2291116902168,-9.8153275398479,-41.9999999999963)); #494295=CARTESIAN_POINT('',(30.2291116902168,-9.8153275398479,-41.9999999999963)); #494296=CARTESIAN_POINT('',(30.2291116902168,-9.8153275398479,-71.9999999999963)); #494297=CARTESIAN_POINT('',(29.9171802452407,-9.1470188399583,-71.9999999999963)); #494298=CARTESIAN_POINT('',(30.2291116902168,-9.8153275398479,-36.9999999999963)); #494299=CARTESIAN_POINT('Ctrl Pts',(29.9171802452408,-9.14701883995803, -41.9999999999963)); #494300=CARTESIAN_POINT('Ctrl Pts',(29.9171802452408,-9.14701883995803, -41.56907585012)); #494301=CARTESIAN_POINT('Ctrl Pts',(29.8572828643669,-9.346441737704,-40.6391955192431)); #494302=CARTESIAN_POINT('Ctrl Pts',(29.6576668570778,-9.96459727114242, -39.8447083163921)); #494303=CARTESIAN_POINT('Ctrl Pts',(29.4778105690956,-10.4782445518349, -39.455520033837)); #494304=CARTESIAN_POINT('Ctrl Pts',(29.3756791159213,-10.761641650503,-39.2887420441058)); #494305=CARTESIAN_POINT('Ctrl Pts',(29.2840648855021,-11.008180395497,-39.1792165502927)); #494306=CARTESIAN_POINT('Ctrl Pts',(29.0498837667095,-11.6230851339082, -38.9741221557827)); #494307=CARTESIAN_POINT('Ctrl Pts',(28.6762679930702,-12.5343893460808, -38.9354270250767)); #494308=CARTESIAN_POINT('Ctrl Pts',(28.1924569611177,-13.5687703542075, -39.4891509239687)); #494309=CARTESIAN_POINT('Ctrl Pts',(27.9224203402056,-14.1102607281457, -40.1015910866814)); #494310=CARTESIAN_POINT('Ctrl Pts',(27.6937813604812,-14.5552273774095, -40.8732218782907)); #494311=CARTESIAN_POINT('Ctrl Pts',(27.6225600747654,-14.6867219760146, -41.5690758501202)); #494312=CARTESIAN_POINT('Ctrl Pts',(27.6225600747654,-14.6867219760146, -41.9999999999963)); #494313=CARTESIAN_POINT('Ctrl Pts',(30.0211573935661,-9.36978840658799, -41.9999999999963)); #494314=CARTESIAN_POINT('Ctrl Pts',(30.0211573935661,-9.36978840658798, -41.5949976584836)); #494315=CARTESIAN_POINT('Ctrl Pts',(29.9643996960494,-9.55580440284503, -40.7209012045377)); #494316=CARTESIAN_POINT('Ctrl Pts',(29.7746730630902,-10.1360795486126, -39.9695285457583)); #494317=CARTESIAN_POINT('Ctrl Pts',(29.6035003516159,-10.6207927127903, -39.6001604835996)); #494318=CARTESIAN_POINT('Ctrl Pts',(29.5063015995853,-10.8886075208649, -39.4416546679237)); #494319=CARTESIAN_POINT('Ctrl Pts',(29.4191173259556,-11.1219377720288, -39.3374391175288)); #494320=CARTESIAN_POINT('Ctrl Pts',(29.1963706808844,-11.7043527283981, -39.1420885516274)); #494321=CARTESIAN_POINT('Ctrl Pts',(28.8413038446153,-12.5699436034594, -39.105268463826)); #494322=CARTESIAN_POINT('Ctrl Pts',(28.3854734227053,-13.5499918229839, -39.6325601551716)); #494323=CARTESIAN_POINT('Ctrl Pts',(28.1327494307107,-14.0609140016114, -40.2124881782314)); #494324=CARTESIAN_POINT('Ctrl Pts',(27.9195609017307,-14.4796425355049, -40.940895517911)); #494325=CARTESIAN_POINT('Ctrl Pts',(27.8536048926407,-14.6027230514778, -41.5949976584837)); #494326=CARTESIAN_POINT('Ctrl Pts',(27.8536048926407,-14.6027230514778, -41.9999999999963)); #494327=CARTESIAN_POINT('Ctrl Pts',(30.1251345418914,-9.59255797321794, -41.9999999999963)); #494328=CARTESIAN_POINT('Ctrl Pts',(30.1251345418914,-9.59255797321794, -41.6209194668472)); #494329=CARTESIAN_POINT('Ctrl Pts',(30.0715165277319,-9.76516706798605, -40.8026068898322)); #494330=CARTESIAN_POINT('Ctrl Pts',(29.8916792691025,-10.3075618260827, -40.0943487751246)); #494331=CARTESIAN_POINT('Ctrl Pts',(29.7291901341362,-10.7633408737456, -39.7448009333622)); #494332=CARTESIAN_POINT('Ctrl Pts',(29.6369240832492,-11.0155733912269, -39.5945672917415)); #494333=CARTESIAN_POINT('Ctrl Pts',(29.554169766409,-11.2356951485607,-39.4956616847648)); #494334=CARTESIAN_POINT('Ctrl Pts',(29.3428575950594,-11.785620322888,-39.3100549474721)); #494335=CARTESIAN_POINT('Ctrl Pts',(29.0063396961604,-12.605497860838,-39.2751099025753)); #494336=CARTESIAN_POINT('Ctrl Pts',(28.5784898842928,-13.5312132917603, -39.7759693863745)); #494337=CARTESIAN_POINT('Ctrl Pts',(28.3430785212158,-14.011567275077,-40.3233852697814)); #494338=CARTESIAN_POINT('Ctrl Pts',(28.1453404429801,-14.4040576936002, -41.0085691575313)); #494339=CARTESIAN_POINT('Ctrl Pts',(28.084649710516,-14.5187241269411,-41.6209194668473)); #494340=CARTESIAN_POINT('Ctrl Pts',(28.084649710516,-14.5187241269411,-41.9999999999963)); #494341=CARTESIAN_POINT('Ctrl Pts',(30.2291116902168,-9.8153275398479,-41.9999999999963)); #494342=CARTESIAN_POINT('Ctrl Pts',(30.2291116902168,-9.8153275398479,-41.6468412752109)); #494343=CARTESIAN_POINT('Ctrl Pts',(30.1786333594143,-9.97452973312708, -40.8843125751268)); #494344=CARTESIAN_POINT('Ctrl Pts',(30.0086854751148,-10.4790441035529, -40.2191690044909)); #494345=CARTESIAN_POINT('Ctrl Pts',(29.8548799166564,-10.9058890347009, -39.8894413831248)); #494346=CARTESIAN_POINT('Ctrl Pts',(29.7675465669131,-11.1425392615889, -39.7474799155594)); #494347=CARTESIAN_POINT('Ctrl Pts',(29.6892222068625,-11.3494525250926, -39.6538842520009)); #494348=CARTESIAN_POINT('Ctrl Pts',(29.4893445092343,-11.8668879173779, -39.4780213433168)); #494349=CARTESIAN_POINT('Ctrl Pts',(29.1713755477055,-12.6410521182166, -39.4449513413246)); #494350=CARTESIAN_POINT('Ctrl Pts',(28.7715063458803,-13.5124347605367, -39.9193786175774)); #494351=CARTESIAN_POINT('Ctrl Pts',(28.5534076117209,-13.9622205485426, -40.4342823613314)); #494352=CARTESIAN_POINT('Ctrl Pts',(28.3711199842295,-14.3284728516955, -41.0762427971517)); #494353=CARTESIAN_POINT('Ctrl Pts',(28.3156945283913,-14.4347252024043, -41.6468412752108)); #494354=CARTESIAN_POINT('Ctrl Pts',(28.3156945283913,-14.4347252024043, -41.9999999999963)); #494355=CARTESIAN_POINT('',(28.3156945283913,-14.4347252024043,-41.9999999999963)); #494356=CARTESIAN_POINT('',(28.3156945283913,-14.4347252024043,-41.9999999999963)); #494357=CARTESIAN_POINT('Ctrl Pts',(30.2291116902168,-9.8153275398479,-41.9999999999963)); #494358=CARTESIAN_POINT('Ctrl Pts',(30.2291116902168,-9.8153275398479,-41.6468412752109)); #494359=CARTESIAN_POINT('Ctrl Pts',(30.1786333594143,-9.97452973312708, -40.8843125751268)); #494360=CARTESIAN_POINT('Ctrl Pts',(30.0086854751148,-10.4790441035529, -40.2191690044909)); #494361=CARTESIAN_POINT('Ctrl Pts',(29.8548799166564,-10.9058890347009, -39.8894413831248)); #494362=CARTESIAN_POINT('Ctrl Pts',(29.7675465669131,-11.1425392615889, -39.7474799155594)); #494363=CARTESIAN_POINT('Ctrl Pts',(29.6892222068625,-11.3494525250926, -39.6538842520009)); #494364=CARTESIAN_POINT('Ctrl Pts',(29.4893445092343,-11.8668879173779, -39.4780213433168)); #494365=CARTESIAN_POINT('Ctrl Pts',(29.1713755477055,-12.6410521182166, -39.4449513413246)); #494366=CARTESIAN_POINT('Ctrl Pts',(28.7715063458803,-13.5124347605367, -39.9193786175774)); #494367=CARTESIAN_POINT('Ctrl Pts',(28.5534076117209,-13.9622205485426, -40.4342823613314)); #494368=CARTESIAN_POINT('Ctrl Pts',(28.3711199842295,-14.3284728516955, -41.0762427971517)); #494369=CARTESIAN_POINT('Ctrl Pts',(28.3156945283913,-14.4347252024043, -41.6468412752108)); #494370=CARTESIAN_POINT('Ctrl Pts',(28.3156945283913,-14.4347252024043, -41.9999999999963)); #494371=CARTESIAN_POINT('Origin',(27.9691273015784,-14.5607235892093,-36.9999999999963)); #494372=CARTESIAN_POINT('',(28.3156945283913,-14.4347252024043,-71.9999999999963)); #494373=CARTESIAN_POINT('',(28.3156945283913,-14.4347252024043,-71.9999999999963)); #494374=CARTESIAN_POINT('',(28.3156945283913,-14.4347252024043,-36.9999999999963)); #494375=CARTESIAN_POINT('Ctrl Pts',(27.6225600747654,-14.6867219760146, -71.9999999999963)); #494376=CARTESIAN_POINT('Ctrl Pts',(27.6225600747654,-14.6867219760146, -72.4309241498726)); #494377=CARTESIAN_POINT('Ctrl Pts',(27.723622495125,-14.4990073927157,-73.3677532843522)); #494378=CARTESIAN_POINT('Ctrl Pts',(28.0149671728974,-13.9301024955772, -74.1548444502183)); #494379=CARTESIAN_POINT('Ctrl Pts',(28.2512864712818,-13.4390851089358, -74.5437550708679)); #494380=CARTESIAN_POINT('Ctrl Pts',(28.4211482540653,-13.0781054907766, -74.7669094458929)); #494381=CARTESIAN_POINT('Ctrl Pts',(28.7373846543959,-12.3785292268123, -75.029916504036)); #494382=CARTESIAN_POINT('Ctrl Pts',(29.0736105082078,-11.5667145370191, -75.0283769792864)); #494383=CARTESIAN_POINT('Ctrl Pts',(29.3636604697044,-10.7981102370751, -74.7476417795129)); #494384=CARTESIAN_POINT('Ctrl Pts',(29.4958026938574,-10.4279249458522, -74.5124512226931)); #494385=CARTESIAN_POINT('Ctrl Pts',(29.6702155038692,-9.92670965591654, -74.1114492414011)); #494386=CARTESIAN_POINT('Ctrl Pts',(29.8563372175219,-9.35001425611622, -73.366730095847)); #494387=CARTESIAN_POINT('Ctrl Pts',(29.9171802452408,-9.14701883995803, -72.4309241498724)); #494388=CARTESIAN_POINT('Ctrl Pts',(29.9171802452408,-9.14701883995803, -71.9999999999963)); #494389=CARTESIAN_POINT('Ctrl Pts',(27.8536048926407,-14.6027230514778, -71.9999999999963)); #494390=CARTESIAN_POINT('Ctrl Pts',(27.8536048926407,-14.6027230514778, -72.405002341509)); #494391=CARTESIAN_POINT('Ctrl Pts',(27.9472363833825,-14.4269670070813, -73.2856634785731)); #494392=CARTESIAN_POINT('Ctrl Pts',(28.2190960728824,-13.8912863145086, -74.0300422645434)); #494393=CARTESIAN_POINT('Ctrl Pts',(28.4410772657391,-13.42690610086,-74.3991504162551)); #494394=CARTESIAN_POINT('Ctrl Pts',(28.600953041602,-13.0851351963452,-74.6112218339092)); #494395=CARTESIAN_POINT('Ctrl Pts',(28.899594434171,-12.421741233244,-74.8617681633316)); #494396=CARTESIAN_POINT('Ctrl Pts',(29.2188510589065,-11.6509066697055, -74.8603292855122)); #494397=CARTESIAN_POINT('Ctrl Pts',(29.4948392976576,-10.9229850688751, -74.5928780692013)); #494398=CARTESIAN_POINT('Ctrl Pts',(29.6206095759408,-10.5732954841009, -74.3694241059467)); #494399=CARTESIAN_POINT('Ctrl Pts',(29.7865869070794,-10.1005058444491, -73.9890070098959)); #494400=CARTESIAN_POINT('Ctrl Pts',(29.9634937791348,-9.55914545866001, -73.2847031347003)); #494401=CARTESIAN_POINT('Ctrl Pts',(30.0211573935661,-9.36978840658798, -72.4050023415088)); #494402=CARTESIAN_POINT('Ctrl Pts',(30.0211573935661,-9.36978840658798, -71.9999999999963)); #494403=CARTESIAN_POINT('Ctrl Pts',(28.084649710516,-14.5187241269411,-71.9999999999963)); #494404=CARTESIAN_POINT('Ctrl Pts',(28.084649710516,-14.5187241269411,-72.3790805331454)); #494405=CARTESIAN_POINT('Ctrl Pts',(28.1708502716401,-14.3549266214469, -73.2035736727939)); #494406=CARTESIAN_POINT('Ctrl Pts',(28.4232249728673,-13.8524701334401, -73.9052400788686)); #494407=CARTESIAN_POINT('Ctrl Pts',(28.6308680601965,-13.4147270927841, -74.2545457616423)); #494408=CARTESIAN_POINT('Ctrl Pts',(28.7807578291388,-13.0921649019139, -74.4555342219256)); #494409=CARTESIAN_POINT('Ctrl Pts',(29.0618042139461,-12.4649532396757, -74.6936198226273)); #494410=CARTESIAN_POINT('Ctrl Pts',(29.3640916096051,-11.7350988023919, -74.692281591738)); #494411=CARTESIAN_POINT('Ctrl Pts',(29.6260181256109,-11.0478599006752, -74.4381143588897)); #494412=CARTESIAN_POINT('Ctrl Pts',(29.7454164580241,-10.7186660223496, -74.2263969892004)); #494413=CARTESIAN_POINT('Ctrl Pts',(29.9029583102895,-10.2743020329817, -73.8665647783907)); #494414=CARTESIAN_POINT('Ctrl Pts',(30.0706503407477,-9.7682766612038,-73.2026761735537)); #494415=CARTESIAN_POINT('Ctrl Pts',(30.1251345418914,-9.59255797321794, -72.3790805331454)); #494416=CARTESIAN_POINT('Ctrl Pts',(30.1251345418914,-9.59255797321794, -71.9999999999963)); #494417=CARTESIAN_POINT('Ctrl Pts',(28.3156945283913,-14.4347252024043, -71.9999999999963)); #494418=CARTESIAN_POINT('Ctrl Pts',(28.3156945283913,-14.4347252024043, -72.3531587247818)); #494419=CARTESIAN_POINT('Ctrl Pts',(28.3944641598976,-14.2828862358125, -73.1214838670147)); #494420=CARTESIAN_POINT('Ctrl Pts',(28.6273538728522,-13.8136539523715, -73.7804378931938)); #494421=CARTESIAN_POINT('Ctrl Pts',(28.8206588546539,-13.4025480847082, -74.1099411070295)); #494422=CARTESIAN_POINT('Ctrl Pts',(28.9605626166756,-13.0991946074825, -74.299846609942)); #494423=CARTESIAN_POINT('Ctrl Pts',(29.2240139937213,-12.5081652461074, -74.525471481923)); #494424=CARTESIAN_POINT('Ctrl Pts',(29.5093321603037,-11.8192909350783, -74.5242338979637)); #494425=CARTESIAN_POINT('Ctrl Pts',(29.7571969535641,-11.1727347324752, -74.2833506485781)); #494426=CARTESIAN_POINT('Ctrl Pts',(29.8702233401074,-10.8640365605984, -74.0833698724539)); #494427=CARTESIAN_POINT('Ctrl Pts',(30.0193297134997,-10.4480982215143, -73.7441225468855)); #494428=CARTESIAN_POINT('Ctrl Pts',(30.1778069023607,-9.9774078637476,-73.120649212407)); #494429=CARTESIAN_POINT('Ctrl Pts',(30.2291116902168,-9.8153275398479,-72.3531587247818)); #494430=CARTESIAN_POINT('Ctrl Pts',(30.2291116902168,-9.8153275398479,-71.9999999999963)); #494431=CARTESIAN_POINT('Ctrl Pts',(28.3156945283913,-14.4347252024043, -71.9999999999963)); #494432=CARTESIAN_POINT('Ctrl Pts',(28.3156945283913,-14.4347252024043, -72.3531587247818)); #494433=CARTESIAN_POINT('Ctrl Pts',(28.3944641598976,-14.2828862358125, -73.1214838670147)); #494434=CARTESIAN_POINT('Ctrl Pts',(28.6273538728522,-13.8136539523715, -73.7804378931938)); #494435=CARTESIAN_POINT('Ctrl Pts',(28.8206588546539,-13.4025480847082, -74.1099411070295)); #494436=CARTESIAN_POINT('Ctrl Pts',(28.9605626166756,-13.0991946074825, -74.299846609942)); #494437=CARTESIAN_POINT('Ctrl Pts',(29.2240139937213,-12.5081652461074, -74.525471481923)); #494438=CARTESIAN_POINT('Ctrl Pts',(29.5093321603037,-11.8192909350783, -74.5242338979637)); #494439=CARTESIAN_POINT('Ctrl Pts',(29.7571969535641,-11.1727347324752, -74.2833506485781)); #494440=CARTESIAN_POINT('Ctrl Pts',(29.8702233401074,-10.8640365605984, -74.0833698724539)); #494441=CARTESIAN_POINT('Ctrl Pts',(30.0193297134997,-10.4480982215143, -73.7441225468855)); #494442=CARTESIAN_POINT('Ctrl Pts',(30.1778069023607,-9.9774078637476,-73.120649212407)); #494443=CARTESIAN_POINT('Ctrl Pts',(30.2291116902168,-9.8153275398479,-72.3531587247818)); #494444=CARTESIAN_POINT('Ctrl Pts',(30.2291116902168,-9.8153275398479,-71.9999999999963)); #494445=CARTESIAN_POINT('Origin',(0.,0.,-41.9999999999963)); #494446=CARTESIAN_POINT('',(33.0112572267645,-10.9677299535817,-41.9999999999963)); #494447=CARTESIAN_POINT('',(0.956708580912726,2.30969883127822,-41.9999999999963)); #494448=CARTESIAN_POINT('',(31.097840064939,-15.5871276161382,-41.9999999999963)); #494449=CARTESIAN_POINT('Ctrl Pts',(31.097840064939,-15.5871276161382,-41.9999999999963)); #494450=CARTESIAN_POINT('Ctrl Pts',(31.097840064939,-15.5871276161382,-41.6815203509833)); #494451=CARTESIAN_POINT('Ctrl Pts',(31.1269408956857,-15.5290663523758, -41.3418197456654)); #494452=CARTESIAN_POINT('Ctrl Pts',(31.2253952307669,-15.3290883141165, -40.8141514622037)); #494453=CARTESIAN_POINT('Ctrl Pts',(31.3343165439297,-15.106016638978,-40.4077138653221)); #494454=CARTESIAN_POINT('Ctrl Pts',(31.540675696618,-14.6708542144935,-39.9529518999812)); #494455=CARTESIAN_POINT('Ctrl Pts',(31.7990006461646,-14.1036918428226, -39.6184642036617)); #494456=CARTESIAN_POINT('Ctrl Pts',(32.024111671655,-13.5824021758152,-39.5024524567576)); #494457=CARTESIAN_POINT('Ctrl Pts',(32.1902984552172,-13.1817733077442, -39.4987079402082)); #494458=CARTESIAN_POINT('Ctrl Pts',(32.3576904624231,-12.7677478452526, -39.5519114344894)); #494459=CARTESIAN_POINT('Ctrl Pts',(32.5589970973293,-12.2469625783324, -39.7422506811817)); #494460=CARTESIAN_POINT('Ctrl Pts',(32.7523964058432,-11.7185625814531, -40.1336619565556)); #494461=CARTESIAN_POINT('Ctrl Pts',(32.8958387878482,-11.3091843067269, -40.6490586583344)); #494462=CARTESIAN_POINT('Ctrl Pts',(32.9924825444766,-11.0243241127139, -41.3121625993772)); #494463=CARTESIAN_POINT('Ctrl Pts',(33.0112572267645,-10.9677299535817, -41.7770643544567)); #494464=CARTESIAN_POINT('Ctrl Pts',(33.0112572267645,-10.9677299535817, -41.9999999999963)); #494465=CARTESIAN_POINT('',(-0.956708580912726,-2.30969883127822,-41.9999999999963)); #494466=CARTESIAN_POINT('Origin',(0.956708580912726,2.30969883127822,-71.9999999999963)); #494467=CARTESIAN_POINT('',(33.0112572267645,-10.9677299535817,-71.9999999999963)); #494468=CARTESIAN_POINT('',(0.956708580912726,2.30969883127822,-71.9999999999963)); #494469=CARTESIAN_POINT('',(33.0112572267645,-10.9677299535817,-1.69922627077548E-17)); #494470=CARTESIAN_POINT('Origin',(33.319610198737,-10.8256785839348,-8.49613135387741E-18)); #494471=CARTESIAN_POINT('',(33.0112572267645,-10.9677299535817,-71.9999999999963)); #494472=CARTESIAN_POINT('',(33.6279631707095,-10.6836272142879,-41.9999999999963)); #494473=CARTESIAN_POINT('Ctrl Pts',(33.6279631707095,-10.6836272142877, -71.9999999999963)); #494474=CARTESIAN_POINT('Ctrl Pts',(33.6279631707095,-10.6836272142877, -72.3769789769374)); #494475=CARTESIAN_POINT('Ctrl Pts',(33.5829132944805,-10.8279688838356, -73.1811333636707)); #494476=CARTESIAN_POINT('Ctrl Pts',(33.4383329019259,-11.266895952365,-73.8557760551396)); #494477=CARTESIAN_POINT('Ctrl Pts',(33.2469200977313,-11.8210192753226, -74.4415735393299)); #494478=CARTESIAN_POINT('Ctrl Pts',(33.0332733011106,-12.4179460850323, -74.8425320098711)); #494479=CARTESIAN_POINT('Ctrl Pts',(32.6866054390712,-13.2945963693599, -75.0201873585818)); #494480=CARTESIAN_POINT('Ctrl Pts',(32.4251701286618,-13.9199971565679, -74.9950463042288)); #494481=CARTESIAN_POINT('Ctrl Pts',(32.1877192102519,-14.4580270884206, -74.8339258734965)); #494482=CARTESIAN_POINT('Ctrl Pts',(32.0306706297804,-14.800177765045,-74.6498003291267)); #494483=CARTESIAN_POINT('Ctrl Pts',(31.9438418382755,-14.9862342190299, -74.5263383009801)); #494484=CARTESIAN_POINT('Ctrl Pts',(31.7379671649938,-15.4226748006918, -74.1942531844437)); #494485=CARTESIAN_POINT('Ctrl Pts',(31.4415874913289,-16.0168176766075, -73.4342155714107)); #494486=CARTESIAN_POINT('Ctrl Pts',(31.3330260463922,-16.2240955446083, -72.4523745148285)); #494487=CARTESIAN_POINT('Ctrl Pts',(31.3330260463922,-16.2240955446083, -71.9999999999963)); #494488=CARTESIAN_POINT('Ctrl Pts',(33.4223945227279,-10.7783281273857, -71.9999999999963)); #494489=CARTESIAN_POINT('Ctrl Pts',(33.4224169106743,-10.7783347802409, -72.3574787046103)); #494490=CARTESIAN_POINT('Ctrl Pts',(33.3787610465323,-10.9157609622824, -73.1200076622415)); #494491=CARTESIAN_POINT('Ctrl Pts',(33.2399104075187,-11.3319062053924, -73.7573325432301)); #494492=CARTESIAN_POINT('Ctrl Pts',(33.0570915925408,-11.8558945710402, -74.309387665744)); #494493=CARTESIAN_POINT('Ctrl Pts',(32.8541484045029,-12.4186399187847, -74.6860584601104)); #494494=CARTESIAN_POINT('Ctrl Pts',(32.5273546237473,-13.2431189381207, -74.8523925627693)); #494495=CARTESIAN_POINT('Ctrl Pts',(32.281629960555,-13.8312032380363,-74.8288749643737)); #494496=CARTESIAN_POINT('Ctrl Pts',(32.0587759798518,-14.3376900829486, -74.6779116601541)); #494497=CARTESIAN_POINT('Ctrl Pts',(31.9112434486254,-14.6607053755105, -74.505038816031)); #494498=CARTESIAN_POINT('Ctrl Pts',(31.8297516641995,-14.8363355879459, -74.3890742395276)); #494499=CARTESIAN_POINT('Ctrl Pts',(31.6361853229897,-15.249215484739,-74.0765583772015)); #494500=CARTESIAN_POINT('Ctrl Pts',(31.3571387473758,-15.8136888769619, -73.3597831788243)); #494501=CARTESIAN_POINT('Ctrl Pts',(31.2548089388475,-16.0115364619522, -72.4291566278145)); #494502=CARTESIAN_POINT('Ctrl Pts',(31.2546307192411,-16.0117729017849, -71.9999999999963)); #494503=CARTESIAN_POINT('Ctrl Pts',(33.2168258747462,-10.8730290404837, -71.9999999999963)); #494504=CARTESIAN_POINT('Ctrl Pts',(33.2168034867998,-10.8730223876285, -72.3379799213365)); #494505=CARTESIAN_POINT('Ctrl Pts',(33.1746381174815,-11.0034963050787, -73.0589144302204)); #494506=CARTESIAN_POINT('Ctrl Pts',(33.0413865820367,-11.3970212890246, -73.6588060192232)); #494507=CARTESIAN_POINT('Ctrl Pts',(32.8673180232448,-11.890575615432,-74.1773200816044)); #494508=CARTESIAN_POINT('Ctrl Pts',(32.6747727799132,-12.4196923345898, -74.5291166408865)); #494509=CARTESIAN_POINT('Ctrl Pts',(32.3679243979726,-13.1918438203999, -74.6841639835398)); #494510=CARTESIAN_POINT('Ctrl Pts',(32.137837379747,-13.7427863086952,-74.6622797063377)); #494511=CARTESIAN_POINT('Ctrl Pts',(31.9293714589316,-14.2182220059459, -74.5214228518756)); #494512=CARTESIAN_POINT('Ctrl Pts',(31.7915515667067,-14.5216405648904, -74.3598536699345)); #494513=CARTESIAN_POINT('Ctrl Pts',(31.7151950530749,-14.6872544080256, -74.2511842200072)); #494514=CARTESIAN_POINT('Ctrl Pts',(31.5344128464302,-15.0756884614464, -73.9589273301848)); #494515=CARTESIAN_POINT('Ctrl Pts',(31.2728037281954,-15.6104419345983, -73.285573705905)); #494516=CARTESIAN_POINT('Ctrl Pts',(31.1760571724837,-15.7996866987942, -72.405393248287)); #494517=CARTESIAN_POINT('Ctrl Pts',(31.1762353920901,-15.7994502589615, -71.9999999999963)); #494518=CARTESIAN_POINT('Ctrl Pts',(33.0112572267645,-10.9677299535817, -71.9999999999963)); #494519=CARTESIAN_POINT('Ctrl Pts',(33.0112572267645,-10.9677299535817, -72.3184796490094)); #494520=CARTESIAN_POINT('Ctrl Pts',(32.9704858695334,-11.0912883835255, -72.9977887287912)); #494521=CARTESIAN_POINT('Ctrl Pts',(32.8429640876296,-11.462031542052,-73.5603625073138)); #494522=CARTESIAN_POINT('Ctrl Pts',(32.6774895180542,-11.9254509111496, -74.0451342080185)); #494523=CARTESIAN_POINT('Ctrl Pts',(32.4956478833054,-12.4203861683422, -74.3726430911259)); #494524=CARTESIAN_POINT('Ctrl Pts',(32.2086735826487,-13.1403663891608, -74.5163691877273)); #494525=CARTESIAN_POINT('Ctrl Pts',(31.9942972116403,-13.6539923901636, -74.4961083664826)); #494526=CARTESIAN_POINT('Ctrl Pts',(31.8004282285315,-14.0978850004739, -74.3654086385333)); #494527=CARTESIAN_POINT('Ctrl Pts',(31.6721243855517,-14.3821681753559, -74.2150921568388)); #494528=CARTESIAN_POINT('Ctrl Pts',(31.6011048789989,-14.5373557769416, -74.1139201585547)); #494529=CARTESIAN_POINT('Ctrl Pts',(31.432631004426,-14.9022291454936,-73.8412325229426)); #494530=CARTESIAN_POINT('Ctrl Pts',(31.1883549842423,-15.4073131349527, -73.2111413133186)); #494531=CARTESIAN_POINT('Ctrl Pts',(31.097840064939,-15.5871276161382,-72.382175361273)); #494532=CARTESIAN_POINT('Ctrl Pts',(31.097840064939,-15.5871276161382,-71.9999999999963)); #494533=CARTESIAN_POINT('',(31.097840064939,-15.5871276161382,-71.9999999999963)); #494534=CARTESIAN_POINT('',(31.097840064939,-15.5871276161382,-71.9999999999963)); #494535=CARTESIAN_POINT('Ctrl Pts',(33.0112572267645,-10.9677299535817, -71.9999999999963)); #494536=CARTESIAN_POINT('Ctrl Pts',(33.0112572267645,-10.9677299535817, -72.3184796490094)); #494537=CARTESIAN_POINT('Ctrl Pts',(32.9704858695334,-11.0912883835255, -72.9977887287912)); #494538=CARTESIAN_POINT('Ctrl Pts',(32.8429640876296,-11.462031542052,-73.5603625073138)); #494539=CARTESIAN_POINT('Ctrl Pts',(32.6774895180542,-11.9254509111496, -74.0451342080185)); #494540=CARTESIAN_POINT('Ctrl Pts',(32.4956478833054,-12.4203861683422, -74.3726430911259)); #494541=CARTESIAN_POINT('Ctrl Pts',(32.2086735826487,-13.1403663891608, -74.5163691877273)); #494542=CARTESIAN_POINT('Ctrl Pts',(31.9942972116403,-13.6539923901636, -74.4961083664826)); #494543=CARTESIAN_POINT('Ctrl Pts',(31.8004282285315,-14.0978850004739, -74.3654086385333)); #494544=CARTESIAN_POINT('Ctrl Pts',(31.6721243855517,-14.3821681753559, -74.2150921568388)); #494545=CARTESIAN_POINT('Ctrl Pts',(31.6011048789989,-14.5373557769416, -74.1139201585547)); #494546=CARTESIAN_POINT('Ctrl Pts',(31.432631004426,-14.9022291454936,-73.8412325229426)); #494547=CARTESIAN_POINT('Ctrl Pts',(31.1883549842423,-15.4073131349527, -73.2111413133186)); #494548=CARTESIAN_POINT('Ctrl Pts',(31.097840064939,-15.5871276161382,-72.382175361273)); #494549=CARTESIAN_POINT('Ctrl Pts',(31.097840064939,-15.5871276161382,-71.9999999999963)); #494550=CARTESIAN_POINT('Origin',(31.2154330556656,-15.9056115803731,-8.49613135387756E-18)); #494551=CARTESIAN_POINT('',(31.097840064939,-15.5871276161382,-41.9999999999963)); #494552=CARTESIAN_POINT('',(31.097840064939,-15.5871276161382,-1.69922627077551E-17)); #494553=CARTESIAN_POINT('Ctrl Pts',(31.3330260463922,-16.2240955446083, -41.9999999999963)); #494554=CARTESIAN_POINT('Ctrl Pts',(31.3330260463922,-16.2240955446083, -41.6230210230553)); #494555=CARTESIAN_POINT('Ctrl Pts',(31.3679613967964,-16.1570399120803, -41.220871627014)); #494556=CARTESIAN_POINT('Ctrl Pts',(31.4867793815567,-15.9239254165357, -40.5925745239209)); #494557=CARTESIAN_POINT('Ctrl Pts',(31.6185464185334,-15.6628021987162, -40.1062803175302)); #494558=CARTESIAN_POINT('Ctrl Pts',(31.8697666001535,-15.1470505944892, -39.5559267171498)); #494559=CARTESIAN_POINT('Ctrl Pts',(32.1859599475472,-14.4657111195821, -39.1463780881033)); #494560=CARTESIAN_POINT('Ctrl Pts',(32.4615949170252,-13.832686650606,-39.0029385105736)); #494561=CARTESIAN_POINT('Ctrl Pts',(32.6639593864331,-13.3449794888508, -38.9983715736936)); #494562=CARTESIAN_POINT('Ctrl Pts',(32.8667905451351,-12.8408355218212, -39.0640280639755)); #494563=CARTESIAN_POINT('Ctrl Pts',(33.1077359634077,-12.2084257705805, -39.2984658611309)); #494564=CARTESIAN_POINT('Ctrl Pts',(33.3342074017163,-11.5727015641831, -39.775415004536)); #494565=CARTESIAN_POINT('Ctrl Pts',(33.4985151714688,-11.0855303472783, -40.3959938575029)); #494566=CARTESIAN_POINT('Ctrl Pts',(33.6071549615213,-10.7498326587614, -41.1859097965474)); #494567=CARTESIAN_POINT('Ctrl Pts',(33.6279631707095,-10.6836272142877, -41.7361148448863)); #494568=CARTESIAN_POINT('Ctrl Pts',(33.6279631707095,-10.6836272142877, -41.9999999999963)); #494569=CARTESIAN_POINT('Ctrl Pts',(31.2546307192411,-16.0117729017849, -41.9999999999963)); #494570=CARTESIAN_POINT('Ctrl Pts',(31.2546678738677,-16.0117244994001, -41.6425471928188)); #494571=CARTESIAN_POINT('Ctrl Pts',(31.2876205791078,-15.9477213574635, -41.2611472129929)); #494572=CARTESIAN_POINT('Ctrl Pts',(31.399678531666,-15.7255787004271,-40.6664861866791)); #494573=CARTESIAN_POINT('Ctrl Pts',(31.523854932238,-15.4771092741487,-40.2066686072043)); #494574=CARTESIAN_POINT('Ctrl Pts',(31.760086052718,-14.988249467372,-39.6883987330924)); #494575=CARTESIAN_POINT('Ctrl Pts',(32.0570032654428,-14.3449497690939, -39.3038141606017)); #494576=CARTESIAN_POINT('Ctrl Pts',(32.3158048510617,-13.7491295362271, -39.1695760104229)); #494577=CARTESIAN_POINT('Ctrl Pts',(32.5060818845258,-13.2905309120417, -39.1652664698927)); #494578=CARTESIAN_POINT('Ctrl Pts',(32.6971205771813,-12.8163660560582, -39.2267886712437)); #494579=CARTESIAN_POINT('Ctrl Pts',(32.9248446565467,-12.2211996165737, -39.4464945404058)); #494580=CARTESIAN_POINT('Ctrl Pts',(33.1402613067815,-11.6213518857774, -39.8948365919925)); #494581=CARTESIAN_POINT('Ctrl Pts',(33.2976210640253,-11.1600882931214, -40.4803637456654)); #494582=CARTESIAN_POINT('Ctrl Pts',(33.4022427627173,-10.8414136002889, -41.2279300028742)); #494583=CARTESIAN_POINT('Ctrl Pts',(33.4224341080179,-10.7781995573396, -41.7499009623342)); #494584=CARTESIAN_POINT('Ctrl Pts',(33.4223945227279,-10.7783281273857, -41.9999999999963)); #494585=CARTESIAN_POINT('Ctrl Pts',(31.1762353920901,-15.7994502589615, -41.9999999999963)); #494586=CARTESIAN_POINT('Ctrl Pts',(31.1761982374635,-15.7994986613463, -41.6619941812197)); #494587=CARTESIAN_POINT('Ctrl Pts',(31.2072817133743,-15.7383849069926, -41.3015441596866)); #494588=CARTESIAN_POINT('Ctrl Pts',(31.3124960806576,-15.5274350302251, -40.7402397994455)); #494589=CARTESIAN_POINT('Ctrl Pts',(31.4290080302251,-15.2917095635456, -40.3073255756481)); #494590=CARTESIAN_POINT('Ctrl Pts',(31.6503562440534,-14.8296553416107, -39.8204798840385)); #494591=CARTESIAN_POINT('Ctrl Pts',(31.9279573282691,-14.2244531933108, -39.4610281311634)); #494592=CARTESIAN_POINT('Ctrl Pts',(32.1699017376184,-13.6659592901941, -39.3358149569083)); #494593=CARTESIAN_POINT('Ctrl Pts',(32.3481759571244,-13.2362218845533, -39.3318130440092)); #494594=CARTESIAN_POINT('Ctrl Pts',(32.527360430377,-12.7922173110156,-39.3891508272213)); #494595=CARTESIAN_POINT('Ctrl Pts',(32.7418884041903,-12.2341887323392, -39.5942220019068)); #494596=CARTESIAN_POINT('Ctrl Pts',(32.9463425007781,-11.6699122598588, -40.0142403690992)); #494597=CARTESIAN_POINT('Ctrl Pts',(33.0967328952918,-11.2346263608838, -40.564688770172)); #494598=CARTESIAN_POINT('Ctrl Pts',(33.1973947432807,-10.9327431711865, -41.2701423930504)); #494599=CARTESIAN_POINT('Ctrl Pts',(33.2167862894562,-10.8731576105299, -41.7632782370088)); #494600=CARTESIAN_POINT('Ctrl Pts',(33.2168258747462,-10.8730290404837, -41.9999999999963)); #494601=CARTESIAN_POINT('Ctrl Pts',(31.097840064939,-15.5871276161382,-41.9999999999963)); #494602=CARTESIAN_POINT('Ctrl Pts',(31.097840064939,-15.5871276161382,-41.6815203509833)); #494603=CARTESIAN_POINT('Ctrl Pts',(31.1269408956857,-15.5290663523758, -41.3418197456654)); #494604=CARTESIAN_POINT('Ctrl Pts',(31.2253952307669,-15.3290883141165, -40.8141514622037)); #494605=CARTESIAN_POINT('Ctrl Pts',(31.3343165439297,-15.106016638978,-40.4077138653221)); #494606=CARTESIAN_POINT('Ctrl Pts',(31.540675696618,-14.6708542144935,-39.9529518999812)); #494607=CARTESIAN_POINT('Ctrl Pts',(31.7990006461646,-14.1036918428226, -39.6184642036617)); #494608=CARTESIAN_POINT('Ctrl Pts',(32.024111671655,-13.5824021758152,-39.5024524567576)); #494609=CARTESIAN_POINT('Ctrl Pts',(32.1902984552172,-13.1817733077442, -39.4987079402082)); #494610=CARTESIAN_POINT('Ctrl Pts',(32.3576904624231,-12.7677478452526, -39.5519114344894)); #494611=CARTESIAN_POINT('Ctrl Pts',(32.5589970973293,-12.2469625783324, -39.7422506811817)); #494612=CARTESIAN_POINT('Ctrl Pts',(32.7523964058432,-11.7185625814531, -40.1336619565556)); #494613=CARTESIAN_POINT('Ctrl Pts',(32.8958387878482,-11.3091843067269, -40.6490586583344)); #494614=CARTESIAN_POINT('Ctrl Pts',(32.9924825444766,-11.0243241127139, -41.3121625993772)); #494615=CARTESIAN_POINT('Ctrl Pts',(33.0112572267645,-10.9677299535817, -41.7770643544567)); #494616=CARTESIAN_POINT('Ctrl Pts',(33.0112572267645,-10.9677299535817, -41.9999999999963)); #494617=CARTESIAN_POINT('Origin',(0.,0.,-71.9999999999963)); #494618=CARTESIAN_POINT('',(-0.956708580912726,-2.30969883127822,-71.9999999999963)); #494619=CARTESIAN_POINT('Origin',(-0.956708580912726,-2.30969883127822, -41.9999999999963)); #494620=CARTESIAN_POINT('Origin',(24.1556761386489,-20.267956575293,-36.9999999999963)); #494621=CARTESIAN_POINT('',(24.1718943438524,-20.6363604379196,-41.9999999999963)); #494622=CARTESIAN_POINT('',(24.1718943438524,-20.6363604379196,-41.9999999999963)); #494623=CARTESIAN_POINT('',(24.1718943438524,-20.6363604379196,-71.9999999999963)); #494624=CARTESIAN_POINT('',(24.1394579334455,-19.8995527126664,-71.9999999999963)); #494625=CARTESIAN_POINT('',(24.1718943438524,-20.6363604379196,-36.9999999999963)); #494626=CARTESIAN_POINT('Ctrl Pts',(24.1394579334457,-19.8995527126661, -41.9999999999963)); #494627=CARTESIAN_POINT('Ctrl Pts',(24.1394579334457,-19.8995527126661, -41.5690758501199)); #494628=CARTESIAN_POINT('Ctrl Pts',(24.0452229330893,-20.0156768340313, -40.8732308271003)); #494629=CARTESIAN_POINT('Ctrl Pts',(23.7216225570927,-20.3971940293728, -40.1016014790624)); #494630=CARTESIAN_POINT('Ctrl Pts',(23.3246876167549,-20.8538961064506, -39.4891623496603)); #494631=CARTESIAN_POINT('Ctrl Pts',(22.5541847757175,-21.6967248283235, -38.9354439420206)); #494632=CARTESIAN_POINT('Ctrl Pts',(21.8552123071478,-22.390654925606,-38.9741432605217)); #494633=CARTESIAN_POINT('Ctrl Pts',(21.37673222239,-22.842323394092,-39.1792372214232)); #494634=CARTESIAN_POINT('Ctrl Pts',(21.1840196491451,-23.0213099951992, -39.2887622145911)); #494635=CARTESIAN_POINT('Ctrl Pts',(20.961278998428,-23.2241184369315,-39.4555400145229)); #494636=CARTESIAN_POINT('Ctrl Pts',(20.5555628120607,-23.5868448059514, -39.844724813377)); #494637=CARTESIAN_POINT('Ctrl Pts',(20.0608569903626,-24.007818869035,-40.6392058540775)); #494638=CARTESIAN_POINT('Ctrl Pts',(19.8995527126661,-24.1394579334457, -41.5690758501201)); #494639=CARTESIAN_POINT('Ctrl Pts',(19.8995527126661,-24.1394579334457, -41.9999999999963)); #494640=CARTESIAN_POINT('Ctrl Pts',(24.1502700702479,-20.1451552877506, -41.9999999999963)); #494641=CARTESIAN_POINT('Ctrl Pts',(24.1502700702479,-20.1451552877506, -41.5949976584836)); #494642=CARTESIAN_POINT('Ctrl Pts',(24.0617941074556,-20.2531948021917, -40.9409039586295)); #494643=CARTESIAN_POINT('Ctrl Pts',(23.756522035973,-20.6103966926278,-40.2124979791471)); #494644=CARTESIAN_POINT('Ctrl Pts',(23.3812032821462,-21.039405957111,-39.6325709316449)); #494645=CARTESIAN_POINT('Ctrl Pts',(22.650190027448,-21.8355914356309,-39.1052844258157)); #494646=CARTESIAN_POINT('Ctrl Pts',(21.986353597832,-22.4948900851483,-39.1421084726667)); #494647=CARTESIAN_POINT('Ctrl Pts',(21.5335143406884,-22.923561203656,-39.3374586297435)); #494648=CARTESIAN_POINT('Ctrl Pts',(21.3513095339233,-23.0934003980223, -39.4416737076644)); #494649=CARTESIAN_POINT('Ctrl Pts',(21.1410773728832,-23.2856885380436, -39.6001793443294)); #494650=CARTESIAN_POINT('Ctrl Pts',(20.7587695113461,-23.6293199169751, -39.9695441179682)); #494651=CARTESIAN_POINT('Ctrl Pts',(20.2952757522799,-24.0266614677679, -40.7209109615991)); #494652=CARTESIAN_POINT('Ctrl Pts',(20.1451552877506,-24.1502700702479, -41.5949976584837)); #494653=CARTESIAN_POINT('Ctrl Pts',(20.1451552877506,-24.1502700702479, -41.9999999999963)); #494654=CARTESIAN_POINT('Ctrl Pts',(24.1610822070501,-20.3907578628351, -41.9999999999963)); #494655=CARTESIAN_POINT('Ctrl Pts',(24.1610822070501,-20.3907578628351, -41.6209194668472)); #494656=CARTESIAN_POINT('Ctrl Pts',(24.0783652818219,-20.4907127703522, -41.0085770901586)); #494657=CARTESIAN_POINT('Ctrl Pts',(23.7914215148533,-20.8235993558827, -40.3233944792318)); #494658=CARTESIAN_POINT('Ctrl Pts',(23.4377189475376,-21.2249158077713, -39.7759795136294)); #494659=CARTESIAN_POINT('Ctrl Pts',(22.7461952791784,-21.9744580429383, -39.2751249096108)); #494660=CARTESIAN_POINT('Ctrl Pts',(22.1174948885161,-22.5991252446907, -39.3100736848117)); #494661=CARTESIAN_POINT('Ctrl Pts',(21.6902964589869,-23.0047990132201, -39.4956800380637)); #494662=CARTESIAN_POINT('Ctrl Pts',(21.5185994187014,-23.1654908008454, -39.5945852007378)); #494663=CARTESIAN_POINT('Ctrl Pts',(21.3208757473384,-23.3472586391558, -39.7448186741358)); #494664=CARTESIAN_POINT('Ctrl Pts',(20.9619762106315,-23.6717950279988, -40.0943634225594)); #494665=CARTESIAN_POINT('Ctrl Pts',(20.5296945141972,-24.0455040665008, -40.8026160691207)); #494666=CARTESIAN_POINT('Ctrl Pts',(20.3907578628351,-24.1610822070501, -41.6209194668473)); #494667=CARTESIAN_POINT('Ctrl Pts',(20.3907578628351,-24.1610822070501, -41.9999999999963)); #494668=CARTESIAN_POINT('Ctrl Pts',(24.1718943438524,-20.6363604379196, -41.9999999999963)); #494669=CARTESIAN_POINT('Ctrl Pts',(24.1718943438524,-20.6363604379196, -41.6468412752109)); #494670=CARTESIAN_POINT('Ctrl Pts',(24.0949364561882,-20.7282307385127, -41.0762502216877)); #494671=CARTESIAN_POINT('Ctrl Pts',(23.8263209937335,-21.0368020191377, -40.4342909793166)); #494672=CARTESIAN_POINT('Ctrl Pts',(23.494234612929,-21.4104256584317,-39.919388095614)); #494673=CARTESIAN_POINT('Ctrl Pts',(22.8422005309089,-22.1133246502456, -39.4449653934059)); #494674=CARTESIAN_POINT('Ctrl Pts',(22.2486361792002,-22.703360404233,-39.4780388969567)); #494675=CARTESIAN_POINT('Ctrl Pts',(21.8470785772853,-23.0860368227841, -39.653901446384)); #494676=CARTESIAN_POINT('Ctrl Pts',(21.6858893034795,-23.2375812036685, -39.7474966938112)); #494677=CARTESIAN_POINT('Ctrl Pts',(21.5006741217937,-23.4088287402679, -39.8894580039423)); #494678=CARTESIAN_POINT('Ctrl Pts',(21.1651829099169,-23.7142701390225, -40.2191827271506)); #494679=CARTESIAN_POINT('Ctrl Pts',(20.7641132761145,-24.0643466652337, -40.8843211766423)); #494680=CARTESIAN_POINT('Ctrl Pts',(20.6363604379196,-24.1718943438524, -41.6468412752109)); #494681=CARTESIAN_POINT('Ctrl Pts',(20.6363604379196,-24.1718943438524, -41.9999999999963)); #494682=CARTESIAN_POINT('',(20.6363604379196,-24.1718943438524,-41.9999999999963)); #494683=CARTESIAN_POINT('',(20.6363604379196,-24.1718943438524,-41.9999999999963)); #494684=CARTESIAN_POINT('Ctrl Pts',(24.1718943438524,-20.6363604379196, -41.9999999999963)); #494685=CARTESIAN_POINT('Ctrl Pts',(24.1718943438524,-20.6363604379196, -41.6468412752109)); #494686=CARTESIAN_POINT('Ctrl Pts',(24.0949364561882,-20.7282307385127, -41.0762502216877)); #494687=CARTESIAN_POINT('Ctrl Pts',(23.8263209937335,-21.0368020191377, -40.4342909793166)); #494688=CARTESIAN_POINT('Ctrl Pts',(23.494234612929,-21.4104256584317,-39.919388095614)); #494689=CARTESIAN_POINT('Ctrl Pts',(22.8422005309089,-22.1133246502456, -39.4449653934059)); #494690=CARTESIAN_POINT('Ctrl Pts',(22.2486361792002,-22.703360404233,-39.4780388969567)); #494691=CARTESIAN_POINT('Ctrl Pts',(21.8470785772853,-23.0860368227841, -39.653901446384)); #494692=CARTESIAN_POINT('Ctrl Pts',(21.6858893034795,-23.2375812036685, -39.7474966938112)); #494693=CARTESIAN_POINT('Ctrl Pts',(21.5006741217937,-23.4088287402679, -39.8894580039423)); #494694=CARTESIAN_POINT('Ctrl Pts',(21.1651829099169,-23.7142701390225, -40.2191827271506)); #494695=CARTESIAN_POINT('Ctrl Pts',(20.7641132761145,-24.0643466652337, -40.8843211766423)); #494696=CARTESIAN_POINT('Ctrl Pts',(20.6363604379196,-24.1718943438524, -41.6468412752109)); #494697=CARTESIAN_POINT('Ctrl Pts',(20.6363604379196,-24.1718943438524, -41.9999999999963)); #494698=CARTESIAN_POINT('Origin',(20.267956575293,-24.1556761386489,-36.9999999999963)); #494699=CARTESIAN_POINT('',(20.6363604379196,-24.1718943438524,-71.9999999999963)); #494700=CARTESIAN_POINT('',(20.6363604379196,-24.1718943438524,-71.9999999999963)); #494701=CARTESIAN_POINT('',(20.6363604379196,-24.1718943438524,-36.9999999999963)); #494702=CARTESIAN_POINT('Ctrl Pts',(19.8995527126661,-24.1394579334457, -71.9999999999963)); #494703=CARTESIAN_POINT('Ctrl Pts',(19.8995527126661,-24.1394579334457, -72.4309241498725)); #494704=CARTESIAN_POINT('Ctrl Pts',(20.0602324439737,-24.0083875350661, -73.3605896251515)); #494705=CARTESIAN_POINT('Ctrl Pts',(20.5284184201878,-23.6099684872427, -74.1118346786908)); #494706=CARTESIAN_POINT('Ctrl Pts',(20.8591644466988,-23.3154518273159, -74.4472784471922)); #494707=CARTESIAN_POINT('Ctrl Pts',(20.9992865413556,-23.1895825520771, -74.5733735141514)); #494708=CARTESIAN_POINT('Ctrl Pts',(21.1819583271964,-23.0233231193431, -74.7121664053647)); #494709=CARTESIAN_POINT('Ctrl Pts',(21.7333886074315,-22.5108354175174, -75.0163388829047)); #494710=CARTESIAN_POINT('Ctrl Pts',(22.5220573356135,-21.7324910872677, -75.0897263541597)); #494711=CARTESIAN_POINT('Ctrl Pts',(23.3245009751737,-20.8541043705433, -74.511102429518)); #494712=CARTESIAN_POINT('Ctrl Pts',(23.722404135396,-20.3964651667469,-73.8983235516826)); #494713=CARTESIAN_POINT('Ctrl Pts',(24.0453471360734,-20.0155802130261, -73.1268855913999)); #494714=CARTESIAN_POINT('Ctrl Pts',(24.1394579334457,-19.8995527126661, -72.4309241498723)); #494715=CARTESIAN_POINT('Ctrl Pts',(24.1394579334457,-19.8995527126661, -71.9999999999963)); #494716=CARTESIAN_POINT('Ctrl Pts',(20.1451552877506,-24.1502700702479, -71.9999999999963)); #494717=CARTESIAN_POINT('Ctrl Pts',(20.1451552877506,-24.1502700702479, -72.4050023415089)); #494718=CARTESIAN_POINT('Ctrl Pts',(20.2946914292959,-24.0271954850574, -73.2788921870512)); #494719=CARTESIAN_POINT('Ctrl Pts',(20.733336625236,-23.6511443051779,-73.9893661116059)); #494720=CARTESIAN_POINT('Ctrl Pts',(21.0448568678824,-23.3722090427367, -74.3075808858098)); #494721=CARTESIAN_POINT('Ctrl Pts',(21.1769403384518,-23.2529492157002, -74.4272896198031)); #494722=CARTESIAN_POINT('Ctrl Pts',(21.3493673392331,-23.0952963897121, -74.559175891671)); #494723=CARTESIAN_POINT('Ctrl Pts',(21.8706817709215,-22.6090755311784, -74.8487490583948)); #494724=CARTESIAN_POINT('Ctrl Pts',(22.6196757619383,-21.8693891885289, -74.9187486357656)); #494725=CARTESIAN_POINT('Ctrl Pts',(23.3810249312293,-21.0396030477378, -74.3676749110192)); #494726=CARTESIAN_POINT('Ctrl Pts',(23.7572562669009,-20.6097104198451, -73.7874396302324)); #494727=CARTESIAN_POINT('Ctrl Pts',(24.0619093052437,-20.2531042010987, -73.0592063334775)); #494728=CARTESIAN_POINT('Ctrl Pts',(24.1502700702479,-20.1451552877506, -72.4050023415088)); #494729=CARTESIAN_POINT('Ctrl Pts',(24.1502700702479,-20.1451552877506, -71.9999999999963)); #494730=CARTESIAN_POINT('Ctrl Pts',(20.3907578628351,-24.1610822070501, -71.9999999999963)); #494731=CARTESIAN_POINT('Ctrl Pts',(20.3907578628351,-24.1610822070501, -72.3790805331454)); #494732=CARTESIAN_POINT('Ctrl Pts',(20.5291504146181,-24.0460034350487, -73.1971947489509)); #494733=CARTESIAN_POINT('Ctrl Pts',(20.9382548302842,-23.6923201231131, -73.8668975445209)); #494734=CARTESIAN_POINT('Ctrl Pts',(21.230549289066,-23.4289662581575,-74.1678833244274)); #494735=CARTESIAN_POINT('Ctrl Pts',(21.354594135548,-23.3163158793233,-74.2812057254548)); #494736=CARTESIAN_POINT('Ctrl Pts',(21.5167763512698,-23.1672696600812, -74.4061853779773)); #494737=CARTESIAN_POINT('Ctrl Pts',(22.0079749344114,-22.7073156448393, -74.6811592338849)); #494738=CARTESIAN_POINT('Ctrl Pts',(22.7172941882632,-22.0062872897902, -74.7477709173715)); #494739=CARTESIAN_POINT('Ctrl Pts',(23.4375488872849,-21.2251017249322, -74.2242473925203)); #494740=CARTESIAN_POINT('Ctrl Pts',(23.7921083984058,-20.8229556729434, -73.6765557087821)); #494741=CARTESIAN_POINT('Ctrl Pts',(24.078471474414,-20.4906281891712,-72.9915270755552)); #494742=CARTESIAN_POINT('Ctrl Pts',(24.1610822070501,-20.3907578628351, -72.3790805331453)); #494743=CARTESIAN_POINT('Ctrl Pts',(24.1610822070501,-20.3907578628351, -71.9999999999963)); #494744=CARTESIAN_POINT('Ctrl Pts',(20.6363604379196,-24.1718943438524, -71.9999999999963)); #494745=CARTESIAN_POINT('Ctrl Pts',(20.6363604379196,-24.1718943438524, -72.3531587247818)); #494746=CARTESIAN_POINT('Ctrl Pts',(20.7636093999403,-24.06481138504,-73.1154973108507)); #494747=CARTESIAN_POINT('Ctrl Pts',(21.1431730353325,-23.7334959410483, -73.744428977436)); #494748=CARTESIAN_POINT('Ctrl Pts',(21.4162417102496,-23.4857234735783, -74.028185763045)); #494749=CARTESIAN_POINT('Ctrl Pts',(21.5322479326442,-23.3796825429463, -74.1351218311065)); #494750=CARTESIAN_POINT('Ctrl Pts',(21.6841853633064,-23.2392429304502, -74.2531948642836)); #494751=CARTESIAN_POINT('Ctrl Pts',(22.1452680979013,-22.8055557585002, -74.5135694093749)); #494752=CARTESIAN_POINT('Ctrl Pts',(22.8149126145881,-22.1431853910514, -74.5767931989773)); #494753=CARTESIAN_POINT('Ctrl Pts',(23.4940728433404,-21.4106004021267, -74.0808198740214)); #494754=CARTESIAN_POINT('Ctrl Pts',(23.8269605299107,-21.0362009260416, -73.5656717873319)); #494755=CARTESIAN_POINT('Ctrl Pts',(24.0950336435843,-20.7281521772437, -72.9238478176328)); #494756=CARTESIAN_POINT('Ctrl Pts',(24.1718943438524,-20.6363604379196, -72.3531587247818)); #494757=CARTESIAN_POINT('Ctrl Pts',(24.1718943438524,-20.6363604379196, -71.9999999999963)); #494758=CARTESIAN_POINT('Ctrl Pts',(20.6363604379196,-24.1718943438524, -71.9999999999963)); #494759=CARTESIAN_POINT('Ctrl Pts',(20.6363604379196,-24.1718943438524, -72.3531587247818)); #494760=CARTESIAN_POINT('Ctrl Pts',(20.7636093999403,-24.06481138504,-73.1154973108507)); #494761=CARTESIAN_POINT('Ctrl Pts',(21.1431730353325,-23.7334959410483, -73.744428977436)); #494762=CARTESIAN_POINT('Ctrl Pts',(21.4162417102496,-23.4857234735783, -74.028185763045)); #494763=CARTESIAN_POINT('Ctrl Pts',(21.5322479326442,-23.3796825429463, -74.1351218311065)); #494764=CARTESIAN_POINT('Ctrl Pts',(21.6841853633064,-23.2392429304502, -74.2531948642836)); #494765=CARTESIAN_POINT('Ctrl Pts',(22.1452680979013,-22.8055557585002, -74.5135694093749)); #494766=CARTESIAN_POINT('Ctrl Pts',(22.8149126145881,-22.1431853910514, -74.5767931989773)); #494767=CARTESIAN_POINT('Ctrl Pts',(23.4940728433404,-21.4106004021267, -74.0808198740214)); #494768=CARTESIAN_POINT('Ctrl Pts',(23.8269605299107,-21.0362009260416, -73.5656717873319)); #494769=CARTESIAN_POINT('Ctrl Pts',(24.0950336435843,-20.7281521772437, -72.9238478176328)); #494770=CARTESIAN_POINT('Ctrl Pts',(24.1718943438524,-20.6363604379196, -72.3531587247818)); #494771=CARTESIAN_POINT('Ctrl Pts',(24.1718943438524,-20.6363604379196, -71.9999999999963)); #494772=CARTESIAN_POINT('Origin',(0.,0.,-41.9999999999963)); #494773=CARTESIAN_POINT('',(26.301256350383,-22.7657224444502,-41.9999999999963)); #494774=CARTESIAN_POINT('',(1.76776695296637,1.76776695296637,-41.9999999999963)); #494775=CARTESIAN_POINT('',(22.7657224444502,-26.301256350383,-41.9999999999963)); #494776=CARTESIAN_POINT('Ctrl Pts',(22.7657224444502,-26.301256350383,-41.9999999999963)); #494777=CARTESIAN_POINT('Ctrl Pts',(22.7657224444502,-26.301256350383,-41.6360234136521)); #494778=CARTESIAN_POINT('Ctrl Pts',(22.9017010095301,-26.1841046806,-40.8484130704158)); #494779=CARTESIAN_POINT('Ctrl Pts',(23.3546648287113,-25.7815592518973, -40.117459985894)); #494780=CARTESIAN_POINT('Ctrl Pts',(23.8197646853781,-25.3510475304803, -39.7185189220646)); #494781=CARTESIAN_POINT('Ctrl Pts',(24.3463272066701,-24.8487613366452, -39.4729651557053)); #494782=CARTESIAN_POINT('Ctrl Pts',(24.8493031891744,-24.3456534394662, -39.472736749715)); #494783=CARTESIAN_POINT('Ctrl Pts',(25.2881783950467,-23.8857941601028, -39.686155272031)); #494784=CARTESIAN_POINT('Ctrl Pts',(25.5135481846868,-23.6441672618983, -39.8687383901902)); #494785=CARTESIAN_POINT('Ctrl Pts',(25.8213675203817,-23.3099646557025, -40.1887364028165)); #494786=CARTESIAN_POINT('Ctrl Pts',(26.1853085341154,-22.9003568031257, -40.8493679194596)); #494787=CARTESIAN_POINT('Ctrl Pts',(26.301256350383,-22.7657224444502,-41.6360234136521)); #494788=CARTESIAN_POINT('Ctrl Pts',(26.301256350383,-22.7657224444502,-41.9999999999963)); #494789=CARTESIAN_POINT('',(-1.76776695296637,-1.76776695296637,-41.9999999999963)); #494790=CARTESIAN_POINT('Origin',(1.76776695296637,1.76776695296637,-71.9999999999963)); #494791=CARTESIAN_POINT('',(26.301256350383,-22.7657224444502,-71.9999999999963)); #494792=CARTESIAN_POINT('',(1.76776695296637,1.76776695296637,-71.9999999999963)); #494793=CARTESIAN_POINT('',(26.301256350383,-22.7657224444502,-1.69922627077547E-17)); #494794=CARTESIAN_POINT('Origin',(26.640498055686,-22.7524856651626,-8.49613135387737E-18)); #494795=CARTESIAN_POINT('',(26.301256350383,-22.7657224444502,-71.9999999999963)); #494796=CARTESIAN_POINT('',(26.9797397609891,-22.7392488858751,-41.9999999999963)); #494797=CARTESIAN_POINT('Ctrl Pts',(26.9797397609892,-22.7392488858749, -71.9999999999963)); #494798=CARTESIAN_POINT('Ctrl Pts',(26.9797397609892,-22.7392488858749, -72.3769789769375)); #494799=CARTESIAN_POINT('Ctrl Pts',(26.9316870352729,-22.7967106591516, -72.7791806603286)); #494800=CARTESIAN_POINT('Ctrl Pts',(26.733018431588,-23.0295659998376,-73.5189121794281)); #494801=CARTESIAN_POINT('Ctrl Pts',(26.5814230340033,-23.2052349833381, -73.8555239581573)); #494802=CARTESIAN_POINT('Ctrl Pts',(26.187138649845,-23.6506481588231,-74.4570206147617)); #494803=CARTESIAN_POINT('Ctrl Pts',(25.5742392730066,-24.3239770818201, -74.997482431141)); #494804=CARTESIAN_POINT('Ctrl Pts',(24.7103093390603,-25.1953783693365, -75.0491828610405)); #494805=CARTESIAN_POINT('Ctrl Pts',(24.0154487647824,-25.8534723356579, -74.740437837801)); #494806=CARTESIAN_POINT('Ctrl Pts',(23.5466585232576,-26.2801710637289, -74.3315033039112)); #494807=CARTESIAN_POINT('Ctrl Pts',(23.1792602512451,-26.6039196508837, -73.8190760684792)); #494808=CARTESIAN_POINT('Ctrl Pts',(22.9858292475375,-26.7702905942404, -73.3789357337839)); #494809=CARTESIAN_POINT('Ctrl Pts',(22.7966158329822,-26.9317592656536, -72.7789562087357)); #494810=CARTESIAN_POINT('Ctrl Pts',(22.7392488858749,-26.9797397609892, -72.3769789769375)); #494811=CARTESIAN_POINT('Ctrl Pts',(22.7392488858749,-26.9797397609892, -71.9999999999963)); #494812=CARTESIAN_POINT('Ctrl Pts',(26.7535786241205,-22.7480734054,-71.9999999999963)); #494813=CARTESIAN_POINT('Ctrl Pts',(26.7534883504874,-22.7481881104643, -72.3574541607291)); #494814=CARTESIAN_POINT('Ctrl Pts',(26.7076419845266,-22.8025003046349, -72.7389001436623)); #494815=CARTESIAN_POINT('Ctrl Pts',(26.517649530008,-23.0232811586214,-73.4390818975073)); #494816=CARTESIAN_POINT('Ctrl Pts',(26.3734526846761,-23.1891422798769, -73.7571498509486)); #494817=CARTESIAN_POINT('Ctrl Pts',(25.9985272257646,-23.6099909464981, -74.3239625765107)); #494818=CARTESIAN_POINT('Ctrl Pts',(25.4191272418051,-24.243550348737,-74.8312885054562)); #494819=CARTESIAN_POINT('Ctrl Pts',(24.6067293240024,-25.0626139614204, -74.8793697794156)); #494820=CARTESIAN_POINT('Ctrl Pts',(23.9533108622353,-25.6831257444105, -74.5900812641638)); #494821=CARTESIAN_POINT('Ctrl Pts',(23.511788942817,-26.0868985418178,-74.2057813967562)); #494822=CARTESIAN_POINT('Ctrl Pts',(23.1647209247444,-26.3947370902031, -73.7226555690943)); #494823=CARTESIAN_POINT('Ctrl Pts',(22.9817973993391,-26.5533170352646, -73.3066305709578)); #494824=CARTESIAN_POINT('Ctrl Pts',(22.8024273449164,-26.7076827035382, -72.7385759342484)); #494825=CARTESIAN_POINT('Ctrl Pts',(22.7481708037113,-26.7535119975454, -72.3575209203689)); #494826=CARTESIAN_POINT('Ctrl Pts',(22.7480734054,-26.7535786241205,-71.9999999999963)); #494827=CARTESIAN_POINT('Ctrl Pts',(26.5274174872517,-22.7568979249251, -71.9999999999963)); #494828=CARTESIAN_POINT('Ctrl Pts',(26.5275077608848,-22.7567832198608, -72.3380044652178)); #494829=CARTESIAN_POINT('Ctrl Pts',(26.4832281070124,-22.8086436255436, -72.6984885720847)); #494830=CARTESIAN_POINT('Ctrl Pts',(26.3025210144772,-23.0167432574856, -73.3593329744955)); #494831=CARTESIAN_POINT('Ctrl Pts',(26.1647346523944,-23.1737657957508, -73.6584823127931)); #494832=CARTESIAN_POINT('Ctrl Pts',(25.8103217488534,-23.5689279134373, -74.1910851228369)); #494833=CARTESIAN_POINT('Ctrl Pts',(25.263143761706,-24.1639613242412,-74.6648231052449)); #494834=CARTESIAN_POINT('Ctrl Pts',(24.5033755170584,-24.9295869710188, -74.7094883150385)); #494835=CARTESIAN_POINT('Ctrl Pts',(23.8913345187921,-25.5125817677299, -74.4397720663176)); #494836=CARTESIAN_POINT('Ctrl Pts',(23.4768369688966,-25.8936710703173, -74.0799517987183)); #494837=CARTESIAN_POINT('Ctrl Pts',(23.1505219970821,-26.1852137805832, -73.6264079186077)); #494838=CARTESIAN_POINT('Ctrl Pts',(22.9776614020943,-26.3364121542483, -73.2342039052002)); #494839=CARTESIAN_POINT('Ctrl Pts',(22.8085484606447,-26.4833159380181, -72.6984000388751)); #494840=CARTESIAN_POINT('Ctrl Pts',(22.7568005266139,-26.5274841138268, -72.3379377055779)); #494841=CARTESIAN_POINT('Ctrl Pts',(22.7568979249251,-26.5274174872517, -71.9999999999963)); #494842=CARTESIAN_POINT('Ctrl Pts',(26.301256350383,-22.7657224444502,-71.9999999999963)); #494843=CARTESIAN_POINT('Ctrl Pts',(26.301256350383,-22.7657224444502,-72.3184796490094)); #494844=CARTESIAN_POINT('Ctrl Pts',(26.2591830562661,-22.8144332710269, -72.6582080554184)); #494845=CARTESIAN_POINT('Ctrl Pts',(26.0871521128972,-23.0104584162694, -73.2795026925747)); #494846=CARTESIAN_POINT('Ctrl Pts',(25.9567643030672,-23.1576730922896, -73.5601082055844)); #494847=CARTESIAN_POINT('Ctrl Pts',(25.621710324773,-23.5282707011123,-74.0580270845859)); #494848=CARTESIAN_POINT('Ctrl Pts',(25.1080317305045,-24.083534591158,-74.4986291795602)); #494849=CARTESIAN_POINT('Ctrl Pts',(24.3997955020005,-24.7968225631026, -74.5396752334136)); #494850=CARTESIAN_POINT('Ctrl Pts',(23.8291966162449,-25.3422351764825, -74.2894154926804)); #494851=CARTESIAN_POINT('Ctrl Pts',(23.441967388456,-25.7003985484062,-73.9542298915634)); #494852=CARTESIAN_POINT('Ctrl Pts',(23.1359826705814,-25.9760312199025, -73.5299874192227)); #494853=CARTESIAN_POINT('Ctrl Pts',(22.9736295538959,-26.1194385952724, -73.1618987423741)); #494854=CARTESIAN_POINT('Ctrl Pts',(22.8143599725789,-26.2592393759027, -72.6580197643877)); #494855=CARTESIAN_POINT('Ctrl Pts',(22.7657224444502,-26.301256350383,-72.3184796490094)); #494856=CARTESIAN_POINT('Ctrl Pts',(22.7657224444502,-26.301256350383,-71.9999999999963)); #494857=CARTESIAN_POINT('',(22.7657224444502,-26.301256350383,-71.9999999999963)); #494858=CARTESIAN_POINT('',(22.7657224444502,-26.301256350383,-71.9999999999963)); #494859=CARTESIAN_POINT('Ctrl Pts',(26.301256350383,-22.7657224444502,-71.9999999999963)); #494860=CARTESIAN_POINT('Ctrl Pts',(26.301256350383,-22.7657224444502,-72.3184796490094)); #494861=CARTESIAN_POINT('Ctrl Pts',(26.2591830562661,-22.8144332710269, -72.6582080554184)); #494862=CARTESIAN_POINT('Ctrl Pts',(26.0871521128972,-23.0104584162694, -73.2795026925747)); #494863=CARTESIAN_POINT('Ctrl Pts',(25.9567643030672,-23.1576730922896, -73.5601082055844)); #494864=CARTESIAN_POINT('Ctrl Pts',(25.621710324773,-23.5282707011123,-74.0580270845859)); #494865=CARTESIAN_POINT('Ctrl Pts',(25.1080317305045,-24.083534591158,-74.4986291795602)); #494866=CARTESIAN_POINT('Ctrl Pts',(24.3997955020005,-24.7968225631026, -74.5396752334136)); #494867=CARTESIAN_POINT('Ctrl Pts',(23.8291966162449,-25.3422351764825, -74.2894154926804)); #494868=CARTESIAN_POINT('Ctrl Pts',(23.441967388456,-25.7003985484062,-73.9542298915634)); #494869=CARTESIAN_POINT('Ctrl Pts',(23.1359826705814,-25.9760312199025, -73.5299874192227)); #494870=CARTESIAN_POINT('Ctrl Pts',(22.9736295538959,-26.1194385952724, -73.1618987423741)); #494871=CARTESIAN_POINT('Ctrl Pts',(22.8143599725789,-26.2592393759027, -72.6580197643877)); #494872=CARTESIAN_POINT('Ctrl Pts',(22.7657224444502,-26.301256350383,-72.3184796490094)); #494873=CARTESIAN_POINT('Ctrl Pts',(22.7657224444502,-26.301256350383,-71.9999999999963)); #494874=CARTESIAN_POINT('Origin',(22.7524856651626,-26.6404980556861,-8.49613135387752E-18)); #494875=CARTESIAN_POINT('',(22.7657224444502,-26.301256350383,-41.9999999999963)); #494876=CARTESIAN_POINT('',(22.7657224444502,-26.301256350383,-1.6992262707755E-17)); #494877=CARTESIAN_POINT('Ctrl Pts',(22.7392488858749,-26.9797397609892, -41.9999999999963)); #494878=CARTESIAN_POINT('Ctrl Pts',(22.7392488858749,-26.9797397609892, -41.5691670674188)); #494879=CARTESIAN_POINT('Ctrl Pts',(22.8994724752506,-26.8461727940936, -40.6364838327233)); #494880=CARTESIAN_POINT('Ctrl Pts',(23.44083756278,-26.3770485123321,-39.7562906375488)); #494881=CARTESIAN_POINT('Ctrl Pts',(24.0040799579824,-25.8639302251446, -39.2693232067302)); #494882=CARTESIAN_POINT('Ctrl Pts',(24.6451158864212,-25.2582790351612, -38.9669562198511)); #494883=CARTESIAN_POINT('Ctrl Pts',(25.2589029890746,-24.6442977210735, -38.9667490190241)); #494884=CARTESIAN_POINT('Ctrl Pts',(25.7881615576447,-24.0844440518296, -39.2295664982368)); #494885=CARTESIAN_POINT('Ctrl Pts',(26.0575625547526,-23.7914708718177, -39.4526955423315)); #494886=CARTESIAN_POINT('Ctrl Pts',(26.4234619611826,-23.3874068472951, -39.842166966642)); #494887=CARTESIAN_POINT('Ctrl Pts',(26.8475543842325,-22.8978924197258, -40.6376353821041)); #494888=CARTESIAN_POINT('Ctrl Pts',(26.9797397609892,-22.7392488858749, -41.569167067419)); #494889=CARTESIAN_POINT('Ctrl Pts',(26.9797397609892,-22.7392488858749, -41.9999999999963)); #494890=CARTESIAN_POINT('Ctrl Pts',(22.7480734054,-26.7535786241205,-41.9999999999963)); #494891=CARTESIAN_POINT('Ctrl Pts',(22.7480734054,-26.7535786241205,-41.5914525161632)); #494892=CARTESIAN_POINT('Ctrl Pts',(22.9002153200104,-26.6254834229291, -40.7071269119541)); #494893=CARTESIAN_POINT('Ctrl Pts',(23.4121133180904,-26.1785520921872, -39.8766804203305)); #494894=CARTESIAN_POINT('Ctrl Pts',(23.942641533781,-25.6929693269232,-39.4190551118417)); #494895=CARTESIAN_POINT('Ctrl Pts',(24.5455196598375,-25.1217731356558, -39.1356258651359)); #494896=CARTESIAN_POINT('Ctrl Pts',(25.1223697224412,-24.5447496272044, -39.1354115959211)); #494897=CARTESIAN_POINT('Ctrl Pts',(25.6215005034454,-24.018227421254,-39.3817627561682)); #494898=CARTESIAN_POINT('Ctrl Pts',(25.8762244313973,-23.7423696685112, -39.5913764916177)); #494899=CARTESIAN_POINT('Ctrl Pts',(26.222763814249,-23.3615927834309,-39.9576901120335)); #494900=CARTESIAN_POINT('Ctrl Pts',(26.6268057675268,-22.8987138808591, -40.7082128945559)); #494901=CARTESIAN_POINT('Ctrl Pts',(26.7535786241205,-22.7480734054,-41.5914525161634)); #494902=CARTESIAN_POINT('Ctrl Pts',(26.7535786241205,-22.7480734054,-41.9999999999963)); #494903=CARTESIAN_POINT('Ctrl Pts',(22.7568979249251,-26.5274174872517, -41.9999999999963)); #494904=CARTESIAN_POINT('Ctrl Pts',(22.7568979249251,-26.5274174872517, -41.6137379649077)); #494905=CARTESIAN_POINT('Ctrl Pts',(22.9009581647703,-26.4047940517646, -40.777769991185)); #494906=CARTESIAN_POINT('Ctrl Pts',(23.3833890734008,-25.9800556720423, -39.9970702031123)); #494907=CARTESIAN_POINT('Ctrl Pts',(23.8812031095795,-25.5220084287018, -39.5687870169532)); #494908=CARTESIAN_POINT('Ctrl Pts',(24.4459234332538,-24.9852672361505, -39.3042955104206)); #494909=CARTESIAN_POINT('Ctrl Pts',(24.9858364558078,-24.4452015333353, -39.304074172818)); #494910=CARTESIAN_POINT('Ctrl Pts',(25.454839449246,-23.9520107906784,-39.5339590140996)); #494911=CARTESIAN_POINT('Ctrl Pts',(25.6948863080421,-23.6932684652047, -39.7300574409039)); #494912=CARTESIAN_POINT('Ctrl Pts',(26.0220656673153,-23.3357787195667, -40.073213257425)); #494913=CARTESIAN_POINT('Ctrl Pts',(26.4060571508211,-22.8995353419924, -40.7787904070077)); #494914=CARTESIAN_POINT('Ctrl Pts',(26.5274174872517,-22.7568979249251, -41.6137379649078)); #494915=CARTESIAN_POINT('Ctrl Pts',(26.5274174872517,-22.7568979249251, -41.9999999999963)); #494916=CARTESIAN_POINT('Ctrl Pts',(22.7657224444502,-26.301256350383,-41.9999999999963)); #494917=CARTESIAN_POINT('Ctrl Pts',(22.7657224444502,-26.301256350383,-41.6360234136521)); #494918=CARTESIAN_POINT('Ctrl Pts',(22.9017010095301,-26.1841046806,-40.8484130704158)); #494919=CARTESIAN_POINT('Ctrl Pts',(23.3546648287113,-25.7815592518973, -40.117459985894)); #494920=CARTESIAN_POINT('Ctrl Pts',(23.8197646853781,-25.3510475304803, -39.7185189220646)); #494921=CARTESIAN_POINT('Ctrl Pts',(24.3463272066701,-24.8487613366452, -39.4729651557053)); #494922=CARTESIAN_POINT('Ctrl Pts',(24.8493031891744,-24.3456534394662, -39.472736749715)); #494923=CARTESIAN_POINT('Ctrl Pts',(25.2881783950467,-23.8857941601028, -39.686155272031)); #494924=CARTESIAN_POINT('Ctrl Pts',(25.5135481846868,-23.6441672618983, -39.8687383901902)); #494925=CARTESIAN_POINT('Ctrl Pts',(25.8213675203817,-23.3099646557025, -40.1887364028165)); #494926=CARTESIAN_POINT('Ctrl Pts',(26.1853085341154,-22.9003568031257, -40.8493679194596)); #494927=CARTESIAN_POINT('Ctrl Pts',(26.301256350383,-22.7657224444502,-41.6360234136521)); #494928=CARTESIAN_POINT('Ctrl Pts',(26.301256350383,-22.7657224444502,-41.9999999999963)); #494929=CARTESIAN_POINT('Origin',(0.,0.,-71.9999999999963)); #494930=CARTESIAN_POINT('',(-1.76776695296637,-1.76776695296637,-71.9999999999963)); #494931=CARTESIAN_POINT('Origin',(-1.76776695296637,-1.76776695296637,-41.9999999999963)); #494932=CARTESIAN_POINT('Origin',(14.5607235892093,-27.9691273015784,-36.9999999999963)); #494933=CARTESIAN_POINT('',(14.4347252024043,-28.3156945283913,-41.9999999999963)); #494934=CARTESIAN_POINT('',(14.4347252024043,-28.3156945283913,-41.9999999999963)); #494935=CARTESIAN_POINT('',(14.4347252024043,-28.3156945283913,-71.9999999999963)); #494936=CARTESIAN_POINT('',(14.6867219760143,-27.6225600747655,-71.9999999999963)); #494937=CARTESIAN_POINT('',(14.4347252024043,-28.3156945283913,-36.9999999999963)); #494938=CARTESIAN_POINT('Ctrl Pts',(14.6867219760146,-27.6225600747654, -41.9999999999963)); #494939=CARTESIAN_POINT('Ctrl Pts',(14.6867219760146,-27.6225600747654, -41.56907585012)); #494940=CARTESIAN_POINT('Ctrl Pts',(14.5029346986053,-27.7217147443555, -40.6363198392495)); #494941=CARTESIAN_POINT('Ctrl Pts',(13.8650793319928,-28.0482928019756, -39.7563187278504)); #494942=CARTESIAN_POINT('Ctrl Pts',(13.1773807964208,-28.3764129897822, -39.2694161629863)); #494943=CARTESIAN_POINT('Ctrl Pts',(12.3737997132818,-28.739814969874,-38.9670336489815)); #494944=CARTESIAN_POINT('Ctrl Pts',(11.5715096028268,-29.0719653272965, -38.9668391675895)); #494945=CARTESIAN_POINT('Ctrl Pts',(10.850731364131,-29.3441096966083,-39.2296659419547)); #494946=CARTESIAN_POINT('Ctrl Pts',(10.4762157279659,-29.4788906975085, -39.4527753928037)); #494947=CARTESIAN_POINT('Ctrl Pts',(9.96169922348201,-29.659066720871,-39.8421878501545)); #494948=CARTESIAN_POINT('Ctrl Pts',(9.34509069029159,-29.857991368968,-40.6374808803018)); #494949=CARTESIAN_POINT('Ctrl Pts',(9.147018839958,-29.9171802452408,-41.5690758501202)); #494950=CARTESIAN_POINT('Ctrl Pts',(9.147018839958,-29.9171802452408,-41.9999999999963)); #494951=CARTESIAN_POINT('Ctrl Pts',(14.6027230514778,-27.8536048926407, -41.9999999999963)); #494952=CARTESIAN_POINT('Ctrl Pts',(14.6027230514778,-27.8536048926407, -41.5949976584836)); #494953=CARTESIAN_POINT('Ctrl Pts',(14.4306640956282,-27.9454531995408, -40.7181864497831)); #494954=CARTESIAN_POINT('Ctrl Pts',(13.8300555113329,-28.2501937345753, -39.8859326725639)); #494955=CARTESIAN_POINT('Ctrl Pts',(13.179267150243,-28.558703673464,-39.4233833113314)); #494956=CARTESIAN_POINT('Ctrl Pts',(12.4172662528364,-28.9018698364063, -39.1353343062591)); #494957=CARTESIAN_POINT('Ctrl Pts',(11.6554271349701,-29.2172816708829, -39.1351703322502)); #494958=CARTESIAN_POINT('Ctrl Pts',(10.9728051090973,-29.4762235938922, -39.3855448714476)); #494959=CARTESIAN_POINT('Ctrl Pts',(10.6188862943053,-29.6045037193403, -39.597573643014)); #494960=CARTESIAN_POINT('Ctrl Pts',(10.1333479985907,-29.7759819778089, -39.9671561673242)); #494961=CARTESIAN_POINT('Ctrl Pts',(9.5545342250103,-29.9650584934171,-40.7192853983615)); #494962=CARTESIAN_POINT('Ctrl Pts',(9.36978840658796,-30.0211573935661, -41.5949976584837)); #494963=CARTESIAN_POINT('Ctrl Pts',(9.36978840658796,-30.0211573935661, -41.9999999999963)); #494964=CARTESIAN_POINT('Ctrl Pts',(14.5187241269411,-28.084649710516,-41.9999999999963)); #494965=CARTESIAN_POINT('Ctrl Pts',(14.5187241269411,-28.084649710516,-41.6209194668472)); #494966=CARTESIAN_POINT('Ctrl Pts',(14.358393492651,-28.1691916547261,-40.8000530603167)); #494967=CARTESIAN_POINT('Ctrl Pts',(13.7950316906729,-28.4520946671751, -40.0155466172774)); #494968=CARTESIAN_POINT('Ctrl Pts',(13.1811535040652,-28.7409943571458, -39.5773504596765)); #494969=CARTESIAN_POINT('Ctrl Pts',(12.4607327923911,-29.0639247029386, -39.3036349635367)); #494970=CARTESIAN_POINT('Ctrl Pts',(11.7393446671134,-29.3625980144693, -39.3035014969108)); #494971=CARTESIAN_POINT('Ctrl Pts',(11.0948788540636,-29.6083374911761, -39.5414238009404)); #494972=CARTESIAN_POINT('Ctrl Pts',(10.7615568606447,-29.7301167411722, -39.7423718932243)); #494973=CARTESIAN_POINT('Ctrl Pts',(10.3049967736995,-29.8928972347468, -40.0921244844938)); #494974=CARTESIAN_POINT('Ctrl Pts',(9.763977759729,-30.0721256178661,-40.8010899164212)); #494975=CARTESIAN_POINT('Ctrl Pts',(9.59255797321792,-30.1251345418915, -41.6209194668473)); #494976=CARTESIAN_POINT('Ctrl Pts',(9.59255797321792,-30.1251345418915, -41.9999999999963)); #494977=CARTESIAN_POINT('Ctrl Pts',(14.4347252024043,-28.3156945283913, -41.9999999999963)); #494978=CARTESIAN_POINT('Ctrl Pts',(14.4347252024043,-28.3156945283913, -41.6468412752109)); #494979=CARTESIAN_POINT('Ctrl Pts',(14.2861228896739,-28.3929301099114, -40.8819196708503)); #494980=CARTESIAN_POINT('Ctrl Pts',(13.760007870013,-28.6539955997749,-40.1451605619908)); #494981=CARTESIAN_POINT('Ctrl Pts',(13.1830398578875,-28.9232850408277, -39.7313176080216)); #494982=CARTESIAN_POINT('Ctrl Pts',(12.5041993319458,-29.2259795694709, -39.4719356208143)); #494983=CARTESIAN_POINT('Ctrl Pts',(11.8232621992568,-29.5079143580557, -39.4718326615715)); #494984=CARTESIAN_POINT('Ctrl Pts',(11.21695259903,-29.7404513884601,-39.6973027304333)); #494985=CARTESIAN_POINT('Ctrl Pts',(10.9042274269842,-29.855729763004,-39.8871701434346)); #494986=CARTESIAN_POINT('Ctrl Pts',(10.4766455488082,-30.0098124916846, -40.2170928016634)); #494987=CARTESIAN_POINT('Ctrl Pts',(9.9734212944477,-30.1791927423151,-40.8828944344808)); #494988=CARTESIAN_POINT('Ctrl Pts',(9.81532753984787,-30.2291116902168, -41.6468412752108)); #494989=CARTESIAN_POINT('Ctrl Pts',(9.81532753984787,-30.2291116902168, -41.9999999999963)); #494990=CARTESIAN_POINT('',(9.81532753984787,-30.2291116902168,-41.9999999999963)); #494991=CARTESIAN_POINT('',(9.81532753984787,-30.2291116902168,-41.9999999999963)); #494992=CARTESIAN_POINT('Ctrl Pts',(14.4347252024043,-28.3156945283913, -41.9999999999963)); #494993=CARTESIAN_POINT('Ctrl Pts',(14.4347252024043,-28.3156945283913, -41.6468412752109)); #494994=CARTESIAN_POINT('Ctrl Pts',(14.2861228896739,-28.3929301099114, -40.8819196708503)); #494995=CARTESIAN_POINT('Ctrl Pts',(13.760007870013,-28.6539955997749,-40.1451605619908)); #494996=CARTESIAN_POINT('Ctrl Pts',(13.1830398578875,-28.9232850408277, -39.7313176080216)); #494997=CARTESIAN_POINT('Ctrl Pts',(12.5041993319458,-29.2259795694709, -39.4719356208143)); #494998=CARTESIAN_POINT('Ctrl Pts',(11.8232621992568,-29.5079143580557, -39.4718326615715)); #494999=CARTESIAN_POINT('Ctrl Pts',(11.21695259903,-29.7404513884601,-39.6973027304333)); #495000=CARTESIAN_POINT('Ctrl Pts',(10.9042274269842,-29.855729763004,-39.8871701434346)); #495001=CARTESIAN_POINT('Ctrl Pts',(10.4766455488082,-30.0098124916846, -40.2170928016634)); #495002=CARTESIAN_POINT('Ctrl Pts',(9.9734212944477,-30.1791927423151,-40.8828944344808)); #495003=CARTESIAN_POINT('Ctrl Pts',(9.81532753984787,-30.2291116902168, -41.6468412752108)); #495004=CARTESIAN_POINT('Ctrl Pts',(9.81532753984787,-30.2291116902168, -41.9999999999963)); #495005=CARTESIAN_POINT('Origin',(9.48117318990308,-30.0731459677287,-36.9999999999963)); #495006=CARTESIAN_POINT('',(9.81532753984788,-30.2291116902168,-71.9999999999963)); #495007=CARTESIAN_POINT('',(9.81532753984788,-30.2291116902168,-71.9999999999963)); #495008=CARTESIAN_POINT('',(9.81532753984788,-30.2291116902168,-36.9999999999963)); #495009=CARTESIAN_POINT('Ctrl Pts',(9.147018839958,-29.9171802452408,-71.9999999999963)); #495010=CARTESIAN_POINT('Ctrl Pts',(9.147018839958,-29.9171802452408,-72.4309241498726)); #495011=CARTESIAN_POINT('Ctrl Pts',(9.35237618740629,-29.8553721966302, -73.3668715804902)); #495012=CARTESIAN_POINT('Ctrl Pts',(9.97756832157587,-29.653662899491,-74.1760474749365)); #495013=CARTESIAN_POINT('Ctrl Pts',(10.4969442148276,-29.4711711874077, -74.5570595047342)); #495014=CARTESIAN_POINT('Ctrl Pts',(10.8761132494742,-29.334072150709,-74.7746044891835)); #495015=CARTESIAN_POINT('Ctrl Pts',(11.5693782374726,-29.0723359017053, -75.0269245582379)); #495016=CARTESIAN_POINT('Ctrl Pts',(12.3017796315826,-28.7689778189657, -75.027328392504)); #495017=CARTESIAN_POINT('Ctrl Pts',(12.9067397141537,-28.4985185695391, -74.8307852721028)); #495018=CARTESIAN_POINT('Ctrl Pts',(13.192246081912,-28.3672651486823,-74.694497101979)); #495019=CARTESIAN_POINT('Ctrl Pts',(13.4411961265283,-28.2502371167353, -74.5422008481413)); #495020=CARTESIAN_POINT('Ctrl Pts',(13.8122070924412,-28.0715172659982, -74.2468966519153)); #495021=CARTESIAN_POINT('Ctrl Pts',(14.1442557234346,-27.9052610628591, -73.8555158557815)); #495022=CARTESIAN_POINT('Ctrl Pts',(14.5683694483677,-27.6866400281637, -73.0646432126894)); #495023=CARTESIAN_POINT('Ctrl Pts',(14.6867219760146,-27.6225600747654, -72.4309241498726)); #495024=CARTESIAN_POINT('Ctrl Pts',(14.6867219760146,-27.6225600747654, -71.9999999999963)); #495025=CARTESIAN_POINT('Ctrl Pts',(9.36978840658796,-30.0211573935661, -71.9999999999963)); #495026=CARTESIAN_POINT('Ctrl Pts',(9.36978840658796,-30.0211573935661, -72.405002341509)); #495027=CARTESIAN_POINT('Ctrl Pts',(9.56136109414069,-29.9625879552736, -73.2848363119755)); #495028=CARTESIAN_POINT('Ctrl Pts',(10.1482425726198,-29.7708643492504, -74.0501009055502)); #495029=CARTESIAN_POINT('Ctrl Pts',(10.6384667831292,-29.5971775231749, -74.411807701097)); #495030=CARTESIAN_POINT('Ctrl Pts',(10.9968485306316,-29.4666986018337, -74.6185746737991)); #495031=CARTESIAN_POINT('Ctrl Pts',(11.6534217584218,-29.2176563509527, -74.8589559155145)); #495032=CARTESIAN_POINT('Ctrl Pts',(12.3488443959788,-28.9296139068241, -74.8593340012641)); #495033=CARTESIAN_POINT('Ctrl Pts',(12.9226132626248,-28.6740324833089, -74.6720839332798)); #495034=CARTESIAN_POINT('Ctrl Pts',(13.1931776002795,-28.5502574096048, -74.542427246721)); #495035=CARTESIAN_POINT('Ctrl Pts',(13.4288925155457,-28.4400984336517, -74.3976823987423)); #495036=CARTESIAN_POINT('Ctrl Pts',(13.7797434011862,-28.2722448635237, -74.1174362394879)); #495037=CARTESIAN_POINT('Ctrl Pts',(14.0929630081227,-28.1167124082544, -73.7469432733402)); #495038=CARTESIAN_POINT('Ctrl Pts',(14.4919363214198,-27.9129517414064, -73.0006883080132)); #495039=CARTESIAN_POINT('Ctrl Pts',(14.6027230514778,-27.8536048926407, -72.405002341509)); #495040=CARTESIAN_POINT('Ctrl Pts',(14.6027230514778,-27.8536048926407, -71.9999999999963)); #495041=CARTESIAN_POINT('Ctrl Pts',(9.59255797321792,-30.1251345418915, -71.9999999999963)); #495042=CARTESIAN_POINT('Ctrl Pts',(9.59255797321792,-30.1251345418915, -72.3790805331454)); #495043=CARTESIAN_POINT('Ctrl Pts',(9.77034600087509,-30.069803713917,-73.2028010434609)); #495044=CARTESIAN_POINT('Ctrl Pts',(10.3189168236637,-29.8880657990097, -73.924154336164)); #495045=CARTESIAN_POINT('Ctrl Pts',(10.7799893514307,-29.7231838589422, -74.2665558974599)); #495046=CARTESIAN_POINT('Ctrl Pts',(11.1175838117889,-29.5993250529583, -74.4625448584146)); #495047=CARTESIAN_POINT('Ctrl Pts',(11.7374652793709,-29.3629768002001, -74.690987272791)); #495048=CARTESIAN_POINT('Ctrl Pts',(12.3959091603751,-29.0902499946825, -74.6913396100241)); #495049=CARTESIAN_POINT('Ctrl Pts',(12.9384868110959,-28.8495463970787, -74.5133825944568)); #495050=CARTESIAN_POINT('Ctrl Pts',(13.194109118647,-28.7332496705273,-74.390357391463)); #495051=CARTESIAN_POINT('Ctrl Pts',(13.416588904563,-28.6299597505681,-74.2531639493434)); #495052=CARTESIAN_POINT('Ctrl Pts',(13.7472797099311,-28.4729724610492, -73.9879758270605)); #495053=CARTESIAN_POINT('Ctrl Pts',(14.0416702928107,-28.3281637536498, -73.638370690899)); #495054=CARTESIAN_POINT('Ctrl Pts',(14.4155031944719,-28.1392634546491, -72.936733403337)); #495055=CARTESIAN_POINT('Ctrl Pts',(14.5187241269411,-28.084649710516,-72.3790805331454)); #495056=CARTESIAN_POINT('Ctrl Pts',(14.5187241269411,-28.084649710516,-71.9999999999963)); #495057=CARTESIAN_POINT('Ctrl Pts',(9.81532753984787,-30.2291116902168, -71.9999999999963)); #495058=CARTESIAN_POINT('Ctrl Pts',(9.81532753984787,-30.2291116902168, -72.3531587247818)); #495059=CARTESIAN_POINT('Ctrl Pts',(9.97933090760949,-30.1770194725604, -73.1207657749462)); #495060=CARTESIAN_POINT('Ctrl Pts',(10.4895910747076,-30.0052672487691, -73.7982077667778)); #495061=CARTESIAN_POINT('Ctrl Pts',(10.9215119197323,-29.8491901947094, -74.1213040938228)); #495062=CARTESIAN_POINT('Ctrl Pts',(11.2383190929463,-29.731951504083,-74.3065150430302)); #495063=CARTESIAN_POINT('Ctrl Pts',(11.82150880032,-29.5082972494474,-74.5230186300676)); #495064=CARTESIAN_POINT('Ctrl Pts',(12.4429739247714,-29.2508860825409, -74.5233452187842)); #495065=CARTESIAN_POINT('Ctrl Pts',(12.954360359567,-29.0250603108484,-74.3546812556338)); #495066=CARTESIAN_POINT('Ctrl Pts',(13.1950406370145,-28.9162419314499, -74.238287536205)); #495067=CARTESIAN_POINT('Ctrl Pts',(13.4042852935804,-28.8198210674846, -74.1086454999444)); #495068=CARTESIAN_POINT('Ctrl Pts',(13.714816018676,-28.6737000585747,-73.8585154146332)); #495069=CARTESIAN_POINT('Ctrl Pts',(13.9903775774988,-28.5396150990451, -73.5297981084578)); #495070=CARTESIAN_POINT('Ctrl Pts',(14.3390700675241,-28.3655751678918, -72.8727784986608)); #495071=CARTESIAN_POINT('Ctrl Pts',(14.4347252024043,-28.3156945283913, -72.3531587247818)); #495072=CARTESIAN_POINT('Ctrl Pts',(14.4347252024043,-28.3156945283913, -71.9999999999963)); #495073=CARTESIAN_POINT('Ctrl Pts',(9.81532753984787,-30.2291116902168, -71.9999999999963)); #495074=CARTESIAN_POINT('Ctrl Pts',(9.81532753984787,-30.2291116902168, -72.3531587247818)); #495075=CARTESIAN_POINT('Ctrl Pts',(9.97933090760949,-30.1770194725604, -73.1207657749462)); #495076=CARTESIAN_POINT('Ctrl Pts',(10.4895910747076,-30.0052672487691, -73.7982077667778)); #495077=CARTESIAN_POINT('Ctrl Pts',(10.9215119197323,-29.8491901947094, -74.1213040938228)); #495078=CARTESIAN_POINT('Ctrl Pts',(11.2383190929463,-29.731951504083,-74.3065150430302)); #495079=CARTESIAN_POINT('Ctrl Pts',(11.82150880032,-29.5082972494474,-74.5230186300676)); #495080=CARTESIAN_POINT('Ctrl Pts',(12.4429739247714,-29.2508860825409, -74.5233452187842)); #495081=CARTESIAN_POINT('Ctrl Pts',(12.954360359567,-29.0250603108484,-74.3546812556338)); #495082=CARTESIAN_POINT('Ctrl Pts',(13.1950406370145,-28.9162419314499, -74.238287536205)); #495083=CARTESIAN_POINT('Ctrl Pts',(13.4042852935804,-28.8198210674846, -74.1086454999444)); #495084=CARTESIAN_POINT('Ctrl Pts',(13.714816018676,-28.6737000585747,-73.8585154146332)); #495085=CARTESIAN_POINT('Ctrl Pts',(13.9903775774988,-28.5396150990451, -73.5297981084578)); #495086=CARTESIAN_POINT('Ctrl Pts',(14.3390700675241,-28.3655751678918, -72.8727784986608)); #495087=CARTESIAN_POINT('Ctrl Pts',(14.4347252024043,-28.3156945283913, -72.3531587247818)); #495088=CARTESIAN_POINT('Ctrl Pts',(14.4347252024043,-28.3156945283913, -71.9999999999963)); #495089=CARTESIAN_POINT('Origin',(0.,0.,-41.9999999999963)); #495090=CARTESIAN_POINT('',(15.5871276161381,-31.0978400649391,-41.9999999999963)); #495091=CARTESIAN_POINT('',(2.30969883127822,0.956708580912724,-41.9999999999963)); #495092=CARTESIAN_POINT('',(10.9677299535817,-33.0112572267645,-41.9999999999963)); #495093=CARTESIAN_POINT('Ctrl Pts',(10.9677299535817,-33.0112572267645, -41.9999999999963)); #495094=CARTESIAN_POINT('Ctrl Pts',(10.9677299535817,-33.0112572267645, -41.6360234136521)); #495095=CARTESIAN_POINT('Ctrl Pts',(11.0898830650671,-32.9711414711057, -41.0484527143575)); #495096=CARTESIAN_POINT('Ctrl Pts',(11.4896920935477,-32.833020349914,-40.4044602661914)); #495097=CARTESIAN_POINT('Ctrl Pts',(11.9697688799254,-32.6623415320771, -39.8973619360492)); #495098=CARTESIAN_POINT('Ctrl Pts',(12.8920034258531,-32.3157311587626, -39.4271366156153)); #495099=CARTESIAN_POINT('Ctrl Pts',(13.7278418375444,-31.9647050253481, -39.4865703393736)); #495100=CARTESIAN_POINT('Ctrl Pts',(14.2963782235929,-31.7110629020186, -39.7340625916827)); #495101=CARTESIAN_POINT('Ctrl Pts',(14.4829198190101,-31.6261129213256, -39.8476772327742)); #495102=CARTESIAN_POINT('Ctrl Pts',(14.6253640367189,-31.5604268318045, -39.951199759156)); #495103=CARTESIAN_POINT('Ctrl Pts',(14.9604220477366,-31.404630564742,-40.2272050318985)); #495104=CARTESIAN_POINT('Ctrl Pts',(15.4281567569182,-31.177851573554,-40.8511594566871)); #495105=CARTESIAN_POINT('Ctrl Pts',(15.5871276161381,-31.097840064939,-41.6360234136522)); #495106=CARTESIAN_POINT('Ctrl Pts',(15.5871276161381,-31.097840064939,-41.9999999999963)); #495107=CARTESIAN_POINT('',(-2.30969883127822,-0.956708580912724,-41.9999999999963)); #495108=CARTESIAN_POINT('Origin',(2.30969883127822,0.956708580912724,-71.9999999999963)); #495109=CARTESIAN_POINT('',(15.5871276161381,-31.0978400649391,-71.9999999999963)); #495110=CARTESIAN_POINT('',(2.30969883127822,0.956708580912724,-71.9999999999963)); #495111=CARTESIAN_POINT('',(15.5871276161381,-31.0978400649391,-1.69922627077548E-17)); #495112=CARTESIAN_POINT('Origin',(15.9056115803731,-31.2154330556657,-8.49613135387741E-18)); #495113=CARTESIAN_POINT('',(15.5871276161381,-31.0978400649391,-71.9999999999963)); #495114=CARTESIAN_POINT('',(16.2240955446081,-31.3330260463923,-41.9999999999963)); #495115=CARTESIAN_POINT('Ctrl Pts',(16.2240955446082,-31.3330260463922, -71.9999999999963)); #495116=CARTESIAN_POINT('Ctrl Pts',(16.2240955446082,-31.3330260463922, -72.3769789769373)); #495117=CARTESIAN_POINT('Ctrl Pts',(16.0901754767627,-31.403235950532,-73.1811333423016)); #495118=CARTESIAN_POINT('Ctrl Pts',(15.6775733808103,-31.61137048579,-73.8557760233492)); #495119=CARTESIAN_POINT('Ctrl Pts',(15.1503997067324,-31.8678455594431, -74.4415734872627)); #495120=CARTESIAN_POINT('Ctrl Pts',(14.5772375310006,-32.1388654816599, -74.8425318872903)); #495121=CARTESIAN_POINT('Ctrl Pts',(13.7122209691091,-32.5136196478123, -75.0201872306886)); #495122=CARTESIAN_POINT('Ctrl Pts',(13.0851331358693,-32.7709821105026, -74.9950461734071)); #495123=CARTESIAN_POINT('Ctrl Pts',(12.5367853562294,-32.983523574242,-74.8339257431517)); #495124=CARTESIAN_POINT('Ctrl Pts',(12.1837981819429,-33.1144105198619, -74.6498002029363)); #495125=CARTESIAN_POINT('Ctrl Pts',(11.9908391725251,-33.1845750735333, -74.5263381739447)); #495126=CARTESIAN_POINT('Ctrl Pts',(11.5366537300735,-33.3476097814803, -74.1942530810864)); #495127=CARTESIAN_POINT('Ctrl Pts',(10.9069592172154,-33.5581601541444, -73.4342154912846)); #495128=CARTESIAN_POINT('Ctrl Pts',(10.6836272142877,-33.6279631707096, -72.4523745148281)); #495129=CARTESIAN_POINT('Ctrl Pts',(10.6836272142877,-33.6279631707096, -71.9999999999963)); #495130=CARTESIAN_POINT('Ctrl Pts',(16.0117729017849,-31.2546307192411, -71.9999999999963)); #495131=CARTESIAN_POINT('Ctrl Pts',(16.0117840281746,-31.2546512541889, -72.3574787046102)); #495132=CARTESIAN_POINT('Ctrl Pts',(15.8837396638399,-31.3209568856176, -73.1200076408723)); #495133=CARTESIAN_POINT('Ctrl Pts',(15.4912982987128,-31.5170337852171, -73.7573325114398)); #495134=CARTESIAN_POINT('Ctrl Pts',(14.9915101253519,-31.7582770942582, -74.3093876136768)); #495135=CARTESIAN_POINT('Ctrl Pts',(14.4500864873786,-32.0126956671406, -74.6860583375296)); #495136=CARTESIAN_POINT('Ctrl Pts',(13.6360136783914,-32.36461227568,-74.8523924348761)); #495137=CARTESIAN_POINT('Ctrl Pts',(13.0464216915502,-32.6066971023399, -74.828874833552)); #495138=CARTESIAN_POINT('Ctrl Pts',(12.5306998362223,-32.8072558290411, -74.6779115298093)); #495139=CARTESIAN_POINT('Ctrl Pts',(12.1979722847183,-32.9313408777811, -74.5050386898406)); #495140=CARTESIAN_POINT('Ctrl Pts',(12.0161595752993,-32.9979067992473, -74.3890741124922)); #495141=CARTESIAN_POINT('Ctrl Pts',(11.5873373579609,-33.1529848922274, -74.0765582738442)); #495142=CARTESIAN_POINT('Ctrl Pts',(10.9908786893872,-33.3548121229487, -73.3597830986983)); #495143=CARTESIAN_POINT('Ctrl Pts',(10.7786213358869,-33.4223533548111, -72.4291566278142)); #495144=CARTESIAN_POINT('Ctrl Pts',(10.7783281273857,-33.4223945227279, -71.9999999999963)); #495145=CARTESIAN_POINT('Ctrl Pts',(15.7994502589615,-31.1762353920901, -71.9999999999963)); #495146=CARTESIAN_POINT('Ctrl Pts',(15.7994391325718,-31.1762148571423, -72.3379799213365)); #495147=CARTESIAN_POINT('Ctrl Pts',(15.677364704808,-31.2386584338603,-73.0589144123679)); #495148=CARTESIAN_POINT('Ctrl Pts',(15.3048774443369,-31.4226995583771, -73.6588059926706)); #495149=CARTESIAN_POINT('Ctrl Pts',(14.8327967556366,-31.6486101167981, -74.1773200381549)); #495150=CARTESIAN_POINT('Ctrl Pts',(14.3225046194562,-31.8866021123837, -74.5291165384728)); #495151=CARTESIAN_POINT('Ctrl Pts',(13.5595364918524,-32.2156210937191, -74.6841638766746)); #495152=CARTESIAN_POINT('Ctrl Pts',(13.0072652189258,-32.4425001774363, -74.6622795969948)); #495153=CARTESIAN_POINT('Ctrl Pts',(12.5236737371316,-32.6312763209972, -74.521422742896)); #495154=CARTESIAN_POINT('Ctrl Pts',(12.2116710408454,-32.7483722596385, -74.3598535644383)); #495155=CARTESIAN_POINT('Ctrl Pts',(12.0405721591665,-32.8114867231663, -74.2511841138048)); #495156=CARTESIAN_POINT('Ctrl Pts',(11.6380755068895,-32.9583187438964, -73.9589272437877)); #495157=CARTESIAN_POINT('Ctrl Pts',(11.074962135426,-33.1514609636382,-73.2855736390903)); #495158=CARTESIAN_POINT('Ctrl Pts',(10.8727358319825,-33.216867042663,-72.4053932482869)); #495159=CARTESIAN_POINT('Ctrl Pts',(10.8730290404837,-33.2168258747462, -71.9999999999963)); #495160=CARTESIAN_POINT('Ctrl Pts',(15.5871276161381,-31.097840064939,-71.9999999999963)); #495161=CARTESIAN_POINT('Ctrl Pts',(15.5871276161381,-31.097840064939,-72.3184796490094)); #495162=CARTESIAN_POINT('Ctrl Pts',(15.4709288918852,-31.1563793689459, -72.9977887109387)); #495163=CARTESIAN_POINT('Ctrl Pts',(15.1186023622394,-31.3283628578042, -73.5603624807612)); #495164=CARTESIAN_POINT('Ctrl Pts',(14.673907174256,-31.5390416516131,-74.045134164569)); #495165=CARTESIAN_POINT('Ctrl Pts',(14.1953535758342,-31.7604322978643, -74.3726429887121)); #495166=CARTESIAN_POINT('Ctrl Pts',(13.4833292011347,-32.0666137215868, -74.5163690808621)); #495167=CARTESIAN_POINT('Ctrl Pts',(12.9685537746067,-32.2782151692736, -74.4961082571397)); #495168=CARTESIAN_POINT('Ctrl Pts',(12.5175882171245,-32.4550085757963, -74.3654085295536)); #495169=CARTESIAN_POINT('Ctrl Pts',(12.2258451436209,-32.5653026175577, -74.2150920513426)); #495170=CARTESIAN_POINT('Ctrl Pts',(12.0658925619407,-32.6248184488803, -74.1139200523523)); #495171=CARTESIAN_POINT('Ctrl Pts',(11.6887591347769,-32.7636938546435, -73.8412324365455)); #495172=CARTESIAN_POINT('Ctrl Pts',(11.1588816075978,-32.9481129324424, -73.211141246504)); #495173=CARTESIAN_POINT('Ctrl Pts',(10.9677299535817,-33.0112572267645, -72.382175361273)); #495174=CARTESIAN_POINT('Ctrl Pts',(10.9677299535817,-33.0112572267645, -71.9999999999963)); #495175=CARTESIAN_POINT('',(10.9677299535817,-33.0112572267645,-71.9999999999963)); #495176=CARTESIAN_POINT('',(10.9677299535817,-33.0112572267645,-71.9999999999963)); #495177=CARTESIAN_POINT('Ctrl Pts',(15.5871276161381,-31.097840064939,-71.9999999999963)); #495178=CARTESIAN_POINT('Ctrl Pts',(15.5871276161381,-31.097840064939,-72.3184796490094)); #495179=CARTESIAN_POINT('Ctrl Pts',(15.4709288918852,-31.1563793689459, -72.9977887109387)); #495180=CARTESIAN_POINT('Ctrl Pts',(15.1186023622394,-31.3283628578042, -73.5603624807612)); #495181=CARTESIAN_POINT('Ctrl Pts',(14.673907174256,-31.5390416516131,-74.045134164569)); #495182=CARTESIAN_POINT('Ctrl Pts',(14.1953535758342,-31.7604322978643, -74.3726429887121)); #495183=CARTESIAN_POINT('Ctrl Pts',(13.4833292011347,-32.0666137215868, -74.5163690808621)); #495184=CARTESIAN_POINT('Ctrl Pts',(12.9685537746067,-32.2782151692736, -74.4961082571397)); #495185=CARTESIAN_POINT('Ctrl Pts',(12.5175882171245,-32.4550085757963, -74.3654085295536)); #495186=CARTESIAN_POINT('Ctrl Pts',(12.2258451436209,-32.5653026175577, -74.2150920513426)); #495187=CARTESIAN_POINT('Ctrl Pts',(12.0658925619407,-32.6248184488803, -74.1139200523523)); #495188=CARTESIAN_POINT('Ctrl Pts',(11.6887591347769,-32.7636938546435, -73.8412324365455)); #495189=CARTESIAN_POINT('Ctrl Pts',(11.1588816075978,-32.9481129324424, -73.211141246504)); #495190=CARTESIAN_POINT('Ctrl Pts',(10.9677299535817,-33.0112572267645, -72.382175361273)); #495191=CARTESIAN_POINT('Ctrl Pts',(10.9677299535817,-33.0112572267645, -71.9999999999963)); #495192=CARTESIAN_POINT('Origin',(10.8256785839348,-33.319610198737,-8.49613135387752E-18)); #495193=CARTESIAN_POINT('',(10.9677299535817,-33.0112572267645,-41.9999999999963)); #495194=CARTESIAN_POINT('',(10.9677299535817,-33.0112572267645,-1.6992262707755E-17)); #495195=CARTESIAN_POINT('Ctrl Pts',(10.6836272142877,-33.6279631707096, -41.9999999999963)); #495196=CARTESIAN_POINT('Ctrl Pts',(10.6836272142877,-33.6279631707096, -41.5691670674189)); #495197=CARTESIAN_POINT('Ctrl Pts',(10.8262529773141,-33.583729470805,-40.8734104372917)); #495198=CARTESIAN_POINT('Ctrl Pts',(11.3000914291598,-33.4267641853123, -40.1019162208715)); #495199=CARTESIAN_POINT('Ctrl Pts',(11.873545293007,-33.2297645232478,-39.4890387423029)); #495200=CARTESIAN_POINT('Ctrl Pts',(12.990113794297,-32.818792986549,-38.9105009992539)); #495201=CARTESIAN_POINT('Ctrl Pts',(14.0113092237049,-32.3887888394625, -38.9839537913088)); #495202=CARTESIAN_POINT('Ctrl Pts',(14.6970796501605,-32.0783097897773, -39.2881059063388)); #495203=CARTESIAN_POINT('Ctrl Pts',(14.9211277053548,-31.9743693559883, -39.42690532324)); #495204=CARTESIAN_POINT('Ctrl Pts',(15.0915174834944,-31.8941198394565, -39.5530149310027)); #495205=CARTESIAN_POINT('Ctrl Pts',(15.4913641980386,-31.7039164466773, -39.8884688799284)); #495206=CARTESIAN_POINT('Ctrl Pts',(16.0408643709427,-31.4289776354642, -40.6397814087302)); #495207=CARTESIAN_POINT('Ctrl Pts',(16.2240955446082,-31.3330260463922, -41.5691670674189)); #495208=CARTESIAN_POINT('Ctrl Pts',(16.2240955446082,-31.3330260463922, -41.9999999999963)); #495209=CARTESIAN_POINT('Ctrl Pts',(10.7783281273857,-33.4223945227279, -41.9999999999963)); #495210=CARTESIAN_POINT('Ctrl Pts',(10.7783281273857,-33.4223945227279, -41.5914525161633)); #495211=CARTESIAN_POINT('Ctrl Pts',(10.9141296732318,-33.3795334709052, -40.9317578629803)); #495212=CARTESIAN_POINT('Ctrl Pts',(11.3632916506225,-33.2288495735128, -40.2027642359781)); #495213=CARTESIAN_POINT('Ctrl Pts',(11.9056198219798,-33.0406235261909, -39.6251464735517)); #495214=CARTESIAN_POINT('Ctrl Pts',(12.957410338149,-32.6511057106202,-39.0827128713743)); #495215=CARTESIAN_POINT('Ctrl Pts',(13.9168200949848,-32.247427568091,-39.1514926406637)); #495216=CARTESIAN_POINT('Ctrl Pts',(14.5635125079713,-31.9558941605244, -39.4367581347867)); #495217=CARTESIAN_POINT('Ctrl Pts',(14.7750584099066,-31.8582838777674, -39.5671626264181)); #495218=CARTESIAN_POINT('Ctrl Pts',(14.9361330012359,-31.7828888369059, -39.6857432070538)); #495219=CARTESIAN_POINT('Ctrl Pts',(15.3143834812713,-31.6041544860322, -40.0013809305851)); #495220=CARTESIAN_POINT('Ctrl Pts',(15.8366284996012,-31.3452689481608, -40.7102407580492)); #495221=CARTESIAN_POINT('Ctrl Pts',(16.0117729017849,-31.2546307192411, -41.5914525161633)); #495222=CARTESIAN_POINT('Ctrl Pts',(16.0117729017849,-31.2546307192411, -41.9999999999963)); #495223=CARTESIAN_POINT('Ctrl Pts',(10.8730290404837,-33.2168258747462, -41.9999999999963)); #495224=CARTESIAN_POINT('Ctrl Pts',(10.8730290404837,-33.2168258747462, -41.6137379649077)); #495225=CARTESIAN_POINT('Ctrl Pts',(11.0020063691494,-33.1753374710055, -40.9901052886689)); #495226=CARTESIAN_POINT('Ctrl Pts',(11.4264918720851,-33.0309349617134, -40.3036122510847)); #495227=CARTESIAN_POINT('Ctrl Pts',(11.9376943509526,-32.851482529134,-39.7612542048004)); #495228=CARTESIAN_POINT('Ctrl Pts',(12.924706882001,-32.4834184346914,-39.2549247434948)); #495229=CARTESIAN_POINT('Ctrl Pts',(13.8223309662646,-32.1060662967195, -39.3190314900187)); #495230=CARTESIAN_POINT('Ctrl Pts',(14.4299453657821,-31.8334785312715, -39.5854103632347)); #495231=CARTESIAN_POINT('Ctrl Pts',(14.6289891144584,-31.7421983995465, -39.7074199295962)); #495232=CARTESIAN_POINT('Ctrl Pts',(14.7807485189774,-31.6716578343552, -39.8184714831049)); #495233=CARTESIAN_POINT('Ctrl Pts',(15.1374027645039,-31.5043925253871, -40.1142929812418)); #495234=CARTESIAN_POINT('Ctrl Pts',(15.6323926282597,-31.2615602608574, -40.7807001073682)); #495235=CARTESIAN_POINT('Ctrl Pts',(15.7994502589615,-31.1762353920901, -41.6137379649077)); #495236=CARTESIAN_POINT('Ctrl Pts',(15.7994502589615,-31.1762353920901, -41.9999999999963)); #495237=CARTESIAN_POINT('Ctrl Pts',(10.9677299535817,-33.0112572267645, -41.9999999999963)); #495238=CARTESIAN_POINT('Ctrl Pts',(10.9677299535817,-33.0112572267645, -41.6360234136521)); #495239=CARTESIAN_POINT('Ctrl Pts',(11.0898830650671,-32.9711414711057, -41.0484527143575)); #495240=CARTESIAN_POINT('Ctrl Pts',(11.4896920935477,-32.833020349914,-40.4044602661914)); #495241=CARTESIAN_POINT('Ctrl Pts',(11.9697688799254,-32.6623415320771, -39.8973619360492)); #495242=CARTESIAN_POINT('Ctrl Pts',(12.8920034258531,-32.3157311587626, -39.4271366156153)); #495243=CARTESIAN_POINT('Ctrl Pts',(13.7278418375444,-31.9647050253481, -39.4865703393736)); #495244=CARTESIAN_POINT('Ctrl Pts',(14.2963782235929,-31.7110629020186, -39.7340625916827)); #495245=CARTESIAN_POINT('Ctrl Pts',(14.4829198190101,-31.6261129213256, -39.8476772327742)); #495246=CARTESIAN_POINT('Ctrl Pts',(14.6253640367189,-31.5604268318045, -39.951199759156)); #495247=CARTESIAN_POINT('Ctrl Pts',(14.9604220477366,-31.404630564742,-40.2272050318985)); #495248=CARTESIAN_POINT('Ctrl Pts',(15.4281567569182,-31.177851573554,-40.8511594566871)); #495249=CARTESIAN_POINT('Ctrl Pts',(15.5871276161381,-31.097840064939,-41.6360234136522)); #495250=CARTESIAN_POINT('Ctrl Pts',(15.5871276161381,-31.097840064939,-41.9999999999963)); #495251=CARTESIAN_POINT('Origin',(0.,0.,-71.9999999999963)); #495252=CARTESIAN_POINT('',(-2.30969883127822,-0.956708580912724,-71.9999999999963)); #495253=CARTESIAN_POINT('Origin',(-2.30969883127822,-0.956708580912724, -41.9999999999963)); #495254=CARTESIAN_POINT('Origin',(2.74903286660058,-31.4122519369689,-36.9999999999963)); #495255=CARTESIAN_POINT('',(2.5,-31.6842208093255,-41.9999999999963)); #495256=CARTESIAN_POINT('',(2.5,-31.6842208093255,-41.9999999999963)); #495257=CARTESIAN_POINT('',(2.5,-31.6842208093255,-71.9999999999963)); #495258=CARTESIAN_POINT('',(2.99806573320116,-31.1402830646123,-71.9999999999963)); #495259=CARTESIAN_POINT('',(2.5,-31.6842208093255,-36.9999999999963)); #495260=CARTESIAN_POINT('Ctrl Pts',(2.99806573320146,-31.1402830646122, -41.9999999999963)); #495261=CARTESIAN_POINT('Ctrl Pts',(2.99806573320146,-31.1402830646122, -41.6229411080467)); #495262=CARTESIAN_POINT('Ctrl Pts',(2.92265065521713,-31.1477521191636, -41.2207322699154)); #495263=CARTESIAN_POINT('Ctrl Pts',(2.66184706728322,-31.1709560841209, -40.5924600370047)); #495264=CARTESIAN_POINT('Ctrl Pts',(2.36967695701765,-31.1955823570898, -40.1060278321422)); #495265=CARTESIAN_POINT('Ctrl Pts',(1.7983103010186,-31.2347082085966,-39.5562171237088)); #495266=CARTESIAN_POINT('Ctrl Pts',(1.04548836146981,-31.2701995311934, -39.1458419291159)); #495267=CARTESIAN_POINT('Ctrl Pts',(0.222152805901888,-31.2868024633822, -38.9755165413878)); #495268=CARTESIAN_POINT('Ctrl Pts',(-0.573406631273976,-31.2824397414799, -39.0188632334263)); #495269=CARTESIAN_POINT('Ctrl Pts',(-1.39253535153344,-31.2564145094957, -39.2979614628205)); #495270=CARTESIAN_POINT('Ctrl Pts',(-2.06330010987658,-31.2179117184915, -39.7757648494925)); #495271=CARTESIAN_POINT('Ctrl Pts',(-2.57760565676926,-31.1788969923135, -40.3957724660351)); #495272=CARTESIAN_POINT('Ctrl Pts',(-2.92858776845565,-31.1471705220443, -41.1858727764707)); #495273=CARTESIAN_POINT('Ctrl Pts',(-2.99806573320146,-31.1402830646122, -41.7360589842779)); #495274=CARTESIAN_POINT('Ctrl Pts',(-2.99806573320146,-31.1402830646122, -41.9999999999963)); #495275=CARTESIAN_POINT('Ctrl Pts',(2.8320438221343,-31.3215956461833,-41.9999999999963)); #495276=CARTESIAN_POINT('Ctrl Pts',(2.83192751914566,-31.3216169572515, -41.6456788676496)); #495277=CARTESIAN_POINT('Ctrl Pts',(2.76152465025905,-31.3282747981286, -41.267531133745)); #495278=CARTESIAN_POINT('Ctrl Pts',(2.51685956320358,-31.3490558628019, -40.6758658630957)); #495279=CARTESIAN_POINT('Ctrl Pts',(2.24246512923729,-31.3711403674886, -40.2167423831278)); #495280=CARTESIAN_POINT('Ctrl Pts',(1.70396234090681,-31.4062767218673, -39.6960866856402)); #495281=CARTESIAN_POINT('Ctrl Pts',(0.991751697129353,-31.4382363162569, -39.3058167990188)); #495282=CARTESIAN_POINT('Ctrl Pts',(0.210637921083397,-31.4532162338243, -39.1433763214614)); #495283=CARTESIAN_POINT('Ctrl Pts',(-0.544178378290973,-31.4492643873063, -39.1848192600338)); #495284=CARTESIAN_POINT('Ctrl Pts',(-1.32056913530965,-31.4258141746819, -39.4506538283152)); #495285=CARTESIAN_POINT('Ctrl Pts',(-1.95403316663875,-31.3911852154008, -39.9042299577031)); #495286=CARTESIAN_POINT('Ctrl Pts',(-2.43801021145448,-31.3561636819641, -40.4905466031288)); #495287=CARTESIAN_POINT('Ctrl Pts',(-2.76698940688321,-31.3277668653954, -41.2348409845965)); #495288=CARTESIAN_POINT('Ctrl Pts',(-2.83215529318505,-31.321582024877, -41.7520402052301)); #495289=CARTESIAN_POINT('Ctrl Pts',(-2.83204382213431,-31.3215956461833, -41.9999999999963)); #495290=CARTESIAN_POINT('Ctrl Pts',(2.66602191106715,-31.5029082277544, -41.9999999999963)); #495291=CARTESIAN_POINT('Ctrl Pts',(2.66613821405579,-31.5028869166863, -41.6682481424642)); #495292=CARTESIAN_POINT('Ctrl Pts',(2.60041686831183,-31.5087921370184, -41.3144961323201)); #495293=CARTESIAN_POINT('Ctrl Pts',(2.37215729419844,-31.5271518734524, -40.7590948689647)); #495294=CARTESIAN_POINT('Ctrl Pts',(2.11563524503093,-31.5466670806401, -40.3276875425443)); #495295=CARTESIAN_POINT('Ctrl Pts',(1.60995871493713,-31.577867986977,-39.8356247733571)); #495296=CARTESIAN_POINT('Ctrl Pts',(0.938313808500822,-31.6063011177647, -39.465562494066)); #495297=CARTESIAN_POINT('Ctrl Pts',(0.199819124283089,-31.6196471644295, -39.310962098713)); #495298=CARTESIAN_POINT('Ctrl Pts',(-0.514982568657494,-31.6161505136306, -39.3503169731888)); #495299=CARTESIAN_POINT('Ctrl Pts',(-1.24837799110308,-31.5952451877456, -39.6030410918412)); #495300=CARTESIAN_POINT('Ctrl Pts',(-1.84492045022861,-31.5644498663377, -40.0326426173268)); #495301=CARTESIAN_POINT('Ctrl Pts',(-2.29849331941184,-31.5334306335288, -40.5852960312946)); #495302=CARTESIAN_POINT('Ctrl Pts',(-2.60567879779307,-31.5083199138368, -41.2839199687768)); #495303=CARTESIAN_POINT('Ctrl Pts',(-2.66591044001642,-31.5029218490608, -41.7677090814873)); #495304=CARTESIAN_POINT('Ctrl Pts',(-2.66602191106716,-31.5029082277544, -41.9999999999963)); #495305=CARTESIAN_POINT('Ctrl Pts',(2.5,-31.6842208093255,-41.9999999999963)); #495306=CARTESIAN_POINT('Ctrl Pts',(2.5,-31.6842208093255,-41.6909859020671)); #495307=CARTESIAN_POINT('Ctrl Pts',(2.43929086335375,-31.6893148159833, -41.3612949961497)); #495308=CARTESIAN_POINT('Ctrl Pts',(2.22716979011879,-31.7052516521334, -40.8425006950557)); #495309=CARTESIAN_POINT('Ctrl Pts',(1.98842341725057,-31.7222250910389, -40.43840209353)); #495310=CARTESIAN_POINT('Ctrl Pts',(1.51561075482534,-31.7494365002477, -39.9754943352885)); #495311=CARTESIAN_POINT('Ctrl Pts',(0.884577144160366,-31.7743379028282, -39.6255373639689)); #495312=CARTESIAN_POINT('Ctrl Pts',(0.188304239464598,-31.7860609348716, -39.4788218787867)); #495313=CARTESIAN_POINT('Ctrl Pts',(-0.485754315674491,-31.782975159457, -39.5162729997963)); #495314=CARTESIAN_POINT('Ctrl Pts',(-1.17641177487929,-31.7646448529318, -39.7557334573359)); #495315=CARTESIAN_POINT('Ctrl Pts',(-1.73565350699079,-31.7377233632469, -40.1611077255374)); #495316=CARTESIAN_POINT('Ctrl Pts',(-2.15889787409706,-31.7106973231795, -40.6800701683882)); #495317=CARTESIAN_POINT('Ctrl Pts',(-2.44408043622064,-31.6889162571879, -41.3328881769025)); #495318=CARTESIAN_POINT('Ctrl Pts',(-2.5,-31.6842208093255,-41.7836903024394)); #495319=CARTESIAN_POINT('Ctrl Pts',(-2.5,-31.6842208093255,-41.9999999999963)); #495320=CARTESIAN_POINT('',(-2.5,-31.6842208093255,-41.9999999999963)); #495321=CARTESIAN_POINT('',(-2.5,-31.6842208093255,-41.9999999999963)); #495322=CARTESIAN_POINT('Ctrl Pts',(2.5,-31.6842208093255,-41.9999999999963)); #495323=CARTESIAN_POINT('Ctrl Pts',(2.5,-31.6842208093255,-41.6909859020671)); #495324=CARTESIAN_POINT('Ctrl Pts',(2.43929086335375,-31.6893148159833, -41.3612949961497)); #495325=CARTESIAN_POINT('Ctrl Pts',(2.22716979011879,-31.7052516521334, -40.8425006950557)); #495326=CARTESIAN_POINT('Ctrl Pts',(1.98842341725057,-31.7222250910389, -40.43840209353)); #495327=CARTESIAN_POINT('Ctrl Pts',(1.51561075482534,-31.7494365002477, -39.9754943352885)); #495328=CARTESIAN_POINT('Ctrl Pts',(0.884577144160366,-31.7743379028282, -39.6255373639689)); #495329=CARTESIAN_POINT('Ctrl Pts',(0.188304239464598,-31.7860609348716, -39.4788218787867)); #495330=CARTESIAN_POINT('Ctrl Pts',(-0.485754315674491,-31.782975159457, -39.5162729997963)); #495331=CARTESIAN_POINT('Ctrl Pts',(-1.17641177487929,-31.7646448529318, -39.7557334573359)); #495332=CARTESIAN_POINT('Ctrl Pts',(-1.73565350699079,-31.7377233632469, -40.1611077255374)); #495333=CARTESIAN_POINT('Ctrl Pts',(-2.15889787409706,-31.7106973231795, -40.6800701683882)); #495334=CARTESIAN_POINT('Ctrl Pts',(-2.44408043622064,-31.6889162571879, -41.3328881769025)); #495335=CARTESIAN_POINT('Ctrl Pts',(-2.5,-31.6842208093255,-41.7836903024394)); #495336=CARTESIAN_POINT('Ctrl Pts',(-2.5,-31.6842208093255,-41.9999999999963)); #495337=CARTESIAN_POINT('Origin',(-2.74903286660059,-31.4122519369689,-36.9999999999963)); #495338=CARTESIAN_POINT('',(-2.5,-31.6842208093255,-71.9999999999963)); #495339=CARTESIAN_POINT('',(-2.5,-31.6842208093255,-71.9999999999963)); #495340=CARTESIAN_POINT('',(-2.5,-31.6842208093255,-36.9999999999963)); #495341=CARTESIAN_POINT('Ctrl Pts',(-2.99806573320147,-31.1402830646122, -71.9999999999963)); #495342=CARTESIAN_POINT('Ctrl Pts',(-2.99806573320147,-31.1402830646122, -72.301647113556)); #495343=CARTESIAN_POINT('Ctrl Pts',(-2.88211630030153,-31.1520163545224, -73.0980535889786)); #495344=CARTESIAN_POINT('Ctrl Pts',(-2.44163805525231,-31.1906466586535, -73.8035267244078)); #495345=CARTESIAN_POINT('Ctrl Pts',(-1.80229847400781,-31.2342166283658, -74.4425644606306)); #495346=CARTESIAN_POINT('Ctrl Pts',(-1.16826922549472,-31.2690320522606, -74.8414946520618)); #495347=CARTESIAN_POINT('Ctrl Pts',(-0.226168178399011,-31.2862502104277, -75.0195615837175)); #495348=CARTESIAN_POINT('Ctrl Pts',(0.452677940293836,-31.2837271586723, -74.9941920064579)); #495349=CARTESIAN_POINT('Ctrl Pts',(1.039165140868,-31.2686359342912,-74.8337760832349)); #495350=CARTESIAN_POINT('Ctrl Pts',(1.47109641533521,-31.2502164513851, -74.6221610915503)); #495351=CARTESIAN_POINT('Ctrl Pts',(2.06453590539366,-31.2194200752269, -74.2390101981086)); #495352=CARTESIAN_POINT('Ctrl Pts',(2.76366062205647,-31.1639934207578, -73.434646002734)); #495353=CARTESIAN_POINT('Ctrl Pts',(2.99806573320145,-31.1402830646122, -72.4524702530429)); #495354=CARTESIAN_POINT('Ctrl Pts',(2.99806573320146,-31.1402830646122, -71.9999999999963)); #495355=CARTESIAN_POINT('Ctrl Pts',(-2.83204382213431,-31.3215956461833, -71.9999999999963)); #495356=CARTESIAN_POINT('Ctrl Pts',(-2.83203549034854,-31.3216060183274, -72.2835786173947)); #495357=CARTESIAN_POINT('Ctrl Pts',(-2.72371162959156,-31.3320804814378, -73.0319105054008)); #495358=CARTESIAN_POINT('Ctrl Pts',(-2.31038936500647,-31.3667142682687, -73.697784050294)); #495359=CARTESIAN_POINT('Ctrl Pts',(-1.70782126359754,-31.4058483579808, -74.3028323195376)); #495360=CARTESIAN_POINT('Ctrl Pts',(-1.10848255799049,-31.4372168893433, -74.6822371092935)); #495361=CARTESIAN_POINT('Ctrl Pts',(-0.214690913513984,-31.4527455845373, -74.8520944545949)); #495362=CARTESIAN_POINT('Ctrl Pts',(0.429363578236621,-31.4504761893747, -74.8278860774522)); #495363=CARTESIAN_POINT('Ctrl Pts',(0.985480910922552,-31.4368615904815, -74.6749875679294)); #495364=CARTESIAN_POINT('Ctrl Pts',(1.39416628454743,-31.4202909801928, -74.4738265294769)); #495365=CARTESIAN_POINT('Ctrl Pts',(1.95532735910022,-31.3925568110089, -74.1098325910012)); #495366=CARTESIAN_POINT('Ctrl Pts',(2.61325348369832,-31.34274744907,-73.3484728442464)); #495367=CARTESIAN_POINT('Ctrl Pts',(2.83143882462627,-31.3216992478607, -72.4253842111196)); #495368=CARTESIAN_POINT('Ctrl Pts',(2.8320438221343,-31.3215956461833,-71.9999999999963)); #495369=CARTESIAN_POINT('Ctrl Pts',(-2.66602191106716,-31.5029082277544, -71.9999999999963)); #495370=CARTESIAN_POINT('Ctrl Pts',(-2.66603024285293,-31.5028978556104, -72.2652797745011)); #495371=CARTESIAN_POINT('Ctrl Pts',(-2.56527517902904,-31.5121422135429, -72.9657937500324)); #495372=CARTESIAN_POINT('Ctrl Pts',(-2.17906360044532,-31.5427324915066, -73.5917940128847)); #495373=CARTESIAN_POINT('Ctrl Pts',(-1.61318278567598,-31.5774584310487, -74.1630032490391)); #495374=CARTESIAN_POINT('Ctrl Pts',(-1.04827607451608,-31.6053187459668, -74.5227375492946)); #495375=CARTESIAN_POINT('Ctrl Pts',(-0.202970366195809,-31.6191664079612, -74.6842798174933)); #495376=CARTESIAN_POINT('Ctrl Pts',(0.406833160798507,-31.6171262566938, -74.6611987997926)); #495377=CARTESIAN_POINT('Ctrl Pts',(0.932507662456757,-31.6050102957089, -74.5158992780307)); #495378=CARTESIAN_POINT('Ctrl Pts',(1.31826395668448,-31.5902377746396, -74.3249392127562)); #495379=CARTESIAN_POINT('Ctrl Pts',(1.84664435096957,-31.565611855158,-73.9804672574794)); #495380=CARTESIAN_POINT('Ctrl Pts',(2.46210487848763,-31.5216172263122, -73.2626348743729)); #495381=CARTESIAN_POINT('Ctrl Pts',(2.66662690857519,-31.5028046260771, -72.3979026174477)); #495382=CARTESIAN_POINT('Ctrl Pts',(2.66602191106715,-31.5029082277544, -71.9999999999963)); #495383=CARTESIAN_POINT('Ctrl Pts',(-2.5,-31.6842208093255,-71.9999999999963)); #495384=CARTESIAN_POINT('Ctrl Pts',(-2.5,-31.6842208093255,-72.2472112783398)); #495385=CARTESIAN_POINT('Ctrl Pts',(-2.40687050831908,-31.6922063404582, -72.8996506664546)); #495386=CARTESIAN_POINT('Ctrl Pts',(-2.04781491019948,-31.7188001011219, -73.4860513387709)); #495387=CARTESIAN_POINT('Ctrl Pts',(-1.51870557526571,-31.7490901606637, -74.0232711079461)); #495388=CARTESIAN_POINT('Ctrl Pts',(-0.98848940701184,-31.7735035830495, -74.3634800065263)); #495389=CARTESIAN_POINT('Ctrl Pts',(-0.191493101310782,-31.7856617820708, -74.5168126883706)); #495390=CARTESIAN_POINT('Ctrl Pts',(0.383518798741293,-31.7838752873961, -74.4948928707868)); #495391=CARTESIAN_POINT('Ctrl Pts',(0.878823432511312,-31.7732359518992, -74.3571107627252)); #495392=CARTESIAN_POINT('Ctrl Pts',(1.24133382589669,-31.7603123034473, -74.1766046506827)); #495393=CARTESIAN_POINT('Ctrl Pts',(1.73743580467613,-31.73874859094,-73.851289650372)); #495394=CARTESIAN_POINT('Ctrl Pts',(2.31169774012948,-31.7003712546244, -73.1764617158853)); #495395=CARTESIAN_POINT('Ctrl Pts',(2.5,-31.6842208093255,-72.3708165755243)); #495396=CARTESIAN_POINT('Ctrl Pts',(2.5,-31.6842208093255,-71.9999999999963)); #495397=CARTESIAN_POINT('Ctrl Pts',(-2.5,-31.6842208093255,-71.9999999999963)); #495398=CARTESIAN_POINT('Ctrl Pts',(-2.5,-31.6842208093255,-72.2472112783398)); #495399=CARTESIAN_POINT('Ctrl Pts',(-2.40687050831908,-31.6922063404582, -72.8996506664546)); #495400=CARTESIAN_POINT('Ctrl Pts',(-2.04781491019948,-31.7188001011219, -73.4860513387709)); #495401=CARTESIAN_POINT('Ctrl Pts',(-1.51870557526571,-31.7490901606637, -74.0232711079461)); #495402=CARTESIAN_POINT('Ctrl Pts',(-0.98848940701184,-31.7735035830495, -74.3634800065263)); #495403=CARTESIAN_POINT('Ctrl Pts',(-0.191493101310782,-31.7856617820708, -74.5168126883706)); #495404=CARTESIAN_POINT('Ctrl Pts',(0.383518798741293,-31.7838752873961, -74.4948928707868)); #495405=CARTESIAN_POINT('Ctrl Pts',(0.878823432511312,-31.7732359518992, -74.3571107627252)); #495406=CARTESIAN_POINT('Ctrl Pts',(1.24133382589669,-31.7603123034473, -74.1766046506827)); #495407=CARTESIAN_POINT('Ctrl Pts',(1.73743580467613,-31.73874859094,-73.851289650372)); #495408=CARTESIAN_POINT('Ctrl Pts',(2.31169774012948,-31.7003712546244, -73.1764617158853)); #495409=CARTESIAN_POINT('Ctrl Pts',(2.5,-31.6842208093255,-72.3708165755243)); #495410=CARTESIAN_POINT('Ctrl Pts',(2.5,-31.6842208093255,-71.9999999999963)); #495411=CARTESIAN_POINT('Origin',(0.,0.,-41.9999999999963)); #495412=CARTESIAN_POINT('',(2.5,-34.6955934381631,-41.9999999999963)); #495413=CARTESIAN_POINT('',(2.5,-3.06161699786838E-16,-41.9999999999963)); #495414=CARTESIAN_POINT('',(-2.5,-34.6955934381631,-41.9999999999963)); #495415=CARTESIAN_POINT('Ctrl Pts',(-2.5,-34.6955934381631,-41.9999999999963)); #495416=CARTESIAN_POINT('Ctrl Pts',(-2.5,-34.6955934381631,-41.6815203509833)); #495417=CARTESIAN_POINT('Ctrl Pts',(-2.37021417276786,-34.7052029075409, -41.0022434295061)); #495418=CARTESIAN_POINT('Ctrl Pts',(-1.97886874793944,-34.7292643938556, -40.4396859929983)); #495419=CARTESIAN_POINT('Ctrl Pts',(-1.48736433803871,-34.7537247146474, -39.9549452826181)); #495420=CARTESIAN_POINT('Ctrl Pts',(-0.960380588262182,-34.7751185073986, -39.6275477251911)); #495421=CARTESIAN_POINT('Ctrl Pts',(-0.185377220627375,-34.7855125245311, -39.4838300440718)); #495422=CARTESIAN_POINT('Ctrl Pts',(0.371216479419964,-34.7840104949327, -39.5040955275505)); #495423=CARTESIAN_POINT('Ctrl Pts',(0.855532253144181,-34.7747694868319, -39.6347946211763)); #495424=CARTESIAN_POINT('Ctrl Pts',(1.16726603809894,-34.765023309784,-39.7851044784792)); #495425=CARTESIAN_POINT('Ctrl Pts',(1.33782193599936,-34.7587974199643, -39.8862778322469)); #495426=CARTESIAN_POINT('Ctrl Pts',(1.73934258618067,-34.7427826609542, -40.1589276459299)); #495427=CARTESIAN_POINT('Ctrl Pts',(2.29942451612704,-34.7103905226104, -40.7889803329579)); #495428=CARTESIAN_POINT('Ctrl Pts',(2.5,-34.6955934381631,-41.6178246387197)); #495429=CARTESIAN_POINT('Ctrl Pts',(2.5,-34.6955934381631,-41.9999999999963)); #495430=CARTESIAN_POINT('',(-2.5,3.06161699786838E-16,-41.9999999999963)); #495431=CARTESIAN_POINT('Origin',(2.5,-3.06161699786838E-16,-71.9999999999963)); #495432=CARTESIAN_POINT('',(2.5,-34.6955934381631,-71.9999999999963)); #495433=CARTESIAN_POINT('',(2.5,-3.06161699786838E-16,-71.9999999999963)); #495434=CARTESIAN_POINT('',(2.49999999999999,-34.6955934381631,-1.6992262707755E-17)); #495435=CARTESIAN_POINT('Origin',(2.74923992667641,-34.9261137320489,-8.49613135387748E-18)); #495436=CARTESIAN_POINT('',(2.5,-34.6955934381631,-71.9999999999963)); #495437=CARTESIAN_POINT('',(2.99847985335284,-35.1566340259346,-41.9999999999963)); #495438=CARTESIAN_POINT('Ctrl Pts',(2.99847985335298,-35.1566340259346, -71.9999999999963)); #495439=CARTESIAN_POINT('Ctrl Pts',(2.99847985335298,-35.1566340259346, -72.3769789769375)); #495440=CARTESIAN_POINT('Ctrl Pts',(2.92335578327562,-35.1632544888337, -72.7790509760547)); #495441=CARTESIAN_POINT('Ctrl Pts',(2.66286853330322,-35.1838182475565, -73.4071827203359)); #495442=CARTESIAN_POINT('Ctrl Pts',(2.37063799188117,-35.2056647501411, -73.8938802377274)); #495443=CARTESIAN_POINT('Ctrl Pts',(1.80016088704691,-35.2403187608639, -74.4429937698031)); #495444=CARTESIAN_POINT('Ctrl Pts',(1.04646918138161,-35.2718356842161, -74.8538817734599)); #495445=CARTESIAN_POINT('Ctrl Pts',(0.224712139355435,-35.286557940586, -75.0240613804536)); #495446=CARTESIAN_POINT('Ctrl Pts',(-0.573825811525724,-35.2827781269774, -74.9828437484492)); #495447=CARTESIAN_POINT('Ctrl Pts',(-1.6644431944176,-35.2516536310539, -74.6025954812563)); #495448=CARTESIAN_POINT('Ctrl Pts',(-2.46314635406514,-35.199843464431, -73.8600849660024)); #495449=CARTESIAN_POINT('Ctrl Pts',(-2.92919175799491,-35.1628828640525, -72.8173586962704)); #495450=CARTESIAN_POINT('Ctrl Pts',(-2.99847985335299,-35.1566340259346, -72.2638851551065)); #495451=CARTESIAN_POINT('Ctrl Pts',(-2.99847985335299,-35.1566340259346, -71.9999999999963)); #495452=CARTESIAN_POINT('Ctrl Pts',(2.83231990223532,-35.0029538300108, -71.9999999999963)); #495453=CARTESIAN_POINT('Ctrl Pts',(2.83224701350575,-35.002971491332,-72.3574690573086)); #495454=CARTESIAN_POINT('Ctrl Pts',(2.76073760855291,-35.0089235987888, -72.73874993441)); #495455=CARTESIAN_POINT('Ctrl Pts',(2.51292362940006,-35.027447346734,-73.3333042459556)); #495456=CARTESIAN_POINT('Ctrl Pts',(2.23537526518512,-35.0471118195586, -73.7934236484541)); #495457=CARTESIAN_POINT('Ctrl Pts',(1.69533134828063,-35.0782286251985, -74.3106273054542)); #495458=CARTESIAN_POINT('Ctrl Pts',(0.984370094966375,-35.1064675062812, -74.6963324810862)); #495459=CARTESIAN_POINT('Ctrl Pts',(0.211219692120301,-35.1196535472036, -74.8557930566564)); #495460=CARTESIAN_POINT('Ctrl Pts',(-0.539687387629336,-35.1162547755543, -74.8170690879072)); #495461=CARTESIAN_POINT('Ctrl Pts',(-1.56654782059092,-35.0884054654276, -74.4607841212361)); #495462=CARTESIAN_POINT('Ctrl Pts',(-2.32298037094239,-35.0418936368693, -73.7622387850387)); #495463=CARTESIAN_POINT('Ctrl Pts',(-2.76624054749851,-35.0085870667452, -72.7750063250278)); #495464=CARTESIAN_POINT('Ctrl Pts',(-2.83240594146366,-35.0029600188493, -72.2503211436991)); #495465=CARTESIAN_POINT('Ctrl Pts',(-2.83231990223533,-35.0029538300108, -71.9999999999963)); #495466=CARTESIAN_POINT('Ctrl Pts',(2.66615995111766,-34.8492736340869, -71.9999999999963)); #495467=CARTESIAN_POINT('Ctrl Pts',(2.66623283984723,-34.8492559727656, -72.3379895686382)); #495468=CARTESIAN_POINT('Ctrl Pts',(2.59800549224832,-34.8545950900272, -72.6984176478078)); #495469=CARTESIAN_POINT('Ctrl Pts',(2.3631951027341,-34.8710667206353,-73.2595280119508)); #495470=CARTESIAN_POINT('Ctrl Pts',(2.1002782228386,-34.888538811058,-73.6928713762972)); #495471=CARTESIAN_POINT('Ctrl Pts',(1.59062533034137,-34.9161479624034, -74.1785340910578)); #495472=CARTESIAN_POINT('Ctrl Pts',(0.92244500515162,-34.9411303167064, -74.5392625716817)); #495473=CARTESIAN_POINT('Ctrl Pts',(0.197926174076321,-34.952741709554, -74.6876586933037)); #495474=CARTESIAN_POINT('Ctrl Pts',(-0.505245896775481,-34.9497754594249, -74.6519246484252)); #495475=CARTESIAN_POINT('Ctrl Pts',(-1.46867235295224,-34.9251695846085, -74.3192850114183)); #495476=CARTESIAN_POINT('Ctrl Pts',(-2.18268849375825,-34.8839161830047, -73.6639753684194)); #495477=CARTESIAN_POINT('Ctrl Pts',(-2.60340329165412,-34.8542887458122, -72.7328277555689)); #495478=CARTESIAN_POINT('Ctrl Pts',(-2.66607391188934,-34.8492674452483, -72.2364996569434)); #495479=CARTESIAN_POINT('Ctrl Pts',(-2.66615995111767,-34.8492736340869, -71.9999999999963)); #495480=CARTESIAN_POINT('Ctrl Pts',(2.5,-34.6955934381631,-71.9999999999963)); #495481=CARTESIAN_POINT('Ctrl Pts',(2.5,-34.6955934381631,-72.3184796490094)); #495482=CARTESIAN_POINT('Ctrl Pts',(2.43538731752562,-34.7002641999823, -72.6581166061631)); #495483=CARTESIAN_POINT('Ctrl Pts',(2.21325019883094,-34.7146958198128, -73.1856495375704)); #495484=CARTESIAN_POINT('Ctrl Pts',(1.96501549614255,-34.7299858804755, -73.5924147870239)); #495485=CARTESIAN_POINT('Ctrl Pts',(1.4857957915751,-34.7540578267379,-74.046167626709)); #495486=CARTESIAN_POINT('Ctrl Pts',(0.860345918736383,-34.7757621387715, -74.381713279308)); #495487=CARTESIAN_POINT('Ctrl Pts',(0.184433726841186,-34.7858373161717, -74.5193903695065)); #495488=CARTESIAN_POINT('Ctrl Pts',(-0.471107472879093,-34.7832521080018, -74.4861499878832)); #495489=CARTESIAN_POINT('Ctrl Pts',(-1.37077697912556,-34.7619214189821, -74.1774736513981)); #495490=CARTESIAN_POINT('Ctrl Pts',(-2.04252251063551,-34.725966355443, -73.5661291874558)); #495491=CARTESIAN_POINT('Ctrl Pts',(-2.44045208115771,-34.6999929485049, -72.6904753843263)); #495492=CARTESIAN_POINT('Ctrl Pts',(-2.5,-34.6955934381631,-72.222935645536)); #495493=CARTESIAN_POINT('Ctrl Pts',(-2.5,-34.6955934381631,-71.9999999999963)); #495494=CARTESIAN_POINT('',(-2.5,-34.6955934381631,-71.9999999999963)); #495495=CARTESIAN_POINT('',(-2.5,-34.6955934381631,-71.9999999999963)); #495496=CARTESIAN_POINT('Ctrl Pts',(2.5,-34.6955934381631,-71.9999999999963)); #495497=CARTESIAN_POINT('Ctrl Pts',(2.5,-34.6955934381631,-72.3184796490094)); #495498=CARTESIAN_POINT('Ctrl Pts',(2.43538731752562,-34.7002641999823, -72.6581166061631)); #495499=CARTESIAN_POINT('Ctrl Pts',(2.21325019883094,-34.7146958198128, -73.1856495375704)); #495500=CARTESIAN_POINT('Ctrl Pts',(1.96501549614255,-34.7299858804755, -73.5924147870239)); #495501=CARTESIAN_POINT('Ctrl Pts',(1.4857957915751,-34.7540578267379,-74.046167626709)); #495502=CARTESIAN_POINT('Ctrl Pts',(0.860345918736383,-34.7757621387715, -74.381713279308)); #495503=CARTESIAN_POINT('Ctrl Pts',(0.184433726841186,-34.7858373161717, -74.5193903695065)); #495504=CARTESIAN_POINT('Ctrl Pts',(-0.471107472879093,-34.7832521080018, -74.4861499878832)); #495505=CARTESIAN_POINT('Ctrl Pts',(-1.37077697912556,-34.7619214189821, -74.1774736513981)); #495506=CARTESIAN_POINT('Ctrl Pts',(-2.04252251063551,-34.725966355443, -73.5661291874558)); #495507=CARTESIAN_POINT('Ctrl Pts',(-2.44045208115771,-34.6999929485049, -72.6904753843263)); #495508=CARTESIAN_POINT('Ctrl Pts',(-2.5,-34.6955934381631,-72.222935645536)); #495509=CARTESIAN_POINT('Ctrl Pts',(-2.5,-34.6955934381631,-71.9999999999963)); #495510=CARTESIAN_POINT('Origin',(-2.74923992667642,-34.9261137320489,-8.49613135387749E-18)); #495511=CARTESIAN_POINT('',(-2.5,-34.6955934381631,-41.9999999999963)); #495512=CARTESIAN_POINT('',(-2.5,-34.6955934381631,-1.6992262707755E-17)); #495513=CARTESIAN_POINT('Ctrl Pts',(-2.99847985335299,-35.1566340259346, -41.9999999999963)); #495514=CARTESIAN_POINT('Ctrl Pts',(-2.99847985335299,-35.1566340259346, -41.6230210230552)); #495515=CARTESIAN_POINT('Ctrl Pts',(-2.84784849597214,-35.1702415436452, -40.8189051297939)); #495516=CARTESIAN_POINT('Ctrl Pts',(-2.38697763606977,-35.2046353668865, -40.1442820147055)); #495517=CARTESIAN_POINT('Ctrl Pts',(-1.8017410739773,-35.2398411863087, -39.5585217332267)); #495518=CARTESIAN_POINT('Ctrl Pts',(-1.16833283598924,-35.2708773728055, -39.1576963872367)); #495519=CARTESIAN_POINT('Ctrl Pts',(-0.225739944213127,-35.2860764527274, -38.9800510792418)); #495520=CARTESIAN_POINT('Ctrl Pts',(0.452133669497831,-35.2838719006109, -39.0051976387664)); #495521=CARTESIAN_POINT('Ctrl Pts',(1.04010294635549,-35.2703913294483, -39.1663172244997)); #495522=CARTESIAN_POINT('Ctrl Pts',(1.41629767962994,-35.2562336697441, -39.3504348704489)); #495523=CARTESIAN_POINT('Ctrl Pts',(1.621423206754,-35.2472148465392,-39.4738985205467)); #495524=CARTESIAN_POINT('Ctrl Pts',(2.10336670575776,-35.2240353496866, -39.8059384216226)); #495525=CARTESIAN_POINT('Ctrl Pts',(2.76566038187735,-35.1775879542263, -40.5659303507731)); #495526=CARTESIAN_POINT('Ctrl Pts',(2.99847985335298,-35.1566340259346, -41.5476254851644)); #495527=CARTESIAN_POINT('Ctrl Pts',(2.99847985335298,-35.1566340259346, -41.9999999999963)); #495528=CARTESIAN_POINT('Ctrl Pts',(-2.83231990223533,-35.0029538300108, -41.9999999999963)); #495529=CARTESIAN_POINT('Ctrl Pts',(-2.83232232329476,-35.0029770597137, -41.6425212953823)); #495530=CARTESIAN_POINT('Ctrl Pts',(-2.68861350860868,-35.0152260341642, -40.8800308312231)); #495531=CARTESIAN_POINT('Ctrl Pts',(-2.25098299267621,-35.0461952322814, -40.2427255266149)); #495532=CARTESIAN_POINT('Ctrl Pts',(-1.6968762781465,-35.0778087135459, -39.6907076068125)); #495533=CARTESIAN_POINT('Ctrl Pts',(-1.09914368693057,-35.1056530657483, -39.3141699369974)); #495534=CARTESIAN_POINT('Ctrl Pts',(-0.212356240706144,-35.1192483238379, -39.1478458750543)); #495535=CARTESIAN_POINT('Ctrl Pts',(0.425029229768379,-35.1172781156871, -39.1713689786215)); #495536=CARTESIAN_POINT('Ctrl Pts',(0.978270487985991,-35.1052123397312, -39.3223314378421)); #495537=CARTESIAN_POINT('Ctrl Pts',(1.33314479719068,-35.0925235687022, -39.4951963835446)); #495538=CARTESIAN_POINT('Ctrl Pts',(1.52659534895853,-35.0844455471997, -39.6111625819992)); #495539=CARTESIAN_POINT('Ctrl Pts',(1.98206141867617,-35.0636211826732, -39.9236332288648)); #495540=CARTESIAN_POINT('Ctrl Pts',(2.61031097661605,-35.0218334618811, -40.6403627433594)); #495541=CARTESIAN_POINT('Ctrl Pts',(2.83203325862256,-35.0030280018507, -41.5708433721784)); #495542=CARTESIAN_POINT('Ctrl Pts',(2.83231990223532,-35.0029538300108, -41.9999999999963)); #495543=CARTESIAN_POINT('Ctrl Pts',(-2.66615995111767,-34.8492736340869, -41.9999999999963)); #495544=CARTESIAN_POINT('Ctrl Pts',(-2.66615753005823,-34.849250404384, -41.6620200786562)); #495545=CARTESIAN_POINT('Ctrl Pts',(-2.52944916013132,-34.8602184170219, -40.9411177280769)); #495546=CARTESIAN_POINT('Ctrl Pts',(-2.114863391333,-34.8877045284607,-40.3412424810889)); #495547=CARTESIAN_POINT('Ctrl Pts',(-1.59222913386951,-34.9157571874102, -39.8227594090322)); #495548=CARTESIAN_POINT('Ctrl Pts',(-1.02956973732085,-34.9403428144558, -39.4710741754304)); #495549=CARTESIAN_POINT('Ctrl Pts',(-0.198760924134358,-34.9523406534205, -39.3160352482593)); #495550=CARTESIAN_POINT('Ctrl Pts',(0.398320919149415,-34.9506042798566, -39.3379241876954)); #495551=CARTESIAN_POINT('Ctrl Pts',(0.917364711513676,-34.939948476549, -39.4787804078339)); #495552=CARTESIAN_POINT('Ctrl Pts',(1.2504189205382,-34.9287334108259,-39.6403429653835)); #495553=CARTESIAN_POINT('Ctrl Pts',(1.43264979379483,-34.9215667193037, -39.7490137707944)); #495554=CARTESIAN_POINT('Ctrl Pts',(1.86064787326225,-34.9031968279676, -40.0412328386877)); #495555=CARTESIAN_POINT('Ctrl Pts',(2.45477392138835,-34.8661450149556, -40.7145479403716)); #495556=CARTESIAN_POINT('Ctrl Pts',(2.66644659473041,-34.849199462247,-41.5946067517057)); #495557=CARTESIAN_POINT('Ctrl Pts',(2.66615995111766,-34.8492736340869, -41.9999999999963)); #495558=CARTESIAN_POINT('Ctrl Pts',(-2.5,-34.6955934381631,-41.9999999999963)); #495559=CARTESIAN_POINT('Ctrl Pts',(-2.5,-34.6955934381631,-41.6815203509833)); #495560=CARTESIAN_POINT('Ctrl Pts',(-2.37021417276786,-34.7052029075409, -41.0022434295061)); #495561=CARTESIAN_POINT('Ctrl Pts',(-1.97886874793944,-34.7292643938556, -40.4396859929983)); #495562=CARTESIAN_POINT('Ctrl Pts',(-1.48736433803871,-34.7537247146474, -39.9549452826181)); #495563=CARTESIAN_POINT('Ctrl Pts',(-0.960380588262182,-34.7751185073986, -39.6275477251911)); #495564=CARTESIAN_POINT('Ctrl Pts',(-0.185377220627375,-34.7855125245311, -39.4838300440718)); #495565=CARTESIAN_POINT('Ctrl Pts',(0.371216479419964,-34.7840104949327, -39.5040955275505)); #495566=CARTESIAN_POINT('Ctrl Pts',(0.855532253144181,-34.7747694868319, -39.6347946211763)); #495567=CARTESIAN_POINT('Ctrl Pts',(1.16726603809894,-34.765023309784,-39.7851044784792)); #495568=CARTESIAN_POINT('Ctrl Pts',(1.33782193599936,-34.7587974199643, -39.8862778322469)); #495569=CARTESIAN_POINT('Ctrl Pts',(1.73934258618067,-34.7427826609542, -40.1589276459299)); #495570=CARTESIAN_POINT('Ctrl Pts',(2.29942451612704,-34.7103905226104, -40.7889803329579)); #495571=CARTESIAN_POINT('Ctrl Pts',(2.5,-34.6955934381631,-41.6178246387197)); #495572=CARTESIAN_POINT('Ctrl Pts',(2.5,-34.6955934381631,-41.9999999999963)); #495573=CARTESIAN_POINT('Origin',(0.,0.,-71.9999999999963)); #495574=CARTESIAN_POINT('',(-2.5,3.06161699786838E-16,-71.9999999999963)); #495575=CARTESIAN_POINT('Origin',(-2.5,3.06161699786838E-16,-41.9999999999963)); #495576=CARTESIAN_POINT('Origin',(-9.48117318990309,-30.0731459677287,-36.9999999999963)); #495577=CARTESIAN_POINT('',(-9.81532753984789,-30.2291116902168,-41.9999999999963)); #495578=CARTESIAN_POINT('',(-9.81532753984789,-30.2291116902168,-41.9999999999963)); #495579=CARTESIAN_POINT('',(-9.81532753984789,-30.2291116902168,-71.9999999999963)); #495580=CARTESIAN_POINT('',(-9.14701883995829,-29.9171802452407,-71.9999999999963)); #495581=CARTESIAN_POINT('',(-9.81532753984789,-30.2291116902168,-36.9999999999963)); #495582=CARTESIAN_POINT('Ctrl Pts',(-9.14701883995801,-29.9171802452408, -41.9999999999963)); #495583=CARTESIAN_POINT('Ctrl Pts',(-9.14701883995801,-29.9171802452408, -41.6229411080466)); #495584=CARTESIAN_POINT('Ctrl Pts',(-9.21893185227745,-29.8954860529514, -41.2208364107197)); #495585=CARTESIAN_POINT('Ctrl Pts',(-9.51427787891562,-29.8028650899861, -40.4801217080465)); #495586=CARTESIAN_POINT('Ctrl Pts',(-9.83267663915978,-29.7013359550114, -39.9869093965966)); #495587=CARTESIAN_POINT('Ctrl Pts',(-10.3936203574158,-29.5086761601905, -39.4991713473251)); #495588=CARTESIAN_POINT('Ctrl Pts',(-10.8951480100714,-29.3277713043785, -39.2087940035945)); #495589=CARTESIAN_POINT('Ctrl Pts',(-11.6189736515867,-29.0510882715529, -38.9819979906857)); #495590=CARTESIAN_POINT('Ctrl Pts',(-12.3249436035972,-28.7587407405967, -38.9812141872541)); #495591=CARTESIAN_POINT('Ctrl Pts',(-13.2100152480992,-28.3630723573878, -39.2673253265008)); #495592=CARTESIAN_POINT('Ctrl Pts',(-13.663011530324,-28.1442994916658, -39.6132422836674)); #495593=CARTESIAN_POINT('Ctrl Pts',(-14.0930223381363,-27.9311203744388, -40.0921298625103)); #495594=CARTESIAN_POINT('Ctrl Pts',(-14.5210155639918,-27.7119620843964, -40.7364445803899)); #495595=CARTESIAN_POINT('Ctrl Pts',(-14.6867219760146,-27.6225600747654, -41.547529329657)); #495596=CARTESIAN_POINT('Ctrl Pts',(-14.6867219760146,-27.6225600747654, -41.9999999999963)); #495597=CARTESIAN_POINT('Ctrl Pts',(-9.36978840658797,-30.0211573935661, -41.9999999999963)); #495598=CARTESIAN_POINT('Ctrl Pts',(-9.36979323926704,-30.0211656900132, -41.6457693512393)); #495599=CARTESIAN_POINT('Ctrl Pts',(-9.43705000234807,-30.0005550222559, -41.2674938660926)); #495600=CARTESIAN_POINT('Ctrl Pts',(-9.71328996317709,-29.9127077043211, -40.5702281540254)); #495601=CARTESIAN_POINT('Ctrl Pts',(-10.0121422806517,-29.8161788043383, -40.1041094585588)); #495602=CARTESIAN_POINT('Ctrl Pts',(-10.5406644267941,-29.6329199344804, -39.6417448788352)); #495603=CARTESIAN_POINT('Ctrl Pts',(-11.0147767319854,-29.4607057414712, -39.3656711381423)); #495604=CARTESIAN_POINT('Ctrl Pts',(-11.7005286568439,-29.1975141097386, -39.1495726281394)); #495605=CARTESIAN_POINT('Ctrl Pts',(-12.370795690004,-28.9199523387364, -39.1488337373553)); #495606=CARTESIAN_POINT('Ctrl Pts',(-13.2102663194184,-28.5460290881671, -39.4214664581401)); #495607=CARTESIAN_POINT('Ctrl Pts',(-13.6387518680945,-28.3405380853314, -39.7501375347537)); #495608=CARTESIAN_POINT('Ctrl Pts',(-14.0445702973272,-28.1409451536799, -40.2034576333843)); #495609=CARTESIAN_POINT('Ctrl Pts',(-14.4475884992775,-27.9364275446102, -40.8123444176721)); #495610=CARTESIAN_POINT('Ctrl Pts',(-14.6024874110069,-27.8537704543886, -41.5748432582862)); #495611=CARTESIAN_POINT('Ctrl Pts',(-14.6027230514778,-27.8536048926407, -41.9999999999963)); #495612=CARTESIAN_POINT('Ctrl Pts',(-9.59255797321793,-30.1251345418914, -41.9999999999963)); #495613=CARTESIAN_POINT('Ctrl Pts',(-9.59255314053885,-30.1251262454444, -41.6681576588744)); #495614=CARTESIAN_POINT('Ctrl Pts',(-9.65473415732871,-30.1057349114643, -41.3147179532406)); #495615=CARTESIAN_POINT('Ctrl Pts',(-9.91275574458828,-30.0224095328801, -40.6595827347977)); #495616=CARTESIAN_POINT('Ctrl Pts',(-10.1913672750228,-29.931051830901, -40.2215195872622)); #495617=CARTESIAN_POINT('Ctrl Pts',(-10.688109536047,-29.7570021791478, -39.7840751927529)); #495618=CARTESIAN_POINT('Ctrl Pts',(-11.1345651724118,-29.5935546921616, -39.5223982831603)); #495619=CARTESIAN_POINT('Ctrl Pts',(-11.7823627208694,-29.3438125847863, -39.3169274975192)); #495620=CARTESIAN_POINT('Ctrl Pts',(-12.4167472275759,-29.0810970546199, -39.3162167041209)); #495621=CARTESIAN_POINT('Ctrl Pts',(-13.2107185615874,-28.7288861714319, -39.5753468860696)); #495622=CARTESIAN_POINT('Ctrl Pts',(-13.6147337986203,-28.5366127169168, -39.8866662515077)); #495623=CARTESIAN_POINT('Ctrl Pts',(-13.9964882025278,-28.3505453774851, -40.3146304308572)); #495624=CARTESIAN_POINT('Ctrl Pts',(-14.3742275126797,-28.1608514003278, -40.8880081575826)); #495625=CARTESIAN_POINT('Ctrl Pts',(-14.518959767412,-28.0844841487681, -41.601869153852)); #495626=CARTESIAN_POINT('Ctrl Pts',(-14.5187241269411,-28.084649710516, -41.9999999999963)); #495627=CARTESIAN_POINT('Ctrl Pts',(-9.81532753984789,-30.2291116902168, -41.9999999999963)); #495628=CARTESIAN_POINT('Ctrl Pts',(-9.81532753984788,-30.2291116902168, -41.6909859020671)); #495629=CARTESIAN_POINT('Ctrl Pts',(-9.87285230739933,-30.2108038807688, -41.3613754086135)); #495630=CARTESIAN_POINT('Ctrl Pts',(-10.1117678288498,-30.1322521472151, -40.7496891807766)); #495631=CARTESIAN_POINT('Ctrl Pts',(-10.3708329165147,-30.0458946802279, -40.3387196492244)); #495632=CARTESIAN_POINT('Ctrl Pts',(-10.8351536054253,-29.8812459534377, -39.9266487242629)); #495633=CARTESIAN_POINT('Ctrl Pts',(-11.2541938943258,-29.7264891292542, -39.6792754177081)); #495634=CARTESIAN_POINT('Ctrl Pts',(-11.8639177261266,-29.4902384229721, -39.4845021349729)); #495635=CARTESIAN_POINT('Ctrl Pts',(-12.4625993139827,-29.2423086527596, -39.4838362542221)); #495636=CARTESIAN_POINT('Ctrl Pts',(-13.2109696329065,-28.9118429022112, -39.7294880177089)); #495637=CARTESIAN_POINT('Ctrl Pts',(-13.5904741363908,-28.7328513105824, -40.023561502594)); #495638=CARTESIAN_POINT('Ctrl Pts',(-13.9480361617187,-28.5603701567261, -40.4259582017312)); #495639=CARTESIAN_POINT('Ctrl Pts',(-14.3008004479653,-28.3853168605416, -40.9639079948648)); #495640=CARTESIAN_POINT('Ctrl Pts',(-14.4347252024043,-28.3156945283913, -41.6291830824812)); #495641=CARTESIAN_POINT('Ctrl Pts',(-14.4347252024043,-28.3156945283913, -41.9999999999963)); #495642=CARTESIAN_POINT('',(-14.4347252024043,-28.3156945283913,-41.9999999999963)); #495643=CARTESIAN_POINT('',(-14.4347252024043,-28.3156945283913,-41.9999999999963)); #495644=CARTESIAN_POINT('Ctrl Pts',(-9.81532753984789,-30.2291116902168, -41.9999999999963)); #495645=CARTESIAN_POINT('Ctrl Pts',(-9.81532753984788,-30.2291116902168, -41.6909859020671)); #495646=CARTESIAN_POINT('Ctrl Pts',(-9.87285230739933,-30.2108038807688, -41.3613754086135)); #495647=CARTESIAN_POINT('Ctrl Pts',(-10.1117678288498,-30.1322521472151, -40.7496891807766)); #495648=CARTESIAN_POINT('Ctrl Pts',(-10.3708329165147,-30.0458946802279, -40.3387196492244)); #495649=CARTESIAN_POINT('Ctrl Pts',(-10.8351536054253,-29.8812459534377, -39.9266487242629)); #495650=CARTESIAN_POINT('Ctrl Pts',(-11.2541938943258,-29.7264891292542, -39.6792754177081)); #495651=CARTESIAN_POINT('Ctrl Pts',(-11.8639177261266,-29.4902384229721, -39.4845021349729)); #495652=CARTESIAN_POINT('Ctrl Pts',(-12.4625993139827,-29.2423086527596, -39.4838362542221)); #495653=CARTESIAN_POINT('Ctrl Pts',(-13.2109696329065,-28.9118429022112, -39.7294880177089)); #495654=CARTESIAN_POINT('Ctrl Pts',(-13.5904741363908,-28.7328513105824, -40.023561502594)); #495655=CARTESIAN_POINT('Ctrl Pts',(-13.9480361617187,-28.5603701567261, -40.4259582017312)); #495656=CARTESIAN_POINT('Ctrl Pts',(-14.3008004479653,-28.3853168605416, -40.9639079948648)); #495657=CARTESIAN_POINT('Ctrl Pts',(-14.4347252024043,-28.3156945283913, -41.6291830824812)); #495658=CARTESIAN_POINT('Ctrl Pts',(-14.4347252024043,-28.3156945283913, -41.9999999999963)); #495659=CARTESIAN_POINT('Origin',(-14.5607235892093,-27.9691273015784,-36.9999999999963)); #495660=CARTESIAN_POINT('',(-14.4347252024043,-28.3156945283913,-71.9999999999963)); #495661=CARTESIAN_POINT('',(-14.4347252024043,-28.3156945283913,-71.9999999999963)); #495662=CARTESIAN_POINT('',(-14.4347252024043,-28.3156945283913,-36.9999999999963)); #495663=CARTESIAN_POINT('Ctrl Pts',(-14.6867219760146,-27.6225600747654, -71.9999999999963)); #495664=CARTESIAN_POINT('Ctrl Pts',(-14.6867219760146,-27.6225600747654, -72.4309241498728)); #495665=CARTESIAN_POINT('Ctrl Pts',(-14.4978075683767,-27.7240647286098, -73.3668715391281)); #495666=CARTESIAN_POINT('Ctrl Pts',(-13.9130999565166,-28.0235123157554, -74.1760474337449)); #495667=CARTESIAN_POINT('Ctrl Pts',(-13.4168046229605,-28.2617253997355, -74.55705945512)); #495668=CARTESIAN_POINT('Ctrl Pts',(-13.0517479672711,-28.4328947375761, -74.7746044406032)); #495669=CARTESIAN_POINT('Ctrl Pts',(-12.3764601135267,-28.7380316362637, -75.0269245064297)); #495670=CARTESIAN_POINT('Ctrl Pts',(-11.6440675496677,-29.041411077335, -75.0273283403051)); #495671=CARTESIAN_POINT('Ctrl Pts',(-11.0250525998717,-29.277938886459, -74.8307852211108)); #495672=CARTESIAN_POINT('Ctrl Pts',(-10.7303589265351,-29.3870121915505, -74.6944970512097)); #495673=CARTESIAN_POINT('Ctrl Pts',(-10.4715733452901,-29.4802951420289, -74.5422007981685)); #495674=CARTESIAN_POINT('Ctrl Pts',(-10.0828549558845,-29.6162654941049, -74.2468966031111)); #495675=CARTESIAN_POINT('Ctrl Pts',(-9.73050021979747,-29.7334984485899, -73.8555158145388)); #495676=CARTESIAN_POINT('Ctrl Pts',(-9.27601811462068,-29.8788037225207, -73.0646431723249)); #495677=CARTESIAN_POINT('Ctrl Pts',(-9.14701883995801,-29.9171802452408, -72.4309241498726)); #495678=CARTESIAN_POINT('Ctrl Pts',(-9.14701883995801,-29.9171802452408, -71.9999999999963)); #495679=CARTESIAN_POINT('Ctrl Pts',(-14.6027230514778,-27.8536048926407, -71.9999999999963)); #495680=CARTESIAN_POINT('Ctrl Pts',(-14.6027230514778,-27.8536048926407, -72.4050023415091)); #495681=CARTESIAN_POINT('Ctrl Pts',(-14.4258459102253,-27.9476523653584, -73.2848362729321)); #495682=CARTESIAN_POINT('Ctrl Pts',(-13.8752889728707,-28.2270711778659, -74.0501008666958)); #495683=CARTESIAN_POINT('Ctrl Pts',(-13.4058329858789,-28.4508969042524, -74.4118076543058)); #495684=CARTESIAN_POINT('Ctrl Pts',(-13.060156290448,-28.6120485387983, -74.6185746279844)); #495685=CARTESIAN_POINT('Ctrl Pts',(-12.41978944148,-28.9002164571352,-74.8589558666849)); #495686=CARTESIAN_POINT('Ctrl Pts',(-11.7243745998698,-29.1882777602398, -74.8593339521162)); #495687=CARTESIAN_POINT('Ctrl Pts',(-11.1379353821794,-29.4132702605547, -74.6720838852704)); #495688=CARTESIAN_POINT('Ctrl Pts',(-10.8590953096791,-29.517065944798, -74.542427198923)); #495689=CARTESIAN_POINT('Ctrl Pts',(-10.6145255343149,-29.6058474015875, -74.3976823516947)); #495690=CARTESIAN_POINT('Ctrl Pts',(-10.247746095159,-29.735246044856,-74.1174361935398)); #495691=CARTESIAN_POINT('Ctrl Pts',(-9.91628832506561,-29.8467477032598, -73.7469432345021)); #495692=CARTESIAN_POINT('Ctrl Pts',(-9.49009104221089,-29.9847838885657, -73.0006882700017)); #495693=CARTESIAN_POINT('Ctrl Pts',(-9.36978840658797,-30.0211573935661, -72.405002341509)); #495694=CARTESIAN_POINT('Ctrl Pts',(-9.36978840658797,-30.0211573935661, -71.9999999999963)); #495695=CARTESIAN_POINT('Ctrl Pts',(-14.5187241269411,-28.084649710516, -71.9999999999963)); #495696=CARTESIAN_POINT('Ctrl Pts',(-14.5187241269411,-28.084649710516, -72.3790805331455)); #495697=CARTESIAN_POINT('Ctrl Pts',(-14.3538842520738,-28.1712400021071, -73.2028010067361)); #495698=CARTESIAN_POINT('Ctrl Pts',(-13.8374779892248,-28.4306300399763, -73.9241542996467)); #495699=CARTESIAN_POINT('Ctrl Pts',(-13.3948613487972,-28.6400684087694, -74.2665558534917)); #495700=CARTESIAN_POINT('Ctrl Pts',(-13.068564613625,-28.7912023400206, -74.4625448153655)); #495701=CARTESIAN_POINT('Ctrl Pts',(-12.4631187694333,-29.0624012780067, -74.6909872269402)); #495702=CARTESIAN_POINT('Ctrl Pts',(-11.8046816500719,-29.3351444431447, -74.6913395639273)); #495703=CARTESIAN_POINT('Ctrl Pts',(-11.2508181644872,-29.5486016346504, -74.5133825494299)); #495704=CARTESIAN_POINT('Ctrl Pts',(-10.987831692823,-29.6471196980454, -74.3903573466363)); #495705=CARTESIAN_POINT('Ctrl Pts',(-10.7574777233398,-29.7313996611462, -74.253163905221)); #495706=CARTESIAN_POINT('Ctrl Pts',(-10.4126372344335,-29.854226595607, -73.9879757839685)); #495707=CARTESIAN_POINT('Ctrl Pts',(-10.1020764303338,-29.9599969579296, -73.6383706544654)); #495708=CARTESIAN_POINT('Ctrl Pts',(-9.70416396980111,-30.0907640546106, -72.9367333676784)); #495709=CARTESIAN_POINT('Ctrl Pts',(-9.59255797321793,-30.1251345418914, -72.3790805331454)); #495710=CARTESIAN_POINT('Ctrl Pts',(-9.59255797321793,-30.1251345418914, -71.9999999999963)); #495711=CARTESIAN_POINT('Ctrl Pts',(-14.4347252024043,-28.3156945283913, -71.9999999999963)); #495712=CARTESIAN_POINT('Ctrl Pts',(-14.4347252024043,-28.3156945283913, -72.3531587247818)); #495713=CARTESIAN_POINT('Ctrl Pts',(-14.2819225939224,-28.3948276388557, -73.1207657405401)); #495714=CARTESIAN_POINT('Ctrl Pts',(-13.7996670055788,-28.6341889020868, -73.7982077325976)); #495715=CARTESIAN_POINT('Ctrl Pts',(-13.3838897117156,-28.8292399132863, -74.1213040526775)); #495716=CARTESIAN_POINT('Ctrl Pts',(-13.076972936802,-28.9703561412428, -74.3065150027467)); #495717=CARTESIAN_POINT('Ctrl Pts',(-12.5064480973867,-29.2245860988782, -74.5230185871954)); #495718=CARTESIAN_POINT('Ctrl Pts',(-11.8849887002739,-29.4820111260495, -74.5233451757384)); #495719=CARTESIAN_POINT('Ctrl Pts',(-11.363700946795,-29.6839330087461, -74.3546812135895)); #495720=CARTESIAN_POINT('Ctrl Pts',(-11.116568075967,-29.7771734512929, -74.2382874943496)); #495721=CARTESIAN_POINT('Ctrl Pts',(-10.9004299123646,-29.8569519207048, -74.1086454587472)); #495722=CARTESIAN_POINT('Ctrl Pts',(-10.577528373708,-29.973207146358,-73.8585153743971)); #495723=CARTESIAN_POINT('Ctrl Pts',(-10.2878645356019,-30.0732462125995, -73.5297980744287)); #495724=CARTESIAN_POINT('Ctrl Pts',(-9.91823689739131,-30.1967442206556, -72.8727784653551)); #495725=CARTESIAN_POINT('Ctrl Pts',(-9.81532753984789,-30.2291116902168, -72.3531587247818)); #495726=CARTESIAN_POINT('Ctrl Pts',(-9.81532753984789,-30.2291116902168, -71.9999999999963)); #495727=CARTESIAN_POINT('Ctrl Pts',(-14.4347252024043,-28.3156945283913, -71.9999999999963)); #495728=CARTESIAN_POINT('Ctrl Pts',(-14.4347252024043,-28.3156945283913, -72.3531587247818)); #495729=CARTESIAN_POINT('Ctrl Pts',(-14.2819225939224,-28.3948276388557, -73.1207657405401)); #495730=CARTESIAN_POINT('Ctrl Pts',(-13.7996670055788,-28.6341889020868, -73.7982077325976)); #495731=CARTESIAN_POINT('Ctrl Pts',(-13.3838897117156,-28.8292399132863, -74.1213040526775)); #495732=CARTESIAN_POINT('Ctrl Pts',(-13.076972936802,-28.9703561412428, -74.3065150027467)); #495733=CARTESIAN_POINT('Ctrl Pts',(-12.5064480973867,-29.2245860988782, -74.5230185871954)); #495734=CARTESIAN_POINT('Ctrl Pts',(-11.8849887002739,-29.4820111260495, -74.5233451757384)); #495735=CARTESIAN_POINT('Ctrl Pts',(-11.363700946795,-29.6839330087461, -74.3546812135895)); #495736=CARTESIAN_POINT('Ctrl Pts',(-11.116568075967,-29.7771734512929, -74.2382874943496)); #495737=CARTESIAN_POINT('Ctrl Pts',(-10.9004299123646,-29.8569519207048, -74.1086454587472)); #495738=CARTESIAN_POINT('Ctrl Pts',(-10.577528373708,-29.973207146358,-73.8585153743971)); #495739=CARTESIAN_POINT('Ctrl Pts',(-10.2878645356019,-30.0732462125995, -73.5297980744287)); #495740=CARTESIAN_POINT('Ctrl Pts',(-9.91823689739131,-30.1967442206556, -72.8727784653551)); #495741=CARTESIAN_POINT('Ctrl Pts',(-9.81532753984789,-30.2291116902168, -72.3531587247818)); #495742=CARTESIAN_POINT('Ctrl Pts',(-9.81532753984789,-30.2291116902168, -71.9999999999963)); #495743=CARTESIAN_POINT('Origin',(0.,0.,-41.9999999999963)); #495744=CARTESIAN_POINT('',(-10.9677299535817,-33.0112572267645,-41.9999999999963)); #495745=CARTESIAN_POINT('',(2.30969883127822,-0.956708580912725,-41.9999999999963)); #495746=CARTESIAN_POINT('',(-15.5871276161382,-31.097840064939,-41.9999999999963)); #495747=CARTESIAN_POINT('Ctrl Pts',(-15.5871276161381,-31.097840064939, -41.9999999999963)); #495748=CARTESIAN_POINT('Ctrl Pts',(-15.5871276161382,-31.097840064939, -41.6815203509833)); #495749=CARTESIAN_POINT('Ctrl Pts',(-15.5292432245929,-31.1268719910294, -41.3418540997364)); #495750=CARTESIAN_POINT('Ctrl Pts',(-15.3295573360603,-31.2252056542953, -40.8142898026694)); #495751=CARTESIAN_POINT('Ctrl Pts',(-15.1060871964455,-31.3343198822691, -40.4075025039816)); #495752=CARTESIAN_POINT('Ctrl Pts',(-14.672567996283,-31.5399460282253, -39.9537046307807)); #495753=CARTESIAN_POINT('Ctrl Pts',(-14.1030487068927,-31.7993424377429, -39.6181113342296)); #495754=CARTESIAN_POINT('Ctrl Pts',(-13.4824411568609,-32.0673116495659, -39.4804412020903)); #495755=CARTESIAN_POINT('Ctrl Pts',(-12.8758096756556,-32.3157888831401, -39.5136743441304)); #495756=CARTESIAN_POINT('Ctrl Pts',(-12.0364420474032,-32.6403772491923, -39.8223936556146)); #495757=CARTESIAN_POINT('Ctrl Pts',(-11.4020429132981,-32.8642347408038, -40.4338210498014)); #495758=CARTESIAN_POINT('Ctrl Pts',(-11.0244450083659,-32.9925271559857, -41.3094977276585)); #495759=CARTESIAN_POINT('Ctrl Pts',(-10.9677299535817,-33.0112572267645, -41.7770643544567)); #495760=CARTESIAN_POINT('Ctrl Pts',(-10.9677299535817,-33.0112572267645, -41.9999999999963)); #495761=CARTESIAN_POINT('',(-2.30969883127822,0.956708580912725,-41.9999999999963)); #495762=CARTESIAN_POINT('Origin',(2.30969883127822,-0.956708580912725,-71.9999999999963)); #495763=CARTESIAN_POINT('',(-10.9677299535817,-33.0112572267645,-71.9999999999963)); #495764=CARTESIAN_POINT('',(2.30969883127822,-0.956708580912725,-71.9999999999963)); #495765=CARTESIAN_POINT('',(-10.9677299535817,-33.0112572267645,-1.6992262707755E-17)); #495766=CARTESIAN_POINT('Origin',(-10.8256785839348,-33.319610198737,-8.49613135387749E-18)); #495767=CARTESIAN_POINT('',(-10.9677299535817,-33.0112572267645,-71.9999999999963)); #495768=CARTESIAN_POINT('',(-10.6836272142878,-33.6279631707095,-41.9999999999963)); #495769=CARTESIAN_POINT('Ctrl Pts',(-10.6836272142877,-33.6279631707096, -71.9999999999963)); #495770=CARTESIAN_POINT('Ctrl Pts',(-10.6836272142877,-33.6279631707096, -72.4523745148283)); #495771=CARTESIAN_POINT('Ctrl Pts',(-10.9086863346202,-33.5575082760422, -73.4347663168225)); #495772=CARTESIAN_POINT('Ctrl Pts',(-11.5697662337893,-33.3365417481535, -74.2362027921927)); #495773=CARTESIAN_POINT('Ctrl Pts',(-12.1315156819413,-33.1336626990523, -74.6215990313922)); #495774=CARTESIAN_POINT('Ctrl Pts',(-12.5363080931246,-32.983616246459, -74.8327911901646)); #495775=CARTESIAN_POINT('Ctrl Pts',(-13.0837896473758,-32.771377315572, -74.9936184448559)); #495776=CARTESIAN_POINT('Ctrl Pts',(-13.7120896107089,-32.513541057199, -75.0190178954184)); #495777=CARTESIAN_POINT('Ctrl Pts',(-14.5757192135103,-32.1393009967265, -74.841212553798)); #495778=CARTESIAN_POINT('Ctrl Pts',(-15.1507652262079,-31.8677183426245, -74.4423717089643)); #495779=CARTESIAN_POINT('Ctrl Pts',(-15.7267832795527,-31.5873271130068, -73.8032944695825)); #495780=CARTESIAN_POINT('Ctrl Pts',(-16.1207975350165,-31.3871017339823, -73.09800976322)); #495781=CARTESIAN_POINT('Ctrl Pts',(-16.2240955446082,-31.3330260463922, -72.3015831815491)); #495782=CARTESIAN_POINT('Ctrl Pts',(-16.2240955446082,-31.3330260463922, -71.9999999999963)); #495783=CARTESIAN_POINT('Ctrl Pts',(-10.7783281273857,-33.4223945227279, -71.9999999999963)); #495784=CARTESIAN_POINT('Ctrl Pts',(-10.7787265807297,-33.4223180842754, -72.4292099899336)); #495785=CARTESIAN_POINT('Ctrl Pts',(-10.9923684389401,-33.354239915635, -73.3602128317576)); #495786=CARTESIAN_POINT('Ctrl Pts',(-11.6187296428106,-33.1423522162545, -74.116074453212)); #495787=CARTESIAN_POINT('Ctrl Pts',(-12.1487826125212,-32.9495584325274, -74.478546504482)); #495788=CARTESIAN_POINT('Ctrl Pts',(-12.5301060072229,-32.8073847255132, -74.676714853414)); #495789=CARTESIAN_POINT('Ctrl Pts',(-13.0451612245241,-32.6070642370041, -74.8274757879614)); #495790=CARTESIAN_POINT('Ctrl Pts',(-13.6358265498033,-32.3645552304734, -74.8512230110602)); #495791=CARTESIAN_POINT('Ctrl Pts',(-14.4485997134372,-32.0131210284143, -74.6847362769156)); #495792=CARTESIAN_POINT('Ctrl Pts',(-14.9918525619606,-31.7581550516445, -74.3101832416767)); #495793=CARTESIAN_POINT('Ctrl Pts',(-15.5380236912274,-31.4943805241865, -73.7079204070901)); #495794=CARTESIAN_POINT('Ctrl Pts',(-15.9129473003709,-31.3057578744237, -73.0412882239502)); #495795=CARTESIAN_POINT('Ctrl Pts',(-16.0118729493899,-31.2545929637415, -72.2859899586913)); #495796=CARTESIAN_POINT('Ctrl Pts',(-16.0117729017849,-31.2546307192411, -71.9999999999963)); #495797=CARTESIAN_POINT('Ctrl Pts',(-10.8730290404837,-33.2168258747462, -71.9999999999963)); #495798=CARTESIAN_POINT('Ctrl Pts',(-10.8726305871397,-33.2169023131986, -72.4053398861677)); #495799=CARTESIAN_POINT('Ctrl Pts',(-11.0766829408875,-33.1508125263801, -73.2861638526613)); #495800=CARTESIAN_POINT('Ctrl Pts',(-11.667647405726,-32.948186179086,-73.9961017546132)); #495801=CARTESIAN_POINT('Ctrl Pts',(-12.1653407125056,-32.7656549785086, -74.3350790878777)); #495802=CARTESIAN_POINT('Ctrl Pts',(-12.5234113602871,-32.6313215227844, -74.5205345375492)); #495803=CARTESIAN_POINT('Ctrl Pts',(-13.0060991541981,-32.4428596002423, -74.6610442764771)); #495804=CARTESIAN_POINT('Ctrl Pts',(-13.5595202237019,-32.2155342256371, -74.6831911095482)); #495805=CARTESIAN_POINT('Ctrl Pts',(-14.3212749725783,-31.8869703975116, -74.5280341780378)); #495806=CARTESIAN_POINT('Ctrl Pts',(-14.8330899703199,-31.6485109848322, -74.1780004606163)); #495807=CARTESIAN_POINT('Ctrl Pts',(-15.348931612508,-31.4015464245972, -73.6124080885448)); #495808=CARTESIAN_POINT('Ctrl Pts',(-15.7053546281513,-31.2242829696805, -72.9845555268081)); #495809=CARTESIAN_POINT('Ctrl Pts',(-15.7993502113565,-31.1762731475897, -72.2703769420646)); #495810=CARTESIAN_POINT('Ctrl Pts',(-15.7994502589615,-31.1762353920901, -71.9999999999963)); #495811=CARTESIAN_POINT('Ctrl Pts',(-10.9677299535817,-33.0112572267645, -71.9999999999963)); #495812=CARTESIAN_POINT('Ctrl Pts',(-10.9677299535817,-33.0112572267645, -72.382175361273)); #495813=CARTESIAN_POINT('Ctrl Pts',(-11.1603650452074,-32.9475441659729, -73.2116103675963)); #495814=CARTESIAN_POINT('Ctrl Pts',(-11.7166108147472,-32.753996647187, -73.8759734156325)); #495815=CARTESIAN_POINT('Ctrl Pts',(-12.1826076430856,-32.5815507119836, -74.1920265609675)); #495816=CARTESIAN_POINT('Ctrl Pts',(-12.5172092743853,-32.4550900018386, -74.3644582007985)); #495817=CARTESIAN_POINT('Ctrl Pts',(-12.9674707313463,-32.2785465216744, -74.4949016195826)); #495818=CARTESIAN_POINT('Ctrl Pts',(-13.4832571627964,-32.0665483989115, -74.51539622519)); #495819=CARTESIAN_POINT('Ctrl Pts',(-14.1941554725052,-31.7607904291994, -74.3715579011554)); #495820=CARTESIAN_POINT('Ctrl Pts',(-14.6741773060726,-31.5389476938522, -74.0458119933286)); #495821=CARTESIAN_POINT('Ctrl Pts',(-15.1601720241827,-31.3085998357769, -73.5170340260525)); #495822=CARTESIAN_POINT('Ctrl Pts',(-15.4975043935057,-31.1429391101218, -72.9278339875382)); #495823=CARTESIAN_POINT('Ctrl Pts',(-15.5871276161382,-31.097840064939, -72.2547837192067)); #495824=CARTESIAN_POINT('Ctrl Pts',(-15.5871276161381,-31.097840064939, -71.9999999999963)); #495825=CARTESIAN_POINT('',(-15.5871276161381,-31.097840064939,-71.9999999999963)); #495826=CARTESIAN_POINT('',(-15.5871276161381,-31.097840064939,-71.9999999999963)); #495827=CARTESIAN_POINT('Ctrl Pts',(-10.9677299535817,-33.0112572267645, -71.9999999999963)); #495828=CARTESIAN_POINT('Ctrl Pts',(-10.9677299535817,-33.0112572267645, -72.382175361273)); #495829=CARTESIAN_POINT('Ctrl Pts',(-11.1603650452074,-32.9475441659729, -73.2116103675963)); #495830=CARTESIAN_POINT('Ctrl Pts',(-11.7166108147472,-32.753996647187, -73.8759734156325)); #495831=CARTESIAN_POINT('Ctrl Pts',(-12.1826076430856,-32.5815507119836, -74.1920265609675)); #495832=CARTESIAN_POINT('Ctrl Pts',(-12.5172092743853,-32.4550900018386, -74.3644582007985)); #495833=CARTESIAN_POINT('Ctrl Pts',(-12.9674707313463,-32.2785465216744, -74.4949016195826)); #495834=CARTESIAN_POINT('Ctrl Pts',(-13.4832571627964,-32.0665483989115, -74.51539622519)); #495835=CARTESIAN_POINT('Ctrl Pts',(-14.1941554725052,-31.7607904291994, -74.3715579011554)); #495836=CARTESIAN_POINT('Ctrl Pts',(-14.6741773060726,-31.5389476938522, -74.0458119933286)); #495837=CARTESIAN_POINT('Ctrl Pts',(-15.1601720241827,-31.3085998357769, -73.5170340260525)); #495838=CARTESIAN_POINT('Ctrl Pts',(-15.4975043935057,-31.1429391101218, -72.9278339875382)); #495839=CARTESIAN_POINT('Ctrl Pts',(-15.5871276161382,-31.097840064939, -72.2547837192067)); #495840=CARTESIAN_POINT('Ctrl Pts',(-15.5871276161381,-31.097840064939, -71.9999999999963)); #495841=CARTESIAN_POINT('Origin',(-15.9056115803731,-31.2154330556656,-8.49613135387747E-18)); #495842=CARTESIAN_POINT('',(-15.5871276161382,-31.097840064939,-41.9999999999963)); #495843=CARTESIAN_POINT('',(-15.5871276161381,-31.097840064939,-1.69922627077549E-17)); #495844=CARTESIAN_POINT('Ctrl Pts',(-16.2240955446082,-31.3330260463922, -41.9999999999963)); #495845=CARTESIAN_POINT('Ctrl Pts',(-16.2240955446082,-31.3330260463922, -41.6230210230553)); #495846=CARTESIAN_POINT('Ctrl Pts',(-16.1572507099332,-31.36787989344,-41.2209135566225)); #495847=CARTESIAN_POINT('Ctrl Pts',(-15.9244851520477,-31.4865532398271, -40.5927438677314)); #495848=CARTESIAN_POINT('Ctrl Pts',(-15.662881020543,-31.6185598182721, -40.1060196483842)); #495849=CARTESIAN_POINT('Ctrl Pts',(-15.1491039336215,-31.8688844651973, -39.5568515742621)); #495850=CARTESIAN_POINT('Ctrl Pts',(-14.4648641647201,-32.1864213711883, -39.1459058424448)); #495851=CARTESIAN_POINT('Ctrl Pts',(-13.7112915122341,-32.5144964067417, -38.9757346634212)); #495852=CARTESIAN_POINT('Ctrl Pts',(-12.9720911597208,-32.8165926495935, -39.0169432719369)); #495853=CARTESIAN_POINT('Ctrl Pts',(-11.9525579804336,-33.2052067575438, -39.3972437527565)); #495854=CARTESIAN_POINT('Ctrl Pts',(-11.1947901515847,-33.4630027664042, -40.1398552319588)); #495855=CARTESIAN_POINT('Ctrl Pts',(-10.7500526443784,-33.6072127056268, -41.1826089843865)); #495856=CARTESIAN_POINT('Ctrl Pts',(-10.6836272142877,-33.6279631707096, -41.7361148448863)); #495857=CARTESIAN_POINT('Ctrl Pts',(-10.6836272142877,-33.6279631707096, -41.9999999999963)); #495858=CARTESIAN_POINT('Ctrl Pts',(-16.0117729017849,-31.2546307192411, -41.9999999999963)); #495859=CARTESIAN_POINT('Ctrl Pts',(-16.0117123200745,-31.2546749294836, -41.6425309426841)); #495860=CARTESIAN_POINT('Ctrl Pts',(-15.9479512319702,-31.2875280241611, -41.2612145982672)); #495861=CARTESIAN_POINT('Ctrl Pts',(-15.7261135712784,-31.3994667955682, -40.6666223421118)); #495862=CARTESIAN_POINT('Ctrl Pts',(-15.47723897615,-31.5238388154103,-40.2064762376575)); #495863=CARTESIAN_POINT('Ctrl Pts',(-14.9902248588838,-31.7592492341423, -39.689218038611)); #495864=CARTESIAN_POINT('Ctrl Pts',(-14.3442084278573,-32.0574053878017, -39.3034551348185)); #495865=CARTESIAN_POINT('Ctrl Pts',(-13.6349545702537,-32.3654601898286, -39.1440029872184)); #495866=CARTESIAN_POINT('Ctrl Pts',(-12.9399052231394,-32.6496809242964, -39.182717932479)); #495867=CARTESIAN_POINT('Ctrl Pts',(-11.9805291442904,-33.0169221809329, -39.5390551127767)); #495868=CARTESIAN_POINT('Ctrl Pts',(-11.2638418523933,-33.263436954034, -40.2377014129224)); #495869=CARTESIAN_POINT('Ctrl Pts',(-10.8415534872409,-33.4023032480013, -41.2249613556291)); #495870=CARTESIAN_POINT('Ctrl Pts',(-10.7782510058696,-33.4224331662564, -41.7496788562937)); #495871=CARTESIAN_POINT('Ctrl Pts',(-10.7783281273857,-33.4223945227279, -41.9999999999963)); #495872=CARTESIAN_POINT('Ctrl Pts',(-15.7994502589615,-31.1762353920901, -41.9999999999963)); #495873=CARTESIAN_POINT('Ctrl Pts',(-15.7995108406719,-31.1761911818476, -41.6620104313544)); #495874=CARTESIAN_POINT('Ctrl Pts',(-15.7385427025558,-31.2072238603083, -41.3015530580917)); #495875=CARTESIAN_POINT('Ctrl Pts',(-15.5279289168296,-31.3122920985542, -40.740411328289)); #495876=CARTESIAN_POINT('Ctrl Pts',(-15.2917292408385,-31.4290408851309, -40.3070459147083)); #495877=CARTESIAN_POINT('Ctrl Pts',(-14.8314470710208,-31.6495812592802, -39.8213381664318)); #495878=CARTESIAN_POINT('Ctrl Pts',(-14.2237044437555,-31.9283584211295, -39.4605620418559)); #495879=CARTESIAN_POINT('Ctrl Pts',(-13.5587780988413,-32.2163478664791, -39.3121728782931)); #495880=CARTESIAN_POINT('Ctrl Pts',(-12.907995612237,-32.4827006084373, -39.3478996835883)); #495881=CARTESIAN_POINT('Ctrl Pts',(-12.0084708835464,-32.8286618258031, -39.6805822955945)); #495882=CARTESIAN_POINT('Ctrl Pts',(-11.3329912124895,-33.063800553174, -40.3359748688378)); #495883=CARTESIAN_POINT('Ctrl Pts',(-10.9329441655034,-33.1974366136113, -41.2671453564159)); #495884=CARTESIAN_POINT('Ctrl Pts',(-10.8731061619998,-33.2167872312177, -41.7635003430493)); #495885=CARTESIAN_POINT('Ctrl Pts',(-10.8730290404837,-33.2168258747462, -41.9999999999963)); #495886=CARTESIAN_POINT('Ctrl Pts',(-15.5871276161381,-31.097840064939, -41.9999999999963)); #495887=CARTESIAN_POINT('Ctrl Pts',(-15.5871276161382,-31.097840064939, -41.6815203509833)); #495888=CARTESIAN_POINT('Ctrl Pts',(-15.5292432245929,-31.1268719910294, -41.3418540997364)); #495889=CARTESIAN_POINT('Ctrl Pts',(-15.3295573360603,-31.2252056542953, -40.8142898026694)); #495890=CARTESIAN_POINT('Ctrl Pts',(-15.1060871964455,-31.3343198822691, -40.4075025039816)); #495891=CARTESIAN_POINT('Ctrl Pts',(-14.672567996283,-31.5399460282253, -39.9537046307807)); #495892=CARTESIAN_POINT('Ctrl Pts',(-14.1030487068927,-31.7993424377429, -39.6181113342296)); #495893=CARTESIAN_POINT('Ctrl Pts',(-13.4824411568609,-32.0673116495659, -39.4804412020903)); #495894=CARTESIAN_POINT('Ctrl Pts',(-12.8758096756556,-32.3157888831401, -39.5136743441304)); #495895=CARTESIAN_POINT('Ctrl Pts',(-12.0364420474032,-32.6403772491923, -39.8223936556146)); #495896=CARTESIAN_POINT('Ctrl Pts',(-11.4020429132981,-32.8642347408038, -40.4338210498014)); #495897=CARTESIAN_POINT('Ctrl Pts',(-11.0244450083659,-32.9925271559857, -41.3094977276585)); #495898=CARTESIAN_POINT('Ctrl Pts',(-10.9677299535817,-33.0112572267645, -41.7770643544567)); #495899=CARTESIAN_POINT('Ctrl Pts',(-10.9677299535817,-33.0112572267645, -41.9999999999963)); #495900=CARTESIAN_POINT('Origin',(0.,0.,-71.9999999999963)); #495901=CARTESIAN_POINT('',(-2.30969883127822,0.956708580912725,-71.9999999999963)); #495902=CARTESIAN_POINT('Origin',(-2.30969883127822,0.956708580912725,-41.9999999999963)); #495903=CARTESIAN_POINT('Origin',(-20.267956575293,-24.1556761386489,-36.9999999999963)); #495904=CARTESIAN_POINT('',(-20.6363604379196,-24.1718943438524,-41.9999999999963)); #495905=CARTESIAN_POINT('',(-20.6363604379196,-24.1718943438524,-41.9999999999963)); #495906=CARTESIAN_POINT('',(-20.6363604379196,-24.1718943438524,-71.9999999999963)); #495907=CARTESIAN_POINT('',(-19.8995527126664,-24.1394579334455,-71.9999999999963)); #495908=CARTESIAN_POINT('',(-20.6363604379196,-24.1718943438524,-36.9999999999963)); #495909=CARTESIAN_POINT('Ctrl Pts',(-19.8995527126661,-24.1394579334457, -41.9999999999963)); #495910=CARTESIAN_POINT('Ctrl Pts',(-19.8995527126661,-24.1394579334457, -41.5690758501199)); #495911=CARTESIAN_POINT('Ctrl Pts',(-20.0614889831626,-24.0076056938498, -40.6363373631844)); #495912=CARTESIAN_POINT('Ctrl Pts',(-20.6073022330342,-23.5432813856066, -39.7563448117172)); #495913=CARTESIAN_POINT('Ctrl Pts',(-21.1736151944426,-23.0334974971867, -39.2694482087157)); #495914=CARTESIAN_POINT('Ctrl Pts',(-21.8168744561992,-22.4301507300282, -38.9670671324771)); #495915=CARTESIAN_POINT('Ctrl Pts',(-22.4307702384248,-21.8160336284528, -38.9668764488181)); #495916=CARTESIAN_POINT('Ctrl Pts',(-22.9580295846708,-21.2542660109815, -39.2297006314943)); #495917=CARTESIAN_POINT('Ctrl Pts',(-23.2258722226968,-20.959836867679, -39.4528098709403)); #495918=CARTESIAN_POINT('Ctrl Pts',(-23.5892295179883,-20.5534372905346, -39.8422170354144)); #495919=CARTESIAN_POINT('Ctrl Pts',(-24.0089759658229,-20.0598931669429, -40.6375026347906)); #495920=CARTESIAN_POINT('Ctrl Pts',(-24.1394579334457,-19.8995527126661, -41.5690758501201)); #495921=CARTESIAN_POINT('Ctrl Pts',(-24.1394579334457,-19.8995527126661, -41.9999999999963)); #495922=CARTESIAN_POINT('Ctrl Pts',(-20.1451552877506,-24.1502700702479, -41.9999999999963)); #495923=CARTESIAN_POINT('Ctrl Pts',(-20.1451552877506,-24.1502700702479, -41.5949976584835)); #495924=CARTESIAN_POINT('Ctrl Pts',(-20.2958533757454,-24.0264574201693, -40.7182029938324)); #495925=CARTESIAN_POINT('Ctrl Pts',(-20.8072378101331,-23.5881877517585, -39.8859572931126)); #495926=CARTESIAN_POINT('Ctrl Pts',(-21.341308455431,-23.1049998886328, -39.4234135595329)); #495927=CARTESIAN_POINT('Ctrl Pts',(-21.9499600691075,-22.5323244487185, -39.1353659058436)); #495928=CARTESIAN_POINT('Ctrl Pts',(-22.5329112275912,-21.9491740003892, -39.1352055031499)); #495929=CARTESIAN_POINT('Ctrl Pts',(-23.0333712957214,-21.4176057895928, -39.385577597409)); #495930=CARTESIAN_POINT('Ctrl Pts',(-23.2873258270755,-21.1397178658802, -39.5976061686585)); #495931=CARTESIAN_POINT('Ctrl Pts',(-23.6315579729592,-20.7567620756177, -39.9671836989404)); #495932=CARTESIAN_POINT('Ctrl Pts',(-24.027742589695,-20.2943668601177, -40.7193059177623)); #495933=CARTESIAN_POINT('Ctrl Pts',(-24.1502700702479,-20.1451552877506, -41.5949976584837)); #495934=CARTESIAN_POINT('Ctrl Pts',(-24.1502700702479,-20.1451552877506, -41.9999999999963)); #495935=CARTESIAN_POINT('Ctrl Pts',(-20.3907578628351,-24.1610822070501, -41.9999999999963)); #495936=CARTESIAN_POINT('Ctrl Pts',(-20.3907578628351,-24.1610822070501, -41.6209194668472)); #495937=CARTESIAN_POINT('Ctrl Pts',(-20.5302177683282,-24.0453091464887, -40.8000686244805)); #495938=CARTESIAN_POINT('Ctrl Pts',(-21.007173387232,-23.6330941179105, -40.0155697745081)); #495939=CARTESIAN_POINT('Ctrl Pts',(-21.5090017164195,-23.1765022800788, -39.5773789103501)); #495940=CARTESIAN_POINT('Ctrl Pts',(-22.0830456820158,-22.6344981674089, -39.3036646792101)); #495941=CARTESIAN_POINT('Ctrl Pts',(-22.6350522167576,-22.0823143723255, -39.3035345574818)); #495942=CARTESIAN_POINT('Ctrl Pts',(-23.108713006772,-21.5809455682041, -39.5414545633237)); #495943=CARTESIAN_POINT('Ctrl Pts',(-23.3487794314542,-21.3195988640813, -39.7424024663766)); #495944=CARTESIAN_POINT('Ctrl Pts',(-23.67388642793,-20.9600868607008,-40.0921503624664)); #495945=CARTESIAN_POINT('Ctrl Pts',(-24.0465092135672,-20.5288405532925, -40.8011092007339)); #495946=CARTESIAN_POINT('Ctrl Pts',(-24.1610822070501,-20.3907578628351, -41.6209194668473)); #495947=CARTESIAN_POINT('Ctrl Pts',(-24.1610822070501,-20.3907578628351, -41.9999999999963)); #495948=CARTESIAN_POINT('Ctrl Pts',(-20.6363604379196,-24.1718943438524, -41.9999999999963)); #495949=CARTESIAN_POINT('Ctrl Pts',(-20.6363604379196,-24.1718943438524, -41.6468412752108)); #495950=CARTESIAN_POINT('Ctrl Pts',(-20.7645821609111,-24.0641608728081, -40.8819342551286)); #495951=CARTESIAN_POINT('Ctrl Pts',(-21.2071089643309,-23.6780004840625, -40.1451822559036)); #495952=CARTESIAN_POINT('Ctrl Pts',(-21.676694977408,-23.2480046715249, -39.7313442611673)); #495953=CARTESIAN_POINT('Ctrl Pts',(-22.2161312949241,-22.7366718860992, -39.4719634525766)); #495954=CARTESIAN_POINT('Ctrl Pts',(-22.7371932059241,-22.2154547442618, -39.4718636118137)); #495955=CARTESIAN_POINT('Ctrl Pts',(-23.1840547178227,-21.7442853468154, -39.6973315292384)); #495956=CARTESIAN_POINT('Ctrl Pts',(-23.4102330358328,-21.4994798622825, -39.8871987640948)); #495957=CARTESIAN_POINT('Ctrl Pts',(-23.7162148829008,-21.163411645784, -40.2171170259924)); #495958=CARTESIAN_POINT('Ctrl Pts',(-24.0652758374393,-20.7633142464673, -40.8829124837055)); #495959=CARTESIAN_POINT('Ctrl Pts',(-24.1718943438524,-20.6363604379196, -41.6468412752108)); #495960=CARTESIAN_POINT('Ctrl Pts',(-24.1718943438524,-20.6363604379196, -41.9999999999963)); #495961=CARTESIAN_POINT('',(-24.1718943438524,-20.6363604379196,-41.9999999999963)); #495962=CARTESIAN_POINT('',(-24.1718943438524,-20.6363604379196,-41.9999999999963)); #495963=CARTESIAN_POINT('Ctrl Pts',(-20.6363604379196,-24.1718943438524, -41.9999999999963)); #495964=CARTESIAN_POINT('Ctrl Pts',(-20.6363604379196,-24.1718943438524, -41.6468412752108)); #495965=CARTESIAN_POINT('Ctrl Pts',(-20.7645821609111,-24.0641608728081, -40.8819342551286)); #495966=CARTESIAN_POINT('Ctrl Pts',(-21.2071089643309,-23.6780004840625, -40.1451822559036)); #495967=CARTESIAN_POINT('Ctrl Pts',(-21.676694977408,-23.2480046715249, -39.7313442611673)); #495968=CARTESIAN_POINT('Ctrl Pts',(-22.2161312949241,-22.7366718860992, -39.4719634525766)); #495969=CARTESIAN_POINT('Ctrl Pts',(-22.7371932059241,-22.2154547442618, -39.4718636118137)); #495970=CARTESIAN_POINT('Ctrl Pts',(-23.1840547178227,-21.7442853468154, -39.6973315292384)); #495971=CARTESIAN_POINT('Ctrl Pts',(-23.4102330358328,-21.4994798622825, -39.8871987640948)); #495972=CARTESIAN_POINT('Ctrl Pts',(-23.7162148829008,-21.163411645784, -40.2171170259924)); #495973=CARTESIAN_POINT('Ctrl Pts',(-24.0652758374393,-20.7633142464673, -40.8829124837055)); #495974=CARTESIAN_POINT('Ctrl Pts',(-24.1718943438524,-20.6363604379196, -41.6468412752108)); #495975=CARTESIAN_POINT('Ctrl Pts',(-24.1718943438524,-20.6363604379196, -41.9999999999963)); #495976=CARTESIAN_POINT('Origin',(-24.1556761386489,-20.267956575293,-36.9999999999963)); #495977=CARTESIAN_POINT('',(-24.1718943438524,-20.6363604379196,-71.9999999999963)); #495978=CARTESIAN_POINT('',(-24.1718943438524,-20.6363604379196,-71.9999999999963)); #495979=CARTESIAN_POINT('',(-24.1718943438524,-20.6363604379196,-36.9999999999963)); #495980=CARTESIAN_POINT('Ctrl Pts',(-24.1394579334457,-19.8995527126661, -71.9999999999963)); #495981=CARTESIAN_POINT('Ctrl Pts',(-24.1394579334457,-19.8995527126661, -72.3770588919459)); #495982=CARTESIAN_POINT('Ctrl Pts',(-24.0919254590119,-19.9576676970725, -72.7791320436606)); #495983=CARTESIAN_POINT('Ctrl Pts',(-23.8934988281677,-20.194930211954, -73.5198264462212)); #495984=CARTESIAN_POINT('Ctrl Pts',(-23.6773604210794,-20.450753109907, -74.0127482192666)); #495985=CARTESIAN_POINT('Ctrl Pts',(-23.2856906236162,-20.8942938477844, -74.5007241571714)); #495986=CARTESIAN_POINT('Ctrl Pts',(-22.9247865002878,-21.2902413562109, -74.7906299068021)); #495987=CARTESIAN_POINT('Ctrl Pts',(-22.596468400838,-21.6375163622598, -74.9334175966403)); #495988=CARTESIAN_POINT('Ctrl Pts',(-22.3232706928683,-21.9182490074298, -74.9897978136556)); #495989=CARTESIAN_POINT('Ctrl Pts',(-21.9251413983453,-22.3202655659193, -75.0173307355953)); #495990=CARTESIAN_POINT('Ctrl Pts',(-21.2794018617754,-22.9420512712448, -74.8487365902256)); #495991=CARTESIAN_POINT('Ctrl Pts',(-20.77233852811,-23.3942335435734,-74.3865954509755)); #495992=CARTESIAN_POINT('Ctrl Pts',(-20.4116333442329,-23.7092063860038, -73.9078146505828)); #495993=CARTESIAN_POINT('Ctrl Pts',(-20.0453003326879,-24.0208198135518, -73.2634783330519)); #495994=CARTESIAN_POINT('Ctrl Pts',(-19.8995527126661,-24.1394579334457, -72.4524706703356)); #495995=CARTESIAN_POINT('Ctrl Pts',(-19.8995527126661,-24.1394579334457, -71.9999999999963)); #495996=CARTESIAN_POINT('Ctrl Pts',(-24.1502700702479,-20.1451552877506, -71.9999999999963)); #495997=CARTESIAN_POINT('Ctrl Pts',(-24.1502658274926,-20.1451725254343, -72.3542275009457)); #495998=CARTESIAN_POINT('Ctrl Pts',(-24.1055419955961,-20.1993757938161, -72.7324794912927)); #495999=CARTESIAN_POINT('Ctrl Pts',(-23.918795080694,-20.4208536040911, -73.4297117217968)); #496000=CARTESIAN_POINT('Ctrl Pts',(-23.7148374848864,-20.6604540643774, -73.8955651667423)); #496001=CARTESIAN_POINT('Ctrl Pts',(-23.3441562335768,-21.077737973814, -74.3581354393863)); #496002=CARTESIAN_POINT('Ctrl Pts',(-23.0019161881551,-21.4515457592535, -74.6337797427713)); #496003=CARTESIAN_POINT('Ctrl Pts',(-22.6902259543037,-21.7803196459339, -74.7698208736084)); #496004=CARTESIAN_POINT('Ctrl Pts',(-22.4309333575162,-22.0464156347828, -74.8235455158727)); #496005=CARTESIAN_POINT('Ctrl Pts',(-22.0529689443144,-22.4278685039953, -74.8498096092988)); #496006=CARTESIAN_POINT('Ctrl Pts',(-21.4408616343371,-23.0183701492233, -74.6888990310947)); #496007=CARTESIAN_POINT('Ctrl Pts',(-20.963009643248,-23.4468448619107, -74.249684588097)); #496008=CARTESIAN_POINT('Ctrl Pts',(-20.6239913828799,-23.7447698072837, -73.7964933811948)); #496009=CARTESIAN_POINT('Ctrl Pts',(-20.2808199117471,-24.0388465548549, -73.1875711768877)); #496010=CARTESIAN_POINT('Ctrl Pts',(-20.1453769621076,-24.1501337977102, -72.4251605509553)); #496011=CARTESIAN_POINT('Ctrl Pts',(-20.1451552877506,-24.1502700702479, -71.9999999999963)); #496012=CARTESIAN_POINT('Ctrl Pts',(-24.1610822070501,-20.3907578628351, -71.9999999999963)); #496013=CARTESIAN_POINT('Ctrl Pts',(-24.1610864498055,-20.3907406251514, -72.3318454889258)); #496014=CARTESIAN_POINT('Ctrl Pts',(-24.1193747335521,-20.4407733560568, -72.6852556950494)); #496015=CARTESIAN_POINT('Ctrl Pts',(-23.9438343531136,-20.6470940164297, -73.3403930471813)); #496016=CARTESIAN_POINT('Ctrl Pts',(-23.7523118220715,-20.8700492843407, -73.7782120585405)); #496017=CARTESIAN_POINT('Ctrl Pts',(-23.4023539251667,-21.2614480424729, -74.2158819135612)); #496018=CARTESIAN_POINT('Ctrl Pts',(-23.0787976328417,-21.6130528286329, -74.4771373926932)); #496019=CARTESIAN_POINT('Ctrl Pts',(-22.7837747790347,-21.9233055813459, -74.6064668269756)); #496020=CARTESIAN_POINT('Ctrl Pts',(-22.5382248778049,-22.174898823181, -74.6575544830907)); #496021=CARTESIAN_POINT('Ctrl Pts',(-22.180702321101,-22.5355680256617, -74.6825913910262)); #496022=CARTESIAN_POINT('Ctrl Pts',(-21.6020506454268,-23.0949268006222, -74.5292459751055)); #496023=CARTESIAN_POINT('Ctrl Pts',(-21.1533259830196,-23.4997096944367, -74.1131548829355)); #496024=CARTESIAN_POINT('Ctrl Pts',(-20.8361326617148,-23.7804767720124, -73.6853004938992)); #496025=CARTESIAN_POINT('Ctrl Pts',(-20.5161857266284,-24.0569925670299, -73.1119169918931)); #496026=CARTESIAN_POINT('Ctrl Pts',(-20.3905361884782,-24.1612184795878, -72.3981270368917)); #496027=CARTESIAN_POINT('Ctrl Pts',(-20.3907578628351,-24.1610822070501, -71.9999999999963)); #496028=CARTESIAN_POINT('Ctrl Pts',(-24.1718943438524,-20.6363604379196, -71.9999999999963)); #496029=CARTESIAN_POINT('Ctrl Pts',(-24.1718943438524,-20.6363604379196, -72.3090140979256)); #496030=CARTESIAN_POINT('Ctrl Pts',(-24.1329912701363,-20.6824814528004, -72.6386031426815)); #496031=CARTESIAN_POINT('Ctrl Pts',(-23.9691306056399,-20.8730174085668, -73.2502783227568)); #496032=CARTESIAN_POINT('Ctrl Pts',(-23.7897888858784,-21.079750238811, -73.6610290060162)); #496033=CARTESIAN_POINT('Ctrl Pts',(-23.4608195351273,-21.4448921685025, -74.0732931957761)); #496034=CARTESIAN_POINT('Ctrl Pts',(-23.155927320709,-21.7743572316755, -74.3202872286623)); #496035=CARTESIAN_POINT('Ctrl Pts',(-22.8775323325004,-22.06610886502,-74.4428701039436)); #496036=CARTESIAN_POINT('Ctrl Pts',(-22.6458875424529,-22.303065450534, -74.4913021853078)); #496037=CARTESIAN_POINT('Ctrl Pts',(-22.3085298670701,-22.6431709637377, -74.5150702647297)); #496038=CARTESIAN_POINT('Ctrl Pts',(-21.7635104179885,-23.1712456786008, -74.3694084159746)); #496039=CARTESIAN_POINT('Ctrl Pts',(-21.3439970981576,-23.552321012774, -73.976244020057)); #496040=CARTESIAN_POINT('Ctrl Pts',(-21.0484907003618,-23.8160401932922, -73.5739792245113)); #496041=CARTESIAN_POINT('Ctrl Pts',(-20.7517053056877,-24.075019308333, -73.0360098357289)); #496042=CARTESIAN_POINT('Ctrl Pts',(-20.6363604379196,-24.1718943438524, -72.3708169175115)); #496043=CARTESIAN_POINT('Ctrl Pts',(-20.6363604379196,-24.1718943438524, -71.9999999999963)); #496044=CARTESIAN_POINT('Ctrl Pts',(-24.1718943438524,-20.6363604379196, -71.9999999999963)); #496045=CARTESIAN_POINT('Ctrl Pts',(-24.1718943438524,-20.6363604379196, -72.3090140979256)); #496046=CARTESIAN_POINT('Ctrl Pts',(-24.1329912701363,-20.6824814528004, -72.6386031426815)); #496047=CARTESIAN_POINT('Ctrl Pts',(-23.9691306056399,-20.8730174085668, -73.2502783227568)); #496048=CARTESIAN_POINT('Ctrl Pts',(-23.7897888858784,-21.079750238811, -73.6610290060162)); #496049=CARTESIAN_POINT('Ctrl Pts',(-23.4608195351273,-21.4448921685025, -74.0732931957761)); #496050=CARTESIAN_POINT('Ctrl Pts',(-23.155927320709,-21.7743572316755, -74.3202872286623)); #496051=CARTESIAN_POINT('Ctrl Pts',(-22.8775323325004,-22.06610886502,-74.4428701039436)); #496052=CARTESIAN_POINT('Ctrl Pts',(-22.6458875424529,-22.303065450534, -74.4913021853078)); #496053=CARTESIAN_POINT('Ctrl Pts',(-22.3085298670701,-22.6431709637377, -74.5150702647297)); #496054=CARTESIAN_POINT('Ctrl Pts',(-21.7635104179885,-23.1712456786008, -74.3694084159746)); #496055=CARTESIAN_POINT('Ctrl Pts',(-21.3439970981576,-23.552321012774, -73.976244020057)); #496056=CARTESIAN_POINT('Ctrl Pts',(-21.0484907003618,-23.8160401932922, -73.5739792245113)); #496057=CARTESIAN_POINT('Ctrl Pts',(-20.7517053056877,-24.075019308333, -73.0360098357289)); #496058=CARTESIAN_POINT('Ctrl Pts',(-20.6363604379196,-24.1718943438524, -72.3708169175115)); #496059=CARTESIAN_POINT('Ctrl Pts',(-20.6363604379196,-24.1718943438524, -71.9999999999963)); #496060=CARTESIAN_POINT('Origin',(0.,0.,-41.9999999999963)); #496061=CARTESIAN_POINT('',(-22.7657224444502,-26.301256350383,-41.9999999999963)); #496062=CARTESIAN_POINT('',(1.76776695296637,-1.76776695296637,-41.9999999999963)); #496063=CARTESIAN_POINT('',(-26.301256350383,-22.7657224444502,-41.9999999999963)); #496064=CARTESIAN_POINT('Ctrl Pts',(-26.301256350383,-22.7657224444502, -41.9999999999963)); #496065=CARTESIAN_POINT('Ctrl Pts',(-26.301256350383,-22.7657224444502, -41.6360234136521)); #496066=CARTESIAN_POINT('Ctrl Pts',(-26.1841046806,-22.9017010095301,-40.8484130704158)); #496067=CARTESIAN_POINT('Ctrl Pts',(-25.7815592518973,-23.3546648287113, -40.117459985894)); #496068=CARTESIAN_POINT('Ctrl Pts',(-25.3510475304803,-23.8197646853781, -39.7185189220646)); #496069=CARTESIAN_POINT('Ctrl Pts',(-24.8487613366452,-24.3463272066701, -39.4729651557052)); #496070=CARTESIAN_POINT('Ctrl Pts',(-24.3456534394662,-24.8493031891744, -39.472736749715)); #496071=CARTESIAN_POINT('Ctrl Pts',(-23.8857941601028,-25.2881783950467, -39.686155272031)); #496072=CARTESIAN_POINT('Ctrl Pts',(-23.6441672618983,-25.5135481846868, -39.8687383901902)); #496073=CARTESIAN_POINT('Ctrl Pts',(-23.3099646557026,-25.8213675203817, -40.1887364028166)); #496074=CARTESIAN_POINT('Ctrl Pts',(-22.9003568031257,-26.1853085341155, -40.8493679194596)); #496075=CARTESIAN_POINT('Ctrl Pts',(-22.7657224444502,-26.301256350383, -41.6360234136521)); #496076=CARTESIAN_POINT('Ctrl Pts',(-22.7657224444502,-26.301256350383, -41.9999999999963)); #496077=CARTESIAN_POINT('',(-1.76776695296637,1.76776695296637,-41.9999999999963)); #496078=CARTESIAN_POINT('Origin',(1.76776695296637,-1.76776695296637,-71.9999999999963)); #496079=CARTESIAN_POINT('',(-22.7657224444502,-26.301256350383,-71.9999999999963)); #496080=CARTESIAN_POINT('',(1.76776695296637,-1.76776695296637,-71.9999999999963)); #496081=CARTESIAN_POINT('',(-22.7657224444502,-26.301256350383,-1.69922627077551E-17)); #496082=CARTESIAN_POINT('Origin',(-22.7524856651626,-26.640498055686,-8.49613135387754E-18)); #496083=CARTESIAN_POINT('',(-22.7657224444502,-26.301256350383,-71.9999999999963)); #496084=CARTESIAN_POINT('',(-22.7392488858751,-26.9797397609891,-41.9999999999963)); #496085=CARTESIAN_POINT('Ctrl Pts',(-22.7392488858749,-26.9797397609892, -71.9999999999963)); #496086=CARTESIAN_POINT('Ctrl Pts',(-22.7392488858749,-26.9797397609892, -72.2827341522343)); #496087=CARTESIAN_POINT('Ctrl Pts',(-22.7883669512913,-26.9386215406674, -72.7542011387476)); #496088=CARTESIAN_POINT('Ctrl Pts',(-23.0705582113806,-26.6980586561983, -73.6587690137388)); #496089=CARTESIAN_POINT('Ctrl Pts',(-23.4445366223767,-26.372836256596, -74.2329940397847)); #496090=CARTESIAN_POINT('Ctrl Pts',(-23.8864585267063,-25.9700850268746, -74.618650905515)); #496091=CARTESIAN_POINT('Ctrl Pts',(-24.0452703064212,-25.8227499279823, -74.7244668370662)); #496092=CARTESIAN_POINT('Ctrl Pts',(-24.5618181941368,-25.3386307145898, -75.0126311179209)); #496093=CARTESIAN_POINT('Ctrl Pts',(-25.2290960693646,-24.6814501409937, -75.0965292767664)); #496094=CARTESIAN_POINT('Ctrl Pts',(-26.0641363217007,-23.7876323419952, -74.5881429501646)); #496095=CARTESIAN_POINT('Ctrl Pts',(-26.5278590984421,-23.2669564057014, -73.9855210708263)); #496096=CARTESIAN_POINT('Ctrl Pts',(-26.7502060333621,-23.0090531198223, -73.4461525561923)); #496097=CARTESIAN_POINT('Ctrl Pts',(-26.9310484991665,-22.7972854238164, -72.7790542569211)); #496098=CARTESIAN_POINT('Ctrl Pts',(-26.9797397609892,-22.7392488858749, -72.3769789769375)); #496099=CARTESIAN_POINT('Ctrl Pts',(-26.9797397609892,-22.7392488858749, -71.9999999999963)); #496100=CARTESIAN_POINT('Ctrl Pts',(-22.7480734054,-26.7535786241205,-71.9999999999963)); #496101=CARTESIAN_POINT('Ctrl Pts',(-22.7479854866745,-26.7536570542109, -72.2679767234005)); #496102=CARTESIAN_POINT('Ctrl Pts',(-22.7947265171877,-26.7141434052626, -72.7151663202692)); #496103=CARTESIAN_POINT('Ctrl Pts',(-23.0620203188694,-26.484334231887, -73.5714448400761)); #496104=CARTESIAN_POINT('Ctrl Pts',(-23.4155183250217,-26.1746640725857, -74.1129489305417)); #496105=CARTESIAN_POINT('Ctrl Pts',(-23.8319681692393,-25.7933680735828, -74.4755965825421)); #496106=CARTESIAN_POINT('Ctrl Pts',(-23.9814269771792,-25.6541884122468, -74.5748575230317)); #496107=CARTESIAN_POINT('Ctrl Pts',(-24.4670943607866,-25.1975219649302, -74.845246386627)); #496108=CARTESIAN_POINT('Ctrl Pts',(-25.0938615560046,-24.5798377184603, -74.9239018782505)); #496109=CARTESIAN_POINT('Ctrl Pts',(-25.8819830912231,-23.7390386589023, -74.4469508977866)); #496110=CARTESIAN_POINT('Ctrl Pts',(-26.3220313860388,-23.2479109236548, -73.8800391102788)); #496111=CARTESIAN_POINT('Ctrl Pts',(-26.534126901442,-23.0037830751471, -73.3700247989912)); #496112=CARTESIAN_POINT('Ctrl Pts',(-26.7068783384651,-22.8032251740377, -72.7389470070376)); #496113=CARTESIAN_POINT('Ctrl Pts',(-26.7535736500331,-22.7480864091316, -72.3573444613838)); #496114=CARTESIAN_POINT('Ctrl Pts',(-26.7535786241205,-22.7480734054,-71.9999999999963)); #496115=CARTESIAN_POINT('Ctrl Pts',(-22.7568979249251,-26.5274174872517, -71.9999999999963)); #496116=CARTESIAN_POINT('Ctrl Pts',(-22.7569858436507,-26.5273390571613, -72.253617097609)); #496117=CARTESIAN_POINT('Ctrl Pts',(-22.8009800531041,-26.4897557476327, -72.6761741409317)); #496118=CARTESIAN_POINT('Ctrl Pts',(-23.0536056539401,-26.2705129461119, -73.4845280373076)); #496119=CARTESIAN_POINT('Ctrl Pts',(-23.3865530510085,-25.9764716974141, -73.9933316490099)); #496120=CARTESIAN_POINT('Ctrl Pts',(-23.7770677958911,-25.6170158574125, -74.3325402572821)); #496121=CARTESIAN_POINT('Ctrl Pts',(-23.9172224209776,-25.4859645521708, -74.4255337546704)); #496122=CARTESIAN_POINT('Ctrl Pts',(-24.3730422284685,-25.0558557286859, -74.6779565955005)); #496123=CARTESIAN_POINT('Ctrl Pts',(-24.9587515277469,-24.4780810427549, -74.7511816470886)); #496124=CARTESIAN_POINT('Ctrl Pts',(-25.700071094582,-23.6903203360967, -74.3065943285575)); #496125=CARTESIAN_POINT('Ctrl Pts',(-26.1165141900308,-23.228511913166, -73.7743042289415)); #496126=CARTESIAN_POINT('Ctrl Pts',(-26.3182085636437,-22.9984152192898, -73.2944959840387)); #496127=CARTESIAN_POINT('Ctrl Pts',(-26.4828366759862,-22.8089656682711, -72.6982291856679)); #496128=CARTESIAN_POINT('Ctrl Pts',(-26.5274224613391,-22.7568849211935, -72.3381141645631)); #496129=CARTESIAN_POINT('Ctrl Pts',(-26.5274174872517,-22.7568979249251, -71.9999999999963)); #496130=CARTESIAN_POINT('Ctrl Pts',(-22.7657224444502,-26.301256350383, -71.9999999999963)); #496131=CARTESIAN_POINT('Ctrl Pts',(-22.7657224444502,-26.301256350383, -72.2388596687752)); #496132=CARTESIAN_POINT('Ctrl Pts',(-22.8073396190005,-26.265277612228, -72.6371393224533)); #496133=CARTESIAN_POINT('Ctrl Pts',(-23.045067761429,-26.0567885218006, -73.3972038636449)); #496134=CARTESIAN_POINT('Ctrl Pts',(-23.3575347536535,-25.7782995134037, -73.8732865397668)); #496135=CARTESIAN_POINT('Ctrl Pts',(-23.7225774384241,-25.4402989041207, -74.1894859343091)); #496136=CARTESIAN_POINT('Ctrl Pts',(-23.8533790917356,-25.3174030364353, -74.2759244406358)); #496137=CARTESIAN_POINT('Ctrl Pts',(-24.2783183951182,-24.9147469790263, -74.5105718642067)); #496138=CARTESIAN_POINT('Ctrl Pts',(-24.8235170143869,-24.3764686202215, -74.5785542485727)); #496139=CARTESIAN_POINT('Ctrl Pts',(-25.5179178641044,-23.6417266530037, -74.1654022761795)); #496140=CARTESIAN_POINT('Ctrl Pts',(-25.9106864776275,-23.2094664311194, -73.668822268394)); #496141=CARTESIAN_POINT('Ctrl Pts',(-26.1021294317236,-22.9931451746145, -73.2183682268377)); #496142=CARTESIAN_POINT('Ctrl Pts',(-26.2586665152848,-22.8149054184925, -72.6581219357844)); #496143=CARTESIAN_POINT('Ctrl Pts',(-26.301256350383,-22.7657224444502, -72.3184796490094)); #496144=CARTESIAN_POINT('Ctrl Pts',(-26.301256350383,-22.7657224444502, -71.9999999999963)); #496145=CARTESIAN_POINT('',(-26.301256350383,-22.7657224444502,-71.9999999999963)); #496146=CARTESIAN_POINT('',(-26.301256350383,-22.7657224444502,-71.9999999999963)); #496147=CARTESIAN_POINT('Ctrl Pts',(-22.7657224444502,-26.301256350383, -71.9999999999963)); #496148=CARTESIAN_POINT('Ctrl Pts',(-22.7657224444502,-26.301256350383, -72.2388596687752)); #496149=CARTESIAN_POINT('Ctrl Pts',(-22.8073396190005,-26.265277612228, -72.6371393224533)); #496150=CARTESIAN_POINT('Ctrl Pts',(-23.045067761429,-26.0567885218006, -73.3972038636449)); #496151=CARTESIAN_POINT('Ctrl Pts',(-23.3575347536535,-25.7782995134037, -73.8732865397668)); #496152=CARTESIAN_POINT('Ctrl Pts',(-23.7225774384241,-25.4402989041207, -74.1894859343091)); #496153=CARTESIAN_POINT('Ctrl Pts',(-23.8533790917356,-25.3174030364353, -74.2759244406358)); #496154=CARTESIAN_POINT('Ctrl Pts',(-24.2783183951182,-24.9147469790263, -74.5105718642067)); #496155=CARTESIAN_POINT('Ctrl Pts',(-24.8235170143869,-24.3764686202215, -74.5785542485727)); #496156=CARTESIAN_POINT('Ctrl Pts',(-25.5179178641044,-23.6417266530037, -74.1654022761795)); #496157=CARTESIAN_POINT('Ctrl Pts',(-25.9106864776275,-23.2094664311194, -73.668822268394)); #496158=CARTESIAN_POINT('Ctrl Pts',(-26.1021294317236,-22.9931451746145, -73.2183682268377)); #496159=CARTESIAN_POINT('Ctrl Pts',(-26.2586665152848,-22.8149054184925, -72.6581219357844)); #496160=CARTESIAN_POINT('Ctrl Pts',(-26.301256350383,-22.7657224444502, -72.3184796490094)); #496161=CARTESIAN_POINT('Ctrl Pts',(-26.301256350383,-22.7657224444502, -71.9999999999963)); #496162=CARTESIAN_POINT('Origin',(-26.6404980556861,-22.7524856651626,-8.4961313538776E-18)); #496163=CARTESIAN_POINT('',(-26.301256350383,-22.7657224444502,-41.9999999999963)); #496164=CARTESIAN_POINT('',(-26.301256350383,-22.7657224444502,-1.69922627077552E-17)); #496165=CARTESIAN_POINT('Ctrl Pts',(-26.9797397609892,-22.7392488858749, -41.9999999999963)); #496166=CARTESIAN_POINT('Ctrl Pts',(-26.9797397609892,-22.7392488858749, -41.5691670674188)); #496167=CARTESIAN_POINT('Ctrl Pts',(-26.8461727940936,-22.8994724752506, -40.6364838327234)); #496168=CARTESIAN_POINT('Ctrl Pts',(-26.3770485123321,-23.44083756278,-39.7562906375488)); #496169=CARTESIAN_POINT('Ctrl Pts',(-25.8639302251446,-24.0040799579824, -39.2693232067302)); #496170=CARTESIAN_POINT('Ctrl Pts',(-25.2582790351612,-24.6451158864212, -38.9669562198511)); #496171=CARTESIAN_POINT('Ctrl Pts',(-24.6442977210735,-25.2589029890746, -38.9667490190242)); #496172=CARTESIAN_POINT('Ctrl Pts',(-24.0844440518296,-25.7881615576448, -39.2295664982369)); #496173=CARTESIAN_POINT('Ctrl Pts',(-23.7914708718178,-26.0575625547526, -39.4526955423315)); #496174=CARTESIAN_POINT('Ctrl Pts',(-23.3874068472951,-26.4234619611826, -39.8421669666421)); #496175=CARTESIAN_POINT('Ctrl Pts',(-22.8978924197258,-26.8475543842325, -40.6376353821042)); #496176=CARTESIAN_POINT('Ctrl Pts',(-22.7392488858749,-26.9797397609892, -41.569167067419)); #496177=CARTESIAN_POINT('Ctrl Pts',(-22.7392488858749,-26.9797397609892, -41.9999999999963)); #496178=CARTESIAN_POINT('Ctrl Pts',(-26.7535786241205,-22.7480734054,-41.9999999999963)); #496179=CARTESIAN_POINT('Ctrl Pts',(-26.7535786241205,-22.7480734054,-41.5914525161632)); #496180=CARTESIAN_POINT('Ctrl Pts',(-26.6254834229291,-22.9002153200104, -40.7071269119542)); #496181=CARTESIAN_POINT('Ctrl Pts',(-26.1785520921872,-23.4121133180904, -39.8766804203305)); #496182=CARTESIAN_POINT('Ctrl Pts',(-25.6929693269232,-23.942641533781, -39.4190551118417)); #496183=CARTESIAN_POINT('Ctrl Pts',(-25.1217731356559,-24.5455196598375, -39.1356258651359)); #496184=CARTESIAN_POINT('Ctrl Pts',(-24.5447496272044,-25.1223697224412, -39.1354115959211)); #496185=CARTESIAN_POINT('Ctrl Pts',(-24.018227421254,-25.6215005034454, -39.3817627561683)); #496186=CARTESIAN_POINT('Ctrl Pts',(-23.7423696685113,-25.8762244313974, -39.5913764916177)); #496187=CARTESIAN_POINT('Ctrl Pts',(-23.3615927834309,-26.222763814249, -39.9576901120336)); #496188=CARTESIAN_POINT('Ctrl Pts',(-22.8987138808591,-26.6268057675269, -40.708212894556)); #496189=CARTESIAN_POINT('Ctrl Pts',(-22.7480734054,-26.7535786241205,-41.5914525161634)); #496190=CARTESIAN_POINT('Ctrl Pts',(-22.7480734054,-26.7535786241205,-41.9999999999963)); #496191=CARTESIAN_POINT('Ctrl Pts',(-26.5274174872517,-22.7568979249251, -41.9999999999963)); #496192=CARTESIAN_POINT('Ctrl Pts',(-26.5274174872517,-22.7568979249251, -41.6137379649077)); #496193=CARTESIAN_POINT('Ctrl Pts',(-26.4047940517645,-22.9009581647703, -40.777769991185)); #496194=CARTESIAN_POINT('Ctrl Pts',(-25.9800556720423,-23.3833890734008, -39.9970702031123)); #496195=CARTESIAN_POINT('Ctrl Pts',(-25.5220084287018,-23.8812031095795, -39.5687870169532)); #496196=CARTESIAN_POINT('Ctrl Pts',(-24.9852672361505,-24.4459234332538, -39.3042955104205)); #496197=CARTESIAN_POINT('Ctrl Pts',(-24.4452015333353,-24.9858364558078, -39.304074172818)); #496198=CARTESIAN_POINT('Ctrl Pts',(-23.9520107906784,-25.454839449246, -39.5339590140996)); #496199=CARTESIAN_POINT('Ctrl Pts',(-23.6932684652048,-25.6948863080421, -39.7300574409039)); #496200=CARTESIAN_POINT('Ctrl Pts',(-23.3357787195667,-26.0220656673153, -40.0732132574251)); #496201=CARTESIAN_POINT('Ctrl Pts',(-22.8995353419924,-26.4060571508212, -40.7787904070078)); #496202=CARTESIAN_POINT('Ctrl Pts',(-22.7568979249251,-26.5274174872517, -41.6137379649078)); #496203=CARTESIAN_POINT('Ctrl Pts',(-22.7568979249251,-26.5274174872517, -41.9999999999963)); #496204=CARTESIAN_POINT('Ctrl Pts',(-26.301256350383,-22.7657224444502, -41.9999999999963)); #496205=CARTESIAN_POINT('Ctrl Pts',(-26.301256350383,-22.7657224444502, -41.6360234136521)); #496206=CARTESIAN_POINT('Ctrl Pts',(-26.1841046806,-22.9017010095301,-40.8484130704158)); #496207=CARTESIAN_POINT('Ctrl Pts',(-25.7815592518973,-23.3546648287113, -40.117459985894)); #496208=CARTESIAN_POINT('Ctrl Pts',(-25.3510475304803,-23.8197646853781, -39.7185189220646)); #496209=CARTESIAN_POINT('Ctrl Pts',(-24.8487613366452,-24.3463272066701, -39.4729651557052)); #496210=CARTESIAN_POINT('Ctrl Pts',(-24.3456534394662,-24.8493031891744, -39.472736749715)); #496211=CARTESIAN_POINT('Ctrl Pts',(-23.8857941601028,-25.2881783950467, -39.686155272031)); #496212=CARTESIAN_POINT('Ctrl Pts',(-23.6441672618983,-25.5135481846868, -39.8687383901902)); #496213=CARTESIAN_POINT('Ctrl Pts',(-23.3099646557026,-25.8213675203817, -40.1887364028166)); #496214=CARTESIAN_POINT('Ctrl Pts',(-22.9003568031257,-26.1853085341155, -40.8493679194596)); #496215=CARTESIAN_POINT('Ctrl Pts',(-22.7657224444502,-26.301256350383, -41.6360234136521)); #496216=CARTESIAN_POINT('Ctrl Pts',(-22.7657224444502,-26.301256350383, -41.9999999999963)); #496217=CARTESIAN_POINT('Origin',(0.,0.,-71.9999999999963)); #496218=CARTESIAN_POINT('',(-1.76776695296637,1.76776695296637,-71.9999999999963)); #496219=CARTESIAN_POINT('Origin',(-1.76776695296637,1.76776695296637,-41.9999999999963)); #496220=CARTESIAN_POINT('Origin',(-27.9691273015784,-14.5607235892093,-36.9999999999963)); #496221=CARTESIAN_POINT('',(-28.3156945283913,-14.4347252024043,-41.9999999999963)); #496222=CARTESIAN_POINT('',(-28.3156945283913,-14.4347252024043,-41.9999999999963)); #496223=CARTESIAN_POINT('',(-28.3156945283913,-14.4347252024043,-71.9999999999963)); #496224=CARTESIAN_POINT('',(-27.6225600747655,-14.6867219760143,-71.9999999999963)); #496225=CARTESIAN_POINT('',(-28.3156945283913,-14.4347252024043,-36.9999999999963)); #496226=CARTESIAN_POINT('Ctrl Pts',(-27.6225600747654,-14.6867219760146, -41.9999999999963)); #496227=CARTESIAN_POINT('Ctrl Pts',(-27.6225600747654,-14.6867219760146, -41.5690758501199)); #496228=CARTESIAN_POINT('Ctrl Pts',(-27.6937813604812,-14.5552273774095, -40.8732218782907)); #496229=CARTESIAN_POINT('Ctrl Pts',(-27.9224203402056,-14.1102607281457, -40.1015910866813)); #496230=CARTESIAN_POINT('Ctrl Pts',(-28.1924569611177,-13.5687703542076, -39.4891509239688)); #496231=CARTESIAN_POINT('Ctrl Pts',(-28.6762679930703,-12.5343893460808, -38.9354270250768)); #496232=CARTESIAN_POINT('Ctrl Pts',(-29.0498837667096,-11.6230851339083, -38.9741221557829)); #496233=CARTESIAN_POINT('Ctrl Pts',(-29.2840648855022,-11.008180395497, -39.1792165502929)); #496234=CARTESIAN_POINT('Ctrl Pts',(-29.3756791159214,-10.761641650503, -39.288742044106)); #496235=CARTESIAN_POINT('Ctrl Pts',(-29.4778105690957,-10.478244551835, -39.4555200338372)); #496236=CARTESIAN_POINT('Ctrl Pts',(-29.6576668570779,-9.96459727114246, -39.8447083163922)); #496237=CARTESIAN_POINT('Ctrl Pts',(-29.857282864367,-9.34644173770401, -40.6391955192432)); #496238=CARTESIAN_POINT('Ctrl Pts',(-29.9171802452408,-9.14701883995801, -41.5690758501202)); #496239=CARTESIAN_POINT('Ctrl Pts',(-29.9171802452408,-9.14701883995801, -41.9999999999963)); #496240=CARTESIAN_POINT('Ctrl Pts',(-27.8536048926407,-14.6027230514778, -41.9999999999963)); #496241=CARTESIAN_POINT('Ctrl Pts',(-27.8536048926407,-14.6027230514778, -41.5949976584836)); #496242=CARTESIAN_POINT('Ctrl Pts',(-27.9195609017307,-14.4796425355049, -40.940895517911)); #496243=CARTESIAN_POINT('Ctrl Pts',(-28.1327494307108,-14.0609140016113, -40.2124881782313)); #496244=CARTESIAN_POINT('Ctrl Pts',(-28.3854734227053,-13.549991822984, -39.6325601551717)); #496245=CARTESIAN_POINT('Ctrl Pts',(-28.8413038446154,-12.5699436034594, -39.1052684638261)); #496246=CARTESIAN_POINT('Ctrl Pts',(-29.1963706808845,-11.7043527283981, -39.1420885516276)); #496247=CARTESIAN_POINT('Ctrl Pts',(-29.4191173259557,-11.1219377720289, -39.3374391175289)); #496248=CARTESIAN_POINT('Ctrl Pts',(-29.5063015995853,-10.888607520865, -39.4416546679238)); #496249=CARTESIAN_POINT('Ctrl Pts',(-29.603500351616,-10.6207927127903, -39.6001604835997)); #496250=CARTESIAN_POINT('Ctrl Pts',(-29.7746730630902,-10.1360795486126, -39.9695285457585)); #496251=CARTESIAN_POINT('Ctrl Pts',(-29.9643996960495,-9.55580440284504, -40.7209012045377)); #496252=CARTESIAN_POINT('Ctrl Pts',(-30.0211573935661,-9.36978840658796, -41.5949976584838)); #496253=CARTESIAN_POINT('Ctrl Pts',(-30.0211573935661,-9.36978840658796, -41.9999999999963)); #496254=CARTESIAN_POINT('Ctrl Pts',(-28.084649710516,-14.5187241269411, -41.9999999999963)); #496255=CARTESIAN_POINT('Ctrl Pts',(-28.084649710516,-14.5187241269411, -41.6209194668472)); #496256=CARTESIAN_POINT('Ctrl Pts',(-28.1453404429801,-14.4040576936002, -41.0085691575314)); #496257=CARTESIAN_POINT('Ctrl Pts',(-28.3430785212158,-14.011567275077, -40.3233852697814)); #496258=CARTESIAN_POINT('Ctrl Pts',(-28.5784898842928,-13.5312132917603, -39.7759693863745)); #496259=CARTESIAN_POINT('Ctrl Pts',(-29.0063396961605,-12.605497860838, -39.2751099025754)); #496260=CARTESIAN_POINT('Ctrl Pts',(-29.3428575950595,-11.785620322888, -39.3100549474723)); #496261=CARTESIAN_POINT('Ctrl Pts',(-29.5541697664091,-11.2356951485607, -39.495661684765)); #496262=CARTESIAN_POINT('Ctrl Pts',(-29.6369240832493,-11.015573391227, -39.5945672917417)); #496263=CARTESIAN_POINT('Ctrl Pts',(-29.7291901341363,-10.7633408737456, -39.7448009333623)); #496264=CARTESIAN_POINT('Ctrl Pts',(-29.8916792691026,-10.3075618260828, -40.0943487751247)); #496265=CARTESIAN_POINT('Ctrl Pts',(-30.0715165277319,-9.76516706798606, -40.8026068898323)); #496266=CARTESIAN_POINT('Ctrl Pts',(-30.1251345418914,-9.59255797321792, -41.6209194668473)); #496267=CARTESIAN_POINT('Ctrl Pts',(-30.1251345418914,-9.59255797321792, -41.9999999999963)); #496268=CARTESIAN_POINT('Ctrl Pts',(-28.3156945283913,-14.4347252024043, -41.9999999999963)); #496269=CARTESIAN_POINT('Ctrl Pts',(-28.3156945283913,-14.4347252024043, -41.6468412752109)); #496270=CARTESIAN_POINT('Ctrl Pts',(-28.3711199842296,-14.3284728516955, -41.0762427971517)); #496271=CARTESIAN_POINT('Ctrl Pts',(-28.5534076117209,-13.9622205485426, -40.4342823613314)); #496272=CARTESIAN_POINT('Ctrl Pts',(-28.7715063458803,-13.5124347605367, -39.9193786175774)); #496273=CARTESIAN_POINT('Ctrl Pts',(-29.1713755477055,-12.6410521182166, -39.4449513413247)); #496274=CARTESIAN_POINT('Ctrl Pts',(-29.4893445092344,-11.8668879173779, -39.478021343317)); #496275=CARTESIAN_POINT('Ctrl Pts',(-29.6892222068626,-11.3494525250926, -39.6538842520011)); #496276=CARTESIAN_POINT('Ctrl Pts',(-29.7675465669132,-11.1425392615889, -39.7474799155595)); #496277=CARTESIAN_POINT('Ctrl Pts',(-29.8548799166565,-10.905889034701, -39.8894413831249)); #496278=CARTESIAN_POINT('Ctrl Pts',(-30.0086854751149,-10.4790441035529, -40.219169004491)); #496279=CARTESIAN_POINT('Ctrl Pts',(-30.1786333594144,-9.97452973312709, -40.8843125751269)); #496280=CARTESIAN_POINT('Ctrl Pts',(-30.2291116902168,-9.81532753984788, -41.6468412752108)); #496281=CARTESIAN_POINT('Ctrl Pts',(-30.2291116902168,-9.81532753984788, -41.9999999999963)); #496282=CARTESIAN_POINT('',(-30.2291116902168,-9.81532753984788,-41.9999999999963)); #496283=CARTESIAN_POINT('',(-30.2291116902168,-9.81532753984788,-41.9999999999963)); #496284=CARTESIAN_POINT('Ctrl Pts',(-28.3156945283913,-14.4347252024043, -41.9999999999963)); #496285=CARTESIAN_POINT('Ctrl Pts',(-28.3156945283913,-14.4347252024043, -41.6468412752109)); #496286=CARTESIAN_POINT('Ctrl Pts',(-28.3711199842296,-14.3284728516955, -41.0762427971517)); #496287=CARTESIAN_POINT('Ctrl Pts',(-28.5534076117209,-13.9622205485426, -40.4342823613314)); #496288=CARTESIAN_POINT('Ctrl Pts',(-28.7715063458803,-13.5124347605367, -39.9193786175774)); #496289=CARTESIAN_POINT('Ctrl Pts',(-29.1713755477055,-12.6410521182166, -39.4449513413247)); #496290=CARTESIAN_POINT('Ctrl Pts',(-29.4893445092344,-11.8668879173779, -39.478021343317)); #496291=CARTESIAN_POINT('Ctrl Pts',(-29.6892222068626,-11.3494525250926, -39.6538842520011)); #496292=CARTESIAN_POINT('Ctrl Pts',(-29.7675465669132,-11.1425392615889, -39.7474799155595)); #496293=CARTESIAN_POINT('Ctrl Pts',(-29.8548799166565,-10.905889034701, -39.8894413831249)); #496294=CARTESIAN_POINT('Ctrl Pts',(-30.0086854751149,-10.4790441035529, -40.219169004491)); #496295=CARTESIAN_POINT('Ctrl Pts',(-30.1786333594144,-9.97452973312709, -40.8843125751269)); #496296=CARTESIAN_POINT('Ctrl Pts',(-30.2291116902168,-9.81532753984788, -41.6468412752108)); #496297=CARTESIAN_POINT('Ctrl Pts',(-30.2291116902168,-9.81532753984788, -41.9999999999963)); #496298=CARTESIAN_POINT('Origin',(-30.0731459677287,-9.48117318990308,-36.9999999999963)); #496299=CARTESIAN_POINT('',(-30.2291116902168,-9.81532753984788,-71.9999999999963)); #496300=CARTESIAN_POINT('',(-30.2291116902168,-9.81532753984788,-71.9999999999963)); #496301=CARTESIAN_POINT('',(-30.2291116902168,-9.81532753984788,-36.9999999999963)); #496302=CARTESIAN_POINT('Ctrl Pts',(-29.9171802452408,-9.14701883995801, -71.9999999999963)); #496303=CARTESIAN_POINT('Ctrl Pts',(-29.9171802452408,-9.14701883995801, -72.4309241498725)); #496304=CARTESIAN_POINT('Ctrl Pts',(-29.8745293785277,-9.29045891600863, -73.1268622816152)); #496305=CARTESIAN_POINT('Ctrl Pts',(-29.7218382507062,-9.76613821702304, -73.8983383920772)); #496306=CARTESIAN_POINT('Ctrl Pts',(-29.529439279221,-10.3410163771892, -74.5110482382563)); #496307=CARTESIAN_POINT('Ctrl Pts',(-29.1236254302649,-11.4609830024041, -75.0899615251639)); #496308=CARTESIAN_POINT('Ctrl Pts',(-28.7151919613917,-12.4289962129156, -75.0196598532262)); #496309=CARTESIAN_POINT('Ctrl Pts',(-28.4469591391987,-13.0190075535638, -74.7772640079529)); #496310=CARTESIAN_POINT('Ctrl Pts',(-28.3599170402321,-13.2077667447616, -74.6835197033666)); #496311=CARTESIAN_POINT('Ctrl Pts',(-28.2748765061084,-13.3887254889076, -74.573157986063)); #496312=CARTESIAN_POINT('Ctrl Pts',(-28.1938588274763,-13.5580097076184, -74.4468728355318)); #496313=CARTESIAN_POINT('Ctrl Pts',(-28.000837280617,-13.9570519936424, -74.1115818217161)); #496314=CARTESIAN_POINT('Ctrl Pts',(-27.7208775630356,-14.5040439272205, -73.3603337724081)); #496315=CARTESIAN_POINT('Ctrl Pts',(-27.6225600747654,-14.6867219760146, -72.4309241498723)); #496316=CARTESIAN_POINT('Ctrl Pts',(-27.6225600747654,-14.6867219760146, -71.9999999999963)); #496317=CARTESIAN_POINT('Ctrl Pts',(-30.0211573935661,-9.36978840658796, -71.9999999999963)); #496318=CARTESIAN_POINT('Ctrl Pts',(-30.0211573935661,-9.36978840658796, -72.4050023415089)); #496319=CARTESIAN_POINT('Ctrl Pts',(-29.9807338186103,-9.50355116325318, -73.0591830504081)); #496320=CARTESIAN_POINT('Ctrl Pts',(-29.8356545131148,-9.94978900475681, -73.7874503952301)); #496321=CARTESIAN_POINT('Ctrl Pts',(-29.6526547916838,-10.4907503573098, -74.3676229536169)); #496322=CARTESIAN_POINT('Ctrl Pts',(-29.266243063203,-11.5500121825284, -74.9189561273797)); #496323=CARTESIAN_POINT('Ctrl Pts',(-28.878531822228,-12.4697774135772, -74.8519278724426)); #496324=CARTESIAN_POINT('Ctrl Pts',(-28.6253842483213,-13.0290562891187, -74.6211445423448)); #496325=CARTESIAN_POINT('Ctrl Pts',(-28.543320619703,-13.2079202238273, -74.5319817834636)); #496326=CARTESIAN_POINT('Ctrl Pts',(-28.4632697609939,-13.3792588749889, -74.4270855061189)); #496327=CARTESIAN_POINT('Ctrl Pts',(-28.3871341146093,-13.5393961879067, -74.3072037389413)); #496328=CARTESIAN_POINT('Ctrl Pts',(-28.2059209747998,-13.9166645369396, -73.9891289339656)); #496329=CARTESIAN_POINT('Ctrl Pts',(-27.9446864401525,-14.4317017555377, -73.2786541203592)); #496330=CARTESIAN_POINT('Ctrl Pts',(-27.8536048926407,-14.6027230514778, -72.4050023415088)); #496331=CARTESIAN_POINT('Ctrl Pts',(-27.8536048926407,-14.6027230514778, -71.9999999999963)); #496332=CARTESIAN_POINT('Ctrl Pts',(-30.1251345418914,-9.59255797321792, -71.9999999999963)); #496333=CARTESIAN_POINT('Ctrl Pts',(-30.1251345418914,-9.59255797321792, -72.3790805331454)); #496334=CARTESIAN_POINT('Ctrl Pts',(-30.0869382586929,-9.71664341049773, -72.9915038192011)); #496335=CARTESIAN_POINT('Ctrl Pts',(-29.9494707755234,-10.1334397924906, -73.6765623983831)); #496336=CARTESIAN_POINT('Ctrl Pts',(-29.7758703041465,-10.6404843374304, -74.2241976689776)); #496337=CARTESIAN_POINT('Ctrl Pts',(-29.4088606961411,-11.6390413626526, -74.7479507295957)); #496338=CARTESIAN_POINT('Ctrl Pts',(-29.0418716830643,-12.5105586142388, -74.6841958916591)); #496339=CARTESIAN_POINT('Ctrl Pts',(-28.803809357444,-13.0391050246736, -74.4650250767367)); #496340=CARTESIAN_POINT('Ctrl Pts',(-28.7267241991739,-13.208073702893, -74.3804438635607)); #496341=CARTESIAN_POINT('Ctrl Pts',(-28.6516630158794,-13.3697922610702, -74.2810130261748)); #496342=CARTESIAN_POINT('Ctrl Pts',(-28.5804094017423,-13.520782668195, -74.1675346423508)); #496343=CARTESIAN_POINT('Ctrl Pts',(-28.4110046689826,-13.8762770802368, -73.8666760462152)); #496344=CARTESIAN_POINT('Ctrl Pts',(-28.1684953172694,-14.3593595838549, -73.1969744683103)); #496345=CARTESIAN_POINT('Ctrl Pts',(-28.084649710516,-14.5187241269411, -72.3790805331453)); #496346=CARTESIAN_POINT('Ctrl Pts',(-28.084649710516,-14.5187241269411, -71.9999999999963)); #496347=CARTESIAN_POINT('Ctrl Pts',(-30.2291116902168,-9.81532753984788, -71.9999999999963)); #496348=CARTESIAN_POINT('Ctrl Pts',(-30.2291116902168,-9.81532753984788, -72.3531587247818)); #496349=CARTESIAN_POINT('Ctrl Pts',(-30.1931426987754,-9.92973565774228, -72.9238245879941)); #496350=CARTESIAN_POINT('Ctrl Pts',(-30.063287037932,-10.3170905802244, -73.565674401536)); #496351=CARTESIAN_POINT('Ctrl Pts',(-29.8990858166092,-10.790218317551, -74.0807723843383)); #496352=CARTESIAN_POINT('Ctrl Pts',(-29.5514783290792,-11.7280705427769, -74.5769453318116)); #496353=CARTESIAN_POINT('Ctrl Pts',(-29.2052115439005,-12.5513398149004, -74.5164639108755)); #496354=CARTESIAN_POINT('Ctrl Pts',(-28.9822344665667,-13.0491537602285, -74.3089056111287)); #496355=CARTESIAN_POINT('Ctrl Pts',(-28.9101277786448,-13.2082271819587, -74.2289059436578)); #496356=CARTESIAN_POINT('Ctrl Pts',(-28.8400562707649,-13.3603256471515, -74.1349405462308)); #496357=CARTESIAN_POINT('Ctrl Pts',(-28.7736846888754,-13.5021691484832, -74.0278655457603)); #496358=CARTESIAN_POINT('Ctrl Pts',(-28.6160883631654,-13.835889623534, -73.7442231584647)); #496359=CARTESIAN_POINT('Ctrl Pts',(-28.3923041943862,-14.2870174121722, -73.1152948162614)); #496360=CARTESIAN_POINT('Ctrl Pts',(-28.3156945283913,-14.4347252024043, -72.3531587247818)); #496361=CARTESIAN_POINT('Ctrl Pts',(-28.3156945283913,-14.4347252024043, -71.9999999999963)); #496362=CARTESIAN_POINT('Ctrl Pts',(-30.2291116902168,-9.81532753984788, -71.9999999999963)); #496363=CARTESIAN_POINT('Ctrl Pts',(-30.2291116902168,-9.81532753984788, -72.3531587247818)); #496364=CARTESIAN_POINT('Ctrl Pts',(-30.1931426987754,-9.92973565774228, -72.9238245879941)); #496365=CARTESIAN_POINT('Ctrl Pts',(-30.063287037932,-10.3170905802244, -73.565674401536)); #496366=CARTESIAN_POINT('Ctrl Pts',(-29.8990858166092,-10.790218317551, -74.0807723843383)); #496367=CARTESIAN_POINT('Ctrl Pts',(-29.5514783290792,-11.7280705427769, -74.5769453318116)); #496368=CARTESIAN_POINT('Ctrl Pts',(-29.2052115439005,-12.5513398149004, -74.5164639108755)); #496369=CARTESIAN_POINT('Ctrl Pts',(-28.9822344665667,-13.0491537602285, -74.3089056111287)); #496370=CARTESIAN_POINT('Ctrl Pts',(-28.9101277786448,-13.2082271819587, -74.2289059436578)); #496371=CARTESIAN_POINT('Ctrl Pts',(-28.8400562707649,-13.3603256471515, -74.1349405462308)); #496372=CARTESIAN_POINT('Ctrl Pts',(-28.7736846888754,-13.5021691484832, -74.0278655457603)); #496373=CARTESIAN_POINT('Ctrl Pts',(-28.6160883631654,-13.835889623534, -73.7442231584647)); #496374=CARTESIAN_POINT('Ctrl Pts',(-28.3923041943862,-14.2870174121722, -73.1152948162614)); #496375=CARTESIAN_POINT('Ctrl Pts',(-28.3156945283913,-14.4347252024043, -72.3531587247818)); #496376=CARTESIAN_POINT('Ctrl Pts',(-28.3156945283913,-14.4347252024043, -71.9999999999963)); #496377=CARTESIAN_POINT('Origin',(0.,0.,-41.9999999999963)); #496378=CARTESIAN_POINT('',(-31.097840064939,-15.5871276161381,-41.9999999999963)); #496379=CARTESIAN_POINT('',(0.956708580912724,-2.30969883127822,-41.9999999999963)); #496380=CARTESIAN_POINT('',(-33.0112572267645,-10.9677299535817,-41.9999999999963)); #496381=CARTESIAN_POINT('Ctrl Pts',(-33.0112572267645,-10.9677299535817, -41.9999999999963)); #496382=CARTESIAN_POINT('Ctrl Pts',(-33.0112572267645,-10.9677299535817, -41.6815203509833)); #496383=CARTESIAN_POINT('Ctrl Pts',(-32.9907789247603,-11.0293632891377, -41.3418200444286)); #496384=CARTESIAN_POINT('Ctrl Pts',(-32.9189906594896,-11.2403872133004, -40.8141521001934)); #496385=CARTESIAN_POINT('Ctrl Pts',(-32.8382740170044,-11.4751420481514, -40.4077147062738)); #496386=CARTESIAN_POINT('Ctrl Pts',(-32.6764855530248,-11.9287665245445, -39.9529533294697)); #496387=CARTESIAN_POINT('Ctrl Pts',(-32.4581044344635,-12.5124743823823, -39.6184658589743)); #496388=CARTESIAN_POINT('Ctrl Pts',(-32.2486745345833,-13.0402592947673, -39.5024542564327)); #496389=CARTESIAN_POINT('Ctrl Pts',(-32.0828989629306,-13.4410584435587, -39.49870978095)); #496390=CARTESIAN_POINT('Ctrl Pts',(-31.9085028002847,-13.8521826194509, -39.5519132547773)); #496391=CARTESIAN_POINT('Ctrl Pts',(-31.682597366774,-14.3627785423233, -39.7422523336783)); #496392=CARTESIAN_POINT('Ctrl Pts',(-31.445716375311,-14.8731671551006, -40.1336630149467)); #496393=CARTESIAN_POINT('Ctrl Pts',(-31.2576713556484,-15.2640702762416, -40.6490595027813)); #496394=CARTESIAN_POINT('Ctrl Pts',(-31.1245824173383,-15.5338339228457, -41.3121629028969)); #496395=CARTESIAN_POINT('Ctrl Pts',(-31.097840064939,-15.5871276161381, -41.7770643544567)); #496396=CARTESIAN_POINT('Ctrl Pts',(-31.097840064939,-15.5871276161381, -41.9999999999963)); #496397=CARTESIAN_POINT('',(-0.956708580912724,2.30969883127822,-41.9999999999963)); #496398=CARTESIAN_POINT('Origin',(0.956708580912725,-2.30969883127822,-71.9999999999963)); #496399=CARTESIAN_POINT('',(-31.097840064939,-15.5871276161381,-71.9999999999963)); #496400=CARTESIAN_POINT('',(0.956708580912725,-2.30969883127822,-71.9999999999963)); #496401=CARTESIAN_POINT('',(-31.097840064939,-15.5871276161381,-1.69922627077549E-17)); #496402=CARTESIAN_POINT('Origin',(-31.2154330556656,-15.9056115803731,-8.49613135387745E-18)); #496403=CARTESIAN_POINT('',(-31.097840064939,-15.5871276161381,-71.9999999999963)); #496404=CARTESIAN_POINT('',(-31.3330260463922,-16.2240955446081,-41.9999999999963)); #496405=CARTESIAN_POINT('Ctrl Pts',(-31.3330260463922,-16.2240955446082, -71.9999999999963)); #496406=CARTESIAN_POINT('Ctrl Pts',(-31.3330260463922,-16.2240955446082, -72.3769787838144)); #496407=CARTESIAN_POINT('Ctrl Pts',(-31.4056764113403,-16.0851679200147, -73.1866779794001)); #496408=CARTESIAN_POINT('Ctrl Pts',(-31.6618374608314,-15.5771953758491, -74.0187391553767)); #496409=CARTESIAN_POINT('Ctrl Pts',(-31.9160320541455,-15.047416418432, -74.5039498940897)); #496410=CARTESIAN_POINT('Ctrl Pts',(-32.3698859559582,-14.0683298498162, -75.0837501979865)); #496411=CARTESIAN_POINT('Ctrl Pts',(-32.7593496346246,-13.1301270054864, -75.0764484386751)); #496412=CARTESIAN_POINT('Ctrl Pts',(-33.097618309557,-12.2308445732471, -74.6824141524862)); #496413=CARTESIAN_POINT('Ctrl Pts',(-33.2432063509058,-11.831687368641, -74.4390286392698)); #496414=CARTESIAN_POINT('Ctrl Pts',(-33.4071082106039,-11.35890332218,-73.9750427246389)); #496415=CARTESIAN_POINT('Ctrl Pts',(-33.5773897131587,-10.8460633563856, -73.1837991864909)); #496416=CARTESIAN_POINT('Ctrl Pts',(-33.6279631707096,-10.6836272142877, -72.4523746435771)); #496417=CARTESIAN_POINT('Ctrl Pts',(-33.6279631707096,-10.6836272142877, -71.9999999999963)); #496418=CARTESIAN_POINT('Ctrl Pts',(-31.2546307192411,-16.0117729017849, -71.9999999999963)); #496419=CARTESIAN_POINT('Ctrl Pts',(-31.2547078869581,-16.0116970410162, -72.3576937387522)); #496420=CARTESIAN_POINT('Ctrl Pts',(-31.3233004487925,-15.8788981390173, -73.1251975415183)); #496421=CARTESIAN_POINT('Ctrl Pts',(-31.5646200549356,-15.3957146663892, -73.9113805877117)); #496422=CARTESIAN_POINT('Ctrl Pts',(-31.8036074176812,-14.8940835454297, -74.3680820450748)); #496423=CARTESIAN_POINT('Ctrl Pts',(-32.2295924598116,-13.9700566313929, -74.9123464672786)); #496424=CARTESIAN_POINT('Ctrl Pts',(-32.595263421887,-13.0889656808535, -74.9050434225501)); #496425=CARTESIAN_POINT('Ctrl Pts',(-32.915397895626,-12.2423589763154, -74.5356451152016)); #496426=CARTESIAN_POINT('Ctrl Pts',(-33.0535410578081,-11.8660396835447, -74.3070075924612)); #496427=CARTESIAN_POINT('Ctrl Pts',(-33.209990673874,-11.4191170164971, -73.8698711298171)); #496428=CARTESIAN_POINT('Ctrl Pts',(-33.3733941772925,-10.9330321690419, -73.1224182735674)); #496429=CARTESIAN_POINT('Ctrl Pts',(-33.4224041615275,-10.7784592350696, -72.4290075705805)); #496430=CARTESIAN_POINT('Ctrl Pts',(-33.4223945227279,-10.7783281273857, -71.9999999999963)); #496431=CARTESIAN_POINT('Ctrl Pts',(-31.1762353920901,-15.7994502589615, -71.9999999999963)); #496432=CARTESIAN_POINT('Ctrl Pts',(-31.1761582243731,-15.7995261197302, -72.3377645309174)); #496433=CARTESIAN_POINT('Ctrl Pts',(-31.2408021669226,-15.6728976037747, -73.0639771039796)); #496434=CARTESIAN_POINT('Ctrl Pts',(-31.4672773871787,-15.2144210800482, -73.8035817425694)); #496435=CARTESIAN_POINT('Ctrl Pts',(-31.6908780416507,-14.7413096127222, -74.2318861682273)); #496436=CARTESIAN_POINT('Ctrl Pts',(-32.0895900531397,-13.8712488452605, -74.7408295714503)); #496437=CARTESIAN_POINT('Ctrl Pts',(-32.4312732970125,-13.0479484649137, -74.7337517706269)); #496438=CARTESIAN_POINT('Ctrl Pts',(-32.7332015677973,-12.2533841545398, -74.3884752923388)); #496439=CARTESIAN_POINT('Ctrl Pts',(-32.8639708364662,-11.8999313645412, -74.1746002410675)); #496440=CARTESIAN_POINT('Ctrl Pts',(-33.01286743163,-11.479102190475,-73.7646409948638)); #496441=CARTESIAN_POINT('Ctrl Pts',(-33.1694440137888,-11.0198243495692, -73.0610532232885)); #496442=CARTESIAN_POINT('Ctrl Pts',(-33.2168162359465,-10.8728979327998, -72.405542543039)); #496443=CARTESIAN_POINT('Ctrl Pts',(-33.2168258747462,-10.8730290404837, -71.9999999999963)); #496444=CARTESIAN_POINT('Ctrl Pts',(-31.097840064939,-15.5871276161381, -71.9999999999963)); #496445=CARTESIAN_POINT('Ctrl Pts',(-31.097840064939,-15.5871276161381, -72.3184794858551)); #496446=CARTESIAN_POINT('Ctrl Pts',(-31.1584262043748,-15.4666278227773, -73.0024966660978)); #496447=CARTESIAN_POINT('Ctrl Pts',(-31.370059981283,-15.0329403705883, -73.6962231749044)); #496448=CARTESIAN_POINT('Ctrl Pts',(-31.5784534051863,-14.5879767397198, -74.0960183192124)); #496449=CARTESIAN_POINT('Ctrl Pts',(-31.9492965569932,-13.7729756268372, -74.5694258407424)); #496450=CARTESIAN_POINT('Ctrl Pts',(-32.2671870842749,-13.0067871402807, -74.5623467545019)); #496451=CARTESIAN_POINT('Ctrl Pts',(-32.5509811538663,-12.2648985576082, -74.2417062550541)); #496452=CARTESIAN_POINT('Ctrl Pts',(-32.6743055433684,-11.9342836794449, -74.0425791942589)); #496453=CARTESIAN_POINT('Ctrl Pts',(-32.8157498949001,-11.5393158847921, -73.659469400042)); #496454=CARTESIAN_POINT('Ctrl Pts',(-32.9654484779226,-11.1067931622255, -72.999672310365)); #496455=CARTESIAN_POINT('Ctrl Pts',(-33.0112572267645,-10.9677299535817, -72.3821754700425)); #496456=CARTESIAN_POINT('Ctrl Pts',(-33.0112572267645,-10.9677299535817, -71.9999999999963)); #496457=CARTESIAN_POINT('',(-33.0112572267645,-10.9677299535817,-71.9999999999963)); #496458=CARTESIAN_POINT('',(-33.0112572267645,-10.9677299535817,-71.9999999999963)); #496459=CARTESIAN_POINT('Ctrl Pts',(-31.097840064939,-15.5871276161381, -71.9999999999963)); #496460=CARTESIAN_POINT('Ctrl Pts',(-31.097840064939,-15.5871276161381, -72.3184794858551)); #496461=CARTESIAN_POINT('Ctrl Pts',(-31.1584262043748,-15.4666278227773, -73.0024966660978)); #496462=CARTESIAN_POINT('Ctrl Pts',(-31.370059981283,-15.0329403705883, -73.6962231749044)); #496463=CARTESIAN_POINT('Ctrl Pts',(-31.5784534051863,-14.5879767397198, -74.0960183192124)); #496464=CARTESIAN_POINT('Ctrl Pts',(-31.9492965569932,-13.7729756268372, -74.5694258407424)); #496465=CARTESIAN_POINT('Ctrl Pts',(-32.2671870842749,-13.0067871402807, -74.5623467545019)); #496466=CARTESIAN_POINT('Ctrl Pts',(-32.5509811538663,-12.2648985576082, -74.2417062550541)); #496467=CARTESIAN_POINT('Ctrl Pts',(-32.6743055433684,-11.9342836794449, -74.0425791942589)); #496468=CARTESIAN_POINT('Ctrl Pts',(-32.8157498949001,-11.5393158847921, -73.659469400042)); #496469=CARTESIAN_POINT('Ctrl Pts',(-32.9654484779226,-11.1067931622255, -72.999672310365)); #496470=CARTESIAN_POINT('Ctrl Pts',(-33.0112572267645,-10.9677299535817, -72.3821754700425)); #496471=CARTESIAN_POINT('Ctrl Pts',(-33.0112572267645,-10.9677299535817, -71.9999999999963)); #496472=CARTESIAN_POINT('Origin',(-33.319610198737,-10.8256785839348,-8.49613135387756E-18)); #496473=CARTESIAN_POINT('',(-33.0112572267645,-10.9677299535817,-41.9999999999963)); #496474=CARTESIAN_POINT('',(-33.0112572267645,-10.9677299535817,-1.69922627077551E-17)); #496475=CARTESIAN_POINT('Ctrl Pts',(-33.6279631707096,-10.6836272142877, -41.9999999999963)); #496476=CARTESIAN_POINT('Ctrl Pts',(-33.6279631707096,-10.6836272142877, -41.6230210230553)); #496477=CARTESIAN_POINT('Ctrl Pts',(-33.6052504817902,-10.7557462363925, -41.2208719885449)); #496478=CARTESIAN_POINT('Ctrl Pts',(-33.5244304416466,-11.0046005209023, -40.5925752957064)); #496479=CARTESIAN_POINT('Ctrl Pts',(-33.4329616316044,-11.2824162907642, -40.106281335014)); #496480=CARTESIAN_POINT('Ctrl Pts',(-33.2459095188726,-11.8247475048156, -39.5559284473563)); #496481=CARTESIAN_POINT('Ctrl Pts',(-32.9877121137599,-12.5301099376373, -39.1463800924169)); #496482=CARTESIAN_POINT('Ctrl Pts',(-32.7349996043554,-13.172629095624, -39.0029406874445)); #496483=CARTESIAN_POINT('Ctrl Pts',(-32.5332318704589,-13.6605833756751, -38.9983737991739)); #496484=CARTESIAN_POINT('Ctrl Pts',(-32.3201715716203,-14.1604902109202, -39.0640302641705)); #496485=CARTESIAN_POINT('Ctrl Pts',(-32.0433645769632,-14.7780453863471, -39.2984678578805)); #496486=CARTESIAN_POINT('Ctrl Pts',(-31.7539794951087,-15.3877090943565, -39.7754162818641)); #496487=CARTESIAN_POINT('Ctrl Pts',(-31.5256806278609,-15.8483741669842, -40.395994877103)); #496488=CARTESIAN_POINT('Ctrl Pts',(-31.3651266692748,-16.1625677539262, -41.1859101621239)); #496489=CARTESIAN_POINT('Ctrl Pts',(-31.3330260463922,-16.2240955446082, -41.7361148448863)); #496490=CARTESIAN_POINT('Ctrl Pts',(-31.3330260463922,-16.2240955446082, -41.9999999999963)); #496491=CARTESIAN_POINT('Ctrl Pts',(-33.4223945227279,-10.7783281273857, -41.9999999999963)); #496492=CARTESIAN_POINT('Ctrl Pts',(-33.4223865693618,-10.7783886253286, -41.6425471928188)); #496493=CARTESIAN_POINT('Ctrl Pts',(-33.4004303753988,-10.8469472687966, -41.2611475745237)); #496494=CARTESIAN_POINT('Ctrl Pts',(-33.3225885320553,-11.083263227284, -40.6664869584646)); #496495=CARTESIAN_POINT('Ctrl Pts',(-33.2346999133443,-11.3467640248641, -40.206669624688)); #496496=CARTESIAN_POINT('Ctrl Pts',(-33.0560643061722,-11.8594809998044, -39.688400463299)); #496497=CARTESIAN_POINT('Ctrl Pts',(-32.8111347995291,-12.5243149630773, -39.3038161649152)); #496498=CARTESIAN_POINT('Ctrl Pts',(-32.5728266578893,-13.1286237535453, -39.1695781872938)); #496499=CARTESIAN_POINT('Ctrl Pts',(-32.3830946603758,-13.5874480813674, -39.165268695373)); #496500=CARTESIAN_POINT('Ctrl Pts',(-32.1828942615435,-14.0578179511893, -39.2267908714387)); #496501=CARTESIAN_POINT('Ctrl Pts',(-31.9230733667853,-14.639689229922, -39.4464965371554)); #496502=CARTESIAN_POINT('Ctrl Pts',(-31.6512398685019,-15.2161675231372, -39.8948378693205)); #496503=CARTESIAN_POINT('Ctrl Pts',(-31.4363474712841,-15.6536001522135, -40.4803647652654)); #496504=CARTESIAN_POINT('Ctrl Pts',(-31.2849893687341,-15.9529154438225, -41.2279303684507)); #496505=CARTESIAN_POINT('Ctrl Pts',(-31.2545677975167,-16.0118918055633, -41.7499009623342)); #496506=CARTESIAN_POINT('Ctrl Pts',(-31.2546307192411,-16.0117729017849, -41.9999999999963)); #496507=CARTESIAN_POINT('Ctrl Pts',(-33.2168258747462,-10.8730290404837, -41.9999999999963)); #496508=CARTESIAN_POINT('Ctrl Pts',(-33.2168338281123,-10.8729685425408, -41.6619941812197)); #496509=CARTESIAN_POINT('Ctrl Pts',(-33.1955990311517,-10.9381622567337, -41.3015444584498)); #496510=CARTESIAN_POINT('Ctrl Pts',(-33.1208325690809,-11.1617245069187, -40.7402404374352)); #496511=CARTESIAN_POINT('Ctrl Pts',(-33.0365357352645,-11.4107943140515, -40.3073264165998)); #496512=CARTESIAN_POINT('Ctrl Pts',(-32.8663307657253,-11.8940330295558, -39.820481313527)); #496513=CARTESIAN_POINT('Ctrl Pts',(-32.6346817486944,-12.5182693569423, -39.461029786476)); #496514=CARTESIAN_POINT('Ctrl Pts',(-32.4108474810493,-13.084264636846, -39.3358167565834)); #496515=CARTESIAN_POINT('Ctrl Pts',(-32.2330361730137,-13.5141937378665, -39.3318148847509)); #496516=CARTESIAN_POINT('Ctrl Pts',(-32.0457801103616,-13.9548548791818, -39.3891526475092)); #496517=CARTESIAN_POINT('Ctrl Pts',(-31.8028885769519,-14.5011346987484, -39.5942236544034)); #496518=CARTESIAN_POINT('Ctrl Pts',(-31.5484560019179,-15.0447087263199, -40.0142414274902)); #496519=CARTESIAN_POINT('Ctrl Pts',(-31.3470045122252,-15.4588442910124, -40.5646896146188)); #496520=CARTESIAN_POINT('Ctrl Pts',(-31.204719717879,-15.7434862329494, -41.2701426965702)); #496521=CARTESIAN_POINT('Ctrl Pts',(-31.1762983138146,-15.799331355183, -41.7632782370088)); #496522=CARTESIAN_POINT('Ctrl Pts',(-31.1762353920901,-15.7994502589615, -41.9999999999963)); #496523=CARTESIAN_POINT('Ctrl Pts',(-33.0112572267645,-10.9677299535817, -41.9999999999963)); #496524=CARTESIAN_POINT('Ctrl Pts',(-33.0112572267645,-10.9677299535817, -41.6815203509833)); #496525=CARTESIAN_POINT('Ctrl Pts',(-32.9907789247603,-11.0293632891377, -41.3418200444286)); #496526=CARTESIAN_POINT('Ctrl Pts',(-32.9189906594896,-11.2403872133004, -40.8141521001934)); #496527=CARTESIAN_POINT('Ctrl Pts',(-32.8382740170044,-11.4751420481514, -40.4077147062738)); #496528=CARTESIAN_POINT('Ctrl Pts',(-32.6764855530248,-11.9287665245445, -39.9529533294697)); #496529=CARTESIAN_POINT('Ctrl Pts',(-32.4581044344635,-12.5124743823823, -39.6184658589743)); #496530=CARTESIAN_POINT('Ctrl Pts',(-32.2486745345833,-13.0402592947673, -39.5024542564327)); #496531=CARTESIAN_POINT('Ctrl Pts',(-32.0828989629306,-13.4410584435587, -39.49870978095)); #496532=CARTESIAN_POINT('Ctrl Pts',(-31.9085028002847,-13.8521826194509, -39.5519132547773)); #496533=CARTESIAN_POINT('Ctrl Pts',(-31.682597366774,-14.3627785423233, -39.7422523336783)); #496534=CARTESIAN_POINT('Ctrl Pts',(-31.445716375311,-14.8731671551006, -40.1336630149467)); #496535=CARTESIAN_POINT('Ctrl Pts',(-31.2576713556484,-15.2640702762416, -40.6490595027813)); #496536=CARTESIAN_POINT('Ctrl Pts',(-31.1245824173383,-15.5338339228457, -41.3121629028969)); #496537=CARTESIAN_POINT('Ctrl Pts',(-31.097840064939,-15.5871276161381, -41.7770643544567)); #496538=CARTESIAN_POINT('Ctrl Pts',(-31.097840064939,-15.5871276161381, -41.9999999999963)); #496539=CARTESIAN_POINT('Origin',(0.,0.,-71.9999999999963)); #496540=CARTESIAN_POINT('',(-0.956708580912725,2.30969883127822,-71.9999999999963)); #496541=CARTESIAN_POINT('Origin',(-0.956708580912724,2.30969883127822,-41.9999999999963)); #496542=CARTESIAN_POINT('Origin',(-31.4122519369689,-2.74903286660058,-36.9999999999963)); #496543=CARTESIAN_POINT('',(-31.6842208093255,-2.5,-41.9999999999963)); #496544=CARTESIAN_POINT('',(-31.6842208093255,-2.5,-41.9999999999963)); #496545=CARTESIAN_POINT('',(-31.6842208093255,-2.5,-71.9999999999963)); #496546=CARTESIAN_POINT('',(-31.1402830646123,-2.99806573320117,-71.9999999999963)); #496547=CARTESIAN_POINT('',(-31.6842208093255,-2.5,-36.9999999999963)); #496548=CARTESIAN_POINT('Ctrl Pts',(-31.1402830646122,-2.99806573320146, -41.9999999999963)); #496549=CARTESIAN_POINT('Ctrl Pts',(-31.1402830646122,-2.99806573320146, -41.5690758501199)); #496550=CARTESIAN_POINT('Ctrl Pts',(-31.1615630175515,-2.79031753799107, -40.6362730629213)); #496551=CARTESIAN_POINT('Ctrl Pts',(-31.2191870173496,-2.07603375893279, -39.7562494035257)); #496552=CARTESIAN_POINT('Ctrl Pts',(-31.2591612938052,-1.31511340917225, -39.2693310436615)); #496553=CARTESIAN_POINT('Ctrl Pts',(-31.2873840153207,-0.433642654299325, -38.9669447212466)); #496554=CARTESIAN_POINT('Ctrl Pts',(-31.2872285438282,0.434663262720137, -38.9667401875334)); #496555=CARTESIAN_POINT('Ctrl Pts',(-31.2628266170424,1.20471819197486, -39.2295738173719)); #496556=CARTESIAN_POINT('Ctrl Pts',(-31.2440270355763,1.60230292528809, -39.4526838274716)); #496557=CARTESIAN_POINT('Ctrl Pts',(-31.2135896766594,2.14660808148739, -39.8421102962863)); #496558=CARTESIAN_POINT('Ctrl Pts',(-31.1614045784027,2.79241362108958, -40.6374228681298)); #496559=CARTESIAN_POINT('Ctrl Pts',(-31.1402830646122,2.99806573320146, -41.5690758501201)); #496560=CARTESIAN_POINT('Ctrl Pts',(-31.1402830646122,2.99806573320146, -41.9999999999963)); #496561=CARTESIAN_POINT('Ctrl Pts',(-31.3215956461833,-2.8320438221343, -41.9999999999963)); #496562=CARTESIAN_POINT('Ctrl Pts',(-31.3215956461833,-2.8320438221343, -41.5949976584836)); #496563=CARTESIAN_POINT('Ctrl Pts',(-31.3406130545762,-2.63792756574358, -40.7181422894779)); #496564=CARTESIAN_POINT('Ctrl Pts',(-31.3923153367427,-1.96641253817986, -39.8858672377108)); #496565=CARTESIAN_POINT('Ctrl Pts',(-31.4282968460968,-1.2470974732863, -39.4233029670096)); #496566=CARTESIAN_POINT('Ctrl Pts',(-31.453736132003,-0.411785159080293, -39.1352503821758)); #496567=CARTESIAN_POINT('Ctrl Pts',(-31.4535961233166,0.412744624979076, -39.1350769547642)); #496568=CARTESIAN_POINT('Ctrl Pts',(-31.4315985475833,1.14249559634671, -39.3854579612988)); #496569=CARTESIAN_POINT('Ctrl Pts',(-31.4146750089676,1.51856363320765, -39.5974872627626)); #496570=CARTESIAN_POINT('Ctrl Pts',(-31.3872915907425,2.03276771322873, -39.967083007419)); #496571=CARTESIAN_POINT('Ctrl Pts',(-31.3404716085771,2.63988624315063, -40.7192306794259)); #496572=CARTESIAN_POINT('Ctrl Pts',(-31.3215956461833,2.83204382213431, -41.5949976584837)); #496573=CARTESIAN_POINT('Ctrl Pts',(-31.3215956461833,2.83204382213431, -41.9999999999963)); #496574=CARTESIAN_POINT('Ctrl Pts',(-31.5029082277544,-2.66602191106715, -41.9999999999963)); #496575=CARTESIAN_POINT('Ctrl Pts',(-31.5029082277544,-2.66602191106715, -41.6209194668472)); #496576=CARTESIAN_POINT('Ctrl Pts',(-31.5196630916008,-2.48553759349608, -40.8000115160345)); #496577=CARTESIAN_POINT('Ctrl Pts',(-31.5654436561357,-1.85679131742693, -40.0154850718959)); #496578=CARTESIAN_POINT('Ctrl Pts',(-31.5974323983885,-1.17908153740034, -39.5772748903577)); #496579=CARTESIAN_POINT('Ctrl Pts',(-31.6200882486852,-0.389927663861261, -39.303556043105)); #496580=CARTESIAN_POINT('Ctrl Pts',(-31.6199637028049,0.390825987238013, -39.3034137219949)); #496581=CARTESIAN_POINT('Ctrl Pts',(-31.6003704781241,1.08027300071856, -39.5413421052257)); #496582=CARTESIAN_POINT('Ctrl Pts',(-31.5853229823588,1.43482434112721, -39.7422906980536)); #496583=CARTESIAN_POINT('Ctrl Pts',(-31.5609935048257,1.91892734497008, -40.0920557185518)); #496584=CARTESIAN_POINT('Ctrl Pts',(-31.5195386387515,2.48735886521168, -40.801038490722)); #496585=CARTESIAN_POINT('Ctrl Pts',(-31.5029082277544,2.66602191106716, -41.6209194668473)); #496586=CARTESIAN_POINT('Ctrl Pts',(-31.5029082277544,2.66602191106716, -41.9999999999963)); #496587=CARTESIAN_POINT('Ctrl Pts',(-31.6842208093255,-2.5,-41.9999999999963)); #496588=CARTESIAN_POINT('Ctrl Pts',(-31.6842208093255,-2.5,-41.6468412752109)); #496589=CARTESIAN_POINT('Ctrl Pts',(-31.6987131286255,-2.33314762124859, -40.8818807425911)); #496590=CARTESIAN_POINT('Ctrl Pts',(-31.7385719755288,-1.747170096674,-40.145102906081)); #496591=CARTESIAN_POINT('Ctrl Pts',(-31.7665679506801,-1.11106560151439, -39.7312468137059)); #496592=CARTESIAN_POINT('Ctrl Pts',(-31.7864403653674,-0.36807016864223, -39.4718617040343)); #496593=CARTESIAN_POINT('Ctrl Pts',(-31.7863312822933,0.36890734949695, -39.4717504892257)); #496594=CARTESIAN_POINT('Ctrl Pts',(-31.769142408665,1.01805040509041,-39.6972262491526)); #496595=CARTESIAN_POINT('Ctrl Pts',(-31.75597095575,1.35108504904676,-39.8870941333446)); #496596=CARTESIAN_POINT('Ctrl Pts',(-31.7346954189089,1.80508697671142, -40.2170284296846)); #496597=CARTESIAN_POINT('Ctrl Pts',(-31.6986056689259,2.33483148727272, -40.8828463020181)); #496598=CARTESIAN_POINT('Ctrl Pts',(-31.6842208093255,2.5,-41.6468412752109)); #496599=CARTESIAN_POINT('Ctrl Pts',(-31.6842208093255,2.5,-41.9999999999963)); #496600=CARTESIAN_POINT('',(-31.6842208093255,2.5,-41.9999999999963)); #496601=CARTESIAN_POINT('',(-31.6842208093255,2.5,-41.9999999999963)); #496602=CARTESIAN_POINT('Ctrl Pts',(-31.6842208093255,-2.5,-41.9999999999963)); #496603=CARTESIAN_POINT('Ctrl Pts',(-31.6842208093255,-2.5,-41.6468412752109)); #496604=CARTESIAN_POINT('Ctrl Pts',(-31.6987131286255,-2.33314762124859, -40.8818807425911)); #496605=CARTESIAN_POINT('Ctrl Pts',(-31.7385719755288,-1.747170096674,-40.145102906081)); #496606=CARTESIAN_POINT('Ctrl Pts',(-31.7665679506801,-1.11106560151439, -39.7312468137059)); #496607=CARTESIAN_POINT('Ctrl Pts',(-31.7864403653674,-0.36807016864223, -39.4718617040343)); #496608=CARTESIAN_POINT('Ctrl Pts',(-31.7863312822933,0.36890734949695, -39.4717504892257)); #496609=CARTESIAN_POINT('Ctrl Pts',(-31.769142408665,1.01805040509041,-39.6972262491526)); #496610=CARTESIAN_POINT('Ctrl Pts',(-31.75597095575,1.35108504904676,-39.8870941333446)); #496611=CARTESIAN_POINT('Ctrl Pts',(-31.7346954189089,1.80508697671142, -40.2170284296846)); #496612=CARTESIAN_POINT('Ctrl Pts',(-31.6986056689259,2.33483148727272, -40.8828463020181)); #496613=CARTESIAN_POINT('Ctrl Pts',(-31.6842208093255,2.5,-41.6468412752109)); #496614=CARTESIAN_POINT('Ctrl Pts',(-31.6842208093255,2.5,-41.9999999999963)); #496615=CARTESIAN_POINT('Origin',(-31.4122519369689,2.74903286660059,-36.9999999999963)); #496616=CARTESIAN_POINT('',(-31.6842208093255,2.5,-71.9999999999963)); #496617=CARTESIAN_POINT('',(-31.6842208093255,2.5,-71.9999999999963)); #496618=CARTESIAN_POINT('',(-31.6842208093255,2.5,-36.9999999999963)); #496619=CARTESIAN_POINT('Ctrl Pts',(-31.1402830646122,2.99806573320146, -71.9999999999963)); #496620=CARTESIAN_POINT('Ctrl Pts',(-31.1402830646122,2.99806573320146, -72.4309241498726)); #496621=CARTESIAN_POINT('Ctrl Pts',(-31.1557755278929,2.84925304205419, -73.1268979677136)); #496622=CARTESIAN_POINT('Ctrl Pts',(-31.1967424677015,2.35135695499332, -73.8983798596783)); #496623=CARTESIAN_POINT('Ctrl Pts',(-31.238986035687,1.74661952710948,-74.5110942848117)); #496624=CARTESIAN_POINT('Ctrl Pts',(-31.2926577232442,0.556639846375817, -75.0900305946958)); #496625=CARTESIAN_POINT('Ctrl Pts',(-31.2857587660115,-0.493924362586801, -75.0197483704145)); #496626=CARTESIAN_POINT('Ctrl Pts',(-31.2637313107906,-1.14167516210151, -74.7773500877536)); #496627=CARTESIAN_POINT('Ctrl Pts',(-31.2555499263654,-1.3493747811762, -74.6836059787486)); #496628=CARTESIAN_POINT('Ctrl Pts',(-31.2462323167529,-1.54910631939975, -74.5732411782799)); #496629=CARTESIAN_POINT('Ctrl Pts',(-31.2361640532521,-1.73650788857123, -74.4469564547108)); #496630=CARTESIAN_POINT('Ctrl Pts',(-31.2105412057543,-2.17905612007201, -74.1116552118204)); #496631=CARTESIAN_POINT('Ctrl Pts',(-31.1612148763694,-2.79157581771252, -73.3603836486283)); #496632=CARTESIAN_POINT('Ctrl Pts',(-31.1402830646122,-2.99806573320146, -72.4309241498723)); #496633=CARTESIAN_POINT('Ctrl Pts',(-31.1402830646122,-2.99806573320146, -71.9999999999963)); #496634=CARTESIAN_POINT('Ctrl Pts',(-31.3215956461833,2.83204382213431, -71.9999999999963)); #496635=CARTESIAN_POINT('Ctrl Pts',(-31.3215956461833,2.83204382213431, -72.405002341509)); #496636=CARTESIAN_POINT('Ctrl Pts',(-31.3354420060761,2.69302216493321, -73.0592167345575)); #496637=CARTESIAN_POINT('Ctrl Pts',(-31.3721745328115,2.22523893580828, -73.787489529455)); #496638=CARTESIAN_POINT('Ctrl Pts',(-31.4101223994574,1.65543291795721, -74.3676664139763)); #496639=CARTESIAN_POINT('Ctrl Pts',(-31.4584883571414,0.528960160868758, -74.9190213121779)); #496640=CARTESIAN_POINT('Ctrl Pts',(-31.4522703482041,-0.469102318659175, -74.8520113801482)); #496641=CARTESIAN_POINT('Ctrl Pts',(-31.4324190627884,-1.08268697151402, -74.6212257563276)); #496642=CARTESIAN_POINT('Ctrl Pts',(-31.4250504329088,-1.27933942687574, -74.5320631811594)); #496643=CARTESIAN_POINT('Ctrl Pts',(-31.4166612663014,-1.46827346070671, -74.4271639949085)); #496644=CARTESIAN_POINT('Ctrl Pts',(-31.407603038162,-1.64535616444383, -74.3072826304479)); #496645=CARTESIAN_POINT('Ctrl Pts',(-31.3845573270189,-2.06326811386391, -73.9891981731242)); #496646=CARTESIAN_POINT('Ctrl Pts',(-31.3403024889693,-2.6390979555133, -73.2787011717976)); #496647=CARTESIAN_POINT('Ctrl Pts',(-31.3215956461833,-2.8320438221343, -72.4050023415088)); #496648=CARTESIAN_POINT('Ctrl Pts',(-31.3215956461833,-2.83204382213431, -71.9999999999963)); #496649=CARTESIAN_POINT('Ctrl Pts',(-31.5029082277544,2.66602191106716, -71.9999999999963)); #496650=CARTESIAN_POINT('Ctrl Pts',(-31.5029082277544,2.66602191106716, -72.3790805331454)); #496651=CARTESIAN_POINT('Ctrl Pts',(-31.5151084842594,2.53679128781224, -72.9915355014013)); #496652=CARTESIAN_POINT('Ctrl Pts',(-31.5476065979216,2.09912091662323, -73.6765991992317)); #496653=CARTESIAN_POINT('Ctrl Pts',(-31.5812587632278,1.56424630880494, -74.2242385431408)); #496654=CARTESIAN_POINT('Ctrl Pts',(-31.6243189910385,0.501280475361705, -74.7480120296601)); #496655=CARTESIAN_POINT('Ctrl Pts',(-31.6187819303966,-0.444280274731545, -74.6842743898818)); #496656=CARTESIAN_POINT('Ctrl Pts',(-31.6011068147863,-1.02369878092653, -74.4651014249017)); #496657=CARTESIAN_POINT('Ctrl Pts',(-31.5945509394522,-1.20930407257529, -74.3805203835703)); #496658=CARTESIAN_POINT('Ctrl Pts',(-31.5870902158498,-1.38744060201366, -74.2810868115371)); #496659=CARTESIAN_POINT('Ctrl Pts',(-31.5790420230719,-1.55420444031643, -74.1676088061851)); #496660=CARTESIAN_POINT('Ctrl Pts',(-31.5585734482836,-1.94748010765581, -73.8667411344279)); #496661=CARTESIAN_POINT('Ctrl Pts',(-31.5193901015693,-2.48662009331408, -73.1970186949668)); #496662=CARTESIAN_POINT('Ctrl Pts',(-31.5029082277544,-2.66602191106715, -72.3790805331453)); #496663=CARTESIAN_POINT('Ctrl Pts',(-31.5029082277544,-2.66602191106715, -71.9999999999963)); #496664=CARTESIAN_POINT('Ctrl Pts',(-31.6842208093255,2.5,-71.9999999999963)); #496665=CARTESIAN_POINT('Ctrl Pts',(-31.6842208093255,2.5,-72.3531587247818)); #496666=CARTESIAN_POINT('Ctrl Pts',(-31.6947749624427,2.38056041069126, -72.9238542682452)); #496667=CARTESIAN_POINT('Ctrl Pts',(-31.7230386630317,1.97300289743818, -73.5657088690084)); #496668=CARTESIAN_POINT('Ctrl Pts',(-31.7523951269982,1.47305969965267, -74.0808106723054)); #496669=CARTESIAN_POINT('Ctrl Pts',(-31.7901496249357,0.473600789854647, -74.5770027471423)); #496670=CARTESIAN_POINT('Ctrl Pts',(-31.7852935125892,-0.419458230803917, -74.5165373996155)); #496671=CARTESIAN_POINT('Ctrl Pts',(-31.7697945667841,-0.964710590339043, -74.3089770934758)); #496672=CARTESIAN_POINT('Ctrl Pts',(-31.7640514459957,-1.13926871827483, -74.2289775859811)); #496673=CARTESIAN_POINT('Ctrl Pts',(-31.7575191653983,-1.30660774332062, -74.1350096281657)); #496674=CARTESIAN_POINT('Ctrl Pts',(-31.7504810079818,-1.46305271618903, -74.0279349819223)); #496675=CARTESIAN_POINT('Ctrl Pts',(-31.7325895695482,-1.83169210144771, -73.7442840957317)); #496676=CARTESIAN_POINT('Ctrl Pts',(-31.6984777141693,-2.33414223111486, -73.1153362181361)); #496677=CARTESIAN_POINT('Ctrl Pts',(-31.6842208093255,-2.5,-72.3531587247818)); #496678=CARTESIAN_POINT('Ctrl Pts',(-31.6842208093255,-2.5,-71.9999999999963)); #496679=CARTESIAN_POINT('Ctrl Pts',(-31.6842208093255,2.5,-71.9999999999963)); #496680=CARTESIAN_POINT('Ctrl Pts',(-31.6842208093255,2.5,-72.3531587247818)); #496681=CARTESIAN_POINT('Ctrl Pts',(-31.6947749624427,2.38056041069126, -72.9238542682452)); #496682=CARTESIAN_POINT('Ctrl Pts',(-31.7230386630317,1.97300289743818, -73.5657088690084)); #496683=CARTESIAN_POINT('Ctrl Pts',(-31.7523951269982,1.47305969965267, -74.0808106723054)); #496684=CARTESIAN_POINT('Ctrl Pts',(-31.7901496249357,0.473600789854647, -74.5770027471423)); #496685=CARTESIAN_POINT('Ctrl Pts',(-31.7852935125892,-0.419458230803917, -74.5165373996155)); #496686=CARTESIAN_POINT('Ctrl Pts',(-31.7697945667841,-0.964710590339043, -74.3089770934758)); #496687=CARTESIAN_POINT('Ctrl Pts',(-31.7640514459957,-1.13926871827483, -74.2289775859811)); #496688=CARTESIAN_POINT('Ctrl Pts',(-31.7575191653983,-1.30660774332062, -74.1350096281657)); #496689=CARTESIAN_POINT('Ctrl Pts',(-31.7504810079818,-1.46305271618903, -74.0279349819223)); #496690=CARTESIAN_POINT('Ctrl Pts',(-31.7325895695482,-1.83169210144771, -73.7442840957317)); #496691=CARTESIAN_POINT('Ctrl Pts',(-31.6984777141693,-2.33414223111486, -73.1153362181361)); #496692=CARTESIAN_POINT('Ctrl Pts',(-31.6842208093255,-2.5,-72.3531587247818)); #496693=CARTESIAN_POINT('Ctrl Pts',(-31.6842208093255,-2.5,-71.9999999999963)); #496694=CARTESIAN_POINT('Origin',(0.,0.,-41.9999999999963)); #496695=CARTESIAN_POINT('',(-34.6955934381631,-2.5,-41.9999999999963)); #496696=CARTESIAN_POINT('',(-1.53080849893419E-16,-2.5,-41.9999999999963)); #496697=CARTESIAN_POINT('',(-34.6955934381631,2.5,-41.9999999999963)); #496698=CARTESIAN_POINT('Ctrl Pts',(-34.6955934381631,2.5,-41.9999999999963)); #496699=CARTESIAN_POINT('Ctrl Pts',(-34.6955934381631,2.5,-41.6815203509833)); #496700=CARTESIAN_POINT('Ctrl Pts',(-34.7052029075409,2.37021417276786, -41.0022434295061)); #496701=CARTESIAN_POINT('Ctrl Pts',(-34.7292643938556,1.97886874793944, -40.4396859929983)); #496702=CARTESIAN_POINT('Ctrl Pts',(-34.7537247146475,1.4873643380387,-39.9549452826182)); #496703=CARTESIAN_POINT('Ctrl Pts',(-34.7751185073987,0.960380588262175, -39.6275477251912)); #496704=CARTESIAN_POINT('Ctrl Pts',(-34.7855125245311,0.185377220627367, -39.4838300440719)); #496705=CARTESIAN_POINT('Ctrl Pts',(-34.7840104949329,-0.371216479419973, -39.5040955275507)); #496706=CARTESIAN_POINT('Ctrl Pts',(-34.7747694868321,-0.855532253144191, -39.6347946211765)); #496707=CARTESIAN_POINT('Ctrl Pts',(-34.7650233097842,-1.16726603809895, -39.7851044784794)); #496708=CARTESIAN_POINT('Ctrl Pts',(-34.7587974199645,-1.33782193599937, -39.8862778322471)); #496709=CARTESIAN_POINT('Ctrl Pts',(-34.7427826609544,-1.73934258618068, -40.1589276459301)); #496710=CARTESIAN_POINT('Ctrl Pts',(-34.7103905226105,-2.29942451612705, -40.788980332958)); #496711=CARTESIAN_POINT('Ctrl Pts',(-34.6955934381631,-2.5,-41.6178246387197)); #496712=CARTESIAN_POINT('Ctrl Pts',(-34.6955934381631,-2.5,-41.9999999999963)); #496713=CARTESIAN_POINT('',(1.53080849893419E-16,2.5,-41.9999999999963)); #496714=CARTESIAN_POINT('Origin',(-1.53080849893419E-16,-2.5,-71.9999999999963)); #496715=CARTESIAN_POINT('',(-34.6955934381631,-2.5,-71.9999999999963)); #496716=CARTESIAN_POINT('',(-1.53080849893419E-16,-2.5,-71.9999999999963)); #496717=CARTESIAN_POINT('',(-34.6955934381631,-2.5,-1.69922627077549E-17)); #496718=CARTESIAN_POINT('Origin',(-34.9261137320489,-2.74923992667642,-8.49613135387747E-18)); #496719=CARTESIAN_POINT('',(-34.6955934381631,-2.5,-71.9999999999963)); #496720=CARTESIAN_POINT('',(-35.1566340259346,-2.99847985335284,-41.9999999999963)); #496721=CARTESIAN_POINT('Ctrl Pts',(-35.1566340259346,-2.99847985335298, -71.9999999999963)); #496722=CARTESIAN_POINT('Ctrl Pts',(-35.1566340259346,-2.99847985335299, -72.4308329325739)); #496723=CARTESIAN_POINT('Ctrl Pts',(-35.1703643873278,-2.84981576006348, -73.1267631035288)); #496724=CARTESIAN_POINT('Ctrl Pts',(-35.2066670205568,-2.3511280108514, -73.8985107948277)); #496725=CARTESIAN_POINT('Ctrl Pts',(-35.2441363651356,-1.74731662625756, -74.5110552715817)); #496726=CARTESIAN_POINT('Ctrl Pts',(-35.2894966774507,-0.606551375927314, -75.0649742432279)); #496727=CARTESIAN_POINT('Ctrl Pts',(-35.2863213643987,0.378135817485095, -75.0261131608661)); #496728=CARTESIAN_POINT('Ctrl Pts',(-35.2695262410815,1.03573064064646, -74.8211745586919)); #496729=CARTESIAN_POINT('Ctrl Pts',(-35.260878316265,1.29915349873797,-74.7111681385088)); #496730=CARTESIAN_POINT('Ctrl Pts',(-35.2505512984742,1.54881929823021, -74.5731445564563)); #496731=CARTESIAN_POINT('Ctrl Pts',(-35.2416247577606,1.7362763347329,-74.446859310338)); #496732=CARTESIAN_POINT('Ctrl Pts',(-35.2189064506931,2.17897364970912, -74.1115159173017)); #496733=CARTESIAN_POINT('Ctrl Pts',(-35.1751791151753,2.79176958106162, -73.3601166126395)); #496734=CARTESIAN_POINT('Ctrl Pts',(-35.1566340259346,2.99847985335299, -72.4308329325739)); #496735=CARTESIAN_POINT('Ctrl Pts',(-35.1566340259346,2.99847985335299, -71.9999999999963)); #496736=CARTESIAN_POINT('Ctrl Pts',(-35.0029538300108,-2.83231990223532, -71.9999999999963)); #496737=CARTESIAN_POINT('Ctrl Pts',(-35.0029538300108,-2.83231990223533, -72.4085474838294)); #496738=CARTESIAN_POINT('Ctrl Pts',(-35.0153393015127,-2.69048468422544, -73.0684065785978)); #496739=CARTESIAN_POINT('Ctrl Pts',(-35.0480035281471,-2.21704373941359, -73.7976473782349)); #496740=CARTESIAN_POINT('Ctrl Pts',(-35.0816642709792,-1.64527367985374, -74.3749385733055)); #496741=CARTESIAN_POINT('Ctrl Pts',(-35.1222936121288,-0.569785817027493, -74.8943551726948)); #496742=CARTESIAN_POINT('Ctrl Pts',(-35.1194446876809,0.355314610309638, -74.8578790250971)); #496743=CARTESIAN_POINT('Ctrl Pts',(-35.1044075898237,0.974556652992607, -74.6657590949973)); #496744=CARTESIAN_POINT('Ctrl Pts',(-35.0966596034324,1.22277565772804, -74.5625293472006)); #496745=CARTESIAN_POINT('Ctrl Pts',(-35.0874021688413,1.45831542550453, -74.4328825891205)); #496746=CARTESIAN_POINT('Ctrl Pts',(-35.079394487315,1.63534419698923,-74.3141303810368)); #496747=CARTESIAN_POINT('Ctrl Pts',(-35.0590087687412,2.05367389676275, -73.9986011655343)); #496748=CARTESIAN_POINT('Ctrl Pts',(-35.0196826975929,2.63515100333423, -73.2896581852178)); #496749=CARTESIAN_POINT('Ctrl Pts',(-35.0029538300108,2.83231990223533, -72.4085474838294)); #496750=CARTESIAN_POINT('Ctrl Pts',(-35.0029538300108,2.83231990223533, -71.9999999999963)); #496751=CARTESIAN_POINT('Ctrl Pts',(-34.8492736340869,-2.66615995111766, -71.9999999999963)); #496752=CARTESIAN_POINT('Ctrl Pts',(-34.8492736340869,-2.66615995111766, -72.386262035085)); #496753=CARTESIAN_POINT('Ctrl Pts',(-34.8603142156976,-2.53115360838741, -73.0100500536668)); #496754=CARTESIAN_POINT('Ctrl Pts',(-34.8893400357375,-2.08295946797579, -73.6967839616421)); #496755=CARTESIAN_POINT('Ctrl Pts',(-34.9191921768228,-1.54323073344993, -74.2388218750294)); #496756=CARTESIAN_POINT('Ctrl Pts',(-34.9550905468068,-0.533020258127671, -74.7237361021618)); #496757=CARTESIAN_POINT('Ctrl Pts',(-34.9525680109632,0.332493403134181, -74.6896448893282)); #496758=CARTESIAN_POINT('Ctrl Pts',(-34.939288938566,0.913382665338753, -74.5103436313028)); #496759=CARTESIAN_POINT('Ctrl Pts',(-34.9324408905997,1.14639781671811, -74.4138905558925)); #496760=CARTESIAN_POINT('Ctrl Pts',(-34.9242530392083,1.36781155277886, -74.2926206217847)); #496761=CARTESIAN_POINT('Ctrl Pts',(-34.9171642168695,1.53441205924555, -74.1814014517357)); #496762=CARTESIAN_POINT('Ctrl Pts',(-34.8991110867893,1.92837414381639, -73.8856864137669)); #496763=CARTESIAN_POINT('Ctrl Pts',(-34.8641862800107,2.47853242560685, -73.2191997577962)); #496764=CARTESIAN_POINT('Ctrl Pts',(-34.8492736340869,2.66615995111767, -72.386262035085)); #496765=CARTESIAN_POINT('Ctrl Pts',(-34.8492736340869,2.66615995111767, -71.9999999999963)); #496766=CARTESIAN_POINT('Ctrl Pts',(-34.6955934381631,-2.5,-71.9999999999963)); #496767=CARTESIAN_POINT('Ctrl Pts',(-34.6955934381631,-2.5,-72.3639765863405)); #496768=CARTESIAN_POINT('Ctrl Pts',(-34.7052891298826,-2.37182253254937, -72.9516935287358)); #496769=CARTESIAN_POINT('Ctrl Pts',(-34.7306765433278,-1.94887519653799, -73.5959205450493)); #496770=CARTESIAN_POINT('Ctrl Pts',(-34.7567200826663,-1.44118778704611, -74.1027051767533)); #496771=CARTESIAN_POINT('Ctrl Pts',(-34.7878874814849,-0.496254699227852, -74.5531170316289)); #496772=CARTESIAN_POINT('Ctrl Pts',(-34.7856913342454,0.309672195958722, -74.5214107535593)); #496773=CARTESIAN_POINT('Ctrl Pts',(-34.7741702873082,0.852208677684897, -74.3549281676083)); #496774=CARTESIAN_POINT('Ctrl Pts',(-34.768222177767,1.07001997570817,-74.2652517645843)); #496775=CARTESIAN_POINT('Ctrl Pts',(-34.7611039095754,1.27730768005319, -74.152358654449)); #496776=CARTESIAN_POINT('Ctrl Pts',(-34.7549339464239,1.43347992150187, -74.0486725224345)); #496777=CARTESIAN_POINT('Ctrl Pts',(-34.7392134048374,1.80307439087002, -73.7727716619995)); #496778=CARTESIAN_POINT('Ctrl Pts',(-34.7086898624283,2.32191384787946, -73.1487413303746)); #496779=CARTESIAN_POINT('Ctrl Pts',(-34.6955934381631,2.5,-72.3639765863405)); #496780=CARTESIAN_POINT('Ctrl Pts',(-34.6955934381631,2.5,-71.9999999999963)); #496781=CARTESIAN_POINT('',(-34.6955934381631,2.5,-71.9999999999963)); #496782=CARTESIAN_POINT('',(-34.6955934381631,2.5,-71.9999999999963)); #496783=CARTESIAN_POINT('Ctrl Pts',(-34.6955934381631,-2.5,-71.9999999999963)); #496784=CARTESIAN_POINT('Ctrl Pts',(-34.6955934381631,-2.5,-72.3639765863405)); #496785=CARTESIAN_POINT('Ctrl Pts',(-34.7052891298826,-2.37182253254937, -72.9516935287358)); #496786=CARTESIAN_POINT('Ctrl Pts',(-34.7306765433278,-1.94887519653799, -73.5959205450493)); #496787=CARTESIAN_POINT('Ctrl Pts',(-34.7567200826663,-1.44118778704611, -74.1027051767533)); #496788=CARTESIAN_POINT('Ctrl Pts',(-34.7878874814849,-0.496254699227852, -74.5531170316289)); #496789=CARTESIAN_POINT('Ctrl Pts',(-34.7856913342454,0.309672195958722, -74.5214107535593)); #496790=CARTESIAN_POINT('Ctrl Pts',(-34.7741702873082,0.852208677684897, -74.3549281676083)); #496791=CARTESIAN_POINT('Ctrl Pts',(-34.768222177767,1.07001997570817,-74.2652517645843)); #496792=CARTESIAN_POINT('Ctrl Pts',(-34.7611039095754,1.27730768005319, -74.152358654449)); #496793=CARTESIAN_POINT('Ctrl Pts',(-34.7549339464239,1.43347992150187, -74.0486725224345)); #496794=CARTESIAN_POINT('Ctrl Pts',(-34.7392134048374,1.80307439087002, -73.7727716619995)); #496795=CARTESIAN_POINT('Ctrl Pts',(-34.7086898624283,2.32191384787946, -73.1487413303746)); #496796=CARTESIAN_POINT('Ctrl Pts',(-34.6955934381631,2.5,-72.3639765863405)); #496797=CARTESIAN_POINT('Ctrl Pts',(-34.6955934381631,2.5,-71.9999999999963)); #496798=CARTESIAN_POINT('Origin',(-34.9261137320489,2.74923992667642,-8.49613135387749E-18)); #496799=CARTESIAN_POINT('',(-34.6955934381631,2.5,-41.9999999999963)); #496800=CARTESIAN_POINT('',(-34.6955934381631,2.5,-1.6992262707755E-17)); #496801=CARTESIAN_POINT('Ctrl Pts',(-35.1566340259346,2.99847985335299, -41.9999999999963)); #496802=CARTESIAN_POINT('Ctrl Pts',(-35.1566340259346,2.99847985335299, -41.6230210230552)); #496803=CARTESIAN_POINT('Ctrl Pts',(-35.1702415436453,2.84784849597214, -40.8189051297939)); #496804=CARTESIAN_POINT('Ctrl Pts',(-35.2046353668866,2.38697763606976, -40.1442820147055)); #496805=CARTESIAN_POINT('Ctrl Pts',(-35.2398411863087,1.8017410739773,-39.5585217332267)); #496806=CARTESIAN_POINT('Ctrl Pts',(-35.2708773728056,1.16833283598923, -39.1576963872368)); #496807=CARTESIAN_POINT('Ctrl Pts',(-35.2860764527275,0.22573994421312, -38.9800510792419)); #496808=CARTESIAN_POINT('Ctrl Pts',(-35.283871900611,-0.45213366949784, -39.0051976387665)); #496809=CARTESIAN_POINT('Ctrl Pts',(-35.2703913294485,-1.0401029463555, -39.1663172244999)); #496810=CARTESIAN_POINT('Ctrl Pts',(-35.2562336697443,-1.41629767962995, -39.3504348704491)); #496811=CARTESIAN_POINT('Ctrl Pts',(-35.2472148465393,-1.62142320675401, -39.4738985205469)); #496812=CARTESIAN_POINT('Ctrl Pts',(-35.2240353496868,-2.10336670575777, -39.8059384216228)); #496813=CARTESIAN_POINT('Ctrl Pts',(-35.1775879542264,-2.76566038187736, -40.5659303507732)); #496814=CARTESIAN_POINT('Ctrl Pts',(-35.1566340259346,-2.99847985335298, -41.5476254851644)); #496815=CARTESIAN_POINT('Ctrl Pts',(-35.1566340259346,-2.99847985335298, -41.9999999999963)); #496816=CARTESIAN_POINT('Ctrl Pts',(-35.0029538300108,2.83231990223533, -41.9999999999963)); #496817=CARTESIAN_POINT('Ctrl Pts',(-35.0029770597137,2.83232232329476, -41.6425212953823)); #496818=CARTESIAN_POINT('Ctrl Pts',(-35.0152260341643,2.68861350860868, -40.8800308312232)); #496819=CARTESIAN_POINT('Ctrl Pts',(-35.0461952322814,2.2509829926762,-40.2427255266149)); #496820=CARTESIAN_POINT('Ctrl Pts',(-35.0778087135459,1.69687627814651, -39.6907076068126)); #496821=CARTESIAN_POINT('Ctrl Pts',(-35.1056530657484,1.09914368693056, -39.3141699369975)); #496822=CARTESIAN_POINT('Ctrl Pts',(-35.119248323838,0.212356240706137, -39.1478458750544)); #496823=CARTESIAN_POINT('Ctrl Pts',(-35.1172781156872,-0.425029229768389, -39.1713689786217)); #496824=CARTESIAN_POINT('Ctrl Pts',(-35.1052123397314,-0.978270487986001, -39.3223314378423)); #496825=CARTESIAN_POINT('Ctrl Pts',(-35.0925235687024,-1.33314479719069, -39.4951963835448)); #496826=CARTESIAN_POINT('Ctrl Pts',(-35.0844455471999,-1.52659534895854, -39.6111625819994)); #496827=CARTESIAN_POINT('Ctrl Pts',(-35.0636211826734,-1.98206141867618, -39.923633228865)); #496828=CARTESIAN_POINT('Ctrl Pts',(-35.0218334618812,-2.61031097661606, -40.6403627433595)); #496829=CARTESIAN_POINT('Ctrl Pts',(-35.0030280018507,-2.83203325862256, -41.5708433721784)); #496830=CARTESIAN_POINT('Ctrl Pts',(-35.0029538300108,-2.83231990223532, -41.9999999999963)); #496831=CARTESIAN_POINT('Ctrl Pts',(-34.8492736340869,2.66615995111766, -41.9999999999963)); #496832=CARTESIAN_POINT('Ctrl Pts',(-34.849250404384,2.66615753005823,-41.6620200786562)); #496833=CARTESIAN_POINT('Ctrl Pts',(-34.8602184170219,2.52944916013131, -40.9411177280769)); #496834=CARTESIAN_POINT('Ctrl Pts',(-34.8877045284608,2.114863391333,-40.3412424810889)); #496835=CARTESIAN_POINT('Ctrl Pts',(-34.9157571874103,1.5922291338695,-39.8227594090323)); #496836=CARTESIAN_POINT('Ctrl Pts',(-34.9403428144559,1.02956973732085, -39.4710741754305)); #496837=CARTESIAN_POINT('Ctrl Pts',(-34.9523406534206,0.198760924134351, -39.3160352482594)); #496838=CARTESIAN_POINT('Ctrl Pts',(-34.9506042798567,-0.398320919149424, -39.3379241876955)); #496839=CARTESIAN_POINT('Ctrl Pts',(-34.9399484765492,-0.917364711513685, -39.4787804078341)); #496840=CARTESIAN_POINT('Ctrl Pts',(-34.928733410826,-1.25041892053821, -39.6403429653837)); #496841=CARTESIAN_POINT('Ctrl Pts',(-34.9215667193039,-1.43264979379484, -39.7490137707946)); #496842=CARTESIAN_POINT('Ctrl Pts',(-34.9031968279678,-1.86064787326226, -40.0412328386879)); #496843=CARTESIAN_POINT('Ctrl Pts',(-34.8661450149557,-2.45477392138835, -40.7145479403717)); #496844=CARTESIAN_POINT('Ctrl Pts',(-34.849199462247,-2.66644659473041, -41.5946067517057)); #496845=CARTESIAN_POINT('Ctrl Pts',(-34.8492736340869,-2.66615995111766, -41.9999999999963)); #496846=CARTESIAN_POINT('Ctrl Pts',(-34.6955934381631,2.5,-41.9999999999963)); #496847=CARTESIAN_POINT('Ctrl Pts',(-34.6955934381631,2.5,-41.6815203509833)); #496848=CARTESIAN_POINT('Ctrl Pts',(-34.7052029075409,2.37021417276786, -41.0022434295061)); #496849=CARTESIAN_POINT('Ctrl Pts',(-34.7292643938556,1.97886874793944, -40.4396859929983)); #496850=CARTESIAN_POINT('Ctrl Pts',(-34.7537247146475,1.4873643380387,-39.9549452826182)); #496851=CARTESIAN_POINT('Ctrl Pts',(-34.7751185073987,0.960380588262175, -39.6275477251912)); #496852=CARTESIAN_POINT('Ctrl Pts',(-34.7855125245311,0.185377220627367, -39.4838300440719)); #496853=CARTESIAN_POINT('Ctrl Pts',(-34.7840104949329,-0.371216479419973, -39.5040955275507)); #496854=CARTESIAN_POINT('Ctrl Pts',(-34.7747694868321,-0.855532253144191, -39.6347946211765)); #496855=CARTESIAN_POINT('Ctrl Pts',(-34.7650233097842,-1.16726603809895, -39.7851044784794)); #496856=CARTESIAN_POINT('Ctrl Pts',(-34.7587974199645,-1.33782193599937, -39.8862778322471)); #496857=CARTESIAN_POINT('Ctrl Pts',(-34.7427826609544,-1.73934258618068, -40.1589276459301)); #496858=CARTESIAN_POINT('Ctrl Pts',(-34.7103905226105,-2.29942451612705, -40.788980332958)); #496859=CARTESIAN_POINT('Ctrl Pts',(-34.6955934381631,-2.5,-41.6178246387197)); #496860=CARTESIAN_POINT('Ctrl Pts',(-34.6955934381631,-2.5,-41.9999999999963)); #496861=CARTESIAN_POINT('Origin',(0.,0.,-71.9999999999963)); #496862=CARTESIAN_POINT('',(1.53080849893419E-16,2.5,-71.9999999999963)); #496863=CARTESIAN_POINT('Origin',(1.53080849893419E-16,2.5,-41.9999999999963)); #496864=CARTESIAN_POINT('Origin',(-30.0731459677287,9.48117318990309,-36.9999999999963)); #496865=CARTESIAN_POINT('',(-30.2291116902168,9.81532753984788,-41.9999999999963)); #496866=CARTESIAN_POINT('',(-30.2291116902168,9.81532753984788,-41.9999999999963)); #496867=CARTESIAN_POINT('',(-30.2291116902168,9.81532753984788,-71.9999999999963)); #496868=CARTESIAN_POINT('',(-29.9171802452407,9.14701883995829,-71.9999999999963)); #496869=CARTESIAN_POINT('',(-30.2291116902168,9.81532753984788,-36.9999999999963)); #496870=CARTESIAN_POINT('Ctrl Pts',(-29.9171802452408,9.14701883995801, -41.9999999999963)); #496871=CARTESIAN_POINT('Ctrl Pts',(-29.9171802452408,9.14701883995801, -41.6229411080466)); #496872=CARTESIAN_POINT('Ctrl Pts',(-29.8952586729422,9.21945639747021, -41.220726348546)); #496873=CARTESIAN_POINT('Ctrl Pts',(-29.8169021503561,9.46926603495186, -40.5924161908451)); #496874=CARTESIAN_POINT('Ctrl Pts',(-29.7280496180121,9.74807493586226, -40.1061603185466)); #496875=CARTESIAN_POINT('Ctrl Pts',(-29.5451769968046,10.2919255435661, -39.5558956300974)); #496876=CARTESIAN_POINT('Ctrl Pts',(-29.2907443705692,10.9986876156611, -39.1463668921175)); #496877=CARTESIAN_POINT('Ctrl Pts',(-29.0394955626173,11.6418234421397, -39.0029022987301)); #496878=CARTESIAN_POINT('Ctrl Pts',(-28.8377444734766,12.129845843565,-38.9983337056123)); #496879=CARTESIAN_POINT('Ctrl Pts',(-28.6240168962497,12.6295110229967, -39.0640015441456)); #496880=CARTESIAN_POINT('Ctrl Pts',(-28.3449328009233,13.2460746588531, -39.2984545127561)); #496881=CARTESIAN_POINT('Ctrl Pts',(-28.0515903023692,13.8539146773469, -39.7753531003213)); #496882=CARTESIAN_POINT('Ctrl Pts',(-27.8192580957365,14.3127307793358, -40.3958325223255)); #496883=CARTESIAN_POINT('Ctrl Pts',(-27.6553908705952,14.6254907300057, -41.1857528567283)); #496884=CARTESIAN_POINT('Ctrl Pts',(-27.6225600747654,14.6867219760146, -41.736058984278)); #496885=CARTESIAN_POINT('Ctrl Pts',(-27.6225600747654,14.6867219760146, -41.9999999999963)); #496886=CARTESIAN_POINT('Ctrl Pts',(-30.0211573935661,9.36978840658797, -41.9999999999963)); #496887=CARTESIAN_POINT('Ctrl Pts',(-30.0211354506755,9.36989437586521, -41.6456826861263)); #496888=CARTESIAN_POINT('Ctrl Pts',(-30.0003751249817,9.43741554605144, -41.2675192318783)); #496889=CARTESIAN_POINT('Ctrl Pts',(-29.9259664439142,9.67135318945872, -40.6758298105348)); #496890=CARTESIAN_POINT('Ctrl Pts',(-29.8415502443858,9.93282658099606, -40.2168589816983)); #496891=CARTESIAN_POINT('Ctrl Pts',(-29.6675984661446,10.4446870413249, -39.695789385914)); #496892=CARTESIAN_POINT('Ctrl Pts',(-29.4253980986113,11.1127320293212, -39.3063048490695)); #496893=CARTESIAN_POINT('Ctrl Pts',(-29.1864434384942,11.7225797827625, -39.1695151313298)); #496894=CARTESIAN_POINT('Ctrl Pts',(-28.9950183071797,12.1855912239603, -39.1651813622969)); #496895=CARTESIAN_POINT('Ctrl Pts',(-28.7924803185218,12.6598342972905, -39.2277811978322)); #496896=CARTESIAN_POINT('Ctrl Pts',(-28.5289538329949,13.2444921143038, -39.4511192068854)); #496897=CARTESIAN_POINT('Ctrl Pts',(-28.2534997693189,13.8192380363133, -39.9038308165727)); #496898=CARTESIAN_POINT('Ctrl Pts',(-28.0364444420935,14.2516233343719, -40.490597947591)); #496899=CARTESIAN_POINT('Ctrl Pts',(-27.8840866365212,14.5452865271738, -41.234727365913)); #496900=CARTESIAN_POINT('Ctrl Pts',(-27.8535461930332,14.60283217787,-41.7520362337003)); #496901=CARTESIAN_POINT('Ctrl Pts',(-27.8536048926407,14.6027230514778, -41.9999999999963)); #496902=CARTESIAN_POINT('Ctrl Pts',(-30.1251345418914,9.59255797321793, -41.9999999999963)); #496903=CARTESIAN_POINT('Ctrl Pts',(-30.1251564847821,9.59245200394068, -41.6682443239874)); #496904=CARTESIAN_POINT('Ctrl Pts',(-30.105501400351,9.65532536063455,-41.3144979378546)); #496905=CARTESIAN_POINT('Ctrl Pts',(-30.0351107715909,9.87325313248431, -40.7590512182701)); #496906=CARTESIAN_POINT('Ctrl Pts',(-29.9551691441682,10.1171919505286, -40.3278204343762)); #496907=CARTESIAN_POINT('Ctrl Pts',(-29.7901289920156,10.5972759668366, -39.8353274974926)); #496908=CARTESIAN_POINT('Ctrl Pts',(-29.560174310606,11.2265260203621,-39.4660578135011)); #496909=CARTESIAN_POINT('Ctrl Pts',(-29.3335827969156,11.8029921245764, -39.3358035042012)); #496910=CARTESIAN_POINT('Ctrl Pts',(-29.1523742507598,12.2412175813002, -39.3316904690548)); #496911=CARTESIAN_POINT('Ctrl Pts',(-28.9611084633099,12.6898708128613, -39.3912110777611)); #496912=CARTESIAN_POINT('Ctrl Pts',(-28.7130732611281,13.2427362799928, -39.6034968904731)); #496913=CARTESIAN_POINT('Ctrl Pts',(-28.4553627862654,13.7846466174685, -40.0322740869963)); #496914=CARTESIAN_POINT('Ctrl Pts',(-28.2536077210925,14.1905594402112, -40.5853573222824)); #496915=CARTESIAN_POINT('Ctrl Pts',(-28.1126317458613,14.465337936483,-41.2838100258941)); #496916=CARTESIAN_POINT('Ctrl Pts',(-28.0847084101235,14.5186150005489, -41.7677130530171)); #496917=CARTESIAN_POINT('Ctrl Pts',(-28.084649710516,14.5187241269411,-41.9999999999963)); #496918=CARTESIAN_POINT('Ctrl Pts',(-30.2291116902168,9.81532753984788, -41.9999999999963)); #496919=CARTESIAN_POINT('Ctrl Pts',(-30.2291116902168,9.81532753984788, -41.6909859020671)); #496920=CARTESIAN_POINT('Ctrl Pts',(-30.2106178523904,9.87328450921579, -41.3612908211869)); #496921=CARTESIAN_POINT('Ctrl Pts',(-30.144175065149,10.0753402869912,-40.8424648379598)); #496922=CARTESIAN_POINT('Ctrl Pts',(-30.0686697705419,10.3019435956624, -40.4385190975279)); #496923=CARTESIAN_POINT('Ctrl Pts',(-29.9125504613556,10.7500374645954, -39.9752212533092)); #496924=CARTESIAN_POINT('Ctrl Pts',(-29.6948280386481,11.3405704340222, -39.6259957704531)); #496925=CARTESIAN_POINT('Ctrl Pts',(-29.4805306727925,11.8837484651992, -39.5024163368009)); #496926=CARTESIAN_POINT('Ctrl Pts',(-29.3096480844628,12.2969629616956, -39.4985381257394)); #496927=CARTESIAN_POINT('Ctrl Pts',(-29.129571885582,12.7201940871552,-39.5549907314477)); #496928=CARTESIAN_POINT('Ctrl Pts',(-28.8970942931998,13.2411537354435, -39.7561615846024)); #496929=CARTESIAN_POINT('Ctrl Pts',(-28.657272253215,13.7499699764348,-40.1607518032476)); #496930=CARTESIAN_POINT('Ctrl Pts',(-28.4707940674495,14.1294519952474, -40.680122747548)); #496931=CARTESIAN_POINT('Ctrl Pts',(-28.3413275117873,14.3851337336512, -41.3327845350788)); #496932=CARTESIAN_POINT('Ctrl Pts',(-28.3156945283913,14.4347252024043, -41.7836903024394)); #496933=CARTESIAN_POINT('Ctrl Pts',(-28.3156945283913,14.4347252024043, -41.9999999999963)); #496934=CARTESIAN_POINT('',(-28.3156945283913,14.4347252024043,-41.9999999999963)); #496935=CARTESIAN_POINT('',(-28.3156945283913,14.4347252024043,-41.9999999999963)); #496936=CARTESIAN_POINT('Ctrl Pts',(-30.2291116902168,9.81532753984788, -41.9999999999963)); #496937=CARTESIAN_POINT('Ctrl Pts',(-30.2291116902168,9.81532753984788, -41.6909859020671)); #496938=CARTESIAN_POINT('Ctrl Pts',(-30.2106178523904,9.87328450921579, -41.3612908211869)); #496939=CARTESIAN_POINT('Ctrl Pts',(-30.144175065149,10.0753402869912,-40.8424648379598)); #496940=CARTESIAN_POINT('Ctrl Pts',(-30.0686697705419,10.3019435956624, -40.4385190975279)); #496941=CARTESIAN_POINT('Ctrl Pts',(-29.9125504613556,10.7500374645954, -39.9752212533092)); #496942=CARTESIAN_POINT('Ctrl Pts',(-29.6948280386481,11.3405704340222, -39.6259957704531)); #496943=CARTESIAN_POINT('Ctrl Pts',(-29.4805306727925,11.8837484651992, -39.5024163368009)); #496944=CARTESIAN_POINT('Ctrl Pts',(-29.3096480844628,12.2969629616956, -39.4985381257394)); #496945=CARTESIAN_POINT('Ctrl Pts',(-29.129571885582,12.7201940871552,-39.5549907314477)); #496946=CARTESIAN_POINT('Ctrl Pts',(-28.8970942931998,13.2411537354435, -39.7561615846024)); #496947=CARTESIAN_POINT('Ctrl Pts',(-28.657272253215,13.7499699764348,-40.1607518032476)); #496948=CARTESIAN_POINT('Ctrl Pts',(-28.4707940674495,14.1294519952474, -40.680122747548)); #496949=CARTESIAN_POINT('Ctrl Pts',(-28.3413275117873,14.3851337336512, -41.3327845350788)); #496950=CARTESIAN_POINT('Ctrl Pts',(-28.3156945283913,14.4347252024043, -41.7836903024394)); #496951=CARTESIAN_POINT('Ctrl Pts',(-28.3156945283913,14.4347252024043, -41.9999999999963)); #496952=CARTESIAN_POINT('Origin',(-27.9691273015784,14.5607235892093,-36.9999999999963)); #496953=CARTESIAN_POINT('',(-28.3156945283913,14.4347252024043,-71.9999999999963)); #496954=CARTESIAN_POINT('',(-28.3156945283913,14.4347252024043,-71.9999999999963)); #496955=CARTESIAN_POINT('',(-28.3156945283913,14.4347252024043,-36.9999999999963)); #496956=CARTESIAN_POINT('Ctrl Pts',(-27.6225600747654,14.6867219760146, -71.9999999999963)); #496957=CARTESIAN_POINT('Ctrl Pts',(-27.6225600747654,14.6867219760146, -72.4309241498727)); #496958=CARTESIAN_POINT('Ctrl Pts',(-27.6938288081583,14.5551358084689, -73.126862281615)); #496959=CARTESIAN_POINT('Ctrl Pts',(-27.9222159356661,14.1108108171419, -73.8983383920771)); #496960=CARTESIAN_POINT('Ctrl Pts',(-28.1926695636451,13.568263954302,-74.511048238256)); #496961=CARTESIAN_POINT('Ctrl Pts',(-28.6976518345409,12.4893742344134, -75.0899615251635)); #496962=CARTESIAN_POINT('Ctrl Pts',(-29.0933344644678,11.5160794534789, -75.0196598532255)); #496963=CARTESIAN_POINT('Ctrl Pts',(-29.3208662369076,10.9092091860201, -74.7772640079522)); #496964=CARTESIAN_POINT('Ctrl Pts',(-29.3927910825869,10.7141882234849, -74.683519703366)); #496965=CARTESIAN_POINT('Ctrl Pts',(-29.4606154993329,10.5260983300296, -74.5731579860624)); #496966=CARTESIAN_POINT('Ctrl Pts',(-29.5230293683744,10.3491081610747, -74.4468728355313)); #496967=CARTESIAN_POINT('Ctrl Pts',(-29.6687080301029,9.93045580994758, -74.1115818217156)); #496968=CARTESIAN_POINT('Ctrl Pts',(-29.8575283208295,9.34571268969935, -73.3603337724077)); #496969=CARTESIAN_POINT('Ctrl Pts',(-29.9171802452408,9.14701883995801, -72.4309241498723)); #496970=CARTESIAN_POINT('Ctrl Pts',(-29.9171802452408,9.14701883995801, -71.9999999999963)); #496971=CARTESIAN_POINT('Ctrl Pts',(-27.8536048926407,14.6027230514778, -71.9999999999963)); #496972=CARTESIAN_POINT('Ctrl Pts',(-27.8536048926407,14.6027230514778, -72.405002341509)); #496973=CARTESIAN_POINT('Ctrl Pts',(-27.9196056609777,14.4795547151985, -73.059183050408)); #496974=CARTESIAN_POINT('Ctrl Pts',(-28.1325569040013,14.0614303507235, -73.78745039523)); #496975=CARTESIAN_POINT('Ctrl Pts',(-28.3856740007722,13.5495125659944, -74.3676229536167)); #496976=CARTESIAN_POINT('Ctrl Pts',(-28.8614508668975,12.5272669927914, -74.9189561273794)); #496977=CARTESIAN_POINT('Ctrl Pts',(-29.237669851236,11.6027415131815,-74.851927872442)); #496978=CARTESIAN_POINT('Ctrl Pts',(-29.4541373705554,11.0282692615615, -74.6211445423442)); #496979=CARTESIAN_POINT('Ctrl Pts',(-29.5225855234128,10.8437656121345, -74.531981783463)); #496980=CARTESIAN_POINT('Ctrl Pts',(-29.5871357404955,10.6660063849858, -74.4270855061183)); #496981=CARTESIAN_POINT('Ctrl Pts',(-29.6465338885321,10.4989361732521, -74.3072037389407)); #496982=CARTESIAN_POINT('Ctrl Pts',(-29.7851658564609,10.1040301253244, -73.9891289339651)); #496983=CARTESIAN_POINT('Ctrl Pts',(-29.964631455366,9.55512310456106,-73.2786541203588)); #496984=CARTESIAN_POINT('Ctrl Pts',(-30.0211573935661,9.36978840658797, -72.4050023415088)); #496985=CARTESIAN_POINT('Ctrl Pts',(-30.0211573935661,9.36978840658797, -71.9999999999963)); #496986=CARTESIAN_POINT('Ctrl Pts',(-28.084649710516,14.5187241269411,-71.9999999999963)); #496987=CARTESIAN_POINT('Ctrl Pts',(-28.084649710516,14.5187241269411,-72.3790805331454)); #496988=CARTESIAN_POINT('Ctrl Pts',(-28.1453825137971,14.4039736219281, -72.9915038192009)); #496989=CARTESIAN_POINT('Ctrl Pts',(-28.3428978723365,14.0120498843052, -73.6765623983829)); #496990=CARTESIAN_POINT('Ctrl Pts',(-28.5786784378993,13.5307611776868, -74.2241976689774)); #496991=CARTESIAN_POINT('Ctrl Pts',(-29.0252498992542,12.5651597511695, -74.7479507295953)); #496992=CARTESIAN_POINT('Ctrl Pts',(-29.3820052380041,11.6894035728842, -74.6841958916584)); #496993=CARTESIAN_POINT('Ctrl Pts',(-29.5874085042033,11.1473293371028, -74.4650250767361)); #496994=CARTESIAN_POINT('Ctrl Pts',(-29.6523799642386,10.9733430007842, -74.38044386356)); #496995=CARTESIAN_POINT('Ctrl Pts',(-29.713655981658,10.8059144399419,-74.2810130261742)); #496996=CARTESIAN_POINT('Ctrl Pts',(-29.7700384086897,10.6487641854295, -74.1675346423502)); #496997=CARTESIAN_POINT('Ctrl Pts',(-29.901623682819,10.2776044407013,-73.8666760462146)); #496998=CARTESIAN_POINT('Ctrl Pts',(-30.0717345899024,9.76453351942278, -73.1969744683099)); #496999=CARTESIAN_POINT('Ctrl Pts',(-30.1251345418914,9.59255797321793, -72.3790805331453)); #497000=CARTESIAN_POINT('Ctrl Pts',(-30.1251345418914,9.59255797321793, -71.9999999999963)); #497001=CARTESIAN_POINT('Ctrl Pts',(-28.3156945283913,14.4347252024043, -71.9999999999963)); #497002=CARTESIAN_POINT('Ctrl Pts',(-28.3156945283913,14.4347252024043, -72.3531587247818)); #497003=CARTESIAN_POINT('Ctrl Pts',(-28.3711593666166,14.3283925286577, -72.9238245879939)); #497004=CARTESIAN_POINT('Ctrl Pts',(-28.5532388406718,13.9626694178868, -73.5656744015357)); #497005=CARTESIAN_POINT('Ctrl Pts',(-28.7716828750264,13.5120097893792, -74.0807723843381)); #497006=CARTESIAN_POINT('Ctrl Pts',(-29.1890489316108,12.6030525095475, -74.5769453318112)); #497007=CARTESIAN_POINT('Ctrl Pts',(-29.5263406247723,11.7760656325868, -74.5164639108749)); #497008=CARTESIAN_POINT('Ctrl Pts',(-29.7206796378511,11.2663894126442, -74.3089056111281)); #497009=CARTESIAN_POINT('Ctrl Pts',(-29.7821744050646,11.1029203894338, -74.2289059436571)); #497010=CARTESIAN_POINT('Ctrl Pts',(-29.8401762228206,10.945822494898,-74.1349405462302)); #497011=CARTESIAN_POINT('Ctrl Pts',(-29.8935429288474,10.7985921976069, -74.0278655457597)); #497012=CARTESIAN_POINT('Ctrl Pts',(-30.018081509177,10.4511787560782,-73.7442231584642)); #497013=CARTESIAN_POINT('Ctrl Pts',(-30.1788377244388,9.97394393428449, -73.115294816261)); #497014=CARTESIAN_POINT('Ctrl Pts',(-30.2291116902168,9.81532753984788, -72.3531587247818)); #497015=CARTESIAN_POINT('Ctrl Pts',(-30.2291116902168,9.81532753984788, -71.9999999999963)); #497016=CARTESIAN_POINT('Ctrl Pts',(-28.3156945283913,14.4347252024043, -71.9999999999963)); #497017=CARTESIAN_POINT('Ctrl Pts',(-28.3156945283913,14.4347252024043, -72.3531587247818)); #497018=CARTESIAN_POINT('Ctrl Pts',(-28.3711593666166,14.3283925286577, -72.9238245879939)); #497019=CARTESIAN_POINT('Ctrl Pts',(-28.5532388406718,13.9626694178868, -73.5656744015357)); #497020=CARTESIAN_POINT('Ctrl Pts',(-28.7716828750264,13.5120097893792, -74.0807723843381)); #497021=CARTESIAN_POINT('Ctrl Pts',(-29.1890489316108,12.6030525095475, -74.5769453318112)); #497022=CARTESIAN_POINT('Ctrl Pts',(-29.5263406247723,11.7760656325868, -74.5164639108749)); #497023=CARTESIAN_POINT('Ctrl Pts',(-29.7206796378511,11.2663894126442, -74.3089056111281)); #497024=CARTESIAN_POINT('Ctrl Pts',(-29.7821744050646,11.1029203894338, -74.2289059436571)); #497025=CARTESIAN_POINT('Ctrl Pts',(-29.8401762228206,10.945822494898,-74.1349405462302)); #497026=CARTESIAN_POINT('Ctrl Pts',(-29.8935429288474,10.7985921976069, -74.0278655457597)); #497027=CARTESIAN_POINT('Ctrl Pts',(-30.018081509177,10.4511787560782,-73.7442231584642)); #497028=CARTESIAN_POINT('Ctrl Pts',(-30.1788377244388,9.97394393428449, -73.115294816261)); #497029=CARTESIAN_POINT('Ctrl Pts',(-30.2291116902168,9.81532753984788, -72.3531587247818)); #497030=CARTESIAN_POINT('Ctrl Pts',(-30.2291116902168,9.81532753984788, -71.9999999999963)); #497031=CARTESIAN_POINT('Origin',(0.,0.,-41.9999999999963)); #497032=CARTESIAN_POINT('',(-33.0112572267645,10.9677299535817,-41.9999999999963)); #497033=CARTESIAN_POINT('',(-0.956708580912725,-2.30969883127822,-41.9999999999963)); #497034=CARTESIAN_POINT('',(-31.097840064939,15.5871276161382,-41.9999999999963)); #497035=CARTESIAN_POINT('Ctrl Pts',(-31.097840064939,15.5871276161381,-41.9999999999963)); #497036=CARTESIAN_POINT('Ctrl Pts',(-31.097840064939,15.5871276161381,-41.6815203509833)); #497037=CARTESIAN_POINT('Ctrl Pts',(-31.1563856753562,15.4708938853002, -41.0022485671438)); #497038=CARTESIAN_POINT('Ctrl Pts',(-31.3283783463028,15.1185421276646, -40.4396938889425)); #497039=CARTESIAN_POINT('Ctrl Pts',(-31.5390689647588,14.6738061279644, -39.9549582498818)); #497040=CARTESIAN_POINT('Ctrl Pts',(-31.7605094001213,14.1951019728059, -39.6275796096062)); #497041=CARTESIAN_POINT('Ctrl Pts',(-32.0666935512078,13.483068566936,-39.483863360796)); #497042=CARTESIAN_POINT('Ctrl Pts',(-32.2783068610055,12.9682637498909, -39.5041296332689)); #497043=CARTESIAN_POINT('Ctrl Pts',(-32.4551104956672,12.5172742011408, -39.634828630715)); #497044=CARTESIAN_POINT('Ctrl Pts',(-32.5654010387535,12.2255415789639, -39.7851373520843)); #497045=CARTESIAN_POINT('Ctrl Pts',(-32.6249181820955,12.0655853843761, -39.8863109411268)); #497046=CARTESIAN_POINT('Ctrl Pts',(-32.7637750329468,11.6885084110398, -40.1589542344449)); #497047=CARTESIAN_POINT('Ctrl Pts',(-32.9481806501058,11.1586701152863, -40.7890000443136)); #497048=CARTESIAN_POINT('Ctrl Pts',(-33.0112572267645,10.9677299535817, -41.6178246387197)); #497049=CARTESIAN_POINT('Ctrl Pts',(-33.0112572267645,10.9677299535817, -41.9999999999963)); #497050=CARTESIAN_POINT('',(0.956708580912725,2.30969883127822,-41.9999999999963)); #497051=CARTESIAN_POINT('Origin',(-0.956708580912725,-2.30969883127822, -71.9999999999963)); #497052=CARTESIAN_POINT('',(-33.0112572267645,10.9677299535817,-71.9999999999963)); #497053=CARTESIAN_POINT('',(-0.956708580912725,-2.30969883127822,-71.9999999999963)); #497054=CARTESIAN_POINT('',(-33.0112572267645,10.9677299535817,-1.6992262707755E-17)); #497055=CARTESIAN_POINT('Origin',(-33.319610198737,10.8256785839348,-8.49613135387749E-18)); #497056=CARTESIAN_POINT('',(-33.0112572267645,10.9677299535817,-71.9999999999963)); #497057=CARTESIAN_POINT('',(-33.6279631707095,10.6836272142878,-41.9999999999963)); #497058=CARTESIAN_POINT('Ctrl Pts',(-33.6279631707096,10.6836272142877, -71.9999999999963)); #497059=CARTESIAN_POINT('Ctrl Pts',(-33.6279631707096,10.6836272142877, -72.3769789769376)); #497060=CARTESIAN_POINT('Ctrl Pts',(-33.6055583904056,10.7551030182075, -72.779181040878)); #497061=CARTESIAN_POINT('Ctrl Pts',(-33.5111227333904,11.0462598347182, -73.518912788826)); #497062=CARTESIAN_POINT('Ctrl Pts',(-33.4382927608034,11.2665692400289, -73.8555248117668)); #497063=CARTESIAN_POINT('Ctrl Pts',(-33.2444738118732,11.8289633755822, -74.4570214322126)); #497064=CARTESIAN_POINT('Ctrl Pts',(-32.9359009763393,12.68558359583,-74.9974837756988)); #497065=CARTESIAN_POINT('Ctrl Pts',(-32.4712037967895,13.821267027881,-75.0491835962107)); #497066=CARTESIAN_POINT('Ctrl Pts',(-32.0810774306599,14.6951795386817, -74.7404379834367)); #497067=CARTESIAN_POINT('Ctrl Pts',(-31.8112621742524,15.2687960826212, -74.3315033901456)); #497068=CARTESIAN_POINT('Ctrl Pts',(-31.5957233780349,15.7084985377294, -73.8190758864423)); #497069=CARTESIAN_POINT('Ctrl Pts',(-31.4806838944824,15.9362278556803, -73.3789356397993)); #497070=CARTESIAN_POINT('Ctrl Pts',(-31.3676648475845,16.1578142225938, -72.7789560932791)); #497071=CARTESIAN_POINT('Ctrl Pts',(-31.3330260463922,16.2240955446082, -72.3769789769369)); #497072=CARTESIAN_POINT('Ctrl Pts',(-31.3330260463922,16.2240955446082, -71.9999999999963)); #497073=CARTESIAN_POINT('Ctrl Pts',(-33.4223945227279,10.7783281273857, -71.9999999999963)); #497074=CARTESIAN_POINT('Ctrl Pts',(-33.4223550164937,10.7784686472706, -72.3574541607292)); #497075=CARTESIAN_POINT('Ctrl Pts',(-33.4007833550664,10.8461902821939, -72.7389005242117)); #497076=CARTESIAN_POINT('Ctrl Pts',(-33.3097427086728,11.1228715090348, -73.4390825069053)); #497077=CARTESIAN_POINT('Ctrl Pts',(-33.2399948006942,11.331288327789,-73.757150704558)); #497078=CARTESIAN_POINT('Ctrl Pts',(-33.0546607360046,11.8635794764165, -74.3239633939616)); #497079=CARTESIAN_POINT('Ctrl Pts',(-32.7618181671958,12.6706377877691, -74.831289850014)); #497080=CARTESIAN_POINT('Ctrl Pts',(-32.3247016015831,13.738247064448,-74.8793705145858)); #497081=CARTESIAN_POINT('Ctrl Pts',(-31.9584806760732,14.5615789554218, -74.5900814097995)); #497082=CARTESIAN_POINT('Ctrl Pts',(-31.7050846905093,15.1035795661588, -74.2057814829906)); #497083=CARTESIAN_POINT('Ctrl Pts',(-31.5022400915523,15.5208030107277, -73.7226553870574)); #497084=CARTESIAN_POINT('Ctrl Pts',(-31.3939267661723,15.7373133469537, -73.3066304769732)); #497085=CARTESIAN_POINT('Ctrl Pts',(-31.2872835966106,15.9485705038057, -72.7385758187918)); #497086=CARTESIAN_POINT('Ctrl Pts',(-31.2546952066609,16.0116740741357, -72.3575209203683)); #497087=CARTESIAN_POINT('Ctrl Pts',(-31.2546307192411,16.0117729017849, -71.9999999999963)); #497088=CARTESIAN_POINT('Ctrl Pts',(-33.2168258747462,10.8730290404837, -71.9999999999963)); #497089=CARTESIAN_POINT('Ctrl Pts',(-33.2168653809804,10.8728885205988, -72.3380044652178)); #497090=CARTESIAN_POINT('Ctrl Pts',(-33.1958028185546,10.9377456084926, -72.6984888760623)); #497091=CARTESIAN_POINT('Ctrl Pts',(-33.1084877768988,11.1991576632079, -73.3593334582859)); #497092=CARTESIAN_POINT('Ctrl Pts',(-33.0412799472637,11.3969556147246, -73.6584829933886)); #497093=CARTESIAN_POINT('Ctrl Pts',(-32.865067187296,11.8976656553758,-74.1910857481762)); #497094=CARTESIAN_POINT('Ctrl Pts',(-32.5872505025469,12.6567999339199, -74.6648241451886)); #497095=CARTESIAN_POINT('Ctrl Pts',(-32.1783077420332,13.6548981111465, -74.7094888260245)); #497096=CARTESIAN_POINT('Ctrl Pts',(-31.8359575833108,14.4277341049496, -74.4397720580626)); #497097=CARTESIAN_POINT('Ctrl Pts',(-31.5988482788344,14.9384361220184, -74.079951765611)); #497098=CARTESIAN_POINT('Ctrl Pts',(-31.4089408997215,15.3326622408129, -73.6264076752228)); #497099=CARTESIAN_POINT('Ctrl Pts',(-31.3070996941597,15.5385020326607, -73.234203755818)); #497100=CARTESIAN_POINT('Ctrl Pts',(-31.2070773288348,15.7389401101159, -72.6983998999963)); #497101=CARTESIAN_POINT('Ctrl Pts',(-31.1761709046703,15.7995490866106, -72.3379377055779)); #497102=CARTESIAN_POINT('Ctrl Pts',(-31.1762353920901,15.7994502589615, -71.9999999999963)); #497103=CARTESIAN_POINT('Ctrl Pts',(-33.0112572267645,10.9677299535817, -71.9999999999963)); #497104=CARTESIAN_POINT('Ctrl Pts',(-33.0112572267645,10.9677299535817, -72.3184796490094)); #497105=CARTESIAN_POINT('Ctrl Pts',(-32.9910277832154,11.028832872479,-72.6582083593961)); #497106=CARTESIAN_POINT('Ctrl Pts',(-32.9071077521811,11.2757693375245, -73.2795031763651)); #497107=CARTESIAN_POINT('Ctrl Pts',(-32.8429819871545,11.4616747024847, -73.5601088861798)); #497108=CARTESIAN_POINT('Ctrl Pts',(-32.6752541114274,11.9322817562101, -74.0580277099251)); #497109=CARTESIAN_POINT('Ctrl Pts',(-32.4131676934033,12.641854125859,-74.4986302195039)); #497110=CARTESIAN_POINT('Ctrl Pts',(-32.0318055468268,13.5718781477136, -74.5396757443996)); #497111=CARTESIAN_POINT('Ctrl Pts',(-31.713360828724,14.2941335216897,-74.2894154844254)); #497112=CARTESIAN_POINT('Ctrl Pts',(-31.4926707950913,14.773219605556,-73.954229858456)); #497113=CARTESIAN_POINT('Ctrl Pts',(-31.3154576132388,15.1449667138112, -73.5299871758379)); #497114=CARTESIAN_POINT('Ctrl Pts',(-31.2203425658497,15.3395875239342, -73.1618985929919)); #497115=CARTESIAN_POINT('Ctrl Pts',(-31.1266960778609,15.5296963913278, -72.658019625509)); #497116=CARTESIAN_POINT('Ctrl Pts',(-31.097840064939,15.5871276161382,-72.3184796490093)); #497117=CARTESIAN_POINT('Ctrl Pts',(-31.097840064939,15.5871276161381,-71.9999999999963)); #497118=CARTESIAN_POINT('',(-31.097840064939,15.5871276161381,-71.9999999999963)); #497119=CARTESIAN_POINT('',(-31.097840064939,15.5871276161381,-71.9999999999963)); #497120=CARTESIAN_POINT('Ctrl Pts',(-33.0112572267645,10.9677299535817, -71.9999999999963)); #497121=CARTESIAN_POINT('Ctrl Pts',(-33.0112572267645,10.9677299535817, -72.3184796490094)); #497122=CARTESIAN_POINT('Ctrl Pts',(-32.9910277832154,11.028832872479,-72.6582083593961)); #497123=CARTESIAN_POINT('Ctrl Pts',(-32.9071077521811,11.2757693375245, -73.2795031763651)); #497124=CARTESIAN_POINT('Ctrl Pts',(-32.8429819871545,11.4616747024847, -73.5601088861798)); #497125=CARTESIAN_POINT('Ctrl Pts',(-32.6752541114274,11.9322817562101, -74.0580277099251)); #497126=CARTESIAN_POINT('Ctrl Pts',(-32.4131676934033,12.641854125859,-74.4986302195039)); #497127=CARTESIAN_POINT('Ctrl Pts',(-32.0318055468268,13.5718781477136, -74.5396757443996)); #497128=CARTESIAN_POINT('Ctrl Pts',(-31.713360828724,14.2941335216897,-74.2894154844254)); #497129=CARTESIAN_POINT('Ctrl Pts',(-31.4926707950913,14.773219605556,-73.954229858456)); #497130=CARTESIAN_POINT('Ctrl Pts',(-31.3154576132388,15.1449667138112, -73.5299871758379)); #497131=CARTESIAN_POINT('Ctrl Pts',(-31.2203425658497,15.3395875239342, -73.1618985929919)); #497132=CARTESIAN_POINT('Ctrl Pts',(-31.1266960778609,15.5296963913278, -72.658019625509)); #497133=CARTESIAN_POINT('Ctrl Pts',(-31.097840064939,15.5871276161382,-72.3184796490093)); #497134=CARTESIAN_POINT('Ctrl Pts',(-31.097840064939,15.5871276161381,-71.9999999999963)); #497135=CARTESIAN_POINT('Origin',(-31.2154330556656,15.9056115803731,-8.49613135387747E-18)); #497136=CARTESIAN_POINT('',(-31.097840064939,15.5871276161382,-41.9999999999963)); #497137=CARTESIAN_POINT('',(-31.097840064939,15.5871276161381,-1.69922627077549E-17)); #497138=CARTESIAN_POINT('Ctrl Pts',(-31.3330260463922,16.2240955446082, -41.9999999999963)); #497139=CARTESIAN_POINT('Ctrl Pts',(-31.3330260463922,16.2240955446082, -41.6230210230554)); #497140=CARTESIAN_POINT('Ctrl Pts',(-31.4032426381726,16.0901318581409, -40.8189112796494)); #497141=CARTESIAN_POINT('Ctrl Pts',(-31.6113875505085,15.6775003966805, -40.1442914691821)); #497142=CARTESIAN_POINT('Ctrl Pts',(-31.8678756098675,15.1502782401122, -39.558537278448)); #497143=CARTESIAN_POINT('Ctrl Pts',(-32.1389523669072,14.5769364788815, -39.1577345525144)); #497144=CARTESIAN_POINT('Ctrl Pts',(-32.5137095983935,13.7119091084177, -38.9800909529453)); #497145=CARTESIAN_POINT('Ctrl Pts',(-32.7710859937126,13.0847866133623, -39.0052384429693)); #497146=CARTESIAN_POINT('Ctrl Pts',(-32.9836395509172,12.5364106500698, -39.1663578976766)); #497147=CARTESIAN_POINT('Ctrl Pts',(-33.1145224742837,12.1834359785662, -39.350474189659)); #497148=CARTESIAN_POINT('Ctrl Pts',(-33.1846885381292,11.9904727032247, -39.473938121295)); #497149=CARTESIAN_POINT('Ctrl Pts',(-33.3477021501001,11.5363544604495, -39.8059702278578)); #497150=CARTESIAN_POINT('Ctrl Pts',(-33.5582368008546,10.906707334516,-40.5659540047594)); #497151=CARTESIAN_POINT('Ctrl Pts',(-33.6279631707096,10.6836272142877, -41.5476254851644)); #497152=CARTESIAN_POINT('Ctrl Pts',(-33.6279631707096,10.6836272142877, -41.9999999999963)); #497153=CARTESIAN_POINT('Ctrl Pts',(-31.2546307192411,16.0117729017849, -41.9999999999963)); #497154=CARTESIAN_POINT('Ctrl Pts',(-31.2546512541889,16.0117840281746, -41.6425212953825)); #497155=CARTESIAN_POINT('Ctrl Pts',(-31.3209635732581,15.8836960452181, -40.8800369810786)); #497156=CARTESIAN_POINT('Ctrl Pts',(-31.5170508499356,15.4912253145829, -40.2427349810915)); #497157=CARTESIAN_POINT('Ctrl Pts',(-31.7583071446826,14.9913886587316, -39.6907231520339)); #497158=CARTESIAN_POINT('Ctrl Pts',(-32.0127825523879,14.4497854352595, -39.3142081022751)); #497159=CARTESIAN_POINT('Ctrl Pts',(-32.3647022262612,13.6357018177,-39.1478857487578)); #497160=CARTESIAN_POINT('Ctrl Pts',(-32.6068009855499,13.0460751690432, -39.1714097828244)); #497161=CARTESIAN_POINT('Ctrl Pts',(-32.8073718057163,12.5303251300627, -39.322372111019)); #497162=CARTESIAN_POINT('Ctrl Pts',(-32.9314528322028,12.1976100813417, -39.4952357027547)); #497163=CARTESIAN_POINT('Ctrl Pts',(-32.9980202638432,12.0157931059989, -39.6112021827475)); #497164=CARTESIAN_POINT('Ctrl Pts',(-33.1530772608472,11.5870380883369, -39.9236650350999)); #497165=CARTESIAN_POINT('Ctrl Pts',(-33.3548887696589,10.9906268066878, -40.6403863973458)); #497166=CARTESIAN_POINT('Ctrl Pts',(-33.4223533548111,10.7786213358869, -41.5708433721784)); #497167=CARTESIAN_POINT('Ctrl Pts',(-33.4223945227279,10.7783281273857, -41.9999999999963)); #497168=CARTESIAN_POINT('Ctrl Pts',(-31.1762353920901,15.7994502589615, -41.9999999999963)); #497169=CARTESIAN_POINT('Ctrl Pts',(-31.1762148571423,15.7994391325718, -41.6620200786562)); #497170=CARTESIAN_POINT('Ctrl Pts',(-31.2386647402707,15.677329698223,-40.9411228657145)); #497171=CARTESIAN_POINT('Ctrl Pts',(-31.4227150468757,15.3048172097622, -40.3412503770331)); #497172=CARTESIAN_POINT('Ctrl Pts',(-31.6486374299437,14.8326957093449, -39.8227723762959)); #497173=CARTESIAN_POINT('Ctrl Pts',(-31.8866792146407,14.3222530164279, -39.4711060598455)); #497174=CARTESIAN_POINT('Ctrl Pts',(-32.2157009233401,13.5592758576537, -39.3160685649835)); #497175=CARTESIAN_POINT('Ctrl Pts',(-32.4425918691682,13.0069751942101, -39.3379582934138)); #497176=CARTESIAN_POINT('Ctrl Pts',(-32.6313782408682,12.5233597211479, -39.4788144173726)); #497177=CARTESIAN_POINT('Ctrl Pts',(-32.7484706808344,12.2113674761885, -39.6403758389886)); #497178=CARTESIAN_POINT('Ctrl Pts',(-32.8115864563814,12.0402649816019, -39.7490468796743)); #497179=CARTESIAN_POINT('Ctrl Pts',(-32.9583999221998,11.6378247831524, -40.0412594272027)); #497180=CARTESIAN_POINT('Ctrl Pts',(-33.1515286813015,11.0747506431145, -40.7145676517273)); #497181=CARTESIAN_POINT('Ctrl Pts',(-33.216867042663,10.8727358319825,-41.5946067517057)); #497182=CARTESIAN_POINT('Ctrl Pts',(-33.2168258747462,10.8730290404837, -41.9999999999963)); #497183=CARTESIAN_POINT('Ctrl Pts',(-31.097840064939,15.5871276161381,-41.9999999999963)); #497184=CARTESIAN_POINT('Ctrl Pts',(-31.097840064939,15.5871276161381,-41.6815203509833)); #497185=CARTESIAN_POINT('Ctrl Pts',(-31.1563856753562,15.4708938853002, -41.0022485671438)); #497186=CARTESIAN_POINT('Ctrl Pts',(-31.3283783463028,15.1185421276646, -40.4396938889425)); #497187=CARTESIAN_POINT('Ctrl Pts',(-31.5390689647588,14.6738061279644, -39.9549582498818)); #497188=CARTESIAN_POINT('Ctrl Pts',(-31.7605094001213,14.1951019728059, -39.6275796096062)); #497189=CARTESIAN_POINT('Ctrl Pts',(-32.0666935512078,13.483068566936,-39.483863360796)); #497190=CARTESIAN_POINT('Ctrl Pts',(-32.2783068610055,12.9682637498909, -39.5041296332689)); #497191=CARTESIAN_POINT('Ctrl Pts',(-32.4551104956672,12.5172742011408, -39.634828630715)); #497192=CARTESIAN_POINT('Ctrl Pts',(-32.5654010387535,12.2255415789639, -39.7851373520843)); #497193=CARTESIAN_POINT('Ctrl Pts',(-32.6249181820955,12.0655853843761, -39.8863109411268)); #497194=CARTESIAN_POINT('Ctrl Pts',(-32.7637750329468,11.6885084110398, -40.1589542344449)); #497195=CARTESIAN_POINT('Ctrl Pts',(-32.9481806501058,11.1586701152863, -40.7890000443136)); #497196=CARTESIAN_POINT('Ctrl Pts',(-33.0112572267645,10.9677299535817, -41.6178246387197)); #497197=CARTESIAN_POINT('Ctrl Pts',(-33.0112572267645,10.9677299535817, -41.9999999999963)); #497198=CARTESIAN_POINT('Origin',(0.,0.,-71.9999999999963)); #497199=CARTESIAN_POINT('',(0.956708580912725,2.30969883127822,-71.9999999999963)); #497200=CARTESIAN_POINT('Origin',(0.956708580912725,2.30969883127822,-41.9999999999963)); #497201=CARTESIAN_POINT('Origin',(-24.1556761386489,20.267956575293,-36.9999999999963)); #497202=CARTESIAN_POINT('',(-24.1718943438524,20.6363604379196,-41.9999999999963)); #497203=CARTESIAN_POINT('',(-24.1718943438524,20.6363604379196,-41.9999999999963)); #497204=CARTESIAN_POINT('',(-24.1718943438524,20.6363604379196,-71.9999999999963)); #497205=CARTESIAN_POINT('',(-24.1394579334455,19.8995527126664,-71.9999999999963)); #497206=CARTESIAN_POINT('',(-24.1718943438524,20.6363604379196,-36.9999999999963)); #497207=CARTESIAN_POINT('Ctrl Pts',(-24.1394579334457,19.8995527126661, -41.9999999999964)); #497208=CARTESIAN_POINT('Ctrl Pts',(-24.1394579334457,19.8995527126661, -41.6229411080466)); #497209=CARTESIAN_POINT('Ctrl Pts',(-24.0916464217086,19.9579334889547, -41.2207668389284)); #497210=CARTESIAN_POINT('Ctrl Pts',(-23.9239215154127,20.1584762538094, -40.5925828350955)); #497211=CARTESIAN_POINT('Ctrl Pts',(-23.7347863636448,20.3824694752052, -40.1058955504853)); #497212=CARTESIAN_POINT('Ctrl Pts',(-23.3591838291483,20.8133525948474, -39.5568160444541)); #497213=CARTESIAN_POINT('Ctrl Pts',(-22.8515013850369,21.3713093357276, -39.1458816896224)); #497214=CARTESIAN_POINT('Ctrl Pts',(-22.2821627561317,21.9641336489667, -38.975686235047)); #497215=CARTESIAN_POINT('Ctrl Pts',(-21.7144275905722,22.5258395244503, -39.01690161209)); #497216=CARTESIAN_POINT('Ctrl Pts',(-20.9184613963389,23.2721553102912, -39.3972456920706)); #497217=CARTESIAN_POINT('Ctrl Pts',(-20.312554912525,23.7954185755906,-40.1397079531586)); #497218=CARTESIAN_POINT('Ctrl Pts',(-19.9535544151766,24.0954326747895, -41.1824473297153)); #497219=CARTESIAN_POINT('Ctrl Pts',(-19.8995527126661,24.1394579334457, -41.7360589842779)); #497220=CARTESIAN_POINT('Ctrl Pts',(-19.8995527126661,24.1394579334457, -41.9999999999963)); #497221=CARTESIAN_POINT('Ctrl Pts',(-24.1502700702479,20.1451552877506, -41.9999999999963)); #497222=CARTESIAN_POINT('Ctrl Pts',(-24.1502021132243,20.1452545114866, -41.6456705000402)); #497223=CARTESIAN_POINT('Ctrl Pts',(-24.1053631760682,20.1995125876341, -41.2675788123041)); #497224=CARTESIAN_POINT('Ctrl Pts',(-23.9473338167699,20.3869373810604, -40.6759715635593)); #497225=CARTESIAN_POINT('Ctrl Pts',(-23.7689757143478,20.5965518446487, -40.2166455249944)); #497226=CARTESIAN_POINT('Ctrl Pts',(-23.4137814223829,21.0013970712241, -39.6966303241311)); #497227=CARTESIAN_POINT('Ctrl Pts',(-22.9323195857625,21.5281256825058, -39.3058945024838)); #497228=CARTESIAN_POINT('Ctrl Pts',(-22.3917204802384,22.0899238278594, -39.1434951973445)); #497229=CARTESIAN_POINT('Ctrl Pts',(-21.8530149740689,22.6231692228436, -39.1829575967994)); #497230=CARTESIAN_POINT('Ctrl Pts',(-21.0998415669043,23.3315899170369, -39.5452282987791)); #497231=CARTESIAN_POINT('Ctrl Pts',(-20.5306748046691,23.8264886701487, -40.2492750136484)); #497232=CARTESIAN_POINT('Ctrl Pts',(-20.1955075542405,24.1088470804216, -41.2316608791067)); #497233=CARTESIAN_POINT('Ctrl Pts',(-20.1450759064265,24.1503529960571, -41.751876587009)); #497234=CARTESIAN_POINT('Ctrl Pts',(-20.1451552877506,24.1502700702479, -41.9999999999963)); #497235=CARTESIAN_POINT('Ctrl Pts',(-24.1610822070501,20.3907578628351, -41.9999999999963)); #497236=CARTESIAN_POINT('Ctrl Pts',(-24.1611501640737,20.3906586390991, -41.6682565100735)); #497237=CARTESIAN_POINT('Ctrl Pts',(-24.1190454608664,20.4411214371214, -41.3145126867077)); #497238=CARTESIAN_POINT('Ctrl Pts',(-23.9708611204029,20.6152673785102, -40.7592169757844)); #497239=CARTESIAN_POINT('Ctrl Pts',(-23.8033090007214,20.8104471023885, -40.3275400852848)); #497240=CARTESIAN_POINT('Ctrl Pts',(-23.4684271080691,21.189403237298,-39.8361919430685)); #497241=CARTESIAN_POINT('Ctrl Pts',(-23.0132096853522,21.684905558897,-39.465532075734)); #497242=CARTESIAN_POINT('Ctrl Pts',(-22.5013514031639,22.2156260238666, -39.3111694330578)); #497243=CARTESIAN_POINT('Ctrl Pts',(-21.9917444579362,22.7204073823332, -39.3485342170085)); #497244=CARTESIAN_POINT('Ctrl Pts',(-21.281148256301,23.3911038136927,-39.692981068683)); #497245=CARTESIAN_POINT('Ctrl Pts',(-20.7489553529817,23.8573778375529, -40.3591718539733)); #497246=CARTESIAN_POINT('Ctrl Pts',(-20.4373055517731,24.1224001449777, -41.2807283187612)); #497247=CARTESIAN_POINT('Ctrl Pts',(-20.3908372441593,24.160999281241,-41.7678726997083)); #497248=CARTESIAN_POINT('Ctrl Pts',(-20.3907578628351,24.1610822070501, -41.9999999999963)); #497249=CARTESIAN_POINT('Ctrl Pts',(-24.1718943438524,20.6363604379196, -41.9999999999963)); #497250=CARTESIAN_POINT('Ctrl Pts',(-24.1718943438524,20.6363604379196, -41.6909859020671)); #497251=CARTESIAN_POINT('Ctrl Pts',(-24.1327622152259,20.6827005358007, -41.3613246600835)); #497252=CARTESIAN_POINT('Ctrl Pts',(-23.9942734217601,20.8437285057613, -40.8426057042482)); #497253=CARTESIAN_POINT('Ctrl Pts',(-23.8374983514243,21.0245294718319, -40.4382900597939)); #497254=CARTESIAN_POINT('Ctrl Pts',(-23.5230247013037,21.3774477136747, -39.9760062227454)); #497255=CARTESIAN_POINT('Ctrl Pts',(-23.0940278860778,21.8417219056753, -39.6255448885953)); #497256=CARTESIAN_POINT('Ctrl Pts',(-22.6109091272706,22.3414162027593, -39.4789783953553)); #497257=CARTESIAN_POINT('Ctrl Pts',(-22.1303318414328,22.8177370807266, -39.5145902017179)); #497258=CARTESIAN_POINT('Ctrl Pts',(-21.4625284268664,23.4505384204384, -39.8409636753915)); #497259=CARTESIAN_POINT('Ctrl Pts',(-20.9670752451258,23.888447932111,-40.4687389144631)); #497260=CARTESIAN_POINT('Ctrl Pts',(-20.6792586908369,24.1358145506099, -41.3299418681526)); #497261=CARTESIAN_POINT('Ctrl Pts',(-20.6363604379196,24.1718943438524, -41.7836903024394)); #497262=CARTESIAN_POINT('Ctrl Pts',(-20.6363604379196,24.1718943438524, -41.9999999999963)); #497263=CARTESIAN_POINT('',(-20.6363604379196,24.1718943438524,-41.9999999999963)); #497264=CARTESIAN_POINT('',(-20.6363604379196,24.1718943438524,-41.9999999999963)); #497265=CARTESIAN_POINT('Ctrl Pts',(-24.1718943438524,20.6363604379196, -41.9999999999963)); #497266=CARTESIAN_POINT('Ctrl Pts',(-24.1718943438524,20.6363604379196, -41.6909859020671)); #497267=CARTESIAN_POINT('Ctrl Pts',(-24.1327622152259,20.6827005358007, -41.3613246600835)); #497268=CARTESIAN_POINT('Ctrl Pts',(-23.9942734217601,20.8437285057613, -40.8426057042482)); #497269=CARTESIAN_POINT('Ctrl Pts',(-23.8374983514243,21.0245294718319, -40.4382900597939)); #497270=CARTESIAN_POINT('Ctrl Pts',(-23.5230247013037,21.3774477136747, -39.9760062227454)); #497271=CARTESIAN_POINT('Ctrl Pts',(-23.0940278860778,21.8417219056753, -39.6255448885953)); #497272=CARTESIAN_POINT('Ctrl Pts',(-22.6109091272706,22.3414162027593, -39.4789783953553)); #497273=CARTESIAN_POINT('Ctrl Pts',(-22.1303318414328,22.8177370807266, -39.5145902017179)); #497274=CARTESIAN_POINT('Ctrl Pts',(-21.4625284268664,23.4505384204384, -39.8409636753915)); #497275=CARTESIAN_POINT('Ctrl Pts',(-20.9670752451258,23.888447932111,-40.4687389144631)); #497276=CARTESIAN_POINT('Ctrl Pts',(-20.6792586908369,24.1358145506099, -41.3299418681526)); #497277=CARTESIAN_POINT('Ctrl Pts',(-20.6363604379196,24.1718943438524, -41.7836903024394)); #497278=CARTESIAN_POINT('Ctrl Pts',(-20.6363604379196,24.1718943438524, -41.9999999999963)); #497279=CARTESIAN_POINT('Origin',(-20.267956575293,24.1556761386489,-36.9999999999963)); #497280=CARTESIAN_POINT('',(-20.6363604379196,24.1718943438524,-71.9999999999963)); #497281=CARTESIAN_POINT('',(-20.6363604379196,24.1718943438524,-71.9999999999963)); #497282=CARTESIAN_POINT('',(-20.6363604379196,24.1718943438524,-36.9999999999963)); #497283=CARTESIAN_POINT('Ctrl Pts',(-19.8995527126661,24.1394579334457, -71.9999999999963)); #497284=CARTESIAN_POINT('Ctrl Pts',(-19.8995527126661,24.1394579334457, -72.4309241498724)); #497285=CARTESIAN_POINT('Ctrl Pts',(-20.0656148550759,24.0037766468976, -73.3668626203057)); #497286=CARTESIAN_POINT('Ctrl Pts',(-20.5660267380422,23.5781705158004, -74.1760385483983)); #497287=CARTESIAN_POINT('Ctrl Pts',(-20.9760291320343,23.2108151357103, -74.5570487486651)); #497288=CARTESIAN_POINT('Ctrl Pts',(-21.2738703948977,22.9390501903756, -74.7745939580788)); #497289=CARTESIAN_POINT('Ctrl Pts',(-21.8142020721315,22.4319358800903, -75.0269133273441)); #497290=CARTESIAN_POINT('Ctrl Pts',(-22.3747650413859,21.8713893497061, -75.0273170781098)); #497291=CARTESIAN_POINT('Ctrl Pts',(-22.8301756606555,21.3900087683292, -74.8307742208558)); #497292=CARTESIAN_POINT('Ctrl Pts',(-23.0437207616137,21.1594877521029, -74.6944860990159)); #497293=CARTESIAN_POINT('Ctrl Pts',(-23.228936198963,20.9560986421767,-74.5421900180976)); #497294=CARTESIAN_POINT('Ctrl Pts',(-23.5033127190323,20.6490031022244, -74.2468860755782)); #497295=CARTESIAN_POINT('Ctrl Pts',(-23.7464637844695,20.3683315024635, -73.8555069180251)); #497296=CARTESIAN_POINT('Ctrl Pts',(-24.0546308059077,20.004051013559,-73.0646344673521)); #497297=CARTESIAN_POINT('Ctrl Pts',(-24.1394579334457,19.8995527126661, -72.4309241498726)); #497298=CARTESIAN_POINT('Ctrl Pts',(-24.1394579334457,19.8995527126661, -71.9999999999963)); #497299=CARTESIAN_POINT('Ctrl Pts',(-20.1451552877506,24.1502700702479, -71.9999999999963)); #497300=CARTESIAN_POINT('Ctrl Pts',(-20.1451552877506,24.1502700702479, -72.4050023415089)); #497301=CARTESIAN_POINT('Ctrl Pts',(-20.2997221213756,24.0228555143392, -73.284827853958)); #497302=CARTESIAN_POINT('Ctrl Pts',(-20.7685608957798,23.6211358373649, -74.0500924854261)); #497303=CARTESIAN_POINT('Ctrl Pts',(-21.1550002506575,23.2730708881777, -74.4117975569666)); #497304=CARTESIAN_POINT('Ctrl Pts',(-21.4361699540745,23.0153770969242, -74.6185647421517)); #497305=CARTESIAN_POINT('Ctrl Pts',(-21.9474606242714,22.534031870229,-74.858945330312)); #497306=CARTESIAN_POINT('Ctrl Pts',(-22.4797205763678,22.0017864349968, -74.8593233482392)); #497307=CARTESIAN_POINT('Ctrl Pts',(-22.9120077273651,21.546087569551,-74.6720735284768)); #497308=CARTESIAN_POINT('Ctrl Pts',(-23.1146100243971,21.3281937191446, -74.5424168877625)); #497309=CARTESIAN_POINT('Ctrl Pts',(-23.29022645288,21.1362156689653,-74.3976722026916)); #497310=CARTESIAN_POINT('Ctrl Pts',(-23.5501358182138,20.8468742034441, -74.1174262821261)); #497311=CARTESIAN_POINT('Ctrl Pts',(-23.7799948224335,20.5833156959022, -73.746934856684)); #497312=CARTESIAN_POINT('Ctrl Pts',(-24.0706219671562,20.2423851989827, -73.0006800724835)); #497313=CARTESIAN_POINT('Ctrl Pts',(-24.1502700702479,20.1451552877506, -72.405002341509)); #497314=CARTESIAN_POINT('Ctrl Pts',(-24.1502700702479,20.1451552877506, -71.9999999999963)); #497315=CARTESIAN_POINT('Ctrl Pts',(-20.3907578628351,24.1610822070501, -71.9999999999963)); #497316=CARTESIAN_POINT('Ctrl Pts',(-20.3907578628351,24.1610822070501, -72.3790805331454)); #497317=CARTESIAN_POINT('Ctrl Pts',(-20.5338293876753,24.0419343817807, -73.2027930876104)); #497318=CARTESIAN_POINT('Ctrl Pts',(-20.9710950535173,23.6641011589294, -73.9241464224539)); #497319=CARTESIAN_POINT('Ctrl Pts',(-21.3339713692807,23.335326640645,-74.2665463652681)); #497320=CARTESIAN_POINT('Ctrl Pts',(-21.5984695132514,23.0917040034729, -74.4625355262247)); #497321=CARTESIAN_POINT('Ctrl Pts',(-22.0807191764112,22.6361278603677, -74.6909773332799)); #497322=CARTESIAN_POINT('Ctrl Pts',(-22.5846761113496,22.1321835202874, -74.6913296183686)); #497323=CARTESIAN_POINT('Ctrl Pts',(-22.9938397940747,21.7021663707728, -74.5133728360977)); #497324=CARTESIAN_POINT('Ctrl Pts',(-23.1854992871805,21.4968996861863, -74.3903476765091)); #497325=CARTESIAN_POINT('Ctrl Pts',(-23.3515167067969,21.3163326957539, -74.2531543872854)); #497326=CARTESIAN_POINT('Ctrl Pts',(-23.5969589173954,21.0447453046637, -73.987966488674)); #497327=CARTESIAN_POINT('Ctrl Pts',(-23.8135258603974,20.7982998893409, -73.6383627953429)); #497328=CARTESIAN_POINT('Ctrl Pts',(-24.0866131284048,20.4807193844063, -72.936725677615)); #497329=CARTESIAN_POINT('Ctrl Pts',(-24.1610822070501,20.3907578628351, -72.3790805331454)); #497330=CARTESIAN_POINT('Ctrl Pts',(-24.1610822070501,20.3907578628351, -71.9999999999963)); #497331=CARTESIAN_POINT('Ctrl Pts',(-20.6363604379196,24.1718943438524, -71.9999999999963)); #497332=CARTESIAN_POINT('Ctrl Pts',(-20.6363604379196,24.1718943438524, -72.3531587247818)); #497333=CARTESIAN_POINT('Ctrl Pts',(-20.767936653975,24.0610132492223,-73.1207583212628)); #497334=CARTESIAN_POINT('Ctrl Pts',(-21.1736292112549,23.7070664804939, -73.7982003594817)); #497335=CARTESIAN_POINT('Ctrl Pts',(-21.5129424879039,23.3975823931124, -74.1212951735695)); #497336=CARTESIAN_POINT('Ctrl Pts',(-21.7607690724282,23.1680309100216, -74.3065063102976)); #497337=CARTESIAN_POINT('Ctrl Pts',(-22.2139777285511,22.7382238505064, -74.5230093362477)); #497338=CARTESIAN_POINT('Ctrl Pts',(-22.6896316463315,22.2625806055781, -74.523335888498)); #497339=CARTESIAN_POINT('Ctrl Pts',(-23.0756718607843,21.8582451719946, -74.3546721437187)); #497340=CARTESIAN_POINT('Ctrl Pts',(-23.2563885499639,21.6656056532279, -74.2382784652556)); #497341=CARTESIAN_POINT('Ctrl Pts',(-23.4128069607139,21.4964497225425, -74.1086365718794)); #497342=CARTESIAN_POINT('Ctrl Pts',(-23.643782016577,21.2426164058834,-73.8585066952219)); #497343=CARTESIAN_POINT('Ctrl Pts',(-23.8470568983613,21.0132840827796, -73.5297907340018)); #497344=CARTESIAN_POINT('Ctrl Pts',(-24.1026042896533,20.71905356983,-72.8727712827464)); #497345=CARTESIAN_POINT('Ctrl Pts',(-24.1718943438524,20.6363604379196, -72.3531587247818)); #497346=CARTESIAN_POINT('Ctrl Pts',(-24.1718943438524,20.6363604379196, -71.9999999999963)); #497347=CARTESIAN_POINT('Ctrl Pts',(-20.6363604379196,24.1718943438524, -71.9999999999963)); #497348=CARTESIAN_POINT('Ctrl Pts',(-20.6363604379196,24.1718943438524, -72.3531587247818)); #497349=CARTESIAN_POINT('Ctrl Pts',(-20.767936653975,24.0610132492223,-73.1207583212628)); #497350=CARTESIAN_POINT('Ctrl Pts',(-21.1736292112549,23.7070664804939, -73.7982003594817)); #497351=CARTESIAN_POINT('Ctrl Pts',(-21.5129424879039,23.3975823931124, -74.1212951735695)); #497352=CARTESIAN_POINT('Ctrl Pts',(-21.7607690724282,23.1680309100216, -74.3065063102976)); #497353=CARTESIAN_POINT('Ctrl Pts',(-22.2139777285511,22.7382238505064, -74.5230093362477)); #497354=CARTESIAN_POINT('Ctrl Pts',(-22.6896316463315,22.2625806055781, -74.523335888498)); #497355=CARTESIAN_POINT('Ctrl Pts',(-23.0756718607843,21.8582451719946, -74.3546721437187)); #497356=CARTESIAN_POINT('Ctrl Pts',(-23.2563885499639,21.6656056532279, -74.2382784652556)); #497357=CARTESIAN_POINT('Ctrl Pts',(-23.4128069607139,21.4964497225425, -74.1086365718794)); #497358=CARTESIAN_POINT('Ctrl Pts',(-23.643782016577,21.2426164058834,-73.8585066952219)); #497359=CARTESIAN_POINT('Ctrl Pts',(-23.8470568983613,21.0132840827796, -73.5297907340018)); #497360=CARTESIAN_POINT('Ctrl Pts',(-24.1026042896533,20.71905356983,-72.8727712827464)); #497361=CARTESIAN_POINT('Ctrl Pts',(-24.1718943438524,20.6363604379196, -72.3531587247818)); #497362=CARTESIAN_POINT('Ctrl Pts',(-24.1718943438524,20.6363604379196, -71.9999999999963)); #497363=CARTESIAN_POINT('Origin',(0.,0.,-41.9999999999963)); #497364=CARTESIAN_POINT('',(-26.301256350383,22.7657224444502,-41.9999999999963)); #497365=CARTESIAN_POINT('',(-1.76776695296637,-1.76776695296637,-41.9999999999963)); #497366=CARTESIAN_POINT('',(-22.7657224444502,26.301256350383,-41.9999999999963)); #497367=CARTESIAN_POINT('Ctrl Pts',(-22.7657224444502,26.301256350383,-41.9999999999963)); #497368=CARTESIAN_POINT('Ctrl Pts',(-22.7657224444502,26.301256350383,-41.6815203509833)); #497369=CARTESIAN_POINT('Ctrl Pts',(-22.8148253804942,26.2587529983899, -41.3418180870301)); #497370=CARTESIAN_POINT('Ctrl Pts',(-22.9823120623345,26.1116758671213, -40.8141479178424)); #497371=CARTESIAN_POINT('Ctrl Pts',(-23.1683066244492,25.9472683815453, -40.40770919569)); #497372=CARTESIAN_POINT('Ctrl Pts',(-23.5254862037385,25.6242020277088, -39.9529439487122)); #497373=CARTESIAN_POINT('Ctrl Pts',(-23.9811902564209,25.1990697998944, -39.6184549949651)); #497374=CARTESIAN_POINT('Ctrl Pts',(-24.3886549970589,24.8036069018206, -39.5024424423353)); #497375=CARTESIAN_POINT('Ctrl Pts',(-24.6955057792923,24.497070845174,-39.4986976967437)); #497376=CARTESIAN_POINT('Ctrl Pts',(-25.0085967656092,24.178619080552,-39.5519013050188)); #497377=CARTESIAN_POINT('Ctrl Pts',(-25.3938763934937,23.7745122298915, -39.7422414871723)); #497378=CARTESIAN_POINT('Ctrl Pts',(-25.7747663526668,23.3603424039086, -40.1336560760819)); #497379=CARTESIAN_POINT('Ctrl Pts',(-26.0639525867532,23.0370187029594, -40.6490539665057)); #497380=CARTESIAN_POINT('Ctrl Pts',(-26.262252637932,22.8108244200006,-41.3121609145755)); #497381=CARTESIAN_POINT('Ctrl Pts',(-26.301256350383,22.7657224444502,-41.7770643544567)); #497382=CARTESIAN_POINT('Ctrl Pts',(-26.301256350383,22.7657224444502,-41.9999999999963)); #497383=CARTESIAN_POINT('',(1.76776695296637,1.76776695296637,-41.9999999999963)); #497384=CARTESIAN_POINT('Origin',(-1.76776695296637,-1.76776695296637,-71.9999999999963)); #497385=CARTESIAN_POINT('',(-26.301256350383,22.7657224444502,-71.9999999999963)); #497386=CARTESIAN_POINT('',(-1.76776695296637,-1.76776695296637,-71.9999999999963)); #497387=CARTESIAN_POINT('',(-26.301256350383,22.7657224444502,-1.69922627077552E-17)); #497388=CARTESIAN_POINT('Origin',(-26.6404980556861,22.7524856651626,-8.49613135387758E-18)); #497389=CARTESIAN_POINT('',(-26.301256350383,22.7657224444502,-71.9999999999963)); #497390=CARTESIAN_POINT('',(-26.9797397609891,22.739248885875,-41.9999999999963)); #497391=CARTESIAN_POINT('Ctrl Pts',(-26.9797397609892,22.7392488858749, -71.9999999999963)); #497392=CARTESIAN_POINT('Ctrl Pts',(-26.9797397609892,22.7392488858749, -72.301583181549)); #497393=CARTESIAN_POINT('Ctrl Pts',(-26.9049964262286,22.8287405891656, -73.0980075886629)); #497394=CARTESIAN_POINT('Ctrl Pts',(-26.6175956648167,23.1645091592951, -73.8032908297479)); #497395=CARTESIAN_POINT('Ctrl Pts',(-26.1927234013329,23.6439911683981, -74.4423665210046)); #497396=CARTESIAN_POINT('Ctrl Pts',(-25.7653772813,24.1149640423043,-74.8412052918269)); #497397=CARTESIAN_POINT('Ctrl Pts',(-25.1107020623995,24.7912143910335, -75.0190101344667)); #497398=CARTESIAN_POINT('Ctrl Pts',(-24.628897585533,25.2698646678825,-74.9936107184284)); #497399=CARTESIAN_POINT('Ctrl Pts',(-24.2043106257692,25.6754603137266, -74.8327837928764)); #497400=CARTESIAN_POINT('Ctrl Pts',(-23.8877515919299,25.9689924251383, -74.6215917491207)); #497401=CARTESIAN_POINT('Ctrl Pts',(-23.4464026439347,26.3713992192347, -74.236196686671)); #497402=CARTESIAN_POINT('Ctrl Pts',(-22.920207732289,26.8285272980378,-73.4347630024096)); #497403=CARTESIAN_POINT('Ctrl Pts',(-22.7392488858749,26.9797397609892, -72.4523745148286)); #497404=CARTESIAN_POINT('Ctrl Pts',(-22.7392488858749,26.9797397609892, -71.9999999999963)); #497405=CARTESIAN_POINT('Ctrl Pts',(-26.7535786241205,22.7480734054,-71.9999999999963)); #497406=CARTESIAN_POINT('Ctrl Pts',(-26.7536566076508,22.7480002373058, -72.2859899586911)); #497407=CARTESIAN_POINT('Ctrl Pts',(-26.6818389012342,22.833129503436,-73.0412860493931)); #497408=CARTESIAN_POINT('Ctrl Pts',(-26.4076354249614,23.1508728754195, -73.7079167672555)); #497409=CARTESIAN_POINT('Ctrl Pts',(-26.0039791871245,23.6035811301475, -74.310178053717)); #497410=CARTESIAN_POINT('Ctrl Pts',(-25.59964719363,24.0470354787763,-74.6847290149445)); #497411=CARTESIAN_POINT('Ctrl Pts',(-24.9832297737971,24.6827540449974, -74.8512152501085)); #497412=CARTESIAN_POINT('Ctrl Pts',(-24.5303296833983,25.1328416351134, -74.8274680615339)); #497413=CARTESIAN_POINT('Ctrl Pts',(-24.1311397622192,25.5150170540622, -74.6767074561258)); #497414=CARTESIAN_POINT('Ctrl Pts',(-23.8332505030552,25.7922944931871, -74.4785392222105)); #497415=CARTESIAN_POINT('Ctrl Pts',(-23.4173258187749,26.1732539998608, -74.1160683476903)); #497416=CARTESIAN_POINT('Ctrl Pts',(-22.9197324818557,26.6087080653418, -73.3602095173446)); #497417=CARTESIAN_POINT('Ctrl Pts',(-22.7484122765599,26.7533555227055, -72.4292099899339)); #497418=CARTESIAN_POINT('Ctrl Pts',(-22.7480734054,26.7535786241205,-71.9999999999963)); #497419=CARTESIAN_POINT('Ctrl Pts',(-26.5274174872517,22.7568979249251, -71.9999999999963)); #497420=CARTESIAN_POINT('Ctrl Pts',(-26.5273395037214,22.7569710930193, -72.2703769420646)); #497421=CARTESIAN_POINT('Ctrl Pts',(-26.4588695703828,22.8372985188699, -72.9845536635451)); #497422=CARTESIAN_POINT('Ctrl Pts',(-26.1974117296808,23.1374672804936, -73.6124050016831)); #497423=CARTESIAN_POINT('Ctrl Pts',(-25.8153436916792,23.5630383484384, -74.1779960823115)); #497424=CARTESIAN_POINT('Ctrl Pts',(-25.4337401644102,23.9792115301386, -74.5280280889734)); #497425=CARTESIAN_POINT('Ctrl Pts',(-24.855705659968,24.5742765931493,-74.6831846083321)); #497426=CARTESIAN_POINT('Ctrl Pts',(-24.4314043609655,24.9960834712894, -74.6610378079027)); #497427=CARTESIAN_POINT('Ctrl Pts',(-24.0575800166931,25.354916461402,-74.5205283455664)); #497428=CARTESIAN_POINT('Ctrl Pts',(-23.7781731438401,25.6160520242824, -74.3350729938362)); #497429=CARTESIAN_POINT('Ctrl Pts',(-23.3882173503936,25.9751467941145, -73.9960966466381)); #497430=CARTESIAN_POINT('Ctrl Pts',(-22.9197816744406,26.3884991366632, -73.2861611005758)); #497431=CARTESIAN_POINT('Ctrl Pts',(-22.7565590537653,26.5276405886667, -72.4053398861677)); #497432=CARTESIAN_POINT('Ctrl Pts',(-22.7568979249251,26.5274174872517, -71.9999999999963)); #497433=CARTESIAN_POINT('Ctrl Pts',(-26.301256350383,22.7657224444502,-71.9999999999963)); #497434=CARTESIAN_POINT('Ctrl Pts',(-26.301256350383,22.7657224444502,-72.2547837192068)); #497435=CARTESIAN_POINT('Ctrl Pts',(-26.2357120453883,22.8416874331402, -72.9278321242752)); #497436=CARTESIAN_POINT('Ctrl Pts',(-25.9874514898254,23.123830996618,-73.5170309391907)); #497437=CARTESIAN_POINT('Ctrl Pts',(-25.6265994774709,23.5226283101879, -74.0458076150239)); #497438=CARTESIAN_POINT('Ctrl Pts',(-25.2680100767402,23.9112829666106, -74.3715518120911)); #497439=CARTESIAN_POINT('Ctrl Pts',(-24.7282333713656,24.4658162471132, -74.5153897239739)); #497440=CARTESIAN_POINT('Ctrl Pts',(-24.3328364588308,24.8590604385203, -74.4948951510082)); #497441=CARTESIAN_POINT('Ctrl Pts',(-23.9844091531432,25.1944732017376, -74.3644520088157)); #497442=CARTESIAN_POINT('Ctrl Pts',(-23.7236720549654,25.4393540923313, -74.192020466926)); #497443=CARTESIAN_POINT('Ctrl Pts',(-23.3591405252338,25.7770015747405, -73.8759683076575)); #497444=CARTESIAN_POINT('Ctrl Pts',(-22.9193064240074,26.1686799039672, -73.2116076155108)); #497445=CARTESIAN_POINT('Ctrl Pts',(-22.7657224444502,26.301256350383,-72.382175361273)); #497446=CARTESIAN_POINT('Ctrl Pts',(-22.7657224444502,26.301256350383,-71.9999999999963)); #497447=CARTESIAN_POINT('',(-22.7657224444502,26.301256350383,-71.9999999999963)); #497448=CARTESIAN_POINT('',(-22.7657224444502,26.301256350383,-71.9999999999963)); #497449=CARTESIAN_POINT('Ctrl Pts',(-26.301256350383,22.7657224444502,-71.9999999999963)); #497450=CARTESIAN_POINT('Ctrl Pts',(-26.301256350383,22.7657224444502,-72.2547837192068)); #497451=CARTESIAN_POINT('Ctrl Pts',(-26.2357120453883,22.8416874331402, -72.9278321242752)); #497452=CARTESIAN_POINT('Ctrl Pts',(-25.9874514898254,23.123830996618,-73.5170309391907)); #497453=CARTESIAN_POINT('Ctrl Pts',(-25.6265994774709,23.5226283101879, -74.0458076150239)); #497454=CARTESIAN_POINT('Ctrl Pts',(-25.2680100767402,23.9112829666106, -74.3715518120911)); #497455=CARTESIAN_POINT('Ctrl Pts',(-24.7282333713656,24.4658162471132, -74.5153897239739)); #497456=CARTESIAN_POINT('Ctrl Pts',(-24.3328364588308,24.8590604385203, -74.4948951510082)); #497457=CARTESIAN_POINT('Ctrl Pts',(-23.9844091531432,25.1944732017376, -74.3644520088157)); #497458=CARTESIAN_POINT('Ctrl Pts',(-23.7236720549654,25.4393540923313, -74.192020466926)); #497459=CARTESIAN_POINT('Ctrl Pts',(-23.3591405252338,25.7770015747405, -73.8759683076575)); #497460=CARTESIAN_POINT('Ctrl Pts',(-22.9193064240074,26.1686799039672, -73.2116076155108)); #497461=CARTESIAN_POINT('Ctrl Pts',(-22.7657224444502,26.301256350383,-72.382175361273)); #497462=CARTESIAN_POINT('Ctrl Pts',(-22.7657224444502,26.301256350383,-71.9999999999963)); #497463=CARTESIAN_POINT('Origin',(-22.7524856651626,26.6404980556861,-8.49613135387756E-18)); #497464=CARTESIAN_POINT('',(-22.7657224444502,26.301256350383,-41.9999999999963)); #497465=CARTESIAN_POINT('',(-22.7657224444502,26.301256350383,-1.69922627077551E-17)); #497466=CARTESIAN_POINT('Ctrl Pts',(-22.7392488858749,26.9797397609892, -41.9999999999963)); #497467=CARTESIAN_POINT('Ctrl Pts',(-22.7392488858749,26.9797397609892, -41.6230210230554)); #497468=CARTESIAN_POINT('Ctrl Pts',(-22.7971838816837,26.9311598242495, -41.2208696199048)); #497469=CARTESIAN_POINT('Ctrl Pts',(-22.9961646025576,26.7612617681203, -40.5925702362495)); #497470=CARTESIAN_POINT('Ctrl Pts',(-23.2178272858062,26.5704422134798, -40.1062746676374)); #497471=CARTESIAN_POINT('Ctrl Pts',(-23.6472930339469,26.1900889921656, -39.5559170931305)); #497472=CARTESIAN_POINT('Ctrl Pts',(-24.2001540640989,25.6816163246212, -39.1463669377702)); #497473=CARTESIAN_POINT('Ctrl Pts',(-24.6970559655265,25.2022585593415, -39.0029263971866)); #497474=CARTESIAN_POINT('Ctrl Pts',(-25.0706540255623,24.8291172197619, -38.998359189222)); #497475=CARTESIAN_POINT('Ctrl Pts',(-25.4509734212263,24.4409687401906, -39.0640158204356)); #497476=CARTESIAN_POINT('Ctrl Pts',(-25.9155914694799,23.948903272064,-39.2984547518443)); #497477=CARTESIAN_POINT('Ctrl Pts',(-26.3681077225077,23.4482344277374, -39.7754079077343)); #497478=CARTESIAN_POINT('Ctrl Pts',(-26.7063412213143,23.0610241476138, -40.3959881925608)); #497479=CARTESIAN_POINT('Ctrl Pts',(-26.9351791199015,22.7924524647956, -41.1859077673439)); #497480=CARTESIAN_POINT('Ctrl Pts',(-26.9797397609892,22.7392488858749, -41.7361148448862)); #497481=CARTESIAN_POINT('Ctrl Pts',(-26.9797397609892,22.7392488858749, -41.9999999999963)); #497482=CARTESIAN_POINT('Ctrl Pts',(-22.7480734054,26.7535786241205,-41.9999999999963)); #497483=CARTESIAN_POINT('Ctrl Pts',(-22.7481262545898,26.7535481246079, -41.642547192819)); #497484=CARTESIAN_POINT('Ctrl Pts',(-22.8030613875344,26.7070295959921, -41.2611452058836)); #497485=CARTESIAN_POINT('Ctrl Pts',(-22.991597912198,26.5446812445687,-40.6664818990077)); #497486=CARTESIAN_POINT('Ctrl Pts',(-23.2014053654542,26.3626474581484, -40.2066629573114)); #497487=CARTESIAN_POINT('Ctrl Pts',(-23.6067319814453,26.001402952726,-39.6883891090731)); #497488=CARTESIAN_POINT('Ctrl Pts',(-24.1272269930239,25.5206977988526, -39.3038030102686)); #497489=CARTESIAN_POINT('Ctrl Pts',(-24.5943394308684,25.0692704087235, -39.1695638970359)); #497490=CARTESIAN_POINT('Ctrl Pts',(-24.9456308011668,24.7183961897505, -39.1652540854211)); #497491=CARTESIAN_POINT('Ctrl Pts',(-25.3035828696982,24.3534320158949, -39.2267764277037)); #497492=CARTESIAN_POINT('Ctrl Pts',(-25.7417335951676,23.8907152938693, -39.4464834311192)); #497493=CARTESIAN_POINT('Ctrl Pts',(-26.1703072229336,23.418961506805,-39.8948294951908)); #497494=CARTESIAN_POINT('Ctrl Pts',(-26.4922071766198,23.0530278611859, -40.4803580807233)); #497495=CARTESIAN_POINT('Ctrl Pts',(-26.7108184244216,22.7986457186691, -41.2279279736707)); #497496=CARTESIAN_POINT('Ctrl Pts',(-26.7536643977862,22.7479697708006, -41.7499009623341)); #497497=CARTESIAN_POINT('Ctrl Pts',(-26.7535786241205,22.7480734054,-41.9999999999963)); #497498=CARTESIAN_POINT('Ctrl Pts',(-22.7568979249251,26.5274174872517, -41.9999999999963)); #497499=CARTESIAN_POINT('Ctrl Pts',(-22.7568450757353,26.5274479867643, -41.6619941812197)); #497500=CARTESIAN_POINT('Ctrl Pts',(-22.8089478746434,26.4828832266474, -41.3015425010513)); #497501=CARTESIAN_POINT('Ctrl Pts',(-22.9868787526941,26.328256390673,-40.7402362550842)); #497502=CARTESIAN_POINT('Ctrl Pts',(-23.1847285448012,26.1550631368766, -40.307320906016)); #497503=CARTESIAN_POINT('Ctrl Pts',(-23.5660472562401,25.8128880671483, -39.8204719327695)); #497504=CARTESIAN_POINT('Ctrl Pts',(-24.0541173274959,25.359988325663,-39.4610189224667)); #497505=CARTESIAN_POINT('Ctrl Pts',(-24.491371531717,24.9365950524385,-39.335804942486)); #497506=CARTESIAN_POINT('Ctrl Pts',(-24.8205290036877,24.6077918751854, -39.3318028005447)); #497507=CARTESIAN_POINT('Ctrl Pts',(-25.1559873171373,24.2661558048478, -39.3891406977506)); #497508=CARTESIAN_POINT('Ctrl Pts',(-25.567734267806,23.8327002080862,-39.5942128078973)); #497509=CARTESIAN_POINT('Ctrl Pts',(-25.9725668522409,23.389615324841,-40.0142344886254)); #497510=CARTESIAN_POINT('Ctrl Pts',(-26.2780866314477,23.0450149893873, -40.5646840783433)); #497511=CARTESIAN_POINT('Ctrl Pts',(-26.4866133334119,22.804631166127,-41.2701407082487)); #497512=CARTESIAN_POINT('Ctrl Pts',(-26.5273317135859,22.7570015595246, -41.7632782370088)); #497513=CARTESIAN_POINT('Ctrl Pts',(-26.5274174872517,22.7568979249251, -41.9999999999963)); #497514=CARTESIAN_POINT('Ctrl Pts',(-22.7657224444502,26.301256350383,-41.9999999999963)); #497515=CARTESIAN_POINT('Ctrl Pts',(-22.7657224444502,26.301256350383,-41.6815203509833)); #497516=CARTESIAN_POINT('Ctrl Pts',(-22.8148253804942,26.2587529983899, -41.3418180870301)); #497517=CARTESIAN_POINT('Ctrl Pts',(-22.9823120623345,26.1116758671213, -40.8141479178424)); #497518=CARTESIAN_POINT('Ctrl Pts',(-23.1683066244492,25.9472683815453, -40.40770919569)); #497519=CARTESIAN_POINT('Ctrl Pts',(-23.5254862037385,25.6242020277088, -39.9529439487122)); #497520=CARTESIAN_POINT('Ctrl Pts',(-23.9811902564209,25.1990697998944, -39.6184549949651)); #497521=CARTESIAN_POINT('Ctrl Pts',(-24.3886549970589,24.8036069018206, -39.5024424423353)); #497522=CARTESIAN_POINT('Ctrl Pts',(-24.6955057792923,24.497070845174,-39.4986976967437)); #497523=CARTESIAN_POINT('Ctrl Pts',(-25.0085967656092,24.178619080552,-39.5519013050188)); #497524=CARTESIAN_POINT('Ctrl Pts',(-25.3938763934937,23.7745122298915, -39.7422414871723)); #497525=CARTESIAN_POINT('Ctrl Pts',(-25.7747663526668,23.3603424039086, -40.1336560760819)); #497526=CARTESIAN_POINT('Ctrl Pts',(-26.0639525867532,23.0370187029594, -40.6490539665057)); #497527=CARTESIAN_POINT('Ctrl Pts',(-26.262252637932,22.8108244200006,-41.3121609145755)); #497528=CARTESIAN_POINT('Ctrl Pts',(-26.301256350383,22.7657224444502,-41.7770643544567)); #497529=CARTESIAN_POINT('Ctrl Pts',(-26.301256350383,22.7657224444502,-41.9999999999963)); #497530=CARTESIAN_POINT('Origin',(0.,0.,-71.9999999999963)); #497531=CARTESIAN_POINT('',(1.76776695296637,1.76776695296637,-71.9999999999963)); #497532=CARTESIAN_POINT('Origin',(1.76776695296637,1.76776695296637,-41.9999999999963)); #497533=CARTESIAN_POINT('Origin',(-14.5607235892093,27.9691273015784,-36.9999999999963)); #497534=CARTESIAN_POINT('',(-14.4347252024043,28.3156945283913,-41.9999999999963)); #497535=CARTESIAN_POINT('',(-14.4347252024043,28.3156945283913,-41.9999999999963)); #497536=CARTESIAN_POINT('',(-14.4347252024043,28.3156945283913,-71.9999999999963)); #497537=CARTESIAN_POINT('',(-14.6867219760143,27.6225600747655,-71.9999999999963)); #497538=CARTESIAN_POINT('',(-14.4347252024043,28.3156945283913,-36.9999999999963)); #497539=CARTESIAN_POINT('Ctrl Pts',(-14.6867219760146,27.6225600747654, -41.9999999999963)); #497540=CARTESIAN_POINT('Ctrl Pts',(-14.6867219760146,27.6225600747654, -41.56907585012)); #497541=CARTESIAN_POINT('Ctrl Pts',(-14.5552273774095,27.6937813604812, -40.8732218782906)); #497542=CARTESIAN_POINT('Ctrl Pts',(-14.1102607281456,27.9224203402056, -40.1015910866811)); #497543=CARTESIAN_POINT('Ctrl Pts',(-13.5687703542077,28.1924569611176, -39.4891509239687)); #497544=CARTESIAN_POINT('Ctrl Pts',(-12.5343893460808,28.6762679930702, -38.9354270250766)); #497545=CARTESIAN_POINT('Ctrl Pts',(-11.6230851339082,29.0498837667094, -38.9741221557827)); #497546=CARTESIAN_POINT('Ctrl Pts',(-11.0081803954969,29.284064885502,-39.1792165502926)); #497547=CARTESIAN_POINT('Ctrl Pts',(-10.761641650503,29.3756791159212,-39.2887420441058)); #497548=CARTESIAN_POINT('Ctrl Pts',(-10.4782445518349,29.4778105690956, -39.4555200338369)); #497549=CARTESIAN_POINT('Ctrl Pts',(-9.96459727114241,29.6576668570778, -39.844708316392)); #497550=CARTESIAN_POINT('Ctrl Pts',(-9.34644173770398,29.8572828643669, -40.6391955192431)); #497551=CARTESIAN_POINT('Ctrl Pts',(-9.14701883995801,29.9171802452408, -41.5690758501201)); #497552=CARTESIAN_POINT('Ctrl Pts',(-9.14701883995801,29.9171802452408, -41.9999999999963)); #497553=CARTESIAN_POINT('Ctrl Pts',(-14.6027230514778,27.8536048926407, -41.9999999999963)); #497554=CARTESIAN_POINT('Ctrl Pts',(-14.6027230514778,27.8536048926407, -41.5949976584836)); #497555=CARTESIAN_POINT('Ctrl Pts',(-14.4796425355049,27.9195609017306, -40.9408955179109)); #497556=CARTESIAN_POINT('Ctrl Pts',(-14.0609140016113,28.1327494307107, -40.2124881782312)); #497557=CARTESIAN_POINT('Ctrl Pts',(-13.549991822984,28.3854734227052,-39.6325601551716)); #497558=CARTESIAN_POINT('Ctrl Pts',(-12.5699436034594,28.8413038446153, -39.1052684638259)); #497559=CARTESIAN_POINT('Ctrl Pts',(-11.7043527283981,29.1963706808844, -39.1420885516273)); #497560=CARTESIAN_POINT('Ctrl Pts',(-11.1219377720288,29.4191173259555, -39.3374391175287)); #497561=CARTESIAN_POINT('Ctrl Pts',(-10.8886075208649,29.5063015995852, -39.4416546679236)); #497562=CARTESIAN_POINT('Ctrl Pts',(-10.6207927127902,29.6035003516158, -39.6001604835995)); #497563=CARTESIAN_POINT('Ctrl Pts',(-10.1360795486126,29.7746730630901, -39.9695285457583)); #497564=CARTESIAN_POINT('Ctrl Pts',(-9.555804402845,29.9643996960494,-40.7209012045376)); #497565=CARTESIAN_POINT('Ctrl Pts',(-9.36978840658797,30.0211573935661, -41.5949976584837)); #497566=CARTESIAN_POINT('Ctrl Pts',(-9.36978840658797,30.0211573935661, -41.9999999999963)); #497567=CARTESIAN_POINT('Ctrl Pts',(-14.5187241269411,28.084649710516,-41.9999999999963)); #497568=CARTESIAN_POINT('Ctrl Pts',(-14.5187241269411,28.084649710516,-41.6209194668472)); #497569=CARTESIAN_POINT('Ctrl Pts',(-14.4040576936002,28.1453404429801, -41.0085691575313)); #497570=CARTESIAN_POINT('Ctrl Pts',(-14.0115672750769,28.3430785212158, -40.3233852697813)); #497571=CARTESIAN_POINT('Ctrl Pts',(-13.5312132917603,28.5784898842927, -39.7759693863745)); #497572=CARTESIAN_POINT('Ctrl Pts',(-12.605497860838,29.0063396961603,-39.2751099025752)); #497573=CARTESIAN_POINT('Ctrl Pts',(-11.785620322888,29.3428575950593,-39.3100549474721)); #497574=CARTESIAN_POINT('Ctrl Pts',(-11.2356951485607,29.554169766409,-39.4956616847648)); #497575=CARTESIAN_POINT('Ctrl Pts',(-11.0155733912269,29.6369240832491, -39.5945672917415)); #497576=CARTESIAN_POINT('Ctrl Pts',(-10.7633408737456,29.7291901341361, -39.7448009333621)); #497577=CARTESIAN_POINT('Ctrl Pts',(-10.3075618260827,29.8916792691024, -40.0943487751245)); #497578=CARTESIAN_POINT('Ctrl Pts',(-9.76516706798603,30.0715165277318, -40.8026068898322)); #497579=CARTESIAN_POINT('Ctrl Pts',(-9.59255797321792,30.1251345418914, -41.6209194668473)); #497580=CARTESIAN_POINT('Ctrl Pts',(-9.59255797321793,30.1251345418914, -41.9999999999963)); #497581=CARTESIAN_POINT('Ctrl Pts',(-14.4347252024043,28.3156945283913, -41.9999999999963)); #497582=CARTESIAN_POINT('Ctrl Pts',(-14.4347252024043,28.3156945283913, -41.6468412752108)); #497583=CARTESIAN_POINT('Ctrl Pts',(-14.3284728516955,28.3711199842295, -41.0762427971516)); #497584=CARTESIAN_POINT('Ctrl Pts',(-13.9622205485426,28.5534076117209, -40.4342823613313)); #497585=CARTESIAN_POINT('Ctrl Pts',(-13.5124347605367,28.7715063458802, -39.9193786175773)); #497586=CARTESIAN_POINT('Ctrl Pts',(-12.6410521182166,29.1713755477054, -39.4449513413245)); #497587=CARTESIAN_POINT('Ctrl Pts',(-11.8668879173778,29.4893445092343, -39.4780213433168)); #497588=CARTESIAN_POINT('Ctrl Pts',(-11.3494525250926,29.6892222068624, -39.6538842520009)); #497589=CARTESIAN_POINT('Ctrl Pts',(-11.1425392615889,29.7675465669131, -39.7474799155593)); #497590=CARTESIAN_POINT('Ctrl Pts',(-10.9058890347009,29.8548799166564, -39.8894413831247)); #497591=CARTESIAN_POINT('Ctrl Pts',(-10.4790441035529,30.0086854751148, -40.2191690044908)); #497592=CARTESIAN_POINT('Ctrl Pts',(-9.97452973312705,30.1786333594143, -40.8843125751267)); #497593=CARTESIAN_POINT('Ctrl Pts',(-9.81532753984788,30.2291116902168, -41.6468412752108)); #497594=CARTESIAN_POINT('Ctrl Pts',(-9.81532753984788,30.2291116902168, -41.9999999999963)); #497595=CARTESIAN_POINT('',(-9.81532753984788,30.2291116902168,-41.9999999999963)); #497596=CARTESIAN_POINT('',(-9.81532753984788,30.2291116902168,-41.9999999999963)); #497597=CARTESIAN_POINT('Ctrl Pts',(-14.4347252024043,28.3156945283913, -41.9999999999963)); #497598=CARTESIAN_POINT('Ctrl Pts',(-14.4347252024043,28.3156945283913, -41.6468412752108)); #497599=CARTESIAN_POINT('Ctrl Pts',(-14.3284728516955,28.3711199842295, -41.0762427971516)); #497600=CARTESIAN_POINT('Ctrl Pts',(-13.9622205485426,28.5534076117209, -40.4342823613313)); #497601=CARTESIAN_POINT('Ctrl Pts',(-13.5124347605367,28.7715063458802, -39.9193786175773)); #497602=CARTESIAN_POINT('Ctrl Pts',(-12.6410521182166,29.1713755477054, -39.4449513413245)); #497603=CARTESIAN_POINT('Ctrl Pts',(-11.8668879173778,29.4893445092343, -39.4780213433168)); #497604=CARTESIAN_POINT('Ctrl Pts',(-11.3494525250926,29.6892222068624, -39.6538842520009)); #497605=CARTESIAN_POINT('Ctrl Pts',(-11.1425392615889,29.7675465669131, -39.7474799155593)); #497606=CARTESIAN_POINT('Ctrl Pts',(-10.9058890347009,29.8548799166564, -39.8894413831247)); #497607=CARTESIAN_POINT('Ctrl Pts',(-10.4790441035529,30.0086854751148, -40.2191690044908)); #497608=CARTESIAN_POINT('Ctrl Pts',(-9.97452973312705,30.1786333594143, -40.8843125751267)); #497609=CARTESIAN_POINT('Ctrl Pts',(-9.81532753984788,30.2291116902168, -41.6468412752108)); #497610=CARTESIAN_POINT('Ctrl Pts',(-9.81532753984788,30.2291116902168, -41.9999999999963)); #497611=CARTESIAN_POINT('Origin',(-9.48117318990309,30.0731459677287,-36.9999999999963)); #497612=CARTESIAN_POINT('',(-9.81532753984788,30.2291116902168,-71.9999999999963)); #497613=CARTESIAN_POINT('',(-9.81532753984788,30.2291116902168,-71.9999999999963)); #497614=CARTESIAN_POINT('',(-9.81532753984788,30.2291116902168,-36.9999999999963)); #497615=CARTESIAN_POINT('Ctrl Pts',(-9.14701883995801,29.9171802452408, -71.9999999999963)); #497616=CARTESIAN_POINT('Ctrl Pts',(-9.14701883995801,29.9171802452408, -72.4524702530431)); #497617=CARTESIAN_POINT('Ctrl Pts',(-9.37252013715523,29.8494500374074, -73.4345706895729)); #497618=CARTESIAN_POINT('Ctrl Pts',(-10.0396132659159,29.633128256685,-74.2389167075667)); #497619=CARTESIAN_POINT('Ctrl Pts',(-10.5996023049398,29.4345143561636, -74.6220296574692)); #497620=CARTESIAN_POINT('Ctrl Pts',(-11.0057081748602,29.2862368701494, -74.8336465466316)); #497621=CARTESIAN_POINT('Ctrl Pts',(-11.5532717742527,29.0757722657746, -74.9940303161794)); #497622=CARTESIAN_POINT('Ctrl Pts',(-12.1814476427782,28.8183052284786, -75.019413891976)); #497623=CARTESIAN_POINT('Ctrl Pts',(-13.0450500551412,28.4419799855407, -74.8412436934082)); #497624=CARTESIAN_POINT('Ctrl Pts',(-13.6178996167404,28.1669799755076, -74.4425202303627)); #497625=CARTESIAN_POINT('Ctrl Pts',(-14.1427423582223,27.9065339622253, -73.8560392089318)); #497626=CARTESIAN_POINT('Ctrl Pts',(-14.5536296208109,27.6943492355198, -73.1816309466856)); #497627=CARTESIAN_POINT('Ctrl Pts',(-14.6867219760146,27.6225600747654, -72.3770588919459)); #497628=CARTESIAN_POINT('Ctrl Pts',(-14.6867219760146,27.6225600747654, -71.9999999999963)); #497629=CARTESIAN_POINT('Ctrl Pts',(-9.36978840658797,30.0211573935661, -71.9999999999963)); #497630=CARTESIAN_POINT('Ctrl Pts',(-9.37038675673798,30.0210217291785, -72.4253839036538)); #497631=CARTESIAN_POINT('Ctrl Pts',(-9.57988495920024,29.9570385861453, -73.3483982194691)); #497632=CARTESIAN_POINT('Ctrl Pts',(-10.2067647729398,29.7512937930357, -74.1097383436272)); #497633=CARTESIAN_POINT('Ctrl Pts',(-10.7357618972358,29.5622024233547, -74.4736960058838)); #497634=CARTESIAN_POINT('Ctrl Pts',(-11.1196811349507,29.4211142116652, -74.6748555178597)); #497635=CARTESIAN_POINT('Ctrl Pts',(-11.6386279879146,29.2209036250977, -74.8277300698616)); #497636=CARTESIAN_POINT('Ctrl Pts',(-12.234552175346,28.9765231234644,-74.8519380018928)); #497637=CARTESIAN_POINT('Ctrl Pts',(-13.0541936742825,28.6202313830468, -74.682008736212)); #497638=CARTESIAN_POINT('Ctrl Pts',(-13.5962645367224,28.3617197457786, -74.3027498919412)); #497639=CARTESIAN_POINT('Ctrl Pts',(-14.0915979116582,28.1178843157915, -73.7475208797444)); #497640=CARTESIAN_POINT('Ctrl Pts',(-14.4781616359588,27.9200873362183, -73.1105859372176)); #497641=CARTESIAN_POINT('Ctrl Pts',(-14.6026251578207,27.8536819007741, -72.3543791355259)); #497642=CARTESIAN_POINT('Ctrl Pts',(-14.6027230514778,27.8536048926407, -71.9999999999963)); #497643=CARTESIAN_POINT('Ctrl Pts',(-9.59255797321792,30.1251345418914, -71.9999999999963)); #497644=CARTESIAN_POINT('Ctrl Pts',(-9.59195962306791,30.1252702062791, -72.3979029249136)); #497645=CARTESIAN_POINT('Ctrl Pts',(-9.78800646262161,30.0644356535189, -73.262579513101)); #497646=CARTESIAN_POINT('Ctrl Pts',(-10.3734357165485,29.8695647784379, -73.9804001972541)); #497647=CARTESIAN_POINT('Ctrl Pts',(-10.8709688634247,29.690140003863,-74.3248434440032)); #497648=CARTESIAN_POINT('Ctrl Pts',(-11.2330211335484,29.5561621778861, -74.5158081111033)); #497649=CARTESIAN_POINT('Ctrl Pts',(-11.7232672744269,29.3662171823109, -74.6610782310357)); #497650=CARTESIAN_POINT('Ctrl Pts',(-12.2874790315906,29.134719646952,-74.6841821370656)); #497651=CARTESIAN_POINT('Ctrl Pts',(-13.0629545611406,28.7985421588443, -74.5225429422342)); #497652=CARTESIAN_POINT('Ctrl Pts',(-13.5745903562908,28.5564264051161, -74.1630017488176)); #497653=CARTESIAN_POINT('Ctrl Pts',(-14.0404829840575,28.3291334818011, -73.6388554825667)); #497654=CARTESIAN_POINT('Ctrl Pts',(-14.4026097587996,28.1458654433944, -73.0395456716074)); #497655=CARTESIAN_POINT('Ctrl Pts',(-14.5188220205982,28.0845727023827, -72.3316938543456)); #497656=CARTESIAN_POINT('Ctrl Pts',(-14.5187241269411,28.084649710516,-71.9999999999963)); #497657=CARTESIAN_POINT('Ctrl Pts',(-9.81532753984788,30.2291116902168, -71.9999999999963)); #497658=CARTESIAN_POINT('Ctrl Pts',(-9.81532753984788,30.2291116902168, -72.3708165755243)); #497659=CARTESIAN_POINT('Ctrl Pts',(-9.99537128466662,30.1720242022568, -73.1764070429973)); #497660=CARTESIAN_POINT('Ctrl Pts',(-10.5405872235724,29.9877303147886, -73.8512218333146)); #497661=CARTESIAN_POINT('Ctrl Pts',(-11.0071284557207,29.8178280710541, -74.1765097924178)); #497662=CARTESIAN_POINT('Ctrl Pts',(-11.346994093639,29.6910395194019,-74.3570170823314)); #497663=CARTESIAN_POINT('Ctrl Pts',(-11.8086234880888,29.511348541634,-74.4947779847178)); #497664=CARTESIAN_POINT('Ctrl Pts',(-12.3405835641583,29.2929375419379, -74.5167062469824)); #497665=CARTESIAN_POINT('Ctrl Pts',(-13.0720981802819,28.9767935563504, -74.363307985038)); #497666=CARTESIAN_POINT('Ctrl Pts',(-13.5529552762728,28.7511661753871, -74.023231410396)); #497667=CARTESIAN_POINT('Ctrl Pts',(-13.9893385374933,28.5404838353672, -73.5303371533793)); #497668=CARTESIAN_POINT('Ctrl Pts',(-14.3271417739475,28.3716035440929, -72.9685006621395)); #497669=CARTESIAN_POINT('Ctrl Pts',(-14.4347252024043,28.3156945283913, -72.3090140979256)); #497670=CARTESIAN_POINT('Ctrl Pts',(-14.4347252024043,28.3156945283913, -71.9999999999963)); #497671=CARTESIAN_POINT('Ctrl Pts',(-9.81532753984788,30.2291116902168, -71.9999999999963)); #497672=CARTESIAN_POINT('Ctrl Pts',(-9.81532753984788,30.2291116902168, -72.3708165755243)); #497673=CARTESIAN_POINT('Ctrl Pts',(-9.99537128466662,30.1720242022568, -73.1764070429973)); #497674=CARTESIAN_POINT('Ctrl Pts',(-10.5405872235724,29.9877303147886, -73.8512218333146)); #497675=CARTESIAN_POINT('Ctrl Pts',(-11.0071284557207,29.8178280710541, -74.1765097924178)); #497676=CARTESIAN_POINT('Ctrl Pts',(-11.346994093639,29.6910395194019,-74.3570170823314)); #497677=CARTESIAN_POINT('Ctrl Pts',(-11.8086234880888,29.511348541634,-74.4947779847178)); #497678=CARTESIAN_POINT('Ctrl Pts',(-12.3405835641583,29.2929375419379, -74.5167062469824)); #497679=CARTESIAN_POINT('Ctrl Pts',(-13.0720981802819,28.9767935563504, -74.363307985038)); #497680=CARTESIAN_POINT('Ctrl Pts',(-13.5529552762728,28.7511661753871, -74.023231410396)); #497681=CARTESIAN_POINT('Ctrl Pts',(-13.9893385374933,28.5404838353672, -73.5303371533793)); #497682=CARTESIAN_POINT('Ctrl Pts',(-14.3271417739475,28.3716035440929, -72.9685006621395)); #497683=CARTESIAN_POINT('Ctrl Pts',(-14.4347252024043,28.3156945283913, -72.3090140979256)); #497684=CARTESIAN_POINT('Ctrl Pts',(-14.4347252024043,28.3156945283913, -71.9999999999963)); #497685=CARTESIAN_POINT('Origin',(0.,0.,-41.9999999999963)); #497686=CARTESIAN_POINT('',(-15.5871276161381,31.097840064939,-41.9999999999963)); #497687=CARTESIAN_POINT('',(-2.30969883127822,-0.956708580912724,-41.9999999999963)); #497688=CARTESIAN_POINT('',(-10.9677299535817,33.0112572267645,-41.9999999999963)); #497689=CARTESIAN_POINT('Ctrl Pts',(-10.9677299535817,33.0112572267645, -41.9999999999963)); #497690=CARTESIAN_POINT('Ctrl Pts',(-10.9677299535817,33.0112572267645, -41.6815203509833)); #497691=CARTESIAN_POINT('Ctrl Pts',(-11.0293632891377,32.9907789247602, -41.3418200444286)); #497692=CARTESIAN_POINT('Ctrl Pts',(-11.2403872133004,32.9189906594897, -40.8141521001934)); #497693=CARTESIAN_POINT('Ctrl Pts',(-11.4751420481514,32.8382740170044, -40.4077147062739)); #497694=CARTESIAN_POINT('Ctrl Pts',(-11.9287665245446,32.6764855530249, -39.9529533294697)); #497695=CARTESIAN_POINT('Ctrl Pts',(-12.5124743823823,32.4581044344636, -39.6184658589744)); #497696=CARTESIAN_POINT('Ctrl Pts',(-13.0402592947674,32.2486745345833, -39.5024542564328)); #497697=CARTESIAN_POINT('Ctrl Pts',(-13.4410584435588,32.0828989629306, -39.49870978095)); #497698=CARTESIAN_POINT('Ctrl Pts',(-13.8521826194509,31.9085028002848, -39.5519132547774)); #497699=CARTESIAN_POINT('Ctrl Pts',(-14.3627785423233,31.6825973667741, -39.7422523336784)); #497700=CARTESIAN_POINT('Ctrl Pts',(-14.8731671551006,31.4457163753111, -40.1336630149467)); #497701=CARTESIAN_POINT('Ctrl Pts',(-15.2640702762416,31.2576713556484, -40.6490595027813)); #497702=CARTESIAN_POINT('Ctrl Pts',(-15.5338339228457,31.1245824173383, -41.3121629028969)); #497703=CARTESIAN_POINT('Ctrl Pts',(-15.5871276161381,31.097840064939,-41.7770643544567)); #497704=CARTESIAN_POINT('Ctrl Pts',(-15.5871276161381,31.097840064939,-41.9999999999963)); #497705=CARTESIAN_POINT('',(2.30969883127822,0.956708580912724,-41.9999999999963)); #497706=CARTESIAN_POINT('Origin',(-2.30969883127822,-0.956708580912725, -71.9999999999963)); #497707=CARTESIAN_POINT('',(-15.5871276161381,31.097840064939,-71.9999999999963)); #497708=CARTESIAN_POINT('',(-2.30969883127822,-0.956708580912725,-71.9999999999963)); #497709=CARTESIAN_POINT('',(-15.5871276161381,31.097840064939,-1.69922627077549E-17)); #497710=CARTESIAN_POINT('Origin',(-15.9056115803731,31.2154330556656,-8.49613135387745E-18)); #497711=CARTESIAN_POINT('',(-15.5871276161381,31.097840064939,-71.9999999999963)); #497712=CARTESIAN_POINT('',(-16.2240955446081,31.3330260463922,-41.9999999999963)); #497713=CARTESIAN_POINT('Ctrl Pts',(-16.2240955446082,31.3330260463922, -71.9999999999963)); #497714=CARTESIAN_POINT('Ctrl Pts',(-16.2240955446082,31.3330260463922, -72.430832932574)); #497715=CARTESIAN_POINT('Ctrl Pts',(-16.0342115519357,31.4322801805675, -73.3666942128163)); #497716=CARTESIAN_POINT('Ctrl Pts',(-15.4470032815815,31.7262640061101, -74.1760313739913)); #497717=CARTESIAN_POINT('Ctrl Pts',(-14.9492632020958,31.9613758341701, -74.5570928162879)); #497718=CARTESIAN_POINT('Ctrl Pts',(-14.5833423768566,32.1306400729494, -74.7746537902761)); #497719=CARTESIAN_POINT('Ctrl Pts',(-13.9069819397115,32.4333374808014, -75.0269594422414)); #497720=CARTESIAN_POINT('Ctrl Pts',(-13.1747187923505,32.7366617122297, -75.0273639577054)); #497721=CARTESIAN_POINT('Ctrl Pts',(-12.5564723192479,32.9751261916402, -74.8308354101979)); #497722=CARTESIAN_POINT('Ctrl Pts',(-12.2622652112906,33.0854711911423, -74.6945425954865)); #497723=CARTESIAN_POINT('Ctrl Pts',(-12.0039905180507,33.1801195993772, -74.5422344249468)); #497724=CARTESIAN_POINT('Ctrl Pts',(-11.6161805551442,33.3185529962498, -74.2468922904557)); #497725=CARTESIAN_POINT('Ctrl Pts',(-11.2648688940847,33.4386110124933, -73.8554371725983)); #497726=CARTESIAN_POINT('Ctrl Pts',(-10.811998193001,33.5881306270971,-73.0644996244261)); #497727=CARTESIAN_POINT('Ctrl Pts',(-10.6836272142877,33.6279631707096, -72.4308329325739)); #497728=CARTESIAN_POINT('Ctrl Pts',(-10.6836272142877,33.6279631707096, -71.9999999999963)); #497729=CARTESIAN_POINT('Ctrl Pts',(-16.0117729017849,31.2546307192411, -71.9999999999963)); #497730=CARTESIAN_POINT('Ctrl Pts',(-16.0117729017849,31.2546307192411, -72.4085474838296)); #497731=CARTESIAN_POINT('Ctrl Pts',(-15.8302936039846,31.3483855592588, -73.2958674853905)); #497732=CARTESIAN_POINT('Ctrl Pts',(-15.272207408028,31.6251957603108,-74.0594680052471)); #497733=CARTESIAN_POINT('Ctrl Pts',(-14.801632090309,31.8460735674236,-74.4177861031484)); #497734=CARTESIAN_POINT('Ctrl Pts',(-14.4561577862428,32.0050369043687, -74.622144956723)); #497735=CARTESIAN_POINT('Ctrl Pts',(-13.8188441708808,32.2891996450722, -74.8586283907115)); #497736=CARTESIAN_POINT('Ctrl Pts',(-13.1306029238802,32.5742884456833, -74.8590133478607)); #497737=CARTESIAN_POINT('Ctrl Pts',(-12.5488177869784,32.7994960972602, -74.6748159706909)); #497738=CARTESIAN_POINT('Ctrl Pts',(-12.2717304231007,32.9039480159635, -74.546902957487)); #497739=CARTESIAN_POINT('Ctrl Pts',(-12.0282744777781,32.9937350121996, -74.4038321113389)); #497740=CARTESIAN_POINT('Ctrl Pts',(-11.6622793526907,33.1254146964944, -74.1260314666697)); #497741=CARTESIAN_POINT('Ctrl Pts',(-11.3299594240322,33.2401905251259, -73.7570111103533)); #497742=CARTESIAN_POINT('Ctrl Pts',(-10.9005490791941,33.3838021165611, -73.0093764327981)); #497743=CARTESIAN_POINT('Ctrl Pts',(-10.7783281273857,33.4223945227279, -72.4085474838294)); #497744=CARTESIAN_POINT('Ctrl Pts',(-10.7783281273857,33.4223945227279, -71.9999999999963)); #497745=CARTESIAN_POINT('Ctrl Pts',(-15.7994502589615,31.1762353920901, -71.9999999999963)); #497746=CARTESIAN_POINT('Ctrl Pts',(-15.7994502589615,31.1762353920901, -72.386262035085)); #497747=CARTESIAN_POINT('Ctrl Pts',(-15.6263756560336,31.2644909379501, -73.2250407579647)); #497748=CARTESIAN_POINT('Ctrl Pts',(-15.0974115344745,31.5241275145115, -73.9429046365028)); #497749=CARTESIAN_POINT('Ctrl Pts',(-14.6540009785222,31.7307713006771, -74.278479390009)); #497750=CARTESIAN_POINT('Ctrl Pts',(-14.328973195629,31.8794337357879,-74.4696361231698)); #497751=CARTESIAN_POINT('Ctrl Pts',(-13.7307064020501,32.1450618093429, -74.6902973391816)); #497752=CARTESIAN_POINT('Ctrl Pts',(-13.0864870554099,32.4119151791368, -74.6906627380161)); #497753=CARTESIAN_POINT('Ctrl Pts',(-12.5411632547089,32.6238660028803, -74.5187965311839)); #497754=CARTESIAN_POINT('Ctrl Pts',(-12.2811956349108,32.7224248407847, -74.3992633194876)); #497755=CARTESIAN_POINT('Ctrl Pts',(-12.0525584375056,32.807350425022,-74.265429797731)); #497756=CARTESIAN_POINT('Ctrl Pts',(-11.7083781502372,32.932276396739,-74.0051706428837)); #497757=CARTESIAN_POINT('Ctrl Pts',(-11.3950499539798,33.0417700377584, -73.6585850481083)); #497758=CARTESIAN_POINT('Ctrl Pts',(-10.9890999653871,33.1794736060251, -72.9542532411701)); #497759=CARTESIAN_POINT('Ctrl Pts',(-10.8730290404837,33.2168258747462, -72.386262035085)); #497760=CARTESIAN_POINT('Ctrl Pts',(-10.8730290404837,33.2168258747462, -71.9999999999963)); #497761=CARTESIAN_POINT('Ctrl Pts',(-15.5871276161381,31.097840064939,-71.9999999999963)); #497762=CARTESIAN_POINT('Ctrl Pts',(-15.5871276161381,31.097840064939,-72.3639765863405)); #497763=CARTESIAN_POINT('Ctrl Pts',(-15.4224577080825,31.1805963166414, -73.1542140305389)); #497764=CARTESIAN_POINT('Ctrl Pts',(-14.922615660921,31.4230592687122,-73.8263412677586)); #497765=CARTESIAN_POINT('Ctrl Pts',(-14.5063698667355,31.6154690339307, -74.1391726768696)); #497766=CARTESIAN_POINT('Ctrl Pts',(-14.2017886050152,31.7538305672071, -74.3171272896166)); #497767=CARTESIAN_POINT('Ctrl Pts',(-13.6425686332195,32.0009239736136, -74.5219662876517)); #497768=CARTESIAN_POINT('Ctrl Pts',(-13.0423711869396,32.2495419125903, -74.5223121281714)); #497769=CARTESIAN_POINT('Ctrl Pts',(-12.5335087224395,32.4482359085003, -74.3627770916769)); #497770=CARTESIAN_POINT('Ctrl Pts',(-12.2906608467209,32.5409016656058, -74.2516236814881)); #497771=CARTESIAN_POINT('Ctrl Pts',(-12.0768423972331,32.6209658378443, -74.1270274841231)); #497772=CARTESIAN_POINT('Ctrl Pts',(-11.7544769477837,32.7391380969836, -73.8843098190977)); #497773=CARTESIAN_POINT('Ctrl Pts',(-11.4601404839273,32.843349550391,-73.5601589858633)); #497774=CARTESIAN_POINT('Ctrl Pts',(-11.0776508515802,32.9751450954891, -72.8991300495422)); #497775=CARTESIAN_POINT('Ctrl Pts',(-10.9677299535817,33.0112572267645, -72.3639765863405)); #497776=CARTESIAN_POINT('Ctrl Pts',(-10.9677299535817,33.0112572267645, -71.9999999999963)); #497777=CARTESIAN_POINT('',(-10.9677299535817,33.0112572267645,-71.9999999999963)); #497778=CARTESIAN_POINT('',(-10.9677299535817,33.0112572267645,-71.9999999999963)); #497779=CARTESIAN_POINT('Ctrl Pts',(-15.5871276161381,31.097840064939,-71.9999999999963)); #497780=CARTESIAN_POINT('Ctrl Pts',(-15.5871276161381,31.097840064939,-72.3639765863405)); #497781=CARTESIAN_POINT('Ctrl Pts',(-15.4224577080825,31.1805963166414, -73.1542140305389)); #497782=CARTESIAN_POINT('Ctrl Pts',(-14.922615660921,31.4230592687122,-73.8263412677586)); #497783=CARTESIAN_POINT('Ctrl Pts',(-14.5063698667355,31.6154690339307, -74.1391726768696)); #497784=CARTESIAN_POINT('Ctrl Pts',(-14.2017886050152,31.7538305672071, -74.3171272896166)); #497785=CARTESIAN_POINT('Ctrl Pts',(-13.6425686332195,32.0009239736136, -74.5219662876517)); #497786=CARTESIAN_POINT('Ctrl Pts',(-13.0423711869396,32.2495419125903, -74.5223121281714)); #497787=CARTESIAN_POINT('Ctrl Pts',(-12.5335087224395,32.4482359085003, -74.3627770916769)); #497788=CARTESIAN_POINT('Ctrl Pts',(-12.2906608467209,32.5409016656058, -74.2516236814881)); #497789=CARTESIAN_POINT('Ctrl Pts',(-12.0768423972331,32.6209658378443, -74.1270274841231)); #497790=CARTESIAN_POINT('Ctrl Pts',(-11.7544769477837,32.7391380969836, -73.8843098190977)); #497791=CARTESIAN_POINT('Ctrl Pts',(-11.4601404839273,32.843349550391,-73.5601589858633)); #497792=CARTESIAN_POINT('Ctrl Pts',(-11.0776508515802,32.9751450954891, -72.8991300495422)); #497793=CARTESIAN_POINT('Ctrl Pts',(-10.9677299535817,33.0112572267645, -72.3639765863405)); #497794=CARTESIAN_POINT('Ctrl Pts',(-10.9677299535817,33.0112572267645, -71.9999999999963)); #497795=CARTESIAN_POINT('Origin',(-10.8256785839348,33.319610198737,-8.49613135387751E-18)); #497796=CARTESIAN_POINT('',(-10.9677299535817,33.0112572267645,-41.9999999999963)); #497797=CARTESIAN_POINT('',(-10.9677299535817,33.0112572267645,-1.6992262707755E-17)); #497798=CARTESIAN_POINT('Ctrl Pts',(-10.6836272142877,33.6279631707096, -41.9999999999963)); #497799=CARTESIAN_POINT('Ctrl Pts',(-10.6836272142877,33.6279631707096, -41.6230210230552)); #497800=CARTESIAN_POINT('Ctrl Pts',(-10.7557462363925,33.6052504817902, -41.2208719885449)); #497801=CARTESIAN_POINT('Ctrl Pts',(-11.0046005209023,33.5244304416466, -40.5925752957064)); #497802=CARTESIAN_POINT('Ctrl Pts',(-11.2824162907642,33.4329616316044, -40.106281335014)); #497803=CARTESIAN_POINT('Ctrl Pts',(-11.8247475048156,33.2459095188727, -39.5559284473564)); #497804=CARTESIAN_POINT('Ctrl Pts',(-12.5301099376373,32.98771211376,-39.1463800924169)); #497805=CARTESIAN_POINT('Ctrl Pts',(-13.172629095624,32.7349996043554,-39.0029406874446)); #497806=CARTESIAN_POINT('Ctrl Pts',(-13.6605833756751,32.5332318704589, -38.9983737991739)); #497807=CARTESIAN_POINT('Ctrl Pts',(-14.1604902109202,32.3201715716204, -39.0640302641705)); #497808=CARTESIAN_POINT('Ctrl Pts',(-14.7780453863471,32.0433645769632, -39.2984678578805)); #497809=CARTESIAN_POINT('Ctrl Pts',(-15.3877090943565,31.7539794951087, -39.7754162818641)); #497810=CARTESIAN_POINT('Ctrl Pts',(-15.8483741669842,31.5256806278609, -40.395994877103)); #497811=CARTESIAN_POINT('Ctrl Pts',(-16.1625677539262,31.3651266692748, -41.1859101621239)); #497812=CARTESIAN_POINT('Ctrl Pts',(-16.2240955446082,31.3330260463922, -41.7361148448863)); #497813=CARTESIAN_POINT('Ctrl Pts',(-16.2240955446082,31.3330260463922, -41.9999999999963)); #497814=CARTESIAN_POINT('Ctrl Pts',(-10.7783281273857,33.4223945227279, -41.9999999999963)); #497815=CARTESIAN_POINT('Ctrl Pts',(-10.7783886253286,33.4223865693618, -41.6425471928188)); #497816=CARTESIAN_POINT('Ctrl Pts',(-10.8469472687966,33.4004303753988, -41.2611475745237)); #497817=CARTESIAN_POINT('Ctrl Pts',(-11.083263227284,33.3225885320553,-40.6664869584646)); #497818=CARTESIAN_POINT('Ctrl Pts',(-11.3467640248641,33.2346999133443, -40.206669624688)); #497819=CARTESIAN_POINT('Ctrl Pts',(-11.8594809998044,33.0560643061722, -39.688400463299)); #497820=CARTESIAN_POINT('Ctrl Pts',(-12.5243149630774,32.8111347995292, -39.3038161649152)); #497821=CARTESIAN_POINT('Ctrl Pts',(-13.1286237535453,32.5728266578893, -39.1695781872939)); #497822=CARTESIAN_POINT('Ctrl Pts',(-13.5874480813674,32.3830946603758, -39.165268695373)); #497823=CARTESIAN_POINT('Ctrl Pts',(-14.0578179511894,32.1828942615435, -39.2267908714387)); #497824=CARTESIAN_POINT('Ctrl Pts',(-14.639689229922,31.9230733667853,-39.4464965371555)); #497825=CARTESIAN_POINT('Ctrl Pts',(-15.2161675231372,31.6512398685019, -39.8948378693206)); #497826=CARTESIAN_POINT('Ctrl Pts',(-15.6536001522135,31.4363474712842, -40.4803647652654)); #497827=CARTESIAN_POINT('Ctrl Pts',(-15.9529154438225,31.2849893687341, -41.2279303684507)); #497828=CARTESIAN_POINT('Ctrl Pts',(-16.0118918055634,31.2545677975167, -41.7499009623342)); #497829=CARTESIAN_POINT('Ctrl Pts',(-16.0117729017849,31.2546307192411, -41.9999999999963)); #497830=CARTESIAN_POINT('Ctrl Pts',(-10.8730290404837,33.2168258747462, -41.9999999999963)); #497831=CARTESIAN_POINT('Ctrl Pts',(-10.8729685425408,33.2168338281123, -41.6619941812197)); #497832=CARTESIAN_POINT('Ctrl Pts',(-10.9381622567337,33.1955990311517, -41.3015444584498)); #497833=CARTESIAN_POINT('Ctrl Pts',(-11.1617245069187,33.120832569081,-40.7402404374352)); #497834=CARTESIAN_POINT('Ctrl Pts',(-11.4107943140515,33.0365357352646, -40.3073264165998)); #497835=CARTESIAN_POINT('Ctrl Pts',(-11.8940330295558,32.8663307657254, -39.8204813135271)); #497836=CARTESIAN_POINT('Ctrl Pts',(-12.5182693569423,32.6346817486944, -39.461029786476)); #497837=CARTESIAN_POINT('Ctrl Pts',(-13.084264636846,32.4108474810494,-39.3358167565835)); #497838=CARTESIAN_POINT('Ctrl Pts',(-13.5141937378665,32.2330361730137, -39.3318148847509)); #497839=CARTESIAN_POINT('Ctrl Pts',(-13.9548548791818,32.0457801103617, -39.3891526475092)); #497840=CARTESIAN_POINT('Ctrl Pts',(-14.5011346987484,31.8028885769519, -39.5942236544034)); #497841=CARTESIAN_POINT('Ctrl Pts',(-15.0447087263199,31.5484560019179, -40.0142414274902)); #497842=CARTESIAN_POINT('Ctrl Pts',(-15.4588442910124,31.3470045122252, -40.5646896146189)); #497843=CARTESIAN_POINT('Ctrl Pts',(-15.7434862329494,31.204719717879,-41.2701426965702)); #497844=CARTESIAN_POINT('Ctrl Pts',(-15.799331355183,31.1762983138146,-41.7632782370088)); #497845=CARTESIAN_POINT('Ctrl Pts',(-15.7994502589615,31.1762353920901, -41.9999999999963)); #497846=CARTESIAN_POINT('Ctrl Pts',(-10.9677299535817,33.0112572267645, -41.9999999999963)); #497847=CARTESIAN_POINT('Ctrl Pts',(-10.9677299535817,33.0112572267645, -41.6815203509833)); #497848=CARTESIAN_POINT('Ctrl Pts',(-11.0293632891377,32.9907789247602, -41.3418200444286)); #497849=CARTESIAN_POINT('Ctrl Pts',(-11.2403872133004,32.9189906594897, -40.8141521001934)); #497850=CARTESIAN_POINT('Ctrl Pts',(-11.4751420481514,32.8382740170044, -40.4077147062739)); #497851=CARTESIAN_POINT('Ctrl Pts',(-11.9287665245446,32.6764855530249, -39.9529533294697)); #497852=CARTESIAN_POINT('Ctrl Pts',(-12.5124743823823,32.4581044344636, -39.6184658589744)); #497853=CARTESIAN_POINT('Ctrl Pts',(-13.0402592947674,32.2486745345833, -39.5024542564328)); #497854=CARTESIAN_POINT('Ctrl Pts',(-13.4410584435588,32.0828989629306, -39.49870978095)); #497855=CARTESIAN_POINT('Ctrl Pts',(-13.8521826194509,31.9085028002848, -39.5519132547774)); #497856=CARTESIAN_POINT('Ctrl Pts',(-14.3627785423233,31.6825973667741, -39.7422523336784)); #497857=CARTESIAN_POINT('Ctrl Pts',(-14.8731671551006,31.4457163753111, -40.1336630149467)); #497858=CARTESIAN_POINT('Ctrl Pts',(-15.2640702762416,31.2576713556484, -40.6490595027813)); #497859=CARTESIAN_POINT('Ctrl Pts',(-15.5338339228457,31.1245824173383, -41.3121629028969)); #497860=CARTESIAN_POINT('Ctrl Pts',(-15.5871276161381,31.097840064939,-41.7770643544567)); #497861=CARTESIAN_POINT('Ctrl Pts',(-15.5871276161381,31.097840064939,-41.9999999999963)); #497862=CARTESIAN_POINT('Origin',(0.,0.,-71.9999999999963)); #497863=CARTESIAN_POINT('',(2.30969883127822,0.956708580912725,-71.9999999999963)); #497864=CARTESIAN_POINT('Origin',(2.30969883127822,0.956708580912724,-41.9999999999963)); #497865=CARTESIAN_POINT('Origin',(-2.74903286660058,31.4122519369689,-36.9999999999963)); #497866=CARTESIAN_POINT('',(-2.5,31.6842208093255,-41.9999999999963)); #497867=CARTESIAN_POINT('',(-2.5,31.6842208093255,-41.9999999999963)); #497868=CARTESIAN_POINT('',(-2.5,31.6842208093255,-71.9999999999963)); #497869=CARTESIAN_POINT('',(-2.99806573320117,31.1402830646123,-71.9999999999963)); #497870=CARTESIAN_POINT('',(-2.5,31.6842208093255,-36.9999999999963)); #497871=CARTESIAN_POINT('Ctrl Pts',(-2.99806573320146,31.1402830646122, -41.9999999999963)); #497872=CARTESIAN_POINT('Ctrl Pts',(-2.99806573320146,31.1402830646122, -41.5690758501199)); #497873=CARTESIAN_POINT('Ctrl Pts',(-2.79031753799108,31.1615630175516, -40.6362730629214)); #497874=CARTESIAN_POINT('Ctrl Pts',(-2.07603375893279,31.2191870173496, -39.7562494035257)); #497875=CARTESIAN_POINT('Ctrl Pts',(-1.31511340917226,31.2591612938052, -39.2693310436615)); #497876=CARTESIAN_POINT('Ctrl Pts',(-0.433642654299332,31.2873840153207, -38.9669447212466)); #497877=CARTESIAN_POINT('Ctrl Pts',(0.43466326272013,31.2872285438282,-38.9667401875334)); #497878=CARTESIAN_POINT('Ctrl Pts',(1.20471819197486,31.2628266170424,-39.2295738173719)); #497879=CARTESIAN_POINT('Ctrl Pts',(1.60230292528808,31.2440270355763,-39.4526838274717)); #497880=CARTESIAN_POINT('Ctrl Pts',(2.14660808148738,31.2135896766594,-39.8421102962863)); #497881=CARTESIAN_POINT('Ctrl Pts',(2.79241362108958,31.1614045784027,-40.6374228681298)); #497882=CARTESIAN_POINT('Ctrl Pts',(2.99806573320146,31.1402830646122,-41.5690758501201)); #497883=CARTESIAN_POINT('Ctrl Pts',(2.99806573320146,31.1402830646122,-41.9999999999963)); #497884=CARTESIAN_POINT('Ctrl Pts',(-2.83204382213431,31.3215956461833, -41.9999999999963)); #497885=CARTESIAN_POINT('Ctrl Pts',(-2.83204382213431,31.3215956461833, -41.5949976584836)); #497886=CARTESIAN_POINT('Ctrl Pts',(-2.63792756574358,31.3406130545762, -40.7181422894779)); #497887=CARTESIAN_POINT('Ctrl Pts',(-1.96641253817986,31.3923153367427, -39.8858672377108)); #497888=CARTESIAN_POINT('Ctrl Pts',(-1.2470974732863,31.4282968460968,-39.4233029670096)); #497889=CARTESIAN_POINT('Ctrl Pts',(-0.411785159080302,31.453736132003, -39.1352503821758)); #497890=CARTESIAN_POINT('Ctrl Pts',(0.41274462497907,31.4535961233166,-39.1350769547641)); #497891=CARTESIAN_POINT('Ctrl Pts',(1.14249559634671,31.4315985475833,-39.3854579612988)); #497892=CARTESIAN_POINT('Ctrl Pts',(1.51856363320764,31.4146750089676,-39.5974872627626)); #497893=CARTESIAN_POINT('Ctrl Pts',(2.03276771322873,31.3872915907425,-39.967083007419)); #497894=CARTESIAN_POINT('Ctrl Pts',(2.63988624315062,31.3404716085771,-40.7192306794259)); #497895=CARTESIAN_POINT('Ctrl Pts',(2.83204382213431,31.3215956461833,-41.5949976584837)); #497896=CARTESIAN_POINT('Ctrl Pts',(2.83204382213431,31.3215956461833,-41.9999999999963)); #497897=CARTESIAN_POINT('Ctrl Pts',(-2.66602191106715,31.5029082277544, -41.9999999999963)); #497898=CARTESIAN_POINT('Ctrl Pts',(-2.66602191106715,31.5029082277544, -41.6209194668472)); #497899=CARTESIAN_POINT('Ctrl Pts',(-2.48553759349609,31.5196630916008, -40.8000115160345)); #497900=CARTESIAN_POINT('Ctrl Pts',(-1.85679131742693,31.5654436561357, -40.0154850718959)); #497901=CARTESIAN_POINT('Ctrl Pts',(-1.17908153740035,31.5974323983885, -39.5772748903578)); #497902=CARTESIAN_POINT('Ctrl Pts',(-0.38992766386127,31.6200882486852, -39.3035560431051)); #497903=CARTESIAN_POINT('Ctrl Pts',(0.390825987238008,31.6199637028049, -39.3034137219949)); #497904=CARTESIAN_POINT('Ctrl Pts',(1.08027300071856,31.6003704781241,-39.5413421052257)); #497905=CARTESIAN_POINT('Ctrl Pts',(1.4348243411272,31.5853229823588,-39.7422906980536)); #497906=CARTESIAN_POINT('Ctrl Pts',(1.91892734497007,31.5609935048257,-40.0920557185518)); #497907=CARTESIAN_POINT('Ctrl Pts',(2.48735886521167,31.5195386387515,-40.801038490722)); #497908=CARTESIAN_POINT('Ctrl Pts',(2.66602191106715,31.5029082277544,-41.6209194668473)); #497909=CARTESIAN_POINT('Ctrl Pts',(2.66602191106715,31.5029082277544,-41.9999999999963)); #497910=CARTESIAN_POINT('Ctrl Pts',(-2.5,31.6842208093255,-41.9999999999963)); #497911=CARTESIAN_POINT('Ctrl Pts',(-2.5,31.6842208093255,-41.6468412752109)); #497912=CARTESIAN_POINT('Ctrl Pts',(-2.33314762124859,31.6987131286255, -40.8818807425911)); #497913=CARTESIAN_POINT('Ctrl Pts',(-1.747170096674,31.7385719755288,-40.145102906081)); #497914=CARTESIAN_POINT('Ctrl Pts',(-1.1110656015144,31.7665679506801,-39.7312468137059)); #497915=CARTESIAN_POINT('Ctrl Pts',(-0.36807016864224,31.7864403653675, -39.4718617040343)); #497916=CARTESIAN_POINT('Ctrl Pts',(0.368907349496949,31.7863312822933, -39.4717504892256)); #497917=CARTESIAN_POINT('Ctrl Pts',(1.01805040509041,31.769142408665,-39.6972262491526)); #497918=CARTESIAN_POINT('Ctrl Pts',(1.35108504904676,31.75597095575,-39.8870941333446)); #497919=CARTESIAN_POINT('Ctrl Pts',(1.80508697671142,31.7346954189089,-40.2170284296845)); #497920=CARTESIAN_POINT('Ctrl Pts',(2.33483148727272,31.6986056689259,-40.8828463020181)); #497921=CARTESIAN_POINT('Ctrl Pts',(2.5,31.6842208093255,-41.6468412752108)); #497922=CARTESIAN_POINT('Ctrl Pts',(2.5,31.6842208093255,-41.9999999999963)); #497923=CARTESIAN_POINT('',(2.5,31.6842208093255,-41.9999999999963)); #497924=CARTESIAN_POINT('',(2.5,31.6842208093255,-41.9999999999963)); #497925=CARTESIAN_POINT('Ctrl Pts',(-2.5,31.6842208093255,-41.9999999999963)); #497926=CARTESIAN_POINT('Ctrl Pts',(-2.5,31.6842208093255,-41.6468412752109)); #497927=CARTESIAN_POINT('Ctrl Pts',(-2.33314762124859,31.6987131286255, -40.8818807425911)); #497928=CARTESIAN_POINT('Ctrl Pts',(-1.747170096674,31.7385719755288,-40.145102906081)); #497929=CARTESIAN_POINT('Ctrl Pts',(-1.1110656015144,31.7665679506801,-39.7312468137059)); #497930=CARTESIAN_POINT('Ctrl Pts',(-0.36807016864224,31.7864403653675, -39.4718617040343)); #497931=CARTESIAN_POINT('Ctrl Pts',(0.368907349496949,31.7863312822933, -39.4717504892256)); #497932=CARTESIAN_POINT('Ctrl Pts',(1.01805040509041,31.769142408665,-39.6972262491526)); #497933=CARTESIAN_POINT('Ctrl Pts',(1.35108504904676,31.75597095575,-39.8870941333446)); #497934=CARTESIAN_POINT('Ctrl Pts',(1.80508697671142,31.7346954189089,-40.2170284296845)); #497935=CARTESIAN_POINT('Ctrl Pts',(2.33483148727272,31.6986056689259,-40.8828463020181)); #497936=CARTESIAN_POINT('Ctrl Pts',(2.5,31.6842208093255,-41.6468412752108)); #497937=CARTESIAN_POINT('Ctrl Pts',(2.5,31.6842208093255,-41.9999999999963)); #497938=CARTESIAN_POINT('Origin',(2.74903286660058,31.4122519369689,-36.9999999999963)); #497939=CARTESIAN_POINT('',(2.5,31.6842208093255,-71.9999999999963)); #497940=CARTESIAN_POINT('',(2.5,31.6842208093255,-71.9999999999963)); #497941=CARTESIAN_POINT('',(2.5,31.6842208093255,-36.9999999999963)); #497942=CARTESIAN_POINT('Ctrl Pts',(2.99806573320146,31.1402830646122,-71.9999999999963)); #497943=CARTESIAN_POINT('Ctrl Pts',(2.99806573320146,31.1402830646122,-72.301647113556)); #497944=CARTESIAN_POINT('Ctrl Pts',(2.88211630030153,31.1520163545224,-73.0980535889785)); #497945=CARTESIAN_POINT('Ctrl Pts',(2.44163805525229,31.1906466586534,-73.8035267244078)); #497946=CARTESIAN_POINT('Ctrl Pts',(1.8022984740078,31.2342166283658,-74.4425644606306)); #497947=CARTESIAN_POINT('Ctrl Pts',(1.16826922549473,31.2690320522605,-74.8414946520617)); #497948=CARTESIAN_POINT('Ctrl Pts',(0.226168178399011,31.2862502104277, -75.0195615837174)); #497949=CARTESIAN_POINT('Ctrl Pts',(-0.452677940293843,31.2837271586723, -74.9941920064578)); #497950=CARTESIAN_POINT('Ctrl Pts',(-1.039165140868,31.2686359342911,-74.8337760832348)); #497951=CARTESIAN_POINT('Ctrl Pts',(-1.47109641533521,31.2502164513851, -74.6221610915502)); #497952=CARTESIAN_POINT('Ctrl Pts',(-2.06453590539365,31.2194200752268, -74.2390101981085)); #497953=CARTESIAN_POINT('Ctrl Pts',(-2.76366062205648,31.1639934207578, -73.4346460027339)); #497954=CARTESIAN_POINT('Ctrl Pts',(-2.99806573320146,31.1402830646122, -72.4524702530429)); #497955=CARTESIAN_POINT('Ctrl Pts',(-2.99806573320146,31.1402830646122, -71.9999999999963)); #497956=CARTESIAN_POINT('Ctrl Pts',(2.83204382213431,31.3215956461833,-71.9999999999963)); #497957=CARTESIAN_POINT('Ctrl Pts',(2.83203549034853,31.3216060183274,-72.2835786173947)); #497958=CARTESIAN_POINT('Ctrl Pts',(2.72371162959156,31.3320804814378,-73.0319105054008)); #497959=CARTESIAN_POINT('Ctrl Pts',(2.31038936500645,31.3667142682687,-73.697784050294)); #497960=CARTESIAN_POINT('Ctrl Pts',(1.70782126359753,31.4058483579808,-74.3028323195375)); #497961=CARTESIAN_POINT('Ctrl Pts',(1.10848255799049,31.4372168893432,-74.6822371092934)); #497962=CARTESIAN_POINT('Ctrl Pts',(0.214690913513984,31.4527455845373, -74.8520944545948)); #497963=CARTESIAN_POINT('Ctrl Pts',(-0.429363578236629,31.4504761893746, -74.8278860774521)); #497964=CARTESIAN_POINT('Ctrl Pts',(-0.985480910922558,31.4368615904814, -74.6749875679293)); #497965=CARTESIAN_POINT('Ctrl Pts',(-1.39416628454742,31.4202909801928, -74.4738265294767)); #497966=CARTESIAN_POINT('Ctrl Pts',(-1.95532735910021,31.3925568110089, -74.1098325910011)); #497967=CARTESIAN_POINT('Ctrl Pts',(-2.61325348369832,31.3427474490699, -73.3484728442462)); #497968=CARTESIAN_POINT('Ctrl Pts',(-2.83143882462627,31.3216992478607, -72.4253842111196)); #497969=CARTESIAN_POINT('Ctrl Pts',(-2.83204382213431,31.3215956461833, -71.9999999999963)); #497970=CARTESIAN_POINT('Ctrl Pts',(2.66602191106716,31.5029082277544,-71.9999999999963)); #497971=CARTESIAN_POINT('Ctrl Pts',(2.66603024285293,31.5028978556104,-72.2652797745011)); #497972=CARTESIAN_POINT('Ctrl Pts',(2.56527517902904,31.5121422135429,-72.9657937500323)); #497973=CARTESIAN_POINT('Ctrl Pts',(2.17906360044532,31.5427324915066,-73.5917940128846)); #497974=CARTESIAN_POINT('Ctrl Pts',(1.61318278567598,31.5774584310487,-74.1630032490391)); #497975=CARTESIAN_POINT('Ctrl Pts',(1.04827607451607,31.6053187459668,-74.5227375492946)); #497976=CARTESIAN_POINT('Ctrl Pts',(0.202970366195806,31.6191664079611, -74.6842798174932)); #497977=CARTESIAN_POINT('Ctrl Pts',(-0.406833160798515,31.6171262566937, -74.6611987997925)); #497978=CARTESIAN_POINT('Ctrl Pts',(-0.932507662456765,31.6050102957089, -74.5158992780306)); #497979=CARTESIAN_POINT('Ctrl Pts',(-1.31826395668448,31.5902377746395, -74.3249392127561)); #497980=CARTESIAN_POINT('Ctrl Pts',(-1.84664435096957,31.565611855158,-73.9804672574793)); #497981=CARTESIAN_POINT('Ctrl Pts',(-2.46210487848764,31.5216172263122, -73.2626348743728)); #497982=CARTESIAN_POINT('Ctrl Pts',(-2.66662690857519,31.5028046260771, -72.3979026174477)); #497983=CARTESIAN_POINT('Ctrl Pts',(-2.66602191106715,31.5029082277544, -71.9999999999963)); #497984=CARTESIAN_POINT('Ctrl Pts',(2.5,31.6842208093255,-71.9999999999963)); #497985=CARTESIAN_POINT('Ctrl Pts',(2.5,31.6842208093255,-72.2472112783398)); #497986=CARTESIAN_POINT('Ctrl Pts',(2.40687050831908,31.6922063404582,-72.8996506664546)); #497987=CARTESIAN_POINT('Ctrl Pts',(2.04781491019948,31.7188001011219,-73.4860513387708)); #497988=CARTESIAN_POINT('Ctrl Pts',(1.51870557526571,31.7490901606637,-74.023271107946)); #497989=CARTESIAN_POINT('Ctrl Pts',(0.988489407011836,31.7735035830495, -74.3634800065263)); #497990=CARTESIAN_POINT('Ctrl Pts',(0.191493101310779,31.7856617820707, -74.5168126883705)); #497991=CARTESIAN_POINT('Ctrl Pts',(-0.3835187987413,31.7838752873961,-74.4948928707867)); #497992=CARTESIAN_POINT('Ctrl Pts',(-0.87882343251132,31.7732359518992, -74.3571107627251)); #497993=CARTESIAN_POINT('Ctrl Pts',(-1.24133382589669,31.7603123034472, -74.1766046506826)); #497994=CARTESIAN_POINT('Ctrl Pts',(-1.73743580467613,31.73874859094,-73.8512896503719)); #497995=CARTESIAN_POINT('Ctrl Pts',(-2.31169774012948,31.7003712546244, -73.1764617158852)); #497996=CARTESIAN_POINT('Ctrl Pts',(-2.5,31.6842208093255,-72.3708165755243)); #497997=CARTESIAN_POINT('Ctrl Pts',(-2.5,31.6842208093255,-71.9999999999963)); #497998=CARTESIAN_POINT('Ctrl Pts',(2.5,31.6842208093255,-71.9999999999963)); #497999=CARTESIAN_POINT('Ctrl Pts',(2.5,31.6842208093255,-72.2472112783398)); #498000=CARTESIAN_POINT('Ctrl Pts',(2.40687050831908,31.6922063404582,-72.8996506664546)); #498001=CARTESIAN_POINT('Ctrl Pts',(2.04781491019948,31.7188001011219,-73.4860513387708)); #498002=CARTESIAN_POINT('Ctrl Pts',(1.51870557526571,31.7490901606637,-74.023271107946)); #498003=CARTESIAN_POINT('Ctrl Pts',(0.988489407011836,31.7735035830495, -74.3634800065263)); #498004=CARTESIAN_POINT('Ctrl Pts',(0.191493101310779,31.7856617820707, -74.5168126883705)); #498005=CARTESIAN_POINT('Ctrl Pts',(-0.3835187987413,31.7838752873961,-74.4948928707867)); #498006=CARTESIAN_POINT('Ctrl Pts',(-0.87882343251132,31.7732359518992, -74.3571107627251)); #498007=CARTESIAN_POINT('Ctrl Pts',(-1.24133382589669,31.7603123034472, -74.1766046506826)); #498008=CARTESIAN_POINT('Ctrl Pts',(-1.73743580467613,31.73874859094,-73.8512896503719)); #498009=CARTESIAN_POINT('Ctrl Pts',(-2.31169774012948,31.7003712546244, -73.1764617158852)); #498010=CARTESIAN_POINT('Ctrl Pts',(-2.5,31.6842208093255,-72.3708165755243)); #498011=CARTESIAN_POINT('Ctrl Pts',(-2.5,31.6842208093255,-71.9999999999963)); #498012=CARTESIAN_POINT('Origin',(0.,0.,-41.9999999999963)); #498013=CARTESIAN_POINT('',(-2.5,34.6955934381631,-41.9999999999963)); #498014=CARTESIAN_POINT('',(-2.5,0.,-41.9999999999963)); #498015=CARTESIAN_POINT('',(2.5,34.6955934381631,-41.9999999999963)); #498016=CARTESIAN_POINT('Ctrl Pts',(2.5,34.6955934381631,-41.9999999999963)); #498017=CARTESIAN_POINT('Ctrl Pts',(2.5,34.6955934381631,-41.6360234136521)); #498018=CARTESIAN_POINT('Ctrl Pts',(2.3224674623515,34.7086811868877,-40.8511349579407)); #498019=CARTESIAN_POINT('Ctrl Pts',(1.80353722820435,34.7392041315487,-40.2271677662419)); #498020=CARTESIAN_POINT('Ctrl Pts',(1.43435605399395,34.7549203648084,-39.951157287122)); #498021=CARTESIAN_POINT('Ctrl Pts',(1.27761792013621,34.7610953480607,-39.8476348368346)); #498022=CARTESIAN_POINT('Ctrl Pts',(1.0727661483623,34.7681926057683,-39.7340192129363)); #498023=CARTESIAN_POINT('Ctrl Pts',(0.450439388795634,34.7849580405106, -39.4865250093489)); #498024=CARTESIAN_POINT('Ctrl Pts',(-0.456076961046241,34.7894018342147, -39.4271012550561)); #498025=CARTESIAN_POINT('Ctrl Pts',(-1.44073569054477,34.7567033327323, -39.897339313916)); #498026=CARTESIAN_POINT('Ctrl Pts',(-1.94958059993438,34.7306723156348, -40.4044395806575)); #498027=CARTESIAN_POINT('Ctrl Pts',(-2.37180910806275,34.7052790851662, -41.0484350456442)); #498028=CARTESIAN_POINT('Ctrl Pts',(-2.5,34.6955934381631,-41.6360234136521)); #498029=CARTESIAN_POINT('Ctrl Pts',(-2.5,34.6955934381631,-41.9999999999963)); #498030=CARTESIAN_POINT('',(2.5,0.,-41.9999999999963)); #498031=CARTESIAN_POINT('Origin',(-2.5,0.,-71.9999999999963)); #498032=CARTESIAN_POINT('',(-2.5,34.6955934381631,-71.9999999999963)); #498033=CARTESIAN_POINT('',(-2.5,0.,-71.9999999999963)); #498034=CARTESIAN_POINT('',(-2.5,34.6955934381631,-1.6992262707755E-17)); #498035=CARTESIAN_POINT('Origin',(-2.74923992667642,34.9261137320489,-8.49613135387749E-18)); #498036=CARTESIAN_POINT('',(-2.5,34.6955934381631,-71.9999999999963)); #498037=CARTESIAN_POINT('',(-2.99847985335284,35.1566340259346,-41.9999999999963)); #498038=CARTESIAN_POINT('Ctrl Pts',(-2.99847985335299,35.1566340259346, -71.9999999999963)); #498039=CARTESIAN_POINT('Ctrl Pts',(-2.99847985335299,35.1566340259346, -72.3769789769375)); #498040=CARTESIAN_POINT('Ctrl Pts',(-2.92335578327562,35.1632544888337, -72.7790509760548)); #498041=CARTESIAN_POINT('Ctrl Pts',(-2.66286853330323,35.1838182475566, -73.407182720336)); #498042=CARTESIAN_POINT('Ctrl Pts',(-2.37063799188117,35.2056647501411, -73.8938802377274)); #498043=CARTESIAN_POINT('Ctrl Pts',(-1.80016088704691,35.2403187608639, -74.4429937698031)); #498044=CARTESIAN_POINT('Ctrl Pts',(-1.04646918138161,35.2718356842161, -74.8538817734599)); #498045=CARTESIAN_POINT('Ctrl Pts',(-0.224712139355435,35.286557940586, -75.0240613804536)); #498046=CARTESIAN_POINT('Ctrl Pts',(0.573825811525727,35.2827781269774, -74.9828437484492)); #498047=CARTESIAN_POINT('Ctrl Pts',(1.6644431944176,35.2516536310539,-74.6025954812563)); #498048=CARTESIAN_POINT('Ctrl Pts',(2.46314635406514,35.199843464431,-73.8600849660023)); #498049=CARTESIAN_POINT('Ctrl Pts',(2.92919175799491,35.1628828640525,-72.8173586962704)); #498050=CARTESIAN_POINT('Ctrl Pts',(2.99847985335299,35.1566340259346,-72.2638851551065)); #498051=CARTESIAN_POINT('Ctrl Pts',(2.99847985335299,35.1566340259346,-71.9999999999963)); #498052=CARTESIAN_POINT('Ctrl Pts',(-2.83231990223532,35.0029538300108, -71.9999999999963)); #498053=CARTESIAN_POINT('Ctrl Pts',(-2.83224701350575,35.002971491332,-72.3574690573086)); #498054=CARTESIAN_POINT('Ctrl Pts',(-2.76073760855292,35.0089235987889, -72.7387499344101)); #498055=CARTESIAN_POINT('Ctrl Pts',(-2.51292362940006,35.027447346734,-73.3333042459556)); #498056=CARTESIAN_POINT('Ctrl Pts',(-2.23537526518513,35.0471118195586, -73.7934236484541)); #498057=CARTESIAN_POINT('Ctrl Pts',(-1.69533134828064,35.0782286251985, -74.3106273054543)); #498058=CARTESIAN_POINT('Ctrl Pts',(-0.984370094966377,35.1064675062812, -74.6963324810862)); #498059=CARTESIAN_POINT('Ctrl Pts',(-0.211219692120301,35.1196535472036, -74.8557930566564)); #498060=CARTESIAN_POINT('Ctrl Pts',(0.539687387629339,35.1162547755543, -74.8170690879072)); #498061=CARTESIAN_POINT('Ctrl Pts',(1.56654782059092,35.0884054654276,-74.4607841212361)); #498062=CARTESIAN_POINT('Ctrl Pts',(2.3229803709424,35.0418936368692,-73.7622387850387)); #498063=CARTESIAN_POINT('Ctrl Pts',(2.76624054749851,35.0085870667452,-72.7750063250278)); #498064=CARTESIAN_POINT('Ctrl Pts',(2.83240594146366,35.0029600188493,-72.2503211436991)); #498065=CARTESIAN_POINT('Ctrl Pts',(2.83231990223532,35.0029538300108,-71.9999999999963)); #498066=CARTESIAN_POINT('Ctrl Pts',(-2.66615995111766,34.8492736340869, -71.9999999999963)); #498067=CARTESIAN_POINT('Ctrl Pts',(-2.66623283984723,34.8492559727656, -72.3379895686382)); #498068=CARTESIAN_POINT('Ctrl Pts',(-2.59800549224833,34.8545950900272, -72.6984176478078)); #498069=CARTESIAN_POINT('Ctrl Pts',(-2.36319510273411,34.8710667206353, -73.2595280119508)); #498070=CARTESIAN_POINT('Ctrl Pts',(-2.10027822283861,34.888538811058,-73.6928713762972)); #498071=CARTESIAN_POINT('Ctrl Pts',(-1.59062533034138,34.9161479624034, -74.1785340910578)); #498072=CARTESIAN_POINT('Ctrl Pts',(-0.922445005151622,34.9411303167064, -74.5392625716817)); #498073=CARTESIAN_POINT('Ctrl Pts',(-0.197926174076321,34.952741709554, -74.6876586933037)); #498074=CARTESIAN_POINT('Ctrl Pts',(0.505245896775481,34.9497754594249, -74.6519246484252)); #498075=CARTESIAN_POINT('Ctrl Pts',(1.46867235295224,34.9251695846085,-74.3192850114182)); #498076=CARTESIAN_POINT('Ctrl Pts',(2.18268849375825,34.8839161830047,-73.6639753684194)); #498077=CARTESIAN_POINT('Ctrl Pts',(2.60340329165412,34.8542887458122,-72.7328277555688)); #498078=CARTESIAN_POINT('Ctrl Pts',(2.66607391188934,34.8492674452483,-72.2364996569434)); #498079=CARTESIAN_POINT('Ctrl Pts',(2.66615995111766,34.8492736340869,-71.9999999999963)); #498080=CARTESIAN_POINT('Ctrl Pts',(-2.5,34.6955934381631,-71.9999999999963)); #498081=CARTESIAN_POINT('Ctrl Pts',(-2.5,34.6955934381631,-72.3184796490094)); #498082=CARTESIAN_POINT('Ctrl Pts',(-2.43538731752563,34.7002641999823, -72.6581166061631)); #498083=CARTESIAN_POINT('Ctrl Pts',(-2.21325019883095,34.7146958198128, -73.1856495375705)); #498084=CARTESIAN_POINT('Ctrl Pts',(-1.96501549614256,34.7299858804755, -73.5924147870239)); #498085=CARTESIAN_POINT('Ctrl Pts',(-1.4857957915751,34.7540578267379,-74.046167626709)); #498086=CARTESIAN_POINT('Ctrl Pts',(-0.860345918736385,34.7757621387715, -74.381713279308)); #498087=CARTESIAN_POINT('Ctrl Pts',(-0.184433726841187,34.7858373161717, -74.5193903695065)); #498088=CARTESIAN_POINT('Ctrl Pts',(0.471107472879093,34.7832521080018, -74.4861499878831)); #498089=CARTESIAN_POINT('Ctrl Pts',(1.37077697912556,34.7619214189821,-74.177473651398)); #498090=CARTESIAN_POINT('Ctrl Pts',(2.04252251063551,34.725966355443,-73.5661291874558)); #498091=CARTESIAN_POINT('Ctrl Pts',(2.44045208115771,34.6999929485049,-72.6904753843263)); #498092=CARTESIAN_POINT('Ctrl Pts',(2.5,34.6955934381631,-72.222935645536)); #498093=CARTESIAN_POINT('Ctrl Pts',(2.5,34.6955934381631,-71.9999999999963)); #498094=CARTESIAN_POINT('',(2.5,34.6955934381631,-71.9999999999963)); #498095=CARTESIAN_POINT('',(2.5,34.6955934381631,-71.9999999999963)); #498096=CARTESIAN_POINT('Ctrl Pts',(-2.5,34.6955934381631,-71.9999999999963)); #498097=CARTESIAN_POINT('Ctrl Pts',(-2.5,34.6955934381631,-72.3184796490094)); #498098=CARTESIAN_POINT('Ctrl Pts',(-2.43538731752563,34.7002641999823, -72.6581166061631)); #498099=CARTESIAN_POINT('Ctrl Pts',(-2.21325019883095,34.7146958198128, -73.1856495375705)); #498100=CARTESIAN_POINT('Ctrl Pts',(-1.96501549614256,34.7299858804755, -73.5924147870239)); #498101=CARTESIAN_POINT('Ctrl Pts',(-1.4857957915751,34.7540578267379,-74.046167626709)); #498102=CARTESIAN_POINT('Ctrl Pts',(-0.860345918736385,34.7757621387715, -74.381713279308)); #498103=CARTESIAN_POINT('Ctrl Pts',(-0.184433726841187,34.7858373161717, -74.5193903695065)); #498104=CARTESIAN_POINT('Ctrl Pts',(0.471107472879093,34.7832521080018, -74.4861499878831)); #498105=CARTESIAN_POINT('Ctrl Pts',(1.37077697912556,34.7619214189821,-74.177473651398)); #498106=CARTESIAN_POINT('Ctrl Pts',(2.04252251063551,34.725966355443,-73.5661291874558)); #498107=CARTESIAN_POINT('Ctrl Pts',(2.44045208115771,34.6999929485049,-72.6904753843263)); #498108=CARTESIAN_POINT('Ctrl Pts',(2.5,34.6955934381631,-72.222935645536)); #498109=CARTESIAN_POINT('Ctrl Pts',(2.5,34.6955934381631,-71.9999999999963)); #498110=CARTESIAN_POINT('Origin',(2.74923992667642,34.9261137320489,-8.49613135387749E-18)); #498111=CARTESIAN_POINT('',(2.5,34.6955934381631,-41.9999999999963)); #498112=CARTESIAN_POINT('',(2.5,34.6955934381631,-1.6992262707755E-17)); #498113=CARTESIAN_POINT('Ctrl Pts',(2.99847985335299,35.1566340259346,-41.9999999999963)); #498114=CARTESIAN_POINT('Ctrl Pts',(2.99847985335298,35.1566340259346,-41.5691670674188)); #498115=CARTESIAN_POINT('Ctrl Pts',(2.7924256874342,35.1751653587151,-40.6397522844885)); #498116=CARTESIAN_POINT('Ctrl Pts',(2.17952125883874,35.2188922143173,-39.8884245043511)); #498117=CARTESIAN_POINT('Ctrl Pts',(1.73731476770919,35.2416030840896,-39.5529643431438)); #498118=CARTESIAN_POINT('Ctrl Pts',(1.54918514301963,35.2505386131231,-39.4268548269495)); #498119=CARTESIAN_POINT('Ctrl Pts',(1.30241444794465,35.2608276970587,-39.2880542261287)); #498120=CARTESIAN_POINT('Ctrl Pts',(0.550026409948759,35.2852401279264, -38.983899799241)); #498121=CARTESIAN_POINT('Ctrl Pts',(-0.557955263045722,35.2917168241901, -38.910458734209)); #498122=CARTESIAN_POINT('Ctrl Pts',(-1.7467817630856,35.2441115606819,-39.489011658965)); #498123=CARTESIAN_POINT('Ctrl Pts',(-2.35196776618679,35.2066638705364, -40.1018914663581)); #498124=CARTESIAN_POINT('Ctrl Pts',(-2.84980129716683,35.1703504571577, -40.8733892767555)); #498125=CARTESIAN_POINT('Ctrl Pts',(-2.99847985335298,35.1566340259346, -41.569167067419)); #498126=CARTESIAN_POINT('Ctrl Pts',(-2.99847985335299,35.1566340259346, -41.9999999999963)); #498127=CARTESIAN_POINT('Ctrl Pts',(2.83231990223532,35.0029538300108,-41.9999999999963)); #498128=CARTESIAN_POINT('Ctrl Pts',(2.83231990223532,35.0029538300108,-41.5914525161632)); #498129=CARTESIAN_POINT('Ctrl Pts',(2.63577294573996,35.0196706347727,-40.7102131756392)); #498130=CARTESIAN_POINT('Ctrl Pts',(2.05419324862728,35.0589961867278,-40.0013389249814)); #498131=CARTESIAN_POINT('Ctrl Pts',(1.63632852980411,35.0793755109959,-39.6856953244699)); #498132=CARTESIAN_POINT('Ctrl Pts',(1.45866273539182,35.0873908581023,-39.5671148302445)); #498133=CARTESIAN_POINT('Ctrl Pts',(1.22586501475053,35.0966159999619,-39.4367092217312)); #498134=CARTESIAN_POINT('Ctrl Pts',(0.51683073623105,35.1184794321211,-39.1514415359436)); #498135=CARTESIAN_POINT('Ctrl Pts',(-0.523995829045895,35.1242784941983, -39.0826729078247)); #498136=CARTESIAN_POINT('Ctrl Pts',(-1.64476640557199,35.0816421513653, -39.625120877282)); #498137=CARTESIAN_POINT('Ctrl Pts',(-2.21783871076932,35.0480000189025, -40.2027408377912)); #498138=CARTESIAN_POINT('Ctrl Pts',(-2.69047056746547,35.0153266664939, -40.9317378663851)); #498139=CARTESIAN_POINT('Ctrl Pts',(-2.83231990223532,35.0029538300108, -41.5914525161634)); #498140=CARTESIAN_POINT('Ctrl Pts',(-2.83231990223532,35.0029538300108, -41.9999999999963)); #498141=CARTESIAN_POINT('Ctrl Pts',(2.66615995111766,34.8492736340869,-41.9999999999963)); #498142=CARTESIAN_POINT('Ctrl Pts',(2.66615995111766,34.8492736340869,-41.6137379649077)); #498143=CARTESIAN_POINT('Ctrl Pts',(2.47912020404573,34.8641759108302,-40.7806740667899)); #498144=CARTESIAN_POINT('Ctrl Pts',(1.92886523841582,34.8991001591383,-40.1142533456117)); #498145=CARTESIAN_POINT('Ctrl Pts',(1.53534229189903,34.9171479379022,-39.8184263057959)); #498146=CARTESIAN_POINT('Ctrl Pts',(1.36814032776401,34.9242431030815,-39.7073748335396)); #498147=CARTESIAN_POINT('Ctrl Pts',(1.14931558155642,34.9324043028651,-39.5853642173338)); #498148=CARTESIAN_POINT('Ctrl Pts',(0.483635062513342,34.9517187363158, -39.3189832726463)); #498149=CARTESIAN_POINT('Ctrl Pts',(-0.490036395046068,34.9568401642065, -39.2548870814404)); #498150=CARTESIAN_POINT('Ctrl Pts',(-1.54275104805838,34.9191727420488, -39.761230095599)); #498151=CARTESIAN_POINT('Ctrl Pts',(-2.08370965535185,34.8893361672686, -40.3035902092244)); #498152=CARTESIAN_POINT('Ctrl Pts',(-2.53113983776411,34.86030287583,-40.9900864560147)); #498153=CARTESIAN_POINT('Ctrl Pts',(-2.66615995111766,34.8492736340869, -41.6137379649077)); #498154=CARTESIAN_POINT('Ctrl Pts',(-2.66615995111766,34.8492736340869, -41.9999999999963)); #498155=CARTESIAN_POINT('Ctrl Pts',(2.5,34.6955934381631,-41.9999999999963)); #498156=CARTESIAN_POINT('Ctrl Pts',(2.5,34.6955934381631,-41.6360234136521)); #498157=CARTESIAN_POINT('Ctrl Pts',(2.3224674623515,34.7086811868877,-40.8511349579407)); #498158=CARTESIAN_POINT('Ctrl Pts',(1.80353722820435,34.7392041315487,-40.2271677662419)); #498159=CARTESIAN_POINT('Ctrl Pts',(1.43435605399395,34.7549203648084,-39.951157287122)); #498160=CARTESIAN_POINT('Ctrl Pts',(1.27761792013621,34.7610953480607,-39.8476348368346)); #498161=CARTESIAN_POINT('Ctrl Pts',(1.0727661483623,34.7681926057683,-39.7340192129363)); #498162=CARTESIAN_POINT('Ctrl Pts',(0.450439388795634,34.7849580405106, -39.4865250093489)); #498163=CARTESIAN_POINT('Ctrl Pts',(-0.456076961046241,34.7894018342147, -39.4271012550561)); #498164=CARTESIAN_POINT('Ctrl Pts',(-1.44073569054477,34.7567033327323, -39.897339313916)); #498165=CARTESIAN_POINT('Ctrl Pts',(-1.94958059993438,34.7306723156348, -40.4044395806575)); #498166=CARTESIAN_POINT('Ctrl Pts',(-2.37180910806275,34.7052790851662, -41.0484350456442)); #498167=CARTESIAN_POINT('Ctrl Pts',(-2.5,34.6955934381631,-41.6360234136521)); #498168=CARTESIAN_POINT('Ctrl Pts',(-2.5,34.6955934381631,-41.9999999999963)); #498169=CARTESIAN_POINT('Origin',(0.,0.,-71.9999999999963)); #498170=CARTESIAN_POINT('',(2.5,0.,-71.9999999999963)); #498171=CARTESIAN_POINT('Origin',(2.5,0.,-41.9999999999963)); #498172=CARTESIAN_POINT('Origin',(0.,0.,-31.9999999999963)); #498173=CARTESIAN_POINT('',(10.,25.1,-31.9999999999963)); #498174=CARTESIAN_POINT('Origin',(0.,0.,-31.9999999999963)); #498175=CARTESIAN_POINT('Origin',(0.,0.,-31.9999999999963)); #498176=CARTESIAN_POINT('',(-20.,-25.1,-31.9999999999963)); #498177=CARTESIAN_POINT('',(-25.1,-20.,-31.9999999999963)); #498178=CARTESIAN_POINT('Origin',(-20.,-20.,-31.9999999999963)); #498179=CARTESIAN_POINT('',(-10.,-25.1,-31.9999999999963)); #498180=CARTESIAN_POINT('',(-25.1,10.,-31.9999999999963)); #498181=CARTESIAN_POINT('Origin',(0.,0.,-31.9999999999963)); #498182=CARTESIAN_POINT('',(-25.1,20.,-31.9999999999963)); #498183=CARTESIAN_POINT('',(-20.,25.1,-31.9999999999963)); #498184=CARTESIAN_POINT('Origin',(-20.,20.,-31.9999999999963)); #498185=CARTESIAN_POINT('',(-25.1,10.,-31.9999999999963)); #498186=CARTESIAN_POINT('',(10.,25.1,-31.9999999999963)); #498187=CARTESIAN_POINT('Origin',(0.,0.,-31.9999999999963)); #498188=CARTESIAN_POINT('',(20.,-25.1,-31.9999999999963)); #498189=CARTESIAN_POINT('',(-10.,-25.1,-31.9999999999963)); #498190=CARTESIAN_POINT('Origin',(20.,-20.,-31.9999999999963)); #498191=CARTESIAN_POINT('Origin',(0.,0.,-31.9999999999963)); #498192=CARTESIAN_POINT('',(-10.,-25.1,-31.9999999999963)); #498193=CARTESIAN_POINT('Origin',(0.,0.,-31.9999999999963)); #498194=CARTESIAN_POINT('',(-25.1,10.,-31.9999999999963)); #498195=CARTESIAN_POINT('Origin',(20.,20.,0.)); #498196=CARTESIAN_POINT('',(20.,25.1,0.)); #498197=CARTESIAN_POINT('Origin',(20.,20.,0.)); #498198=CARTESIAN_POINT('',(20.,25.1,0.)); #498199=CARTESIAN_POINT('Origin',(-20.,20.,0.)); #498200=CARTESIAN_POINT('',(-20.,25.1,0.)); #498201=CARTESIAN_POINT('',(-20.,25.1,0.)); #498202=CARTESIAN_POINT('',(-25.1,20.,0.)); #498203=CARTESIAN_POINT('Origin',(-20.,20.,0.)); #498204=CARTESIAN_POINT('',(-25.1,20.,0.)); #498205=CARTESIAN_POINT('Origin',(20.,25.1,0.)); #498206=CARTESIAN_POINT('',(10.,25.1,0.)); #498207=CARTESIAN_POINT('Origin',(-20.,-20.,0.)); #498208=CARTESIAN_POINT('',(-25.1,-20.,0.)); #498209=CARTESIAN_POINT('',(-25.1,-20.,0.)); #498210=CARTESIAN_POINT('',(-20.,-25.1,0.)); #498211=CARTESIAN_POINT('Origin',(-20.,-20.,0.)); #498212=CARTESIAN_POINT('',(-20.,-25.1,0.)); #498213=CARTESIAN_POINT('Origin',(-25.1,20.,0.)); #498214=CARTESIAN_POINT('',(-25.1,10.,0.)); #498215=CARTESIAN_POINT('Origin',(20.,-20.,0.)); #498216=CARTESIAN_POINT('',(20.,-25.1,0.)); #498217=CARTESIAN_POINT('',(20.,-25.1,0.)); #498218=CARTESIAN_POINT('Origin',(20.,-20.,0.)); #498219=CARTESIAN_POINT('Origin',(-20.,-25.1,0.)); #498220=CARTESIAN_POINT('',(-10.,-25.1,0.)); #498221=CARTESIAN_POINT('Origin',(0.,0.,0.)); #498222=CARTESIAN_POINT('Origin',(0.,0.,5.375)); #498223=CARTESIAN_POINT('',(33.2842712474619,-4.07614762451565E-15,5.)); #498224=CARTESIAN_POINT('Origin',(0.,0.,5.)); #498225=CARTESIAN_POINT('',(34.0342712474619,4.1679961344517E-15,5.75)); #498226=CARTESIAN_POINT('',(33.6592712474619,-4.12207187948368E-15,5.375)); #498227=CARTESIAN_POINT('Origin',(0.,0.,5.75)); #498228=CARTESIAN_POINT('Origin',(0.,0.,5.)); #498229=CARTESIAN_POINT('',(34.0342712474619,4.1679961344517E-15,10.)); #498230=CARTESIAN_POINT('Origin',(0.,0.,10.)); #498231=CARTESIAN_POINT('',(34.0342712474619,4.1679961344517E-15,5.)); #498232=CARTESIAN_POINT('Origin',(0.,0.,5.)); #498233=CARTESIAN_POINT('',(32.5500000000002,3.67394039744206E-16,5.)); #498234=CARTESIAN_POINT('Origin',(29.5500000000002,0.,5.)); #498235=CARTESIAN_POINT('',(3.,29.5500000000002,5.)); #498236=CARTESIAN_POINT('Origin',(1.94289029309402E-15,29.5500000000002, 5.)); #498237=CARTESIAN_POINT('',(2.99999999999999,-29.5500000000002,5.)); #498238=CARTESIAN_POINT('Origin',(-5.27355936696949E-15,-29.5500000000002, 5.)); #498239=CARTESIAN_POINT('',(-26.5500000000002,3.97561886977596E-15,5.)); #498240=CARTESIAN_POINT('Origin',(-29.5500000000002,3.60822483003176E-15, 5.)); #498241=CARTESIAN_POINT('',(-25.8000000000002,-3.1595887418002E-15,5.)); #498242=CARTESIAN_POINT('Origin',(0.,0.,5.)); #498243=CARTESIAN_POINT('Origin',(0.,0.,10.)); #498244=CARTESIAN_POINT('',(-35.2842712474619,-4.32107698434512E-15,10.)); #498245=CARTESIAN_POINT('Origin',(0.,0.,10.)); #498246=CARTESIAN_POINT('Origin',(-5.27355936696949E-15,-29.5500000000002, 2.)); #498247=CARTESIAN_POINT('',(1.69999999999999,-29.5500000000002,2.)); #498248=CARTESIAN_POINT('Origin',(-5.27355936696949E-15,-29.5500000000002, 2.)); #498249=CARTESIAN_POINT('',(1.69999999999999,-29.5500000000002,0.)); #498250=CARTESIAN_POINT('',(1.69999999999999,-29.5500000000002,2.)); #498251=CARTESIAN_POINT('Origin',(-5.27355936696949E-15,-29.5500000000002, 0.)); #498252=CARTESIAN_POINT('Origin',(-29.5500000000002,3.60822483003176E-15, 2.)); #498253=CARTESIAN_POINT('',(-27.8500000000002,3.40003487417671E-15,2.)); #498254=CARTESIAN_POINT('Origin',(-29.5500000000002,3.60822483003176E-15, 2.)); #498255=CARTESIAN_POINT('',(-27.8500000000002,3.81641478588681E-15,0.)); #498256=CARTESIAN_POINT('',(-27.8500000000002,3.40003487417671E-15,2.)); #498257=CARTESIAN_POINT('Origin',(-29.5500000000002,3.60822483003176E-15, 0.)); #498258=CARTESIAN_POINT('Origin',(29.5500000000002,0.,2.)); #498259=CARTESIAN_POINT('',(31.2500000000002,-2.0818995585505E-16,2.)); #498260=CARTESIAN_POINT('Origin',(29.5500000000002,0.,2.)); #498261=CARTESIAN_POINT('',(31.2500000000002,2.0818995585505E-16,0.)); #498262=CARTESIAN_POINT('',(31.2500000000002,-2.0818995585505E-16,2.)); #498263=CARTESIAN_POINT('Origin',(29.5500000000002,0.,0.)); #498264=CARTESIAN_POINT('Origin',(1.94289029309402E-15,29.5500000000002, 2.)); #498265=CARTESIAN_POINT('',(1.7,29.5500000000002,2.)); #498266=CARTESIAN_POINT('Origin',(1.94289029309402E-15,29.5500000000002, 2.)); #498267=CARTESIAN_POINT('',(1.7,29.5500000000002,0.)); #498268=CARTESIAN_POINT('',(1.7,29.5500000000002,2.)); #498269=CARTESIAN_POINT('Origin',(1.94289029309402E-15,29.5500000000002, 0.)); #498270=CARTESIAN_POINT('Origin',(29.5500000000002,0.,5.)); #498271=CARTESIAN_POINT('',(32.5500000000002,3.67394039744206E-16,2.)); #498272=CARTESIAN_POINT('',(32.5500000000002,-3.67394039744206E-16,5.)); #498273=CARTESIAN_POINT('Origin',(29.5500000000002,0.,2.)); #498274=CARTESIAN_POINT('Origin',(29.5500000000002,0.,2.)); #498275=CARTESIAN_POINT('Origin',(1.94289029309402E-15,29.5500000000002, 5.)); #498276=CARTESIAN_POINT('',(3.,29.5500000000002,2.)); #498277=CARTESIAN_POINT('',(3.,29.5500000000002,5.)); #498278=CARTESIAN_POINT('Origin',(1.94289029309402E-15,29.5500000000002, 2.)); #498279=CARTESIAN_POINT('Origin',(2.22044604925031E-15,29.5500000000002, 2.)); #498280=CARTESIAN_POINT('Origin',(-5.27355936696949E-15,-29.5500000000002, 5.)); #498281=CARTESIAN_POINT('',(2.99999999999999,-29.5500000000002,2.)); #498282=CARTESIAN_POINT('',(2.99999999999999,-29.5500000000002,5.)); #498283=CARTESIAN_POINT('Origin',(-5.27355936696949E-15,-29.5500000000002, 2.)); #498284=CARTESIAN_POINT('Origin',(-5.55111512312578E-15,-29.5500000000002, 2.)); #498285=CARTESIAN_POINT('Origin',(-29.5500000000002,3.60822483003176E-15, 5.)); #498286=CARTESIAN_POINT('',(-26.5500000000002,3.97561886977596E-15,2.)); #498287=CARTESIAN_POINT('',(-26.5500000000002,3.24083079028755E-15,5.)); #498288=CARTESIAN_POINT('Origin',(-29.5500000000002,3.60822483003176E-15, 2.)); #498289=CARTESIAN_POINT('Origin',(-29.5500000000002,3.33066907387547E-15, 2.)); #498290=CARTESIAN_POINT('Origin',(0.,0.,0.)); #498291=CARTESIAN_POINT('',(-25.8000000000002,-3.1595887418002E-15,0.)); #498292=CARTESIAN_POINT('',(-25.8000000000002,-3.1595887418002E-15,0.)); #498293=CARTESIAN_POINT('Origin',(0.,0.,0.)); #498294=CARTESIAN_POINT('Origin',(0.,0.,0.)); #498295=CARTESIAN_POINT('',(-35.2842712474619,-4.32107698434512E-15,0.)); #498296=CARTESIAN_POINT('',(-35.2842712474619,-4.32107698434512E-15,0.)); #498297=CARTESIAN_POINT('Origin',(0.,0.,0.)); #498298=CARTESIAN_POINT('Origin',(0.,0.,0.)); #498299=CARTESIAN_POINT('Origin',(0.,0.,-169.399999999996)); #498300=CARTESIAN_POINT('',(-29.5,-3.96768689426739E-15,-164.399999999996)); #498301=CARTESIAN_POINT('',(-29.5,-3.61270805748469E-15,-166.399999999996)); #498302=CARTESIAN_POINT('',(-29.5,-3.61270805748469E-15,-169.399999999996)); #498303=CARTESIAN_POINT('',(-15.0608100817035,-25.3657643228596,-164.399999999996)); #498304=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #498305=CARTESIAN_POINT('',(-15.0608100817035,-25.3657643228596,-166.399999999996)); #498306=CARTESIAN_POINT('',(-15.0608100817035,-25.3657643228596,-169.399999999996)); #498307=CARTESIAN_POINT('Origin',(0.,0.,-166.399999999996)); #498308=CARTESIAN_POINT('Origin',(0.,0.,-78.9999999999963)); #498309=CARTESIAN_POINT('',(-29.2342712474619,3.58016567086097E-15,-76.9999999999963)); #498310=CARTESIAN_POINT('Origin',(0.,0.,-76.9999999999963)); #498311=CARTESIAN_POINT('',(-33.2342712474619,-4.07002439051991E-15,-80.9999999999963)); #498312=CARTESIAN_POINT('',(-31.2342712474619,-3.82509503069044E-15,-78.9999999999963)); #498313=CARTESIAN_POINT('Origin',(0.,0.,-80.9999999999963)); #498314=CARTESIAN_POINT('Origin',(0.,0.,-169.399999999996)); #498315=CARTESIAN_POINT('',(-33.2342712474619,-4.07002439051991E-15,-169.399999999996)); #498316=CARTESIAN_POINT('',(-33.2342712474619,-4.07002439051991E-15,-169.399999999996)); #498317=CARTESIAN_POINT('Origin',(0.,0.,-169.399999999996)); #498318=CARTESIAN_POINT('Origin',(0.,0.,-76.9999999999963)); #498319=CARTESIAN_POINT('',(26.2624953737967,6.50240473580823,-76.9999999999963)); #498320=CARTESIAN_POINT('',(17.6022413359522,1.50240473580838,-76.9999999999963)); #498321=CARTESIAN_POINT('',(10.676120667976,-2.49639289628737,-76.9999999999963)); #498322=CARTESIAN_POINT('',(10.1022413359522,14.4927857925749,-76.9999999999963)); #498323=CARTESIAN_POINT('',(11.9772413359522,11.2451905283833,-76.9999999999963)); #498324=CARTESIAN_POINT('',(18.7624953737965,19.4927857925751,-76.9999999999963)); #498325=CARTESIAN_POINT('',(7.50624768689828,12.993988160479,-76.9999999999963)); #498326=CARTESIAN_POINT('',(24.3874953737966,9.74999999999994,-76.9999999999963)); #498327=CARTESIAN_POINT('Origin',(0.,0.,-169.399999999996)); #498328=CARTESIAN_POINT('',(32.5,-3.9801020972289E-15,-169.399999999996)); #498329=CARTESIAN_POINT('Origin',(0.,0.,-169.399999999996)); #498330=CARTESIAN_POINT('Origin',(10.5487159321678,5.22427857925732,-126.58333333333)); #498331=CARTESIAN_POINT('',(21.3809255133853,9.45753175472823,-124.83333333333)); #498332=CARTESIAN_POINT('',(19.630925513387,12.4886206679747,-128.33333333333)); #498333=CARTESIAN_POINT('',(20.0684255133889,11.7308484396612,-127.458333333327)); #498334=CARTESIAN_POINT('',(18.9381051903618,12.0886206679761,-128.33333333333)); #498335=CARTESIAN_POINT('',(18.764900109605,11.9886206679761,-128.33333333333)); #498336=CARTESIAN_POINT('',(20.6881051903619,9.05753175473055,-124.83333333333)); #498337=CARTESIAN_POINT('',(26.9172718570285,-1.73170140075062,-112.374999999996)); #498338=CARTESIAN_POINT('',(11.4237159321679,3.70873412263457,-124.83333333333)); #498339=CARTESIAN_POINT('Origin',(9.25745005480539,6.21081668492956,-100.416666666663)); #498340=CARTESIAN_POINT('',(20.6309255133866,10.7565698604056,-102.166666666663)); #498341=CARTESIAN_POINT('',(18.8809255133895,13.7876587736527,-98.666666666663)); #498342=CARTESIAN_POINT('',(19.7559255133902,12.2721143170254,-100.416666666667)); #498343=CARTESIAN_POINT('',(18.1881051903621,13.3876587736524,-98.666666666663)); #498344=CARTESIAN_POINT('',(8.38245005480472,7.72636114155195,-98.666666666663)); #498345=CARTESIAN_POINT('',(19.9381051903618,10.3565698604072,-102.166666666663)); #498346=CARTESIAN_POINT('',(17.7297718570293,14.1815153937871,-97.7499999999975)); #498347=CARTESIAN_POINT('',(19.764900109605,10.2565698604072,-102.166666666663)); #498348=CARTESIAN_POINT('Origin',(18.0149001096052,13.2876587736523,-78.9999999999963)); #498349=CARTESIAN_POINT('',(18.8809255133895,13.7876587736527,-107.666666666663)); #498350=CARTESIAN_POINT('',(18.8809255133895,13.7876587736527,-90.5833333333297)); #498351=CARTESIAN_POINT('',(18.1881051903621,13.3876587736524,-107.666666666663)); #498352=CARTESIAN_POINT('',(8.38245005480472,7.72636114155195,-107.666666666663)); #498353=CARTESIAN_POINT('',(18.1881051903621,13.3876587736524,-154.399999999996)); #498354=CARTESIAN_POINT('',(18.1881051903621,13.3876587736524,-78.9999999999963)); #498355=CARTESIAN_POINT('',(19.0974318643355,13.9126587736528,-154.399999999996)); #498356=CARTESIAN_POINT('',(8.38245005480472,7.72636114155195,-154.399999999996)); #498357=CARTESIAN_POINT('',(19.0974318643355,13.9126587736528,-81.4999999999963)); #498358=CARTESIAN_POINT('',(19.0974318643355,13.9126587736528,-78.9999999999963)); #498359=CARTESIAN_POINT('',(18.1881051903621,13.3876587736524,-82.5499999999963)); #498360=CARTESIAN_POINT('',(19.6386977416999,14.2251587736526,-80.8749999999971)); #498361=CARTESIAN_POINT('',(18.1881051903621,13.3876587736524,-78.9999999999963)); #498362=CARTESIAN_POINT('Origin',(9.25745005480539,6.21081668492956,-105.916666666663)); #498363=CARTESIAN_POINT('',(20.6309255133866,10.7565698604056,-104.166666666663)); #498364=CARTESIAN_POINT('',(20.0059255133897,11.839101615133,-105.416666666659)); #498365=CARTESIAN_POINT('',(19.9381051903618,10.3565698604072,-104.166666666663)); #498366=CARTESIAN_POINT('',(19.764900109605,10.2565698604072,-104.166666666663)); #498367=CARTESIAN_POINT('',(23.771438523696,3.71704176472515,-96.4999999999952)); #498368=CARTESIAN_POINT('Origin',(10.5487159321678,5.22427857925732,-132.08333333333)); #498369=CARTESIAN_POINT('',(19.630925513387,12.4886206679747,-130.33333333333)); #498370=CARTESIAN_POINT('',(21.3809255133853,9.45753175472823,-133.83333333333)); #498371=CARTESIAN_POINT('',(19.8184255133893,12.1638611415537,-130.708333333332)); #498372=CARTESIAN_POINT('',(20.6881051903619,9.05753175473055,-133.83333333333)); #498373=CARTESIAN_POINT('',(11.4237159321679,3.70873412263457,-133.83333333333)); #498374=CARTESIAN_POINT('',(18.9381051903618,12.0886206679761,-130.33333333333)); #498375=CARTESIAN_POINT('',(14.3339385236952,20.0632712611578,-121.124999999996)); #498376=CARTESIAN_POINT('',(18.764900109605,11.9886206679761,-130.33333333333)); #498377=CARTESIAN_POINT('Origin',(21.5974318643355,9.58253175473057,-78.9999999999963)); #498378=CARTESIAN_POINT('',(20.6881051903619,9.05753175473055,-82.5499999999963)); #498379=CARTESIAN_POINT('',(20.6881051903619,9.05753175473055,-78.9999999999963)); #498380=CARTESIAN_POINT('',(21.5974318643355,9.58253175473057,-81.4999999999963)); #498381=CARTESIAN_POINT('',(22.4093306803834,10.0512817547306,-80.5624999999963)); #498382=CARTESIAN_POINT('',(21.5974318643355,9.58253175473057,-154.399999999996)); #498383=CARTESIAN_POINT('',(21.5974318643355,9.58253175473057,-78.9999999999963)); #498384=CARTESIAN_POINT('',(20.6881051903619,9.05753175473055,-154.399999999996)); #498385=CARTESIAN_POINT('',(11.4237159321679,3.70873412263457,-154.399999999996)); #498386=CARTESIAN_POINT('',(20.6881051903619,9.05753175473055,-78.9999999999963)); #498387=CARTESIAN_POINT('',(21.3809255133853,9.45753175472823,-103.666666666663)); #498388=CARTESIAN_POINT('Origin',(23.3886977417008,7.72996824526949,-80.8749999999963)); #498389=CARTESIAN_POINT('',(22.5124953737966,12.9975952641917,-78.9999999999963)); #498390=CARTESIAN_POINT('Origin',(20.3474318643355,11.7475952641917,-81.4999999999963)); #498391=CARTESIAN_POINT('',(18.7624953737965,19.4927857925751,-78.9999999999963)); #498392=CARTESIAN_POINT('',(18.7624953737965,19.4927857925751,-78.9999999999963)); #498393=CARTESIAN_POINT('',(15.6881051903618,17.717785792575,-82.5499999999963)); #498394=CARTESIAN_POINT('',(17.9505965577486,19.0240357925751,-79.9374999999964)); #498395=CARTESIAN_POINT('',(23.1881051903618,4.72740473580838,-82.5499999999963)); #498396=CARTESIAN_POINT('Origin',(20.3474318643355,11.7475952641917,-78.9999999999963)); #498397=CARTESIAN_POINT('Origin',(20.3474318643355,11.7475952641917,-154.399999999996)); #498398=CARTESIAN_POINT('Origin',(20.3474318643355,11.7475952641917,-81.4999999999963)); #498399=CARTESIAN_POINT('Origin',(23.3886977417008,7.72996824526949,-80.8749999999963)); #498400=CARTESIAN_POINT('',(23.1881051903619,4.72740473580828,-82.5499999999963)); #498401=CARTESIAN_POINT('',(23.1881051903618,4.72740473580838,-82.5499999999963)); #498402=CARTESIAN_POINT('',(26.2624953737967,6.50240473580823,-78.9999999999963)); #498403=CARTESIAN_POINT('',(23.2855330482876,4.78365473580827,-82.4374999999963)); #498404=CARTESIAN_POINT('',(18.7624953737965,19.4927857925751,-78.9999999999963)); #498405=CARTESIAN_POINT('Origin',(24.4381051903618,2.56234122634727,-78.9999999999963)); #498406=CARTESIAN_POINT('',(23.1881051903619,4.72740473580828,-78.9999999999963)); #498407=CARTESIAN_POINT('',(23.1881051903619,4.72740473580828,-78.9999999999963)); #498408=CARTESIAN_POINT('',(18.9381051903618,12.0886206679761,-103.666666666663)); #498409=CARTESIAN_POINT('',(24.4795265461627,2.49059733358179,-154.399999999996)); #498410=CARTESIAN_POINT('',(21.9381051903618,6.89246824526947,-154.399999999996)); #498411=CARTESIAN_POINT('',(24.4795265461627,2.49059733358179,-78.9999999999963)); #498412=CARTESIAN_POINT('',(24.4795265461627,2.4905973335818,-78.9999999999963)); #498413=CARTESIAN_POINT('',(21.9381051903618,6.89246824526947,-78.9999999999963)); #498414=CARTESIAN_POINT('Origin',(17.6022413359522,1.50240473580838,-78.9999999999963)); #498415=CARTESIAN_POINT('',(26.2624953737967,6.50240473580823,-78.9999999999963)); #498416=CARTESIAN_POINT('',(17.6022413359522,1.50240473580838,-78.9999999999963)); #498417=CARTESIAN_POINT('',(10.676120667976,-2.49639289628737,-78.9999999999963)); #498418=CARTESIAN_POINT('',(17.6022413359522,1.50240473580838,-78.9999999999963)); #498419=CARTESIAN_POINT('Origin',(10.1022413359522,14.4927857925749,-78.9999999999963)); #498420=CARTESIAN_POINT('',(10.1022413359522,14.4927857925749,-78.9999999999963)); #498421=CARTESIAN_POINT('',(11.9772413359522,11.2451905283833,-78.9999999999963)); #498422=CARTESIAN_POINT('',(10.1022413359522,14.4927857925749,-78.9999999999963)); #498423=CARTESIAN_POINT('Origin',(18.7624953737965,19.4927857925751,-78.9999999999963)); #498424=CARTESIAN_POINT('',(15.6881051903618,17.717785792575,-78.9999999999963)); #498425=CARTESIAN_POINT('',(7.50624768689828,12.993988160479,-78.9999999999963)); #498426=CARTESIAN_POINT('',(15.6881051903618,17.717785792575,-78.9999999999963)); #498427=CARTESIAN_POINT('',(18.7624953737965,19.4927857925751,-78.9999999999963)); #498428=CARTESIAN_POINT('Origin',(26.2624953737967,6.50240473580823,-78.9999999999963)); #498429=CARTESIAN_POINT('Origin',(19.6309255133882,12.4886206679754,-128.33333333333)); #498430=CARTESIAN_POINT('',(19.630925513387,12.4886206679747,-128.33333333333)); #498431=CARTESIAN_POINT('Origin',(18.764900109605,11.9886206679761,-128.33333333333)); #498432=CARTESIAN_POINT('Origin',(18.8809255133896,13.7876587736525,-102.166666666663)); #498433=CARTESIAN_POINT('',(20.6309255133866,10.7565698604056,-102.166666666663)); #498434=CARTESIAN_POINT('Origin',(20.6309255133866,10.7565698604056,-102.166666666663)); #498435=CARTESIAN_POINT('',(19.9381051903619,10.3565698604072,-90.5833333333297)); #498436=CARTESIAN_POINT('Origin',(0.,0.,-154.399999999996)); #498437=CARTESIAN_POINT('',(-29.5,3.61270805748469E-15,-154.399999999996)); #498438=CARTESIAN_POINT('Origin',(0.,0.,-154.399999999996)); #498439=CARTESIAN_POINT('',(14.396683834561,19.9545931948015,-154.399999999996)); #498440=CARTESIAN_POINT('',(21.9381051903618,6.89246824526947,-154.399999999996)); #498441=CARTESIAN_POINT('',(13.0306584436813,20.3206186060365,-154.399999999996)); #498442=CARTESIAN_POINT('',(10.1348536764706,21.0965471779734,-154.399999999996)); #498443=CARTESIAN_POINT('',(12.9470797994822,20.4653810642172,-154.399999999996)); #498444=CARTESIAN_POINT('',(15.8220797994822,15.4857349924566,-154.399999999996)); #498445=CARTESIAN_POINT('',(-12.9470797994821,20.4653810642173,-154.399999999996)); #498446=CARTESIAN_POINT('Origin',(6.66133814775094E-15,12.9903810567667, -154.399999999996)); #498447=CARTESIAN_POINT('',(-24.1970797994822,0.979809479067273,-154.399999999996)); #498448=CARTESIAN_POINT('',(-15.7595797994822,15.5939881679298,-154.399999999996)); #498449=CARTESIAN_POINT('',(-11.2500000000001,-21.4451905432845,-154.399999999996)); #498450=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,-154.399999999996)); #498451=CARTESIAN_POINT('',(11.2500000000001,-21.4451905432845,-154.399999999996)); #498452=CARTESIAN_POINT('',(-5.62500000000005,-21.4451905432845,-154.399999999996)); #498453=CARTESIAN_POINT('',(24.1970797994822,0.979809479067229,-154.399999999996)); #498454=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838335,-154.399999999996)); #498455=CARTESIAN_POINT('',(24.1135011552831,1.12457193724793,-154.399999999996)); #498456=CARTESIAN_POINT('',(21.3845797994824,5.85120237535461,-154.399999999996)); #498457=CARTESIAN_POINT('',(23.1545599310573,-2.45424553969197,-154.399999999996)); #498458=CARTESIAN_POINT('Origin',(24.4381051903618,2.56234122634727,-78.9999999999963)); #498459=CARTESIAN_POINT('',(14.396683834561,19.9545931948015,-78.9999999999963)); #498460=CARTESIAN_POINT('',(21.9381051903618,6.89246824526947,-78.9999999999963)); #498461=CARTESIAN_POINT('',(14.396683834561,19.9545931948015,-78.9999999999963)); #498462=CARTESIAN_POINT('Origin',(-22.0939977172963,-22.6242913870588,-164.399999999996)); #498463=CARTESIAN_POINT('',(-22.090908522513,-23.151495862038,-159.399999999996)); #498464=CARTESIAN_POINT('',(-22.099179469717,-21.7399693368054,-159.399999999996)); #498465=CARTESIAN_POINT('',(-22.0875230305998,-23.729266644341,-159.399999999996)); #498466=CARTESIAN_POINT('',(-22.090908522513,-23.151495862038,-164.399999999996)); #498467=CARTESIAN_POINT('',(-22.090908522513,-23.151495862038,-164.399999999996)); #498468=CARTESIAN_POINT('',(-22.099179469717,-21.7399693368054,-164.399999999996)); #498469=CARTESIAN_POINT('',(-22.0875230305998,-23.729266644341,-164.399999999996)); #498470=CARTESIAN_POINT('',(-22.099179469717,-21.7399693368054,-162.899999999996)); #498471=CARTESIAN_POINT('',(-22.099179469717,-21.7399693368054,-164.399999999996)); #498472=CARTESIAN_POINT('',(-22.099179469717,-21.7399693368054,-160.899999999996)); #498473=CARTESIAN_POINT('',(-22.099179469717,-21.7399693368054,-164.399999999996)); #498474=CARTESIAN_POINT('',(-22.099179469717,-21.7399693368054,-164.399999999996)); #498475=CARTESIAN_POINT('Origin',(30.6402099424626,-7.82181760080459,-164.399999999996)); #498476=CARTESIAN_POINT('',(31.0952378133917,-7.5555400421554,-159.399999999996)); #498477=CARTESIAN_POINT('',(29.8769554580267,-8.26846615516369,-159.399999999996)); #498478=CARTESIAN_POINT('',(31.5659654632954,-7.28007513678806,-159.399999999996)); #498479=CARTESIAN_POINT('',(31.0952378133917,-7.5555400421554,-164.399999999996)); #498480=CARTESIAN_POINT('',(31.0952378133917,-7.55554004215541,-164.399999999996)); #498481=CARTESIAN_POINT('',(29.8769554580267,-8.26846615516369,-164.399999999996)); #498482=CARTESIAN_POINT('',(31.5659654632954,-7.28007513678806,-164.399999999996)); #498483=CARTESIAN_POINT('',(29.8769554580267,-8.26846615516369,-162.899999999996)); #498484=CARTESIAN_POINT('',(29.8769554580267,-8.26846615516369,-164.399999999996)); #498485=CARTESIAN_POINT('',(29.8769554580267,-8.26846615516369,-160.899999999996)); #498486=CARTESIAN_POINT('',(29.8769554580267,-8.26846615516369,-164.399999999996)); #498487=CARTESIAN_POINT('',(29.8769554580267,-8.26846615516369,-164.399999999996)); #498488=CARTESIAN_POINT('Origin',(-8.54621222516626,30.4461089878634,-164.399999999996)); #498489=CARTESIAN_POINT('',(-9.00432929087874,30.7070359041934,-159.399999999996)); #498490=CARTESIAN_POINT('',(-7.77777598830976,30.0084354919691,-159.399999999996)); #498491=CARTESIAN_POINT('',(-9.5173904608072,30.9992569956515,-159.399999999996)); #498492=CARTESIAN_POINT('',(-9.00432929087874,30.7070359041934,-164.399999999996)); #498493=CARTESIAN_POINT('',(-9.00432929087874,30.7070359041934,-164.399999999996)); #498494=CARTESIAN_POINT('',(-7.77777598830975,30.0084354919691,-164.399999999996)); #498495=CARTESIAN_POINT('',(-9.5173904608072,30.9992569956515,-164.399999999996)); #498496=CARTESIAN_POINT('',(-7.77777598830976,30.0084354919691,-162.899999999996)); #498497=CARTESIAN_POINT('',(-7.77777598830976,30.0084354919691,-164.399999999996)); #498498=CARTESIAN_POINT('',(-7.77777598830976,30.0084354919691,-160.899999999996)); #498499=CARTESIAN_POINT('',(-7.77777598830976,30.0084354919691,-164.399999999996)); #498500=CARTESIAN_POINT('',(-7.77777598830976,30.0084354919691,-164.399999999996)); #498501=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #498502=CARTESIAN_POINT('',(14.1831969702296,27.5651396460034,-162.899999999996)); #498503=CARTESIAN_POINT('Origin',(0.,0.,-162.899999999996)); #498504=CARTESIAN_POINT('',(14.1831969702296,27.5651396460034,-160.899999999996)); #498505=CARTESIAN_POINT('',(14.1831969702296,27.5651396460034,-164.399999999996)); #498506=CARTESIAN_POINT('Origin',(0.,0.,-160.899999999996)); #498507=CARTESIAN_POINT('Origin',(-16.1349861428914,-27.1966697808519,-164.399999999996)); #498508=CARTESIAN_POINT('',(-16.,-27.712812921102,-164.399999999996)); #498509=CARTESIAN_POINT('',(-16.2699722857828,-26.6805266406017,-164.399999999996)); #498510=CARTESIAN_POINT('',(-16.5200407162533,-25.7243462341118,-164.399999999996)); #498511=CARTESIAN_POINT('',(-16.,-27.712812921102,-159.399999999996)); #498512=CARTESIAN_POINT('',(-16.,-27.712812921102,-164.399999999996)); #498513=CARTESIAN_POINT('',(-16.2699722857828,-26.6805266406017,-159.399999999996)); #498514=CARTESIAN_POINT('',(-16.5200407162533,-25.7243462341118,-159.399999999996)); #498515=CARTESIAN_POINT('',(-16.2699722857828,-26.6805266406017,-164.399999999996)); #498516=CARTESIAN_POINT('Origin',(-12.0116951990891,28.8782069792839,-164.399999999996)); #498517=CARTESIAN_POINT('',(-21.3910762921359,-22.7812281289666,-164.399999999996)); #498518=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #498519=CARTESIAN_POINT('',(-21.0518192557084,-22.755678544596,-164.399999999996)); #498520=CARTESIAN_POINT('',(-19.6181391408771,-22.6477075163745,-164.399999999996)); #498521=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #498522=CARTESIAN_POINT('Origin',(5.55111512312578E-16,0.,-164.399999999996)); #498523=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #498524=CARTESIAN_POINT('',(-21.3910762921359,-22.7812281289666,-159.399999999996)); #498525=CARTESIAN_POINT('Origin',(0.,0.,-159.399999999996)); #498526=CARTESIAN_POINT('',(-21.3910762921359,-22.7812281289666,-164.399999999996)); #498527=CARTESIAN_POINT('Origin',(-12.0116951990891,28.8782069792839,-159.399999999996)); #498528=CARTESIAN_POINT('Origin',(5.55111512312578E-16,0.,-159.399999999996)); #498529=CARTESIAN_POINT('',(-21.0518192557084,-22.755678544596,-159.399999999996)); #498530=CARTESIAN_POINT('Origin',(0.,0.,-159.399999999996)); #498531=CARTESIAN_POINT('',(-19.6181391408771,-22.6477075163745,-159.399999999996)); #498532=CARTESIAN_POINT('Origin',(31.6205,-0.374972999027927,-164.399999999996)); #498533=CARTESIAN_POINT('',(32.,4.01461495304239E-15,-164.399999999996)); #498534=CARTESIAN_POINT('',(31.241,-0.749945998055858,-164.399999999996)); #498535=CARTESIAN_POINT('',(30.5149264899511,-1.46735828152823,-164.399999999996)); #498536=CARTESIAN_POINT('',(32.,4.01461495304239E-15,-159.399999999996)); #498537=CARTESIAN_POINT('',(32.,4.01461495304239E-15,-164.399999999996)); #498538=CARTESIAN_POINT('',(31.241,-0.749945998055858,-159.399999999996)); #498539=CARTESIAN_POINT('',(30.5149264899511,-1.46735828152823,-159.399999999996)); #498540=CARTESIAN_POINT('',(31.241,-0.749945998055858,-164.399999999996)); #498541=CARTESIAN_POINT('Origin',(-12.0116951990891,28.8782069792839,-164.399999999996)); #498542=CARTESIAN_POINT('',(30.4246604351617,-7.1346014187974,-164.399999999996)); #498543=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #498544=CARTESIAN_POINT('',(30.2329053278268,-6.85357099902389,-164.399999999996)); #498545=CARTESIAN_POINT('',(29.4235840914554,-5.66745455107002,-164.399999999996)); #498546=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #498547=CARTESIAN_POINT('Origin',(5.55111512312578E-16,0.,-164.399999999996)); #498548=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #498549=CARTESIAN_POINT('',(30.4246604351617,-7.13460141879741,-159.399999999996)); #498550=CARTESIAN_POINT('Origin',(0.,0.,-159.399999999996)); #498551=CARTESIAN_POINT('',(30.4246604351617,-7.13460141879741,-164.399999999996)); #498552=CARTESIAN_POINT('Origin',(-12.0116951990891,28.8782069792839,-159.399999999996)); #498553=CARTESIAN_POINT('Origin',(5.55111512312578E-16,0.,-159.399999999996)); #498554=CARTESIAN_POINT('',(30.2329053278268,-6.85357099902389,-159.399999999996)); #498555=CARTESIAN_POINT('Origin',(0.,0.,-159.399999999996)); #498556=CARTESIAN_POINT('',(29.4235840914554,-5.66745455107002,-159.399999999996)); #498557=CARTESIAN_POINT('Origin',(-12.0116951990891,28.8782069792839,-164.399999999996)); #498558=CARTESIAN_POINT('',(-16.,27.712812921102,-164.399999999996)); #498559=CARTESIAN_POINT('Origin',(5.55111512312578E-16,0.,-164.399999999996)); #498560=CARTESIAN_POINT('',(-14.9710277142172,27.4304726386576,-164.399999999996)); #498561=CARTESIAN_POINT('',(-14.0369235913072,27.1741632846167,-164.399999999996)); #498562=CARTESIAN_POINT('',(-9.03358414302581,29.915829547764,-164.399999999996)); #498563=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #498564=CARTESIAN_POINT('',(-9.18108607211842,29.6092495436199,-164.399999999996)); #498565=CARTESIAN_POINT('',(-9.81704753505972,28.2874155232549,-164.399999999996)); #498566=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #498567=CARTESIAN_POINT('Origin',(5.55111512312578E-16,0.,-164.399999999996)); #498568=CARTESIAN_POINT('',(-16.,27.712812921102,-159.399999999996)); #498569=CARTESIAN_POINT('Origin',(5.55111512312578E-16,0.,-159.399999999996)); #498570=CARTESIAN_POINT('',(-16.,27.712812921102,-164.399999999996)); #498571=CARTESIAN_POINT('Origin',(-15.4855138571086,27.5716427798798,-164.399999999996)); #498572=CARTESIAN_POINT('',(-14.9710277142172,27.4304726386576,-159.399999999996)); #498573=CARTESIAN_POINT('',(-14.0369235913072,27.1741632846167,-159.399999999996)); #498574=CARTESIAN_POINT('',(-14.9710277142172,27.4304726386576,-164.399999999996)); #498575=CARTESIAN_POINT('Origin',(-12.0116951990891,28.8782069792839,-159.399999999996)); #498576=CARTESIAN_POINT('',(-9.18108607211842,29.6092495436199,-159.399999999996)); #498577=CARTESIAN_POINT('Origin',(0.,0.,-159.399999999996)); #498578=CARTESIAN_POINT('',(-9.03358414302581,29.915829547764,-159.399999999996)); #498579=CARTESIAN_POINT('',(-9.81704753505972,28.2874155232549,-159.399999999996)); #498580=CARTESIAN_POINT('Origin',(0.,0.,-159.399999999996)); #498581=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #498582=CARTESIAN_POINT('',(-14.675480917212,-26.7372448066091,-160.399999999996)); #498583=CARTESIAN_POINT('',(-20.3660702394547,-22.7040345093465,-160.399999999996)); #498584=CARTESIAN_POINT('Origin',(0.,0.,-160.399999999996)); #498585=CARTESIAN_POINT('',(-14.675480917212,-26.7372448066091,-163.399999999996)); #498586=CARTESIAN_POINT('',(-14.675480917212,-26.7372448066091,-164.399999999996)); #498587=CARTESIAN_POINT('',(-20.3660702394547,-22.7040345093465,-163.399999999996)); #498588=CARTESIAN_POINT('Origin',(0.,0.,-163.399999999996)); #498589=CARTESIAN_POINT('',(-20.3660702394547,-22.7040345093465,-164.399999999996)); #498590=CARTESIAN_POINT('Origin',(-20.0070268707307,-22.6769948083029,-164.399999999996)); #498591=CARTESIAN_POINT('',(-21.0518192557084,-22.755678544596,-160.899999999996)); #498592=CARTESIAN_POINT('Ctrl Pts',(-20.3660702394547,-22.7040345093465, -160.399999999996)); #498593=CARTESIAN_POINT('Ctrl Pts',(-20.7061571499612,-22.7296465918691, -160.646155131549)); #498594=CARTESIAN_POINT('Ctrl Pts',(-21.0518192557084,-22.755678544596, -160.899999999996)); #498595=CARTESIAN_POINT('',(-21.0518192557084,-22.755678544596,-162.899999999996)); #498596=CARTESIAN_POINT('Ctrl Pts',(-21.0518192557084,-22.755678544596, -162.899999999996)); #498597=CARTESIAN_POINT('Ctrl Pts',(-20.7061571499548,-22.7296465918687, -163.153844868449)); #498598=CARTESIAN_POINT('Ctrl Pts',(-20.3660702394547,-22.7040345093465, -163.399999999996)); #498599=CARTESIAN_POINT('',(-21.0518192557084,-22.755678544596,-164.399999999996)); #498600=CARTESIAN_POINT('',(-21.0518192557084,-22.755678544596,-164.399999999996)); #498601=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #498602=CARTESIAN_POINT('',(-9.47923553376533,28.9895514572992,-163.399999999996)); #498603=CARTESIAN_POINT('',(-15.817392771121,26.0779616903639,-163.399999999996)); #498604=CARTESIAN_POINT('Origin',(0.,0.,-163.399999999996)); #498605=CARTESIAN_POINT('',(-9.47923553376533,28.9895514572992,-160.399999999996)); #498606=CARTESIAN_POINT('',(-9.47923553376533,28.9895514572992,-164.399999999996)); #498607=CARTESIAN_POINT('',(-15.817392771121,26.0779616903639,-160.399999999996)); #498608=CARTESIAN_POINT('Origin',(0.,0.,-160.399999999996)); #498609=CARTESIAN_POINT('',(-15.817392771121,26.0779616903639,-164.399999999996)); #498610=CARTESIAN_POINT('Origin',(-9.63534015011283,28.6650909284021,-164.399999999996)); #498611=CARTESIAN_POINT('',(-9.18108607211843,29.6092495436199,-162.899999999996)); #498612=CARTESIAN_POINT('Ctrl Pts',(-9.18108607211842,29.6092495436199, -162.899999999996)); #498613=CARTESIAN_POINT('Ctrl Pts',(-9.33137279262339,29.2968814025476, -163.153844868449)); #498614=CARTESIAN_POINT('Ctrl Pts',(-9.47923553376533,28.9895514572993, -163.399999999996)); #498615=CARTESIAN_POINT('',(-9.18108607211843,29.6092495436199,-164.399999999996)); #498616=CARTESIAN_POINT('',(-9.03358414302581,29.915829547764,-164.399999999996)); #498617=CARTESIAN_POINT('',(-9.18108607211843,29.6092495436199,-160.899999999996)); #498618=CARTESIAN_POINT('',(-9.18108607211843,29.6092495436199,-164.399999999996)); #498619=CARTESIAN_POINT('Ctrl Pts',(-9.47923553376533,28.9895514572992, -160.399999999996)); #498620=CARTESIAN_POINT('Ctrl Pts',(-9.33137279262034,29.296881402554,-160.646155131549)); #498621=CARTESIAN_POINT('Ctrl Pts',(-9.18108607211842,29.6092495436199, -160.899999999996)); #498622=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #498623=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #498624=CARTESIAN_POINT('',(30.4928736883331,0.659283116245201,-160.399999999996)); #498625=CARTESIAN_POINT('',(29.84530577322,-6.28551694795271,-160.399999999996)); #498626=CARTESIAN_POINT('Origin',(0.,0.,-160.399999999996)); #498627=CARTESIAN_POINT('',(30.4928736883331,0.659283116245201,-163.399999999996)); #498628=CARTESIAN_POINT('',(30.4928736883331,0.659283116245204,-164.399999999996)); #498629=CARTESIAN_POINT('',(29.84530577322,-6.28551694795271,-163.399999999996)); #498630=CARTESIAN_POINT('Origin',(0.,0.,-163.399999999996)); #498631=CARTESIAN_POINT('',(29.84530577322,-6.28551694795271,-164.399999999996)); #498632=CARTESIAN_POINT('Origin',(29.6423670208435,-5.98809612009917,-164.399999999996)); #498633=CARTESIAN_POINT('',(30.2329053278268,-6.85357099902389,-160.899999999996)); #498634=CARTESIAN_POINT('Ctrl Pts',(29.84530577322,-6.28551694795271,-160.399999999996)); #498635=CARTESIAN_POINT('Ctrl Pts',(30.0375299425817,-6.56723481068468, -160.646155131549)); #498636=CARTESIAN_POINT('Ctrl Pts',(30.2329053278268,-6.8535709990239,-160.899999999996)); #498637=CARTESIAN_POINT('',(30.2329053278268,-6.85357099902389,-162.899999999996)); #498638=CARTESIAN_POINT('Ctrl Pts',(30.2329053278268,-6.8535709990239,-162.899999999996)); #498639=CARTESIAN_POINT('Ctrl Pts',(30.0375299425777,-6.56723481067878, -163.153844868449)); #498640=CARTESIAN_POINT('Ctrl Pts',(29.84530577322,-6.28551694795271,-163.399999999996)); #498641=CARTESIAN_POINT('',(30.2329053278268,-6.85357099902389,-164.399999999996)); #498642=CARTESIAN_POINT('',(30.2329053278268,-6.85357099902389,-164.399999999996)); #498643=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #498644=CARTESIAN_POINT('Origin',(0.,0.,-160.899999999996)); #498645=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #498646=CARTESIAN_POINT('',(-16.490949704606,26.2497348146634,-160.899999999996)); #498647=CARTESIAN_POINT('',(-16.490949704606,26.2497348146634,-167.899999999996)); #498648=CARTESIAN_POINT('',(-16.490949704606,26.2497348146634,-164.399999999996)); #498649=CARTESIAN_POINT('',(-30.306919084472,6.51848568359785,-160.899999999996)); #498650=CARTESIAN_POINT('Origin',(0.,0.,-160.899999999996)); #498651=CARTESIAN_POINT('',(-30.306919084472,6.51848568359785,-167.899999999996)); #498652=CARTESIAN_POINT('',(-30.306919084472,6.51848568359785,-164.399999999996)); #498653=CARTESIAN_POINT('Origin',(0.,0.,-167.899999999996)); #498654=CARTESIAN_POINT('Origin',(0.,0.,-160.149999999996)); #498655=CARTESIAN_POINT('Ctrl Pts',(-16.4909497046061,26.2497348146635, -160.899999999996)); #498656=CARTESIAN_POINT('Ctrl Pts',(-16.1514332015486,26.1631499877808, -160.646071667079)); #498657=CARTESIAN_POINT('Ctrl Pts',(-15.8173927711211,26.0779616903639, -160.399999999996)); #498658=CARTESIAN_POINT('Origin',(0.,0.,-160.899999999996)); #498659=CARTESIAN_POINT('',(14.75,25.5477494116409,-159.399999999996)); #498660=CARTESIAN_POINT('Ctrl Pts',(14.75,25.547749411641,-159.399999999996)); #498661=CARTESIAN_POINT('Ctrl Pts',(14.5596973328309,26.2250830216527,-159.891436779519)); #498662=CARTESIAN_POINT('Ctrl Pts',(14.3704595393004,26.8986264866468,-160.39301463189)); #498663=CARTESIAN_POINT('Ctrl Pts',(14.1831969702296,27.5651396460034,-160.899999999996)); #498664=CARTESIAN_POINT('',(-29.1122049173534,4.76754914500378,-159.399999999996)); #498665=CARTESIAN_POINT('Origin',(0.,0.,-159.399999999996)); #498666=CARTESIAN_POINT('Ctrl Pts',(-29.1122049173533,4.76754914500371, -159.399999999996)); #498667=CARTESIAN_POINT('Ctrl Pts',(-29.6947514947088,5.62131158944228, -160.112866771043)); #498668=CARTESIAN_POINT('Ctrl Pts',(-30.3069190844721,6.51848568359807, -160.899999999996)); #498669=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #498670=CARTESIAN_POINT('Origin',(0.,0.,-160.149999999996)); #498671=CARTESIAN_POINT('',(30.9784120444064,1.15671396938858,-160.899999999996)); #498672=CARTESIAN_POINT('Ctrl Pts',(30.9784120444064,1.15671396938863,-160.899999999996)); #498673=CARTESIAN_POINT('Ctrl Pts',(30.733669133215,0.905976466178132,-160.646071667079)); #498674=CARTESIAN_POINT('Ctrl Pts',(30.4928736883331,0.659283116245235, -160.399999999996)); #498675=CARTESIAN_POINT('Origin',(0.,0.,-160.899999999996)); #498676=CARTESIAN_POINT('',(16.7805127071898,-26.065578706099,-160.899999999996)); #498677=CARTESIAN_POINT('Origin',(0.,0.,-160.899999999996)); #498678=CARTESIAN_POINT('',(14.75,-25.5477494116409,-159.399999999996)); #498679=CARTESIAN_POINT('Ctrl Pts',(14.75,-25.5477494116409,-159.399999999996)); #498680=CARTESIAN_POINT('Ctrl Pts',(15.4317394466919,-25.7216092724705, -159.891436779519)); #498681=CARTESIAN_POINT('Ctrl Pts',(16.1096640946952,-25.8944962684141, -160.393014631891)); #498682=CARTESIAN_POINT('Ctrl Pts',(16.7805127071898,-26.065578706099,-160.899999999996)); #498683=CARTESIAN_POINT('',(18.6849211320407,22.8281344461044,-159.399999999996)); #498684=CARTESIAN_POINT('Origin',(0.,0.,-159.399999999996)); #498685=CARTESIAN_POINT('',(20.7986337384369,22.9873189957932,-160.899999999996)); #498686=CARTESIAN_POINT('Ctrl Pts',(18.6849211320408,22.8281344461044,-159.399999999996)); #498687=CARTESIAN_POINT('Ctrl Pts',(19.7155743863993,22.9057533587626,-160.112866771043)); #498688=CARTESIAN_POINT('Ctrl Pts',(20.7986337384372,22.9873189957932,-160.899999999996)); #498689=CARTESIAN_POINT('Origin',(0.,0.,-160.899999999996)); #498690=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #498691=CARTESIAN_POINT('Origin',(5.55111512312578E-16,0.,-164.399999999996)); #498692=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #498693=CARTESIAN_POINT('Origin',(0.,0.,-162.899999999996)); #498694=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #498695=CARTESIAN_POINT('Origin',(0.,0.,-162.899999999996)); #498696=CARTESIAN_POINT('Origin',(5.55111512312578E-16,0.,-164.399999999996)); #498697=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #498698=CARTESIAN_POINT('Origin',(0.,0.,-162.899999999996)); #498699=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #498700=CARTESIAN_POINT('',(16.7805127071898,-26.065578706099,-162.899999999996)); #498701=CARTESIAN_POINT('Origin',(0.,0.,-162.899999999996)); #498702=CARTESIAN_POINT('',(16.7805127071898,-26.065578706099,-164.399999999996)); #498703=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #498704=CARTESIAN_POINT('',(30.9784120444064,1.15671396938858,-167.899999999996)); #498705=CARTESIAN_POINT('',(30.9784120444064,1.15671396938858,-164.399999999996)); #498706=CARTESIAN_POINT('',(20.7986337384369,22.9873189957932,-167.899999999996)); #498707=CARTESIAN_POINT('',(20.7986337384369,22.9873189957932,-164.399999999996)); #498708=CARTESIAN_POINT('Origin',(0.,0.,-167.899999999996)); #498709=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #498710=CARTESIAN_POINT('',(-30.9637096774194,-1.49956093990441,-162.899999999996)); #498711=CARTESIAN_POINT('Origin',(0.,0.,-162.899999999996)); #498712=CARTESIAN_POINT('',(-30.9637096774194,-1.49956093990441,-160.899999999996)); #498713=CARTESIAN_POINT('',(-30.9637096774194,-1.49956093990441,-164.399999999996)); #498714=CARTESIAN_POINT('Origin',(0.,0.,-160.899999999996)); #498715=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #498716=CARTESIAN_POINT('',(-14.4874623398003,-27.406448784052,-160.899999999996)); #498717=CARTESIAN_POINT('',(-14.4874623398003,-27.406448784052,-167.899999999996)); #498718=CARTESIAN_POINT('',(-14.4874623398003,-27.406448784052,-164.399999999996)); #498719=CARTESIAN_POINT('',(9.50828534603507,-29.505804679391,-160.899999999996)); #498720=CARTESIAN_POINT('Origin',(0.,0.,-160.899999999996)); #498721=CARTESIAN_POINT('',(9.50828534603507,-29.505804679391,-167.899999999996)); #498722=CARTESIAN_POINT('',(9.50828534603507,-29.5058046793911,-164.399999999996)); #498723=CARTESIAN_POINT('Origin',(0.,0.,-167.899999999996)); #498724=CARTESIAN_POINT('Origin',(0.,0.,-169.399999999996)); #498725=CARTESIAN_POINT('',(-29.1122049173534,4.76754914500378,-166.399999999996)); #498726=CARTESIAN_POINT('Origin',(0.,0.,-166.399999999996)); #498727=CARTESIAN_POINT('',(-29.1122049173534,4.76754914500378,-169.399999999996)); #498728=CARTESIAN_POINT('',(14.75,25.5477494116409,-164.399999999996)); #498729=CARTESIAN_POINT('',(14.75,25.547749411641,-169.399999999996)); #498730=CARTESIAN_POINT('',(-14.4369912491537,25.7259262937578,-164.399999999996)); #498731=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #498732=CARTESIAN_POINT('',(-14.4369912491537,25.7259262937578,-166.399999999996)); #498733=CARTESIAN_POINT('',(-14.4369912491537,25.7259262937578,-169.399999999996)); #498734=CARTESIAN_POINT('',(18.6849211320407,22.8281344461044,-166.399999999996)); #498735=CARTESIAN_POINT('Origin',(0.,0.,-166.399999999996)); #498736=CARTESIAN_POINT('',(18.6849211320407,22.8281344461044,-169.399999999996)); #498737=CARTESIAN_POINT('',(14.75,-25.5477494116409,-164.399999999996)); #498738=CARTESIAN_POINT('',(14.75,-25.5477494116409,-169.399999999996)); #498739=CARTESIAN_POINT('',(29.4978013308572,-0.360161970898217,-164.399999999996)); #498740=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #498741=CARTESIAN_POINT('',(29.4978013308572,-0.360161970898217,-166.399999999996)); #498742=CARTESIAN_POINT('',(29.4978013308572,-0.360161970898212,-169.399999999996)); #498743=CARTESIAN_POINT('',(10.4272837853126,-27.5956835911082,-166.399999999996)); #498744=CARTESIAN_POINT('Origin',(0.,0.,-166.399999999996)); #498745=CARTESIAN_POINT('',(10.4272837853126,-27.5956835911082,-159.399999999996)); #498746=CARTESIAN_POINT('',(10.4272837853126,-27.5956835911082,-169.399999999996)); #498747=CARTESIAN_POINT('',(-29.5,-4.44089209850063E-15,-159.399999999996)); #498748=CARTESIAN_POINT('Origin',(0.,0.,-159.399999999996)); #498749=CARTESIAN_POINT('',(-29.5,-3.61270805748469E-15,-169.399999999996)); #498750=CARTESIAN_POINT('',(-29.5,-3.96768689426739E-15,-169.399999999996)); #498751=CARTESIAN_POINT('Origin',(30.4107571818668,0.575155295326945,-164.399999999996)); #498752=CARTESIAN_POINT('',(29.8493526071099,-4.21507179335646E-15,-166.749352607106)); #498753=CARTESIAN_POINT('Ctrl Pts',(30.9784120444063,1.15671396938856,-167.899999999996)); #498754=CARTESIAN_POINT('Ctrl Pts',(30.4032071974102,0.567420385896021, -167.303207197407)); #498755=CARTESIAN_POINT('Ctrl Pts',(29.8493526071099,-4.77465217402114E-15, -166.749352607106)); #498756=CARTESIAN_POINT('Ctrl Pts',(29.8493526071099,-4.77465217402114E-15, -166.749352607106)); #498757=CARTESIAN_POINT('Ctrl Pts',(29.6725422874722,-0.181141009853937, -166.572542287469)); #498758=CARTESIAN_POINT('Ctrl Pts',(29.4978013308436,-0.360161970912083, -166.399999999983)); #498759=CARTESIAN_POINT('Ctrl Pts',(29.4978013308533,-0.360161970902086, -164.4)); #498760=CARTESIAN_POINT('Ctrl Pts',(29.9870452399444,0.14106519694216,-163.916911977354)); #498761=CARTESIAN_POINT('Ctrl Pts',(30.4928736883267,0.659283116238698, -163.400000000003)); #498762=CARTESIAN_POINT('Origin',(19.9882524356055,22.9262888527278,-164.399999999996)); #498763=CARTESIAN_POINT('Ctrl Pts',(18.6849211320214,22.8281344461029,-166.399999999983)); #498764=CARTESIAN_POINT('Ctrl Pts',(19.7155743863891,22.9057533587618,-167.112866771036)); #498765=CARTESIAN_POINT('Ctrl Pts',(20.7986337384371,22.9873189957932,-167.899999999997)); #498766=CARTESIAN_POINT('Origin',(-15.7034776878077,26.0489106201532,-164.399999999996)); #498767=CARTESIAN_POINT('Ctrl Pts',(-16.490949704606,26.2497348146634,-167.899999999996)); #498768=CARTESIAN_POINT('Ctrl Pts',(-15.4385081819677,25.9813370601473, -167.112866771036)); #498769=CARTESIAN_POINT('Ctrl Pts',(-14.4369912491349,25.725926293753,-166.399999999983)); #498770=CARTESIAN_POINT('Ctrl Pts',(-14.4369912491484,25.7259262937564, -164.4)); #498771=CARTESIAN_POINT('Ctrl Pts',(-15.1156886641139,25.899010363754,-163.916911977354)); #498772=CARTESIAN_POINT('Ctrl Pts',(-15.8173927711122,26.0779616903616, -163.400000000003)); #498773=CARTESIAN_POINT('Origin',(-29.8488747787651,5.84718996012668,-164.399999999996)); #498774=CARTESIAN_POINT('Ctrl Pts',(-29.1122049173426,4.76754914498799, -166.399999999983)); #498775=CARTESIAN_POINT('Ctrl Pts',(-29.6947514947031,5.62131158943403, -167.112866771036)); #498776=CARTESIAN_POINT('Ctrl Pts',(-30.3069190844721,6.51848568359808, -167.899999999997)); #498777=CARTESIAN_POINT('Origin',(-14.7072794940591,-26.6240659154802,-164.399999999996)); #498778=CARTESIAN_POINT('Ctrl Pts',(-14.4874623398003,-27.406448784052, -167.899999999996)); #498779=CARTESIAN_POINT('Ctrl Pts',(-14.7812438273898,-26.3608088121915, -167.112866771036)); #498780=CARTESIAN_POINT('Ctrl Pts',(-15.0608100817087,-25.365764322841, -166.399999999983)); #498781=CARTESIAN_POINT('Ctrl Pts',(-15.0608100817049,-25.3657643228544, -164.4)); #498782=CARTESIAN_POINT('Ctrl Pts',(-14.8713565758304,-26.0400755606961, -163.916911977354)); #498783=CARTESIAN_POINT('Ctrl Pts',(-14.6754809172144,-26.7372448066003, -163.400000000003)); #498784=CARTESIAN_POINT('Ctrl Pts',(-14.4874623398003,-27.4064487840521, -160.899999999996)); #498785=CARTESIAN_POINT('Ctrl Pts',(-14.5822359316664,-27.0691264539589, -160.646071667079)); #498786=CARTESIAN_POINT('Ctrl Pts',(-14.675480917212,-26.7372448066092, -160.399999999996)); #498787=CARTESIAN_POINT('Origin',(9.86062234315953,-28.7734788128545,-164.399999999996)); #498788=CARTESIAN_POINT('Ctrl Pts',(10.4272837853127,-27.5956835911081, -159.399999999996)); #498789=CARTESIAN_POINT('Ctrl Pts',(9.97917710830949,-28.5270649482049, -160.112866771043)); #498790=CARTESIAN_POINT('Ctrl Pts',(9.50828534603495,-29.5058046793913, -160.899999999996)); #498791=CARTESIAN_POINT('Ctrl Pts',(10.4272837853207,-27.5956835910914, -166.399999999984)); #498792=CARTESIAN_POINT('Ctrl Pts',(9.9791771083139,-28.5270649481957,-167.112866771036)); #498793=CARTESIAN_POINT('Ctrl Pts',(9.50828534603537,-29.5058046793904, -167.899999999996)); #498794=CARTESIAN_POINT('Origin',(0.,0.,-160.149999999996)); #498795=CARTESIAN_POINT('Ctrl Pts',(-29.5,-4.71844785465692E-15,-159.399999999996)); #498796=CARTESIAN_POINT('Ctrl Pts',(-29.991436779523,-0.503473749182572, -159.891436779519)); #498797=CARTESIAN_POINT('Ctrl Pts',(-30.4801236339962,-1.00413021823365, -160.393014631891)); #498798=CARTESIAN_POINT('Ctrl Pts',(-30.9637096774194,-1.4995609399044, -160.899999999996)); #498799=CARTESIAN_POINT('Origin',(0.,0.,-163.649999999996)); #498800=CARTESIAN_POINT('Ctrl Pts',(14.75,-25.5477494116409,-164.399999999996)); #498801=CARTESIAN_POINT('Ctrl Pts',(15.4317394466919,-25.7216092724705, -163.908563220474)); #498802=CARTESIAN_POINT('Ctrl Pts',(16.1096640946954,-25.8944962684141, -163.406985368102)); #498803=CARTESIAN_POINT('Ctrl Pts',(16.7805127071898,-26.065578706099,-162.899999999996)); #498804=CARTESIAN_POINT('Origin',(15.7652563535949,-25.80666405887,-164.399999999996)); #498805=CARTESIAN_POINT('Origin',(0.,0.,-168.649999999996)); #498806=CARTESIAN_POINT('',(31.75,-3.88825358729285E-15,-168.649999999996)); #498807=CARTESIAN_POINT('Origin',(0.,0.,-163.649999999996)); #498808=CARTESIAN_POINT('Ctrl Pts',(14.75,25.547749411641,-164.399999999996)); #498809=CARTESIAN_POINT('Ctrl Pts',(14.5596973328309,26.2250830216529,-163.908563220474)); #498810=CARTESIAN_POINT('Ctrl Pts',(14.3704595393003,26.8986264866473,-163.406985368102)); #498811=CARTESIAN_POINT('Ctrl Pts',(14.1831969702296,27.5651396460035,-162.899999999996)); #498812=CARTESIAN_POINT('Origin',(14.4665984851148,26.5564445288222,-164.399999999996)); #498813=CARTESIAN_POINT('Origin',(0.,0.,-163.649999999996)); #498814=CARTESIAN_POINT('Ctrl Pts',(-29.5,-7.7715611723761E-15,-164.399999999996)); #498815=CARTESIAN_POINT('Ctrl Pts',(-29.991436779523,-0.503473749182533, -163.908563220473)); #498816=CARTESIAN_POINT('Ctrl Pts',(-30.4801236339961,-1.00413021823359, -163.406985368101)); #498817=CARTESIAN_POINT('Ctrl Pts',(-30.9637096774194,-1.49956093990442, -162.899999999996)); #498818=CARTESIAN_POINT('Origin',(-30.2318548387097,-0.749780469952208, -164.399999999996)); #498819=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838335,-78.9999999999963)); #498820=CARTESIAN_POINT('',(11.2500000000001,-21.4451905432845,-78.9999999999963)); #498821=CARTESIAN_POINT('',(11.2500000000001,-21.4451905432845,-78.9999999999963)); #498822=CARTESIAN_POINT('',(24.1970797994822,0.979809479067229,-78.9999999999963)); #498823=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838335,-78.9999999999963)); #498824=CARTESIAN_POINT('',(24.1970797994822,0.979809479067229,-78.9999999999963)); #498825=CARTESIAN_POINT('Origin',(-11.2500000000001,-21.4451905432845,-78.9999999999963)); #498826=CARTESIAN_POINT('',(-11.2500000000001,-21.4451905432845,-78.9999999999963)); #498827=CARTESIAN_POINT('',(-11.2500000000001,-21.4451905432845,-78.9999999999963)); #498828=CARTESIAN_POINT('',(-5.62500000000005,-21.4451905432845,-78.9999999999963)); #498829=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,-78.9999999999963)); #498830=CARTESIAN_POINT('',(-24.1970797994822,0.979809479067273,-78.9999999999963)); #498831=CARTESIAN_POINT('',(-24.1970797994822,0.979809479067273,-78.9999999999963)); #498832=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,-78.9999999999963)); #498833=CARTESIAN_POINT('Origin',(-12.9470797994821,20.4653810642173,-78.9999999999963)); #498834=CARTESIAN_POINT('',(-12.9470797994821,20.4653810642173,-78.9999999999963)); #498835=CARTESIAN_POINT('',(-12.9470797994821,20.4653810642173,-78.9999999999963)); #498836=CARTESIAN_POINT('',(-15.7595797994822,15.5939881679298,-78.9999999999963)); #498837=CARTESIAN_POINT('Origin',(6.66133814775094E-15,12.9903810567667, -78.9999999999963)); #498838=CARTESIAN_POINT('',(12.9470797994822,20.4653810642172,-78.9999999999963)); #498839=CARTESIAN_POINT('',(12.9470797994822,20.4653810642172,-78.9999999999963)); #498840=CARTESIAN_POINT('Origin',(6.66133814775094E-15,12.9903810567667, -78.9999999999963)); #498841=CARTESIAN_POINT('Origin',(13.0720797994822,20.2488747132711,-78.9999999999963)); #498842=CARTESIAN_POINT('',(13.0306584436813,20.3206186060365,-78.9999999999963)); #498843=CARTESIAN_POINT('',(13.0306584436813,20.3206186060366,-78.9999999999963)); #498844=CARTESIAN_POINT('',(15.8220797994822,15.4857349924566,-78.9999999999963)); #498845=CARTESIAN_POINT('Origin',(14.3166639200648,19.9760344669082,-78.9999999999963)); #498846=CARTESIAN_POINT('',(10.1348536764706,21.0965471779734,-78.9999999999963)); #498847=CARTESIAN_POINT('Origin',(24.0920598843688,1.04455202243226,-78.9999999999963)); #498848=CARTESIAN_POINT('',(24.1135011552831,1.12457193724793,-78.9999999999963)); #498849=CARTESIAN_POINT('',(24.1135011552831,1.12457193724793,-78.9999999999963)); #498850=CARTESIAN_POINT('',(23.1545599310573,-2.45424553969197,-78.9999999999963)); #498851=CARTESIAN_POINT('Origin',(24.1970797994822,0.979809479067231,-78.9999999999963)); #498852=CARTESIAN_POINT('',(21.3845797994824,5.85120237535461,-78.9999999999963)); #498853=CARTESIAN_POINT('Origin',(0.,0.,-78.9999999999963)); #498854=CARTESIAN_POINT('',(4.44089209850063E-15,0.,0.00424550476367358)); #498855=CARTESIAN_POINT('',(0.,0.,-151.499999999996)); #498856=CARTESIAN_POINT('',(0.,0.,0.)); #498857=CARTESIAN_POINT('',(0.,0.,0.)); #498858=CARTESIAN_POINT('',(0.,0.,0.)); #498859=CARTESIAN_POINT('Origin',(31.11,6.18,0.696999999999986)); #498860=CARTESIAN_POINT('',(30.785,6.18,1.5)); #498861=CARTESIAN_POINT('Origin',(31.11,6.18,1.5)); #498862=CARTESIAN_POINT('',(30.785,6.18,0.)); #498863=CARTESIAN_POINT('',(30.785,6.18,0.696999999999986)); #498864=CARTESIAN_POINT('Origin',(31.11,6.18,0.)); #498865=CARTESIAN_POINT('Origin',(36.89,6.18,0.696999999999986)); #498866=CARTESIAN_POINT('',(36.565,6.18,1.5)); #498867=CARTESIAN_POINT('Origin',(36.89,6.18,1.5)); #498868=CARTESIAN_POINT('',(36.565,6.18,0.)); #498869=CARTESIAN_POINT('',(36.565,6.18,0.696999999999986)); #498870=CARTESIAN_POINT('Origin',(36.89,6.18,0.)); #498871=CARTESIAN_POINT('Origin',(30.19,45.,-200.)); #498872=CARTESIAN_POINT('',(29.59,45.,0.)); #498873=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #498874=CARTESIAN_POINT('',(29.59,45.,1.49999999999999)); #498875=CARTESIAN_POINT('',(29.59,45.,-200.)); #498876=CARTESIAN_POINT('Origin',(30.19,45.,1.49999999999999)); #498877=CARTESIAN_POINT('Origin',(45.125,47.75,-200.)); #498878=CARTESIAN_POINT('',(44.95,47.75,0.)); #498879=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #498880=CARTESIAN_POINT('',(44.95,47.75,1.49999999999999)); #498881=CARTESIAN_POINT('',(44.95,47.75,-200.)); #498882=CARTESIAN_POINT('Origin',(45.125,47.75,1.49999999999999)); #498883=CARTESIAN_POINT('Origin',(41.,25.25,-200.)); #498884=CARTESIAN_POINT('',(40.825,25.25,0.)); #498885=CARTESIAN_POINT('Origin',(41.,25.25,0.)); #498886=CARTESIAN_POINT('',(40.825,25.25,1.49999999999999)); #498887=CARTESIAN_POINT('',(40.825,25.25,-200.)); #498888=CARTESIAN_POINT('Origin',(41.,25.25,1.49999999999999)); #498889=CARTESIAN_POINT('Origin',(46.,47.,-200.)); #498890=CARTESIAN_POINT('',(45.825,47.,0.)); #498891=CARTESIAN_POINT('Origin',(46.,47.,0.)); #498892=CARTESIAN_POINT('',(45.825,47.,1.49999999999999)); #498893=CARTESIAN_POINT('',(45.825,47.,-200.)); #498894=CARTESIAN_POINT('Origin',(46.,47.,1.49999999999999)); #498895=CARTESIAN_POINT('Origin',(32.5,18.5,-200.)); #498896=CARTESIAN_POINT('',(32.325,18.5,0.)); #498897=CARTESIAN_POINT('Origin',(32.5,18.5,0.)); #498898=CARTESIAN_POINT('',(32.325,18.5,1.49999999999999)); #498899=CARTESIAN_POINT('',(32.325,18.5,-200.)); #498900=CARTESIAN_POINT('Origin',(32.5,18.5,1.49999999999999)); #498901=CARTESIAN_POINT('Origin',(46.,47.75,-200.)); #498902=CARTESIAN_POINT('',(45.825,47.75,0.)); #498903=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #498904=CARTESIAN_POINT('',(45.825,47.75,1.49999999999999)); #498905=CARTESIAN_POINT('',(45.825,47.75,-200.)); #498906=CARTESIAN_POINT('Origin',(46.,47.75,1.49999999999999)); #498907=CARTESIAN_POINT('Origin',(33.25,48.5,-200.)); #498908=CARTESIAN_POINT('',(33.075,48.5,0.)); #498909=CARTESIAN_POINT('Origin',(33.25,48.5,0.)); #498910=CARTESIAN_POINT('',(33.075,48.5,1.49999999999999)); #498911=CARTESIAN_POINT('',(33.075,48.5,-200.)); #498912=CARTESIAN_POINT('Origin',(33.25,48.5,1.49999999999999)); #498913=CARTESIAN_POINT('Origin',(47.75,47.75,-200.)); #498914=CARTESIAN_POINT('',(47.575,47.75,0.)); #498915=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #498916=CARTESIAN_POINT('',(47.575,47.75,1.49999999999999)); #498917=CARTESIAN_POINT('',(47.575,47.75,-200.)); #498918=CARTESIAN_POINT('Origin',(47.75,47.75,1.49999999999999)); #498919=CARTESIAN_POINT('Origin',(33.25,5.5,-200.)); #498920=CARTESIAN_POINT('',(33.075,5.5,0.)); #498921=CARTESIAN_POINT('Origin',(33.25,5.5,0.)); #498922=CARTESIAN_POINT('',(33.075,5.5,1.49999999999999)); #498923=CARTESIAN_POINT('',(33.075,5.5,-200.)); #498924=CARTESIAN_POINT('Origin',(33.25,5.5,1.49999999999999)); #498925=CARTESIAN_POINT('Origin',(47.75,46.25,-200.)); #498926=CARTESIAN_POINT('',(47.575,46.25,0.)); #498927=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #498928=CARTESIAN_POINT('',(47.575,46.25,1.49999999999999)); #498929=CARTESIAN_POINT('',(47.575,46.25,-200.)); #498930=CARTESIAN_POINT('Origin',(47.75,46.25,1.49999999999999)); #498931=CARTESIAN_POINT('Origin',(33.25,50.,-200.)); #498932=CARTESIAN_POINT('',(33.075,50.,0.)); #498933=CARTESIAN_POINT('Origin',(33.25,50.,0.)); #498934=CARTESIAN_POINT('',(33.075,50.,1.49999999999999)); #498935=CARTESIAN_POINT('',(33.075,50.,-200.)); #498936=CARTESIAN_POINT('Origin',(33.25,50.,1.49999999999999)); #498937=CARTESIAN_POINT('Origin',(46.,46.25,-200.)); #498938=CARTESIAN_POINT('',(45.825,46.25,0.)); #498939=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #498940=CARTESIAN_POINT('',(45.825,46.25,1.49999999999999)); #498941=CARTESIAN_POINT('',(45.825,46.25,-200.)); #498942=CARTESIAN_POINT('Origin',(46.,46.25,1.49999999999999)); #498943=CARTESIAN_POINT('Origin',(33.25,18.5,-200.)); #498944=CARTESIAN_POINT('',(33.075,18.5,0.)); #498945=CARTESIAN_POINT('Origin',(33.25,18.5,0.)); #498946=CARTESIAN_POINT('',(33.075,18.5,1.49999999999999)); #498947=CARTESIAN_POINT('',(33.075,18.5,-200.)); #498948=CARTESIAN_POINT('Origin',(33.25,18.5,1.49999999999999)); #498949=CARTESIAN_POINT('Origin',(47.75,47.,-200.)); #498950=CARTESIAN_POINT('',(47.575,47.,0.)); #498951=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #498952=CARTESIAN_POINT('',(47.575,47.,1.49999999999999)); #498953=CARTESIAN_POINT('',(47.575,47.,-200.)); #498954=CARTESIAN_POINT('Origin',(47.75,47.,1.49999999999999)); #498955=CARTESIAN_POINT('Origin',(33.25,49.25,-200.)); #498956=CARTESIAN_POINT('',(33.075,49.25,0.)); #498957=CARTESIAN_POINT('Origin',(33.25,49.25,0.)); #498958=CARTESIAN_POINT('',(33.075,49.25,1.49999999999999)); #498959=CARTESIAN_POINT('',(33.075,49.25,-200.)); #498960=CARTESIAN_POINT('Origin',(33.25,49.25,1.49999999999999)); #498961=CARTESIAN_POINT('Origin',(44.25,47.,-200.)); #498962=CARTESIAN_POINT('',(44.075,47.,0.)); #498963=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #498964=CARTESIAN_POINT('',(44.075,47.,1.49999999999999)); #498965=CARTESIAN_POINT('',(44.075,47.,-200.)); #498966=CARTESIAN_POINT('Origin',(44.25,47.,1.49999999999999)); #498967=CARTESIAN_POINT('Origin',(12.25,34.,-200.)); #498968=CARTESIAN_POINT('',(11.75,34.,0.)); #498969=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #498970=CARTESIAN_POINT('',(11.75,34.,1.49999999999999)); #498971=CARTESIAN_POINT('',(11.75,34.,-200.)); #498972=CARTESIAN_POINT('Origin',(12.25,34.,1.49999999999999)); #498973=CARTESIAN_POINT('Origin',(53.5,20.25,-200.)); #498974=CARTESIAN_POINT('',(53.325,20.25,0.)); #498975=CARTESIAN_POINT('Origin',(53.5,20.25,0.)); #498976=CARTESIAN_POINT('',(53.325,20.25,1.49999999999999)); #498977=CARTESIAN_POINT('',(53.325,20.25,-200.)); #498978=CARTESIAN_POINT('Origin',(53.5,20.25,1.49999999999999)); #498979=CARTESIAN_POINT('Origin',(34.75,48.5,-200.)); #498980=CARTESIAN_POINT('',(34.575,48.5,0.)); #498981=CARTESIAN_POINT('Origin',(34.75,48.5,0.)); #498982=CARTESIAN_POINT('',(34.575,48.5,1.49999999999999)); #498983=CARTESIAN_POINT('',(34.575,48.5,-200.)); #498984=CARTESIAN_POINT('Origin',(34.75,48.5,1.49999999999999)); #498985=CARTESIAN_POINT('Origin',(52.75,20.25,-200.)); #498986=CARTESIAN_POINT('',(52.575,20.25,0.)); #498987=CARTESIAN_POINT('Origin',(52.75,20.25,0.)); #498988=CARTESIAN_POINT('',(52.575,20.25,1.49999999999999)); #498989=CARTESIAN_POINT('',(52.575,20.25,-200.)); #498990=CARTESIAN_POINT('Origin',(52.75,20.25,1.49999999999999)); #498991=CARTESIAN_POINT('Origin',(34.75,18.5,-200.)); #498992=CARTESIAN_POINT('',(34.575,18.5,0.)); #498993=CARTESIAN_POINT('Origin',(34.75,18.5,0.)); #498994=CARTESIAN_POINT('',(34.575,18.5,1.49999999999999)); #498995=CARTESIAN_POINT('',(34.575,18.5,-200.)); #498996=CARTESIAN_POINT('Origin',(34.75,18.5,1.49999999999999)); #498997=CARTESIAN_POINT('Origin',(52.,21.75,-200.)); #498998=CARTESIAN_POINT('',(51.825,21.75,0.)); #498999=CARTESIAN_POINT('Origin',(52.,21.75,0.)); #499000=CARTESIAN_POINT('',(51.825,21.75,1.49999999999999)); #499001=CARTESIAN_POINT('',(51.825,21.75,-200.)); #499002=CARTESIAN_POINT('Origin',(52.,21.75,1.49999999999999)); #499003=CARTESIAN_POINT('Origin',(34.,48.5,-200.)); #499004=CARTESIAN_POINT('',(33.825,48.5,0.)); #499005=CARTESIAN_POINT('Origin',(34.,48.5,0.)); #499006=CARTESIAN_POINT('',(33.825,48.5,1.49999999999999)); #499007=CARTESIAN_POINT('',(33.825,48.5,-200.)); #499008=CARTESIAN_POINT('Origin',(34.,48.5,1.49999999999999)); #499009=CARTESIAN_POINT('Origin',(52.,20.25,-200.)); #499010=CARTESIAN_POINT('',(51.825,20.25,0.)); #499011=CARTESIAN_POINT('Origin',(52.,20.25,0.)); #499012=CARTESIAN_POINT('',(51.825,20.25,1.49999999999999)); #499013=CARTESIAN_POINT('',(51.825,20.25,-200.)); #499014=CARTESIAN_POINT('Origin',(52.,20.25,1.49999999999999)); #499015=CARTESIAN_POINT('Origin',(34.,5.5,-200.)); #499016=CARTESIAN_POINT('',(33.825,5.5,0.)); #499017=CARTESIAN_POINT('Origin',(34.,5.5,0.)); #499018=CARTESIAN_POINT('',(33.825,5.5,1.49999999999999)); #499019=CARTESIAN_POINT('',(33.825,5.5,-200.)); #499020=CARTESIAN_POINT('Origin',(34.,5.5,1.49999999999999)); #499021=CARTESIAN_POINT('Origin',(42.,31.,-200.)); #499022=CARTESIAN_POINT('',(41.825,31.,0.)); #499023=CARTESIAN_POINT('Origin',(42.,31.,0.)); #499024=CARTESIAN_POINT('',(41.825,31.,1.49999999999999)); #499025=CARTESIAN_POINT('',(41.825,31.,-200.)); #499026=CARTESIAN_POINT('Origin',(42.,31.,1.49999999999999)); #499027=CARTESIAN_POINT('Origin',(34.75,50.,-200.)); #499028=CARTESIAN_POINT('',(34.575,50.,0.)); #499029=CARTESIAN_POINT('Origin',(34.75,50.,0.)); #499030=CARTESIAN_POINT('',(34.575,50.,1.49999999999999)); #499031=CARTESIAN_POINT('',(34.575,50.,-200.)); #499032=CARTESIAN_POINT('Origin',(34.75,50.,1.49999999999999)); #499033=CARTESIAN_POINT('Origin',(42.,36.,-200.)); #499034=CARTESIAN_POINT('',(41.825,36.,0.)); #499035=CARTESIAN_POINT('Origin',(42.,36.,0.)); #499036=CARTESIAN_POINT('',(41.825,36.,1.49999999999999)); #499037=CARTESIAN_POINT('',(41.825,36.,-200.)); #499038=CARTESIAN_POINT('Origin',(42.,36.,1.49999999999999)); #499039=CARTESIAN_POINT('Origin',(34.,18.5,-200.)); #499040=CARTESIAN_POINT('',(33.825,18.5,0.)); #499041=CARTESIAN_POINT('Origin',(34.,18.5,0.)); #499042=CARTESIAN_POINT('',(33.825,18.5,1.49999999999999)); #499043=CARTESIAN_POINT('',(33.825,18.5,-200.)); #499044=CARTESIAN_POINT('Origin',(34.,18.5,1.49999999999999)); #499045=CARTESIAN_POINT('Origin',(44.25,47.75,-200.)); #499046=CARTESIAN_POINT('',(44.075,47.75,0.)); #499047=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #499048=CARTESIAN_POINT('',(44.075,47.75,1.49999999999999)); #499049=CARTESIAN_POINT('',(44.075,47.75,-200.)); #499050=CARTESIAN_POINT('Origin',(44.25,47.75,1.49999999999999)); #499051=CARTESIAN_POINT('Origin',(34.,50.,-200.)); #499052=CARTESIAN_POINT('',(33.825,50.,0.)); #499053=CARTESIAN_POINT('Origin',(34.,50.,0.)); #499054=CARTESIAN_POINT('',(33.825,50.,1.49999999999999)); #499055=CARTESIAN_POINT('',(33.825,50.,-200.)); #499056=CARTESIAN_POINT('Origin',(34.,50.,1.49999999999999)); #499057=CARTESIAN_POINT('Origin',(44.25,46.25,-200.)); #499058=CARTESIAN_POINT('',(44.075,46.25,0.)); #499059=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #499060=CARTESIAN_POINT('',(44.075,46.25,1.49999999999999)); #499061=CARTESIAN_POINT('',(44.075,46.25,-200.)); #499062=CARTESIAN_POINT('Origin',(44.25,46.25,1.49999999999999)); #499063=CARTESIAN_POINT('Origin',(24.25,34.,-200.)); #499064=CARTESIAN_POINT('',(23.7,34.,0.)); #499065=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #499066=CARTESIAN_POINT('',(23.7,34.,1.49999999999999)); #499067=CARTESIAN_POINT('',(23.7,34.,-200.)); #499068=CARTESIAN_POINT('Origin',(24.25,34.,1.49999999999999)); #499069=CARTESIAN_POINT('Origin',(14.5,21.75,-200.)); #499070=CARTESIAN_POINT('',(14.325,21.75,0.)); #499071=CARTESIAN_POINT('Origin',(14.5,21.75,0.)); #499072=CARTESIAN_POINT('',(14.325,21.75,1.49999999999999)); #499073=CARTESIAN_POINT('',(14.325,21.75,-200.)); #499074=CARTESIAN_POINT('Origin',(14.5,21.75,1.49999999999999)); #499075=CARTESIAN_POINT('Origin',(25.,26.625,-200.)); #499076=CARTESIAN_POINT('',(24.825,26.625,0.)); #499077=CARTESIAN_POINT('Origin',(25.,26.625,0.)); #499078=CARTESIAN_POINT('',(24.825,26.625,1.49999999999999)); #499079=CARTESIAN_POINT('',(24.825,26.625,-200.)); #499080=CARTESIAN_POINT('Origin',(25.,26.625,1.49999999999999)); #499081=CARTESIAN_POINT('Origin',(14.5,21.,-200.)); #499082=CARTESIAN_POINT('',(14.325,21.,0.)); #499083=CARTESIAN_POINT('Origin',(14.5,21.,0.)); #499084=CARTESIAN_POINT('',(14.325,21.,1.49999999999999)); #499085=CARTESIAN_POINT('',(14.325,21.,-200.)); #499086=CARTESIAN_POINT('Origin',(14.5,21.,1.49999999999999)); #499087=CARTESIAN_POINT('Origin',(34.75,16.25,-200.)); #499088=CARTESIAN_POINT('',(34.575,16.25,0.)); #499089=CARTESIAN_POINT('Origin',(34.75,16.25,0.)); #499090=CARTESIAN_POINT('',(34.575,16.25,1.49999999999999)); #499091=CARTESIAN_POINT('',(34.575,16.25,-200.)); #499092=CARTESIAN_POINT('Origin',(34.75,16.25,1.49999999999999)); #499093=CARTESIAN_POINT('Origin',(16.,20.25,-200.)); #499094=CARTESIAN_POINT('',(15.825,20.25,0.)); #499095=CARTESIAN_POINT('Origin',(16.,20.25,0.)); #499096=CARTESIAN_POINT('',(15.825,20.25,1.49999999999999)); #499097=CARTESIAN_POINT('',(15.825,20.25,-200.)); #499098=CARTESIAN_POINT('Origin',(16.,20.25,1.49999999999999)); #499099=CARTESIAN_POINT('Origin',(27.25,24.375,-200.)); #499100=CARTESIAN_POINT('',(27.075,24.375,0.)); #499101=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #499102=CARTESIAN_POINT('',(27.075,24.375,1.49999999999999)); #499103=CARTESIAN_POINT('',(27.075,24.375,-200.)); #499104=CARTESIAN_POINT('Origin',(27.25,24.375,1.49999999999999)); #499105=CARTESIAN_POINT('Origin',(14.5,20.25,-200.)); #499106=CARTESIAN_POINT('',(14.325,20.25,0.)); #499107=CARTESIAN_POINT('Origin',(14.5,20.25,0.)); #499108=CARTESIAN_POINT('',(14.325,20.25,1.49999999999999)); #499109=CARTESIAN_POINT('',(14.325,20.25,-200.)); #499110=CARTESIAN_POINT('Origin',(14.5,20.25,1.49999999999999)); #499111=CARTESIAN_POINT('Origin',(34.0625,12.25,-200.)); #499112=CARTESIAN_POINT('',(33.8875,12.25,0.)); #499113=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #499114=CARTESIAN_POINT('',(33.8875,12.25,1.49999999999999)); #499115=CARTESIAN_POINT('',(33.8875,12.25,-200.)); #499116=CARTESIAN_POINT('Origin',(34.0625,12.25,1.49999999999999)); #499117=CARTESIAN_POINT('Origin',(15.25,20.25,-200.)); #499118=CARTESIAN_POINT('',(15.075,20.25,0.)); #499119=CARTESIAN_POINT('Origin',(15.25,20.25,0.)); #499120=CARTESIAN_POINT('',(15.075,20.25,1.49999999999999)); #499121=CARTESIAN_POINT('',(15.075,20.25,-200.)); #499122=CARTESIAN_POINT('Origin',(15.25,20.25,1.49999999999999)); #499123=CARTESIAN_POINT('Origin',(31.75,18.5,-200.)); #499124=CARTESIAN_POINT('',(31.575,18.5,0.)); #499125=CARTESIAN_POINT('Origin',(31.75,18.5,0.)); #499126=CARTESIAN_POINT('',(31.575,18.5,1.49999999999999)); #499127=CARTESIAN_POINT('',(31.575,18.5,-200.)); #499128=CARTESIAN_POINT('Origin',(31.75,18.5,1.49999999999999)); #499129=CARTESIAN_POINT('Origin',(15.25,21.75,-200.)); #499130=CARTESIAN_POINT('',(15.075,21.75,0.)); #499131=CARTESIAN_POINT('Origin',(15.25,21.75,0.)); #499132=CARTESIAN_POINT('',(15.075,21.75,1.49999999999999)); #499133=CARTESIAN_POINT('',(15.075,21.75,-200.)); #499134=CARTESIAN_POINT('Origin',(15.25,21.75,1.49999999999999)); #499135=CARTESIAN_POINT('Origin',(33.25,16.25,-200.)); #499136=CARTESIAN_POINT('',(33.075,16.25,0.)); #499137=CARTESIAN_POINT('Origin',(33.25,16.25,0.)); #499138=CARTESIAN_POINT('',(33.075,16.25,1.49999999999999)); #499139=CARTESIAN_POINT('',(33.075,16.25,-200.)); #499140=CARTESIAN_POINT('Origin',(33.25,16.25,1.49999999999999)); #499141=CARTESIAN_POINT('Origin',(16.,21.75,-200.)); #499142=CARTESIAN_POINT('',(15.825,21.75,0.)); #499143=CARTESIAN_POINT('Origin',(16.,21.75,0.)); #499144=CARTESIAN_POINT('',(15.825,21.75,1.49999999999999)); #499145=CARTESIAN_POINT('',(15.825,21.75,-200.)); #499146=CARTESIAN_POINT('Origin',(16.,21.75,1.49999999999999)); #499147=CARTESIAN_POINT('Origin',(31.75,19.25,-200.)); #499148=CARTESIAN_POINT('',(31.575,19.25,0.)); #499149=CARTESIAN_POINT('Origin',(31.75,19.25,0.)); #499150=CARTESIAN_POINT('',(31.575,19.25,1.49999999999999)); #499151=CARTESIAN_POINT('',(31.575,19.25,-200.)); #499152=CARTESIAN_POINT('Origin',(31.75,19.25,1.49999999999999)); #499153=CARTESIAN_POINT('Origin',(16.,21.,-200.)); #499154=CARTESIAN_POINT('',(15.825,21.,0.)); #499155=CARTESIAN_POINT('Origin',(16.,21.,0.)); #499156=CARTESIAN_POINT('',(15.825,21.,1.49999999999999)); #499157=CARTESIAN_POINT('',(15.825,21.,-200.)); #499158=CARTESIAN_POINT('Origin',(16.,21.,1.49999999999999)); #499159=CARTESIAN_POINT('Origin',(15.75,34.,-200.)); #499160=CARTESIAN_POINT('',(15.25,34.,0.)); #499161=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #499162=CARTESIAN_POINT('',(15.25,34.,1.49999999999999)); #499163=CARTESIAN_POINT('',(15.25,34.,-200.)); #499164=CARTESIAN_POINT('Origin',(15.75,34.,1.49999999999999)); #499165=CARTESIAN_POINT('Origin',(52.75,21.,-200.)); #499166=CARTESIAN_POINT('',(52.575,21.,0.)); #499167=CARTESIAN_POINT('Origin',(52.75,21.,0.)); #499168=CARTESIAN_POINT('',(52.575,21.,1.49999999999999)); #499169=CARTESIAN_POINT('',(52.575,21.,-200.)); #499170=CARTESIAN_POINT('Origin',(52.75,21.,1.49999999999999)); #499171=CARTESIAN_POINT('Origin',(37.5,21.25,-200.)); #499172=CARTESIAN_POINT('',(37.325,21.25,0.)); #499173=CARTESIAN_POINT('Origin',(37.5,21.25,0.)); #499174=CARTESIAN_POINT('',(37.325,21.25,1.49999999999999)); #499175=CARTESIAN_POINT('',(37.325,21.25,-200.)); #499176=CARTESIAN_POINT('Origin',(37.5,21.25,1.49999999999999)); #499177=CARTESIAN_POINT('Origin',(39.25,19.,-200.)); #499178=CARTESIAN_POINT('',(39.075,19.,0.)); #499179=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #499180=CARTESIAN_POINT('',(39.075,19.,1.49999999999999)); #499181=CARTESIAN_POINT('',(39.075,19.,-200.)); #499182=CARTESIAN_POINT('Origin',(39.25,19.,1.49999999999999)); #499183=CARTESIAN_POINT('Origin',(35.5,17.75,-200.)); #499184=CARTESIAN_POINT('',(35.325,17.75,0.)); #499185=CARTESIAN_POINT('Origin',(35.5,17.75,0.)); #499186=CARTESIAN_POINT('',(35.325,17.75,1.49999999999999)); #499187=CARTESIAN_POINT('',(35.325,17.75,-200.)); #499188=CARTESIAN_POINT('Origin',(35.5,17.75,1.49999999999999)); #499189=CARTESIAN_POINT('Origin',(39.25,18.25,-200.)); #499190=CARTESIAN_POINT('',(39.075,18.25,0.)); #499191=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #499192=CARTESIAN_POINT('',(39.075,18.25,1.49999999999999)); #499193=CARTESIAN_POINT('',(39.075,18.25,-200.)); #499194=CARTESIAN_POINT('Origin',(39.25,18.25,1.49999999999999)); #499195=CARTESIAN_POINT('Origin',(31.,13.,-200.)); #499196=CARTESIAN_POINT('',(30.825,13.,0.)); #499197=CARTESIAN_POINT('Origin',(31.,13.,0.)); #499198=CARTESIAN_POINT('',(30.825,13.,1.49999999999999)); #499199=CARTESIAN_POINT('',(30.825,13.,-200.)); #499200=CARTESIAN_POINT('Origin',(31.,13.,1.49999999999999)); #499201=CARTESIAN_POINT('Origin',(42.5,16.,-200.)); #499202=CARTESIAN_POINT('',(42.325,16.,0.)); #499203=CARTESIAN_POINT('Origin',(42.5,16.,0.)); #499204=CARTESIAN_POINT('',(42.325,16.,1.49999999999999)); #499205=CARTESIAN_POINT('',(42.325,16.,-200.)); #499206=CARTESIAN_POINT('Origin',(42.5,16.,1.49999999999999)); #499207=CARTESIAN_POINT('Origin',(27.762,19.,-200.)); #499208=CARTESIAN_POINT('',(27.587,19.,0.)); #499209=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #499210=CARTESIAN_POINT('',(27.587,19.,1.49999999999999)); #499211=CARTESIAN_POINT('',(27.587,19.,-200.)); #499212=CARTESIAN_POINT('Origin',(27.762,19.,1.49999999999999)); #499213=CARTESIAN_POINT('Origin',(53.5,21.,-200.)); #499214=CARTESIAN_POINT('',(53.325,21.,0.)); #499215=CARTESIAN_POINT('Origin',(53.5,21.,0.)); #499216=CARTESIAN_POINT('',(53.325,21.,1.49999999999999)); #499217=CARTESIAN_POINT('',(53.325,21.,-200.)); #499218=CARTESIAN_POINT('Origin',(53.5,21.,1.49999999999999)); #499219=CARTESIAN_POINT('Origin',(34.75,49.25,-200.)); #499220=CARTESIAN_POINT('',(34.575,49.25,0.)); #499221=CARTESIAN_POINT('Origin',(34.75,49.25,0.)); #499222=CARTESIAN_POINT('',(34.575,49.25,1.49999999999999)); #499223=CARTESIAN_POINT('',(34.575,49.25,-200.)); #499224=CARTESIAN_POINT('Origin',(34.75,49.25,1.49999999999999)); #499225=CARTESIAN_POINT('Origin',(52.,21.,-200.)); #499226=CARTESIAN_POINT('',(51.825,21.,0.)); #499227=CARTESIAN_POINT('Origin',(52.,21.,0.)); #499228=CARTESIAN_POINT('',(51.825,21.,1.49999999999999)); #499229=CARTESIAN_POINT('',(51.825,21.,-200.)); #499230=CARTESIAN_POINT('Origin',(52.,21.,1.49999999999999)); #499231=CARTESIAN_POINT('Origin',(35.5,18.5,-200.)); #499232=CARTESIAN_POINT('',(35.325,18.5,0.)); #499233=CARTESIAN_POINT('Origin',(35.5,18.5,0.)); #499234=CARTESIAN_POINT('',(35.325,18.5,1.49999999999999)); #499235=CARTESIAN_POINT('',(35.325,18.5,-200.)); #499236=CARTESIAN_POINT('Origin',(35.5,18.5,1.49999999999999)); #499237=CARTESIAN_POINT('Origin',(53.5,21.75,-200.)); #499238=CARTESIAN_POINT('',(53.325,21.75,0.)); #499239=CARTESIAN_POINT('Origin',(53.5,21.75,0.)); #499240=CARTESIAN_POINT('',(53.325,21.75,1.49999999999999)); #499241=CARTESIAN_POINT('',(53.325,21.75,-200.)); #499242=CARTESIAN_POINT('Origin',(53.5,21.75,1.49999999999999)); #499243=CARTESIAN_POINT('Origin',(34.,49.25,-200.)); #499244=CARTESIAN_POINT('',(33.825,49.25,0.)); #499245=CARTESIAN_POINT('Origin',(34.,49.25,0.)); #499246=CARTESIAN_POINT('',(33.825,49.25,1.49999999999999)); #499247=CARTESIAN_POINT('',(33.825,49.25,-200.)); #499248=CARTESIAN_POINT('Origin',(34.,49.25,1.49999999999999)); #499249=CARTESIAN_POINT('Origin',(52.75,21.75,-200.)); #499250=CARTESIAN_POINT('',(52.575,21.75,0.)); #499251=CARTESIAN_POINT('Origin',(52.75,21.75,0.)); #499252=CARTESIAN_POINT('',(52.575,21.75,1.49999999999999)); #499253=CARTESIAN_POINT('',(52.575,21.75,-200.)); #499254=CARTESIAN_POINT('Origin',(52.75,21.75,1.49999999999999)); #499255=CARTESIAN_POINT('Origin',(35.27,45.,-200.)); #499256=CARTESIAN_POINT('',(34.67,45.,0.)); #499257=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #499258=CARTESIAN_POINT('',(34.67,45.,1.49999999999999)); #499259=CARTESIAN_POINT('',(34.67,45.,-200.)); #499260=CARTESIAN_POINT('Origin',(35.27,45.,1.49999999999999)); #499261=CARTESIAN_POINT('Origin',(26.,31.,-200.)); #499262=CARTESIAN_POINT('',(25.825,31.,0.)); #499263=CARTESIAN_POINT('Origin',(26.,31.,0.)); #499264=CARTESIAN_POINT('',(25.825,31.,1.49999999999999)); #499265=CARTESIAN_POINT('',(25.825,31.,-200.)); #499266=CARTESIAN_POINT('Origin',(26.,31.,1.49999999999999)); #499267=CARTESIAN_POINT('Origin',(10.25,33.25,-200.)); #499268=CARTESIAN_POINT('',(10.075,33.25,0.)); #499269=CARTESIAN_POINT('Origin',(10.25,33.25,0.)); #499270=CARTESIAN_POINT('',(10.075,33.25,1.49999999999999)); #499271=CARTESIAN_POINT('',(10.075,33.25,-200.)); #499272=CARTESIAN_POINT('Origin',(10.25,33.25,1.49999999999999)); #499273=CARTESIAN_POINT('Origin',(26.,36.,-200.)); #499274=CARTESIAN_POINT('',(25.825,36.,0.)); #499275=CARTESIAN_POINT('Origin',(26.,36.,0.)); #499276=CARTESIAN_POINT('',(25.825,36.,1.49999999999999)); #499277=CARTESIAN_POINT('',(25.825,36.,-200.)); #499278=CARTESIAN_POINT('Origin',(26.,36.,1.49999999999999)); #499279=CARTESIAN_POINT('Origin',(34.75,14.75,-200.)); #499280=CARTESIAN_POINT('',(34.575,14.75,0.)); #499281=CARTESIAN_POINT('Origin',(34.75,14.75,0.)); #499282=CARTESIAN_POINT('',(34.575,14.75,1.49999999999999)); #499283=CARTESIAN_POINT('',(34.575,14.75,-200.)); #499284=CARTESIAN_POINT('Origin',(34.75,14.75,1.49999999999999)); #499285=CARTESIAN_POINT('Origin',(26.75,36.,-200.)); #499286=CARTESIAN_POINT('',(26.575,36.,0.)); #499287=CARTESIAN_POINT('Origin',(26.75,36.,0.)); #499288=CARTESIAN_POINT('',(26.575,36.,1.49999999999999)); #499289=CARTESIAN_POINT('',(26.575,36.,-200.)); #499290=CARTESIAN_POINT('Origin',(26.75,36.,1.49999999999999)); #499291=CARTESIAN_POINT('Origin',(9.5,33.25,-200.)); #499292=CARTESIAN_POINT('',(9.325,33.25,0.)); #499293=CARTESIAN_POINT('Origin',(9.5,33.25,0.)); #499294=CARTESIAN_POINT('',(9.325,33.25,1.49999999999999)); #499295=CARTESIAN_POINT('',(9.325,33.25,-200.)); #499296=CARTESIAN_POINT('Origin',(9.5,33.25,1.49999999999999)); #499297=CARTESIAN_POINT('Origin',(26.75,31.,-200.)); #499298=CARTESIAN_POINT('',(26.575,31.,0.)); #499299=CARTESIAN_POINT('Origin',(26.75,31.,0.)); #499300=CARTESIAN_POINT('',(26.575,31.,1.49999999999999)); #499301=CARTESIAN_POINT('',(26.575,31.,-200.)); #499302=CARTESIAN_POINT('Origin',(26.75,31.,1.49999999999999)); #499303=CARTESIAN_POINT('Origin',(34.75,17.,-200.)); #499304=CARTESIAN_POINT('',(34.575,17.,0.)); #499305=CARTESIAN_POINT('Origin',(34.75,17.,0.)); #499306=CARTESIAN_POINT('',(34.575,17.,1.49999999999999)); #499307=CARTESIAN_POINT('',(34.575,17.,-200.)); #499308=CARTESIAN_POINT('Origin',(34.75,17.,1.49999999999999)); #499309=CARTESIAN_POINT('Origin',(16.625,47.75,-200.)); #499310=CARTESIAN_POINT('',(16.45,47.75,0.)); #499311=CARTESIAN_POINT('Origin',(16.625,47.75,0.)); #499312=CARTESIAN_POINT('',(16.45,47.75,1.49999999999999)); #499313=CARTESIAN_POINT('',(16.45,47.75,-200.)); #499314=CARTESIAN_POINT('Origin',(16.625,47.75,1.49999999999999)); #499315=CARTESIAN_POINT('Origin',(10.25,34.,-200.)); #499316=CARTESIAN_POINT('',(10.075,34.,0.)); #499317=CARTESIAN_POINT('Origin',(10.25,34.,0.)); #499318=CARTESIAN_POINT('',(10.075,34.,1.49999999999999)); #499319=CARTESIAN_POINT('',(10.075,34.,-200.)); #499320=CARTESIAN_POINT('Origin',(10.25,34.,1.49999999999999)); #499321=CARTESIAN_POINT('Origin',(15.75,47.75,-200.)); #499322=CARTESIAN_POINT('',(15.575,47.75,0.)); #499323=CARTESIAN_POINT('Origin',(15.75,47.75,0.)); #499324=CARTESIAN_POINT('',(15.575,47.75,1.49999999999999)); #499325=CARTESIAN_POINT('',(15.575,47.75,-200.)); #499326=CARTESIAN_POINT('Origin',(15.75,47.75,1.49999999999999)); #499327=CARTESIAN_POINT('Origin',(35.5,14.75,-200.)); #499328=CARTESIAN_POINT('',(35.325,14.75,0.)); #499329=CARTESIAN_POINT('Origin',(35.5,14.75,0.)); #499330=CARTESIAN_POINT('',(35.325,14.75,1.49999999999999)); #499331=CARTESIAN_POINT('',(35.325,14.75,-200.)); #499332=CARTESIAN_POINT('Origin',(35.5,14.75,1.49999999999999)); #499333=CARTESIAN_POINT('Origin',(16.625,47.,-200.)); #499334=CARTESIAN_POINT('',(16.45,47.,0.)); #499335=CARTESIAN_POINT('Origin',(16.625,47.,0.)); #499336=CARTESIAN_POINT('',(16.45,47.,1.49999999999999)); #499337=CARTESIAN_POINT('',(16.45,47.,-200.)); #499338=CARTESIAN_POINT('Origin',(16.625,47.,1.49999999999999)); #499339=CARTESIAN_POINT('Origin',(9.5,34.,-200.)); #499340=CARTESIAN_POINT('',(9.325,34.,0.)); #499341=CARTESIAN_POINT('Origin',(9.5,34.,0.)); #499342=CARTESIAN_POINT('',(9.325,34.,1.49999999999999)); #499343=CARTESIAN_POINT('',(9.325,34.,-200.)); #499344=CARTESIAN_POINT('Origin',(9.5,34.,1.49999999999999)); #499345=CARTESIAN_POINT('Origin',(16.625,46.25,-200.)); #499346=CARTESIAN_POINT('',(16.45,46.25,0.)); #499347=CARTESIAN_POINT('Origin',(16.625,46.25,0.)); #499348=CARTESIAN_POINT('',(16.45,46.25,1.49999999999999)); #499349=CARTESIAN_POINT('',(16.45,46.25,-200.)); #499350=CARTESIAN_POINT('Origin',(16.625,46.25,1.49999999999999)); #499351=CARTESIAN_POINT('Origin',(39.25,17.5,-200.)); #499352=CARTESIAN_POINT('',(39.075,17.5,0.)); #499353=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #499354=CARTESIAN_POINT('',(39.075,17.5,1.49999999999999)); #499355=CARTESIAN_POINT('',(39.075,17.5,-200.)); #499356=CARTESIAN_POINT('Origin',(39.25,17.5,1.49999999999999)); #499357=CARTESIAN_POINT('Origin',(17.5,47.75,-200.)); #499358=CARTESIAN_POINT('',(17.325,47.75,0.)); #499359=CARTESIAN_POINT('Origin',(17.5,47.75,0.)); #499360=CARTESIAN_POINT('',(17.325,47.75,1.49999999999999)); #499361=CARTESIAN_POINT('',(17.325,47.75,-200.)); #499362=CARTESIAN_POINT('Origin',(17.5,47.75,1.49999999999999)); #499363=CARTESIAN_POINT('Origin',(57.75,33.25,-200.)); #499364=CARTESIAN_POINT('',(57.575,33.25,0.)); #499365=CARTESIAN_POINT('Origin',(57.75,33.25,0.)); #499366=CARTESIAN_POINT('',(57.575,33.25,1.49999999999999)); #499367=CARTESIAN_POINT('',(57.575,33.25,-200.)); #499368=CARTESIAN_POINT('Origin',(57.75,33.25,1.49999999999999)); #499369=CARTESIAN_POINT('Origin',(19.375,46.25,-200.)); #499370=CARTESIAN_POINT('',(19.2,46.25,0.)); #499371=CARTESIAN_POINT('Origin',(19.375,46.25,0.)); #499372=CARTESIAN_POINT('',(19.2,46.25,1.49999999999999)); #499373=CARTESIAN_POINT('',(19.2,46.25,-200.)); #499374=CARTESIAN_POINT('Origin',(19.375,46.25,1.49999999999999)); #499375=CARTESIAN_POINT('Origin',(36.25,15.5,-200.)); #499376=CARTESIAN_POINT('',(36.075,15.5,0.)); #499377=CARTESIAN_POINT('Origin',(36.25,15.5,0.)); #499378=CARTESIAN_POINT('',(36.075,15.5,1.49999999999999)); #499379=CARTESIAN_POINT('',(36.075,15.5,-200.)); #499380=CARTESIAN_POINT('Origin',(36.25,15.5,1.49999999999999)); #499381=CARTESIAN_POINT('Origin',(19.375,47.75,-200.)); #499382=CARTESIAN_POINT('',(19.2,47.75,0.)); #499383=CARTESIAN_POINT('Origin',(19.375,47.75,0.)); #499384=CARTESIAN_POINT('',(19.2,47.75,1.49999999999999)); #499385=CARTESIAN_POINT('',(19.2,47.75,-200.)); #499386=CARTESIAN_POINT('Origin',(19.375,47.75,1.49999999999999)); #499387=CARTESIAN_POINT('Origin',(62.,33.25,-200.)); #499388=CARTESIAN_POINT('',(61.825,33.25,0.)); #499389=CARTESIAN_POINT('Origin',(62.,33.25,0.)); #499390=CARTESIAN_POINT('',(61.825,33.25,1.49999999999999)); #499391=CARTESIAN_POINT('',(61.825,33.25,-200.)); #499392=CARTESIAN_POINT('Origin',(62.,33.25,1.49999999999999)); #499393=CARTESIAN_POINT('Origin',(19.375,47.,-200.)); #499394=CARTESIAN_POINT('',(19.2,47.,0.)); #499395=CARTESIAN_POINT('Origin',(19.375,47.,0.)); #499396=CARTESIAN_POINT('',(19.2,47.,1.49999999999999)); #499397=CARTESIAN_POINT('',(19.2,47.,-200.)); #499398=CARTESIAN_POINT('Origin',(19.375,47.,1.49999999999999)); #499399=CARTESIAN_POINT('Origin',(34.,17.75,-200.)); #499400=CARTESIAN_POINT('',(33.825,17.75,0.)); #499401=CARTESIAN_POINT('Origin',(34.,17.75,0.)); #499402=CARTESIAN_POINT('',(33.825,17.75,1.49999999999999)); #499403=CARTESIAN_POINT('',(33.825,17.75,-200.)); #499404=CARTESIAN_POINT('Origin',(34.,17.75,1.49999999999999)); #499405=CARTESIAN_POINT('Origin',(17.5,46.25,-200.)); #499406=CARTESIAN_POINT('',(17.325,46.25,0.)); #499407=CARTESIAN_POINT('Origin',(17.5,46.25,0.)); #499408=CARTESIAN_POINT('',(17.325,46.25,1.49999999999999)); #499409=CARTESIAN_POINT('',(17.325,46.25,-200.)); #499410=CARTESIAN_POINT('Origin',(17.5,46.25,1.49999999999999)); #499411=CARTESIAN_POINT('Origin',(57.75,34.,-200.)); #499412=CARTESIAN_POINT('',(57.575,34.,0.)); #499413=CARTESIAN_POINT('Origin',(57.75,34.,0.)); #499414=CARTESIAN_POINT('',(57.575,34.,1.49999999999999)); #499415=CARTESIAN_POINT('',(57.575,34.,-200.)); #499416=CARTESIAN_POINT('Origin',(57.75,34.,1.49999999999999)); #499417=CARTESIAN_POINT('Origin',(17.5,47.,-200.)); #499418=CARTESIAN_POINT('',(17.325,47.,0.)); #499419=CARTESIAN_POINT('Origin',(17.5,47.,0.)); #499420=CARTESIAN_POINT('',(17.325,47.,1.49999999999999)); #499421=CARTESIAN_POINT('',(17.325,47.,-200.)); #499422=CARTESIAN_POINT('Origin',(17.5,47.,1.49999999999999)); #499423=CARTESIAN_POINT('Origin',(36.25,14.75,-200.)); #499424=CARTESIAN_POINT('',(36.075,14.75,0.)); #499425=CARTESIAN_POINT('Origin',(36.25,14.75,0.)); #499426=CARTESIAN_POINT('',(36.075,14.75,1.49999999999999)); #499427=CARTESIAN_POINT('',(36.075,14.75,-200.)); #499428=CARTESIAN_POINT('Origin',(36.25,14.75,1.49999999999999)); #499429=CARTESIAN_POINT('Origin',(15.75,46.25,-200.)); #499430=CARTESIAN_POINT('',(15.575,46.25,0.)); #499431=CARTESIAN_POINT('Origin',(15.75,46.25,0.)); #499432=CARTESIAN_POINT('',(15.575,46.25,1.49999999999999)); #499433=CARTESIAN_POINT('',(15.575,46.25,-200.)); #499434=CARTESIAN_POINT('Origin',(15.75,46.25,1.49999999999999)); #499435=CARTESIAN_POINT('Origin',(57.75,34.75,-200.)); #499436=CARTESIAN_POINT('',(57.575,34.75,0.)); #499437=CARTESIAN_POINT('Origin',(57.75,34.75,0.)); #499438=CARTESIAN_POINT('',(57.575,34.75,1.49999999999999)); #499439=CARTESIAN_POINT('',(57.575,34.75,-200.)); #499440=CARTESIAN_POINT('Origin',(57.75,34.75,1.49999999999999)); #499441=CARTESIAN_POINT('Origin',(15.75,47.,-200.)); #499442=CARTESIAN_POINT('',(15.575,47.,0.)); #499443=CARTESIAN_POINT('Origin',(15.75,47.,0.)); #499444=CARTESIAN_POINT('',(15.575,47.,1.49999999999999)); #499445=CARTESIAN_POINT('',(15.575,47.,-200.)); #499446=CARTESIAN_POINT('Origin',(15.75,47.,1.49999999999999)); #499447=CARTESIAN_POINT('Origin',(52.25,34.,-200.)); #499448=CARTESIAN_POINT('',(51.75,34.,0.)); #499449=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #499450=CARTESIAN_POINT('',(51.75,34.,1.49999999999999)); #499451=CARTESIAN_POINT('',(51.75,34.,-200.)); #499452=CARTESIAN_POINT('Origin',(52.25,34.,1.49999999999999)); #499453=CARTESIAN_POINT('Origin',(23.75,47.,-200.)); #499454=CARTESIAN_POINT('',(23.575,47.,0.)); #499455=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #499456=CARTESIAN_POINT('',(23.575,47.,1.49999999999999)); #499457=CARTESIAN_POINT('',(23.575,47.,-200.)); #499458=CARTESIAN_POINT('Origin',(23.75,47.,1.49999999999999)); #499459=CARTESIAN_POINT('Origin',(61.25,33.25,-200.)); #499460=CARTESIAN_POINT('',(61.075,33.25,0.)); #499461=CARTESIAN_POINT('Origin',(61.25,33.25,0.)); #499462=CARTESIAN_POINT('',(61.075,33.25,1.49999999999999)); #499463=CARTESIAN_POINT('',(61.075,33.25,-200.)); #499464=CARTESIAN_POINT('Origin',(61.25,33.25,1.49999999999999)); #499465=CARTESIAN_POINT('Origin',(20.25,47.,-200.)); #499466=CARTESIAN_POINT('',(20.075,47.,0.)); #499467=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #499468=CARTESIAN_POINT('',(20.075,47.,1.49999999999999)); #499469=CARTESIAN_POINT('',(20.075,47.,-200.)); #499470=CARTESIAN_POINT('Origin',(20.25,47.,1.49999999999999)); #499471=CARTESIAN_POINT('Origin',(36.25,17.75,-200.)); #499472=CARTESIAN_POINT('',(36.075,17.75,0.)); #499473=CARTESIAN_POINT('Origin',(36.25,17.75,0.)); #499474=CARTESIAN_POINT('',(36.075,17.75,1.49999999999999)); #499475=CARTESIAN_POINT('',(36.075,17.75,-200.)); #499476=CARTESIAN_POINT('Origin',(36.25,17.75,1.49999999999999)); #499477=CARTESIAN_POINT('Origin',(23.75,46.25,-200.)); #499478=CARTESIAN_POINT('',(23.575,46.25,0.)); #499479=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #499480=CARTESIAN_POINT('',(23.575,46.25,1.49999999999999)); #499481=CARTESIAN_POINT('',(23.575,46.25,-200.)); #499482=CARTESIAN_POINT('Origin',(23.75,46.25,1.49999999999999)); #499483=CARTESIAN_POINT('Origin',(58.5,33.25,-200.)); #499484=CARTESIAN_POINT('',(58.325,33.25,0.)); #499485=CARTESIAN_POINT('Origin',(58.5,33.25,0.)); #499486=CARTESIAN_POINT('',(58.325,33.25,1.49999999999999)); #499487=CARTESIAN_POINT('',(58.325,33.25,-200.)); #499488=CARTESIAN_POINT('Origin',(58.5,33.25,1.49999999999999)); #499489=CARTESIAN_POINT('Origin',(22.,46.25,-200.)); #499490=CARTESIAN_POINT('',(21.825,46.25,0.)); #499491=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #499492=CARTESIAN_POINT('',(21.825,46.25,1.49999999999999)); #499493=CARTESIAN_POINT('',(21.825,46.25,-200.)); #499494=CARTESIAN_POINT('Origin',(22.,46.25,1.49999999999999)); #499495=CARTESIAN_POINT('Origin',(37.25,8.75,-200.)); #499496=CARTESIAN_POINT('',(37.075,8.75,0.)); #499497=CARTESIAN_POINT('Origin',(37.25,8.75,0.)); #499498=CARTESIAN_POINT('',(37.075,8.75,1.49999999999999)); #499499=CARTESIAN_POINT('',(37.075,8.75,-200.)); #499500=CARTESIAN_POINT('Origin',(37.25,8.75,1.49999999999999)); #499501=CARTESIAN_POINT('Origin',(20.25,46.25,-200.)); #499502=CARTESIAN_POINT('',(20.075,46.25,0.)); #499503=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #499504=CARTESIAN_POINT('',(20.075,46.25,1.49999999999999)); #499505=CARTESIAN_POINT('',(20.075,46.25,-200.)); #499506=CARTESIAN_POINT('Origin',(20.25,46.25,1.49999999999999)); #499507=CARTESIAN_POINT('Origin',(58.5,34.,-200.)); #499508=CARTESIAN_POINT('',(58.325,34.,0.)); #499509=CARTESIAN_POINT('Origin',(58.5,34.,0.)); #499510=CARTESIAN_POINT('',(58.325,34.,1.49999999999999)); #499511=CARTESIAN_POINT('',(58.325,34.,-200.)); #499512=CARTESIAN_POINT('Origin',(58.5,34.,1.49999999999999)); #499513=CARTESIAN_POINT('Origin',(18.5,47.75,-200.)); #499514=CARTESIAN_POINT('',(18.325,47.75,0.)); #499515=CARTESIAN_POINT('Origin',(18.5,47.75,0.)); #499516=CARTESIAN_POINT('',(18.325,47.75,1.49999999999999)); #499517=CARTESIAN_POINT('',(18.325,47.75,-200.)); #499518=CARTESIAN_POINT('Origin',(18.5,47.75,1.49999999999999)); #499519=CARTESIAN_POINT('Origin',(36.25,18.5,-200.)); #499520=CARTESIAN_POINT('',(36.075,18.5,0.)); #499521=CARTESIAN_POINT('Origin',(36.25,18.5,0.)); #499522=CARTESIAN_POINT('',(36.075,18.5,1.49999999999999)); #499523=CARTESIAN_POINT('',(36.075,18.5,-200.)); #499524=CARTESIAN_POINT('Origin',(36.25,18.5,1.49999999999999)); #499525=CARTESIAN_POINT('Origin',(20.25,47.75,-200.)); #499526=CARTESIAN_POINT('',(20.075,47.75,0.)); #499527=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #499528=CARTESIAN_POINT('',(20.075,47.75,1.49999999999999)); #499529=CARTESIAN_POINT('',(20.075,47.75,-200.)); #499530=CARTESIAN_POINT('Origin',(20.25,47.75,1.49999999999999)); #499531=CARTESIAN_POINT('Origin',(58.5,34.75,-200.)); #499532=CARTESIAN_POINT('',(58.325,34.75,0.)); #499533=CARTESIAN_POINT('Origin',(58.5,34.75,0.)); #499534=CARTESIAN_POINT('',(58.325,34.75,1.49999999999999)); #499535=CARTESIAN_POINT('',(58.325,34.75,-200.)); #499536=CARTESIAN_POINT('Origin',(58.5,34.75,1.49999999999999)); #499537=CARTESIAN_POINT('Origin',(23.75,47.75,-200.)); #499538=CARTESIAN_POINT('',(23.575,47.75,0.)); #499539=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #499540=CARTESIAN_POINT('',(23.575,47.75,1.49999999999999)); #499541=CARTESIAN_POINT('',(23.575,47.75,-200.)); #499542=CARTESIAN_POINT('Origin',(23.75,47.75,1.49999999999999)); #499543=CARTESIAN_POINT('Origin',(31.5,27.75,-200.)); #499544=CARTESIAN_POINT('',(31.,27.75,0.)); #499545=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #499546=CARTESIAN_POINT('',(31.,27.75,1.49999999999999)); #499547=CARTESIAN_POINT('',(31.,27.75,-200.)); #499548=CARTESIAN_POINT('Origin',(31.5,27.75,1.49999999999999)); #499549=CARTESIAN_POINT('Origin',(21.125,47.,-200.)); #499550=CARTESIAN_POINT('',(20.95,47.,0.)); #499551=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #499552=CARTESIAN_POINT('',(20.95,47.,1.49999999999999)); #499553=CARTESIAN_POINT('',(20.95,47.,-200.)); #499554=CARTESIAN_POINT('Origin',(21.125,47.,1.49999999999999)); #499555=CARTESIAN_POINT('Origin',(61.25,34.75,-200.)); #499556=CARTESIAN_POINT('',(61.075,34.75,0.)); #499557=CARTESIAN_POINT('Origin',(61.25,34.75,0.)); #499558=CARTESIAN_POINT('',(61.075,34.75,1.49999999999999)); #499559=CARTESIAN_POINT('',(61.075,34.75,-200.)); #499560=CARTESIAN_POINT('Origin',(61.25,34.75,1.49999999999999)); #499561=CARTESIAN_POINT('Origin',(21.125,47.75,-200.)); #499562=CARTESIAN_POINT('',(20.95,47.75,0.)); #499563=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #499564=CARTESIAN_POINT('',(20.95,47.75,1.49999999999999)); #499565=CARTESIAN_POINT('',(20.95,47.75,-200.)); #499566=CARTESIAN_POINT('Origin',(21.125,47.75,1.49999999999999)); #499567=CARTESIAN_POINT('Origin',(36.25,17.,-200.)); #499568=CARTESIAN_POINT('',(36.075,17.,0.)); #499569=CARTESIAN_POINT('Origin',(36.25,17.,0.)); #499570=CARTESIAN_POINT('',(36.075,17.,1.49999999999999)); #499571=CARTESIAN_POINT('',(36.075,17.,-200.)); #499572=CARTESIAN_POINT('Origin',(36.25,17.,1.49999999999999)); #499573=CARTESIAN_POINT('Origin',(22.,47.,-200.)); #499574=CARTESIAN_POINT('',(21.825,47.,0.)); #499575=CARTESIAN_POINT('Origin',(22.,47.,0.)); #499576=CARTESIAN_POINT('',(21.825,47.,1.49999999999999)); #499577=CARTESIAN_POINT('',(21.825,47.,-200.)); #499578=CARTESIAN_POINT('Origin',(22.,47.,1.49999999999999)); #499579=CARTESIAN_POINT('Origin',(61.25,34.,-200.)); #499580=CARTESIAN_POINT('',(61.075,34.,0.)); #499581=CARTESIAN_POINT('Origin',(61.25,34.,0.)); #499582=CARTESIAN_POINT('',(61.075,34.,1.49999999999999)); #499583=CARTESIAN_POINT('',(61.075,34.,-200.)); #499584=CARTESIAN_POINT('Origin',(61.25,34.,1.49999999999999)); #499585=CARTESIAN_POINT('Origin',(22.,47.75,-200.)); #499586=CARTESIAN_POINT('',(21.825,47.75,0.)); #499587=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #499588=CARTESIAN_POINT('',(21.825,47.75,1.49999999999999)); #499589=CARTESIAN_POINT('',(21.825,47.75,-200.)); #499590=CARTESIAN_POINT('Origin',(22.,47.75,1.49999999999999)); #499591=CARTESIAN_POINT('Origin',(34.,17.,-200.)); #499592=CARTESIAN_POINT('',(33.825,17.,0.)); #499593=CARTESIAN_POINT('Origin',(34.,17.,0.)); #499594=CARTESIAN_POINT('',(33.825,17.,1.49999999999999)); #499595=CARTESIAN_POINT('',(33.825,17.,-200.)); #499596=CARTESIAN_POINT('Origin',(34.,17.,1.49999999999999)); #499597=CARTESIAN_POINT('Origin',(22.875,46.25,-200.)); #499598=CARTESIAN_POINT('',(22.7,46.25,0.)); #499599=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #499600=CARTESIAN_POINT('',(22.7,46.25,1.49999999999999)); #499601=CARTESIAN_POINT('',(22.7,46.25,-200.)); #499602=CARTESIAN_POINT('Origin',(22.875,46.25,1.49999999999999)); #499603=CARTESIAN_POINT('Origin',(62.,34.75,-200.)); #499604=CARTESIAN_POINT('',(61.825,34.75,0.)); #499605=CARTESIAN_POINT('Origin',(62.,34.75,0.)); #499606=CARTESIAN_POINT('',(61.825,34.75,1.49999999999999)); #499607=CARTESIAN_POINT('',(61.825,34.75,-200.)); #499608=CARTESIAN_POINT('Origin',(62.,34.75,1.49999999999999)); #499609=CARTESIAN_POINT('Origin',(21.125,46.25,-200.)); #499610=CARTESIAN_POINT('',(20.95,46.25,0.)); #499611=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #499612=CARTESIAN_POINT('',(20.95,46.25,1.49999999999999)); #499613=CARTESIAN_POINT('',(20.95,46.25,-200.)); #499614=CARTESIAN_POINT('Origin',(21.125,46.25,1.49999999999999)); #499615=CARTESIAN_POINT('Origin',(36.25,16.25,-200.)); #499616=CARTESIAN_POINT('',(36.075,16.25,0.)); #499617=CARTESIAN_POINT('Origin',(36.25,16.25,0.)); #499618=CARTESIAN_POINT('',(36.075,16.25,1.49999999999999)); #499619=CARTESIAN_POINT('',(36.075,16.25,-200.)); #499620=CARTESIAN_POINT('Origin',(36.25,16.25,1.49999999999999)); #499621=CARTESIAN_POINT('Origin',(22.875,47.,-200.)); #499622=CARTESIAN_POINT('',(22.7,47.,0.)); #499623=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #499624=CARTESIAN_POINT('',(22.7,47.,1.49999999999999)); #499625=CARTESIAN_POINT('',(22.7,47.,-200.)); #499626=CARTESIAN_POINT('Origin',(22.875,47.,1.49999999999999)); #499627=CARTESIAN_POINT('Origin',(62.,34.,-200.)); #499628=CARTESIAN_POINT('',(61.825,34.,0.)); #499629=CARTESIAN_POINT('Origin',(62.,34.,0.)); #499630=CARTESIAN_POINT('',(61.825,34.,1.49999999999999)); #499631=CARTESIAN_POINT('',(61.825,34.,-200.)); #499632=CARTESIAN_POINT('Origin',(62.,34.,1.49999999999999)); #499633=CARTESIAN_POINT('Origin',(22.875,47.75,-200.)); #499634=CARTESIAN_POINT('',(22.7,47.75,0.)); #499635=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #499636=CARTESIAN_POINT('',(22.7,47.75,1.49999999999999)); #499637=CARTESIAN_POINT('',(22.7,47.75,-200.)); #499638=CARTESIAN_POINT('Origin',(22.875,47.75,1.49999999999999)); #499639=CARTESIAN_POINT('Origin',(21.75,34.,-200.)); #499640=CARTESIAN_POINT('',(21.2,34.,0.)); #499641=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #499642=CARTESIAN_POINT('',(21.2,34.,1.49999999999999)); #499643=CARTESIAN_POINT('',(21.2,34.,-200.)); #499644=CARTESIAN_POINT('Origin',(21.75,34.,1.49999999999999)); #499645=CARTESIAN_POINT('Origin',(31.6,7.6,-200.)); #499646=CARTESIAN_POINT('',(31.45,7.6,0.)); #499647=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #499648=CARTESIAN_POINT('',(31.45,7.6,1.49999999999999)); #499649=CARTESIAN_POINT('',(31.45,7.6,-200.)); #499650=CARTESIAN_POINT('Origin',(31.6,7.6,1.49999999999999)); #499651=CARTESIAN_POINT('Origin',(6.5,34.75,-200.)); #499652=CARTESIAN_POINT('',(6.325,34.75,0.)); #499653=CARTESIAN_POINT('Origin',(6.5,34.75,0.)); #499654=CARTESIAN_POINT('',(6.325,34.75,1.49999999999999)); #499655=CARTESIAN_POINT('',(6.325,34.75,-200.)); #499656=CARTESIAN_POINT('Origin',(6.5,34.75,1.49999999999999)); #499657=CARTESIAN_POINT('Origin',(36.4,7.1,-200.)); #499658=CARTESIAN_POINT('',(36.25,7.1,0.)); #499659=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #499660=CARTESIAN_POINT('',(36.25,7.1,1.49999999999999)); #499661=CARTESIAN_POINT('',(36.25,7.1,-200.)); #499662=CARTESIAN_POINT('Origin',(36.4,7.1,1.49999999999999)); #499663=CARTESIAN_POINT('Origin',(31.75,14.75,-200.)); #499664=CARTESIAN_POINT('',(31.575,14.75,0.)); #499665=CARTESIAN_POINT('Origin',(31.75,14.75,0.)); #499666=CARTESIAN_POINT('',(31.575,14.75,1.49999999999999)); #499667=CARTESIAN_POINT('',(31.575,14.75,-200.)); #499668=CARTESIAN_POINT('Origin',(31.75,14.75,1.49999999999999)); #499669=CARTESIAN_POINT('Origin',(41.25,13.0029,-200.)); #499670=CARTESIAN_POINT('',(41.075,13.0029,0.)); #499671=CARTESIAN_POINT('Origin',(41.25,13.0029,0.)); #499672=CARTESIAN_POINT('',(41.075,13.0029,1.49999999999999)); #499673=CARTESIAN_POINT('',(41.075,13.0029,-200.)); #499674=CARTESIAN_POINT('Origin',(41.25,13.0029,1.49999999999999)); #499675=CARTESIAN_POINT('Origin',(6.5,34.,-200.)); #499676=CARTESIAN_POINT('',(6.325,34.,0.)); #499677=CARTESIAN_POINT('Origin',(6.5,34.,0.)); #499678=CARTESIAN_POINT('',(6.325,34.,1.49999999999999)); #499679=CARTESIAN_POINT('',(6.325,34.,-200.)); #499680=CARTESIAN_POINT('Origin',(6.5,34.,1.49999999999999)); #499681=CARTESIAN_POINT('Origin',(36.4,7.6,-200.)); #499682=CARTESIAN_POINT('',(36.25,7.6,0.)); #499683=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #499684=CARTESIAN_POINT('',(36.25,7.6,1.49999999999999)); #499685=CARTESIAN_POINT('',(36.25,7.6,-200.)); #499686=CARTESIAN_POINT('Origin',(36.4,7.6,1.49999999999999)); #499687=CARTESIAN_POINT('Origin',(33.25,17.,-200.)); #499688=CARTESIAN_POINT('',(33.075,17.,0.)); #499689=CARTESIAN_POINT('Origin',(33.25,17.,0.)); #499690=CARTESIAN_POINT('',(33.075,17.,1.49999999999999)); #499691=CARTESIAN_POINT('',(33.075,17.,-200.)); #499692=CARTESIAN_POINT('Origin',(33.25,17.,1.49999999999999)); #499693=CARTESIAN_POINT('Origin',(34.9375,12.25,-200.)); #499694=CARTESIAN_POINT('',(34.7625,12.25,0.)); #499695=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #499696=CARTESIAN_POINT('',(34.7625,12.25,1.49999999999999)); #499697=CARTESIAN_POINT('',(34.7625,12.25,-200.)); #499698=CARTESIAN_POINT('Origin',(34.9375,12.25,1.49999999999999)); #499699=CARTESIAN_POINT('Origin',(7.25,33.25,-200.)); #499700=CARTESIAN_POINT('',(7.075,33.25,0.)); #499701=CARTESIAN_POINT('Origin',(7.25,33.25,0.)); #499702=CARTESIAN_POINT('',(7.075,33.25,1.49999999999999)); #499703=CARTESIAN_POINT('',(7.075,33.25,-200.)); #499704=CARTESIAN_POINT('Origin',(7.25,33.25,1.49999999999999)); #499705=CARTESIAN_POINT('Origin',(38.762,60.25,-200.)); #499706=CARTESIAN_POINT('',(38.587,60.25,0.)); #499707=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #499708=CARTESIAN_POINT('',(38.587,60.25,1.49999999999999)); #499709=CARTESIAN_POINT('',(38.587,60.25,-200.)); #499710=CARTESIAN_POINT('Origin',(38.762,60.25,1.49999999999999)); #499711=CARTESIAN_POINT('Origin',(32.5,14.75,-200.)); #499712=CARTESIAN_POINT('',(32.325,14.75,0.)); #499713=CARTESIAN_POINT('Origin',(32.5,14.75,0.)); #499714=CARTESIAN_POINT('',(32.325,14.75,1.49999999999999)); #499715=CARTESIAN_POINT('',(32.325,14.75,-200.)); #499716=CARTESIAN_POINT('Origin',(32.5,14.75,1.49999999999999)); #499717=CARTESIAN_POINT('Origin',(34.,55.,-200.)); #499718=CARTESIAN_POINT('',(33.825,55.,0.)); #499719=CARTESIAN_POINT('Origin',(34.,55.,0.)); #499720=CARTESIAN_POINT('',(33.825,55.,1.49999999999999)); #499721=CARTESIAN_POINT('',(33.825,55.,-200.)); #499722=CARTESIAN_POINT('Origin',(34.,55.,1.49999999999999)); #499723=CARTESIAN_POINT('Origin',(6.5,33.25,-200.)); #499724=CARTESIAN_POINT('',(6.325,33.25,0.)); #499725=CARTESIAN_POINT('Origin',(6.5,33.25,0.)); #499726=CARTESIAN_POINT('',(6.325,33.25,1.49999999999999)); #499727=CARTESIAN_POINT('',(6.325,33.25,-200.)); #499728=CARTESIAN_POINT('Origin',(6.5,33.25,1.49999999999999)); #499729=CARTESIAN_POINT('Origin',(42.5,14.25,-200.)); #499730=CARTESIAN_POINT('',(42.325,14.25,0.)); #499731=CARTESIAN_POINT('Origin',(42.5,14.25,0.)); #499732=CARTESIAN_POINT('',(42.325,14.25,1.49999999999999)); #499733=CARTESIAN_POINT('',(42.325,14.25,-200.)); #499734=CARTESIAN_POINT('Origin',(42.5,14.25,1.49999999999999)); #499735=CARTESIAN_POINT('Origin',(39.25,15.75,-200.)); #499736=CARTESIAN_POINT('',(39.075,15.75,0.)); #499737=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #499738=CARTESIAN_POINT('',(39.075,15.75,1.49999999999999)); #499739=CARTESIAN_POINT('',(39.075,15.75,-200.)); #499740=CARTESIAN_POINT('Origin',(39.25,15.75,1.49999999999999)); #499741=CARTESIAN_POINT('Origin',(30.5,10.5,-200.)); #499742=CARTESIAN_POINT('',(30.325,10.5,0.)); #499743=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #499744=CARTESIAN_POINT('',(30.325,10.5,1.49999999999999)); #499745=CARTESIAN_POINT('',(30.325,10.5,-200.)); #499746=CARTESIAN_POINT('Origin',(30.5,10.5,1.49999999999999)); #499747=CARTESIAN_POINT('Origin',(9.5,34.75,-200.)); #499748=CARTESIAN_POINT('',(9.325,34.75,0.)); #499749=CARTESIAN_POINT('Origin',(9.5,34.75,0.)); #499750=CARTESIAN_POINT('',(9.325,34.75,1.49999999999999)); #499751=CARTESIAN_POINT('',(9.325,34.75,-200.)); #499752=CARTESIAN_POINT('Origin',(9.5,34.75,1.49999999999999)); #499753=CARTESIAN_POINT('Origin',(36.5,10.5,-200.)); #499754=CARTESIAN_POINT('',(36.325,10.5,0.)); #499755=CARTESIAN_POINT('Origin',(36.5,10.5,0.)); #499756=CARTESIAN_POINT('',(36.325,10.5,1.49999999999999)); #499757=CARTESIAN_POINT('',(36.325,10.5,-200.)); #499758=CARTESIAN_POINT('Origin',(36.5,10.5,1.49999999999999)); #499759=CARTESIAN_POINT('Origin',(34.,14.75,-200.)); #499760=CARTESIAN_POINT('',(33.825,14.75,0.)); #499761=CARTESIAN_POINT('Origin',(34.,14.75,0.)); #499762=CARTESIAN_POINT('',(33.825,14.75,1.49999999999999)); #499763=CARTESIAN_POINT('',(33.825,14.75,-200.)); #499764=CARTESIAN_POINT('Origin',(34.,14.75,1.49999999999999)); #499765=CARTESIAN_POINT('Origin',(35.75,11.25,-200.)); #499766=CARTESIAN_POINT('',(35.575,11.25,0.)); #499767=CARTESIAN_POINT('Origin',(35.75,11.25,0.)); #499768=CARTESIAN_POINT('',(35.575,11.25,1.49999999999999)); #499769=CARTESIAN_POINT('',(35.575,11.25,-200.)); #499770=CARTESIAN_POINT('Origin',(35.75,11.25,1.49999999999999)); #499771=CARTESIAN_POINT('Origin',(10.25,34.75,-200.)); #499772=CARTESIAN_POINT('',(10.075,34.75,0.)); #499773=CARTESIAN_POINT('Origin',(10.25,34.75,0.)); #499774=CARTESIAN_POINT('',(10.075,34.75,1.49999999999999)); #499775=CARTESIAN_POINT('',(10.075,34.75,-200.)); #499776=CARTESIAN_POINT('Origin',(10.25,34.75,1.49999999999999)); #499777=CARTESIAN_POINT('Origin',(30.5,11.25,-200.)); #499778=CARTESIAN_POINT('',(30.325,11.25,0.)); #499779=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #499780=CARTESIAN_POINT('',(30.325,11.25,1.49999999999999)); #499781=CARTESIAN_POINT('',(30.325,11.25,-200.)); #499782=CARTESIAN_POINT('Origin',(30.5,11.25,1.49999999999999)); #499783=CARTESIAN_POINT('Origin',(34.,16.25,-200.)); #499784=CARTESIAN_POINT('',(33.825,16.25,0.)); #499785=CARTESIAN_POINT('Origin',(34.,16.25,0.)); #499786=CARTESIAN_POINT('',(33.825,16.25,1.49999999999999)); #499787=CARTESIAN_POINT('',(33.825,16.25,-200.)); #499788=CARTESIAN_POINT('Origin',(34.,16.25,1.49999999999999)); #499789=CARTESIAN_POINT('Origin',(39.25,16.5,-200.)); #499790=CARTESIAN_POINT('',(39.075,16.5,0.)); #499791=CARTESIAN_POINT('Origin',(39.25,16.5,0.)); #499792=CARTESIAN_POINT('',(39.075,16.5,1.49999999999999)); #499793=CARTESIAN_POINT('',(39.075,16.5,-200.)); #499794=CARTESIAN_POINT('Origin',(39.25,16.5,1.49999999999999)); #499795=CARTESIAN_POINT('Origin',(7.25,34.75,-200.)); #499796=CARTESIAN_POINT('',(7.075,34.75,0.)); #499797=CARTESIAN_POINT('Origin',(7.25,34.75,0.)); #499798=CARTESIAN_POINT('',(7.075,34.75,1.49999999999999)); #499799=CARTESIAN_POINT('',(7.075,34.75,-200.)); #499800=CARTESIAN_POINT('Origin',(7.25,34.75,1.49999999999999)); #499801=CARTESIAN_POINT('Origin',(30.762,60.25,-200.)); #499802=CARTESIAN_POINT('',(30.587,60.25,0.)); #499803=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #499804=CARTESIAN_POINT('',(30.587,60.25,1.49999999999999)); #499805=CARTESIAN_POINT('',(30.587,60.25,-200.)); #499806=CARTESIAN_POINT('Origin',(30.762,60.25,1.49999999999999)); #499807=CARTESIAN_POINT('Origin',(33.25,14.75,-200.)); #499808=CARTESIAN_POINT('',(33.075,14.75,0.)); #499809=CARTESIAN_POINT('Origin',(33.25,14.75,0.)); #499810=CARTESIAN_POINT('',(33.075,14.75,1.49999999999999)); #499811=CARTESIAN_POINT('',(33.075,14.75,-200.)); #499812=CARTESIAN_POINT('Origin',(33.25,14.75,1.49999999999999)); #499813=CARTESIAN_POINT('Origin',(33.25,55.,-200.)); #499814=CARTESIAN_POINT('',(33.075,55.,0.)); #499815=CARTESIAN_POINT('Origin',(33.25,55.,0.)); #499816=CARTESIAN_POINT('',(33.075,55.,1.49999999999999)); #499817=CARTESIAN_POINT('',(33.075,55.,-200.)); #499818=CARTESIAN_POINT('Origin',(33.25,55.,1.49999999999999)); #499819=CARTESIAN_POINT('Origin',(7.25,34.,-200.)); #499820=CARTESIAN_POINT('',(7.075,34.,0.)); #499821=CARTESIAN_POINT('Origin',(7.25,34.,0.)); #499822=CARTESIAN_POINT('',(7.075,34.,1.49999999999999)); #499823=CARTESIAN_POINT('',(7.075,34.,-200.)); #499824=CARTESIAN_POINT('Origin',(7.25,34.,1.49999999999999)); #499825=CARTESIAN_POINT('Origin',(34.762,60.25,-200.)); #499826=CARTESIAN_POINT('',(34.587,60.25,0.)); #499827=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #499828=CARTESIAN_POINT('',(34.587,60.25,1.49999999999999)); #499829=CARTESIAN_POINT('',(34.587,60.25,-200.)); #499830=CARTESIAN_POINT('Origin',(34.762,60.25,1.49999999999999)); #499831=CARTESIAN_POINT('Origin',(55.75,34.,-200.)); #499832=CARTESIAN_POINT('',(55.25,34.,0.)); #499833=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #499834=CARTESIAN_POINT('',(55.25,34.,1.49999999999999)); #499835=CARTESIAN_POINT('',(55.25,34.,-200.)); #499836=CARTESIAN_POINT('Origin',(55.75,34.,1.49999999999999)); #499837=CARTESIAN_POINT('Origin',(30.03,2.09999997186536,-200.)); #499838=CARTESIAN_POINT('',(30.03,2.89999999062179,1.49999999999999)); #499839=CARTESIAN_POINT('',(30.03,2.09999997186536,1.49999999999999)); #499840=CARTESIAN_POINT('',(30.0300000000001,18.0499999859327,1.5)); #499841=CARTESIAN_POINT('',(30.03,2.89999999062179,0.)); #499842=CARTESIAN_POINT('',(30.03,2.89999999062179,-200.)); #499843=CARTESIAN_POINT('',(30.03,2.09999997186536,0.)); #499844=CARTESIAN_POINT('',(30.0300000000001,18.0499999859327,0.)); #499845=CARTESIAN_POINT('',(30.03,2.09999997186536,-200.)); #499846=CARTESIAN_POINT('Origin',(29.68,2.1,-200.)); #499847=CARTESIAN_POINT('',(29.33,2.10000000937821,1.49999999999999)); #499848=CARTESIAN_POINT('Origin',(29.68,2.1,1.49999999999999)); #499849=CARTESIAN_POINT('',(29.33,2.10000000937821,0.)); #499850=CARTESIAN_POINT('Origin',(29.68,2.1,0.)); #499851=CARTESIAN_POINT('',(29.33,2.10000000937821,-200.)); #499852=CARTESIAN_POINT('Origin',(29.33,2.90000002813464,-200.)); #499853=CARTESIAN_POINT('',(29.33,2.90000002813464,1.49999999999999)); #499854=CARTESIAN_POINT('',(29.3300000000001,18.4500000140673,1.5)); #499855=CARTESIAN_POINT('',(29.33,2.90000002813464,0.)); #499856=CARTESIAN_POINT('',(29.3300000000001,18.4500000140673,0.)); #499857=CARTESIAN_POINT('',(29.33,2.90000002813464,-200.)); #499858=CARTESIAN_POINT('Origin',(29.68,2.9,-200.)); #499859=CARTESIAN_POINT('Origin',(29.68,2.9,1.49999999999999)); #499860=CARTESIAN_POINT('Origin',(29.68,2.9,0.)); #499861=CARTESIAN_POINT('Origin',(35.25,6.25,-200.)); #499862=CARTESIAN_POINT('',(35.075,6.25,0.)); #499863=CARTESIAN_POINT('Origin',(35.25,6.25,0.)); #499864=CARTESIAN_POINT('',(35.075,6.25,1.49999999999999)); #499865=CARTESIAN_POINT('',(35.075,6.25,-200.)); #499866=CARTESIAN_POINT('Origin',(35.25,6.25,1.49999999999999)); #499867=CARTESIAN_POINT('Origin',(29.4500000482308,34.6,-200.)); #499868=CARTESIAN_POINT('',(28.8500000160769,34.6,1.49999999999999)); #499869=CARTESIAN_POINT('',(29.4500000482308,34.6,1.49999999999999)); #499870=CARTESIAN_POINT('',(31.7250000241154,34.6,1.5)); #499871=CARTESIAN_POINT('',(28.8500000160769,34.6,0.)); #499872=CARTESIAN_POINT('',(28.8500000160769,34.6,-200.)); #499873=CARTESIAN_POINT('',(29.4500000482308,34.6,0.)); #499874=CARTESIAN_POINT('',(31.7250000241154,34.6,0.)); #499875=CARTESIAN_POINT('',(29.4500000482308,34.6,-200.)); #499876=CARTESIAN_POINT('Origin',(29.45,34.,-200.)); #499877=CARTESIAN_POINT('',(29.4499999839231,33.4,1.49999999999999)); #499878=CARTESIAN_POINT('Origin',(29.45,34.,1.49999999999999)); #499879=CARTESIAN_POINT('',(29.4499999839231,33.4,0.)); #499880=CARTESIAN_POINT('Origin',(29.45,34.,0.)); #499881=CARTESIAN_POINT('',(29.4499999839231,33.4,-200.)); #499882=CARTESIAN_POINT('Origin',(28.8499999517692,33.4,-200.)); #499883=CARTESIAN_POINT('',(28.8499999517692,33.4,1.49999999999999)); #499884=CARTESIAN_POINT('',(31.4249999758846,33.4,1.5)); #499885=CARTESIAN_POINT('',(28.8499999517692,33.4,0.)); #499886=CARTESIAN_POINT('',(31.4249999758846,33.4,0.)); #499887=CARTESIAN_POINT('',(28.8499999517692,33.4,-200.)); #499888=CARTESIAN_POINT('Origin',(28.85,34.,-200.)); #499889=CARTESIAN_POINT('Origin',(28.85,34.,1.49999999999999)); #499890=CARTESIAN_POINT('Origin',(28.85,34.,0.)); #499891=CARTESIAN_POINT('Origin',(31.75,16.25,-200.)); #499892=CARTESIAN_POINT('',(31.575,16.25,0.)); #499893=CARTESIAN_POINT('Origin',(31.75,16.25,0.)); #499894=CARTESIAN_POINT('',(31.575,16.25,1.49999999999999)); #499895=CARTESIAN_POINT('',(31.575,16.25,-200.)); #499896=CARTESIAN_POINT('Origin',(31.75,16.25,1.49999999999999)); #499897=CARTESIAN_POINT('Origin',(30.8,7.6,-200.)); #499898=CARTESIAN_POINT('',(30.65,7.6,0.)); #499899=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #499900=CARTESIAN_POINT('',(30.65,7.6,1.49999999999999)); #499901=CARTESIAN_POINT('',(30.65,7.6,-200.)); #499902=CARTESIAN_POINT('Origin',(30.8,7.6,1.49999999999999)); #499903=CARTESIAN_POINT('Origin',(34.25,8.25,-200.)); #499904=CARTESIAN_POINT('',(34.075,8.25,0.)); #499905=CARTESIAN_POINT('Origin',(34.25,8.25,0.)); #499906=CARTESIAN_POINT('',(34.075,8.25,1.49999999999999)); #499907=CARTESIAN_POINT('',(34.075,8.25,-200.)); #499908=CARTESIAN_POINT('Origin',(34.25,8.25,1.49999999999999)); #499909=CARTESIAN_POINT('Origin',(39.1500000482308,34.6,-200.)); #499910=CARTESIAN_POINT('',(38.5500000160769,34.6,1.49999999999999)); #499911=CARTESIAN_POINT('',(39.1500000482308,34.6,1.49999999999999)); #499912=CARTESIAN_POINT('',(36.5750000241154,34.6,1.5)); #499913=CARTESIAN_POINT('',(38.5500000160769,34.6,0.)); #499914=CARTESIAN_POINT('',(38.5500000160769,34.6,-200.)); #499915=CARTESIAN_POINT('',(39.1500000482308,34.6,0.)); #499916=CARTESIAN_POINT('',(36.5750000241154,34.6,0.)); #499917=CARTESIAN_POINT('',(39.1500000482308,34.6,-200.)); #499918=CARTESIAN_POINT('Origin',(39.15,34.,-200.)); #499919=CARTESIAN_POINT('',(39.1499999839231,33.4,1.49999999999999)); #499920=CARTESIAN_POINT('Origin',(39.15,34.,1.49999999999999)); #499921=CARTESIAN_POINT('',(39.1499999839231,33.4,0.)); #499922=CARTESIAN_POINT('Origin',(39.15,34.,0.)); #499923=CARTESIAN_POINT('',(39.1499999839231,33.4,-200.)); #499924=CARTESIAN_POINT('Origin',(38.5499999517692,33.4,-200.)); #499925=CARTESIAN_POINT('',(38.5499999517692,33.4,1.49999999999999)); #499926=CARTESIAN_POINT('',(36.2749999758846,33.4,1.5)); #499927=CARTESIAN_POINT('',(38.5499999517692,33.4,0.)); #499928=CARTESIAN_POINT('',(36.2749999758846,33.4,0.)); #499929=CARTESIAN_POINT('',(38.5499999517692,33.4,-200.)); #499930=CARTESIAN_POINT('Origin',(38.55,34.,-200.)); #499931=CARTESIAN_POINT('Origin',(38.55,34.,1.49999999999999)); #499932=CARTESIAN_POINT('Origin',(38.55,34.,0.)); #499933=CARTESIAN_POINT('Origin',(34.75,17.75,-200.)); #499934=CARTESIAN_POINT('',(34.575,17.75,0.)); #499935=CARTESIAN_POINT('Origin',(34.75,17.75,0.)); #499936=CARTESIAN_POINT('',(34.575,17.75,1.49999999999999)); #499937=CARTESIAN_POINT('',(34.575,17.75,-200.)); #499938=CARTESIAN_POINT('Origin',(34.75,17.75,1.49999999999999)); #499939=CARTESIAN_POINT('Origin',(37.2,7.1,-200.)); #499940=CARTESIAN_POINT('',(37.05,7.1,0.)); #499941=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #499942=CARTESIAN_POINT('',(37.05,7.1,1.49999999999999)); #499943=CARTESIAN_POINT('',(37.05,7.1,-200.)); #499944=CARTESIAN_POINT('Origin',(37.2,7.1,1.49999999999999)); #499945=CARTESIAN_POINT('Origin',(32.75,8.25,-200.)); #499946=CARTESIAN_POINT('',(32.575,8.25,0.)); #499947=CARTESIAN_POINT('Origin',(32.75,8.25,0.)); #499948=CARTESIAN_POINT('',(32.575,8.25,1.49999999999999)); #499949=CARTESIAN_POINT('',(32.575,8.25,-200.)); #499950=CARTESIAN_POINT('Origin',(32.75,8.25,1.49999999999999)); #499951=CARTESIAN_POINT('Origin',(31.6,7.1,-200.)); #499952=CARTESIAN_POINT('',(31.45,7.1,0.)); #499953=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #499954=CARTESIAN_POINT('',(31.45,7.1,1.49999999999999)); #499955=CARTESIAN_POINT('',(31.45,7.1,-200.)); #499956=CARTESIAN_POINT('Origin',(31.6,7.1,1.49999999999999)); #499957=CARTESIAN_POINT('Origin',(31.75,15.5,-200.)); #499958=CARTESIAN_POINT('',(31.575,15.5,0.)); #499959=CARTESIAN_POINT('Origin',(31.75,15.5,0.)); #499960=CARTESIAN_POINT('',(31.575,15.5,1.49999999999999)); #499961=CARTESIAN_POINT('',(31.575,15.5,-200.)); #499962=CARTESIAN_POINT('Origin',(31.75,15.5,1.49999999999999)); #499963=CARTESIAN_POINT('Origin',(37.2,7.6,-200.)); #499964=CARTESIAN_POINT('',(37.05,7.6,0.)); #499965=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #499966=CARTESIAN_POINT('',(37.05,7.6,1.49999999999999)); #499967=CARTESIAN_POINT('',(37.05,7.6,-200.)); #499968=CARTESIAN_POINT('Origin',(37.2,7.6,1.49999999999999)); #499969=CARTESIAN_POINT('Origin',(33.75,6.2426,-200.)); #499970=CARTESIAN_POINT('',(33.575,6.2426,0.)); #499971=CARTESIAN_POINT('Origin',(33.75,6.2426,0.)); #499972=CARTESIAN_POINT('',(33.575,6.2426,1.49999999999999)); #499973=CARTESIAN_POINT('',(33.575,6.2426,-200.)); #499974=CARTESIAN_POINT('Origin',(33.75,6.2426,1.49999999999999)); #499975=CARTESIAN_POINT('Origin',(30.8,7.1,-200.)); #499976=CARTESIAN_POINT('',(30.65,7.1,0.)); #499977=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #499978=CARTESIAN_POINT('',(30.65,7.1,1.49999999999999)); #499979=CARTESIAN_POINT('',(30.65,7.1,-200.)); #499980=CARTESIAN_POINT('Origin',(30.8,7.1,1.49999999999999)); #499981=CARTESIAN_POINT('Origin',(34.75,55.,-200.)); #499982=CARTESIAN_POINT('',(34.575,55.,0.)); #499983=CARTESIAN_POINT('Origin',(34.75,55.,0.)); #499984=CARTESIAN_POINT('',(34.575,55.,1.49999999999999)); #499985=CARTESIAN_POINT('',(34.575,55.,-200.)); #499986=CARTESIAN_POINT('Origin',(34.75,55.,1.49999999999999)); #499987=CARTESIAN_POINT('Origin',(42.25,28.5,-200.)); #499988=CARTESIAN_POINT('',(42.075,28.5,0.)); #499989=CARTESIAN_POINT('Origin',(42.25,28.5,0.)); #499990=CARTESIAN_POINT('',(42.075,28.5,1.49999999999999)); #499991=CARTESIAN_POINT('',(42.075,28.5,-200.)); #499992=CARTESIAN_POINT('Origin',(42.25,28.5,1.49999999999999)); #499993=CARTESIAN_POINT('Origin',(30.03,6.27999997186536,-200.)); #499994=CARTESIAN_POINT('',(30.03,7.07999999062179,1.49999999999999)); #499995=CARTESIAN_POINT('',(30.03,6.27999997186536,1.49999999999999)); #499996=CARTESIAN_POINT('',(30.0300000000001,20.1399999859327,1.5)); #499997=CARTESIAN_POINT('',(30.03,7.07999999062179,0.)); #499998=CARTESIAN_POINT('',(30.03,7.07999999062179,-200.)); #499999=CARTESIAN_POINT('',(30.03,6.27999997186536,0.)); #500000=CARTESIAN_POINT('',(30.0300000000001,20.1399999859327,0.)); #500001=CARTESIAN_POINT('',(30.03,6.27999997186536,-200.)); #500002=CARTESIAN_POINT('Origin',(29.68,6.28,-200.)); #500003=CARTESIAN_POINT('',(29.33,6.28000000937821,1.49999999999999)); #500004=CARTESIAN_POINT('Origin',(29.68,6.28,1.49999999999999)); #500005=CARTESIAN_POINT('',(29.33,6.28000000937821,0.)); #500006=CARTESIAN_POINT('Origin',(29.68,6.28,0.)); #500007=CARTESIAN_POINT('',(29.33,6.28000000937821,-200.)); #500008=CARTESIAN_POINT('Origin',(29.33,7.08000002813464,-200.)); #500009=CARTESIAN_POINT('',(29.33,7.08000002813464,1.49999999999999)); #500010=CARTESIAN_POINT('',(29.3300000000001,20.5400000140673,1.5)); #500011=CARTESIAN_POINT('',(29.33,7.08000002813464,0.)); #500012=CARTESIAN_POINT('',(29.3300000000001,20.5400000140673,0.)); #500013=CARTESIAN_POINT('',(29.33,7.08000002813464,-200.)); #500014=CARTESIAN_POINT('Origin',(29.68,7.08,-200.)); #500015=CARTESIAN_POINT('Origin',(29.68,7.08,1.49999999999999)); #500016=CARTESIAN_POINT('Origin',(29.68,7.08,0.)); #500017=CARTESIAN_POINT('Origin',(31.75,17.,-200.)); #500018=CARTESIAN_POINT('',(31.575,17.,0.)); #500019=CARTESIAN_POINT('Origin',(31.75,17.,0.)); #500020=CARTESIAN_POINT('',(31.575,17.,1.49999999999999)); #500021=CARTESIAN_POINT('',(31.575,17.,-200.)); #500022=CARTESIAN_POINT('Origin',(31.75,17.,1.49999999999999)); #500023=CARTESIAN_POINT('Origin',(38.67,2.09999997186536,-200.)); #500024=CARTESIAN_POINT('',(38.67,2.89999999062179,1.49999999999999)); #500025=CARTESIAN_POINT('',(38.67,2.09999997186536,1.49999999999999)); #500026=CARTESIAN_POINT('',(38.6700000000001,18.0499999859327,1.5)); #500027=CARTESIAN_POINT('',(38.67,2.89999999062179,0.)); #500028=CARTESIAN_POINT('',(38.67,2.89999999062179,-200.)); #500029=CARTESIAN_POINT('',(38.67,2.09999997186536,0.)); #500030=CARTESIAN_POINT('',(38.6700000000001,18.0499999859327,0.)); #500031=CARTESIAN_POINT('',(38.67,2.09999997186536,-200.)); #500032=CARTESIAN_POINT('Origin',(38.32,2.1,-200.)); #500033=CARTESIAN_POINT('',(37.97,2.10000000937821,1.49999999999999)); #500034=CARTESIAN_POINT('Origin',(38.32,2.1,1.49999999999999)); #500035=CARTESIAN_POINT('',(37.97,2.10000000937821,0.)); #500036=CARTESIAN_POINT('Origin',(38.32,2.1,0.)); #500037=CARTESIAN_POINT('',(37.97,2.10000000937821,-200.)); #500038=CARTESIAN_POINT('Origin',(37.97,2.90000002813464,-200.)); #500039=CARTESIAN_POINT('',(37.97,2.90000002813464,1.49999999999999)); #500040=CARTESIAN_POINT('',(37.9700000000001,18.4500000140673,1.5)); #500041=CARTESIAN_POINT('',(37.97,2.90000002813464,0.)); #500042=CARTESIAN_POINT('',(37.9700000000001,18.4500000140673,0.)); #500043=CARTESIAN_POINT('',(37.97,2.90000002813464,-200.)); #500044=CARTESIAN_POINT('Origin',(38.32,2.9,-200.)); #500045=CARTESIAN_POINT('Origin',(38.32,2.9,1.49999999999999)); #500046=CARTESIAN_POINT('Origin',(38.32,2.9,0.)); #500047=CARTESIAN_POINT('Origin',(34.,23.125,-200.)); #500048=CARTESIAN_POINT('',(33.825,23.125,0.)); #500049=CARTESIAN_POINT('Origin',(34.,23.125,0.)); #500050=CARTESIAN_POINT('',(33.825,23.125,1.49999999999999)); #500051=CARTESIAN_POINT('',(33.825,23.125,-200.)); #500052=CARTESIAN_POINT('Origin',(34.,23.125,1.49999999999999)); #500053=CARTESIAN_POINT('Origin',(38.67,6.27999997186536,-200.)); #500054=CARTESIAN_POINT('',(38.67,7.07999999062179,1.49999999999999)); #500055=CARTESIAN_POINT('',(38.67,6.27999997186536,1.49999999999999)); #500056=CARTESIAN_POINT('',(38.6700000000001,20.1399999859327,1.5)); #500057=CARTESIAN_POINT('',(38.67,7.07999999062179,0.)); #500058=CARTESIAN_POINT('',(38.67,7.07999999062179,-200.)); #500059=CARTESIAN_POINT('',(38.67,6.27999997186536,0.)); #500060=CARTESIAN_POINT('',(38.6700000000001,20.1399999859327,0.)); #500061=CARTESIAN_POINT('',(38.67,6.27999997186536,-200.)); #500062=CARTESIAN_POINT('Origin',(38.32,6.28,-200.)); #500063=CARTESIAN_POINT('',(37.97,6.28000000937821,1.49999999999999)); #500064=CARTESIAN_POINT('Origin',(38.32,6.28,1.49999999999999)); #500065=CARTESIAN_POINT('',(37.97,6.28000000937821,0.)); #500066=CARTESIAN_POINT('Origin',(38.32,6.28,0.)); #500067=CARTESIAN_POINT('',(37.97,6.28000000937821,-200.)); #500068=CARTESIAN_POINT('Origin',(37.97,7.08000002813464,-200.)); #500069=CARTESIAN_POINT('',(37.97,7.08000002813464,1.49999999999999)); #500070=CARTESIAN_POINT('',(37.9700000000001,20.5400000140673,1.5)); #500071=CARTESIAN_POINT('',(37.97,7.08000002813464,0.)); #500072=CARTESIAN_POINT('',(37.9700000000001,20.5400000140673,0.)); #500073=CARTESIAN_POINT('',(37.97,7.08000002813464,-200.)); #500074=CARTESIAN_POINT('Origin',(38.32,7.08,-200.)); #500075=CARTESIAN_POINT('Origin',(38.32,7.08,1.49999999999999)); #500076=CARTESIAN_POINT('Origin',(38.32,7.08,0.)); #500077=CARTESIAN_POINT('Origin',(33.25,17.75,-200.)); #500078=CARTESIAN_POINT('',(33.075,17.75,0.)); #500079=CARTESIAN_POINT('Origin',(33.25,17.75,0.)); #500080=CARTESIAN_POINT('',(33.075,17.75,1.49999999999999)); #500081=CARTESIAN_POINT('',(33.075,17.75,-200.)); #500082=CARTESIAN_POINT('Origin',(33.25,17.75,1.49999999999999)); #500083=CARTESIAN_POINT('Origin',(40.75,27.,-200.)); #500084=CARTESIAN_POINT('',(40.575,27.,0.)); #500085=CARTESIAN_POINT('Origin',(40.75,27.,0.)); #500086=CARTESIAN_POINT('',(40.575,27.,1.49999999999999)); #500087=CARTESIAN_POINT('',(40.575,27.,-200.)); #500088=CARTESIAN_POINT('Origin',(40.75,27.,1.49999999999999)); #500089=CARTESIAN_POINT('Origin',(31.75,17.75,-200.)); #500090=CARTESIAN_POINT('',(31.575,17.75,0.)); #500091=CARTESIAN_POINT('Origin',(31.75,17.75,0.)); #500092=CARTESIAN_POINT('',(31.575,17.75,1.49999999999999)); #500093=CARTESIAN_POINT('',(31.575,17.75,-200.)); #500094=CARTESIAN_POINT('Origin',(31.75,17.75,1.49999999999999)); #500095=CARTESIAN_POINT('Origin',(15.25,21.,-200.)); #500096=CARTESIAN_POINT('',(15.075,21.,0.)); #500097=CARTESIAN_POINT('Origin',(15.25,21.,0.)); #500098=CARTESIAN_POINT('',(15.075,21.,1.49999999999999)); #500099=CARTESIAN_POINT('',(15.075,21.,-200.)); #500100=CARTESIAN_POINT('Origin',(15.25,21.,1.49999999999999)); #500101=CARTESIAN_POINT('Origin',(32.73,45.,-200.)); #500102=CARTESIAN_POINT('',(32.13,45.,0.)); #500103=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #500104=CARTESIAN_POINT('',(32.13,45.,1.49999999999999)); #500105=CARTESIAN_POINT('',(32.13,45.,-200.)); #500106=CARTESIAN_POINT('Origin',(32.73,45.,1.49999999999999)); #500107=CARTESIAN_POINT('Origin',(45.125,56.75,-200.)); #500108=CARTESIAN_POINT('',(44.95,56.75,0.)); #500109=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #500110=CARTESIAN_POINT('',(44.95,56.75,1.49999999999999)); #500111=CARTESIAN_POINT('',(44.95,56.75,-200.)); #500112=CARTESIAN_POINT('Origin',(45.125,56.75,1.49999999999999)); #500113=CARTESIAN_POINT('Origin',(55.25,21.75,-200.)); #500114=CARTESIAN_POINT('',(55.075,21.75,0.)); #500115=CARTESIAN_POINT('Origin',(55.25,21.75,0.)); #500116=CARTESIAN_POINT('',(55.075,21.75,1.49999999999999)); #500117=CARTESIAN_POINT('',(55.075,21.75,-200.)); #500118=CARTESIAN_POINT('Origin',(55.25,21.75,1.49999999999999)); #500119=CARTESIAN_POINT('Origin',(47.75,56.75,-200.)); #500120=CARTESIAN_POINT('',(47.575,56.75,0.)); #500121=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #500122=CARTESIAN_POINT('',(47.575,56.75,1.49999999999999)); #500123=CARTESIAN_POINT('',(47.575,56.75,-200.)); #500124=CARTESIAN_POINT('Origin',(47.75,56.75,1.49999999999999)); #500125=CARTESIAN_POINT('Origin',(35.5,15.5,-200.)); #500126=CARTESIAN_POINT('',(35.325,15.5,0.)); #500127=CARTESIAN_POINT('Origin',(35.5,15.5,0.)); #500128=CARTESIAN_POINT('',(35.325,15.5,1.49999999999999)); #500129=CARTESIAN_POINT('',(35.325,15.5,-200.)); #500130=CARTESIAN_POINT('Origin',(35.5,15.5,1.49999999999999)); #500131=CARTESIAN_POINT('Origin',(47.75,55.25,-200.)); #500132=CARTESIAN_POINT('',(47.575,55.25,0.)); #500133=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #500134=CARTESIAN_POINT('',(47.575,55.25,1.49999999999999)); #500135=CARTESIAN_POINT('',(47.575,55.25,-200.)); #500136=CARTESIAN_POINT('Origin',(47.75,55.25,1.49999999999999)); #500137=CARTESIAN_POINT('Origin',(55.25,21.,-200.)); #500138=CARTESIAN_POINT('',(55.075,21.,0.)); #500139=CARTESIAN_POINT('Origin',(55.25,21.,0.)); #500140=CARTESIAN_POINT('',(55.075,21.,1.49999999999999)); #500141=CARTESIAN_POINT('',(55.075,21.,-200.)); #500142=CARTESIAN_POINT('Origin',(55.25,21.,1.49999999999999)); #500143=CARTESIAN_POINT('Origin',(47.75,56.,-200.)); #500144=CARTESIAN_POINT('',(47.575,56.,0.)); #500145=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #500146=CARTESIAN_POINT('',(47.575,56.,1.49999999999999)); #500147=CARTESIAN_POINT('',(47.575,56.,-200.)); #500148=CARTESIAN_POINT('Origin',(47.75,56.,1.49999999999999)); #500149=CARTESIAN_POINT('Origin',(30.75,9.5,-200.)); #500150=CARTESIAN_POINT('',(30.575,9.5,0.)); #500151=CARTESIAN_POINT('Origin',(30.75,9.5,0.)); #500152=CARTESIAN_POINT('',(30.575,9.5,1.49999999999999)); #500153=CARTESIAN_POINT('',(30.575,9.5,-200.)); #500154=CARTESIAN_POINT('Origin',(30.75,9.5,1.49999999999999)); #500155=CARTESIAN_POINT('Origin',(46.,56.,-200.)); #500156=CARTESIAN_POINT('',(45.825,56.,0.)); #500157=CARTESIAN_POINT('Origin',(46.,56.,0.)); #500158=CARTESIAN_POINT('',(45.825,56.,1.49999999999999)); #500159=CARTESIAN_POINT('',(45.825,56.,-200.)); #500160=CARTESIAN_POINT('Origin',(46.,56.,1.49999999999999)); #500161=CARTESIAN_POINT('Origin',(40.,38.75,-200.)); #500162=CARTESIAN_POINT('',(39.825,38.75,0.)); #500163=CARTESIAN_POINT('Origin',(40.,38.75,0.)); #500164=CARTESIAN_POINT('',(39.825,38.75,1.49999999999999)); #500165=CARTESIAN_POINT('',(39.825,38.75,-200.)); #500166=CARTESIAN_POINT('Origin',(40.,38.75,1.49999999999999)); #500167=CARTESIAN_POINT('Origin',(44.25,56.,-200.)); #500168=CARTESIAN_POINT('',(44.075,56.,0.)); #500169=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #500170=CARTESIAN_POINT('',(44.075,56.,1.49999999999999)); #500171=CARTESIAN_POINT('',(44.075,56.,-200.)); #500172=CARTESIAN_POINT('Origin',(44.25,56.,1.49999999999999)); #500173=CARTESIAN_POINT('Origin',(34.75,15.5,-200.)); #500174=CARTESIAN_POINT('',(34.575,15.5,0.)); #500175=CARTESIAN_POINT('Origin',(34.75,15.5,0.)); #500176=CARTESIAN_POINT('',(34.575,15.5,1.49999999999999)); #500177=CARTESIAN_POINT('',(34.575,15.5,-200.)); #500178=CARTESIAN_POINT('Origin',(34.75,15.5,1.49999999999999)); #500179=CARTESIAN_POINT('Origin',(46.,56.75,-200.)); #500180=CARTESIAN_POINT('',(45.825,56.75,0.)); #500181=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #500182=CARTESIAN_POINT('',(45.825,56.75,1.49999999999999)); #500183=CARTESIAN_POINT('',(45.825,56.75,-200.)); #500184=CARTESIAN_POINT('Origin',(46.,56.75,1.49999999999999)); #500185=CARTESIAN_POINT('Origin',(40.,39.5,-200.)); #500186=CARTESIAN_POINT('',(39.825,39.5,0.)); #500187=CARTESIAN_POINT('Origin',(40.,39.5,0.)); #500188=CARTESIAN_POINT('',(39.825,39.5,1.49999999999999)); #500189=CARTESIAN_POINT('',(39.825,39.5,-200.)); #500190=CARTESIAN_POINT('Origin',(40.,39.5,1.49999999999999)); #500191=CARTESIAN_POINT('Origin',(46.,55.25,-200.)); #500192=CARTESIAN_POINT('',(45.825,55.25,0.)); #500193=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #500194=CARTESIAN_POINT('',(45.825,55.25,1.49999999999999)); #500195=CARTESIAN_POINT('',(45.825,55.25,-200.)); #500196=CARTESIAN_POINT('Origin',(46.,55.25,1.49999999999999)); #500197=CARTESIAN_POINT('Origin',(34.,25.25,-200.)); #500198=CARTESIAN_POINT('',(33.5,25.25,0.)); #500199=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #500200=CARTESIAN_POINT('',(33.5,25.25,1.49999999999999)); #500201=CARTESIAN_POINT('',(33.5,25.25,-200.)); #500202=CARTESIAN_POINT('Origin',(34.,25.25,1.49999999999999)); #500203=CARTESIAN_POINT('Origin',(52.125,47.75,-200.)); #500204=CARTESIAN_POINT('',(51.95,47.75,0.)); #500205=CARTESIAN_POINT('Origin',(52.125,47.75,0.)); #500206=CARTESIAN_POINT('',(51.95,47.75,1.49999999999999)); #500207=CARTESIAN_POINT('',(51.95,47.75,-200.)); #500208=CARTESIAN_POINT('Origin',(52.125,47.75,1.49999999999999)); #500209=CARTESIAN_POINT('Origin',(28.,38.75,-200.)); #500210=CARTESIAN_POINT('',(27.825,38.75,0.)); #500211=CARTESIAN_POINT('Origin',(28.,38.75,0.)); #500212=CARTESIAN_POINT('',(27.825,38.75,1.49999999999999)); #500213=CARTESIAN_POINT('',(27.825,38.75,-200.)); #500214=CARTESIAN_POINT('Origin',(28.,38.75,1.49999999999999)); #500215=CARTESIAN_POINT('Origin',(52.125,47.,-200.)); #500216=CARTESIAN_POINT('',(51.95,47.,0.)); #500217=CARTESIAN_POINT('Origin',(52.125,47.,0.)); #500218=CARTESIAN_POINT('',(51.95,47.,1.49999999999999)); #500219=CARTESIAN_POINT('',(51.95,47.,-200.)); #500220=CARTESIAN_POINT('Origin',(52.125,47.,1.49999999999999)); #500221=CARTESIAN_POINT('Origin',(33.25,15.5,-200.)); #500222=CARTESIAN_POINT('',(33.075,15.5,0.)); #500223=CARTESIAN_POINT('Origin',(33.25,15.5,0.)); #500224=CARTESIAN_POINT('',(33.075,15.5,1.49999999999999)); #500225=CARTESIAN_POINT('',(33.075,15.5,-200.)); #500226=CARTESIAN_POINT('Origin',(33.25,15.5,1.49999999999999)); #500227=CARTESIAN_POINT('Origin',(51.25,46.25,-200.)); #500228=CARTESIAN_POINT('',(51.075,46.25,0.)); #500229=CARTESIAN_POINT('Origin',(51.25,46.25,0.)); #500230=CARTESIAN_POINT('',(51.075,46.25,1.49999999999999)); #500231=CARTESIAN_POINT('',(51.075,46.25,-200.)); #500232=CARTESIAN_POINT('Origin',(51.25,46.25,1.49999999999999)); #500233=CARTESIAN_POINT('Origin',(28.,38.,-200.)); #500234=CARTESIAN_POINT('',(27.825,38.,0.)); #500235=CARTESIAN_POINT('Origin',(28.,38.,0.)); #500236=CARTESIAN_POINT('',(27.825,38.,1.49999999999999)); #500237=CARTESIAN_POINT('',(27.825,38.,-200.)); #500238=CARTESIAN_POINT('Origin',(28.,38.,1.49999999999999)); #500239=CARTESIAN_POINT('Origin',(52.125,46.25,-200.)); #500240=CARTESIAN_POINT('',(51.95,46.25,0.)); #500241=CARTESIAN_POINT('Origin',(52.125,46.25,0.)); #500242=CARTESIAN_POINT('',(51.95,46.25,1.49999999999999)); #500243=CARTESIAN_POINT('',(51.95,46.25,-200.)); #500244=CARTESIAN_POINT('Origin',(52.125,46.25,1.49999999999999)); #500245=CARTESIAN_POINT('Origin',(30.75,8.75,-200.)); #500246=CARTESIAN_POINT('',(30.575,8.75,0.)); #500247=CARTESIAN_POINT('Origin',(30.75,8.75,0.)); #500248=CARTESIAN_POINT('',(30.575,8.75,1.49999999999999)); #500249=CARTESIAN_POINT('',(30.575,8.75,-200.)); #500250=CARTESIAN_POINT('Origin',(30.75,8.75,1.49999999999999)); #500251=CARTESIAN_POINT('Origin',(41.25,31.,-200.)); #500252=CARTESIAN_POINT('',(41.075,31.,0.)); #500253=CARTESIAN_POINT('Origin',(41.25,31.,0.)); #500254=CARTESIAN_POINT('',(41.075,31.,1.49999999999999)); #500255=CARTESIAN_POINT('',(41.075,31.,-200.)); #500256=CARTESIAN_POINT('Origin',(41.25,31.,1.49999999999999)); #500257=CARTESIAN_POINT('Origin',(28.,39.5,-200.)); #500258=CARTESIAN_POINT('',(27.825,39.5,0.)); #500259=CARTESIAN_POINT('Origin',(28.,39.5,0.)); #500260=CARTESIAN_POINT('',(27.825,39.5,1.49999999999999)); #500261=CARTESIAN_POINT('',(27.825,39.5,-200.)); #500262=CARTESIAN_POINT('Origin',(28.,39.5,1.49999999999999)); #500263=CARTESIAN_POINT('Origin',(41.25,36.,-200.)); #500264=CARTESIAN_POINT('',(41.075,36.,0.)); #500265=CARTESIAN_POINT('Origin',(41.25,36.,0.)); #500266=CARTESIAN_POINT('',(41.075,36.,1.49999999999999)); #500267=CARTESIAN_POINT('',(41.075,36.,-200.)); #500268=CARTESIAN_POINT('Origin',(41.25,36.,1.49999999999999)); #500269=CARTESIAN_POINT('Origin',(34.,15.5,-200.)); #500270=CARTESIAN_POINT('',(33.825,15.5,0.)); #500271=CARTESIAN_POINT('Origin',(34.,15.5,0.)); #500272=CARTESIAN_POINT('',(33.825,15.5,1.49999999999999)); #500273=CARTESIAN_POINT('',(33.825,15.5,-200.)); #500274=CARTESIAN_POINT('Origin',(34.,15.5,1.49999999999999)); #500275=CARTESIAN_POINT('Origin',(44.25,56.75,-200.)); #500276=CARTESIAN_POINT('',(44.075,56.75,0.)); #500277=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #500278=CARTESIAN_POINT('',(44.075,56.75,1.49999999999999)); #500279=CARTESIAN_POINT('',(44.075,56.75,-200.)); #500280=CARTESIAN_POINT('Origin',(44.25,56.75,1.49999999999999)); #500281=CARTESIAN_POINT('Origin',(40.,38.,-200.)); #500282=CARTESIAN_POINT('',(39.825,38.,0.)); #500283=CARTESIAN_POINT('Origin',(40.,38.,0.)); #500284=CARTESIAN_POINT('',(39.825,38.,1.49999999999999)); #500285=CARTESIAN_POINT('',(39.825,38.,-200.)); #500286=CARTESIAN_POINT('Origin',(40.,38.,1.49999999999999)); #500287=CARTESIAN_POINT('Origin',(44.25,55.25,-200.)); #500288=CARTESIAN_POINT('',(44.075,55.25,0.)); #500289=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #500290=CARTESIAN_POINT('',(44.075,55.25,1.49999999999999)); #500291=CARTESIAN_POINT('',(44.075,55.25,-200.)); #500292=CARTESIAN_POINT('Origin',(44.25,55.25,1.49999999999999)); #500293=CARTESIAN_POINT('Origin',(43.75,34.,-200.)); #500294=CARTESIAN_POINT('',(43.2,34.,0.)); #500295=CARTESIAN_POINT('Origin',(43.75,34.,0.)); #500296=CARTESIAN_POINT('',(43.2,34.,1.49999999999999)); #500297=CARTESIAN_POINT('',(43.2,34.,-200.)); #500298=CARTESIAN_POINT('Origin',(43.75,34.,1.49999999999999)); #500299=CARTESIAN_POINT('Origin',(48.625,47.75,-200.)); #500300=CARTESIAN_POINT('',(48.45,47.75,0.)); #500301=CARTESIAN_POINT('Origin',(48.625,47.75,0.)); #500302=CARTESIAN_POINT('',(48.45,47.75,1.49999999999999)); #500303=CARTESIAN_POINT('',(48.45,47.75,-200.)); #500304=CARTESIAN_POINT('Origin',(48.625,47.75,1.49999999999999)); #500305=CARTESIAN_POINT('Origin',(41.,24.5,-200.)); #500306=CARTESIAN_POINT('',(40.825,24.5,0.)); #500307=CARTESIAN_POINT('Origin',(41.,24.5,0.)); #500308=CARTESIAN_POINT('',(40.825,24.5,1.49999999999999)); #500309=CARTESIAN_POINT('',(40.825,24.5,-200.)); #500310=CARTESIAN_POINT('Origin',(41.,24.5,1.49999999999999)); #500311=CARTESIAN_POINT('Origin',(48.625,47.,-200.)); #500312=CARTESIAN_POINT('',(48.45,47.,0.)); #500313=CARTESIAN_POINT('Origin',(48.625,47.,0.)); #500314=CARTESIAN_POINT('',(48.45,47.,1.49999999999999)); #500315=CARTESIAN_POINT('',(48.45,47.,-200.)); #500316=CARTESIAN_POINT('Origin',(48.625,47.,1.49999999999999)); #500317=CARTESIAN_POINT('Origin',(32.5,17.,-200.)); #500318=CARTESIAN_POINT('',(32.325,17.,0.)); #500319=CARTESIAN_POINT('Origin',(32.5,17.,0.)); #500320=CARTESIAN_POINT('',(32.325,17.,1.49999999999999)); #500321=CARTESIAN_POINT('',(32.325,17.,-200.)); #500322=CARTESIAN_POINT('Origin',(32.5,17.,1.49999999999999)); #500323=CARTESIAN_POINT('Origin',(46.875,47.75,-200.)); #500324=CARTESIAN_POINT('',(46.7,47.75,0.)); #500325=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #500326=CARTESIAN_POINT('',(46.7,47.75,1.49999999999999)); #500327=CARTESIAN_POINT('',(46.7,47.75,-200.)); #500328=CARTESIAN_POINT('Origin',(46.875,47.75,1.49999999999999)); #500329=CARTESIAN_POINT('Origin',(41.,26.,-200.)); #500330=CARTESIAN_POINT('',(40.825,26.,0.)); #500331=CARTESIAN_POINT('Origin',(41.,26.,0.)); #500332=CARTESIAN_POINT('',(40.825,26.,1.49999999999999)); #500333=CARTESIAN_POINT('',(40.825,26.,-200.)); #500334=CARTESIAN_POINT('Origin',(41.,26.,1.49999999999999)); #500335=CARTESIAN_POINT('Origin',(48.625,46.25,-200.)); #500336=CARTESIAN_POINT('',(48.45,46.25,0.)); #500337=CARTESIAN_POINT('Origin',(48.625,46.25,0.)); #500338=CARTESIAN_POINT('',(48.45,46.25,1.49999999999999)); #500339=CARTESIAN_POINT('',(48.45,46.25,-200.)); #500340=CARTESIAN_POINT('Origin',(48.625,46.25,1.49999999999999)); #500341=CARTESIAN_POINT('Origin',(34.75,5.5,-200.)); #500342=CARTESIAN_POINT('',(34.575,5.5,0.)); #500343=CARTESIAN_POINT('Origin',(34.75,5.5,0.)); #500344=CARTESIAN_POINT('',(34.575,5.5,1.49999999999999)); #500345=CARTESIAN_POINT('',(34.575,5.5,-200.)); #500346=CARTESIAN_POINT('Origin',(34.75,5.5,1.49999999999999)); #500347=CARTESIAN_POINT('Origin',(45.125,46.25,-200.)); #500348=CARTESIAN_POINT('',(44.95,46.25,0.)); #500349=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #500350=CARTESIAN_POINT('',(44.95,46.25,1.49999999999999)); #500351=CARTESIAN_POINT('',(44.95,46.25,-200.)); #500352=CARTESIAN_POINT('Origin',(45.125,46.25,1.49999999999999)); #500353=CARTESIAN_POINT('Origin',(41.75,25.25,-200.)); #500354=CARTESIAN_POINT('',(41.575,25.25,0.)); #500355=CARTESIAN_POINT('Origin',(41.75,25.25,0.)); #500356=CARTESIAN_POINT('',(41.575,25.25,1.49999999999999)); #500357=CARTESIAN_POINT('',(41.575,25.25,-200.)); #500358=CARTESIAN_POINT('Origin',(41.75,25.25,1.49999999999999)); #500359=CARTESIAN_POINT('Origin',(45.125,47.,-200.)); #500360=CARTESIAN_POINT('',(44.95,47.,0.)); #500361=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #500362=CARTESIAN_POINT('',(44.95,47.,1.49999999999999)); #500363=CARTESIAN_POINT('',(44.95,47.,-200.)); #500364=CARTESIAN_POINT('Origin',(45.125,47.,1.49999999999999)); #500365=CARTESIAN_POINT('Origin',(32.5,17.75,-200.)); #500366=CARTESIAN_POINT('',(32.325,17.75,0.)); #500367=CARTESIAN_POINT('Origin',(32.5,17.75,0.)); #500368=CARTESIAN_POINT('',(32.325,17.75,1.49999999999999)); #500369=CARTESIAN_POINT('',(32.325,17.75,-200.)); #500370=CARTESIAN_POINT('Origin',(32.5,17.75,1.49999999999999)); #500371=CARTESIAN_POINT('Origin',(46.875,46.25,-200.)); #500372=CARTESIAN_POINT('',(46.7,46.25,0.)); #500373=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #500374=CARTESIAN_POINT('',(46.7,46.25,1.49999999999999)); #500375=CARTESIAN_POINT('',(46.7,46.25,-200.)); #500376=CARTESIAN_POINT('Origin',(46.875,46.25,1.49999999999999)); #500377=CARTESIAN_POINT('Origin',(41.75,26.,-200.)); #500378=CARTESIAN_POINT('',(41.575,26.,0.)); #500379=CARTESIAN_POINT('Origin',(41.75,26.,0.)); #500380=CARTESIAN_POINT('',(41.575,26.,1.49999999999999)); #500381=CARTESIAN_POINT('',(41.575,26.,-200.)); #500382=CARTESIAN_POINT('Origin',(41.75,26.,1.49999999999999)); #500383=CARTESIAN_POINT('Origin',(46.875,47.,-200.)); #500384=CARTESIAN_POINT('',(46.7,47.,0.)); #500385=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #500386=CARTESIAN_POINT('',(46.7,47.,1.49999999999999)); #500387=CARTESIAN_POINT('',(46.7,47.,-200.)); #500388=CARTESIAN_POINT('Origin',(46.875,47.,1.49999999999999)); #500389=CARTESIAN_POINT('Origin',(36.5,25.25,-200.)); #500390=CARTESIAN_POINT('',(36.,25.25,0.)); #500391=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #500392=CARTESIAN_POINT('',(36.,25.25,1.49999999999999)); #500393=CARTESIAN_POINT('',(36.,25.25,-200.)); #500394=CARTESIAN_POINT('Origin',(36.5,25.25,1.49999999999999)); #500395=CARTESIAN_POINT('Origin',(50.375,46.25,-200.)); #500396=CARTESIAN_POINT('',(50.2,46.25,0.)); #500397=CARTESIAN_POINT('Origin',(50.375,46.25,0.)); #500398=CARTESIAN_POINT('',(50.2,46.25,1.49999999999999)); #500399=CARTESIAN_POINT('',(50.2,46.25,-200.)); #500400=CARTESIAN_POINT('Origin',(50.375,46.25,1.49999999999999)); #500401=CARTESIAN_POINT('Origin',(42.5,24.5,-200.)); #500402=CARTESIAN_POINT('',(42.325,24.5,0.)); #500403=CARTESIAN_POINT('Origin',(42.5,24.5,0.)); #500404=CARTESIAN_POINT('',(42.325,24.5,1.49999999999999)); #500405=CARTESIAN_POINT('',(42.325,24.5,-200.)); #500406=CARTESIAN_POINT('Origin',(42.5,24.5,1.49999999999999)); #500407=CARTESIAN_POINT('Origin',(49.5,46.25,-200.)); #500408=CARTESIAN_POINT('',(49.325,46.25,0.)); #500409=CARTESIAN_POINT('Origin',(49.5,46.25,0.)); #500410=CARTESIAN_POINT('',(49.325,46.25,1.49999999999999)); #500411=CARTESIAN_POINT('',(49.325,46.25,-200.)); #500412=CARTESIAN_POINT('Origin',(49.5,46.25,1.49999999999999)); #500413=CARTESIAN_POINT('Origin',(32.5,16.25,-200.)); #500414=CARTESIAN_POINT('',(32.325,16.25,0.)); #500415=CARTESIAN_POINT('Origin',(32.5,16.25,0.)); #500416=CARTESIAN_POINT('',(32.325,16.25,1.49999999999999)); #500417=CARTESIAN_POINT('',(32.325,16.25,-200.)); #500418=CARTESIAN_POINT('Origin',(32.5,16.25,1.49999999999999)); #500419=CARTESIAN_POINT('Origin',(49.5,47.75,-200.)); #500420=CARTESIAN_POINT('',(49.325,47.75,0.)); #500421=CARTESIAN_POINT('Origin',(49.5,47.75,0.)); #500422=CARTESIAN_POINT('',(49.325,47.75,1.49999999999999)); #500423=CARTESIAN_POINT('',(49.325,47.75,-200.)); #500424=CARTESIAN_POINT('Origin',(49.5,47.75,1.49999999999999)); #500425=CARTESIAN_POINT('Origin',(41.75,24.5,-200.)); #500426=CARTESIAN_POINT('',(41.575,24.5,0.)); #500427=CARTESIAN_POINT('Origin',(41.75,24.5,0.)); #500428=CARTESIAN_POINT('',(41.575,24.5,1.49999999999999)); #500429=CARTESIAN_POINT('',(41.575,24.5,-200.)); #500430=CARTESIAN_POINT('Origin',(41.75,24.5,1.49999999999999)); #500431=CARTESIAN_POINT('Origin',(49.5,47.,-200.)); #500432=CARTESIAN_POINT('',(49.325,47.,0.)); #500433=CARTESIAN_POINT('Origin',(49.5,47.,0.)); #500434=CARTESIAN_POINT('',(49.325,47.,1.49999999999999)); #500435=CARTESIAN_POINT('',(49.325,47.,-200.)); #500436=CARTESIAN_POINT('Origin',(49.5,47.,1.49999999999999)); #500437=CARTESIAN_POINT('Origin',(31.5,8.75,-200.)); #500438=CARTESIAN_POINT('',(31.325,8.75,0.)); #500439=CARTESIAN_POINT('Origin',(31.5,8.75,0.)); #500440=CARTESIAN_POINT('',(31.325,8.75,1.49999999999999)); #500441=CARTESIAN_POINT('',(31.325,8.75,-200.)); #500442=CARTESIAN_POINT('Origin',(31.5,8.75,1.49999999999999)); #500443=CARTESIAN_POINT('Origin',(50.375,47.75,-200.)); #500444=CARTESIAN_POINT('',(50.2,47.75,0.)); #500445=CARTESIAN_POINT('Origin',(50.375,47.75,0.)); #500446=CARTESIAN_POINT('',(50.2,47.75,1.49999999999999)); #500447=CARTESIAN_POINT('',(50.2,47.75,-200.)); #500448=CARTESIAN_POINT('Origin',(50.375,47.75,1.49999999999999)); #500449=CARTESIAN_POINT('Origin',(42.5,25.25,-200.)); #500450=CARTESIAN_POINT('',(42.325,25.25,0.)); #500451=CARTESIAN_POINT('Origin',(42.5,25.25,0.)); #500452=CARTESIAN_POINT('',(42.325,25.25,1.49999999999999)); #500453=CARTESIAN_POINT('',(42.325,25.25,-200.)); #500454=CARTESIAN_POINT('Origin',(42.5,25.25,1.49999999999999)); #500455=CARTESIAN_POINT('Origin',(50.375,47.,-200.)); #500456=CARTESIAN_POINT('',(50.2,47.,0.)); #500457=CARTESIAN_POINT('Origin',(50.375,47.,0.)); #500458=CARTESIAN_POINT('',(50.2,47.,1.49999999999999)); #500459=CARTESIAN_POINT('',(50.2,47.,-200.)); #500460=CARTESIAN_POINT('Origin',(50.375,47.,1.49999999999999)); #500461=CARTESIAN_POINT('Origin',(32.5,15.5,-200.)); #500462=CARTESIAN_POINT('',(32.325,15.5,0.)); #500463=CARTESIAN_POINT('Origin',(32.5,15.5,0.)); #500464=CARTESIAN_POINT('',(32.325,15.5,1.49999999999999)); #500465=CARTESIAN_POINT('',(32.325,15.5,-200.)); #500466=CARTESIAN_POINT('Origin',(32.5,15.5,1.49999999999999)); #500467=CARTESIAN_POINT('Origin',(51.25,47.75,-200.)); #500468=CARTESIAN_POINT('',(51.075,47.75,0.)); #500469=CARTESIAN_POINT('Origin',(51.25,47.75,0.)); #500470=CARTESIAN_POINT('',(51.075,47.75,1.49999999999999)); #500471=CARTESIAN_POINT('',(51.075,47.75,-200.)); #500472=CARTESIAN_POINT('Origin',(51.25,47.75,1.49999999999999)); #500473=CARTESIAN_POINT('Origin',(42.5,26.,-200.)); #500474=CARTESIAN_POINT('',(42.325,26.,0.)); #500475=CARTESIAN_POINT('Origin',(42.5,26.,0.)); #500476=CARTESIAN_POINT('',(42.325,26.,1.49999999999999)); #500477=CARTESIAN_POINT('',(42.325,26.,-200.)); #500478=CARTESIAN_POINT('Origin',(42.5,26.,1.49999999999999)); #500479=CARTESIAN_POINT('Origin',(51.25,47.,-200.)); #500480=CARTESIAN_POINT('',(51.075,47.,0.)); #500481=CARTESIAN_POINT('Origin',(51.25,47.,0.)); #500482=CARTESIAN_POINT('',(51.075,47.,1.49999999999999)); #500483=CARTESIAN_POINT('',(51.075,47.,-200.)); #500484=CARTESIAN_POINT('Origin',(51.25,47.,1.49999999999999)); #500485=CARTESIAN_POINT('Origin',(37.81,45.,-200.)); #500486=CARTESIAN_POINT('',(37.21,45.,0.)); #500487=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #500488=CARTESIAN_POINT('',(37.21,45.,1.49999999999999)); #500489=CARTESIAN_POINT('',(37.21,45.,-200.)); #500490=CARTESIAN_POINT('Origin',(37.81,45.,1.49999999999999)); #500491=CARTESIAN_POINT('Origin',(23.75,56.,-200.)); #500492=CARTESIAN_POINT('',(23.575,56.,0.)); #500493=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #500494=CARTESIAN_POINT('',(23.575,56.,1.49999999999999)); #500495=CARTESIAN_POINT('',(23.575,56.,-200.)); #500496=CARTESIAN_POINT('Origin',(23.75,56.,1.49999999999999)); #500497=CARTESIAN_POINT('Origin',(12.75,21.,-200.)); #500498=CARTESIAN_POINT('',(12.575,21.,0.)); #500499=CARTESIAN_POINT('Origin',(12.75,21.,0.)); #500500=CARTESIAN_POINT('',(12.575,21.,1.49999999999999)); #500501=CARTESIAN_POINT('',(12.575,21.,-200.)); #500502=CARTESIAN_POINT('Origin',(12.75,21.,1.49999999999999)); #500503=CARTESIAN_POINT('Origin',(23.75,55.25,-200.)); #500504=CARTESIAN_POINT('',(23.575,55.25,0.)); #500505=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #500506=CARTESIAN_POINT('',(23.575,55.25,1.49999999999999)); #500507=CARTESIAN_POINT('',(23.575,55.25,-200.)); #500508=CARTESIAN_POINT('Origin',(23.75,55.25,1.49999999999999)); #500509=CARTESIAN_POINT('Origin',(33.25,19.25,-200.)); #500510=CARTESIAN_POINT('',(33.075,19.25,0.)); #500511=CARTESIAN_POINT('Origin',(33.25,19.25,0.)); #500512=CARTESIAN_POINT('',(33.075,19.25,1.49999999999999)); #500513=CARTESIAN_POINT('',(33.075,19.25,-200.)); #500514=CARTESIAN_POINT('Origin',(33.25,19.25,1.49999999999999)); #500515=CARTESIAN_POINT('Origin',(22.,56.75,-200.)); #500516=CARTESIAN_POINT('',(21.825,56.75,0.)); #500517=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #500518=CARTESIAN_POINT('',(21.825,56.75,1.49999999999999)); #500519=CARTESIAN_POINT('',(21.825,56.75,-200.)); #500520=CARTESIAN_POINT('Origin',(22.,56.75,1.49999999999999)); #500521=CARTESIAN_POINT('Origin',(56.,20.25,-200.)); #500522=CARTESIAN_POINT('',(55.825,20.25,0.)); #500523=CARTESIAN_POINT('Origin',(56.,20.25,0.)); #500524=CARTESIAN_POINT('',(55.825,20.25,1.49999999999999)); #500525=CARTESIAN_POINT('',(55.825,20.25,-200.)); #500526=CARTESIAN_POINT('Origin',(56.,20.25,1.49999999999999)); #500527=CARTESIAN_POINT('Origin',(22.,55.25,-200.)); #500528=CARTESIAN_POINT('',(21.825,55.25,0.)); #500529=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #500530=CARTESIAN_POINT('',(21.825,55.25,1.49999999999999)); #500531=CARTESIAN_POINT('',(21.825,55.25,-200.)); #500532=CARTESIAN_POINT('Origin',(22.,55.25,1.49999999999999)); #500533=CARTESIAN_POINT('Origin',(36.5,8.75,-200.)); #500534=CARTESIAN_POINT('',(36.325,8.75,0.)); #500535=CARTESIAN_POINT('Origin',(36.5,8.75,0.)); #500536=CARTESIAN_POINT('',(36.325,8.75,1.49999999999999)); #500537=CARTESIAN_POINT('',(36.325,8.75,-200.)); #500538=CARTESIAN_POINT('Origin',(36.5,8.75,1.49999999999999)); #500539=CARTESIAN_POINT('Origin',(20.25,55.25,-200.)); #500540=CARTESIAN_POINT('',(20.075,55.25,0.)); #500541=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #500542=CARTESIAN_POINT('',(20.075,55.25,1.49999999999999)); #500543=CARTESIAN_POINT('',(20.075,55.25,-200.)); #500544=CARTESIAN_POINT('Origin',(20.25,55.25,1.49999999999999)); #500545=CARTESIAN_POINT('Origin',(56.,21.75,-200.)); #500546=CARTESIAN_POINT('',(55.825,21.75,0.)); #500547=CARTESIAN_POINT('Origin',(56.,21.75,0.)); #500548=CARTESIAN_POINT('',(55.825,21.75,1.49999999999999)); #500549=CARTESIAN_POINT('',(55.825,21.75,-200.)); #500550=CARTESIAN_POINT('Origin',(56.,21.75,1.49999999999999)); #500551=CARTESIAN_POINT('Origin',(20.25,56.75,-200.)); #500552=CARTESIAN_POINT('',(20.075,56.75,0.)); #500553=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #500554=CARTESIAN_POINT('',(20.075,56.75,1.49999999999999)); #500555=CARTESIAN_POINT('',(20.075,56.75,-200.)); #500556=CARTESIAN_POINT('Origin',(20.25,56.75,1.49999999999999)); #500557=CARTESIAN_POINT('Origin',(32.5,19.25,-200.)); #500558=CARTESIAN_POINT('',(32.325,19.25,0.)); #500559=CARTESIAN_POINT('Origin',(32.5,19.25,0.)); #500560=CARTESIAN_POINT('',(32.325,19.25,1.49999999999999)); #500561=CARTESIAN_POINT('',(32.325,19.25,-200.)); #500562=CARTESIAN_POINT('Origin',(32.5,19.25,1.49999999999999)); #500563=CARTESIAN_POINT('Origin',(20.25,56.,-200.)); #500564=CARTESIAN_POINT('',(20.075,56.,0.)); #500565=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #500566=CARTESIAN_POINT('',(20.075,56.,1.49999999999999)); #500567=CARTESIAN_POINT('',(20.075,56.,-200.)); #500568=CARTESIAN_POINT('Origin',(20.25,56.,1.49999999999999)); #500569=CARTESIAN_POINT('Origin',(56.,21.,-200.)); #500570=CARTESIAN_POINT('',(55.825,21.,0.)); #500571=CARTESIAN_POINT('Origin',(56.,21.,0.)); #500572=CARTESIAN_POINT('',(55.825,21.,1.49999999999999)); #500573=CARTESIAN_POINT('',(55.825,21.,-200.)); #500574=CARTESIAN_POINT('Origin',(56.,21.,1.49999999999999)); #500575=CARTESIAN_POINT('Origin',(22.,56.,-200.)); #500576=CARTESIAN_POINT('',(21.825,56.,0.)); #500577=CARTESIAN_POINT('Origin',(22.,56.,0.)); #500578=CARTESIAN_POINT('',(21.825,56.,1.49999999999999)); #500579=CARTESIAN_POINT('',(21.825,56.,-200.)); #500580=CARTESIAN_POINT('Origin',(22.,56.,1.49999999999999)); #500581=CARTESIAN_POINT('Origin',(31.5,25.25,-200.)); #500582=CARTESIAN_POINT('',(31.,25.25,0.)); #500583=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #500584=CARTESIAN_POINT('',(31.,25.25,1.49999999999999)); #500585=CARTESIAN_POINT('',(31.,25.25,-200.)); #500586=CARTESIAN_POINT('Origin',(31.5,25.25,1.49999999999999)); #500587=CARTESIAN_POINT('Origin',(46.875,56.,-200.)); #500588=CARTESIAN_POINT('',(46.7,56.,0.)); #500589=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #500590=CARTESIAN_POINT('',(46.7,56.,1.49999999999999)); #500591=CARTESIAN_POINT('',(46.7,56.,-200.)); #500592=CARTESIAN_POINT('Origin',(46.875,56.,1.49999999999999)); #500593=CARTESIAN_POINT('Origin',(54.5,20.25,-200.)); #500594=CARTESIAN_POINT('',(54.325,20.25,0.)); #500595=CARTESIAN_POINT('Origin',(54.5,20.25,0.)); #500596=CARTESIAN_POINT('',(54.325,20.25,1.49999999999999)); #500597=CARTESIAN_POINT('',(54.325,20.25,-200.)); #500598=CARTESIAN_POINT('Origin',(54.5,20.25,1.49999999999999)); #500599=CARTESIAN_POINT('Origin',(46.875,55.25,-200.)); #500600=CARTESIAN_POINT('',(46.7,55.25,0.)); #500601=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #500602=CARTESIAN_POINT('',(46.7,55.25,1.49999999999999)); #500603=CARTESIAN_POINT('',(46.7,55.25,-200.)); #500604=CARTESIAN_POINT('Origin',(46.875,55.25,1.49999999999999)); #500605=CARTESIAN_POINT('Origin',(35.5,16.25,-200.)); #500606=CARTESIAN_POINT('',(35.325,16.25,0.)); #500607=CARTESIAN_POINT('Origin',(35.5,16.25,0.)); #500608=CARTESIAN_POINT('',(35.325,16.25,1.49999999999999)); #500609=CARTESIAN_POINT('',(35.325,16.25,-200.)); #500610=CARTESIAN_POINT('Origin',(35.5,16.25,1.49999999999999)); #500611=CARTESIAN_POINT('Origin',(45.125,56.,-200.)); #500612=CARTESIAN_POINT('',(44.95,56.,0.)); #500613=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #500614=CARTESIAN_POINT('',(44.95,56.,1.49999999999999)); #500615=CARTESIAN_POINT('',(44.95,56.,-200.)); #500616=CARTESIAN_POINT('Origin',(45.125,56.,1.49999999999999)); #500617=CARTESIAN_POINT('Origin',(55.25,20.25,-200.)); #500618=CARTESIAN_POINT('',(55.075,20.25,0.)); #500619=CARTESIAN_POINT('Origin',(55.25,20.25,0.)); #500620=CARTESIAN_POINT('',(55.075,20.25,1.49999999999999)); #500621=CARTESIAN_POINT('',(55.075,20.25,-200.)); #500622=CARTESIAN_POINT('Origin',(55.25,20.25,1.49999999999999)); #500623=CARTESIAN_POINT('Origin',(45.125,55.25,-200.)); #500624=CARTESIAN_POINT('',(44.95,55.25,0.)); #500625=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #500626=CARTESIAN_POINT('',(44.95,55.25,1.49999999999999)); #500627=CARTESIAN_POINT('',(44.95,55.25,-200.)); #500628=CARTESIAN_POINT('Origin',(45.125,55.25,1.49999999999999)); #500629=CARTESIAN_POINT('Origin',(31.5,9.5,-200.)); #500630=CARTESIAN_POINT('',(31.325,9.5,0.)); #500631=CARTESIAN_POINT('Origin',(31.5,9.5,0.)); #500632=CARTESIAN_POINT('',(31.325,9.5,1.49999999999999)); #500633=CARTESIAN_POINT('',(31.325,9.5,-200.)); #500634=CARTESIAN_POINT('Origin',(31.5,9.5,1.49999999999999)); #500635=CARTESIAN_POINT('Origin',(38.512,53.,-200.)); #500636=CARTESIAN_POINT('',(38.337,53.,0.)); #500637=CARTESIAN_POINT('Origin',(38.512,53.,0.)); #500638=CARTESIAN_POINT('',(38.337,53.,1.49999999999999)); #500639=CARTESIAN_POINT('',(38.337,53.,-200.)); #500640=CARTESIAN_POINT('Origin',(38.512,53.,1.49999999999999)); #500641=CARTESIAN_POINT('Origin',(54.5,21.,-200.)); #500642=CARTESIAN_POINT('',(54.325,21.,0.)); #500643=CARTESIAN_POINT('Origin',(54.5,21.,0.)); #500644=CARTESIAN_POINT('',(54.325,21.,1.49999999999999)); #500645=CARTESIAN_POINT('',(54.325,21.,-200.)); #500646=CARTESIAN_POINT('Origin',(54.5,21.,1.49999999999999)); #500647=CARTESIAN_POINT('Origin',(46.875,56.75,-200.)); #500648=CARTESIAN_POINT('',(46.7,56.75,0.)); #500649=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #500650=CARTESIAN_POINT('',(46.7,56.75,1.49999999999999)); #500651=CARTESIAN_POINT('',(46.7,56.75,-200.)); #500652=CARTESIAN_POINT('Origin',(46.875,56.75,1.49999999999999)); #500653=CARTESIAN_POINT('Origin',(35.5,17.,-200.)); #500654=CARTESIAN_POINT('',(35.325,17.,0.)); #500655=CARTESIAN_POINT('Origin',(35.5,17.,0.)); #500656=CARTESIAN_POINT('',(35.325,17.,1.49999999999999)); #500657=CARTESIAN_POINT('',(35.325,17.,-200.)); #500658=CARTESIAN_POINT('Origin',(35.5,17.,1.49999999999999)); #500659=CARTESIAN_POINT('Origin',(38.75,36.75,-200.)); #500660=CARTESIAN_POINT('',(38.575,36.75,0.)); #500661=CARTESIAN_POINT('Origin',(38.75,36.75,0.)); #500662=CARTESIAN_POINT('',(38.575,36.75,1.49999999999999)); #500663=CARTESIAN_POINT('',(38.575,36.75,-200.)); #500664=CARTESIAN_POINT('Origin',(38.75,36.75,1.49999999999999)); #500665=CARTESIAN_POINT('Origin',(54.5,21.75,-200.)); #500666=CARTESIAN_POINT('',(54.325,21.75,0.)); #500667=CARTESIAN_POINT('Origin',(54.5,21.75,0.)); #500668=CARTESIAN_POINT('',(54.325,21.75,1.49999999999999)); #500669=CARTESIAN_POINT('',(54.325,21.75,-200.)); #500670=CARTESIAN_POINT('Origin',(54.5,21.75,1.49999999999999)); #500671=CARTESIAN_POINT('Origin',(37.1875,36.75,-200.)); #500672=CARTESIAN_POINT('',(37.0125,36.75,0.)); #500673=CARTESIAN_POINT('Origin',(37.1875,36.75,0.)); #500674=CARTESIAN_POINT('',(37.0125,36.75,1.49999999999999)); #500675=CARTESIAN_POINT('',(37.0125,36.75,-200.)); #500676=CARTESIAN_POINT('Origin',(37.1875,36.75,1.49999999999999)); #500677=CARTESIAN_POINT('Origin',(46.25,34.,-200.)); #500678=CARTESIAN_POINT('',(45.7,34.,0.)); #500679=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #500680=CARTESIAN_POINT('',(45.7,34.,1.49999999999999)); #500681=CARTESIAN_POINT('',(45.7,34.,-200.)); #500682=CARTESIAN_POINT('Origin',(46.25,34.,1.49999999999999)); #500683=CARTESIAN_POINT('Origin',(29.488,53.,-200.)); #500684=CARTESIAN_POINT('',(29.313,53.,0.)); #500685=CARTESIAN_POINT('Origin',(29.488,53.,0.)); #500686=CARTESIAN_POINT('',(29.313,53.,1.49999999999999)); #500687=CARTESIAN_POINT('',(29.313,53.,-200.)); #500688=CARTESIAN_POINT('Origin',(29.488,53.,1.49999999999999)); #500689=CARTESIAN_POINT('Origin',(12.,21.,-200.)); #500690=CARTESIAN_POINT('',(11.825,21.,0.)); #500691=CARTESIAN_POINT('Origin',(12.,21.,0.)); #500692=CARTESIAN_POINT('',(11.825,21.,1.49999999999999)); #500693=CARTESIAN_POINT('',(11.825,21.,-200.)); #500694=CARTESIAN_POINT('Origin',(12.,21.,1.49999999999999)); #500695=CARTESIAN_POINT('Origin',(21.125,56.75,-200.)); #500696=CARTESIAN_POINT('',(20.95,56.75,0.)); #500697=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #500698=CARTESIAN_POINT('',(20.95,56.75,1.49999999999999)); #500699=CARTESIAN_POINT('',(20.95,56.75,-200.)); #500700=CARTESIAN_POINT('Origin',(21.125,56.75,1.49999999999999)); #500701=CARTESIAN_POINT('Origin',(34.75,19.25,-200.)); #500702=CARTESIAN_POINT('',(34.575,19.25,0.)); #500703=CARTESIAN_POINT('Origin',(34.75,19.25,0.)); #500704=CARTESIAN_POINT('',(34.575,19.25,1.49999999999999)); #500705=CARTESIAN_POINT('',(34.575,19.25,-200.)); #500706=CARTESIAN_POINT('Origin',(34.75,19.25,1.49999999999999)); #500707=CARTESIAN_POINT('Origin',(21.125,55.25,-200.)); #500708=CARTESIAN_POINT('',(20.95,55.25,0.)); #500709=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #500710=CARTESIAN_POINT('',(20.95,55.25,1.49999999999999)); #500711=CARTESIAN_POINT('',(20.95,55.25,-200.)); #500712=CARTESIAN_POINT('Origin',(21.125,55.25,1.49999999999999)); #500713=CARTESIAN_POINT('Origin',(12.,20.25,-200.)); #500714=CARTESIAN_POINT('',(11.825,20.25,0.)); #500715=CARTESIAN_POINT('Origin',(12.,20.25,0.)); #500716=CARTESIAN_POINT('',(11.825,20.25,1.49999999999999)); #500717=CARTESIAN_POINT('',(11.825,20.25,-200.)); #500718=CARTESIAN_POINT('Origin',(12.,20.25,1.49999999999999)); #500719=CARTESIAN_POINT('Origin',(21.125,56.,-200.)); #500720=CARTESIAN_POINT('',(20.95,56.,0.)); #500721=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #500722=CARTESIAN_POINT('',(20.95,56.,1.49999999999999)); #500723=CARTESIAN_POINT('',(20.95,56.,-200.)); #500724=CARTESIAN_POINT('Origin',(21.125,56.,1.49999999999999)); #500725=CARTESIAN_POINT('Origin',(36.5,9.5,-200.)); #500726=CARTESIAN_POINT('',(36.325,9.5,0.)); #500727=CARTESIAN_POINT('Origin',(36.5,9.5,0.)); #500728=CARTESIAN_POINT('',(36.325,9.5,1.49999999999999)); #500729=CARTESIAN_POINT('',(36.325,9.5,-200.)); #500730=CARTESIAN_POINT('Origin',(36.5,9.5,1.49999999999999)); #500731=CARTESIAN_POINT('Origin',(22.875,56.75,-200.)); #500732=CARTESIAN_POINT('',(22.7,56.75,0.)); #500733=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #500734=CARTESIAN_POINT('',(22.7,56.75,1.49999999999999)); #500735=CARTESIAN_POINT('',(22.7,56.75,-200.)); #500736=CARTESIAN_POINT('Origin',(22.875,56.75,1.49999999999999)); #500737=CARTESIAN_POINT('Origin',(12.75,21.75,-200.)); #500738=CARTESIAN_POINT('',(12.575,21.75,0.)); #500739=CARTESIAN_POINT('Origin',(12.75,21.75,0.)); #500740=CARTESIAN_POINT('',(12.575,21.75,1.49999999999999)); #500741=CARTESIAN_POINT('',(12.575,21.75,-200.)); #500742=CARTESIAN_POINT('Origin',(12.75,21.75,1.49999999999999)); #500743=CARTESIAN_POINT('Origin',(23.75,56.75,-200.)); #500744=CARTESIAN_POINT('',(23.575,56.75,0.)); #500745=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #500746=CARTESIAN_POINT('',(23.575,56.75,1.49999999999999)); #500747=CARTESIAN_POINT('',(23.575,56.75,-200.)); #500748=CARTESIAN_POINT('Origin',(23.75,56.75,1.49999999999999)); #500749=CARTESIAN_POINT('Origin',(34.,19.25,-200.)); #500750=CARTESIAN_POINT('',(33.825,19.25,0.)); #500751=CARTESIAN_POINT('Origin',(34.,19.25,0.)); #500752=CARTESIAN_POINT('',(33.825,19.25,1.49999999999999)); #500753=CARTESIAN_POINT('',(33.825,19.25,-200.)); #500754=CARTESIAN_POINT('Origin',(34.,19.25,1.49999999999999)); #500755=CARTESIAN_POINT('Origin',(22.875,56.,-200.)); #500756=CARTESIAN_POINT('',(22.7,56.,0.)); #500757=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #500758=CARTESIAN_POINT('',(22.7,56.,1.49999999999999)); #500759=CARTESIAN_POINT('',(22.7,56.,-200.)); #500760=CARTESIAN_POINT('Origin',(22.875,56.,1.49999999999999)); #500761=CARTESIAN_POINT('Origin',(12.75,20.25,-200.)); #500762=CARTESIAN_POINT('',(12.575,20.25,0.)); #500763=CARTESIAN_POINT('Origin',(12.75,20.25,0.)); #500764=CARTESIAN_POINT('',(12.575,20.25,1.49999999999999)); #500765=CARTESIAN_POINT('',(12.575,20.25,-200.)); #500766=CARTESIAN_POINT('Origin',(12.75,20.25,1.49999999999999)); #500767=CARTESIAN_POINT('Origin',(22.875,55.25,-200.)); #500768=CARTESIAN_POINT('',(22.7,55.25,0.)); #500769=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #500770=CARTESIAN_POINT('',(22.7,55.25,1.49999999999999)); #500771=CARTESIAN_POINT('',(22.7,55.25,-200.)); #500772=CARTESIAN_POINT('Origin',(22.875,55.25,1.49999999999999)); #500773=CARTESIAN_POINT('Origin',(36.5,27.75,-200.)); #500774=CARTESIAN_POINT('',(36.,27.75,0.)); #500775=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #500776=CARTESIAN_POINT('',(36.,27.75,1.49999999999999)); #500777=CARTESIAN_POINT('',(36.,27.75,-200.)); #500778=CARTESIAN_POINT('Origin',(36.5,27.75,1.49999999999999)); #500779=CARTESIAN_POINT('Origin',(23.905,49.75,-200.)); #500780=CARTESIAN_POINT('',(23.73,49.75,0.)); #500781=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #500782=CARTESIAN_POINT('',(23.73,49.75,1.49999999999999)); #500783=CARTESIAN_POINT('',(23.73,49.75,-200.)); #500784=CARTESIAN_POINT('Origin',(23.905,49.75,1.49999999999999)); #500785=CARTESIAN_POINT('Origin',(13.5,21.75,-200.)); #500786=CARTESIAN_POINT('',(13.325,21.75,0.)); #500787=CARTESIAN_POINT('Origin',(13.5,21.75,0.)); #500788=CARTESIAN_POINT('',(13.325,21.75,1.49999999999999)); #500789=CARTESIAN_POINT('',(13.325,21.75,-200.)); #500790=CARTESIAN_POINT('Origin',(13.5,21.75,1.49999999999999)); #500791=CARTESIAN_POINT('Origin',(47.905,58.75,-200.)); #500792=CARTESIAN_POINT('',(47.73,58.75,0.)); #500793=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #500794=CARTESIAN_POINT('',(47.73,58.75,1.49999999999999)); #500795=CARTESIAN_POINT('',(47.73,58.75,-200.)); #500796=CARTESIAN_POINT('Origin',(47.905,58.75,1.49999999999999)); #500797=CARTESIAN_POINT('Origin',(35.5,19.25,-200.)); #500798=CARTESIAN_POINT('',(35.325,19.25,0.)); #500799=CARTESIAN_POINT('Origin',(35.5,19.25,0.)); #500800=CARTESIAN_POINT('',(35.325,19.25,1.49999999999999)); #500801=CARTESIAN_POINT('',(35.325,19.25,-200.)); #500802=CARTESIAN_POINT('Origin',(35.5,19.25,1.49999999999999)); #500803=CARTESIAN_POINT('Origin',(29.25,36.75,-200.)); #500804=CARTESIAN_POINT('',(29.075,36.75,0.)); #500805=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #500806=CARTESIAN_POINT('',(29.075,36.75,1.49999999999999)); #500807=CARTESIAN_POINT('',(29.075,36.75,-200.)); #500808=CARTESIAN_POINT('Origin',(29.25,36.75,1.49999999999999)); #500809=CARTESIAN_POINT('Origin',(12.,21.75,-200.)); #500810=CARTESIAN_POINT('',(11.825,21.75,0.)); #500811=CARTESIAN_POINT('Origin',(12.,21.75,0.)); #500812=CARTESIAN_POINT('',(11.825,21.75,1.49999999999999)); #500813=CARTESIAN_POINT('',(11.825,21.75,-200.)); #500814=CARTESIAN_POINT('Origin',(12.,21.75,1.49999999999999)); #500815=CARTESIAN_POINT('Origin',(30.8125,36.75,-200.)); #500816=CARTESIAN_POINT('',(30.6375,36.75,0.)); #500817=CARTESIAN_POINT('Origin',(30.8125,36.75,0.)); #500818=CARTESIAN_POINT('',(30.6375,36.75,1.49999999999999)); #500819=CARTESIAN_POINT('',(30.6375,36.75,-200.)); #500820=CARTESIAN_POINT('Origin',(30.8125,36.75,1.49999999999999)); #500821=CARTESIAN_POINT('Origin',(37.25,9.5,-200.)); #500822=CARTESIAN_POINT('',(37.075,9.5,0.)); #500823=CARTESIAN_POINT('Origin',(37.25,9.5,0.)); #500824=CARTESIAN_POINT('',(37.075,9.5,1.49999999999999)); #500825=CARTESIAN_POINT('',(37.075,9.5,-200.)); #500826=CARTESIAN_POINT('Origin',(37.25,9.5,1.49999999999999)); #500827=CARTESIAN_POINT('Origin',(47.905,49.75,-200.)); #500828=CARTESIAN_POINT('',(47.73,49.75,0.)); #500829=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #500830=CARTESIAN_POINT('',(47.73,49.75,1.49999999999999)); #500831=CARTESIAN_POINT('',(47.73,49.75,-200.)); #500832=CARTESIAN_POINT('Origin',(47.905,49.75,1.49999999999999)); #500833=CARTESIAN_POINT('Origin',(13.5,21.,-200.)); #500834=CARTESIAN_POINT('',(13.325,21.,0.)); #500835=CARTESIAN_POINT('Origin',(13.5,21.,0.)); #500836=CARTESIAN_POINT('',(13.325,21.,1.49999999999999)); #500837=CARTESIAN_POINT('',(13.325,21.,-200.)); #500838=CARTESIAN_POINT('Origin',(13.5,21.,1.49999999999999)); #500839=CARTESIAN_POINT('Origin',(23.905,58.75,-200.)); #500840=CARTESIAN_POINT('',(23.73,58.75,0.)); #500841=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #500842=CARTESIAN_POINT('',(23.73,58.75,1.49999999999999)); #500843=CARTESIAN_POINT('',(23.73,58.75,-200.)); #500844=CARTESIAN_POINT('Origin',(23.905,58.75,1.49999999999999)); #500845=CARTESIAN_POINT('Origin',(36.25,19.25,-200.)); #500846=CARTESIAN_POINT('',(36.075,19.25,0.)); #500847=CARTESIAN_POINT('Origin',(36.25,19.25,0.)); #500848=CARTESIAN_POINT('',(36.075,19.25,1.49999999999999)); #500849=CARTESIAN_POINT('',(36.075,19.25,-200.)); #500850=CARTESIAN_POINT('Origin',(36.25,19.25,1.49999999999999)); #500851=CARTESIAN_POINT('Origin',(18.5,47.,-200.)); #500852=CARTESIAN_POINT('',(18.325,47.,0.)); #500853=CARTESIAN_POINT('Origin',(18.5,47.,0.)); #500854=CARTESIAN_POINT('',(18.325,47.,1.49999999999999)); #500855=CARTESIAN_POINT('',(18.325,47.,-200.)); #500856=CARTESIAN_POINT('Origin',(18.5,47.,1.49999999999999)); #500857=CARTESIAN_POINT('Origin',(13.5,20.25,-200.)); #500858=CARTESIAN_POINT('',(13.325,20.25,0.)); #500859=CARTESIAN_POINT('Origin',(13.5,20.25,0.)); #500860=CARTESIAN_POINT('',(13.325,20.25,1.49999999999999)); #500861=CARTESIAN_POINT('',(13.325,20.25,-200.)); #500862=CARTESIAN_POINT('Origin',(13.5,20.25,1.49999999999999)); #500863=CARTESIAN_POINT('Origin',(18.5,46.25,-200.)); #500864=CARTESIAN_POINT('',(18.325,46.25,0.)); #500865=CARTESIAN_POINT('Origin',(18.5,46.25,0.)); #500866=CARTESIAN_POINT('',(18.325,46.25,1.49999999999999)); #500867=CARTESIAN_POINT('',(18.325,46.25,-200.)); #500868=CARTESIAN_POINT('Origin',(18.5,46.25,1.49999999999999)); #500869=CARTESIAN_POINT('Origin',(34.,34.,0.)); #500870=CARTESIAN_POINT('',(0.,34.,1.5)); #500871=CARTESIAN_POINT('Origin',(34.,34.,1.5)); #500872=CARTESIAN_POINT('',(0.,34.,0.)); #500873=CARTESIAN_POINT('',(0.,34.,0.)); #500874=CARTESIAN_POINT('Origin',(34.,34.,0.)); #500875=CARTESIAN_POINT('Origin',(34.,34.,1.5)); #500876=CARTESIAN_POINT('Origin',(34.,34.,0.)); #500877=CARTESIAN_POINT('Origin',(18.5,46.25,0.)); #500878=CARTESIAN_POINT('',(18.36,46.25,1.5)); #500879=CARTESIAN_POINT('Origin',(18.5,46.25,1.5)); #500880=CARTESIAN_POINT('',(18.36,46.25,0.)); #500881=CARTESIAN_POINT('',(18.36,46.25,0.)); #500882=CARTESIAN_POINT('Origin',(18.5,46.25,0.)); #500883=CARTESIAN_POINT('Origin',(18.5,46.25,0.)); #500884=CARTESIAN_POINT('',(18.325,46.25,1.5)); #500885=CARTESIAN_POINT('Origin',(18.5,46.25,1.5)); #500886=CARTESIAN_POINT('',(18.325,46.25,0.)); #500887=CARTESIAN_POINT('',(18.325,46.25,0.)); #500888=CARTESIAN_POINT('Origin',(18.5,46.25,0.)); #500889=CARTESIAN_POINT('Origin',(18.5,46.25,1.5)); #500890=CARTESIAN_POINT('Origin',(18.5,46.25,0.)); #500891=CARTESIAN_POINT('Origin',(13.5,20.25,0.)); #500892=CARTESIAN_POINT('',(13.36,20.25,1.5)); #500893=CARTESIAN_POINT('Origin',(13.5,20.25,1.5)); #500894=CARTESIAN_POINT('',(13.36,20.25,0.)); #500895=CARTESIAN_POINT('',(13.36,20.25,0.)); #500896=CARTESIAN_POINT('Origin',(13.5,20.25,0.)); #500897=CARTESIAN_POINT('Origin',(13.5,20.25,0.)); #500898=CARTESIAN_POINT('',(13.325,20.25,1.5)); #500899=CARTESIAN_POINT('Origin',(13.5,20.25,1.5)); #500900=CARTESIAN_POINT('',(13.325,20.25,0.)); #500901=CARTESIAN_POINT('',(13.325,20.25,0.)); #500902=CARTESIAN_POINT('Origin',(13.5,20.25,0.)); #500903=CARTESIAN_POINT('Origin',(13.5,20.25,1.5)); #500904=CARTESIAN_POINT('Origin',(13.5,20.25,0.)); #500905=CARTESIAN_POINT('Origin',(18.5,47.,0.)); #500906=CARTESIAN_POINT('',(18.36,47.,1.5)); #500907=CARTESIAN_POINT('Origin',(18.5,47.,1.5)); #500908=CARTESIAN_POINT('',(18.36,47.,0.)); #500909=CARTESIAN_POINT('',(18.36,47.,0.)); #500910=CARTESIAN_POINT('Origin',(18.5,47.,0.)); #500911=CARTESIAN_POINT('Origin',(18.5,47.,0.)); #500912=CARTESIAN_POINT('',(18.325,47.,1.5)); #500913=CARTESIAN_POINT('Origin',(18.5,47.,1.5)); #500914=CARTESIAN_POINT('',(18.325,47.,0.)); #500915=CARTESIAN_POINT('',(18.325,47.,0.)); #500916=CARTESIAN_POINT('Origin',(18.5,47.,0.)); #500917=CARTESIAN_POINT('Origin',(18.5,47.,1.5)); #500918=CARTESIAN_POINT('Origin',(18.5,47.,0.)); #500919=CARTESIAN_POINT('Origin',(36.25,19.25,0.)); #500920=CARTESIAN_POINT('',(36.11,19.25,1.5)); #500921=CARTESIAN_POINT('Origin',(36.25,19.25,1.5)); #500922=CARTESIAN_POINT('',(36.11,19.25,0.)); #500923=CARTESIAN_POINT('',(36.11,19.25,0.)); #500924=CARTESIAN_POINT('Origin',(36.25,19.25,0.)); #500925=CARTESIAN_POINT('Origin',(36.25,19.25,0.)); #500926=CARTESIAN_POINT('',(36.075,19.25,1.5)); #500927=CARTESIAN_POINT('Origin',(36.25,19.25,1.5)); #500928=CARTESIAN_POINT('',(36.075,19.25,0.)); #500929=CARTESIAN_POINT('',(36.075,19.25,0.)); #500930=CARTESIAN_POINT('Origin',(36.25,19.25,0.)); #500931=CARTESIAN_POINT('Origin',(36.25,19.25,1.5)); #500932=CARTESIAN_POINT('Origin',(36.25,19.25,0.)); #500933=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #500934=CARTESIAN_POINT('',(23.765,58.75,1.5)); #500935=CARTESIAN_POINT('Origin',(23.905,58.75,1.5)); #500936=CARTESIAN_POINT('',(23.765,58.75,0.)); #500937=CARTESIAN_POINT('',(23.765,58.75,0.)); #500938=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #500939=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #500940=CARTESIAN_POINT('',(23.73,58.75,1.5)); #500941=CARTESIAN_POINT('Origin',(23.905,58.75,1.5)); #500942=CARTESIAN_POINT('',(23.73,58.75,0.)); #500943=CARTESIAN_POINT('',(23.73,58.75,0.)); #500944=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #500945=CARTESIAN_POINT('Origin',(23.905,58.75,1.5)); #500946=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #500947=CARTESIAN_POINT('Origin',(13.5,21.,0.)); #500948=CARTESIAN_POINT('',(13.36,21.,1.5)); #500949=CARTESIAN_POINT('Origin',(13.5,21.,1.5)); #500950=CARTESIAN_POINT('',(13.36,21.,0.)); #500951=CARTESIAN_POINT('',(13.36,21.,0.)); #500952=CARTESIAN_POINT('Origin',(13.5,21.,0.)); #500953=CARTESIAN_POINT('Origin',(13.5,21.,0.)); #500954=CARTESIAN_POINT('',(13.325,21.,1.5)); #500955=CARTESIAN_POINT('Origin',(13.5,21.,1.5)); #500956=CARTESIAN_POINT('',(13.325,21.,0.)); #500957=CARTESIAN_POINT('',(13.325,21.,0.)); #500958=CARTESIAN_POINT('Origin',(13.5,21.,0.)); #500959=CARTESIAN_POINT('Origin',(13.5,21.,1.5)); #500960=CARTESIAN_POINT('Origin',(13.5,21.,0.)); #500961=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #500962=CARTESIAN_POINT('',(47.765,49.75,1.5)); #500963=CARTESIAN_POINT('Origin',(47.905,49.75,1.5)); #500964=CARTESIAN_POINT('',(47.765,49.75,0.)); #500965=CARTESIAN_POINT('',(47.765,49.75,0.)); #500966=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #500967=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #500968=CARTESIAN_POINT('',(47.73,49.75,1.5)); #500969=CARTESIAN_POINT('Origin',(47.905,49.75,1.5)); #500970=CARTESIAN_POINT('',(47.73,49.75,0.)); #500971=CARTESIAN_POINT('',(47.73,49.75,0.)); #500972=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #500973=CARTESIAN_POINT('Origin',(47.905,49.75,1.5)); #500974=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #500975=CARTESIAN_POINT('Origin',(37.25,9.5,0.)); #500976=CARTESIAN_POINT('',(37.11,9.5,1.5)); #500977=CARTESIAN_POINT('Origin',(37.25,9.5,1.5)); #500978=CARTESIAN_POINT('',(37.11,9.5,0.)); #500979=CARTESIAN_POINT('',(37.11,9.5,0.)); #500980=CARTESIAN_POINT('Origin',(37.25,9.5,0.)); #500981=CARTESIAN_POINT('Origin',(37.25,9.5,0.)); #500982=CARTESIAN_POINT('',(37.075,9.5,1.5)); #500983=CARTESIAN_POINT('Origin',(37.25,9.5,1.5)); #500984=CARTESIAN_POINT('',(37.075,9.5,0.)); #500985=CARTESIAN_POINT('',(37.075,9.5,0.)); #500986=CARTESIAN_POINT('Origin',(37.25,9.5,0.)); #500987=CARTESIAN_POINT('Origin',(37.25,9.5,1.5)); #500988=CARTESIAN_POINT('Origin',(37.25,9.5,0.)); #500989=CARTESIAN_POINT('Origin',(30.8125,36.75,0.)); #500990=CARTESIAN_POINT('',(30.6725,36.75,1.5)); #500991=CARTESIAN_POINT('Origin',(30.8125,36.75,1.5)); #500992=CARTESIAN_POINT('',(30.6725,36.75,0.)); #500993=CARTESIAN_POINT('',(30.6725,36.75,0.)); #500994=CARTESIAN_POINT('Origin',(30.8125,36.75,0.)); #500995=CARTESIAN_POINT('Origin',(30.8125,36.75,0.)); #500996=CARTESIAN_POINT('',(30.6375,36.75,1.5)); #500997=CARTESIAN_POINT('Origin',(30.8125,36.75,1.5)); #500998=CARTESIAN_POINT('',(30.6375,36.75,0.)); #500999=CARTESIAN_POINT('',(30.6375,36.75,0.)); #501000=CARTESIAN_POINT('Origin',(30.8125,36.75,0.)); #501001=CARTESIAN_POINT('Origin',(30.8125,36.75,1.5)); #501002=CARTESIAN_POINT('Origin',(30.8125,36.75,0.)); #501003=CARTESIAN_POINT('Origin',(12.,21.75,0.)); #501004=CARTESIAN_POINT('',(11.86,21.75,1.5)); #501005=CARTESIAN_POINT('Origin',(12.,21.75,1.5)); #501006=CARTESIAN_POINT('',(11.86,21.75,0.)); #501007=CARTESIAN_POINT('',(11.86,21.75,0.)); #501008=CARTESIAN_POINT('Origin',(12.,21.75,0.)); #501009=CARTESIAN_POINT('Origin',(12.,21.75,0.)); #501010=CARTESIAN_POINT('',(11.825,21.75,1.5)); #501011=CARTESIAN_POINT('Origin',(12.,21.75,1.5)); #501012=CARTESIAN_POINT('',(11.825,21.75,0.)); #501013=CARTESIAN_POINT('',(11.825,21.75,0.)); #501014=CARTESIAN_POINT('Origin',(12.,21.75,0.)); #501015=CARTESIAN_POINT('Origin',(12.,21.75,1.5)); #501016=CARTESIAN_POINT('Origin',(12.,21.75,0.)); #501017=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #501018=CARTESIAN_POINT('',(29.11,36.75,1.5)); #501019=CARTESIAN_POINT('Origin',(29.25,36.75,1.5)); #501020=CARTESIAN_POINT('',(29.11,36.75,0.)); #501021=CARTESIAN_POINT('',(29.11,36.75,0.)); #501022=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #501023=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #501024=CARTESIAN_POINT('',(29.075,36.75,1.5)); #501025=CARTESIAN_POINT('Origin',(29.25,36.75,1.5)); #501026=CARTESIAN_POINT('',(29.075,36.75,0.)); #501027=CARTESIAN_POINT('',(29.075,36.75,0.)); #501028=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #501029=CARTESIAN_POINT('Origin',(29.25,36.75,1.5)); #501030=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #501031=CARTESIAN_POINT('Origin',(35.5,19.25,0.)); #501032=CARTESIAN_POINT('',(35.36,19.25,1.5)); #501033=CARTESIAN_POINT('Origin',(35.5,19.25,1.5)); #501034=CARTESIAN_POINT('',(35.36,19.25,0.)); #501035=CARTESIAN_POINT('',(35.36,19.25,0.)); #501036=CARTESIAN_POINT('Origin',(35.5,19.25,0.)); #501037=CARTESIAN_POINT('Origin',(35.5,19.25,0.)); #501038=CARTESIAN_POINT('',(35.325,19.25,1.5)); #501039=CARTESIAN_POINT('Origin',(35.5,19.25,1.5)); #501040=CARTESIAN_POINT('',(35.325,19.25,0.)); #501041=CARTESIAN_POINT('',(35.325,19.25,0.)); #501042=CARTESIAN_POINT('Origin',(35.5,19.25,0.)); #501043=CARTESIAN_POINT('Origin',(35.5,19.25,1.5)); #501044=CARTESIAN_POINT('Origin',(35.5,19.25,0.)); #501045=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #501046=CARTESIAN_POINT('',(47.765,58.75,1.5)); #501047=CARTESIAN_POINT('Origin',(47.905,58.75,1.5)); #501048=CARTESIAN_POINT('',(47.765,58.75,0.)); #501049=CARTESIAN_POINT('',(47.765,58.75,0.)); #501050=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #501051=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #501052=CARTESIAN_POINT('',(47.73,58.75,1.5)); #501053=CARTESIAN_POINT('Origin',(47.905,58.75,1.5)); #501054=CARTESIAN_POINT('',(47.73,58.75,0.)); #501055=CARTESIAN_POINT('',(47.73,58.75,0.)); #501056=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #501057=CARTESIAN_POINT('Origin',(47.905,58.75,1.5)); #501058=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #501059=CARTESIAN_POINT('Origin',(13.5,21.75,0.)); #501060=CARTESIAN_POINT('',(13.36,21.75,1.5)); #501061=CARTESIAN_POINT('Origin',(13.5,21.75,1.5)); #501062=CARTESIAN_POINT('',(13.36,21.75,0.)); #501063=CARTESIAN_POINT('',(13.36,21.75,0.)); #501064=CARTESIAN_POINT('Origin',(13.5,21.75,0.)); #501065=CARTESIAN_POINT('Origin',(13.5,21.75,0.)); #501066=CARTESIAN_POINT('',(13.325,21.75,1.5)); #501067=CARTESIAN_POINT('Origin',(13.5,21.75,1.5)); #501068=CARTESIAN_POINT('',(13.325,21.75,0.)); #501069=CARTESIAN_POINT('',(13.325,21.75,0.)); #501070=CARTESIAN_POINT('Origin',(13.5,21.75,0.)); #501071=CARTESIAN_POINT('Origin',(13.5,21.75,1.5)); #501072=CARTESIAN_POINT('Origin',(13.5,21.75,0.)); #501073=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #501074=CARTESIAN_POINT('',(23.765,49.75,1.5)); #501075=CARTESIAN_POINT('Origin',(23.905,49.75,1.5)); #501076=CARTESIAN_POINT('',(23.765,49.75,0.)); #501077=CARTESIAN_POINT('',(23.765,49.75,0.)); #501078=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #501079=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #501080=CARTESIAN_POINT('',(23.73,49.75,1.5)); #501081=CARTESIAN_POINT('Origin',(23.905,49.75,1.5)); #501082=CARTESIAN_POINT('',(23.73,49.75,0.)); #501083=CARTESIAN_POINT('',(23.73,49.75,0.)); #501084=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #501085=CARTESIAN_POINT('Origin',(23.905,49.75,1.5)); #501086=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #501087=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #501088=CARTESIAN_POINT('',(36.035,27.75,1.5)); #501089=CARTESIAN_POINT('Origin',(36.5,27.75,1.5)); #501090=CARTESIAN_POINT('',(36.035,27.75,0.)); #501091=CARTESIAN_POINT('',(36.035,27.75,0.)); #501092=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #501093=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #501094=CARTESIAN_POINT('',(36.,27.75,1.5)); #501095=CARTESIAN_POINT('Origin',(36.5,27.75,1.5)); #501096=CARTESIAN_POINT('',(36.,27.75,0.)); #501097=CARTESIAN_POINT('',(36.,27.75,0.)); #501098=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #501099=CARTESIAN_POINT('Origin',(36.5,27.75,1.5)); #501100=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #501101=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #501102=CARTESIAN_POINT('',(22.735,55.25,1.5)); #501103=CARTESIAN_POINT('Origin',(22.875,55.25,1.5)); #501104=CARTESIAN_POINT('',(22.735,55.25,0.)); #501105=CARTESIAN_POINT('',(22.735,55.25,0.)); #501106=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #501107=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #501108=CARTESIAN_POINT('',(22.7,55.25,1.5)); #501109=CARTESIAN_POINT('Origin',(22.875,55.25,1.5)); #501110=CARTESIAN_POINT('',(22.7,55.25,0.)); #501111=CARTESIAN_POINT('',(22.7,55.25,0.)); #501112=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #501113=CARTESIAN_POINT('Origin',(22.875,55.25,1.5)); #501114=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #501115=CARTESIAN_POINT('Origin',(12.75,20.25,0.)); #501116=CARTESIAN_POINT('',(12.61,20.25,1.5)); #501117=CARTESIAN_POINT('Origin',(12.75,20.25,1.5)); #501118=CARTESIAN_POINT('',(12.61,20.25,0.)); #501119=CARTESIAN_POINT('',(12.61,20.25,0.)); #501120=CARTESIAN_POINT('Origin',(12.75,20.25,0.)); #501121=CARTESIAN_POINT('Origin',(12.75,20.25,0.)); #501122=CARTESIAN_POINT('',(12.575,20.25,1.5)); #501123=CARTESIAN_POINT('Origin',(12.75,20.25,1.5)); #501124=CARTESIAN_POINT('',(12.575,20.25,0.)); #501125=CARTESIAN_POINT('',(12.575,20.25,0.)); #501126=CARTESIAN_POINT('Origin',(12.75,20.25,0.)); #501127=CARTESIAN_POINT('Origin',(12.75,20.25,1.5)); #501128=CARTESIAN_POINT('Origin',(12.75,20.25,0.)); #501129=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #501130=CARTESIAN_POINT('',(22.735,56.,1.5)); #501131=CARTESIAN_POINT('Origin',(22.875,56.,1.5)); #501132=CARTESIAN_POINT('',(22.735,56.,0.)); #501133=CARTESIAN_POINT('',(22.735,56.,0.)); #501134=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #501135=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #501136=CARTESIAN_POINT('',(22.7,56.,1.5)); #501137=CARTESIAN_POINT('Origin',(22.875,56.,1.5)); #501138=CARTESIAN_POINT('',(22.7,56.,0.)); #501139=CARTESIAN_POINT('',(22.7,56.,0.)); #501140=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #501141=CARTESIAN_POINT('Origin',(22.875,56.,1.5)); #501142=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #501143=CARTESIAN_POINT('Origin',(34.,19.25,0.)); #501144=CARTESIAN_POINT('',(33.86,19.25,1.5)); #501145=CARTESIAN_POINT('Origin',(34.,19.25,1.5)); #501146=CARTESIAN_POINT('',(33.86,19.25,0.)); #501147=CARTESIAN_POINT('',(33.86,19.25,0.)); #501148=CARTESIAN_POINT('Origin',(34.,19.25,0.)); #501149=CARTESIAN_POINT('Origin',(34.,19.25,0.)); #501150=CARTESIAN_POINT('',(33.825,19.25,1.5)); #501151=CARTESIAN_POINT('Origin',(34.,19.25,1.5)); #501152=CARTESIAN_POINT('',(33.825,19.25,0.)); #501153=CARTESIAN_POINT('',(33.825,19.25,0.)); #501154=CARTESIAN_POINT('Origin',(34.,19.25,0.)); #501155=CARTESIAN_POINT('Origin',(34.,19.25,1.5)); #501156=CARTESIAN_POINT('Origin',(34.,19.25,0.)); #501157=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #501158=CARTESIAN_POINT('',(23.61,56.75,1.5)); #501159=CARTESIAN_POINT('Origin',(23.75,56.75,1.5)); #501160=CARTESIAN_POINT('',(23.61,56.75,0.)); #501161=CARTESIAN_POINT('',(23.61,56.75,0.)); #501162=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #501163=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #501164=CARTESIAN_POINT('',(23.575,56.75,1.5)); #501165=CARTESIAN_POINT('Origin',(23.75,56.75,1.5)); #501166=CARTESIAN_POINT('',(23.575,56.75,0.)); #501167=CARTESIAN_POINT('',(23.575,56.75,0.)); #501168=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #501169=CARTESIAN_POINT('Origin',(23.75,56.75,1.5)); #501170=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #501171=CARTESIAN_POINT('Origin',(12.75,21.75,0.)); #501172=CARTESIAN_POINT('',(12.61,21.75,1.5)); #501173=CARTESIAN_POINT('Origin',(12.75,21.75,1.5)); #501174=CARTESIAN_POINT('',(12.61,21.75,0.)); #501175=CARTESIAN_POINT('',(12.61,21.75,0.)); #501176=CARTESIAN_POINT('Origin',(12.75,21.75,0.)); #501177=CARTESIAN_POINT('Origin',(12.75,21.75,0.)); #501178=CARTESIAN_POINT('',(12.575,21.75,1.5)); #501179=CARTESIAN_POINT('Origin',(12.75,21.75,1.5)); #501180=CARTESIAN_POINT('',(12.575,21.75,0.)); #501181=CARTESIAN_POINT('',(12.575,21.75,0.)); #501182=CARTESIAN_POINT('Origin',(12.75,21.75,0.)); #501183=CARTESIAN_POINT('Origin',(12.75,21.75,1.5)); #501184=CARTESIAN_POINT('Origin',(12.75,21.75,0.)); #501185=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #501186=CARTESIAN_POINT('',(22.735,56.75,1.5)); #501187=CARTESIAN_POINT('Origin',(22.875,56.75,1.5)); #501188=CARTESIAN_POINT('',(22.735,56.75,0.)); #501189=CARTESIAN_POINT('',(22.735,56.75,0.)); #501190=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #501191=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #501192=CARTESIAN_POINT('',(22.7,56.75,1.5)); #501193=CARTESIAN_POINT('Origin',(22.875,56.75,1.5)); #501194=CARTESIAN_POINT('',(22.7,56.75,0.)); #501195=CARTESIAN_POINT('',(22.7,56.75,0.)); #501196=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #501197=CARTESIAN_POINT('Origin',(22.875,56.75,1.5)); #501198=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #501199=CARTESIAN_POINT('Origin',(36.5,9.5,0.)); #501200=CARTESIAN_POINT('',(36.36,9.5,1.5)); #501201=CARTESIAN_POINT('Origin',(36.5,9.5,1.5)); #501202=CARTESIAN_POINT('',(36.36,9.5,0.)); #501203=CARTESIAN_POINT('',(36.36,9.5,0.)); #501204=CARTESIAN_POINT('Origin',(36.5,9.5,0.)); #501205=CARTESIAN_POINT('Origin',(36.5,9.5,0.)); #501206=CARTESIAN_POINT('',(36.325,9.5,1.5)); #501207=CARTESIAN_POINT('Origin',(36.5,9.5,1.5)); #501208=CARTESIAN_POINT('',(36.325,9.5,0.)); #501209=CARTESIAN_POINT('',(36.325,9.5,0.)); #501210=CARTESIAN_POINT('Origin',(36.5,9.5,0.)); #501211=CARTESIAN_POINT('Origin',(36.5,9.5,1.5)); #501212=CARTESIAN_POINT('Origin',(36.5,9.5,0.)); #501213=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #501214=CARTESIAN_POINT('',(20.985,56.,1.5)); #501215=CARTESIAN_POINT('Origin',(21.125,56.,1.5)); #501216=CARTESIAN_POINT('',(20.985,56.,0.)); #501217=CARTESIAN_POINT('',(20.985,56.,0.)); #501218=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #501219=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #501220=CARTESIAN_POINT('',(20.95,56.,1.5)); #501221=CARTESIAN_POINT('Origin',(21.125,56.,1.5)); #501222=CARTESIAN_POINT('',(20.95,56.,0.)); #501223=CARTESIAN_POINT('',(20.95,56.,0.)); #501224=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #501225=CARTESIAN_POINT('Origin',(21.125,56.,1.5)); #501226=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #501227=CARTESIAN_POINT('Origin',(12.,20.25,0.)); #501228=CARTESIAN_POINT('',(11.86,20.25,1.5)); #501229=CARTESIAN_POINT('Origin',(12.,20.25,1.5)); #501230=CARTESIAN_POINT('',(11.86,20.25,0.)); #501231=CARTESIAN_POINT('',(11.86,20.25,0.)); #501232=CARTESIAN_POINT('Origin',(12.,20.25,0.)); #501233=CARTESIAN_POINT('Origin',(12.,20.25,0.)); #501234=CARTESIAN_POINT('',(11.825,20.25,1.5)); #501235=CARTESIAN_POINT('Origin',(12.,20.25,1.5)); #501236=CARTESIAN_POINT('',(11.825,20.25,0.)); #501237=CARTESIAN_POINT('',(11.825,20.25,0.)); #501238=CARTESIAN_POINT('Origin',(12.,20.25,0.)); #501239=CARTESIAN_POINT('Origin',(12.,20.25,1.5)); #501240=CARTESIAN_POINT('Origin',(12.,20.25,0.)); #501241=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #501242=CARTESIAN_POINT('',(20.985,55.25,1.5)); #501243=CARTESIAN_POINT('Origin',(21.125,55.25,1.5)); #501244=CARTESIAN_POINT('',(20.985,55.25,0.)); #501245=CARTESIAN_POINT('',(20.985,55.25,0.)); #501246=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #501247=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #501248=CARTESIAN_POINT('',(20.95,55.25,1.5)); #501249=CARTESIAN_POINT('Origin',(21.125,55.25,1.5)); #501250=CARTESIAN_POINT('',(20.95,55.25,0.)); #501251=CARTESIAN_POINT('',(20.95,55.25,0.)); #501252=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #501253=CARTESIAN_POINT('Origin',(21.125,55.25,1.5)); #501254=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #501255=CARTESIAN_POINT('Origin',(34.75,19.25,0.)); #501256=CARTESIAN_POINT('',(34.61,19.25,1.5)); #501257=CARTESIAN_POINT('Origin',(34.75,19.25,1.5)); #501258=CARTESIAN_POINT('',(34.61,19.25,0.)); #501259=CARTESIAN_POINT('',(34.61,19.25,0.)); #501260=CARTESIAN_POINT('Origin',(34.75,19.25,0.)); #501261=CARTESIAN_POINT('Origin',(34.75,19.25,0.)); #501262=CARTESIAN_POINT('',(34.575,19.25,1.5)); #501263=CARTESIAN_POINT('Origin',(34.75,19.25,1.5)); #501264=CARTESIAN_POINT('',(34.575,19.25,0.)); #501265=CARTESIAN_POINT('',(34.575,19.25,0.)); #501266=CARTESIAN_POINT('Origin',(34.75,19.25,0.)); #501267=CARTESIAN_POINT('Origin',(34.75,19.25,1.5)); #501268=CARTESIAN_POINT('Origin',(34.75,19.25,0.)); #501269=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #501270=CARTESIAN_POINT('',(20.985,56.75,1.5)); #501271=CARTESIAN_POINT('Origin',(21.125,56.75,1.5)); #501272=CARTESIAN_POINT('',(20.985,56.75,0.)); #501273=CARTESIAN_POINT('',(20.985,56.75,0.)); #501274=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #501275=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #501276=CARTESIAN_POINT('',(20.95,56.75,1.5)); #501277=CARTESIAN_POINT('Origin',(21.125,56.75,1.5)); #501278=CARTESIAN_POINT('',(20.95,56.75,0.)); #501279=CARTESIAN_POINT('',(20.95,56.75,0.)); #501280=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #501281=CARTESIAN_POINT('Origin',(21.125,56.75,1.5)); #501282=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #501283=CARTESIAN_POINT('Origin',(12.,21.,0.)); #501284=CARTESIAN_POINT('',(11.86,21.,1.5)); #501285=CARTESIAN_POINT('Origin',(12.,21.,1.5)); #501286=CARTESIAN_POINT('',(11.86,21.,0.)); #501287=CARTESIAN_POINT('',(11.86,21.,0.)); #501288=CARTESIAN_POINT('Origin',(12.,21.,0.)); #501289=CARTESIAN_POINT('Origin',(12.,21.,0.)); #501290=CARTESIAN_POINT('',(11.825,21.,1.5)); #501291=CARTESIAN_POINT('Origin',(12.,21.,1.5)); #501292=CARTESIAN_POINT('',(11.825,21.,0.)); #501293=CARTESIAN_POINT('',(11.825,21.,0.)); #501294=CARTESIAN_POINT('Origin',(12.,21.,0.)); #501295=CARTESIAN_POINT('Origin',(12.,21.,1.5)); #501296=CARTESIAN_POINT('Origin',(12.,21.,0.)); #501297=CARTESIAN_POINT('Origin',(29.488,53.,0.)); #501298=CARTESIAN_POINT('',(29.348,53.,1.5)); #501299=CARTESIAN_POINT('Origin',(29.488,53.,1.5)); #501300=CARTESIAN_POINT('',(29.348,53.,0.)); #501301=CARTESIAN_POINT('',(29.348,53.,0.)); #501302=CARTESIAN_POINT('Origin',(29.488,53.,0.)); #501303=CARTESIAN_POINT('Origin',(29.488,53.,0.)); #501304=CARTESIAN_POINT('',(29.313,53.,1.5)); #501305=CARTESIAN_POINT('Origin',(29.488,53.,1.5)); #501306=CARTESIAN_POINT('',(29.313,53.,0.)); #501307=CARTESIAN_POINT('',(29.313,53.,0.)); #501308=CARTESIAN_POINT('Origin',(29.488,53.,0.)); #501309=CARTESIAN_POINT('Origin',(29.488,53.,1.5)); #501310=CARTESIAN_POINT('Origin',(29.488,53.,0.)); #501311=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #501312=CARTESIAN_POINT('',(45.735,34.,1.5)); #501313=CARTESIAN_POINT('Origin',(46.25,34.,1.5)); #501314=CARTESIAN_POINT('',(45.735,34.,0.)); #501315=CARTESIAN_POINT('',(45.735,34.,0.)); #501316=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #501317=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #501318=CARTESIAN_POINT('',(45.7,34.,1.5)); #501319=CARTESIAN_POINT('Origin',(46.25,34.,1.5)); #501320=CARTESIAN_POINT('',(45.7,34.,0.)); #501321=CARTESIAN_POINT('',(45.7,34.,0.)); #501322=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #501323=CARTESIAN_POINT('Origin',(46.25,34.,1.5)); #501324=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #501325=CARTESIAN_POINT('Origin',(37.1875,36.75,0.)); #501326=CARTESIAN_POINT('',(37.0475,36.75,1.5)); #501327=CARTESIAN_POINT('Origin',(37.1875,36.75,1.5)); #501328=CARTESIAN_POINT('',(37.0475,36.75,0.)); #501329=CARTESIAN_POINT('',(37.0475,36.75,0.)); #501330=CARTESIAN_POINT('Origin',(37.1875,36.75,0.)); #501331=CARTESIAN_POINT('Origin',(37.1875,36.75,0.)); #501332=CARTESIAN_POINT('',(37.0125,36.75,1.5)); #501333=CARTESIAN_POINT('Origin',(37.1875,36.75,1.5)); #501334=CARTESIAN_POINT('',(37.0125,36.75,0.)); #501335=CARTESIAN_POINT('',(37.0125,36.75,0.)); #501336=CARTESIAN_POINT('Origin',(37.1875,36.75,0.)); #501337=CARTESIAN_POINT('Origin',(37.1875,36.75,1.5)); #501338=CARTESIAN_POINT('Origin',(37.1875,36.75,0.)); #501339=CARTESIAN_POINT('Origin',(54.5,21.75,0.)); #501340=CARTESIAN_POINT('',(54.36,21.75,1.5)); #501341=CARTESIAN_POINT('Origin',(54.5,21.75,1.5)); #501342=CARTESIAN_POINT('',(54.36,21.75,0.)); #501343=CARTESIAN_POINT('',(54.36,21.75,0.)); #501344=CARTESIAN_POINT('Origin',(54.5,21.75,0.)); #501345=CARTESIAN_POINT('Origin',(54.5,21.75,0.)); #501346=CARTESIAN_POINT('',(54.325,21.75,1.5)); #501347=CARTESIAN_POINT('Origin',(54.5,21.75,1.5)); #501348=CARTESIAN_POINT('',(54.325,21.75,0.)); #501349=CARTESIAN_POINT('',(54.325,21.75,0.)); #501350=CARTESIAN_POINT('Origin',(54.5,21.75,0.)); #501351=CARTESIAN_POINT('Origin',(54.5,21.75,1.5)); #501352=CARTESIAN_POINT('Origin',(54.5,21.75,0.)); #501353=CARTESIAN_POINT('Origin',(38.75,36.75,0.)); #501354=CARTESIAN_POINT('',(38.61,36.75,1.5)); #501355=CARTESIAN_POINT('Origin',(38.75,36.75,1.5)); #501356=CARTESIAN_POINT('',(38.61,36.75,0.)); #501357=CARTESIAN_POINT('',(38.61,36.75,0.)); #501358=CARTESIAN_POINT('Origin',(38.75,36.75,0.)); #501359=CARTESIAN_POINT('Origin',(38.75,36.75,0.)); #501360=CARTESIAN_POINT('',(38.575,36.75,1.5)); #501361=CARTESIAN_POINT('Origin',(38.75,36.75,1.5)); #501362=CARTESIAN_POINT('',(38.575,36.75,0.)); #501363=CARTESIAN_POINT('',(38.575,36.75,0.)); #501364=CARTESIAN_POINT('Origin',(38.75,36.75,0.)); #501365=CARTESIAN_POINT('Origin',(38.75,36.75,1.5)); #501366=CARTESIAN_POINT('Origin',(38.75,36.75,0.)); #501367=CARTESIAN_POINT('Origin',(35.5,17.,0.)); #501368=CARTESIAN_POINT('',(35.36,17.,1.5)); #501369=CARTESIAN_POINT('Origin',(35.5,17.,1.5)); #501370=CARTESIAN_POINT('',(35.36,17.,0.)); #501371=CARTESIAN_POINT('',(35.36,17.,0.)); #501372=CARTESIAN_POINT('Origin',(35.5,17.,0.)); #501373=CARTESIAN_POINT('Origin',(35.5,17.,0.)); #501374=CARTESIAN_POINT('',(35.325,17.,1.5)); #501375=CARTESIAN_POINT('Origin',(35.5,17.,1.5)); #501376=CARTESIAN_POINT('',(35.325,17.,0.)); #501377=CARTESIAN_POINT('',(35.325,17.,0.)); #501378=CARTESIAN_POINT('Origin',(35.5,17.,0.)); #501379=CARTESIAN_POINT('Origin',(35.5,17.,1.5)); #501380=CARTESIAN_POINT('Origin',(35.5,17.,0.)); #501381=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #501382=CARTESIAN_POINT('',(46.735,56.75,1.5)); #501383=CARTESIAN_POINT('Origin',(46.875,56.75,1.5)); #501384=CARTESIAN_POINT('',(46.735,56.75,0.)); #501385=CARTESIAN_POINT('',(46.735,56.75,0.)); #501386=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #501387=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #501388=CARTESIAN_POINT('',(46.7,56.75,1.5)); #501389=CARTESIAN_POINT('Origin',(46.875,56.75,1.5)); #501390=CARTESIAN_POINT('',(46.7,56.75,0.)); #501391=CARTESIAN_POINT('',(46.7,56.75,0.)); #501392=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #501393=CARTESIAN_POINT('Origin',(46.875,56.75,1.5)); #501394=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #501395=CARTESIAN_POINT('Origin',(54.5,21.,0.)); #501396=CARTESIAN_POINT('',(54.36,21.,1.5)); #501397=CARTESIAN_POINT('Origin',(54.5,21.,1.5)); #501398=CARTESIAN_POINT('',(54.36,21.,0.)); #501399=CARTESIAN_POINT('',(54.36,21.,0.)); #501400=CARTESIAN_POINT('Origin',(54.5,21.,0.)); #501401=CARTESIAN_POINT('Origin',(54.5,21.,0.)); #501402=CARTESIAN_POINT('',(54.325,21.,1.5)); #501403=CARTESIAN_POINT('Origin',(54.5,21.,1.5)); #501404=CARTESIAN_POINT('',(54.325,21.,0.)); #501405=CARTESIAN_POINT('',(54.325,21.,0.)); #501406=CARTESIAN_POINT('Origin',(54.5,21.,0.)); #501407=CARTESIAN_POINT('Origin',(54.5,21.,1.5)); #501408=CARTESIAN_POINT('Origin',(54.5,21.,0.)); #501409=CARTESIAN_POINT('Origin',(38.512,53.,0.)); #501410=CARTESIAN_POINT('',(38.372,53.,1.5)); #501411=CARTESIAN_POINT('Origin',(38.512,53.,1.5)); #501412=CARTESIAN_POINT('',(38.372,53.,0.)); #501413=CARTESIAN_POINT('',(38.372,53.,0.)); #501414=CARTESIAN_POINT('Origin',(38.512,53.,0.)); #501415=CARTESIAN_POINT('Origin',(38.512,53.,0.)); #501416=CARTESIAN_POINT('',(38.337,53.,1.5)); #501417=CARTESIAN_POINT('Origin',(38.512,53.,1.5)); #501418=CARTESIAN_POINT('',(38.337,53.,0.)); #501419=CARTESIAN_POINT('',(38.337,53.,0.)); #501420=CARTESIAN_POINT('Origin',(38.512,53.,0.)); #501421=CARTESIAN_POINT('Origin',(38.512,53.,1.5)); #501422=CARTESIAN_POINT('Origin',(38.512,53.,0.)); #501423=CARTESIAN_POINT('Origin',(31.5,9.5,0.)); #501424=CARTESIAN_POINT('',(31.36,9.5,1.5)); #501425=CARTESIAN_POINT('Origin',(31.5,9.5,1.5)); #501426=CARTESIAN_POINT('',(31.36,9.5,0.)); #501427=CARTESIAN_POINT('',(31.36,9.5,0.)); #501428=CARTESIAN_POINT('Origin',(31.5,9.5,0.)); #501429=CARTESIAN_POINT('Origin',(31.5,9.5,0.)); #501430=CARTESIAN_POINT('',(31.325,9.5,1.5)); #501431=CARTESIAN_POINT('Origin',(31.5,9.5,1.5)); #501432=CARTESIAN_POINT('',(31.325,9.5,0.)); #501433=CARTESIAN_POINT('',(31.325,9.5,0.)); #501434=CARTESIAN_POINT('Origin',(31.5,9.5,0.)); #501435=CARTESIAN_POINT('Origin',(31.5,9.5,1.5)); #501436=CARTESIAN_POINT('Origin',(31.5,9.5,0.)); #501437=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #501438=CARTESIAN_POINT('',(44.985,55.25,1.5)); #501439=CARTESIAN_POINT('Origin',(45.125,55.25,1.5)); #501440=CARTESIAN_POINT('',(44.985,55.25,0.)); #501441=CARTESIAN_POINT('',(44.985,55.25,0.)); #501442=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #501443=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #501444=CARTESIAN_POINT('',(44.95,55.25,1.5)); #501445=CARTESIAN_POINT('Origin',(45.125,55.25,1.5)); #501446=CARTESIAN_POINT('',(44.95,55.25,0.)); #501447=CARTESIAN_POINT('',(44.95,55.25,0.)); #501448=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #501449=CARTESIAN_POINT('Origin',(45.125,55.25,1.5)); #501450=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #501451=CARTESIAN_POINT('Origin',(55.25,20.25,0.)); #501452=CARTESIAN_POINT('',(55.11,20.25,1.5)); #501453=CARTESIAN_POINT('Origin',(55.25,20.25,1.5)); #501454=CARTESIAN_POINT('',(55.11,20.25,0.)); #501455=CARTESIAN_POINT('',(55.11,20.25,0.)); #501456=CARTESIAN_POINT('Origin',(55.25,20.25,0.)); #501457=CARTESIAN_POINT('Origin',(55.25,20.25,0.)); #501458=CARTESIAN_POINT('',(55.075,20.25,1.5)); #501459=CARTESIAN_POINT('Origin',(55.25,20.25,1.5)); #501460=CARTESIAN_POINT('',(55.075,20.25,0.)); #501461=CARTESIAN_POINT('',(55.075,20.25,0.)); #501462=CARTESIAN_POINT('Origin',(55.25,20.25,0.)); #501463=CARTESIAN_POINT('Origin',(55.25,20.25,1.5)); #501464=CARTESIAN_POINT('Origin',(55.25,20.25,0.)); #501465=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #501466=CARTESIAN_POINT('',(44.985,56.,1.5)); #501467=CARTESIAN_POINT('Origin',(45.125,56.,1.5)); #501468=CARTESIAN_POINT('',(44.985,56.,0.)); #501469=CARTESIAN_POINT('',(44.985,56.,0.)); #501470=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #501471=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #501472=CARTESIAN_POINT('',(44.95,56.,1.5)); #501473=CARTESIAN_POINT('Origin',(45.125,56.,1.5)); #501474=CARTESIAN_POINT('',(44.95,56.,0.)); #501475=CARTESIAN_POINT('',(44.95,56.,0.)); #501476=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #501477=CARTESIAN_POINT('Origin',(45.125,56.,1.5)); #501478=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #501479=CARTESIAN_POINT('Origin',(35.5,16.25,0.)); #501480=CARTESIAN_POINT('',(35.36,16.25,1.5)); #501481=CARTESIAN_POINT('Origin',(35.5,16.25,1.5)); #501482=CARTESIAN_POINT('',(35.36,16.25,0.)); #501483=CARTESIAN_POINT('',(35.36,16.25,0.)); #501484=CARTESIAN_POINT('Origin',(35.5,16.25,0.)); #501485=CARTESIAN_POINT('Origin',(35.5,16.25,0.)); #501486=CARTESIAN_POINT('',(35.325,16.25,1.5)); #501487=CARTESIAN_POINT('Origin',(35.5,16.25,1.5)); #501488=CARTESIAN_POINT('',(35.325,16.25,0.)); #501489=CARTESIAN_POINT('',(35.325,16.25,0.)); #501490=CARTESIAN_POINT('Origin',(35.5,16.25,0.)); #501491=CARTESIAN_POINT('Origin',(35.5,16.25,1.5)); #501492=CARTESIAN_POINT('Origin',(35.5,16.25,0.)); #501493=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #501494=CARTESIAN_POINT('',(46.735,55.25,1.5)); #501495=CARTESIAN_POINT('Origin',(46.875,55.25,1.5)); #501496=CARTESIAN_POINT('',(46.735,55.25,0.)); #501497=CARTESIAN_POINT('',(46.735,55.25,0.)); #501498=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #501499=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #501500=CARTESIAN_POINT('',(46.7,55.25,1.5)); #501501=CARTESIAN_POINT('Origin',(46.875,55.25,1.5)); #501502=CARTESIAN_POINT('',(46.7,55.25,0.)); #501503=CARTESIAN_POINT('',(46.7,55.25,0.)); #501504=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #501505=CARTESIAN_POINT('Origin',(46.875,55.25,1.5)); #501506=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #501507=CARTESIAN_POINT('Origin',(54.5,20.25,0.)); #501508=CARTESIAN_POINT('',(54.36,20.25,1.5)); #501509=CARTESIAN_POINT('Origin',(54.5,20.25,1.5)); #501510=CARTESIAN_POINT('',(54.36,20.25,0.)); #501511=CARTESIAN_POINT('',(54.36,20.25,0.)); #501512=CARTESIAN_POINT('Origin',(54.5,20.25,0.)); #501513=CARTESIAN_POINT('Origin',(54.5,20.25,0.)); #501514=CARTESIAN_POINT('',(54.325,20.25,1.5)); #501515=CARTESIAN_POINT('Origin',(54.5,20.25,1.5)); #501516=CARTESIAN_POINT('',(54.325,20.25,0.)); #501517=CARTESIAN_POINT('',(54.325,20.25,0.)); #501518=CARTESIAN_POINT('Origin',(54.5,20.25,0.)); #501519=CARTESIAN_POINT('Origin',(54.5,20.25,1.5)); #501520=CARTESIAN_POINT('Origin',(54.5,20.25,0.)); #501521=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #501522=CARTESIAN_POINT('',(46.735,56.,1.5)); #501523=CARTESIAN_POINT('Origin',(46.875,56.,1.5)); #501524=CARTESIAN_POINT('',(46.735,56.,0.)); #501525=CARTESIAN_POINT('',(46.735,56.,0.)); #501526=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #501527=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #501528=CARTESIAN_POINT('',(46.7,56.,1.5)); #501529=CARTESIAN_POINT('Origin',(46.875,56.,1.5)); #501530=CARTESIAN_POINT('',(46.7,56.,0.)); #501531=CARTESIAN_POINT('',(46.7,56.,0.)); #501532=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #501533=CARTESIAN_POINT('Origin',(46.875,56.,1.5)); #501534=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #501535=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #501536=CARTESIAN_POINT('',(31.035,25.25,1.5)); #501537=CARTESIAN_POINT('Origin',(31.5,25.25,1.5)); #501538=CARTESIAN_POINT('',(31.035,25.25,0.)); #501539=CARTESIAN_POINT('',(31.035,25.25,0.)); #501540=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #501541=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #501542=CARTESIAN_POINT('',(31.,25.25,1.5)); #501543=CARTESIAN_POINT('Origin',(31.5,25.25,1.5)); #501544=CARTESIAN_POINT('',(31.,25.25,0.)); #501545=CARTESIAN_POINT('',(31.,25.25,0.)); #501546=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #501547=CARTESIAN_POINT('Origin',(31.5,25.25,1.5)); #501548=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #501549=CARTESIAN_POINT('Origin',(22.,56.,0.)); #501550=CARTESIAN_POINT('',(21.86,56.,1.5)); #501551=CARTESIAN_POINT('Origin',(22.,56.,1.5)); #501552=CARTESIAN_POINT('',(21.86,56.,0.)); #501553=CARTESIAN_POINT('',(21.86,56.,0.)); #501554=CARTESIAN_POINT('Origin',(22.,56.,0.)); #501555=CARTESIAN_POINT('Origin',(22.,56.,0.)); #501556=CARTESIAN_POINT('',(21.825,56.,1.5)); #501557=CARTESIAN_POINT('Origin',(22.,56.,1.5)); #501558=CARTESIAN_POINT('',(21.825,56.,0.)); #501559=CARTESIAN_POINT('',(21.825,56.,0.)); #501560=CARTESIAN_POINT('Origin',(22.,56.,0.)); #501561=CARTESIAN_POINT('Origin',(22.,56.,1.5)); #501562=CARTESIAN_POINT('Origin',(22.,56.,0.)); #501563=CARTESIAN_POINT('Origin',(56.,21.,0.)); #501564=CARTESIAN_POINT('',(55.86,21.,1.5)); #501565=CARTESIAN_POINT('Origin',(56.,21.,1.5)); #501566=CARTESIAN_POINT('',(55.86,21.,0.)); #501567=CARTESIAN_POINT('',(55.86,21.,0.)); #501568=CARTESIAN_POINT('Origin',(56.,21.,0.)); #501569=CARTESIAN_POINT('Origin',(56.,21.,0.)); #501570=CARTESIAN_POINT('',(55.825,21.,1.5)); #501571=CARTESIAN_POINT('Origin',(56.,21.,1.5)); #501572=CARTESIAN_POINT('',(55.825,21.,0.)); #501573=CARTESIAN_POINT('',(55.825,21.,0.)); #501574=CARTESIAN_POINT('Origin',(56.,21.,0.)); #501575=CARTESIAN_POINT('Origin',(56.,21.,1.5)); #501576=CARTESIAN_POINT('Origin',(56.,21.,0.)); #501577=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #501578=CARTESIAN_POINT('',(20.11,56.,1.5)); #501579=CARTESIAN_POINT('Origin',(20.25,56.,1.5)); #501580=CARTESIAN_POINT('',(20.11,56.,0.)); #501581=CARTESIAN_POINT('',(20.11,56.,0.)); #501582=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #501583=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #501584=CARTESIAN_POINT('',(20.075,56.,1.5)); #501585=CARTESIAN_POINT('Origin',(20.25,56.,1.5)); #501586=CARTESIAN_POINT('',(20.075,56.,0.)); #501587=CARTESIAN_POINT('',(20.075,56.,0.)); #501588=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #501589=CARTESIAN_POINT('Origin',(20.25,56.,1.5)); #501590=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #501591=CARTESIAN_POINT('Origin',(32.5,19.25,0.)); #501592=CARTESIAN_POINT('',(32.36,19.25,1.5)); #501593=CARTESIAN_POINT('Origin',(32.5,19.25,1.5)); #501594=CARTESIAN_POINT('',(32.36,19.25,0.)); #501595=CARTESIAN_POINT('',(32.36,19.25,0.)); #501596=CARTESIAN_POINT('Origin',(32.5,19.25,0.)); #501597=CARTESIAN_POINT('Origin',(32.5,19.25,0.)); #501598=CARTESIAN_POINT('',(32.325,19.25,1.5)); #501599=CARTESIAN_POINT('Origin',(32.5,19.25,1.5)); #501600=CARTESIAN_POINT('',(32.325,19.25,0.)); #501601=CARTESIAN_POINT('',(32.325,19.25,0.)); #501602=CARTESIAN_POINT('Origin',(32.5,19.25,0.)); #501603=CARTESIAN_POINT('Origin',(32.5,19.25,1.5)); #501604=CARTESIAN_POINT('Origin',(32.5,19.25,0.)); #501605=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #501606=CARTESIAN_POINT('',(20.11,56.75,1.5)); #501607=CARTESIAN_POINT('Origin',(20.25,56.75,1.5)); #501608=CARTESIAN_POINT('',(20.11,56.75,0.)); #501609=CARTESIAN_POINT('',(20.11,56.75,0.)); #501610=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #501611=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #501612=CARTESIAN_POINT('',(20.075,56.75,1.5)); #501613=CARTESIAN_POINT('Origin',(20.25,56.75,1.5)); #501614=CARTESIAN_POINT('',(20.075,56.75,0.)); #501615=CARTESIAN_POINT('',(20.075,56.75,0.)); #501616=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #501617=CARTESIAN_POINT('Origin',(20.25,56.75,1.5)); #501618=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #501619=CARTESIAN_POINT('Origin',(56.,21.75,0.)); #501620=CARTESIAN_POINT('',(55.86,21.75,1.5)); #501621=CARTESIAN_POINT('Origin',(56.,21.75,1.5)); #501622=CARTESIAN_POINT('',(55.86,21.75,0.)); #501623=CARTESIAN_POINT('',(55.86,21.75,0.)); #501624=CARTESIAN_POINT('Origin',(56.,21.75,0.)); #501625=CARTESIAN_POINT('Origin',(56.,21.75,0.)); #501626=CARTESIAN_POINT('',(55.825,21.75,1.5)); #501627=CARTESIAN_POINT('Origin',(56.,21.75,1.5)); #501628=CARTESIAN_POINT('',(55.825,21.75,0.)); #501629=CARTESIAN_POINT('',(55.825,21.75,0.)); #501630=CARTESIAN_POINT('Origin',(56.,21.75,0.)); #501631=CARTESIAN_POINT('Origin',(56.,21.75,1.5)); #501632=CARTESIAN_POINT('Origin',(56.,21.75,0.)); #501633=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #501634=CARTESIAN_POINT('',(20.11,55.25,1.5)); #501635=CARTESIAN_POINT('Origin',(20.25,55.25,1.5)); #501636=CARTESIAN_POINT('',(20.11,55.25,0.)); #501637=CARTESIAN_POINT('',(20.11,55.25,0.)); #501638=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #501639=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #501640=CARTESIAN_POINT('',(20.075,55.25,1.5)); #501641=CARTESIAN_POINT('Origin',(20.25,55.25,1.5)); #501642=CARTESIAN_POINT('',(20.075,55.25,0.)); #501643=CARTESIAN_POINT('',(20.075,55.25,0.)); #501644=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #501645=CARTESIAN_POINT('Origin',(20.25,55.25,1.5)); #501646=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #501647=CARTESIAN_POINT('Origin',(36.5,8.75,0.)); #501648=CARTESIAN_POINT('',(36.36,8.75,1.5)); #501649=CARTESIAN_POINT('Origin',(36.5,8.75,1.5)); #501650=CARTESIAN_POINT('',(36.36,8.75,0.)); #501651=CARTESIAN_POINT('',(36.36,8.75,0.)); #501652=CARTESIAN_POINT('Origin',(36.5,8.75,0.)); #501653=CARTESIAN_POINT('Origin',(36.5,8.75,0.)); #501654=CARTESIAN_POINT('',(36.325,8.75,1.5)); #501655=CARTESIAN_POINT('Origin',(36.5,8.75,1.5)); #501656=CARTESIAN_POINT('',(36.325,8.75,0.)); #501657=CARTESIAN_POINT('',(36.325,8.75,0.)); #501658=CARTESIAN_POINT('Origin',(36.5,8.75,0.)); #501659=CARTESIAN_POINT('Origin',(36.5,8.75,1.5)); #501660=CARTESIAN_POINT('Origin',(36.5,8.75,0.)); #501661=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #501662=CARTESIAN_POINT('',(21.86,55.25,1.5)); #501663=CARTESIAN_POINT('Origin',(22.,55.25,1.5)); #501664=CARTESIAN_POINT('',(21.86,55.25,0.)); #501665=CARTESIAN_POINT('',(21.86,55.25,0.)); #501666=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #501667=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #501668=CARTESIAN_POINT('',(21.825,55.25,1.5)); #501669=CARTESIAN_POINT('Origin',(22.,55.25,1.5)); #501670=CARTESIAN_POINT('',(21.825,55.25,0.)); #501671=CARTESIAN_POINT('',(21.825,55.25,0.)); #501672=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #501673=CARTESIAN_POINT('Origin',(22.,55.25,1.5)); #501674=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #501675=CARTESIAN_POINT('Origin',(56.,20.25,0.)); #501676=CARTESIAN_POINT('',(55.86,20.25,1.5)); #501677=CARTESIAN_POINT('Origin',(56.,20.25,1.5)); #501678=CARTESIAN_POINT('',(55.86,20.25,0.)); #501679=CARTESIAN_POINT('',(55.86,20.25,0.)); #501680=CARTESIAN_POINT('Origin',(56.,20.25,0.)); #501681=CARTESIAN_POINT('Origin',(56.,20.25,0.)); #501682=CARTESIAN_POINT('',(55.825,20.25,1.5)); #501683=CARTESIAN_POINT('Origin',(56.,20.25,1.5)); #501684=CARTESIAN_POINT('',(55.825,20.25,0.)); #501685=CARTESIAN_POINT('',(55.825,20.25,0.)); #501686=CARTESIAN_POINT('Origin',(56.,20.25,0.)); #501687=CARTESIAN_POINT('Origin',(56.,20.25,1.5)); #501688=CARTESIAN_POINT('Origin',(56.,20.25,0.)); #501689=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #501690=CARTESIAN_POINT('',(21.86,56.75,1.5)); #501691=CARTESIAN_POINT('Origin',(22.,56.75,1.5)); #501692=CARTESIAN_POINT('',(21.86,56.75,0.)); #501693=CARTESIAN_POINT('',(21.86,56.75,0.)); #501694=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #501695=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #501696=CARTESIAN_POINT('',(21.825,56.75,1.5)); #501697=CARTESIAN_POINT('Origin',(22.,56.75,1.5)); #501698=CARTESIAN_POINT('',(21.825,56.75,0.)); #501699=CARTESIAN_POINT('',(21.825,56.75,0.)); #501700=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #501701=CARTESIAN_POINT('Origin',(22.,56.75,1.5)); #501702=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #501703=CARTESIAN_POINT('Origin',(33.25,19.25,0.)); #501704=CARTESIAN_POINT('',(33.11,19.25,1.5)); #501705=CARTESIAN_POINT('Origin',(33.25,19.25,1.5)); #501706=CARTESIAN_POINT('',(33.11,19.25,0.)); #501707=CARTESIAN_POINT('',(33.11,19.25,0.)); #501708=CARTESIAN_POINT('Origin',(33.25,19.25,0.)); #501709=CARTESIAN_POINT('Origin',(33.25,19.25,0.)); #501710=CARTESIAN_POINT('',(33.075,19.25,1.5)); #501711=CARTESIAN_POINT('Origin',(33.25,19.25,1.5)); #501712=CARTESIAN_POINT('',(33.075,19.25,0.)); #501713=CARTESIAN_POINT('',(33.075,19.25,0.)); #501714=CARTESIAN_POINT('Origin',(33.25,19.25,0.)); #501715=CARTESIAN_POINT('Origin',(33.25,19.25,1.5)); #501716=CARTESIAN_POINT('Origin',(33.25,19.25,0.)); #501717=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #501718=CARTESIAN_POINT('',(23.61,55.25,1.5)); #501719=CARTESIAN_POINT('Origin',(23.75,55.25,1.5)); #501720=CARTESIAN_POINT('',(23.61,55.25,0.)); #501721=CARTESIAN_POINT('',(23.61,55.25,0.)); #501722=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #501723=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #501724=CARTESIAN_POINT('',(23.575,55.25,1.5)); #501725=CARTESIAN_POINT('Origin',(23.75,55.25,1.5)); #501726=CARTESIAN_POINT('',(23.575,55.25,0.)); #501727=CARTESIAN_POINT('',(23.575,55.25,0.)); #501728=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #501729=CARTESIAN_POINT('Origin',(23.75,55.25,1.5)); #501730=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #501731=CARTESIAN_POINT('Origin',(12.75,21.,0.)); #501732=CARTESIAN_POINT('',(12.61,21.,1.5)); #501733=CARTESIAN_POINT('Origin',(12.75,21.,1.5)); #501734=CARTESIAN_POINT('',(12.61,21.,0.)); #501735=CARTESIAN_POINT('',(12.61,21.,0.)); #501736=CARTESIAN_POINT('Origin',(12.75,21.,0.)); #501737=CARTESIAN_POINT('Origin',(12.75,21.,0.)); #501738=CARTESIAN_POINT('',(12.575,21.,1.5)); #501739=CARTESIAN_POINT('Origin',(12.75,21.,1.5)); #501740=CARTESIAN_POINT('',(12.575,21.,0.)); #501741=CARTESIAN_POINT('',(12.575,21.,0.)); #501742=CARTESIAN_POINT('Origin',(12.75,21.,0.)); #501743=CARTESIAN_POINT('Origin',(12.75,21.,1.5)); #501744=CARTESIAN_POINT('Origin',(12.75,21.,0.)); #501745=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #501746=CARTESIAN_POINT('',(23.61,56.,1.5)); #501747=CARTESIAN_POINT('Origin',(23.75,56.,1.5)); #501748=CARTESIAN_POINT('',(23.61,56.,0.)); #501749=CARTESIAN_POINT('',(23.61,56.,0.)); #501750=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #501751=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #501752=CARTESIAN_POINT('',(23.575,56.,1.5)); #501753=CARTESIAN_POINT('Origin',(23.75,56.,1.5)); #501754=CARTESIAN_POINT('',(23.575,56.,0.)); #501755=CARTESIAN_POINT('',(23.575,56.,0.)); #501756=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #501757=CARTESIAN_POINT('Origin',(23.75,56.,1.5)); #501758=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #501759=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #501760=CARTESIAN_POINT('',(37.245,45.,1.5)); #501761=CARTESIAN_POINT('Origin',(37.81,45.,1.5)); #501762=CARTESIAN_POINT('',(37.245,45.,0.)); #501763=CARTESIAN_POINT('',(37.245,45.,0.)); #501764=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #501765=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #501766=CARTESIAN_POINT('',(37.21,45.,1.5)); #501767=CARTESIAN_POINT('Origin',(37.81,45.,1.5)); #501768=CARTESIAN_POINT('',(37.21,45.,0.)); #501769=CARTESIAN_POINT('',(37.21,45.,0.)); #501770=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #501771=CARTESIAN_POINT('Origin',(37.81,45.,1.5)); #501772=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #501773=CARTESIAN_POINT('Origin',(51.25,47.,0.)); #501774=CARTESIAN_POINT('',(51.11,47.,1.5)); #501775=CARTESIAN_POINT('Origin',(51.25,47.,1.5)); #501776=CARTESIAN_POINT('',(51.11,47.,0.)); #501777=CARTESIAN_POINT('',(51.11,47.,0.)); #501778=CARTESIAN_POINT('Origin',(51.25,47.,0.)); #501779=CARTESIAN_POINT('Origin',(51.25,47.,0.)); #501780=CARTESIAN_POINT('',(51.075,47.,1.5)); #501781=CARTESIAN_POINT('Origin',(51.25,47.,1.5)); #501782=CARTESIAN_POINT('',(51.075,47.,0.)); #501783=CARTESIAN_POINT('',(51.075,47.,0.)); #501784=CARTESIAN_POINT('Origin',(51.25,47.,0.)); #501785=CARTESIAN_POINT('Origin',(51.25,47.,1.5)); #501786=CARTESIAN_POINT('Origin',(51.25,47.,0.)); #501787=CARTESIAN_POINT('Origin',(42.5,26.,0.)); #501788=CARTESIAN_POINT('',(42.36,26.,1.5)); #501789=CARTESIAN_POINT('Origin',(42.5,26.,1.5)); #501790=CARTESIAN_POINT('',(42.36,26.,0.)); #501791=CARTESIAN_POINT('',(42.36,26.,0.)); #501792=CARTESIAN_POINT('Origin',(42.5,26.,0.)); #501793=CARTESIAN_POINT('Origin',(42.5,26.,0.)); #501794=CARTESIAN_POINT('',(42.325,26.,1.5)); #501795=CARTESIAN_POINT('Origin',(42.5,26.,1.5)); #501796=CARTESIAN_POINT('',(42.325,26.,0.)); #501797=CARTESIAN_POINT('',(42.325,26.,0.)); #501798=CARTESIAN_POINT('Origin',(42.5,26.,0.)); #501799=CARTESIAN_POINT('Origin',(42.5,26.,1.5)); #501800=CARTESIAN_POINT('Origin',(42.5,26.,0.)); #501801=CARTESIAN_POINT('Origin',(51.25,47.75,0.)); #501802=CARTESIAN_POINT('',(51.11,47.75,1.5)); #501803=CARTESIAN_POINT('Origin',(51.25,47.75,1.5)); #501804=CARTESIAN_POINT('',(51.11,47.75,0.)); #501805=CARTESIAN_POINT('',(51.11,47.75,0.)); #501806=CARTESIAN_POINT('Origin',(51.25,47.75,0.)); #501807=CARTESIAN_POINT('Origin',(51.25,47.75,0.)); #501808=CARTESIAN_POINT('',(51.075,47.75,1.5)); #501809=CARTESIAN_POINT('Origin',(51.25,47.75,1.5)); #501810=CARTESIAN_POINT('',(51.075,47.75,0.)); #501811=CARTESIAN_POINT('',(51.075,47.75,0.)); #501812=CARTESIAN_POINT('Origin',(51.25,47.75,0.)); #501813=CARTESIAN_POINT('Origin',(51.25,47.75,1.5)); #501814=CARTESIAN_POINT('Origin',(51.25,47.75,0.)); #501815=CARTESIAN_POINT('Origin',(32.5,15.5,0.)); #501816=CARTESIAN_POINT('',(32.36,15.5,1.5)); #501817=CARTESIAN_POINT('Origin',(32.5,15.5,1.5)); #501818=CARTESIAN_POINT('',(32.36,15.5,0.)); #501819=CARTESIAN_POINT('',(32.36,15.5,0.)); #501820=CARTESIAN_POINT('Origin',(32.5,15.5,0.)); #501821=CARTESIAN_POINT('Origin',(32.5,15.5,0.)); #501822=CARTESIAN_POINT('',(32.325,15.5,1.5)); #501823=CARTESIAN_POINT('Origin',(32.5,15.5,1.5)); #501824=CARTESIAN_POINT('',(32.325,15.5,0.)); #501825=CARTESIAN_POINT('',(32.325,15.5,0.)); #501826=CARTESIAN_POINT('Origin',(32.5,15.5,0.)); #501827=CARTESIAN_POINT('Origin',(32.5,15.5,1.5)); #501828=CARTESIAN_POINT('Origin',(32.5,15.5,0.)); #501829=CARTESIAN_POINT('Origin',(50.375,47.,0.)); #501830=CARTESIAN_POINT('',(50.235,47.,1.5)); #501831=CARTESIAN_POINT('Origin',(50.375,47.,1.5)); #501832=CARTESIAN_POINT('',(50.235,47.,0.)); #501833=CARTESIAN_POINT('',(50.235,47.,0.)); #501834=CARTESIAN_POINT('Origin',(50.375,47.,0.)); #501835=CARTESIAN_POINT('Origin',(50.375,47.,0.)); #501836=CARTESIAN_POINT('',(50.2,47.,1.5)); #501837=CARTESIAN_POINT('Origin',(50.375,47.,1.5)); #501838=CARTESIAN_POINT('',(50.2,47.,0.)); #501839=CARTESIAN_POINT('',(50.2,47.,0.)); #501840=CARTESIAN_POINT('Origin',(50.375,47.,0.)); #501841=CARTESIAN_POINT('Origin',(50.375,47.,1.5)); #501842=CARTESIAN_POINT('Origin',(50.375,47.,0.)); #501843=CARTESIAN_POINT('Origin',(42.5,25.25,0.)); #501844=CARTESIAN_POINT('',(42.36,25.25,1.5)); #501845=CARTESIAN_POINT('Origin',(42.5,25.25,1.5)); #501846=CARTESIAN_POINT('',(42.36,25.25,0.)); #501847=CARTESIAN_POINT('',(42.36,25.25,0.)); #501848=CARTESIAN_POINT('Origin',(42.5,25.25,0.)); #501849=CARTESIAN_POINT('Origin',(42.5,25.25,0.)); #501850=CARTESIAN_POINT('',(42.325,25.25,1.5)); #501851=CARTESIAN_POINT('Origin',(42.5,25.25,1.5)); #501852=CARTESIAN_POINT('',(42.325,25.25,0.)); #501853=CARTESIAN_POINT('',(42.325,25.25,0.)); #501854=CARTESIAN_POINT('Origin',(42.5,25.25,0.)); #501855=CARTESIAN_POINT('Origin',(42.5,25.25,1.5)); #501856=CARTESIAN_POINT('Origin',(42.5,25.25,0.)); #501857=CARTESIAN_POINT('Origin',(50.375,47.75,0.)); #501858=CARTESIAN_POINT('',(50.235,47.75,1.5)); #501859=CARTESIAN_POINT('Origin',(50.375,47.75,1.5)); #501860=CARTESIAN_POINT('',(50.235,47.75,0.)); #501861=CARTESIAN_POINT('',(50.235,47.75,0.)); #501862=CARTESIAN_POINT('Origin',(50.375,47.75,0.)); #501863=CARTESIAN_POINT('Origin',(50.375,47.75,0.)); #501864=CARTESIAN_POINT('',(50.2,47.75,1.5)); #501865=CARTESIAN_POINT('Origin',(50.375,47.75,1.5)); #501866=CARTESIAN_POINT('',(50.2,47.75,0.)); #501867=CARTESIAN_POINT('',(50.2,47.75,0.)); #501868=CARTESIAN_POINT('Origin',(50.375,47.75,0.)); #501869=CARTESIAN_POINT('Origin',(50.375,47.75,1.5)); #501870=CARTESIAN_POINT('Origin',(50.375,47.75,0.)); #501871=CARTESIAN_POINT('Origin',(31.5,8.75,0.)); #501872=CARTESIAN_POINT('',(31.36,8.75,1.5)); #501873=CARTESIAN_POINT('Origin',(31.5,8.75,1.5)); #501874=CARTESIAN_POINT('',(31.36,8.75,0.)); #501875=CARTESIAN_POINT('',(31.36,8.75,0.)); #501876=CARTESIAN_POINT('Origin',(31.5,8.75,0.)); #501877=CARTESIAN_POINT('Origin',(31.5,8.75,0.)); #501878=CARTESIAN_POINT('',(31.325,8.75,1.5)); #501879=CARTESIAN_POINT('Origin',(31.5,8.75,1.5)); #501880=CARTESIAN_POINT('',(31.325,8.75,0.)); #501881=CARTESIAN_POINT('',(31.325,8.75,0.)); #501882=CARTESIAN_POINT('Origin',(31.5,8.75,0.)); #501883=CARTESIAN_POINT('Origin',(31.5,8.75,1.5)); #501884=CARTESIAN_POINT('Origin',(31.5,8.75,0.)); #501885=CARTESIAN_POINT('Origin',(49.5,47.,0.)); #501886=CARTESIAN_POINT('',(49.36,47.,1.5)); #501887=CARTESIAN_POINT('Origin',(49.5,47.,1.5)); #501888=CARTESIAN_POINT('',(49.36,47.,0.)); #501889=CARTESIAN_POINT('',(49.36,47.,0.)); #501890=CARTESIAN_POINT('Origin',(49.5,47.,0.)); #501891=CARTESIAN_POINT('Origin',(49.5,47.,0.)); #501892=CARTESIAN_POINT('',(49.325,47.,1.5)); #501893=CARTESIAN_POINT('Origin',(49.5,47.,1.5)); #501894=CARTESIAN_POINT('',(49.325,47.,0.)); #501895=CARTESIAN_POINT('',(49.325,47.,0.)); #501896=CARTESIAN_POINT('Origin',(49.5,47.,0.)); #501897=CARTESIAN_POINT('Origin',(49.5,47.,1.5)); #501898=CARTESIAN_POINT('Origin',(49.5,47.,0.)); #501899=CARTESIAN_POINT('Origin',(41.75,24.5,0.)); #501900=CARTESIAN_POINT('',(41.61,24.5,1.5)); #501901=CARTESIAN_POINT('Origin',(41.75,24.5,1.5)); #501902=CARTESIAN_POINT('',(41.61,24.5,0.)); #501903=CARTESIAN_POINT('',(41.61,24.5,0.)); #501904=CARTESIAN_POINT('Origin',(41.75,24.5,0.)); #501905=CARTESIAN_POINT('Origin',(41.75,24.5,0.)); #501906=CARTESIAN_POINT('',(41.575,24.5,1.5)); #501907=CARTESIAN_POINT('Origin',(41.75,24.5,1.5)); #501908=CARTESIAN_POINT('',(41.575,24.5,0.)); #501909=CARTESIAN_POINT('',(41.575,24.5,0.)); #501910=CARTESIAN_POINT('Origin',(41.75,24.5,0.)); #501911=CARTESIAN_POINT('Origin',(41.75,24.5,1.5)); #501912=CARTESIAN_POINT('Origin',(41.75,24.5,0.)); #501913=CARTESIAN_POINT('Origin',(49.5,47.75,0.)); #501914=CARTESIAN_POINT('',(49.36,47.75,1.5)); #501915=CARTESIAN_POINT('Origin',(49.5,47.75,1.5)); #501916=CARTESIAN_POINT('',(49.36,47.75,0.)); #501917=CARTESIAN_POINT('',(49.36,47.75,0.)); #501918=CARTESIAN_POINT('Origin',(49.5,47.75,0.)); #501919=CARTESIAN_POINT('Origin',(49.5,47.75,0.)); #501920=CARTESIAN_POINT('',(49.325,47.75,1.5)); #501921=CARTESIAN_POINT('Origin',(49.5,47.75,1.5)); #501922=CARTESIAN_POINT('',(49.325,47.75,0.)); #501923=CARTESIAN_POINT('',(49.325,47.75,0.)); #501924=CARTESIAN_POINT('Origin',(49.5,47.75,0.)); #501925=CARTESIAN_POINT('Origin',(49.5,47.75,1.5)); #501926=CARTESIAN_POINT('Origin',(49.5,47.75,0.)); #501927=CARTESIAN_POINT('Origin',(32.5,16.25,0.)); #501928=CARTESIAN_POINT('',(32.36,16.25,1.5)); #501929=CARTESIAN_POINT('Origin',(32.5,16.25,1.5)); #501930=CARTESIAN_POINT('',(32.36,16.25,0.)); #501931=CARTESIAN_POINT('',(32.36,16.25,0.)); #501932=CARTESIAN_POINT('Origin',(32.5,16.25,0.)); #501933=CARTESIAN_POINT('Origin',(32.5,16.25,0.)); #501934=CARTESIAN_POINT('',(32.325,16.25,1.5)); #501935=CARTESIAN_POINT('Origin',(32.5,16.25,1.5)); #501936=CARTESIAN_POINT('',(32.325,16.25,0.)); #501937=CARTESIAN_POINT('',(32.325,16.25,0.)); #501938=CARTESIAN_POINT('Origin',(32.5,16.25,0.)); #501939=CARTESIAN_POINT('Origin',(32.5,16.25,1.5)); #501940=CARTESIAN_POINT('Origin',(32.5,16.25,0.)); #501941=CARTESIAN_POINT('Origin',(49.5,46.25,0.)); #501942=CARTESIAN_POINT('',(49.36,46.25,1.5)); #501943=CARTESIAN_POINT('Origin',(49.5,46.25,1.5)); #501944=CARTESIAN_POINT('',(49.36,46.25,0.)); #501945=CARTESIAN_POINT('',(49.36,46.25,0.)); #501946=CARTESIAN_POINT('Origin',(49.5,46.25,0.)); #501947=CARTESIAN_POINT('Origin',(49.5,46.25,0.)); #501948=CARTESIAN_POINT('',(49.325,46.25,1.5)); #501949=CARTESIAN_POINT('Origin',(49.5,46.25,1.5)); #501950=CARTESIAN_POINT('',(49.325,46.25,0.)); #501951=CARTESIAN_POINT('',(49.325,46.25,0.)); #501952=CARTESIAN_POINT('Origin',(49.5,46.25,0.)); #501953=CARTESIAN_POINT('Origin',(49.5,46.25,1.5)); #501954=CARTESIAN_POINT('Origin',(49.5,46.25,0.)); #501955=CARTESIAN_POINT('Origin',(42.5,24.5,0.)); #501956=CARTESIAN_POINT('',(42.36,24.5,1.5)); #501957=CARTESIAN_POINT('Origin',(42.5,24.5,1.5)); #501958=CARTESIAN_POINT('',(42.36,24.5,0.)); #501959=CARTESIAN_POINT('',(42.36,24.5,0.)); #501960=CARTESIAN_POINT('Origin',(42.5,24.5,0.)); #501961=CARTESIAN_POINT('Origin',(42.5,24.5,0.)); #501962=CARTESIAN_POINT('',(42.325,24.5,1.5)); #501963=CARTESIAN_POINT('Origin',(42.5,24.5,1.5)); #501964=CARTESIAN_POINT('',(42.325,24.5,0.)); #501965=CARTESIAN_POINT('',(42.325,24.5,0.)); #501966=CARTESIAN_POINT('Origin',(42.5,24.5,0.)); #501967=CARTESIAN_POINT('Origin',(42.5,24.5,1.5)); #501968=CARTESIAN_POINT('Origin',(42.5,24.5,0.)); #501969=CARTESIAN_POINT('Origin',(50.375,46.25,0.)); #501970=CARTESIAN_POINT('',(50.235,46.25,1.5)); #501971=CARTESIAN_POINT('Origin',(50.375,46.25,1.5)); #501972=CARTESIAN_POINT('',(50.235,46.25,0.)); #501973=CARTESIAN_POINT('',(50.235,46.25,0.)); #501974=CARTESIAN_POINT('Origin',(50.375,46.25,0.)); #501975=CARTESIAN_POINT('Origin',(50.375,46.25,0.)); #501976=CARTESIAN_POINT('',(50.2,46.25,1.5)); #501977=CARTESIAN_POINT('Origin',(50.375,46.25,1.5)); #501978=CARTESIAN_POINT('',(50.2,46.25,0.)); #501979=CARTESIAN_POINT('',(50.2,46.25,0.)); #501980=CARTESIAN_POINT('Origin',(50.375,46.25,0.)); #501981=CARTESIAN_POINT('Origin',(50.375,46.25,1.5)); #501982=CARTESIAN_POINT('Origin',(50.375,46.25,0.)); #501983=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #501984=CARTESIAN_POINT('',(36.035,25.25,1.5)); #501985=CARTESIAN_POINT('Origin',(36.5,25.25,1.5)); #501986=CARTESIAN_POINT('',(36.035,25.25,0.)); #501987=CARTESIAN_POINT('',(36.035,25.25,0.)); #501988=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #501989=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #501990=CARTESIAN_POINT('',(36.,25.25,1.5)); #501991=CARTESIAN_POINT('Origin',(36.5,25.25,1.5)); #501992=CARTESIAN_POINT('',(36.,25.25,0.)); #501993=CARTESIAN_POINT('',(36.,25.25,0.)); #501994=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #501995=CARTESIAN_POINT('Origin',(36.5,25.25,1.5)); #501996=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #501997=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #501998=CARTESIAN_POINT('',(46.735,47.,1.5)); #501999=CARTESIAN_POINT('Origin',(46.875,47.,1.5)); #502000=CARTESIAN_POINT('',(46.735,47.,0.)); #502001=CARTESIAN_POINT('',(46.735,47.,0.)); #502002=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #502003=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #502004=CARTESIAN_POINT('',(46.7,47.,1.5)); #502005=CARTESIAN_POINT('Origin',(46.875,47.,1.5)); #502006=CARTESIAN_POINT('',(46.7,47.,0.)); #502007=CARTESIAN_POINT('',(46.7,47.,0.)); #502008=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #502009=CARTESIAN_POINT('Origin',(46.875,47.,1.5)); #502010=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #502011=CARTESIAN_POINT('Origin',(41.75,26.,0.)); #502012=CARTESIAN_POINT('',(41.61,26.,1.5)); #502013=CARTESIAN_POINT('Origin',(41.75,26.,1.5)); #502014=CARTESIAN_POINT('',(41.61,26.,0.)); #502015=CARTESIAN_POINT('',(41.61,26.,0.)); #502016=CARTESIAN_POINT('Origin',(41.75,26.,0.)); #502017=CARTESIAN_POINT('Origin',(41.75,26.,0.)); #502018=CARTESIAN_POINT('',(41.575,26.,1.5)); #502019=CARTESIAN_POINT('Origin',(41.75,26.,1.5)); #502020=CARTESIAN_POINT('',(41.575,26.,0.)); #502021=CARTESIAN_POINT('',(41.575,26.,0.)); #502022=CARTESIAN_POINT('Origin',(41.75,26.,0.)); #502023=CARTESIAN_POINT('Origin',(41.75,26.,1.5)); #502024=CARTESIAN_POINT('Origin',(41.75,26.,0.)); #502025=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #502026=CARTESIAN_POINT('',(46.735,46.25,1.5)); #502027=CARTESIAN_POINT('Origin',(46.875,46.25,1.5)); #502028=CARTESIAN_POINT('',(46.735,46.25,0.)); #502029=CARTESIAN_POINT('',(46.735,46.25,0.)); #502030=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #502031=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #502032=CARTESIAN_POINT('',(46.7,46.25,1.5)); #502033=CARTESIAN_POINT('Origin',(46.875,46.25,1.5)); #502034=CARTESIAN_POINT('',(46.7,46.25,0.)); #502035=CARTESIAN_POINT('',(46.7,46.25,0.)); #502036=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #502037=CARTESIAN_POINT('Origin',(46.875,46.25,1.5)); #502038=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #502039=CARTESIAN_POINT('Origin',(32.5,17.75,0.)); #502040=CARTESIAN_POINT('',(32.36,17.75,1.5)); #502041=CARTESIAN_POINT('Origin',(32.5,17.75,1.5)); #502042=CARTESIAN_POINT('',(32.36,17.75,0.)); #502043=CARTESIAN_POINT('',(32.36,17.75,0.)); #502044=CARTESIAN_POINT('Origin',(32.5,17.75,0.)); #502045=CARTESIAN_POINT('Origin',(32.5,17.75,0.)); #502046=CARTESIAN_POINT('',(32.325,17.75,1.5)); #502047=CARTESIAN_POINT('Origin',(32.5,17.75,1.5)); #502048=CARTESIAN_POINT('',(32.325,17.75,0.)); #502049=CARTESIAN_POINT('',(32.325,17.75,0.)); #502050=CARTESIAN_POINT('Origin',(32.5,17.75,0.)); #502051=CARTESIAN_POINT('Origin',(32.5,17.75,1.5)); #502052=CARTESIAN_POINT('Origin',(32.5,17.75,0.)); #502053=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #502054=CARTESIAN_POINT('',(44.985,47.,1.5)); #502055=CARTESIAN_POINT('Origin',(45.125,47.,1.5)); #502056=CARTESIAN_POINT('',(44.985,47.,0.)); #502057=CARTESIAN_POINT('',(44.985,47.,0.)); #502058=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #502059=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #502060=CARTESIAN_POINT('',(44.95,47.,1.5)); #502061=CARTESIAN_POINT('Origin',(45.125,47.,1.5)); #502062=CARTESIAN_POINT('',(44.95,47.,0.)); #502063=CARTESIAN_POINT('',(44.95,47.,0.)); #502064=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #502065=CARTESIAN_POINT('Origin',(45.125,47.,1.5)); #502066=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #502067=CARTESIAN_POINT('Origin',(41.75,25.25,0.)); #502068=CARTESIAN_POINT('',(41.61,25.25,1.5)); #502069=CARTESIAN_POINT('Origin',(41.75,25.25,1.5)); #502070=CARTESIAN_POINT('',(41.61,25.25,0.)); #502071=CARTESIAN_POINT('',(41.61,25.25,0.)); #502072=CARTESIAN_POINT('Origin',(41.75,25.25,0.)); #502073=CARTESIAN_POINT('Origin',(41.75,25.25,0.)); #502074=CARTESIAN_POINT('',(41.575,25.25,1.5)); #502075=CARTESIAN_POINT('Origin',(41.75,25.25,1.5)); #502076=CARTESIAN_POINT('',(41.575,25.25,0.)); #502077=CARTESIAN_POINT('',(41.575,25.25,0.)); #502078=CARTESIAN_POINT('Origin',(41.75,25.25,0.)); #502079=CARTESIAN_POINT('Origin',(41.75,25.25,1.5)); #502080=CARTESIAN_POINT('Origin',(41.75,25.25,0.)); #502081=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #502082=CARTESIAN_POINT('',(44.985,46.25,1.5)); #502083=CARTESIAN_POINT('Origin',(45.125,46.25,1.5)); #502084=CARTESIAN_POINT('',(44.985,46.25,0.)); #502085=CARTESIAN_POINT('',(44.985,46.25,0.)); #502086=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #502087=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #502088=CARTESIAN_POINT('',(44.95,46.25,1.5)); #502089=CARTESIAN_POINT('Origin',(45.125,46.25,1.5)); #502090=CARTESIAN_POINT('',(44.95,46.25,0.)); #502091=CARTESIAN_POINT('',(44.95,46.25,0.)); #502092=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #502093=CARTESIAN_POINT('Origin',(45.125,46.25,1.5)); #502094=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #502095=CARTESIAN_POINT('Origin',(34.75,5.5,0.)); #502096=CARTESIAN_POINT('',(34.61,5.5,1.5)); #502097=CARTESIAN_POINT('Origin',(34.75,5.5,1.5)); #502098=CARTESIAN_POINT('',(34.61,5.5,0.)); #502099=CARTESIAN_POINT('',(34.61,5.5,0.)); #502100=CARTESIAN_POINT('Origin',(34.75,5.5,0.)); #502101=CARTESIAN_POINT('Origin',(34.75,5.5,0.)); #502102=CARTESIAN_POINT('',(34.575,5.5,1.5)); #502103=CARTESIAN_POINT('Origin',(34.75,5.5,1.5)); #502104=CARTESIAN_POINT('',(34.575,5.5,0.)); #502105=CARTESIAN_POINT('',(34.575,5.5,0.)); #502106=CARTESIAN_POINT('Origin',(34.75,5.5,0.)); #502107=CARTESIAN_POINT('Origin',(34.75,5.5,1.5)); #502108=CARTESIAN_POINT('Origin',(34.75,5.5,0.)); #502109=CARTESIAN_POINT('Origin',(48.625,46.25,0.)); #502110=CARTESIAN_POINT('',(48.485,46.25,1.5)); #502111=CARTESIAN_POINT('Origin',(48.625,46.25,1.5)); #502112=CARTESIAN_POINT('',(48.485,46.25,0.)); #502113=CARTESIAN_POINT('',(48.485,46.25,0.)); #502114=CARTESIAN_POINT('Origin',(48.625,46.25,0.)); #502115=CARTESIAN_POINT('Origin',(48.625,46.25,0.)); #502116=CARTESIAN_POINT('',(48.45,46.25,1.5)); #502117=CARTESIAN_POINT('Origin',(48.625,46.25,1.5)); #502118=CARTESIAN_POINT('',(48.45,46.25,0.)); #502119=CARTESIAN_POINT('',(48.45,46.25,0.)); #502120=CARTESIAN_POINT('Origin',(48.625,46.25,0.)); #502121=CARTESIAN_POINT('Origin',(48.625,46.25,1.5)); #502122=CARTESIAN_POINT('Origin',(48.625,46.25,0.)); #502123=CARTESIAN_POINT('Origin',(41.,26.,0.)); #502124=CARTESIAN_POINT('',(40.86,26.,1.5)); #502125=CARTESIAN_POINT('Origin',(41.,26.,1.5)); #502126=CARTESIAN_POINT('',(40.86,26.,0.)); #502127=CARTESIAN_POINT('',(40.86,26.,0.)); #502128=CARTESIAN_POINT('Origin',(41.,26.,0.)); #502129=CARTESIAN_POINT('Origin',(41.,26.,0.)); #502130=CARTESIAN_POINT('',(40.825,26.,1.5)); #502131=CARTESIAN_POINT('Origin',(41.,26.,1.5)); #502132=CARTESIAN_POINT('',(40.825,26.,0.)); #502133=CARTESIAN_POINT('',(40.825,26.,0.)); #502134=CARTESIAN_POINT('Origin',(41.,26.,0.)); #502135=CARTESIAN_POINT('Origin',(41.,26.,1.5)); #502136=CARTESIAN_POINT('Origin',(41.,26.,0.)); #502137=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #502138=CARTESIAN_POINT('',(46.735,47.75,1.5)); #502139=CARTESIAN_POINT('Origin',(46.875,47.75,1.5)); #502140=CARTESIAN_POINT('',(46.735,47.75,0.)); #502141=CARTESIAN_POINT('',(46.735,47.75,0.)); #502142=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #502143=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #502144=CARTESIAN_POINT('',(46.7,47.75,1.5)); #502145=CARTESIAN_POINT('Origin',(46.875,47.75,1.5)); #502146=CARTESIAN_POINT('',(46.7,47.75,0.)); #502147=CARTESIAN_POINT('',(46.7,47.75,0.)); #502148=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #502149=CARTESIAN_POINT('Origin',(46.875,47.75,1.5)); #502150=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #502151=CARTESIAN_POINT('Origin',(32.5,17.,0.)); #502152=CARTESIAN_POINT('',(32.36,17.,1.5)); #502153=CARTESIAN_POINT('Origin',(32.5,17.,1.5)); #502154=CARTESIAN_POINT('',(32.36,17.,0.)); #502155=CARTESIAN_POINT('',(32.36,17.,0.)); #502156=CARTESIAN_POINT('Origin',(32.5,17.,0.)); #502157=CARTESIAN_POINT('Origin',(32.5,17.,0.)); #502158=CARTESIAN_POINT('',(32.325,17.,1.5)); #502159=CARTESIAN_POINT('Origin',(32.5,17.,1.5)); #502160=CARTESIAN_POINT('',(32.325,17.,0.)); #502161=CARTESIAN_POINT('',(32.325,17.,0.)); #502162=CARTESIAN_POINT('Origin',(32.5,17.,0.)); #502163=CARTESIAN_POINT('Origin',(32.5,17.,1.5)); #502164=CARTESIAN_POINT('Origin',(32.5,17.,0.)); #502165=CARTESIAN_POINT('Origin',(48.625,47.,0.)); #502166=CARTESIAN_POINT('',(48.485,47.,1.5)); #502167=CARTESIAN_POINT('Origin',(48.625,47.,1.5)); #502168=CARTESIAN_POINT('',(48.485,47.,0.)); #502169=CARTESIAN_POINT('',(48.485,47.,0.)); #502170=CARTESIAN_POINT('Origin',(48.625,47.,0.)); #502171=CARTESIAN_POINT('Origin',(48.625,47.,0.)); #502172=CARTESIAN_POINT('',(48.45,47.,1.5)); #502173=CARTESIAN_POINT('Origin',(48.625,47.,1.5)); #502174=CARTESIAN_POINT('',(48.45,47.,0.)); #502175=CARTESIAN_POINT('',(48.45,47.,0.)); #502176=CARTESIAN_POINT('Origin',(48.625,47.,0.)); #502177=CARTESIAN_POINT('Origin',(48.625,47.,1.5)); #502178=CARTESIAN_POINT('Origin',(48.625,47.,0.)); #502179=CARTESIAN_POINT('Origin',(41.,24.5,0.)); #502180=CARTESIAN_POINT('',(40.86,24.5,1.5)); #502181=CARTESIAN_POINT('Origin',(41.,24.5,1.5)); #502182=CARTESIAN_POINT('',(40.86,24.5,0.)); #502183=CARTESIAN_POINT('',(40.86,24.5,0.)); #502184=CARTESIAN_POINT('Origin',(41.,24.5,0.)); #502185=CARTESIAN_POINT('Origin',(41.,24.5,0.)); #502186=CARTESIAN_POINT('',(40.825,24.5,1.5)); #502187=CARTESIAN_POINT('Origin',(41.,24.5,1.5)); #502188=CARTESIAN_POINT('',(40.825,24.5,0.)); #502189=CARTESIAN_POINT('',(40.825,24.5,0.)); #502190=CARTESIAN_POINT('Origin',(41.,24.5,0.)); #502191=CARTESIAN_POINT('Origin',(41.,24.5,1.5)); #502192=CARTESIAN_POINT('Origin',(41.,24.5,0.)); #502193=CARTESIAN_POINT('Origin',(48.625,47.75,0.)); #502194=CARTESIAN_POINT('',(48.485,47.75,1.5)); #502195=CARTESIAN_POINT('Origin',(48.625,47.75,1.5)); #502196=CARTESIAN_POINT('',(48.485,47.75,0.)); #502197=CARTESIAN_POINT('',(48.485,47.75,0.)); #502198=CARTESIAN_POINT('Origin',(48.625,47.75,0.)); #502199=CARTESIAN_POINT('Origin',(48.625,47.75,0.)); #502200=CARTESIAN_POINT('',(48.45,47.75,1.5)); #502201=CARTESIAN_POINT('Origin',(48.625,47.75,1.5)); #502202=CARTESIAN_POINT('',(48.45,47.75,0.)); #502203=CARTESIAN_POINT('',(48.45,47.75,0.)); #502204=CARTESIAN_POINT('Origin',(48.625,47.75,0.)); #502205=CARTESIAN_POINT('Origin',(48.625,47.75,1.5)); #502206=CARTESIAN_POINT('Origin',(48.625,47.75,0.)); #502207=CARTESIAN_POINT('Origin',(43.75,34.,0.)); #502208=CARTESIAN_POINT('',(43.235,34.,1.5)); #502209=CARTESIAN_POINT('Origin',(43.75,34.,1.5)); #502210=CARTESIAN_POINT('',(43.235,34.,0.)); #502211=CARTESIAN_POINT('',(43.235,34.,0.)); #502212=CARTESIAN_POINT('Origin',(43.75,34.,0.)); #502213=CARTESIAN_POINT('Origin',(43.75,34.,0.)); #502214=CARTESIAN_POINT('',(43.2,34.,1.5)); #502215=CARTESIAN_POINT('Origin',(43.75,34.,1.5)); #502216=CARTESIAN_POINT('',(43.2,34.,0.)); #502217=CARTESIAN_POINT('',(43.2,34.,0.)); #502218=CARTESIAN_POINT('Origin',(43.75,34.,0.)); #502219=CARTESIAN_POINT('Origin',(43.75,34.,1.5)); #502220=CARTESIAN_POINT('Origin',(43.75,34.,0.)); #502221=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #502222=CARTESIAN_POINT('',(44.11,55.25,1.5)); #502223=CARTESIAN_POINT('Origin',(44.25,55.25,1.5)); #502224=CARTESIAN_POINT('',(44.11,55.25,0.)); #502225=CARTESIAN_POINT('',(44.11,55.25,0.)); #502226=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #502227=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #502228=CARTESIAN_POINT('',(44.075,55.25,1.5)); #502229=CARTESIAN_POINT('Origin',(44.25,55.25,1.5)); #502230=CARTESIAN_POINT('',(44.075,55.25,0.)); #502231=CARTESIAN_POINT('',(44.075,55.25,0.)); #502232=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #502233=CARTESIAN_POINT('Origin',(44.25,55.25,1.5)); #502234=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #502235=CARTESIAN_POINT('Origin',(40.,38.,0.)); #502236=CARTESIAN_POINT('',(39.86,38.,1.5)); #502237=CARTESIAN_POINT('Origin',(40.,38.,1.5)); #502238=CARTESIAN_POINT('',(39.86,38.,0.)); #502239=CARTESIAN_POINT('',(39.86,38.,0.)); #502240=CARTESIAN_POINT('Origin',(40.,38.,0.)); #502241=CARTESIAN_POINT('Origin',(40.,38.,0.)); #502242=CARTESIAN_POINT('',(39.825,38.,1.5)); #502243=CARTESIAN_POINT('Origin',(40.,38.,1.5)); #502244=CARTESIAN_POINT('',(39.825,38.,0.)); #502245=CARTESIAN_POINT('',(39.825,38.,0.)); #502246=CARTESIAN_POINT('Origin',(40.,38.,0.)); #502247=CARTESIAN_POINT('Origin',(40.,38.,1.5)); #502248=CARTESIAN_POINT('Origin',(40.,38.,0.)); #502249=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #502250=CARTESIAN_POINT('',(44.11,56.75,1.5)); #502251=CARTESIAN_POINT('Origin',(44.25,56.75,1.5)); #502252=CARTESIAN_POINT('',(44.11,56.75,0.)); #502253=CARTESIAN_POINT('',(44.11,56.75,0.)); #502254=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #502255=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #502256=CARTESIAN_POINT('',(44.075,56.75,1.5)); #502257=CARTESIAN_POINT('Origin',(44.25,56.75,1.5)); #502258=CARTESIAN_POINT('',(44.075,56.75,0.)); #502259=CARTESIAN_POINT('',(44.075,56.75,0.)); #502260=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #502261=CARTESIAN_POINT('Origin',(44.25,56.75,1.5)); #502262=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #502263=CARTESIAN_POINT('Origin',(34.,15.5,0.)); #502264=CARTESIAN_POINT('',(33.86,15.5,1.5)); #502265=CARTESIAN_POINT('Origin',(34.,15.5,1.5)); #502266=CARTESIAN_POINT('',(33.86,15.5,0.)); #502267=CARTESIAN_POINT('',(33.86,15.5,0.)); #502268=CARTESIAN_POINT('Origin',(34.,15.5,0.)); #502269=CARTESIAN_POINT('Origin',(34.,15.5,0.)); #502270=CARTESIAN_POINT('',(33.825,15.5,1.5)); #502271=CARTESIAN_POINT('Origin',(34.,15.5,1.5)); #502272=CARTESIAN_POINT('',(33.825,15.5,0.)); #502273=CARTESIAN_POINT('',(33.825,15.5,0.)); #502274=CARTESIAN_POINT('Origin',(34.,15.5,0.)); #502275=CARTESIAN_POINT('Origin',(34.,15.5,1.5)); #502276=CARTESIAN_POINT('Origin',(34.,15.5,0.)); #502277=CARTESIAN_POINT('Origin',(41.25,36.,0.)); #502278=CARTESIAN_POINT('',(41.11,36.,1.5)); #502279=CARTESIAN_POINT('Origin',(41.25,36.,1.5)); #502280=CARTESIAN_POINT('',(41.11,36.,0.)); #502281=CARTESIAN_POINT('',(41.11,36.,0.)); #502282=CARTESIAN_POINT('Origin',(41.25,36.,0.)); #502283=CARTESIAN_POINT('Origin',(41.25,36.,0.)); #502284=CARTESIAN_POINT('',(41.075,36.,1.5)); #502285=CARTESIAN_POINT('Origin',(41.25,36.,1.5)); #502286=CARTESIAN_POINT('',(41.075,36.,0.)); #502287=CARTESIAN_POINT('',(41.075,36.,0.)); #502288=CARTESIAN_POINT('Origin',(41.25,36.,0.)); #502289=CARTESIAN_POINT('Origin',(41.25,36.,1.5)); #502290=CARTESIAN_POINT('Origin',(41.25,36.,0.)); #502291=CARTESIAN_POINT('Origin',(28.,39.5,0.)); #502292=CARTESIAN_POINT('',(27.86,39.5,1.5)); #502293=CARTESIAN_POINT('Origin',(28.,39.5,1.5)); #502294=CARTESIAN_POINT('',(27.86,39.5,0.)); #502295=CARTESIAN_POINT('',(27.86,39.5,0.)); #502296=CARTESIAN_POINT('Origin',(28.,39.5,0.)); #502297=CARTESIAN_POINT('Origin',(28.,39.5,0.)); #502298=CARTESIAN_POINT('',(27.825,39.5,1.5)); #502299=CARTESIAN_POINT('Origin',(28.,39.5,1.5)); #502300=CARTESIAN_POINT('',(27.825,39.5,0.)); #502301=CARTESIAN_POINT('',(27.825,39.5,0.)); #502302=CARTESIAN_POINT('Origin',(28.,39.5,0.)); #502303=CARTESIAN_POINT('Origin',(28.,39.5,1.5)); #502304=CARTESIAN_POINT('Origin',(28.,39.5,0.)); #502305=CARTESIAN_POINT('Origin',(41.25,31.,0.)); #502306=CARTESIAN_POINT('',(41.11,31.,1.5)); #502307=CARTESIAN_POINT('Origin',(41.25,31.,1.5)); #502308=CARTESIAN_POINT('',(41.11,31.,0.)); #502309=CARTESIAN_POINT('',(41.11,31.,0.)); #502310=CARTESIAN_POINT('Origin',(41.25,31.,0.)); #502311=CARTESIAN_POINT('Origin',(41.25,31.,0.)); #502312=CARTESIAN_POINT('',(41.075,31.,1.5)); #502313=CARTESIAN_POINT('Origin',(41.25,31.,1.5)); #502314=CARTESIAN_POINT('',(41.075,31.,0.)); #502315=CARTESIAN_POINT('',(41.075,31.,0.)); #502316=CARTESIAN_POINT('Origin',(41.25,31.,0.)); #502317=CARTESIAN_POINT('Origin',(41.25,31.,1.5)); #502318=CARTESIAN_POINT('Origin',(41.25,31.,0.)); #502319=CARTESIAN_POINT('Origin',(30.75,8.75,0.)); #502320=CARTESIAN_POINT('',(30.61,8.75,1.5)); #502321=CARTESIAN_POINT('Origin',(30.75,8.75,1.5)); #502322=CARTESIAN_POINT('',(30.61,8.75,0.)); #502323=CARTESIAN_POINT('',(30.61,8.75,0.)); #502324=CARTESIAN_POINT('Origin',(30.75,8.75,0.)); #502325=CARTESIAN_POINT('Origin',(30.75,8.75,0.)); #502326=CARTESIAN_POINT('',(30.575,8.75,1.5)); #502327=CARTESIAN_POINT('Origin',(30.75,8.75,1.5)); #502328=CARTESIAN_POINT('',(30.575,8.75,0.)); #502329=CARTESIAN_POINT('',(30.575,8.75,0.)); #502330=CARTESIAN_POINT('Origin',(30.75,8.75,0.)); #502331=CARTESIAN_POINT('Origin',(30.75,8.75,1.5)); #502332=CARTESIAN_POINT('Origin',(30.75,8.75,0.)); #502333=CARTESIAN_POINT('Origin',(52.125,46.25,0.)); #502334=CARTESIAN_POINT('',(51.985,46.25,1.5)); #502335=CARTESIAN_POINT('Origin',(52.125,46.25,1.5)); #502336=CARTESIAN_POINT('',(51.985,46.25,0.)); #502337=CARTESIAN_POINT('',(51.985,46.25,0.)); #502338=CARTESIAN_POINT('Origin',(52.125,46.25,0.)); #502339=CARTESIAN_POINT('Origin',(52.125,46.25,0.)); #502340=CARTESIAN_POINT('',(51.95,46.25,1.5)); #502341=CARTESIAN_POINT('Origin',(52.125,46.25,1.5)); #502342=CARTESIAN_POINT('',(51.95,46.25,0.)); #502343=CARTESIAN_POINT('',(51.95,46.25,0.)); #502344=CARTESIAN_POINT('Origin',(52.125,46.25,0.)); #502345=CARTESIAN_POINT('Origin',(52.125,46.25,1.5)); #502346=CARTESIAN_POINT('Origin',(52.125,46.25,0.)); #502347=CARTESIAN_POINT('Origin',(28.,38.,0.)); #502348=CARTESIAN_POINT('',(27.86,38.,1.5)); #502349=CARTESIAN_POINT('Origin',(28.,38.,1.5)); #502350=CARTESIAN_POINT('',(27.86,38.,0.)); #502351=CARTESIAN_POINT('',(27.86,38.,0.)); #502352=CARTESIAN_POINT('Origin',(28.,38.,0.)); #502353=CARTESIAN_POINT('Origin',(28.,38.,0.)); #502354=CARTESIAN_POINT('',(27.825,38.,1.5)); #502355=CARTESIAN_POINT('Origin',(28.,38.,1.5)); #502356=CARTESIAN_POINT('',(27.825,38.,0.)); #502357=CARTESIAN_POINT('',(27.825,38.,0.)); #502358=CARTESIAN_POINT('Origin',(28.,38.,0.)); #502359=CARTESIAN_POINT('Origin',(28.,38.,1.5)); #502360=CARTESIAN_POINT('Origin',(28.,38.,0.)); #502361=CARTESIAN_POINT('Origin',(51.25,46.25,0.)); #502362=CARTESIAN_POINT('',(51.11,46.25,1.5)); #502363=CARTESIAN_POINT('Origin',(51.25,46.25,1.5)); #502364=CARTESIAN_POINT('',(51.11,46.25,0.)); #502365=CARTESIAN_POINT('',(51.11,46.25,0.)); #502366=CARTESIAN_POINT('Origin',(51.25,46.25,0.)); #502367=CARTESIAN_POINT('Origin',(51.25,46.25,0.)); #502368=CARTESIAN_POINT('',(51.075,46.25,1.5)); #502369=CARTESIAN_POINT('Origin',(51.25,46.25,1.5)); #502370=CARTESIAN_POINT('',(51.075,46.25,0.)); #502371=CARTESIAN_POINT('',(51.075,46.25,0.)); #502372=CARTESIAN_POINT('Origin',(51.25,46.25,0.)); #502373=CARTESIAN_POINT('Origin',(51.25,46.25,1.5)); #502374=CARTESIAN_POINT('Origin',(51.25,46.25,0.)); #502375=CARTESIAN_POINT('Origin',(33.25,15.5,0.)); #502376=CARTESIAN_POINT('',(33.11,15.5,1.5)); #502377=CARTESIAN_POINT('Origin',(33.25,15.5,1.5)); #502378=CARTESIAN_POINT('',(33.11,15.5,0.)); #502379=CARTESIAN_POINT('',(33.11,15.5,0.)); #502380=CARTESIAN_POINT('Origin',(33.25,15.5,0.)); #502381=CARTESIAN_POINT('Origin',(33.25,15.5,0.)); #502382=CARTESIAN_POINT('',(33.075,15.5,1.5)); #502383=CARTESIAN_POINT('Origin',(33.25,15.5,1.5)); #502384=CARTESIAN_POINT('',(33.075,15.5,0.)); #502385=CARTESIAN_POINT('',(33.075,15.5,0.)); #502386=CARTESIAN_POINT('Origin',(33.25,15.5,0.)); #502387=CARTESIAN_POINT('Origin',(33.25,15.5,1.5)); #502388=CARTESIAN_POINT('Origin',(33.25,15.5,0.)); #502389=CARTESIAN_POINT('Origin',(52.125,47.,0.)); #502390=CARTESIAN_POINT('',(51.985,47.,1.5)); #502391=CARTESIAN_POINT('Origin',(52.125,47.,1.5)); #502392=CARTESIAN_POINT('',(51.985,47.,0.)); #502393=CARTESIAN_POINT('',(51.985,47.,0.)); #502394=CARTESIAN_POINT('Origin',(52.125,47.,0.)); #502395=CARTESIAN_POINT('Origin',(52.125,47.,0.)); #502396=CARTESIAN_POINT('',(51.95,47.,1.5)); #502397=CARTESIAN_POINT('Origin',(52.125,47.,1.5)); #502398=CARTESIAN_POINT('',(51.95,47.,0.)); #502399=CARTESIAN_POINT('',(51.95,47.,0.)); #502400=CARTESIAN_POINT('Origin',(52.125,47.,0.)); #502401=CARTESIAN_POINT('Origin',(52.125,47.,1.5)); #502402=CARTESIAN_POINT('Origin',(52.125,47.,0.)); #502403=CARTESIAN_POINT('Origin',(28.,38.75,0.)); #502404=CARTESIAN_POINT('',(27.86,38.75,1.5)); #502405=CARTESIAN_POINT('Origin',(28.,38.75,1.5)); #502406=CARTESIAN_POINT('',(27.86,38.75,0.)); #502407=CARTESIAN_POINT('',(27.86,38.75,0.)); #502408=CARTESIAN_POINT('Origin',(28.,38.75,0.)); #502409=CARTESIAN_POINT('Origin',(28.,38.75,0.)); #502410=CARTESIAN_POINT('',(27.825,38.75,1.5)); #502411=CARTESIAN_POINT('Origin',(28.,38.75,1.5)); #502412=CARTESIAN_POINT('',(27.825,38.75,0.)); #502413=CARTESIAN_POINT('',(27.825,38.75,0.)); #502414=CARTESIAN_POINT('Origin',(28.,38.75,0.)); #502415=CARTESIAN_POINT('Origin',(28.,38.75,1.5)); #502416=CARTESIAN_POINT('Origin',(28.,38.75,0.)); #502417=CARTESIAN_POINT('Origin',(52.125,47.75,0.)); #502418=CARTESIAN_POINT('',(51.985,47.75,1.5)); #502419=CARTESIAN_POINT('Origin',(52.125,47.75,1.5)); #502420=CARTESIAN_POINT('',(51.985,47.75,0.)); #502421=CARTESIAN_POINT('',(51.985,47.75,0.)); #502422=CARTESIAN_POINT('Origin',(52.125,47.75,0.)); #502423=CARTESIAN_POINT('Origin',(52.125,47.75,0.)); #502424=CARTESIAN_POINT('',(51.95,47.75,1.5)); #502425=CARTESIAN_POINT('Origin',(52.125,47.75,1.5)); #502426=CARTESIAN_POINT('',(51.95,47.75,0.)); #502427=CARTESIAN_POINT('',(51.95,47.75,0.)); #502428=CARTESIAN_POINT('Origin',(52.125,47.75,0.)); #502429=CARTESIAN_POINT('Origin',(52.125,47.75,1.5)); #502430=CARTESIAN_POINT('Origin',(52.125,47.75,0.)); #502431=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #502432=CARTESIAN_POINT('',(33.535,25.25,1.5)); #502433=CARTESIAN_POINT('Origin',(34.,25.25,1.5)); #502434=CARTESIAN_POINT('',(33.535,25.25,0.)); #502435=CARTESIAN_POINT('',(33.535,25.25,0.)); #502436=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #502437=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #502438=CARTESIAN_POINT('',(33.5,25.25,1.5)); #502439=CARTESIAN_POINT('Origin',(34.,25.25,1.5)); #502440=CARTESIAN_POINT('',(33.5,25.25,0.)); #502441=CARTESIAN_POINT('',(33.5,25.25,0.)); #502442=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #502443=CARTESIAN_POINT('Origin',(34.,25.25,1.5)); #502444=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #502445=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #502446=CARTESIAN_POINT('',(45.86,55.25,1.5)); #502447=CARTESIAN_POINT('Origin',(46.,55.25,1.5)); #502448=CARTESIAN_POINT('',(45.86,55.25,0.)); #502449=CARTESIAN_POINT('',(45.86,55.25,0.)); #502450=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #502451=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #502452=CARTESIAN_POINT('',(45.825,55.25,1.5)); #502453=CARTESIAN_POINT('Origin',(46.,55.25,1.5)); #502454=CARTESIAN_POINT('',(45.825,55.25,0.)); #502455=CARTESIAN_POINT('',(45.825,55.25,0.)); #502456=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #502457=CARTESIAN_POINT('Origin',(46.,55.25,1.5)); #502458=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #502459=CARTESIAN_POINT('Origin',(40.,39.5,0.)); #502460=CARTESIAN_POINT('',(39.86,39.5,1.5)); #502461=CARTESIAN_POINT('Origin',(40.,39.5,1.5)); #502462=CARTESIAN_POINT('',(39.86,39.5,0.)); #502463=CARTESIAN_POINT('',(39.86,39.5,0.)); #502464=CARTESIAN_POINT('Origin',(40.,39.5,0.)); #502465=CARTESIAN_POINT('Origin',(40.,39.5,0.)); #502466=CARTESIAN_POINT('',(39.825,39.5,1.5)); #502467=CARTESIAN_POINT('Origin',(40.,39.5,1.5)); #502468=CARTESIAN_POINT('',(39.825,39.5,0.)); #502469=CARTESIAN_POINT('',(39.825,39.5,0.)); #502470=CARTESIAN_POINT('Origin',(40.,39.5,0.)); #502471=CARTESIAN_POINT('Origin',(40.,39.5,1.5)); #502472=CARTESIAN_POINT('Origin',(40.,39.5,0.)); #502473=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #502474=CARTESIAN_POINT('',(45.86,56.75,1.5)); #502475=CARTESIAN_POINT('Origin',(46.,56.75,1.5)); #502476=CARTESIAN_POINT('',(45.86,56.75,0.)); #502477=CARTESIAN_POINT('',(45.86,56.75,0.)); #502478=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #502479=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #502480=CARTESIAN_POINT('',(45.825,56.75,1.5)); #502481=CARTESIAN_POINT('Origin',(46.,56.75,1.5)); #502482=CARTESIAN_POINT('',(45.825,56.75,0.)); #502483=CARTESIAN_POINT('',(45.825,56.75,0.)); #502484=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #502485=CARTESIAN_POINT('Origin',(46.,56.75,1.5)); #502486=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #502487=CARTESIAN_POINT('Origin',(34.75,15.5,0.)); #502488=CARTESIAN_POINT('',(34.61,15.5,1.5)); #502489=CARTESIAN_POINT('Origin',(34.75,15.5,1.5)); #502490=CARTESIAN_POINT('',(34.61,15.5,0.)); #502491=CARTESIAN_POINT('',(34.61,15.5,0.)); #502492=CARTESIAN_POINT('Origin',(34.75,15.5,0.)); #502493=CARTESIAN_POINT('Origin',(34.75,15.5,0.)); #502494=CARTESIAN_POINT('',(34.575,15.5,1.5)); #502495=CARTESIAN_POINT('Origin',(34.75,15.5,1.5)); #502496=CARTESIAN_POINT('',(34.575,15.5,0.)); #502497=CARTESIAN_POINT('',(34.575,15.5,0.)); #502498=CARTESIAN_POINT('Origin',(34.75,15.5,0.)); #502499=CARTESIAN_POINT('Origin',(34.75,15.5,1.5)); #502500=CARTESIAN_POINT('Origin',(34.75,15.5,0.)); #502501=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #502502=CARTESIAN_POINT('',(44.11,56.,1.5)); #502503=CARTESIAN_POINT('Origin',(44.25,56.,1.5)); #502504=CARTESIAN_POINT('',(44.11,56.,0.)); #502505=CARTESIAN_POINT('',(44.11,56.,0.)); #502506=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #502507=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #502508=CARTESIAN_POINT('',(44.075,56.,1.5)); #502509=CARTESIAN_POINT('Origin',(44.25,56.,1.5)); #502510=CARTESIAN_POINT('',(44.075,56.,0.)); #502511=CARTESIAN_POINT('',(44.075,56.,0.)); #502512=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #502513=CARTESIAN_POINT('Origin',(44.25,56.,1.5)); #502514=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #502515=CARTESIAN_POINT('Origin',(40.,38.75,0.)); #502516=CARTESIAN_POINT('',(39.86,38.75,1.5)); #502517=CARTESIAN_POINT('Origin',(40.,38.75,1.5)); #502518=CARTESIAN_POINT('',(39.86,38.75,0.)); #502519=CARTESIAN_POINT('',(39.86,38.75,0.)); #502520=CARTESIAN_POINT('Origin',(40.,38.75,0.)); #502521=CARTESIAN_POINT('Origin',(40.,38.75,0.)); #502522=CARTESIAN_POINT('',(39.825,38.75,1.5)); #502523=CARTESIAN_POINT('Origin',(40.,38.75,1.5)); #502524=CARTESIAN_POINT('',(39.825,38.75,0.)); #502525=CARTESIAN_POINT('',(39.825,38.75,0.)); #502526=CARTESIAN_POINT('Origin',(40.,38.75,0.)); #502527=CARTESIAN_POINT('Origin',(40.,38.75,1.5)); #502528=CARTESIAN_POINT('Origin',(40.,38.75,0.)); #502529=CARTESIAN_POINT('Origin',(46.,56.,0.)); #502530=CARTESIAN_POINT('',(45.86,56.,1.5)); #502531=CARTESIAN_POINT('Origin',(46.,56.,1.5)); #502532=CARTESIAN_POINT('',(45.86,56.,0.)); #502533=CARTESIAN_POINT('',(45.86,56.,0.)); #502534=CARTESIAN_POINT('Origin',(46.,56.,0.)); #502535=CARTESIAN_POINT('Origin',(46.,56.,0.)); #502536=CARTESIAN_POINT('',(45.825,56.,1.5)); #502537=CARTESIAN_POINT('Origin',(46.,56.,1.5)); #502538=CARTESIAN_POINT('',(45.825,56.,0.)); #502539=CARTESIAN_POINT('',(45.825,56.,0.)); #502540=CARTESIAN_POINT('Origin',(46.,56.,0.)); #502541=CARTESIAN_POINT('Origin',(46.,56.,1.5)); #502542=CARTESIAN_POINT('Origin',(46.,56.,0.)); #502543=CARTESIAN_POINT('Origin',(30.75,9.5,0.)); #502544=CARTESIAN_POINT('',(30.61,9.5,1.5)); #502545=CARTESIAN_POINT('Origin',(30.75,9.5,1.5)); #502546=CARTESIAN_POINT('',(30.61,9.5,0.)); #502547=CARTESIAN_POINT('',(30.61,9.5,0.)); #502548=CARTESIAN_POINT('Origin',(30.75,9.5,0.)); #502549=CARTESIAN_POINT('Origin',(30.75,9.5,0.)); #502550=CARTESIAN_POINT('',(30.575,9.5,1.5)); #502551=CARTESIAN_POINT('Origin',(30.75,9.5,1.5)); #502552=CARTESIAN_POINT('',(30.575,9.5,0.)); #502553=CARTESIAN_POINT('',(30.575,9.5,0.)); #502554=CARTESIAN_POINT('Origin',(30.75,9.5,0.)); #502555=CARTESIAN_POINT('Origin',(30.75,9.5,1.5)); #502556=CARTESIAN_POINT('Origin',(30.75,9.5,0.)); #502557=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #502558=CARTESIAN_POINT('',(47.61,56.,1.5)); #502559=CARTESIAN_POINT('Origin',(47.75,56.,1.5)); #502560=CARTESIAN_POINT('',(47.61,56.,0.)); #502561=CARTESIAN_POINT('',(47.61,56.,0.)); #502562=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #502563=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #502564=CARTESIAN_POINT('',(47.575,56.,1.5)); #502565=CARTESIAN_POINT('Origin',(47.75,56.,1.5)); #502566=CARTESIAN_POINT('',(47.575,56.,0.)); #502567=CARTESIAN_POINT('',(47.575,56.,0.)); #502568=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #502569=CARTESIAN_POINT('Origin',(47.75,56.,1.5)); #502570=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #502571=CARTESIAN_POINT('Origin',(55.25,21.,0.)); #502572=CARTESIAN_POINT('',(55.11,21.,1.5)); #502573=CARTESIAN_POINT('Origin',(55.25,21.,1.5)); #502574=CARTESIAN_POINT('',(55.11,21.,0.)); #502575=CARTESIAN_POINT('',(55.11,21.,0.)); #502576=CARTESIAN_POINT('Origin',(55.25,21.,0.)); #502577=CARTESIAN_POINT('Origin',(55.25,21.,0.)); #502578=CARTESIAN_POINT('',(55.075,21.,1.5)); #502579=CARTESIAN_POINT('Origin',(55.25,21.,1.5)); #502580=CARTESIAN_POINT('',(55.075,21.,0.)); #502581=CARTESIAN_POINT('',(55.075,21.,0.)); #502582=CARTESIAN_POINT('Origin',(55.25,21.,0.)); #502583=CARTESIAN_POINT('Origin',(55.25,21.,1.5)); #502584=CARTESIAN_POINT('Origin',(55.25,21.,0.)); #502585=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #502586=CARTESIAN_POINT('',(47.61,55.25,1.5)); #502587=CARTESIAN_POINT('Origin',(47.75,55.25,1.5)); #502588=CARTESIAN_POINT('',(47.61,55.25,0.)); #502589=CARTESIAN_POINT('',(47.61,55.25,0.)); #502590=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #502591=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #502592=CARTESIAN_POINT('',(47.575,55.25,1.5)); #502593=CARTESIAN_POINT('Origin',(47.75,55.25,1.5)); #502594=CARTESIAN_POINT('',(47.575,55.25,0.)); #502595=CARTESIAN_POINT('',(47.575,55.25,0.)); #502596=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #502597=CARTESIAN_POINT('Origin',(47.75,55.25,1.5)); #502598=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #502599=CARTESIAN_POINT('Origin',(35.5,15.5,0.)); #502600=CARTESIAN_POINT('',(35.36,15.5,1.5)); #502601=CARTESIAN_POINT('Origin',(35.5,15.5,1.5)); #502602=CARTESIAN_POINT('',(35.36,15.5,0.)); #502603=CARTESIAN_POINT('',(35.36,15.5,0.)); #502604=CARTESIAN_POINT('Origin',(35.5,15.5,0.)); #502605=CARTESIAN_POINT('Origin',(35.5,15.5,0.)); #502606=CARTESIAN_POINT('',(35.325,15.5,1.5)); #502607=CARTESIAN_POINT('Origin',(35.5,15.5,1.5)); #502608=CARTESIAN_POINT('',(35.325,15.5,0.)); #502609=CARTESIAN_POINT('',(35.325,15.5,0.)); #502610=CARTESIAN_POINT('Origin',(35.5,15.5,0.)); #502611=CARTESIAN_POINT('Origin',(35.5,15.5,1.5)); #502612=CARTESIAN_POINT('Origin',(35.5,15.5,0.)); #502613=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #502614=CARTESIAN_POINT('',(47.61,56.75,1.5)); #502615=CARTESIAN_POINT('Origin',(47.75,56.75,1.5)); #502616=CARTESIAN_POINT('',(47.61,56.75,0.)); #502617=CARTESIAN_POINT('',(47.61,56.75,0.)); #502618=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #502619=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #502620=CARTESIAN_POINT('',(47.575,56.75,1.5)); #502621=CARTESIAN_POINT('Origin',(47.75,56.75,1.5)); #502622=CARTESIAN_POINT('',(47.575,56.75,0.)); #502623=CARTESIAN_POINT('',(47.575,56.75,0.)); #502624=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #502625=CARTESIAN_POINT('Origin',(47.75,56.75,1.5)); #502626=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #502627=CARTESIAN_POINT('Origin',(55.25,21.75,0.)); #502628=CARTESIAN_POINT('',(55.11,21.75,1.5)); #502629=CARTESIAN_POINT('Origin',(55.25,21.75,1.5)); #502630=CARTESIAN_POINT('',(55.11,21.75,0.)); #502631=CARTESIAN_POINT('',(55.11,21.75,0.)); #502632=CARTESIAN_POINT('Origin',(55.25,21.75,0.)); #502633=CARTESIAN_POINT('Origin',(55.25,21.75,0.)); #502634=CARTESIAN_POINT('',(55.075,21.75,1.5)); #502635=CARTESIAN_POINT('Origin',(55.25,21.75,1.5)); #502636=CARTESIAN_POINT('',(55.075,21.75,0.)); #502637=CARTESIAN_POINT('',(55.075,21.75,0.)); #502638=CARTESIAN_POINT('Origin',(55.25,21.75,0.)); #502639=CARTESIAN_POINT('Origin',(55.25,21.75,1.5)); #502640=CARTESIAN_POINT('Origin',(55.25,21.75,0.)); #502641=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #502642=CARTESIAN_POINT('',(44.985,56.75,1.5)); #502643=CARTESIAN_POINT('Origin',(45.125,56.75,1.5)); #502644=CARTESIAN_POINT('',(44.985,56.75,0.)); #502645=CARTESIAN_POINT('',(44.985,56.75,0.)); #502646=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #502647=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #502648=CARTESIAN_POINT('',(44.95,56.75,1.5)); #502649=CARTESIAN_POINT('Origin',(45.125,56.75,1.5)); #502650=CARTESIAN_POINT('',(44.95,56.75,0.)); #502651=CARTESIAN_POINT('',(44.95,56.75,0.)); #502652=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #502653=CARTESIAN_POINT('Origin',(45.125,56.75,1.5)); #502654=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #502655=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #502656=CARTESIAN_POINT('',(32.165,45.,1.5)); #502657=CARTESIAN_POINT('Origin',(32.73,45.,1.5)); #502658=CARTESIAN_POINT('',(32.165,45.,0.)); #502659=CARTESIAN_POINT('',(32.165,45.,0.)); #502660=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #502661=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #502662=CARTESIAN_POINT('',(32.13,45.,1.5)); #502663=CARTESIAN_POINT('Origin',(32.73,45.,1.5)); #502664=CARTESIAN_POINT('',(32.13,45.,0.)); #502665=CARTESIAN_POINT('',(32.13,45.,0.)); #502666=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #502667=CARTESIAN_POINT('Origin',(32.73,45.,1.5)); #502668=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #502669=CARTESIAN_POINT('Origin',(15.25,21.,0.)); #502670=CARTESIAN_POINT('',(15.11,21.,1.5)); #502671=CARTESIAN_POINT('Origin',(15.25,21.,1.5)); #502672=CARTESIAN_POINT('',(15.11,21.,0.)); #502673=CARTESIAN_POINT('',(15.11,21.,0.)); #502674=CARTESIAN_POINT('Origin',(15.25,21.,0.)); #502675=CARTESIAN_POINT('Origin',(15.25,21.,0.)); #502676=CARTESIAN_POINT('',(15.075,21.,1.5)); #502677=CARTESIAN_POINT('Origin',(15.25,21.,1.5)); #502678=CARTESIAN_POINT('',(15.075,21.,0.)); #502679=CARTESIAN_POINT('',(15.075,21.,0.)); #502680=CARTESIAN_POINT('Origin',(15.25,21.,0.)); #502681=CARTESIAN_POINT('Origin',(15.25,21.,1.5)); #502682=CARTESIAN_POINT('Origin',(15.25,21.,0.)); #502683=CARTESIAN_POINT('Origin',(31.75,17.75,0.)); #502684=CARTESIAN_POINT('',(31.61,17.75,1.5)); #502685=CARTESIAN_POINT('Origin',(31.75,17.75,1.5)); #502686=CARTESIAN_POINT('',(31.61,17.75,0.)); #502687=CARTESIAN_POINT('',(31.61,17.75,0.)); #502688=CARTESIAN_POINT('Origin',(31.75,17.75,0.)); #502689=CARTESIAN_POINT('Origin',(31.75,17.75,0.)); #502690=CARTESIAN_POINT('',(31.575,17.75,1.5)); #502691=CARTESIAN_POINT('Origin',(31.75,17.75,1.5)); #502692=CARTESIAN_POINT('',(31.575,17.75,0.)); #502693=CARTESIAN_POINT('',(31.575,17.75,0.)); #502694=CARTESIAN_POINT('Origin',(31.75,17.75,0.)); #502695=CARTESIAN_POINT('Origin',(31.75,17.75,1.5)); #502696=CARTESIAN_POINT('Origin',(31.75,17.75,0.)); #502697=CARTESIAN_POINT('Origin',(40.75,27.,0.)); #502698=CARTESIAN_POINT('',(40.61,27.,1.5)); #502699=CARTESIAN_POINT('Origin',(40.75,27.,1.5)); #502700=CARTESIAN_POINT('',(40.61,27.,0.)); #502701=CARTESIAN_POINT('',(40.61,27.,0.)); #502702=CARTESIAN_POINT('Origin',(40.75,27.,0.)); #502703=CARTESIAN_POINT('Origin',(40.75,27.,0.)); #502704=CARTESIAN_POINT('',(40.575,27.,1.5)); #502705=CARTESIAN_POINT('Origin',(40.75,27.,1.5)); #502706=CARTESIAN_POINT('',(40.575,27.,0.)); #502707=CARTESIAN_POINT('',(40.575,27.,0.)); #502708=CARTESIAN_POINT('Origin',(40.75,27.,0.)); #502709=CARTESIAN_POINT('Origin',(40.75,27.,1.5)); #502710=CARTESIAN_POINT('Origin',(40.75,27.,0.)); #502711=CARTESIAN_POINT('Origin',(33.25,17.75,0.)); #502712=CARTESIAN_POINT('',(33.11,17.75,1.5)); #502713=CARTESIAN_POINT('Origin',(33.25,17.75,1.5)); #502714=CARTESIAN_POINT('',(33.11,17.75,0.)); #502715=CARTESIAN_POINT('',(33.11,17.75,0.)); #502716=CARTESIAN_POINT('Origin',(33.25,17.75,0.)); #502717=CARTESIAN_POINT('Origin',(33.25,17.75,0.)); #502718=CARTESIAN_POINT('',(33.075,17.75,1.5)); #502719=CARTESIAN_POINT('Origin',(33.25,17.75,1.5)); #502720=CARTESIAN_POINT('',(33.075,17.75,0.)); #502721=CARTESIAN_POINT('',(33.075,17.75,0.)); #502722=CARTESIAN_POINT('Origin',(33.25,17.75,0.)); #502723=CARTESIAN_POINT('Origin',(33.25,17.75,1.5)); #502724=CARTESIAN_POINT('Origin',(33.25,17.75,0.)); #502725=CARTESIAN_POINT('Origin',(38.635,7.07999999155961,0.)); #502726=CARTESIAN_POINT('',(38.635,7.07999999155961,0.)); #502727=CARTESIAN_POINT('',(38.635,6.27999997467882,0.)); #502728=CARTESIAN_POINT('',(38.635,7.07999999155961,0.)); #502729=CARTESIAN_POINT('',(38.635,6.27999997467882,1.5)); #502730=CARTESIAN_POINT('',(38.635,6.27999997467882,0.)); #502731=CARTESIAN_POINT('',(38.635,7.07999999155961,1.5)); #502732=CARTESIAN_POINT('',(38.635,7.07999999155961,1.5)); #502733=CARTESIAN_POINT('',(38.635,7.07999999155961,0.)); #502734=CARTESIAN_POINT('Origin',(38.32,7.08,0.)); #502735=CARTESIAN_POINT('',(38.005,7.08000002532118,0.)); #502736=CARTESIAN_POINT('Origin',(38.32,7.08,0.)); #502737=CARTESIAN_POINT('',(38.005,7.08000002532118,1.5)); #502738=CARTESIAN_POINT('Origin',(38.32,7.08,1.5)); #502739=CARTESIAN_POINT('',(38.005,7.08000002532118,0.)); #502740=CARTESIAN_POINT('Origin',(38.005,6.28000000844039,0.)); #502741=CARTESIAN_POINT('',(38.005,6.28000000844039,0.)); #502742=CARTESIAN_POINT('',(38.005,6.28000000844039,0.)); #502743=CARTESIAN_POINT('',(38.005,6.28000000844039,1.5)); #502744=CARTESIAN_POINT('',(38.005,6.28000000844039,1.5)); #502745=CARTESIAN_POINT('',(38.005,6.28000000844039,0.)); #502746=CARTESIAN_POINT('Origin',(38.32,6.28,0.)); #502747=CARTESIAN_POINT('Origin',(38.32,6.28,0.)); #502748=CARTESIAN_POINT('Origin',(38.32,6.28,1.5)); #502749=CARTESIAN_POINT('Origin',(37.97,7.08000002813464,0.)); #502750=CARTESIAN_POINT('',(37.97,6.28000000937821,0.)); #502751=CARTESIAN_POINT('',(37.97,7.08000002813464,0.)); #502752=CARTESIAN_POINT('',(37.97,6.28000000937821,0.)); #502753=CARTESIAN_POINT('',(37.97,6.28000000937821,1.5)); #502754=CARTESIAN_POINT('',(37.97,6.28000000937821,0.)); #502755=CARTESIAN_POINT('',(37.97,7.08000002813464,1.5)); #502756=CARTESIAN_POINT('',(37.97,6.28000000937821,1.5)); #502757=CARTESIAN_POINT('',(37.97,7.08000002813464,0.)); #502758=CARTESIAN_POINT('Origin',(38.32,7.08,0.)); #502759=CARTESIAN_POINT('',(38.67,7.07999999062179,0.)); #502760=CARTESIAN_POINT('Origin',(38.32,7.08,0.)); #502761=CARTESIAN_POINT('',(38.67,7.07999999062179,1.5)); #502762=CARTESIAN_POINT('Origin',(38.32,7.08,1.5)); #502763=CARTESIAN_POINT('',(38.67,7.07999999062179,0.)); #502764=CARTESIAN_POINT('Origin',(38.67,6.27999997186536,0.)); #502765=CARTESIAN_POINT('',(38.67,6.27999997186536,0.)); #502766=CARTESIAN_POINT('',(38.67,7.07999999062179,0.)); #502767=CARTESIAN_POINT('',(38.67,6.27999997186536,1.5)); #502768=CARTESIAN_POINT('',(38.67,7.07999999062179,1.5)); #502769=CARTESIAN_POINT('',(38.67,6.27999997186536,0.)); #502770=CARTESIAN_POINT('Origin',(38.32,6.28,0.)); #502771=CARTESIAN_POINT('Origin',(38.32,6.28,0.)); #502772=CARTESIAN_POINT('Origin',(38.32,6.28,1.5)); #502773=CARTESIAN_POINT('Origin',(38.32,6.68,1.5)); #502774=CARTESIAN_POINT('Origin',(38.32,6.68,0.)); #502775=CARTESIAN_POINT('Origin',(34.,23.125,0.)); #502776=CARTESIAN_POINT('',(33.86,23.125,1.5)); #502777=CARTESIAN_POINT('Origin',(34.,23.125,1.5)); #502778=CARTESIAN_POINT('',(33.86,23.125,0.)); #502779=CARTESIAN_POINT('',(33.86,23.125,0.)); #502780=CARTESIAN_POINT('Origin',(34.,23.125,0.)); #502781=CARTESIAN_POINT('Origin',(34.,23.125,0.)); #502782=CARTESIAN_POINT('',(33.825,23.125,1.5)); #502783=CARTESIAN_POINT('Origin',(34.,23.125,1.5)); #502784=CARTESIAN_POINT('',(33.825,23.125,0.)); #502785=CARTESIAN_POINT('',(33.825,23.125,0.)); #502786=CARTESIAN_POINT('Origin',(34.,23.125,0.)); #502787=CARTESIAN_POINT('Origin',(34.,23.125,1.5)); #502788=CARTESIAN_POINT('Origin',(34.,23.125,0.)); #502789=CARTESIAN_POINT('Origin',(38.635,2.89999999155961,0.)); #502790=CARTESIAN_POINT('',(38.635,2.89999999155961,0.)); #502791=CARTESIAN_POINT('',(38.635,2.09999997467882,0.)); #502792=CARTESIAN_POINT('',(38.635,2.89999999155961,0.)); #502793=CARTESIAN_POINT('',(38.635,2.09999997467882,1.5)); #502794=CARTESIAN_POINT('',(38.635,2.09999997467882,0.)); #502795=CARTESIAN_POINT('',(38.635,2.89999999155961,1.5)); #502796=CARTESIAN_POINT('',(38.635,2.89999999155961,1.5)); #502797=CARTESIAN_POINT('',(38.635,2.89999999155961,0.)); #502798=CARTESIAN_POINT('Origin',(38.32,2.9,0.)); #502799=CARTESIAN_POINT('',(38.005,2.90000002532118,0.)); #502800=CARTESIAN_POINT('Origin',(38.32,2.9,0.)); #502801=CARTESIAN_POINT('',(38.005,2.90000002532118,1.5)); #502802=CARTESIAN_POINT('Origin',(38.32,2.9,1.5)); #502803=CARTESIAN_POINT('',(38.005,2.90000002532118,0.)); #502804=CARTESIAN_POINT('Origin',(38.005,2.10000000844039,0.)); #502805=CARTESIAN_POINT('',(38.005,2.10000000844039,0.)); #502806=CARTESIAN_POINT('',(38.005,2.10000000844039,0.)); #502807=CARTESIAN_POINT('',(38.005,2.10000000844039,1.5)); #502808=CARTESIAN_POINT('',(38.005,2.10000000844039,1.5)); #502809=CARTESIAN_POINT('',(38.005,2.10000000844039,0.)); #502810=CARTESIAN_POINT('Origin',(38.32,2.1,0.)); #502811=CARTESIAN_POINT('Origin',(38.32,2.1,0.)); #502812=CARTESIAN_POINT('Origin',(38.32,2.1,1.5)); #502813=CARTESIAN_POINT('Origin',(37.97,2.90000002813464,0.)); #502814=CARTESIAN_POINT('',(37.97,2.10000000937821,0.)); #502815=CARTESIAN_POINT('',(37.97,2.90000002813464,0.)); #502816=CARTESIAN_POINT('',(37.97,2.10000000937821,0.)); #502817=CARTESIAN_POINT('',(37.97,2.10000000937821,1.5)); #502818=CARTESIAN_POINT('',(37.97,2.10000000937821,0.)); #502819=CARTESIAN_POINT('',(37.97,2.90000002813464,1.5)); #502820=CARTESIAN_POINT('',(37.97,2.10000000937821,1.5)); #502821=CARTESIAN_POINT('',(37.97,2.90000002813464,0.)); #502822=CARTESIAN_POINT('Origin',(38.32,2.9,0.)); #502823=CARTESIAN_POINT('',(38.67,2.89999999062179,0.)); #502824=CARTESIAN_POINT('Origin',(38.32,2.9,0.)); #502825=CARTESIAN_POINT('',(38.67,2.89999999062179,1.5)); #502826=CARTESIAN_POINT('Origin',(38.32,2.9,1.5)); #502827=CARTESIAN_POINT('',(38.67,2.89999999062179,0.)); #502828=CARTESIAN_POINT('Origin',(38.67,2.09999997186536,0.)); #502829=CARTESIAN_POINT('',(38.67,2.09999997186536,0.)); #502830=CARTESIAN_POINT('',(38.67,2.89999999062179,0.)); #502831=CARTESIAN_POINT('',(38.67,2.09999997186536,1.5)); #502832=CARTESIAN_POINT('',(38.67,2.89999999062179,1.5)); #502833=CARTESIAN_POINT('',(38.67,2.09999997186536,0.)); #502834=CARTESIAN_POINT('Origin',(38.32,2.1,0.)); #502835=CARTESIAN_POINT('Origin',(38.32,2.1,0.)); #502836=CARTESIAN_POINT('Origin',(38.32,2.1,1.5)); #502837=CARTESIAN_POINT('Origin',(38.32,2.5,1.5)); #502838=CARTESIAN_POINT('Origin',(38.32,2.5,0.)); #502839=CARTESIAN_POINT('Origin',(31.75,17.,0.)); #502840=CARTESIAN_POINT('',(31.61,17.,1.5)); #502841=CARTESIAN_POINT('Origin',(31.75,17.,1.5)); #502842=CARTESIAN_POINT('',(31.61,17.,0.)); #502843=CARTESIAN_POINT('',(31.61,17.,0.)); #502844=CARTESIAN_POINT('Origin',(31.75,17.,0.)); #502845=CARTESIAN_POINT('Origin',(31.75,17.,0.)); #502846=CARTESIAN_POINT('',(31.575,17.,1.5)); #502847=CARTESIAN_POINT('Origin',(31.75,17.,1.5)); #502848=CARTESIAN_POINT('',(31.575,17.,0.)); #502849=CARTESIAN_POINT('',(31.575,17.,0.)); #502850=CARTESIAN_POINT('Origin',(31.75,17.,0.)); #502851=CARTESIAN_POINT('Origin',(31.75,17.,1.5)); #502852=CARTESIAN_POINT('Origin',(31.75,17.,0.)); #502853=CARTESIAN_POINT('Origin',(29.995,7.07999999155961,0.)); #502854=CARTESIAN_POINT('',(29.995,7.07999999155961,0.)); #502855=CARTESIAN_POINT('',(29.995,6.27999997467882,0.)); #502856=CARTESIAN_POINT('',(29.995,7.07999999155961,0.)); #502857=CARTESIAN_POINT('',(29.995,6.27999997467882,1.5)); #502858=CARTESIAN_POINT('',(29.995,6.27999997467882,0.)); #502859=CARTESIAN_POINT('',(29.995,7.07999999155961,1.5)); #502860=CARTESIAN_POINT('',(29.995,7.07999999155961,1.5)); #502861=CARTESIAN_POINT('',(29.995,7.07999999155961,0.)); #502862=CARTESIAN_POINT('Origin',(29.68,7.08,0.)); #502863=CARTESIAN_POINT('',(29.365,7.08000002532118,0.)); #502864=CARTESIAN_POINT('Origin',(29.68,7.08,0.)); #502865=CARTESIAN_POINT('',(29.365,7.08000002532118,1.5)); #502866=CARTESIAN_POINT('Origin',(29.68,7.08,1.5)); #502867=CARTESIAN_POINT('',(29.365,7.08000002532118,0.)); #502868=CARTESIAN_POINT('Origin',(29.365,6.28000000844039,0.)); #502869=CARTESIAN_POINT('',(29.365,6.28000000844039,0.)); #502870=CARTESIAN_POINT('',(29.365,6.28000000844039,0.)); #502871=CARTESIAN_POINT('',(29.365,6.28000000844039,1.5)); #502872=CARTESIAN_POINT('',(29.365,6.28000000844039,1.5)); #502873=CARTESIAN_POINT('',(29.365,6.28000000844039,0.)); #502874=CARTESIAN_POINT('Origin',(29.68,6.28,0.)); #502875=CARTESIAN_POINT('Origin',(29.68,6.28,0.)); #502876=CARTESIAN_POINT('Origin',(29.68,6.28,1.5)); #502877=CARTESIAN_POINT('Origin',(29.33,7.08000002813464,0.)); #502878=CARTESIAN_POINT('',(29.33,6.28000000937821,0.)); #502879=CARTESIAN_POINT('',(29.33,7.08000002813464,0.)); #502880=CARTESIAN_POINT('',(29.33,6.28000000937821,0.)); #502881=CARTESIAN_POINT('',(29.33,6.28000000937821,1.5)); #502882=CARTESIAN_POINT('',(29.33,6.28000000937821,0.)); #502883=CARTESIAN_POINT('',(29.33,7.08000002813464,1.5)); #502884=CARTESIAN_POINT('',(29.33,6.28000000937821,1.5)); #502885=CARTESIAN_POINT('',(29.33,7.08000002813464,0.)); #502886=CARTESIAN_POINT('Origin',(29.68,7.08,0.)); #502887=CARTESIAN_POINT('',(30.03,7.07999999062179,0.)); #502888=CARTESIAN_POINT('Origin',(29.68,7.08,0.)); #502889=CARTESIAN_POINT('',(30.03,7.07999999062179,1.5)); #502890=CARTESIAN_POINT('Origin',(29.68,7.08,1.5)); #502891=CARTESIAN_POINT('',(30.03,7.07999999062179,0.)); #502892=CARTESIAN_POINT('Origin',(30.03,6.27999997186536,0.)); #502893=CARTESIAN_POINT('',(30.03,6.27999997186536,0.)); #502894=CARTESIAN_POINT('',(30.03,7.07999999062179,0.)); #502895=CARTESIAN_POINT('',(30.03,6.27999997186536,1.5)); #502896=CARTESIAN_POINT('',(30.03,7.07999999062179,1.5)); #502897=CARTESIAN_POINT('',(30.03,6.27999997186536,0.)); #502898=CARTESIAN_POINT('Origin',(29.68,6.28,0.)); #502899=CARTESIAN_POINT('Origin',(29.68,6.28,0.)); #502900=CARTESIAN_POINT('Origin',(29.68,6.28,1.5)); #502901=CARTESIAN_POINT('Origin',(29.68,6.68,1.5)); #502902=CARTESIAN_POINT('Origin',(29.68,6.68,0.)); #502903=CARTESIAN_POINT('Origin',(42.25,28.5,0.)); #502904=CARTESIAN_POINT('',(42.11,28.5,1.5)); #502905=CARTESIAN_POINT('Origin',(42.25,28.5,1.5)); #502906=CARTESIAN_POINT('',(42.11,28.5,0.)); #502907=CARTESIAN_POINT('',(42.11,28.5,0.)); #502908=CARTESIAN_POINT('Origin',(42.25,28.5,0.)); #502909=CARTESIAN_POINT('Origin',(42.25,28.5,0.)); #502910=CARTESIAN_POINT('',(42.075,28.5,1.5)); #502911=CARTESIAN_POINT('Origin',(42.25,28.5,1.5)); #502912=CARTESIAN_POINT('',(42.075,28.5,0.)); #502913=CARTESIAN_POINT('',(42.075,28.5,0.)); #502914=CARTESIAN_POINT('Origin',(42.25,28.5,0.)); #502915=CARTESIAN_POINT('Origin',(42.25,28.5,1.5)); #502916=CARTESIAN_POINT('Origin',(42.25,28.5,0.)); #502917=CARTESIAN_POINT('Origin',(34.75,55.,0.)); #502918=CARTESIAN_POINT('',(34.61,55.,1.5)); #502919=CARTESIAN_POINT('Origin',(34.75,55.,1.5)); #502920=CARTESIAN_POINT('',(34.61,55.,0.)); #502921=CARTESIAN_POINT('',(34.61,55.,0.)); #502922=CARTESIAN_POINT('Origin',(34.75,55.,0.)); #502923=CARTESIAN_POINT('Origin',(34.75,55.,0.)); #502924=CARTESIAN_POINT('',(34.575,55.,1.5)); #502925=CARTESIAN_POINT('Origin',(34.75,55.,1.5)); #502926=CARTESIAN_POINT('',(34.575,55.,0.)); #502927=CARTESIAN_POINT('',(34.575,55.,0.)); #502928=CARTESIAN_POINT('Origin',(34.75,55.,0.)); #502929=CARTESIAN_POINT('Origin',(34.75,55.,1.5)); #502930=CARTESIAN_POINT('Origin',(34.75,55.,0.)); #502931=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #502932=CARTESIAN_POINT('',(30.685,7.1,1.5)); #502933=CARTESIAN_POINT('Origin',(30.8,7.1,1.5)); #502934=CARTESIAN_POINT('',(30.685,7.1,0.)); #502935=CARTESIAN_POINT('',(30.685,7.1,0.)); #502936=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #502937=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #502938=CARTESIAN_POINT('',(30.65,7.1,1.5)); #502939=CARTESIAN_POINT('Origin',(30.8,7.1,1.5)); #502940=CARTESIAN_POINT('',(30.65,7.1,0.)); #502941=CARTESIAN_POINT('',(30.65,7.1,0.)); #502942=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #502943=CARTESIAN_POINT('Origin',(30.8,7.1,1.5)); #502944=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #502945=CARTESIAN_POINT('Origin',(33.75,6.2426,0.)); #502946=CARTESIAN_POINT('',(33.61,6.2426,1.5)); #502947=CARTESIAN_POINT('Origin',(33.75,6.2426,1.5)); #502948=CARTESIAN_POINT('',(33.61,6.2426,0.)); #502949=CARTESIAN_POINT('',(33.61,6.2426,0.)); #502950=CARTESIAN_POINT('Origin',(33.75,6.2426,0.)); #502951=CARTESIAN_POINT('Origin',(33.75,6.2426,0.)); #502952=CARTESIAN_POINT('',(33.575,6.2426,1.5)); #502953=CARTESIAN_POINT('Origin',(33.75,6.2426,1.5)); #502954=CARTESIAN_POINT('',(33.575,6.2426,0.)); #502955=CARTESIAN_POINT('',(33.575,6.2426,0.)); #502956=CARTESIAN_POINT('Origin',(33.75,6.2426,0.)); #502957=CARTESIAN_POINT('Origin',(33.75,6.2426,1.5)); #502958=CARTESIAN_POINT('Origin',(33.75,6.2426,0.)); #502959=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #502960=CARTESIAN_POINT('',(37.085,7.6,1.5)); #502961=CARTESIAN_POINT('Origin',(37.2,7.6,1.5)); #502962=CARTESIAN_POINT('',(37.085,7.6,0.)); #502963=CARTESIAN_POINT('',(37.085,7.6,0.)); #502964=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #502965=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #502966=CARTESIAN_POINT('',(37.05,7.6,1.5)); #502967=CARTESIAN_POINT('Origin',(37.2,7.6,1.5)); #502968=CARTESIAN_POINT('',(37.05,7.6,0.)); #502969=CARTESIAN_POINT('',(37.05,7.6,0.)); #502970=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #502971=CARTESIAN_POINT('Origin',(37.2,7.6,1.5)); #502972=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #502973=CARTESIAN_POINT('Origin',(31.75,15.5,0.)); #502974=CARTESIAN_POINT('',(31.61,15.5,1.5)); #502975=CARTESIAN_POINT('Origin',(31.75,15.5,1.5)); #502976=CARTESIAN_POINT('',(31.61,15.5,0.)); #502977=CARTESIAN_POINT('',(31.61,15.5,0.)); #502978=CARTESIAN_POINT('Origin',(31.75,15.5,0.)); #502979=CARTESIAN_POINT('Origin',(31.75,15.5,0.)); #502980=CARTESIAN_POINT('',(31.575,15.5,1.5)); #502981=CARTESIAN_POINT('Origin',(31.75,15.5,1.5)); #502982=CARTESIAN_POINT('',(31.575,15.5,0.)); #502983=CARTESIAN_POINT('',(31.575,15.5,0.)); #502984=CARTESIAN_POINT('Origin',(31.75,15.5,0.)); #502985=CARTESIAN_POINT('Origin',(31.75,15.5,1.5)); #502986=CARTESIAN_POINT('Origin',(31.75,15.5,0.)); #502987=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #502988=CARTESIAN_POINT('',(31.485,7.1,1.5)); #502989=CARTESIAN_POINT('Origin',(31.6,7.1,1.5)); #502990=CARTESIAN_POINT('',(31.485,7.1,0.)); #502991=CARTESIAN_POINT('',(31.485,7.1,0.)); #502992=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #502993=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #502994=CARTESIAN_POINT('',(31.45,7.1,1.5)); #502995=CARTESIAN_POINT('Origin',(31.6,7.1,1.5)); #502996=CARTESIAN_POINT('',(31.45,7.1,0.)); #502997=CARTESIAN_POINT('',(31.45,7.1,0.)); #502998=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #502999=CARTESIAN_POINT('Origin',(31.6,7.1,1.5)); #503000=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #503001=CARTESIAN_POINT('Origin',(32.75,8.25,0.)); #503002=CARTESIAN_POINT('',(32.61,8.25,1.5)); #503003=CARTESIAN_POINT('Origin',(32.75,8.25,1.5)); #503004=CARTESIAN_POINT('',(32.61,8.25,0.)); #503005=CARTESIAN_POINT('',(32.61,8.25,0.)); #503006=CARTESIAN_POINT('Origin',(32.75,8.25,0.)); #503007=CARTESIAN_POINT('Origin',(32.75,8.25,0.)); #503008=CARTESIAN_POINT('',(32.575,8.25,1.5)); #503009=CARTESIAN_POINT('Origin',(32.75,8.25,1.5)); #503010=CARTESIAN_POINT('',(32.575,8.25,0.)); #503011=CARTESIAN_POINT('',(32.575,8.25,0.)); #503012=CARTESIAN_POINT('Origin',(32.75,8.25,0.)); #503013=CARTESIAN_POINT('Origin',(32.75,8.25,1.5)); #503014=CARTESIAN_POINT('Origin',(32.75,8.25,0.)); #503015=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #503016=CARTESIAN_POINT('',(37.085,7.1,1.5)); #503017=CARTESIAN_POINT('Origin',(37.2,7.1,1.5)); #503018=CARTESIAN_POINT('',(37.085,7.1,0.)); #503019=CARTESIAN_POINT('',(37.085,7.1,0.)); #503020=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #503021=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #503022=CARTESIAN_POINT('',(37.05,7.1,1.5)); #503023=CARTESIAN_POINT('Origin',(37.2,7.1,1.5)); #503024=CARTESIAN_POINT('',(37.05,7.1,0.)); #503025=CARTESIAN_POINT('',(37.05,7.1,0.)); #503026=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #503027=CARTESIAN_POINT('Origin',(37.2,7.1,1.5)); #503028=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #503029=CARTESIAN_POINT('Origin',(34.75,17.75,0.)); #503030=CARTESIAN_POINT('',(34.61,17.75,1.5)); #503031=CARTESIAN_POINT('Origin',(34.75,17.75,1.5)); #503032=CARTESIAN_POINT('',(34.61,17.75,0.)); #503033=CARTESIAN_POINT('',(34.61,17.75,0.)); #503034=CARTESIAN_POINT('Origin',(34.75,17.75,0.)); #503035=CARTESIAN_POINT('Origin',(34.75,17.75,0.)); #503036=CARTESIAN_POINT('',(34.575,17.75,1.5)); #503037=CARTESIAN_POINT('Origin',(34.75,17.75,1.5)); #503038=CARTESIAN_POINT('',(34.575,17.75,0.)); #503039=CARTESIAN_POINT('',(34.575,17.75,0.)); #503040=CARTESIAN_POINT('Origin',(34.75,17.75,0.)); #503041=CARTESIAN_POINT('Origin',(34.75,17.75,1.5)); #503042=CARTESIAN_POINT('Origin',(34.75,17.75,0.)); #503043=CARTESIAN_POINT('Origin',(38.5500000151391,34.565,0.)); #503044=CARTESIAN_POINT('',(38.5500000151391,34.565,0.)); #503045=CARTESIAN_POINT('',(39.1500000454174,34.565,0.)); #503046=CARTESIAN_POINT('',(38.5500000151391,34.565,0.)); #503047=CARTESIAN_POINT('',(39.1500000454174,34.565,1.5)); #503048=CARTESIAN_POINT('',(39.1500000454174,34.565,0.)); #503049=CARTESIAN_POINT('',(38.5500000151391,34.565,1.5)); #503050=CARTESIAN_POINT('',(38.5500000151391,34.565,1.5)); #503051=CARTESIAN_POINT('',(38.5500000151391,34.565,0.)); #503052=CARTESIAN_POINT('Origin',(38.55,34.,0.)); #503053=CARTESIAN_POINT('',(38.5499999545827,33.435,0.)); #503054=CARTESIAN_POINT('Origin',(38.55,34.,0.)); #503055=CARTESIAN_POINT('',(38.5499999545827,33.435,1.5)); #503056=CARTESIAN_POINT('Origin',(38.55,34.,1.5)); #503057=CARTESIAN_POINT('',(38.5499999545827,33.435,0.)); #503058=CARTESIAN_POINT('Origin',(39.1499999848609,33.435,0.)); #503059=CARTESIAN_POINT('',(39.1499999848609,33.435,0.)); #503060=CARTESIAN_POINT('',(39.1499999848609,33.435,0.)); #503061=CARTESIAN_POINT('',(39.1499999848609,33.435,1.5)); #503062=CARTESIAN_POINT('',(39.1499999848609,33.435,1.5)); #503063=CARTESIAN_POINT('',(39.1499999848609,33.435,0.)); #503064=CARTESIAN_POINT('Origin',(39.15,34.,0.)); #503065=CARTESIAN_POINT('Origin',(39.15,34.,0.)); #503066=CARTESIAN_POINT('Origin',(39.15,34.,1.5)); #503067=CARTESIAN_POINT('Origin',(38.5499999517692,33.4,0.)); #503068=CARTESIAN_POINT('',(39.1499999839231,33.4,0.)); #503069=CARTESIAN_POINT('',(38.5499999517692,33.4,0.)); #503070=CARTESIAN_POINT('',(39.1499999839231,33.4,0.)); #503071=CARTESIAN_POINT('',(39.1499999839231,33.4,1.5)); #503072=CARTESIAN_POINT('',(39.1499999839231,33.4,0.)); #503073=CARTESIAN_POINT('',(38.5499999517692,33.4,1.5)); #503074=CARTESIAN_POINT('',(39.1499999839231,33.4,1.5)); #503075=CARTESIAN_POINT('',(38.5499999517692,33.4,0.)); #503076=CARTESIAN_POINT('Origin',(38.55,34.,0.)); #503077=CARTESIAN_POINT('',(38.5500000160769,34.6,0.)); #503078=CARTESIAN_POINT('Origin',(38.55,34.,0.)); #503079=CARTESIAN_POINT('',(38.5500000160769,34.6,1.5)); #503080=CARTESIAN_POINT('Origin',(38.55,34.,1.5)); #503081=CARTESIAN_POINT('',(38.5500000160769,34.6,0.)); #503082=CARTESIAN_POINT('Origin',(39.1500000482308,34.6,0.)); #503083=CARTESIAN_POINT('',(39.1500000482308,34.6,0.)); #503084=CARTESIAN_POINT('',(38.5500000160769,34.6,0.)); #503085=CARTESIAN_POINT('',(39.1500000482308,34.6,1.5)); #503086=CARTESIAN_POINT('',(38.5500000160769,34.6,1.5)); #503087=CARTESIAN_POINT('',(39.1500000482308,34.6,0.)); #503088=CARTESIAN_POINT('Origin',(39.15,34.,0.)); #503089=CARTESIAN_POINT('Origin',(39.15,34.,0.)); #503090=CARTESIAN_POINT('Origin',(39.15,34.,1.5)); #503091=CARTESIAN_POINT('Origin',(38.85,34.,1.5)); #503092=CARTESIAN_POINT('Origin',(38.85,34.,0.)); #503093=CARTESIAN_POINT('Origin',(34.25,8.25,0.)); #503094=CARTESIAN_POINT('',(34.11,8.25,1.5)); #503095=CARTESIAN_POINT('Origin',(34.25,8.25,1.5)); #503096=CARTESIAN_POINT('',(34.11,8.25,0.)); #503097=CARTESIAN_POINT('',(34.11,8.25,0.)); #503098=CARTESIAN_POINT('Origin',(34.25,8.25,0.)); #503099=CARTESIAN_POINT('Origin',(34.25,8.25,0.)); #503100=CARTESIAN_POINT('',(34.075,8.25,1.5)); #503101=CARTESIAN_POINT('Origin',(34.25,8.25,1.5)); #503102=CARTESIAN_POINT('',(34.075,8.25,0.)); #503103=CARTESIAN_POINT('',(34.075,8.25,0.)); #503104=CARTESIAN_POINT('Origin',(34.25,8.25,0.)); #503105=CARTESIAN_POINT('Origin',(34.25,8.25,1.5)); #503106=CARTESIAN_POINT('Origin',(34.25,8.25,0.)); #503107=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #503108=CARTESIAN_POINT('',(30.685,7.6,1.5)); #503109=CARTESIAN_POINT('Origin',(30.8,7.6,1.5)); #503110=CARTESIAN_POINT('',(30.685,7.6,0.)); #503111=CARTESIAN_POINT('',(30.685,7.6,0.)); #503112=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #503113=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #503114=CARTESIAN_POINT('',(30.65,7.6,1.5)); #503115=CARTESIAN_POINT('Origin',(30.8,7.6,1.5)); #503116=CARTESIAN_POINT('',(30.65,7.6,0.)); #503117=CARTESIAN_POINT('',(30.65,7.6,0.)); #503118=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #503119=CARTESIAN_POINT('Origin',(30.8,7.6,1.5)); #503120=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #503121=CARTESIAN_POINT('Origin',(31.75,16.25,0.)); #503122=CARTESIAN_POINT('',(31.61,16.25,1.5)); #503123=CARTESIAN_POINT('Origin',(31.75,16.25,1.5)); #503124=CARTESIAN_POINT('',(31.61,16.25,0.)); #503125=CARTESIAN_POINT('',(31.61,16.25,0.)); #503126=CARTESIAN_POINT('Origin',(31.75,16.25,0.)); #503127=CARTESIAN_POINT('Origin',(31.75,16.25,0.)); #503128=CARTESIAN_POINT('',(31.575,16.25,1.5)); #503129=CARTESIAN_POINT('Origin',(31.75,16.25,1.5)); #503130=CARTESIAN_POINT('',(31.575,16.25,0.)); #503131=CARTESIAN_POINT('',(31.575,16.25,0.)); #503132=CARTESIAN_POINT('Origin',(31.75,16.25,0.)); #503133=CARTESIAN_POINT('Origin',(31.75,16.25,1.5)); #503134=CARTESIAN_POINT('Origin',(31.75,16.25,0.)); #503135=CARTESIAN_POINT('Origin',(28.8500000151391,34.565,0.)); #503136=CARTESIAN_POINT('',(28.8500000151391,34.565,0.)); #503137=CARTESIAN_POINT('',(29.4500000454174,34.565,0.)); #503138=CARTESIAN_POINT('',(28.8500000151391,34.565,0.)); #503139=CARTESIAN_POINT('',(29.4500000454174,34.565,1.5)); #503140=CARTESIAN_POINT('',(29.4500000454174,34.565,0.)); #503141=CARTESIAN_POINT('',(28.8500000151391,34.565,1.5)); #503142=CARTESIAN_POINT('',(28.8500000151391,34.565,1.5)); #503143=CARTESIAN_POINT('',(28.8500000151391,34.565,0.)); #503144=CARTESIAN_POINT('Origin',(28.85,34.,0.)); #503145=CARTESIAN_POINT('',(28.8499999545827,33.435,0.)); #503146=CARTESIAN_POINT('Origin',(28.85,34.,0.)); #503147=CARTESIAN_POINT('',(28.8499999545827,33.435,1.5)); #503148=CARTESIAN_POINT('Origin',(28.85,34.,1.5)); #503149=CARTESIAN_POINT('',(28.8499999545827,33.435,0.)); #503150=CARTESIAN_POINT('Origin',(29.4499999848609,33.435,0.)); #503151=CARTESIAN_POINT('',(29.4499999848609,33.435,0.)); #503152=CARTESIAN_POINT('',(29.4499999848609,33.435,0.)); #503153=CARTESIAN_POINT('',(29.4499999848609,33.435,1.5)); #503154=CARTESIAN_POINT('',(29.4499999848609,33.435,1.5)); #503155=CARTESIAN_POINT('',(29.4499999848609,33.435,0.)); #503156=CARTESIAN_POINT('Origin',(29.45,34.,0.)); #503157=CARTESIAN_POINT('Origin',(29.45,34.,0.)); #503158=CARTESIAN_POINT('Origin',(29.45,34.,1.5)); #503159=CARTESIAN_POINT('Origin',(28.8499999517692,33.4,0.)); #503160=CARTESIAN_POINT('',(29.4499999839231,33.4,0.)); #503161=CARTESIAN_POINT('',(28.8499999517692,33.4,0.)); #503162=CARTESIAN_POINT('',(29.4499999839231,33.4,0.)); #503163=CARTESIAN_POINT('',(29.4499999839231,33.4,1.5)); #503164=CARTESIAN_POINT('',(29.4499999839231,33.4,0.)); #503165=CARTESIAN_POINT('',(28.8499999517692,33.4,1.5)); #503166=CARTESIAN_POINT('',(29.4499999839231,33.4,1.5)); #503167=CARTESIAN_POINT('',(28.8499999517692,33.4,0.)); #503168=CARTESIAN_POINT('Origin',(28.85,34.,0.)); #503169=CARTESIAN_POINT('',(28.8500000160769,34.6,0.)); #503170=CARTESIAN_POINT('Origin',(28.85,34.,0.)); #503171=CARTESIAN_POINT('',(28.8500000160769,34.6,1.5)); #503172=CARTESIAN_POINT('Origin',(28.85,34.,1.5)); #503173=CARTESIAN_POINT('',(28.8500000160769,34.6,0.)); #503174=CARTESIAN_POINT('Origin',(29.4500000482308,34.6,0.)); #503175=CARTESIAN_POINT('',(29.4500000482308,34.6,0.)); #503176=CARTESIAN_POINT('',(28.8500000160769,34.6,0.)); #503177=CARTESIAN_POINT('',(29.4500000482308,34.6,1.5)); #503178=CARTESIAN_POINT('',(28.8500000160769,34.6,1.5)); #503179=CARTESIAN_POINT('',(29.4500000482308,34.6,0.)); #503180=CARTESIAN_POINT('Origin',(29.45,34.,0.)); #503181=CARTESIAN_POINT('Origin',(29.45,34.,0.)); #503182=CARTESIAN_POINT('Origin',(29.45,34.,1.5)); #503183=CARTESIAN_POINT('Origin',(29.15,34.,1.5)); #503184=CARTESIAN_POINT('Origin',(29.15,34.,0.)); #503185=CARTESIAN_POINT('Origin',(35.25,6.25,0.)); #503186=CARTESIAN_POINT('',(35.11,6.25,1.5)); #503187=CARTESIAN_POINT('Origin',(35.25,6.25,1.5)); #503188=CARTESIAN_POINT('',(35.11,6.25,0.)); #503189=CARTESIAN_POINT('',(35.11,6.25,0.)); #503190=CARTESIAN_POINT('Origin',(35.25,6.25,0.)); #503191=CARTESIAN_POINT('Origin',(35.25,6.25,0.)); #503192=CARTESIAN_POINT('',(35.075,6.25,1.5)); #503193=CARTESIAN_POINT('Origin',(35.25,6.25,1.5)); #503194=CARTESIAN_POINT('',(35.075,6.25,0.)); #503195=CARTESIAN_POINT('',(35.075,6.25,0.)); #503196=CARTESIAN_POINT('Origin',(35.25,6.25,0.)); #503197=CARTESIAN_POINT('Origin',(35.25,6.25,1.5)); #503198=CARTESIAN_POINT('Origin',(35.25,6.25,0.)); #503199=CARTESIAN_POINT('Origin',(29.995,2.89999999155961,0.)); #503200=CARTESIAN_POINT('',(29.995,2.89999999155961,0.)); #503201=CARTESIAN_POINT('',(29.995,2.09999997467882,0.)); #503202=CARTESIAN_POINT('',(29.995,2.89999999155961,0.)); #503203=CARTESIAN_POINT('',(29.995,2.09999997467882,1.5)); #503204=CARTESIAN_POINT('',(29.995,2.09999997467882,0.)); #503205=CARTESIAN_POINT('',(29.995,2.89999999155961,1.5)); #503206=CARTESIAN_POINT('',(29.995,2.89999999155961,1.5)); #503207=CARTESIAN_POINT('',(29.995,2.89999999155961,0.)); #503208=CARTESIAN_POINT('Origin',(29.68,2.9,0.)); #503209=CARTESIAN_POINT('',(29.365,2.90000002532118,0.)); #503210=CARTESIAN_POINT('Origin',(29.68,2.9,0.)); #503211=CARTESIAN_POINT('',(29.365,2.90000002532118,1.5)); #503212=CARTESIAN_POINT('Origin',(29.68,2.9,1.5)); #503213=CARTESIAN_POINT('',(29.365,2.90000002532118,0.)); #503214=CARTESIAN_POINT('Origin',(29.365,2.10000000844039,0.)); #503215=CARTESIAN_POINT('',(29.365,2.10000000844039,0.)); #503216=CARTESIAN_POINT('',(29.365,2.10000000844039,0.)); #503217=CARTESIAN_POINT('',(29.365,2.10000000844039,1.5)); #503218=CARTESIAN_POINT('',(29.365,2.10000000844039,1.5)); #503219=CARTESIAN_POINT('',(29.365,2.10000000844039,0.)); #503220=CARTESIAN_POINT('Origin',(29.68,2.1,0.)); #503221=CARTESIAN_POINT('Origin',(29.68,2.1,0.)); #503222=CARTESIAN_POINT('Origin',(29.68,2.1,1.5)); #503223=CARTESIAN_POINT('Origin',(29.33,2.90000002813464,0.)); #503224=CARTESIAN_POINT('',(29.33,2.10000000937821,0.)); #503225=CARTESIAN_POINT('',(29.33,2.90000002813464,0.)); #503226=CARTESIAN_POINT('',(29.33,2.10000000937821,0.)); #503227=CARTESIAN_POINT('',(29.33,2.10000000937821,1.5)); #503228=CARTESIAN_POINT('',(29.33,2.10000000937821,0.)); #503229=CARTESIAN_POINT('',(29.33,2.90000002813464,1.5)); #503230=CARTESIAN_POINT('',(29.33,2.10000000937821,1.5)); #503231=CARTESIAN_POINT('',(29.33,2.90000002813464,0.)); #503232=CARTESIAN_POINT('Origin',(29.68,2.9,0.)); #503233=CARTESIAN_POINT('',(30.03,2.89999999062179,0.)); #503234=CARTESIAN_POINT('Origin',(29.68,2.9,0.)); #503235=CARTESIAN_POINT('',(30.03,2.89999999062179,1.5)); #503236=CARTESIAN_POINT('Origin',(29.68,2.9,1.5)); #503237=CARTESIAN_POINT('',(30.03,2.89999999062179,0.)); #503238=CARTESIAN_POINT('Origin',(30.03,2.09999997186536,0.)); #503239=CARTESIAN_POINT('',(30.03,2.09999997186536,0.)); #503240=CARTESIAN_POINT('',(30.03,2.89999999062179,0.)); #503241=CARTESIAN_POINT('',(30.03,2.09999997186536,1.5)); #503242=CARTESIAN_POINT('',(30.03,2.89999999062179,1.5)); #503243=CARTESIAN_POINT('',(30.03,2.09999997186536,0.)); #503244=CARTESIAN_POINT('Origin',(29.68,2.1,0.)); #503245=CARTESIAN_POINT('Origin',(29.68,2.1,0.)); #503246=CARTESIAN_POINT('Origin',(29.68,2.1,1.5)); #503247=CARTESIAN_POINT('Origin',(29.68,2.5,1.5)); #503248=CARTESIAN_POINT('Origin',(29.68,2.5,0.)); #503249=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #503250=CARTESIAN_POINT('',(55.285,34.,1.5)); #503251=CARTESIAN_POINT('Origin',(55.75,34.,1.5)); #503252=CARTESIAN_POINT('',(55.285,34.,0.)); #503253=CARTESIAN_POINT('',(55.285,34.,0.)); #503254=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #503255=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #503256=CARTESIAN_POINT('',(55.25,34.,1.5)); #503257=CARTESIAN_POINT('Origin',(55.75,34.,1.5)); #503258=CARTESIAN_POINT('',(55.25,34.,0.)); #503259=CARTESIAN_POINT('',(55.25,34.,0.)); #503260=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #503261=CARTESIAN_POINT('Origin',(55.75,34.,1.5)); #503262=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #503263=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #503264=CARTESIAN_POINT('',(34.622,60.25,1.5)); #503265=CARTESIAN_POINT('Origin',(34.762,60.25,1.5)); #503266=CARTESIAN_POINT('',(34.622,60.25,0.)); #503267=CARTESIAN_POINT('',(34.622,60.25,0.)); #503268=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #503269=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #503270=CARTESIAN_POINT('',(34.587,60.25,1.5)); #503271=CARTESIAN_POINT('Origin',(34.762,60.25,1.5)); #503272=CARTESIAN_POINT('',(34.587,60.25,0.)); #503273=CARTESIAN_POINT('',(34.587,60.25,0.)); #503274=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #503275=CARTESIAN_POINT('Origin',(34.762,60.25,1.5)); #503276=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #503277=CARTESIAN_POINT('Origin',(7.25,34.,0.)); #503278=CARTESIAN_POINT('',(7.11,34.,1.5)); #503279=CARTESIAN_POINT('Origin',(7.25,34.,1.5)); #503280=CARTESIAN_POINT('',(7.11,34.,0.)); #503281=CARTESIAN_POINT('',(7.11,34.,0.)); #503282=CARTESIAN_POINT('Origin',(7.25,34.,0.)); #503283=CARTESIAN_POINT('Origin',(7.25,34.,0.)); #503284=CARTESIAN_POINT('',(7.075,34.,1.5)); #503285=CARTESIAN_POINT('Origin',(7.25,34.,1.5)); #503286=CARTESIAN_POINT('',(7.075,34.,0.)); #503287=CARTESIAN_POINT('',(7.075,34.,0.)); #503288=CARTESIAN_POINT('Origin',(7.25,34.,0.)); #503289=CARTESIAN_POINT('Origin',(7.25,34.,1.5)); #503290=CARTESIAN_POINT('Origin',(7.25,34.,0.)); #503291=CARTESIAN_POINT('Origin',(33.25,55.,0.)); #503292=CARTESIAN_POINT('',(33.11,55.,1.5)); #503293=CARTESIAN_POINT('Origin',(33.25,55.,1.5)); #503294=CARTESIAN_POINT('',(33.11,55.,0.)); #503295=CARTESIAN_POINT('',(33.11,55.,0.)); #503296=CARTESIAN_POINT('Origin',(33.25,55.,0.)); #503297=CARTESIAN_POINT('Origin',(33.25,55.,0.)); #503298=CARTESIAN_POINT('',(33.075,55.,1.5)); #503299=CARTESIAN_POINT('Origin',(33.25,55.,1.5)); #503300=CARTESIAN_POINT('',(33.075,55.,0.)); #503301=CARTESIAN_POINT('',(33.075,55.,0.)); #503302=CARTESIAN_POINT('Origin',(33.25,55.,0.)); #503303=CARTESIAN_POINT('Origin',(33.25,55.,1.5)); #503304=CARTESIAN_POINT('Origin',(33.25,55.,0.)); #503305=CARTESIAN_POINT('Origin',(33.25,14.75,0.)); #503306=CARTESIAN_POINT('',(33.11,14.75,1.5)); #503307=CARTESIAN_POINT('Origin',(33.25,14.75,1.5)); #503308=CARTESIAN_POINT('',(33.11,14.75,0.)); #503309=CARTESIAN_POINT('',(33.11,14.75,0.)); #503310=CARTESIAN_POINT('Origin',(33.25,14.75,0.)); #503311=CARTESIAN_POINT('Origin',(33.25,14.75,0.)); #503312=CARTESIAN_POINT('',(33.075,14.75,1.5)); #503313=CARTESIAN_POINT('Origin',(33.25,14.75,1.5)); #503314=CARTESIAN_POINT('',(33.075,14.75,0.)); #503315=CARTESIAN_POINT('',(33.075,14.75,0.)); #503316=CARTESIAN_POINT('Origin',(33.25,14.75,0.)); #503317=CARTESIAN_POINT('Origin',(33.25,14.75,1.5)); #503318=CARTESIAN_POINT('Origin',(33.25,14.75,0.)); #503319=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #503320=CARTESIAN_POINT('',(30.622,60.25,1.5)); #503321=CARTESIAN_POINT('Origin',(30.762,60.25,1.5)); #503322=CARTESIAN_POINT('',(30.622,60.25,0.)); #503323=CARTESIAN_POINT('',(30.622,60.25,0.)); #503324=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #503325=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #503326=CARTESIAN_POINT('',(30.587,60.25,1.5)); #503327=CARTESIAN_POINT('Origin',(30.762,60.25,1.5)); #503328=CARTESIAN_POINT('',(30.587,60.25,0.)); #503329=CARTESIAN_POINT('',(30.587,60.25,0.)); #503330=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #503331=CARTESIAN_POINT('Origin',(30.762,60.25,1.5)); #503332=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #503333=CARTESIAN_POINT('Origin',(7.25,34.75,0.)); #503334=CARTESIAN_POINT('',(7.11,34.75,1.5)); #503335=CARTESIAN_POINT('Origin',(7.25,34.75,1.5)); #503336=CARTESIAN_POINT('',(7.11,34.75,0.)); #503337=CARTESIAN_POINT('',(7.11,34.75,0.)); #503338=CARTESIAN_POINT('Origin',(7.25,34.75,0.)); #503339=CARTESIAN_POINT('Origin',(7.25,34.75,0.)); #503340=CARTESIAN_POINT('',(7.075,34.75,1.5)); #503341=CARTESIAN_POINT('Origin',(7.25,34.75,1.5)); #503342=CARTESIAN_POINT('',(7.075,34.75,0.)); #503343=CARTESIAN_POINT('',(7.075,34.75,0.)); #503344=CARTESIAN_POINT('Origin',(7.25,34.75,0.)); #503345=CARTESIAN_POINT('Origin',(7.25,34.75,1.5)); #503346=CARTESIAN_POINT('Origin',(7.25,34.75,0.)); #503347=CARTESIAN_POINT('Origin',(39.25,16.5,0.)); #503348=CARTESIAN_POINT('',(39.11,16.5,1.5)); #503349=CARTESIAN_POINT('Origin',(39.25,16.5,1.5)); #503350=CARTESIAN_POINT('',(39.11,16.5,0.)); #503351=CARTESIAN_POINT('',(39.11,16.5,0.)); #503352=CARTESIAN_POINT('Origin',(39.25,16.5,0.)); #503353=CARTESIAN_POINT('Origin',(39.25,16.5,0.)); #503354=CARTESIAN_POINT('',(39.075,16.5,1.5)); #503355=CARTESIAN_POINT('Origin',(39.25,16.5,1.5)); #503356=CARTESIAN_POINT('',(39.075,16.5,0.)); #503357=CARTESIAN_POINT('',(39.075,16.5,0.)); #503358=CARTESIAN_POINT('Origin',(39.25,16.5,0.)); #503359=CARTESIAN_POINT('Origin',(39.25,16.5,1.5)); #503360=CARTESIAN_POINT('Origin',(39.25,16.5,0.)); #503361=CARTESIAN_POINT('Origin',(34.,16.25,0.)); #503362=CARTESIAN_POINT('',(33.86,16.25,1.5)); #503363=CARTESIAN_POINT('Origin',(34.,16.25,1.5)); #503364=CARTESIAN_POINT('',(33.86,16.25,0.)); #503365=CARTESIAN_POINT('',(33.86,16.25,0.)); #503366=CARTESIAN_POINT('Origin',(34.,16.25,0.)); #503367=CARTESIAN_POINT('Origin',(34.,16.25,0.)); #503368=CARTESIAN_POINT('',(33.825,16.25,1.5)); #503369=CARTESIAN_POINT('Origin',(34.,16.25,1.5)); #503370=CARTESIAN_POINT('',(33.825,16.25,0.)); #503371=CARTESIAN_POINT('',(33.825,16.25,0.)); #503372=CARTESIAN_POINT('Origin',(34.,16.25,0.)); #503373=CARTESIAN_POINT('Origin',(34.,16.25,1.5)); #503374=CARTESIAN_POINT('Origin',(34.,16.25,0.)); #503375=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #503376=CARTESIAN_POINT('',(30.36,11.25,1.5)); #503377=CARTESIAN_POINT('Origin',(30.5,11.25,1.5)); #503378=CARTESIAN_POINT('',(30.36,11.25,0.)); #503379=CARTESIAN_POINT('',(30.36,11.25,0.)); #503380=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #503381=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #503382=CARTESIAN_POINT('',(30.325,11.25,1.5)); #503383=CARTESIAN_POINT('Origin',(30.5,11.25,1.5)); #503384=CARTESIAN_POINT('',(30.325,11.25,0.)); #503385=CARTESIAN_POINT('',(30.325,11.25,0.)); #503386=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #503387=CARTESIAN_POINT('Origin',(30.5,11.25,1.5)); #503388=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #503389=CARTESIAN_POINT('Origin',(10.25,34.75,0.)); #503390=CARTESIAN_POINT('',(10.11,34.75,1.5)); #503391=CARTESIAN_POINT('Origin',(10.25,34.75,1.5)); #503392=CARTESIAN_POINT('',(10.11,34.75,0.)); #503393=CARTESIAN_POINT('',(10.11,34.75,0.)); #503394=CARTESIAN_POINT('Origin',(10.25,34.75,0.)); #503395=CARTESIAN_POINT('Origin',(10.25,34.75,0.)); #503396=CARTESIAN_POINT('',(10.075,34.75,1.5)); #503397=CARTESIAN_POINT('Origin',(10.25,34.75,1.5)); #503398=CARTESIAN_POINT('',(10.075,34.75,0.)); #503399=CARTESIAN_POINT('',(10.075,34.75,0.)); #503400=CARTESIAN_POINT('Origin',(10.25,34.75,0.)); #503401=CARTESIAN_POINT('Origin',(10.25,34.75,1.5)); #503402=CARTESIAN_POINT('Origin',(10.25,34.75,0.)); #503403=CARTESIAN_POINT('Origin',(35.75,11.25,0.)); #503404=CARTESIAN_POINT('',(35.61,11.25,1.5)); #503405=CARTESIAN_POINT('Origin',(35.75,11.25,1.5)); #503406=CARTESIAN_POINT('',(35.61,11.25,0.)); #503407=CARTESIAN_POINT('',(35.61,11.25,0.)); #503408=CARTESIAN_POINT('Origin',(35.75,11.25,0.)); #503409=CARTESIAN_POINT('Origin',(35.75,11.25,0.)); #503410=CARTESIAN_POINT('',(35.575,11.25,1.5)); #503411=CARTESIAN_POINT('Origin',(35.75,11.25,1.5)); #503412=CARTESIAN_POINT('',(35.575,11.25,0.)); #503413=CARTESIAN_POINT('',(35.575,11.25,0.)); #503414=CARTESIAN_POINT('Origin',(35.75,11.25,0.)); #503415=CARTESIAN_POINT('Origin',(35.75,11.25,1.5)); #503416=CARTESIAN_POINT('Origin',(35.75,11.25,0.)); #503417=CARTESIAN_POINT('Origin',(34.,14.75,0.)); #503418=CARTESIAN_POINT('',(33.86,14.75,1.5)); #503419=CARTESIAN_POINT('Origin',(34.,14.75,1.5)); #503420=CARTESIAN_POINT('',(33.86,14.75,0.)); #503421=CARTESIAN_POINT('',(33.86,14.75,0.)); #503422=CARTESIAN_POINT('Origin',(34.,14.75,0.)); #503423=CARTESIAN_POINT('Origin',(34.,14.75,0.)); #503424=CARTESIAN_POINT('',(33.825,14.75,1.5)); #503425=CARTESIAN_POINT('Origin',(34.,14.75,1.5)); #503426=CARTESIAN_POINT('',(33.825,14.75,0.)); #503427=CARTESIAN_POINT('',(33.825,14.75,0.)); #503428=CARTESIAN_POINT('Origin',(34.,14.75,0.)); #503429=CARTESIAN_POINT('Origin',(34.,14.75,1.5)); #503430=CARTESIAN_POINT('Origin',(34.,14.75,0.)); #503431=CARTESIAN_POINT('Origin',(36.5,10.5,0.)); #503432=CARTESIAN_POINT('',(36.36,10.5,1.5)); #503433=CARTESIAN_POINT('Origin',(36.5,10.5,1.5)); #503434=CARTESIAN_POINT('',(36.36,10.5,0.)); #503435=CARTESIAN_POINT('',(36.36,10.5,0.)); #503436=CARTESIAN_POINT('Origin',(36.5,10.5,0.)); #503437=CARTESIAN_POINT('Origin',(36.5,10.5,0.)); #503438=CARTESIAN_POINT('',(36.325,10.5,1.5)); #503439=CARTESIAN_POINT('Origin',(36.5,10.5,1.5)); #503440=CARTESIAN_POINT('',(36.325,10.5,0.)); #503441=CARTESIAN_POINT('',(36.325,10.5,0.)); #503442=CARTESIAN_POINT('Origin',(36.5,10.5,0.)); #503443=CARTESIAN_POINT('Origin',(36.5,10.5,1.5)); #503444=CARTESIAN_POINT('Origin',(36.5,10.5,0.)); #503445=CARTESIAN_POINT('Origin',(9.5,34.75,0.)); #503446=CARTESIAN_POINT('',(9.36,34.75,1.5)); #503447=CARTESIAN_POINT('Origin',(9.5,34.75,1.5)); #503448=CARTESIAN_POINT('',(9.36,34.75,0.)); #503449=CARTESIAN_POINT('',(9.36,34.75,0.)); #503450=CARTESIAN_POINT('Origin',(9.5,34.75,0.)); #503451=CARTESIAN_POINT('Origin',(9.5,34.75,0.)); #503452=CARTESIAN_POINT('',(9.325,34.75,1.5)); #503453=CARTESIAN_POINT('Origin',(9.5,34.75,1.5)); #503454=CARTESIAN_POINT('',(9.325,34.75,0.)); #503455=CARTESIAN_POINT('',(9.325,34.75,0.)); #503456=CARTESIAN_POINT('Origin',(9.5,34.75,0.)); #503457=CARTESIAN_POINT('Origin',(9.5,34.75,1.5)); #503458=CARTESIAN_POINT('Origin',(9.5,34.75,0.)); #503459=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #503460=CARTESIAN_POINT('',(30.36,10.5,1.5)); #503461=CARTESIAN_POINT('Origin',(30.5,10.5,1.5)); #503462=CARTESIAN_POINT('',(30.36,10.5,0.)); #503463=CARTESIAN_POINT('',(30.36,10.5,0.)); #503464=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #503465=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #503466=CARTESIAN_POINT('',(30.325,10.5,1.5)); #503467=CARTESIAN_POINT('Origin',(30.5,10.5,1.5)); #503468=CARTESIAN_POINT('',(30.325,10.5,0.)); #503469=CARTESIAN_POINT('',(30.325,10.5,0.)); #503470=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #503471=CARTESIAN_POINT('Origin',(30.5,10.5,1.5)); #503472=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #503473=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #503474=CARTESIAN_POINT('',(39.11,15.75,1.5)); #503475=CARTESIAN_POINT('Origin',(39.25,15.75,1.5)); #503476=CARTESIAN_POINT('',(39.11,15.75,0.)); #503477=CARTESIAN_POINT('',(39.11,15.75,0.)); #503478=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #503479=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #503480=CARTESIAN_POINT('',(39.075,15.75,1.5)); #503481=CARTESIAN_POINT('Origin',(39.25,15.75,1.5)); #503482=CARTESIAN_POINT('',(39.075,15.75,0.)); #503483=CARTESIAN_POINT('',(39.075,15.75,0.)); #503484=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #503485=CARTESIAN_POINT('Origin',(39.25,15.75,1.5)); #503486=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #503487=CARTESIAN_POINT('Origin',(42.5,14.25,0.)); #503488=CARTESIAN_POINT('',(42.36,14.25,1.5)); #503489=CARTESIAN_POINT('Origin',(42.5,14.25,1.5)); #503490=CARTESIAN_POINT('',(42.36,14.25,0.)); #503491=CARTESIAN_POINT('',(42.36,14.25,0.)); #503492=CARTESIAN_POINT('Origin',(42.5,14.25,0.)); #503493=CARTESIAN_POINT('Origin',(42.5,14.25,0.)); #503494=CARTESIAN_POINT('',(42.325,14.25,1.5)); #503495=CARTESIAN_POINT('Origin',(42.5,14.25,1.5)); #503496=CARTESIAN_POINT('',(42.325,14.25,0.)); #503497=CARTESIAN_POINT('',(42.325,14.25,0.)); #503498=CARTESIAN_POINT('Origin',(42.5,14.25,0.)); #503499=CARTESIAN_POINT('Origin',(42.5,14.25,1.5)); #503500=CARTESIAN_POINT('Origin',(42.5,14.25,0.)); #503501=CARTESIAN_POINT('Origin',(6.5,33.25,0.)); #503502=CARTESIAN_POINT('',(6.36,33.25,1.5)); #503503=CARTESIAN_POINT('Origin',(6.5,33.25,1.5)); #503504=CARTESIAN_POINT('',(6.36,33.25,0.)); #503505=CARTESIAN_POINT('',(6.36,33.25,0.)); #503506=CARTESIAN_POINT('Origin',(6.5,33.25,0.)); #503507=CARTESIAN_POINT('Origin',(6.5,33.25,0.)); #503508=CARTESIAN_POINT('',(6.325,33.25,1.5)); #503509=CARTESIAN_POINT('Origin',(6.5,33.25,1.5)); #503510=CARTESIAN_POINT('',(6.325,33.25,0.)); #503511=CARTESIAN_POINT('',(6.325,33.25,0.)); #503512=CARTESIAN_POINT('Origin',(6.5,33.25,0.)); #503513=CARTESIAN_POINT('Origin',(6.5,33.25,1.5)); #503514=CARTESIAN_POINT('Origin',(6.5,33.25,0.)); #503515=CARTESIAN_POINT('Origin',(34.,55.,0.)); #503516=CARTESIAN_POINT('',(33.86,55.,1.5)); #503517=CARTESIAN_POINT('Origin',(34.,55.,1.5)); #503518=CARTESIAN_POINT('',(33.86,55.,0.)); #503519=CARTESIAN_POINT('',(33.86,55.,0.)); #503520=CARTESIAN_POINT('Origin',(34.,55.,0.)); #503521=CARTESIAN_POINT('Origin',(34.,55.,0.)); #503522=CARTESIAN_POINT('',(33.825,55.,1.5)); #503523=CARTESIAN_POINT('Origin',(34.,55.,1.5)); #503524=CARTESIAN_POINT('',(33.825,55.,0.)); #503525=CARTESIAN_POINT('',(33.825,55.,0.)); #503526=CARTESIAN_POINT('Origin',(34.,55.,0.)); #503527=CARTESIAN_POINT('Origin',(34.,55.,1.5)); #503528=CARTESIAN_POINT('Origin',(34.,55.,0.)); #503529=CARTESIAN_POINT('Origin',(32.5,14.75,0.)); #503530=CARTESIAN_POINT('',(32.36,14.75,1.5)); #503531=CARTESIAN_POINT('Origin',(32.5,14.75,1.5)); #503532=CARTESIAN_POINT('',(32.36,14.75,0.)); #503533=CARTESIAN_POINT('',(32.36,14.75,0.)); #503534=CARTESIAN_POINT('Origin',(32.5,14.75,0.)); #503535=CARTESIAN_POINT('Origin',(32.5,14.75,0.)); #503536=CARTESIAN_POINT('',(32.325,14.75,1.5)); #503537=CARTESIAN_POINT('Origin',(32.5,14.75,1.5)); #503538=CARTESIAN_POINT('',(32.325,14.75,0.)); #503539=CARTESIAN_POINT('',(32.325,14.75,0.)); #503540=CARTESIAN_POINT('Origin',(32.5,14.75,0.)); #503541=CARTESIAN_POINT('Origin',(32.5,14.75,1.5)); #503542=CARTESIAN_POINT('Origin',(32.5,14.75,0.)); #503543=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #503544=CARTESIAN_POINT('',(38.622,60.25,1.5)); #503545=CARTESIAN_POINT('Origin',(38.762,60.25,1.5)); #503546=CARTESIAN_POINT('',(38.622,60.25,0.)); #503547=CARTESIAN_POINT('',(38.622,60.25,0.)); #503548=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #503549=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #503550=CARTESIAN_POINT('',(38.587,60.25,1.5)); #503551=CARTESIAN_POINT('Origin',(38.762,60.25,1.5)); #503552=CARTESIAN_POINT('',(38.587,60.25,0.)); #503553=CARTESIAN_POINT('',(38.587,60.25,0.)); #503554=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #503555=CARTESIAN_POINT('Origin',(38.762,60.25,1.5)); #503556=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #503557=CARTESIAN_POINT('Origin',(7.25,33.25,0.)); #503558=CARTESIAN_POINT('',(7.11,33.25,1.5)); #503559=CARTESIAN_POINT('Origin',(7.25,33.25,1.5)); #503560=CARTESIAN_POINT('',(7.11,33.25,0.)); #503561=CARTESIAN_POINT('',(7.11,33.25,0.)); #503562=CARTESIAN_POINT('Origin',(7.25,33.25,0.)); #503563=CARTESIAN_POINT('Origin',(7.25,33.25,0.)); #503564=CARTESIAN_POINT('',(7.075,33.25,1.5)); #503565=CARTESIAN_POINT('Origin',(7.25,33.25,1.5)); #503566=CARTESIAN_POINT('',(7.075,33.25,0.)); #503567=CARTESIAN_POINT('',(7.075,33.25,0.)); #503568=CARTESIAN_POINT('Origin',(7.25,33.25,0.)); #503569=CARTESIAN_POINT('Origin',(7.25,33.25,1.5)); #503570=CARTESIAN_POINT('Origin',(7.25,33.25,0.)); #503571=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #503572=CARTESIAN_POINT('',(34.7975,12.25,1.5)); #503573=CARTESIAN_POINT('Origin',(34.9375,12.25,1.5)); #503574=CARTESIAN_POINT('',(34.7975,12.25,0.)); #503575=CARTESIAN_POINT('',(34.7975,12.25,0.)); #503576=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #503577=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #503578=CARTESIAN_POINT('',(34.7625,12.25,1.5)); #503579=CARTESIAN_POINT('Origin',(34.9375,12.25,1.5)); #503580=CARTESIAN_POINT('',(34.7625,12.25,0.)); #503581=CARTESIAN_POINT('',(34.7625,12.25,0.)); #503582=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #503583=CARTESIAN_POINT('Origin',(34.9375,12.25,1.5)); #503584=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #503585=CARTESIAN_POINT('Origin',(33.25,17.,0.)); #503586=CARTESIAN_POINT('',(33.11,17.,1.5)); #503587=CARTESIAN_POINT('Origin',(33.25,17.,1.5)); #503588=CARTESIAN_POINT('',(33.11,17.,0.)); #503589=CARTESIAN_POINT('',(33.11,17.,0.)); #503590=CARTESIAN_POINT('Origin',(33.25,17.,0.)); #503591=CARTESIAN_POINT('Origin',(33.25,17.,0.)); #503592=CARTESIAN_POINT('',(33.075,17.,1.5)); #503593=CARTESIAN_POINT('Origin',(33.25,17.,1.5)); #503594=CARTESIAN_POINT('',(33.075,17.,0.)); #503595=CARTESIAN_POINT('',(33.075,17.,0.)); #503596=CARTESIAN_POINT('Origin',(33.25,17.,0.)); #503597=CARTESIAN_POINT('Origin',(33.25,17.,1.5)); #503598=CARTESIAN_POINT('Origin',(33.25,17.,0.)); #503599=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #503600=CARTESIAN_POINT('',(36.285,7.6,1.5)); #503601=CARTESIAN_POINT('Origin',(36.4,7.6,1.5)); #503602=CARTESIAN_POINT('',(36.285,7.6,0.)); #503603=CARTESIAN_POINT('',(36.285,7.6,0.)); #503604=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #503605=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #503606=CARTESIAN_POINT('',(36.25,7.6,1.5)); #503607=CARTESIAN_POINT('Origin',(36.4,7.6,1.5)); #503608=CARTESIAN_POINT('',(36.25,7.6,0.)); #503609=CARTESIAN_POINT('',(36.25,7.6,0.)); #503610=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #503611=CARTESIAN_POINT('Origin',(36.4,7.6,1.5)); #503612=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #503613=CARTESIAN_POINT('Origin',(6.5,34.,0.)); #503614=CARTESIAN_POINT('',(6.36,34.,1.5)); #503615=CARTESIAN_POINT('Origin',(6.5,34.,1.5)); #503616=CARTESIAN_POINT('',(6.36,34.,0.)); #503617=CARTESIAN_POINT('',(6.36,34.,0.)); #503618=CARTESIAN_POINT('Origin',(6.5,34.,0.)); #503619=CARTESIAN_POINT('Origin',(6.5,34.,0.)); #503620=CARTESIAN_POINT('',(6.325,34.,1.5)); #503621=CARTESIAN_POINT('Origin',(6.5,34.,1.5)); #503622=CARTESIAN_POINT('',(6.325,34.,0.)); #503623=CARTESIAN_POINT('',(6.325,34.,0.)); #503624=CARTESIAN_POINT('Origin',(6.5,34.,0.)); #503625=CARTESIAN_POINT('Origin',(6.5,34.,1.5)); #503626=CARTESIAN_POINT('Origin',(6.5,34.,0.)); #503627=CARTESIAN_POINT('Origin',(41.25,13.0029,0.)); #503628=CARTESIAN_POINT('',(41.11,13.0029,1.5)); #503629=CARTESIAN_POINT('Origin',(41.25,13.0029,1.5)); #503630=CARTESIAN_POINT('',(41.11,13.0029,0.)); #503631=CARTESIAN_POINT('',(41.11,13.0029,0.)); #503632=CARTESIAN_POINT('Origin',(41.25,13.0029,0.)); #503633=CARTESIAN_POINT('Origin',(41.25,13.0029,0.)); #503634=CARTESIAN_POINT('',(41.075,13.0029,1.5)); #503635=CARTESIAN_POINT('Origin',(41.25,13.0029,1.5)); #503636=CARTESIAN_POINT('',(41.075,13.0029,0.)); #503637=CARTESIAN_POINT('',(41.075,13.0029,0.)); #503638=CARTESIAN_POINT('Origin',(41.25,13.0029,0.)); #503639=CARTESIAN_POINT('Origin',(41.25,13.0029,1.5)); #503640=CARTESIAN_POINT('Origin',(41.25,13.0029,0.)); #503641=CARTESIAN_POINT('Origin',(31.75,14.75,0.)); #503642=CARTESIAN_POINT('',(31.61,14.75,1.5)); #503643=CARTESIAN_POINT('Origin',(31.75,14.75,1.5)); #503644=CARTESIAN_POINT('',(31.61,14.75,0.)); #503645=CARTESIAN_POINT('',(31.61,14.75,0.)); #503646=CARTESIAN_POINT('Origin',(31.75,14.75,0.)); #503647=CARTESIAN_POINT('Origin',(31.75,14.75,0.)); #503648=CARTESIAN_POINT('',(31.575,14.75,1.5)); #503649=CARTESIAN_POINT('Origin',(31.75,14.75,1.5)); #503650=CARTESIAN_POINT('',(31.575,14.75,0.)); #503651=CARTESIAN_POINT('',(31.575,14.75,0.)); #503652=CARTESIAN_POINT('Origin',(31.75,14.75,0.)); #503653=CARTESIAN_POINT('Origin',(31.75,14.75,1.5)); #503654=CARTESIAN_POINT('Origin',(31.75,14.75,0.)); #503655=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #503656=CARTESIAN_POINT('',(36.285,7.1,1.5)); #503657=CARTESIAN_POINT('Origin',(36.4,7.1,1.5)); #503658=CARTESIAN_POINT('',(36.285,7.1,0.)); #503659=CARTESIAN_POINT('',(36.285,7.1,0.)); #503660=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #503661=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #503662=CARTESIAN_POINT('',(36.25,7.1,1.5)); #503663=CARTESIAN_POINT('Origin',(36.4,7.1,1.5)); #503664=CARTESIAN_POINT('',(36.25,7.1,0.)); #503665=CARTESIAN_POINT('',(36.25,7.1,0.)); #503666=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #503667=CARTESIAN_POINT('Origin',(36.4,7.1,1.5)); #503668=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #503669=CARTESIAN_POINT('Origin',(6.5,34.75,0.)); #503670=CARTESIAN_POINT('',(6.36,34.75,1.5)); #503671=CARTESIAN_POINT('Origin',(6.5,34.75,1.5)); #503672=CARTESIAN_POINT('',(6.36,34.75,0.)); #503673=CARTESIAN_POINT('',(6.36,34.75,0.)); #503674=CARTESIAN_POINT('Origin',(6.5,34.75,0.)); #503675=CARTESIAN_POINT('Origin',(6.5,34.75,0.)); #503676=CARTESIAN_POINT('',(6.325,34.75,1.5)); #503677=CARTESIAN_POINT('Origin',(6.5,34.75,1.5)); #503678=CARTESIAN_POINT('',(6.325,34.75,0.)); #503679=CARTESIAN_POINT('',(6.325,34.75,0.)); #503680=CARTESIAN_POINT('Origin',(6.5,34.75,0.)); #503681=CARTESIAN_POINT('Origin',(6.5,34.75,1.5)); #503682=CARTESIAN_POINT('Origin',(6.5,34.75,0.)); #503683=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #503684=CARTESIAN_POINT('',(31.485,7.6,1.5)); #503685=CARTESIAN_POINT('Origin',(31.6,7.6,1.5)); #503686=CARTESIAN_POINT('',(31.485,7.6,0.)); #503687=CARTESIAN_POINT('',(31.485,7.6,0.)); #503688=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #503689=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #503690=CARTESIAN_POINT('',(31.45,7.6,1.5)); #503691=CARTESIAN_POINT('Origin',(31.6,7.6,1.5)); #503692=CARTESIAN_POINT('',(31.45,7.6,0.)); #503693=CARTESIAN_POINT('',(31.45,7.6,0.)); #503694=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #503695=CARTESIAN_POINT('Origin',(31.6,7.6,1.5)); #503696=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #503697=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #503698=CARTESIAN_POINT('',(21.235,34.,1.5)); #503699=CARTESIAN_POINT('Origin',(21.75,34.,1.5)); #503700=CARTESIAN_POINT('',(21.235,34.,0.)); #503701=CARTESIAN_POINT('',(21.235,34.,0.)); #503702=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #503703=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #503704=CARTESIAN_POINT('',(21.2,34.,1.5)); #503705=CARTESIAN_POINT('Origin',(21.75,34.,1.5)); #503706=CARTESIAN_POINT('',(21.2,34.,0.)); #503707=CARTESIAN_POINT('',(21.2,34.,0.)); #503708=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #503709=CARTESIAN_POINT('Origin',(21.75,34.,1.5)); #503710=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #503711=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #503712=CARTESIAN_POINT('',(22.735,47.75,1.5)); #503713=CARTESIAN_POINT('Origin',(22.875,47.75,1.5)); #503714=CARTESIAN_POINT('',(22.735,47.75,0.)); #503715=CARTESIAN_POINT('',(22.735,47.75,0.)); #503716=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #503717=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #503718=CARTESIAN_POINT('',(22.7,47.75,1.5)); #503719=CARTESIAN_POINT('Origin',(22.875,47.75,1.5)); #503720=CARTESIAN_POINT('',(22.7,47.75,0.)); #503721=CARTESIAN_POINT('',(22.7,47.75,0.)); #503722=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #503723=CARTESIAN_POINT('Origin',(22.875,47.75,1.5)); #503724=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #503725=CARTESIAN_POINT('Origin',(62.,34.,0.)); #503726=CARTESIAN_POINT('',(61.86,34.,1.5)); #503727=CARTESIAN_POINT('Origin',(62.,34.,1.5)); #503728=CARTESIAN_POINT('',(61.86,34.,0.)); #503729=CARTESIAN_POINT('',(61.86,34.,0.)); #503730=CARTESIAN_POINT('Origin',(62.,34.,0.)); #503731=CARTESIAN_POINT('Origin',(62.,34.,0.)); #503732=CARTESIAN_POINT('',(61.825,34.,1.5)); #503733=CARTESIAN_POINT('Origin',(62.,34.,1.5)); #503734=CARTESIAN_POINT('',(61.825,34.,0.)); #503735=CARTESIAN_POINT('',(61.825,34.,0.)); #503736=CARTESIAN_POINT('Origin',(62.,34.,0.)); #503737=CARTESIAN_POINT('Origin',(62.,34.,1.5)); #503738=CARTESIAN_POINT('Origin',(62.,34.,0.)); #503739=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #503740=CARTESIAN_POINT('',(22.735,47.,1.5)); #503741=CARTESIAN_POINT('Origin',(22.875,47.,1.5)); #503742=CARTESIAN_POINT('',(22.735,47.,0.)); #503743=CARTESIAN_POINT('',(22.735,47.,0.)); #503744=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #503745=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #503746=CARTESIAN_POINT('',(22.7,47.,1.5)); #503747=CARTESIAN_POINT('Origin',(22.875,47.,1.5)); #503748=CARTESIAN_POINT('',(22.7,47.,0.)); #503749=CARTESIAN_POINT('',(22.7,47.,0.)); #503750=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #503751=CARTESIAN_POINT('Origin',(22.875,47.,1.5)); #503752=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #503753=CARTESIAN_POINT('Origin',(36.25,16.25,0.)); #503754=CARTESIAN_POINT('',(36.11,16.25,1.5)); #503755=CARTESIAN_POINT('Origin',(36.25,16.25,1.5)); #503756=CARTESIAN_POINT('',(36.11,16.25,0.)); #503757=CARTESIAN_POINT('',(36.11,16.25,0.)); #503758=CARTESIAN_POINT('Origin',(36.25,16.25,0.)); #503759=CARTESIAN_POINT('Origin',(36.25,16.25,0.)); #503760=CARTESIAN_POINT('',(36.075,16.25,1.5)); #503761=CARTESIAN_POINT('Origin',(36.25,16.25,1.5)); #503762=CARTESIAN_POINT('',(36.075,16.25,0.)); #503763=CARTESIAN_POINT('',(36.075,16.25,0.)); #503764=CARTESIAN_POINT('Origin',(36.25,16.25,0.)); #503765=CARTESIAN_POINT('Origin',(36.25,16.25,1.5)); #503766=CARTESIAN_POINT('Origin',(36.25,16.25,0.)); #503767=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #503768=CARTESIAN_POINT('',(20.985,46.25,1.5)); #503769=CARTESIAN_POINT('Origin',(21.125,46.25,1.5)); #503770=CARTESIAN_POINT('',(20.985,46.25,0.)); #503771=CARTESIAN_POINT('',(20.985,46.25,0.)); #503772=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #503773=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #503774=CARTESIAN_POINT('',(20.95,46.25,1.5)); #503775=CARTESIAN_POINT('Origin',(21.125,46.25,1.5)); #503776=CARTESIAN_POINT('',(20.95,46.25,0.)); #503777=CARTESIAN_POINT('',(20.95,46.25,0.)); #503778=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #503779=CARTESIAN_POINT('Origin',(21.125,46.25,1.5)); #503780=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #503781=CARTESIAN_POINT('Origin',(62.,34.75,0.)); #503782=CARTESIAN_POINT('',(61.86,34.75,1.5)); #503783=CARTESIAN_POINT('Origin',(62.,34.75,1.5)); #503784=CARTESIAN_POINT('',(61.86,34.75,0.)); #503785=CARTESIAN_POINT('',(61.86,34.75,0.)); #503786=CARTESIAN_POINT('Origin',(62.,34.75,0.)); #503787=CARTESIAN_POINT('Origin',(62.,34.75,0.)); #503788=CARTESIAN_POINT('',(61.825,34.75,1.5)); #503789=CARTESIAN_POINT('Origin',(62.,34.75,1.5)); #503790=CARTESIAN_POINT('',(61.825,34.75,0.)); #503791=CARTESIAN_POINT('',(61.825,34.75,0.)); #503792=CARTESIAN_POINT('Origin',(62.,34.75,0.)); #503793=CARTESIAN_POINT('Origin',(62.,34.75,1.5)); #503794=CARTESIAN_POINT('Origin',(62.,34.75,0.)); #503795=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #503796=CARTESIAN_POINT('',(22.735,46.25,1.5)); #503797=CARTESIAN_POINT('Origin',(22.875,46.25,1.5)); #503798=CARTESIAN_POINT('',(22.735,46.25,0.)); #503799=CARTESIAN_POINT('',(22.735,46.25,0.)); #503800=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #503801=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #503802=CARTESIAN_POINT('',(22.7,46.25,1.5)); #503803=CARTESIAN_POINT('Origin',(22.875,46.25,1.5)); #503804=CARTESIAN_POINT('',(22.7,46.25,0.)); #503805=CARTESIAN_POINT('',(22.7,46.25,0.)); #503806=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #503807=CARTESIAN_POINT('Origin',(22.875,46.25,1.5)); #503808=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #503809=CARTESIAN_POINT('Origin',(34.,17.,0.)); #503810=CARTESIAN_POINT('',(33.86,17.,1.5)); #503811=CARTESIAN_POINT('Origin',(34.,17.,1.5)); #503812=CARTESIAN_POINT('',(33.86,17.,0.)); #503813=CARTESIAN_POINT('',(33.86,17.,0.)); #503814=CARTESIAN_POINT('Origin',(34.,17.,0.)); #503815=CARTESIAN_POINT('Origin',(34.,17.,0.)); #503816=CARTESIAN_POINT('',(33.825,17.,1.5)); #503817=CARTESIAN_POINT('Origin',(34.,17.,1.5)); #503818=CARTESIAN_POINT('',(33.825,17.,0.)); #503819=CARTESIAN_POINT('',(33.825,17.,0.)); #503820=CARTESIAN_POINT('Origin',(34.,17.,0.)); #503821=CARTESIAN_POINT('Origin',(34.,17.,1.5)); #503822=CARTESIAN_POINT('Origin',(34.,17.,0.)); #503823=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #503824=CARTESIAN_POINT('',(21.86,47.75,1.5)); #503825=CARTESIAN_POINT('Origin',(22.,47.75,1.5)); #503826=CARTESIAN_POINT('',(21.86,47.75,0.)); #503827=CARTESIAN_POINT('',(21.86,47.75,0.)); #503828=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #503829=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #503830=CARTESIAN_POINT('',(21.825,47.75,1.5)); #503831=CARTESIAN_POINT('Origin',(22.,47.75,1.5)); #503832=CARTESIAN_POINT('',(21.825,47.75,0.)); #503833=CARTESIAN_POINT('',(21.825,47.75,0.)); #503834=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #503835=CARTESIAN_POINT('Origin',(22.,47.75,1.5)); #503836=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #503837=CARTESIAN_POINT('Origin',(61.25,34.,0.)); #503838=CARTESIAN_POINT('',(61.11,34.,1.5)); #503839=CARTESIAN_POINT('Origin',(61.25,34.,1.5)); #503840=CARTESIAN_POINT('',(61.11,34.,0.)); #503841=CARTESIAN_POINT('',(61.11,34.,0.)); #503842=CARTESIAN_POINT('Origin',(61.25,34.,0.)); #503843=CARTESIAN_POINT('Origin',(61.25,34.,0.)); #503844=CARTESIAN_POINT('',(61.075,34.,1.5)); #503845=CARTESIAN_POINT('Origin',(61.25,34.,1.5)); #503846=CARTESIAN_POINT('',(61.075,34.,0.)); #503847=CARTESIAN_POINT('',(61.075,34.,0.)); #503848=CARTESIAN_POINT('Origin',(61.25,34.,0.)); #503849=CARTESIAN_POINT('Origin',(61.25,34.,1.5)); #503850=CARTESIAN_POINT('Origin',(61.25,34.,0.)); #503851=CARTESIAN_POINT('Origin',(22.,47.,0.)); #503852=CARTESIAN_POINT('',(21.86,47.,1.5)); #503853=CARTESIAN_POINT('Origin',(22.,47.,1.5)); #503854=CARTESIAN_POINT('',(21.86,47.,0.)); #503855=CARTESIAN_POINT('',(21.86,47.,0.)); #503856=CARTESIAN_POINT('Origin',(22.,47.,0.)); #503857=CARTESIAN_POINT('Origin',(22.,47.,0.)); #503858=CARTESIAN_POINT('',(21.825,47.,1.5)); #503859=CARTESIAN_POINT('Origin',(22.,47.,1.5)); #503860=CARTESIAN_POINT('',(21.825,47.,0.)); #503861=CARTESIAN_POINT('',(21.825,47.,0.)); #503862=CARTESIAN_POINT('Origin',(22.,47.,0.)); #503863=CARTESIAN_POINT('Origin',(22.,47.,1.5)); #503864=CARTESIAN_POINT('Origin',(22.,47.,0.)); #503865=CARTESIAN_POINT('Origin',(36.25,17.,0.)); #503866=CARTESIAN_POINT('',(36.11,17.,1.5)); #503867=CARTESIAN_POINT('Origin',(36.25,17.,1.5)); #503868=CARTESIAN_POINT('',(36.11,17.,0.)); #503869=CARTESIAN_POINT('',(36.11,17.,0.)); #503870=CARTESIAN_POINT('Origin',(36.25,17.,0.)); #503871=CARTESIAN_POINT('Origin',(36.25,17.,0.)); #503872=CARTESIAN_POINT('',(36.075,17.,1.5)); #503873=CARTESIAN_POINT('Origin',(36.25,17.,1.5)); #503874=CARTESIAN_POINT('',(36.075,17.,0.)); #503875=CARTESIAN_POINT('',(36.075,17.,0.)); #503876=CARTESIAN_POINT('Origin',(36.25,17.,0.)); #503877=CARTESIAN_POINT('Origin',(36.25,17.,1.5)); #503878=CARTESIAN_POINT('Origin',(36.25,17.,0.)); #503879=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #503880=CARTESIAN_POINT('',(20.985,47.75,1.5)); #503881=CARTESIAN_POINT('Origin',(21.125,47.75,1.5)); #503882=CARTESIAN_POINT('',(20.985,47.75,0.)); #503883=CARTESIAN_POINT('',(20.985,47.75,0.)); #503884=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #503885=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #503886=CARTESIAN_POINT('',(20.95,47.75,1.5)); #503887=CARTESIAN_POINT('Origin',(21.125,47.75,1.5)); #503888=CARTESIAN_POINT('',(20.95,47.75,0.)); #503889=CARTESIAN_POINT('',(20.95,47.75,0.)); #503890=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #503891=CARTESIAN_POINT('Origin',(21.125,47.75,1.5)); #503892=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #503893=CARTESIAN_POINT('Origin',(61.25,34.75,0.)); #503894=CARTESIAN_POINT('',(61.11,34.75,1.5)); #503895=CARTESIAN_POINT('Origin',(61.25,34.75,1.5)); #503896=CARTESIAN_POINT('',(61.11,34.75,0.)); #503897=CARTESIAN_POINT('',(61.11,34.75,0.)); #503898=CARTESIAN_POINT('Origin',(61.25,34.75,0.)); #503899=CARTESIAN_POINT('Origin',(61.25,34.75,0.)); #503900=CARTESIAN_POINT('',(61.075,34.75,1.5)); #503901=CARTESIAN_POINT('Origin',(61.25,34.75,1.5)); #503902=CARTESIAN_POINT('',(61.075,34.75,0.)); #503903=CARTESIAN_POINT('',(61.075,34.75,0.)); #503904=CARTESIAN_POINT('Origin',(61.25,34.75,0.)); #503905=CARTESIAN_POINT('Origin',(61.25,34.75,1.5)); #503906=CARTESIAN_POINT('Origin',(61.25,34.75,0.)); #503907=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #503908=CARTESIAN_POINT('',(20.985,47.,1.5)); #503909=CARTESIAN_POINT('Origin',(21.125,47.,1.5)); #503910=CARTESIAN_POINT('',(20.985,47.,0.)); #503911=CARTESIAN_POINT('',(20.985,47.,0.)); #503912=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #503913=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #503914=CARTESIAN_POINT('',(20.95,47.,1.5)); #503915=CARTESIAN_POINT('Origin',(21.125,47.,1.5)); #503916=CARTESIAN_POINT('',(20.95,47.,0.)); #503917=CARTESIAN_POINT('',(20.95,47.,0.)); #503918=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #503919=CARTESIAN_POINT('Origin',(21.125,47.,1.5)); #503920=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #503921=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #503922=CARTESIAN_POINT('',(31.035,27.75,1.5)); #503923=CARTESIAN_POINT('Origin',(31.5,27.75,1.5)); #503924=CARTESIAN_POINT('',(31.035,27.75,0.)); #503925=CARTESIAN_POINT('',(31.035,27.75,0.)); #503926=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #503927=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #503928=CARTESIAN_POINT('',(31.,27.75,1.5)); #503929=CARTESIAN_POINT('Origin',(31.5,27.75,1.5)); #503930=CARTESIAN_POINT('',(31.,27.75,0.)); #503931=CARTESIAN_POINT('',(31.,27.75,0.)); #503932=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #503933=CARTESIAN_POINT('Origin',(31.5,27.75,1.5)); #503934=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #503935=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #503936=CARTESIAN_POINT('',(23.61,47.75,1.5)); #503937=CARTESIAN_POINT('Origin',(23.75,47.75,1.5)); #503938=CARTESIAN_POINT('',(23.61,47.75,0.)); #503939=CARTESIAN_POINT('',(23.61,47.75,0.)); #503940=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #503941=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #503942=CARTESIAN_POINT('',(23.575,47.75,1.5)); #503943=CARTESIAN_POINT('Origin',(23.75,47.75,1.5)); #503944=CARTESIAN_POINT('',(23.575,47.75,0.)); #503945=CARTESIAN_POINT('',(23.575,47.75,0.)); #503946=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #503947=CARTESIAN_POINT('Origin',(23.75,47.75,1.5)); #503948=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #503949=CARTESIAN_POINT('Origin',(58.5,34.75,0.)); #503950=CARTESIAN_POINT('',(58.36,34.75,1.5)); #503951=CARTESIAN_POINT('Origin',(58.5,34.75,1.5)); #503952=CARTESIAN_POINT('',(58.36,34.75,0.)); #503953=CARTESIAN_POINT('',(58.36,34.75,0.)); #503954=CARTESIAN_POINT('Origin',(58.5,34.75,0.)); #503955=CARTESIAN_POINT('Origin',(58.5,34.75,0.)); #503956=CARTESIAN_POINT('',(58.325,34.75,1.5)); #503957=CARTESIAN_POINT('Origin',(58.5,34.75,1.5)); #503958=CARTESIAN_POINT('',(58.325,34.75,0.)); #503959=CARTESIAN_POINT('',(58.325,34.75,0.)); #503960=CARTESIAN_POINT('Origin',(58.5,34.75,0.)); #503961=CARTESIAN_POINT('Origin',(58.5,34.75,1.5)); #503962=CARTESIAN_POINT('Origin',(58.5,34.75,0.)); #503963=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #503964=CARTESIAN_POINT('',(20.11,47.75,1.5)); #503965=CARTESIAN_POINT('Origin',(20.25,47.75,1.5)); #503966=CARTESIAN_POINT('',(20.11,47.75,0.)); #503967=CARTESIAN_POINT('',(20.11,47.75,0.)); #503968=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #503969=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #503970=CARTESIAN_POINT('',(20.075,47.75,1.5)); #503971=CARTESIAN_POINT('Origin',(20.25,47.75,1.5)); #503972=CARTESIAN_POINT('',(20.075,47.75,0.)); #503973=CARTESIAN_POINT('',(20.075,47.75,0.)); #503974=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #503975=CARTESIAN_POINT('Origin',(20.25,47.75,1.5)); #503976=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #503977=CARTESIAN_POINT('Origin',(36.25,18.5,0.)); #503978=CARTESIAN_POINT('',(36.11,18.5,1.5)); #503979=CARTESIAN_POINT('Origin',(36.25,18.5,1.5)); #503980=CARTESIAN_POINT('',(36.11,18.5,0.)); #503981=CARTESIAN_POINT('',(36.11,18.5,0.)); #503982=CARTESIAN_POINT('Origin',(36.25,18.5,0.)); #503983=CARTESIAN_POINT('Origin',(36.25,18.5,0.)); #503984=CARTESIAN_POINT('',(36.075,18.5,1.5)); #503985=CARTESIAN_POINT('Origin',(36.25,18.5,1.5)); #503986=CARTESIAN_POINT('',(36.075,18.5,0.)); #503987=CARTESIAN_POINT('',(36.075,18.5,0.)); #503988=CARTESIAN_POINT('Origin',(36.25,18.5,0.)); #503989=CARTESIAN_POINT('Origin',(36.25,18.5,1.5)); #503990=CARTESIAN_POINT('Origin',(36.25,18.5,0.)); #503991=CARTESIAN_POINT('Origin',(18.5,47.75,0.)); #503992=CARTESIAN_POINT('',(18.36,47.75,1.5)); #503993=CARTESIAN_POINT('Origin',(18.5,47.75,1.5)); #503994=CARTESIAN_POINT('',(18.36,47.75,0.)); #503995=CARTESIAN_POINT('',(18.36,47.75,0.)); #503996=CARTESIAN_POINT('Origin',(18.5,47.75,0.)); #503997=CARTESIAN_POINT('Origin',(18.5,47.75,0.)); #503998=CARTESIAN_POINT('',(18.325,47.75,1.5)); #503999=CARTESIAN_POINT('Origin',(18.5,47.75,1.5)); #504000=CARTESIAN_POINT('',(18.325,47.75,0.)); #504001=CARTESIAN_POINT('',(18.325,47.75,0.)); #504002=CARTESIAN_POINT('Origin',(18.5,47.75,0.)); #504003=CARTESIAN_POINT('Origin',(18.5,47.75,1.5)); #504004=CARTESIAN_POINT('Origin',(18.5,47.75,0.)); #504005=CARTESIAN_POINT('Origin',(58.5,34.,0.)); #504006=CARTESIAN_POINT('',(58.36,34.,1.5)); #504007=CARTESIAN_POINT('Origin',(58.5,34.,1.5)); #504008=CARTESIAN_POINT('',(58.36,34.,0.)); #504009=CARTESIAN_POINT('',(58.36,34.,0.)); #504010=CARTESIAN_POINT('Origin',(58.5,34.,0.)); #504011=CARTESIAN_POINT('Origin',(58.5,34.,0.)); #504012=CARTESIAN_POINT('',(58.325,34.,1.5)); #504013=CARTESIAN_POINT('Origin',(58.5,34.,1.5)); #504014=CARTESIAN_POINT('',(58.325,34.,0.)); #504015=CARTESIAN_POINT('',(58.325,34.,0.)); #504016=CARTESIAN_POINT('Origin',(58.5,34.,0.)); #504017=CARTESIAN_POINT('Origin',(58.5,34.,1.5)); #504018=CARTESIAN_POINT('Origin',(58.5,34.,0.)); #504019=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #504020=CARTESIAN_POINT('',(20.11,46.25,1.5)); #504021=CARTESIAN_POINT('Origin',(20.25,46.25,1.5)); #504022=CARTESIAN_POINT('',(20.11,46.25,0.)); #504023=CARTESIAN_POINT('',(20.11,46.25,0.)); #504024=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #504025=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #504026=CARTESIAN_POINT('',(20.075,46.25,1.5)); #504027=CARTESIAN_POINT('Origin',(20.25,46.25,1.5)); #504028=CARTESIAN_POINT('',(20.075,46.25,0.)); #504029=CARTESIAN_POINT('',(20.075,46.25,0.)); #504030=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #504031=CARTESIAN_POINT('Origin',(20.25,46.25,1.5)); #504032=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #504033=CARTESIAN_POINT('Origin',(37.25,8.75,0.)); #504034=CARTESIAN_POINT('',(37.11,8.75,1.5)); #504035=CARTESIAN_POINT('Origin',(37.25,8.75,1.5)); #504036=CARTESIAN_POINT('',(37.11,8.75,0.)); #504037=CARTESIAN_POINT('',(37.11,8.75,0.)); #504038=CARTESIAN_POINT('Origin',(37.25,8.75,0.)); #504039=CARTESIAN_POINT('Origin',(37.25,8.75,0.)); #504040=CARTESIAN_POINT('',(37.075,8.75,1.5)); #504041=CARTESIAN_POINT('Origin',(37.25,8.75,1.5)); #504042=CARTESIAN_POINT('',(37.075,8.75,0.)); #504043=CARTESIAN_POINT('',(37.075,8.75,0.)); #504044=CARTESIAN_POINT('Origin',(37.25,8.75,0.)); #504045=CARTESIAN_POINT('Origin',(37.25,8.75,1.5)); #504046=CARTESIAN_POINT('Origin',(37.25,8.75,0.)); #504047=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #504048=CARTESIAN_POINT('',(21.86,46.25,1.5)); #504049=CARTESIAN_POINT('Origin',(22.,46.25,1.5)); #504050=CARTESIAN_POINT('',(21.86,46.25,0.)); #504051=CARTESIAN_POINT('',(21.86,46.25,0.)); #504052=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #504053=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #504054=CARTESIAN_POINT('',(21.825,46.25,1.5)); #504055=CARTESIAN_POINT('Origin',(22.,46.25,1.5)); #504056=CARTESIAN_POINT('',(21.825,46.25,0.)); #504057=CARTESIAN_POINT('',(21.825,46.25,0.)); #504058=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #504059=CARTESIAN_POINT('Origin',(22.,46.25,1.5)); #504060=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #504061=CARTESIAN_POINT('Origin',(58.5,33.25,0.)); #504062=CARTESIAN_POINT('',(58.36,33.25,1.5)); #504063=CARTESIAN_POINT('Origin',(58.5,33.25,1.5)); #504064=CARTESIAN_POINT('',(58.36,33.25,0.)); #504065=CARTESIAN_POINT('',(58.36,33.25,0.)); #504066=CARTESIAN_POINT('Origin',(58.5,33.25,0.)); #504067=CARTESIAN_POINT('Origin',(58.5,33.25,0.)); #504068=CARTESIAN_POINT('',(58.325,33.25,1.5)); #504069=CARTESIAN_POINT('Origin',(58.5,33.25,1.5)); #504070=CARTESIAN_POINT('',(58.325,33.25,0.)); #504071=CARTESIAN_POINT('',(58.325,33.25,0.)); #504072=CARTESIAN_POINT('Origin',(58.5,33.25,0.)); #504073=CARTESIAN_POINT('Origin',(58.5,33.25,1.5)); #504074=CARTESIAN_POINT('Origin',(58.5,33.25,0.)); #504075=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #504076=CARTESIAN_POINT('',(23.61,46.25,1.5)); #504077=CARTESIAN_POINT('Origin',(23.75,46.25,1.5)); #504078=CARTESIAN_POINT('',(23.61,46.25,0.)); #504079=CARTESIAN_POINT('',(23.61,46.25,0.)); #504080=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #504081=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #504082=CARTESIAN_POINT('',(23.575,46.25,1.5)); #504083=CARTESIAN_POINT('Origin',(23.75,46.25,1.5)); #504084=CARTESIAN_POINT('',(23.575,46.25,0.)); #504085=CARTESIAN_POINT('',(23.575,46.25,0.)); #504086=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #504087=CARTESIAN_POINT('Origin',(23.75,46.25,1.5)); #504088=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #504089=CARTESIAN_POINT('Origin',(36.25,17.75,0.)); #504090=CARTESIAN_POINT('',(36.11,17.75,1.5)); #504091=CARTESIAN_POINT('Origin',(36.25,17.75,1.5)); #504092=CARTESIAN_POINT('',(36.11,17.75,0.)); #504093=CARTESIAN_POINT('',(36.11,17.75,0.)); #504094=CARTESIAN_POINT('Origin',(36.25,17.75,0.)); #504095=CARTESIAN_POINT('Origin',(36.25,17.75,0.)); #504096=CARTESIAN_POINT('',(36.075,17.75,1.5)); #504097=CARTESIAN_POINT('Origin',(36.25,17.75,1.5)); #504098=CARTESIAN_POINT('',(36.075,17.75,0.)); #504099=CARTESIAN_POINT('',(36.075,17.75,0.)); #504100=CARTESIAN_POINT('Origin',(36.25,17.75,0.)); #504101=CARTESIAN_POINT('Origin',(36.25,17.75,1.5)); #504102=CARTESIAN_POINT('Origin',(36.25,17.75,0.)); #504103=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #504104=CARTESIAN_POINT('',(20.11,47.,1.5)); #504105=CARTESIAN_POINT('Origin',(20.25,47.,1.5)); #504106=CARTESIAN_POINT('',(20.11,47.,0.)); #504107=CARTESIAN_POINT('',(20.11,47.,0.)); #504108=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #504109=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #504110=CARTESIAN_POINT('',(20.075,47.,1.5)); #504111=CARTESIAN_POINT('Origin',(20.25,47.,1.5)); #504112=CARTESIAN_POINT('',(20.075,47.,0.)); #504113=CARTESIAN_POINT('',(20.075,47.,0.)); #504114=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #504115=CARTESIAN_POINT('Origin',(20.25,47.,1.5)); #504116=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #504117=CARTESIAN_POINT('Origin',(61.25,33.25,0.)); #504118=CARTESIAN_POINT('',(61.11,33.25,1.5)); #504119=CARTESIAN_POINT('Origin',(61.25,33.25,1.5)); #504120=CARTESIAN_POINT('',(61.11,33.25,0.)); #504121=CARTESIAN_POINT('',(61.11,33.25,0.)); #504122=CARTESIAN_POINT('Origin',(61.25,33.25,0.)); #504123=CARTESIAN_POINT('Origin',(61.25,33.25,0.)); #504124=CARTESIAN_POINT('',(61.075,33.25,1.5)); #504125=CARTESIAN_POINT('Origin',(61.25,33.25,1.5)); #504126=CARTESIAN_POINT('',(61.075,33.25,0.)); #504127=CARTESIAN_POINT('',(61.075,33.25,0.)); #504128=CARTESIAN_POINT('Origin',(61.25,33.25,0.)); #504129=CARTESIAN_POINT('Origin',(61.25,33.25,1.5)); #504130=CARTESIAN_POINT('Origin',(61.25,33.25,0.)); #504131=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #504132=CARTESIAN_POINT('',(23.61,47.,1.5)); #504133=CARTESIAN_POINT('Origin',(23.75,47.,1.5)); #504134=CARTESIAN_POINT('',(23.61,47.,0.)); #504135=CARTESIAN_POINT('',(23.61,47.,0.)); #504136=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #504137=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #504138=CARTESIAN_POINT('',(23.575,47.,1.5)); #504139=CARTESIAN_POINT('Origin',(23.75,47.,1.5)); #504140=CARTESIAN_POINT('',(23.575,47.,0.)); #504141=CARTESIAN_POINT('',(23.575,47.,0.)); #504142=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #504143=CARTESIAN_POINT('Origin',(23.75,47.,1.5)); #504144=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #504145=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #504146=CARTESIAN_POINT('',(51.785,34.,1.5)); #504147=CARTESIAN_POINT('Origin',(52.25,34.,1.5)); #504148=CARTESIAN_POINT('',(51.785,34.,0.)); #504149=CARTESIAN_POINT('',(51.785,34.,0.)); #504150=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #504151=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #504152=CARTESIAN_POINT('',(51.75,34.,1.5)); #504153=CARTESIAN_POINT('Origin',(52.25,34.,1.5)); #504154=CARTESIAN_POINT('',(51.75,34.,0.)); #504155=CARTESIAN_POINT('',(51.75,34.,0.)); #504156=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #504157=CARTESIAN_POINT('Origin',(52.25,34.,1.5)); #504158=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #504159=CARTESIAN_POINT('Origin',(15.75,47.,0.)); #504160=CARTESIAN_POINT('',(15.61,47.,1.5)); #504161=CARTESIAN_POINT('Origin',(15.75,47.,1.5)); #504162=CARTESIAN_POINT('',(15.61,47.,0.)); #504163=CARTESIAN_POINT('',(15.61,47.,0.)); #504164=CARTESIAN_POINT('Origin',(15.75,47.,0.)); #504165=CARTESIAN_POINT('Origin',(15.75,47.,0.)); #504166=CARTESIAN_POINT('',(15.575,47.,1.5)); #504167=CARTESIAN_POINT('Origin',(15.75,47.,1.5)); #504168=CARTESIAN_POINT('',(15.575,47.,0.)); #504169=CARTESIAN_POINT('',(15.575,47.,0.)); #504170=CARTESIAN_POINT('Origin',(15.75,47.,0.)); #504171=CARTESIAN_POINT('Origin',(15.75,47.,1.5)); #504172=CARTESIAN_POINT('Origin',(15.75,47.,0.)); #504173=CARTESIAN_POINT('Origin',(57.75,34.75,0.)); #504174=CARTESIAN_POINT('',(57.61,34.75,1.5)); #504175=CARTESIAN_POINT('Origin',(57.75,34.75,1.5)); #504176=CARTESIAN_POINT('',(57.61,34.75,0.)); #504177=CARTESIAN_POINT('',(57.61,34.75,0.)); #504178=CARTESIAN_POINT('Origin',(57.75,34.75,0.)); #504179=CARTESIAN_POINT('Origin',(57.75,34.75,0.)); #504180=CARTESIAN_POINT('',(57.575,34.75,1.5)); #504181=CARTESIAN_POINT('Origin',(57.75,34.75,1.5)); #504182=CARTESIAN_POINT('',(57.575,34.75,0.)); #504183=CARTESIAN_POINT('',(57.575,34.75,0.)); #504184=CARTESIAN_POINT('Origin',(57.75,34.75,0.)); #504185=CARTESIAN_POINT('Origin',(57.75,34.75,1.5)); #504186=CARTESIAN_POINT('Origin',(57.75,34.75,0.)); #504187=CARTESIAN_POINT('Origin',(15.75,46.25,0.)); #504188=CARTESIAN_POINT('',(15.61,46.25,1.5)); #504189=CARTESIAN_POINT('Origin',(15.75,46.25,1.5)); #504190=CARTESIAN_POINT('',(15.61,46.25,0.)); #504191=CARTESIAN_POINT('',(15.61,46.25,0.)); #504192=CARTESIAN_POINT('Origin',(15.75,46.25,0.)); #504193=CARTESIAN_POINT('Origin',(15.75,46.25,0.)); #504194=CARTESIAN_POINT('',(15.575,46.25,1.5)); #504195=CARTESIAN_POINT('Origin',(15.75,46.25,1.5)); #504196=CARTESIAN_POINT('',(15.575,46.25,0.)); #504197=CARTESIAN_POINT('',(15.575,46.25,0.)); #504198=CARTESIAN_POINT('Origin',(15.75,46.25,0.)); #504199=CARTESIAN_POINT('Origin',(15.75,46.25,1.5)); #504200=CARTESIAN_POINT('Origin',(15.75,46.25,0.)); #504201=CARTESIAN_POINT('Origin',(36.25,14.75,0.)); #504202=CARTESIAN_POINT('',(36.11,14.75,1.5)); #504203=CARTESIAN_POINT('Origin',(36.25,14.75,1.5)); #504204=CARTESIAN_POINT('',(36.11,14.75,0.)); #504205=CARTESIAN_POINT('',(36.11,14.75,0.)); #504206=CARTESIAN_POINT('Origin',(36.25,14.75,0.)); #504207=CARTESIAN_POINT('Origin',(36.25,14.75,0.)); #504208=CARTESIAN_POINT('',(36.075,14.75,1.5)); #504209=CARTESIAN_POINT('Origin',(36.25,14.75,1.5)); #504210=CARTESIAN_POINT('',(36.075,14.75,0.)); #504211=CARTESIAN_POINT('',(36.075,14.75,0.)); #504212=CARTESIAN_POINT('Origin',(36.25,14.75,0.)); #504213=CARTESIAN_POINT('Origin',(36.25,14.75,1.5)); #504214=CARTESIAN_POINT('Origin',(36.25,14.75,0.)); #504215=CARTESIAN_POINT('Origin',(17.5,47.,0.)); #504216=CARTESIAN_POINT('',(17.36,47.,1.5)); #504217=CARTESIAN_POINT('Origin',(17.5,47.,1.5)); #504218=CARTESIAN_POINT('',(17.36,47.,0.)); #504219=CARTESIAN_POINT('',(17.36,47.,0.)); #504220=CARTESIAN_POINT('Origin',(17.5,47.,0.)); #504221=CARTESIAN_POINT('Origin',(17.5,47.,0.)); #504222=CARTESIAN_POINT('',(17.325,47.,1.5)); #504223=CARTESIAN_POINT('Origin',(17.5,47.,1.5)); #504224=CARTESIAN_POINT('',(17.325,47.,0.)); #504225=CARTESIAN_POINT('',(17.325,47.,0.)); #504226=CARTESIAN_POINT('Origin',(17.5,47.,0.)); #504227=CARTESIAN_POINT('Origin',(17.5,47.,1.5)); #504228=CARTESIAN_POINT('Origin',(17.5,47.,0.)); #504229=CARTESIAN_POINT('Origin',(57.75,34.,0.)); #504230=CARTESIAN_POINT('',(57.61,34.,1.5)); #504231=CARTESIAN_POINT('Origin',(57.75,34.,1.5)); #504232=CARTESIAN_POINT('',(57.61,34.,0.)); #504233=CARTESIAN_POINT('',(57.61,34.,0.)); #504234=CARTESIAN_POINT('Origin',(57.75,34.,0.)); #504235=CARTESIAN_POINT('Origin',(57.75,34.,0.)); #504236=CARTESIAN_POINT('',(57.575,34.,1.5)); #504237=CARTESIAN_POINT('Origin',(57.75,34.,1.5)); #504238=CARTESIAN_POINT('',(57.575,34.,0.)); #504239=CARTESIAN_POINT('',(57.575,34.,0.)); #504240=CARTESIAN_POINT('Origin',(57.75,34.,0.)); #504241=CARTESIAN_POINT('Origin',(57.75,34.,1.5)); #504242=CARTESIAN_POINT('Origin',(57.75,34.,0.)); #504243=CARTESIAN_POINT('Origin',(17.5,46.25,0.)); #504244=CARTESIAN_POINT('',(17.36,46.25,1.5)); #504245=CARTESIAN_POINT('Origin',(17.5,46.25,1.5)); #504246=CARTESIAN_POINT('',(17.36,46.25,0.)); #504247=CARTESIAN_POINT('',(17.36,46.25,0.)); #504248=CARTESIAN_POINT('Origin',(17.5,46.25,0.)); #504249=CARTESIAN_POINT('Origin',(17.5,46.25,0.)); #504250=CARTESIAN_POINT('',(17.325,46.25,1.5)); #504251=CARTESIAN_POINT('Origin',(17.5,46.25,1.5)); #504252=CARTESIAN_POINT('',(17.325,46.25,0.)); #504253=CARTESIAN_POINT('',(17.325,46.25,0.)); #504254=CARTESIAN_POINT('Origin',(17.5,46.25,0.)); #504255=CARTESIAN_POINT('Origin',(17.5,46.25,1.5)); #504256=CARTESIAN_POINT('Origin',(17.5,46.25,0.)); #504257=CARTESIAN_POINT('Origin',(34.,17.75,0.)); #504258=CARTESIAN_POINT('',(33.86,17.75,1.5)); #504259=CARTESIAN_POINT('Origin',(34.,17.75,1.5)); #504260=CARTESIAN_POINT('',(33.86,17.75,0.)); #504261=CARTESIAN_POINT('',(33.86,17.75,0.)); #504262=CARTESIAN_POINT('Origin',(34.,17.75,0.)); #504263=CARTESIAN_POINT('Origin',(34.,17.75,0.)); #504264=CARTESIAN_POINT('',(33.825,17.75,1.5)); #504265=CARTESIAN_POINT('Origin',(34.,17.75,1.5)); #504266=CARTESIAN_POINT('',(33.825,17.75,0.)); #504267=CARTESIAN_POINT('',(33.825,17.75,0.)); #504268=CARTESIAN_POINT('Origin',(34.,17.75,0.)); #504269=CARTESIAN_POINT('Origin',(34.,17.75,1.5)); #504270=CARTESIAN_POINT('Origin',(34.,17.75,0.)); #504271=CARTESIAN_POINT('Origin',(19.375,47.,0.)); #504272=CARTESIAN_POINT('',(19.235,47.,1.5)); #504273=CARTESIAN_POINT('Origin',(19.375,47.,1.5)); #504274=CARTESIAN_POINT('',(19.235,47.,0.)); #504275=CARTESIAN_POINT('',(19.235,47.,0.)); #504276=CARTESIAN_POINT('Origin',(19.375,47.,0.)); #504277=CARTESIAN_POINT('Origin',(19.375,47.,0.)); #504278=CARTESIAN_POINT('',(19.2,47.,1.5)); #504279=CARTESIAN_POINT('Origin',(19.375,47.,1.5)); #504280=CARTESIAN_POINT('',(19.2,47.,0.)); #504281=CARTESIAN_POINT('',(19.2,47.,0.)); #504282=CARTESIAN_POINT('Origin',(19.375,47.,0.)); #504283=CARTESIAN_POINT('Origin',(19.375,47.,1.5)); #504284=CARTESIAN_POINT('Origin',(19.375,47.,0.)); #504285=CARTESIAN_POINT('Origin',(62.,33.25,0.)); #504286=CARTESIAN_POINT('',(61.86,33.25,1.5)); #504287=CARTESIAN_POINT('Origin',(62.,33.25,1.5)); #504288=CARTESIAN_POINT('',(61.86,33.25,0.)); #504289=CARTESIAN_POINT('',(61.86,33.25,0.)); #504290=CARTESIAN_POINT('Origin',(62.,33.25,0.)); #504291=CARTESIAN_POINT('Origin',(62.,33.25,0.)); #504292=CARTESIAN_POINT('',(61.825,33.25,1.5)); #504293=CARTESIAN_POINT('Origin',(62.,33.25,1.5)); #504294=CARTESIAN_POINT('',(61.825,33.25,0.)); #504295=CARTESIAN_POINT('',(61.825,33.25,0.)); #504296=CARTESIAN_POINT('Origin',(62.,33.25,0.)); #504297=CARTESIAN_POINT('Origin',(62.,33.25,1.5)); #504298=CARTESIAN_POINT('Origin',(62.,33.25,0.)); #504299=CARTESIAN_POINT('Origin',(19.375,47.75,0.)); #504300=CARTESIAN_POINT('',(19.235,47.75,1.5)); #504301=CARTESIAN_POINT('Origin',(19.375,47.75,1.5)); #504302=CARTESIAN_POINT('',(19.235,47.75,0.)); #504303=CARTESIAN_POINT('',(19.235,47.75,0.)); #504304=CARTESIAN_POINT('Origin',(19.375,47.75,0.)); #504305=CARTESIAN_POINT('Origin',(19.375,47.75,0.)); #504306=CARTESIAN_POINT('',(19.2,47.75,1.5)); #504307=CARTESIAN_POINT('Origin',(19.375,47.75,1.5)); #504308=CARTESIAN_POINT('',(19.2,47.75,0.)); #504309=CARTESIAN_POINT('',(19.2,47.75,0.)); #504310=CARTESIAN_POINT('Origin',(19.375,47.75,0.)); #504311=CARTESIAN_POINT('Origin',(19.375,47.75,1.5)); #504312=CARTESIAN_POINT('Origin',(19.375,47.75,0.)); #504313=CARTESIAN_POINT('Origin',(36.25,15.5,0.)); #504314=CARTESIAN_POINT('',(36.11,15.5,1.5)); #504315=CARTESIAN_POINT('Origin',(36.25,15.5,1.5)); #504316=CARTESIAN_POINT('',(36.11,15.5,0.)); #504317=CARTESIAN_POINT('',(36.11,15.5,0.)); #504318=CARTESIAN_POINT('Origin',(36.25,15.5,0.)); #504319=CARTESIAN_POINT('Origin',(36.25,15.5,0.)); #504320=CARTESIAN_POINT('',(36.075,15.5,1.5)); #504321=CARTESIAN_POINT('Origin',(36.25,15.5,1.5)); #504322=CARTESIAN_POINT('',(36.075,15.5,0.)); #504323=CARTESIAN_POINT('',(36.075,15.5,0.)); #504324=CARTESIAN_POINT('Origin',(36.25,15.5,0.)); #504325=CARTESIAN_POINT('Origin',(36.25,15.5,1.5)); #504326=CARTESIAN_POINT('Origin',(36.25,15.5,0.)); #504327=CARTESIAN_POINT('Origin',(19.375,46.25,0.)); #504328=CARTESIAN_POINT('',(19.235,46.25,1.5)); #504329=CARTESIAN_POINT('Origin',(19.375,46.25,1.5)); #504330=CARTESIAN_POINT('',(19.235,46.25,0.)); #504331=CARTESIAN_POINT('',(19.235,46.25,0.)); #504332=CARTESIAN_POINT('Origin',(19.375,46.25,0.)); #504333=CARTESIAN_POINT('Origin',(19.375,46.25,0.)); #504334=CARTESIAN_POINT('',(19.2,46.25,1.5)); #504335=CARTESIAN_POINT('Origin',(19.375,46.25,1.5)); #504336=CARTESIAN_POINT('',(19.2,46.25,0.)); #504337=CARTESIAN_POINT('',(19.2,46.25,0.)); #504338=CARTESIAN_POINT('Origin',(19.375,46.25,0.)); #504339=CARTESIAN_POINT('Origin',(19.375,46.25,1.5)); #504340=CARTESIAN_POINT('Origin',(19.375,46.25,0.)); #504341=CARTESIAN_POINT('Origin',(57.75,33.25,0.)); #504342=CARTESIAN_POINT('',(57.61,33.25,1.5)); #504343=CARTESIAN_POINT('Origin',(57.75,33.25,1.5)); #504344=CARTESIAN_POINT('',(57.61,33.25,0.)); #504345=CARTESIAN_POINT('',(57.61,33.25,0.)); #504346=CARTESIAN_POINT('Origin',(57.75,33.25,0.)); #504347=CARTESIAN_POINT('Origin',(57.75,33.25,0.)); #504348=CARTESIAN_POINT('',(57.575,33.25,1.5)); #504349=CARTESIAN_POINT('Origin',(57.75,33.25,1.5)); #504350=CARTESIAN_POINT('',(57.575,33.25,0.)); #504351=CARTESIAN_POINT('',(57.575,33.25,0.)); #504352=CARTESIAN_POINT('Origin',(57.75,33.25,0.)); #504353=CARTESIAN_POINT('Origin',(57.75,33.25,1.5)); #504354=CARTESIAN_POINT('Origin',(57.75,33.25,0.)); #504355=CARTESIAN_POINT('Origin',(17.5,47.75,0.)); #504356=CARTESIAN_POINT('',(17.36,47.75,1.5)); #504357=CARTESIAN_POINT('Origin',(17.5,47.75,1.5)); #504358=CARTESIAN_POINT('',(17.36,47.75,0.)); #504359=CARTESIAN_POINT('',(17.36,47.75,0.)); #504360=CARTESIAN_POINT('Origin',(17.5,47.75,0.)); #504361=CARTESIAN_POINT('Origin',(17.5,47.75,0.)); #504362=CARTESIAN_POINT('',(17.325,47.75,1.5)); #504363=CARTESIAN_POINT('Origin',(17.5,47.75,1.5)); #504364=CARTESIAN_POINT('',(17.325,47.75,0.)); #504365=CARTESIAN_POINT('',(17.325,47.75,0.)); #504366=CARTESIAN_POINT('Origin',(17.5,47.75,0.)); #504367=CARTESIAN_POINT('Origin',(17.5,47.75,1.5)); #504368=CARTESIAN_POINT('Origin',(17.5,47.75,0.)); #504369=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #504370=CARTESIAN_POINT('',(39.11,17.5,1.5)); #504371=CARTESIAN_POINT('Origin',(39.25,17.5,1.5)); #504372=CARTESIAN_POINT('',(39.11,17.5,0.)); #504373=CARTESIAN_POINT('',(39.11,17.5,0.)); #504374=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #504375=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #504376=CARTESIAN_POINT('',(39.075,17.5,1.5)); #504377=CARTESIAN_POINT('Origin',(39.25,17.5,1.5)); #504378=CARTESIAN_POINT('',(39.075,17.5,0.)); #504379=CARTESIAN_POINT('',(39.075,17.5,0.)); #504380=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #504381=CARTESIAN_POINT('Origin',(39.25,17.5,1.5)); #504382=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #504383=CARTESIAN_POINT('Origin',(16.625,46.25,0.)); #504384=CARTESIAN_POINT('',(16.485,46.25,1.5)); #504385=CARTESIAN_POINT('Origin',(16.625,46.25,1.5)); #504386=CARTESIAN_POINT('',(16.485,46.25,0.)); #504387=CARTESIAN_POINT('',(16.485,46.25,0.)); #504388=CARTESIAN_POINT('Origin',(16.625,46.25,0.)); #504389=CARTESIAN_POINT('Origin',(16.625,46.25,0.)); #504390=CARTESIAN_POINT('',(16.45,46.25,1.5)); #504391=CARTESIAN_POINT('Origin',(16.625,46.25,1.5)); #504392=CARTESIAN_POINT('',(16.45,46.25,0.)); #504393=CARTESIAN_POINT('',(16.45,46.25,0.)); #504394=CARTESIAN_POINT('Origin',(16.625,46.25,0.)); #504395=CARTESIAN_POINT('Origin',(16.625,46.25,1.5)); #504396=CARTESIAN_POINT('Origin',(16.625,46.25,0.)); #504397=CARTESIAN_POINT('Origin',(9.5,34.,0.)); #504398=CARTESIAN_POINT('',(9.36,34.,1.5)); #504399=CARTESIAN_POINT('Origin',(9.5,34.,1.5)); #504400=CARTESIAN_POINT('',(9.36,34.,0.)); #504401=CARTESIAN_POINT('',(9.36,34.,0.)); #504402=CARTESIAN_POINT('Origin',(9.5,34.,0.)); #504403=CARTESIAN_POINT('Origin',(9.5,34.,0.)); #504404=CARTESIAN_POINT('',(9.325,34.,1.5)); #504405=CARTESIAN_POINT('Origin',(9.5,34.,1.5)); #504406=CARTESIAN_POINT('',(9.325,34.,0.)); #504407=CARTESIAN_POINT('',(9.325,34.,0.)); #504408=CARTESIAN_POINT('Origin',(9.5,34.,0.)); #504409=CARTESIAN_POINT('Origin',(9.5,34.,1.5)); #504410=CARTESIAN_POINT('Origin',(9.5,34.,0.)); #504411=CARTESIAN_POINT('Origin',(16.625,47.,0.)); #504412=CARTESIAN_POINT('',(16.485,47.,1.5)); #504413=CARTESIAN_POINT('Origin',(16.625,47.,1.5)); #504414=CARTESIAN_POINT('',(16.485,47.,0.)); #504415=CARTESIAN_POINT('',(16.485,47.,0.)); #504416=CARTESIAN_POINT('Origin',(16.625,47.,0.)); #504417=CARTESIAN_POINT('Origin',(16.625,47.,0.)); #504418=CARTESIAN_POINT('',(16.45,47.,1.5)); #504419=CARTESIAN_POINT('Origin',(16.625,47.,1.5)); #504420=CARTESIAN_POINT('',(16.45,47.,0.)); #504421=CARTESIAN_POINT('',(16.45,47.,0.)); #504422=CARTESIAN_POINT('Origin',(16.625,47.,0.)); #504423=CARTESIAN_POINT('Origin',(16.625,47.,1.5)); #504424=CARTESIAN_POINT('Origin',(16.625,47.,0.)); #504425=CARTESIAN_POINT('Origin',(35.5,14.75,0.)); #504426=CARTESIAN_POINT('',(35.36,14.75,1.5)); #504427=CARTESIAN_POINT('Origin',(35.5,14.75,1.5)); #504428=CARTESIAN_POINT('',(35.36,14.75,0.)); #504429=CARTESIAN_POINT('',(35.36,14.75,0.)); #504430=CARTESIAN_POINT('Origin',(35.5,14.75,0.)); #504431=CARTESIAN_POINT('Origin',(35.5,14.75,0.)); #504432=CARTESIAN_POINT('',(35.325,14.75,1.5)); #504433=CARTESIAN_POINT('Origin',(35.5,14.75,1.5)); #504434=CARTESIAN_POINT('',(35.325,14.75,0.)); #504435=CARTESIAN_POINT('',(35.325,14.75,0.)); #504436=CARTESIAN_POINT('Origin',(35.5,14.75,0.)); #504437=CARTESIAN_POINT('Origin',(35.5,14.75,1.5)); #504438=CARTESIAN_POINT('Origin',(35.5,14.75,0.)); #504439=CARTESIAN_POINT('Origin',(15.75,47.75,0.)); #504440=CARTESIAN_POINT('',(15.61,47.75,1.5)); #504441=CARTESIAN_POINT('Origin',(15.75,47.75,1.5)); #504442=CARTESIAN_POINT('',(15.61,47.75,0.)); #504443=CARTESIAN_POINT('',(15.61,47.75,0.)); #504444=CARTESIAN_POINT('Origin',(15.75,47.75,0.)); #504445=CARTESIAN_POINT('Origin',(15.75,47.75,0.)); #504446=CARTESIAN_POINT('',(15.575,47.75,1.5)); #504447=CARTESIAN_POINT('Origin',(15.75,47.75,1.5)); #504448=CARTESIAN_POINT('',(15.575,47.75,0.)); #504449=CARTESIAN_POINT('',(15.575,47.75,0.)); #504450=CARTESIAN_POINT('Origin',(15.75,47.75,0.)); #504451=CARTESIAN_POINT('Origin',(15.75,47.75,1.5)); #504452=CARTESIAN_POINT('Origin',(15.75,47.75,0.)); #504453=CARTESIAN_POINT('Origin',(10.25,34.,0.)); #504454=CARTESIAN_POINT('',(10.11,34.,1.5)); #504455=CARTESIAN_POINT('Origin',(10.25,34.,1.5)); #504456=CARTESIAN_POINT('',(10.11,34.,0.)); #504457=CARTESIAN_POINT('',(10.11,34.,0.)); #504458=CARTESIAN_POINT('Origin',(10.25,34.,0.)); #504459=CARTESIAN_POINT('Origin',(10.25,34.,0.)); #504460=CARTESIAN_POINT('',(10.075,34.,1.5)); #504461=CARTESIAN_POINT('Origin',(10.25,34.,1.5)); #504462=CARTESIAN_POINT('',(10.075,34.,0.)); #504463=CARTESIAN_POINT('',(10.075,34.,0.)); #504464=CARTESIAN_POINT('Origin',(10.25,34.,0.)); #504465=CARTESIAN_POINT('Origin',(10.25,34.,1.5)); #504466=CARTESIAN_POINT('Origin',(10.25,34.,0.)); #504467=CARTESIAN_POINT('Origin',(16.625,47.75,0.)); #504468=CARTESIAN_POINT('',(16.485,47.75,1.5)); #504469=CARTESIAN_POINT('Origin',(16.625,47.75,1.5)); #504470=CARTESIAN_POINT('',(16.485,47.75,0.)); #504471=CARTESIAN_POINT('',(16.485,47.75,0.)); #504472=CARTESIAN_POINT('Origin',(16.625,47.75,0.)); #504473=CARTESIAN_POINT('Origin',(16.625,47.75,0.)); #504474=CARTESIAN_POINT('',(16.45,47.75,1.5)); #504475=CARTESIAN_POINT('Origin',(16.625,47.75,1.5)); #504476=CARTESIAN_POINT('',(16.45,47.75,0.)); #504477=CARTESIAN_POINT('',(16.45,47.75,0.)); #504478=CARTESIAN_POINT('Origin',(16.625,47.75,0.)); #504479=CARTESIAN_POINT('Origin',(16.625,47.75,1.5)); #504480=CARTESIAN_POINT('Origin',(16.625,47.75,0.)); #504481=CARTESIAN_POINT('Origin',(34.75,17.,0.)); #504482=CARTESIAN_POINT('',(34.61,17.,1.5)); #504483=CARTESIAN_POINT('Origin',(34.75,17.,1.5)); #504484=CARTESIAN_POINT('',(34.61,17.,0.)); #504485=CARTESIAN_POINT('',(34.61,17.,0.)); #504486=CARTESIAN_POINT('Origin',(34.75,17.,0.)); #504487=CARTESIAN_POINT('Origin',(34.75,17.,0.)); #504488=CARTESIAN_POINT('',(34.575,17.,1.5)); #504489=CARTESIAN_POINT('Origin',(34.75,17.,1.5)); #504490=CARTESIAN_POINT('',(34.575,17.,0.)); #504491=CARTESIAN_POINT('',(34.575,17.,0.)); #504492=CARTESIAN_POINT('Origin',(34.75,17.,0.)); #504493=CARTESIAN_POINT('Origin',(34.75,17.,1.5)); #504494=CARTESIAN_POINT('Origin',(34.75,17.,0.)); #504495=CARTESIAN_POINT('Origin',(26.75,31.,0.)); #504496=CARTESIAN_POINT('',(26.61,31.,1.5)); #504497=CARTESIAN_POINT('Origin',(26.75,31.,1.5)); #504498=CARTESIAN_POINT('',(26.61,31.,0.)); #504499=CARTESIAN_POINT('',(26.61,31.,0.)); #504500=CARTESIAN_POINT('Origin',(26.75,31.,0.)); #504501=CARTESIAN_POINT('Origin',(26.75,31.,0.)); #504502=CARTESIAN_POINT('',(26.575,31.,1.5)); #504503=CARTESIAN_POINT('Origin',(26.75,31.,1.5)); #504504=CARTESIAN_POINT('',(26.575,31.,0.)); #504505=CARTESIAN_POINT('',(26.575,31.,0.)); #504506=CARTESIAN_POINT('Origin',(26.75,31.,0.)); #504507=CARTESIAN_POINT('Origin',(26.75,31.,1.5)); #504508=CARTESIAN_POINT('Origin',(26.75,31.,0.)); #504509=CARTESIAN_POINT('Origin',(9.5,33.25,0.)); #504510=CARTESIAN_POINT('',(9.36,33.25,1.5)); #504511=CARTESIAN_POINT('Origin',(9.5,33.25,1.5)); #504512=CARTESIAN_POINT('',(9.36,33.25,0.)); #504513=CARTESIAN_POINT('',(9.36,33.25,0.)); #504514=CARTESIAN_POINT('Origin',(9.5,33.25,0.)); #504515=CARTESIAN_POINT('Origin',(9.5,33.25,0.)); #504516=CARTESIAN_POINT('',(9.325,33.25,1.5)); #504517=CARTESIAN_POINT('Origin',(9.5,33.25,1.5)); #504518=CARTESIAN_POINT('',(9.325,33.25,0.)); #504519=CARTESIAN_POINT('',(9.325,33.25,0.)); #504520=CARTESIAN_POINT('Origin',(9.5,33.25,0.)); #504521=CARTESIAN_POINT('Origin',(9.5,33.25,1.5)); #504522=CARTESIAN_POINT('Origin',(9.5,33.25,0.)); #504523=CARTESIAN_POINT('Origin',(26.75,36.,0.)); #504524=CARTESIAN_POINT('',(26.61,36.,1.5)); #504525=CARTESIAN_POINT('Origin',(26.75,36.,1.5)); #504526=CARTESIAN_POINT('',(26.61,36.,0.)); #504527=CARTESIAN_POINT('',(26.61,36.,0.)); #504528=CARTESIAN_POINT('Origin',(26.75,36.,0.)); #504529=CARTESIAN_POINT('Origin',(26.75,36.,0.)); #504530=CARTESIAN_POINT('',(26.575,36.,1.5)); #504531=CARTESIAN_POINT('Origin',(26.75,36.,1.5)); #504532=CARTESIAN_POINT('',(26.575,36.,0.)); #504533=CARTESIAN_POINT('',(26.575,36.,0.)); #504534=CARTESIAN_POINT('Origin',(26.75,36.,0.)); #504535=CARTESIAN_POINT('Origin',(26.75,36.,1.5)); #504536=CARTESIAN_POINT('Origin',(26.75,36.,0.)); #504537=CARTESIAN_POINT('Origin',(34.75,14.75,0.)); #504538=CARTESIAN_POINT('',(34.61,14.75,1.5)); #504539=CARTESIAN_POINT('Origin',(34.75,14.75,1.5)); #504540=CARTESIAN_POINT('',(34.61,14.75,0.)); #504541=CARTESIAN_POINT('',(34.61,14.75,0.)); #504542=CARTESIAN_POINT('Origin',(34.75,14.75,0.)); #504543=CARTESIAN_POINT('Origin',(34.75,14.75,0.)); #504544=CARTESIAN_POINT('',(34.575,14.75,1.5)); #504545=CARTESIAN_POINT('Origin',(34.75,14.75,1.5)); #504546=CARTESIAN_POINT('',(34.575,14.75,0.)); #504547=CARTESIAN_POINT('',(34.575,14.75,0.)); #504548=CARTESIAN_POINT('Origin',(34.75,14.75,0.)); #504549=CARTESIAN_POINT('Origin',(34.75,14.75,1.5)); #504550=CARTESIAN_POINT('Origin',(34.75,14.75,0.)); #504551=CARTESIAN_POINT('Origin',(26.,36.,0.)); #504552=CARTESIAN_POINT('',(25.86,36.,1.5)); #504553=CARTESIAN_POINT('Origin',(26.,36.,1.5)); #504554=CARTESIAN_POINT('',(25.86,36.,0.)); #504555=CARTESIAN_POINT('',(25.86,36.,0.)); #504556=CARTESIAN_POINT('Origin',(26.,36.,0.)); #504557=CARTESIAN_POINT('Origin',(26.,36.,0.)); #504558=CARTESIAN_POINT('',(25.825,36.,1.5)); #504559=CARTESIAN_POINT('Origin',(26.,36.,1.5)); #504560=CARTESIAN_POINT('',(25.825,36.,0.)); #504561=CARTESIAN_POINT('',(25.825,36.,0.)); #504562=CARTESIAN_POINT('Origin',(26.,36.,0.)); #504563=CARTESIAN_POINT('Origin',(26.,36.,1.5)); #504564=CARTESIAN_POINT('Origin',(26.,36.,0.)); #504565=CARTESIAN_POINT('Origin',(10.25,33.25,0.)); #504566=CARTESIAN_POINT('',(10.11,33.25,1.5)); #504567=CARTESIAN_POINT('Origin',(10.25,33.25,1.5)); #504568=CARTESIAN_POINT('',(10.11,33.25,0.)); #504569=CARTESIAN_POINT('',(10.11,33.25,0.)); #504570=CARTESIAN_POINT('Origin',(10.25,33.25,0.)); #504571=CARTESIAN_POINT('Origin',(10.25,33.25,0.)); #504572=CARTESIAN_POINT('',(10.075,33.25,1.5)); #504573=CARTESIAN_POINT('Origin',(10.25,33.25,1.5)); #504574=CARTESIAN_POINT('',(10.075,33.25,0.)); #504575=CARTESIAN_POINT('',(10.075,33.25,0.)); #504576=CARTESIAN_POINT('Origin',(10.25,33.25,0.)); #504577=CARTESIAN_POINT('Origin',(10.25,33.25,1.5)); #504578=CARTESIAN_POINT('Origin',(10.25,33.25,0.)); #504579=CARTESIAN_POINT('Origin',(26.,31.,0.)); #504580=CARTESIAN_POINT('',(25.86,31.,1.5)); #504581=CARTESIAN_POINT('Origin',(26.,31.,1.5)); #504582=CARTESIAN_POINT('',(25.86,31.,0.)); #504583=CARTESIAN_POINT('',(25.86,31.,0.)); #504584=CARTESIAN_POINT('Origin',(26.,31.,0.)); #504585=CARTESIAN_POINT('Origin',(26.,31.,0.)); #504586=CARTESIAN_POINT('',(25.825,31.,1.5)); #504587=CARTESIAN_POINT('Origin',(26.,31.,1.5)); #504588=CARTESIAN_POINT('',(25.825,31.,0.)); #504589=CARTESIAN_POINT('',(25.825,31.,0.)); #504590=CARTESIAN_POINT('Origin',(26.,31.,0.)); #504591=CARTESIAN_POINT('Origin',(26.,31.,1.5)); #504592=CARTESIAN_POINT('Origin',(26.,31.,0.)); #504593=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #504594=CARTESIAN_POINT('',(34.705,45.,1.5)); #504595=CARTESIAN_POINT('Origin',(35.27,45.,1.5)); #504596=CARTESIAN_POINT('',(34.705,45.,0.)); #504597=CARTESIAN_POINT('',(34.705,45.,0.)); #504598=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #504599=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #504600=CARTESIAN_POINT('',(34.67,45.,1.5)); #504601=CARTESIAN_POINT('Origin',(35.27,45.,1.5)); #504602=CARTESIAN_POINT('',(34.67,45.,0.)); #504603=CARTESIAN_POINT('',(34.67,45.,0.)); #504604=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #504605=CARTESIAN_POINT('Origin',(35.27,45.,1.5)); #504606=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #504607=CARTESIAN_POINT('Origin',(52.75,21.75,0.)); #504608=CARTESIAN_POINT('',(52.61,21.75,1.5)); #504609=CARTESIAN_POINT('Origin',(52.75,21.75,1.5)); #504610=CARTESIAN_POINT('',(52.61,21.75,0.)); #504611=CARTESIAN_POINT('',(52.61,21.75,0.)); #504612=CARTESIAN_POINT('Origin',(52.75,21.75,0.)); #504613=CARTESIAN_POINT('Origin',(52.75,21.75,0.)); #504614=CARTESIAN_POINT('',(52.575,21.75,1.5)); #504615=CARTESIAN_POINT('Origin',(52.75,21.75,1.5)); #504616=CARTESIAN_POINT('',(52.575,21.75,0.)); #504617=CARTESIAN_POINT('',(52.575,21.75,0.)); #504618=CARTESIAN_POINT('Origin',(52.75,21.75,0.)); #504619=CARTESIAN_POINT('Origin',(52.75,21.75,1.5)); #504620=CARTESIAN_POINT('Origin',(52.75,21.75,0.)); #504621=CARTESIAN_POINT('Origin',(34.,49.25,0.)); #504622=CARTESIAN_POINT('',(33.86,49.25,1.5)); #504623=CARTESIAN_POINT('Origin',(34.,49.25,1.5)); #504624=CARTESIAN_POINT('',(33.86,49.25,0.)); #504625=CARTESIAN_POINT('',(33.86,49.25,0.)); #504626=CARTESIAN_POINT('Origin',(34.,49.25,0.)); #504627=CARTESIAN_POINT('Origin',(34.,49.25,0.)); #504628=CARTESIAN_POINT('',(33.825,49.25,1.5)); #504629=CARTESIAN_POINT('Origin',(34.,49.25,1.5)); #504630=CARTESIAN_POINT('',(33.825,49.25,0.)); #504631=CARTESIAN_POINT('',(33.825,49.25,0.)); #504632=CARTESIAN_POINT('Origin',(34.,49.25,0.)); #504633=CARTESIAN_POINT('Origin',(34.,49.25,1.5)); #504634=CARTESIAN_POINT('Origin',(34.,49.25,0.)); #504635=CARTESIAN_POINT('Origin',(53.5,21.75,0.)); #504636=CARTESIAN_POINT('',(53.36,21.75,1.5)); #504637=CARTESIAN_POINT('Origin',(53.5,21.75,1.5)); #504638=CARTESIAN_POINT('',(53.36,21.75,0.)); #504639=CARTESIAN_POINT('',(53.36,21.75,0.)); #504640=CARTESIAN_POINT('Origin',(53.5,21.75,0.)); #504641=CARTESIAN_POINT('Origin',(53.5,21.75,0.)); #504642=CARTESIAN_POINT('',(53.325,21.75,1.5)); #504643=CARTESIAN_POINT('Origin',(53.5,21.75,1.5)); #504644=CARTESIAN_POINT('',(53.325,21.75,0.)); #504645=CARTESIAN_POINT('',(53.325,21.75,0.)); #504646=CARTESIAN_POINT('Origin',(53.5,21.75,0.)); #504647=CARTESIAN_POINT('Origin',(53.5,21.75,1.5)); #504648=CARTESIAN_POINT('Origin',(53.5,21.75,0.)); #504649=CARTESIAN_POINT('Origin',(35.5,18.5,0.)); #504650=CARTESIAN_POINT('',(35.36,18.5,1.5)); #504651=CARTESIAN_POINT('Origin',(35.5,18.5,1.5)); #504652=CARTESIAN_POINT('',(35.36,18.5,0.)); #504653=CARTESIAN_POINT('',(35.36,18.5,0.)); #504654=CARTESIAN_POINT('Origin',(35.5,18.5,0.)); #504655=CARTESIAN_POINT('Origin',(35.5,18.5,0.)); #504656=CARTESIAN_POINT('',(35.325,18.5,1.5)); #504657=CARTESIAN_POINT('Origin',(35.5,18.5,1.5)); #504658=CARTESIAN_POINT('',(35.325,18.5,0.)); #504659=CARTESIAN_POINT('',(35.325,18.5,0.)); #504660=CARTESIAN_POINT('Origin',(35.5,18.5,0.)); #504661=CARTESIAN_POINT('Origin',(35.5,18.5,1.5)); #504662=CARTESIAN_POINT('Origin',(35.5,18.5,0.)); #504663=CARTESIAN_POINT('Origin',(52.,21.,0.)); #504664=CARTESIAN_POINT('',(51.86,21.,1.5)); #504665=CARTESIAN_POINT('Origin',(52.,21.,1.5)); #504666=CARTESIAN_POINT('',(51.86,21.,0.)); #504667=CARTESIAN_POINT('',(51.86,21.,0.)); #504668=CARTESIAN_POINT('Origin',(52.,21.,0.)); #504669=CARTESIAN_POINT('Origin',(52.,21.,0.)); #504670=CARTESIAN_POINT('',(51.825,21.,1.5)); #504671=CARTESIAN_POINT('Origin',(52.,21.,1.5)); #504672=CARTESIAN_POINT('',(51.825,21.,0.)); #504673=CARTESIAN_POINT('',(51.825,21.,0.)); #504674=CARTESIAN_POINT('Origin',(52.,21.,0.)); #504675=CARTESIAN_POINT('Origin',(52.,21.,1.5)); #504676=CARTESIAN_POINT('Origin',(52.,21.,0.)); #504677=CARTESIAN_POINT('Origin',(34.75,49.25,0.)); #504678=CARTESIAN_POINT('',(34.61,49.25,1.5)); #504679=CARTESIAN_POINT('Origin',(34.75,49.25,1.5)); #504680=CARTESIAN_POINT('',(34.61,49.25,0.)); #504681=CARTESIAN_POINT('',(34.61,49.25,0.)); #504682=CARTESIAN_POINT('Origin',(34.75,49.25,0.)); #504683=CARTESIAN_POINT('Origin',(34.75,49.25,0.)); #504684=CARTESIAN_POINT('',(34.575,49.25,1.5)); #504685=CARTESIAN_POINT('Origin',(34.75,49.25,1.5)); #504686=CARTESIAN_POINT('',(34.575,49.25,0.)); #504687=CARTESIAN_POINT('',(34.575,49.25,0.)); #504688=CARTESIAN_POINT('Origin',(34.75,49.25,0.)); #504689=CARTESIAN_POINT('Origin',(34.75,49.25,1.5)); #504690=CARTESIAN_POINT('Origin',(34.75,49.25,0.)); #504691=CARTESIAN_POINT('Origin',(53.5,21.,0.)); #504692=CARTESIAN_POINT('',(53.36,21.,1.5)); #504693=CARTESIAN_POINT('Origin',(53.5,21.,1.5)); #504694=CARTESIAN_POINT('',(53.36,21.,0.)); #504695=CARTESIAN_POINT('',(53.36,21.,0.)); #504696=CARTESIAN_POINT('Origin',(53.5,21.,0.)); #504697=CARTESIAN_POINT('Origin',(53.5,21.,0.)); #504698=CARTESIAN_POINT('',(53.325,21.,1.5)); #504699=CARTESIAN_POINT('Origin',(53.5,21.,1.5)); #504700=CARTESIAN_POINT('',(53.325,21.,0.)); #504701=CARTESIAN_POINT('',(53.325,21.,0.)); #504702=CARTESIAN_POINT('Origin',(53.5,21.,0.)); #504703=CARTESIAN_POINT('Origin',(53.5,21.,1.5)); #504704=CARTESIAN_POINT('Origin',(53.5,21.,0.)); #504705=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #504706=CARTESIAN_POINT('',(27.622,19.,1.5)); #504707=CARTESIAN_POINT('Origin',(27.762,19.,1.5)); #504708=CARTESIAN_POINT('',(27.622,19.,0.)); #504709=CARTESIAN_POINT('',(27.622,19.,0.)); #504710=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #504711=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #504712=CARTESIAN_POINT('',(27.587,19.,1.5)); #504713=CARTESIAN_POINT('Origin',(27.762,19.,1.5)); #504714=CARTESIAN_POINT('',(27.587,19.,0.)); #504715=CARTESIAN_POINT('',(27.587,19.,0.)); #504716=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #504717=CARTESIAN_POINT('Origin',(27.762,19.,1.5)); #504718=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #504719=CARTESIAN_POINT('Origin',(42.5,16.,0.)); #504720=CARTESIAN_POINT('',(42.36,16.,1.5)); #504721=CARTESIAN_POINT('Origin',(42.5,16.,1.5)); #504722=CARTESIAN_POINT('',(42.36,16.,0.)); #504723=CARTESIAN_POINT('',(42.36,16.,0.)); #504724=CARTESIAN_POINT('Origin',(42.5,16.,0.)); #504725=CARTESIAN_POINT('Origin',(42.5,16.,0.)); #504726=CARTESIAN_POINT('',(42.325,16.,1.5)); #504727=CARTESIAN_POINT('Origin',(42.5,16.,1.5)); #504728=CARTESIAN_POINT('',(42.325,16.,0.)); #504729=CARTESIAN_POINT('',(42.325,16.,0.)); #504730=CARTESIAN_POINT('Origin',(42.5,16.,0.)); #504731=CARTESIAN_POINT('Origin',(42.5,16.,1.5)); #504732=CARTESIAN_POINT('Origin',(42.5,16.,0.)); #504733=CARTESIAN_POINT('Origin',(31.,13.,0.)); #504734=CARTESIAN_POINT('',(30.86,13.,1.5)); #504735=CARTESIAN_POINT('Origin',(31.,13.,1.5)); #504736=CARTESIAN_POINT('',(30.86,13.,0.)); #504737=CARTESIAN_POINT('',(30.86,13.,0.)); #504738=CARTESIAN_POINT('Origin',(31.,13.,0.)); #504739=CARTESIAN_POINT('Origin',(31.,13.,0.)); #504740=CARTESIAN_POINT('',(30.825,13.,1.5)); #504741=CARTESIAN_POINT('Origin',(31.,13.,1.5)); #504742=CARTESIAN_POINT('',(30.825,13.,0.)); #504743=CARTESIAN_POINT('',(30.825,13.,0.)); #504744=CARTESIAN_POINT('Origin',(31.,13.,0.)); #504745=CARTESIAN_POINT('Origin',(31.,13.,1.5)); #504746=CARTESIAN_POINT('Origin',(31.,13.,0.)); #504747=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #504748=CARTESIAN_POINT('',(39.11,18.25,1.5)); #504749=CARTESIAN_POINT('Origin',(39.25,18.25,1.5)); #504750=CARTESIAN_POINT('',(39.11,18.25,0.)); #504751=CARTESIAN_POINT('',(39.11,18.25,0.)); #504752=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #504753=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #504754=CARTESIAN_POINT('',(39.075,18.25,1.5)); #504755=CARTESIAN_POINT('Origin',(39.25,18.25,1.5)); #504756=CARTESIAN_POINT('',(39.075,18.25,0.)); #504757=CARTESIAN_POINT('',(39.075,18.25,0.)); #504758=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #504759=CARTESIAN_POINT('Origin',(39.25,18.25,1.5)); #504760=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #504761=CARTESIAN_POINT('Origin',(35.5,17.75,0.)); #504762=CARTESIAN_POINT('',(35.36,17.75,1.5)); #504763=CARTESIAN_POINT('Origin',(35.5,17.75,1.5)); #504764=CARTESIAN_POINT('',(35.36,17.75,0.)); #504765=CARTESIAN_POINT('',(35.36,17.75,0.)); #504766=CARTESIAN_POINT('Origin',(35.5,17.75,0.)); #504767=CARTESIAN_POINT('Origin',(35.5,17.75,0.)); #504768=CARTESIAN_POINT('',(35.325,17.75,1.5)); #504769=CARTESIAN_POINT('Origin',(35.5,17.75,1.5)); #504770=CARTESIAN_POINT('',(35.325,17.75,0.)); #504771=CARTESIAN_POINT('',(35.325,17.75,0.)); #504772=CARTESIAN_POINT('Origin',(35.5,17.75,0.)); #504773=CARTESIAN_POINT('Origin',(35.5,17.75,1.5)); #504774=CARTESIAN_POINT('Origin',(35.5,17.75,0.)); #504775=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #504776=CARTESIAN_POINT('',(39.11,19.,1.5)); #504777=CARTESIAN_POINT('Origin',(39.25,19.,1.5)); #504778=CARTESIAN_POINT('',(39.11,19.,0.)); #504779=CARTESIAN_POINT('',(39.11,19.,0.)); #504780=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #504781=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #504782=CARTESIAN_POINT('',(39.075,19.,1.5)); #504783=CARTESIAN_POINT('Origin',(39.25,19.,1.5)); #504784=CARTESIAN_POINT('',(39.075,19.,0.)); #504785=CARTESIAN_POINT('',(39.075,19.,0.)); #504786=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #504787=CARTESIAN_POINT('Origin',(39.25,19.,1.5)); #504788=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #504789=CARTESIAN_POINT('Origin',(37.5,21.25,0.)); #504790=CARTESIAN_POINT('',(37.36,21.25,1.5)); #504791=CARTESIAN_POINT('Origin',(37.5,21.25,1.5)); #504792=CARTESIAN_POINT('',(37.36,21.25,0.)); #504793=CARTESIAN_POINT('',(37.36,21.25,0.)); #504794=CARTESIAN_POINT('Origin',(37.5,21.25,0.)); #504795=CARTESIAN_POINT('Origin',(37.5,21.25,0.)); #504796=CARTESIAN_POINT('',(37.325,21.25,1.5)); #504797=CARTESIAN_POINT('Origin',(37.5,21.25,1.5)); #504798=CARTESIAN_POINT('',(37.325,21.25,0.)); #504799=CARTESIAN_POINT('',(37.325,21.25,0.)); #504800=CARTESIAN_POINT('Origin',(37.5,21.25,0.)); #504801=CARTESIAN_POINT('Origin',(37.5,21.25,1.5)); #504802=CARTESIAN_POINT('Origin',(37.5,21.25,0.)); #504803=CARTESIAN_POINT('Origin',(52.75,21.,0.)); #504804=CARTESIAN_POINT('',(52.61,21.,1.5)); #504805=CARTESIAN_POINT('Origin',(52.75,21.,1.5)); #504806=CARTESIAN_POINT('',(52.61,21.,0.)); #504807=CARTESIAN_POINT('',(52.61,21.,0.)); #504808=CARTESIAN_POINT('Origin',(52.75,21.,0.)); #504809=CARTESIAN_POINT('Origin',(52.75,21.,0.)); #504810=CARTESIAN_POINT('',(52.575,21.,1.5)); #504811=CARTESIAN_POINT('Origin',(52.75,21.,1.5)); #504812=CARTESIAN_POINT('',(52.575,21.,0.)); #504813=CARTESIAN_POINT('',(52.575,21.,0.)); #504814=CARTESIAN_POINT('Origin',(52.75,21.,0.)); #504815=CARTESIAN_POINT('Origin',(52.75,21.,1.5)); #504816=CARTESIAN_POINT('Origin',(52.75,21.,0.)); #504817=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #504818=CARTESIAN_POINT('',(15.285,34.,1.5)); #504819=CARTESIAN_POINT('Origin',(15.75,34.,1.5)); #504820=CARTESIAN_POINT('',(15.285,34.,0.)); #504821=CARTESIAN_POINT('',(15.285,34.,0.)); #504822=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #504823=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #504824=CARTESIAN_POINT('',(15.25,34.,1.5)); #504825=CARTESIAN_POINT('Origin',(15.75,34.,1.5)); #504826=CARTESIAN_POINT('',(15.25,34.,0.)); #504827=CARTESIAN_POINT('',(15.25,34.,0.)); #504828=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #504829=CARTESIAN_POINT('Origin',(15.75,34.,1.5)); #504830=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #504831=CARTESIAN_POINT('Origin',(16.,21.,0.)); #504832=CARTESIAN_POINT('',(15.86,21.,1.5)); #504833=CARTESIAN_POINT('Origin',(16.,21.,1.5)); #504834=CARTESIAN_POINT('',(15.86,21.,0.)); #504835=CARTESIAN_POINT('',(15.86,21.,0.)); #504836=CARTESIAN_POINT('Origin',(16.,21.,0.)); #504837=CARTESIAN_POINT('Origin',(16.,21.,0.)); #504838=CARTESIAN_POINT('',(15.825,21.,1.5)); #504839=CARTESIAN_POINT('Origin',(16.,21.,1.5)); #504840=CARTESIAN_POINT('',(15.825,21.,0.)); #504841=CARTESIAN_POINT('',(15.825,21.,0.)); #504842=CARTESIAN_POINT('Origin',(16.,21.,0.)); #504843=CARTESIAN_POINT('Origin',(16.,21.,1.5)); #504844=CARTESIAN_POINT('Origin',(16.,21.,0.)); #504845=CARTESIAN_POINT('Origin',(31.75,19.25,0.)); #504846=CARTESIAN_POINT('',(31.61,19.25,1.5)); #504847=CARTESIAN_POINT('Origin',(31.75,19.25,1.5)); #504848=CARTESIAN_POINT('',(31.61,19.25,0.)); #504849=CARTESIAN_POINT('',(31.61,19.25,0.)); #504850=CARTESIAN_POINT('Origin',(31.75,19.25,0.)); #504851=CARTESIAN_POINT('Origin',(31.75,19.25,0.)); #504852=CARTESIAN_POINT('',(31.575,19.25,1.5)); #504853=CARTESIAN_POINT('Origin',(31.75,19.25,1.5)); #504854=CARTESIAN_POINT('',(31.575,19.25,0.)); #504855=CARTESIAN_POINT('',(31.575,19.25,0.)); #504856=CARTESIAN_POINT('Origin',(31.75,19.25,0.)); #504857=CARTESIAN_POINT('Origin',(31.75,19.25,1.5)); #504858=CARTESIAN_POINT('Origin',(31.75,19.25,0.)); #504859=CARTESIAN_POINT('Origin',(16.,21.75,0.)); #504860=CARTESIAN_POINT('',(15.86,21.75,1.5)); #504861=CARTESIAN_POINT('Origin',(16.,21.75,1.5)); #504862=CARTESIAN_POINT('',(15.86,21.75,0.)); #504863=CARTESIAN_POINT('',(15.86,21.75,0.)); #504864=CARTESIAN_POINT('Origin',(16.,21.75,0.)); #504865=CARTESIAN_POINT('Origin',(16.,21.75,0.)); #504866=CARTESIAN_POINT('',(15.825,21.75,1.5)); #504867=CARTESIAN_POINT('Origin',(16.,21.75,1.5)); #504868=CARTESIAN_POINT('',(15.825,21.75,0.)); #504869=CARTESIAN_POINT('',(15.825,21.75,0.)); #504870=CARTESIAN_POINT('Origin',(16.,21.75,0.)); #504871=CARTESIAN_POINT('Origin',(16.,21.75,1.5)); #504872=CARTESIAN_POINT('Origin',(16.,21.75,0.)); #504873=CARTESIAN_POINT('Origin',(33.25,16.25,0.)); #504874=CARTESIAN_POINT('',(33.11,16.25,1.5)); #504875=CARTESIAN_POINT('Origin',(33.25,16.25,1.5)); #504876=CARTESIAN_POINT('',(33.11,16.25,0.)); #504877=CARTESIAN_POINT('',(33.11,16.25,0.)); #504878=CARTESIAN_POINT('Origin',(33.25,16.25,0.)); #504879=CARTESIAN_POINT('Origin',(33.25,16.25,0.)); #504880=CARTESIAN_POINT('',(33.075,16.25,1.5)); #504881=CARTESIAN_POINT('Origin',(33.25,16.25,1.5)); #504882=CARTESIAN_POINT('',(33.075,16.25,0.)); #504883=CARTESIAN_POINT('',(33.075,16.25,0.)); #504884=CARTESIAN_POINT('Origin',(33.25,16.25,0.)); #504885=CARTESIAN_POINT('Origin',(33.25,16.25,1.5)); #504886=CARTESIAN_POINT('Origin',(33.25,16.25,0.)); #504887=CARTESIAN_POINT('Origin',(15.25,21.75,0.)); #504888=CARTESIAN_POINT('',(15.11,21.75,1.5)); #504889=CARTESIAN_POINT('Origin',(15.25,21.75,1.5)); #504890=CARTESIAN_POINT('',(15.11,21.75,0.)); #504891=CARTESIAN_POINT('',(15.11,21.75,0.)); #504892=CARTESIAN_POINT('Origin',(15.25,21.75,0.)); #504893=CARTESIAN_POINT('Origin',(15.25,21.75,0.)); #504894=CARTESIAN_POINT('',(15.075,21.75,1.5)); #504895=CARTESIAN_POINT('Origin',(15.25,21.75,1.5)); #504896=CARTESIAN_POINT('',(15.075,21.75,0.)); #504897=CARTESIAN_POINT('',(15.075,21.75,0.)); #504898=CARTESIAN_POINT('Origin',(15.25,21.75,0.)); #504899=CARTESIAN_POINT('Origin',(15.25,21.75,1.5)); #504900=CARTESIAN_POINT('Origin',(15.25,21.75,0.)); #504901=CARTESIAN_POINT('Origin',(31.75,18.5,0.)); #504902=CARTESIAN_POINT('',(31.61,18.5,1.5)); #504903=CARTESIAN_POINT('Origin',(31.75,18.5,1.5)); #504904=CARTESIAN_POINT('',(31.61,18.5,0.)); #504905=CARTESIAN_POINT('',(31.61,18.5,0.)); #504906=CARTESIAN_POINT('Origin',(31.75,18.5,0.)); #504907=CARTESIAN_POINT('Origin',(31.75,18.5,0.)); #504908=CARTESIAN_POINT('',(31.575,18.5,1.5)); #504909=CARTESIAN_POINT('Origin',(31.75,18.5,1.5)); #504910=CARTESIAN_POINT('',(31.575,18.5,0.)); #504911=CARTESIAN_POINT('',(31.575,18.5,0.)); #504912=CARTESIAN_POINT('Origin',(31.75,18.5,0.)); #504913=CARTESIAN_POINT('Origin',(31.75,18.5,1.5)); #504914=CARTESIAN_POINT('Origin',(31.75,18.5,0.)); #504915=CARTESIAN_POINT('Origin',(15.25,20.25,0.)); #504916=CARTESIAN_POINT('',(15.11,20.25,1.5)); #504917=CARTESIAN_POINT('Origin',(15.25,20.25,1.5)); #504918=CARTESIAN_POINT('',(15.11,20.25,0.)); #504919=CARTESIAN_POINT('',(15.11,20.25,0.)); #504920=CARTESIAN_POINT('Origin',(15.25,20.25,0.)); #504921=CARTESIAN_POINT('Origin',(15.25,20.25,0.)); #504922=CARTESIAN_POINT('',(15.075,20.25,1.5)); #504923=CARTESIAN_POINT('Origin',(15.25,20.25,1.5)); #504924=CARTESIAN_POINT('',(15.075,20.25,0.)); #504925=CARTESIAN_POINT('',(15.075,20.25,0.)); #504926=CARTESIAN_POINT('Origin',(15.25,20.25,0.)); #504927=CARTESIAN_POINT('Origin',(15.25,20.25,1.5)); #504928=CARTESIAN_POINT('Origin',(15.25,20.25,0.)); #504929=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #504930=CARTESIAN_POINT('',(33.9225,12.25,1.5)); #504931=CARTESIAN_POINT('Origin',(34.0625,12.25,1.5)); #504932=CARTESIAN_POINT('',(33.9225,12.25,0.)); #504933=CARTESIAN_POINT('',(33.9225,12.25,0.)); #504934=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #504935=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #504936=CARTESIAN_POINT('',(33.8875,12.25,1.5)); #504937=CARTESIAN_POINT('Origin',(34.0625,12.25,1.5)); #504938=CARTESIAN_POINT('',(33.8875,12.25,0.)); #504939=CARTESIAN_POINT('',(33.8875,12.25,0.)); #504940=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #504941=CARTESIAN_POINT('Origin',(34.0625,12.25,1.5)); #504942=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #504943=CARTESIAN_POINT('Origin',(14.5,20.25,0.)); #504944=CARTESIAN_POINT('',(14.36,20.25,1.5)); #504945=CARTESIAN_POINT('Origin',(14.5,20.25,1.5)); #504946=CARTESIAN_POINT('',(14.36,20.25,0.)); #504947=CARTESIAN_POINT('',(14.36,20.25,0.)); #504948=CARTESIAN_POINT('Origin',(14.5,20.25,0.)); #504949=CARTESIAN_POINT('Origin',(14.5,20.25,0.)); #504950=CARTESIAN_POINT('',(14.325,20.25,1.5)); #504951=CARTESIAN_POINT('Origin',(14.5,20.25,1.5)); #504952=CARTESIAN_POINT('',(14.325,20.25,0.)); #504953=CARTESIAN_POINT('',(14.325,20.25,0.)); #504954=CARTESIAN_POINT('Origin',(14.5,20.25,0.)); #504955=CARTESIAN_POINT('Origin',(14.5,20.25,1.5)); #504956=CARTESIAN_POINT('Origin',(14.5,20.25,0.)); #504957=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #504958=CARTESIAN_POINT('',(27.11,24.375,1.5)); #504959=CARTESIAN_POINT('Origin',(27.25,24.375,1.5)); #504960=CARTESIAN_POINT('',(27.11,24.375,0.)); #504961=CARTESIAN_POINT('',(27.11,24.375,0.)); #504962=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #504963=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #504964=CARTESIAN_POINT('',(27.075,24.375,1.5)); #504965=CARTESIAN_POINT('Origin',(27.25,24.375,1.5)); #504966=CARTESIAN_POINT('',(27.075,24.375,0.)); #504967=CARTESIAN_POINT('',(27.075,24.375,0.)); #504968=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #504969=CARTESIAN_POINT('Origin',(27.25,24.375,1.5)); #504970=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #504971=CARTESIAN_POINT('Origin',(16.,20.25,0.)); #504972=CARTESIAN_POINT('',(15.86,20.25,1.5)); #504973=CARTESIAN_POINT('Origin',(16.,20.25,1.5)); #504974=CARTESIAN_POINT('',(15.86,20.25,0.)); #504975=CARTESIAN_POINT('',(15.86,20.25,0.)); #504976=CARTESIAN_POINT('Origin',(16.,20.25,0.)); #504977=CARTESIAN_POINT('Origin',(16.,20.25,0.)); #504978=CARTESIAN_POINT('',(15.825,20.25,1.5)); #504979=CARTESIAN_POINT('Origin',(16.,20.25,1.5)); #504980=CARTESIAN_POINT('',(15.825,20.25,0.)); #504981=CARTESIAN_POINT('',(15.825,20.25,0.)); #504982=CARTESIAN_POINT('Origin',(16.,20.25,0.)); #504983=CARTESIAN_POINT('Origin',(16.,20.25,1.5)); #504984=CARTESIAN_POINT('Origin',(16.,20.25,0.)); #504985=CARTESIAN_POINT('Origin',(34.75,16.25,0.)); #504986=CARTESIAN_POINT('',(34.61,16.25,1.5)); #504987=CARTESIAN_POINT('Origin',(34.75,16.25,1.5)); #504988=CARTESIAN_POINT('',(34.61,16.25,0.)); #504989=CARTESIAN_POINT('',(34.61,16.25,0.)); #504990=CARTESIAN_POINT('Origin',(34.75,16.25,0.)); #504991=CARTESIAN_POINT('Origin',(34.75,16.25,0.)); #504992=CARTESIAN_POINT('',(34.575,16.25,1.5)); #504993=CARTESIAN_POINT('Origin',(34.75,16.25,1.5)); #504994=CARTESIAN_POINT('',(34.575,16.25,0.)); #504995=CARTESIAN_POINT('',(34.575,16.25,0.)); #504996=CARTESIAN_POINT('Origin',(34.75,16.25,0.)); #504997=CARTESIAN_POINT('Origin',(34.75,16.25,1.5)); #504998=CARTESIAN_POINT('Origin',(34.75,16.25,0.)); #504999=CARTESIAN_POINT('Origin',(14.5,21.,0.)); #505000=CARTESIAN_POINT('',(14.36,21.,1.5)); #505001=CARTESIAN_POINT('Origin',(14.5,21.,1.5)); #505002=CARTESIAN_POINT('',(14.36,21.,0.)); #505003=CARTESIAN_POINT('',(14.36,21.,0.)); #505004=CARTESIAN_POINT('Origin',(14.5,21.,0.)); #505005=CARTESIAN_POINT('Origin',(14.5,21.,0.)); #505006=CARTESIAN_POINT('',(14.325,21.,1.5)); #505007=CARTESIAN_POINT('Origin',(14.5,21.,1.5)); #505008=CARTESIAN_POINT('',(14.325,21.,0.)); #505009=CARTESIAN_POINT('',(14.325,21.,0.)); #505010=CARTESIAN_POINT('Origin',(14.5,21.,0.)); #505011=CARTESIAN_POINT('Origin',(14.5,21.,1.5)); #505012=CARTESIAN_POINT('Origin',(14.5,21.,0.)); #505013=CARTESIAN_POINT('Origin',(25.,26.625,0.)); #505014=CARTESIAN_POINT('',(24.86,26.625,1.5)); #505015=CARTESIAN_POINT('Origin',(25.,26.625,1.5)); #505016=CARTESIAN_POINT('',(24.86,26.625,0.)); #505017=CARTESIAN_POINT('',(24.86,26.625,0.)); #505018=CARTESIAN_POINT('Origin',(25.,26.625,0.)); #505019=CARTESIAN_POINT('Origin',(25.,26.625,0.)); #505020=CARTESIAN_POINT('',(24.825,26.625,1.5)); #505021=CARTESIAN_POINT('Origin',(25.,26.625,1.5)); #505022=CARTESIAN_POINT('',(24.825,26.625,0.)); #505023=CARTESIAN_POINT('',(24.825,26.625,0.)); #505024=CARTESIAN_POINT('Origin',(25.,26.625,0.)); #505025=CARTESIAN_POINT('Origin',(25.,26.625,1.5)); #505026=CARTESIAN_POINT('Origin',(25.,26.625,0.)); #505027=CARTESIAN_POINT('Origin',(14.5,21.75,0.)); #505028=CARTESIAN_POINT('',(14.36,21.75,1.5)); #505029=CARTESIAN_POINT('Origin',(14.5,21.75,1.5)); #505030=CARTESIAN_POINT('',(14.36,21.75,0.)); #505031=CARTESIAN_POINT('',(14.36,21.75,0.)); #505032=CARTESIAN_POINT('Origin',(14.5,21.75,0.)); #505033=CARTESIAN_POINT('Origin',(14.5,21.75,0.)); #505034=CARTESIAN_POINT('',(14.325,21.75,1.5)); #505035=CARTESIAN_POINT('Origin',(14.5,21.75,1.5)); #505036=CARTESIAN_POINT('',(14.325,21.75,0.)); #505037=CARTESIAN_POINT('',(14.325,21.75,0.)); #505038=CARTESIAN_POINT('Origin',(14.5,21.75,0.)); #505039=CARTESIAN_POINT('Origin',(14.5,21.75,1.5)); #505040=CARTESIAN_POINT('Origin',(14.5,21.75,0.)); #505041=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #505042=CARTESIAN_POINT('',(23.735,34.,1.5)); #505043=CARTESIAN_POINT('Origin',(24.25,34.,1.5)); #505044=CARTESIAN_POINT('',(23.735,34.,0.)); #505045=CARTESIAN_POINT('',(23.735,34.,0.)); #505046=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #505047=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #505048=CARTESIAN_POINT('',(23.7,34.,1.5)); #505049=CARTESIAN_POINT('Origin',(24.25,34.,1.5)); #505050=CARTESIAN_POINT('',(23.7,34.,0.)); #505051=CARTESIAN_POINT('',(23.7,34.,0.)); #505052=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #505053=CARTESIAN_POINT('Origin',(24.25,34.,1.5)); #505054=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #505055=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #505056=CARTESIAN_POINT('',(44.11,46.25,1.5)); #505057=CARTESIAN_POINT('Origin',(44.25,46.25,1.5)); #505058=CARTESIAN_POINT('',(44.11,46.25,0.)); #505059=CARTESIAN_POINT('',(44.11,46.25,0.)); #505060=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #505061=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #505062=CARTESIAN_POINT('',(44.075,46.25,1.5)); #505063=CARTESIAN_POINT('Origin',(44.25,46.25,1.5)); #505064=CARTESIAN_POINT('',(44.075,46.25,0.)); #505065=CARTESIAN_POINT('',(44.075,46.25,0.)); #505066=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #505067=CARTESIAN_POINT('Origin',(44.25,46.25,1.5)); #505068=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #505069=CARTESIAN_POINT('Origin',(34.,50.,0.)); #505070=CARTESIAN_POINT('',(33.86,50.,1.5)); #505071=CARTESIAN_POINT('Origin',(34.,50.,1.5)); #505072=CARTESIAN_POINT('',(33.86,50.,0.)); #505073=CARTESIAN_POINT('',(33.86,50.,0.)); #505074=CARTESIAN_POINT('Origin',(34.,50.,0.)); #505075=CARTESIAN_POINT('Origin',(34.,50.,0.)); #505076=CARTESIAN_POINT('',(33.825,50.,1.5)); #505077=CARTESIAN_POINT('Origin',(34.,50.,1.5)); #505078=CARTESIAN_POINT('',(33.825,50.,0.)); #505079=CARTESIAN_POINT('',(33.825,50.,0.)); #505080=CARTESIAN_POINT('Origin',(34.,50.,0.)); #505081=CARTESIAN_POINT('Origin',(34.,50.,1.5)); #505082=CARTESIAN_POINT('Origin',(34.,50.,0.)); #505083=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #505084=CARTESIAN_POINT('',(44.11,47.75,1.5)); #505085=CARTESIAN_POINT('Origin',(44.25,47.75,1.5)); #505086=CARTESIAN_POINT('',(44.11,47.75,0.)); #505087=CARTESIAN_POINT('',(44.11,47.75,0.)); #505088=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #505089=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #505090=CARTESIAN_POINT('',(44.075,47.75,1.5)); #505091=CARTESIAN_POINT('Origin',(44.25,47.75,1.5)); #505092=CARTESIAN_POINT('',(44.075,47.75,0.)); #505093=CARTESIAN_POINT('',(44.075,47.75,0.)); #505094=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #505095=CARTESIAN_POINT('Origin',(44.25,47.75,1.5)); #505096=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #505097=CARTESIAN_POINT('Origin',(34.,18.5,0.)); #505098=CARTESIAN_POINT('',(33.86,18.5,1.5)); #505099=CARTESIAN_POINT('Origin',(34.,18.5,1.5)); #505100=CARTESIAN_POINT('',(33.86,18.5,0.)); #505101=CARTESIAN_POINT('',(33.86,18.5,0.)); #505102=CARTESIAN_POINT('Origin',(34.,18.5,0.)); #505103=CARTESIAN_POINT('Origin',(34.,18.5,0.)); #505104=CARTESIAN_POINT('',(33.825,18.5,1.5)); #505105=CARTESIAN_POINT('Origin',(34.,18.5,1.5)); #505106=CARTESIAN_POINT('',(33.825,18.5,0.)); #505107=CARTESIAN_POINT('',(33.825,18.5,0.)); #505108=CARTESIAN_POINT('Origin',(34.,18.5,0.)); #505109=CARTESIAN_POINT('Origin',(34.,18.5,1.5)); #505110=CARTESIAN_POINT('Origin',(34.,18.5,0.)); #505111=CARTESIAN_POINT('Origin',(42.,36.,0.)); #505112=CARTESIAN_POINT('',(41.86,36.,1.5)); #505113=CARTESIAN_POINT('Origin',(42.,36.,1.5)); #505114=CARTESIAN_POINT('',(41.86,36.,0.)); #505115=CARTESIAN_POINT('',(41.86,36.,0.)); #505116=CARTESIAN_POINT('Origin',(42.,36.,0.)); #505117=CARTESIAN_POINT('Origin',(42.,36.,0.)); #505118=CARTESIAN_POINT('',(41.825,36.,1.5)); #505119=CARTESIAN_POINT('Origin',(42.,36.,1.5)); #505120=CARTESIAN_POINT('',(41.825,36.,0.)); #505121=CARTESIAN_POINT('',(41.825,36.,0.)); #505122=CARTESIAN_POINT('Origin',(42.,36.,0.)); #505123=CARTESIAN_POINT('Origin',(42.,36.,1.5)); #505124=CARTESIAN_POINT('Origin',(42.,36.,0.)); #505125=CARTESIAN_POINT('Origin',(34.75,50.,0.)); #505126=CARTESIAN_POINT('',(34.61,50.,1.5)); #505127=CARTESIAN_POINT('Origin',(34.75,50.,1.5)); #505128=CARTESIAN_POINT('',(34.61,50.,0.)); #505129=CARTESIAN_POINT('',(34.61,50.,0.)); #505130=CARTESIAN_POINT('Origin',(34.75,50.,0.)); #505131=CARTESIAN_POINT('Origin',(34.75,50.,0.)); #505132=CARTESIAN_POINT('',(34.575,50.,1.5)); #505133=CARTESIAN_POINT('Origin',(34.75,50.,1.5)); #505134=CARTESIAN_POINT('',(34.575,50.,0.)); #505135=CARTESIAN_POINT('',(34.575,50.,0.)); #505136=CARTESIAN_POINT('Origin',(34.75,50.,0.)); #505137=CARTESIAN_POINT('Origin',(34.75,50.,1.5)); #505138=CARTESIAN_POINT('Origin',(34.75,50.,0.)); #505139=CARTESIAN_POINT('Origin',(42.,31.,0.)); #505140=CARTESIAN_POINT('',(41.86,31.,1.5)); #505141=CARTESIAN_POINT('Origin',(42.,31.,1.5)); #505142=CARTESIAN_POINT('',(41.86,31.,0.)); #505143=CARTESIAN_POINT('',(41.86,31.,0.)); #505144=CARTESIAN_POINT('Origin',(42.,31.,0.)); #505145=CARTESIAN_POINT('Origin',(42.,31.,0.)); #505146=CARTESIAN_POINT('',(41.825,31.,1.5)); #505147=CARTESIAN_POINT('Origin',(42.,31.,1.5)); #505148=CARTESIAN_POINT('',(41.825,31.,0.)); #505149=CARTESIAN_POINT('',(41.825,31.,0.)); #505150=CARTESIAN_POINT('Origin',(42.,31.,0.)); #505151=CARTESIAN_POINT('Origin',(42.,31.,1.5)); #505152=CARTESIAN_POINT('Origin',(42.,31.,0.)); #505153=CARTESIAN_POINT('Origin',(34.,5.5,0.)); #505154=CARTESIAN_POINT('',(33.86,5.5,1.5)); #505155=CARTESIAN_POINT('Origin',(34.,5.5,1.5)); #505156=CARTESIAN_POINT('',(33.86,5.5,0.)); #505157=CARTESIAN_POINT('',(33.86,5.5,0.)); #505158=CARTESIAN_POINT('Origin',(34.,5.5,0.)); #505159=CARTESIAN_POINT('Origin',(34.,5.5,0.)); #505160=CARTESIAN_POINT('',(33.825,5.5,1.5)); #505161=CARTESIAN_POINT('Origin',(34.,5.5,1.5)); #505162=CARTESIAN_POINT('',(33.825,5.5,0.)); #505163=CARTESIAN_POINT('',(33.825,5.5,0.)); #505164=CARTESIAN_POINT('Origin',(34.,5.5,0.)); #505165=CARTESIAN_POINT('Origin',(34.,5.5,1.5)); #505166=CARTESIAN_POINT('Origin',(34.,5.5,0.)); #505167=CARTESIAN_POINT('Origin',(52.,20.25,0.)); #505168=CARTESIAN_POINT('',(51.86,20.25,1.5)); #505169=CARTESIAN_POINT('Origin',(52.,20.25,1.5)); #505170=CARTESIAN_POINT('',(51.86,20.25,0.)); #505171=CARTESIAN_POINT('',(51.86,20.25,0.)); #505172=CARTESIAN_POINT('Origin',(52.,20.25,0.)); #505173=CARTESIAN_POINT('Origin',(52.,20.25,0.)); #505174=CARTESIAN_POINT('',(51.825,20.25,1.5)); #505175=CARTESIAN_POINT('Origin',(52.,20.25,1.5)); #505176=CARTESIAN_POINT('',(51.825,20.25,0.)); #505177=CARTESIAN_POINT('',(51.825,20.25,0.)); #505178=CARTESIAN_POINT('Origin',(52.,20.25,0.)); #505179=CARTESIAN_POINT('Origin',(52.,20.25,1.5)); #505180=CARTESIAN_POINT('Origin',(52.,20.25,0.)); #505181=CARTESIAN_POINT('Origin',(34.,48.5,0.)); #505182=CARTESIAN_POINT('',(33.86,48.5,1.5)); #505183=CARTESIAN_POINT('Origin',(34.,48.5,1.5)); #505184=CARTESIAN_POINT('',(33.86,48.5,0.)); #505185=CARTESIAN_POINT('',(33.86,48.5,0.)); #505186=CARTESIAN_POINT('Origin',(34.,48.5,0.)); #505187=CARTESIAN_POINT('Origin',(34.,48.5,0.)); #505188=CARTESIAN_POINT('',(33.825,48.5,1.5)); #505189=CARTESIAN_POINT('Origin',(34.,48.5,1.5)); #505190=CARTESIAN_POINT('',(33.825,48.5,0.)); #505191=CARTESIAN_POINT('',(33.825,48.5,0.)); #505192=CARTESIAN_POINT('Origin',(34.,48.5,0.)); #505193=CARTESIAN_POINT('Origin',(34.,48.5,1.5)); #505194=CARTESIAN_POINT('Origin',(34.,48.5,0.)); #505195=CARTESIAN_POINT('Origin',(52.,21.75,0.)); #505196=CARTESIAN_POINT('',(51.86,21.75,1.5)); #505197=CARTESIAN_POINT('Origin',(52.,21.75,1.5)); #505198=CARTESIAN_POINT('',(51.86,21.75,0.)); #505199=CARTESIAN_POINT('',(51.86,21.75,0.)); #505200=CARTESIAN_POINT('Origin',(52.,21.75,0.)); #505201=CARTESIAN_POINT('Origin',(52.,21.75,0.)); #505202=CARTESIAN_POINT('',(51.825,21.75,1.5)); #505203=CARTESIAN_POINT('Origin',(52.,21.75,1.5)); #505204=CARTESIAN_POINT('',(51.825,21.75,0.)); #505205=CARTESIAN_POINT('',(51.825,21.75,0.)); #505206=CARTESIAN_POINT('Origin',(52.,21.75,0.)); #505207=CARTESIAN_POINT('Origin',(52.,21.75,1.5)); #505208=CARTESIAN_POINT('Origin',(52.,21.75,0.)); #505209=CARTESIAN_POINT('Origin',(34.75,18.5,0.)); #505210=CARTESIAN_POINT('',(34.61,18.5,1.5)); #505211=CARTESIAN_POINT('Origin',(34.75,18.5,1.5)); #505212=CARTESIAN_POINT('',(34.61,18.5,0.)); #505213=CARTESIAN_POINT('',(34.61,18.5,0.)); #505214=CARTESIAN_POINT('Origin',(34.75,18.5,0.)); #505215=CARTESIAN_POINT('Origin',(34.75,18.5,0.)); #505216=CARTESIAN_POINT('',(34.575,18.5,1.5)); #505217=CARTESIAN_POINT('Origin',(34.75,18.5,1.5)); #505218=CARTESIAN_POINT('',(34.575,18.5,0.)); #505219=CARTESIAN_POINT('',(34.575,18.5,0.)); #505220=CARTESIAN_POINT('Origin',(34.75,18.5,0.)); #505221=CARTESIAN_POINT('Origin',(34.75,18.5,1.5)); #505222=CARTESIAN_POINT('Origin',(34.75,18.5,0.)); #505223=CARTESIAN_POINT('Origin',(52.75,20.25,0.)); #505224=CARTESIAN_POINT('',(52.61,20.25,1.5)); #505225=CARTESIAN_POINT('Origin',(52.75,20.25,1.5)); #505226=CARTESIAN_POINT('',(52.61,20.25,0.)); #505227=CARTESIAN_POINT('',(52.61,20.25,0.)); #505228=CARTESIAN_POINT('Origin',(52.75,20.25,0.)); #505229=CARTESIAN_POINT('Origin',(52.75,20.25,0.)); #505230=CARTESIAN_POINT('',(52.575,20.25,1.5)); #505231=CARTESIAN_POINT('Origin',(52.75,20.25,1.5)); #505232=CARTESIAN_POINT('',(52.575,20.25,0.)); #505233=CARTESIAN_POINT('',(52.575,20.25,0.)); #505234=CARTESIAN_POINT('Origin',(52.75,20.25,0.)); #505235=CARTESIAN_POINT('Origin',(52.75,20.25,1.5)); #505236=CARTESIAN_POINT('Origin',(52.75,20.25,0.)); #505237=CARTESIAN_POINT('Origin',(34.75,48.5,0.)); #505238=CARTESIAN_POINT('',(34.61,48.5,1.5)); #505239=CARTESIAN_POINT('Origin',(34.75,48.5,1.5)); #505240=CARTESIAN_POINT('',(34.61,48.5,0.)); #505241=CARTESIAN_POINT('',(34.61,48.5,0.)); #505242=CARTESIAN_POINT('Origin',(34.75,48.5,0.)); #505243=CARTESIAN_POINT('Origin',(34.75,48.5,0.)); #505244=CARTESIAN_POINT('',(34.575,48.5,1.5)); #505245=CARTESIAN_POINT('Origin',(34.75,48.5,1.5)); #505246=CARTESIAN_POINT('',(34.575,48.5,0.)); #505247=CARTESIAN_POINT('',(34.575,48.5,0.)); #505248=CARTESIAN_POINT('Origin',(34.75,48.5,0.)); #505249=CARTESIAN_POINT('Origin',(34.75,48.5,1.5)); #505250=CARTESIAN_POINT('Origin',(34.75,48.5,0.)); #505251=CARTESIAN_POINT('Origin',(53.5,20.25,0.)); #505252=CARTESIAN_POINT('',(53.36,20.25,1.5)); #505253=CARTESIAN_POINT('Origin',(53.5,20.25,1.5)); #505254=CARTESIAN_POINT('',(53.36,20.25,0.)); #505255=CARTESIAN_POINT('',(53.36,20.25,0.)); #505256=CARTESIAN_POINT('Origin',(53.5,20.25,0.)); #505257=CARTESIAN_POINT('Origin',(53.5,20.25,0.)); #505258=CARTESIAN_POINT('',(53.325,20.25,1.5)); #505259=CARTESIAN_POINT('Origin',(53.5,20.25,1.5)); #505260=CARTESIAN_POINT('',(53.325,20.25,0.)); #505261=CARTESIAN_POINT('',(53.325,20.25,0.)); #505262=CARTESIAN_POINT('Origin',(53.5,20.25,0.)); #505263=CARTESIAN_POINT('Origin',(53.5,20.25,1.5)); #505264=CARTESIAN_POINT('Origin',(53.5,20.25,0.)); #505265=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #505266=CARTESIAN_POINT('',(11.785,34.,1.5)); #505267=CARTESIAN_POINT('Origin',(12.25,34.,1.5)); #505268=CARTESIAN_POINT('',(11.785,34.,0.)); #505269=CARTESIAN_POINT('',(11.785,34.,0.)); #505270=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #505271=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #505272=CARTESIAN_POINT('',(11.75,34.,1.5)); #505273=CARTESIAN_POINT('Origin',(12.25,34.,1.5)); #505274=CARTESIAN_POINT('',(11.75,34.,0.)); #505275=CARTESIAN_POINT('',(11.75,34.,0.)); #505276=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #505277=CARTESIAN_POINT('Origin',(12.25,34.,1.5)); #505278=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #505279=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #505280=CARTESIAN_POINT('',(44.11,47.,1.5)); #505281=CARTESIAN_POINT('Origin',(44.25,47.,1.5)); #505282=CARTESIAN_POINT('',(44.11,47.,0.)); #505283=CARTESIAN_POINT('',(44.11,47.,0.)); #505284=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #505285=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #505286=CARTESIAN_POINT('',(44.075,47.,1.5)); #505287=CARTESIAN_POINT('Origin',(44.25,47.,1.5)); #505288=CARTESIAN_POINT('',(44.075,47.,0.)); #505289=CARTESIAN_POINT('',(44.075,47.,0.)); #505290=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #505291=CARTESIAN_POINT('Origin',(44.25,47.,1.5)); #505292=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #505293=CARTESIAN_POINT('Origin',(33.25,49.25,0.)); #505294=CARTESIAN_POINT('',(33.11,49.25,1.5)); #505295=CARTESIAN_POINT('Origin',(33.25,49.25,1.5)); #505296=CARTESIAN_POINT('',(33.11,49.25,0.)); #505297=CARTESIAN_POINT('',(33.11,49.25,0.)); #505298=CARTESIAN_POINT('Origin',(33.25,49.25,0.)); #505299=CARTESIAN_POINT('Origin',(33.25,49.25,0.)); #505300=CARTESIAN_POINT('',(33.075,49.25,1.5)); #505301=CARTESIAN_POINT('Origin',(33.25,49.25,1.5)); #505302=CARTESIAN_POINT('',(33.075,49.25,0.)); #505303=CARTESIAN_POINT('',(33.075,49.25,0.)); #505304=CARTESIAN_POINT('Origin',(33.25,49.25,0.)); #505305=CARTESIAN_POINT('Origin',(33.25,49.25,1.5)); #505306=CARTESIAN_POINT('Origin',(33.25,49.25,0.)); #505307=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #505308=CARTESIAN_POINT('',(47.61,47.,1.5)); #505309=CARTESIAN_POINT('Origin',(47.75,47.,1.5)); #505310=CARTESIAN_POINT('',(47.61,47.,0.)); #505311=CARTESIAN_POINT('',(47.61,47.,0.)); #505312=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #505313=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #505314=CARTESIAN_POINT('',(47.575,47.,1.5)); #505315=CARTESIAN_POINT('Origin',(47.75,47.,1.5)); #505316=CARTESIAN_POINT('',(47.575,47.,0.)); #505317=CARTESIAN_POINT('',(47.575,47.,0.)); #505318=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #505319=CARTESIAN_POINT('Origin',(47.75,47.,1.5)); #505320=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #505321=CARTESIAN_POINT('Origin',(33.25,18.5,0.)); #505322=CARTESIAN_POINT('',(33.11,18.5,1.5)); #505323=CARTESIAN_POINT('Origin',(33.25,18.5,1.5)); #505324=CARTESIAN_POINT('',(33.11,18.5,0.)); #505325=CARTESIAN_POINT('',(33.11,18.5,0.)); #505326=CARTESIAN_POINT('Origin',(33.25,18.5,0.)); #505327=CARTESIAN_POINT('Origin',(33.25,18.5,0.)); #505328=CARTESIAN_POINT('',(33.075,18.5,1.5)); #505329=CARTESIAN_POINT('Origin',(33.25,18.5,1.5)); #505330=CARTESIAN_POINT('',(33.075,18.5,0.)); #505331=CARTESIAN_POINT('',(33.075,18.5,0.)); #505332=CARTESIAN_POINT('Origin',(33.25,18.5,0.)); #505333=CARTESIAN_POINT('Origin',(33.25,18.5,1.5)); #505334=CARTESIAN_POINT('Origin',(33.25,18.5,0.)); #505335=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #505336=CARTESIAN_POINT('',(45.86,46.25,1.5)); #505337=CARTESIAN_POINT('Origin',(46.,46.25,1.5)); #505338=CARTESIAN_POINT('',(45.86,46.25,0.)); #505339=CARTESIAN_POINT('',(45.86,46.25,0.)); #505340=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #505341=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #505342=CARTESIAN_POINT('',(45.825,46.25,1.5)); #505343=CARTESIAN_POINT('Origin',(46.,46.25,1.5)); #505344=CARTESIAN_POINT('',(45.825,46.25,0.)); #505345=CARTESIAN_POINT('',(45.825,46.25,0.)); #505346=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #505347=CARTESIAN_POINT('Origin',(46.,46.25,1.5)); #505348=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #505349=CARTESIAN_POINT('Origin',(33.25,50.,0.)); #505350=CARTESIAN_POINT('',(33.11,50.,1.5)); #505351=CARTESIAN_POINT('Origin',(33.25,50.,1.5)); #505352=CARTESIAN_POINT('',(33.11,50.,0.)); #505353=CARTESIAN_POINT('',(33.11,50.,0.)); #505354=CARTESIAN_POINT('Origin',(33.25,50.,0.)); #505355=CARTESIAN_POINT('Origin',(33.25,50.,0.)); #505356=CARTESIAN_POINT('',(33.075,50.,1.5)); #505357=CARTESIAN_POINT('Origin',(33.25,50.,1.5)); #505358=CARTESIAN_POINT('',(33.075,50.,0.)); #505359=CARTESIAN_POINT('',(33.075,50.,0.)); #505360=CARTESIAN_POINT('Origin',(33.25,50.,0.)); #505361=CARTESIAN_POINT('Origin',(33.25,50.,1.5)); #505362=CARTESIAN_POINT('Origin',(33.25,50.,0.)); #505363=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #505364=CARTESIAN_POINT('',(47.61,46.25,1.5)); #505365=CARTESIAN_POINT('Origin',(47.75,46.25,1.5)); #505366=CARTESIAN_POINT('',(47.61,46.25,0.)); #505367=CARTESIAN_POINT('',(47.61,46.25,0.)); #505368=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #505369=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #505370=CARTESIAN_POINT('',(47.575,46.25,1.5)); #505371=CARTESIAN_POINT('Origin',(47.75,46.25,1.5)); #505372=CARTESIAN_POINT('',(47.575,46.25,0.)); #505373=CARTESIAN_POINT('',(47.575,46.25,0.)); #505374=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #505375=CARTESIAN_POINT('Origin',(47.75,46.25,1.5)); #505376=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #505377=CARTESIAN_POINT('Origin',(33.25,5.5,0.)); #505378=CARTESIAN_POINT('',(33.11,5.5,1.5)); #505379=CARTESIAN_POINT('Origin',(33.25,5.5,1.5)); #505380=CARTESIAN_POINT('',(33.11,5.5,0.)); #505381=CARTESIAN_POINT('',(33.11,5.5,0.)); #505382=CARTESIAN_POINT('Origin',(33.25,5.5,0.)); #505383=CARTESIAN_POINT('Origin',(33.25,5.5,0.)); #505384=CARTESIAN_POINT('',(33.075,5.5,1.5)); #505385=CARTESIAN_POINT('Origin',(33.25,5.5,1.5)); #505386=CARTESIAN_POINT('',(33.075,5.5,0.)); #505387=CARTESIAN_POINT('',(33.075,5.5,0.)); #505388=CARTESIAN_POINT('Origin',(33.25,5.5,0.)); #505389=CARTESIAN_POINT('Origin',(33.25,5.5,1.5)); #505390=CARTESIAN_POINT('Origin',(33.25,5.5,0.)); #505391=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #505392=CARTESIAN_POINT('',(47.61,47.75,1.5)); #505393=CARTESIAN_POINT('Origin',(47.75,47.75,1.5)); #505394=CARTESIAN_POINT('',(47.61,47.75,0.)); #505395=CARTESIAN_POINT('',(47.61,47.75,0.)); #505396=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #505397=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #505398=CARTESIAN_POINT('',(47.575,47.75,1.5)); #505399=CARTESIAN_POINT('Origin',(47.75,47.75,1.5)); #505400=CARTESIAN_POINT('',(47.575,47.75,0.)); #505401=CARTESIAN_POINT('',(47.575,47.75,0.)); #505402=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #505403=CARTESIAN_POINT('Origin',(47.75,47.75,1.5)); #505404=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #505405=CARTESIAN_POINT('Origin',(33.25,48.5,0.)); #505406=CARTESIAN_POINT('',(33.11,48.5,1.5)); #505407=CARTESIAN_POINT('Origin',(33.25,48.5,1.5)); #505408=CARTESIAN_POINT('',(33.11,48.5,0.)); #505409=CARTESIAN_POINT('',(33.11,48.5,0.)); #505410=CARTESIAN_POINT('Origin',(33.25,48.5,0.)); #505411=CARTESIAN_POINT('Origin',(33.25,48.5,0.)); #505412=CARTESIAN_POINT('',(33.075,48.5,1.5)); #505413=CARTESIAN_POINT('Origin',(33.25,48.5,1.5)); #505414=CARTESIAN_POINT('',(33.075,48.5,0.)); #505415=CARTESIAN_POINT('',(33.075,48.5,0.)); #505416=CARTESIAN_POINT('Origin',(33.25,48.5,0.)); #505417=CARTESIAN_POINT('Origin',(33.25,48.5,1.5)); #505418=CARTESIAN_POINT('Origin',(33.25,48.5,0.)); #505419=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #505420=CARTESIAN_POINT('',(45.86,47.75,1.5)); #505421=CARTESIAN_POINT('Origin',(46.,47.75,1.5)); #505422=CARTESIAN_POINT('',(45.86,47.75,0.)); #505423=CARTESIAN_POINT('',(45.86,47.75,0.)); #505424=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #505425=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #505426=CARTESIAN_POINT('',(45.825,47.75,1.5)); #505427=CARTESIAN_POINT('Origin',(46.,47.75,1.5)); #505428=CARTESIAN_POINT('',(45.825,47.75,0.)); #505429=CARTESIAN_POINT('',(45.825,47.75,0.)); #505430=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #505431=CARTESIAN_POINT('Origin',(46.,47.75,1.5)); #505432=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #505433=CARTESIAN_POINT('Origin',(32.5,18.5,0.)); #505434=CARTESIAN_POINT('',(32.36,18.5,1.5)); #505435=CARTESIAN_POINT('Origin',(32.5,18.5,1.5)); #505436=CARTESIAN_POINT('',(32.36,18.5,0.)); #505437=CARTESIAN_POINT('',(32.36,18.5,0.)); #505438=CARTESIAN_POINT('Origin',(32.5,18.5,0.)); #505439=CARTESIAN_POINT('Origin',(32.5,18.5,0.)); #505440=CARTESIAN_POINT('',(32.325,18.5,1.5)); #505441=CARTESIAN_POINT('Origin',(32.5,18.5,1.5)); #505442=CARTESIAN_POINT('',(32.325,18.5,0.)); #505443=CARTESIAN_POINT('',(32.325,18.5,0.)); #505444=CARTESIAN_POINT('Origin',(32.5,18.5,0.)); #505445=CARTESIAN_POINT('Origin',(32.5,18.5,1.5)); #505446=CARTESIAN_POINT('Origin',(32.5,18.5,0.)); #505447=CARTESIAN_POINT('Origin',(46.,47.,0.)); #505448=CARTESIAN_POINT('',(45.86,47.,1.5)); #505449=CARTESIAN_POINT('Origin',(46.,47.,1.5)); #505450=CARTESIAN_POINT('',(45.86,47.,0.)); #505451=CARTESIAN_POINT('',(45.86,47.,0.)); #505452=CARTESIAN_POINT('Origin',(46.,47.,0.)); #505453=CARTESIAN_POINT('Origin',(46.,47.,0.)); #505454=CARTESIAN_POINT('',(45.825,47.,1.5)); #505455=CARTESIAN_POINT('Origin',(46.,47.,1.5)); #505456=CARTESIAN_POINT('',(45.825,47.,0.)); #505457=CARTESIAN_POINT('',(45.825,47.,0.)); #505458=CARTESIAN_POINT('Origin',(46.,47.,0.)); #505459=CARTESIAN_POINT('Origin',(46.,47.,1.5)); #505460=CARTESIAN_POINT('Origin',(46.,47.,0.)); #505461=CARTESIAN_POINT('Origin',(41.,25.25,0.)); #505462=CARTESIAN_POINT('',(40.86,25.25,1.5)); #505463=CARTESIAN_POINT('Origin',(41.,25.25,1.5)); #505464=CARTESIAN_POINT('',(40.86,25.25,0.)); #505465=CARTESIAN_POINT('',(40.86,25.25,0.)); #505466=CARTESIAN_POINT('Origin',(41.,25.25,0.)); #505467=CARTESIAN_POINT('Origin',(41.,25.25,0.)); #505468=CARTESIAN_POINT('',(40.825,25.25,1.5)); #505469=CARTESIAN_POINT('Origin',(41.,25.25,1.5)); #505470=CARTESIAN_POINT('',(40.825,25.25,0.)); #505471=CARTESIAN_POINT('',(40.825,25.25,0.)); #505472=CARTESIAN_POINT('Origin',(41.,25.25,0.)); #505473=CARTESIAN_POINT('Origin',(41.,25.25,1.5)); #505474=CARTESIAN_POINT('Origin',(41.,25.25,0.)); #505475=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #505476=CARTESIAN_POINT('',(44.985,47.75,1.5)); #505477=CARTESIAN_POINT('Origin',(45.125,47.75,1.5)); #505478=CARTESIAN_POINT('',(44.985,47.75,0.)); #505479=CARTESIAN_POINT('',(44.985,47.75,0.)); #505480=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #505481=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #505482=CARTESIAN_POINT('',(44.95,47.75,1.5)); #505483=CARTESIAN_POINT('Origin',(45.125,47.75,1.5)); #505484=CARTESIAN_POINT('',(44.95,47.75,0.)); #505485=CARTESIAN_POINT('',(44.95,47.75,0.)); #505486=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #505487=CARTESIAN_POINT('Origin',(45.125,47.75,1.5)); #505488=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #505489=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #505490=CARTESIAN_POINT('',(29.625,45.,1.5)); #505491=CARTESIAN_POINT('Origin',(30.19,45.,1.5)); #505492=CARTESIAN_POINT('',(29.625,45.,0.)); #505493=CARTESIAN_POINT('',(29.625,45.,0.)); #505494=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #505495=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #505496=CARTESIAN_POINT('',(29.59,45.,1.5)); #505497=CARTESIAN_POINT('Origin',(30.19,45.,1.5)); #505498=CARTESIAN_POINT('',(29.59,45.,0.)); #505499=CARTESIAN_POINT('',(29.59,45.,0.)); #505500=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #505501=CARTESIAN_POINT('Origin',(30.19,45.,1.5)); #505502=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #505503=CARTESIAN_POINT('',(0.,0.,0.)); #505504=CARTESIAN_POINT('Origin',(32.73,45.,-200.)); #505505=CARTESIAN_POINT('',(32.165,45.,0.)); #505506=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #505507=CARTESIAN_POINT('',(32.165,45.,0.0349999999999895)); #505508=CARTESIAN_POINT('',(32.165,45.,-200.)); #505509=CARTESIAN_POINT('Origin',(32.73,45.,0.0349999999999895)); #505510=CARTESIAN_POINT('Origin',(32.769294,44.1,0.)); #505511=CARTESIAN_POINT('',(32.769294,44.1,0.)); #505512=CARTESIAN_POINT('',(32.847584,44.10685,0.)); #505513=CARTESIAN_POINT('',(32.769294,44.1,0.)); #505514=CARTESIAN_POINT('',(32.847584,44.10685,0.035)); #505515=CARTESIAN_POINT('',(32.847584,44.10685,0.)); #505516=CARTESIAN_POINT('',(32.769294,44.1,0.035)); #505517=CARTESIAN_POINT('',(32.769294,44.1,0.035)); #505518=CARTESIAN_POINT('',(32.769294,44.1,0.)); #505519=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,0.)); #505520=CARTESIAN_POINT('',(32.612416,45.89315,0.)); #505521=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,0.)); #505522=CARTESIAN_POINT('',(32.612416,45.89315,0.035)); #505523=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,0.035)); #505524=CARTESIAN_POINT('',(32.612416,45.89315,0.)); #505525=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #505526=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #505527=CARTESIAN_POINT('Origin',(32.73,45.,0.035)); #505528=CARTESIAN_POINT('Origin',(32.7282590237178,44.9986240251875,0.035)); #505529=CARTESIAN_POINT('Origin',(32.7282590237178,44.9986240251875,0.)); #505530=CARTESIAN_POINT('Origin',(37.7125,15.1125,0.)); #505531=CARTESIAN_POINT('',(37.7125,15.1125,0.)); #505532=CARTESIAN_POINT('',(37.7125,15.3875,0.)); #505533=CARTESIAN_POINT('',(37.7125,15.1125,0.)); #505534=CARTESIAN_POINT('',(37.7125,15.3875,0.035)); #505535=CARTESIAN_POINT('',(37.7125,15.3875,0.)); #505536=CARTESIAN_POINT('',(37.7125,15.1125,0.035)); #505537=CARTESIAN_POINT('',(37.7125,15.1125,0.035)); #505538=CARTESIAN_POINT('',(37.7125,15.1125,0.)); #505539=CARTESIAN_POINT('Origin',(37.0875,15.1125,0.)); #505540=CARTESIAN_POINT('',(37.0875,15.1125,0.)); #505541=CARTESIAN_POINT('',(37.0875,15.1125,0.)); #505542=CARTESIAN_POINT('',(37.0875,15.1125,0.035)); #505543=CARTESIAN_POINT('',(37.0875,15.1125,0.035)); #505544=CARTESIAN_POINT('',(37.0875,15.1125,0.)); #505545=CARTESIAN_POINT('Origin',(37.0875,15.3875,0.)); #505546=CARTESIAN_POINT('',(37.0875,15.3875,0.)); #505547=CARTESIAN_POINT('',(37.0875,15.3875,0.)); #505548=CARTESIAN_POINT('',(37.0875,15.3875,0.035)); #505549=CARTESIAN_POINT('',(37.0875,15.3875,0.035)); #505550=CARTESIAN_POINT('',(37.0875,15.3875,0.)); #505551=CARTESIAN_POINT('Origin',(37.7125,15.3875,0.)); #505552=CARTESIAN_POINT('',(37.7125,15.3875,0.)); #505553=CARTESIAN_POINT('',(37.7125,15.3875,0.035)); #505554=CARTESIAN_POINT('Origin',(37.4,15.25,0.035)); #505555=CARTESIAN_POINT('Origin',(37.4,15.25,0.)); #505556=CARTESIAN_POINT('Origin',(36.8875,13.2875,0.)); #505557=CARTESIAN_POINT('',(36.8875,13.2875,0.)); #505558=CARTESIAN_POINT('',(36.8875,13.9125,0.)); #505559=CARTESIAN_POINT('',(36.8875,13.2875,0.)); #505560=CARTESIAN_POINT('',(36.8875,13.9125,0.035)); #505561=CARTESIAN_POINT('',(36.8875,13.9125,0.)); #505562=CARTESIAN_POINT('',(36.8875,13.2875,0.035)); #505563=CARTESIAN_POINT('',(36.8875,13.2875,0.035)); #505564=CARTESIAN_POINT('',(36.8875,13.2875,0.)); #505565=CARTESIAN_POINT('Origin',(36.6125,13.2875,0.)); #505566=CARTESIAN_POINT('',(36.6125,13.2875,0.)); #505567=CARTESIAN_POINT('',(36.6125,13.2875,0.)); #505568=CARTESIAN_POINT('',(36.6125,13.2875,0.035)); #505569=CARTESIAN_POINT('',(36.6125,13.2875,0.035)); #505570=CARTESIAN_POINT('',(36.6125,13.2875,0.)); #505571=CARTESIAN_POINT('Origin',(36.6125,13.9125,0.)); #505572=CARTESIAN_POINT('',(36.6125,13.9125,0.)); #505573=CARTESIAN_POINT('',(36.6125,13.9125,0.)); #505574=CARTESIAN_POINT('',(36.6125,13.9125,0.035)); #505575=CARTESIAN_POINT('',(36.6125,13.9125,0.035)); #505576=CARTESIAN_POINT('',(36.6125,13.9125,0.)); #505577=CARTESIAN_POINT('Origin',(36.8875,13.9125,0.)); #505578=CARTESIAN_POINT('',(36.8875,13.9125,0.)); #505579=CARTESIAN_POINT('',(36.8875,13.9125,0.035)); #505580=CARTESIAN_POINT('Origin',(36.75,13.6,0.035)); #505581=CARTESIAN_POINT('Origin',(36.75,13.6,0.)); #505582=CARTESIAN_POINT('Origin',(37.7125,17.1125,0.)); #505583=CARTESIAN_POINT('',(37.7125,17.1125,0.)); #505584=CARTESIAN_POINT('',(37.7125,17.3875,0.)); #505585=CARTESIAN_POINT('',(37.7125,17.1125,0.)); #505586=CARTESIAN_POINT('',(37.7125,17.3875,0.035)); #505587=CARTESIAN_POINT('',(37.7125,17.3875,0.)); #505588=CARTESIAN_POINT('',(37.7125,17.1125,0.035)); #505589=CARTESIAN_POINT('',(37.7125,17.1125,0.035)); #505590=CARTESIAN_POINT('',(37.7125,17.1125,0.)); #505591=CARTESIAN_POINT('Origin',(37.0875,17.1125,0.)); #505592=CARTESIAN_POINT('',(37.0875,17.1125,0.)); #505593=CARTESIAN_POINT('',(37.0875,17.1125,0.)); #505594=CARTESIAN_POINT('',(37.0875,17.1125,0.035)); #505595=CARTESIAN_POINT('',(37.0875,17.1125,0.035)); #505596=CARTESIAN_POINT('',(37.0875,17.1125,0.)); #505597=CARTESIAN_POINT('Origin',(37.0875,17.3875,0.)); #505598=CARTESIAN_POINT('',(37.0875,17.3875,0.)); #505599=CARTESIAN_POINT('',(37.0875,17.3875,0.)); #505600=CARTESIAN_POINT('',(37.0875,17.3875,0.035)); #505601=CARTESIAN_POINT('',(37.0875,17.3875,0.035)); #505602=CARTESIAN_POINT('',(37.0875,17.3875,0.)); #505603=CARTESIAN_POINT('Origin',(37.7125,17.3875,0.)); #505604=CARTESIAN_POINT('',(37.7125,17.3875,0.)); #505605=CARTESIAN_POINT('',(37.7125,17.3875,0.035)); #505606=CARTESIAN_POINT('Origin',(37.4,17.25,0.035)); #505607=CARTESIAN_POINT('Origin',(37.4,17.25,0.)); #505608=CARTESIAN_POINT('Origin',(34.8875,13.2875,0.)); #505609=CARTESIAN_POINT('',(34.8875,13.2875,0.)); #505610=CARTESIAN_POINT('',(34.8875,13.9125,0.)); #505611=CARTESIAN_POINT('',(34.8875,13.2875,0.)); #505612=CARTESIAN_POINT('',(34.8875,13.9125,0.035)); #505613=CARTESIAN_POINT('',(34.8875,13.9125,0.)); #505614=CARTESIAN_POINT('',(34.8875,13.2875,0.035)); #505615=CARTESIAN_POINT('',(34.8875,13.2875,0.035)); #505616=CARTESIAN_POINT('',(34.8875,13.2875,0.)); #505617=CARTESIAN_POINT('Origin',(34.6125,13.2875,0.)); #505618=CARTESIAN_POINT('',(34.6125,13.2875,0.)); #505619=CARTESIAN_POINT('',(34.6125,13.2875,0.)); #505620=CARTESIAN_POINT('',(34.6125,13.2875,0.035)); #505621=CARTESIAN_POINT('',(34.6125,13.2875,0.035)); #505622=CARTESIAN_POINT('',(34.6125,13.2875,0.)); #505623=CARTESIAN_POINT('Origin',(34.6125,13.9125,0.)); #505624=CARTESIAN_POINT('',(34.6125,13.9125,0.)); #505625=CARTESIAN_POINT('',(34.6125,13.9125,0.)); #505626=CARTESIAN_POINT('',(34.6125,13.9125,0.035)); #505627=CARTESIAN_POINT('',(34.6125,13.9125,0.035)); #505628=CARTESIAN_POINT('',(34.6125,13.9125,0.)); #505629=CARTESIAN_POINT('Origin',(34.8875,13.9125,0.)); #505630=CARTESIAN_POINT('',(34.8875,13.9125,0.)); #505631=CARTESIAN_POINT('',(34.8875,13.9125,0.035)); #505632=CARTESIAN_POINT('Origin',(34.75,13.6,0.035)); #505633=CARTESIAN_POINT('Origin',(34.75,13.6,0.)); #505634=CARTESIAN_POINT('Origin',(30.9125,16.6125,0.)); #505635=CARTESIAN_POINT('',(30.9125,16.6125,0.)); #505636=CARTESIAN_POINT('',(30.9125,16.8875,0.)); #505637=CARTESIAN_POINT('',(30.9125,16.6125,0.)); #505638=CARTESIAN_POINT('',(30.9125,16.8875,0.035)); #505639=CARTESIAN_POINT('',(30.9125,16.8875,0.)); #505640=CARTESIAN_POINT('',(30.9125,16.6125,0.035)); #505641=CARTESIAN_POINT('',(30.9125,16.6125,0.035)); #505642=CARTESIAN_POINT('',(30.9125,16.6125,0.)); #505643=CARTESIAN_POINT('Origin',(30.2875,16.6125,0.)); #505644=CARTESIAN_POINT('',(30.2875,16.6125,0.)); #505645=CARTESIAN_POINT('',(30.2875,16.6125,0.)); #505646=CARTESIAN_POINT('',(30.2875,16.6125,0.035)); #505647=CARTESIAN_POINT('',(30.2875,16.6125,0.035)); #505648=CARTESIAN_POINT('',(30.2875,16.6125,0.)); #505649=CARTESIAN_POINT('Origin',(30.2875,16.8875,0.)); #505650=CARTESIAN_POINT('',(30.2875,16.8875,0.)); #505651=CARTESIAN_POINT('',(30.2875,16.8875,0.)); #505652=CARTESIAN_POINT('',(30.2875,16.8875,0.035)); #505653=CARTESIAN_POINT('',(30.2875,16.8875,0.035)); #505654=CARTESIAN_POINT('',(30.2875,16.8875,0.)); #505655=CARTESIAN_POINT('Origin',(30.9125,16.8875,0.)); #505656=CARTESIAN_POINT('',(30.9125,16.8875,0.)); #505657=CARTESIAN_POINT('',(30.9125,16.8875,0.035)); #505658=CARTESIAN_POINT('Origin',(30.6,16.75,0.035)); #505659=CARTESIAN_POINT('Origin',(30.6,16.75,0.)); #505660=CARTESIAN_POINT('Origin',(35.3875,13.2875,0.)); #505661=CARTESIAN_POINT('',(35.3875,13.2875,0.)); #505662=CARTESIAN_POINT('',(35.3875,13.9125,0.)); #505663=CARTESIAN_POINT('',(35.3875,13.2875,0.)); #505664=CARTESIAN_POINT('',(35.3875,13.9125,0.035)); #505665=CARTESIAN_POINT('',(35.3875,13.9125,0.)); #505666=CARTESIAN_POINT('',(35.3875,13.2875,0.035)); #505667=CARTESIAN_POINT('',(35.3875,13.2875,0.035)); #505668=CARTESIAN_POINT('',(35.3875,13.2875,0.)); #505669=CARTESIAN_POINT('Origin',(35.1125,13.2875,0.)); #505670=CARTESIAN_POINT('',(35.1125,13.2875,0.)); #505671=CARTESIAN_POINT('',(35.1125,13.2875,0.)); #505672=CARTESIAN_POINT('',(35.1125,13.2875,0.035)); #505673=CARTESIAN_POINT('',(35.1125,13.2875,0.035)); #505674=CARTESIAN_POINT('',(35.1125,13.2875,0.)); #505675=CARTESIAN_POINT('Origin',(35.1125,13.9125,0.)); #505676=CARTESIAN_POINT('',(35.1125,13.9125,0.)); #505677=CARTESIAN_POINT('',(35.1125,13.9125,0.)); #505678=CARTESIAN_POINT('',(35.1125,13.9125,0.035)); #505679=CARTESIAN_POINT('',(35.1125,13.9125,0.035)); #505680=CARTESIAN_POINT('',(35.1125,13.9125,0.)); #505681=CARTESIAN_POINT('Origin',(35.3875,13.9125,0.)); #505682=CARTESIAN_POINT('',(35.3875,13.9125,0.)); #505683=CARTESIAN_POINT('',(35.3875,13.9125,0.035)); #505684=CARTESIAN_POINT('Origin',(35.25,13.6,0.035)); #505685=CARTESIAN_POINT('Origin',(35.25,13.6,0.)); #505686=CARTESIAN_POINT('Origin',(30.9125,18.1125,0.)); #505687=CARTESIAN_POINT('',(30.9125,18.1125,0.)); #505688=CARTESIAN_POINT('',(30.9125,18.3875,0.)); #505689=CARTESIAN_POINT('',(30.9125,18.1125,0.)); #505690=CARTESIAN_POINT('',(30.9125,18.3875,0.035)); #505691=CARTESIAN_POINT('',(30.9125,18.3875,0.)); #505692=CARTESIAN_POINT('',(30.9125,18.1125,0.035)); #505693=CARTESIAN_POINT('',(30.9125,18.1125,0.035)); #505694=CARTESIAN_POINT('',(30.9125,18.1125,0.)); #505695=CARTESIAN_POINT('Origin',(30.2875,18.1125,0.)); #505696=CARTESIAN_POINT('',(30.2875,18.1125,0.)); #505697=CARTESIAN_POINT('',(30.2875,18.1125,0.)); #505698=CARTESIAN_POINT('',(30.2875,18.1125,0.035)); #505699=CARTESIAN_POINT('',(30.2875,18.1125,0.035)); #505700=CARTESIAN_POINT('',(30.2875,18.1125,0.)); #505701=CARTESIAN_POINT('Origin',(30.2875,18.3875,0.)); #505702=CARTESIAN_POINT('',(30.2875,18.3875,0.)); #505703=CARTESIAN_POINT('',(30.2875,18.3875,0.)); #505704=CARTESIAN_POINT('',(30.2875,18.3875,0.035)); #505705=CARTESIAN_POINT('',(30.2875,18.3875,0.035)); #505706=CARTESIAN_POINT('',(30.2875,18.3875,0.)); #505707=CARTESIAN_POINT('Origin',(30.9125,18.3875,0.)); #505708=CARTESIAN_POINT('',(30.9125,18.3875,0.)); #505709=CARTESIAN_POINT('',(30.9125,18.3875,0.035)); #505710=CARTESIAN_POINT('Origin',(30.6,18.25,0.035)); #505711=CARTESIAN_POINT('Origin',(30.6,18.25,0.)); #505712=CARTESIAN_POINT('Origin',(37.7125,14.6125,0.)); #505713=CARTESIAN_POINT('',(37.7125,14.6125,0.)); #505714=CARTESIAN_POINT('',(37.7125,14.8875,0.)); #505715=CARTESIAN_POINT('',(37.7125,14.6125,0.)); #505716=CARTESIAN_POINT('',(37.7125,14.8875,0.035)); #505717=CARTESIAN_POINT('',(37.7125,14.8875,0.)); #505718=CARTESIAN_POINT('',(37.7125,14.6125,0.035)); #505719=CARTESIAN_POINT('',(37.7125,14.6125,0.035)); #505720=CARTESIAN_POINT('',(37.7125,14.6125,0.)); #505721=CARTESIAN_POINT('Origin',(37.0875,14.6125,0.)); #505722=CARTESIAN_POINT('',(37.0875,14.6125,0.)); #505723=CARTESIAN_POINT('',(37.0875,14.6125,0.)); #505724=CARTESIAN_POINT('',(37.0875,14.6125,0.035)); #505725=CARTESIAN_POINT('',(37.0875,14.6125,0.035)); #505726=CARTESIAN_POINT('',(37.0875,14.6125,0.)); #505727=CARTESIAN_POINT('Origin',(37.0875,14.8875,0.)); #505728=CARTESIAN_POINT('',(37.0875,14.8875,0.)); #505729=CARTESIAN_POINT('',(37.0875,14.8875,0.)); #505730=CARTESIAN_POINT('',(37.0875,14.8875,0.035)); #505731=CARTESIAN_POINT('',(37.0875,14.8875,0.035)); #505732=CARTESIAN_POINT('',(37.0875,14.8875,0.)); #505733=CARTESIAN_POINT('Origin',(37.7125,14.8875,0.)); #505734=CARTESIAN_POINT('',(37.7125,14.8875,0.)); #505735=CARTESIAN_POINT('',(37.7125,14.8875,0.035)); #505736=CARTESIAN_POINT('Origin',(37.4,14.75,0.035)); #505737=CARTESIAN_POINT('Origin',(37.4,14.75,0.)); #505738=CARTESIAN_POINT('Origin',(37.7125,16.6125,0.)); #505739=CARTESIAN_POINT('',(37.7125,16.6125,0.)); #505740=CARTESIAN_POINT('',(37.7125,16.8875,0.)); #505741=CARTESIAN_POINT('',(37.7125,16.6125,0.)); #505742=CARTESIAN_POINT('',(37.7125,16.8875,0.035)); #505743=CARTESIAN_POINT('',(37.7125,16.8875,0.)); #505744=CARTESIAN_POINT('',(37.7125,16.6125,0.035)); #505745=CARTESIAN_POINT('',(37.7125,16.6125,0.035)); #505746=CARTESIAN_POINT('',(37.7125,16.6125,0.)); #505747=CARTESIAN_POINT('Origin',(37.0875,16.6125,0.)); #505748=CARTESIAN_POINT('',(37.0875,16.6125,0.)); #505749=CARTESIAN_POINT('',(37.0875,16.6125,0.)); #505750=CARTESIAN_POINT('',(37.0875,16.6125,0.035)); #505751=CARTESIAN_POINT('',(37.0875,16.6125,0.035)); #505752=CARTESIAN_POINT('',(37.0875,16.6125,0.)); #505753=CARTESIAN_POINT('Origin',(37.0875,16.8875,0.)); #505754=CARTESIAN_POINT('',(37.0875,16.8875,0.)); #505755=CARTESIAN_POINT('',(37.0875,16.8875,0.)); #505756=CARTESIAN_POINT('',(37.0875,16.8875,0.035)); #505757=CARTESIAN_POINT('',(37.0875,16.8875,0.035)); #505758=CARTESIAN_POINT('',(37.0875,16.8875,0.)); #505759=CARTESIAN_POINT('Origin',(37.7125,16.8875,0.)); #505760=CARTESIAN_POINT('',(37.7125,16.8875,0.)); #505761=CARTESIAN_POINT('',(37.7125,16.8875,0.035)); #505762=CARTESIAN_POINT('Origin',(37.4,16.75,0.035)); #505763=CARTESIAN_POINT('Origin',(37.4,16.75,0.)); #505764=CARTESIAN_POINT('Origin',(37.7125,14.1125,0.)); #505765=CARTESIAN_POINT('',(37.7125,14.1125,0.)); #505766=CARTESIAN_POINT('',(37.7125,14.3875,0.)); #505767=CARTESIAN_POINT('',(37.7125,14.1125,0.)); #505768=CARTESIAN_POINT('',(37.7125,14.3875,0.035)); #505769=CARTESIAN_POINT('',(37.7125,14.3875,0.)); #505770=CARTESIAN_POINT('',(37.7125,14.1125,0.035)); #505771=CARTESIAN_POINT('',(37.7125,14.1125,0.035)); #505772=CARTESIAN_POINT('',(37.7125,14.1125,0.)); #505773=CARTESIAN_POINT('Origin',(37.0875,14.1125,0.)); #505774=CARTESIAN_POINT('',(37.0875,14.1125,0.)); #505775=CARTESIAN_POINT('',(37.0875,14.1125,0.)); #505776=CARTESIAN_POINT('',(37.0875,14.1125,0.035)); #505777=CARTESIAN_POINT('',(37.0875,14.1125,0.035)); #505778=CARTESIAN_POINT('',(37.0875,14.1125,0.)); #505779=CARTESIAN_POINT('Origin',(37.0875,14.3875,0.)); #505780=CARTESIAN_POINT('',(37.0875,14.3875,0.)); #505781=CARTESIAN_POINT('',(37.0875,14.3875,0.)); #505782=CARTESIAN_POINT('',(37.0875,14.3875,0.035)); #505783=CARTESIAN_POINT('',(37.0875,14.3875,0.035)); #505784=CARTESIAN_POINT('',(37.0875,14.3875,0.)); #505785=CARTESIAN_POINT('Origin',(37.7125,14.3875,0.)); #505786=CARTESIAN_POINT('',(37.7125,14.3875,0.)); #505787=CARTESIAN_POINT('',(37.7125,14.3875,0.035)); #505788=CARTESIAN_POINT('Origin',(37.4,14.25,0.035)); #505789=CARTESIAN_POINT('Origin',(37.4,14.25,0.)); #505790=CARTESIAN_POINT('Origin',(35.27,45.,-200.)); #505791=CARTESIAN_POINT('',(34.705,45.,0.)); #505792=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #505793=CARTESIAN_POINT('',(34.705,45.,0.0349999999999895)); #505794=CARTESIAN_POINT('',(34.705,45.,-200.)); #505795=CARTESIAN_POINT('Origin',(35.27,45.,0.0349999999999895)); #505796=CARTESIAN_POINT('Origin',(35.309294,44.1,0.)); #505797=CARTESIAN_POINT('',(35.309294,44.1,0.)); #505798=CARTESIAN_POINT('',(35.387584,44.10685,0.)); #505799=CARTESIAN_POINT('',(35.309294,44.1,0.)); #505800=CARTESIAN_POINT('',(35.387584,44.10685,0.035)); #505801=CARTESIAN_POINT('',(35.387584,44.10685,0.)); #505802=CARTESIAN_POINT('',(35.309294,44.1,0.035)); #505803=CARTESIAN_POINT('',(35.309294,44.1,0.035)); #505804=CARTESIAN_POINT('',(35.309294,44.1,0.)); #505805=CARTESIAN_POINT('Origin',(35.270000340126,44.9999997233462,0.)); #505806=CARTESIAN_POINT('',(35.152416,45.89315,0.)); #505807=CARTESIAN_POINT('Origin',(35.270000340126,44.9999997233462,0.)); #505808=CARTESIAN_POINT('',(35.152416,45.89315,0.035)); #505809=CARTESIAN_POINT('Origin',(35.270000340126,44.9999997233462,0.035)); #505810=CARTESIAN_POINT('',(35.152416,45.89315,0.)); #505811=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #505812=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #505813=CARTESIAN_POINT('Origin',(35.27,45.,0.035)); #505814=CARTESIAN_POINT('Origin',(35.2682590237178,44.9986240251875,0.035)); #505815=CARTESIAN_POINT('Origin',(35.2682590237178,44.9986240251875,0.)); #505816=CARTESIAN_POINT('Origin',(42.25,28.5,-200.)); #505817=CARTESIAN_POINT('',(42.11,28.5,0.)); #505818=CARTESIAN_POINT('Origin',(42.25,28.5,0.)); #505819=CARTESIAN_POINT('',(42.11,28.5,0.0349999999999895)); #505820=CARTESIAN_POINT('',(42.11,28.5,-200.)); #505821=CARTESIAN_POINT('Origin',(42.25,28.5,0.0349999999999895)); #505822=CARTESIAN_POINT('Origin',(40.75,27.,-200.)); #505823=CARTESIAN_POINT('',(40.61,27.,0.)); #505824=CARTESIAN_POINT('Origin',(40.75,27.,0.)); #505825=CARTESIAN_POINT('',(40.61,27.,0.0349999999999895)); #505826=CARTESIAN_POINT('',(40.61,27.,-200.)); #505827=CARTESIAN_POINT('Origin',(40.75,27.,0.0349999999999895)); #505828=CARTESIAN_POINT('Origin',(40.771644,26.725,0.)); #505829=CARTESIAN_POINT('',(40.771644,26.725,0.)); #505830=CARTESIAN_POINT('',(40.814397,26.731772,0.)); #505831=CARTESIAN_POINT('',(40.771644,26.725,0.)); #505832=CARTESIAN_POINT('',(40.814397,26.731772,0.035)); #505833=CARTESIAN_POINT('',(40.814397,26.731772,0.)); #505834=CARTESIAN_POINT('',(40.771644,26.725,0.035)); #505835=CARTESIAN_POINT('',(40.771644,26.725,0.035)); #505836=CARTESIAN_POINT('',(40.771644,26.725,0.)); #505837=CARTESIAN_POINT('Origin',(40.7500002346035,26.9999996129187,0.)); #505838=CARTESIAN_POINT('',(40.644438,26.745147,0.)); #505839=CARTESIAN_POINT('Origin',(40.7500002346035,26.9999996129187,0.)); #505840=CARTESIAN_POINT('',(40.644438,26.745147,0.035)); #505841=CARTESIAN_POINT('Origin',(40.7500002346035,26.9999996129187,0.035)); #505842=CARTESIAN_POINT('',(40.644438,26.745147,0.)); #505843=CARTESIAN_POINT('Origin',(40.749856389188,26.9996053520337,0.)); #505844=CARTESIAN_POINT('',(40.832681,27.262288,0.)); #505845=CARTESIAN_POINT('Origin',(40.749856389188,26.9996053520337,0.)); #505846=CARTESIAN_POINT('',(40.832681,27.262288,0.035)); #505847=CARTESIAN_POINT('Origin',(40.749856389188,26.9996053520337,0.035)); #505848=CARTESIAN_POINT('',(40.832681,27.262288,0.)); #505849=CARTESIAN_POINT('Origin',(41.987713,28.417319,0.)); #505850=CARTESIAN_POINT('',(41.987713,28.417319,0.)); #505851=CARTESIAN_POINT('',(41.987713,28.417319,0.)); #505852=CARTESIAN_POINT('',(41.987713,28.417319,0.035)); #505853=CARTESIAN_POINT('',(41.987713,28.417319,0.035)); #505854=CARTESIAN_POINT('',(41.987713,28.417319,0.)); #505855=CARTESIAN_POINT('Origin',(42.250342029785,28.5003130782902,0.)); #505856=CARTESIAN_POINT('',(42.355563,28.754853,0.)); #505857=CARTESIAN_POINT('Origin',(42.250342029785,28.5003130782902,0.)); #505858=CARTESIAN_POINT('',(42.355563,28.754853,0.035)); #505859=CARTESIAN_POINT('Origin',(42.250342029785,28.5003130782902,0.035)); #505860=CARTESIAN_POINT('',(42.355563,28.754853,0.)); #505861=CARTESIAN_POINT('Origin',(42.2501441326569,28.5003951698111,0.)); #505862=CARTESIAN_POINT('',(42.167319,28.237713,0.)); #505863=CARTESIAN_POINT('Origin',(42.2501441326569,28.5003951698111,0.)); #505864=CARTESIAN_POINT('',(42.167319,28.237713,0.035)); #505865=CARTESIAN_POINT('Origin',(42.2501441326569,28.5003951698111,0.035)); #505866=CARTESIAN_POINT('',(42.167319,28.237713,0.)); #505867=CARTESIAN_POINT('Origin',(41.012287,27.082681,0.)); #505868=CARTESIAN_POINT('',(41.012287,27.082681,0.)); #505869=CARTESIAN_POINT('',(41.012287,27.082681,0.)); #505870=CARTESIAN_POINT('',(41.012287,27.082681,0.035)); #505871=CARTESIAN_POINT('',(41.012287,27.082681,0.035)); #505872=CARTESIAN_POINT('',(41.012287,27.082681,0.)); #505873=CARTESIAN_POINT('Origin',(40.7497173966391,26.9995002027522,0.)); #505874=CARTESIAN_POINT('Origin',(40.7497173966391,26.9995002027522,0.)); #505875=CARTESIAN_POINT('Origin',(40.7497173966391,26.9995002027522,0.035)); #505876=CARTESIAN_POINT('Origin',(41.5003228342862,27.7494159619455,0.035)); #505877=CARTESIAN_POINT('Origin',(41.5003228342862,27.7494159619455,0.)); #505878=CARTESIAN_POINT('Origin',(46.25,34.,-200.)); #505879=CARTESIAN_POINT('',(45.735,34.,0.)); #505880=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #505881=CARTESIAN_POINT('',(45.735,34.,0.0349999999999895)); #505882=CARTESIAN_POINT('',(45.735,34.,-200.)); #505883=CARTESIAN_POINT('Origin',(46.25,34.,0.0349999999999895)); #505884=CARTESIAN_POINT('Origin',(46.290528,33.175,0.)); #505885=CARTESIAN_POINT('',(46.290528,33.175,0.)); #505886=CARTESIAN_POINT('',(46.3712,33.182944,0.)); #505887=CARTESIAN_POINT('',(46.290528,33.175,0.)); #505888=CARTESIAN_POINT('',(46.3712,33.182944,0.035)); #505889=CARTESIAN_POINT('',(46.3712,33.182944,0.)); #505890=CARTESIAN_POINT('',(46.290528,33.175,0.035)); #505891=CARTESIAN_POINT('',(46.290528,33.175,0.035)); #505892=CARTESIAN_POINT('',(46.290528,33.175,0.)); #505893=CARTESIAN_POINT('Origin',(46.24999984599,34.0000007242556,0.)); #505894=CARTESIAN_POINT('',(46.1288,34.817056,0.)); #505895=CARTESIAN_POINT('Origin',(46.24999984599,34.0000007242556,0.)); #505896=CARTESIAN_POINT('',(46.1288,34.817056,0.035)); #505897=CARTESIAN_POINT('Origin',(46.24999984599,34.0000007242556,0.035)); #505898=CARTESIAN_POINT('',(46.1288,34.817056,0.)); #505899=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #505900=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #505901=CARTESIAN_POINT('Origin',(46.25,34.,0.035)); #505902=CARTESIAN_POINT('Origin',(46.24822648407,33.9985656780324,0.035)); #505903=CARTESIAN_POINT('Origin',(46.24822648407,33.9985656780324,0.)); #505904=CARTESIAN_POINT('Origin',(36.5,27.75,-200.)); #505905=CARTESIAN_POINT('',(36.035,27.75,0.)); #505906=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #505907=CARTESIAN_POINT('',(36.035,27.75,0.0349999999999895)); #505908=CARTESIAN_POINT('',(36.035,27.75,-200.)); #505909=CARTESIAN_POINT('Origin',(36.5,27.75,0.0349999999999895)); #505910=CARTESIAN_POINT('Origin',(36.536844,27.,0.)); #505911=CARTESIAN_POINT('',(36.536844,27.,0.)); #505912=CARTESIAN_POINT('',(36.610181,27.007222,0.)); #505913=CARTESIAN_POINT('',(36.536844,27.,0.)); #505914=CARTESIAN_POINT('',(36.610181,27.007222,0.035)); #505915=CARTESIAN_POINT('',(36.610181,27.007222,0.)); #505916=CARTESIAN_POINT('',(36.536844,27.,0.035)); #505917=CARTESIAN_POINT('',(36.536844,27.,0.035)); #505918=CARTESIAN_POINT('',(36.536844,27.,0.)); #505919=CARTESIAN_POINT('Origin',(36.5000001874156,27.7500005308286,0.)); #505920=CARTESIAN_POINT('',(36.389819,28.492778,0.)); #505921=CARTESIAN_POINT('Origin',(36.5000001874156,27.7500005308286,0.)); #505922=CARTESIAN_POINT('',(36.389819,28.492778,0.035)); #505923=CARTESIAN_POINT('Origin',(36.5000001874156,27.7500005308286,0.035)); #505924=CARTESIAN_POINT('',(36.389819,28.492778,0.)); #505925=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #505926=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #505927=CARTESIAN_POINT('Origin',(36.5,27.75,0.035)); #505928=CARTESIAN_POINT('Origin',(36.4983877860344,27.7486960162912,0.035)); #505929=CARTESIAN_POINT('Origin',(36.4983877860344,27.7486960162912,0.)); #505930=CARTESIAN_POINT('Origin',(30.5,11.25,-200.)); #505931=CARTESIAN_POINT('',(30.36,11.25,0.)); #505932=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #505933=CARTESIAN_POINT('',(30.36,11.25,0.0349999999999895)); #505934=CARTESIAN_POINT('',(30.36,11.25,-200.)); #505935=CARTESIAN_POINT('Origin',(30.5,11.25,0.0349999999999895)); #505936=CARTESIAN_POINT('Origin',(30.521644,10.975,0.)); #505937=CARTESIAN_POINT('',(30.521644,10.975,0.)); #505938=CARTESIAN_POINT('',(30.564397,10.981772,0.)); #505939=CARTESIAN_POINT('',(30.521644,10.975,0.)); #505940=CARTESIAN_POINT('',(30.564397,10.981772,0.035)); #505941=CARTESIAN_POINT('',(30.564397,10.981772,0.)); #505942=CARTESIAN_POINT('',(30.521644,10.975,0.035)); #505943=CARTESIAN_POINT('',(30.521644,10.975,0.035)); #505944=CARTESIAN_POINT('',(30.521644,10.975,0.)); #505945=CARTESIAN_POINT('Origin',(30.500666927461,11.2496305438086,0.)); #505946=CARTESIAN_POINT('',(30.256072,11.123,0.)); #505947=CARTESIAN_POINT('Origin',(30.500666927461,11.2496305438086,0.)); #505948=CARTESIAN_POINT('',(30.256072,11.123,0.035)); #505949=CARTESIAN_POINT('Origin',(30.500666927461,11.2496305438086,0.035)); #505950=CARTESIAN_POINT('',(30.256072,11.123,0.)); #505951=CARTESIAN_POINT('Origin',(29.533988,11.123,0.)); #505952=CARTESIAN_POINT('',(29.533988,11.123,0.)); #505953=CARTESIAN_POINT('',(29.533988,11.123,0.)); #505954=CARTESIAN_POINT('',(29.533988,11.123,0.035)); #505955=CARTESIAN_POINT('',(29.533988,11.123,0.035)); #505956=CARTESIAN_POINT('',(29.533988,11.123,0.)); #505957=CARTESIAN_POINT('Origin',(29.5339867502996,11.250001515832,0.)); #505958=CARTESIAN_POINT('',(29.444184,11.160197,0.)); #505959=CARTESIAN_POINT('Origin',(29.5339867502996,11.250001515832,0.)); #505960=CARTESIAN_POINT('',(29.444184,11.160197,0.035)); #505961=CARTESIAN_POINT('Origin',(29.5339867502996,11.250001515832,0.035)); #505962=CARTESIAN_POINT('',(29.444184,11.160197,0.)); #505963=CARTESIAN_POINT('Origin',(26.048881,14.5555,0.)); #505964=CARTESIAN_POINT('',(26.048881,14.5555,0.)); #505965=CARTESIAN_POINT('',(26.048881,14.5555,0.)); #505966=CARTESIAN_POINT('',(26.048881,14.5555,0.035)); #505967=CARTESIAN_POINT('',(26.048881,14.5555,0.035)); #505968=CARTESIAN_POINT('',(26.048881,14.5555,0.)); #505969=CARTESIAN_POINT('Origin',(25.3429,14.5555,0.)); #505970=CARTESIAN_POINT('',(25.3429,14.5555,0.)); #505971=CARTESIAN_POINT('',(25.3429,14.5555,0.)); #505972=CARTESIAN_POINT('',(25.3429,14.5555,0.035)); #505973=CARTESIAN_POINT('',(25.3429,14.5555,0.035)); #505974=CARTESIAN_POINT('',(25.3429,14.5555,0.)); #505975=CARTESIAN_POINT('Origin',(25.3429,15.4445,0.)); #505976=CARTESIAN_POINT('',(25.3429,15.4445,0.)); #505977=CARTESIAN_POINT('',(25.3429,15.4445,0.)); #505978=CARTESIAN_POINT('',(25.3429,15.4445,0.035)); #505979=CARTESIAN_POINT('',(25.3429,15.4445,0.035)); #505980=CARTESIAN_POINT('',(25.3429,15.4445,0.)); #505981=CARTESIAN_POINT('Origin',(26.1811,15.4445,0.)); #505982=CARTESIAN_POINT('',(26.1811,15.4445,0.)); #505983=CARTESIAN_POINT('',(26.1811,15.4445,0.)); #505984=CARTESIAN_POINT('',(26.1811,15.4445,0.035)); #505985=CARTESIAN_POINT('',(26.1811,15.4445,0.035)); #505986=CARTESIAN_POINT('',(26.1811,15.4445,0.)); #505987=CARTESIAN_POINT('Origin',(26.1811,14.782494,0.)); #505988=CARTESIAN_POINT('',(26.1811,14.782494,0.)); #505989=CARTESIAN_POINT('',(26.1811,14.782494,0.)); #505990=CARTESIAN_POINT('',(26.1811,14.782494,0.035)); #505991=CARTESIAN_POINT('',(26.1811,14.782494,0.035)); #505992=CARTESIAN_POINT('',(26.1811,14.782494,0.)); #505993=CARTESIAN_POINT('Origin',(29.586594,11.377,0.)); #505994=CARTESIAN_POINT('',(29.586594,11.377,0.)); #505995=CARTESIAN_POINT('',(29.586594,11.377,0.)); #505996=CARTESIAN_POINT('',(29.586594,11.377,0.035)); #505997=CARTESIAN_POINT('',(29.586594,11.377,0.035)); #505998=CARTESIAN_POINT('',(29.586594,11.377,0.)); #505999=CARTESIAN_POINT('Origin',(30.256072,11.377,0.)); #506000=CARTESIAN_POINT('',(30.256072,11.377,0.)); #506001=CARTESIAN_POINT('',(30.256072,11.377,0.)); #506002=CARTESIAN_POINT('',(30.256072,11.377,0.035)); #506003=CARTESIAN_POINT('',(30.256072,11.377,0.035)); #506004=CARTESIAN_POINT('',(30.256072,11.377,0.)); #506005=CARTESIAN_POINT('Origin',(30.5007991253016,11.2506246242954,0.)); #506006=CARTESIAN_POINT('',(30.435603,11.518228,0.)); #506007=CARTESIAN_POINT('Origin',(30.5007991253016,11.2506246242954,0.)); #506008=CARTESIAN_POINT('',(30.435603,11.518228,0.035)); #506009=CARTESIAN_POINT('Origin',(30.5007991253016,11.2506246242954,0.035)); #506010=CARTESIAN_POINT('',(30.435603,11.518228,0.)); #506011=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #506012=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #506013=CARTESIAN_POINT('Origin',(30.5,11.25,0.035)); #506014=CARTESIAN_POINT('Origin',(27.852162884321,13.0527704628258,0.035)); #506015=CARTESIAN_POINT('Origin',(27.852162884321,13.0527704628258,0.)); #506016=CARTESIAN_POINT('Origin',(35.75,11.25,-200.)); #506017=CARTESIAN_POINT('',(35.61,11.25,0.)); #506018=CARTESIAN_POINT('Origin',(35.75,11.25,0.)); #506019=CARTESIAN_POINT('',(35.61,11.25,0.0349999999999895)); #506020=CARTESIAN_POINT('',(35.61,11.25,-200.)); #506021=CARTESIAN_POINT('Origin',(35.75,11.25,0.0349999999999895)); #506022=CARTESIAN_POINT('Origin',(35.771644,10.975,0.)); #506023=CARTESIAN_POINT('',(35.771644,10.975,0.)); #506024=CARTESIAN_POINT('',(35.814397,10.981772,0.)); #506025=CARTESIAN_POINT('',(35.771644,10.975,0.)); #506026=CARTESIAN_POINT('',(35.814397,10.981772,0.035)); #506027=CARTESIAN_POINT('',(35.814397,10.981772,0.)); #506028=CARTESIAN_POINT('',(35.771644,10.975,0.035)); #506029=CARTESIAN_POINT('',(35.771644,10.975,0.035)); #506030=CARTESIAN_POINT('',(35.771644,10.975,0.)); #506031=CARTESIAN_POINT('Origin',(35.750118471131,11.2495872515008,0.)); #506032=CARTESIAN_POINT('',(35.623,11.493928,0.)); #506033=CARTESIAN_POINT('Origin',(35.750118471131,11.2495872515008,0.)); #506034=CARTESIAN_POINT('',(35.623,11.493928,0.035)); #506035=CARTESIAN_POINT('Origin',(35.750118471131,11.2495872515008,0.035)); #506036=CARTESIAN_POINT('',(35.623,11.493928,0.)); #506037=CARTESIAN_POINT('Origin',(35.623,13.2875,0.)); #506038=CARTESIAN_POINT('',(35.623,13.2875,0.)); #506039=CARTESIAN_POINT('',(35.623,13.2875,0.)); #506040=CARTESIAN_POINT('',(35.623,13.2875,0.035)); #506041=CARTESIAN_POINT('',(35.623,13.2875,0.035)); #506042=CARTESIAN_POINT('',(35.623,13.2875,0.)); #506043=CARTESIAN_POINT('Origin',(35.6125,13.2875,0.)); #506044=CARTESIAN_POINT('',(35.6125,13.2875,0.)); #506045=CARTESIAN_POINT('',(35.6125,13.2875,0.)); #506046=CARTESIAN_POINT('',(35.6125,13.2875,0.035)); #506047=CARTESIAN_POINT('',(35.6125,13.2875,0.035)); #506048=CARTESIAN_POINT('',(35.6125,13.2875,0.)); #506049=CARTESIAN_POINT('Origin',(35.6125,13.9125,0.)); #506050=CARTESIAN_POINT('',(35.6125,13.9125,0.)); #506051=CARTESIAN_POINT('',(35.6125,13.9125,0.)); #506052=CARTESIAN_POINT('',(35.6125,13.9125,0.035)); #506053=CARTESIAN_POINT('',(35.6125,13.9125,0.035)); #506054=CARTESIAN_POINT('',(35.6125,13.9125,0.)); #506055=CARTESIAN_POINT('Origin',(35.8875,13.9125,0.)); #506056=CARTESIAN_POINT('',(35.8875,13.9125,0.)); #506057=CARTESIAN_POINT('',(35.8875,13.9125,0.)); #506058=CARTESIAN_POINT('',(35.8875,13.9125,0.035)); #506059=CARTESIAN_POINT('',(35.8875,13.9125,0.035)); #506060=CARTESIAN_POINT('',(35.8875,13.9125,0.)); #506061=CARTESIAN_POINT('Origin',(35.8875,13.2875,0.)); #506062=CARTESIAN_POINT('',(35.8875,13.2875,0.)); #506063=CARTESIAN_POINT('',(35.8875,13.2875,0.)); #506064=CARTESIAN_POINT('',(35.8875,13.2875,0.035)); #506065=CARTESIAN_POINT('',(35.8875,13.2875,0.035)); #506066=CARTESIAN_POINT('',(35.8875,13.2875,0.)); #506067=CARTESIAN_POINT('Origin',(35.877,13.2875,0.)); #506068=CARTESIAN_POINT('',(35.877,13.2875,0.)); #506069=CARTESIAN_POINT('',(35.877,13.2875,0.)); #506070=CARTESIAN_POINT('',(35.877,13.2875,0.035)); #506071=CARTESIAN_POINT('',(35.877,13.2875,0.035)); #506072=CARTESIAN_POINT('',(35.877,13.2875,0.)); #506073=CARTESIAN_POINT('Origin',(35.877,11.493928,0.)); #506074=CARTESIAN_POINT('',(35.877,11.493928,0.)); #506075=CARTESIAN_POINT('',(35.877,11.493928,0.)); #506076=CARTESIAN_POINT('',(35.877,11.493928,0.035)); #506077=CARTESIAN_POINT('',(35.877,11.493928,0.035)); #506078=CARTESIAN_POINT('',(35.877,11.493928,0.)); #506079=CARTESIAN_POINT('Origin',(35.7499453752955,11.249554310534,0.)); #506080=CARTESIAN_POINT('Origin',(35.7499453752955,11.249554310534,0.)); #506081=CARTESIAN_POINT('Origin',(35.7499453752955,11.249554310534,0.035)); #506082=CARTESIAN_POINT('Origin',(35.750393647629,12.4224979661359,0.035)); #506083=CARTESIAN_POINT('Origin',(35.750393647629,12.4224979661359,0.)); #506084=CARTESIAN_POINT('Origin',(29.147269,15.877,0.)); #506085=CARTESIAN_POINT('',(29.147269,15.877,0.)); #506086=CARTESIAN_POINT('',(27.002,18.022269,0.)); #506087=CARTESIAN_POINT('',(29.147269,15.877,0.)); #506088=CARTESIAN_POINT('',(27.002,18.022269,0.035)); #506089=CARTESIAN_POINT('',(27.002,18.022269,0.)); #506090=CARTESIAN_POINT('',(29.147269,15.877,0.035)); #506091=CARTESIAN_POINT('',(29.147269,15.877,0.035)); #506092=CARTESIAN_POINT('',(29.147269,15.877,0.)); #506093=CARTESIAN_POINT('Origin',(30.2875,15.877,0.)); #506094=CARTESIAN_POINT('',(30.2875,15.877,0.)); #506095=CARTESIAN_POINT('',(30.2875,15.877,0.)); #506096=CARTESIAN_POINT('',(30.2875,15.877,0.035)); #506097=CARTESIAN_POINT('',(30.2875,15.877,0.035)); #506098=CARTESIAN_POINT('',(30.2875,15.877,0.)); #506099=CARTESIAN_POINT('Origin',(30.2875,15.8875,0.)); #506100=CARTESIAN_POINT('',(30.2875,15.8875,0.)); #506101=CARTESIAN_POINT('',(30.2875,15.8875,0.)); #506102=CARTESIAN_POINT('',(30.2875,15.8875,0.035)); #506103=CARTESIAN_POINT('',(30.2875,15.8875,0.035)); #506104=CARTESIAN_POINT('',(30.2875,15.8875,0.)); #506105=CARTESIAN_POINT('Origin',(30.9125,15.8875,0.)); #506106=CARTESIAN_POINT('',(30.9125,15.8875,0.)); #506107=CARTESIAN_POINT('',(30.9125,15.8875,0.)); #506108=CARTESIAN_POINT('',(30.9125,15.8875,0.035)); #506109=CARTESIAN_POINT('',(30.9125,15.8875,0.035)); #506110=CARTESIAN_POINT('',(30.9125,15.8875,0.)); #506111=CARTESIAN_POINT('Origin',(30.9125,15.6125,0.)); #506112=CARTESIAN_POINT('',(30.9125,15.6125,0.)); #506113=CARTESIAN_POINT('',(30.9125,15.6125,0.)); #506114=CARTESIAN_POINT('',(30.9125,15.6125,0.035)); #506115=CARTESIAN_POINT('',(30.9125,15.6125,0.035)); #506116=CARTESIAN_POINT('',(30.9125,15.6125,0.)); #506117=CARTESIAN_POINT('Origin',(30.2875,15.6125,0.)); #506118=CARTESIAN_POINT('',(30.2875,15.6125,0.)); #506119=CARTESIAN_POINT('',(30.2875,15.6125,0.)); #506120=CARTESIAN_POINT('',(30.2875,15.6125,0.035)); #506121=CARTESIAN_POINT('',(30.2875,15.6125,0.035)); #506122=CARTESIAN_POINT('',(30.2875,15.6125,0.)); #506123=CARTESIAN_POINT('Origin',(30.2875,15.623,0.)); #506124=CARTESIAN_POINT('',(30.2875,15.623,0.)); #506125=CARTESIAN_POINT('',(30.2875,15.623,0.)); #506126=CARTESIAN_POINT('',(30.2875,15.623,0.035)); #506127=CARTESIAN_POINT('',(30.2875,15.623,0.035)); #506128=CARTESIAN_POINT('',(30.2875,15.623,0.)); #506129=CARTESIAN_POINT('Origin',(29.094663,15.623,0.)); #506130=CARTESIAN_POINT('',(29.094663,15.623,0.)); #506131=CARTESIAN_POINT('',(29.094663,15.623,0.)); #506132=CARTESIAN_POINT('',(29.094663,15.623,0.035)); #506133=CARTESIAN_POINT('',(29.094663,15.623,0.035)); #506134=CARTESIAN_POINT('',(29.094663,15.623,0.)); #506135=CARTESIAN_POINT('Origin',(29.0946617502996,15.750001515832,0.)); #506136=CARTESIAN_POINT('',(29.004859,15.660197,0.)); #506137=CARTESIAN_POINT('Origin',(29.0946617502996,15.750001515832,0.)); #506138=CARTESIAN_POINT('',(29.004859,15.660197,0.035)); #506139=CARTESIAN_POINT('Origin',(29.0946617502996,15.750001515832,0.035)); #506140=CARTESIAN_POINT('',(29.004859,15.660197,0.)); #506141=CARTESIAN_POINT('Origin',(26.785197,17.879859,0.)); #506142=CARTESIAN_POINT('',(26.785197,17.879859,0.)); #506143=CARTESIAN_POINT('',(26.785197,17.879859,0.)); #506144=CARTESIAN_POINT('',(26.785197,17.879859,0.035)); #506145=CARTESIAN_POINT('',(26.785197,17.879859,0.035)); #506146=CARTESIAN_POINT('',(26.785197,17.879859,0.)); #506147=CARTESIAN_POINT('Origin',(26.875001515832,17.9696617502996,0.)); #506148=CARTESIAN_POINT('',(26.748,17.969663,0.)); #506149=CARTESIAN_POINT('Origin',(26.875001515832,17.9696617502996,0.)); #506150=CARTESIAN_POINT('',(26.748,17.969663,0.035)); #506151=CARTESIAN_POINT('Origin',(26.875001515832,17.9696617502996,0.035)); #506152=CARTESIAN_POINT('',(26.748,17.969663,0.)); #506153=CARTESIAN_POINT('Origin',(26.748,19.808994,0.)); #506154=CARTESIAN_POINT('',(26.748,19.808994,0.)); #506155=CARTESIAN_POINT('',(26.748,19.808994,0.)); #506156=CARTESIAN_POINT('',(26.748,19.808994,0.035)); #506157=CARTESIAN_POINT('',(26.748,19.808994,0.035)); #506158=CARTESIAN_POINT('',(26.748,19.808994,0.)); #506159=CARTESIAN_POINT('Origin',(26.001494,20.5555,0.)); #506160=CARTESIAN_POINT('',(26.001494,20.5555,0.)); #506161=CARTESIAN_POINT('',(26.001494,20.5555,0.)); #506162=CARTESIAN_POINT('',(26.001494,20.5555,0.035)); #506163=CARTESIAN_POINT('',(26.001494,20.5555,0.035)); #506164=CARTESIAN_POINT('',(26.001494,20.5555,0.)); #506165=CARTESIAN_POINT('Origin',(25.3429,20.5555,0.)); #506166=CARTESIAN_POINT('',(25.3429,20.5555,0.)); #506167=CARTESIAN_POINT('',(25.3429,20.5555,0.)); #506168=CARTESIAN_POINT('',(25.3429,20.5555,0.035)); #506169=CARTESIAN_POINT('',(25.3429,20.5555,0.035)); #506170=CARTESIAN_POINT('',(25.3429,20.5555,0.)); #506171=CARTESIAN_POINT('Origin',(25.3429,21.4445,0.)); #506172=CARTESIAN_POINT('',(25.3429,21.4445,0.)); #506173=CARTESIAN_POINT('',(25.3429,21.4445,0.)); #506174=CARTESIAN_POINT('',(25.3429,21.4445,0.035)); #506175=CARTESIAN_POINT('',(25.3429,21.4445,0.035)); #506176=CARTESIAN_POINT('',(25.3429,21.4445,0.)); #506177=CARTESIAN_POINT('Origin',(26.1811,21.4445,0.)); #506178=CARTESIAN_POINT('',(26.1811,21.4445,0.)); #506179=CARTESIAN_POINT('',(26.1811,21.4445,0.)); #506180=CARTESIAN_POINT('',(26.1811,21.4445,0.035)); #506181=CARTESIAN_POINT('',(26.1811,21.4445,0.035)); #506182=CARTESIAN_POINT('',(26.1811,21.4445,0.)); #506183=CARTESIAN_POINT('Origin',(26.1811,20.735106,0.)); #506184=CARTESIAN_POINT('',(26.1811,20.735106,0.)); #506185=CARTESIAN_POINT('',(26.1811,20.735106,0.)); #506186=CARTESIAN_POINT('',(26.1811,20.735106,0.035)); #506187=CARTESIAN_POINT('',(26.1811,20.735106,0.035)); #506188=CARTESIAN_POINT('',(26.1811,20.735106,0.)); #506189=CARTESIAN_POINT('Origin',(26.964803,19.951403,0.)); #506190=CARTESIAN_POINT('',(26.964803,19.951403,0.)); #506191=CARTESIAN_POINT('',(26.964803,19.951403,0.)); #506192=CARTESIAN_POINT('',(26.964803,19.951403,0.035)); #506193=CARTESIAN_POINT('',(26.964803,19.951403,0.035)); #506194=CARTESIAN_POINT('',(26.964803,19.951403,0.)); #506195=CARTESIAN_POINT('Origin',(26.8749996912748,19.8616007497004,0.)); #506196=CARTESIAN_POINT('',(27.002,19.8616,0.)); #506197=CARTESIAN_POINT('Origin',(26.8749996912748,19.8616007497004,0.)); #506198=CARTESIAN_POINT('',(27.002,19.8616,0.035)); #506199=CARTESIAN_POINT('Origin',(26.8749996912748,19.8616007497004,0.035)); #506200=CARTESIAN_POINT('',(27.002,19.8616,0.)); #506201=CARTESIAN_POINT('Origin',(27.002,18.022269,0.)); #506202=CARTESIAN_POINT('',(27.002,18.022269,0.)); #506203=CARTESIAN_POINT('',(27.002,18.022269,0.035)); #506204=CARTESIAN_POINT('Origin',(27.6554521734073,18.0965818223084,0.035)); #506205=CARTESIAN_POINT('Origin',(27.6554521734073,18.0965818223084,0.)); #506206=CARTESIAN_POINT('Origin',(34.8875,20.0875,0.)); #506207=CARTESIAN_POINT('',(34.8875,20.0875,0.)); #506208=CARTESIAN_POINT('',(34.8875,20.7125,0.)); #506209=CARTESIAN_POINT('',(34.8875,20.0875,0.)); #506210=CARTESIAN_POINT('',(34.8875,20.7125,0.035)); #506211=CARTESIAN_POINT('',(34.8875,20.7125,0.)); #506212=CARTESIAN_POINT('',(34.8875,20.0875,0.035)); #506213=CARTESIAN_POINT('',(34.8875,20.0875,0.035)); #506214=CARTESIAN_POINT('',(34.8875,20.0875,0.)); #506215=CARTESIAN_POINT('Origin',(34.6125,20.0875,0.)); #506216=CARTESIAN_POINT('',(34.6125,20.0875,0.)); #506217=CARTESIAN_POINT('',(34.6125,20.0875,0.)); #506218=CARTESIAN_POINT('',(34.6125,20.0875,0.035)); #506219=CARTESIAN_POINT('',(34.6125,20.0875,0.035)); #506220=CARTESIAN_POINT('',(34.6125,20.0875,0.)); #506221=CARTESIAN_POINT('Origin',(34.6125,20.7125,0.)); #506222=CARTESIAN_POINT('',(34.6125,20.7125,0.)); #506223=CARTESIAN_POINT('',(34.6125,20.7125,0.)); #506224=CARTESIAN_POINT('',(34.6125,20.7125,0.035)); #506225=CARTESIAN_POINT('',(34.6125,20.7125,0.035)); #506226=CARTESIAN_POINT('',(34.6125,20.7125,0.)); #506227=CARTESIAN_POINT('Origin',(34.623,20.7125,0.)); #506228=CARTESIAN_POINT('',(34.623,20.7125,0.)); #506229=CARTESIAN_POINT('',(34.623,20.7125,0.)); #506230=CARTESIAN_POINT('',(34.623,20.7125,0.035)); #506231=CARTESIAN_POINT('',(34.623,20.7125,0.035)); #506232=CARTESIAN_POINT('',(34.623,20.7125,0.)); #506233=CARTESIAN_POINT('Origin',(34.623,21.939325,0.)); #506234=CARTESIAN_POINT('',(34.623,21.939325,0.)); #506235=CARTESIAN_POINT('',(34.623,21.939325,0.)); #506236=CARTESIAN_POINT('',(34.623,21.939325,0.035)); #506237=CARTESIAN_POINT('',(34.623,21.939325,0.035)); #506238=CARTESIAN_POINT('',(34.623,21.939325,0.)); #506239=CARTESIAN_POINT('Origin',(34.7500003087252,21.9393257497004,0.)); #506240=CARTESIAN_POINT('',(34.660197,22.029128,0.)); #506241=CARTESIAN_POINT('Origin',(34.7500003087252,21.9393257497004,0.)); #506242=CARTESIAN_POINT('',(34.660197,22.029128,0.035)); #506243=CARTESIAN_POINT('Origin',(34.7500003087252,21.9393257497004,0.035)); #506244=CARTESIAN_POINT('',(34.660197,22.029128,0.)); #506245=CARTESIAN_POINT('Origin',(37.623,24.991931,0.)); #506246=CARTESIAN_POINT('',(37.623,24.991931,0.)); #506247=CARTESIAN_POINT('',(37.623,24.991931,0.)); #506248=CARTESIAN_POINT('',(37.623,24.991931,0.035)); #506249=CARTESIAN_POINT('',(37.623,24.991931,0.035)); #506250=CARTESIAN_POINT('',(37.623,24.991931,0.)); #506251=CARTESIAN_POINT('Origin',(37.623,29.597194,0.)); #506252=CARTESIAN_POINT('',(37.623,29.597194,0.)); #506253=CARTESIAN_POINT('',(37.623,29.597194,0.)); #506254=CARTESIAN_POINT('',(37.623,29.597194,0.035)); #506255=CARTESIAN_POINT('',(37.623,29.597194,0.035)); #506256=CARTESIAN_POINT('',(37.623,29.597194,0.)); #506257=CARTESIAN_POINT('Origin',(36.817094,30.4031,0.)); #506258=CARTESIAN_POINT('',(36.817094,30.4031,0.)); #506259=CARTESIAN_POINT('',(36.817094,30.4031,0.)); #506260=CARTESIAN_POINT('',(36.817094,30.4031,0.035)); #506261=CARTESIAN_POINT('',(36.817094,30.4031,0.035)); #506262=CARTESIAN_POINT('',(36.817094,30.4031,0.)); #506263=CARTESIAN_POINT('Origin',(35.8029,30.4031,0.)); #506264=CARTESIAN_POINT('',(35.8029,30.4031,0.)); #506265=CARTESIAN_POINT('',(35.8029,30.4031,0.)); #506266=CARTESIAN_POINT('',(35.8029,30.4031,0.035)); #506267=CARTESIAN_POINT('',(35.8029,30.4031,0.035)); #506268=CARTESIAN_POINT('',(35.8029,30.4031,0.)); #506269=CARTESIAN_POINT('Origin',(35.8029,31.5969,0.)); #506270=CARTESIAN_POINT('',(35.8029,31.5969,0.)); #506271=CARTESIAN_POINT('',(35.8029,31.5969,0.)); #506272=CARTESIAN_POINT('',(35.8029,31.5969,0.035)); #506273=CARTESIAN_POINT('',(35.8029,31.5969,0.035)); #506274=CARTESIAN_POINT('',(35.8029,31.5969,0.)); #506275=CARTESIAN_POINT('Origin',(36.2728,31.5969,0.)); #506276=CARTESIAN_POINT('',(36.2728,31.5969,0.)); #506277=CARTESIAN_POINT('',(36.2728,31.5969,0.)); #506278=CARTESIAN_POINT('',(36.2728,31.5969,0.035)); #506279=CARTESIAN_POINT('',(36.2728,31.5969,0.035)); #506280=CARTESIAN_POINT('',(36.2728,31.5969,0.)); #506281=CARTESIAN_POINT('Origin',(36.2728,34.619463,0.)); #506282=CARTESIAN_POINT('',(36.2728,34.619463,0.)); #506283=CARTESIAN_POINT('',(36.2728,34.619463,0.)); #506284=CARTESIAN_POINT('',(36.2728,34.619463,0.035)); #506285=CARTESIAN_POINT('',(36.2728,34.619463,0.035)); #506286=CARTESIAN_POINT('',(36.2728,34.619463,0.)); #506287=CARTESIAN_POINT('Origin',(36.3998003087252,34.6194637497004,0.)); #506288=CARTESIAN_POINT('',(36.309997,34.709266,0.)); #506289=CARTESIAN_POINT('Origin',(36.3998003087252,34.6194637497004,0.)); #506290=CARTESIAN_POINT('',(36.309997,34.709266,0.035)); #506291=CARTESIAN_POINT('Origin',(36.3998003087252,34.6194637497004,0.035)); #506292=CARTESIAN_POINT('',(36.309997,34.709266,0.)); #506293=CARTESIAN_POINT('Origin',(38.0686,36.467869,0.)); #506294=CARTESIAN_POINT('',(38.0686,36.467869,0.)); #506295=CARTESIAN_POINT('',(38.0686,36.467869,0.)); #506296=CARTESIAN_POINT('',(38.0686,36.467869,0.035)); #506297=CARTESIAN_POINT('',(38.0686,36.467869,0.035)); #506298=CARTESIAN_POINT('',(38.0686,36.467869,0.)); #506299=CARTESIAN_POINT('Origin',(38.0686,37.4336,0.)); #506300=CARTESIAN_POINT('',(38.0686,37.4336,0.)); #506301=CARTESIAN_POINT('',(38.0686,37.4336,0.)); #506302=CARTESIAN_POINT('',(38.0686,37.4336,0.035)); #506303=CARTESIAN_POINT('',(38.0686,37.4336,0.035)); #506304=CARTESIAN_POINT('',(38.0686,37.4336,0.)); #506305=CARTESIAN_POINT('Origin',(38.1956003087252,37.4336007497004,0.)); #506306=CARTESIAN_POINT('',(38.105797,37.523403,0.)); #506307=CARTESIAN_POINT('Origin',(38.1956003087252,37.4336007497004,0.)); #506308=CARTESIAN_POINT('',(38.105797,37.523403,0.035)); #506309=CARTESIAN_POINT('Origin',(38.1956003087252,37.4336007497004,0.035)); #506310=CARTESIAN_POINT('',(38.105797,37.523403,0.)); #506311=CARTESIAN_POINT('Origin',(38.3429,37.760506,0.)); #506312=CARTESIAN_POINT('',(38.3429,37.760506,0.)); #506313=CARTESIAN_POINT('',(38.3429,37.760506,0.)); #506314=CARTESIAN_POINT('',(38.3429,37.760506,0.035)); #506315=CARTESIAN_POINT('',(38.3429,37.760506,0.035)); #506316=CARTESIAN_POINT('',(38.3429,37.760506,0.)); #506317=CARTESIAN_POINT('Origin',(38.3429,38.4445,0.)); #506318=CARTESIAN_POINT('',(38.3429,38.4445,0.)); #506319=CARTESIAN_POINT('',(38.3429,38.4445,0.)); #506320=CARTESIAN_POINT('',(38.3429,38.4445,0.035)); #506321=CARTESIAN_POINT('',(38.3429,38.4445,0.035)); #506322=CARTESIAN_POINT('',(38.3429,38.4445,0.)); #506323=CARTESIAN_POINT('Origin',(39.1811,38.4445,0.)); #506324=CARTESIAN_POINT('',(39.1811,38.4445,0.)); #506325=CARTESIAN_POINT('',(39.1811,38.4445,0.)); #506326=CARTESIAN_POINT('',(39.1811,38.4445,0.035)); #506327=CARTESIAN_POINT('',(39.1811,38.4445,0.035)); #506328=CARTESIAN_POINT('',(39.1811,38.4445,0.)); #506329=CARTESIAN_POINT('Origin',(39.1811,37.5555,0.)); #506330=CARTESIAN_POINT('',(39.1811,37.5555,0.)); #506331=CARTESIAN_POINT('',(39.1811,37.5555,0.)); #506332=CARTESIAN_POINT('',(39.1811,37.5555,0.035)); #506333=CARTESIAN_POINT('',(39.1811,37.5555,0.035)); #506334=CARTESIAN_POINT('',(39.1811,37.5555,0.)); #506335=CARTESIAN_POINT('Origin',(38.497106,37.5555,0.)); #506336=CARTESIAN_POINT('',(38.497106,37.5555,0.)); #506337=CARTESIAN_POINT('',(38.497106,37.5555,0.)); #506338=CARTESIAN_POINT('',(38.497106,37.5555,0.035)); #506339=CARTESIAN_POINT('',(38.497106,37.5555,0.035)); #506340=CARTESIAN_POINT('',(38.497106,37.5555,0.)); #506341=CARTESIAN_POINT('Origin',(38.3226,37.380994,0.)); #506342=CARTESIAN_POINT('',(38.3226,37.380994,0.)); #506343=CARTESIAN_POINT('',(38.3226,37.380994,0.)); #506344=CARTESIAN_POINT('',(38.3226,37.380994,0.035)); #506345=CARTESIAN_POINT('',(38.3226,37.380994,0.035)); #506346=CARTESIAN_POINT('',(38.3226,37.380994,0.)); #506347=CARTESIAN_POINT('Origin',(38.3226,36.415263,0.)); #506348=CARTESIAN_POINT('',(38.3226,36.415263,0.)); #506349=CARTESIAN_POINT('',(38.3226,36.415263,0.)); #506350=CARTESIAN_POINT('',(38.3226,36.415263,0.035)); #506351=CARTESIAN_POINT('',(38.3226,36.415263,0.035)); #506352=CARTESIAN_POINT('',(38.3226,36.415263,0.)); #506353=CARTESIAN_POINT('Origin',(38.195598484168,36.4152617502996,0.)); #506354=CARTESIAN_POINT('',(38.285403,36.325459,0.)); #506355=CARTESIAN_POINT('Origin',(38.195598484168,36.4152617502996,0.)); #506356=CARTESIAN_POINT('',(38.285403,36.325459,0.035)); #506357=CARTESIAN_POINT('Origin',(38.195598484168,36.4152617502996,0.035)); #506358=CARTESIAN_POINT('',(38.285403,36.325459,0.)); #506359=CARTESIAN_POINT('Origin',(36.5268,34.566856,0.)); #506360=CARTESIAN_POINT('',(36.5268,34.566856,0.)); #506361=CARTESIAN_POINT('',(36.5268,34.566856,0.)); #506362=CARTESIAN_POINT('',(36.5268,34.566856,0.035)); #506363=CARTESIAN_POINT('',(36.5268,34.566856,0.035)); #506364=CARTESIAN_POINT('',(36.5268,34.566856,0.)); #506365=CARTESIAN_POINT('Origin',(36.5268,31.5969,0.)); #506366=CARTESIAN_POINT('',(36.5268,31.5969,0.)); #506367=CARTESIAN_POINT('',(36.5268,31.5969,0.)); #506368=CARTESIAN_POINT('',(36.5268,31.5969,0.035)); #506369=CARTESIAN_POINT('',(36.5268,31.5969,0.035)); #506370=CARTESIAN_POINT('',(36.5268,31.5969,0.)); #506371=CARTESIAN_POINT('Origin',(36.9967,31.5969,0.)); #506372=CARTESIAN_POINT('',(36.9967,31.5969,0.)); #506373=CARTESIAN_POINT('',(36.9967,31.5969,0.)); #506374=CARTESIAN_POINT('',(36.9967,31.5969,0.035)); #506375=CARTESIAN_POINT('',(36.9967,31.5969,0.035)); #506376=CARTESIAN_POINT('',(36.9967,31.5969,0.)); #506377=CARTESIAN_POINT('Origin',(36.9967,30.582706,0.)); #506378=CARTESIAN_POINT('',(36.9967,30.582706,0.)); #506379=CARTESIAN_POINT('',(36.9967,30.582706,0.)); #506380=CARTESIAN_POINT('',(36.9967,30.582706,0.035)); #506381=CARTESIAN_POINT('',(36.9967,30.582706,0.035)); #506382=CARTESIAN_POINT('',(36.9967,30.582706,0.)); #506383=CARTESIAN_POINT('Origin',(37.839803,29.739603,0.)); #506384=CARTESIAN_POINT('',(37.839803,29.739603,0.)); #506385=CARTESIAN_POINT('',(37.839803,29.739603,0.)); #506386=CARTESIAN_POINT('',(37.839803,29.739603,0.035)); #506387=CARTESIAN_POINT('',(37.839803,29.739603,0.035)); #506388=CARTESIAN_POINT('',(37.839803,29.739603,0.)); #506389=CARTESIAN_POINT('Origin',(37.7499996912748,29.6498007497004,0.)); #506390=CARTESIAN_POINT('',(37.877,29.6498,0.)); #506391=CARTESIAN_POINT('Origin',(37.7499996912748,29.6498007497004,0.)); #506392=CARTESIAN_POINT('',(37.877,29.6498,0.035)); #506393=CARTESIAN_POINT('Origin',(37.7499996912748,29.6498007497004,0.035)); #506394=CARTESIAN_POINT('',(37.877,29.6498,0.)); #506395=CARTESIAN_POINT('Origin',(37.877,24.939325,0.)); #506396=CARTESIAN_POINT('',(37.877,24.939325,0.)); #506397=CARTESIAN_POINT('',(37.877,24.939325,0.)); #506398=CARTESIAN_POINT('',(37.877,24.939325,0.035)); #506399=CARTESIAN_POINT('',(37.877,24.939325,0.035)); #506400=CARTESIAN_POINT('',(37.877,24.939325,0.)); #506401=CARTESIAN_POINT('Origin',(37.7499996912748,24.9393242502996,0.)); #506402=CARTESIAN_POINT('',(37.839803,24.849522,0.)); #506403=CARTESIAN_POINT('Origin',(37.7499996912748,24.9393242502996,0.)); #506404=CARTESIAN_POINT('',(37.839803,24.849522,0.035)); #506405=CARTESIAN_POINT('Origin',(37.7499996912748,24.9393242502996,0.035)); #506406=CARTESIAN_POINT('',(37.839803,24.849522,0.)); #506407=CARTESIAN_POINT('Origin',(34.877,21.886719,0.)); #506408=CARTESIAN_POINT('',(34.877,21.886719,0.)); #506409=CARTESIAN_POINT('',(34.877,21.886719,0.)); #506410=CARTESIAN_POINT('',(34.877,21.886719,0.035)); #506411=CARTESIAN_POINT('',(34.877,21.886719,0.035)); #506412=CARTESIAN_POINT('',(34.877,21.886719,0.)); #506413=CARTESIAN_POINT('Origin',(34.877,20.7125,0.)); #506414=CARTESIAN_POINT('',(34.877,20.7125,0.)); #506415=CARTESIAN_POINT('',(34.877,20.7125,0.)); #506416=CARTESIAN_POINT('',(34.877,20.7125,0.035)); #506417=CARTESIAN_POINT('',(34.877,20.7125,0.035)); #506418=CARTESIAN_POINT('',(34.877,20.7125,0.)); #506419=CARTESIAN_POINT('Origin',(34.8875,20.7125,0.)); #506420=CARTESIAN_POINT('',(34.8875,20.7125,0.)); #506421=CARTESIAN_POINT('',(34.8875,20.7125,0.035)); #506422=CARTESIAN_POINT('Origin',(36.9232432666257,29.5060656451644,0.035)); #506423=CARTESIAN_POINT('Origin',(36.9232432666257,29.5060656451644,0.)); #506424=CARTESIAN_POINT('Origin',(32.3875,20.0875,0.)); #506425=CARTESIAN_POINT('',(32.3875,20.0875,0.)); #506426=CARTESIAN_POINT('',(32.3875,20.7125,0.)); #506427=CARTESIAN_POINT('',(32.3875,20.0875,0.)); #506428=CARTESIAN_POINT('',(32.3875,20.7125,0.035)); #506429=CARTESIAN_POINT('',(32.3875,20.7125,0.)); #506430=CARTESIAN_POINT('',(32.3875,20.0875,0.035)); #506431=CARTESIAN_POINT('',(32.3875,20.0875,0.035)); #506432=CARTESIAN_POINT('',(32.3875,20.0875,0.)); #506433=CARTESIAN_POINT('Origin',(32.1125,20.0875,0.)); #506434=CARTESIAN_POINT('',(32.1125,20.0875,0.)); #506435=CARTESIAN_POINT('',(32.1125,20.0875,0.)); #506436=CARTESIAN_POINT('',(32.1125,20.0875,0.035)); #506437=CARTESIAN_POINT('',(32.1125,20.0875,0.035)); #506438=CARTESIAN_POINT('',(32.1125,20.0875,0.)); #506439=CARTESIAN_POINT('Origin',(32.1125,20.7125,0.)); #506440=CARTESIAN_POINT('',(32.1125,20.7125,0.)); #506441=CARTESIAN_POINT('',(32.1125,20.7125,0.)); #506442=CARTESIAN_POINT('',(32.1125,20.7125,0.035)); #506443=CARTESIAN_POINT('',(32.1125,20.7125,0.035)); #506444=CARTESIAN_POINT('',(32.1125,20.7125,0.)); #506445=CARTESIAN_POINT('Origin',(32.123,20.7125,0.)); #506446=CARTESIAN_POINT('',(32.123,20.7125,0.)); #506447=CARTESIAN_POINT('',(32.123,20.7125,0.)); #506448=CARTESIAN_POINT('',(32.123,20.7125,0.035)); #506449=CARTESIAN_POINT('',(32.123,20.7125,0.035)); #506450=CARTESIAN_POINT('',(32.123,20.7125,0.)); #506451=CARTESIAN_POINT('Origin',(32.123,21.886719,0.)); #506452=CARTESIAN_POINT('',(32.123,21.886719,0.)); #506453=CARTESIAN_POINT('',(32.123,21.886719,0.)); #506454=CARTESIAN_POINT('',(32.123,21.886719,0.035)); #506455=CARTESIAN_POINT('',(32.123,21.886719,0.035)); #506456=CARTESIAN_POINT('',(32.123,21.886719,0.)); #506457=CARTESIAN_POINT('Origin',(30.160197,23.849522,0.)); #506458=CARTESIAN_POINT('',(30.160197,23.849522,0.)); #506459=CARTESIAN_POINT('',(30.160197,23.849522,0.)); #506460=CARTESIAN_POINT('',(30.160197,23.849522,0.035)); #506461=CARTESIAN_POINT('',(30.160197,23.849522,0.035)); #506462=CARTESIAN_POINT('',(30.160197,23.849522,0.)); #506463=CARTESIAN_POINT('Origin',(30.2500003087252,23.9393242502996,0.)); #506464=CARTESIAN_POINT('',(30.123,23.939325,0.)); #506465=CARTESIAN_POINT('Origin',(30.2500003087252,23.9393242502996,0.)); #506466=CARTESIAN_POINT('',(30.123,23.939325,0.035)); #506467=CARTESIAN_POINT('Origin',(30.2500003087252,23.9393242502996,0.035)); #506468=CARTESIAN_POINT('',(30.123,23.939325,0.)); #506469=CARTESIAN_POINT('Origin',(30.123,29.6498,0.)); #506470=CARTESIAN_POINT('',(30.123,29.6498,0.)); #506471=CARTESIAN_POINT('',(30.123,29.6498,0.)); #506472=CARTESIAN_POINT('',(30.123,29.6498,0.035)); #506473=CARTESIAN_POINT('',(30.123,29.6498,0.035)); #506474=CARTESIAN_POINT('',(30.123,29.6498,0.)); #506475=CARTESIAN_POINT('Origin',(30.2500003087252,29.6498007497004,0.)); #506476=CARTESIAN_POINT('',(30.160197,29.739603,0.)); #506477=CARTESIAN_POINT('Origin',(30.2500003087252,29.6498007497004,0.)); #506478=CARTESIAN_POINT('',(30.160197,29.739603,0.035)); #506479=CARTESIAN_POINT('Origin',(30.2500003087252,29.6498007497004,0.035)); #506480=CARTESIAN_POINT('',(30.160197,29.739603,0.)); #506481=CARTESIAN_POINT('Origin',(31.0033,30.582706,0.)); #506482=CARTESIAN_POINT('',(31.0033,30.582706,0.)); #506483=CARTESIAN_POINT('',(31.0033,30.582706,0.)); #506484=CARTESIAN_POINT('',(31.0033,30.582706,0.035)); #506485=CARTESIAN_POINT('',(31.0033,30.582706,0.035)); #506486=CARTESIAN_POINT('',(31.0033,30.582706,0.)); #506487=CARTESIAN_POINT('Origin',(31.0033,31.5969,0.)); #506488=CARTESIAN_POINT('',(31.0033,31.5969,0.)); #506489=CARTESIAN_POINT('',(31.0033,31.5969,0.)); #506490=CARTESIAN_POINT('',(31.0033,31.5969,0.035)); #506491=CARTESIAN_POINT('',(31.0033,31.5969,0.035)); #506492=CARTESIAN_POINT('',(31.0033,31.5969,0.)); #506493=CARTESIAN_POINT('Origin',(31.4732,31.5969,0.)); #506494=CARTESIAN_POINT('',(31.4732,31.5969,0.)); #506495=CARTESIAN_POINT('',(31.4732,31.5969,0.)); #506496=CARTESIAN_POINT('',(31.4732,31.5969,0.035)); #506497=CARTESIAN_POINT('',(31.4732,31.5969,0.035)); #506498=CARTESIAN_POINT('',(31.4732,31.5969,0.)); #506499=CARTESIAN_POINT('Origin',(31.4732,34.566856,0.)); #506500=CARTESIAN_POINT('',(31.4732,34.566856,0.)); #506501=CARTESIAN_POINT('',(31.4732,34.566856,0.)); #506502=CARTESIAN_POINT('',(31.4732,34.566856,0.035)); #506503=CARTESIAN_POINT('',(31.4732,34.566856,0.035)); #506504=CARTESIAN_POINT('',(31.4732,34.566856,0.)); #506505=CARTESIAN_POINT('Origin',(29.722697,36.317359,0.)); #506506=CARTESIAN_POINT('',(29.722697,36.317359,0.)); #506507=CARTESIAN_POINT('',(29.722697,36.317359,0.)); #506508=CARTESIAN_POINT('',(29.722697,36.317359,0.035)); #506509=CARTESIAN_POINT('',(29.722697,36.317359,0.035)); #506510=CARTESIAN_POINT('',(29.722697,36.317359,0.)); #506511=CARTESIAN_POINT('Origin',(29.8125003087252,36.4071612502996,0.)); #506512=CARTESIAN_POINT('',(29.6855,36.407162,0.)); #506513=CARTESIAN_POINT('Origin',(29.8125003087252,36.4071612502996,0.)); #506514=CARTESIAN_POINT('',(29.6855,36.407162,0.035)); #506515=CARTESIAN_POINT('Origin',(29.8125003087252,36.4071612502996,0.035)); #506516=CARTESIAN_POINT('',(29.6855,36.407162,0.)); #506517=CARTESIAN_POINT('Origin',(29.6855,37.372894,0.)); #506518=CARTESIAN_POINT('',(29.6855,37.372894,0.)); #506519=CARTESIAN_POINT('',(29.6855,37.372894,0.)); #506520=CARTESIAN_POINT('',(29.6855,37.372894,0.035)); #506521=CARTESIAN_POINT('',(29.6855,37.372894,0.035)); #506522=CARTESIAN_POINT('',(29.6855,37.372894,0.)); #506523=CARTESIAN_POINT('Origin',(29.502894,37.5555,0.)); #506524=CARTESIAN_POINT('',(29.502894,37.5555,0.)); #506525=CARTESIAN_POINT('',(29.502894,37.5555,0.)); #506526=CARTESIAN_POINT('',(29.502894,37.5555,0.035)); #506527=CARTESIAN_POINT('',(29.502894,37.5555,0.035)); #506528=CARTESIAN_POINT('',(29.502894,37.5555,0.)); #506529=CARTESIAN_POINT('Origin',(28.8189,37.5555,0.)); #506530=CARTESIAN_POINT('',(28.8189,37.5555,0.)); #506531=CARTESIAN_POINT('',(28.8189,37.5555,0.)); #506532=CARTESIAN_POINT('',(28.8189,37.5555,0.035)); #506533=CARTESIAN_POINT('',(28.8189,37.5555,0.035)); #506534=CARTESIAN_POINT('',(28.8189,37.5555,0.)); #506535=CARTESIAN_POINT('Origin',(28.8189,38.4445,0.)); #506536=CARTESIAN_POINT('',(28.8189,38.4445,0.)); #506537=CARTESIAN_POINT('',(28.8189,38.4445,0.)); #506538=CARTESIAN_POINT('',(28.8189,38.4445,0.035)); #506539=CARTESIAN_POINT('',(28.8189,38.4445,0.035)); #506540=CARTESIAN_POINT('',(28.8189,38.4445,0.)); #506541=CARTESIAN_POINT('Origin',(29.6571,38.4445,0.)); #506542=CARTESIAN_POINT('',(29.6571,38.4445,0.)); #506543=CARTESIAN_POINT('',(29.6571,38.4445,0.)); #506544=CARTESIAN_POINT('',(29.6571,38.4445,0.035)); #506545=CARTESIAN_POINT('',(29.6571,38.4445,0.035)); #506546=CARTESIAN_POINT('',(29.6571,38.4445,0.)); #506547=CARTESIAN_POINT('Origin',(29.6571,37.760506,0.)); #506548=CARTESIAN_POINT('',(29.6571,37.760506,0.)); #506549=CARTESIAN_POINT('',(29.6571,37.760506,0.)); #506550=CARTESIAN_POINT('',(29.6571,37.760506,0.035)); #506551=CARTESIAN_POINT('',(29.6571,37.760506,0.035)); #506552=CARTESIAN_POINT('',(29.6571,37.760506,0.)); #506553=CARTESIAN_POINT('Origin',(29.902303,37.515303,0.)); #506554=CARTESIAN_POINT('',(29.902303,37.515303,0.)); #506555=CARTESIAN_POINT('',(29.902303,37.515303,0.)); #506556=CARTESIAN_POINT('',(29.902303,37.515303,0.035)); #506557=CARTESIAN_POINT('',(29.902303,37.515303,0.035)); #506558=CARTESIAN_POINT('',(29.902303,37.515303,0.)); #506559=CARTESIAN_POINT('Origin',(29.8124996912748,37.4255007497004,0.)); #506560=CARTESIAN_POINT('',(29.9395,37.4255,0.)); #506561=CARTESIAN_POINT('Origin',(29.8124996912748,37.4255007497004,0.)); #506562=CARTESIAN_POINT('',(29.9395,37.4255,0.035)); #506563=CARTESIAN_POINT('Origin',(29.8124996912748,37.4255007497004,0.035)); #506564=CARTESIAN_POINT('',(29.9395,37.4255,0.)); #506565=CARTESIAN_POINT('Origin',(29.9395,36.459769,0.)); #506566=CARTESIAN_POINT('',(29.9395,36.459769,0.)); #506567=CARTESIAN_POINT('',(29.9395,36.459769,0.)); #506568=CARTESIAN_POINT('',(29.9395,36.459769,0.035)); #506569=CARTESIAN_POINT('',(29.9395,36.459769,0.035)); #506570=CARTESIAN_POINT('',(29.9395,36.459769,0.)); #506571=CARTESIAN_POINT('Origin',(31.690003,34.709266,0.)); #506572=CARTESIAN_POINT('',(31.690003,34.709266,0.)); #506573=CARTESIAN_POINT('',(31.690003,34.709266,0.)); #506574=CARTESIAN_POINT('',(31.690003,34.709266,0.035)); #506575=CARTESIAN_POINT('',(31.690003,34.709266,0.035)); #506576=CARTESIAN_POINT('',(31.690003,34.709266,0.)); #506577=CARTESIAN_POINT('Origin',(31.6001996912748,34.6194637497004,0.)); #506578=CARTESIAN_POINT('',(31.7272,34.619463,0.)); #506579=CARTESIAN_POINT('Origin',(31.6001996912748,34.6194637497004,0.)); #506580=CARTESIAN_POINT('',(31.7272,34.619463,0.035)); #506581=CARTESIAN_POINT('Origin',(31.6001996912748,34.6194637497004,0.035)); #506582=CARTESIAN_POINT('',(31.7272,34.619463,0.)); #506583=CARTESIAN_POINT('Origin',(31.7272,31.5969,0.)); #506584=CARTESIAN_POINT('',(31.7272,31.5969,0.)); #506585=CARTESIAN_POINT('',(31.7272,31.5969,0.)); #506586=CARTESIAN_POINT('',(31.7272,31.5969,0.035)); #506587=CARTESIAN_POINT('',(31.7272,31.5969,0.035)); #506588=CARTESIAN_POINT('',(31.7272,31.5969,0.)); #506589=CARTESIAN_POINT('Origin',(32.1971,31.5969,0.)); #506590=CARTESIAN_POINT('',(32.1971,31.5969,0.)); #506591=CARTESIAN_POINT('',(32.1971,31.5969,0.)); #506592=CARTESIAN_POINT('',(32.1971,31.5969,0.035)); #506593=CARTESIAN_POINT('',(32.1971,31.5969,0.035)); #506594=CARTESIAN_POINT('',(32.1971,31.5969,0.)); #506595=CARTESIAN_POINT('Origin',(32.1971,30.4031,0.)); #506596=CARTESIAN_POINT('',(32.1971,30.4031,0.)); #506597=CARTESIAN_POINT('',(32.1971,30.4031,0.)); #506598=CARTESIAN_POINT('',(32.1971,30.4031,0.035)); #506599=CARTESIAN_POINT('',(32.1971,30.4031,0.035)); #506600=CARTESIAN_POINT('',(32.1971,30.4031,0.)); #506601=CARTESIAN_POINT('Origin',(31.182906,30.4031,0.)); #506602=CARTESIAN_POINT('',(31.182906,30.4031,0.)); #506603=CARTESIAN_POINT('',(31.182906,30.4031,0.)); #506604=CARTESIAN_POINT('',(31.182906,30.4031,0.035)); #506605=CARTESIAN_POINT('',(31.182906,30.4031,0.035)); #506606=CARTESIAN_POINT('',(31.182906,30.4031,0.)); #506607=CARTESIAN_POINT('Origin',(30.377,29.597194,0.)); #506608=CARTESIAN_POINT('',(30.377,29.597194,0.)); #506609=CARTESIAN_POINT('',(30.377,29.597194,0.)); #506610=CARTESIAN_POINT('',(30.377,29.597194,0.035)); #506611=CARTESIAN_POINT('',(30.377,29.597194,0.035)); #506612=CARTESIAN_POINT('',(30.377,29.597194,0.)); #506613=CARTESIAN_POINT('Origin',(30.377,23.991931,0.)); #506614=CARTESIAN_POINT('',(30.377,23.991931,0.)); #506615=CARTESIAN_POINT('',(30.377,23.991931,0.)); #506616=CARTESIAN_POINT('',(30.377,23.991931,0.035)); #506617=CARTESIAN_POINT('',(30.377,23.991931,0.035)); #506618=CARTESIAN_POINT('',(30.377,23.991931,0.)); #506619=CARTESIAN_POINT('Origin',(32.339803,22.029128,0.)); #506620=CARTESIAN_POINT('',(32.339803,22.029128,0.)); #506621=CARTESIAN_POINT('',(32.339803,22.029128,0.)); #506622=CARTESIAN_POINT('',(32.339803,22.029128,0.035)); #506623=CARTESIAN_POINT('',(32.339803,22.029128,0.035)); #506624=CARTESIAN_POINT('',(32.339803,22.029128,0.)); #506625=CARTESIAN_POINT('Origin',(32.2499996912748,21.9393257497004,0.)); #506626=CARTESIAN_POINT('',(32.377,21.939325,0.)); #506627=CARTESIAN_POINT('Origin',(32.2499996912748,21.9393257497004,0.)); #506628=CARTESIAN_POINT('',(32.377,21.939325,0.035)); #506629=CARTESIAN_POINT('Origin',(32.2499996912748,21.9393257497004,0.035)); #506630=CARTESIAN_POINT('',(32.377,21.939325,0.)); #506631=CARTESIAN_POINT('Origin',(32.377,20.7125,0.)); #506632=CARTESIAN_POINT('',(32.377,20.7125,0.)); #506633=CARTESIAN_POINT('',(32.377,20.7125,0.)); #506634=CARTESIAN_POINT('',(32.377,20.7125,0.035)); #506635=CARTESIAN_POINT('',(32.377,20.7125,0.035)); #506636=CARTESIAN_POINT('',(32.377,20.7125,0.)); #506637=CARTESIAN_POINT('Origin',(32.3875,20.7125,0.)); #506638=CARTESIAN_POINT('',(32.3875,20.7125,0.)); #506639=CARTESIAN_POINT('',(32.3875,20.7125,0.035)); #506640=CARTESIAN_POINT('Origin',(30.8437665721638,29.6007058231927,0.035)); #506641=CARTESIAN_POINT('Origin',(30.8437665721638,29.6007058231927,0.)); #506642=CARTESIAN_POINT('Origin',(35.25,6.25,-200.)); #506643=CARTESIAN_POINT('',(35.11,6.25,0.)); #506644=CARTESIAN_POINT('Origin',(35.25,6.25,0.)); #506645=CARTESIAN_POINT('',(35.11,6.25,0.0349999999999895)); #506646=CARTESIAN_POINT('',(35.11,6.25,-200.)); #506647=CARTESIAN_POINT('Origin',(35.25,6.25,0.0349999999999895)); #506648=CARTESIAN_POINT('Origin',(35.271644,5.975,0.)); #506649=CARTESIAN_POINT('',(35.271644,5.975,0.)); #506650=CARTESIAN_POINT('',(35.314397,5.9817719,0.)); #506651=CARTESIAN_POINT('',(35.271644,5.975,0.)); #506652=CARTESIAN_POINT('',(35.314397,5.9817719,0.035)); #506653=CARTESIAN_POINT('',(35.314397,5.9817719,0.)); #506654=CARTESIAN_POINT('',(35.271644,5.975,0.035)); #506655=CARTESIAN_POINT('',(35.271644,5.975,0.035)); #506656=CARTESIAN_POINT('',(35.271644,5.975,0.)); #506657=CARTESIAN_POINT('Origin',(35.2501184813052,6.24958730150078,0.)); #506658=CARTESIAN_POINT('',(35.123,6.4939281,0.)); #506659=CARTESIAN_POINT('Origin',(35.2501184813052,6.24958730150078,0.)); #506660=CARTESIAN_POINT('',(35.123,6.4939281,0.035)); #506661=CARTESIAN_POINT('Origin',(35.2501184813052,6.24958730150078,0.035)); #506662=CARTESIAN_POINT('',(35.123,6.4939281,0.)); #506663=CARTESIAN_POINT('Origin',(35.123,7.6973938,0.)); #506664=CARTESIAN_POINT('',(35.123,7.6973938,0.)); #506665=CARTESIAN_POINT('',(35.123,7.6973938,0.)); #506666=CARTESIAN_POINT('',(35.123,7.6973938,0.035)); #506667=CARTESIAN_POINT('',(35.123,7.6973938,0.035)); #506668=CARTESIAN_POINT('',(35.123,7.6973938,0.)); #506669=CARTESIAN_POINT('Origin',(34.910197,7.9101969,0.)); #506670=CARTESIAN_POINT('',(34.910197,7.9101969,0.)); #506671=CARTESIAN_POINT('',(34.910197,7.9101969,0.)); #506672=CARTESIAN_POINT('',(34.910197,7.9101969,0.035)); #506673=CARTESIAN_POINT('',(34.910197,7.9101969,0.035)); #506674=CARTESIAN_POINT('',(34.910197,7.9101969,0.)); #506675=CARTESIAN_POINT('Origin',(35.0000004294359,7.99999920029957,0.)); #506676=CARTESIAN_POINT('',(34.873,8.,0.)); #506677=CARTESIAN_POINT('Origin',(35.0000004294359,7.99999920029957,0.)); #506678=CARTESIAN_POINT('',(34.873,8.,0.035)); #506679=CARTESIAN_POINT('Origin',(35.0000004294359,7.99999920029957,0.035)); #506680=CARTESIAN_POINT('',(34.873,8.,0.)); #506681=CARTESIAN_POINT('Origin',(34.873,10.197394,0.)); #506682=CARTESIAN_POINT('',(34.873,10.197394,0.)); #506683=CARTESIAN_POINT('',(34.873,10.197394,0.)); #506684=CARTESIAN_POINT('',(34.873,10.197394,0.035)); #506685=CARTESIAN_POINT('',(34.873,10.197394,0.035)); #506686=CARTESIAN_POINT('',(34.873,10.197394,0.)); #506687=CARTESIAN_POINT('Origin',(33.160197,11.910197,0.)); #506688=CARTESIAN_POINT('',(33.160197,11.910197,0.)); #506689=CARTESIAN_POINT('',(33.160197,11.910197,0.)); #506690=CARTESIAN_POINT('',(33.160197,11.910197,0.035)); #506691=CARTESIAN_POINT('',(33.160197,11.910197,0.035)); #506692=CARTESIAN_POINT('',(33.160197,11.910197,0.)); #506693=CARTESIAN_POINT('Origin',(33.2500003087252,11.9999992502996,0.)); #506694=CARTESIAN_POINT('',(33.123,12.,0.)); #506695=CARTESIAN_POINT('Origin',(33.2500003087252,11.9999992502996,0.)); #506696=CARTESIAN_POINT('',(33.123,12.,0.035)); #506697=CARTESIAN_POINT('Origin',(33.2500003087252,11.9999992502996,0.035)); #506698=CARTESIAN_POINT('',(33.123,12.,0.)); #506699=CARTESIAN_POINT('Origin',(33.123,13.2875,0.)); #506700=CARTESIAN_POINT('',(33.123,13.2875,0.)); #506701=CARTESIAN_POINT('',(33.123,13.2875,0.)); #506702=CARTESIAN_POINT('',(33.123,13.2875,0.035)); #506703=CARTESIAN_POINT('',(33.123,13.2875,0.035)); #506704=CARTESIAN_POINT('',(33.123,13.2875,0.)); #506705=CARTESIAN_POINT('Origin',(33.1125,13.2875,0.)); #506706=CARTESIAN_POINT('',(33.1125,13.2875,0.)); #506707=CARTESIAN_POINT('',(33.1125,13.2875,0.)); #506708=CARTESIAN_POINT('',(33.1125,13.2875,0.035)); #506709=CARTESIAN_POINT('',(33.1125,13.2875,0.035)); #506710=CARTESIAN_POINT('',(33.1125,13.2875,0.)); #506711=CARTESIAN_POINT('Origin',(33.1125,13.9125,0.)); #506712=CARTESIAN_POINT('',(33.1125,13.9125,0.)); #506713=CARTESIAN_POINT('',(33.1125,13.9125,0.)); #506714=CARTESIAN_POINT('',(33.1125,13.9125,0.035)); #506715=CARTESIAN_POINT('',(33.1125,13.9125,0.035)); #506716=CARTESIAN_POINT('',(33.1125,13.9125,0.)); #506717=CARTESIAN_POINT('Origin',(33.3875,13.9125,0.)); #506718=CARTESIAN_POINT('',(33.3875,13.9125,0.)); #506719=CARTESIAN_POINT('',(33.3875,13.9125,0.)); #506720=CARTESIAN_POINT('',(33.3875,13.9125,0.035)); #506721=CARTESIAN_POINT('',(33.3875,13.9125,0.035)); #506722=CARTESIAN_POINT('',(33.3875,13.9125,0.)); #506723=CARTESIAN_POINT('Origin',(33.3875,13.2875,0.)); #506724=CARTESIAN_POINT('',(33.3875,13.2875,0.)); #506725=CARTESIAN_POINT('',(33.3875,13.2875,0.)); #506726=CARTESIAN_POINT('',(33.3875,13.2875,0.035)); #506727=CARTESIAN_POINT('',(33.3875,13.2875,0.035)); #506728=CARTESIAN_POINT('',(33.3875,13.2875,0.)); #506729=CARTESIAN_POINT('Origin',(33.377,13.2875,0.)); #506730=CARTESIAN_POINT('',(33.377,13.2875,0.)); #506731=CARTESIAN_POINT('',(33.377,13.2875,0.)); #506732=CARTESIAN_POINT('',(33.377,13.2875,0.035)); #506733=CARTESIAN_POINT('',(33.377,13.2875,0.035)); #506734=CARTESIAN_POINT('',(33.377,13.2875,0.)); #506735=CARTESIAN_POINT('Origin',(33.377,12.052606,0.)); #506736=CARTESIAN_POINT('',(33.377,12.052606,0.)); #506737=CARTESIAN_POINT('',(33.377,12.052606,0.)); #506738=CARTESIAN_POINT('',(33.377,12.052606,0.035)); #506739=CARTESIAN_POINT('',(33.377,12.052606,0.035)); #506740=CARTESIAN_POINT('',(33.377,12.052606,0.)); #506741=CARTESIAN_POINT('Origin',(35.089803,10.339803,0.)); #506742=CARTESIAN_POINT('',(35.089803,10.339803,0.)); #506743=CARTESIAN_POINT('',(35.089803,10.339803,0.)); #506744=CARTESIAN_POINT('',(35.089803,10.339803,0.035)); #506745=CARTESIAN_POINT('',(35.089803,10.339803,0.035)); #506746=CARTESIAN_POINT('',(35.089803,10.339803,0.)); #506747=CARTESIAN_POINT('Origin',(34.9999996912748,10.2500007497004,0.)); #506748=CARTESIAN_POINT('',(35.127,10.25,0.)); #506749=CARTESIAN_POINT('Origin',(34.9999996912748,10.2500007497004,0.)); #506750=CARTESIAN_POINT('',(35.127,10.25,0.035)); #506751=CARTESIAN_POINT('Origin',(34.9999996912748,10.2500007497004,0.035)); #506752=CARTESIAN_POINT('',(35.127,10.25,0.)); #506753=CARTESIAN_POINT('Origin',(35.127,8.0526063,0.)); #506754=CARTESIAN_POINT('',(35.127,8.0526063,0.)); #506755=CARTESIAN_POINT('',(35.127,8.0526063,0.)); #506756=CARTESIAN_POINT('',(35.127,8.0526063,0.035)); #506757=CARTESIAN_POINT('',(35.127,8.0526063,0.035)); #506758=CARTESIAN_POINT('',(35.127,8.0526063,0.)); #506759=CARTESIAN_POINT('Origin',(35.339803,7.8398031,0.)); #506760=CARTESIAN_POINT('',(35.339803,7.8398031,0.)); #506761=CARTESIAN_POINT('',(35.339803,7.8398031,0.)); #506762=CARTESIAN_POINT('',(35.339803,7.8398031,0.035)); #506763=CARTESIAN_POINT('',(35.339803,7.8398031,0.035)); #506764=CARTESIAN_POINT('',(35.339803,7.8398031,0.)); #506765=CARTESIAN_POINT('Origin',(35.2499995705641,7.75000079970043,0.)); #506766=CARTESIAN_POINT('',(35.377,7.75,0.)); #506767=CARTESIAN_POINT('Origin',(35.2499995705641,7.75000079970043,0.)); #506768=CARTESIAN_POINT('',(35.377,7.75,0.035)); #506769=CARTESIAN_POINT('Origin',(35.2499995705641,7.75000079970043,0.035)); #506770=CARTESIAN_POINT('',(35.377,7.75,0.)); #506771=CARTESIAN_POINT('Origin',(35.377,6.4939281,0.)); #506772=CARTESIAN_POINT('',(35.377,6.4939281,0.)); #506773=CARTESIAN_POINT('',(35.377,6.4939281,0.)); #506774=CARTESIAN_POINT('',(35.377,6.4939281,0.035)); #506775=CARTESIAN_POINT('',(35.377,6.4939281,0.035)); #506776=CARTESIAN_POINT('',(35.377,6.4939281,0.)); #506777=CARTESIAN_POINT('Origin',(35.2499453379034,6.24955431053405,0.)); #506778=CARTESIAN_POINT('Origin',(35.2499453379034,6.24955431053405,0.)); #506779=CARTESIAN_POINT('Origin',(35.2499453379034,6.24955431053405,0.035)); #506780=CARTESIAN_POINT('Origin',(34.426958399402,9.98886986783093,0.035)); #506781=CARTESIAN_POINT('Origin',(34.426958399402,9.98886986783093,0.)); #506782=CARTESIAN_POINT('Origin',(32.75,8.25,-200.)); #506783=CARTESIAN_POINT('',(32.61,8.25,0.)); #506784=CARTESIAN_POINT('Origin',(32.75,8.25,0.)); #506785=CARTESIAN_POINT('',(32.61,8.25,0.0349999999999895)); #506786=CARTESIAN_POINT('',(32.61,8.25,-200.)); #506787=CARTESIAN_POINT('Origin',(32.75,8.25,0.0349999999999895)); #506788=CARTESIAN_POINT('Origin',(32.771644,7.975,0.)); #506789=CARTESIAN_POINT('',(32.771644,7.975,0.)); #506790=CARTESIAN_POINT('',(32.814397,7.9817719,0.)); #506791=CARTESIAN_POINT('',(32.771644,7.975,0.)); #506792=CARTESIAN_POINT('',(32.814397,7.9817719,0.035)); #506793=CARTESIAN_POINT('',(32.814397,7.9817719,0.)); #506794=CARTESIAN_POINT('',(32.771644,7.975,0.035)); #506795=CARTESIAN_POINT('',(32.771644,7.975,0.035)); #506796=CARTESIAN_POINT('',(32.771644,7.975,0.)); #506797=CARTESIAN_POINT('Origin',(32.7501184813052,8.24958730150078,0.)); #506798=CARTESIAN_POINT('',(32.623,8.4939281,0.)); #506799=CARTESIAN_POINT('Origin',(32.7501184813052,8.24958730150078,0.)); #506800=CARTESIAN_POINT('',(32.623,8.4939281,0.035)); #506801=CARTESIAN_POINT('Origin',(32.7501184813052,8.24958730150078,0.035)); #506802=CARTESIAN_POINT('',(32.623,8.4939281,0.)); #506803=CARTESIAN_POINT('Origin',(32.623,10.326056,0.)); #506804=CARTESIAN_POINT('',(32.623,10.326056,0.)); #506805=CARTESIAN_POINT('',(32.623,10.326056,0.)); #506806=CARTESIAN_POINT('',(32.623,10.326056,0.035)); #506807=CARTESIAN_POINT('',(32.623,10.326056,0.035)); #506808=CARTESIAN_POINT('',(32.623,10.326056,0.)); #506809=CARTESIAN_POINT('Origin',(31.660197,11.288859,0.)); #506810=CARTESIAN_POINT('',(31.660197,11.288859,0.)); #506811=CARTESIAN_POINT('',(31.660197,11.288859,0.)); #506812=CARTESIAN_POINT('',(31.660197,11.288859,0.035)); #506813=CARTESIAN_POINT('',(31.660197,11.288859,0.035)); #506814=CARTESIAN_POINT('',(31.660197,11.288859,0.)); #506815=CARTESIAN_POINT('Origin',(31.750001515832,11.3786617502996,0.)); #506816=CARTESIAN_POINT('',(31.623,11.378663,0.)); #506817=CARTESIAN_POINT('Origin',(31.750001515832,11.3786617502996,0.)); #506818=CARTESIAN_POINT('',(31.623,11.378663,0.035)); #506819=CARTESIAN_POINT('Origin',(31.750001515832,11.3786617502996,0.035)); #506820=CARTESIAN_POINT('',(31.623,11.378663,0.)); #506821=CARTESIAN_POINT('Origin',(31.623,13.2875,0.)); #506822=CARTESIAN_POINT('',(31.623,13.2875,0.)); #506823=CARTESIAN_POINT('',(31.623,13.2875,0.)); #506824=CARTESIAN_POINT('',(31.623,13.2875,0.035)); #506825=CARTESIAN_POINT('',(31.623,13.2875,0.035)); #506826=CARTESIAN_POINT('',(31.623,13.2875,0.)); #506827=CARTESIAN_POINT('Origin',(31.6125,13.2875,0.)); #506828=CARTESIAN_POINT('',(31.6125,13.2875,0.)); #506829=CARTESIAN_POINT('',(31.6125,13.2875,0.)); #506830=CARTESIAN_POINT('',(31.6125,13.2875,0.035)); #506831=CARTESIAN_POINT('',(31.6125,13.2875,0.035)); #506832=CARTESIAN_POINT('',(31.6125,13.2875,0.)); #506833=CARTESIAN_POINT('Origin',(31.6125,13.9125,0.)); #506834=CARTESIAN_POINT('',(31.6125,13.9125,0.)); #506835=CARTESIAN_POINT('',(31.6125,13.9125,0.)); #506836=CARTESIAN_POINT('',(31.6125,13.9125,0.035)); #506837=CARTESIAN_POINT('',(31.6125,13.9125,0.035)); #506838=CARTESIAN_POINT('',(31.6125,13.9125,0.)); #506839=CARTESIAN_POINT('Origin',(31.8875,13.9125,0.)); #506840=CARTESIAN_POINT('',(31.8875,13.9125,0.)); #506841=CARTESIAN_POINT('',(31.8875,13.9125,0.)); #506842=CARTESIAN_POINT('',(31.8875,13.9125,0.035)); #506843=CARTESIAN_POINT('',(31.8875,13.9125,0.035)); #506844=CARTESIAN_POINT('',(31.8875,13.9125,0.)); #506845=CARTESIAN_POINT('Origin',(31.8875,13.2875,0.)); #506846=CARTESIAN_POINT('',(31.8875,13.2875,0.)); #506847=CARTESIAN_POINT('',(31.8875,13.2875,0.)); #506848=CARTESIAN_POINT('',(31.8875,13.2875,0.035)); #506849=CARTESIAN_POINT('',(31.8875,13.2875,0.035)); #506850=CARTESIAN_POINT('',(31.8875,13.2875,0.)); #506851=CARTESIAN_POINT('Origin',(31.877,13.2875,0.)); #506852=CARTESIAN_POINT('',(31.877,13.2875,0.)); #506853=CARTESIAN_POINT('',(31.877,13.2875,0.)); #506854=CARTESIAN_POINT('',(31.877,13.2875,0.035)); #506855=CARTESIAN_POINT('',(31.877,13.2875,0.035)); #506856=CARTESIAN_POINT('',(31.877,13.2875,0.)); #506857=CARTESIAN_POINT('Origin',(31.877,11.431269,0.)); #506858=CARTESIAN_POINT('',(31.877,11.431269,0.)); #506859=CARTESIAN_POINT('',(31.877,11.431269,0.)); #506860=CARTESIAN_POINT('',(31.877,11.431269,0.035)); #506861=CARTESIAN_POINT('',(31.877,11.431269,0.035)); #506862=CARTESIAN_POINT('',(31.877,11.431269,0.)); #506863=CARTESIAN_POINT('Origin',(32.839803,10.468466,0.)); #506864=CARTESIAN_POINT('',(32.839803,10.468466,0.)); #506865=CARTESIAN_POINT('',(32.839803,10.468466,0.)); #506866=CARTESIAN_POINT('',(32.839803,10.468466,0.035)); #506867=CARTESIAN_POINT('',(32.839803,10.468466,0.035)); #506868=CARTESIAN_POINT('',(32.839803,10.468466,0.)); #506869=CARTESIAN_POINT('Origin',(32.7499996912748,10.3786637497004,0.)); #506870=CARTESIAN_POINT('',(32.877,10.378663,0.)); #506871=CARTESIAN_POINT('Origin',(32.7499996912748,10.3786637497004,0.)); #506872=CARTESIAN_POINT('',(32.877,10.378663,0.035)); #506873=CARTESIAN_POINT('Origin',(32.7499996912748,10.3786637497004,0.035)); #506874=CARTESIAN_POINT('',(32.877,10.378663,0.)); #506875=CARTESIAN_POINT('Origin',(32.877,8.4939281,0.)); #506876=CARTESIAN_POINT('',(32.877,8.4939281,0.)); #506877=CARTESIAN_POINT('',(32.877,8.4939281,0.)); #506878=CARTESIAN_POINT('',(32.877,8.4939281,0.035)); #506879=CARTESIAN_POINT('',(32.877,8.4939281,0.035)); #506880=CARTESIAN_POINT('',(32.877,8.4939281,0.)); #506881=CARTESIAN_POINT('Origin',(32.7499453379034,8.24955431053405,0.)); #506882=CARTESIAN_POINT('Origin',(32.7499453379034,8.24955431053405,0.)); #506883=CARTESIAN_POINT('Origin',(32.7499453379034,8.24955431053405,0.035)); #506884=CARTESIAN_POINT('Origin',(32.2454221284649,10.9145382887392,0.035)); #506885=CARTESIAN_POINT('Origin',(32.2454221284649,10.9145382887392,0.)); #506886=CARTESIAN_POINT('Origin',(34.,55.,-200.)); #506887=CARTESIAN_POINT('',(33.86,55.,0.)); #506888=CARTESIAN_POINT('Origin',(34.,55.,0.)); #506889=CARTESIAN_POINT('',(33.86,55.,0.0349999999999895)); #506890=CARTESIAN_POINT('',(33.86,55.,-200.)); #506891=CARTESIAN_POINT('Origin',(34.,55.,0.0349999999999895)); #506892=CARTESIAN_POINT('Origin',(34.021644,54.725,0.)); #506893=CARTESIAN_POINT('',(34.021644,54.725,0.)); #506894=CARTESIAN_POINT('',(34.064397,54.731772,0.)); #506895=CARTESIAN_POINT('',(34.021644,54.725,0.)); #506896=CARTESIAN_POINT('',(34.064397,54.731772,0.035)); #506897=CARTESIAN_POINT('',(34.064397,54.731772,0.)); #506898=CARTESIAN_POINT('',(34.021644,54.725,0.035)); #506899=CARTESIAN_POINT('',(34.021644,54.725,0.035)); #506900=CARTESIAN_POINT('',(34.021644,54.725,0.)); #506901=CARTESIAN_POINT('Origin',(34.000118471131,54.9995872515008,0.)); #506902=CARTESIAN_POINT('',(33.873,55.243928,0.)); #506903=CARTESIAN_POINT('Origin',(34.000118471131,54.9995872515008,0.)); #506904=CARTESIAN_POINT('',(33.873,55.243928,0.035)); #506905=CARTESIAN_POINT('Origin',(34.000118471131,54.9995872515008,0.035)); #506906=CARTESIAN_POINT('',(33.873,55.243928,0.)); #506907=CARTESIAN_POINT('Origin',(33.873,59.435394,0.)); #506908=CARTESIAN_POINT('',(33.873,59.435394,0.)); #506909=CARTESIAN_POINT('',(33.873,59.435394,0.)); #506910=CARTESIAN_POINT('',(33.873,59.435394,0.035)); #506911=CARTESIAN_POINT('',(33.873,59.435394,0.035)); #506912=CARTESIAN_POINT('',(33.873,59.435394,0.)); #506913=CARTESIAN_POINT('Origin',(33.502894,59.8055,0.)); #506914=CARTESIAN_POINT('',(33.502894,59.8055,0.)); #506915=CARTESIAN_POINT('',(33.502894,59.8055,0.)); #506916=CARTESIAN_POINT('',(33.502894,59.8055,0.035)); #506917=CARTESIAN_POINT('',(33.502894,59.8055,0.035)); #506918=CARTESIAN_POINT('',(33.502894,59.8055,0.)); #506919=CARTESIAN_POINT('Origin',(32.8189,59.8055,0.)); #506920=CARTESIAN_POINT('',(32.8189,59.8055,0.)); #506921=CARTESIAN_POINT('',(32.8189,59.8055,0.)); #506922=CARTESIAN_POINT('',(32.8189,59.8055,0.035)); #506923=CARTESIAN_POINT('',(32.8189,59.8055,0.035)); #506924=CARTESIAN_POINT('',(32.8189,59.8055,0.)); #506925=CARTESIAN_POINT('Origin',(32.8189,60.6945,0.)); #506926=CARTESIAN_POINT('',(32.8189,60.6945,0.)); #506927=CARTESIAN_POINT('',(32.8189,60.6945,0.)); #506928=CARTESIAN_POINT('',(32.8189,60.6945,0.035)); #506929=CARTESIAN_POINT('',(32.8189,60.6945,0.035)); #506930=CARTESIAN_POINT('',(32.8189,60.6945,0.)); #506931=CARTESIAN_POINT('Origin',(33.6571,60.6945,0.)); #506932=CARTESIAN_POINT('',(33.6571,60.6945,0.)); #506933=CARTESIAN_POINT('',(33.6571,60.6945,0.)); #506934=CARTESIAN_POINT('',(33.6571,60.6945,0.035)); #506935=CARTESIAN_POINT('',(33.6571,60.6945,0.035)); #506936=CARTESIAN_POINT('',(33.6571,60.6945,0.)); #506937=CARTESIAN_POINT('Origin',(33.6571,60.010506,0.)); #506938=CARTESIAN_POINT('',(33.6571,60.010506,0.)); #506939=CARTESIAN_POINT('',(33.6571,60.010506,0.)); #506940=CARTESIAN_POINT('',(33.6571,60.010506,0.035)); #506941=CARTESIAN_POINT('',(33.6571,60.010506,0.035)); #506942=CARTESIAN_POINT('',(33.6571,60.010506,0.)); #506943=CARTESIAN_POINT('Origin',(34.089803,59.577803,0.)); #506944=CARTESIAN_POINT('',(34.089803,59.577803,0.)); #506945=CARTESIAN_POINT('',(34.089803,59.577803,0.)); #506946=CARTESIAN_POINT('',(34.089803,59.577803,0.035)); #506947=CARTESIAN_POINT('',(34.089803,59.577803,0.035)); #506948=CARTESIAN_POINT('',(34.089803,59.577803,0.)); #506949=CARTESIAN_POINT('Origin',(33.9999996912748,59.4880007497004,0.)); #506950=CARTESIAN_POINT('',(34.127,59.488,0.)); #506951=CARTESIAN_POINT('Origin',(33.9999996912748,59.4880007497004,0.)); #506952=CARTESIAN_POINT('',(34.127,59.488,0.035)); #506953=CARTESIAN_POINT('Origin',(33.9999996912748,59.4880007497004,0.035)); #506954=CARTESIAN_POINT('',(34.127,59.488,0.)); #506955=CARTESIAN_POINT('Origin',(34.127,55.243928,0.)); #506956=CARTESIAN_POINT('',(34.127,55.243928,0.)); #506957=CARTESIAN_POINT('',(34.127,55.243928,0.)); #506958=CARTESIAN_POINT('',(34.127,55.243928,0.035)); #506959=CARTESIAN_POINT('',(34.127,55.243928,0.035)); #506960=CARTESIAN_POINT('',(34.127,55.243928,0.)); #506961=CARTESIAN_POINT('Origin',(33.9999453752955,54.999554310534,0.)); #506962=CARTESIAN_POINT('Origin',(33.9999453752955,54.999554310534,0.)); #506963=CARTESIAN_POINT('Origin',(33.9999453752955,54.999554310534,0.035)); #506964=CARTESIAN_POINT('Origin',(33.8090684096205,57.9492777810333,0.035)); #506965=CARTESIAN_POINT('Origin',(33.8090684096205,57.9492777810333,0.)); #506966=CARTESIAN_POINT('Origin',(34.9375,12.25,-200.)); #506967=CARTESIAN_POINT('',(34.7975,12.25,0.)); #506968=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #506969=CARTESIAN_POINT('',(34.7975,12.25,0.0349999999999895)); #506970=CARTESIAN_POINT('',(34.7975,12.25,-200.)); #506971=CARTESIAN_POINT('Origin',(34.9375,12.25,0.0349999999999895)); #506972=CARTESIAN_POINT('Origin',(34.959144,11.975,0.)); #506973=CARTESIAN_POINT('',(34.959144,11.975,0.)); #506974=CARTESIAN_POINT('',(35.001897,11.981772,0.)); #506975=CARTESIAN_POINT('',(34.959144,11.975,0.)); #506976=CARTESIAN_POINT('',(35.001897,11.981772,0.035)); #506977=CARTESIAN_POINT('',(35.001897,11.981772,0.)); #506978=CARTESIAN_POINT('',(34.959144,11.975,0.035)); #506979=CARTESIAN_POINT('',(34.959144,11.975,0.035)); #506980=CARTESIAN_POINT('',(34.959144,11.975,0.)); #506981=CARTESIAN_POINT('Origin',(34.9378155524372,12.2496034813592,0.)); #506982=CARTESIAN_POINT('',(34.675213,12.332681,0.)); #506983=CARTESIAN_POINT('Origin',(34.9378155524372,12.2496034813592,0.)); #506984=CARTESIAN_POINT('',(34.675213,12.332681,0.035)); #506985=CARTESIAN_POINT('Origin',(34.9378155524372,12.2496034813592,0.035)); #506986=CARTESIAN_POINT('',(34.675213,12.332681,0.)); #506987=CARTESIAN_POINT('Origin',(34.160197,12.847697,0.)); #506988=CARTESIAN_POINT('',(34.160197,12.847697,0.)); #506989=CARTESIAN_POINT('',(34.160197,12.847697,0.)); #506990=CARTESIAN_POINT('',(34.160197,12.847697,0.035)); #506991=CARTESIAN_POINT('',(34.160197,12.847697,0.035)); #506992=CARTESIAN_POINT('',(34.160197,12.847697,0.)); #506993=CARTESIAN_POINT('Origin',(34.2500003087252,12.9374992502996,0.)); #506994=CARTESIAN_POINT('',(34.123,12.9375,0.)); #506995=CARTESIAN_POINT('Origin',(34.2500003087252,12.9374992502996,0.)); #506996=CARTESIAN_POINT('',(34.123,12.9375,0.035)); #506997=CARTESIAN_POINT('Origin',(34.2500003087252,12.9374992502996,0.035)); #506998=CARTESIAN_POINT('',(34.123,12.9375,0.)); #506999=CARTESIAN_POINT('Origin',(34.123,13.2875,0.)); #507000=CARTESIAN_POINT('',(34.123,13.2875,0.)); #507001=CARTESIAN_POINT('',(34.123,13.2875,0.)); #507002=CARTESIAN_POINT('',(34.123,13.2875,0.035)); #507003=CARTESIAN_POINT('',(34.123,13.2875,0.035)); #507004=CARTESIAN_POINT('',(34.123,13.2875,0.)); #507005=CARTESIAN_POINT('Origin',(34.1125,13.2875,0.)); #507006=CARTESIAN_POINT('',(34.1125,13.2875,0.)); #507007=CARTESIAN_POINT('',(34.1125,13.2875,0.)); #507008=CARTESIAN_POINT('',(34.1125,13.2875,0.035)); #507009=CARTESIAN_POINT('',(34.1125,13.2875,0.035)); #507010=CARTESIAN_POINT('',(34.1125,13.2875,0.)); #507011=CARTESIAN_POINT('Origin',(34.1125,13.9125,0.)); #507012=CARTESIAN_POINT('',(34.1125,13.9125,0.)); #507013=CARTESIAN_POINT('',(34.1125,13.9125,0.)); #507014=CARTESIAN_POINT('',(34.1125,13.9125,0.035)); #507015=CARTESIAN_POINT('',(34.1125,13.9125,0.035)); #507016=CARTESIAN_POINT('',(34.1125,13.9125,0.)); #507017=CARTESIAN_POINT('Origin',(34.3875,13.9125,0.)); #507018=CARTESIAN_POINT('',(34.3875,13.9125,0.)); #507019=CARTESIAN_POINT('',(34.3875,13.9125,0.)); #507020=CARTESIAN_POINT('',(34.3875,13.9125,0.035)); #507021=CARTESIAN_POINT('',(34.3875,13.9125,0.035)); #507022=CARTESIAN_POINT('',(34.3875,13.9125,0.)); #507023=CARTESIAN_POINT('Origin',(34.3875,13.2875,0.)); #507024=CARTESIAN_POINT('',(34.3875,13.2875,0.)); #507025=CARTESIAN_POINT('',(34.3875,13.2875,0.)); #507026=CARTESIAN_POINT('',(34.3875,13.2875,0.035)); #507027=CARTESIAN_POINT('',(34.3875,13.2875,0.035)); #507028=CARTESIAN_POINT('',(34.3875,13.2875,0.)); #507029=CARTESIAN_POINT('Origin',(34.377,13.2875,0.)); #507030=CARTESIAN_POINT('',(34.377,13.2875,0.)); #507031=CARTESIAN_POINT('',(34.377,13.2875,0.)); #507032=CARTESIAN_POINT('',(34.377,13.2875,0.035)); #507033=CARTESIAN_POINT('',(34.377,13.2875,0.035)); #507034=CARTESIAN_POINT('',(34.377,13.2875,0.)); #507035=CARTESIAN_POINT('Origin',(34.377,12.990106,0.)); #507036=CARTESIAN_POINT('',(34.377,12.990106,0.)); #507037=CARTESIAN_POINT('',(34.377,12.990106,0.)); #507038=CARTESIAN_POINT('',(34.377,12.990106,0.035)); #507039=CARTESIAN_POINT('',(34.377,12.990106,0.035)); #507040=CARTESIAN_POINT('',(34.377,12.990106,0.)); #507041=CARTESIAN_POINT('Origin',(34.854819,12.512288,0.)); #507042=CARTESIAN_POINT('',(34.854819,12.512288,0.)); #507043=CARTESIAN_POINT('',(34.854819,12.512288,0.)); #507044=CARTESIAN_POINT('',(34.854819,12.512288,0.035)); #507045=CARTESIAN_POINT('',(34.854819,12.512288,0.035)); #507046=CARTESIAN_POINT('',(34.854819,12.512288,0.)); #507047=CARTESIAN_POINT('Origin',(34.873103,12.518228,0.)); #507048=CARTESIAN_POINT('',(34.873103,12.518228,0.)); #507049=CARTESIAN_POINT('',(34.873103,12.518228,0.)); #507050=CARTESIAN_POINT('',(34.873103,12.518228,0.035)); #507051=CARTESIAN_POINT('',(34.873103,12.518228,0.035)); #507052=CARTESIAN_POINT('',(34.873103,12.518228,0.)); #507053=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #507054=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #507055=CARTESIAN_POINT('Origin',(34.9375,12.25,0.035)); #507056=CARTESIAN_POINT('Origin',(34.5209046475267,12.895263396196,0.035)); #507057=CARTESIAN_POINT('Origin',(34.5209046475267,12.895263396196,0.)); #507058=CARTESIAN_POINT('Origin',(41.25,13.0029,-200.)); #507059=CARTESIAN_POINT('',(41.11,13.0029,0.)); #507060=CARTESIAN_POINT('Origin',(41.25,13.0029,0.)); #507061=CARTESIAN_POINT('',(41.11,13.0029,0.0349999999999895)); #507062=CARTESIAN_POINT('',(41.11,13.0029,-200.)); #507063=CARTESIAN_POINT('Origin',(41.25,13.0029,0.0349999999999895)); #507064=CARTESIAN_POINT('Origin',(42.5,14.25,-200.)); #507065=CARTESIAN_POINT('',(42.36,14.25,0.)); #507066=CARTESIAN_POINT('Origin',(42.5,14.25,0.)); #507067=CARTESIAN_POINT('',(42.36,14.25,0.0349999999999895)); #507068=CARTESIAN_POINT('',(42.36,14.25,-200.)); #507069=CARTESIAN_POINT('Origin',(42.5,14.25,0.0349999999999895)); #507070=CARTESIAN_POINT('Origin',(41.271644,12.7279,0.)); #507071=CARTESIAN_POINT('',(41.271644,12.7279,0.)); #507072=CARTESIAN_POINT('',(41.314397,12.734672,0.)); #507073=CARTESIAN_POINT('',(41.271644,12.7279,0.)); #507074=CARTESIAN_POINT('',(41.314397,12.734672,0.035)); #507075=CARTESIAN_POINT('',(41.314397,12.734672,0.)); #507076=CARTESIAN_POINT('',(41.271644,12.7279,0.035)); #507077=CARTESIAN_POINT('',(41.271644,12.7279,0.035)); #507078=CARTESIAN_POINT('',(41.271644,12.7279,0.)); #507079=CARTESIAN_POINT('Origin',(41.2500002346035,13.0028996129187,0.)); #507080=CARTESIAN_POINT('',(41.144438,12.748047,0.)); #507081=CARTESIAN_POINT('Origin',(41.2500002346035,13.0028996129187,0.)); #507082=CARTESIAN_POINT('',(41.144438,12.748047,0.035)); #507083=CARTESIAN_POINT('Origin',(41.2500002346035,13.0028996129187,0.035)); #507084=CARTESIAN_POINT('',(41.144438,12.748047,0.)); #507085=CARTESIAN_POINT('Origin',(41.249856389188,13.0025053520337,0.)); #507086=CARTESIAN_POINT('',(41.332681,13.265188,0.)); #507087=CARTESIAN_POINT('Origin',(41.249856389188,13.0025053520337,0.)); #507088=CARTESIAN_POINT('',(41.332681,13.265188,0.035)); #507089=CARTESIAN_POINT('Origin',(41.249856389188,13.0025053520337,0.035)); #507090=CARTESIAN_POINT('',(41.332681,13.265188,0.)); #507091=CARTESIAN_POINT('Origin',(42.237,14.169506,0.)); #507092=CARTESIAN_POINT('',(42.237,14.169506,0.)); #507093=CARTESIAN_POINT('',(42.237,14.169506,0.)); #507094=CARTESIAN_POINT('',(42.237,14.169506,0.035)); #507095=CARTESIAN_POINT('',(42.237,14.169506,0.035)); #507096=CARTESIAN_POINT('',(42.237,14.169506,0.)); #507097=CARTESIAN_POINT('Origin',(42.5003309903125,14.2503001860415,0.)); #507098=CARTESIAN_POINT('',(42.605563,14.504853,0.)); #507099=CARTESIAN_POINT('Origin',(42.5003309903125,14.2503001860415,0.)); #507100=CARTESIAN_POINT('',(42.605563,14.504853,0.035)); #507101=CARTESIAN_POINT('Origin',(42.5003309903125,14.2503001860415,0.035)); #507102=CARTESIAN_POINT('',(42.605563,14.504853,0.)); #507103=CARTESIAN_POINT('Origin',(42.50014761933,14.2504000053284,0.)); #507104=CARTESIAN_POINT('',(42.415131,13.988425,0.)); #507105=CARTESIAN_POINT('Origin',(42.50014761933,14.2504000053284,0.)); #507106=CARTESIAN_POINT('',(42.415131,13.988425,0.035)); #507107=CARTESIAN_POINT('Origin',(42.50014761933,14.2504000053284,0.035)); #507108=CARTESIAN_POINT('',(42.415131,13.988425,0.)); #507109=CARTESIAN_POINT('Origin',(41.512287,13.085581,0.)); #507110=CARTESIAN_POINT('',(41.512287,13.085581,0.)); #507111=CARTESIAN_POINT('',(41.512287,13.085581,0.)); #507112=CARTESIAN_POINT('',(41.512287,13.085581,0.035)); #507113=CARTESIAN_POINT('',(41.512287,13.085581,0.035)); #507114=CARTESIAN_POINT('',(41.512287,13.085581,0.)); #507115=CARTESIAN_POINT('Origin',(41.2497173966391,13.0024002027522,0.)); #507116=CARTESIAN_POINT('Origin',(41.2497173966391,13.0024002027522,0.)); #507117=CARTESIAN_POINT('Origin',(41.2497173966391,13.0024002027522,0.035)); #507118=CARTESIAN_POINT('Origin',(41.8750480467394,13.6261168986503,0.035)); #507119=CARTESIAN_POINT('Origin',(41.8750480467394,13.6261168986503,0.)); #507120=CARTESIAN_POINT('Origin',(34.25,8.25,-200.)); #507121=CARTESIAN_POINT('',(34.11,8.25,0.)); #507122=CARTESIAN_POINT('Origin',(34.25,8.25,0.)); #507123=CARTESIAN_POINT('',(34.11,8.25,0.0349999999999895)); #507124=CARTESIAN_POINT('',(34.11,8.25,-200.)); #507125=CARTESIAN_POINT('Origin',(34.25,8.25,0.0349999999999895)); #507126=CARTESIAN_POINT('Origin',(34.271644,7.975,0.)); #507127=CARTESIAN_POINT('',(34.271644,7.975,0.)); #507128=CARTESIAN_POINT('',(34.314397,7.9817719,0.)); #507129=CARTESIAN_POINT('',(34.271644,7.975,0.)); #507130=CARTESIAN_POINT('',(34.314397,7.9817719,0.035)); #507131=CARTESIAN_POINT('',(34.314397,7.9817719,0.)); #507132=CARTESIAN_POINT('',(34.271644,7.975,0.035)); #507133=CARTESIAN_POINT('',(34.271644,7.975,0.035)); #507134=CARTESIAN_POINT('',(34.271644,7.975,0.)); #507135=CARTESIAN_POINT('Origin',(34.2501184813052,8.24958730150078,0.)); #507136=CARTESIAN_POINT('',(34.123,8.4939281,0.)); #507137=CARTESIAN_POINT('Origin',(34.2501184813052,8.24958730150078,0.)); #507138=CARTESIAN_POINT('',(34.123,8.4939281,0.035)); #507139=CARTESIAN_POINT('Origin',(34.2501184813052,8.24958730150078,0.035)); #507140=CARTESIAN_POINT('',(34.123,8.4939281,0.)); #507141=CARTESIAN_POINT('Origin',(34.123,10.240281,0.)); #507142=CARTESIAN_POINT('',(34.123,10.240281,0.)); #507143=CARTESIAN_POINT('',(34.123,10.240281,0.)); #507144=CARTESIAN_POINT('',(34.123,10.240281,0.035)); #507145=CARTESIAN_POINT('',(34.123,10.240281,0.035)); #507146=CARTESIAN_POINT('',(34.123,10.240281,0.)); #507147=CARTESIAN_POINT('Origin',(32.660197,11.703084,0.)); #507148=CARTESIAN_POINT('',(32.660197,11.703084,0.)); #507149=CARTESIAN_POINT('',(32.660197,11.703084,0.)); #507150=CARTESIAN_POINT('',(32.660197,11.703084,0.035)); #507151=CARTESIAN_POINT('',(32.660197,11.703084,0.035)); #507152=CARTESIAN_POINT('',(32.660197,11.703084,0.)); #507153=CARTESIAN_POINT('Origin',(32.750001515832,11.7928867502996,0.)); #507154=CARTESIAN_POINT('',(32.623,11.792888,0.)); #507155=CARTESIAN_POINT('Origin',(32.750001515832,11.7928867502996,0.)); #507156=CARTESIAN_POINT('',(32.623,11.792888,0.035)); #507157=CARTESIAN_POINT('Origin',(32.750001515832,11.7928867502996,0.035)); #507158=CARTESIAN_POINT('',(32.623,11.792888,0.)); #507159=CARTESIAN_POINT('Origin',(32.623,13.2875,0.)); #507160=CARTESIAN_POINT('',(32.623,13.2875,0.)); #507161=CARTESIAN_POINT('',(32.623,13.2875,0.)); #507162=CARTESIAN_POINT('',(32.623,13.2875,0.035)); #507163=CARTESIAN_POINT('',(32.623,13.2875,0.035)); #507164=CARTESIAN_POINT('',(32.623,13.2875,0.)); #507165=CARTESIAN_POINT('Origin',(32.6125,13.2875,0.)); #507166=CARTESIAN_POINT('',(32.6125,13.2875,0.)); #507167=CARTESIAN_POINT('',(32.6125,13.2875,0.)); #507168=CARTESIAN_POINT('',(32.6125,13.2875,0.035)); #507169=CARTESIAN_POINT('',(32.6125,13.2875,0.035)); #507170=CARTESIAN_POINT('',(32.6125,13.2875,0.)); #507171=CARTESIAN_POINT('Origin',(32.6125,13.9125,0.)); #507172=CARTESIAN_POINT('',(32.6125,13.9125,0.)); #507173=CARTESIAN_POINT('',(32.6125,13.9125,0.)); #507174=CARTESIAN_POINT('',(32.6125,13.9125,0.035)); #507175=CARTESIAN_POINT('',(32.6125,13.9125,0.035)); #507176=CARTESIAN_POINT('',(32.6125,13.9125,0.)); #507177=CARTESIAN_POINT('Origin',(32.8875,13.9125,0.)); #507178=CARTESIAN_POINT('',(32.8875,13.9125,0.)); #507179=CARTESIAN_POINT('',(32.8875,13.9125,0.)); #507180=CARTESIAN_POINT('',(32.8875,13.9125,0.035)); #507181=CARTESIAN_POINT('',(32.8875,13.9125,0.035)); #507182=CARTESIAN_POINT('',(32.8875,13.9125,0.)); #507183=CARTESIAN_POINT('Origin',(32.8875,13.2875,0.)); #507184=CARTESIAN_POINT('',(32.8875,13.2875,0.)); #507185=CARTESIAN_POINT('',(32.8875,13.2875,0.)); #507186=CARTESIAN_POINT('',(32.8875,13.2875,0.035)); #507187=CARTESIAN_POINT('',(32.8875,13.2875,0.035)); #507188=CARTESIAN_POINT('',(32.8875,13.2875,0.)); #507189=CARTESIAN_POINT('Origin',(32.877,13.2875,0.)); #507190=CARTESIAN_POINT('',(32.877,13.2875,0.)); #507191=CARTESIAN_POINT('',(32.877,13.2875,0.)); #507192=CARTESIAN_POINT('',(32.877,13.2875,0.035)); #507193=CARTESIAN_POINT('',(32.877,13.2875,0.035)); #507194=CARTESIAN_POINT('',(32.877,13.2875,0.)); #507195=CARTESIAN_POINT('Origin',(32.877,11.845494,0.)); #507196=CARTESIAN_POINT('',(32.877,11.845494,0.)); #507197=CARTESIAN_POINT('',(32.877,11.845494,0.)); #507198=CARTESIAN_POINT('',(32.877,11.845494,0.035)); #507199=CARTESIAN_POINT('',(32.877,11.845494,0.035)); #507200=CARTESIAN_POINT('',(32.877,11.845494,0.)); #507201=CARTESIAN_POINT('Origin',(34.339803,10.382691,0.)); #507202=CARTESIAN_POINT('',(34.339803,10.382691,0.)); #507203=CARTESIAN_POINT('',(34.339803,10.382691,0.)); #507204=CARTESIAN_POINT('',(34.339803,10.382691,0.035)); #507205=CARTESIAN_POINT('',(34.339803,10.382691,0.035)); #507206=CARTESIAN_POINT('',(34.339803,10.382691,0.)); #507207=CARTESIAN_POINT('Origin',(34.2499996912748,10.2928887497004,0.)); #507208=CARTESIAN_POINT('',(34.377,10.292888,0.)); #507209=CARTESIAN_POINT('Origin',(34.2499996912748,10.2928887497004,0.)); #507210=CARTESIAN_POINT('',(34.377,10.292888,0.035)); #507211=CARTESIAN_POINT('Origin',(34.2499996912748,10.2928887497004,0.035)); #507212=CARTESIAN_POINT('',(34.377,10.292888,0.)); #507213=CARTESIAN_POINT('Origin',(34.377,8.4939281,0.)); #507214=CARTESIAN_POINT('',(34.377,8.4939281,0.)); #507215=CARTESIAN_POINT('',(34.377,8.4939281,0.)); #507216=CARTESIAN_POINT('',(34.377,8.4939281,0.035)); #507217=CARTESIAN_POINT('',(34.377,8.4939281,0.035)); #507218=CARTESIAN_POINT('',(34.377,8.4939281,0.)); #507219=CARTESIAN_POINT('Origin',(34.2499453379034,8.24955431053404,0.)); #507220=CARTESIAN_POINT('Origin',(34.2499453379034,8.24955431053404,0.)); #507221=CARTESIAN_POINT('Origin',(34.2499453379034,8.24955431053404,0.035)); #507222=CARTESIAN_POINT('Origin',(33.5288659320722,10.9280888053752,0.035)); #507223=CARTESIAN_POINT('Origin',(33.5288659320722,10.9280888053752,0.)); #507224=CARTESIAN_POINT('Origin',(33.75,6.2426,-200.)); #507225=CARTESIAN_POINT('',(33.61,6.2426,0.)); #507226=CARTESIAN_POINT('Origin',(33.75,6.2426,0.)); #507227=CARTESIAN_POINT('',(33.61,6.2426,0.0349999999999895)); #507228=CARTESIAN_POINT('',(33.61,6.2426,-200.)); #507229=CARTESIAN_POINT('Origin',(33.75,6.2426,0.0349999999999895)); #507230=CARTESIAN_POINT('Origin',(33.771644,5.9676,0.)); #507231=CARTESIAN_POINT('',(33.771644,5.9676,0.)); #507232=CARTESIAN_POINT('',(33.814397,5.9743719,0.)); #507233=CARTESIAN_POINT('',(33.771644,5.9676,0.)); #507234=CARTESIAN_POINT('',(33.814397,5.9743719,0.035)); #507235=CARTESIAN_POINT('',(33.814397,5.9743719,0.)); #507236=CARTESIAN_POINT('',(33.771644,5.9676,0.035)); #507237=CARTESIAN_POINT('',(33.771644,5.9676,0.035)); #507238=CARTESIAN_POINT('',(33.771644,5.9676,0.)); #507239=CARTESIAN_POINT('Origin',(33.7501184813052,6.24218730150078,0.)); #507240=CARTESIAN_POINT('',(33.623,6.4865281,0.)); #507241=CARTESIAN_POINT('Origin',(33.7501184813052,6.24218730150078,0.)); #507242=CARTESIAN_POINT('',(33.623,6.4865281,0.035)); #507243=CARTESIAN_POINT('Origin',(33.7501184813052,6.24218730150078,0.035)); #507244=CARTESIAN_POINT('',(33.623,6.4865281,0.)); #507245=CARTESIAN_POINT('Origin',(33.623,7.6899938,0.)); #507246=CARTESIAN_POINT('',(33.623,7.6899938,0.)); #507247=CARTESIAN_POINT('',(33.623,7.6899938,0.)); #507248=CARTESIAN_POINT('',(33.623,7.6899938,0.035)); #507249=CARTESIAN_POINT('',(33.623,7.6899938,0.035)); #507250=CARTESIAN_POINT('',(33.623,7.6899938,0.)); #507251=CARTESIAN_POINT('Origin',(33.410197,7.9027969,0.)); #507252=CARTESIAN_POINT('',(33.410197,7.9027969,0.)); #507253=CARTESIAN_POINT('',(33.410197,7.9027969,0.)); #507254=CARTESIAN_POINT('',(33.410197,7.9027969,0.035)); #507255=CARTESIAN_POINT('',(33.410197,7.9027969,0.035)); #507256=CARTESIAN_POINT('',(33.410197,7.9027969,0.)); #507257=CARTESIAN_POINT('Origin',(33.5000004294359,7.99259920029957,0.)); #507258=CARTESIAN_POINT('',(33.373,7.9926,0.)); #507259=CARTESIAN_POINT('Origin',(33.5000004294359,7.99259920029957,0.)); #507260=CARTESIAN_POINT('',(33.373,7.9926,0.035)); #507261=CARTESIAN_POINT('Origin',(33.5000004294359,7.99259920029957,0.035)); #507262=CARTESIAN_POINT('',(33.373,7.9926,0.)); #507263=CARTESIAN_POINT('Origin',(33.373,10.283169,0.)); #507264=CARTESIAN_POINT('',(33.373,10.283169,0.)); #507265=CARTESIAN_POINT('',(33.373,10.283169,0.)); #507266=CARTESIAN_POINT('',(33.373,10.283169,0.035)); #507267=CARTESIAN_POINT('',(33.373,10.283169,0.035)); #507268=CARTESIAN_POINT('',(33.373,10.283169,0.)); #507269=CARTESIAN_POINT('Origin',(32.160197,11.495972,0.)); #507270=CARTESIAN_POINT('',(32.160197,11.495972,0.)); #507271=CARTESIAN_POINT('',(32.160197,11.495972,0.)); #507272=CARTESIAN_POINT('',(32.160197,11.495972,0.035)); #507273=CARTESIAN_POINT('',(32.160197,11.495972,0.035)); #507274=CARTESIAN_POINT('',(32.160197,11.495972,0.)); #507275=CARTESIAN_POINT('Origin',(32.2500003087252,11.5857742502996,0.)); #507276=CARTESIAN_POINT('',(32.123,11.585775,0.)); #507277=CARTESIAN_POINT('Origin',(32.2500003087252,11.5857742502996,0.)); #507278=CARTESIAN_POINT('',(32.123,11.585775,0.035)); #507279=CARTESIAN_POINT('Origin',(32.2500003087252,11.5857742502996,0.035)); #507280=CARTESIAN_POINT('',(32.123,11.585775,0.)); #507281=CARTESIAN_POINT('Origin',(32.123,13.2875,0.)); #507282=CARTESIAN_POINT('',(32.123,13.2875,0.)); #507283=CARTESIAN_POINT('',(32.123,13.2875,0.)); #507284=CARTESIAN_POINT('',(32.123,13.2875,0.035)); #507285=CARTESIAN_POINT('',(32.123,13.2875,0.035)); #507286=CARTESIAN_POINT('',(32.123,13.2875,0.)); #507287=CARTESIAN_POINT('Origin',(32.1125,13.2875,0.)); #507288=CARTESIAN_POINT('',(32.1125,13.2875,0.)); #507289=CARTESIAN_POINT('',(32.1125,13.2875,0.)); #507290=CARTESIAN_POINT('',(32.1125,13.2875,0.035)); #507291=CARTESIAN_POINT('',(32.1125,13.2875,0.035)); #507292=CARTESIAN_POINT('',(32.1125,13.2875,0.)); #507293=CARTESIAN_POINT('Origin',(32.1125,13.9125,0.)); #507294=CARTESIAN_POINT('',(32.1125,13.9125,0.)); #507295=CARTESIAN_POINT('',(32.1125,13.9125,0.)); #507296=CARTESIAN_POINT('',(32.1125,13.9125,0.035)); #507297=CARTESIAN_POINT('',(32.1125,13.9125,0.035)); #507298=CARTESIAN_POINT('',(32.1125,13.9125,0.)); #507299=CARTESIAN_POINT('Origin',(32.3875,13.9125,0.)); #507300=CARTESIAN_POINT('',(32.3875,13.9125,0.)); #507301=CARTESIAN_POINT('',(32.3875,13.9125,0.)); #507302=CARTESIAN_POINT('',(32.3875,13.9125,0.035)); #507303=CARTESIAN_POINT('',(32.3875,13.9125,0.035)); #507304=CARTESIAN_POINT('',(32.3875,13.9125,0.)); #507305=CARTESIAN_POINT('Origin',(32.3875,13.2875,0.)); #507306=CARTESIAN_POINT('',(32.3875,13.2875,0.)); #507307=CARTESIAN_POINT('',(32.3875,13.2875,0.)); #507308=CARTESIAN_POINT('',(32.3875,13.2875,0.035)); #507309=CARTESIAN_POINT('',(32.3875,13.2875,0.035)); #507310=CARTESIAN_POINT('',(32.3875,13.2875,0.)); #507311=CARTESIAN_POINT('Origin',(32.377,13.2875,0.)); #507312=CARTESIAN_POINT('',(32.377,13.2875,0.)); #507313=CARTESIAN_POINT('',(32.377,13.2875,0.)); #507314=CARTESIAN_POINT('',(32.377,13.2875,0.035)); #507315=CARTESIAN_POINT('',(32.377,13.2875,0.035)); #507316=CARTESIAN_POINT('',(32.377,13.2875,0.)); #507317=CARTESIAN_POINT('Origin',(32.377,11.638381,0.)); #507318=CARTESIAN_POINT('',(32.377,11.638381,0.)); #507319=CARTESIAN_POINT('',(32.377,11.638381,0.)); #507320=CARTESIAN_POINT('',(32.377,11.638381,0.035)); #507321=CARTESIAN_POINT('',(32.377,11.638381,0.035)); #507322=CARTESIAN_POINT('',(32.377,11.638381,0.)); #507323=CARTESIAN_POINT('Origin',(33.589803,10.425578,0.)); #507324=CARTESIAN_POINT('',(33.589803,10.425578,0.)); #507325=CARTESIAN_POINT('',(33.589803,10.425578,0.)); #507326=CARTESIAN_POINT('',(33.589803,10.425578,0.035)); #507327=CARTESIAN_POINT('',(33.589803,10.425578,0.035)); #507328=CARTESIAN_POINT('',(33.589803,10.425578,0.)); #507329=CARTESIAN_POINT('Origin',(33.4999996912748,10.3357757497004,0.)); #507330=CARTESIAN_POINT('',(33.627,10.335775,0.)); #507331=CARTESIAN_POINT('Origin',(33.4999996912748,10.3357757497004,0.)); #507332=CARTESIAN_POINT('',(33.627,10.335775,0.035)); #507333=CARTESIAN_POINT('Origin',(33.4999996912748,10.3357757497004,0.035)); #507334=CARTESIAN_POINT('',(33.627,10.335775,0.)); #507335=CARTESIAN_POINT('Origin',(33.627,8.0452062,0.)); #507336=CARTESIAN_POINT('',(33.627,8.0452062,0.)); #507337=CARTESIAN_POINT('',(33.627,8.0452062,0.)); #507338=CARTESIAN_POINT('',(33.627,8.0452062,0.035)); #507339=CARTESIAN_POINT('',(33.627,8.0452062,0.035)); #507340=CARTESIAN_POINT('',(33.627,8.0452062,0.)); #507341=CARTESIAN_POINT('Origin',(33.839803,7.8324031,0.)); #507342=CARTESIAN_POINT('',(33.839803,7.8324031,0.)); #507343=CARTESIAN_POINT('',(33.839803,7.8324031,0.)); #507344=CARTESIAN_POINT('',(33.839803,7.8324031,0.035)); #507345=CARTESIAN_POINT('',(33.839803,7.8324031,0.035)); #507346=CARTESIAN_POINT('',(33.839803,7.8324031,0.)); #507347=CARTESIAN_POINT('Origin',(33.7499995705641,7.74260079970043,0.)); #507348=CARTESIAN_POINT('',(33.877,7.7426,0.)); #507349=CARTESIAN_POINT('Origin',(33.7499995705641,7.74260079970043,0.)); #507350=CARTESIAN_POINT('',(33.877,7.7426,0.035)); #507351=CARTESIAN_POINT('Origin',(33.7499995705641,7.74260079970043,0.035)); #507352=CARTESIAN_POINT('',(33.877,7.7426,0.)); #507353=CARTESIAN_POINT('Origin',(33.877,6.4865281,0.)); #507354=CARTESIAN_POINT('',(33.877,6.4865281,0.)); #507355=CARTESIAN_POINT('',(33.877,6.4865281,0.)); #507356=CARTESIAN_POINT('',(33.877,6.4865281,0.035)); #507357=CARTESIAN_POINT('',(33.877,6.4865281,0.035)); #507358=CARTESIAN_POINT('',(33.877,6.4865281,0.)); #507359=CARTESIAN_POINT('Origin',(33.7499453379034,6.24215431053405,0.)); #507360=CARTESIAN_POINT('Origin',(33.7499453379034,6.24215431053405,0.)); #507361=CARTESIAN_POINT('Origin',(33.7499453379034,6.24215431053405,0.035)); #507362=CARTESIAN_POINT('Origin',(33.0903821194736,9.94945570206301,0.035)); #507363=CARTESIAN_POINT('Origin',(33.0903821194736,9.94945570206301,0.)); #507364=CARTESIAN_POINT('Origin',(30.9125,15.1125,0.)); #507365=CARTESIAN_POINT('',(30.9125,15.1125,0.)); #507366=CARTESIAN_POINT('',(30.9125,15.3875,0.)); #507367=CARTESIAN_POINT('',(30.9125,15.1125,0.)); #507368=CARTESIAN_POINT('',(30.9125,15.3875,0.035)); #507369=CARTESIAN_POINT('',(30.9125,15.3875,0.)); #507370=CARTESIAN_POINT('',(30.9125,15.1125,0.035)); #507371=CARTESIAN_POINT('',(30.9125,15.1125,0.035)); #507372=CARTESIAN_POINT('',(30.9125,15.1125,0.)); #507373=CARTESIAN_POINT('Origin',(30.2875,15.1125,0.)); #507374=CARTESIAN_POINT('',(30.2875,15.1125,0.)); #507375=CARTESIAN_POINT('',(30.2875,15.1125,0.)); #507376=CARTESIAN_POINT('',(30.2875,15.1125,0.035)); #507377=CARTESIAN_POINT('',(30.2875,15.1125,0.035)); #507378=CARTESIAN_POINT('',(30.2875,15.1125,0.)); #507379=CARTESIAN_POINT('Origin',(30.2875,15.123,0.)); #507380=CARTESIAN_POINT('',(30.2875,15.123,0.)); #507381=CARTESIAN_POINT('',(30.2875,15.123,0.)); #507382=CARTESIAN_POINT('',(30.2875,15.123,0.035)); #507383=CARTESIAN_POINT('',(30.2875,15.123,0.035)); #507384=CARTESIAN_POINT('',(30.2875,15.123,0.)); #507385=CARTESIAN_POINT('Origin',(29.064325,15.123,0.)); #507386=CARTESIAN_POINT('',(29.064325,15.123,0.)); #507387=CARTESIAN_POINT('',(29.064325,15.123,0.)); #507388=CARTESIAN_POINT('',(29.064325,15.123,0.035)); #507389=CARTESIAN_POINT('',(29.064325,15.123,0.035)); #507390=CARTESIAN_POINT('',(29.064325,15.123,0.)); #507391=CARTESIAN_POINT('Origin',(29.0643242502996,15.2500003087252,0.)); #507392=CARTESIAN_POINT('',(28.974522,15.160197,0.)); #507393=CARTESIAN_POINT('Origin',(29.0643242502996,15.2500003087252,0.)); #507394=CARTESIAN_POINT('',(28.974522,15.160197,0.035)); #507395=CARTESIAN_POINT('Origin',(29.0643242502996,15.2500003087252,0.035)); #507396=CARTESIAN_POINT('',(28.974522,15.160197,0.)); #507397=CARTESIAN_POINT('Origin',(26.410197,17.724522,0.)); #507398=CARTESIAN_POINT('',(26.410197,17.724522,0.)); #507399=CARTESIAN_POINT('',(26.410197,17.724522,0.)); #507400=CARTESIAN_POINT('',(26.410197,17.724522,0.035)); #507401=CARTESIAN_POINT('',(26.410197,17.724522,0.035)); #507402=CARTESIAN_POINT('',(26.410197,17.724522,0.)); #507403=CARTESIAN_POINT('Origin',(26.5000003087252,17.8143242502996,0.)); #507404=CARTESIAN_POINT('',(26.373,17.814325,0.)); #507405=CARTESIAN_POINT('Origin',(26.5000003087252,17.8143242502996,0.)); #507406=CARTESIAN_POINT('',(26.373,17.814325,0.035)); #507407=CARTESIAN_POINT('Origin',(26.5000003087252,17.8143242502996,0.035)); #507408=CARTESIAN_POINT('',(26.373,17.814325,0.)); #507409=CARTESIAN_POINT('Origin',(26.373,19.572394,0.)); #507410=CARTESIAN_POINT('',(26.373,19.572394,0.)); #507411=CARTESIAN_POINT('',(26.373,19.572394,0.)); #507412=CARTESIAN_POINT('',(26.373,19.572394,0.035)); #507413=CARTESIAN_POINT('',(26.373,19.572394,0.035)); #507414=CARTESIAN_POINT('',(26.373,19.572394,0.)); #507415=CARTESIAN_POINT('Origin',(25.822394,20.123,0.)); #507416=CARTESIAN_POINT('',(25.822394,20.123,0.)); #507417=CARTESIAN_POINT('',(25.822394,20.123,0.)); #507418=CARTESIAN_POINT('',(25.822394,20.123,0.035)); #507419=CARTESIAN_POINT('',(25.822394,20.123,0.035)); #507420=CARTESIAN_POINT('',(25.822394,20.123,0.)); #507421=CARTESIAN_POINT('Origin',(22.512,20.123,0.)); #507422=CARTESIAN_POINT('',(22.512,20.123,0.)); #507423=CARTESIAN_POINT('',(22.512,20.123,0.)); #507424=CARTESIAN_POINT('',(22.512,20.123,0.035)); #507425=CARTESIAN_POINT('',(22.512,20.123,0.035)); #507426=CARTESIAN_POINT('',(22.512,20.123,0.)); #507427=CARTESIAN_POINT('Origin',(22.5119992502996,20.2500003087252,0.)); #507428=CARTESIAN_POINT('',(22.422197,20.160197,0.)); #507429=CARTESIAN_POINT('Origin',(22.5119992502996,20.2500003087252,0.)); #507430=CARTESIAN_POINT('',(22.422197,20.160197,0.035)); #507431=CARTESIAN_POINT('Origin',(22.5119992502996,20.2500003087252,0.035)); #507432=CARTESIAN_POINT('',(22.422197,20.160197,0.)); #507433=CARTESIAN_POINT('Origin',(22.026894,20.5555,0.)); #507434=CARTESIAN_POINT('',(22.026894,20.5555,0.)); #507435=CARTESIAN_POINT('',(22.026894,20.5555,0.)); #507436=CARTESIAN_POINT('',(22.026894,20.5555,0.035)); #507437=CARTESIAN_POINT('',(22.026894,20.5555,0.035)); #507438=CARTESIAN_POINT('',(22.026894,20.5555,0.)); #507439=CARTESIAN_POINT('Origin',(21.3429,20.5555,0.)); #507440=CARTESIAN_POINT('',(21.3429,20.5555,0.)); #507441=CARTESIAN_POINT('',(21.3429,20.5555,0.)); #507442=CARTESIAN_POINT('',(21.3429,20.5555,0.035)); #507443=CARTESIAN_POINT('',(21.3429,20.5555,0.035)); #507444=CARTESIAN_POINT('',(21.3429,20.5555,0.)); #507445=CARTESIAN_POINT('Origin',(21.3429,21.4445,0.)); #507446=CARTESIAN_POINT('',(21.3429,21.4445,0.)); #507447=CARTESIAN_POINT('',(21.3429,21.4445,0.)); #507448=CARTESIAN_POINT('',(21.3429,21.4445,0.035)); #507449=CARTESIAN_POINT('',(21.3429,21.4445,0.035)); #507450=CARTESIAN_POINT('',(21.3429,21.4445,0.)); #507451=CARTESIAN_POINT('Origin',(22.1811,21.4445,0.)); #507452=CARTESIAN_POINT('',(22.1811,21.4445,0.)); #507453=CARTESIAN_POINT('',(22.1811,21.4445,0.)); #507454=CARTESIAN_POINT('',(22.1811,21.4445,0.035)); #507455=CARTESIAN_POINT('',(22.1811,21.4445,0.035)); #507456=CARTESIAN_POINT('',(22.1811,21.4445,0.)); #507457=CARTESIAN_POINT('Origin',(22.1811,20.760506,0.)); #507458=CARTESIAN_POINT('',(22.1811,20.760506,0.)); #507459=CARTESIAN_POINT('',(22.1811,20.760506,0.)); #507460=CARTESIAN_POINT('',(22.1811,20.760506,0.035)); #507461=CARTESIAN_POINT('',(22.1811,20.760506,0.035)); #507462=CARTESIAN_POINT('',(22.1811,20.760506,0.)); #507463=CARTESIAN_POINT('Origin',(22.564606,20.377,0.)); #507464=CARTESIAN_POINT('',(22.564606,20.377,0.)); #507465=CARTESIAN_POINT('',(22.564606,20.377,0.)); #507466=CARTESIAN_POINT('',(22.564606,20.377,0.035)); #507467=CARTESIAN_POINT('',(22.564606,20.377,0.035)); #507468=CARTESIAN_POINT('',(22.564606,20.377,0.)); #507469=CARTESIAN_POINT('Origin',(25.875,20.377,0.)); #507470=CARTESIAN_POINT('',(25.875,20.377,0.)); #507471=CARTESIAN_POINT('',(25.875,20.377,0.)); #507472=CARTESIAN_POINT('',(25.875,20.377,0.035)); #507473=CARTESIAN_POINT('',(25.875,20.377,0.035)); #507474=CARTESIAN_POINT('',(25.875,20.377,0.)); #507475=CARTESIAN_POINT('Origin',(25.8750007497004,20.2499996912748,0.)); #507476=CARTESIAN_POINT('',(25.964803,20.339803,0.)); #507477=CARTESIAN_POINT('Origin',(25.8750007497004,20.2499996912748,0.)); #507478=CARTESIAN_POINT('',(25.964803,20.339803,0.035)); #507479=CARTESIAN_POINT('Origin',(25.8750007497004,20.2499996912748,0.035)); #507480=CARTESIAN_POINT('',(25.964803,20.339803,0.)); #507481=CARTESIAN_POINT('Origin',(26.589803,19.714803,0.)); #507482=CARTESIAN_POINT('',(26.589803,19.714803,0.)); #507483=CARTESIAN_POINT('',(26.589803,19.714803,0.)); #507484=CARTESIAN_POINT('',(26.589803,19.714803,0.035)); #507485=CARTESIAN_POINT('',(26.589803,19.714803,0.035)); #507486=CARTESIAN_POINT('',(26.589803,19.714803,0.)); #507487=CARTESIAN_POINT('Origin',(26.4999996912748,19.6250007497004,0.)); #507488=CARTESIAN_POINT('',(26.627,19.625,0.)); #507489=CARTESIAN_POINT('Origin',(26.4999996912748,19.6250007497004,0.)); #507490=CARTESIAN_POINT('',(26.627,19.625,0.035)); #507491=CARTESIAN_POINT('Origin',(26.4999996912748,19.6250007497004,0.035)); #507492=CARTESIAN_POINT('',(26.627,19.625,0.)); #507493=CARTESIAN_POINT('Origin',(26.627,17.866931,0.)); #507494=CARTESIAN_POINT('',(26.627,17.866931,0.)); #507495=CARTESIAN_POINT('',(26.627,17.866931,0.)); #507496=CARTESIAN_POINT('',(26.627,17.866931,0.035)); #507497=CARTESIAN_POINT('',(26.627,17.866931,0.035)); #507498=CARTESIAN_POINT('',(26.627,17.866931,0.)); #507499=CARTESIAN_POINT('Origin',(29.116931,15.377,0.)); #507500=CARTESIAN_POINT('',(29.116931,15.377,0.)); #507501=CARTESIAN_POINT('',(29.116931,15.377,0.)); #507502=CARTESIAN_POINT('',(29.116931,15.377,0.035)); #507503=CARTESIAN_POINT('',(29.116931,15.377,0.035)); #507504=CARTESIAN_POINT('',(29.116931,15.377,0.)); #507505=CARTESIAN_POINT('Origin',(30.2875,15.377,0.)); #507506=CARTESIAN_POINT('',(30.2875,15.377,0.)); #507507=CARTESIAN_POINT('',(30.2875,15.377,0.)); #507508=CARTESIAN_POINT('',(30.2875,15.377,0.035)); #507509=CARTESIAN_POINT('',(30.2875,15.377,0.035)); #507510=CARTESIAN_POINT('',(30.2875,15.377,0.)); #507511=CARTESIAN_POINT('Origin',(30.2875,15.3875,0.)); #507512=CARTESIAN_POINT('',(30.2875,15.3875,0.)); #507513=CARTESIAN_POINT('',(30.2875,15.3875,0.)); #507514=CARTESIAN_POINT('',(30.2875,15.3875,0.035)); #507515=CARTESIAN_POINT('',(30.2875,15.3875,0.035)); #507516=CARTESIAN_POINT('',(30.2875,15.3875,0.)); #507517=CARTESIAN_POINT('Origin',(30.9125,15.3875,0.)); #507518=CARTESIAN_POINT('',(30.9125,15.3875,0.)); #507519=CARTESIAN_POINT('',(30.9125,15.3875,0.035)); #507520=CARTESIAN_POINT('Origin',(26.0571103311485,18.4262854205438,0.035)); #507521=CARTESIAN_POINT('Origin',(26.0571103311485,18.4262854205438,0.)); #507522=CARTESIAN_POINT('Origin',(34.75,55.,-200.)); #507523=CARTESIAN_POINT('',(34.61,55.,0.)); #507524=CARTESIAN_POINT('Origin',(34.75,55.,0.)); #507525=CARTESIAN_POINT('',(34.61,55.,0.0349999999999895)); #507526=CARTESIAN_POINT('',(34.61,55.,-200.)); #507527=CARTESIAN_POINT('Origin',(34.75,55.,0.0349999999999895)); #507528=CARTESIAN_POINT('Origin',(34.771644,54.725,0.)); #507529=CARTESIAN_POINT('',(34.771644,54.725,0.)); #507530=CARTESIAN_POINT('',(34.814397,54.731772,0.)); #507531=CARTESIAN_POINT('',(34.771644,54.725,0.)); #507532=CARTESIAN_POINT('',(34.814397,54.731772,0.035)); #507533=CARTESIAN_POINT('',(34.814397,54.731772,0.)); #507534=CARTESIAN_POINT('',(34.771644,54.725,0.035)); #507535=CARTESIAN_POINT('',(34.771644,54.725,0.035)); #507536=CARTESIAN_POINT('',(34.771644,54.725,0.)); #507537=CARTESIAN_POINT('Origin',(34.750118471131,54.9995872515008,0.)); #507538=CARTESIAN_POINT('',(34.623,55.243928,0.)); #507539=CARTESIAN_POINT('Origin',(34.750118471131,54.9995872515008,0.)); #507540=CARTESIAN_POINT('',(34.623,55.243928,0.035)); #507541=CARTESIAN_POINT('Origin',(34.750118471131,54.9995872515008,0.035)); #507542=CARTESIAN_POINT('',(34.623,55.243928,0.)); #507543=CARTESIAN_POINT('Origin',(34.623,55.572394,0.)); #507544=CARTESIAN_POINT('',(34.623,55.572394,0.)); #507545=CARTESIAN_POINT('',(34.623,55.572394,0.)); #507546=CARTESIAN_POINT('',(34.623,55.572394,0.035)); #507547=CARTESIAN_POINT('',(34.623,55.572394,0.035)); #507548=CARTESIAN_POINT('',(34.623,55.572394,0.)); #507549=CARTESIAN_POINT('Origin',(34.285197,55.910197,0.)); #507550=CARTESIAN_POINT('',(34.285197,55.910197,0.)); #507551=CARTESIAN_POINT('',(34.285197,55.910197,0.)); #507552=CARTESIAN_POINT('',(34.285197,55.910197,0.035)); #507553=CARTESIAN_POINT('',(34.285197,55.910197,0.035)); #507554=CARTESIAN_POINT('',(34.285197,55.910197,0.)); #507555=CARTESIAN_POINT('Origin',(34.3750003087252,55.9999992502996,0.)); #507556=CARTESIAN_POINT('',(34.248,56.,0.)); #507557=CARTESIAN_POINT('Origin',(34.3750003087252,55.9999992502996,0.)); #507558=CARTESIAN_POINT('',(34.248,56.,0.035)); #507559=CARTESIAN_POINT('Origin',(34.3750003087252,55.9999992502996,0.035)); #507560=CARTESIAN_POINT('',(34.248,56.,0.)); #507561=CARTESIAN_POINT('Origin',(34.248,58.625,0.)); #507562=CARTESIAN_POINT('',(34.248,58.625,0.)); #507563=CARTESIAN_POINT('',(34.248,58.625,0.)); #507564=CARTESIAN_POINT('',(34.248,58.625,0.035)); #507565=CARTESIAN_POINT('',(34.248,58.625,0.035)); #507566=CARTESIAN_POINT('',(34.248,58.625,0.)); #507567=CARTESIAN_POINT('Origin',(34.3750003087252,58.6250007497004,0.)); #507568=CARTESIAN_POINT('',(34.285197,58.714803,0.)); #507569=CARTESIAN_POINT('Origin',(34.3750003087252,58.6250007497004,0.)); #507570=CARTESIAN_POINT('',(34.285197,58.714803,0.035)); #507571=CARTESIAN_POINT('Origin',(34.3750003087252,58.6250007497004,0.035)); #507572=CARTESIAN_POINT('',(34.285197,58.714803,0.)); #507573=CARTESIAN_POINT('Origin',(35.160197,59.589803,0.)); #507574=CARTESIAN_POINT('',(35.160197,59.589803,0.)); #507575=CARTESIAN_POINT('',(35.160197,59.589803,0.)); #507576=CARTESIAN_POINT('',(35.160197,59.589803,0.035)); #507577=CARTESIAN_POINT('',(35.160197,59.589803,0.035)); #507578=CARTESIAN_POINT('',(35.160197,59.589803,0.)); #507579=CARTESIAN_POINT('Origin',(35.2499992502996,59.4999996912748,0.)); #507580=CARTESIAN_POINT('',(35.25,59.627,0.)); #507581=CARTESIAN_POINT('Origin',(35.2499992502996,59.4999996912748,0.)); #507582=CARTESIAN_POINT('',(35.25,59.627,0.035)); #507583=CARTESIAN_POINT('Origin',(35.2499992502996,59.4999996912748,0.035)); #507584=CARTESIAN_POINT('',(35.25,59.627,0.)); #507585=CARTESIAN_POINT('Origin',(36.435394,59.627,0.)); #507586=CARTESIAN_POINT('',(36.435394,59.627,0.)); #507587=CARTESIAN_POINT('',(36.435394,59.627,0.)); #507588=CARTESIAN_POINT('',(36.435394,59.627,0.035)); #507589=CARTESIAN_POINT('',(36.435394,59.627,0.035)); #507590=CARTESIAN_POINT('',(36.435394,59.627,0.)); #507591=CARTESIAN_POINT('Origin',(36.8189,60.010506,0.)); #507592=CARTESIAN_POINT('',(36.8189,60.010506,0.)); #507593=CARTESIAN_POINT('',(36.8189,60.010506,0.)); #507594=CARTESIAN_POINT('',(36.8189,60.010506,0.035)); #507595=CARTESIAN_POINT('',(36.8189,60.010506,0.035)); #507596=CARTESIAN_POINT('',(36.8189,60.010506,0.)); #507597=CARTESIAN_POINT('Origin',(36.8189,60.6945,0.)); #507598=CARTESIAN_POINT('',(36.8189,60.6945,0.)); #507599=CARTESIAN_POINT('',(36.8189,60.6945,0.)); #507600=CARTESIAN_POINT('',(36.8189,60.6945,0.035)); #507601=CARTESIAN_POINT('',(36.8189,60.6945,0.035)); #507602=CARTESIAN_POINT('',(36.8189,60.6945,0.)); #507603=CARTESIAN_POINT('Origin',(37.6571,60.6945,0.)); #507604=CARTESIAN_POINT('',(37.6571,60.6945,0.)); #507605=CARTESIAN_POINT('',(37.6571,60.6945,0.)); #507606=CARTESIAN_POINT('',(37.6571,60.6945,0.035)); #507607=CARTESIAN_POINT('',(37.6571,60.6945,0.035)); #507608=CARTESIAN_POINT('',(37.6571,60.6945,0.)); #507609=CARTESIAN_POINT('Origin',(37.6571,59.8055,0.)); #507610=CARTESIAN_POINT('',(37.6571,59.8055,0.)); #507611=CARTESIAN_POINT('',(37.6571,59.8055,0.)); #507612=CARTESIAN_POINT('',(37.6571,59.8055,0.035)); #507613=CARTESIAN_POINT('',(37.6571,59.8055,0.035)); #507614=CARTESIAN_POINT('',(37.6571,59.8055,0.)); #507615=CARTESIAN_POINT('Origin',(36.973106,59.8055,0.)); #507616=CARTESIAN_POINT('',(36.973106,59.8055,0.)); #507617=CARTESIAN_POINT('',(36.973106,59.8055,0.)); #507618=CARTESIAN_POINT('',(36.973106,59.8055,0.035)); #507619=CARTESIAN_POINT('',(36.973106,59.8055,0.035)); #507620=CARTESIAN_POINT('',(36.973106,59.8055,0.)); #507621=CARTESIAN_POINT('Origin',(36.577803,59.410197,0.)); #507622=CARTESIAN_POINT('',(36.577803,59.410197,0.)); #507623=CARTESIAN_POINT('',(36.577803,59.410197,0.)); #507624=CARTESIAN_POINT('',(36.577803,59.410197,0.035)); #507625=CARTESIAN_POINT('',(36.577803,59.410197,0.035)); #507626=CARTESIAN_POINT('',(36.577803,59.410197,0.)); #507627=CARTESIAN_POINT('Origin',(36.4880007497004,59.5000003087252,0.)); #507628=CARTESIAN_POINT('',(36.488,59.373,0.)); #507629=CARTESIAN_POINT('Origin',(36.4880007497004,59.5000003087252,0.)); #507630=CARTESIAN_POINT('',(36.488,59.373,0.035)); #507631=CARTESIAN_POINT('Origin',(36.4880007497004,59.5000003087252,0.035)); #507632=CARTESIAN_POINT('',(36.488,59.373,0.)); #507633=CARTESIAN_POINT('Origin',(35.302606,59.373,0.)); #507634=CARTESIAN_POINT('',(35.302606,59.373,0.)); #507635=CARTESIAN_POINT('',(35.302606,59.373,0.)); #507636=CARTESIAN_POINT('',(35.302606,59.373,0.035)); #507637=CARTESIAN_POINT('',(35.302606,59.373,0.035)); #507638=CARTESIAN_POINT('',(35.302606,59.373,0.)); #507639=CARTESIAN_POINT('Origin',(34.502,58.572394,0.)); #507640=CARTESIAN_POINT('',(34.502,58.572394,0.)); #507641=CARTESIAN_POINT('',(34.502,58.572394,0.)); #507642=CARTESIAN_POINT('',(34.502,58.572394,0.035)); #507643=CARTESIAN_POINT('',(34.502,58.572394,0.035)); #507644=CARTESIAN_POINT('',(34.502,58.572394,0.)); #507645=CARTESIAN_POINT('Origin',(34.502,56.052606,0.)); #507646=CARTESIAN_POINT('',(34.502,56.052606,0.)); #507647=CARTESIAN_POINT('',(34.502,56.052606,0.)); #507648=CARTESIAN_POINT('',(34.502,56.052606,0.035)); #507649=CARTESIAN_POINT('',(34.502,56.052606,0.035)); #507650=CARTESIAN_POINT('',(34.502,56.052606,0.)); #507651=CARTESIAN_POINT('Origin',(34.839803,55.714803,0.)); #507652=CARTESIAN_POINT('',(34.839803,55.714803,0.)); #507653=CARTESIAN_POINT('',(34.839803,55.714803,0.)); #507654=CARTESIAN_POINT('',(34.839803,55.714803,0.035)); #507655=CARTESIAN_POINT('',(34.839803,55.714803,0.035)); #507656=CARTESIAN_POINT('',(34.839803,55.714803,0.)); #507657=CARTESIAN_POINT('Origin',(34.7499996912748,55.6250007497004,0.)); #507658=CARTESIAN_POINT('',(34.877,55.625,0.)); #507659=CARTESIAN_POINT('Origin',(34.7499996912748,55.6250007497004,0.)); #507660=CARTESIAN_POINT('',(34.877,55.625,0.035)); #507661=CARTESIAN_POINT('Origin',(34.7499996912748,55.6250007497004,0.035)); #507662=CARTESIAN_POINT('',(34.877,55.625,0.)); #507663=CARTESIAN_POINT('Origin',(34.877,55.243928,0.)); #507664=CARTESIAN_POINT('',(34.877,55.243928,0.)); #507665=CARTESIAN_POINT('',(34.877,55.243928,0.)); #507666=CARTESIAN_POINT('',(34.877,55.243928,0.035)); #507667=CARTESIAN_POINT('',(34.877,55.243928,0.035)); #507668=CARTESIAN_POINT('',(34.877,55.243928,0.)); #507669=CARTESIAN_POINT('Origin',(34.7499453752955,54.999554310534,0.)); #507670=CARTESIAN_POINT('Origin',(34.7499453752955,54.999554310534,0.)); #507671=CARTESIAN_POINT('Origin',(34.7499453752955,54.999554310534,0.035)); #507672=CARTESIAN_POINT('Origin',(35.3601048831759,58.1739023429893,0.035)); #507673=CARTESIAN_POINT('Origin',(35.3601048831759,58.1739023429893,0.)); #507674=CARTESIAN_POINT('Origin',(39.25,15.75,-200.)); #507675=CARTESIAN_POINT('',(39.11,15.75,0.)); #507676=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #507677=CARTESIAN_POINT('',(39.11,15.75,0.0349999999999895)); #507678=CARTESIAN_POINT('',(39.11,15.75,-200.)); #507679=CARTESIAN_POINT('Origin',(39.25,15.75,0.0349999999999895)); #507680=CARTESIAN_POINT('Origin',(39.271644,15.475,0.)); #507681=CARTESIAN_POINT('',(39.271644,15.475,0.)); #507682=CARTESIAN_POINT('',(39.314397,15.481772,0.)); #507683=CARTESIAN_POINT('',(39.271644,15.475,0.)); #507684=CARTESIAN_POINT('',(39.314397,15.481772,0.035)); #507685=CARTESIAN_POINT('',(39.314397,15.481772,0.)); #507686=CARTESIAN_POINT('',(39.271644,15.475,0.035)); #507687=CARTESIAN_POINT('',(39.271644,15.475,0.035)); #507688=CARTESIAN_POINT('',(39.271644,15.475,0.)); #507689=CARTESIAN_POINT('Origin',(39.250666927461,15.7496305438086,0.)); #507690=CARTESIAN_POINT('',(39.006072,15.623,0.)); #507691=CARTESIAN_POINT('Origin',(39.250666927461,15.7496305438086,0.)); #507692=CARTESIAN_POINT('',(39.006072,15.623,0.035)); #507693=CARTESIAN_POINT('Origin',(39.250666927461,15.7496305438086,0.035)); #507694=CARTESIAN_POINT('',(39.006072,15.623,0.)); #507695=CARTESIAN_POINT('Origin',(37.7125,15.623,0.)); #507696=CARTESIAN_POINT('',(37.7125,15.623,0.)); #507697=CARTESIAN_POINT('',(37.7125,15.623,0.)); #507698=CARTESIAN_POINT('',(37.7125,15.623,0.035)); #507699=CARTESIAN_POINT('',(37.7125,15.623,0.035)); #507700=CARTESIAN_POINT('',(37.7125,15.623,0.)); #507701=CARTESIAN_POINT('Origin',(37.7125,15.6125,0.)); #507702=CARTESIAN_POINT('',(37.7125,15.6125,0.)); #507703=CARTESIAN_POINT('',(37.7125,15.6125,0.)); #507704=CARTESIAN_POINT('',(37.7125,15.6125,0.035)); #507705=CARTESIAN_POINT('',(37.7125,15.6125,0.035)); #507706=CARTESIAN_POINT('',(37.7125,15.6125,0.)); #507707=CARTESIAN_POINT('Origin',(37.0875,15.6125,0.)); #507708=CARTESIAN_POINT('',(37.0875,15.6125,0.)); #507709=CARTESIAN_POINT('',(37.0875,15.6125,0.)); #507710=CARTESIAN_POINT('',(37.0875,15.6125,0.035)); #507711=CARTESIAN_POINT('',(37.0875,15.6125,0.035)); #507712=CARTESIAN_POINT('',(37.0875,15.6125,0.)); #507713=CARTESIAN_POINT('Origin',(37.0875,15.8875,0.)); #507714=CARTESIAN_POINT('',(37.0875,15.8875,0.)); #507715=CARTESIAN_POINT('',(37.0875,15.8875,0.)); #507716=CARTESIAN_POINT('',(37.0875,15.8875,0.035)); #507717=CARTESIAN_POINT('',(37.0875,15.8875,0.035)); #507718=CARTESIAN_POINT('',(37.0875,15.8875,0.)); #507719=CARTESIAN_POINT('Origin',(37.7125,15.8875,0.)); #507720=CARTESIAN_POINT('',(37.7125,15.8875,0.)); #507721=CARTESIAN_POINT('',(37.7125,15.8875,0.)); #507722=CARTESIAN_POINT('',(37.7125,15.8875,0.035)); #507723=CARTESIAN_POINT('',(37.7125,15.8875,0.035)); #507724=CARTESIAN_POINT('',(37.7125,15.8875,0.)); #507725=CARTESIAN_POINT('Origin',(37.7125,15.877,0.)); #507726=CARTESIAN_POINT('',(37.7125,15.877,0.)); #507727=CARTESIAN_POINT('',(37.7125,15.877,0.)); #507728=CARTESIAN_POINT('',(37.7125,15.877,0.035)); #507729=CARTESIAN_POINT('',(37.7125,15.877,0.035)); #507730=CARTESIAN_POINT('',(37.7125,15.877,0.)); #507731=CARTESIAN_POINT('Origin',(39.006072,15.877,0.)); #507732=CARTESIAN_POINT('',(39.006072,15.877,0.)); #507733=CARTESIAN_POINT('',(39.006072,15.877,0.)); #507734=CARTESIAN_POINT('',(39.006072,15.877,0.035)); #507735=CARTESIAN_POINT('',(39.006072,15.877,0.035)); #507736=CARTESIAN_POINT('',(39.006072,15.877,0.)); #507737=CARTESIAN_POINT('Origin',(39.2507991253016,15.7506246242954,0.)); #507738=CARTESIAN_POINT('',(39.185603,16.018228,0.)); #507739=CARTESIAN_POINT('Origin',(39.2507991253016,15.7506246242954,0.)); #507740=CARTESIAN_POINT('',(39.185603,16.018228,0.035)); #507741=CARTESIAN_POINT('Origin',(39.2507991253016,15.7506246242954,0.035)); #507742=CARTESIAN_POINT('',(39.185603,16.018228,0.)); #507743=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #507744=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #507745=CARTESIAN_POINT('Origin',(39.25,15.75,0.035)); #507746=CARTESIAN_POINT('Origin',(38.3238296826989,15.7494913580074,0.035)); #507747=CARTESIAN_POINT('Origin',(38.3238296826989,15.7494913580074,0.)); #507748=CARTESIAN_POINT('Origin',(35.3875,20.0875,0.)); #507749=CARTESIAN_POINT('',(35.3875,20.0875,0.)); #507750=CARTESIAN_POINT('',(35.3875,20.7125,0.)); #507751=CARTESIAN_POINT('',(35.3875,20.0875,0.)); #507752=CARTESIAN_POINT('',(35.3875,20.7125,0.035)); #507753=CARTESIAN_POINT('',(35.3875,20.7125,0.)); #507754=CARTESIAN_POINT('',(35.3875,20.0875,0.035)); #507755=CARTESIAN_POINT('',(35.3875,20.0875,0.035)); #507756=CARTESIAN_POINT('',(35.3875,20.0875,0.)); #507757=CARTESIAN_POINT('Origin',(35.1125,20.0875,0.)); #507758=CARTESIAN_POINT('',(35.1125,20.0875,0.)); #507759=CARTESIAN_POINT('',(35.1125,20.0875,0.)); #507760=CARTESIAN_POINT('',(35.1125,20.0875,0.035)); #507761=CARTESIAN_POINT('',(35.1125,20.0875,0.035)); #507762=CARTESIAN_POINT('',(35.1125,20.0875,0.)); #507763=CARTESIAN_POINT('Origin',(35.1125,20.7125,0.)); #507764=CARTESIAN_POINT('',(35.1125,20.7125,0.)); #507765=CARTESIAN_POINT('',(35.1125,20.7125,0.)); #507766=CARTESIAN_POINT('',(35.1125,20.7125,0.035)); #507767=CARTESIAN_POINT('',(35.1125,20.7125,0.035)); #507768=CARTESIAN_POINT('',(35.1125,20.7125,0.)); #507769=CARTESIAN_POINT('Origin',(35.123,20.7125,0.)); #507770=CARTESIAN_POINT('',(35.123,20.7125,0.)); #507771=CARTESIAN_POINT('',(35.123,20.7125,0.)); #507772=CARTESIAN_POINT('',(35.123,20.7125,0.035)); #507773=CARTESIAN_POINT('',(35.123,20.7125,0.035)); #507774=CARTESIAN_POINT('',(35.123,20.7125,0.)); #507775=CARTESIAN_POINT('Origin',(35.123,21.908988,0.)); #507776=CARTESIAN_POINT('',(35.123,21.908988,0.)); #507777=CARTESIAN_POINT('',(35.123,21.908988,0.)); #507778=CARTESIAN_POINT('',(35.123,21.908988,0.035)); #507779=CARTESIAN_POINT('',(35.123,21.908988,0.035)); #507780=CARTESIAN_POINT('',(35.123,21.908988,0.)); #507781=CARTESIAN_POINT('Origin',(35.2500003087252,21.9089887497004,0.)); #507782=CARTESIAN_POINT('',(35.160197,21.998791,0.)); #507783=CARTESIAN_POINT('Origin',(35.2500003087252,21.9089887497004,0.)); #507784=CARTESIAN_POINT('',(35.160197,21.998791,0.035)); #507785=CARTESIAN_POINT('Origin',(35.2500003087252,21.9089887497004,0.035)); #507786=CARTESIAN_POINT('',(35.160197,21.998791,0.)); #507787=CARTESIAN_POINT('Origin',(37.998,24.836594,0.)); #507788=CARTESIAN_POINT('',(37.998,24.836594,0.)); #507789=CARTESIAN_POINT('',(37.998,24.836594,0.)); #507790=CARTESIAN_POINT('',(37.998,24.836594,0.035)); #507791=CARTESIAN_POINT('',(37.998,24.836594,0.035)); #507792=CARTESIAN_POINT('',(37.998,24.836594,0.)); #507793=CARTESIAN_POINT('Origin',(37.998,30.811125,0.)); #507794=CARTESIAN_POINT('',(37.998,30.811125,0.)); #507795=CARTESIAN_POINT('',(37.998,30.811125,0.)); #507796=CARTESIAN_POINT('',(37.998,30.811125,0.035)); #507797=CARTESIAN_POINT('',(37.998,30.811125,0.035)); #507798=CARTESIAN_POINT('',(37.998,30.811125,0.)); #507799=CARTESIAN_POINT('Origin',(36.722697,32.086428,0.)); #507800=CARTESIAN_POINT('',(36.722697,32.086428,0.)); #507801=CARTESIAN_POINT('',(36.722697,32.086428,0.)); #507802=CARTESIAN_POINT('',(36.722697,32.086428,0.035)); #507803=CARTESIAN_POINT('',(36.722697,32.086428,0.035)); #507804=CARTESIAN_POINT('',(36.722697,32.086428,0.)); #507805=CARTESIAN_POINT('Origin',(36.8125003087252,32.1762302502996,0.)); #507806=CARTESIAN_POINT('',(36.6855,32.176231,0.)); #507807=CARTESIAN_POINT('Origin',(36.8125003087252,32.1762302502996,0.)); #507808=CARTESIAN_POINT('',(36.6855,32.176231,0.035)); #507809=CARTESIAN_POINT('Origin',(36.8125003087252,32.1762302502996,0.035)); #507810=CARTESIAN_POINT('',(36.6855,32.176231,0.)); #507811=CARTESIAN_POINT('Origin',(36.6855,34.501825,0.)); #507812=CARTESIAN_POINT('',(36.6855,34.501825,0.)); #507813=CARTESIAN_POINT('',(36.6855,34.501825,0.)); #507814=CARTESIAN_POINT('',(36.6855,34.501825,0.035)); #507815=CARTESIAN_POINT('',(36.6855,34.501825,0.035)); #507816=CARTESIAN_POINT('',(36.6855,34.501825,0.)); #507817=CARTESIAN_POINT('Origin',(36.8125003087252,34.5018257497004,0.)); #507818=CARTESIAN_POINT('',(36.722697,34.591628,0.)); #507819=CARTESIAN_POINT('Origin',(36.8125003087252,34.5018257497004,0.)); #507820=CARTESIAN_POINT('',(36.722697,34.591628,0.035)); #507821=CARTESIAN_POINT('Origin',(36.8125003087252,34.5018257497004,0.035)); #507822=CARTESIAN_POINT('',(36.722697,34.591628,0.)); #507823=CARTESIAN_POINT('Origin',(38.220872,36.089803,0.)); #507824=CARTESIAN_POINT('',(38.220872,36.089803,0.)); #507825=CARTESIAN_POINT('',(38.220872,36.089803,0.)); #507826=CARTESIAN_POINT('',(38.220872,36.089803,0.035)); #507827=CARTESIAN_POINT('',(38.220872,36.089803,0.035)); #507828=CARTESIAN_POINT('',(38.220872,36.089803,0.)); #507829=CARTESIAN_POINT('Origin',(38.3106742502996,35.9999996912748,0.)); #507830=CARTESIAN_POINT('',(38.310675,36.127,0.)); #507831=CARTESIAN_POINT('Origin',(38.3106742502996,35.9999996912748,0.)); #507832=CARTESIAN_POINT('',(38.310675,36.127,0.035)); #507833=CARTESIAN_POINT('Origin',(38.3106742502996,35.9999996912748,0.035)); #507834=CARTESIAN_POINT('',(38.310675,36.127,0.)); #507835=CARTESIAN_POINT('Origin',(40.258069,36.127,0.)); #507836=CARTESIAN_POINT('',(40.258069,36.127,0.)); #507837=CARTESIAN_POINT('',(40.258069,36.127,0.)); #507838=CARTESIAN_POINT('',(40.258069,36.127,0.035)); #507839=CARTESIAN_POINT('',(40.258069,36.127,0.035)); #507840=CARTESIAN_POINT('',(40.258069,36.127,0.)); #507841=CARTESIAN_POINT('Origin',(43.708872,39.577803,0.)); #507842=CARTESIAN_POINT('',(43.708872,39.577803,0.)); #507843=CARTESIAN_POINT('',(43.708872,39.577803,0.)); #507844=CARTESIAN_POINT('',(43.708872,39.577803,0.035)); #507845=CARTESIAN_POINT('',(43.708872,39.577803,0.035)); #507846=CARTESIAN_POINT('',(43.708872,39.577803,0.)); #507847=CARTESIAN_POINT('Origin',(43.7986742502996,39.4879996912748,0.)); #507848=CARTESIAN_POINT('',(43.798675,39.615,0.)); #507849=CARTESIAN_POINT('Origin',(43.7986742502996,39.4879996912748,0.)); #507850=CARTESIAN_POINT('',(43.798675,39.615,0.035)); #507851=CARTESIAN_POINT('Origin',(43.7986742502996,39.4879996912748,0.035)); #507852=CARTESIAN_POINT('',(43.798675,39.615,0.)); #507853=CARTESIAN_POINT('Origin',(47.197394,39.615,0.)); #507854=CARTESIAN_POINT('',(47.197394,39.615,0.)); #507855=CARTESIAN_POINT('',(47.197394,39.615,0.)); #507856=CARTESIAN_POINT('',(47.197394,39.615,0.035)); #507857=CARTESIAN_POINT('',(47.197394,39.615,0.035)); #507858=CARTESIAN_POINT('',(47.197394,39.615,0.)); #507859=CARTESIAN_POINT('Origin',(47.5555,39.973106,0.)); #507860=CARTESIAN_POINT('',(47.5555,39.973106,0.)); #507861=CARTESIAN_POINT('',(47.5555,39.973106,0.)); #507862=CARTESIAN_POINT('',(47.5555,39.973106,0.035)); #507863=CARTESIAN_POINT('',(47.5555,39.973106,0.035)); #507864=CARTESIAN_POINT('',(47.5555,39.973106,0.)); #507865=CARTESIAN_POINT('Origin',(47.5555,40.6571,0.)); #507866=CARTESIAN_POINT('',(47.5555,40.6571,0.)); #507867=CARTESIAN_POINT('',(47.5555,40.6571,0.)); #507868=CARTESIAN_POINT('',(47.5555,40.6571,0.035)); #507869=CARTESIAN_POINT('',(47.5555,40.6571,0.035)); #507870=CARTESIAN_POINT('',(47.5555,40.6571,0.)); #507871=CARTESIAN_POINT('Origin',(48.4445,40.6571,0.)); #507872=CARTESIAN_POINT('',(48.4445,40.6571,0.)); #507873=CARTESIAN_POINT('',(48.4445,40.6571,0.)); #507874=CARTESIAN_POINT('',(48.4445,40.6571,0.035)); #507875=CARTESIAN_POINT('',(48.4445,40.6571,0.035)); #507876=CARTESIAN_POINT('',(48.4445,40.6571,0.)); #507877=CARTESIAN_POINT('Origin',(48.4445,39.8189,0.)); #507878=CARTESIAN_POINT('',(48.4445,39.8189,0.)); #507879=CARTESIAN_POINT('',(48.4445,39.8189,0.)); #507880=CARTESIAN_POINT('',(48.4445,39.8189,0.035)); #507881=CARTESIAN_POINT('',(48.4445,39.8189,0.035)); #507882=CARTESIAN_POINT('',(48.4445,39.8189,0.)); #507883=CARTESIAN_POINT('Origin',(47.760506,39.8189,0.)); #507884=CARTESIAN_POINT('',(47.760506,39.8189,0.)); #507885=CARTESIAN_POINT('',(47.760506,39.8189,0.)); #507886=CARTESIAN_POINT('',(47.760506,39.8189,0.035)); #507887=CARTESIAN_POINT('',(47.760506,39.8189,0.035)); #507888=CARTESIAN_POINT('',(47.760506,39.8189,0.)); #507889=CARTESIAN_POINT('Origin',(47.339803,39.398197,0.)); #507890=CARTESIAN_POINT('',(47.339803,39.398197,0.)); #507891=CARTESIAN_POINT('',(47.339803,39.398197,0.)); #507892=CARTESIAN_POINT('',(47.339803,39.398197,0.035)); #507893=CARTESIAN_POINT('',(47.339803,39.398197,0.035)); #507894=CARTESIAN_POINT('',(47.339803,39.398197,0.)); #507895=CARTESIAN_POINT('Origin',(47.2500007497004,39.4880003087252,0.)); #507896=CARTESIAN_POINT('',(47.25,39.361,0.)); #507897=CARTESIAN_POINT('Origin',(47.2500007497004,39.4880003087252,0.)); #507898=CARTESIAN_POINT('',(47.25,39.361,0.035)); #507899=CARTESIAN_POINT('Origin',(47.2500007497004,39.4880003087252,0.035)); #507900=CARTESIAN_POINT('',(47.25,39.361,0.)); #507901=CARTESIAN_POINT('Origin',(43.851281,39.361,0.)); #507902=CARTESIAN_POINT('',(43.851281,39.361,0.)); #507903=CARTESIAN_POINT('',(43.851281,39.361,0.)); #507904=CARTESIAN_POINT('',(43.851281,39.361,0.035)); #507905=CARTESIAN_POINT('',(43.851281,39.361,0.035)); #507906=CARTESIAN_POINT('',(43.851281,39.361,0.)); #507907=CARTESIAN_POINT('Origin',(40.400478,35.910197,0.)); #507908=CARTESIAN_POINT('',(40.400478,35.910197,0.)); #507909=CARTESIAN_POINT('',(40.400478,35.910197,0.)); #507910=CARTESIAN_POINT('',(40.400478,35.910197,0.035)); #507911=CARTESIAN_POINT('',(40.400478,35.910197,0.035)); #507912=CARTESIAN_POINT('',(40.400478,35.910197,0.)); #507913=CARTESIAN_POINT('Origin',(40.3106757497004,36.0000003087252,0.)); #507914=CARTESIAN_POINT('',(40.310675,35.873,0.)); #507915=CARTESIAN_POINT('Origin',(40.3106757497004,36.0000003087252,0.)); #507916=CARTESIAN_POINT('',(40.310675,35.873,0.035)); #507917=CARTESIAN_POINT('Origin',(40.3106757497004,36.0000003087252,0.035)); #507918=CARTESIAN_POINT('',(40.310675,35.873,0.)); #507919=CARTESIAN_POINT('Origin',(38.363281,35.873,0.)); #507920=CARTESIAN_POINT('',(38.363281,35.873,0.)); #507921=CARTESIAN_POINT('',(38.363281,35.873,0.)); #507922=CARTESIAN_POINT('',(38.363281,35.873,0.035)); #507923=CARTESIAN_POINT('',(38.363281,35.873,0.035)); #507924=CARTESIAN_POINT('',(38.363281,35.873,0.)); #507925=CARTESIAN_POINT('Origin',(36.9395,34.449219,0.)); #507926=CARTESIAN_POINT('',(36.9395,34.449219,0.)); #507927=CARTESIAN_POINT('',(36.9395,34.449219,0.)); #507928=CARTESIAN_POINT('',(36.9395,34.449219,0.035)); #507929=CARTESIAN_POINT('',(36.9395,34.449219,0.035)); #507930=CARTESIAN_POINT('',(36.9395,34.449219,0.)); #507931=CARTESIAN_POINT('Origin',(36.9395,32.228838,0.)); #507932=CARTESIAN_POINT('',(36.9395,32.228838,0.)); #507933=CARTESIAN_POINT('',(36.9395,32.228838,0.)); #507934=CARTESIAN_POINT('',(36.9395,32.228838,0.035)); #507935=CARTESIAN_POINT('',(36.9395,32.228838,0.035)); #507936=CARTESIAN_POINT('',(36.9395,32.228838,0.)); #507937=CARTESIAN_POINT('Origin',(38.214803,30.953534,0.)); #507938=CARTESIAN_POINT('',(38.214803,30.953534,0.)); #507939=CARTESIAN_POINT('',(38.214803,30.953534,0.)); #507940=CARTESIAN_POINT('',(38.214803,30.953534,0.035)); #507941=CARTESIAN_POINT('',(38.214803,30.953534,0.035)); #507942=CARTESIAN_POINT('',(38.214803,30.953534,0.)); #507943=CARTESIAN_POINT('Origin',(38.1249996912748,30.8637317497004,0.)); #507944=CARTESIAN_POINT('',(38.252,30.863731,0.)); #507945=CARTESIAN_POINT('Origin',(38.1249996912748,30.8637317497004,0.)); #507946=CARTESIAN_POINT('',(38.252,30.863731,0.035)); #507947=CARTESIAN_POINT('Origin',(38.1249996912748,30.8637317497004,0.035)); #507948=CARTESIAN_POINT('',(38.252,30.863731,0.)); #507949=CARTESIAN_POINT('Origin',(38.252,24.783988,0.)); #507950=CARTESIAN_POINT('',(38.252,24.783988,0.)); #507951=CARTESIAN_POINT('',(38.252,24.783988,0.)); #507952=CARTESIAN_POINT('',(38.252,24.783988,0.035)); #507953=CARTESIAN_POINT('',(38.252,24.783988,0.035)); #507954=CARTESIAN_POINT('',(38.252,24.783988,0.)); #507955=CARTESIAN_POINT('Origin',(38.124998484168,24.7839867502996,0.)); #507956=CARTESIAN_POINT('',(38.214803,24.694184,0.)); #507957=CARTESIAN_POINT('Origin',(38.124998484168,24.7839867502996,0.)); #507958=CARTESIAN_POINT('',(38.214803,24.694184,0.035)); #507959=CARTESIAN_POINT('Origin',(38.124998484168,24.7839867502996,0.035)); #507960=CARTESIAN_POINT('',(38.214803,24.694184,0.)); #507961=CARTESIAN_POINT('Origin',(35.377,21.856381,0.)); #507962=CARTESIAN_POINT('',(35.377,21.856381,0.)); #507963=CARTESIAN_POINT('',(35.377,21.856381,0.)); #507964=CARTESIAN_POINT('',(35.377,21.856381,0.035)); #507965=CARTESIAN_POINT('',(35.377,21.856381,0.035)); #507966=CARTESIAN_POINT('',(35.377,21.856381,0.)); #507967=CARTESIAN_POINT('Origin',(35.377,20.7125,0.)); #507968=CARTESIAN_POINT('',(35.377,20.7125,0.)); #507969=CARTESIAN_POINT('',(35.377,20.7125,0.)); #507970=CARTESIAN_POINT('',(35.377,20.7125,0.035)); #507971=CARTESIAN_POINT('',(35.377,20.7125,0.035)); #507972=CARTESIAN_POINT('',(35.377,20.7125,0.)); #507973=CARTESIAN_POINT('Origin',(35.3875,20.7125,0.)); #507974=CARTESIAN_POINT('',(35.3875,20.7125,0.)); #507975=CARTESIAN_POINT('',(35.3875,20.7125,0.035)); #507976=CARTESIAN_POINT('Origin',(39.7759748728378,32.1993155066179,0.035)); #507977=CARTESIAN_POINT('Origin',(39.7759748728378,32.1993155066179,0.)); #507978=CARTESIAN_POINT('Origin',(31.8875,20.0875,0.)); #507979=CARTESIAN_POINT('',(31.8875,20.0875,0.)); #507980=CARTESIAN_POINT('',(31.8875,20.7125,0.)); #507981=CARTESIAN_POINT('',(31.8875,20.0875,0.)); #507982=CARTESIAN_POINT('',(31.8875,20.7125,0.035)); #507983=CARTESIAN_POINT('',(31.8875,20.7125,0.)); #507984=CARTESIAN_POINT('',(31.8875,20.0875,0.035)); #507985=CARTESIAN_POINT('',(31.8875,20.0875,0.035)); #507986=CARTESIAN_POINT('',(31.8875,20.0875,0.)); #507987=CARTESIAN_POINT('Origin',(31.6125,20.0875,0.)); #507988=CARTESIAN_POINT('',(31.6125,20.0875,0.)); #507989=CARTESIAN_POINT('',(31.6125,20.0875,0.)); #507990=CARTESIAN_POINT('',(31.6125,20.0875,0.035)); #507991=CARTESIAN_POINT('',(31.6125,20.0875,0.035)); #507992=CARTESIAN_POINT('',(31.6125,20.0875,0.)); #507993=CARTESIAN_POINT('Origin',(31.6125,20.7125,0.)); #507994=CARTESIAN_POINT('',(31.6125,20.7125,0.)); #507995=CARTESIAN_POINT('',(31.6125,20.7125,0.)); #507996=CARTESIAN_POINT('',(31.6125,20.7125,0.035)); #507997=CARTESIAN_POINT('',(31.6125,20.7125,0.035)); #507998=CARTESIAN_POINT('',(31.6125,20.7125,0.)); #507999=CARTESIAN_POINT('Origin',(31.623,20.7125,0.)); #508000=CARTESIAN_POINT('',(31.623,20.7125,0.)); #508001=CARTESIAN_POINT('',(31.623,20.7125,0.)); #508002=CARTESIAN_POINT('',(31.623,20.7125,0.035)); #508003=CARTESIAN_POINT('',(31.623,20.7125,0.035)); #508004=CARTESIAN_POINT('',(31.623,20.7125,0.)); #508005=CARTESIAN_POINT('Origin',(31.623,21.856381,0.)); #508006=CARTESIAN_POINT('',(31.623,21.856381,0.)); #508007=CARTESIAN_POINT('',(31.623,21.856381,0.)); #508008=CARTESIAN_POINT('',(31.623,21.856381,0.035)); #508009=CARTESIAN_POINT('',(31.623,21.856381,0.035)); #508010=CARTESIAN_POINT('',(31.623,21.856381,0.)); #508011=CARTESIAN_POINT('Origin',(29.785197,23.694184,0.)); #508012=CARTESIAN_POINT('',(29.785197,23.694184,0.)); #508013=CARTESIAN_POINT('',(29.785197,23.694184,0.)); #508014=CARTESIAN_POINT('',(29.785197,23.694184,0.035)); #508015=CARTESIAN_POINT('',(29.785197,23.694184,0.035)); #508016=CARTESIAN_POINT('',(29.785197,23.694184,0.)); #508017=CARTESIAN_POINT('Origin',(29.875001515832,23.7839867502996,0.)); #508018=CARTESIAN_POINT('',(29.748,23.783988,0.)); #508019=CARTESIAN_POINT('Origin',(29.875001515832,23.7839867502996,0.)); #508020=CARTESIAN_POINT('',(29.748,23.783988,0.035)); #508021=CARTESIAN_POINT('Origin',(29.875001515832,23.7839867502996,0.035)); #508022=CARTESIAN_POINT('',(29.748,23.783988,0.)); #508023=CARTESIAN_POINT('Origin',(29.748,31.125,0.)); #508024=CARTESIAN_POINT('',(29.748,31.125,0.)); #508025=CARTESIAN_POINT('',(29.748,31.125,0.)); #508026=CARTESIAN_POINT('',(29.748,31.125,0.035)); #508027=CARTESIAN_POINT('',(29.748,31.125,0.035)); #508028=CARTESIAN_POINT('',(29.748,31.125,0.)); #508029=CARTESIAN_POINT('Origin',(29.8750003087252,31.1250007497004,0.)); #508030=CARTESIAN_POINT('',(29.785197,31.214803,0.)); #508031=CARTESIAN_POINT('Origin',(29.8750003087252,31.1250007497004,0.)); #508032=CARTESIAN_POINT('',(29.785197,31.214803,0.035)); #508033=CARTESIAN_POINT('Origin',(29.8750003087252,31.1250007497004,0.035)); #508034=CARTESIAN_POINT('',(29.785197,31.214803,0.)); #508035=CARTESIAN_POINT('Origin',(31.0605,32.490106,0.)); #508036=CARTESIAN_POINT('',(31.0605,32.490106,0.)); #508037=CARTESIAN_POINT('',(31.0605,32.490106,0.)); #508038=CARTESIAN_POINT('',(31.0605,32.490106,0.035)); #508039=CARTESIAN_POINT('',(31.0605,32.490106,0.035)); #508040=CARTESIAN_POINT('',(31.0605,32.490106,0.)); #508041=CARTESIAN_POINT('Origin',(31.0605,34.449219,0.)); #508042=CARTESIAN_POINT('',(31.0605,34.449219,0.)); #508043=CARTESIAN_POINT('',(31.0605,34.449219,0.)); #508044=CARTESIAN_POINT('',(31.0605,34.449219,0.035)); #508045=CARTESIAN_POINT('',(31.0605,34.449219,0.035)); #508046=CARTESIAN_POINT('',(31.0605,34.449219,0.)); #508047=CARTESIAN_POINT('Origin',(29.636719,35.873,0.)); #508048=CARTESIAN_POINT('',(29.636719,35.873,0.)); #508049=CARTESIAN_POINT('',(29.636719,35.873,0.)); #508050=CARTESIAN_POINT('',(29.636719,35.873,0.035)); #508051=CARTESIAN_POINT('',(29.636719,35.873,0.035)); #508052=CARTESIAN_POINT('',(29.636719,35.873,0.)); #508053=CARTESIAN_POINT('Origin',(27.689325,35.873,0.)); #508054=CARTESIAN_POINT('',(27.689325,35.873,0.)); #508055=CARTESIAN_POINT('',(27.689325,35.873,0.)); #508056=CARTESIAN_POINT('',(27.689325,35.873,0.035)); #508057=CARTESIAN_POINT('',(27.689325,35.873,0.035)); #508058=CARTESIAN_POINT('',(27.689325,35.873,0.)); #508059=CARTESIAN_POINT('Origin',(27.6893242502996,36.0000003087252,0.)); #508060=CARTESIAN_POINT('',(27.599522,35.910197,0.)); #508061=CARTESIAN_POINT('Origin',(27.6893242502996,36.0000003087252,0.)); #508062=CARTESIAN_POINT('',(27.599522,35.910197,0.035)); #508063=CARTESIAN_POINT('Origin',(27.6893242502996,36.0000003087252,0.035)); #508064=CARTESIAN_POINT('',(27.599522,35.910197,0.)); #508065=CARTESIAN_POINT('Origin',(24.148719,39.361,0.)); #508066=CARTESIAN_POINT('',(24.148719,39.361,0.)); #508067=CARTESIAN_POINT('',(24.148719,39.361,0.)); #508068=CARTESIAN_POINT('',(24.148719,39.361,0.035)); #508069=CARTESIAN_POINT('',(24.148719,39.361,0.035)); #508070=CARTESIAN_POINT('',(24.148719,39.361,0.)); #508071=CARTESIAN_POINT('Origin',(20.75,39.361,0.)); #508072=CARTESIAN_POINT('',(20.75,39.361,0.)); #508073=CARTESIAN_POINT('',(20.75,39.361,0.)); #508074=CARTESIAN_POINT('',(20.75,39.361,0.035)); #508075=CARTESIAN_POINT('',(20.75,39.361,0.035)); #508076=CARTESIAN_POINT('',(20.75,39.361,0.)); #508077=CARTESIAN_POINT('Origin',(20.7499992502996,39.4880003087252,0.)); #508078=CARTESIAN_POINT('',(20.660197,39.398197,0.)); #508079=CARTESIAN_POINT('Origin',(20.7499992502996,39.4880003087252,0.)); #508080=CARTESIAN_POINT('',(20.660197,39.398197,0.035)); #508081=CARTESIAN_POINT('Origin',(20.7499992502996,39.4880003087252,0.035)); #508082=CARTESIAN_POINT('',(20.660197,39.398197,0.)); #508083=CARTESIAN_POINT('Origin',(20.239494,39.8189,0.)); #508084=CARTESIAN_POINT('',(20.239494,39.8189,0.)); #508085=CARTESIAN_POINT('',(20.239494,39.8189,0.)); #508086=CARTESIAN_POINT('',(20.239494,39.8189,0.035)); #508087=CARTESIAN_POINT('',(20.239494,39.8189,0.035)); #508088=CARTESIAN_POINT('',(20.239494,39.8189,0.)); #508089=CARTESIAN_POINT('Origin',(19.5555,39.8189,0.)); #508090=CARTESIAN_POINT('',(19.5555,39.8189,0.)); #508091=CARTESIAN_POINT('',(19.5555,39.8189,0.)); #508092=CARTESIAN_POINT('',(19.5555,39.8189,0.035)); #508093=CARTESIAN_POINT('',(19.5555,39.8189,0.035)); #508094=CARTESIAN_POINT('',(19.5555,39.8189,0.)); #508095=CARTESIAN_POINT('Origin',(19.5555,40.6571,0.)); #508096=CARTESIAN_POINT('',(19.5555,40.6571,0.)); #508097=CARTESIAN_POINT('',(19.5555,40.6571,0.)); #508098=CARTESIAN_POINT('',(19.5555,40.6571,0.035)); #508099=CARTESIAN_POINT('',(19.5555,40.6571,0.035)); #508100=CARTESIAN_POINT('',(19.5555,40.6571,0.)); #508101=CARTESIAN_POINT('Origin',(20.4445,40.6571,0.)); #508102=CARTESIAN_POINT('',(20.4445,40.6571,0.)); #508103=CARTESIAN_POINT('',(20.4445,40.6571,0.)); #508104=CARTESIAN_POINT('',(20.4445,40.6571,0.035)); #508105=CARTESIAN_POINT('',(20.4445,40.6571,0.035)); #508106=CARTESIAN_POINT('',(20.4445,40.6571,0.)); #508107=CARTESIAN_POINT('Origin',(20.4445,39.973106,0.)); #508108=CARTESIAN_POINT('',(20.4445,39.973106,0.)); #508109=CARTESIAN_POINT('',(20.4445,39.973106,0.)); #508110=CARTESIAN_POINT('',(20.4445,39.973106,0.035)); #508111=CARTESIAN_POINT('',(20.4445,39.973106,0.035)); #508112=CARTESIAN_POINT('',(20.4445,39.973106,0.)); #508113=CARTESIAN_POINT('Origin',(20.802606,39.615,0.)); #508114=CARTESIAN_POINT('',(20.802606,39.615,0.)); #508115=CARTESIAN_POINT('',(20.802606,39.615,0.)); #508116=CARTESIAN_POINT('',(20.802606,39.615,0.035)); #508117=CARTESIAN_POINT('',(20.802606,39.615,0.035)); #508118=CARTESIAN_POINT('',(20.802606,39.615,0.)); #508119=CARTESIAN_POINT('Origin',(24.201325,39.615,0.)); #508120=CARTESIAN_POINT('',(24.201325,39.615,0.)); #508121=CARTESIAN_POINT('',(24.201325,39.615,0.)); #508122=CARTESIAN_POINT('',(24.201325,39.615,0.035)); #508123=CARTESIAN_POINT('',(24.201325,39.615,0.035)); #508124=CARTESIAN_POINT('',(24.201325,39.615,0.)); #508125=CARTESIAN_POINT('Origin',(24.2013257497004,39.4879996912748,0.)); #508126=CARTESIAN_POINT('',(24.291128,39.577803,0.)); #508127=CARTESIAN_POINT('Origin',(24.2013257497004,39.4879996912748,0.)); #508128=CARTESIAN_POINT('',(24.291128,39.577803,0.035)); #508129=CARTESIAN_POINT('Origin',(24.2013257497004,39.4879996912748,0.035)); #508130=CARTESIAN_POINT('',(24.291128,39.577803,0.)); #508131=CARTESIAN_POINT('Origin',(27.741931,36.127,0.)); #508132=CARTESIAN_POINT('',(27.741931,36.127,0.)); #508133=CARTESIAN_POINT('',(27.741931,36.127,0.)); #508134=CARTESIAN_POINT('',(27.741931,36.127,0.035)); #508135=CARTESIAN_POINT('',(27.741931,36.127,0.035)); #508136=CARTESIAN_POINT('',(27.741931,36.127,0.)); #508137=CARTESIAN_POINT('Origin',(29.689325,36.127,0.)); #508138=CARTESIAN_POINT('',(29.689325,36.127,0.)); #508139=CARTESIAN_POINT('',(29.689325,36.127,0.)); #508140=CARTESIAN_POINT('',(29.689325,36.127,0.035)); #508141=CARTESIAN_POINT('',(29.689325,36.127,0.035)); #508142=CARTESIAN_POINT('',(29.689325,36.127,0.)); #508143=CARTESIAN_POINT('Origin',(29.6893257497004,35.9999996912748,0.)); #508144=CARTESIAN_POINT('',(29.779128,36.089803,0.)); #508145=CARTESIAN_POINT('Origin',(29.6893257497004,35.9999996912748,0.)); #508146=CARTESIAN_POINT('',(29.779128,36.089803,0.035)); #508147=CARTESIAN_POINT('Origin',(29.6893257497004,35.9999996912748,0.035)); #508148=CARTESIAN_POINT('',(29.779128,36.089803,0.)); #508149=CARTESIAN_POINT('Origin',(31.277303,34.591628,0.)); #508150=CARTESIAN_POINT('',(31.277303,34.591628,0.)); #508151=CARTESIAN_POINT('',(31.277303,34.591628,0.)); #508152=CARTESIAN_POINT('',(31.277303,34.591628,0.035)); #508153=CARTESIAN_POINT('',(31.277303,34.591628,0.035)); #508154=CARTESIAN_POINT('',(31.277303,34.591628,0.)); #508155=CARTESIAN_POINT('Origin',(31.1874996912748,34.5018257497004,0.)); #508156=CARTESIAN_POINT('',(31.3145,34.501825,0.)); #508157=CARTESIAN_POINT('Origin',(31.1874996912748,34.5018257497004,0.)); #508158=CARTESIAN_POINT('',(31.3145,34.501825,0.035)); #508159=CARTESIAN_POINT('Origin',(31.1874996912748,34.5018257497004,0.035)); #508160=CARTESIAN_POINT('',(31.3145,34.501825,0.)); #508161=CARTESIAN_POINT('Origin',(31.3145,32.4375,0.)); #508162=CARTESIAN_POINT('',(31.3145,32.4375,0.)); #508163=CARTESIAN_POINT('',(31.3145,32.4375,0.)); #508164=CARTESIAN_POINT('',(31.3145,32.4375,0.035)); #508165=CARTESIAN_POINT('',(31.3145,32.4375,0.035)); #508166=CARTESIAN_POINT('',(31.3145,32.4375,0.)); #508167=CARTESIAN_POINT('Origin',(31.1874996912748,32.4374992502996,0.)); #508168=CARTESIAN_POINT('',(31.277303,32.347697,0.)); #508169=CARTESIAN_POINT('Origin',(31.1874996912748,32.4374992502996,0.)); #508170=CARTESIAN_POINT('',(31.277303,32.347697,0.035)); #508171=CARTESIAN_POINT('Origin',(31.1874996912748,32.4374992502996,0.035)); #508172=CARTESIAN_POINT('',(31.277303,32.347697,0.)); #508173=CARTESIAN_POINT('Origin',(30.002,31.072394,0.)); #508174=CARTESIAN_POINT('',(30.002,31.072394,0.)); #508175=CARTESIAN_POINT('',(30.002,31.072394,0.)); #508176=CARTESIAN_POINT('',(30.002,31.072394,0.035)); #508177=CARTESIAN_POINT('',(30.002,31.072394,0.035)); #508178=CARTESIAN_POINT('',(30.002,31.072394,0.)); #508179=CARTESIAN_POINT('Origin',(30.002,23.836594,0.)); #508180=CARTESIAN_POINT('',(30.002,23.836594,0.)); #508181=CARTESIAN_POINT('',(30.002,23.836594,0.)); #508182=CARTESIAN_POINT('',(30.002,23.836594,0.035)); #508183=CARTESIAN_POINT('',(30.002,23.836594,0.035)); #508184=CARTESIAN_POINT('',(30.002,23.836594,0.)); #508185=CARTESIAN_POINT('Origin',(31.839803,21.998791,0.)); #508186=CARTESIAN_POINT('',(31.839803,21.998791,0.)); #508187=CARTESIAN_POINT('',(31.839803,21.998791,0.)); #508188=CARTESIAN_POINT('',(31.839803,21.998791,0.035)); #508189=CARTESIAN_POINT('',(31.839803,21.998791,0.035)); #508190=CARTESIAN_POINT('',(31.839803,21.998791,0.)); #508191=CARTESIAN_POINT('Origin',(31.7499996912748,21.9089887497004,0.)); #508192=CARTESIAN_POINT('',(31.877,21.908988,0.)); #508193=CARTESIAN_POINT('Origin',(31.7499996912748,21.9089887497004,0.)); #508194=CARTESIAN_POINT('',(31.877,21.908988,0.035)); #508195=CARTESIAN_POINT('Origin',(31.7499996912748,21.9089887497004,0.035)); #508196=CARTESIAN_POINT('',(31.877,21.908988,0.)); #508197=CARTESIAN_POINT('Origin',(31.877,20.7125,0.)); #508198=CARTESIAN_POINT('',(31.877,20.7125,0.)); #508199=CARTESIAN_POINT('',(31.877,20.7125,0.)); #508200=CARTESIAN_POINT('',(31.877,20.7125,0.035)); #508201=CARTESIAN_POINT('',(31.877,20.7125,0.035)); #508202=CARTESIAN_POINT('',(31.877,20.7125,0.)); #508203=CARTESIAN_POINT('Origin',(31.8875,20.7125,0.)); #508204=CARTESIAN_POINT('',(31.8875,20.7125,0.)); #508205=CARTESIAN_POINT('',(31.8875,20.7125,0.035)); #508206=CARTESIAN_POINT('Origin',(28.0156543280533,32.3115650456459,0.035)); #508207=CARTESIAN_POINT('Origin',(28.0156543280533,32.3115650456459,0.)); #508208=CARTESIAN_POINT('Origin',(30.9125,14.1125,0.)); #508209=CARTESIAN_POINT('',(30.9125,14.1125,0.)); #508210=CARTESIAN_POINT('',(30.9125,14.3875,0.)); #508211=CARTESIAN_POINT('',(30.9125,14.1125,0.)); #508212=CARTESIAN_POINT('',(30.9125,14.3875,0.035)); #508213=CARTESIAN_POINT('',(30.9125,14.3875,0.)); #508214=CARTESIAN_POINT('',(30.9125,14.1125,0.035)); #508215=CARTESIAN_POINT('',(30.9125,14.1125,0.035)); #508216=CARTESIAN_POINT('',(30.9125,14.1125,0.)); #508217=CARTESIAN_POINT('Origin',(30.2875,14.1125,0.)); #508218=CARTESIAN_POINT('',(30.2875,14.1125,0.)); #508219=CARTESIAN_POINT('',(30.2875,14.1125,0.)); #508220=CARTESIAN_POINT('',(30.2875,14.1125,0.035)); #508221=CARTESIAN_POINT('',(30.2875,14.1125,0.035)); #508222=CARTESIAN_POINT('',(30.2875,14.1125,0.)); #508223=CARTESIAN_POINT('Origin',(30.2875,14.123,0.)); #508224=CARTESIAN_POINT('',(30.2875,14.123,0.)); #508225=CARTESIAN_POINT('',(30.2875,14.123,0.)); #508226=CARTESIAN_POINT('',(30.2875,14.123,0.035)); #508227=CARTESIAN_POINT('',(30.2875,14.123,0.035)); #508228=CARTESIAN_POINT('',(30.2875,14.123,0.)); #508229=CARTESIAN_POINT('Origin',(29.00365,14.123,0.)); #508230=CARTESIAN_POINT('',(29.00365,14.123,0.)); #508231=CARTESIAN_POINT('',(29.00365,14.123,0.)); #508232=CARTESIAN_POINT('',(29.00365,14.123,0.035)); #508233=CARTESIAN_POINT('',(29.00365,14.123,0.035)); #508234=CARTESIAN_POINT('',(29.00365,14.123,0.)); #508235=CARTESIAN_POINT('Origin',(29.0036492502996,14.2500003087252,0.)); #508236=CARTESIAN_POINT('',(28.913847,14.160197,0.)); #508237=CARTESIAN_POINT('Origin',(29.0036492502996,14.2500003087252,0.)); #508238=CARTESIAN_POINT('',(28.913847,14.160197,0.035)); #508239=CARTESIAN_POINT('Origin',(29.0036492502996,14.2500003087252,0.035)); #508240=CARTESIAN_POINT('',(28.913847,14.160197,0.)); #508241=CARTESIAN_POINT('Origin',(25.951044,17.123,0.)); #508242=CARTESIAN_POINT('',(25.951044,17.123,0.)); #508243=CARTESIAN_POINT('',(25.951044,17.123,0.)); #508244=CARTESIAN_POINT('',(25.951044,17.123,0.035)); #508245=CARTESIAN_POINT('',(25.951044,17.123,0.035)); #508246=CARTESIAN_POINT('',(25.951044,17.123,0.)); #508247=CARTESIAN_POINT('Origin',(22.512,17.123,0.)); #508248=CARTESIAN_POINT('',(22.512,17.123,0.)); #508249=CARTESIAN_POINT('',(22.512,17.123,0.)); #508250=CARTESIAN_POINT('',(22.512,17.123,0.035)); #508251=CARTESIAN_POINT('',(22.512,17.123,0.035)); #508252=CARTESIAN_POINT('',(22.512,17.123,0.)); #508253=CARTESIAN_POINT('Origin',(22.5119992502996,17.2500003087252,0.)); #508254=CARTESIAN_POINT('',(22.422197,17.160197,0.)); #508255=CARTESIAN_POINT('Origin',(22.5119992502996,17.2500003087252,0.)); #508256=CARTESIAN_POINT('',(22.422197,17.160197,0.035)); #508257=CARTESIAN_POINT('Origin',(22.5119992502996,17.2500003087252,0.035)); #508258=CARTESIAN_POINT('',(22.422197,17.160197,0.)); #508259=CARTESIAN_POINT('Origin',(22.026894,17.5555,0.)); #508260=CARTESIAN_POINT('',(22.026894,17.5555,0.)); #508261=CARTESIAN_POINT('',(22.026894,17.5555,0.)); #508262=CARTESIAN_POINT('',(22.026894,17.5555,0.035)); #508263=CARTESIAN_POINT('',(22.026894,17.5555,0.035)); #508264=CARTESIAN_POINT('',(22.026894,17.5555,0.)); #508265=CARTESIAN_POINT('Origin',(21.3429,17.5555,0.)); #508266=CARTESIAN_POINT('',(21.3429,17.5555,0.)); #508267=CARTESIAN_POINT('',(21.3429,17.5555,0.)); #508268=CARTESIAN_POINT('',(21.3429,17.5555,0.035)); #508269=CARTESIAN_POINT('',(21.3429,17.5555,0.035)); #508270=CARTESIAN_POINT('',(21.3429,17.5555,0.)); #508271=CARTESIAN_POINT('Origin',(21.3429,18.4445,0.)); #508272=CARTESIAN_POINT('',(21.3429,18.4445,0.)); #508273=CARTESIAN_POINT('',(21.3429,18.4445,0.)); #508274=CARTESIAN_POINT('',(21.3429,18.4445,0.035)); #508275=CARTESIAN_POINT('',(21.3429,18.4445,0.035)); #508276=CARTESIAN_POINT('',(21.3429,18.4445,0.)); #508277=CARTESIAN_POINT('Origin',(22.1811,18.4445,0.)); #508278=CARTESIAN_POINT('',(22.1811,18.4445,0.)); #508279=CARTESIAN_POINT('',(22.1811,18.4445,0.)); #508280=CARTESIAN_POINT('',(22.1811,18.4445,0.035)); #508281=CARTESIAN_POINT('',(22.1811,18.4445,0.035)); #508282=CARTESIAN_POINT('',(22.1811,18.4445,0.)); #508283=CARTESIAN_POINT('Origin',(22.1811,17.760506,0.)); #508284=CARTESIAN_POINT('',(22.1811,17.760506,0.)); #508285=CARTESIAN_POINT('',(22.1811,17.760506,0.)); #508286=CARTESIAN_POINT('',(22.1811,17.760506,0.035)); #508287=CARTESIAN_POINT('',(22.1811,17.760506,0.035)); #508288=CARTESIAN_POINT('',(22.1811,17.760506,0.)); #508289=CARTESIAN_POINT('Origin',(22.564606,17.377,0.)); #508290=CARTESIAN_POINT('',(22.564606,17.377,0.)); #508291=CARTESIAN_POINT('',(22.564606,17.377,0.)); #508292=CARTESIAN_POINT('',(22.564606,17.377,0.035)); #508293=CARTESIAN_POINT('',(22.564606,17.377,0.035)); #508294=CARTESIAN_POINT('',(22.564606,17.377,0.)); #508295=CARTESIAN_POINT('Origin',(26.00365,17.377,0.)); #508296=CARTESIAN_POINT('',(26.00365,17.377,0.)); #508297=CARTESIAN_POINT('',(26.00365,17.377,0.)); #508298=CARTESIAN_POINT('',(26.00365,17.377,0.035)); #508299=CARTESIAN_POINT('',(26.00365,17.377,0.035)); #508300=CARTESIAN_POINT('',(26.00365,17.377,0.)); #508301=CARTESIAN_POINT('Origin',(26.0036507497004,17.2499996912748,0.)); #508302=CARTESIAN_POINT('',(26.093453,17.339803,0.)); #508303=CARTESIAN_POINT('Origin',(26.0036507497004,17.2499996912748,0.)); #508304=CARTESIAN_POINT('',(26.093453,17.339803,0.035)); #508305=CARTESIAN_POINT('Origin',(26.0036507497004,17.2499996912748,0.035)); #508306=CARTESIAN_POINT('',(26.093453,17.339803,0.)); #508307=CARTESIAN_POINT('Origin',(29.056256,14.377,0.)); #508308=CARTESIAN_POINT('',(29.056256,14.377,0.)); #508309=CARTESIAN_POINT('',(29.056256,14.377,0.)); #508310=CARTESIAN_POINT('',(29.056256,14.377,0.035)); #508311=CARTESIAN_POINT('',(29.056256,14.377,0.035)); #508312=CARTESIAN_POINT('',(29.056256,14.377,0.)); #508313=CARTESIAN_POINT('Origin',(30.2875,14.377,0.)); #508314=CARTESIAN_POINT('',(30.2875,14.377,0.)); #508315=CARTESIAN_POINT('',(30.2875,14.377,0.)); #508316=CARTESIAN_POINT('',(30.2875,14.377,0.035)); #508317=CARTESIAN_POINT('',(30.2875,14.377,0.035)); #508318=CARTESIAN_POINT('',(30.2875,14.377,0.)); #508319=CARTESIAN_POINT('Origin',(30.2875,14.3875,0.)); #508320=CARTESIAN_POINT('',(30.2875,14.3875,0.)); #508321=CARTESIAN_POINT('',(30.2875,14.3875,0.)); #508322=CARTESIAN_POINT('',(30.2875,14.3875,0.035)); #508323=CARTESIAN_POINT('',(30.2875,14.3875,0.035)); #508324=CARTESIAN_POINT('',(30.2875,14.3875,0.)); #508325=CARTESIAN_POINT('Origin',(30.9125,14.3875,0.)); #508326=CARTESIAN_POINT('',(30.9125,14.3875,0.)); #508327=CARTESIAN_POINT('',(30.9125,14.3875,0.035)); #508328=CARTESIAN_POINT('Origin',(25.9841956795068,16.3103669945351,0.035)); #508329=CARTESIAN_POINT('Origin',(25.9841956795068,16.3103669945351,0.)); #508330=CARTESIAN_POINT('Origin',(30.5,10.5,-200.)); #508331=CARTESIAN_POINT('',(30.36,10.5,0.)); #508332=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #508333=CARTESIAN_POINT('',(30.36,10.5,0.0349999999999895)); #508334=CARTESIAN_POINT('',(30.36,10.5,-200.)); #508335=CARTESIAN_POINT('Origin',(30.5,10.5,0.0349999999999895)); #508336=CARTESIAN_POINT('Origin',(22.564606,14.377,0.)); #508337=CARTESIAN_POINT('',(22.564606,14.377,0.)); #508338=CARTESIAN_POINT('',(22.1811,14.760506,0.)); #508339=CARTESIAN_POINT('',(22.564606,14.377,0.)); #508340=CARTESIAN_POINT('',(22.1811,14.760506,0.035)); #508341=CARTESIAN_POINT('',(22.1811,14.760506,0.)); #508342=CARTESIAN_POINT('',(22.564606,14.377,0.035)); #508343=CARTESIAN_POINT('',(22.564606,14.377,0.035)); #508344=CARTESIAN_POINT('',(22.564606,14.377,0.)); #508345=CARTESIAN_POINT('Origin',(26.00365,14.377,0.)); #508346=CARTESIAN_POINT('',(26.00365,14.377,0.)); #508347=CARTESIAN_POINT('',(26.00365,14.377,0.)); #508348=CARTESIAN_POINT('',(26.00365,14.377,0.035)); #508349=CARTESIAN_POINT('',(26.00365,14.377,0.035)); #508350=CARTESIAN_POINT('',(26.00365,14.377,0.)); #508351=CARTESIAN_POINT('Origin',(25.999429453094,14.2500413352333,0.)); #508352=CARTESIAN_POINT('',(26.015147,14.376094,0.)); #508353=CARTESIAN_POINT('Origin',(25.999429453094,14.2500413352333,0.)); #508354=CARTESIAN_POINT('',(26.015147,14.376094,0.035)); #508355=CARTESIAN_POINT('Origin',(25.999429453094,14.2500413352333,0.035)); #508356=CARTESIAN_POINT('',(26.015147,14.376094,0.)); #508357=CARTESIAN_POINT('Origin',(26.020578,14.374819,0.)); #508358=CARTESIAN_POINT('',(26.020578,14.374819,0.)); #508359=CARTESIAN_POINT('',(26.020578,14.374819,0.)); #508360=CARTESIAN_POINT('',(26.020578,14.374819,0.035)); #508361=CARTESIAN_POINT('',(26.020578,14.374819,0.035)); #508362=CARTESIAN_POINT('',(26.020578,14.374819,0.)); #508363=CARTESIAN_POINT('Origin',(26.035834,14.372853,0.)); #508364=CARTESIAN_POINT('',(26.035834,14.372853,0.)); #508365=CARTESIAN_POINT('',(26.035834,14.372853,0.)); #508366=CARTESIAN_POINT('',(26.035834,14.372853,0.035)); #508367=CARTESIAN_POINT('',(26.035834,14.372853,0.035)); #508368=CARTESIAN_POINT('',(26.035834,14.372853,0.)); #508369=CARTESIAN_POINT('Origin',(26.062088,14.362756,0.)); #508370=CARTESIAN_POINT('',(26.062088,14.362756,0.)); #508371=CARTESIAN_POINT('',(26.062088,14.362756,0.)); #508372=CARTESIAN_POINT('',(26.062088,14.362756,0.035)); #508373=CARTESIAN_POINT('',(26.062088,14.362756,0.035)); #508374=CARTESIAN_POINT('',(26.062088,14.362756,0.)); #508375=CARTESIAN_POINT('Origin',(26.0028716292051,14.250688419063,0.)); #508376=CARTESIAN_POINT('',(26.107769,14.321838,0.)); #508377=CARTESIAN_POINT('Origin',(26.0028716292051,14.250688419063,0.)); #508378=CARTESIAN_POINT('',(26.107769,14.321838,0.035)); #508379=CARTESIAN_POINT('Origin',(26.0028716292051,14.250688419063,0.035)); #508380=CARTESIAN_POINT('',(26.107769,14.321838,0.)); #508381=CARTESIAN_POINT('Origin',(29.802606,10.627,0.)); #508382=CARTESIAN_POINT('',(29.802606,10.627,0.)); #508383=CARTESIAN_POINT('',(29.802606,10.627,0.)); #508384=CARTESIAN_POINT('',(29.802606,10.627,0.035)); #508385=CARTESIAN_POINT('',(29.802606,10.627,0.035)); #508386=CARTESIAN_POINT('',(29.802606,10.627,0.)); #508387=CARTESIAN_POINT('Origin',(30.256072,10.627,0.)); #508388=CARTESIAN_POINT('',(30.256072,10.627,0.)); #508389=CARTESIAN_POINT('',(30.256072,10.627,0.)); #508390=CARTESIAN_POINT('',(30.256072,10.627,0.035)); #508391=CARTESIAN_POINT('',(30.256072,10.627,0.035)); #508392=CARTESIAN_POINT('',(30.256072,10.627,0.)); #508393=CARTESIAN_POINT('Origin',(30.5004640048651,10.4999800398209,0.)); #508394=CARTESIAN_POINT('',(30.754853,10.605563,0.)); #508395=CARTESIAN_POINT('Origin',(30.5004640048651,10.4999800398209,0.)); #508396=CARTESIAN_POINT('',(30.754853,10.605563,0.035)); #508397=CARTESIAN_POINT('Origin',(30.5004640048651,10.4999800398209,0.035)); #508398=CARTESIAN_POINT('',(30.754853,10.605563,0.)); #508399=CARTESIAN_POINT('Origin',(30.5003817414141,10.5001782714048,0.)); #508400=CARTESIAN_POINT('',(30.256072,10.373,0.)); #508401=CARTESIAN_POINT('Origin',(30.5003817414141,10.5001782714048,0.)); #508402=CARTESIAN_POINT('',(30.256072,10.373,0.035)); #508403=CARTESIAN_POINT('Origin',(30.5003817414141,10.5001782714048,0.035)); #508404=CARTESIAN_POINT('',(30.256072,10.373,0.)); #508405=CARTESIAN_POINT('Origin',(29.75,10.373,0.)); #508406=CARTESIAN_POINT('',(29.75,10.373,0.)); #508407=CARTESIAN_POINT('',(29.75,10.373,0.)); #508408=CARTESIAN_POINT('',(29.75,10.373,0.035)); #508409=CARTESIAN_POINT('',(29.75,10.373,0.035)); #508410=CARTESIAN_POINT('',(29.75,10.373,0.)); #508411=CARTESIAN_POINT('Origin',(29.7499992502996,10.5000003087252,0.)); #508412=CARTESIAN_POINT('',(29.660197,10.410197,0.)); #508413=CARTESIAN_POINT('Origin',(29.7499992502996,10.5000003087252,0.)); #508414=CARTESIAN_POINT('',(29.660197,10.410197,0.035)); #508415=CARTESIAN_POINT('Origin',(29.7499992502996,10.5000003087252,0.035)); #508416=CARTESIAN_POINT('',(29.660197,10.410197,0.)); #508417=CARTESIAN_POINT('Origin',(25.947394,14.123,0.)); #508418=CARTESIAN_POINT('',(25.947394,14.123,0.)); #508419=CARTESIAN_POINT('',(25.947394,14.123,0.)); #508420=CARTESIAN_POINT('',(25.947394,14.123,0.035)); #508421=CARTESIAN_POINT('',(25.947394,14.123,0.035)); #508422=CARTESIAN_POINT('',(25.947394,14.123,0.)); #508423=CARTESIAN_POINT('Origin',(22.512,14.123,0.)); #508424=CARTESIAN_POINT('',(22.512,14.123,0.)); #508425=CARTESIAN_POINT('',(22.512,14.123,0.)); #508426=CARTESIAN_POINT('',(22.512,14.123,0.035)); #508427=CARTESIAN_POINT('',(22.512,14.123,0.035)); #508428=CARTESIAN_POINT('',(22.512,14.123,0.)); #508429=CARTESIAN_POINT('Origin',(22.5119992502996,14.2500003087252,0.)); #508430=CARTESIAN_POINT('',(22.422197,14.160197,0.)); #508431=CARTESIAN_POINT('Origin',(22.5119992502996,14.2500003087252,0.)); #508432=CARTESIAN_POINT('',(22.422197,14.160197,0.035)); #508433=CARTESIAN_POINT('Origin',(22.5119992502996,14.2500003087252,0.035)); #508434=CARTESIAN_POINT('',(22.422197,14.160197,0.)); #508435=CARTESIAN_POINT('Origin',(22.026894,14.5555,0.)); #508436=CARTESIAN_POINT('',(22.026894,14.5555,0.)); #508437=CARTESIAN_POINT('',(22.026894,14.5555,0.)); #508438=CARTESIAN_POINT('',(22.026894,14.5555,0.035)); #508439=CARTESIAN_POINT('',(22.026894,14.5555,0.035)); #508440=CARTESIAN_POINT('',(22.026894,14.5555,0.)); #508441=CARTESIAN_POINT('Origin',(21.3429,14.5555,0.)); #508442=CARTESIAN_POINT('',(21.3429,14.5555,0.)); #508443=CARTESIAN_POINT('',(21.3429,14.5555,0.)); #508444=CARTESIAN_POINT('',(21.3429,14.5555,0.035)); #508445=CARTESIAN_POINT('',(21.3429,14.5555,0.035)); #508446=CARTESIAN_POINT('',(21.3429,14.5555,0.)); #508447=CARTESIAN_POINT('Origin',(21.3429,15.4445,0.)); #508448=CARTESIAN_POINT('',(21.3429,15.4445,0.)); #508449=CARTESIAN_POINT('',(21.3429,15.4445,0.)); #508450=CARTESIAN_POINT('',(21.3429,15.4445,0.035)); #508451=CARTESIAN_POINT('',(21.3429,15.4445,0.035)); #508452=CARTESIAN_POINT('',(21.3429,15.4445,0.)); #508453=CARTESIAN_POINT('Origin',(22.1811,15.4445,0.)); #508454=CARTESIAN_POINT('',(22.1811,15.4445,0.)); #508455=CARTESIAN_POINT('',(22.1811,15.4445,0.)); #508456=CARTESIAN_POINT('',(22.1811,15.4445,0.035)); #508457=CARTESIAN_POINT('',(22.1811,15.4445,0.035)); #508458=CARTESIAN_POINT('',(22.1811,15.4445,0.)); #508459=CARTESIAN_POINT('Origin',(22.1811,14.760506,0.)); #508460=CARTESIAN_POINT('',(22.1811,14.760506,0.)); #508461=CARTESIAN_POINT('',(22.1811,14.760506,0.035)); #508462=CARTESIAN_POINT('Origin',(26.037465277654,13.160107415761,0.035)); #508463=CARTESIAN_POINT('Origin',(26.037465277654,13.160107415761,0.)); #508464=CARTESIAN_POINT('Origin',(36.5,10.5,-200.)); #508465=CARTESIAN_POINT('',(36.36,10.5,0.)); #508466=CARTESIAN_POINT('Origin',(36.5,10.5,0.)); #508467=CARTESIAN_POINT('',(36.36,10.5,0.0349999999999895)); #508468=CARTESIAN_POINT('',(36.36,10.5,-200.)); #508469=CARTESIAN_POINT('Origin',(36.5,10.5,0.0349999999999895)); #508470=CARTESIAN_POINT('Origin',(36.521644,10.225,0.)); #508471=CARTESIAN_POINT('',(36.521644,10.225,0.)); #508472=CARTESIAN_POINT('',(36.564397,10.231772,0.)); #508473=CARTESIAN_POINT('',(36.521644,10.225,0.)); #508474=CARTESIAN_POINT('',(36.564397,10.231772,0.035)); #508475=CARTESIAN_POINT('',(36.564397,10.231772,0.)); #508476=CARTESIAN_POINT('',(36.521644,10.225,0.035)); #508477=CARTESIAN_POINT('',(36.521644,10.225,0.035)); #508478=CARTESIAN_POINT('',(36.521644,10.225,0.)); #508479=CARTESIAN_POINT('Origin',(36.500118471131,10.4995872515008,0.)); #508480=CARTESIAN_POINT('',(36.373,10.743928,0.)); #508481=CARTESIAN_POINT('Origin',(36.500118471131,10.4995872515008,0.)); #508482=CARTESIAN_POINT('',(36.373,10.743928,0.035)); #508483=CARTESIAN_POINT('Origin',(36.500118471131,10.4995872515008,0.035)); #508484=CARTESIAN_POINT('',(36.373,10.743928,0.)); #508485=CARTESIAN_POINT('Origin',(36.373,12.697394,0.)); #508486=CARTESIAN_POINT('',(36.373,12.697394,0.)); #508487=CARTESIAN_POINT('',(36.373,12.697394,0.)); #508488=CARTESIAN_POINT('',(36.373,12.697394,0.035)); #508489=CARTESIAN_POINT('',(36.373,12.697394,0.035)); #508490=CARTESIAN_POINT('',(36.373,12.697394,0.)); #508491=CARTESIAN_POINT('Origin',(36.160197,12.910197,0.)); #508492=CARTESIAN_POINT('',(36.160197,12.910197,0.)); #508493=CARTESIAN_POINT('',(36.160197,12.910197,0.)); #508494=CARTESIAN_POINT('',(36.160197,12.910197,0.035)); #508495=CARTESIAN_POINT('',(36.160197,12.910197,0.035)); #508496=CARTESIAN_POINT('',(36.160197,12.910197,0.)); #508497=CARTESIAN_POINT('Origin',(36.2500003087252,12.9999992502996,0.)); #508498=CARTESIAN_POINT('',(36.123,13.,0.)); #508499=CARTESIAN_POINT('Origin',(36.2500003087252,12.9999992502996,0.)); #508500=CARTESIAN_POINT('',(36.123,13.,0.035)); #508501=CARTESIAN_POINT('Origin',(36.2500003087252,12.9999992502996,0.035)); #508502=CARTESIAN_POINT('',(36.123,13.,0.)); #508503=CARTESIAN_POINT('Origin',(36.123,13.2875,0.)); #508504=CARTESIAN_POINT('',(36.123,13.2875,0.)); #508505=CARTESIAN_POINT('',(36.123,13.2875,0.)); #508506=CARTESIAN_POINT('',(36.123,13.2875,0.035)); #508507=CARTESIAN_POINT('',(36.123,13.2875,0.035)); #508508=CARTESIAN_POINT('',(36.123,13.2875,0.)); #508509=CARTESIAN_POINT('Origin',(36.1125,13.2875,0.)); #508510=CARTESIAN_POINT('',(36.1125,13.2875,0.)); #508511=CARTESIAN_POINT('',(36.1125,13.2875,0.)); #508512=CARTESIAN_POINT('',(36.1125,13.2875,0.035)); #508513=CARTESIAN_POINT('',(36.1125,13.2875,0.035)); #508514=CARTESIAN_POINT('',(36.1125,13.2875,0.)); #508515=CARTESIAN_POINT('Origin',(36.1125,13.9125,0.)); #508516=CARTESIAN_POINT('',(36.1125,13.9125,0.)); #508517=CARTESIAN_POINT('',(36.1125,13.9125,0.)); #508518=CARTESIAN_POINT('',(36.1125,13.9125,0.035)); #508519=CARTESIAN_POINT('',(36.1125,13.9125,0.035)); #508520=CARTESIAN_POINT('',(36.1125,13.9125,0.)); #508521=CARTESIAN_POINT('Origin',(36.3875,13.9125,0.)); #508522=CARTESIAN_POINT('',(36.3875,13.9125,0.)); #508523=CARTESIAN_POINT('',(36.3875,13.9125,0.)); #508524=CARTESIAN_POINT('',(36.3875,13.9125,0.035)); #508525=CARTESIAN_POINT('',(36.3875,13.9125,0.035)); #508526=CARTESIAN_POINT('',(36.3875,13.9125,0.)); #508527=CARTESIAN_POINT('Origin',(36.3875,13.2875,0.)); #508528=CARTESIAN_POINT('',(36.3875,13.2875,0.)); #508529=CARTESIAN_POINT('',(36.3875,13.2875,0.)); #508530=CARTESIAN_POINT('',(36.3875,13.2875,0.035)); #508531=CARTESIAN_POINT('',(36.3875,13.2875,0.035)); #508532=CARTESIAN_POINT('',(36.3875,13.2875,0.)); #508533=CARTESIAN_POINT('Origin',(36.377,13.2875,0.)); #508534=CARTESIAN_POINT('',(36.377,13.2875,0.)); #508535=CARTESIAN_POINT('',(36.377,13.2875,0.)); #508536=CARTESIAN_POINT('',(36.377,13.2875,0.035)); #508537=CARTESIAN_POINT('',(36.377,13.2875,0.035)); #508538=CARTESIAN_POINT('',(36.377,13.2875,0.)); #508539=CARTESIAN_POINT('Origin',(36.377,13.052606,0.)); #508540=CARTESIAN_POINT('',(36.377,13.052606,0.)); #508541=CARTESIAN_POINT('',(36.377,13.052606,0.)); #508542=CARTESIAN_POINT('',(36.377,13.052606,0.035)); #508543=CARTESIAN_POINT('',(36.377,13.052606,0.035)); #508544=CARTESIAN_POINT('',(36.377,13.052606,0.)); #508545=CARTESIAN_POINT('Origin',(36.589803,12.839803,0.)); #508546=CARTESIAN_POINT('',(36.589803,12.839803,0.)); #508547=CARTESIAN_POINT('',(36.589803,12.839803,0.)); #508548=CARTESIAN_POINT('',(36.589803,12.839803,0.035)); #508549=CARTESIAN_POINT('',(36.589803,12.839803,0.035)); #508550=CARTESIAN_POINT('',(36.589803,12.839803,0.)); #508551=CARTESIAN_POINT('Origin',(36.4999996912748,12.7500007497004,0.)); #508552=CARTESIAN_POINT('',(36.627,12.75,0.)); #508553=CARTESIAN_POINT('Origin',(36.4999996912748,12.7500007497004,0.)); #508554=CARTESIAN_POINT('',(36.627,12.75,0.035)); #508555=CARTESIAN_POINT('Origin',(36.4999996912748,12.7500007497004,0.035)); #508556=CARTESIAN_POINT('',(36.627,12.75,0.)); #508557=CARTESIAN_POINT('Origin',(36.627,10.743928,0.)); #508558=CARTESIAN_POINT('',(36.627,10.743928,0.)); #508559=CARTESIAN_POINT('',(36.627,10.743928,0.)); #508560=CARTESIAN_POINT('',(36.627,10.743928,0.035)); #508561=CARTESIAN_POINT('',(36.627,10.743928,0.035)); #508562=CARTESIAN_POINT('',(36.627,10.743928,0.)); #508563=CARTESIAN_POINT('Origin',(36.4999453752955,10.499554310534,0.)); #508564=CARTESIAN_POINT('Origin',(36.4999453752955,10.499554310534,0.)); #508565=CARTESIAN_POINT('Origin',(36.4999453752955,10.499554310534,0.035)); #508566=CARTESIAN_POINT('Origin',(36.4259025895783,12.0649288581409,0.035)); #508567=CARTESIAN_POINT('Origin',(36.4259025895783,12.0649288581409,0.)); #508568=CARTESIAN_POINT('Origin',(38.75,36.75,-200.)); #508569=CARTESIAN_POINT('',(38.61,36.75,0.)); #508570=CARTESIAN_POINT('Origin',(38.75,36.75,0.)); #508571=CARTESIAN_POINT('',(38.61,36.75,0.0349999999999895)); #508572=CARTESIAN_POINT('',(38.61,36.75,-200.)); #508573=CARTESIAN_POINT('Origin',(38.75,36.75,0.0349999999999895)); #508574=CARTESIAN_POINT('Origin',(38.771644,36.475,0.)); #508575=CARTESIAN_POINT('',(38.771644,36.475,0.)); #508576=CARTESIAN_POINT('',(38.814397,36.481772,0.)); #508577=CARTESIAN_POINT('',(38.771644,36.475,0.)); #508578=CARTESIAN_POINT('',(38.814397,36.481772,0.035)); #508579=CARTESIAN_POINT('',(38.814397,36.481772,0.)); #508580=CARTESIAN_POINT('',(38.771644,36.475,0.035)); #508581=CARTESIAN_POINT('',(38.771644,36.475,0.035)); #508582=CARTESIAN_POINT('',(38.771644,36.475,0.)); #508583=CARTESIAN_POINT('Origin',(38.7500003953475,36.7500004229918,0.)); #508584=CARTESIAN_POINT('',(38.495147,36.644438,0.)); #508585=CARTESIAN_POINT('Origin',(38.7500003953475,36.7500004229918,0.)); #508586=CARTESIAN_POINT('',(38.495147,36.644438,0.035)); #508587=CARTESIAN_POINT('Origin',(38.7500003953475,36.7500004229918,0.035)); #508588=CARTESIAN_POINT('',(38.495147,36.644438,0.)); #508589=CARTESIAN_POINT('Origin',(38.7496182367391,36.7498222285952,0.)); #508590=CARTESIAN_POINT('',(38.993928,36.877,0.)); #508591=CARTESIAN_POINT('Origin',(38.7496182367391,36.7498222285952,0.)); #508592=CARTESIAN_POINT('',(38.993928,36.877,0.035)); #508593=CARTESIAN_POINT('Origin',(38.7496182367391,36.7498222285952,0.035)); #508594=CARTESIAN_POINT('',(38.993928,36.877,0.)); #508595=CARTESIAN_POINT('Origin',(40.477731,36.877,0.)); #508596=CARTESIAN_POINT('',(40.477731,36.877,0.)); #508597=CARTESIAN_POINT('',(40.477731,36.877,0.)); #508598=CARTESIAN_POINT('',(40.477731,36.877,0.035)); #508599=CARTESIAN_POINT('',(40.477731,36.877,0.035)); #508600=CARTESIAN_POINT('',(40.477731,36.877,0.)); #508601=CARTESIAN_POINT('Origin',(43.5555,39.954769,0.)); #508602=CARTESIAN_POINT('',(43.5555,39.954769,0.)); #508603=CARTESIAN_POINT('',(43.5555,39.954769,0.)); #508604=CARTESIAN_POINT('',(43.5555,39.954769,0.035)); #508605=CARTESIAN_POINT('',(43.5555,39.954769,0.035)); #508606=CARTESIAN_POINT('',(43.5555,39.954769,0.)); #508607=CARTESIAN_POINT('Origin',(43.5555,40.6571,0.)); #508608=CARTESIAN_POINT('',(43.5555,40.6571,0.)); #508609=CARTESIAN_POINT('',(43.5555,40.6571,0.)); #508610=CARTESIAN_POINT('',(43.5555,40.6571,0.035)); #508611=CARTESIAN_POINT('',(43.5555,40.6571,0.035)); #508612=CARTESIAN_POINT('',(43.5555,40.6571,0.)); #508613=CARTESIAN_POINT('Origin',(44.4445,40.6571,0.)); #508614=CARTESIAN_POINT('',(44.4445,40.6571,0.)); #508615=CARTESIAN_POINT('',(44.4445,40.6571,0.)); #508616=CARTESIAN_POINT('',(44.4445,40.6571,0.035)); #508617=CARTESIAN_POINT('',(44.4445,40.6571,0.035)); #508618=CARTESIAN_POINT('',(44.4445,40.6571,0.)); #508619=CARTESIAN_POINT('Origin',(44.4445,39.8189,0.)); #508620=CARTESIAN_POINT('',(44.4445,39.8189,0.)); #508621=CARTESIAN_POINT('',(44.4445,39.8189,0.)); #508622=CARTESIAN_POINT('',(44.4445,39.8189,0.035)); #508623=CARTESIAN_POINT('',(44.4445,39.8189,0.035)); #508624=CARTESIAN_POINT('',(44.4445,39.8189,0.)); #508625=CARTESIAN_POINT('Origin',(43.778844,39.8189,0.)); #508626=CARTESIAN_POINT('',(43.778844,39.8189,0.)); #508627=CARTESIAN_POINT('',(43.778844,39.8189,0.)); #508628=CARTESIAN_POINT('',(43.778844,39.8189,0.035)); #508629=CARTESIAN_POINT('',(43.778844,39.8189,0.035)); #508630=CARTESIAN_POINT('',(43.778844,39.8189,0.)); #508631=CARTESIAN_POINT('Origin',(40.620141,36.660197,0.)); #508632=CARTESIAN_POINT('',(40.620141,36.660197,0.)); #508633=CARTESIAN_POINT('',(40.620141,36.660197,0.)); #508634=CARTESIAN_POINT('',(40.620141,36.660197,0.035)); #508635=CARTESIAN_POINT('',(40.620141,36.660197,0.035)); #508636=CARTESIAN_POINT('',(40.620141,36.660197,0.)); #508637=CARTESIAN_POINT('Origin',(40.5303387497004,36.7500003087252,0.)); #508638=CARTESIAN_POINT('',(40.530338,36.623,0.)); #508639=CARTESIAN_POINT('Origin',(40.5303387497004,36.7500003087252,0.)); #508640=CARTESIAN_POINT('',(40.530338,36.623,0.035)); #508641=CARTESIAN_POINT('Origin',(40.5303387497004,36.7500003087252,0.035)); #508642=CARTESIAN_POINT('',(40.530338,36.623,0.)); #508643=CARTESIAN_POINT('Origin',(38.993928,36.623,0.)); #508644=CARTESIAN_POINT('',(38.993928,36.623,0.)); #508645=CARTESIAN_POINT('',(38.993928,36.623,0.)); #508646=CARTESIAN_POINT('',(38.993928,36.623,0.035)); #508647=CARTESIAN_POINT('',(38.993928,36.623,0.035)); #508648=CARTESIAN_POINT('',(38.993928,36.623,0.)); #508649=CARTESIAN_POINT('Origin',(38.7492008746984,36.7493753757046,0.)); #508650=CARTESIAN_POINT('Origin',(38.7492008746984,36.7493753757046,0.)); #508651=CARTESIAN_POINT('Origin',(38.7492008746984,36.7493753757046,0.035)); #508652=CARTESIAN_POINT('Origin',(41.7283756855908,38.2536474597336,0.035)); #508653=CARTESIAN_POINT('Origin',(41.7283756855908,38.2536474597336,0.)); #508654=CARTESIAN_POINT('Origin',(37.1875,36.75,-200.)); #508655=CARTESIAN_POINT('',(37.0475,36.75,0.)); #508656=CARTESIAN_POINT('Origin',(37.1875,36.75,0.)); #508657=CARTESIAN_POINT('',(37.0475,36.75,0.0349999999999895)); #508658=CARTESIAN_POINT('',(37.0475,36.75,-200.)); #508659=CARTESIAN_POINT('Origin',(37.1875,36.75,0.0349999999999895)); #508660=CARTESIAN_POINT('Origin',(33.8875,20.0875,0.)); #508661=CARTESIAN_POINT('',(33.8875,20.0875,0.)); #508662=CARTESIAN_POINT('',(33.8875,20.7125,0.)); #508663=CARTESIAN_POINT('',(33.8875,20.0875,0.)); #508664=CARTESIAN_POINT('',(33.8875,20.7125,0.035)); #508665=CARTESIAN_POINT('',(33.8875,20.7125,0.)); #508666=CARTESIAN_POINT('',(33.8875,20.0875,0.035)); #508667=CARTESIAN_POINT('',(33.8875,20.0875,0.035)); #508668=CARTESIAN_POINT('',(33.8875,20.0875,0.)); #508669=CARTESIAN_POINT('Origin',(33.6125,20.0875,0.)); #508670=CARTESIAN_POINT('',(33.6125,20.0875,0.)); #508671=CARTESIAN_POINT('',(33.6125,20.0875,0.)); #508672=CARTESIAN_POINT('',(33.6125,20.0875,0.035)); #508673=CARTESIAN_POINT('',(33.6125,20.0875,0.035)); #508674=CARTESIAN_POINT('',(33.6125,20.0875,0.)); #508675=CARTESIAN_POINT('Origin',(33.6125,20.7125,0.)); #508676=CARTESIAN_POINT('',(33.6125,20.7125,0.)); #508677=CARTESIAN_POINT('',(33.6125,20.7125,0.)); #508678=CARTESIAN_POINT('',(33.6125,20.7125,0.035)); #508679=CARTESIAN_POINT('',(33.6125,20.7125,0.035)); #508680=CARTESIAN_POINT('',(33.6125,20.7125,0.)); #508681=CARTESIAN_POINT('Origin',(33.623,20.7125,0.)); #508682=CARTESIAN_POINT('',(33.623,20.7125,0.)); #508683=CARTESIAN_POINT('',(33.623,20.7125,0.)); #508684=CARTESIAN_POINT('',(33.623,20.7125,0.035)); #508685=CARTESIAN_POINT('',(33.623,20.7125,0.035)); #508686=CARTESIAN_POINT('',(33.623,20.7125,0.)); #508687=CARTESIAN_POINT('Origin',(33.623,22.,0.)); #508688=CARTESIAN_POINT('',(33.623,22.,0.)); #508689=CARTESIAN_POINT('',(33.623,22.,0.)); #508690=CARTESIAN_POINT('',(33.623,22.,0.035)); #508691=CARTESIAN_POINT('',(33.623,22.,0.035)); #508692=CARTESIAN_POINT('',(33.623,22.,0.)); #508693=CARTESIAN_POINT('Origin',(33.7500003087252,22.0000007497004,0.)); #508694=CARTESIAN_POINT('',(33.660197,22.089803,0.)); #508695=CARTESIAN_POINT('Origin',(33.7500003087252,22.0000007497004,0.)); #508696=CARTESIAN_POINT('',(33.660197,22.089803,0.035)); #508697=CARTESIAN_POINT('Origin',(33.7500003087252,22.0000007497004,0.035)); #508698=CARTESIAN_POINT('',(33.660197,22.089803,0.)); #508699=CARTESIAN_POINT('Origin',(34.9355,23.365106,0.)); #508700=CARTESIAN_POINT('',(34.9355,23.365106,0.)); #508701=CARTESIAN_POINT('',(34.9355,23.365106,0.)); #508702=CARTESIAN_POINT('',(34.9355,23.365106,0.035)); #508703=CARTESIAN_POINT('',(34.9355,23.365106,0.035)); #508704=CARTESIAN_POINT('',(34.9355,23.365106,0.)); #508705=CARTESIAN_POINT('Origin',(34.9355,34.625,0.)); #508706=CARTESIAN_POINT('',(34.9355,34.625,0.)); #508707=CARTESIAN_POINT('',(34.9355,34.625,0.)); #508708=CARTESIAN_POINT('',(34.9355,34.625,0.035)); #508709=CARTESIAN_POINT('',(34.9355,34.625,0.035)); #508710=CARTESIAN_POINT('',(34.9355,34.625,0.)); #508711=CARTESIAN_POINT('Origin',(35.0625003087252,34.6250007497004,0.)); #508712=CARTESIAN_POINT('',(34.972697,34.714803,0.)); #508713=CARTESIAN_POINT('Origin',(35.0625003087252,34.6250007497004,0.)); #508714=CARTESIAN_POINT('',(34.972697,34.714803,0.035)); #508715=CARTESIAN_POINT('Origin',(35.0625003087252,34.6250007497004,0.035)); #508716=CARTESIAN_POINT('',(34.972697,34.714803,0.)); #508717=CARTESIAN_POINT('Origin',(36.925213,36.667319,0.)); #508718=CARTESIAN_POINT('',(36.925213,36.667319,0.)); #508719=CARTESIAN_POINT('',(36.925213,36.667319,0.)); #508720=CARTESIAN_POINT('',(36.925213,36.667319,0.035)); #508721=CARTESIAN_POINT('',(36.925213,36.667319,0.035)); #508722=CARTESIAN_POINT('',(36.925213,36.667319,0.)); #508723=CARTESIAN_POINT('Origin',(37.187842029785,36.7503130782902,0.)); #508724=CARTESIAN_POINT('',(37.293063,37.004853,0.)); #508725=CARTESIAN_POINT('Origin',(37.187842029785,36.7503130782902,0.)); #508726=CARTESIAN_POINT('',(37.293063,37.004853,0.035)); #508727=CARTESIAN_POINT('Origin',(37.187842029785,36.7503130782902,0.035)); #508728=CARTESIAN_POINT('',(37.293063,37.004853,0.)); #508729=CARTESIAN_POINT('Origin',(37.1876441326569,36.7503951698111,0.)); #508730=CARTESIAN_POINT('',(37.104819,36.487713,0.)); #508731=CARTESIAN_POINT('Origin',(37.1876441326569,36.7503951698111,0.)); #508732=CARTESIAN_POINT('',(37.104819,36.487713,0.035)); #508733=CARTESIAN_POINT('Origin',(37.1876441326569,36.7503951698111,0.035)); #508734=CARTESIAN_POINT('',(37.104819,36.487713,0.)); #508735=CARTESIAN_POINT('Origin',(35.1895,34.572394,0.)); #508736=CARTESIAN_POINT('',(35.1895,34.572394,0.)); #508737=CARTESIAN_POINT('',(35.1895,34.572394,0.)); #508738=CARTESIAN_POINT('',(35.1895,34.572394,0.035)); #508739=CARTESIAN_POINT('',(35.1895,34.572394,0.035)); #508740=CARTESIAN_POINT('',(35.1895,34.572394,0.)); #508741=CARTESIAN_POINT('Origin',(35.1895,23.3125,0.)); #508742=CARTESIAN_POINT('',(35.1895,23.3125,0.)); #508743=CARTESIAN_POINT('',(35.1895,23.3125,0.)); #508744=CARTESIAN_POINT('',(35.1895,23.3125,0.035)); #508745=CARTESIAN_POINT('',(35.1895,23.3125,0.035)); #508746=CARTESIAN_POINT('',(35.1895,23.3125,0.)); #508747=CARTESIAN_POINT('Origin',(35.0624996912748,23.3124992502996,0.)); #508748=CARTESIAN_POINT('',(35.152303,23.222697,0.)); #508749=CARTESIAN_POINT('Origin',(35.0624996912748,23.3124992502996,0.)); #508750=CARTESIAN_POINT('',(35.152303,23.222697,0.035)); #508751=CARTESIAN_POINT('Origin',(35.0624996912748,23.3124992502996,0.035)); #508752=CARTESIAN_POINT('',(35.152303,23.222697,0.)); #508753=CARTESIAN_POINT('Origin',(33.877,21.947394,0.)); #508754=CARTESIAN_POINT('',(33.877,21.947394,0.)); #508755=CARTESIAN_POINT('',(33.877,21.947394,0.)); #508756=CARTESIAN_POINT('',(33.877,21.947394,0.035)); #508757=CARTESIAN_POINT('',(33.877,21.947394,0.035)); #508758=CARTESIAN_POINT('',(33.877,21.947394,0.)); #508759=CARTESIAN_POINT('Origin',(33.877,20.7125,0.)); #508760=CARTESIAN_POINT('',(33.877,20.7125,0.)); #508761=CARTESIAN_POINT('',(33.877,20.7125,0.)); #508762=CARTESIAN_POINT('',(33.877,20.7125,0.035)); #508763=CARTESIAN_POINT('',(33.877,20.7125,0.035)); #508764=CARTESIAN_POINT('',(33.877,20.7125,0.)); #508765=CARTESIAN_POINT('Origin',(33.8875,20.7125,0.)); #508766=CARTESIAN_POINT('',(33.8875,20.7125,0.)); #508767=CARTESIAN_POINT('',(33.8875,20.7125,0.035)); #508768=CARTESIAN_POINT('Origin',(35.0808402986951,28.7489295320817,0.035)); #508769=CARTESIAN_POINT('Origin',(35.0808402986951,28.7489295320817,0.)); #508770=CARTESIAN_POINT('Origin',(29.25,36.75,-200.)); #508771=CARTESIAN_POINT('',(29.11,36.75,0.)); #508772=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #508773=CARTESIAN_POINT('',(29.11,36.75,0.0349999999999895)); #508774=CARTESIAN_POINT('',(29.11,36.75,-200.)); #508775=CARTESIAN_POINT('Origin',(29.25,36.75,0.0349999999999895)); #508776=CARTESIAN_POINT('Origin',(29.271644,36.475,0.)); #508777=CARTESIAN_POINT('',(29.271644,36.475,0.)); #508778=CARTESIAN_POINT('',(29.314397,36.481772,0.)); #508779=CARTESIAN_POINT('',(29.271644,36.475,0.)); #508780=CARTESIAN_POINT('',(29.314397,36.481772,0.035)); #508781=CARTESIAN_POINT('',(29.314397,36.481772,0.)); #508782=CARTESIAN_POINT('',(29.271644,36.475,0.035)); #508783=CARTESIAN_POINT('',(29.271644,36.475,0.035)); #508784=CARTESIAN_POINT('',(29.271644,36.475,0.)); #508785=CARTESIAN_POINT('Origin',(29.250666927461,36.7496305438086,0.)); #508786=CARTESIAN_POINT('',(29.006072,36.623,0.)); #508787=CARTESIAN_POINT('Origin',(29.250666927461,36.7496305438086,0.)); #508788=CARTESIAN_POINT('',(29.006072,36.623,0.035)); #508789=CARTESIAN_POINT('Origin',(29.250666927461,36.7496305438086,0.035)); #508790=CARTESIAN_POINT('',(29.006072,36.623,0.)); #508791=CARTESIAN_POINT('Origin',(27.469663,36.623,0.)); #508792=CARTESIAN_POINT('',(27.469663,36.623,0.)); #508793=CARTESIAN_POINT('',(27.469663,36.623,0.)); #508794=CARTESIAN_POINT('',(27.469663,36.623,0.035)); #508795=CARTESIAN_POINT('',(27.469663,36.623,0.035)); #508796=CARTESIAN_POINT('',(27.469663,36.623,0.)); #508797=CARTESIAN_POINT('Origin',(27.4696617502996,36.750001515832,0.)); #508798=CARTESIAN_POINT('',(27.379859,36.660197,0.)); #508799=CARTESIAN_POINT('Origin',(27.4696617502996,36.750001515832,0.)); #508800=CARTESIAN_POINT('',(27.379859,36.660197,0.035)); #508801=CARTESIAN_POINT('Origin',(27.4696617502996,36.750001515832,0.035)); #508802=CARTESIAN_POINT('',(27.379859,36.660197,0.)); #508803=CARTESIAN_POINT('Origin',(24.221156,39.8189,0.)); #508804=CARTESIAN_POINT('',(24.221156,39.8189,0.)); #508805=CARTESIAN_POINT('',(24.221156,39.8189,0.)); #508806=CARTESIAN_POINT('',(24.221156,39.8189,0.035)); #508807=CARTESIAN_POINT('',(24.221156,39.8189,0.035)); #508808=CARTESIAN_POINT('',(24.221156,39.8189,0.)); #508809=CARTESIAN_POINT('Origin',(23.5555,39.8189,0.)); #508810=CARTESIAN_POINT('',(23.5555,39.8189,0.)); #508811=CARTESIAN_POINT('',(23.5555,39.8189,0.)); #508812=CARTESIAN_POINT('',(23.5555,39.8189,0.035)); #508813=CARTESIAN_POINT('',(23.5555,39.8189,0.035)); #508814=CARTESIAN_POINT('',(23.5555,39.8189,0.)); #508815=CARTESIAN_POINT('Origin',(23.5555,40.6571,0.)); #508816=CARTESIAN_POINT('',(23.5555,40.6571,0.)); #508817=CARTESIAN_POINT('',(23.5555,40.6571,0.)); #508818=CARTESIAN_POINT('',(23.5555,40.6571,0.035)); #508819=CARTESIAN_POINT('',(23.5555,40.6571,0.035)); #508820=CARTESIAN_POINT('',(23.5555,40.6571,0.)); #508821=CARTESIAN_POINT('Origin',(24.4445,40.6571,0.)); #508822=CARTESIAN_POINT('',(24.4445,40.6571,0.)); #508823=CARTESIAN_POINT('',(24.4445,40.6571,0.)); #508824=CARTESIAN_POINT('',(24.4445,40.6571,0.035)); #508825=CARTESIAN_POINT('',(24.4445,40.6571,0.035)); #508826=CARTESIAN_POINT('',(24.4445,40.6571,0.)); #508827=CARTESIAN_POINT('Origin',(24.4445,39.954769,0.)); #508828=CARTESIAN_POINT('',(24.4445,39.954769,0.)); #508829=CARTESIAN_POINT('',(24.4445,39.954769,0.)); #508830=CARTESIAN_POINT('',(24.4445,39.954769,0.035)); #508831=CARTESIAN_POINT('',(24.4445,39.954769,0.035)); #508832=CARTESIAN_POINT('',(24.4445,39.954769,0.)); #508833=CARTESIAN_POINT('Origin',(27.522269,36.877,0.)); #508834=CARTESIAN_POINT('',(27.522269,36.877,0.)); #508835=CARTESIAN_POINT('',(27.522269,36.877,0.)); #508836=CARTESIAN_POINT('',(27.522269,36.877,0.035)); #508837=CARTESIAN_POINT('',(27.522269,36.877,0.035)); #508838=CARTESIAN_POINT('',(27.522269,36.877,0.)); #508839=CARTESIAN_POINT('Origin',(29.006072,36.877,0.)); #508840=CARTESIAN_POINT('',(29.006072,36.877,0.)); #508841=CARTESIAN_POINT('',(29.006072,36.877,0.)); #508842=CARTESIAN_POINT('',(29.006072,36.877,0.035)); #508843=CARTESIAN_POINT('',(29.006072,36.877,0.035)); #508844=CARTESIAN_POINT('',(29.006072,36.877,0.)); #508845=CARTESIAN_POINT('Origin',(29.2507991253016,36.7506246242954,0.)); #508846=CARTESIAN_POINT('',(29.185603,37.018228,0.)); #508847=CARTESIAN_POINT('Origin',(29.2507991253016,36.7506246242954,0.)); #508848=CARTESIAN_POINT('',(29.185603,37.018228,0.035)); #508849=CARTESIAN_POINT('Origin',(29.2507991253016,36.7506246242954,0.035)); #508850=CARTESIAN_POINT('',(29.185603,37.018228,0.)); #508851=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #508852=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #508853=CARTESIAN_POINT('Origin',(29.25,36.75,0.035)); #508854=CARTESIAN_POINT('Origin',(26.2713112756416,38.2541109693282,0.035)); #508855=CARTESIAN_POINT('Origin',(26.2713112756416,38.2541109693282,0.)); #508856=CARTESIAN_POINT('Origin',(30.8125,36.75,-200.)); #508857=CARTESIAN_POINT('',(30.6725,36.75,0.)); #508858=CARTESIAN_POINT('Origin',(30.8125,36.75,0.)); #508859=CARTESIAN_POINT('',(30.6725,36.75,0.0349999999999895)); #508860=CARTESIAN_POINT('',(30.6725,36.75,-200.)); #508861=CARTESIAN_POINT('Origin',(30.8125,36.75,0.0349999999999895)); #508862=CARTESIAN_POINT('Origin',(33.3875,20.0875,0.)); #508863=CARTESIAN_POINT('',(33.3875,20.0875,0.)); #508864=CARTESIAN_POINT('',(33.3875,20.7125,0.)); #508865=CARTESIAN_POINT('',(33.3875,20.0875,0.)); #508866=CARTESIAN_POINT('',(33.3875,20.7125,0.035)); #508867=CARTESIAN_POINT('',(33.3875,20.7125,0.)); #508868=CARTESIAN_POINT('',(33.3875,20.0875,0.035)); #508869=CARTESIAN_POINT('',(33.3875,20.0875,0.035)); #508870=CARTESIAN_POINT('',(33.3875,20.0875,0.)); #508871=CARTESIAN_POINT('Origin',(33.1125,20.0875,0.)); #508872=CARTESIAN_POINT('',(33.1125,20.0875,0.)); #508873=CARTESIAN_POINT('',(33.1125,20.0875,0.)); #508874=CARTESIAN_POINT('',(33.1125,20.0875,0.035)); #508875=CARTESIAN_POINT('',(33.1125,20.0875,0.035)); #508876=CARTESIAN_POINT('',(33.1125,20.0875,0.)); #508877=CARTESIAN_POINT('Origin',(33.1125,20.7125,0.)); #508878=CARTESIAN_POINT('',(33.1125,20.7125,0.)); #508879=CARTESIAN_POINT('',(33.1125,20.7125,0.)); #508880=CARTESIAN_POINT('',(33.1125,20.7125,0.035)); #508881=CARTESIAN_POINT('',(33.1125,20.7125,0.035)); #508882=CARTESIAN_POINT('',(33.1125,20.7125,0.)); #508883=CARTESIAN_POINT('Origin',(33.123,20.7125,0.)); #508884=CARTESIAN_POINT('',(33.123,20.7125,0.)); #508885=CARTESIAN_POINT('',(33.123,20.7125,0.)); #508886=CARTESIAN_POINT('',(33.123,20.7125,0.035)); #508887=CARTESIAN_POINT('',(33.123,20.7125,0.035)); #508888=CARTESIAN_POINT('',(33.123,20.7125,0.)); #508889=CARTESIAN_POINT('Origin',(33.123,21.947394,0.)); #508890=CARTESIAN_POINT('',(33.123,21.947394,0.)); #508891=CARTESIAN_POINT('',(33.123,21.947394,0.)); #508892=CARTESIAN_POINT('',(33.123,21.947394,0.035)); #508893=CARTESIAN_POINT('',(33.123,21.947394,0.035)); #508894=CARTESIAN_POINT('',(33.123,21.947394,0.)); #508895=CARTESIAN_POINT('Origin',(32.847697,22.222697,0.)); #508896=CARTESIAN_POINT('',(32.847697,22.222697,0.)); #508897=CARTESIAN_POINT('',(32.847697,22.222697,0.)); #508898=CARTESIAN_POINT('',(32.847697,22.222697,0.035)); #508899=CARTESIAN_POINT('',(32.847697,22.222697,0.035)); #508900=CARTESIAN_POINT('',(32.847697,22.222697,0.)); #508901=CARTESIAN_POINT('Origin',(32.9375003087252,22.3124992502996,0.)); #508902=CARTESIAN_POINT('',(32.8105,22.3125,0.)); #508903=CARTESIAN_POINT('Origin',(32.9375003087252,22.3124992502996,0.)); #508904=CARTESIAN_POINT('',(32.8105,22.3125,0.035)); #508905=CARTESIAN_POINT('Origin',(32.9375003087252,22.3124992502996,0.035)); #508906=CARTESIAN_POINT('',(32.8105,22.3125,0.)); #508907=CARTESIAN_POINT('Origin',(32.8105,34.572394,0.)); #508908=CARTESIAN_POINT('',(32.8105,34.572394,0.)); #508909=CARTESIAN_POINT('',(32.8105,34.572394,0.)); #508910=CARTESIAN_POINT('',(32.8105,34.572394,0.035)); #508911=CARTESIAN_POINT('',(32.8105,34.572394,0.035)); #508912=CARTESIAN_POINT('',(32.8105,34.572394,0.)); #508913=CARTESIAN_POINT('Origin',(30.895181,36.487713,0.)); #508914=CARTESIAN_POINT('',(30.895181,36.487713,0.)); #508915=CARTESIAN_POINT('',(30.895181,36.487713,0.)); #508916=CARTESIAN_POINT('',(30.895181,36.487713,0.035)); #508917=CARTESIAN_POINT('',(30.895181,36.487713,0.035)); #508918=CARTESIAN_POINT('',(30.895181,36.487713,0.)); #508919=CARTESIAN_POINT('Origin',(30.8121869217098,36.750342029785,0.)); #508920=CARTESIAN_POINT('',(30.557647,36.855563,0.)); #508921=CARTESIAN_POINT('Origin',(30.8121869217098,36.750342029785,0.)); #508922=CARTESIAN_POINT('',(30.557647,36.855563,0.035)); #508923=CARTESIAN_POINT('Origin',(30.8121869217098,36.750342029785,0.035)); #508924=CARTESIAN_POINT('',(30.557647,36.855563,0.)); #508925=CARTESIAN_POINT('Origin',(30.8121053301889,36.750144110812,0.)); #508926=CARTESIAN_POINT('',(31.074788,36.667319,0.)); #508927=CARTESIAN_POINT('Origin',(30.8121053301889,36.750144110812,0.)); #508928=CARTESIAN_POINT('',(31.074788,36.667319,0.035)); #508929=CARTESIAN_POINT('Origin',(30.8121053301889,36.750144110812,0.035)); #508930=CARTESIAN_POINT('',(31.074788,36.667319,0.)); #508931=CARTESIAN_POINT('Origin',(33.027303,34.714803,0.)); #508932=CARTESIAN_POINT('',(33.027303,34.714803,0.)); #508933=CARTESIAN_POINT('',(33.027303,34.714803,0.)); #508934=CARTESIAN_POINT('',(33.027303,34.714803,0.035)); #508935=CARTESIAN_POINT('',(33.027303,34.714803,0.035)); #508936=CARTESIAN_POINT('',(33.027303,34.714803,0.)); #508937=CARTESIAN_POINT('Origin',(32.9374996912748,34.6250007497004,0.)); #508938=CARTESIAN_POINT('',(33.0645,34.625,0.)); #508939=CARTESIAN_POINT('Origin',(32.9374996912748,34.6250007497004,0.)); #508940=CARTESIAN_POINT('',(33.0645,34.625,0.035)); #508941=CARTESIAN_POINT('Origin',(32.9374996912748,34.6250007497004,0.035)); #508942=CARTESIAN_POINT('',(33.0645,34.625,0.)); #508943=CARTESIAN_POINT('Origin',(33.0645,22.365106,0.)); #508944=CARTESIAN_POINT('',(33.0645,22.365106,0.)); #508945=CARTESIAN_POINT('',(33.0645,22.365106,0.)); #508946=CARTESIAN_POINT('',(33.0645,22.365106,0.035)); #508947=CARTESIAN_POINT('',(33.0645,22.365106,0.035)); #508948=CARTESIAN_POINT('',(33.0645,22.365106,0.)); #508949=CARTESIAN_POINT('Origin',(33.339803,22.089803,0.)); #508950=CARTESIAN_POINT('',(33.339803,22.089803,0.)); #508951=CARTESIAN_POINT('',(33.339803,22.089803,0.)); #508952=CARTESIAN_POINT('',(33.339803,22.089803,0.035)); #508953=CARTESIAN_POINT('',(33.339803,22.089803,0.035)); #508954=CARTESIAN_POINT('',(33.339803,22.089803,0.)); #508955=CARTESIAN_POINT('Origin',(33.2499996912748,22.0000007497004,0.)); #508956=CARTESIAN_POINT('',(33.377,22.,0.)); #508957=CARTESIAN_POINT('Origin',(33.2499996912748,22.0000007497004,0.)); #508958=CARTESIAN_POINT('',(33.377,22.,0.035)); #508959=CARTESIAN_POINT('Origin',(33.2499996912748,22.0000007497004,0.035)); #508960=CARTESIAN_POINT('',(33.377,22.,0.)); #508961=CARTESIAN_POINT('Origin',(33.377,20.7125,0.)); #508962=CARTESIAN_POINT('',(33.377,20.7125,0.)); #508963=CARTESIAN_POINT('',(33.377,20.7125,0.)); #508964=CARTESIAN_POINT('',(33.377,20.7125,0.035)); #508965=CARTESIAN_POINT('',(33.377,20.7125,0.035)); #508966=CARTESIAN_POINT('',(33.377,20.7125,0.)); #508967=CARTESIAN_POINT('Origin',(33.3875,20.7125,0.)); #508968=CARTESIAN_POINT('',(33.3875,20.7125,0.)); #508969=CARTESIAN_POINT('',(33.3875,20.7125,0.035)); #508970=CARTESIAN_POINT('Origin',(32.7432740039159,28.883194587198,0.035)); #508971=CARTESIAN_POINT('Origin',(32.7432740039159,28.883194587198,0.)); #508972=CARTESIAN_POINT('Origin',(39.25,18.25,-200.)); #508973=CARTESIAN_POINT('',(39.11,18.25,0.)); #508974=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #508975=CARTESIAN_POINT('',(39.11,18.25,0.0349999999999895)); #508976=CARTESIAN_POINT('',(39.11,18.25,-200.)); #508977=CARTESIAN_POINT('Origin',(39.25,18.25,0.0349999999999895)); #508978=CARTESIAN_POINT('Origin',(39.271644,17.975,0.)); #508979=CARTESIAN_POINT('',(39.271644,17.975,0.)); #508980=CARTESIAN_POINT('',(39.314397,17.981772,0.)); #508981=CARTESIAN_POINT('',(39.271644,17.975,0.)); #508982=CARTESIAN_POINT('',(39.314397,17.981772,0.035)); #508983=CARTESIAN_POINT('',(39.314397,17.981772,0.)); #508984=CARTESIAN_POINT('',(39.271644,17.975,0.035)); #508985=CARTESIAN_POINT('',(39.271644,17.975,0.035)); #508986=CARTESIAN_POINT('',(39.271644,17.975,0.)); #508987=CARTESIAN_POINT('Origin',(39.250666927461,18.2496305438086,0.)); #508988=CARTESIAN_POINT('',(39.006072,18.123,0.)); #508989=CARTESIAN_POINT('Origin',(39.250666927461,18.2496305438086,0.)); #508990=CARTESIAN_POINT('',(39.006072,18.123,0.035)); #508991=CARTESIAN_POINT('Origin',(39.250666927461,18.2496305438086,0.035)); #508992=CARTESIAN_POINT('',(39.006072,18.123,0.)); #508993=CARTESIAN_POINT('Origin',(37.7125,18.123,0.)); #508994=CARTESIAN_POINT('',(37.7125,18.123,0.)); #508995=CARTESIAN_POINT('',(37.7125,18.123,0.)); #508996=CARTESIAN_POINT('',(37.7125,18.123,0.035)); #508997=CARTESIAN_POINT('',(37.7125,18.123,0.035)); #508998=CARTESIAN_POINT('',(37.7125,18.123,0.)); #508999=CARTESIAN_POINT('Origin',(37.7125,18.1125,0.)); #509000=CARTESIAN_POINT('',(37.7125,18.1125,0.)); #509001=CARTESIAN_POINT('',(37.7125,18.1125,0.)); #509002=CARTESIAN_POINT('',(37.7125,18.1125,0.035)); #509003=CARTESIAN_POINT('',(37.7125,18.1125,0.035)); #509004=CARTESIAN_POINT('',(37.7125,18.1125,0.)); #509005=CARTESIAN_POINT('Origin',(37.0875,18.1125,0.)); #509006=CARTESIAN_POINT('',(37.0875,18.1125,0.)); #509007=CARTESIAN_POINT('',(37.0875,18.1125,0.)); #509008=CARTESIAN_POINT('',(37.0875,18.1125,0.035)); #509009=CARTESIAN_POINT('',(37.0875,18.1125,0.035)); #509010=CARTESIAN_POINT('',(37.0875,18.1125,0.)); #509011=CARTESIAN_POINT('Origin',(37.0875,18.3875,0.)); #509012=CARTESIAN_POINT('',(37.0875,18.3875,0.)); #509013=CARTESIAN_POINT('',(37.0875,18.3875,0.)); #509014=CARTESIAN_POINT('',(37.0875,18.3875,0.035)); #509015=CARTESIAN_POINT('',(37.0875,18.3875,0.035)); #509016=CARTESIAN_POINT('',(37.0875,18.3875,0.)); #509017=CARTESIAN_POINT('Origin',(37.7125,18.3875,0.)); #509018=CARTESIAN_POINT('',(37.7125,18.3875,0.)); #509019=CARTESIAN_POINT('',(37.7125,18.3875,0.)); #509020=CARTESIAN_POINT('',(37.7125,18.3875,0.035)); #509021=CARTESIAN_POINT('',(37.7125,18.3875,0.035)); #509022=CARTESIAN_POINT('',(37.7125,18.3875,0.)); #509023=CARTESIAN_POINT('Origin',(37.7125,18.377,0.)); #509024=CARTESIAN_POINT('',(37.7125,18.377,0.)); #509025=CARTESIAN_POINT('',(37.7125,18.377,0.)); #509026=CARTESIAN_POINT('',(37.7125,18.377,0.035)); #509027=CARTESIAN_POINT('',(37.7125,18.377,0.035)); #509028=CARTESIAN_POINT('',(37.7125,18.377,0.)); #509029=CARTESIAN_POINT('Origin',(39.006072,18.377,0.)); #509030=CARTESIAN_POINT('',(39.006072,18.377,0.)); #509031=CARTESIAN_POINT('',(39.006072,18.377,0.)); #509032=CARTESIAN_POINT('',(39.006072,18.377,0.035)); #509033=CARTESIAN_POINT('',(39.006072,18.377,0.035)); #509034=CARTESIAN_POINT('',(39.006072,18.377,0.)); #509035=CARTESIAN_POINT('Origin',(39.2507991253016,18.2506246242954,0.)); #509036=CARTESIAN_POINT('',(39.185603,18.518228,0.)); #509037=CARTESIAN_POINT('Origin',(39.2507991253016,18.2506246242954,0.)); #509038=CARTESIAN_POINT('',(39.185603,18.518228,0.035)); #509039=CARTESIAN_POINT('Origin',(39.2507991253016,18.2506246242954,0.035)); #509040=CARTESIAN_POINT('',(39.185603,18.518228,0.)); #509041=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #509042=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #509043=CARTESIAN_POINT('Origin',(39.25,18.25,0.035)); #509044=CARTESIAN_POINT('Origin',(38.3238296826989,18.2494913580074,0.035)); #509045=CARTESIAN_POINT('Origin',(38.3238296826989,18.2494913580074,0.)); #509046=CARTESIAN_POINT('Origin',(42.5,16.,-200.)); #509047=CARTESIAN_POINT('',(42.36,16.,0.)); #509048=CARTESIAN_POINT('Origin',(42.5,16.,0.)); #509049=CARTESIAN_POINT('',(42.36,16.,0.0349999999999895)); #509050=CARTESIAN_POINT('',(42.36,16.,-200.)); #509051=CARTESIAN_POINT('Origin',(42.5,16.,0.0349999999999895)); #509052=CARTESIAN_POINT('Origin',(42.521644,15.725,0.)); #509053=CARTESIAN_POINT('',(42.521644,15.725,0.)); #509054=CARTESIAN_POINT('',(42.564397,15.731772,0.)); #509055=CARTESIAN_POINT('',(42.521644,15.725,0.)); #509056=CARTESIAN_POINT('',(42.564397,15.731772,0.035)); #509057=CARTESIAN_POINT('',(42.564397,15.731772,0.)); #509058=CARTESIAN_POINT('',(42.521644,15.725,0.035)); #509059=CARTESIAN_POINT('',(42.521644,15.725,0.035)); #509060=CARTESIAN_POINT('',(42.521644,15.725,0.)); #509061=CARTESIAN_POINT('Origin',(42.5000003953475,16.0000004229918,0.)); #509062=CARTESIAN_POINT('',(42.245147,15.894438,0.)); #509063=CARTESIAN_POINT('Origin',(42.5000003953475,16.0000004229918,0.)); #509064=CARTESIAN_POINT('',(42.245147,15.894438,0.035)); #509065=CARTESIAN_POINT('Origin',(42.5000003953475,16.0000004229918,0.035)); #509066=CARTESIAN_POINT('',(42.245147,15.894438,0.)); #509067=CARTESIAN_POINT('Origin',(42.4996182367391,15.9998222285952,0.)); #509068=CARTESIAN_POINT('',(42.743928,16.127,0.)); #509069=CARTESIAN_POINT('Origin',(42.4996182367391,15.9998222285952,0.)); #509070=CARTESIAN_POINT('',(42.743928,16.127,0.035)); #509071=CARTESIAN_POINT('Origin',(42.4996182367391,15.9998222285952,0.035)); #509072=CARTESIAN_POINT('',(42.743928,16.127,0.)); #509073=CARTESIAN_POINT('Origin',(43.1,16.127,0.)); #509074=CARTESIAN_POINT('',(43.1,16.127,0.)); #509075=CARTESIAN_POINT('',(43.1,16.127,0.)); #509076=CARTESIAN_POINT('',(43.1,16.127,0.035)); #509077=CARTESIAN_POINT('',(43.1,16.127,0.035)); #509078=CARTESIAN_POINT('',(43.1,16.127,0.)); #509079=CARTESIAN_POINT('Origin',(43.1,16.225,0.)); #509080=CARTESIAN_POINT('',(43.1,16.225,0.)); #509081=CARTESIAN_POINT('',(43.1,16.225,0.)); #509082=CARTESIAN_POINT('',(43.1,16.225,0.035)); #509083=CARTESIAN_POINT('',(43.1,16.225,0.035)); #509084=CARTESIAN_POINT('',(43.1,16.225,0.)); #509085=CARTESIAN_POINT('Origin',(43.9,16.225,0.)); #509086=CARTESIAN_POINT('',(43.9,16.225,0.)); #509087=CARTESIAN_POINT('',(43.9,16.225,0.)); #509088=CARTESIAN_POINT('',(43.9,16.225,0.035)); #509089=CARTESIAN_POINT('',(43.9,16.225,0.035)); #509090=CARTESIAN_POINT('',(43.9,16.225,0.)); #509091=CARTESIAN_POINT('Origin',(43.9,15.825,0.)); #509092=CARTESIAN_POINT('',(43.9,15.825,0.)); #509093=CARTESIAN_POINT('',(43.9,15.825,0.)); #509094=CARTESIAN_POINT('',(43.9,15.825,0.035)); #509095=CARTESIAN_POINT('',(43.9,15.825,0.035)); #509096=CARTESIAN_POINT('',(43.9,15.825,0.)); #509097=CARTESIAN_POINT('Origin',(43.1,15.825,0.)); #509098=CARTESIAN_POINT('',(43.1,15.825,0.)); #509099=CARTESIAN_POINT('',(43.1,15.825,0.)); #509100=CARTESIAN_POINT('',(43.1,15.825,0.035)); #509101=CARTESIAN_POINT('',(43.1,15.825,0.035)); #509102=CARTESIAN_POINT('',(43.1,15.825,0.)); #509103=CARTESIAN_POINT('Origin',(43.1,15.873,0.)); #509104=CARTESIAN_POINT('',(43.1,15.873,0.)); #509105=CARTESIAN_POINT('',(43.1,15.873,0.)); #509106=CARTESIAN_POINT('',(43.1,15.873,0.035)); #509107=CARTESIAN_POINT('',(43.1,15.873,0.035)); #509108=CARTESIAN_POINT('',(43.1,15.873,0.)); #509109=CARTESIAN_POINT('Origin',(42.743928,15.873,0.)); #509110=CARTESIAN_POINT('',(42.743928,15.873,0.)); #509111=CARTESIAN_POINT('',(42.743928,15.873,0.)); #509112=CARTESIAN_POINT('',(42.743928,15.873,0.035)); #509113=CARTESIAN_POINT('',(42.743928,15.873,0.035)); #509114=CARTESIAN_POINT('',(42.743928,15.873,0.)); #509115=CARTESIAN_POINT('Origin',(42.4992008746985,15.9993753757046,0.)); #509116=CARTESIAN_POINT('Origin',(42.4992008746985,15.9993753757046,0.)); #509117=CARTESIAN_POINT('Origin',(42.4992008746985,15.9993753757046,0.035)); #509118=CARTESIAN_POINT('Origin',(43.074317921452,16.0111704677677,0.035)); #509119=CARTESIAN_POINT('Origin',(43.074317921452,16.0111704677677,0.)); #509120=CARTESIAN_POINT('Origin',(23.905,49.75,-200.)); #509121=CARTESIAN_POINT('',(23.765,49.75,0.)); #509122=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #509123=CARTESIAN_POINT('',(23.765,49.75,0.0349999999999895)); #509124=CARTESIAN_POINT('',(23.765,49.75,-200.)); #509125=CARTESIAN_POINT('Origin',(23.905,49.75,0.0349999999999895)); #509126=CARTESIAN_POINT('Origin',(20.4445,41.3429,0.)); #509127=CARTESIAN_POINT('',(20.4445,41.3429,0.)); #509128=CARTESIAN_POINT('',(20.4445,42.014894,0.)); #509129=CARTESIAN_POINT('',(20.4445,41.3429,0.)); #509130=CARTESIAN_POINT('',(20.4445,42.014894,0.035)); #509131=CARTESIAN_POINT('',(20.4445,42.014894,0.)); #509132=CARTESIAN_POINT('',(20.4445,41.3429,0.035)); #509133=CARTESIAN_POINT('',(20.4445,41.3429,0.035)); #509134=CARTESIAN_POINT('',(20.4445,41.3429,0.)); #509135=CARTESIAN_POINT('Origin',(19.5555,41.3429,0.)); #509136=CARTESIAN_POINT('',(19.5555,41.3429,0.)); #509137=CARTESIAN_POINT('',(19.5555,41.3429,0.)); #509138=CARTESIAN_POINT('',(19.5555,41.3429,0.035)); #509139=CARTESIAN_POINT('',(19.5555,41.3429,0.035)); #509140=CARTESIAN_POINT('',(19.5555,41.3429,0.)); #509141=CARTESIAN_POINT('Origin',(19.5555,42.1811,0.)); #509142=CARTESIAN_POINT('',(19.5555,42.1811,0.)); #509143=CARTESIAN_POINT('',(19.5555,42.1811,0.)); #509144=CARTESIAN_POINT('',(19.5555,42.1811,0.035)); #509145=CARTESIAN_POINT('',(19.5555,42.1811,0.035)); #509146=CARTESIAN_POINT('',(19.5555,42.1811,0.)); #509147=CARTESIAN_POINT('Origin',(20.251494,42.1811,0.)); #509148=CARTESIAN_POINT('',(20.251494,42.1811,0.)); #509149=CARTESIAN_POINT('',(20.251494,42.1811,0.)); #509150=CARTESIAN_POINT('',(20.251494,42.1811,0.035)); #509151=CARTESIAN_POINT('',(20.251494,42.1811,0.035)); #509152=CARTESIAN_POINT('',(20.251494,42.1811,0.)); #509153=CARTESIAN_POINT('Origin',(20.660197,42.589803,0.)); #509154=CARTESIAN_POINT('',(20.660197,42.589803,0.)); #509155=CARTESIAN_POINT('',(20.660197,42.589803,0.)); #509156=CARTESIAN_POINT('',(20.660197,42.589803,0.035)); #509157=CARTESIAN_POINT('',(20.660197,42.589803,0.035)); #509158=CARTESIAN_POINT('',(20.660197,42.589803,0.)); #509159=CARTESIAN_POINT('Origin',(20.7499992502996,42.4999996912748,0.)); #509160=CARTESIAN_POINT('',(20.75,42.627,0.)); #509161=CARTESIAN_POINT('Origin',(20.7499992502996,42.4999996912748,0.)); #509162=CARTESIAN_POINT('',(20.75,42.627,0.035)); #509163=CARTESIAN_POINT('Origin',(20.7499992502996,42.4999996912748,0.035)); #509164=CARTESIAN_POINT('',(20.75,42.627,0.)); #509165=CARTESIAN_POINT('Origin',(24.197394,42.627,0.)); #509166=CARTESIAN_POINT('',(24.197394,42.627,0.)); #509167=CARTESIAN_POINT('',(24.197394,42.627,0.)); #509168=CARTESIAN_POINT('',(24.197394,42.627,0.035)); #509169=CARTESIAN_POINT('',(24.197394,42.627,0.035)); #509170=CARTESIAN_POINT('',(24.197394,42.627,0.)); #509171=CARTESIAN_POINT('Origin',(24.873,43.302606,0.)); #509172=CARTESIAN_POINT('',(24.873,43.302606,0.)); #509173=CARTESIAN_POINT('',(24.873,43.302606,0.)); #509174=CARTESIAN_POINT('',(24.873,43.302606,0.035)); #509175=CARTESIAN_POINT('',(24.873,43.302606,0.035)); #509176=CARTESIAN_POINT('',(24.873,43.302606,0.)); #509177=CARTESIAN_POINT('Origin',(24.873,49.197394,0.)); #509178=CARTESIAN_POINT('',(24.873,49.197394,0.)); #509179=CARTESIAN_POINT('',(24.873,49.197394,0.)); #509180=CARTESIAN_POINT('',(24.873,49.197394,0.035)); #509181=CARTESIAN_POINT('',(24.873,49.197394,0.035)); #509182=CARTESIAN_POINT('',(24.873,49.197394,0.)); #509183=CARTESIAN_POINT('Origin',(24.447394,49.623,0.)); #509184=CARTESIAN_POINT('',(24.447394,49.623,0.)); #509185=CARTESIAN_POINT('',(24.447394,49.623,0.)); #509186=CARTESIAN_POINT('',(24.447394,49.623,0.035)); #509187=CARTESIAN_POINT('',(24.447394,49.623,0.035)); #509188=CARTESIAN_POINT('',(24.447394,49.623,0.)); #509189=CARTESIAN_POINT('Origin',(24.148928,49.623,0.)); #509190=CARTESIAN_POINT('',(24.148928,49.623,0.)); #509191=CARTESIAN_POINT('',(24.148928,49.623,0.)); #509192=CARTESIAN_POINT('',(24.148928,49.623,0.035)); #509193=CARTESIAN_POINT('',(24.148928,49.623,0.035)); #509194=CARTESIAN_POINT('',(24.148928,49.623,0.)); #509195=CARTESIAN_POINT('Origin',(23.9045362283063,49.7500204601791,0.)); #509196=CARTESIAN_POINT('',(23.650147,49.644438,0.)); #509197=CARTESIAN_POINT('Origin',(23.9045362283063,49.7500204601791,0.)); #509198=CARTESIAN_POINT('',(23.650147,49.644438,0.035)); #509199=CARTESIAN_POINT('Origin',(23.9045362283063,49.7500204601791,0.035)); #509200=CARTESIAN_POINT('',(23.650147,49.644438,0.)); #509201=CARTESIAN_POINT('Origin',(23.9046182367391,49.7498222285952,0.)); #509202=CARTESIAN_POINT('',(24.148928,49.877,0.)); #509203=CARTESIAN_POINT('Origin',(23.9046182367391,49.7498222285952,0.)); #509204=CARTESIAN_POINT('',(24.148928,49.877,0.035)); #509205=CARTESIAN_POINT('Origin',(23.9046182367391,49.7498222285952,0.035)); #509206=CARTESIAN_POINT('',(24.148928,49.877,0.)); #509207=CARTESIAN_POINT('Origin',(24.5,49.877,0.)); #509208=CARTESIAN_POINT('',(24.5,49.877,0.)); #509209=CARTESIAN_POINT('',(24.5,49.877,0.)); #509210=CARTESIAN_POINT('',(24.5,49.877,0.035)); #509211=CARTESIAN_POINT('',(24.5,49.877,0.035)); #509212=CARTESIAN_POINT('',(24.5,49.877,0.)); #509213=CARTESIAN_POINT('Origin',(24.5000007497004,49.7499996912748,0.)); #509214=CARTESIAN_POINT('',(24.589803,49.839803,0.)); #509215=CARTESIAN_POINT('Origin',(24.5000007497004,49.7499996912748,0.)); #509216=CARTESIAN_POINT('',(24.589803,49.839803,0.035)); #509217=CARTESIAN_POINT('Origin',(24.5000007497004,49.7499996912748,0.035)); #509218=CARTESIAN_POINT('',(24.589803,49.839803,0.)); #509219=CARTESIAN_POINT('Origin',(25.089803,49.339803,0.)); #509220=CARTESIAN_POINT('',(25.089803,49.339803,0.)); #509221=CARTESIAN_POINT('',(25.089803,49.339803,0.)); #509222=CARTESIAN_POINT('',(25.089803,49.339803,0.035)); #509223=CARTESIAN_POINT('',(25.089803,49.339803,0.035)); #509224=CARTESIAN_POINT('',(25.089803,49.339803,0.)); #509225=CARTESIAN_POINT('Origin',(24.9999996912748,49.2500007497004,0.)); #509226=CARTESIAN_POINT('',(25.127,49.25,0.)); #509227=CARTESIAN_POINT('Origin',(24.9999996912748,49.2500007497004,0.)); #509228=CARTESIAN_POINT('',(25.127,49.25,0.035)); #509229=CARTESIAN_POINT('Origin',(24.9999996912748,49.2500007497004,0.035)); #509230=CARTESIAN_POINT('',(25.127,49.25,0.)); #509231=CARTESIAN_POINT('Origin',(25.127,43.25,0.)); #509232=CARTESIAN_POINT('',(25.127,43.25,0.)); #509233=CARTESIAN_POINT('',(25.127,43.25,0.)); #509234=CARTESIAN_POINT('',(25.127,43.25,0.035)); #509235=CARTESIAN_POINT('',(25.127,43.25,0.035)); #509236=CARTESIAN_POINT('',(25.127,43.25,0.)); #509237=CARTESIAN_POINT('Origin',(24.9999996912748,43.2499992502996,0.)); #509238=CARTESIAN_POINT('',(25.089803,43.160197,0.)); #509239=CARTESIAN_POINT('Origin',(24.9999996912748,43.2499992502996,0.)); #509240=CARTESIAN_POINT('',(25.089803,43.160197,0.035)); #509241=CARTESIAN_POINT('Origin',(24.9999996912748,43.2499992502996,0.035)); #509242=CARTESIAN_POINT('',(25.089803,43.160197,0.)); #509243=CARTESIAN_POINT('Origin',(24.339803,42.410197,0.)); #509244=CARTESIAN_POINT('',(24.339803,42.410197,0.)); #509245=CARTESIAN_POINT('',(24.339803,42.410197,0.)); #509246=CARTESIAN_POINT('',(24.339803,42.410197,0.035)); #509247=CARTESIAN_POINT('',(24.339803,42.410197,0.035)); #509248=CARTESIAN_POINT('',(24.339803,42.410197,0.)); #509249=CARTESIAN_POINT('Origin',(24.2500007497004,42.5000003087252,0.)); #509250=CARTESIAN_POINT('',(24.25,42.373,0.)); #509251=CARTESIAN_POINT('Origin',(24.2500007497004,42.5000003087252,0.)); #509252=CARTESIAN_POINT('',(24.25,42.373,0.035)); #509253=CARTESIAN_POINT('Origin',(24.2500007497004,42.5000003087252,0.035)); #509254=CARTESIAN_POINT('',(24.25,42.373,0.)); #509255=CARTESIAN_POINT('Origin',(20.802606,42.373,0.)); #509256=CARTESIAN_POINT('',(20.802606,42.373,0.)); #509257=CARTESIAN_POINT('',(20.802606,42.373,0.)); #509258=CARTESIAN_POINT('',(20.802606,42.373,0.035)); #509259=CARTESIAN_POINT('',(20.802606,42.373,0.035)); #509260=CARTESIAN_POINT('',(20.802606,42.373,0.)); #509261=CARTESIAN_POINT('Origin',(20.4445,42.014894,0.)); #509262=CARTESIAN_POINT('',(20.4445,42.014894,0.)); #509263=CARTESIAN_POINT('',(20.4445,42.014894,0.035)); #509264=CARTESIAN_POINT('Origin',(23.5684057642852,44.8765832624027,0.035)); #509265=CARTESIAN_POINT('Origin',(23.5684057642852,44.8765832624027,0.)); #509266=CARTESIAN_POINT('Origin',(23.905,58.75,-200.)); #509267=CARTESIAN_POINT('',(23.765,58.75,0.)); #509268=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #509269=CARTESIAN_POINT('',(23.765,58.75,0.0349999999999895)); #509270=CARTESIAN_POINT('',(23.765,58.75,-200.)); #509271=CARTESIAN_POINT('Origin',(23.905,58.75,0.0349999999999895)); #509272=CARTESIAN_POINT('Origin',(24.4445,41.3429,0.)); #509273=CARTESIAN_POINT('',(24.4445,41.3429,0.)); #509274=CARTESIAN_POINT('',(24.4445,41.984556,0.)); #509275=CARTESIAN_POINT('',(24.4445,41.3429,0.)); #509276=CARTESIAN_POINT('',(24.4445,41.984556,0.035)); #509277=CARTESIAN_POINT('',(24.4445,41.984556,0.)); #509278=CARTESIAN_POINT('',(24.4445,41.3429,0.035)); #509279=CARTESIAN_POINT('',(24.4445,41.3429,0.035)); #509280=CARTESIAN_POINT('',(24.4445,41.3429,0.)); #509281=CARTESIAN_POINT('Origin',(23.5555,41.3429,0.)); #509282=CARTESIAN_POINT('',(23.5555,41.3429,0.)); #509283=CARTESIAN_POINT('',(23.5555,41.3429,0.)); #509284=CARTESIAN_POINT('',(23.5555,41.3429,0.035)); #509285=CARTESIAN_POINT('',(23.5555,41.3429,0.035)); #509286=CARTESIAN_POINT('',(23.5555,41.3429,0.)); #509287=CARTESIAN_POINT('Origin',(23.5555,42.1811,0.)); #509288=CARTESIAN_POINT('',(23.5555,42.1811,0.)); #509289=CARTESIAN_POINT('',(23.5555,42.1811,0.)); #509290=CARTESIAN_POINT('',(23.5555,42.1811,0.035)); #509291=CARTESIAN_POINT('',(23.5555,42.1811,0.035)); #509292=CARTESIAN_POINT('',(23.5555,42.1811,0.)); #509293=CARTESIAN_POINT('Origin',(24.281831,42.1811,0.)); #509294=CARTESIAN_POINT('',(24.281831,42.1811,0.)); #509295=CARTESIAN_POINT('',(24.281831,42.1811,0.)); #509296=CARTESIAN_POINT('',(24.281831,42.1811,0.035)); #509297=CARTESIAN_POINT('',(24.281831,42.1811,0.035)); #509298=CARTESIAN_POINT('',(24.281831,42.1811,0.)); #509299=CARTESIAN_POINT('Origin',(25.248,43.147269,0.)); #509300=CARTESIAN_POINT('',(25.248,43.147269,0.)); #509301=CARTESIAN_POINT('',(25.248,43.147269,0.)); #509302=CARTESIAN_POINT('',(25.248,43.147269,0.035)); #509303=CARTESIAN_POINT('',(25.248,43.147269,0.035)); #509304=CARTESIAN_POINT('',(25.248,43.147269,0.)); #509305=CARTESIAN_POINT('Origin',(25.248,57.822394,0.)); #509306=CARTESIAN_POINT('',(25.248,57.822394,0.)); #509307=CARTESIAN_POINT('',(25.248,57.822394,0.)); #509308=CARTESIAN_POINT('',(25.248,57.822394,0.035)); #509309=CARTESIAN_POINT('',(25.248,57.822394,0.035)); #509310=CARTESIAN_POINT('',(25.248,57.822394,0.)); #509311=CARTESIAN_POINT('Origin',(24.447394,58.623,0.)); #509312=CARTESIAN_POINT('',(24.447394,58.623,0.)); #509313=CARTESIAN_POINT('',(24.447394,58.623,0.)); #509314=CARTESIAN_POINT('',(24.447394,58.623,0.035)); #509315=CARTESIAN_POINT('',(24.447394,58.623,0.035)); #509316=CARTESIAN_POINT('',(24.447394,58.623,0.)); #509317=CARTESIAN_POINT('Origin',(24.148928,58.623,0.)); #509318=CARTESIAN_POINT('',(24.148928,58.623,0.)); #509319=CARTESIAN_POINT('',(24.148928,58.623,0.)); #509320=CARTESIAN_POINT('',(24.148928,58.623,0.035)); #509321=CARTESIAN_POINT('',(24.148928,58.623,0.035)); #509322=CARTESIAN_POINT('',(24.148928,58.623,0.)); #509323=CARTESIAN_POINT('Origin',(23.9045362283063,58.7500204601791,0.)); #509324=CARTESIAN_POINT('',(23.650147,58.644438,0.)); #509325=CARTESIAN_POINT('Origin',(23.9045362283063,58.7500204601791,0.)); #509326=CARTESIAN_POINT('',(23.650147,58.644438,0.035)); #509327=CARTESIAN_POINT('Origin',(23.9045362283063,58.7500204601791,0.035)); #509328=CARTESIAN_POINT('',(23.650147,58.644438,0.)); #509329=CARTESIAN_POINT('Origin',(23.9046182367391,58.7498222285952,0.)); #509330=CARTESIAN_POINT('',(24.148928,58.877,0.)); #509331=CARTESIAN_POINT('Origin',(23.9046182367391,58.7498222285952,0.)); #509332=CARTESIAN_POINT('',(24.148928,58.877,0.035)); #509333=CARTESIAN_POINT('Origin',(23.9046182367391,58.7498222285952,0.035)); #509334=CARTESIAN_POINT('',(24.148928,58.877,0.)); #509335=CARTESIAN_POINT('Origin',(24.5,58.877,0.)); #509336=CARTESIAN_POINT('',(24.5,58.877,0.)); #509337=CARTESIAN_POINT('',(24.5,58.877,0.)); #509338=CARTESIAN_POINT('',(24.5,58.877,0.035)); #509339=CARTESIAN_POINT('',(24.5,58.877,0.035)); #509340=CARTESIAN_POINT('',(24.5,58.877,0.)); #509341=CARTESIAN_POINT('Origin',(24.5000007497004,58.7499996912748,0.)); #509342=CARTESIAN_POINT('',(24.589803,58.839803,0.)); #509343=CARTESIAN_POINT('Origin',(24.5000007497004,58.7499996912748,0.)); #509344=CARTESIAN_POINT('',(24.589803,58.839803,0.035)); #509345=CARTESIAN_POINT('Origin',(24.5000007497004,58.7499996912748,0.035)); #509346=CARTESIAN_POINT('',(24.589803,58.839803,0.)); #509347=CARTESIAN_POINT('Origin',(25.464803,57.964803,0.)); #509348=CARTESIAN_POINT('',(25.464803,57.964803,0.)); #509349=CARTESIAN_POINT('',(25.464803,57.964803,0.)); #509350=CARTESIAN_POINT('',(25.464803,57.964803,0.035)); #509351=CARTESIAN_POINT('',(25.464803,57.964803,0.035)); #509352=CARTESIAN_POINT('',(25.464803,57.964803,0.)); #509353=CARTESIAN_POINT('Origin',(25.3749996912748,57.8750007497004,0.)); #509354=CARTESIAN_POINT('',(25.502,57.875,0.)); #509355=CARTESIAN_POINT('Origin',(25.3749996912748,57.8750007497004,0.)); #509356=CARTESIAN_POINT('',(25.502,57.875,0.035)); #509357=CARTESIAN_POINT('Origin',(25.3749996912748,57.8750007497004,0.035)); #509358=CARTESIAN_POINT('',(25.502,57.875,0.)); #509359=CARTESIAN_POINT('Origin',(25.502,43.094663,0.)); #509360=CARTESIAN_POINT('',(25.502,43.094663,0.)); #509361=CARTESIAN_POINT('',(25.502,43.094663,0.)); #509362=CARTESIAN_POINT('',(25.502,43.094663,0.035)); #509363=CARTESIAN_POINT('',(25.502,43.094663,0.035)); #509364=CARTESIAN_POINT('',(25.502,43.094663,0.)); #509365=CARTESIAN_POINT('Origin',(25.374998484168,43.0946617502996,0.)); #509366=CARTESIAN_POINT('',(25.464803,43.004859,0.)); #509367=CARTESIAN_POINT('Origin',(25.374998484168,43.0946617502996,0.)); #509368=CARTESIAN_POINT('',(25.464803,43.004859,0.035)); #509369=CARTESIAN_POINT('Origin',(25.374998484168,43.0946617502996,0.035)); #509370=CARTESIAN_POINT('',(25.464803,43.004859,0.)); #509371=CARTESIAN_POINT('Origin',(24.4445,41.984556,0.)); #509372=CARTESIAN_POINT('',(24.4445,41.984556,0.)); #509373=CARTESIAN_POINT('',(24.4445,41.984556,0.035)); #509374=CARTESIAN_POINT('Origin',(25.1302315194168,50.1743511391884,0.035)); #509375=CARTESIAN_POINT('Origin',(25.1302315194168,50.1743511391884,0.)); #509376=CARTESIAN_POINT('Origin',(47.905,49.75,-200.)); #509377=CARTESIAN_POINT('',(47.765,49.75,0.)); #509378=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #509379=CARTESIAN_POINT('',(47.765,49.75,0.0349999999999895)); #509380=CARTESIAN_POINT('',(47.765,49.75,-200.)); #509381=CARTESIAN_POINT('Origin',(47.905,49.75,0.0349999999999895)); #509382=CARTESIAN_POINT('Origin',(48.4445,41.3429,0.)); #509383=CARTESIAN_POINT('',(48.4445,41.3429,0.)); #509384=CARTESIAN_POINT('',(48.4445,42.1811,0.)); #509385=CARTESIAN_POINT('',(48.4445,41.3429,0.)); #509386=CARTESIAN_POINT('',(48.4445,42.1811,0.035)); #509387=CARTESIAN_POINT('',(48.4445,42.1811,0.)); #509388=CARTESIAN_POINT('',(48.4445,41.3429,0.035)); #509389=CARTESIAN_POINT('',(48.4445,41.3429,0.035)); #509390=CARTESIAN_POINT('',(48.4445,41.3429,0.)); #509391=CARTESIAN_POINT('Origin',(47.5555,41.3429,0.)); #509392=CARTESIAN_POINT('',(47.5555,41.3429,0.)); #509393=CARTESIAN_POINT('',(47.5555,41.3429,0.)); #509394=CARTESIAN_POINT('',(47.5555,41.3429,0.035)); #509395=CARTESIAN_POINT('',(47.5555,41.3429,0.035)); #509396=CARTESIAN_POINT('',(47.5555,41.3429,0.)); #509397=CARTESIAN_POINT('Origin',(47.5555,42.026894,0.)); #509398=CARTESIAN_POINT('',(47.5555,42.026894,0.)); #509399=CARTESIAN_POINT('',(47.5555,42.026894,0.)); #509400=CARTESIAN_POINT('',(47.5555,42.026894,0.035)); #509401=CARTESIAN_POINT('',(47.5555,42.026894,0.035)); #509402=CARTESIAN_POINT('',(47.5555,42.026894,0.)); #509403=CARTESIAN_POINT('Origin',(47.209394,42.373,0.)); #509404=CARTESIAN_POINT('',(47.209394,42.373,0.)); #509405=CARTESIAN_POINT('',(47.209394,42.373,0.)); #509406=CARTESIAN_POINT('',(47.209394,42.373,0.035)); #509407=CARTESIAN_POINT('',(47.209394,42.373,0.035)); #509408=CARTESIAN_POINT('',(47.209394,42.373,0.)); #509409=CARTESIAN_POINT('Origin',(43.75,42.373,0.)); #509410=CARTESIAN_POINT('',(43.75,42.373,0.)); #509411=CARTESIAN_POINT('',(43.75,42.373,0.)); #509412=CARTESIAN_POINT('',(43.75,42.373,0.035)); #509413=CARTESIAN_POINT('',(43.75,42.373,0.035)); #509414=CARTESIAN_POINT('',(43.75,42.373,0.)); #509415=CARTESIAN_POINT('Origin',(43.7499992502996,42.5000003087252,0.)); #509416=CARTESIAN_POINT('',(43.660197,42.410197,0.)); #509417=CARTESIAN_POINT('Origin',(43.7499992502996,42.5000003087252,0.)); #509418=CARTESIAN_POINT('',(43.660197,42.410197,0.035)); #509419=CARTESIAN_POINT('Origin',(43.7499992502996,42.5000003087252,0.035)); #509420=CARTESIAN_POINT('',(43.660197,42.410197,0.)); #509421=CARTESIAN_POINT('Origin',(42.910197,43.160197,0.)); #509422=CARTESIAN_POINT('',(42.910197,43.160197,0.)); #509423=CARTESIAN_POINT('',(42.910197,43.160197,0.)); #509424=CARTESIAN_POINT('',(42.910197,43.160197,0.035)); #509425=CARTESIAN_POINT('',(42.910197,43.160197,0.035)); #509426=CARTESIAN_POINT('',(42.910197,43.160197,0.)); #509427=CARTESIAN_POINT('Origin',(43.0000003087252,43.2499992502996,0.)); #509428=CARTESIAN_POINT('',(42.873,43.25,0.)); #509429=CARTESIAN_POINT('Origin',(43.0000003087252,43.2499992502996,0.)); #509430=CARTESIAN_POINT('',(42.873,43.25,0.035)); #509431=CARTESIAN_POINT('Origin',(43.0000003087252,43.2499992502996,0.035)); #509432=CARTESIAN_POINT('',(42.873,43.25,0.)); #509433=CARTESIAN_POINT('Origin',(42.873,49.25,0.)); #509434=CARTESIAN_POINT('',(42.873,49.25,0.)); #509435=CARTESIAN_POINT('',(42.873,49.25,0.)); #509436=CARTESIAN_POINT('',(42.873,49.25,0.035)); #509437=CARTESIAN_POINT('',(42.873,49.25,0.035)); #509438=CARTESIAN_POINT('',(42.873,49.25,0.)); #509439=CARTESIAN_POINT('Origin',(43.0000003087252,49.2500007497004,0.)); #509440=CARTESIAN_POINT('',(42.910197,49.339803,0.)); #509441=CARTESIAN_POINT('Origin',(43.0000003087252,49.2500007497004,0.)); #509442=CARTESIAN_POINT('',(42.910197,49.339803,0.035)); #509443=CARTESIAN_POINT('Origin',(43.0000003087252,49.2500007497004,0.035)); #509444=CARTESIAN_POINT('',(42.910197,49.339803,0.)); #509445=CARTESIAN_POINT('Origin',(43.410197,49.839803,0.)); #509446=CARTESIAN_POINT('',(43.410197,49.839803,0.)); #509447=CARTESIAN_POINT('',(43.410197,49.839803,0.)); #509448=CARTESIAN_POINT('',(43.410197,49.839803,0.035)); #509449=CARTESIAN_POINT('',(43.410197,49.839803,0.035)); #509450=CARTESIAN_POINT('',(43.410197,49.839803,0.)); #509451=CARTESIAN_POINT('Origin',(43.4999992502996,49.7499996912748,0.)); #509452=CARTESIAN_POINT('',(43.5,49.877,0.)); #509453=CARTESIAN_POINT('Origin',(43.4999992502996,49.7499996912748,0.)); #509454=CARTESIAN_POINT('',(43.5,49.877,0.035)); #509455=CARTESIAN_POINT('Origin',(43.4999992502996,49.7499996912748,0.035)); #509456=CARTESIAN_POINT('',(43.5,49.877,0.)); #509457=CARTESIAN_POINT('Origin',(47.661072,49.877,0.)); #509458=CARTESIAN_POINT('',(47.661072,49.877,0.)); #509459=CARTESIAN_POINT('',(47.661072,49.877,0.)); #509460=CARTESIAN_POINT('',(47.661072,49.877,0.035)); #509461=CARTESIAN_POINT('',(47.661072,49.877,0.035)); #509462=CARTESIAN_POINT('',(47.661072,49.877,0.)); #509463=CARTESIAN_POINT('Origin',(47.9054640048651,49.7499800398209,0.)); #509464=CARTESIAN_POINT('',(48.159853,49.855563,0.)); #509465=CARTESIAN_POINT('Origin',(47.9054640048651,49.7499800398209,0.)); #509466=CARTESIAN_POINT('',(48.159853,49.855563,0.035)); #509467=CARTESIAN_POINT('Origin',(47.9054640048651,49.7499800398209,0.035)); #509468=CARTESIAN_POINT('',(48.159853,49.855563,0.)); #509469=CARTESIAN_POINT('Origin',(47.9053817414141,49.7501782714048,0.)); #509470=CARTESIAN_POINT('',(47.661072,49.623,0.)); #509471=CARTESIAN_POINT('Origin',(47.9053817414141,49.7501782714048,0.)); #509472=CARTESIAN_POINT('',(47.661072,49.623,0.035)); #509473=CARTESIAN_POINT('Origin',(47.9053817414141,49.7501782714048,0.035)); #509474=CARTESIAN_POINT('',(47.661072,49.623,0.)); #509475=CARTESIAN_POINT('Origin',(43.552606,49.623,0.)); #509476=CARTESIAN_POINT('',(43.552606,49.623,0.)); #509477=CARTESIAN_POINT('',(43.552606,49.623,0.)); #509478=CARTESIAN_POINT('',(43.552606,49.623,0.035)); #509479=CARTESIAN_POINT('',(43.552606,49.623,0.035)); #509480=CARTESIAN_POINT('',(43.552606,49.623,0.)); #509481=CARTESIAN_POINT('Origin',(43.127,49.197394,0.)); #509482=CARTESIAN_POINT('',(43.127,49.197394,0.)); #509483=CARTESIAN_POINT('',(43.127,49.197394,0.)); #509484=CARTESIAN_POINT('',(43.127,49.197394,0.035)); #509485=CARTESIAN_POINT('',(43.127,49.197394,0.035)); #509486=CARTESIAN_POINT('',(43.127,49.197394,0.)); #509487=CARTESIAN_POINT('Origin',(43.127,43.302606,0.)); #509488=CARTESIAN_POINT('',(43.127,43.302606,0.)); #509489=CARTESIAN_POINT('',(43.127,43.302606,0.)); #509490=CARTESIAN_POINT('',(43.127,43.302606,0.035)); #509491=CARTESIAN_POINT('',(43.127,43.302606,0.035)); #509492=CARTESIAN_POINT('',(43.127,43.302606,0.)); #509493=CARTESIAN_POINT('Origin',(43.802606,42.627,0.)); #509494=CARTESIAN_POINT('',(43.802606,42.627,0.)); #509495=CARTESIAN_POINT('',(43.802606,42.627,0.)); #509496=CARTESIAN_POINT('',(43.802606,42.627,0.035)); #509497=CARTESIAN_POINT('',(43.802606,42.627,0.035)); #509498=CARTESIAN_POINT('',(43.802606,42.627,0.)); #509499=CARTESIAN_POINT('Origin',(47.262,42.627,0.)); #509500=CARTESIAN_POINT('',(47.262,42.627,0.)); #509501=CARTESIAN_POINT('',(47.262,42.627,0.)); #509502=CARTESIAN_POINT('',(47.262,42.627,0.035)); #509503=CARTESIAN_POINT('',(47.262,42.627,0.035)); #509504=CARTESIAN_POINT('',(47.262,42.627,0.)); #509505=CARTESIAN_POINT('Origin',(47.2620007497004,42.4999996912748,0.)); #509506=CARTESIAN_POINT('',(47.351803,42.589803,0.)); #509507=CARTESIAN_POINT('Origin',(47.2620007497004,42.4999996912748,0.)); #509508=CARTESIAN_POINT('',(47.351803,42.589803,0.035)); #509509=CARTESIAN_POINT('Origin',(47.2620007497004,42.4999996912748,0.035)); #509510=CARTESIAN_POINT('',(47.351803,42.589803,0.)); #509511=CARTESIAN_POINT('Origin',(47.760506,42.1811,0.)); #509512=CARTESIAN_POINT('',(47.760506,42.1811,0.)); #509513=CARTESIAN_POINT('',(47.760506,42.1811,0.)); #509514=CARTESIAN_POINT('',(47.760506,42.1811,0.035)); #509515=CARTESIAN_POINT('',(47.760506,42.1811,0.035)); #509516=CARTESIAN_POINT('',(47.760506,42.1811,0.)); #509517=CARTESIAN_POINT('Origin',(48.4445,42.1811,0.)); #509518=CARTESIAN_POINT('',(48.4445,42.1811,0.)); #509519=CARTESIAN_POINT('',(48.4445,42.1811,0.035)); #509520=CARTESIAN_POINT('Origin',(44.8614308872744,45.893056933335,0.035)); #509521=CARTESIAN_POINT('Origin',(44.8614308872744,45.893056933335,0.)); #509522=CARTESIAN_POINT('Origin',(30.9125,18.6125,0.)); #509523=CARTESIAN_POINT('',(30.9125,18.6125,0.)); #509524=CARTESIAN_POINT('',(30.9125,18.8875,0.)); #509525=CARTESIAN_POINT('',(30.9125,18.6125,0.)); #509526=CARTESIAN_POINT('',(30.9125,18.8875,0.035)); #509527=CARTESIAN_POINT('',(30.9125,18.8875,0.)); #509528=CARTESIAN_POINT('',(30.9125,18.6125,0.035)); #509529=CARTESIAN_POINT('',(30.9125,18.6125,0.035)); #509530=CARTESIAN_POINT('',(30.9125,18.6125,0.)); #509531=CARTESIAN_POINT('Origin',(30.2875,18.6125,0.)); #509532=CARTESIAN_POINT('',(30.2875,18.6125,0.)); #509533=CARTESIAN_POINT('',(30.2875,18.6125,0.)); #509534=CARTESIAN_POINT('',(30.2875,18.6125,0.035)); #509535=CARTESIAN_POINT('',(30.2875,18.6125,0.035)); #509536=CARTESIAN_POINT('',(30.2875,18.6125,0.)); #509537=CARTESIAN_POINT('Origin',(30.2875,18.623,0.)); #509538=CARTESIAN_POINT('',(30.2875,18.623,0.)); #509539=CARTESIAN_POINT('',(30.2875,18.623,0.)); #509540=CARTESIAN_POINT('',(30.2875,18.623,0.035)); #509541=CARTESIAN_POINT('',(30.2875,18.623,0.035)); #509542=CARTESIAN_POINT('',(30.2875,18.623,0.)); #509543=CARTESIAN_POINT('Origin',(29.689325,18.623,0.)); #509544=CARTESIAN_POINT('',(29.689325,18.623,0.)); #509545=CARTESIAN_POINT('',(29.689325,18.623,0.)); #509546=CARTESIAN_POINT('',(29.689325,18.623,0.035)); #509547=CARTESIAN_POINT('',(29.689325,18.623,0.035)); #509548=CARTESIAN_POINT('',(29.689325,18.623,0.)); #509549=CARTESIAN_POINT('Origin',(29.6893242502996,18.7500003087252,0.)); #509550=CARTESIAN_POINT('',(29.599522,18.660197,0.)); #509551=CARTESIAN_POINT('Origin',(29.6893242502996,18.7500003087252,0.)); #509552=CARTESIAN_POINT('',(29.599522,18.660197,0.035)); #509553=CARTESIAN_POINT('Origin',(29.6893242502996,18.7500003087252,0.035)); #509554=CARTESIAN_POINT('',(29.599522,18.660197,0.)); #509555=CARTESIAN_POINT('Origin',(28.285197,19.974522,0.)); #509556=CARTESIAN_POINT('',(28.285197,19.974522,0.)); #509557=CARTESIAN_POINT('',(28.285197,19.974522,0.)); #509558=CARTESIAN_POINT('',(28.285197,19.974522,0.035)); #509559=CARTESIAN_POINT('',(28.285197,19.974522,0.035)); #509560=CARTESIAN_POINT('',(28.285197,19.974522,0.)); #509561=CARTESIAN_POINT('Origin',(28.3750003087252,20.0643242502996,0.)); #509562=CARTESIAN_POINT('',(28.248,20.064325,0.)); #509563=CARTESIAN_POINT('Origin',(28.3750003087252,20.0643242502996,0.)); #509564=CARTESIAN_POINT('',(28.248,20.064325,0.035)); #509565=CARTESIAN_POINT('Origin',(28.3750003087252,20.0643242502996,0.035)); #509566=CARTESIAN_POINT('',(28.248,20.064325,0.)); #509567=CARTESIAN_POINT('Origin',(28.248,24.852731,0.)); #509568=CARTESIAN_POINT('',(28.248,24.852731,0.)); #509569=CARTESIAN_POINT('',(28.248,24.852731,0.)); #509570=CARTESIAN_POINT('',(28.248,24.852731,0.035)); #509571=CARTESIAN_POINT('',(28.248,24.852731,0.035)); #509572=CARTESIAN_POINT('',(28.248,24.852731,0.)); #509573=CARTESIAN_POINT('Origin',(27.227731,25.873,0.)); #509574=CARTESIAN_POINT('',(27.227731,25.873,0.)); #509575=CARTESIAN_POINT('',(27.227731,25.873,0.)); #509576=CARTESIAN_POINT('',(27.227731,25.873,0.035)); #509577=CARTESIAN_POINT('',(27.227731,25.873,0.035)); #509578=CARTESIAN_POINT('',(27.227731,25.873,0.)); #509579=CARTESIAN_POINT('Origin',(24.1811,25.873,0.)); #509580=CARTESIAN_POINT('',(24.1811,25.873,0.)); #509581=CARTESIAN_POINT('',(24.1811,25.873,0.)); #509582=CARTESIAN_POINT('',(24.1811,25.873,0.035)); #509583=CARTESIAN_POINT('',(24.1811,25.873,0.035)); #509584=CARTESIAN_POINT('',(24.1811,25.873,0.)); #509585=CARTESIAN_POINT('Origin',(24.1811,25.5555,0.)); #509586=CARTESIAN_POINT('',(24.1811,25.5555,0.)); #509587=CARTESIAN_POINT('',(24.1811,25.5555,0.)); #509588=CARTESIAN_POINT('',(24.1811,25.5555,0.035)); #509589=CARTESIAN_POINT('',(24.1811,25.5555,0.035)); #509590=CARTESIAN_POINT('',(24.1811,25.5555,0.)); #509591=CARTESIAN_POINT('Origin',(23.3429,25.5555,0.)); #509592=CARTESIAN_POINT('',(23.3429,25.5555,0.)); #509593=CARTESIAN_POINT('',(23.3429,25.5555,0.)); #509594=CARTESIAN_POINT('',(23.3429,25.5555,0.035)); #509595=CARTESIAN_POINT('',(23.3429,25.5555,0.035)); #509596=CARTESIAN_POINT('',(23.3429,25.5555,0.)); #509597=CARTESIAN_POINT('Origin',(23.3429,26.4445,0.)); #509598=CARTESIAN_POINT('',(23.3429,26.4445,0.)); #509599=CARTESIAN_POINT('',(23.3429,26.4445,0.)); #509600=CARTESIAN_POINT('',(23.3429,26.4445,0.035)); #509601=CARTESIAN_POINT('',(23.3429,26.4445,0.035)); #509602=CARTESIAN_POINT('',(23.3429,26.4445,0.)); #509603=CARTESIAN_POINT('Origin',(24.1811,26.4445,0.)); #509604=CARTESIAN_POINT('',(24.1811,26.4445,0.)); #509605=CARTESIAN_POINT('',(24.1811,26.4445,0.)); #509606=CARTESIAN_POINT('',(24.1811,26.4445,0.035)); #509607=CARTESIAN_POINT('',(24.1811,26.4445,0.035)); #509608=CARTESIAN_POINT('',(24.1811,26.4445,0.)); #509609=CARTESIAN_POINT('Origin',(24.1811,26.127,0.)); #509610=CARTESIAN_POINT('',(24.1811,26.127,0.)); #509611=CARTESIAN_POINT('',(24.1811,26.127,0.)); #509612=CARTESIAN_POINT('',(24.1811,26.127,0.035)); #509613=CARTESIAN_POINT('',(24.1811,26.127,0.035)); #509614=CARTESIAN_POINT('',(24.1811,26.127,0.)); #509615=CARTESIAN_POINT('Origin',(27.280338,26.127,0.)); #509616=CARTESIAN_POINT('',(27.280338,26.127,0.)); #509617=CARTESIAN_POINT('',(27.280338,26.127,0.)); #509618=CARTESIAN_POINT('',(27.280338,26.127,0.035)); #509619=CARTESIAN_POINT('',(27.280338,26.127,0.035)); #509620=CARTESIAN_POINT('',(27.280338,26.127,0.)); #509621=CARTESIAN_POINT('Origin',(27.2803387497004,25.9999996912748,0.)); #509622=CARTESIAN_POINT('',(27.370141,26.089803,0.)); #509623=CARTESIAN_POINT('Origin',(27.2803387497004,25.9999996912748,0.)); #509624=CARTESIAN_POINT('',(27.370141,26.089803,0.035)); #509625=CARTESIAN_POINT('Origin',(27.2803387497004,25.9999996912748,0.035)); #509626=CARTESIAN_POINT('',(27.370141,26.089803,0.)); #509627=CARTESIAN_POINT('Origin',(28.464803,24.995141,0.)); #509628=CARTESIAN_POINT('',(28.464803,24.995141,0.)); #509629=CARTESIAN_POINT('',(28.464803,24.995141,0.)); #509630=CARTESIAN_POINT('',(28.464803,24.995141,0.035)); #509631=CARTESIAN_POINT('',(28.464803,24.995141,0.035)); #509632=CARTESIAN_POINT('',(28.464803,24.995141,0.)); #509633=CARTESIAN_POINT('Origin',(28.3749996912748,24.9053387497004,0.)); #509634=CARTESIAN_POINT('',(28.502,24.905338,0.)); #509635=CARTESIAN_POINT('Origin',(28.3749996912748,24.9053387497004,0.)); #509636=CARTESIAN_POINT('',(28.502,24.905338,0.035)); #509637=CARTESIAN_POINT('Origin',(28.3749996912748,24.9053387497004,0.035)); #509638=CARTESIAN_POINT('',(28.502,24.905338,0.)); #509639=CARTESIAN_POINT('Origin',(28.502,20.116931,0.)); #509640=CARTESIAN_POINT('',(28.502,20.116931,0.)); #509641=CARTESIAN_POINT('',(28.502,20.116931,0.)); #509642=CARTESIAN_POINT('',(28.502,20.116931,0.035)); #509643=CARTESIAN_POINT('',(28.502,20.116931,0.035)); #509644=CARTESIAN_POINT('',(28.502,20.116931,0.)); #509645=CARTESIAN_POINT('Origin',(29.741931,18.877,0.)); #509646=CARTESIAN_POINT('',(29.741931,18.877,0.)); #509647=CARTESIAN_POINT('',(29.741931,18.877,0.)); #509648=CARTESIAN_POINT('',(29.741931,18.877,0.035)); #509649=CARTESIAN_POINT('',(29.741931,18.877,0.035)); #509650=CARTESIAN_POINT('',(29.741931,18.877,0.)); #509651=CARTESIAN_POINT('Origin',(30.2875,18.877,0.)); #509652=CARTESIAN_POINT('',(30.2875,18.877,0.)); #509653=CARTESIAN_POINT('',(30.2875,18.877,0.)); #509654=CARTESIAN_POINT('',(30.2875,18.877,0.035)); #509655=CARTESIAN_POINT('',(30.2875,18.877,0.035)); #509656=CARTESIAN_POINT('',(30.2875,18.877,0.)); #509657=CARTESIAN_POINT('Origin',(30.2875,18.8875,0.)); #509658=CARTESIAN_POINT('',(30.2875,18.8875,0.)); #509659=CARTESIAN_POINT('',(30.2875,18.8875,0.)); #509660=CARTESIAN_POINT('',(30.2875,18.8875,0.035)); #509661=CARTESIAN_POINT('',(30.2875,18.8875,0.035)); #509662=CARTESIAN_POINT('',(30.2875,18.8875,0.)); #509663=CARTESIAN_POINT('Origin',(30.9125,18.8875,0.)); #509664=CARTESIAN_POINT('',(30.9125,18.8875,0.)); #509665=CARTESIAN_POINT('',(30.9125,18.8875,0.035)); #509666=CARTESIAN_POINT('Origin',(27.4991029670757,23.2028246461331,0.035)); #509667=CARTESIAN_POINT('Origin',(27.4991029670757,23.2028246461331,0.)); #509668=CARTESIAN_POINT('Origin',(30.762,60.25,-200.)); #509669=CARTESIAN_POINT('',(30.622,60.25,0.)); #509670=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #509671=CARTESIAN_POINT('',(30.622,60.25,0.0349999999999895)); #509672=CARTESIAN_POINT('',(30.622,60.25,-200.)); #509673=CARTESIAN_POINT('Origin',(30.762,60.25,0.0349999999999895)); #509674=CARTESIAN_POINT('Origin',(31.1811,59.8055,0.)); #509675=CARTESIAN_POINT('',(31.1811,59.8055,0.)); #509676=CARTESIAN_POINT('',(31.1811,60.6945,0.)); #509677=CARTESIAN_POINT('',(31.1811,59.8055,0.)); #509678=CARTESIAN_POINT('',(31.1811,60.6945,0.035)); #509679=CARTESIAN_POINT('',(31.1811,60.6945,0.)); #509680=CARTESIAN_POINT('',(31.1811,59.8055,0.035)); #509681=CARTESIAN_POINT('',(31.1811,59.8055,0.035)); #509682=CARTESIAN_POINT('',(31.1811,59.8055,0.)); #509683=CARTESIAN_POINT('Origin',(30.3429,59.8055,0.)); #509684=CARTESIAN_POINT('',(30.3429,59.8055,0.)); #509685=CARTESIAN_POINT('',(30.3429,59.8055,0.)); #509686=CARTESIAN_POINT('',(30.3429,59.8055,0.035)); #509687=CARTESIAN_POINT('',(30.3429,59.8055,0.035)); #509688=CARTESIAN_POINT('',(30.3429,59.8055,0.)); #509689=CARTESIAN_POINT('Origin',(30.3429,60.6945,0.)); #509690=CARTESIAN_POINT('',(30.3429,60.6945,0.)); #509691=CARTESIAN_POINT('',(30.3429,60.6945,0.)); #509692=CARTESIAN_POINT('',(30.3429,60.6945,0.035)); #509693=CARTESIAN_POINT('',(30.3429,60.6945,0.035)); #509694=CARTESIAN_POINT('',(30.3429,60.6945,0.)); #509695=CARTESIAN_POINT('Origin',(31.1811,60.6945,0.)); #509696=CARTESIAN_POINT('',(31.1811,60.6945,0.)); #509697=CARTESIAN_POINT('',(31.1811,60.6945,0.035)); #509698=CARTESIAN_POINT('Origin',(30.762,60.25,0.035)); #509699=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #509700=CARTESIAN_POINT('Origin',(33.25,55.,-200.)); #509701=CARTESIAN_POINT('',(33.11,55.,0.)); #509702=CARTESIAN_POINT('Origin',(33.25,55.,0.)); #509703=CARTESIAN_POINT('',(33.11,55.,0.0349999999999895)); #509704=CARTESIAN_POINT('',(33.11,55.,-200.)); #509705=CARTESIAN_POINT('Origin',(33.25,55.,0.0349999999999895)); #509706=CARTESIAN_POINT('Origin',(33.271644,54.725,0.)); #509707=CARTESIAN_POINT('',(33.271644,54.725,0.)); #509708=CARTESIAN_POINT('',(33.314397,54.731772,0.)); #509709=CARTESIAN_POINT('',(33.271644,54.725,0.)); #509710=CARTESIAN_POINT('',(33.314397,54.731772,0.035)); #509711=CARTESIAN_POINT('',(33.314397,54.731772,0.)); #509712=CARTESIAN_POINT('',(33.271644,54.725,0.035)); #509713=CARTESIAN_POINT('',(33.271644,54.725,0.035)); #509714=CARTESIAN_POINT('',(33.271644,54.725,0.)); #509715=CARTESIAN_POINT('Origin',(33.250118471131,54.9995872515008,0.)); #509716=CARTESIAN_POINT('',(33.123,55.243928,0.)); #509717=CARTESIAN_POINT('Origin',(33.250118471131,54.9995872515008,0.)); #509718=CARTESIAN_POINT('',(33.123,55.243928,0.035)); #509719=CARTESIAN_POINT('Origin',(33.250118471131,54.9995872515008,0.035)); #509720=CARTESIAN_POINT('',(33.123,55.243928,0.)); #509721=CARTESIAN_POINT('Origin',(33.123,55.625,0.)); #509722=CARTESIAN_POINT('',(33.123,55.625,0.)); #509723=CARTESIAN_POINT('',(33.123,55.625,0.)); #509724=CARTESIAN_POINT('',(33.123,55.625,0.035)); #509725=CARTESIAN_POINT('',(33.123,55.625,0.035)); #509726=CARTESIAN_POINT('',(33.123,55.625,0.)); #509727=CARTESIAN_POINT('Origin',(33.2500003087252,55.6250007497004,0.)); #509728=CARTESIAN_POINT('',(33.160197,55.714803,0.)); #509729=CARTESIAN_POINT('Origin',(33.2500003087252,55.6250007497004,0.)); #509730=CARTESIAN_POINT('',(33.160197,55.714803,0.035)); #509731=CARTESIAN_POINT('Origin',(33.2500003087252,55.6250007497004,0.035)); #509732=CARTESIAN_POINT('',(33.160197,55.714803,0.)); #509733=CARTESIAN_POINT('Origin',(33.498,56.052606,0.)); #509734=CARTESIAN_POINT('',(33.498,56.052606,0.)); #509735=CARTESIAN_POINT('',(33.498,56.052606,0.)); #509736=CARTESIAN_POINT('',(33.498,56.052606,0.035)); #509737=CARTESIAN_POINT('',(33.498,56.052606,0.035)); #509738=CARTESIAN_POINT('',(33.498,56.052606,0.)); #509739=CARTESIAN_POINT('Origin',(33.498,58.572394,0.)); #509740=CARTESIAN_POINT('',(33.498,58.572394,0.)); #509741=CARTESIAN_POINT('',(33.498,58.572394,0.)); #509742=CARTESIAN_POINT('',(33.498,58.572394,0.035)); #509743=CARTESIAN_POINT('',(33.498,58.572394,0.035)); #509744=CARTESIAN_POINT('',(33.498,58.572394,0.)); #509745=CARTESIAN_POINT('Origin',(32.697394,59.373,0.)); #509746=CARTESIAN_POINT('',(32.697394,59.373,0.)); #509747=CARTESIAN_POINT('',(32.697394,59.373,0.)); #509748=CARTESIAN_POINT('',(32.697394,59.373,0.035)); #509749=CARTESIAN_POINT('',(32.697394,59.373,0.035)); #509750=CARTESIAN_POINT('',(32.697394,59.373,0.)); #509751=CARTESIAN_POINT('Origin',(29.988,59.373,0.)); #509752=CARTESIAN_POINT('',(29.988,59.373,0.)); #509753=CARTESIAN_POINT('',(29.988,59.373,0.)); #509754=CARTESIAN_POINT('',(29.988,59.373,0.035)); #509755=CARTESIAN_POINT('',(29.988,59.373,0.035)); #509756=CARTESIAN_POINT('',(29.988,59.373,0.)); #509757=CARTESIAN_POINT('Origin',(29.9879992502996,59.5000003087252,0.)); #509758=CARTESIAN_POINT('',(29.898197,59.410197,0.)); #509759=CARTESIAN_POINT('Origin',(29.9879992502996,59.5000003087252,0.)); #509760=CARTESIAN_POINT('',(29.898197,59.410197,0.035)); #509761=CARTESIAN_POINT('Origin',(29.9879992502996,59.5000003087252,0.035)); #509762=CARTESIAN_POINT('',(29.898197,59.410197,0.)); #509763=CARTESIAN_POINT('Origin',(29.502894,59.8055,0.)); #509764=CARTESIAN_POINT('',(29.502894,59.8055,0.)); #509765=CARTESIAN_POINT('',(29.502894,59.8055,0.)); #509766=CARTESIAN_POINT('',(29.502894,59.8055,0.035)); #509767=CARTESIAN_POINT('',(29.502894,59.8055,0.035)); #509768=CARTESIAN_POINT('',(29.502894,59.8055,0.)); #509769=CARTESIAN_POINT('Origin',(28.8189,59.8055,0.)); #509770=CARTESIAN_POINT('',(28.8189,59.8055,0.)); #509771=CARTESIAN_POINT('',(28.8189,59.8055,0.)); #509772=CARTESIAN_POINT('',(28.8189,59.8055,0.035)); #509773=CARTESIAN_POINT('',(28.8189,59.8055,0.035)); #509774=CARTESIAN_POINT('',(28.8189,59.8055,0.)); #509775=CARTESIAN_POINT('Origin',(28.8189,60.6945,0.)); #509776=CARTESIAN_POINT('',(28.8189,60.6945,0.)); #509777=CARTESIAN_POINT('',(28.8189,60.6945,0.)); #509778=CARTESIAN_POINT('',(28.8189,60.6945,0.035)); #509779=CARTESIAN_POINT('',(28.8189,60.6945,0.035)); #509780=CARTESIAN_POINT('',(28.8189,60.6945,0.)); #509781=CARTESIAN_POINT('Origin',(29.6571,60.6945,0.)); #509782=CARTESIAN_POINT('',(29.6571,60.6945,0.)); #509783=CARTESIAN_POINT('',(29.6571,60.6945,0.)); #509784=CARTESIAN_POINT('',(29.6571,60.6945,0.035)); #509785=CARTESIAN_POINT('',(29.6571,60.6945,0.035)); #509786=CARTESIAN_POINT('',(29.6571,60.6945,0.)); #509787=CARTESIAN_POINT('Origin',(29.6571,60.010506,0.)); #509788=CARTESIAN_POINT('',(29.6571,60.010506,0.)); #509789=CARTESIAN_POINT('',(29.6571,60.010506,0.)); #509790=CARTESIAN_POINT('',(29.6571,60.010506,0.035)); #509791=CARTESIAN_POINT('',(29.6571,60.010506,0.035)); #509792=CARTESIAN_POINT('',(29.6571,60.010506,0.)); #509793=CARTESIAN_POINT('Origin',(30.040606,59.627,0.)); #509794=CARTESIAN_POINT('',(30.040606,59.627,0.)); #509795=CARTESIAN_POINT('',(30.040606,59.627,0.)); #509796=CARTESIAN_POINT('',(30.040606,59.627,0.035)); #509797=CARTESIAN_POINT('',(30.040606,59.627,0.035)); #509798=CARTESIAN_POINT('',(30.040606,59.627,0.)); #509799=CARTESIAN_POINT('Origin',(32.75,59.627,0.)); #509800=CARTESIAN_POINT('',(32.75,59.627,0.)); #509801=CARTESIAN_POINT('',(32.75,59.627,0.)); #509802=CARTESIAN_POINT('',(32.75,59.627,0.035)); #509803=CARTESIAN_POINT('',(32.75,59.627,0.035)); #509804=CARTESIAN_POINT('',(32.75,59.627,0.)); #509805=CARTESIAN_POINT('Origin',(32.7500007497004,59.4999996912748,0.)); #509806=CARTESIAN_POINT('',(32.839803,59.589803,0.)); #509807=CARTESIAN_POINT('Origin',(32.7500007497004,59.4999996912748,0.)); #509808=CARTESIAN_POINT('',(32.839803,59.589803,0.035)); #509809=CARTESIAN_POINT('Origin',(32.7500007497004,59.4999996912748,0.035)); #509810=CARTESIAN_POINT('',(32.839803,59.589803,0.)); #509811=CARTESIAN_POINT('Origin',(33.714803,58.714803,0.)); #509812=CARTESIAN_POINT('',(33.714803,58.714803,0.)); #509813=CARTESIAN_POINT('',(33.714803,58.714803,0.)); #509814=CARTESIAN_POINT('',(33.714803,58.714803,0.035)); #509815=CARTESIAN_POINT('',(33.714803,58.714803,0.035)); #509816=CARTESIAN_POINT('',(33.714803,58.714803,0.)); #509817=CARTESIAN_POINT('Origin',(33.6249996912748,58.6250007497004,0.)); #509818=CARTESIAN_POINT('',(33.752,58.625,0.)); #509819=CARTESIAN_POINT('Origin',(33.6249996912748,58.6250007497004,0.)); #509820=CARTESIAN_POINT('',(33.752,58.625,0.035)); #509821=CARTESIAN_POINT('Origin',(33.6249996912748,58.6250007497004,0.035)); #509822=CARTESIAN_POINT('',(33.752,58.625,0.)); #509823=CARTESIAN_POINT('Origin',(33.752,56.,0.)); #509824=CARTESIAN_POINT('',(33.752,56.,0.)); #509825=CARTESIAN_POINT('',(33.752,56.,0.)); #509826=CARTESIAN_POINT('',(33.752,56.,0.035)); #509827=CARTESIAN_POINT('',(33.752,56.,0.035)); #509828=CARTESIAN_POINT('',(33.752,56.,0.)); #509829=CARTESIAN_POINT('Origin',(33.6249996912748,55.9999992502996,0.)); #509830=CARTESIAN_POINT('',(33.714803,55.910197,0.)); #509831=CARTESIAN_POINT('Origin',(33.6249996912748,55.9999992502996,0.)); #509832=CARTESIAN_POINT('',(33.714803,55.910197,0.035)); #509833=CARTESIAN_POINT('Origin',(33.6249996912748,55.9999992502996,0.035)); #509834=CARTESIAN_POINT('',(33.714803,55.910197,0.)); #509835=CARTESIAN_POINT('Origin',(33.377,55.572394,0.)); #509836=CARTESIAN_POINT('',(33.377,55.572394,0.)); #509837=CARTESIAN_POINT('',(33.377,55.572394,0.)); #509838=CARTESIAN_POINT('',(33.377,55.572394,0.035)); #509839=CARTESIAN_POINT('',(33.377,55.572394,0.035)); #509840=CARTESIAN_POINT('',(33.377,55.572394,0.)); #509841=CARTESIAN_POINT('Origin',(33.377,55.243928,0.)); #509842=CARTESIAN_POINT('',(33.377,55.243928,0.)); #509843=CARTESIAN_POINT('',(33.377,55.243928,0.)); #509844=CARTESIAN_POINT('',(33.377,55.243928,0.035)); #509845=CARTESIAN_POINT('',(33.377,55.243928,0.035)); #509846=CARTESIAN_POINT('',(33.377,55.243928,0.)); #509847=CARTESIAN_POINT('Origin',(33.2499453752955,54.999554310534,0.)); #509848=CARTESIAN_POINT('Origin',(33.2499453752955,54.999554310534,0.)); #509849=CARTESIAN_POINT('Origin',(33.2499453752955,54.999554310534,0.035)); #509850=CARTESIAN_POINT('Origin',(32.0511275290298,58.3686037152481,0.035)); #509851=CARTESIAN_POINT('Origin',(32.0511275290298,58.3686037152481,0.)); #509852=CARTESIAN_POINT('Origin',(39.25,16.5,-200.)); #509853=CARTESIAN_POINT('',(39.11,16.5,0.)); #509854=CARTESIAN_POINT('Origin',(39.25,16.5,0.)); #509855=CARTESIAN_POINT('',(39.11,16.5,0.0349999999999895)); #509856=CARTESIAN_POINT('',(39.11,16.5,-200.)); #509857=CARTESIAN_POINT('Origin',(39.25,16.5,0.0349999999999895)); #509858=CARTESIAN_POINT('Origin',(37.7125,16.1125,0.)); #509859=CARTESIAN_POINT('',(37.7125,16.1125,0.)); #509860=CARTESIAN_POINT('',(37.7125,16.123,0.)); #509861=CARTESIAN_POINT('',(37.7125,16.1125,0.)); #509862=CARTESIAN_POINT('',(37.7125,16.123,0.035)); #509863=CARTESIAN_POINT('',(37.7125,16.123,0.)); #509864=CARTESIAN_POINT('',(37.7125,16.1125,0.035)); #509865=CARTESIAN_POINT('',(37.7125,16.1125,0.035)); #509866=CARTESIAN_POINT('',(37.7125,16.1125,0.)); #509867=CARTESIAN_POINT('Origin',(37.0875,16.1125,0.)); #509868=CARTESIAN_POINT('',(37.0875,16.1125,0.)); #509869=CARTESIAN_POINT('',(37.0875,16.1125,0.)); #509870=CARTESIAN_POINT('',(37.0875,16.1125,0.035)); #509871=CARTESIAN_POINT('',(37.0875,16.1125,0.035)); #509872=CARTESIAN_POINT('',(37.0875,16.1125,0.)); #509873=CARTESIAN_POINT('Origin',(37.0875,16.3875,0.)); #509874=CARTESIAN_POINT('',(37.0875,16.3875,0.)); #509875=CARTESIAN_POINT('',(37.0875,16.3875,0.)); #509876=CARTESIAN_POINT('',(37.0875,16.3875,0.035)); #509877=CARTESIAN_POINT('',(37.0875,16.3875,0.035)); #509878=CARTESIAN_POINT('',(37.0875,16.3875,0.)); #509879=CARTESIAN_POINT('Origin',(37.7125,16.3875,0.)); #509880=CARTESIAN_POINT('',(37.7125,16.3875,0.)); #509881=CARTESIAN_POINT('',(37.7125,16.3875,0.)); #509882=CARTESIAN_POINT('',(37.7125,16.3875,0.035)); #509883=CARTESIAN_POINT('',(37.7125,16.3875,0.035)); #509884=CARTESIAN_POINT('',(37.7125,16.3875,0.)); #509885=CARTESIAN_POINT('Origin',(37.7125,16.377,0.)); #509886=CARTESIAN_POINT('',(37.7125,16.377,0.)); #509887=CARTESIAN_POINT('',(37.7125,16.377,0.)); #509888=CARTESIAN_POINT('',(37.7125,16.377,0.035)); #509889=CARTESIAN_POINT('',(37.7125,16.377,0.035)); #509890=CARTESIAN_POINT('',(37.7125,16.377,0.)); #509891=CARTESIAN_POINT('Origin',(38.197394,16.377,0.)); #509892=CARTESIAN_POINT('',(38.197394,16.377,0.)); #509893=CARTESIAN_POINT('',(38.197394,16.377,0.)); #509894=CARTESIAN_POINT('',(38.197394,16.377,0.035)); #509895=CARTESIAN_POINT('',(38.197394,16.377,0.035)); #509896=CARTESIAN_POINT('',(38.197394,16.377,0.)); #509897=CARTESIAN_POINT('Origin',(38.410197,16.589803,0.)); #509898=CARTESIAN_POINT('',(38.410197,16.589803,0.)); #509899=CARTESIAN_POINT('',(38.410197,16.589803,0.)); #509900=CARTESIAN_POINT('',(38.410197,16.589803,0.035)); #509901=CARTESIAN_POINT('',(38.410197,16.589803,0.035)); #509902=CARTESIAN_POINT('',(38.410197,16.589803,0.)); #509903=CARTESIAN_POINT('Origin',(38.4999992502996,16.4999996912748,0.)); #509904=CARTESIAN_POINT('',(38.5,16.627,0.)); #509905=CARTESIAN_POINT('Origin',(38.4999992502996,16.4999996912748,0.)); #509906=CARTESIAN_POINT('',(38.5,16.627,0.035)); #509907=CARTESIAN_POINT('Origin',(38.4999992502996,16.4999996912748,0.035)); #509908=CARTESIAN_POINT('',(38.5,16.627,0.)); #509909=CARTESIAN_POINT('Origin',(39.006072,16.627,0.)); #509910=CARTESIAN_POINT('',(39.006072,16.627,0.)); #509911=CARTESIAN_POINT('',(39.006072,16.627,0.)); #509912=CARTESIAN_POINT('',(39.006072,16.627,0.035)); #509913=CARTESIAN_POINT('',(39.006072,16.627,0.035)); #509914=CARTESIAN_POINT('',(39.006072,16.627,0.)); #509915=CARTESIAN_POINT('Origin',(39.2504640048651,16.4999800398209,0.)); #509916=CARTESIAN_POINT('',(39.504853,16.605563,0.)); #509917=CARTESIAN_POINT('Origin',(39.2504640048651,16.4999800398209,0.)); #509918=CARTESIAN_POINT('',(39.504853,16.605563,0.035)); #509919=CARTESIAN_POINT('Origin',(39.2504640048651,16.4999800398209,0.035)); #509920=CARTESIAN_POINT('',(39.504853,16.605563,0.)); #509921=CARTESIAN_POINT('Origin',(39.2503817414141,16.5001782714048,0.)); #509922=CARTESIAN_POINT('',(39.006072,16.373,0.)); #509923=CARTESIAN_POINT('Origin',(39.2503817414141,16.5001782714048,0.)); #509924=CARTESIAN_POINT('',(39.006072,16.373,0.035)); #509925=CARTESIAN_POINT('Origin',(39.2503817414141,16.5001782714048,0.035)); #509926=CARTESIAN_POINT('',(39.006072,16.373,0.)); #509927=CARTESIAN_POINT('Origin',(38.552606,16.373,0.)); #509928=CARTESIAN_POINT('',(38.552606,16.373,0.)); #509929=CARTESIAN_POINT('',(38.552606,16.373,0.)); #509930=CARTESIAN_POINT('',(38.552606,16.373,0.035)); #509931=CARTESIAN_POINT('',(38.552606,16.373,0.035)); #509932=CARTESIAN_POINT('',(38.552606,16.373,0.)); #509933=CARTESIAN_POINT('Origin',(38.339803,16.160197,0.)); #509934=CARTESIAN_POINT('',(38.339803,16.160197,0.)); #509935=CARTESIAN_POINT('',(38.339803,16.160197,0.)); #509936=CARTESIAN_POINT('',(38.339803,16.160197,0.035)); #509937=CARTESIAN_POINT('',(38.339803,16.160197,0.035)); #509938=CARTESIAN_POINT('',(38.339803,16.160197,0.)); #509939=CARTESIAN_POINT('Origin',(38.2500007497004,16.2500003087252,0.)); #509940=CARTESIAN_POINT('',(38.25,16.123,0.)); #509941=CARTESIAN_POINT('Origin',(38.2500007497004,16.2500003087252,0.)); #509942=CARTESIAN_POINT('',(38.25,16.123,0.035)); #509943=CARTESIAN_POINT('Origin',(38.2500007497004,16.2500003087252,0.035)); #509944=CARTESIAN_POINT('',(38.25,16.123,0.)); #509945=CARTESIAN_POINT('Origin',(37.7125,16.123,0.)); #509946=CARTESIAN_POINT('',(37.7125,16.123,0.)); #509947=CARTESIAN_POINT('',(37.7125,16.123,0.035)); #509948=CARTESIAN_POINT('Origin',(38.3266703856477,16.3730172453431,0.035)); #509949=CARTESIAN_POINT('Origin',(38.3266703856477,16.3730172453431,0.)); #509950=CARTESIAN_POINT('Origin',(42.,36.,-200.)); #509951=CARTESIAN_POINT('',(41.86,36.,0.)); #509952=CARTESIAN_POINT('Origin',(42.,36.,0.)); #509953=CARTESIAN_POINT('',(41.86,36.,0.0349999999999895)); #509954=CARTESIAN_POINT('',(41.86,36.,-200.)); #509955=CARTESIAN_POINT('Origin',(42.,36.,0.0349999999999895)); #509956=CARTESIAN_POINT('Origin',(52.1811,50.627,0.)); #509957=CARTESIAN_POINT('',(52.1811,50.627,0.)); #509958=CARTESIAN_POINT('',(52.1811,50.9445,0.)); #509959=CARTESIAN_POINT('',(52.1811,50.627,0.)); #509960=CARTESIAN_POINT('',(52.1811,50.9445,0.035)); #509961=CARTESIAN_POINT('',(52.1811,50.9445,0.)); #509962=CARTESIAN_POINT('',(52.1811,50.627,0.035)); #509963=CARTESIAN_POINT('',(52.1811,50.627,0.035)); #509964=CARTESIAN_POINT('',(52.1811,50.627,0.)); #509965=CARTESIAN_POINT('Origin',(53.8189,50.627,0.)); #509966=CARTESIAN_POINT('',(53.8189,50.627,0.)); #509967=CARTESIAN_POINT('',(53.8189,50.627,0.)); #509968=CARTESIAN_POINT('',(53.8189,50.627,0.035)); #509969=CARTESIAN_POINT('',(53.8189,50.627,0.035)); #509970=CARTESIAN_POINT('',(53.8189,50.627,0.)); #509971=CARTESIAN_POINT('Origin',(53.8189,50.9445,0.)); #509972=CARTESIAN_POINT('',(53.8189,50.9445,0.)); #509973=CARTESIAN_POINT('',(53.8189,50.9445,0.)); #509974=CARTESIAN_POINT('',(53.8189,50.9445,0.035)); #509975=CARTESIAN_POINT('',(53.8189,50.9445,0.035)); #509976=CARTESIAN_POINT('',(53.8189,50.9445,0.)); #509977=CARTESIAN_POINT('Origin',(54.6571,50.9445,0.)); #509978=CARTESIAN_POINT('',(54.6571,50.9445,0.)); #509979=CARTESIAN_POINT('',(54.6571,50.9445,0.)); #509980=CARTESIAN_POINT('',(54.6571,50.9445,0.035)); #509981=CARTESIAN_POINT('',(54.6571,50.9445,0.035)); #509982=CARTESIAN_POINT('',(54.6571,50.9445,0.)); #509983=CARTESIAN_POINT('Origin',(54.6571,50.0555,0.)); #509984=CARTESIAN_POINT('',(54.6571,50.0555,0.)); #509985=CARTESIAN_POINT('',(54.6571,50.0555,0.)); #509986=CARTESIAN_POINT('',(54.6571,50.0555,0.035)); #509987=CARTESIAN_POINT('',(54.6571,50.0555,0.035)); #509988=CARTESIAN_POINT('',(54.6571,50.0555,0.)); #509989=CARTESIAN_POINT('Origin',(53.8189,50.0555,0.)); #509990=CARTESIAN_POINT('',(53.8189,50.0555,0.)); #509991=CARTESIAN_POINT('',(53.8189,50.0555,0.)); #509992=CARTESIAN_POINT('',(53.8189,50.0555,0.035)); #509993=CARTESIAN_POINT('',(53.8189,50.0555,0.035)); #509994=CARTESIAN_POINT('',(53.8189,50.0555,0.)); #509995=CARTESIAN_POINT('Origin',(53.8189,50.373,0.)); #509996=CARTESIAN_POINT('',(53.8189,50.373,0.)); #509997=CARTESIAN_POINT('',(53.8189,50.373,0.)); #509998=CARTESIAN_POINT('',(53.8189,50.373,0.035)); #509999=CARTESIAN_POINT('',(53.8189,50.373,0.035)); #510000=CARTESIAN_POINT('',(53.8189,50.373,0.)); #510001=CARTESIAN_POINT('Origin',(53.302606,50.373,0.)); #510002=CARTESIAN_POINT('',(53.302606,50.373,0.)); #510003=CARTESIAN_POINT('',(53.302606,50.373,0.)); #510004=CARTESIAN_POINT('',(53.302606,50.373,0.035)); #510005=CARTESIAN_POINT('',(53.302606,50.373,0.035)); #510006=CARTESIAN_POINT('',(53.302606,50.373,0.)); #510007=CARTESIAN_POINT('Origin',(53.127,50.197394,0.)); #510008=CARTESIAN_POINT('',(53.127,50.197394,0.)); #510009=CARTESIAN_POINT('',(53.127,50.197394,0.)); #510010=CARTESIAN_POINT('',(53.127,50.197394,0.035)); #510011=CARTESIAN_POINT('',(53.127,50.197394,0.035)); #510012=CARTESIAN_POINT('',(53.127,50.197394,0.)); #510013=CARTESIAN_POINT('Origin',(53.127,46.,0.)); #510014=CARTESIAN_POINT('',(53.127,46.,0.)); #510015=CARTESIAN_POINT('',(53.127,46.,0.)); #510016=CARTESIAN_POINT('',(53.127,46.,0.035)); #510017=CARTESIAN_POINT('',(53.127,46.,0.035)); #510018=CARTESIAN_POINT('',(53.127,46.,0.)); #510019=CARTESIAN_POINT('Origin',(52.9999996912748,45.9999992502996,0.)); #510020=CARTESIAN_POINT('',(53.089803,45.910197,0.)); #510021=CARTESIAN_POINT('Origin',(52.9999996912748,45.9999992502996,0.)); #510022=CARTESIAN_POINT('',(53.089803,45.910197,0.035)); #510023=CARTESIAN_POINT('Origin',(52.9999996912748,45.9999992502996,0.035)); #510024=CARTESIAN_POINT('',(53.089803,45.910197,0.)); #510025=CARTESIAN_POINT('Origin',(52.589803,45.410197,0.)); #510026=CARTESIAN_POINT('',(52.589803,45.410197,0.)); #510027=CARTESIAN_POINT('',(52.589803,45.410197,0.)); #510028=CARTESIAN_POINT('',(52.589803,45.410197,0.035)); #510029=CARTESIAN_POINT('',(52.589803,45.410197,0.035)); #510030=CARTESIAN_POINT('',(52.589803,45.410197,0.)); #510031=CARTESIAN_POINT('Origin',(52.5000007497004,45.5000003087252,0.)); #510032=CARTESIAN_POINT('',(52.5,45.373,0.)); #510033=CARTESIAN_POINT('Origin',(52.5000007497004,45.5000003087252,0.)); #510034=CARTESIAN_POINT('',(52.5,45.373,0.035)); #510035=CARTESIAN_POINT('Origin',(52.5000007497004,45.5000003087252,0.035)); #510036=CARTESIAN_POINT('',(52.5,45.373,0.)); #510037=CARTESIAN_POINT('Origin',(50.052606,45.373,0.)); #510038=CARTESIAN_POINT('',(50.052606,45.373,0.)); #510039=CARTESIAN_POINT('',(50.052606,45.373,0.)); #510040=CARTESIAN_POINT('',(50.052606,45.373,0.035)); #510041=CARTESIAN_POINT('',(50.052606,45.373,0.035)); #510042=CARTESIAN_POINT('',(50.052606,45.373,0.)); #510043=CARTESIAN_POINT('Origin',(49.252,44.572394,0.)); #510044=CARTESIAN_POINT('',(49.252,44.572394,0.)); #510045=CARTESIAN_POINT('',(49.252,44.572394,0.)); #510046=CARTESIAN_POINT('',(49.252,44.572394,0.035)); #510047=CARTESIAN_POINT('',(49.252,44.572394,0.035)); #510048=CARTESIAN_POINT('',(49.252,44.572394,0.)); #510049=CARTESIAN_POINT('Origin',(49.252,39.344663,0.)); #510050=CARTESIAN_POINT('',(49.252,39.344663,0.)); #510051=CARTESIAN_POINT('',(49.252,39.344663,0.)); #510052=CARTESIAN_POINT('',(49.252,39.344663,0.035)); #510053=CARTESIAN_POINT('',(49.252,39.344663,0.035)); #510054=CARTESIAN_POINT('',(49.252,39.344663,0.)); #510055=CARTESIAN_POINT('Origin',(49.124998484168,39.3446617502996,0.)); #510056=CARTESIAN_POINT('',(49.214803,39.254859,0.)); #510057=CARTESIAN_POINT('Origin',(49.124998484168,39.3446617502996,0.)); #510058=CARTESIAN_POINT('',(49.214803,39.254859,0.035)); #510059=CARTESIAN_POINT('Origin',(49.124998484168,39.3446617502996,0.035)); #510060=CARTESIAN_POINT('',(49.214803,39.254859,0.)); #510061=CARTESIAN_POINT('Origin',(48.620141,38.660197,0.)); #510062=CARTESIAN_POINT('',(48.620141,38.660197,0.)); #510063=CARTESIAN_POINT('',(48.620141,38.660197,0.)); #510064=CARTESIAN_POINT('',(48.620141,38.660197,0.035)); #510065=CARTESIAN_POINT('',(48.620141,38.660197,0.035)); #510066=CARTESIAN_POINT('',(48.620141,38.660197,0.)); #510067=CARTESIAN_POINT('Origin',(48.5303387497004,38.7500003087252,0.)); #510068=CARTESIAN_POINT('',(48.530338,38.623,0.)); #510069=CARTESIAN_POINT('Origin',(48.5303387497004,38.7500003087252,0.)); #510070=CARTESIAN_POINT('',(48.530338,38.623,0.035)); #510071=CARTESIAN_POINT('Origin',(48.5303387497004,38.7500003087252,0.035)); #510072=CARTESIAN_POINT('',(48.530338,38.623,0.)); #510073=CARTESIAN_POINT('Origin',(44.173956,38.623,0.)); #510074=CARTESIAN_POINT('',(44.173956,38.623,0.)); #510075=CARTESIAN_POINT('',(44.173956,38.623,0.)); #510076=CARTESIAN_POINT('',(44.173956,38.623,0.035)); #510077=CARTESIAN_POINT('',(44.173956,38.623,0.035)); #510078=CARTESIAN_POINT('',(44.173956,38.623,0.)); #510079=CARTESIAN_POINT('Origin',(42.127,36.576044,0.)); #510080=CARTESIAN_POINT('',(42.127,36.576044,0.)); #510081=CARTESIAN_POINT('',(42.127,36.576044,0.)); #510082=CARTESIAN_POINT('',(42.127,36.576044,0.035)); #510083=CARTESIAN_POINT('',(42.127,36.576044,0.035)); #510084=CARTESIAN_POINT('',(42.127,36.576044,0.)); #510085=CARTESIAN_POINT('Origin',(42.127,36.243928,0.)); #510086=CARTESIAN_POINT('',(42.127,36.243928,0.)); #510087=CARTESIAN_POINT('',(42.127,36.243928,0.)); #510088=CARTESIAN_POINT('',(42.127,36.243928,0.035)); #510089=CARTESIAN_POINT('',(42.127,36.243928,0.035)); #510090=CARTESIAN_POINT('',(42.127,36.243928,0.)); #510091=CARTESIAN_POINT('Origin',(41.9999800398209,35.9995359951349,0.)); #510092=CARTESIAN_POINT('',(42.105563,35.745147,0.)); #510093=CARTESIAN_POINT('Origin',(41.9999800398209,35.9995359951349,0.)); #510094=CARTESIAN_POINT('',(42.105563,35.745147,0.035)); #510095=CARTESIAN_POINT('Origin',(41.9999800398209,35.9995359951349,0.035)); #510096=CARTESIAN_POINT('',(42.105563,35.745147,0.)); #510097=CARTESIAN_POINT('Origin',(42.0001782714048,35.9996182585859,0.)); #510098=CARTESIAN_POINT('',(41.873,36.243928,0.)); #510099=CARTESIAN_POINT('Origin',(42.0001782714048,35.9996182585859,0.)); #510100=CARTESIAN_POINT('',(41.873,36.243928,0.035)); #510101=CARTESIAN_POINT('Origin',(42.0001782714048,35.9996182585859,0.035)); #510102=CARTESIAN_POINT('',(41.873,36.243928,0.)); #510103=CARTESIAN_POINT('Origin',(41.873,36.62865,0.)); #510104=CARTESIAN_POINT('',(41.873,36.62865,0.)); #510105=CARTESIAN_POINT('',(41.873,36.62865,0.)); #510106=CARTESIAN_POINT('',(41.873,36.62865,0.035)); #510107=CARTESIAN_POINT('',(41.873,36.62865,0.035)); #510108=CARTESIAN_POINT('',(41.873,36.62865,0.)); #510109=CARTESIAN_POINT('Origin',(42.0000003087252,36.6286507497004,0.)); #510110=CARTESIAN_POINT('',(41.910197,36.718453,0.)); #510111=CARTESIAN_POINT('Origin',(42.0000003087252,36.6286507497004,0.)); #510112=CARTESIAN_POINT('',(41.910197,36.718453,0.035)); #510113=CARTESIAN_POINT('Origin',(42.0000003087252,36.6286507497004,0.035)); #510114=CARTESIAN_POINT('',(41.910197,36.718453,0.)); #510115=CARTESIAN_POINT('Origin',(44.031547,38.839803,0.)); #510116=CARTESIAN_POINT('',(44.031547,38.839803,0.)); #510117=CARTESIAN_POINT('',(44.031547,38.839803,0.)); #510118=CARTESIAN_POINT('',(44.031547,38.839803,0.035)); #510119=CARTESIAN_POINT('',(44.031547,38.839803,0.035)); #510120=CARTESIAN_POINT('',(44.031547,38.839803,0.)); #510121=CARTESIAN_POINT('Origin',(44.1213492502996,38.7499996912748,0.)); #510122=CARTESIAN_POINT('',(44.12135,38.877,0.)); #510123=CARTESIAN_POINT('Origin',(44.1213492502996,38.7499996912748,0.)); #510124=CARTESIAN_POINT('',(44.12135,38.877,0.035)); #510125=CARTESIAN_POINT('Origin',(44.1213492502996,38.7499996912748,0.035)); #510126=CARTESIAN_POINT('',(44.12135,38.877,0.)); #510127=CARTESIAN_POINT('Origin',(48.477731,38.877,0.)); #510128=CARTESIAN_POINT('',(48.477731,38.877,0.)); #510129=CARTESIAN_POINT('',(48.477731,38.877,0.)); #510130=CARTESIAN_POINT('',(48.477731,38.877,0.035)); #510131=CARTESIAN_POINT('',(48.477731,38.877,0.035)); #510132=CARTESIAN_POINT('',(48.477731,38.877,0.)); #510133=CARTESIAN_POINT('Origin',(48.998,39.397269,0.)); #510134=CARTESIAN_POINT('',(48.998,39.397269,0.)); #510135=CARTESIAN_POINT('',(48.998,39.397269,0.)); #510136=CARTESIAN_POINT('',(48.998,39.397269,0.035)); #510137=CARTESIAN_POINT('',(48.998,39.397269,0.035)); #510138=CARTESIAN_POINT('',(48.998,39.397269,0.)); #510139=CARTESIAN_POINT('Origin',(48.998,44.625,0.)); #510140=CARTESIAN_POINT('',(48.998,44.625,0.)); #510141=CARTESIAN_POINT('',(48.998,44.625,0.)); #510142=CARTESIAN_POINT('',(48.998,44.625,0.035)); #510143=CARTESIAN_POINT('',(48.998,44.625,0.035)); #510144=CARTESIAN_POINT('',(48.998,44.625,0.)); #510145=CARTESIAN_POINT('Origin',(49.1250003087252,44.6250007497004,0.)); #510146=CARTESIAN_POINT('',(49.035197,44.714803,0.)); #510147=CARTESIAN_POINT('Origin',(49.1250003087252,44.6250007497004,0.)); #510148=CARTESIAN_POINT('',(49.035197,44.714803,0.035)); #510149=CARTESIAN_POINT('Origin',(49.1250003087252,44.6250007497004,0.035)); #510150=CARTESIAN_POINT('',(49.035197,44.714803,0.)); #510151=CARTESIAN_POINT('Origin',(49.910197,45.589803,0.)); #510152=CARTESIAN_POINT('',(49.910197,45.589803,0.)); #510153=CARTESIAN_POINT('',(49.910197,45.589803,0.)); #510154=CARTESIAN_POINT('',(49.910197,45.589803,0.035)); #510155=CARTESIAN_POINT('',(49.910197,45.589803,0.035)); #510156=CARTESIAN_POINT('',(49.910197,45.589803,0.)); #510157=CARTESIAN_POINT('Origin',(49.9999992502996,45.4999996912748,0.)); #510158=CARTESIAN_POINT('',(50.,45.627,0.)); #510159=CARTESIAN_POINT('Origin',(49.9999992502996,45.4999996912748,0.)); #510160=CARTESIAN_POINT('',(50.,45.627,0.035)); #510161=CARTESIAN_POINT('Origin',(49.9999992502996,45.4999996912748,0.035)); #510162=CARTESIAN_POINT('',(50.,45.627,0.)); #510163=CARTESIAN_POINT('Origin',(52.447394,45.627,0.)); #510164=CARTESIAN_POINT('',(52.447394,45.627,0.)); #510165=CARTESIAN_POINT('',(52.447394,45.627,0.)); #510166=CARTESIAN_POINT('',(52.447394,45.627,0.035)); #510167=CARTESIAN_POINT('',(52.447394,45.627,0.035)); #510168=CARTESIAN_POINT('',(52.447394,45.627,0.)); #510169=CARTESIAN_POINT('Origin',(52.873,46.052606,0.)); #510170=CARTESIAN_POINT('',(52.873,46.052606,0.)); #510171=CARTESIAN_POINT('',(52.873,46.052606,0.)); #510172=CARTESIAN_POINT('',(52.873,46.052606,0.035)); #510173=CARTESIAN_POINT('',(52.873,46.052606,0.035)); #510174=CARTESIAN_POINT('',(52.873,46.052606,0.)); #510175=CARTESIAN_POINT('Origin',(52.873,50.197394,0.)); #510176=CARTESIAN_POINT('',(52.873,50.197394,0.)); #510177=CARTESIAN_POINT('',(52.873,50.197394,0.)); #510178=CARTESIAN_POINT('',(52.873,50.197394,0.035)); #510179=CARTESIAN_POINT('',(52.873,50.197394,0.035)); #510180=CARTESIAN_POINT('',(52.873,50.197394,0.)); #510181=CARTESIAN_POINT('Origin',(52.697394,50.373,0.)); #510182=CARTESIAN_POINT('',(52.697394,50.373,0.)); #510183=CARTESIAN_POINT('',(52.697394,50.373,0.)); #510184=CARTESIAN_POINT('',(52.697394,50.373,0.035)); #510185=CARTESIAN_POINT('',(52.697394,50.373,0.035)); #510186=CARTESIAN_POINT('',(52.697394,50.373,0.)); #510187=CARTESIAN_POINT('Origin',(52.1811,50.373,0.)); #510188=CARTESIAN_POINT('',(52.1811,50.373,0.)); #510189=CARTESIAN_POINT('',(52.1811,50.373,0.)); #510190=CARTESIAN_POINT('',(52.1811,50.373,0.035)); #510191=CARTESIAN_POINT('',(52.1811,50.373,0.035)); #510192=CARTESIAN_POINT('',(52.1811,50.373,0.)); #510193=CARTESIAN_POINT('Origin',(52.1811,50.0555,0.)); #510194=CARTESIAN_POINT('',(52.1811,50.0555,0.)); #510195=CARTESIAN_POINT('',(52.1811,50.0555,0.)); #510196=CARTESIAN_POINT('',(52.1811,50.0555,0.035)); #510197=CARTESIAN_POINT('',(52.1811,50.0555,0.035)); #510198=CARTESIAN_POINT('',(52.1811,50.0555,0.)); #510199=CARTESIAN_POINT('Origin',(51.3429,50.0555,0.)); #510200=CARTESIAN_POINT('',(51.3429,50.0555,0.)); #510201=CARTESIAN_POINT('',(51.3429,50.0555,0.)); #510202=CARTESIAN_POINT('',(51.3429,50.0555,0.035)); #510203=CARTESIAN_POINT('',(51.3429,50.0555,0.035)); #510204=CARTESIAN_POINT('',(51.3429,50.0555,0.)); #510205=CARTESIAN_POINT('Origin',(51.3429,50.9445,0.)); #510206=CARTESIAN_POINT('',(51.3429,50.9445,0.)); #510207=CARTESIAN_POINT('',(51.3429,50.9445,0.)); #510208=CARTESIAN_POINT('',(51.3429,50.9445,0.035)); #510209=CARTESIAN_POINT('',(51.3429,50.9445,0.035)); #510210=CARTESIAN_POINT('',(51.3429,50.9445,0.)); #510211=CARTESIAN_POINT('Origin',(52.1811,50.9445,0.)); #510212=CARTESIAN_POINT('',(52.1811,50.9445,0.)); #510213=CARTESIAN_POINT('',(52.1811,50.9445,0.035)); #510214=CARTESIAN_POINT('Origin',(49.284934876715,43.6057652269642,0.035)); #510215=CARTESIAN_POINT('Origin',(49.284934876715,43.6057652269642,0.)); #510216=CARTESIAN_POINT('Origin',(42.,31.,-200.)); #510217=CARTESIAN_POINT('',(41.86,31.,0.)); #510218=CARTESIAN_POINT('Origin',(42.,31.,0.)); #510219=CARTESIAN_POINT('',(41.86,31.,0.0349999999999895)); #510220=CARTESIAN_POINT('',(41.86,31.,-200.)); #510221=CARTESIAN_POINT('Origin',(42.,31.,0.0349999999999895)); #510222=CARTESIAN_POINT('Origin',(36.3875,20.0875,0.)); #510223=CARTESIAN_POINT('',(36.3875,20.0875,0.)); #510224=CARTESIAN_POINT('',(36.3875,20.7125,0.)); #510225=CARTESIAN_POINT('',(36.3875,20.0875,0.)); #510226=CARTESIAN_POINT('',(36.3875,20.7125,0.035)); #510227=CARTESIAN_POINT('',(36.3875,20.7125,0.)); #510228=CARTESIAN_POINT('',(36.3875,20.0875,0.035)); #510229=CARTESIAN_POINT('',(36.3875,20.0875,0.035)); #510230=CARTESIAN_POINT('',(36.3875,20.0875,0.)); #510231=CARTESIAN_POINT('Origin',(36.1125,20.0875,0.)); #510232=CARTESIAN_POINT('',(36.1125,20.0875,0.)); #510233=CARTESIAN_POINT('',(36.1125,20.0875,0.)); #510234=CARTESIAN_POINT('',(36.1125,20.0875,0.035)); #510235=CARTESIAN_POINT('',(36.1125,20.0875,0.035)); #510236=CARTESIAN_POINT('',(36.1125,20.0875,0.)); #510237=CARTESIAN_POINT('Origin',(36.1125,20.7125,0.)); #510238=CARTESIAN_POINT('',(36.1125,20.7125,0.)); #510239=CARTESIAN_POINT('',(36.1125,20.7125,0.)); #510240=CARTESIAN_POINT('',(36.1125,20.7125,0.035)); #510241=CARTESIAN_POINT('',(36.1125,20.7125,0.035)); #510242=CARTESIAN_POINT('',(36.1125,20.7125,0.)); #510243=CARTESIAN_POINT('Origin',(36.123,20.7125,0.)); #510244=CARTESIAN_POINT('',(36.123,20.7125,0.)); #510245=CARTESIAN_POINT('',(36.123,20.7125,0.)); #510246=CARTESIAN_POINT('',(36.123,20.7125,0.035)); #510247=CARTESIAN_POINT('',(36.123,20.7125,0.035)); #510248=CARTESIAN_POINT('',(36.123,20.7125,0.)); #510249=CARTESIAN_POINT('Origin',(36.123,21.848313,0.)); #510250=CARTESIAN_POINT('',(36.123,21.848313,0.)); #510251=CARTESIAN_POINT('',(36.123,21.848313,0.)); #510252=CARTESIAN_POINT('',(36.123,21.848313,0.035)); #510253=CARTESIAN_POINT('',(36.123,21.848313,0.035)); #510254=CARTESIAN_POINT('',(36.123,21.848313,0.)); #510255=CARTESIAN_POINT('Origin',(36.2500003087252,21.8483137497004,0.)); #510256=CARTESIAN_POINT('',(36.160197,21.938116,0.)); #510257=CARTESIAN_POINT('Origin',(36.2500003087252,21.8483137497004,0.)); #510258=CARTESIAN_POINT('',(36.160197,21.938116,0.035)); #510259=CARTESIAN_POINT('Origin',(36.2500003087252,21.8483137497004,0.035)); #510260=CARTESIAN_POINT('',(36.160197,21.938116,0.)); #510261=CARTESIAN_POINT('Origin',(38.748,24.525919,0.)); #510262=CARTESIAN_POINT('',(38.748,24.525919,0.)); #510263=CARTESIAN_POINT('',(38.748,24.525919,0.)); #510264=CARTESIAN_POINT('',(38.748,24.525919,0.035)); #510265=CARTESIAN_POINT('',(38.748,24.525919,0.035)); #510266=CARTESIAN_POINT('',(38.748,24.525919,0.)); #510267=CARTESIAN_POINT('Origin',(38.748,26.155338,0.)); #510268=CARTESIAN_POINT('',(38.748,26.155338,0.)); #510269=CARTESIAN_POINT('',(38.748,26.155338,0.)); #510270=CARTESIAN_POINT('',(38.748,26.155338,0.035)); #510271=CARTESIAN_POINT('',(38.748,26.155338,0.035)); #510272=CARTESIAN_POINT('',(38.748,26.155338,0.)); #510273=CARTESIAN_POINT('Origin',(38.8750003087252,26.1553387497004,0.)); #510274=CARTESIAN_POINT('',(38.785197,26.245141,0.)); #510275=CARTESIAN_POINT('Origin',(38.8750003087252,26.1553387497004,0.)); #510276=CARTESIAN_POINT('',(38.785197,26.245141,0.035)); #510277=CARTESIAN_POINT('Origin',(38.8750003087252,26.1553387497004,0.035)); #510278=CARTESIAN_POINT('',(38.785197,26.245141,0.)); #510279=CARTESIAN_POINT('Origin',(41.873,29.332944,0.)); #510280=CARTESIAN_POINT('',(41.873,29.332944,0.)); #510281=CARTESIAN_POINT('',(41.873,29.332944,0.)); #510282=CARTESIAN_POINT('',(41.873,29.332944,0.035)); #510283=CARTESIAN_POINT('',(41.873,29.332944,0.035)); #510284=CARTESIAN_POINT('',(41.873,29.332944,0.)); #510285=CARTESIAN_POINT('Origin',(41.873,30.756072,0.)); #510286=CARTESIAN_POINT('',(41.873,30.756072,0.)); #510287=CARTESIAN_POINT('',(41.873,30.756072,0.)); #510288=CARTESIAN_POINT('',(41.873,30.756072,0.035)); #510289=CARTESIAN_POINT('',(41.873,30.756072,0.035)); #510290=CARTESIAN_POINT('',(41.873,30.756072,0.)); #510291=CARTESIAN_POINT('Origin',(42.0000204601791,31.0004637716938,0.)); #510292=CARTESIAN_POINT('',(41.894438,31.254853,0.)); #510293=CARTESIAN_POINT('Origin',(42.0000204601791,31.0004637716938,0.)); #510294=CARTESIAN_POINT('',(41.894438,31.254853,0.035)); #510295=CARTESIAN_POINT('Origin',(42.0000204601791,31.0004637716938,0.035)); #510296=CARTESIAN_POINT('',(41.894438,31.254853,0.)); #510297=CARTESIAN_POINT('Origin',(41.9998222285952,31.000381763261,0.)); #510298=CARTESIAN_POINT('',(42.127,30.756072,0.)); #510299=CARTESIAN_POINT('Origin',(41.9998222285952,31.000381763261,0.)); #510300=CARTESIAN_POINT('',(42.127,30.756072,0.035)); #510301=CARTESIAN_POINT('Origin',(41.9998222285952,31.000381763261,0.035)); #510302=CARTESIAN_POINT('',(42.127,30.756072,0.)); #510303=CARTESIAN_POINT('Origin',(42.127,29.280338,0.)); #510304=CARTESIAN_POINT('',(42.127,29.280338,0.)); #510305=CARTESIAN_POINT('',(42.127,29.280338,0.)); #510306=CARTESIAN_POINT('',(42.127,29.280338,0.035)); #510307=CARTESIAN_POINT('',(42.127,29.280338,0.035)); #510308=CARTESIAN_POINT('',(42.127,29.280338,0.)); #510309=CARTESIAN_POINT('Origin',(41.999998484168,29.2803367502996,0.)); #510310=CARTESIAN_POINT('',(42.089803,29.190534,0.)); #510311=CARTESIAN_POINT('Origin',(41.999998484168,29.2803367502996,0.)); #510312=CARTESIAN_POINT('',(42.089803,29.190534,0.035)); #510313=CARTESIAN_POINT('Origin',(41.999998484168,29.2803367502996,0.035)); #510314=CARTESIAN_POINT('',(42.089803,29.190534,0.)); #510315=CARTESIAN_POINT('Origin',(39.002,26.102731,0.)); #510316=CARTESIAN_POINT('',(39.002,26.102731,0.)); #510317=CARTESIAN_POINT('',(39.002,26.102731,0.)); #510318=CARTESIAN_POINT('',(39.002,26.102731,0.035)); #510319=CARTESIAN_POINT('',(39.002,26.102731,0.035)); #510320=CARTESIAN_POINT('',(39.002,26.102731,0.)); #510321=CARTESIAN_POINT('Origin',(39.002,24.473313,0.)); #510322=CARTESIAN_POINT('',(39.002,24.473313,0.)); #510323=CARTESIAN_POINT('',(39.002,24.473313,0.)); #510324=CARTESIAN_POINT('',(39.002,24.473313,0.035)); #510325=CARTESIAN_POINT('',(39.002,24.473313,0.035)); #510326=CARTESIAN_POINT('',(39.002,24.473313,0.)); #510327=CARTESIAN_POINT('Origin',(38.874998484168,24.4733117502996,0.)); #510328=CARTESIAN_POINT('',(38.964803,24.383509,0.)); #510329=CARTESIAN_POINT('Origin',(38.874998484168,24.4733117502996,0.)); #510330=CARTESIAN_POINT('',(38.964803,24.383509,0.035)); #510331=CARTESIAN_POINT('Origin',(38.874998484168,24.4733117502996,0.035)); #510332=CARTESIAN_POINT('',(38.964803,24.383509,0.)); #510333=CARTESIAN_POINT('Origin',(36.377,21.795706,0.)); #510334=CARTESIAN_POINT('',(36.377,21.795706,0.)); #510335=CARTESIAN_POINT('',(36.377,21.795706,0.)); #510336=CARTESIAN_POINT('',(36.377,21.795706,0.035)); #510337=CARTESIAN_POINT('',(36.377,21.795706,0.035)); #510338=CARTESIAN_POINT('',(36.377,21.795706,0.)); #510339=CARTESIAN_POINT('Origin',(36.377,20.7125,0.)); #510340=CARTESIAN_POINT('',(36.377,20.7125,0.)); #510341=CARTESIAN_POINT('',(36.377,20.7125,0.)); #510342=CARTESIAN_POINT('',(36.377,20.7125,0.035)); #510343=CARTESIAN_POINT('',(36.377,20.7125,0.035)); #510344=CARTESIAN_POINT('',(36.377,20.7125,0.)); #510345=CARTESIAN_POINT('Origin',(36.3875,20.7125,0.)); #510346=CARTESIAN_POINT('',(36.3875,20.7125,0.)); #510347=CARTESIAN_POINT('',(36.3875,20.7125,0.035)); #510348=CARTESIAN_POINT('Origin',(39.1566207652118,25.6985021638584,0.035)); #510349=CARTESIAN_POINT('Origin',(39.1566207652118,25.6985021638584,0.)); #510350=CARTESIAN_POINT('Origin',(34.762,60.25,-200.)); #510351=CARTESIAN_POINT('',(34.622,60.25,0.)); #510352=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #510353=CARTESIAN_POINT('',(34.622,60.25,0.0349999999999895)); #510354=CARTESIAN_POINT('',(34.622,60.25,-200.)); #510355=CARTESIAN_POINT('Origin',(34.762,60.25,0.0349999999999895)); #510356=CARTESIAN_POINT('Origin',(35.1811,59.8055,0.)); #510357=CARTESIAN_POINT('',(35.1811,59.8055,0.)); #510358=CARTESIAN_POINT('',(35.1811,60.6945,0.)); #510359=CARTESIAN_POINT('',(35.1811,59.8055,0.)); #510360=CARTESIAN_POINT('',(35.1811,60.6945,0.035)); #510361=CARTESIAN_POINT('',(35.1811,60.6945,0.)); #510362=CARTESIAN_POINT('',(35.1811,59.8055,0.035)); #510363=CARTESIAN_POINT('',(35.1811,59.8055,0.035)); #510364=CARTESIAN_POINT('',(35.1811,59.8055,0.)); #510365=CARTESIAN_POINT('Origin',(34.3429,59.8055,0.)); #510366=CARTESIAN_POINT('',(34.3429,59.8055,0.)); #510367=CARTESIAN_POINT('',(34.3429,59.8055,0.)); #510368=CARTESIAN_POINT('',(34.3429,59.8055,0.035)); #510369=CARTESIAN_POINT('',(34.3429,59.8055,0.035)); #510370=CARTESIAN_POINT('',(34.3429,59.8055,0.)); #510371=CARTESIAN_POINT('Origin',(34.3429,60.6945,0.)); #510372=CARTESIAN_POINT('',(34.3429,60.6945,0.)); #510373=CARTESIAN_POINT('',(34.3429,60.6945,0.)); #510374=CARTESIAN_POINT('',(34.3429,60.6945,0.035)); #510375=CARTESIAN_POINT('',(34.3429,60.6945,0.035)); #510376=CARTESIAN_POINT('',(34.3429,60.6945,0.)); #510377=CARTESIAN_POINT('Origin',(35.1811,60.6945,0.)); #510378=CARTESIAN_POINT('',(35.1811,60.6945,0.)); #510379=CARTESIAN_POINT('',(35.1811,60.6945,0.035)); #510380=CARTESIAN_POINT('Origin',(34.762,60.25,0.035)); #510381=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #510382=CARTESIAN_POINT('Origin',(38.762,60.25,-200.)); #510383=CARTESIAN_POINT('',(38.622,60.25,0.)); #510384=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #510385=CARTESIAN_POINT('',(38.622,60.25,0.0349999999999895)); #510386=CARTESIAN_POINT('',(38.622,60.25,-200.)); #510387=CARTESIAN_POINT('Origin',(38.762,60.25,0.0349999999999895)); #510388=CARTESIAN_POINT('Origin',(39.1811,59.8055,0.)); #510389=CARTESIAN_POINT('',(39.1811,59.8055,0.)); #510390=CARTESIAN_POINT('',(39.1811,60.6945,0.)); #510391=CARTESIAN_POINT('',(39.1811,59.8055,0.)); #510392=CARTESIAN_POINT('',(39.1811,60.6945,0.035)); #510393=CARTESIAN_POINT('',(39.1811,60.6945,0.)); #510394=CARTESIAN_POINT('',(39.1811,59.8055,0.035)); #510395=CARTESIAN_POINT('',(39.1811,59.8055,0.035)); #510396=CARTESIAN_POINT('',(39.1811,59.8055,0.)); #510397=CARTESIAN_POINT('Origin',(38.3429,59.8055,0.)); #510398=CARTESIAN_POINT('',(38.3429,59.8055,0.)); #510399=CARTESIAN_POINT('',(38.3429,59.8055,0.)); #510400=CARTESIAN_POINT('',(38.3429,59.8055,0.035)); #510401=CARTESIAN_POINT('',(38.3429,59.8055,0.035)); #510402=CARTESIAN_POINT('',(38.3429,59.8055,0.)); #510403=CARTESIAN_POINT('Origin',(38.3429,60.6945,0.)); #510404=CARTESIAN_POINT('',(38.3429,60.6945,0.)); #510405=CARTESIAN_POINT('',(38.3429,60.6945,0.)); #510406=CARTESIAN_POINT('',(38.3429,60.6945,0.035)); #510407=CARTESIAN_POINT('',(38.3429,60.6945,0.035)); #510408=CARTESIAN_POINT('',(38.3429,60.6945,0.)); #510409=CARTESIAN_POINT('Origin',(39.1811,60.6945,0.)); #510410=CARTESIAN_POINT('',(39.1811,60.6945,0.)); #510411=CARTESIAN_POINT('',(39.1811,60.6945,0.035)); #510412=CARTESIAN_POINT('Origin',(38.762,60.25,0.035)); #510413=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #510414=CARTESIAN_POINT('Origin',(34.0625,12.25,-200.)); #510415=CARTESIAN_POINT('',(33.9225,12.25,0.)); #510416=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #510417=CARTESIAN_POINT('',(33.9225,12.25,0.0349999999999895)); #510418=CARTESIAN_POINT('',(33.9225,12.25,-200.)); #510419=CARTESIAN_POINT('Origin',(34.0625,12.25,0.0349999999999895)); #510420=CARTESIAN_POINT('Origin',(34.084144,11.975,0.)); #510421=CARTESIAN_POINT('',(34.084144,11.975,0.)); #510422=CARTESIAN_POINT('',(34.126897,11.981772,0.)); #510423=CARTESIAN_POINT('',(34.084144,11.975,0.)); #510424=CARTESIAN_POINT('',(34.126897,11.981772,0.035)); #510425=CARTESIAN_POINT('',(34.126897,11.981772,0.)); #510426=CARTESIAN_POINT('',(34.084144,11.975,0.035)); #510427=CARTESIAN_POINT('',(34.084144,11.975,0.035)); #510428=CARTESIAN_POINT('',(34.084144,11.975,0.)); #510429=CARTESIAN_POINT('Origin',(34.0628155524372,12.2496034813592,0.)); #510430=CARTESIAN_POINT('',(33.800213,12.332681,0.)); #510431=CARTESIAN_POINT('Origin',(34.0628155524372,12.2496034813592,0.)); #510432=CARTESIAN_POINT('',(33.800213,12.332681,0.035)); #510433=CARTESIAN_POINT('Origin',(34.0628155524372,12.2496034813592,0.035)); #510434=CARTESIAN_POINT('',(33.800213,12.332681,0.)); #510435=CARTESIAN_POINT('Origin',(33.660197,12.472697,0.)); #510436=CARTESIAN_POINT('',(33.660197,12.472697,0.)); #510437=CARTESIAN_POINT('',(33.660197,12.472697,0.)); #510438=CARTESIAN_POINT('',(33.660197,12.472697,0.035)); #510439=CARTESIAN_POINT('',(33.660197,12.472697,0.035)); #510440=CARTESIAN_POINT('',(33.660197,12.472697,0.)); #510441=CARTESIAN_POINT('Origin',(33.7500003087252,12.5624992502996,0.)); #510442=CARTESIAN_POINT('',(33.623,12.5625,0.)); #510443=CARTESIAN_POINT('Origin',(33.7500003087252,12.5624992502996,0.)); #510444=CARTESIAN_POINT('',(33.623,12.5625,0.035)); #510445=CARTESIAN_POINT('Origin',(33.7500003087252,12.5624992502996,0.035)); #510446=CARTESIAN_POINT('',(33.623,12.5625,0.)); #510447=CARTESIAN_POINT('Origin',(33.623,13.2875,0.)); #510448=CARTESIAN_POINT('',(33.623,13.2875,0.)); #510449=CARTESIAN_POINT('',(33.623,13.2875,0.)); #510450=CARTESIAN_POINT('',(33.623,13.2875,0.035)); #510451=CARTESIAN_POINT('',(33.623,13.2875,0.035)); #510452=CARTESIAN_POINT('',(33.623,13.2875,0.)); #510453=CARTESIAN_POINT('Origin',(33.6125,13.2875,0.)); #510454=CARTESIAN_POINT('',(33.6125,13.2875,0.)); #510455=CARTESIAN_POINT('',(33.6125,13.2875,0.)); #510456=CARTESIAN_POINT('',(33.6125,13.2875,0.035)); #510457=CARTESIAN_POINT('',(33.6125,13.2875,0.035)); #510458=CARTESIAN_POINT('',(33.6125,13.2875,0.)); #510459=CARTESIAN_POINT('Origin',(33.6125,13.9125,0.)); #510460=CARTESIAN_POINT('',(33.6125,13.9125,0.)); #510461=CARTESIAN_POINT('',(33.6125,13.9125,0.)); #510462=CARTESIAN_POINT('',(33.6125,13.9125,0.035)); #510463=CARTESIAN_POINT('',(33.6125,13.9125,0.035)); #510464=CARTESIAN_POINT('',(33.6125,13.9125,0.)); #510465=CARTESIAN_POINT('Origin',(33.8875,13.9125,0.)); #510466=CARTESIAN_POINT('',(33.8875,13.9125,0.)); #510467=CARTESIAN_POINT('',(33.8875,13.9125,0.)); #510468=CARTESIAN_POINT('',(33.8875,13.9125,0.035)); #510469=CARTESIAN_POINT('',(33.8875,13.9125,0.035)); #510470=CARTESIAN_POINT('',(33.8875,13.9125,0.)); #510471=CARTESIAN_POINT('Origin',(33.8875,13.2875,0.)); #510472=CARTESIAN_POINT('',(33.8875,13.2875,0.)); #510473=CARTESIAN_POINT('',(33.8875,13.2875,0.)); #510474=CARTESIAN_POINT('',(33.8875,13.2875,0.035)); #510475=CARTESIAN_POINT('',(33.8875,13.2875,0.035)); #510476=CARTESIAN_POINT('',(33.8875,13.2875,0.)); #510477=CARTESIAN_POINT('Origin',(33.877,13.2875,0.)); #510478=CARTESIAN_POINT('',(33.877,13.2875,0.)); #510479=CARTESIAN_POINT('',(33.877,13.2875,0.)); #510480=CARTESIAN_POINT('',(33.877,13.2875,0.035)); #510481=CARTESIAN_POINT('',(33.877,13.2875,0.035)); #510482=CARTESIAN_POINT('',(33.877,13.2875,0.)); #510483=CARTESIAN_POINT('Origin',(33.877,12.615106,0.)); #510484=CARTESIAN_POINT('',(33.877,12.615106,0.)); #510485=CARTESIAN_POINT('',(33.877,12.615106,0.)); #510486=CARTESIAN_POINT('',(33.877,12.615106,0.035)); #510487=CARTESIAN_POINT('',(33.877,12.615106,0.035)); #510488=CARTESIAN_POINT('',(33.877,12.615106,0.)); #510489=CARTESIAN_POINT('Origin',(33.979819,12.512288,0.)); #510490=CARTESIAN_POINT('',(33.979819,12.512288,0.)); #510491=CARTESIAN_POINT('',(33.979819,12.512288,0.)); #510492=CARTESIAN_POINT('',(33.979819,12.512288,0.035)); #510493=CARTESIAN_POINT('',(33.979819,12.512288,0.035)); #510494=CARTESIAN_POINT('',(33.979819,12.512288,0.)); #510495=CARTESIAN_POINT('Origin',(33.998103,12.518228,0.)); #510496=CARTESIAN_POINT('',(33.998103,12.518228,0.)); #510497=CARTESIAN_POINT('',(33.998103,12.518228,0.)); #510498=CARTESIAN_POINT('',(33.998103,12.518228,0.035)); #510499=CARTESIAN_POINT('',(33.998103,12.518228,0.035)); #510500=CARTESIAN_POINT('',(33.998103,12.518228,0.)); #510501=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #510502=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #510503=CARTESIAN_POINT('Origin',(34.0625,12.25,0.035)); #510504=CARTESIAN_POINT('Origin',(33.8533052452561,12.9049823991978,0.035)); #510505=CARTESIAN_POINT('Origin',(33.8533052452561,12.9049823991978,0.)); #510506=CARTESIAN_POINT('Origin',(31.5,25.25,-200.)); #510507=CARTESIAN_POINT('',(31.035,25.25,0.)); #510508=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #510509=CARTESIAN_POINT('',(31.035,25.25,0.0349999999999895)); #510510=CARTESIAN_POINT('',(31.035,25.25,-200.)); #510511=CARTESIAN_POINT('Origin',(31.5,25.25,0.0349999999999895)); #510512=CARTESIAN_POINT('Origin',(31.536844,24.5,0.)); #510513=CARTESIAN_POINT('',(31.536844,24.5,0.)); #510514=CARTESIAN_POINT('',(31.610181,24.507222,0.)); #510515=CARTESIAN_POINT('',(31.536844,24.5,0.)); #510516=CARTESIAN_POINT('',(31.610181,24.507222,0.035)); #510517=CARTESIAN_POINT('',(31.610181,24.507222,0.)); #510518=CARTESIAN_POINT('',(31.536844,24.5,0.035)); #510519=CARTESIAN_POINT('',(31.536844,24.5,0.035)); #510520=CARTESIAN_POINT('',(31.536844,24.5,0.)); #510521=CARTESIAN_POINT('Origin',(31.5000001874156,25.2500005308286,0.)); #510522=CARTESIAN_POINT('',(31.389819,25.992778,0.)); #510523=CARTESIAN_POINT('Origin',(31.5000001874156,25.2500005308286,0.)); #510524=CARTESIAN_POINT('',(31.389819,25.992778,0.035)); #510525=CARTESIAN_POINT('Origin',(31.5000001874156,25.2500005308286,0.035)); #510526=CARTESIAN_POINT('',(31.389819,25.992778,0.)); #510527=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #510528=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #510529=CARTESIAN_POINT('Origin',(31.5,25.25,0.035)); #510530=CARTESIAN_POINT('Origin',(31.4983877860344,25.2486960162912,0.035)); #510531=CARTESIAN_POINT('Origin',(31.4983877860344,25.2486960162912,0.)); #510532=CARTESIAN_POINT('Origin',(34.,23.125,-200.)); #510533=CARTESIAN_POINT('',(33.86,23.125,0.)); #510534=CARTESIAN_POINT('Origin',(34.,23.125,0.)); #510535=CARTESIAN_POINT('',(33.86,23.125,0.0349999999999895)); #510536=CARTESIAN_POINT('',(33.86,23.125,-200.)); #510537=CARTESIAN_POINT('Origin',(34.,23.125,0.0349999999999895)); #510538=CARTESIAN_POINT('Origin',(34.,25.25,-200.)); #510539=CARTESIAN_POINT('',(33.535,25.25,0.)); #510540=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #510541=CARTESIAN_POINT('',(33.535,25.25,0.0349999999999895)); #510542=CARTESIAN_POINT('',(33.535,25.25,-200.)); #510543=CARTESIAN_POINT('Origin',(34.,25.25,0.0349999999999895)); #510544=CARTESIAN_POINT('Origin',(34.021644,22.85,0.)); #510545=CARTESIAN_POINT('',(34.021644,22.85,0.)); #510546=CARTESIAN_POINT('',(34.064397,22.856772,0.)); #510547=CARTESIAN_POINT('',(34.021644,22.85,0.)); #510548=CARTESIAN_POINT('',(34.064397,22.856772,0.035)); #510549=CARTESIAN_POINT('',(34.064397,22.856772,0.)); #510550=CARTESIAN_POINT('',(34.021644,22.85,0.035)); #510551=CARTESIAN_POINT('',(34.021644,22.85,0.035)); #510552=CARTESIAN_POINT('',(34.021644,22.85,0.)); #510553=CARTESIAN_POINT('Origin',(34.000118471131,23.1245872515008,0.)); #510554=CARTESIAN_POINT('',(33.873,23.368928,0.)); #510555=CARTESIAN_POINT('Origin',(34.000118471131,23.1245872515008,0.)); #510556=CARTESIAN_POINT('',(33.873,23.368928,0.035)); #510557=CARTESIAN_POINT('Origin',(34.000118471131,23.1245872515008,0.035)); #510558=CARTESIAN_POINT('',(33.873,23.368928,0.)); #510559=CARTESIAN_POINT('Origin',(33.873,24.510569,0.)); #510560=CARTESIAN_POINT('',(33.873,24.510569,0.)); #510561=CARTESIAN_POINT('',(33.873,24.510569,0.)); #510562=CARTESIAN_POINT('',(33.873,24.510569,0.035)); #510563=CARTESIAN_POINT('',(33.873,24.510569,0.035)); #510564=CARTESIAN_POINT('',(33.873,24.510569,0.)); #510565=CARTESIAN_POINT('Origin',(34.0000038341175,25.2503277801282,0.)); #510566=CARTESIAN_POINT('',(33.889819,25.992778,0.)); #510567=CARTESIAN_POINT('Origin',(34.0000038341175,25.2503277801282,0.)); #510568=CARTESIAN_POINT('',(33.889819,25.992778,0.035)); #510569=CARTESIAN_POINT('Origin',(34.0000038341175,25.2503277801282,0.035)); #510570=CARTESIAN_POINT('',(33.889819,25.992778,0.)); #510571=CARTESIAN_POINT('Origin',(33.9999489832548,25.250319660007,0.)); #510572=CARTESIAN_POINT('',(34.127,24.510569,0.)); #510573=CARTESIAN_POINT('Origin',(33.9999489832548,25.250319660007,0.)); #510574=CARTESIAN_POINT('',(34.127,24.510569,0.035)); #510575=CARTESIAN_POINT('Origin',(33.9999489832548,25.250319660007,0.035)); #510576=CARTESIAN_POINT('',(34.127,24.510569,0.)); #510577=CARTESIAN_POINT('Origin',(34.127,23.368928,0.)); #510578=CARTESIAN_POINT('',(34.127,23.368928,0.)); #510579=CARTESIAN_POINT('',(34.127,23.368928,0.)); #510580=CARTESIAN_POINT('',(34.127,23.368928,0.035)); #510581=CARTESIAN_POINT('',(34.127,23.368928,0.035)); #510582=CARTESIAN_POINT('',(34.127,23.368928,0.)); #510583=CARTESIAN_POINT('Origin',(33.9999453752955,23.124554310534,0.)); #510584=CARTESIAN_POINT('Origin',(33.9999453752955,23.124554310534,0.)); #510585=CARTESIAN_POINT('Origin',(33.9999453752955,23.124554310534,0.035)); #510586=CARTESIAN_POINT('Origin',(33.9975582586068,24.5191898424235,0.035)); #510587=CARTESIAN_POINT('Origin',(33.9975582586068,24.5191898424235,0.)); #510588=CARTESIAN_POINT('Origin',(36.5,25.25,-200.)); #510589=CARTESIAN_POINT('',(36.035,25.25,0.)); #510590=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #510591=CARTESIAN_POINT('',(36.035,25.25,0.0349999999999895)); #510592=CARTESIAN_POINT('',(36.035,25.25,-200.)); #510593=CARTESIAN_POINT('Origin',(36.5,25.25,0.0349999999999895)); #510594=CARTESIAN_POINT('Origin',(36.536844,24.5,0.)); #510595=CARTESIAN_POINT('',(36.536844,24.5,0.)); #510596=CARTESIAN_POINT('',(36.610181,24.507222,0.)); #510597=CARTESIAN_POINT('',(36.536844,24.5,0.)); #510598=CARTESIAN_POINT('',(36.610181,24.507222,0.035)); #510599=CARTESIAN_POINT('',(36.610181,24.507222,0.)); #510600=CARTESIAN_POINT('',(36.536844,24.5,0.035)); #510601=CARTESIAN_POINT('',(36.536844,24.5,0.035)); #510602=CARTESIAN_POINT('',(36.536844,24.5,0.)); #510603=CARTESIAN_POINT('Origin',(36.5000001874156,25.2500005308286,0.)); #510604=CARTESIAN_POINT('',(36.389819,25.992778,0.)); #510605=CARTESIAN_POINT('Origin',(36.5000001874156,25.2500005308286,0.)); #510606=CARTESIAN_POINT('',(36.389819,25.992778,0.035)); #510607=CARTESIAN_POINT('Origin',(36.5000001874156,25.2500005308286,0.035)); #510608=CARTESIAN_POINT('',(36.389819,25.992778,0.)); #510609=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #510610=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #510611=CARTESIAN_POINT('Origin',(36.5,25.25,0.035)); #510612=CARTESIAN_POINT('Origin',(36.4983877860344,25.2486960162912,0.035)); #510613=CARTESIAN_POINT('Origin',(36.4983877860344,25.2486960162912,0.)); #510614=CARTESIAN_POINT('Origin',(30.19,45.,-200.)); #510615=CARTESIAN_POINT('',(29.625,45.,0.)); #510616=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #510617=CARTESIAN_POINT('',(29.625,45.,0.0349999999999895)); #510618=CARTESIAN_POINT('',(29.625,45.,-200.)); #510619=CARTESIAN_POINT('Origin',(30.19,45.,0.0349999999999895)); #510620=CARTESIAN_POINT('Origin',(31.09,44.1,0.)); #510621=CARTESIAN_POINT('',(31.09,44.1,0.)); #510622=CARTESIAN_POINT('',(31.09,45.9,0.)); #510623=CARTESIAN_POINT('',(31.09,44.1,0.)); #510624=CARTESIAN_POINT('',(31.09,45.9,0.035)); #510625=CARTESIAN_POINT('',(31.09,45.9,0.)); #510626=CARTESIAN_POINT('',(31.09,44.1,0.035)); #510627=CARTESIAN_POINT('',(31.09,44.1,0.035)); #510628=CARTESIAN_POINT('',(31.09,44.1,0.)); #510629=CARTESIAN_POINT('Origin',(29.29,44.1,0.)); #510630=CARTESIAN_POINT('',(29.29,44.1,0.)); #510631=CARTESIAN_POINT('',(29.29,44.1,0.)); #510632=CARTESIAN_POINT('',(29.29,44.1,0.035)); #510633=CARTESIAN_POINT('',(29.29,44.1,0.035)); #510634=CARTESIAN_POINT('',(29.29,44.1,0.)); #510635=CARTESIAN_POINT('Origin',(29.29,45.9,0.)); #510636=CARTESIAN_POINT('',(29.29,45.9,0.)); #510637=CARTESIAN_POINT('',(29.29,45.9,0.)); #510638=CARTESIAN_POINT('',(29.29,45.9,0.035)); #510639=CARTESIAN_POINT('',(29.29,45.9,0.035)); #510640=CARTESIAN_POINT('',(29.29,45.9,0.)); #510641=CARTESIAN_POINT('Origin',(31.09,45.9,0.)); #510642=CARTESIAN_POINT('',(31.09,45.9,0.)); #510643=CARTESIAN_POINT('',(31.09,45.9,0.035)); #510644=CARTESIAN_POINT('Origin',(30.19,45.,0.035)); #510645=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #510646=CARTESIAN_POINT('Origin',(26.,36.,-200.)); #510647=CARTESIAN_POINT('',(25.86,36.,0.)); #510648=CARTESIAN_POINT('Origin',(26.,36.,0.)); #510649=CARTESIAN_POINT('',(25.86,36.,0.0349999999999895)); #510650=CARTESIAN_POINT('',(25.86,36.,-200.)); #510651=CARTESIAN_POINT('Origin',(26.,36.,0.0349999999999895)); #510652=CARTESIAN_POINT('Origin',(14.1811,50.627,0.)); #510653=CARTESIAN_POINT('',(14.1811,50.627,0.)); #510654=CARTESIAN_POINT('',(14.1811,50.9445,0.)); #510655=CARTESIAN_POINT('',(14.1811,50.627,0.)); #510656=CARTESIAN_POINT('',(14.1811,50.9445,0.035)); #510657=CARTESIAN_POINT('',(14.1811,50.9445,0.)); #510658=CARTESIAN_POINT('',(14.1811,50.627,0.035)); #510659=CARTESIAN_POINT('',(14.1811,50.627,0.035)); #510660=CARTESIAN_POINT('',(14.1811,50.627,0.)); #510661=CARTESIAN_POINT('Origin',(15.8189,50.627,0.)); #510662=CARTESIAN_POINT('',(15.8189,50.627,0.)); #510663=CARTESIAN_POINT('',(15.8189,50.627,0.)); #510664=CARTESIAN_POINT('',(15.8189,50.627,0.035)); #510665=CARTESIAN_POINT('',(15.8189,50.627,0.035)); #510666=CARTESIAN_POINT('',(15.8189,50.627,0.)); #510667=CARTESIAN_POINT('Origin',(15.8189,50.9445,0.)); #510668=CARTESIAN_POINT('',(15.8189,50.9445,0.)); #510669=CARTESIAN_POINT('',(15.8189,50.9445,0.)); #510670=CARTESIAN_POINT('',(15.8189,50.9445,0.035)); #510671=CARTESIAN_POINT('',(15.8189,50.9445,0.035)); #510672=CARTESIAN_POINT('',(15.8189,50.9445,0.)); #510673=CARTESIAN_POINT('Origin',(16.6571,50.9445,0.)); #510674=CARTESIAN_POINT('',(16.6571,50.9445,0.)); #510675=CARTESIAN_POINT('',(16.6571,50.9445,0.)); #510676=CARTESIAN_POINT('',(16.6571,50.9445,0.035)); #510677=CARTESIAN_POINT('',(16.6571,50.9445,0.035)); #510678=CARTESIAN_POINT('',(16.6571,50.9445,0.)); #510679=CARTESIAN_POINT('Origin',(16.6571,50.0555,0.)); #510680=CARTESIAN_POINT('',(16.6571,50.0555,0.)); #510681=CARTESIAN_POINT('',(16.6571,50.0555,0.)); #510682=CARTESIAN_POINT('',(16.6571,50.0555,0.035)); #510683=CARTESIAN_POINT('',(16.6571,50.0555,0.035)); #510684=CARTESIAN_POINT('',(16.6571,50.0555,0.)); #510685=CARTESIAN_POINT('Origin',(15.8189,50.0555,0.)); #510686=CARTESIAN_POINT('',(15.8189,50.0555,0.)); #510687=CARTESIAN_POINT('',(15.8189,50.0555,0.)); #510688=CARTESIAN_POINT('',(15.8189,50.0555,0.035)); #510689=CARTESIAN_POINT('',(15.8189,50.0555,0.035)); #510690=CARTESIAN_POINT('',(15.8189,50.0555,0.)); #510691=CARTESIAN_POINT('Origin',(15.8189,50.373,0.)); #510692=CARTESIAN_POINT('',(15.8189,50.373,0.)); #510693=CARTESIAN_POINT('',(15.8189,50.373,0.)); #510694=CARTESIAN_POINT('',(15.8189,50.373,0.035)); #510695=CARTESIAN_POINT('',(15.8189,50.373,0.035)); #510696=CARTESIAN_POINT('',(15.8189,50.373,0.)); #510697=CARTESIAN_POINT('Origin',(15.302606,50.373,0.)); #510698=CARTESIAN_POINT('',(15.302606,50.373,0.)); #510699=CARTESIAN_POINT('',(15.302606,50.373,0.)); #510700=CARTESIAN_POINT('',(15.302606,50.373,0.035)); #510701=CARTESIAN_POINT('',(15.302606,50.373,0.035)); #510702=CARTESIAN_POINT('',(15.302606,50.373,0.)); #510703=CARTESIAN_POINT('Origin',(15.127,50.197394,0.)); #510704=CARTESIAN_POINT('',(15.127,50.197394,0.)); #510705=CARTESIAN_POINT('',(15.127,50.197394,0.)); #510706=CARTESIAN_POINT('',(15.127,50.197394,0.035)); #510707=CARTESIAN_POINT('',(15.127,50.197394,0.035)); #510708=CARTESIAN_POINT('',(15.127,50.197394,0.)); #510709=CARTESIAN_POINT('Origin',(15.127,46.052606,0.)); #510710=CARTESIAN_POINT('',(15.127,46.052606,0.)); #510711=CARTESIAN_POINT('',(15.127,46.052606,0.)); #510712=CARTESIAN_POINT('',(15.127,46.052606,0.035)); #510713=CARTESIAN_POINT('',(15.127,46.052606,0.035)); #510714=CARTESIAN_POINT('',(15.127,46.052606,0.)); #510715=CARTESIAN_POINT('Origin',(15.552606,45.627,0.)); #510716=CARTESIAN_POINT('',(15.552606,45.627,0.)); #510717=CARTESIAN_POINT('',(15.552606,45.627,0.)); #510718=CARTESIAN_POINT('',(15.552606,45.627,0.035)); #510719=CARTESIAN_POINT('',(15.552606,45.627,0.035)); #510720=CARTESIAN_POINT('',(15.552606,45.627,0.)); #510721=CARTESIAN_POINT('Origin',(18.,45.627,0.)); #510722=CARTESIAN_POINT('',(18.,45.627,0.)); #510723=CARTESIAN_POINT('',(18.,45.627,0.)); #510724=CARTESIAN_POINT('',(18.,45.627,0.035)); #510725=CARTESIAN_POINT('',(18.,45.627,0.035)); #510726=CARTESIAN_POINT('',(18.,45.627,0.)); #510727=CARTESIAN_POINT('Origin',(18.0000007497004,45.4999996912748,0.)); #510728=CARTESIAN_POINT('',(18.089803,45.589803,0.)); #510729=CARTESIAN_POINT('Origin',(18.0000007497004,45.4999996912748,0.)); #510730=CARTESIAN_POINT('',(18.089803,45.589803,0.035)); #510731=CARTESIAN_POINT('Origin',(18.0000007497004,45.4999996912748,0.035)); #510732=CARTESIAN_POINT('',(18.089803,45.589803,0.)); #510733=CARTESIAN_POINT('Origin',(18.964803,44.714803,0.)); #510734=CARTESIAN_POINT('',(18.964803,44.714803,0.)); #510735=CARTESIAN_POINT('',(18.964803,44.714803,0.)); #510736=CARTESIAN_POINT('',(18.964803,44.714803,0.035)); #510737=CARTESIAN_POINT('',(18.964803,44.714803,0.035)); #510738=CARTESIAN_POINT('',(18.964803,44.714803,0.)); #510739=CARTESIAN_POINT('Origin',(18.8749996912748,44.6250007497004,0.)); #510740=CARTESIAN_POINT('',(19.002,44.625,0.)); #510741=CARTESIAN_POINT('Origin',(18.8749996912748,44.6250007497004,0.)); #510742=CARTESIAN_POINT('',(19.002,44.625,0.035)); #510743=CARTESIAN_POINT('Origin',(18.8749996912748,44.6250007497004,0.035)); #510744=CARTESIAN_POINT('',(19.002,44.625,0.)); #510745=CARTESIAN_POINT('Origin',(19.002,39.397269,0.)); #510746=CARTESIAN_POINT('',(19.002,39.397269,0.)); #510747=CARTESIAN_POINT('',(19.002,39.397269,0.)); #510748=CARTESIAN_POINT('',(19.002,39.397269,0.035)); #510749=CARTESIAN_POINT('',(19.002,39.397269,0.035)); #510750=CARTESIAN_POINT('',(19.002,39.397269,0.)); #510751=CARTESIAN_POINT('Origin',(19.522269,38.877,0.)); #510752=CARTESIAN_POINT('',(19.522269,38.877,0.)); #510753=CARTESIAN_POINT('',(19.522269,38.877,0.)); #510754=CARTESIAN_POINT('',(19.522269,38.877,0.035)); #510755=CARTESIAN_POINT('',(19.522269,38.877,0.035)); #510756=CARTESIAN_POINT('',(19.522269,38.877,0.)); #510757=CARTESIAN_POINT('Origin',(23.87865,38.877,0.)); #510758=CARTESIAN_POINT('',(23.87865,38.877,0.)); #510759=CARTESIAN_POINT('',(23.87865,38.877,0.)); #510760=CARTESIAN_POINT('',(23.87865,38.877,0.035)); #510761=CARTESIAN_POINT('',(23.87865,38.877,0.035)); #510762=CARTESIAN_POINT('',(23.87865,38.877,0.)); #510763=CARTESIAN_POINT('Origin',(23.8786507497004,38.7499996912748,0.)); #510764=CARTESIAN_POINT('',(23.968453,38.839803,0.)); #510765=CARTESIAN_POINT('Origin',(23.8786507497004,38.7499996912748,0.)); #510766=CARTESIAN_POINT('',(23.968453,38.839803,0.035)); #510767=CARTESIAN_POINT('Origin',(23.8786507497004,38.7499996912748,0.035)); #510768=CARTESIAN_POINT('',(23.968453,38.839803,0.)); #510769=CARTESIAN_POINT('Origin',(26.089803,36.718453,0.)); #510770=CARTESIAN_POINT('',(26.089803,36.718453,0.)); #510771=CARTESIAN_POINT('',(26.089803,36.718453,0.)); #510772=CARTESIAN_POINT('',(26.089803,36.718453,0.035)); #510773=CARTESIAN_POINT('',(26.089803,36.718453,0.035)); #510774=CARTESIAN_POINT('',(26.089803,36.718453,0.)); #510775=CARTESIAN_POINT('Origin',(25.9999996912748,36.6286507497004,0.)); #510776=CARTESIAN_POINT('',(26.127,36.62865,0.)); #510777=CARTESIAN_POINT('Origin',(25.9999996912748,36.6286507497004,0.)); #510778=CARTESIAN_POINT('',(26.127,36.62865,0.035)); #510779=CARTESIAN_POINT('Origin',(25.9999996912748,36.6286507497004,0.035)); #510780=CARTESIAN_POINT('',(26.127,36.62865,0.)); #510781=CARTESIAN_POINT('Origin',(26.127,36.243928,0.)); #510782=CARTESIAN_POINT('',(26.127,36.243928,0.)); #510783=CARTESIAN_POINT('',(26.127,36.243928,0.)); #510784=CARTESIAN_POINT('',(26.127,36.243928,0.035)); #510785=CARTESIAN_POINT('',(26.127,36.243928,0.035)); #510786=CARTESIAN_POINT('',(26.127,36.243928,0.)); #510787=CARTESIAN_POINT('Origin',(25.9999800398209,35.9995359951349,0.)); #510788=CARTESIAN_POINT('',(26.105563,35.745147,0.)); #510789=CARTESIAN_POINT('Origin',(25.9999800398209,35.9995359951349,0.)); #510790=CARTESIAN_POINT('',(26.105563,35.745147,0.035)); #510791=CARTESIAN_POINT('Origin',(25.9999800398209,35.9995359951349,0.035)); #510792=CARTESIAN_POINT('',(26.105563,35.745147,0.)); #510793=CARTESIAN_POINT('Origin',(26.0001782714048,35.9996182585859,0.)); #510794=CARTESIAN_POINT('',(25.873,36.243928,0.)); #510795=CARTESIAN_POINT('Origin',(26.0001782714048,35.9996182585859,0.)); #510796=CARTESIAN_POINT('',(25.873,36.243928,0.035)); #510797=CARTESIAN_POINT('Origin',(26.0001782714048,35.9996182585859,0.035)); #510798=CARTESIAN_POINT('',(25.873,36.243928,0.)); #510799=CARTESIAN_POINT('Origin',(25.873,36.576044,0.)); #510800=CARTESIAN_POINT('',(25.873,36.576044,0.)); #510801=CARTESIAN_POINT('',(25.873,36.576044,0.)); #510802=CARTESIAN_POINT('',(25.873,36.576044,0.035)); #510803=CARTESIAN_POINT('',(25.873,36.576044,0.035)); #510804=CARTESIAN_POINT('',(25.873,36.576044,0.)); #510805=CARTESIAN_POINT('Origin',(23.826044,38.623,0.)); #510806=CARTESIAN_POINT('',(23.826044,38.623,0.)); #510807=CARTESIAN_POINT('',(23.826044,38.623,0.)); #510808=CARTESIAN_POINT('',(23.826044,38.623,0.035)); #510809=CARTESIAN_POINT('',(23.826044,38.623,0.035)); #510810=CARTESIAN_POINT('',(23.826044,38.623,0.)); #510811=CARTESIAN_POINT('Origin',(19.469663,38.623,0.)); #510812=CARTESIAN_POINT('',(19.469663,38.623,0.)); #510813=CARTESIAN_POINT('',(19.469663,38.623,0.)); #510814=CARTESIAN_POINT('',(19.469663,38.623,0.035)); #510815=CARTESIAN_POINT('',(19.469663,38.623,0.035)); #510816=CARTESIAN_POINT('',(19.469663,38.623,0.)); #510817=CARTESIAN_POINT('Origin',(19.4696617502996,38.750001515832,0.)); #510818=CARTESIAN_POINT('',(19.379859,38.660197,0.)); #510819=CARTESIAN_POINT('Origin',(19.4696617502996,38.750001515832,0.)); #510820=CARTESIAN_POINT('',(19.379859,38.660197,0.035)); #510821=CARTESIAN_POINT('Origin',(19.4696617502996,38.750001515832,0.035)); #510822=CARTESIAN_POINT('',(19.379859,38.660197,0.)); #510823=CARTESIAN_POINT('Origin',(18.785197,39.254859,0.)); #510824=CARTESIAN_POINT('',(18.785197,39.254859,0.)); #510825=CARTESIAN_POINT('',(18.785197,39.254859,0.)); #510826=CARTESIAN_POINT('',(18.785197,39.254859,0.035)); #510827=CARTESIAN_POINT('',(18.785197,39.254859,0.035)); #510828=CARTESIAN_POINT('',(18.785197,39.254859,0.)); #510829=CARTESIAN_POINT('Origin',(18.875001515832,39.3446617502996,0.)); #510830=CARTESIAN_POINT('',(18.748,39.344663,0.)); #510831=CARTESIAN_POINT('Origin',(18.875001515832,39.3446617502996,0.)); #510832=CARTESIAN_POINT('',(18.748,39.344663,0.035)); #510833=CARTESIAN_POINT('Origin',(18.875001515832,39.3446617502996,0.035)); #510834=CARTESIAN_POINT('',(18.748,39.344663,0.)); #510835=CARTESIAN_POINT('Origin',(18.748,44.572394,0.)); #510836=CARTESIAN_POINT('',(18.748,44.572394,0.)); #510837=CARTESIAN_POINT('',(18.748,44.572394,0.)); #510838=CARTESIAN_POINT('',(18.748,44.572394,0.035)); #510839=CARTESIAN_POINT('',(18.748,44.572394,0.035)); #510840=CARTESIAN_POINT('',(18.748,44.572394,0.)); #510841=CARTESIAN_POINT('Origin',(17.947394,45.373,0.)); #510842=CARTESIAN_POINT('',(17.947394,45.373,0.)); #510843=CARTESIAN_POINT('',(17.947394,45.373,0.)); #510844=CARTESIAN_POINT('',(17.947394,45.373,0.035)); #510845=CARTESIAN_POINT('',(17.947394,45.373,0.035)); #510846=CARTESIAN_POINT('',(17.947394,45.373,0.)); #510847=CARTESIAN_POINT('Origin',(15.5,45.373,0.)); #510848=CARTESIAN_POINT('',(15.5,45.373,0.)); #510849=CARTESIAN_POINT('',(15.5,45.373,0.)); #510850=CARTESIAN_POINT('',(15.5,45.373,0.035)); #510851=CARTESIAN_POINT('',(15.5,45.373,0.035)); #510852=CARTESIAN_POINT('',(15.5,45.373,0.)); #510853=CARTESIAN_POINT('Origin',(15.4999992502996,45.5000003087252,0.)); #510854=CARTESIAN_POINT('',(15.410197,45.410197,0.)); #510855=CARTESIAN_POINT('Origin',(15.4999992502996,45.5000003087252,0.)); #510856=CARTESIAN_POINT('',(15.410197,45.410197,0.035)); #510857=CARTESIAN_POINT('Origin',(15.4999992502996,45.5000003087252,0.035)); #510858=CARTESIAN_POINT('',(15.410197,45.410197,0.)); #510859=CARTESIAN_POINT('Origin',(14.910197,45.910197,0.)); #510860=CARTESIAN_POINT('',(14.910197,45.910197,0.)); #510861=CARTESIAN_POINT('',(14.910197,45.910197,0.)); #510862=CARTESIAN_POINT('',(14.910197,45.910197,0.035)); #510863=CARTESIAN_POINT('',(14.910197,45.910197,0.035)); #510864=CARTESIAN_POINT('',(14.910197,45.910197,0.)); #510865=CARTESIAN_POINT('Origin',(15.0000003087252,45.9999992502996,0.)); #510866=CARTESIAN_POINT('',(14.873,46.,0.)); #510867=CARTESIAN_POINT('Origin',(15.0000003087252,45.9999992502996,0.)); #510868=CARTESIAN_POINT('',(14.873,46.,0.035)); #510869=CARTESIAN_POINT('Origin',(15.0000003087252,45.9999992502996,0.035)); #510870=CARTESIAN_POINT('',(14.873,46.,0.)); #510871=CARTESIAN_POINT('Origin',(14.873,50.197394,0.)); #510872=CARTESIAN_POINT('',(14.873,50.197394,0.)); #510873=CARTESIAN_POINT('',(14.873,50.197394,0.)); #510874=CARTESIAN_POINT('',(14.873,50.197394,0.035)); #510875=CARTESIAN_POINT('',(14.873,50.197394,0.035)); #510876=CARTESIAN_POINT('',(14.873,50.197394,0.)); #510877=CARTESIAN_POINT('Origin',(14.697394,50.373,0.)); #510878=CARTESIAN_POINT('',(14.697394,50.373,0.)); #510879=CARTESIAN_POINT('',(14.697394,50.373,0.)); #510880=CARTESIAN_POINT('',(14.697394,50.373,0.035)); #510881=CARTESIAN_POINT('',(14.697394,50.373,0.035)); #510882=CARTESIAN_POINT('',(14.697394,50.373,0.)); #510883=CARTESIAN_POINT('Origin',(14.1811,50.373,0.)); #510884=CARTESIAN_POINT('',(14.1811,50.373,0.)); #510885=CARTESIAN_POINT('',(14.1811,50.373,0.)); #510886=CARTESIAN_POINT('',(14.1811,50.373,0.035)); #510887=CARTESIAN_POINT('',(14.1811,50.373,0.035)); #510888=CARTESIAN_POINT('',(14.1811,50.373,0.)); #510889=CARTESIAN_POINT('Origin',(14.1811,50.0555,0.)); #510890=CARTESIAN_POINT('',(14.1811,50.0555,0.)); #510891=CARTESIAN_POINT('',(14.1811,50.0555,0.)); #510892=CARTESIAN_POINT('',(14.1811,50.0555,0.035)); #510893=CARTESIAN_POINT('',(14.1811,50.0555,0.035)); #510894=CARTESIAN_POINT('',(14.1811,50.0555,0.)); #510895=CARTESIAN_POINT('Origin',(13.3429,50.0555,0.)); #510896=CARTESIAN_POINT('',(13.3429,50.0555,0.)); #510897=CARTESIAN_POINT('',(13.3429,50.0555,0.)); #510898=CARTESIAN_POINT('',(13.3429,50.0555,0.035)); #510899=CARTESIAN_POINT('',(13.3429,50.0555,0.035)); #510900=CARTESIAN_POINT('',(13.3429,50.0555,0.)); #510901=CARTESIAN_POINT('Origin',(13.3429,50.9445,0.)); #510902=CARTESIAN_POINT('',(13.3429,50.9445,0.)); #510903=CARTESIAN_POINT('',(13.3429,50.9445,0.)); #510904=CARTESIAN_POINT('',(13.3429,50.9445,0.035)); #510905=CARTESIAN_POINT('',(13.3429,50.9445,0.035)); #510906=CARTESIAN_POINT('',(13.3429,50.9445,0.)); #510907=CARTESIAN_POINT('Origin',(14.1811,50.9445,0.)); #510908=CARTESIAN_POINT('',(14.1811,50.9445,0.)); #510909=CARTESIAN_POINT('',(14.1811,50.9445,0.035)); #510910=CARTESIAN_POINT('Origin',(18.7152913946059,43.605765231695,0.035)); #510911=CARTESIAN_POINT('Origin',(18.7152913946059,43.605765231695,0.)); #510912=CARTESIAN_POINT('Origin',(26.,31.,-200.)); #510913=CARTESIAN_POINT('',(25.86,31.,0.)); #510914=CARTESIAN_POINT('Origin',(26.,31.,0.)); #510915=CARTESIAN_POINT('',(25.86,31.,0.0349999999999895)); #510916=CARTESIAN_POINT('',(25.86,31.,-200.)); #510917=CARTESIAN_POINT('Origin',(26.,31.,0.0349999999999895)); #510918=CARTESIAN_POINT('Origin',(30.9125,19.6125,0.)); #510919=CARTESIAN_POINT('',(30.9125,19.6125,0.)); #510920=CARTESIAN_POINT('',(30.9125,19.8875,0.)); #510921=CARTESIAN_POINT('',(30.9125,19.6125,0.)); #510922=CARTESIAN_POINT('',(30.9125,19.8875,0.035)); #510923=CARTESIAN_POINT('',(30.9125,19.8875,0.)); #510924=CARTESIAN_POINT('',(30.9125,19.6125,0.035)); #510925=CARTESIAN_POINT('',(30.9125,19.6125,0.035)); #510926=CARTESIAN_POINT('',(30.9125,19.6125,0.)); #510927=CARTESIAN_POINT('Origin',(30.2875,19.6125,0.)); #510928=CARTESIAN_POINT('',(30.2875,19.6125,0.)); #510929=CARTESIAN_POINT('',(30.2875,19.6125,0.)); #510930=CARTESIAN_POINT('',(30.2875,19.6125,0.035)); #510931=CARTESIAN_POINT('',(30.2875,19.6125,0.035)); #510932=CARTESIAN_POINT('',(30.2875,19.6125,0.)); #510933=CARTESIAN_POINT('Origin',(30.2875,19.623,0.)); #510934=CARTESIAN_POINT('',(30.2875,19.623,0.)); #510935=CARTESIAN_POINT('',(30.2875,19.623,0.)); #510936=CARTESIAN_POINT('',(30.2875,19.623,0.035)); #510937=CARTESIAN_POINT('',(30.2875,19.623,0.035)); #510938=CARTESIAN_POINT('',(30.2875,19.623,0.)); #510939=CARTESIAN_POINT('Origin',(29.75,19.623,0.)); #510940=CARTESIAN_POINT('',(29.75,19.623,0.)); #510941=CARTESIAN_POINT('',(29.75,19.623,0.)); #510942=CARTESIAN_POINT('',(29.75,19.623,0.035)); #510943=CARTESIAN_POINT('',(29.75,19.623,0.035)); #510944=CARTESIAN_POINT('',(29.75,19.623,0.)); #510945=CARTESIAN_POINT('Origin',(29.7499992502996,19.7500003087252,0.)); #510946=CARTESIAN_POINT('',(29.660197,19.660197,0.)); #510947=CARTESIAN_POINT('Origin',(29.7499992502996,19.7500003087252,0.)); #510948=CARTESIAN_POINT('',(29.660197,19.660197,0.035)); #510949=CARTESIAN_POINT('Origin',(29.7499992502996,19.7500003087252,0.035)); #510950=CARTESIAN_POINT('',(29.660197,19.660197,0.)); #510951=CARTESIAN_POINT('Origin',(29.035197,20.285197,0.)); #510952=CARTESIAN_POINT('',(29.035197,20.285197,0.)); #510953=CARTESIAN_POINT('',(29.035197,20.285197,0.)); #510954=CARTESIAN_POINT('',(29.035197,20.285197,0.035)); #510955=CARTESIAN_POINT('',(29.035197,20.285197,0.035)); #510956=CARTESIAN_POINT('',(29.035197,20.285197,0.)); #510957=CARTESIAN_POINT('Origin',(29.1250003087252,20.3749992502996,0.)); #510958=CARTESIAN_POINT('',(28.998,20.375,0.)); #510959=CARTESIAN_POINT('Origin',(29.1250003087252,20.3749992502996,0.)); #510960=CARTESIAN_POINT('',(28.998,20.375,0.035)); #510961=CARTESIAN_POINT('Origin',(29.1250003087252,20.3749992502996,0.035)); #510962=CARTESIAN_POINT('',(28.998,20.375,0.)); #510963=CARTESIAN_POINT('Origin',(28.998,26.102731,0.)); #510964=CARTESIAN_POINT('',(28.998,26.102731,0.)); #510965=CARTESIAN_POINT('',(28.998,26.102731,0.)); #510966=CARTESIAN_POINT('',(28.998,26.102731,0.035)); #510967=CARTESIAN_POINT('',(28.998,26.102731,0.035)); #510968=CARTESIAN_POINT('',(28.998,26.102731,0.)); #510969=CARTESIAN_POINT('Origin',(25.910197,29.190534,0.)); #510970=CARTESIAN_POINT('',(25.910197,29.190534,0.)); #510971=CARTESIAN_POINT('',(25.910197,29.190534,0.)); #510972=CARTESIAN_POINT('',(25.910197,29.190534,0.035)); #510973=CARTESIAN_POINT('',(25.910197,29.190534,0.035)); #510974=CARTESIAN_POINT('',(25.910197,29.190534,0.)); #510975=CARTESIAN_POINT('Origin',(26.000001515832,29.2803367502996,0.)); #510976=CARTESIAN_POINT('',(25.873,29.280338,0.)); #510977=CARTESIAN_POINT('Origin',(26.000001515832,29.2803367502996,0.)); #510978=CARTESIAN_POINT('',(25.873,29.280338,0.035)); #510979=CARTESIAN_POINT('Origin',(26.000001515832,29.2803367502996,0.035)); #510980=CARTESIAN_POINT('',(25.873,29.280338,0.)); #510981=CARTESIAN_POINT('Origin',(25.873,30.756072,0.)); #510982=CARTESIAN_POINT('',(25.873,30.756072,0.)); #510983=CARTESIAN_POINT('',(25.873,30.756072,0.)); #510984=CARTESIAN_POINT('',(25.873,30.756072,0.035)); #510985=CARTESIAN_POINT('',(25.873,30.756072,0.035)); #510986=CARTESIAN_POINT('',(25.873,30.756072,0.)); #510987=CARTESIAN_POINT('Origin',(26.0000204601791,31.0004637716938,0.)); #510988=CARTESIAN_POINT('',(25.894438,31.254853,0.)); #510989=CARTESIAN_POINT('Origin',(26.0000204601791,31.0004637716938,0.)); #510990=CARTESIAN_POINT('',(25.894438,31.254853,0.035)); #510991=CARTESIAN_POINT('Origin',(26.0000204601791,31.0004637716938,0.035)); #510992=CARTESIAN_POINT('',(25.894438,31.254853,0.)); #510993=CARTESIAN_POINT('Origin',(25.9998222285952,31.000381763261,0.)); #510994=CARTESIAN_POINT('',(26.127,30.756072,0.)); #510995=CARTESIAN_POINT('Origin',(25.9998222285952,31.000381763261,0.)); #510996=CARTESIAN_POINT('',(26.127,30.756072,0.035)); #510997=CARTESIAN_POINT('Origin',(25.9998222285952,31.000381763261,0.035)); #510998=CARTESIAN_POINT('',(26.127,30.756072,0.)); #510999=CARTESIAN_POINT('Origin',(26.127,29.332944,0.)); #511000=CARTESIAN_POINT('',(26.127,29.332944,0.)); #511001=CARTESIAN_POINT('',(26.127,29.332944,0.)); #511002=CARTESIAN_POINT('',(26.127,29.332944,0.035)); #511003=CARTESIAN_POINT('',(26.127,29.332944,0.035)); #511004=CARTESIAN_POINT('',(26.127,29.332944,0.)); #511005=CARTESIAN_POINT('Origin',(29.214803,26.245141,0.)); #511006=CARTESIAN_POINT('',(29.214803,26.245141,0.)); #511007=CARTESIAN_POINT('',(29.214803,26.245141,0.)); #511008=CARTESIAN_POINT('',(29.214803,26.245141,0.035)); #511009=CARTESIAN_POINT('',(29.214803,26.245141,0.035)); #511010=CARTESIAN_POINT('',(29.214803,26.245141,0.)); #511011=CARTESIAN_POINT('Origin',(29.1249996912748,26.1553387497004,0.)); #511012=CARTESIAN_POINT('',(29.252,26.155338,0.)); #511013=CARTESIAN_POINT('Origin',(29.1249996912748,26.1553387497004,0.)); #511014=CARTESIAN_POINT('',(29.252,26.155338,0.035)); #511015=CARTESIAN_POINT('Origin',(29.1249996912748,26.1553387497004,0.035)); #511016=CARTESIAN_POINT('',(29.252,26.155338,0.)); #511017=CARTESIAN_POINT('Origin',(29.252,20.427606,0.)); #511018=CARTESIAN_POINT('',(29.252,20.427606,0.)); #511019=CARTESIAN_POINT('',(29.252,20.427606,0.)); #511020=CARTESIAN_POINT('',(29.252,20.427606,0.035)); #511021=CARTESIAN_POINT('',(29.252,20.427606,0.035)); #511022=CARTESIAN_POINT('',(29.252,20.427606,0.)); #511023=CARTESIAN_POINT('Origin',(29.802606,19.877,0.)); #511024=CARTESIAN_POINT('',(29.802606,19.877,0.)); #511025=CARTESIAN_POINT('',(29.802606,19.877,0.)); #511026=CARTESIAN_POINT('',(29.802606,19.877,0.035)); #511027=CARTESIAN_POINT('',(29.802606,19.877,0.035)); #511028=CARTESIAN_POINT('',(29.802606,19.877,0.)); #511029=CARTESIAN_POINT('Origin',(30.2875,19.877,0.)); #511030=CARTESIAN_POINT('',(30.2875,19.877,0.)); #511031=CARTESIAN_POINT('',(30.2875,19.877,0.)); #511032=CARTESIAN_POINT('',(30.2875,19.877,0.035)); #511033=CARTESIAN_POINT('',(30.2875,19.877,0.035)); #511034=CARTESIAN_POINT('',(30.2875,19.877,0.)); #511035=CARTESIAN_POINT('Origin',(30.2875,19.8875,0.)); #511036=CARTESIAN_POINT('',(30.2875,19.8875,0.)); #511037=CARTESIAN_POINT('',(30.2875,19.8875,0.)); #511038=CARTESIAN_POINT('',(30.2875,19.8875,0.035)); #511039=CARTESIAN_POINT('',(30.2875,19.8875,0.035)); #511040=CARTESIAN_POINT('',(30.2875,19.8875,0.)); #511041=CARTESIAN_POINT('Origin',(30.9125,19.8875,0.)); #511042=CARTESIAN_POINT('',(30.9125,19.8875,0.)); #511043=CARTESIAN_POINT('',(30.9125,19.8875,0.035)); #511044=CARTESIAN_POINT('Origin',(28.3105463995539,25.1761637447075,0.035)); #511045=CARTESIAN_POINT('Origin',(28.3105463995539,25.1761637447075,0.)); #511046=CARTESIAN_POINT('Origin',(31.4311,52.5555,0.)); #511047=CARTESIAN_POINT('',(31.4311,52.5555,0.)); #511048=CARTESIAN_POINT('',(31.4311,53.4445,0.)); #511049=CARTESIAN_POINT('',(31.4311,52.5555,0.)); #511050=CARTESIAN_POINT('',(31.4311,53.4445,0.035)); #511051=CARTESIAN_POINT('',(31.4311,53.4445,0.)); #511052=CARTESIAN_POINT('',(31.4311,52.5555,0.035)); #511053=CARTESIAN_POINT('',(31.4311,52.5555,0.035)); #511054=CARTESIAN_POINT('',(31.4311,52.5555,0.)); #511055=CARTESIAN_POINT('Origin',(30.5929,52.5555,0.)); #511056=CARTESIAN_POINT('',(30.5929,52.5555,0.)); #511057=CARTESIAN_POINT('',(30.5929,52.5555,0.)); #511058=CARTESIAN_POINT('',(30.5929,52.5555,0.035)); #511059=CARTESIAN_POINT('',(30.5929,52.5555,0.035)); #511060=CARTESIAN_POINT('',(30.5929,52.5555,0.)); #511061=CARTESIAN_POINT('Origin',(30.5929,53.4445,0.)); #511062=CARTESIAN_POINT('',(30.5929,53.4445,0.)); #511063=CARTESIAN_POINT('',(30.5929,53.4445,0.)); #511064=CARTESIAN_POINT('',(30.5929,53.4445,0.035)); #511065=CARTESIAN_POINT('',(30.5929,53.4445,0.035)); #511066=CARTESIAN_POINT('',(30.5929,53.4445,0.)); #511067=CARTESIAN_POINT('Origin',(30.885,53.4445,0.)); #511068=CARTESIAN_POINT('',(30.885,53.4445,0.)); #511069=CARTESIAN_POINT('',(30.885,53.4445,0.)); #511070=CARTESIAN_POINT('',(30.885,53.4445,0.035)); #511071=CARTESIAN_POINT('',(30.885,53.4445,0.035)); #511072=CARTESIAN_POINT('',(30.885,53.4445,0.)); #511073=CARTESIAN_POINT('Origin',(30.885,56.5555,0.)); #511074=CARTESIAN_POINT('',(30.885,56.5555,0.)); #511075=CARTESIAN_POINT('',(30.885,56.5555,0.)); #511076=CARTESIAN_POINT('',(30.885,56.5555,0.035)); #511077=CARTESIAN_POINT('',(30.885,56.5555,0.035)); #511078=CARTESIAN_POINT('',(30.885,56.5555,0.)); #511079=CARTESIAN_POINT('Origin',(30.5929,56.5555,0.)); #511080=CARTESIAN_POINT('',(30.5929,56.5555,0.)); #511081=CARTESIAN_POINT('',(30.5929,56.5555,0.)); #511082=CARTESIAN_POINT('',(30.5929,56.5555,0.035)); #511083=CARTESIAN_POINT('',(30.5929,56.5555,0.035)); #511084=CARTESIAN_POINT('',(30.5929,56.5555,0.)); #511085=CARTESIAN_POINT('Origin',(30.5929,57.4445,0.)); #511086=CARTESIAN_POINT('',(30.5929,57.4445,0.)); #511087=CARTESIAN_POINT('',(30.5929,57.4445,0.)); #511088=CARTESIAN_POINT('',(30.5929,57.4445,0.035)); #511089=CARTESIAN_POINT('',(30.5929,57.4445,0.035)); #511090=CARTESIAN_POINT('',(30.5929,57.4445,0.)); #511091=CARTESIAN_POINT('Origin',(31.4311,57.4445,0.)); #511092=CARTESIAN_POINT('',(31.4311,57.4445,0.)); #511093=CARTESIAN_POINT('',(31.4311,57.4445,0.)); #511094=CARTESIAN_POINT('',(31.4311,57.4445,0.035)); #511095=CARTESIAN_POINT('',(31.4311,57.4445,0.035)); #511096=CARTESIAN_POINT('',(31.4311,57.4445,0.)); #511097=CARTESIAN_POINT('Origin',(31.4311,56.5555,0.)); #511098=CARTESIAN_POINT('',(31.4311,56.5555,0.)); #511099=CARTESIAN_POINT('',(31.4311,56.5555,0.)); #511100=CARTESIAN_POINT('',(31.4311,56.5555,0.035)); #511101=CARTESIAN_POINT('',(31.4311,56.5555,0.035)); #511102=CARTESIAN_POINT('',(31.4311,56.5555,0.)); #511103=CARTESIAN_POINT('Origin',(31.139,56.5555,0.)); #511104=CARTESIAN_POINT('',(31.139,56.5555,0.)); #511105=CARTESIAN_POINT('',(31.139,56.5555,0.)); #511106=CARTESIAN_POINT('',(31.139,56.5555,0.035)); #511107=CARTESIAN_POINT('',(31.139,56.5555,0.035)); #511108=CARTESIAN_POINT('',(31.139,56.5555,0.)); #511109=CARTESIAN_POINT('Origin',(31.139,53.4445,0.)); #511110=CARTESIAN_POINT('',(31.139,53.4445,0.)); #511111=CARTESIAN_POINT('',(31.139,53.4445,0.)); #511112=CARTESIAN_POINT('',(31.139,53.4445,0.035)); #511113=CARTESIAN_POINT('',(31.139,53.4445,0.035)); #511114=CARTESIAN_POINT('',(31.139,53.4445,0.)); #511115=CARTESIAN_POINT('Origin',(31.4311,53.4445,0.)); #511116=CARTESIAN_POINT('',(31.4311,53.4445,0.)); #511117=CARTESIAN_POINT('',(31.4311,53.4445,0.035)); #511118=CARTESIAN_POINT('Origin',(31.012,55.,0.035)); #511119=CARTESIAN_POINT('Origin',(31.012,55.,0.)); #511120=CARTESIAN_POINT('Origin',(37.4071,52.5555,0.)); #511121=CARTESIAN_POINT('',(37.4071,52.5555,0.)); #511122=CARTESIAN_POINT('',(37.4071,53.4445,0.)); #511123=CARTESIAN_POINT('',(37.4071,52.5555,0.)); #511124=CARTESIAN_POINT('',(37.4071,53.4445,0.035)); #511125=CARTESIAN_POINT('',(37.4071,53.4445,0.)); #511126=CARTESIAN_POINT('',(37.4071,52.5555,0.035)); #511127=CARTESIAN_POINT('',(37.4071,52.5555,0.035)); #511128=CARTESIAN_POINT('',(37.4071,52.5555,0.)); #511129=CARTESIAN_POINT('Origin',(36.5689,52.5555,0.)); #511130=CARTESIAN_POINT('',(36.5689,52.5555,0.)); #511131=CARTESIAN_POINT('',(36.5689,52.5555,0.)); #511132=CARTESIAN_POINT('',(36.5689,52.5555,0.035)); #511133=CARTESIAN_POINT('',(36.5689,52.5555,0.035)); #511134=CARTESIAN_POINT('',(36.5689,52.5555,0.)); #511135=CARTESIAN_POINT('Origin',(36.5689,53.4445,0.)); #511136=CARTESIAN_POINT('',(36.5689,53.4445,0.)); #511137=CARTESIAN_POINT('',(36.5689,53.4445,0.)); #511138=CARTESIAN_POINT('',(36.5689,53.4445,0.035)); #511139=CARTESIAN_POINT('',(36.5689,53.4445,0.035)); #511140=CARTESIAN_POINT('',(36.5689,53.4445,0.)); #511141=CARTESIAN_POINT('Origin',(36.861,53.4445,0.)); #511142=CARTESIAN_POINT('',(36.861,53.4445,0.)); #511143=CARTESIAN_POINT('',(36.861,53.4445,0.)); #511144=CARTESIAN_POINT('',(36.861,53.4445,0.035)); #511145=CARTESIAN_POINT('',(36.861,53.4445,0.035)); #511146=CARTESIAN_POINT('',(36.861,53.4445,0.)); #511147=CARTESIAN_POINT('Origin',(36.861,56.5555,0.)); #511148=CARTESIAN_POINT('',(36.861,56.5555,0.)); #511149=CARTESIAN_POINT('',(36.861,56.5555,0.)); #511150=CARTESIAN_POINT('',(36.861,56.5555,0.035)); #511151=CARTESIAN_POINT('',(36.861,56.5555,0.035)); #511152=CARTESIAN_POINT('',(36.861,56.5555,0.)); #511153=CARTESIAN_POINT('Origin',(36.5689,56.5555,0.)); #511154=CARTESIAN_POINT('',(36.5689,56.5555,0.)); #511155=CARTESIAN_POINT('',(36.5689,56.5555,0.)); #511156=CARTESIAN_POINT('',(36.5689,56.5555,0.035)); #511157=CARTESIAN_POINT('',(36.5689,56.5555,0.035)); #511158=CARTESIAN_POINT('',(36.5689,56.5555,0.)); #511159=CARTESIAN_POINT('Origin',(36.5689,57.4445,0.)); #511160=CARTESIAN_POINT('',(36.5689,57.4445,0.)); #511161=CARTESIAN_POINT('',(36.5689,57.4445,0.)); #511162=CARTESIAN_POINT('',(36.5689,57.4445,0.035)); #511163=CARTESIAN_POINT('',(36.5689,57.4445,0.035)); #511164=CARTESIAN_POINT('',(36.5689,57.4445,0.)); #511165=CARTESIAN_POINT('Origin',(37.4071,57.4445,0.)); #511166=CARTESIAN_POINT('',(37.4071,57.4445,0.)); #511167=CARTESIAN_POINT('',(37.4071,57.4445,0.)); #511168=CARTESIAN_POINT('',(37.4071,57.4445,0.035)); #511169=CARTESIAN_POINT('',(37.4071,57.4445,0.035)); #511170=CARTESIAN_POINT('',(37.4071,57.4445,0.)); #511171=CARTESIAN_POINT('Origin',(37.4071,56.5555,0.)); #511172=CARTESIAN_POINT('',(37.4071,56.5555,0.)); #511173=CARTESIAN_POINT('',(37.4071,56.5555,0.)); #511174=CARTESIAN_POINT('',(37.4071,56.5555,0.035)); #511175=CARTESIAN_POINT('',(37.4071,56.5555,0.035)); #511176=CARTESIAN_POINT('',(37.4071,56.5555,0.)); #511177=CARTESIAN_POINT('Origin',(37.115,56.5555,0.)); #511178=CARTESIAN_POINT('',(37.115,56.5555,0.)); #511179=CARTESIAN_POINT('',(37.115,56.5555,0.)); #511180=CARTESIAN_POINT('',(37.115,56.5555,0.035)); #511181=CARTESIAN_POINT('',(37.115,56.5555,0.035)); #511182=CARTESIAN_POINT('',(37.115,56.5555,0.)); #511183=CARTESIAN_POINT('Origin',(37.115,53.4445,0.)); #511184=CARTESIAN_POINT('',(37.115,53.4445,0.)); #511185=CARTESIAN_POINT('',(37.115,53.4445,0.)); #511186=CARTESIAN_POINT('',(37.115,53.4445,0.035)); #511187=CARTESIAN_POINT('',(37.115,53.4445,0.035)); #511188=CARTESIAN_POINT('',(37.115,53.4445,0.)); #511189=CARTESIAN_POINT('Origin',(37.4071,53.4445,0.)); #511190=CARTESIAN_POINT('',(37.4071,53.4445,0.)); #511191=CARTESIAN_POINT('',(37.4071,53.4445,0.035)); #511192=CARTESIAN_POINT('Origin',(36.988,55.,0.035)); #511193=CARTESIAN_POINT('Origin',(36.988,55.,0.)); #511194=CARTESIAN_POINT('Origin',(47.905,58.75,-200.)); #511195=CARTESIAN_POINT('',(47.765,58.75,0.)); #511196=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #511197=CARTESIAN_POINT('',(47.765,58.75,0.0349999999999895)); #511198=CARTESIAN_POINT('',(47.765,58.75,-200.)); #511199=CARTESIAN_POINT('Origin',(47.905,58.75,0.0349999999999895)); #511200=CARTESIAN_POINT('Origin',(44.4445,41.3429,0.)); #511201=CARTESIAN_POINT('',(44.4445,41.3429,0.)); #511202=CARTESIAN_POINT('',(44.4445,42.1811,0.)); #511203=CARTESIAN_POINT('',(44.4445,41.3429,0.)); #511204=CARTESIAN_POINT('',(44.4445,42.1811,0.035)); #511205=CARTESIAN_POINT('',(44.4445,42.1811,0.)); #511206=CARTESIAN_POINT('',(44.4445,41.3429,0.035)); #511207=CARTESIAN_POINT('',(44.4445,41.3429,0.035)); #511208=CARTESIAN_POINT('',(44.4445,41.3429,0.)); #511209=CARTESIAN_POINT('Origin',(43.5555,41.3429,0.)); #511210=CARTESIAN_POINT('',(43.5555,41.3429,0.)); #511211=CARTESIAN_POINT('',(43.5555,41.3429,0.)); #511212=CARTESIAN_POINT('',(43.5555,41.3429,0.035)); #511213=CARTESIAN_POINT('',(43.5555,41.3429,0.035)); #511214=CARTESIAN_POINT('',(43.5555,41.3429,0.)); #511215=CARTESIAN_POINT('Origin',(43.5555,41.984556,0.)); #511216=CARTESIAN_POINT('',(43.5555,41.984556,0.)); #511217=CARTESIAN_POINT('',(43.5555,41.984556,0.)); #511218=CARTESIAN_POINT('',(43.5555,41.984556,0.035)); #511219=CARTESIAN_POINT('',(43.5555,41.984556,0.035)); #511220=CARTESIAN_POINT('',(43.5555,41.984556,0.)); #511221=CARTESIAN_POINT('Origin',(42.535197,43.004859,0.)); #511222=CARTESIAN_POINT('',(42.535197,43.004859,0.)); #511223=CARTESIAN_POINT('',(42.535197,43.004859,0.)); #511224=CARTESIAN_POINT('',(42.535197,43.004859,0.035)); #511225=CARTESIAN_POINT('',(42.535197,43.004859,0.035)); #511226=CARTESIAN_POINT('',(42.535197,43.004859,0.)); #511227=CARTESIAN_POINT('Origin',(42.625001515832,43.0946617502996,0.)); #511228=CARTESIAN_POINT('',(42.498,43.094663,0.)); #511229=CARTESIAN_POINT('Origin',(42.625001515832,43.0946617502996,0.)); #511230=CARTESIAN_POINT('',(42.498,43.094663,0.035)); #511231=CARTESIAN_POINT('Origin',(42.625001515832,43.0946617502996,0.035)); #511232=CARTESIAN_POINT('',(42.498,43.094663,0.)); #511233=CARTESIAN_POINT('Origin',(42.498,57.875,0.)); #511234=CARTESIAN_POINT('',(42.498,57.875,0.)); #511235=CARTESIAN_POINT('',(42.498,57.875,0.)); #511236=CARTESIAN_POINT('',(42.498,57.875,0.035)); #511237=CARTESIAN_POINT('',(42.498,57.875,0.035)); #511238=CARTESIAN_POINT('',(42.498,57.875,0.)); #511239=CARTESIAN_POINT('Origin',(42.6250003087252,57.8750007497004,0.)); #511240=CARTESIAN_POINT('',(42.535197,57.964803,0.)); #511241=CARTESIAN_POINT('Origin',(42.6250003087252,57.8750007497004,0.)); #511242=CARTESIAN_POINT('',(42.535197,57.964803,0.035)); #511243=CARTESIAN_POINT('Origin',(42.6250003087252,57.8750007497004,0.035)); #511244=CARTESIAN_POINT('',(42.535197,57.964803,0.)); #511245=CARTESIAN_POINT('Origin',(43.410197,58.839803,0.)); #511246=CARTESIAN_POINT('',(43.410197,58.839803,0.)); #511247=CARTESIAN_POINT('',(43.410197,58.839803,0.)); #511248=CARTESIAN_POINT('',(43.410197,58.839803,0.035)); #511249=CARTESIAN_POINT('',(43.410197,58.839803,0.035)); #511250=CARTESIAN_POINT('',(43.410197,58.839803,0.)); #511251=CARTESIAN_POINT('Origin',(43.4999992502996,58.7499996912748,0.)); #511252=CARTESIAN_POINT('',(43.5,58.877,0.)); #511253=CARTESIAN_POINT('Origin',(43.4999992502996,58.7499996912748,0.)); #511254=CARTESIAN_POINT('',(43.5,58.877,0.035)); #511255=CARTESIAN_POINT('Origin',(43.4999992502996,58.7499996912748,0.035)); #511256=CARTESIAN_POINT('',(43.5,58.877,0.)); #511257=CARTESIAN_POINT('Origin',(47.661072,58.877,0.)); #511258=CARTESIAN_POINT('',(47.661072,58.877,0.)); #511259=CARTESIAN_POINT('',(47.661072,58.877,0.)); #511260=CARTESIAN_POINT('',(47.661072,58.877,0.035)); #511261=CARTESIAN_POINT('',(47.661072,58.877,0.035)); #511262=CARTESIAN_POINT('',(47.661072,58.877,0.)); #511263=CARTESIAN_POINT('Origin',(47.9054640048651,58.7499800398209,0.)); #511264=CARTESIAN_POINT('',(48.159853,58.855563,0.)); #511265=CARTESIAN_POINT('Origin',(47.9054640048651,58.7499800398209,0.)); #511266=CARTESIAN_POINT('',(48.159853,58.855563,0.035)); #511267=CARTESIAN_POINT('Origin',(47.9054640048651,58.7499800398209,0.035)); #511268=CARTESIAN_POINT('',(48.159853,58.855563,0.)); #511269=CARTESIAN_POINT('Origin',(47.9053817414141,58.7501782714048,0.)); #511270=CARTESIAN_POINT('',(47.661072,58.623,0.)); #511271=CARTESIAN_POINT('Origin',(47.9053817414141,58.7501782714048,0.)); #511272=CARTESIAN_POINT('',(47.661072,58.623,0.035)); #511273=CARTESIAN_POINT('Origin',(47.9053817414141,58.7501782714048,0.035)); #511274=CARTESIAN_POINT('',(47.661072,58.623,0.)); #511275=CARTESIAN_POINT('Origin',(43.552606,58.623,0.)); #511276=CARTESIAN_POINT('',(43.552606,58.623,0.)); #511277=CARTESIAN_POINT('',(43.552606,58.623,0.)); #511278=CARTESIAN_POINT('',(43.552606,58.623,0.035)); #511279=CARTESIAN_POINT('',(43.552606,58.623,0.035)); #511280=CARTESIAN_POINT('',(43.552606,58.623,0.)); #511281=CARTESIAN_POINT('Origin',(42.752,57.822394,0.)); #511282=CARTESIAN_POINT('',(42.752,57.822394,0.)); #511283=CARTESIAN_POINT('',(42.752,57.822394,0.)); #511284=CARTESIAN_POINT('',(42.752,57.822394,0.035)); #511285=CARTESIAN_POINT('',(42.752,57.822394,0.035)); #511286=CARTESIAN_POINT('',(42.752,57.822394,0.)); #511287=CARTESIAN_POINT('Origin',(42.752,43.147269,0.)); #511288=CARTESIAN_POINT('',(42.752,43.147269,0.)); #511289=CARTESIAN_POINT('',(42.752,43.147269,0.)); #511290=CARTESIAN_POINT('',(42.752,43.147269,0.035)); #511291=CARTESIAN_POINT('',(42.752,43.147269,0.035)); #511292=CARTESIAN_POINT('',(42.752,43.147269,0.)); #511293=CARTESIAN_POINT('Origin',(43.718169,42.1811,0.)); #511294=CARTESIAN_POINT('',(43.718169,42.1811,0.)); #511295=CARTESIAN_POINT('',(43.718169,42.1811,0.)); #511296=CARTESIAN_POINT('',(43.718169,42.1811,0.035)); #511297=CARTESIAN_POINT('',(43.718169,42.1811,0.035)); #511298=CARTESIAN_POINT('',(43.718169,42.1811,0.)); #511299=CARTESIAN_POINT('Origin',(44.4445,42.1811,0.)); #511300=CARTESIAN_POINT('',(44.4445,42.1811,0.)); #511301=CARTESIAN_POINT('',(44.4445,42.1811,0.035)); #511302=CARTESIAN_POINT('Origin',(43.4471772334597,51.5427125048615,0.035)); #511303=CARTESIAN_POINT('Origin',(43.4471772334597,51.5427125048615,0.)); #511304=CARTESIAN_POINT('Origin',(37.5,21.25,-200.)); #511305=CARTESIAN_POINT('',(37.36,21.25,0.)); #511306=CARTESIAN_POINT('Origin',(37.5,21.25,0.)); #511307=CARTESIAN_POINT('',(37.36,21.25,0.0349999999999895)); #511308=CARTESIAN_POINT('',(37.36,21.25,-200.)); #511309=CARTESIAN_POINT('Origin',(37.5,21.25,0.0349999999999895)); #511310=CARTESIAN_POINT('Origin',(37.521644,20.975,0.)); #511311=CARTESIAN_POINT('',(37.521644,20.975,0.)); #511312=CARTESIAN_POINT('',(37.564397,20.981772,0.)); #511313=CARTESIAN_POINT('',(37.521644,20.975,0.)); #511314=CARTESIAN_POINT('',(37.564397,20.981772,0.035)); #511315=CARTESIAN_POINT('',(37.564397,20.981772,0.)); #511316=CARTESIAN_POINT('',(37.521644,20.975,0.035)); #511317=CARTESIAN_POINT('',(37.521644,20.975,0.035)); #511318=CARTESIAN_POINT('',(37.521644,20.975,0.)); #511319=CARTESIAN_POINT('Origin',(37.500118471131,21.2495872515008,0.)); #511320=CARTESIAN_POINT('',(37.373,21.493928,0.)); #511321=CARTESIAN_POINT('Origin',(37.500118471131,21.2495872515008,0.)); #511322=CARTESIAN_POINT('',(37.373,21.493928,0.035)); #511323=CARTESIAN_POINT('Origin',(37.500118471131,21.2495872515008,0.035)); #511324=CARTESIAN_POINT('',(37.373,21.493928,0.)); #511325=CARTESIAN_POINT('Origin',(37.373,22.567975,0.)); #511326=CARTESIAN_POINT('',(37.373,22.567975,0.)); #511327=CARTESIAN_POINT('',(37.373,22.567975,0.)); #511328=CARTESIAN_POINT('',(37.373,22.567975,0.035)); #511329=CARTESIAN_POINT('',(37.373,22.567975,0.035)); #511330=CARTESIAN_POINT('',(37.373,22.567975,0.)); #511331=CARTESIAN_POINT('Origin',(37.5000003087252,22.5679757497004,0.)); #511332=CARTESIAN_POINT('',(37.410197,22.657778,0.)); #511333=CARTESIAN_POINT('Origin',(37.5000003087252,22.5679757497004,0.)); #511334=CARTESIAN_POINT('',(37.410197,22.657778,0.035)); #511335=CARTESIAN_POINT('Origin',(37.5000003087252,22.5679757497004,0.035)); #511336=CARTESIAN_POINT('',(37.410197,22.657778,0.)); #511337=CARTESIAN_POINT('Origin',(38.592222,23.839803,0.)); #511338=CARTESIAN_POINT('',(38.592222,23.839803,0.)); #511339=CARTESIAN_POINT('',(38.592222,23.839803,0.)); #511340=CARTESIAN_POINT('',(38.592222,23.839803,0.035)); #511341=CARTESIAN_POINT('',(38.592222,23.839803,0.035)); #511342=CARTESIAN_POINT('',(38.592222,23.839803,0.)); #511343=CARTESIAN_POINT('Origin',(38.6820242502996,23.7499996912748,0.)); #511344=CARTESIAN_POINT('',(38.682025,23.877,0.)); #511345=CARTESIAN_POINT('Origin',(38.6820242502996,23.7499996912748,0.)); #511346=CARTESIAN_POINT('',(38.682025,23.877,0.035)); #511347=CARTESIAN_POINT('Origin',(38.6820242502996,23.7499996912748,0.035)); #511348=CARTESIAN_POINT('',(38.682025,23.877,0.)); #511349=CARTESIAN_POINT('Origin',(44.959394,23.877,0.)); #511350=CARTESIAN_POINT('',(44.959394,23.877,0.)); #511351=CARTESIAN_POINT('',(44.959394,23.877,0.)); #511352=CARTESIAN_POINT('',(44.959394,23.877,0.035)); #511353=CARTESIAN_POINT('',(44.959394,23.877,0.035)); #511354=CARTESIAN_POINT('',(44.959394,23.877,0.)); #511355=CARTESIAN_POINT('Origin',(45.635,24.552606,0.)); #511356=CARTESIAN_POINT('',(45.635,24.552606,0.)); #511357=CARTESIAN_POINT('',(45.635,24.552606,0.)); #511358=CARTESIAN_POINT('',(45.635,24.552606,0.035)); #511359=CARTESIAN_POINT('',(45.635,24.552606,0.035)); #511360=CARTESIAN_POINT('',(45.635,24.552606,0.)); #511361=CARTESIAN_POINT('Origin',(45.635,25.5555,0.)); #511362=CARTESIAN_POINT('',(45.635,25.5555,0.)); #511363=CARTESIAN_POINT('',(45.635,25.5555,0.)); #511364=CARTESIAN_POINT('',(45.635,25.5555,0.035)); #511365=CARTESIAN_POINT('',(45.635,25.5555,0.035)); #511366=CARTESIAN_POINT('',(45.635,25.5555,0.)); #511367=CARTESIAN_POINT('Origin',(45.3429,25.5555,0.)); #511368=CARTESIAN_POINT('',(45.3429,25.5555,0.)); #511369=CARTESIAN_POINT('',(45.3429,25.5555,0.)); #511370=CARTESIAN_POINT('',(45.3429,25.5555,0.035)); #511371=CARTESIAN_POINT('',(45.3429,25.5555,0.035)); #511372=CARTESIAN_POINT('',(45.3429,25.5555,0.)); #511373=CARTESIAN_POINT('Origin',(45.3429,26.4445,0.)); #511374=CARTESIAN_POINT('',(45.3429,26.4445,0.)); #511375=CARTESIAN_POINT('',(45.3429,26.4445,0.)); #511376=CARTESIAN_POINT('',(45.3429,26.4445,0.035)); #511377=CARTESIAN_POINT('',(45.3429,26.4445,0.035)); #511378=CARTESIAN_POINT('',(45.3429,26.4445,0.)); #511379=CARTESIAN_POINT('Origin',(46.1811,26.4445,0.)); #511380=CARTESIAN_POINT('',(46.1811,26.4445,0.)); #511381=CARTESIAN_POINT('',(46.1811,26.4445,0.)); #511382=CARTESIAN_POINT('',(46.1811,26.4445,0.035)); #511383=CARTESIAN_POINT('',(46.1811,26.4445,0.035)); #511384=CARTESIAN_POINT('',(46.1811,26.4445,0.)); #511385=CARTESIAN_POINT('Origin',(46.1811,25.5555,0.)); #511386=CARTESIAN_POINT('',(46.1811,25.5555,0.)); #511387=CARTESIAN_POINT('',(46.1811,25.5555,0.)); #511388=CARTESIAN_POINT('',(46.1811,25.5555,0.035)); #511389=CARTESIAN_POINT('',(46.1811,25.5555,0.035)); #511390=CARTESIAN_POINT('',(46.1811,25.5555,0.)); #511391=CARTESIAN_POINT('Origin',(45.889,25.5555,0.)); #511392=CARTESIAN_POINT('',(45.889,25.5555,0.)); #511393=CARTESIAN_POINT('',(45.889,25.5555,0.)); #511394=CARTESIAN_POINT('',(45.889,25.5555,0.035)); #511395=CARTESIAN_POINT('',(45.889,25.5555,0.035)); #511396=CARTESIAN_POINT('',(45.889,25.5555,0.)); #511397=CARTESIAN_POINT('Origin',(45.889,24.5,0.)); #511398=CARTESIAN_POINT('',(45.889,24.5,0.)); #511399=CARTESIAN_POINT('',(45.889,24.5,0.)); #511400=CARTESIAN_POINT('',(45.889,24.5,0.035)); #511401=CARTESIAN_POINT('',(45.889,24.5,0.035)); #511402=CARTESIAN_POINT('',(45.889,24.5,0.)); #511403=CARTESIAN_POINT('Origin',(45.7619996912748,24.4999992502996,0.)); #511404=CARTESIAN_POINT('',(45.851803,24.410197,0.)); #511405=CARTESIAN_POINT('Origin',(45.7619996912748,24.4999992502996,0.)); #511406=CARTESIAN_POINT('',(45.851803,24.410197,0.035)); #511407=CARTESIAN_POINT('Origin',(45.7619996912748,24.4999992502996,0.035)); #511408=CARTESIAN_POINT('',(45.851803,24.410197,0.)); #511409=CARTESIAN_POINT('Origin',(45.101803,23.660197,0.)); #511410=CARTESIAN_POINT('',(45.101803,23.660197,0.)); #511411=CARTESIAN_POINT('',(45.101803,23.660197,0.)); #511412=CARTESIAN_POINT('',(45.101803,23.660197,0.035)); #511413=CARTESIAN_POINT('',(45.101803,23.660197,0.035)); #511414=CARTESIAN_POINT('',(45.101803,23.660197,0.)); #511415=CARTESIAN_POINT('Origin',(45.0120007497004,23.7500003087252,0.)); #511416=CARTESIAN_POINT('',(45.012,23.623,0.)); #511417=CARTESIAN_POINT('Origin',(45.0120007497004,23.7500003087252,0.)); #511418=CARTESIAN_POINT('',(45.012,23.623,0.035)); #511419=CARTESIAN_POINT('Origin',(45.0120007497004,23.7500003087252,0.035)); #511420=CARTESIAN_POINT('',(45.012,23.623,0.)); #511421=CARTESIAN_POINT('Origin',(38.734631,23.623,0.)); #511422=CARTESIAN_POINT('',(38.734631,23.623,0.)); #511423=CARTESIAN_POINT('',(38.734631,23.623,0.)); #511424=CARTESIAN_POINT('',(38.734631,23.623,0.035)); #511425=CARTESIAN_POINT('',(38.734631,23.623,0.035)); #511426=CARTESIAN_POINT('',(38.734631,23.623,0.)); #511427=CARTESIAN_POINT('Origin',(37.627,22.515369,0.)); #511428=CARTESIAN_POINT('',(37.627,22.515369,0.)); #511429=CARTESIAN_POINT('',(37.627,22.515369,0.)); #511430=CARTESIAN_POINT('',(37.627,22.515369,0.035)); #511431=CARTESIAN_POINT('',(37.627,22.515369,0.035)); #511432=CARTESIAN_POINT('',(37.627,22.515369,0.)); #511433=CARTESIAN_POINT('Origin',(37.627,21.493928,0.)); #511434=CARTESIAN_POINT('',(37.627,21.493928,0.)); #511435=CARTESIAN_POINT('',(37.627,21.493928,0.)); #511436=CARTESIAN_POINT('',(37.627,21.493928,0.035)); #511437=CARTESIAN_POINT('',(37.627,21.493928,0.035)); #511438=CARTESIAN_POINT('',(37.627,21.493928,0.)); #511439=CARTESIAN_POINT('Origin',(37.4999453752955,21.249554310534,0.)); #511440=CARTESIAN_POINT('Origin',(37.4999453752955,21.249554310534,0.)); #511441=CARTESIAN_POINT('Origin',(37.4999453752955,21.249554310534,0.035)); #511442=CARTESIAN_POINT('Origin',(41.8484885615349,23.8021035997745,0.035)); #511443=CARTESIAN_POINT('Origin',(41.8484885615349,23.8021035997745,0.)); #511444=CARTESIAN_POINT('Origin',(31.,13.,-200.)); #511445=CARTESIAN_POINT('',(30.86,13.,0.)); #511446=CARTESIAN_POINT('Origin',(31.,13.,0.)); #511447=CARTESIAN_POINT('',(30.86,13.,0.0349999999999895)); #511448=CARTESIAN_POINT('',(30.86,13.,-200.)); #511449=CARTESIAN_POINT('Origin',(31.,13.,0.0349999999999895)); #511450=CARTESIAN_POINT('Origin',(31.021644,12.725,0.)); #511451=CARTESIAN_POINT('',(31.021644,12.725,0.)); #511452=CARTESIAN_POINT('',(31.064397,12.731772,0.)); #511453=CARTESIAN_POINT('',(31.021644,12.725,0.)); #511454=CARTESIAN_POINT('',(31.064397,12.731772,0.035)); #511455=CARTESIAN_POINT('',(31.064397,12.731772,0.)); #511456=CARTESIAN_POINT('',(31.021644,12.725,0.035)); #511457=CARTESIAN_POINT('',(31.021644,12.725,0.035)); #511458=CARTESIAN_POINT('',(31.021644,12.725,0.)); #511459=CARTESIAN_POINT('Origin',(31.0000002346035,12.9999996129187,0.)); #511460=CARTESIAN_POINT('',(30.894438,12.745147,0.)); #511461=CARTESIAN_POINT('Origin',(31.0000002346035,12.9999996129187,0.)); #511462=CARTESIAN_POINT('',(30.894438,12.745147,0.035)); #511463=CARTESIAN_POINT('Origin',(31.0000002346035,12.9999996129187,0.035)); #511464=CARTESIAN_POINT('',(30.894438,12.745147,0.)); #511465=CARTESIAN_POINT('Origin',(30.999856389188,12.9996053520337,0.)); #511466=CARTESIAN_POINT('',(31.082681,13.262288,0.)); #511467=CARTESIAN_POINT('Origin',(30.999856389188,12.9996053520337,0.)); #511468=CARTESIAN_POINT('',(31.082681,13.262288,0.035)); #511469=CARTESIAN_POINT('Origin',(30.999856389188,12.9996053520337,0.035)); #511470=CARTESIAN_POINT('',(31.082681,13.262288,0.)); #511471=CARTESIAN_POINT('Origin',(31.1125,13.292106,0.)); #511472=CARTESIAN_POINT('',(31.1125,13.292106,0.)); #511473=CARTESIAN_POINT('',(31.1125,13.292106,0.)); #511474=CARTESIAN_POINT('',(31.1125,13.292106,0.035)); #511475=CARTESIAN_POINT('',(31.1125,13.292106,0.035)); #511476=CARTESIAN_POINT('',(31.1125,13.292106,0.)); #511477=CARTESIAN_POINT('Origin',(31.1125,13.9125,0.)); #511478=CARTESIAN_POINT('',(31.1125,13.9125,0.)); #511479=CARTESIAN_POINT('',(31.1125,13.9125,0.)); #511480=CARTESIAN_POINT('',(31.1125,13.9125,0.035)); #511481=CARTESIAN_POINT('',(31.1125,13.9125,0.035)); #511482=CARTESIAN_POINT('',(31.1125,13.9125,0.)); #511483=CARTESIAN_POINT('Origin',(31.3875,13.9125,0.)); #511484=CARTESIAN_POINT('',(31.3875,13.9125,0.)); #511485=CARTESIAN_POINT('',(31.3875,13.9125,0.)); #511486=CARTESIAN_POINT('',(31.3875,13.9125,0.035)); #511487=CARTESIAN_POINT('',(31.3875,13.9125,0.035)); #511488=CARTESIAN_POINT('',(31.3875,13.9125,0.)); #511489=CARTESIAN_POINT('Origin',(31.3875,13.2875,0.)); #511490=CARTESIAN_POINT('',(31.3875,13.2875,0.)); #511491=CARTESIAN_POINT('',(31.3875,13.2875,0.)); #511492=CARTESIAN_POINT('',(31.3875,13.2875,0.035)); #511493=CARTESIAN_POINT('',(31.3875,13.2875,0.035)); #511494=CARTESIAN_POINT('',(31.3875,13.2875,0.)); #511495=CARTESIAN_POINT('Origin',(31.377,13.2875,0.)); #511496=CARTESIAN_POINT('',(31.377,13.2875,0.)); #511497=CARTESIAN_POINT('',(31.377,13.2875,0.)); #511498=CARTESIAN_POINT('',(31.377,13.2875,0.035)); #511499=CARTESIAN_POINT('',(31.377,13.2875,0.035)); #511500=CARTESIAN_POINT('',(31.377,13.2875,0.)); #511501=CARTESIAN_POINT('Origin',(31.377,13.25,0.)); #511502=CARTESIAN_POINT('',(31.377,13.25,0.)); #511503=CARTESIAN_POINT('',(31.377,13.25,0.)); #511504=CARTESIAN_POINT('',(31.377,13.25,0.035)); #511505=CARTESIAN_POINT('',(31.377,13.25,0.035)); #511506=CARTESIAN_POINT('',(31.377,13.25,0.)); #511507=CARTESIAN_POINT('Origin',(31.2499996912748,13.2499992502996,0.)); #511508=CARTESIAN_POINT('',(31.339803,13.160197,0.)); #511509=CARTESIAN_POINT('Origin',(31.2499996912748,13.2499992502996,0.)); #511510=CARTESIAN_POINT('',(31.339803,13.160197,0.035)); #511511=CARTESIAN_POINT('Origin',(31.2499996912748,13.2499992502996,0.035)); #511512=CARTESIAN_POINT('',(31.339803,13.160197,0.)); #511513=CARTESIAN_POINT('Origin',(31.262288,13.082681,0.)); #511514=CARTESIAN_POINT('',(31.262288,13.082681,0.)); #511515=CARTESIAN_POINT('',(31.262288,13.082681,0.)); #511516=CARTESIAN_POINT('',(31.262288,13.082681,0.035)); #511517=CARTESIAN_POINT('',(31.262288,13.082681,0.035)); #511518=CARTESIAN_POINT('',(31.262288,13.082681,0.)); #511519=CARTESIAN_POINT('Origin',(30.9997178966391,12.9995006690401,0.)); #511520=CARTESIAN_POINT('Origin',(30.9997178966391,12.9995006690401,0.)); #511521=CARTESIAN_POINT('Origin',(30.9997178966391,12.9995006690401,0.035)); #511522=CARTESIAN_POINT('Origin',(31.1318788498671,13.305647586349,0.035)); #511523=CARTESIAN_POINT('Origin',(31.1318788498671,13.305647586349,0.)); #511524=CARTESIAN_POINT('Origin',(30.9125,17.6125,0.)); #511525=CARTESIAN_POINT('',(30.9125,17.6125,0.)); #511526=CARTESIAN_POINT('',(30.9125,17.8875,0.)); #511527=CARTESIAN_POINT('',(30.9125,17.6125,0.)); #511528=CARTESIAN_POINT('',(30.9125,17.8875,0.035)); #511529=CARTESIAN_POINT('',(30.9125,17.8875,0.)); #511530=CARTESIAN_POINT('',(30.9125,17.6125,0.035)); #511531=CARTESIAN_POINT('',(30.9125,17.6125,0.035)); #511532=CARTESIAN_POINT('',(30.9125,17.6125,0.)); #511533=CARTESIAN_POINT('Origin',(30.2875,17.6125,0.)); #511534=CARTESIAN_POINT('',(30.2875,17.6125,0.)); #511535=CARTESIAN_POINT('',(30.2875,17.6125,0.)); #511536=CARTESIAN_POINT('',(30.2875,17.6125,0.035)); #511537=CARTESIAN_POINT('',(30.2875,17.6125,0.035)); #511538=CARTESIAN_POINT('',(30.2875,17.6125,0.)); #511539=CARTESIAN_POINT('Origin',(30.2875,17.623,0.)); #511540=CARTESIAN_POINT('',(30.2875,17.623,0.)); #511541=CARTESIAN_POINT('',(30.2875,17.623,0.)); #511542=CARTESIAN_POINT('',(30.2875,17.623,0.035)); #511543=CARTESIAN_POINT('',(30.2875,17.623,0.035)); #511544=CARTESIAN_POINT('',(30.2875,17.623,0.)); #511545=CARTESIAN_POINT('Origin',(30.158988,17.623,0.)); #511546=CARTESIAN_POINT('',(30.158988,17.623,0.)); #511547=CARTESIAN_POINT('',(30.158988,17.623,0.)); #511548=CARTESIAN_POINT('',(30.158988,17.623,0.035)); #511549=CARTESIAN_POINT('',(30.158988,17.623,0.035)); #511550=CARTESIAN_POINT('',(30.158988,17.623,0.)); #511551=CARTESIAN_POINT('Origin',(30.1589867502996,17.750001515832,0.)); #511552=CARTESIAN_POINT('',(30.069184,17.660197,0.)); #511553=CARTESIAN_POINT('Origin',(30.1589867502996,17.750001515832,0.)); #511554=CARTESIAN_POINT('',(30.069184,17.660197,0.035)); #511555=CARTESIAN_POINT('Origin',(30.1589867502996,17.750001515832,0.035)); #511556=CARTESIAN_POINT('',(30.069184,17.660197,0.)); #511557=CARTESIAN_POINT('Origin',(27.910197,19.819184,0.)); #511558=CARTESIAN_POINT('',(27.910197,19.819184,0.)); #511559=CARTESIAN_POINT('',(27.910197,19.819184,0.)); #511560=CARTESIAN_POINT('',(27.910197,19.819184,0.035)); #511561=CARTESIAN_POINT('',(27.910197,19.819184,0.035)); #511562=CARTESIAN_POINT('',(27.910197,19.819184,0.)); #511563=CARTESIAN_POINT('Origin',(28.000001515832,19.9089867502996,0.)); #511564=CARTESIAN_POINT('',(27.873,19.908988,0.)); #511565=CARTESIAN_POINT('Origin',(28.000001515832,19.9089867502996,0.)); #511566=CARTESIAN_POINT('',(27.873,19.908988,0.035)); #511567=CARTESIAN_POINT('Origin',(28.000001515832,19.9089867502996,0.035)); #511568=CARTESIAN_POINT('',(27.873,19.908988,0.)); #511569=CARTESIAN_POINT('Origin',(27.873,24.697394,0.)); #511570=CARTESIAN_POINT('',(27.873,24.697394,0.)); #511571=CARTESIAN_POINT('',(27.873,24.697394,0.)); #511572=CARTESIAN_POINT('',(27.873,24.697394,0.035)); #511573=CARTESIAN_POINT('',(27.873,24.697394,0.035)); #511574=CARTESIAN_POINT('',(27.873,24.697394,0.)); #511575=CARTESIAN_POINT('Origin',(27.447394,25.123,0.)); #511576=CARTESIAN_POINT('',(27.447394,25.123,0.)); #511577=CARTESIAN_POINT('',(27.447394,25.123,0.)); #511578=CARTESIAN_POINT('',(27.447394,25.123,0.035)); #511579=CARTESIAN_POINT('',(27.447394,25.123,0.035)); #511580=CARTESIAN_POINT('',(27.447394,25.123,0.)); #511581=CARTESIAN_POINT('Origin',(23.25,25.123,0.)); #511582=CARTESIAN_POINT('',(23.25,25.123,0.)); #511583=CARTESIAN_POINT('',(23.25,25.123,0.)); #511584=CARTESIAN_POINT('',(23.25,25.123,0.035)); #511585=CARTESIAN_POINT('',(23.25,25.123,0.035)); #511586=CARTESIAN_POINT('',(23.25,25.123,0.)); #511587=CARTESIAN_POINT('Origin',(23.2499992502996,25.2500003087252,0.)); #511588=CARTESIAN_POINT('',(23.160197,25.160197,0.)); #511589=CARTESIAN_POINT('Origin',(23.2499992502996,25.2500003087252,0.)); #511590=CARTESIAN_POINT('',(23.160197,25.160197,0.035)); #511591=CARTESIAN_POINT('Origin',(23.2499992502996,25.2500003087252,0.035)); #511592=CARTESIAN_POINT('',(23.160197,25.160197,0.)); #511593=CARTESIAN_POINT('Origin',(22.910197,25.410197,0.)); #511594=CARTESIAN_POINT('',(22.910197,25.410197,0.)); #511595=CARTESIAN_POINT('',(22.910197,25.410197,0.)); #511596=CARTESIAN_POINT('',(22.910197,25.410197,0.035)); #511597=CARTESIAN_POINT('',(22.910197,25.410197,0.035)); #511598=CARTESIAN_POINT('',(22.910197,25.410197,0.)); #511599=CARTESIAN_POINT('Origin',(23.0000003087252,25.4999992502996,0.)); #511600=CARTESIAN_POINT('',(22.873,25.5,0.)); #511601=CARTESIAN_POINT('Origin',(23.0000003087252,25.4999992502996,0.)); #511602=CARTESIAN_POINT('',(22.873,25.5,0.035)); #511603=CARTESIAN_POINT('Origin',(23.0000003087252,25.4999992502996,0.035)); #511604=CARTESIAN_POINT('',(22.873,25.5,0.)); #511605=CARTESIAN_POINT('Origin',(22.873,27.447394,0.)); #511606=CARTESIAN_POINT('',(22.873,27.447394,0.)); #511607=CARTESIAN_POINT('',(22.873,27.447394,0.)); #511608=CARTESIAN_POINT('',(22.873,27.447394,0.035)); #511609=CARTESIAN_POINT('',(22.873,27.447394,0.035)); #511610=CARTESIAN_POINT('',(22.873,27.447394,0.)); #511611=CARTESIAN_POINT('Origin',(22.209394,28.111,0.)); #511612=CARTESIAN_POINT('',(22.209394,28.111,0.)); #511613=CARTESIAN_POINT('',(22.209394,28.111,0.)); #511614=CARTESIAN_POINT('',(22.209394,28.111,0.035)); #511615=CARTESIAN_POINT('',(22.209394,28.111,0.035)); #511616=CARTESIAN_POINT('',(22.209394,28.111,0.)); #511617=CARTESIAN_POINT('Origin',(21.4445,28.111,0.)); #511618=CARTESIAN_POINT('',(21.4445,28.111,0.)); #511619=CARTESIAN_POINT('',(21.4445,28.111,0.)); #511620=CARTESIAN_POINT('',(21.4445,28.111,0.035)); #511621=CARTESIAN_POINT('',(21.4445,28.111,0.035)); #511622=CARTESIAN_POINT('',(21.4445,28.111,0.)); #511623=CARTESIAN_POINT('Origin',(21.4445,27.8189,0.)); #511624=CARTESIAN_POINT('',(21.4445,27.8189,0.)); #511625=CARTESIAN_POINT('',(21.4445,27.8189,0.)); #511626=CARTESIAN_POINT('',(21.4445,27.8189,0.035)); #511627=CARTESIAN_POINT('',(21.4445,27.8189,0.035)); #511628=CARTESIAN_POINT('',(21.4445,27.8189,0.)); #511629=CARTESIAN_POINT('Origin',(20.5555,27.8189,0.)); #511630=CARTESIAN_POINT('',(20.5555,27.8189,0.)); #511631=CARTESIAN_POINT('',(20.5555,27.8189,0.)); #511632=CARTESIAN_POINT('',(20.5555,27.8189,0.035)); #511633=CARTESIAN_POINT('',(20.5555,27.8189,0.035)); #511634=CARTESIAN_POINT('',(20.5555,27.8189,0.)); #511635=CARTESIAN_POINT('Origin',(20.5555,28.6571,0.)); #511636=CARTESIAN_POINT('',(20.5555,28.6571,0.)); #511637=CARTESIAN_POINT('',(20.5555,28.6571,0.)); #511638=CARTESIAN_POINT('',(20.5555,28.6571,0.035)); #511639=CARTESIAN_POINT('',(20.5555,28.6571,0.035)); #511640=CARTESIAN_POINT('',(20.5555,28.6571,0.)); #511641=CARTESIAN_POINT('Origin',(21.4445,28.6571,0.)); #511642=CARTESIAN_POINT('',(21.4445,28.6571,0.)); #511643=CARTESIAN_POINT('',(21.4445,28.6571,0.)); #511644=CARTESIAN_POINT('',(21.4445,28.6571,0.035)); #511645=CARTESIAN_POINT('',(21.4445,28.6571,0.035)); #511646=CARTESIAN_POINT('',(21.4445,28.6571,0.)); #511647=CARTESIAN_POINT('Origin',(21.4445,28.365,0.)); #511648=CARTESIAN_POINT('',(21.4445,28.365,0.)); #511649=CARTESIAN_POINT('',(21.4445,28.365,0.)); #511650=CARTESIAN_POINT('',(21.4445,28.365,0.035)); #511651=CARTESIAN_POINT('',(21.4445,28.365,0.035)); #511652=CARTESIAN_POINT('',(21.4445,28.365,0.)); #511653=CARTESIAN_POINT('Origin',(22.262,28.365,0.)); #511654=CARTESIAN_POINT('',(22.262,28.365,0.)); #511655=CARTESIAN_POINT('',(22.262,28.365,0.)); #511656=CARTESIAN_POINT('',(22.262,28.365,0.035)); #511657=CARTESIAN_POINT('',(22.262,28.365,0.035)); #511658=CARTESIAN_POINT('',(22.262,28.365,0.)); #511659=CARTESIAN_POINT('Origin',(22.2620007497004,28.2379996912748,0.)); #511660=CARTESIAN_POINT('',(22.351803,28.327803,0.)); #511661=CARTESIAN_POINT('Origin',(22.2620007497004,28.2379996912748,0.)); #511662=CARTESIAN_POINT('',(22.351803,28.327803,0.035)); #511663=CARTESIAN_POINT('Origin',(22.2620007497004,28.2379996912748,0.035)); #511664=CARTESIAN_POINT('',(22.351803,28.327803,0.)); #511665=CARTESIAN_POINT('Origin',(23.089803,27.589803,0.)); #511666=CARTESIAN_POINT('',(23.089803,27.589803,0.)); #511667=CARTESIAN_POINT('',(23.089803,27.589803,0.)); #511668=CARTESIAN_POINT('',(23.089803,27.589803,0.035)); #511669=CARTESIAN_POINT('',(23.089803,27.589803,0.035)); #511670=CARTESIAN_POINT('',(23.089803,27.589803,0.)); #511671=CARTESIAN_POINT('Origin',(22.9999996912748,27.5000007497004,0.)); #511672=CARTESIAN_POINT('',(23.127,27.5,0.)); #511673=CARTESIAN_POINT('Origin',(22.9999996912748,27.5000007497004,0.)); #511674=CARTESIAN_POINT('',(23.127,27.5,0.035)); #511675=CARTESIAN_POINT('Origin',(22.9999996912748,27.5000007497004,0.035)); #511676=CARTESIAN_POINT('',(23.127,27.5,0.)); #511677=CARTESIAN_POINT('Origin',(23.127,25.552606,0.)); #511678=CARTESIAN_POINT('',(23.127,25.552606,0.)); #511679=CARTESIAN_POINT('',(23.127,25.552606,0.)); #511680=CARTESIAN_POINT('',(23.127,25.552606,0.035)); #511681=CARTESIAN_POINT('',(23.127,25.552606,0.035)); #511682=CARTESIAN_POINT('',(23.127,25.552606,0.)); #511683=CARTESIAN_POINT('Origin',(23.302606,25.377,0.)); #511684=CARTESIAN_POINT('',(23.302606,25.377,0.)); #511685=CARTESIAN_POINT('',(23.302606,25.377,0.)); #511686=CARTESIAN_POINT('',(23.302606,25.377,0.035)); #511687=CARTESIAN_POINT('',(23.302606,25.377,0.035)); #511688=CARTESIAN_POINT('',(23.302606,25.377,0.)); #511689=CARTESIAN_POINT('Origin',(27.5,25.377,0.)); #511690=CARTESIAN_POINT('',(27.5,25.377,0.)); #511691=CARTESIAN_POINT('',(27.5,25.377,0.)); #511692=CARTESIAN_POINT('',(27.5,25.377,0.035)); #511693=CARTESIAN_POINT('',(27.5,25.377,0.035)); #511694=CARTESIAN_POINT('',(27.5,25.377,0.)); #511695=CARTESIAN_POINT('Origin',(27.5000007497004,25.2499996912748,0.)); #511696=CARTESIAN_POINT('',(27.589803,25.339803,0.)); #511697=CARTESIAN_POINT('Origin',(27.5000007497004,25.2499996912748,0.)); #511698=CARTESIAN_POINT('',(27.589803,25.339803,0.035)); #511699=CARTESIAN_POINT('Origin',(27.5000007497004,25.2499996912748,0.035)); #511700=CARTESIAN_POINT('',(27.589803,25.339803,0.)); #511701=CARTESIAN_POINT('Origin',(28.089803,24.839803,0.)); #511702=CARTESIAN_POINT('',(28.089803,24.839803,0.)); #511703=CARTESIAN_POINT('',(28.089803,24.839803,0.)); #511704=CARTESIAN_POINT('',(28.089803,24.839803,0.035)); #511705=CARTESIAN_POINT('',(28.089803,24.839803,0.035)); #511706=CARTESIAN_POINT('',(28.089803,24.839803,0.)); #511707=CARTESIAN_POINT('Origin',(27.9999996912748,24.7500007497004,0.)); #511708=CARTESIAN_POINT('',(28.127,24.75,0.)); #511709=CARTESIAN_POINT('Origin',(27.9999996912748,24.7500007497004,0.)); #511710=CARTESIAN_POINT('',(28.127,24.75,0.035)); #511711=CARTESIAN_POINT('Origin',(27.9999996912748,24.7500007497004,0.035)); #511712=CARTESIAN_POINT('',(28.127,24.75,0.)); #511713=CARTESIAN_POINT('Origin',(28.127,19.961594,0.)); #511714=CARTESIAN_POINT('',(28.127,19.961594,0.)); #511715=CARTESIAN_POINT('',(28.127,19.961594,0.)); #511716=CARTESIAN_POINT('',(28.127,19.961594,0.035)); #511717=CARTESIAN_POINT('',(28.127,19.961594,0.035)); #511718=CARTESIAN_POINT('',(28.127,19.961594,0.)); #511719=CARTESIAN_POINT('Origin',(30.211594,17.877,0.)); #511720=CARTESIAN_POINT('',(30.211594,17.877,0.)); #511721=CARTESIAN_POINT('',(30.211594,17.877,0.)); #511722=CARTESIAN_POINT('',(30.211594,17.877,0.035)); #511723=CARTESIAN_POINT('',(30.211594,17.877,0.035)); #511724=CARTESIAN_POINT('',(30.211594,17.877,0.)); #511725=CARTESIAN_POINT('Origin',(30.2875,17.877,0.)); #511726=CARTESIAN_POINT('',(30.2875,17.877,0.)); #511727=CARTESIAN_POINT('',(30.2875,17.877,0.)); #511728=CARTESIAN_POINT('',(30.2875,17.877,0.035)); #511729=CARTESIAN_POINT('',(30.2875,17.877,0.035)); #511730=CARTESIAN_POINT('',(30.2875,17.877,0.)); #511731=CARTESIAN_POINT('Origin',(30.2875,17.8875,0.)); #511732=CARTESIAN_POINT('',(30.2875,17.8875,0.)); #511733=CARTESIAN_POINT('',(30.2875,17.8875,0.)); #511734=CARTESIAN_POINT('',(30.2875,17.8875,0.035)); #511735=CARTESIAN_POINT('',(30.2875,17.8875,0.035)); #511736=CARTESIAN_POINT('',(30.2875,17.8875,0.)); #511737=CARTESIAN_POINT('Origin',(30.9125,17.8875,0.)); #511738=CARTESIAN_POINT('',(30.9125,17.8875,0.)); #511739=CARTESIAN_POINT('',(30.9125,17.8875,0.035)); #511740=CARTESIAN_POINT('Origin',(25.9913852768299,23.8094637378829,0.035)); #511741=CARTESIAN_POINT('Origin',(25.9913852768299,23.8094637378829,0.)); #511742=CARTESIAN_POINT('Origin',(25.,26.625,-200.)); #511743=CARTESIAN_POINT('',(24.86,26.625,0.)); #511744=CARTESIAN_POINT('Origin',(25.,26.625,0.)); #511745=CARTESIAN_POINT('',(24.86,26.625,0.0349999999999895)); #511746=CARTESIAN_POINT('',(24.86,26.625,-200.)); #511747=CARTESIAN_POINT('Origin',(25.,26.625,0.0349999999999895)); #511748=CARTESIAN_POINT('Origin',(25.021644,26.35,0.)); #511749=CARTESIAN_POINT('',(25.021644,26.35,0.)); #511750=CARTESIAN_POINT('',(25.064397,26.356772,0.)); #511751=CARTESIAN_POINT('',(25.021644,26.35,0.)); #511752=CARTESIAN_POINT('',(25.064397,26.356772,0.035)); #511753=CARTESIAN_POINT('',(25.064397,26.356772,0.)); #511754=CARTESIAN_POINT('',(25.021644,26.35,0.035)); #511755=CARTESIAN_POINT('',(25.021644,26.35,0.035)); #511756=CARTESIAN_POINT('',(25.021644,26.35,0.)); #511757=CARTESIAN_POINT('Origin',(25.000118471131,26.6245872515008,0.)); #511758=CARTESIAN_POINT('',(24.873,26.868928,0.)); #511759=CARTESIAN_POINT('Origin',(25.000118471131,26.6245872515008,0.)); #511760=CARTESIAN_POINT('',(24.873,26.868928,0.035)); #511761=CARTESIAN_POINT('Origin',(25.000118471131,26.6245872515008,0.035)); #511762=CARTESIAN_POINT('',(24.873,26.868928,0.)); #511763=CARTESIAN_POINT('Origin',(24.873,27.8189,0.)); #511764=CARTESIAN_POINT('',(24.873,27.8189,0.)); #511765=CARTESIAN_POINT('',(24.873,27.8189,0.)); #511766=CARTESIAN_POINT('',(24.873,27.8189,0.035)); #511767=CARTESIAN_POINT('',(24.873,27.8189,0.035)); #511768=CARTESIAN_POINT('',(24.873,27.8189,0.)); #511769=CARTESIAN_POINT('Origin',(24.5555,27.8189,0.)); #511770=CARTESIAN_POINT('',(24.5555,27.8189,0.)); #511771=CARTESIAN_POINT('',(24.5555,27.8189,0.)); #511772=CARTESIAN_POINT('',(24.5555,27.8189,0.035)); #511773=CARTESIAN_POINT('',(24.5555,27.8189,0.035)); #511774=CARTESIAN_POINT('',(24.5555,27.8189,0.)); #511775=CARTESIAN_POINT('Origin',(24.5555,28.6571,0.)); #511776=CARTESIAN_POINT('',(24.5555,28.6571,0.)); #511777=CARTESIAN_POINT('',(24.5555,28.6571,0.)); #511778=CARTESIAN_POINT('',(24.5555,28.6571,0.035)); #511779=CARTESIAN_POINT('',(24.5555,28.6571,0.035)); #511780=CARTESIAN_POINT('',(24.5555,28.6571,0.)); #511781=CARTESIAN_POINT('Origin',(25.4445,28.6571,0.)); #511782=CARTESIAN_POINT('',(25.4445,28.6571,0.)); #511783=CARTESIAN_POINT('',(25.4445,28.6571,0.)); #511784=CARTESIAN_POINT('',(25.4445,28.6571,0.035)); #511785=CARTESIAN_POINT('',(25.4445,28.6571,0.035)); #511786=CARTESIAN_POINT('',(25.4445,28.6571,0.)); #511787=CARTESIAN_POINT('Origin',(25.4445,27.8189,0.)); #511788=CARTESIAN_POINT('',(25.4445,27.8189,0.)); #511789=CARTESIAN_POINT('',(25.4445,27.8189,0.)); #511790=CARTESIAN_POINT('',(25.4445,27.8189,0.035)); #511791=CARTESIAN_POINT('',(25.4445,27.8189,0.035)); #511792=CARTESIAN_POINT('',(25.4445,27.8189,0.)); #511793=CARTESIAN_POINT('Origin',(25.127,27.8189,0.)); #511794=CARTESIAN_POINT('',(25.127,27.8189,0.)); #511795=CARTESIAN_POINT('',(25.127,27.8189,0.)); #511796=CARTESIAN_POINT('',(25.127,27.8189,0.035)); #511797=CARTESIAN_POINT('',(25.127,27.8189,0.035)); #511798=CARTESIAN_POINT('',(25.127,27.8189,0.)); #511799=CARTESIAN_POINT('Origin',(25.127,26.868928,0.)); #511800=CARTESIAN_POINT('',(25.127,26.868928,0.)); #511801=CARTESIAN_POINT('',(25.127,26.868928,0.)); #511802=CARTESIAN_POINT('',(25.127,26.868928,0.035)); #511803=CARTESIAN_POINT('',(25.127,26.868928,0.035)); #511804=CARTESIAN_POINT('',(25.127,26.868928,0.)); #511805=CARTESIAN_POINT('Origin',(24.9999453752955,26.624554310534,0.)); #511806=CARTESIAN_POINT('Origin',(24.9999453752955,26.624554310534,0.)); #511807=CARTESIAN_POINT('Origin',(24.9999453752955,26.624554310534,0.035)); #511808=CARTESIAN_POINT('Origin',(25.0003956139149,27.6252102582004,0.035)); #511809=CARTESIAN_POINT('Origin',(25.0003956139149,27.6252102582004,0.)); #511810=CARTESIAN_POINT('Origin',(27.25,24.375,-200.)); #511811=CARTESIAN_POINT('',(27.11,24.375,0.)); #511812=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #511813=CARTESIAN_POINT('',(27.11,24.375,0.0349999999999895)); #511814=CARTESIAN_POINT('',(27.11,24.375,-200.)); #511815=CARTESIAN_POINT('Origin',(27.25,24.375,0.0349999999999895)); #511816=CARTESIAN_POINT('Origin',(27.762,19.,-200.)); #511817=CARTESIAN_POINT('',(27.622,19.,0.)); #511818=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #511819=CARTESIAN_POINT('',(27.622,19.,0.0349999999999895)); #511820=CARTESIAN_POINT('',(27.622,19.,-200.)); #511821=CARTESIAN_POINT('Origin',(27.762,19.,0.0349999999999895)); #511822=CARTESIAN_POINT('Origin',(27.123,18.125,0.)); #511823=CARTESIAN_POINT('',(27.123,18.125,0.)); #511824=CARTESIAN_POINT('',(27.123603,18.1196,0.)); #511825=CARTESIAN_POINT('',(27.123,18.125,0.)); #511826=CARTESIAN_POINT('',(27.123603,18.1196,0.035)); #511827=CARTESIAN_POINT('',(27.123603,18.1196,0.)); #511828=CARTESIAN_POINT('',(27.123,18.125,0.035)); #511829=CARTESIAN_POINT('',(27.123,18.125,0.035)); #511830=CARTESIAN_POINT('',(27.123,18.125,0.)); #511831=CARTESIAN_POINT('Origin',(27.123,24.131072,0.)); #511832=CARTESIAN_POINT('',(27.123,24.131072,0.)); #511833=CARTESIAN_POINT('',(27.123,24.131072,0.)); #511834=CARTESIAN_POINT('',(27.123,24.131072,0.035)); #511835=CARTESIAN_POINT('',(27.123,24.131072,0.035)); #511836=CARTESIAN_POINT('',(27.123,24.131072,0.)); #511837=CARTESIAN_POINT('Origin',(27.2500204601791,24.3754637716938,0.)); #511838=CARTESIAN_POINT('',(27.144438,24.629853,0.)); #511839=CARTESIAN_POINT('Origin',(27.2500204601791,24.3754637716938,0.)); #511840=CARTESIAN_POINT('',(27.144438,24.629853,0.035)); #511841=CARTESIAN_POINT('Origin',(27.2500204601791,24.3754637716938,0.035)); #511842=CARTESIAN_POINT('',(27.144438,24.629853,0.)); #511843=CARTESIAN_POINT('Origin',(27.2498222285952,24.375381763261,0.)); #511844=CARTESIAN_POINT('',(27.377,24.131072,0.)); #511845=CARTESIAN_POINT('Origin',(27.2498222285952,24.375381763261,0.)); #511846=CARTESIAN_POINT('',(27.377,24.131072,0.035)); #511847=CARTESIAN_POINT('Origin',(27.2498222285952,24.375381763261,0.035)); #511848=CARTESIAN_POINT('',(27.377,24.131072,0.)); #511849=CARTESIAN_POINT('Origin',(27.377,19.302606,0.)); #511850=CARTESIAN_POINT('',(27.377,19.302606,0.)); #511851=CARTESIAN_POINT('',(27.377,19.302606,0.)); #511852=CARTESIAN_POINT('',(27.377,19.302606,0.035)); #511853=CARTESIAN_POINT('',(27.377,19.302606,0.035)); #511854=CARTESIAN_POINT('',(27.377,19.302606,0.)); #511855=CARTESIAN_POINT('Origin',(27.53045,19.149156,0.)); #511856=CARTESIAN_POINT('',(27.53045,19.149156,0.)); #511857=CARTESIAN_POINT('',(27.53045,19.149156,0.)); #511858=CARTESIAN_POINT('',(27.53045,19.149156,0.035)); #511859=CARTESIAN_POINT('',(27.53045,19.149156,0.035)); #511860=CARTESIAN_POINT('',(27.53045,19.149156,0.)); #511861=CARTESIAN_POINT('Origin',(27.7622462131514,18.9999969802787,0.)); #511862=CARTESIAN_POINT('',(27.9972,19.144131,0.)); #511863=CARTESIAN_POINT('Origin',(27.7622462131514,18.9999969802787,0.)); #511864=CARTESIAN_POINT('',(27.9972,19.144131,0.035)); #511865=CARTESIAN_POINT('Origin',(27.7622462131514,18.9999969802787,0.035)); #511866=CARTESIAN_POINT('',(27.9972,19.144131,0.)); #511867=CARTESIAN_POINT('Origin',(27.7621765406626,19.0001109316411,0.)); #511868=CARTESIAN_POINT('',(27.53045,18.850844,0.)); #511869=CARTESIAN_POINT('Origin',(27.7621765406626,19.0001109316411,0.)); #511870=CARTESIAN_POINT('',(27.53045,18.850844,0.035)); #511871=CARTESIAN_POINT('Origin',(27.7621765406626,19.0001109316411,0.035)); #511872=CARTESIAN_POINT('',(27.53045,18.850844,0.)); #511873=CARTESIAN_POINT('Origin',(27.377,18.697394,0.)); #511874=CARTESIAN_POINT('',(27.377,18.697394,0.)); #511875=CARTESIAN_POINT('',(27.377,18.697394,0.)); #511876=CARTESIAN_POINT('',(27.377,18.697394,0.035)); #511877=CARTESIAN_POINT('',(27.377,18.697394,0.035)); #511878=CARTESIAN_POINT('',(27.377,18.697394,0.)); #511879=CARTESIAN_POINT('Origin',(27.377,18.177606,0.)); #511880=CARTESIAN_POINT('',(27.377,18.177606,0.)); #511881=CARTESIAN_POINT('',(27.377,18.177606,0.)); #511882=CARTESIAN_POINT('',(27.377,18.177606,0.035)); #511883=CARTESIAN_POINT('',(27.377,18.177606,0.035)); #511884=CARTESIAN_POINT('',(27.377,18.177606,0.)); #511885=CARTESIAN_POINT('Origin',(29.177606,16.377,0.)); #511886=CARTESIAN_POINT('',(29.177606,16.377,0.)); #511887=CARTESIAN_POINT('',(29.177606,16.377,0.)); #511888=CARTESIAN_POINT('',(29.177606,16.377,0.035)); #511889=CARTESIAN_POINT('',(29.177606,16.377,0.035)); #511890=CARTESIAN_POINT('',(29.177606,16.377,0.)); #511891=CARTESIAN_POINT('Origin',(30.2875,16.377,0.)); #511892=CARTESIAN_POINT('',(30.2875,16.377,0.)); #511893=CARTESIAN_POINT('',(30.2875,16.377,0.)); #511894=CARTESIAN_POINT('',(30.2875,16.377,0.035)); #511895=CARTESIAN_POINT('',(30.2875,16.377,0.035)); #511896=CARTESIAN_POINT('',(30.2875,16.377,0.)); #511897=CARTESIAN_POINT('Origin',(30.2875,16.3875,0.)); #511898=CARTESIAN_POINT('',(30.2875,16.3875,0.)); #511899=CARTESIAN_POINT('',(30.2875,16.3875,0.)); #511900=CARTESIAN_POINT('',(30.2875,16.3875,0.035)); #511901=CARTESIAN_POINT('',(30.2875,16.3875,0.035)); #511902=CARTESIAN_POINT('',(30.2875,16.3875,0.)); #511903=CARTESIAN_POINT('Origin',(30.9125,16.3875,0.)); #511904=CARTESIAN_POINT('',(30.9125,16.3875,0.)); #511905=CARTESIAN_POINT('',(30.9125,16.3875,0.)); #511906=CARTESIAN_POINT('',(30.9125,16.3875,0.035)); #511907=CARTESIAN_POINT('',(30.9125,16.3875,0.035)); #511908=CARTESIAN_POINT('',(30.9125,16.3875,0.)); #511909=CARTESIAN_POINT('Origin',(30.9125,16.1125,0.)); #511910=CARTESIAN_POINT('',(30.9125,16.1125,0.)); #511911=CARTESIAN_POINT('',(30.9125,16.1125,0.)); #511912=CARTESIAN_POINT('',(30.9125,16.1125,0.035)); #511913=CARTESIAN_POINT('',(30.9125,16.1125,0.035)); #511914=CARTESIAN_POINT('',(30.9125,16.1125,0.)); #511915=CARTESIAN_POINT('Origin',(30.2875,16.1125,0.)); #511916=CARTESIAN_POINT('',(30.2875,16.1125,0.)); #511917=CARTESIAN_POINT('',(30.2875,16.1125,0.)); #511918=CARTESIAN_POINT('',(30.2875,16.1125,0.035)); #511919=CARTESIAN_POINT('',(30.2875,16.1125,0.035)); #511920=CARTESIAN_POINT('',(30.2875,16.1125,0.)); #511921=CARTESIAN_POINT('Origin',(30.2875,16.123,0.)); #511922=CARTESIAN_POINT('',(30.2875,16.123,0.)); #511923=CARTESIAN_POINT('',(30.2875,16.123,0.)); #511924=CARTESIAN_POINT('',(30.2875,16.123,0.035)); #511925=CARTESIAN_POINT('',(30.2875,16.123,0.035)); #511926=CARTESIAN_POINT('',(30.2875,16.123,0.)); #511927=CARTESIAN_POINT('Origin',(29.125,16.123,0.)); #511928=CARTESIAN_POINT('',(29.125,16.123,0.)); #511929=CARTESIAN_POINT('',(29.125,16.123,0.)); #511930=CARTESIAN_POINT('',(29.125,16.123,0.035)); #511931=CARTESIAN_POINT('',(29.125,16.123,0.035)); #511932=CARTESIAN_POINT('',(29.125,16.123,0.)); #511933=CARTESIAN_POINT('Origin',(29.1249992502996,16.2500003087252,0.)); #511934=CARTESIAN_POINT('',(29.035197,16.160197,0.)); #511935=CARTESIAN_POINT('Origin',(29.1249992502996,16.2500003087252,0.)); #511936=CARTESIAN_POINT('',(29.035197,16.160197,0.035)); #511937=CARTESIAN_POINT('Origin',(29.1249992502996,16.2500003087252,0.035)); #511938=CARTESIAN_POINT('',(29.035197,16.160197,0.)); #511939=CARTESIAN_POINT('Origin',(27.160197,18.035197,0.)); #511940=CARTESIAN_POINT('',(27.160197,18.035197,0.)); #511941=CARTESIAN_POINT('',(27.160197,18.035197,0.)); #511942=CARTESIAN_POINT('',(27.160197,18.035197,0.035)); #511943=CARTESIAN_POINT('',(27.160197,18.035197,0.035)); #511944=CARTESIAN_POINT('',(27.160197,18.035197,0.)); #511945=CARTESIAN_POINT('Origin',(27.2502707078348,18.1243840062321,0.)); #511946=CARTESIAN_POINT('Origin',(27.2502707078348,18.1243840062321,0.)); #511947=CARTESIAN_POINT('Origin',(27.2502707078348,18.1243840062321,0.035)); #511948=CARTESIAN_POINT('Origin',(27.9492334460114,19.5544507610988,0.035)); #511949=CARTESIAN_POINT('Origin',(27.9492334460114,19.5544507610988,0.)); #511950=CARTESIAN_POINT('Origin',(30.9125,14.6125,0.)); #511951=CARTESIAN_POINT('',(30.9125,14.6125,0.)); #511952=CARTESIAN_POINT('',(30.9125,14.8875,0.)); #511953=CARTESIAN_POINT('',(30.9125,14.6125,0.)); #511954=CARTESIAN_POINT('',(30.9125,14.8875,0.035)); #511955=CARTESIAN_POINT('',(30.9125,14.8875,0.)); #511956=CARTESIAN_POINT('',(30.9125,14.6125,0.035)); #511957=CARTESIAN_POINT('',(30.9125,14.6125,0.035)); #511958=CARTESIAN_POINT('',(30.9125,14.6125,0.)); #511959=CARTESIAN_POINT('Origin',(30.2875,14.6125,0.)); #511960=CARTESIAN_POINT('',(30.2875,14.6125,0.)); #511961=CARTESIAN_POINT('',(30.2875,14.6125,0.)); #511962=CARTESIAN_POINT('',(30.2875,14.6125,0.035)); #511963=CARTESIAN_POINT('',(30.2875,14.6125,0.035)); #511964=CARTESIAN_POINT('',(30.2875,14.6125,0.)); #511965=CARTESIAN_POINT('Origin',(30.2875,14.623,0.)); #511966=CARTESIAN_POINT('',(30.2875,14.623,0.)); #511967=CARTESIAN_POINT('',(30.2875,14.623,0.)); #511968=CARTESIAN_POINT('',(30.2875,14.623,0.035)); #511969=CARTESIAN_POINT('',(30.2875,14.623,0.035)); #511970=CARTESIAN_POINT('',(30.2875,14.623,0.)); #511971=CARTESIAN_POINT('Origin',(29.033988,14.623,0.)); #511972=CARTESIAN_POINT('',(29.033988,14.623,0.)); #511973=CARTESIAN_POINT('',(29.033988,14.623,0.)); #511974=CARTESIAN_POINT('',(29.033988,14.623,0.035)); #511975=CARTESIAN_POINT('',(29.033988,14.623,0.035)); #511976=CARTESIAN_POINT('',(29.033988,14.623,0.)); #511977=CARTESIAN_POINT('Origin',(29.0339867502996,14.750001515832,0.)); #511978=CARTESIAN_POINT('',(28.944184,14.660197,0.)); #511979=CARTESIAN_POINT('Origin',(29.0339867502996,14.750001515832,0.)); #511980=CARTESIAN_POINT('',(28.944184,14.660197,0.035)); #511981=CARTESIAN_POINT('Origin',(29.0339867502996,14.750001515832,0.035)); #511982=CARTESIAN_POINT('',(28.944184,14.660197,0.)); #511983=CARTESIAN_POINT('Origin',(26.048881,17.5555,0.)); #511984=CARTESIAN_POINT('',(26.048881,17.5555,0.)); #511985=CARTESIAN_POINT('',(26.048881,17.5555,0.)); #511986=CARTESIAN_POINT('',(26.048881,17.5555,0.035)); #511987=CARTESIAN_POINT('',(26.048881,17.5555,0.035)); #511988=CARTESIAN_POINT('',(26.048881,17.5555,0.)); #511989=CARTESIAN_POINT('Origin',(25.3429,17.5555,0.)); #511990=CARTESIAN_POINT('',(25.3429,17.5555,0.)); #511991=CARTESIAN_POINT('',(25.3429,17.5555,0.)); #511992=CARTESIAN_POINT('',(25.3429,17.5555,0.035)); #511993=CARTESIAN_POINT('',(25.3429,17.5555,0.035)); #511994=CARTESIAN_POINT('',(25.3429,17.5555,0.)); #511995=CARTESIAN_POINT('Origin',(25.3429,18.4445,0.)); #511996=CARTESIAN_POINT('',(25.3429,18.4445,0.)); #511997=CARTESIAN_POINT('',(25.3429,18.4445,0.)); #511998=CARTESIAN_POINT('',(25.3429,18.4445,0.035)); #511999=CARTESIAN_POINT('',(25.3429,18.4445,0.035)); #512000=CARTESIAN_POINT('',(25.3429,18.4445,0.)); #512001=CARTESIAN_POINT('Origin',(26.1811,18.4445,0.)); #512002=CARTESIAN_POINT('',(26.1811,18.4445,0.)); #512003=CARTESIAN_POINT('',(26.1811,18.4445,0.)); #512004=CARTESIAN_POINT('',(26.1811,18.4445,0.035)); #512005=CARTESIAN_POINT('',(26.1811,18.4445,0.035)); #512006=CARTESIAN_POINT('',(26.1811,18.4445,0.)); #512007=CARTESIAN_POINT('Origin',(26.1811,17.782494,0.)); #512008=CARTESIAN_POINT('',(26.1811,17.782494,0.)); #512009=CARTESIAN_POINT('',(26.1811,17.782494,0.)); #512010=CARTESIAN_POINT('',(26.1811,17.782494,0.035)); #512011=CARTESIAN_POINT('',(26.1811,17.782494,0.035)); #512012=CARTESIAN_POINT('',(26.1811,17.782494,0.)); #512013=CARTESIAN_POINT('Origin',(29.086594,14.877,0.)); #512014=CARTESIAN_POINT('',(29.086594,14.877,0.)); #512015=CARTESIAN_POINT('',(29.086594,14.877,0.)); #512016=CARTESIAN_POINT('',(29.086594,14.877,0.035)); #512017=CARTESIAN_POINT('',(29.086594,14.877,0.035)); #512018=CARTESIAN_POINT('',(29.086594,14.877,0.)); #512019=CARTESIAN_POINT('Origin',(30.2875,14.877,0.)); #512020=CARTESIAN_POINT('',(30.2875,14.877,0.)); #512021=CARTESIAN_POINT('',(30.2875,14.877,0.)); #512022=CARTESIAN_POINT('',(30.2875,14.877,0.035)); #512023=CARTESIAN_POINT('',(30.2875,14.877,0.035)); #512024=CARTESIAN_POINT('',(30.2875,14.877,0.)); #512025=CARTESIAN_POINT('Origin',(30.2875,14.8875,0.)); #512026=CARTESIAN_POINT('',(30.2875,14.8875,0.)); #512027=CARTESIAN_POINT('',(30.2875,14.8875,0.)); #512028=CARTESIAN_POINT('',(30.2875,14.8875,0.035)); #512029=CARTESIAN_POINT('',(30.2875,14.8875,0.035)); #512030=CARTESIAN_POINT('',(30.2875,14.8875,0.)); #512031=CARTESIAN_POINT('Origin',(30.9125,14.8875,0.)); #512032=CARTESIAN_POINT('',(30.9125,14.8875,0.)); #512033=CARTESIAN_POINT('',(30.9125,14.8875,0.035)); #512034=CARTESIAN_POINT('Origin',(27.8487115046656,16.1933622267642,0.035)); #512035=CARTESIAN_POINT('Origin',(27.8487115046656,16.1933622267642,0.)); #512036=CARTESIAN_POINT('Origin',(14.5,21.75,-200.)); #512037=CARTESIAN_POINT('',(14.36,21.75,0.)); #512038=CARTESIAN_POINT('Origin',(14.5,21.75,0.)); #512039=CARTESIAN_POINT('',(14.36,21.75,0.0349999999999895)); #512040=CARTESIAN_POINT('',(14.36,21.75,-200.)); #512041=CARTESIAN_POINT('Origin',(14.5,21.75,0.0349999999999895)); #512042=CARTESIAN_POINT('Origin',(14.5,21.,-200.)); #512043=CARTESIAN_POINT('',(14.36,21.,0.)); #512044=CARTESIAN_POINT('Origin',(14.5,21.,0.)); #512045=CARTESIAN_POINT('',(14.36,21.,0.0349999999999895)); #512046=CARTESIAN_POINT('',(14.36,21.,-200.)); #512047=CARTESIAN_POINT('Origin',(14.5,21.,0.0349999999999895)); #512048=CARTESIAN_POINT('Origin',(16.,20.25,-200.)); #512049=CARTESIAN_POINT('',(15.86,20.25,0.)); #512050=CARTESIAN_POINT('Origin',(16.,20.25,0.)); #512051=CARTESIAN_POINT('',(15.86,20.25,0.0349999999999895)); #512052=CARTESIAN_POINT('',(15.86,20.25,-200.)); #512053=CARTESIAN_POINT('Origin',(16.,20.25,0.0349999999999895)); #512054=CARTESIAN_POINT('Origin',(14.5,20.25,-200.)); #512055=CARTESIAN_POINT('',(14.36,20.25,0.)); #512056=CARTESIAN_POINT('Origin',(14.5,20.25,0.)); #512057=CARTESIAN_POINT('',(14.36,20.25,0.0349999999999895)); #512058=CARTESIAN_POINT('',(14.36,20.25,-200.)); #512059=CARTESIAN_POINT('Origin',(14.5,20.25,0.0349999999999895)); #512060=CARTESIAN_POINT('Origin',(15.25,20.25,-200.)); #512061=CARTESIAN_POINT('',(15.11,20.25,0.)); #512062=CARTESIAN_POINT('Origin',(15.25,20.25,0.)); #512063=CARTESIAN_POINT('',(15.11,20.25,0.0349999999999895)); #512064=CARTESIAN_POINT('',(15.11,20.25,-200.)); #512065=CARTESIAN_POINT('Origin',(15.25,20.25,0.0349999999999895)); #512066=CARTESIAN_POINT('Origin',(15.25,21.75,-200.)); #512067=CARTESIAN_POINT('',(15.11,21.75,0.)); #512068=CARTESIAN_POINT('Origin',(15.25,21.75,0.)); #512069=CARTESIAN_POINT('',(15.11,21.75,0.0349999999999895)); #512070=CARTESIAN_POINT('',(15.11,21.75,-200.)); #512071=CARTESIAN_POINT('Origin',(15.25,21.75,0.0349999999999895)); #512072=CARTESIAN_POINT('Origin',(16.,21.75,-200.)); #512073=CARTESIAN_POINT('',(15.86,21.75,0.)); #512074=CARTESIAN_POINT('Origin',(16.,21.75,0.)); #512075=CARTESIAN_POINT('',(15.86,21.75,0.0349999999999895)); #512076=CARTESIAN_POINT('',(15.86,21.75,-200.)); #512077=CARTESIAN_POINT('Origin',(16.,21.75,0.0349999999999895)); #512078=CARTESIAN_POINT('Origin',(16.,21.,-200.)); #512079=CARTESIAN_POINT('',(15.86,21.,0.)); #512080=CARTESIAN_POINT('Origin',(16.,21.,0.)); #512081=CARTESIAN_POINT('',(15.86,21.,0.0349999999999895)); #512082=CARTESIAN_POINT('',(15.86,21.,-200.)); #512083=CARTESIAN_POINT('Origin',(16.,21.,0.0349999999999895)); #512084=CARTESIAN_POINT('Origin',(15.75,34.,-200.)); #512085=CARTESIAN_POINT('',(15.285,34.,0.)); #512086=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #512087=CARTESIAN_POINT('',(15.285,34.,0.0349999999999895)); #512088=CARTESIAN_POINT('',(15.285,34.,-200.)); #512089=CARTESIAN_POINT('Origin',(15.75,34.,0.0349999999999895)); #512090=CARTESIAN_POINT('Origin',(21.75,34.,-200.)); #512091=CARTESIAN_POINT('',(21.235,34.,0.)); #512092=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #512093=CARTESIAN_POINT('',(21.235,34.,0.0349999999999895)); #512094=CARTESIAN_POINT('',(21.235,34.,-200.)); #512095=CARTESIAN_POINT('Origin',(21.75,34.,0.0349999999999895)); #512096=CARTESIAN_POINT('Origin',(15.25,21.,-200.)); #512097=CARTESIAN_POINT('',(15.11,21.,0.)); #512098=CARTESIAN_POINT('Origin',(15.25,21.,0.)); #512099=CARTESIAN_POINT('',(15.11,21.,0.0349999999999895)); #512100=CARTESIAN_POINT('',(15.11,21.,-200.)); #512101=CARTESIAN_POINT('Origin',(15.25,21.,0.0349999999999895)); #512102=CARTESIAN_POINT('Origin',(30.9125,19.1125,0.)); #512103=CARTESIAN_POINT('',(30.9125,19.1125,0.)); #512104=CARTESIAN_POINT('',(30.9125,19.3875,0.)); #512105=CARTESIAN_POINT('',(30.9125,19.1125,0.)); #512106=CARTESIAN_POINT('',(30.9125,19.3875,0.035)); #512107=CARTESIAN_POINT('',(30.9125,19.3875,0.)); #512108=CARTESIAN_POINT('',(30.9125,19.1125,0.035)); #512109=CARTESIAN_POINT('',(30.9125,19.1125,0.035)); #512110=CARTESIAN_POINT('',(30.9125,19.1125,0.)); #512111=CARTESIAN_POINT('Origin',(30.2875,19.1125,0.)); #512112=CARTESIAN_POINT('',(30.2875,19.1125,0.)); #512113=CARTESIAN_POINT('',(30.2875,19.1125,0.)); #512114=CARTESIAN_POINT('',(30.2875,19.1125,0.035)); #512115=CARTESIAN_POINT('',(30.2875,19.1125,0.035)); #512116=CARTESIAN_POINT('',(30.2875,19.1125,0.)); #512117=CARTESIAN_POINT('Origin',(30.2875,19.123,0.)); #512118=CARTESIAN_POINT('',(30.2875,19.123,0.)); #512119=CARTESIAN_POINT('',(30.2875,19.123,0.)); #512120=CARTESIAN_POINT('',(30.2875,19.123,0.035)); #512121=CARTESIAN_POINT('',(30.2875,19.123,0.035)); #512122=CARTESIAN_POINT('',(30.2875,19.123,0.)); #512123=CARTESIAN_POINT('Origin',(29.719663,19.123,0.)); #512124=CARTESIAN_POINT('',(29.719663,19.123,0.)); #512125=CARTESIAN_POINT('',(29.719663,19.123,0.)); #512126=CARTESIAN_POINT('',(29.719663,19.123,0.035)); #512127=CARTESIAN_POINT('',(29.719663,19.123,0.035)); #512128=CARTESIAN_POINT('',(29.719663,19.123,0.)); #512129=CARTESIAN_POINT('Origin',(29.7196617502996,19.250001515832,0.)); #512130=CARTESIAN_POINT('',(29.629859,19.160197,0.)); #512131=CARTESIAN_POINT('Origin',(29.7196617502996,19.250001515832,0.)); #512132=CARTESIAN_POINT('',(29.629859,19.160197,0.035)); #512133=CARTESIAN_POINT('Origin',(29.7196617502996,19.250001515832,0.035)); #512134=CARTESIAN_POINT('',(29.629859,19.160197,0.)); #512135=CARTESIAN_POINT('Origin',(28.660197,20.129859,0.)); #512136=CARTESIAN_POINT('',(28.660197,20.129859,0.)); #512137=CARTESIAN_POINT('',(28.660197,20.129859,0.)); #512138=CARTESIAN_POINT('',(28.660197,20.129859,0.035)); #512139=CARTESIAN_POINT('',(28.660197,20.129859,0.035)); #512140=CARTESIAN_POINT('',(28.660197,20.129859,0.)); #512141=CARTESIAN_POINT('Origin',(28.750001515832,20.2196617502996,0.)); #512142=CARTESIAN_POINT('',(28.623,20.219663,0.)); #512143=CARTESIAN_POINT('Origin',(28.750001515832,20.2196617502996,0.)); #512144=CARTESIAN_POINT('',(28.623,20.219663,0.035)); #512145=CARTESIAN_POINT('Origin',(28.750001515832,20.2196617502996,0.035)); #512146=CARTESIAN_POINT('',(28.623,20.219663,0.)); #512147=CARTESIAN_POINT('Origin',(28.623,25.947394,0.)); #512148=CARTESIAN_POINT('',(28.623,25.947394,0.)); #512149=CARTESIAN_POINT('',(28.623,25.947394,0.)); #512150=CARTESIAN_POINT('',(28.623,25.947394,0.035)); #512151=CARTESIAN_POINT('',(28.623,25.947394,0.035)); #512152=CARTESIAN_POINT('',(28.623,25.947394,0.)); #512153=CARTESIAN_POINT('Origin',(25.697394,28.873,0.)); #512154=CARTESIAN_POINT('',(25.697394,28.873,0.)); #512155=CARTESIAN_POINT('',(25.697394,28.873,0.)); #512156=CARTESIAN_POINT('',(25.697394,28.873,0.035)); #512157=CARTESIAN_POINT('',(25.697394,28.873,0.035)); #512158=CARTESIAN_POINT('',(25.697394,28.873,0.)); #512159=CARTESIAN_POINT('Origin',(20.375,28.873,0.)); #512160=CARTESIAN_POINT('',(20.375,28.873,0.)); #512161=CARTESIAN_POINT('',(20.375,28.873,0.)); #512162=CARTESIAN_POINT('',(20.375,28.873,0.035)); #512163=CARTESIAN_POINT('',(20.375,28.873,0.035)); #512164=CARTESIAN_POINT('',(20.375,28.873,0.)); #512165=CARTESIAN_POINT('Origin',(20.375,27.635022,0.)); #512166=CARTESIAN_POINT('',(20.375,27.635022,0.)); #512167=CARTESIAN_POINT('',(20.375,27.635022,0.)); #512168=CARTESIAN_POINT('',(20.375,27.635022,0.035)); #512169=CARTESIAN_POINT('',(20.375,27.635022,0.035)); #512170=CARTESIAN_POINT('',(20.375,27.635022,0.)); #512171=CARTESIAN_POINT('Origin',(20.376459,27.625825,0.)); #512172=CARTESIAN_POINT('',(20.376459,27.625825,0.)); #512173=CARTESIAN_POINT('',(20.376459,27.625825,0.)); #512174=CARTESIAN_POINT('',(20.376459,27.625825,0.035)); #512175=CARTESIAN_POINT('',(20.376459,27.625825,0.035)); #512176=CARTESIAN_POINT('',(20.376459,27.625825,0.)); #512177=CARTESIAN_POINT('Origin',(20.382984,27.625,0.)); #512178=CARTESIAN_POINT('',(20.382984,27.625,0.)); #512179=CARTESIAN_POINT('',(20.382984,27.625,0.)); #512180=CARTESIAN_POINT('',(20.382984,27.625,0.035)); #512181=CARTESIAN_POINT('',(20.382984,27.625,0.035)); #512182=CARTESIAN_POINT('',(20.382984,27.625,0.)); #512183=CARTESIAN_POINT('Origin',(21.614978,27.625,0.)); #512184=CARTESIAN_POINT('',(21.614978,27.625,0.)); #512185=CARTESIAN_POINT('',(21.614978,27.625,0.)); #512186=CARTESIAN_POINT('',(21.614978,27.625,0.035)); #512187=CARTESIAN_POINT('',(21.614978,27.625,0.035)); #512188=CARTESIAN_POINT('',(21.614978,27.625,0.)); #512189=CARTESIAN_POINT('Origin',(21.624175,27.626459,0.)); #512190=CARTESIAN_POINT('',(21.624175,27.626459,0.)); #512191=CARTESIAN_POINT('',(21.624175,27.626459,0.)); #512192=CARTESIAN_POINT('',(21.624175,27.626459,0.035)); #512193=CARTESIAN_POINT('',(21.624175,27.626459,0.035)); #512194=CARTESIAN_POINT('',(21.624175,27.626459,0.)); #512195=CARTESIAN_POINT('Origin',(21.625,27.632984,0.)); #512196=CARTESIAN_POINT('',(21.625,27.632984,0.)); #512197=CARTESIAN_POINT('',(21.625,27.632984,0.)); #512198=CARTESIAN_POINT('',(21.625,27.632984,0.035)); #512199=CARTESIAN_POINT('',(21.625,27.632984,0.035)); #512200=CARTESIAN_POINT('',(21.625,27.632984,0.)); #512201=CARTESIAN_POINT('Origin',(21.625,27.8824,0.)); #512202=CARTESIAN_POINT('',(21.625,27.8824,0.)); #512203=CARTESIAN_POINT('',(21.625,27.8824,0.)); #512204=CARTESIAN_POINT('',(21.625,27.8824,0.035)); #512205=CARTESIAN_POINT('',(21.625,27.8824,0.035)); #512206=CARTESIAN_POINT('',(21.625,27.8824,0.)); #512207=CARTESIAN_POINT('Origin',(21.633538,27.928181,0.)); #512208=CARTESIAN_POINT('',(21.633538,27.928181,0.)); #512209=CARTESIAN_POINT('',(21.633538,27.928181,0.)); #512210=CARTESIAN_POINT('',(21.633538,27.928181,0.035)); #512211=CARTESIAN_POINT('',(21.633538,27.928181,0.035)); #512212=CARTESIAN_POINT('',(21.633538,27.928181,0.)); #512213=CARTESIAN_POINT('Origin',(21.660778,27.970759,0.)); #512214=CARTESIAN_POINT('',(21.660778,27.970759,0.)); #512215=CARTESIAN_POINT('',(21.660778,27.970759,0.)); #512216=CARTESIAN_POINT('',(21.660778,27.970759,0.035)); #512217=CARTESIAN_POINT('',(21.660778,27.970759,0.035)); #512218=CARTESIAN_POINT('',(21.660778,27.970759,0.)); #512219=CARTESIAN_POINT('Origin',(21.702466,27.999341,0.)); #512220=CARTESIAN_POINT('',(21.702466,27.999341,0.)); #512221=CARTESIAN_POINT('',(21.702466,27.999341,0.)); #512222=CARTESIAN_POINT('',(21.702466,27.999341,0.035)); #512223=CARTESIAN_POINT('',(21.702466,27.999341,0.035)); #512224=CARTESIAN_POINT('',(21.702466,27.999341,0.)); #512225=CARTESIAN_POINT('Origin',(21.752,28.0094,0.)); #512226=CARTESIAN_POINT('',(21.752,28.0094,0.)); #512227=CARTESIAN_POINT('',(21.752,28.0094,0.)); #512228=CARTESIAN_POINT('',(21.752,28.0094,0.035)); #512229=CARTESIAN_POINT('',(21.752,28.0094,0.035)); #512230=CARTESIAN_POINT('',(21.752,28.0094,0.)); #512231=CARTESIAN_POINT('Origin',(22.114706,28.0094,0.)); #512232=CARTESIAN_POINT('',(22.114706,28.0094,0.)); #512233=CARTESIAN_POINT('',(22.114706,28.0094,0.)); #512234=CARTESIAN_POINT('',(22.114706,28.0094,0.035)); #512235=CARTESIAN_POINT('',(22.114706,28.0094,0.035)); #512236=CARTESIAN_POINT('',(22.114706,28.0094,0.)); #512237=CARTESIAN_POINT('Origin',(22.162369,28.000116,0.)); #512238=CARTESIAN_POINT('',(22.162369,28.000116,0.)); #512239=CARTESIAN_POINT('',(22.162369,28.000116,0.)); #512240=CARTESIAN_POINT('',(22.162369,28.000116,0.035)); #512241=CARTESIAN_POINT('',(22.162369,28.000116,0.035)); #512242=CARTESIAN_POINT('',(22.162369,28.000116,0.)); #512243=CARTESIAN_POINT('Origin',(22.204509,27.972203,0.)); #512244=CARTESIAN_POINT('',(22.204509,27.972203,0.)); #512245=CARTESIAN_POINT('',(22.204509,27.972203,0.)); #512246=CARTESIAN_POINT('',(22.204509,27.972203,0.035)); #512247=CARTESIAN_POINT('',(22.204509,27.972203,0.035)); #512248=CARTESIAN_POINT('',(22.204509,27.972203,0.)); #512249=CARTESIAN_POINT('Origin',(22.734203,27.442509,0.)); #512250=CARTESIAN_POINT('',(22.734203,27.442509,0.)); #512251=CARTESIAN_POINT('',(22.734203,27.442509,0.)); #512252=CARTESIAN_POINT('',(22.734203,27.442509,0.035)); #512253=CARTESIAN_POINT('',(22.734203,27.442509,0.035)); #512254=CARTESIAN_POINT('',(22.734203,27.442509,0.)); #512255=CARTESIAN_POINT('Origin',(22.761341,27.402241,0.)); #512256=CARTESIAN_POINT('',(22.761341,27.402241,0.)); #512257=CARTESIAN_POINT('',(22.761341,27.402241,0.)); #512258=CARTESIAN_POINT('',(22.761341,27.402241,0.035)); #512259=CARTESIAN_POINT('',(22.761341,27.402241,0.035)); #512260=CARTESIAN_POINT('',(22.761341,27.402241,0.)); #512261=CARTESIAN_POINT('Origin',(22.7714,27.352706,0.)); #512262=CARTESIAN_POINT('',(22.7714,27.352706,0.)); #512263=CARTESIAN_POINT('',(22.7714,27.352706,0.)); #512264=CARTESIAN_POINT('',(22.7714,27.352706,0.035)); #512265=CARTESIAN_POINT('',(22.7714,27.352706,0.035)); #512266=CARTESIAN_POINT('',(22.7714,27.352706,0.)); #512267=CARTESIAN_POINT('Origin',(22.7714,25.627,0.)); #512268=CARTESIAN_POINT('',(22.7714,25.627,0.)); #512269=CARTESIAN_POINT('',(22.7714,25.627,0.)); #512270=CARTESIAN_POINT('',(22.7714,25.627,0.035)); #512271=CARTESIAN_POINT('',(22.7714,25.627,0.035)); #512272=CARTESIAN_POINT('',(22.7714,25.627,0.)); #512273=CARTESIAN_POINT('Origin',(22.762863,25.581219,0.)); #512274=CARTESIAN_POINT('',(22.762863,25.581219,0.)); #512275=CARTESIAN_POINT('',(22.762863,25.581219,0.)); #512276=CARTESIAN_POINT('',(22.762863,25.581219,0.035)); #512277=CARTESIAN_POINT('',(22.762863,25.581219,0.035)); #512278=CARTESIAN_POINT('',(22.762863,25.581219,0.)); #512279=CARTESIAN_POINT('Origin',(22.735622,25.538641,0.)); #512280=CARTESIAN_POINT('',(22.735622,25.538641,0.)); #512281=CARTESIAN_POINT('',(22.735622,25.538641,0.)); #512282=CARTESIAN_POINT('',(22.735622,25.538641,0.035)); #512283=CARTESIAN_POINT('',(22.735622,25.538641,0.035)); #512284=CARTESIAN_POINT('',(22.735622,25.538641,0.)); #512285=CARTESIAN_POINT('Origin',(22.693934,25.510059,0.)); #512286=CARTESIAN_POINT('',(22.693934,25.510059,0.)); #512287=CARTESIAN_POINT('',(22.693934,25.510059,0.)); #512288=CARTESIAN_POINT('',(22.693934,25.510059,0.035)); #512289=CARTESIAN_POINT('',(22.693934,25.510059,0.035)); #512290=CARTESIAN_POINT('',(22.693934,25.510059,0.)); #512291=CARTESIAN_POINT('Origin',(22.6444,25.5,0.)); #512292=CARTESIAN_POINT('',(22.6444,25.5,0.)); #512293=CARTESIAN_POINT('',(22.6444,25.5,0.)); #512294=CARTESIAN_POINT('',(22.6444,25.5,0.035)); #512295=CARTESIAN_POINT('',(22.6444,25.5,0.035)); #512296=CARTESIAN_POINT('',(22.6444,25.5,0.)); #512297=CARTESIAN_POINT('Origin',(20.758994,25.5,0.)); #512298=CARTESIAN_POINT('',(20.758994,25.5,0.)); #512299=CARTESIAN_POINT('',(20.758994,25.5,0.)); #512300=CARTESIAN_POINT('',(20.758994,25.5,0.035)); #512301=CARTESIAN_POINT('',(20.758994,25.5,0.035)); #512302=CARTESIAN_POINT('',(20.758994,25.5,0.)); #512303=CARTESIAN_POINT('Origin',(20.749975,25.498716,0.)); #512304=CARTESIAN_POINT('',(20.749975,25.498716,0.)); #512305=CARTESIAN_POINT('',(20.749975,25.498716,0.)); #512306=CARTESIAN_POINT('',(20.749975,25.498716,0.035)); #512307=CARTESIAN_POINT('',(20.749975,25.498716,0.035)); #512308=CARTESIAN_POINT('',(20.749975,25.498716,0.)); #512309=CARTESIAN_POINT('Origin',(20.744347,25.494347,0.)); #512310=CARTESIAN_POINT('',(20.744347,25.494347,0.)); #512311=CARTESIAN_POINT('',(20.744347,25.494347,0.)); #512312=CARTESIAN_POINT('',(20.744347,25.494347,0.035)); #512313=CARTESIAN_POINT('',(20.744347,25.494347,0.035)); #512314=CARTESIAN_POINT('',(20.744347,25.494347,0.)); #512315=CARTESIAN_POINT('Origin',(19.506366,24.256366,0.)); #512316=CARTESIAN_POINT('',(19.506366,24.256366,0.)); #512317=CARTESIAN_POINT('',(19.506366,24.256366,0.)); #512318=CARTESIAN_POINT('',(19.506366,24.256366,0.035)); #512319=CARTESIAN_POINT('',(19.506366,24.256366,0.035)); #512320=CARTESIAN_POINT('',(19.506366,24.256366,0.)); #512321=CARTESIAN_POINT('Origin',(19.500891,24.249072,0.)); #512322=CARTESIAN_POINT('',(19.500891,24.249072,0.)); #512323=CARTESIAN_POINT('',(19.500891,24.249072,0.)); #512324=CARTESIAN_POINT('',(19.500891,24.249072,0.035)); #512325=CARTESIAN_POINT('',(19.500891,24.249072,0.035)); #512326=CARTESIAN_POINT('',(19.500891,24.249072,0.)); #512327=CARTESIAN_POINT('Origin',(19.5,24.242016,0.)); #512328=CARTESIAN_POINT('',(19.5,24.242016,0.)); #512329=CARTESIAN_POINT('',(19.5,24.242016,0.)); #512330=CARTESIAN_POINT('',(19.5,24.242016,0.035)); #512331=CARTESIAN_POINT('',(19.5,24.242016,0.035)); #512332=CARTESIAN_POINT('',(19.5,24.242016,0.)); #512333=CARTESIAN_POINT('Origin',(19.5,20.552606,0.)); #512334=CARTESIAN_POINT('',(19.5,20.552606,0.)); #512335=CARTESIAN_POINT('',(19.5,20.552606,0.)); #512336=CARTESIAN_POINT('',(19.5,20.552606,0.035)); #512337=CARTESIAN_POINT('',(19.5,20.552606,0.035)); #512338=CARTESIAN_POINT('',(19.5,20.552606,0.)); #512339=CARTESIAN_POINT('Origin',(19.490716,20.504944,0.)); #512340=CARTESIAN_POINT('',(19.490716,20.504944,0.)); #512341=CARTESIAN_POINT('',(19.490716,20.504944,0.)); #512342=CARTESIAN_POINT('',(19.490716,20.504944,0.035)); #512343=CARTESIAN_POINT('',(19.490716,20.504944,0.035)); #512344=CARTESIAN_POINT('',(19.490716,20.504944,0.)); #512345=CARTESIAN_POINT('Origin',(19.462803,20.462803,0.)); #512346=CARTESIAN_POINT('',(19.462803,20.462803,0.)); #512347=CARTESIAN_POINT('',(19.462803,20.462803,0.)); #512348=CARTESIAN_POINT('',(19.462803,20.462803,0.035)); #512349=CARTESIAN_POINT('',(19.462803,20.462803,0.035)); #512350=CARTESIAN_POINT('',(19.462803,20.462803,0.)); #512351=CARTESIAN_POINT('Origin',(18.287197,19.287197,0.)); #512352=CARTESIAN_POINT('',(18.287197,19.287197,0.)); #512353=CARTESIAN_POINT('',(18.287197,19.287197,0.)); #512354=CARTESIAN_POINT('',(18.287197,19.287197,0.035)); #512355=CARTESIAN_POINT('',(18.287197,19.287197,0.035)); #512356=CARTESIAN_POINT('',(18.287197,19.287197,0.)); #512357=CARTESIAN_POINT('Origin',(18.246928,19.260059,0.)); #512358=CARTESIAN_POINT('',(18.246928,19.260059,0.)); #512359=CARTESIAN_POINT('',(18.246928,19.260059,0.)); #512360=CARTESIAN_POINT('',(18.246928,19.260059,0.035)); #512361=CARTESIAN_POINT('',(18.246928,19.260059,0.035)); #512362=CARTESIAN_POINT('',(18.246928,19.260059,0.)); #512363=CARTESIAN_POINT('Origin',(18.197394,19.25,0.)); #512364=CARTESIAN_POINT('',(18.197394,19.25,0.)); #512365=CARTESIAN_POINT('',(18.197394,19.25,0.)); #512366=CARTESIAN_POINT('',(18.197394,19.25,0.035)); #512367=CARTESIAN_POINT('',(18.197394,19.25,0.035)); #512368=CARTESIAN_POINT('',(18.197394,19.25,0.)); #512369=CARTESIAN_POINT('Origin',(14.302606,19.25,0.)); #512370=CARTESIAN_POINT('',(14.302606,19.25,0.)); #512371=CARTESIAN_POINT('',(14.302606,19.25,0.)); #512372=CARTESIAN_POINT('',(14.302606,19.25,0.035)); #512373=CARTESIAN_POINT('',(14.302606,19.25,0.035)); #512374=CARTESIAN_POINT('',(14.302606,19.25,0.)); #512375=CARTESIAN_POINT('Origin',(14.254944,19.259284,0.)); #512376=CARTESIAN_POINT('',(14.254944,19.259284,0.)); #512377=CARTESIAN_POINT('',(14.254944,19.259284,0.)); #512378=CARTESIAN_POINT('',(14.254944,19.259284,0.035)); #512379=CARTESIAN_POINT('',(14.254944,19.259284,0.035)); #512380=CARTESIAN_POINT('',(14.254944,19.259284,0.)); #512381=CARTESIAN_POINT('Origin',(14.212803,19.287197,0.)); #512382=CARTESIAN_POINT('',(14.212803,19.287197,0.)); #512383=CARTESIAN_POINT('',(14.212803,19.287197,0.)); #512384=CARTESIAN_POINT('',(14.212803,19.287197,0.035)); #512385=CARTESIAN_POINT('',(14.212803,19.287197,0.035)); #512386=CARTESIAN_POINT('',(14.212803,19.287197,0.)); #512387=CARTESIAN_POINT('Origin',(14.037197,19.462803,0.)); #512388=CARTESIAN_POINT('',(14.037197,19.462803,0.)); #512389=CARTESIAN_POINT('',(14.037197,19.462803,0.)); #512390=CARTESIAN_POINT('',(14.037197,19.462803,0.035)); #512391=CARTESIAN_POINT('',(14.037197,19.462803,0.035)); #512392=CARTESIAN_POINT('',(14.037197,19.462803,0.)); #512393=CARTESIAN_POINT('Origin',(14.010059,19.503072,0.)); #512394=CARTESIAN_POINT('',(14.010059,19.503072,0.)); #512395=CARTESIAN_POINT('',(14.010059,19.503072,0.)); #512396=CARTESIAN_POINT('',(14.010059,19.503072,0.035)); #512397=CARTESIAN_POINT('',(14.010059,19.503072,0.035)); #512398=CARTESIAN_POINT('',(14.010059,19.503072,0.)); #512399=CARTESIAN_POINT('Origin',(14.,19.552606,0.)); #512400=CARTESIAN_POINT('',(14.,19.552606,0.)); #512401=CARTESIAN_POINT('',(14.,19.552606,0.)); #512402=CARTESIAN_POINT('',(14.,19.552606,0.035)); #512403=CARTESIAN_POINT('',(14.,19.552606,0.035)); #512404=CARTESIAN_POINT('',(14.,19.552606,0.)); #512405=CARTESIAN_POINT('Origin',(14.,37.993891,0.)); #512406=CARTESIAN_POINT('',(14.,37.993891,0.)); #512407=CARTESIAN_POINT('',(14.,37.993891,0.)); #512408=CARTESIAN_POINT('',(14.,37.993891,0.035)); #512409=CARTESIAN_POINT('',(14.,37.993891,0.035)); #512410=CARTESIAN_POINT('',(14.,37.993891,0.)); #512411=CARTESIAN_POINT('Origin',(13.999603,37.998013,0.)); #512412=CARTESIAN_POINT('',(13.999603,37.998013,0.)); #512413=CARTESIAN_POINT('',(13.999603,37.998013,0.)); #512414=CARTESIAN_POINT('',(13.999603,37.998013,0.035)); #512415=CARTESIAN_POINT('',(13.999603,37.998013,0.035)); #512416=CARTESIAN_POINT('',(13.999603,37.998013,0.)); #512417=CARTESIAN_POINT('Origin',(13.999091,37.999566,0.)); #512418=CARTESIAN_POINT('',(13.999091,37.999566,0.)); #512419=CARTESIAN_POINT('',(13.999091,37.999566,0.)); #512420=CARTESIAN_POINT('',(13.999091,37.999566,0.035)); #512421=CARTESIAN_POINT('',(13.999091,37.999566,0.035)); #512422=CARTESIAN_POINT('',(13.999091,37.999566,0.)); #512423=CARTESIAN_POINT('Origin',(13.994891,38.006131,0.)); #512424=CARTESIAN_POINT('',(13.994891,38.006131,0.)); #512425=CARTESIAN_POINT('',(13.994891,38.006131,0.)); #512426=CARTESIAN_POINT('',(13.994891,38.006131,0.035)); #512427=CARTESIAN_POINT('',(13.994891,38.006131,0.035)); #512428=CARTESIAN_POINT('',(13.994891,38.006131,0.)); #512429=CARTESIAN_POINT('Origin',(10.279434,42.464678,0.)); #512430=CARTESIAN_POINT('',(10.279434,42.464678,0.)); #512431=CARTESIAN_POINT('',(10.279434,42.464678,0.)); #512432=CARTESIAN_POINT('',(10.279434,42.464678,0.035)); #512433=CARTESIAN_POINT('',(10.279434,42.464678,0.035)); #512434=CARTESIAN_POINT('',(10.279434,42.464678,0.)); #512435=CARTESIAN_POINT('Origin',(10.260059,42.496447,0.)); #512436=CARTESIAN_POINT('',(10.260059,42.496447,0.)); #512437=CARTESIAN_POINT('',(10.260059,42.496447,0.)); #512438=CARTESIAN_POINT('',(10.260059,42.496447,0.035)); #512439=CARTESIAN_POINT('',(10.260059,42.496447,0.035)); #512440=CARTESIAN_POINT('',(10.260059,42.496447,0.)); #512441=CARTESIAN_POINT('Origin',(10.25,42.545981,0.)); #512442=CARTESIAN_POINT('',(10.25,42.545981,0.)); #512443=CARTESIAN_POINT('',(10.25,42.545981,0.)); #512444=CARTESIAN_POINT('',(10.25,42.545981,0.035)); #512445=CARTESIAN_POINT('',(10.25,42.545981,0.035)); #512446=CARTESIAN_POINT('',(10.25,42.545981,0.)); #512447=CARTESIAN_POINT('Origin',(10.25,49.572394,0.)); #512448=CARTESIAN_POINT('',(10.25,49.572394,0.)); #512449=CARTESIAN_POINT('',(10.25,49.572394,0.)); #512450=CARTESIAN_POINT('',(10.25,49.572394,0.035)); #512451=CARTESIAN_POINT('',(10.25,49.572394,0.035)); #512452=CARTESIAN_POINT('',(10.25,49.572394,0.)); #512453=CARTESIAN_POINT('Origin',(10.259284,49.620056,0.)); #512454=CARTESIAN_POINT('',(10.259284,49.620056,0.)); #512455=CARTESIAN_POINT('',(10.259284,49.620056,0.)); #512456=CARTESIAN_POINT('',(10.259284,49.620056,0.035)); #512457=CARTESIAN_POINT('',(10.259284,49.620056,0.035)); #512458=CARTESIAN_POINT('',(10.259284,49.620056,0.)); #512459=CARTESIAN_POINT('Origin',(10.287197,49.662197,0.)); #512460=CARTESIAN_POINT('',(10.287197,49.662197,0.)); #512461=CARTESIAN_POINT('',(10.287197,49.662197,0.)); #512462=CARTESIAN_POINT('',(10.287197,49.662197,0.035)); #512463=CARTESIAN_POINT('',(10.287197,49.662197,0.035)); #512464=CARTESIAN_POINT('',(10.287197,49.662197,0.)); #512465=CARTESIAN_POINT('Origin',(11.587803,50.962803,0.)); #512466=CARTESIAN_POINT('',(11.587803,50.962803,0.)); #512467=CARTESIAN_POINT('',(11.587803,50.962803,0.)); #512468=CARTESIAN_POINT('',(11.587803,50.962803,0.035)); #512469=CARTESIAN_POINT('',(11.587803,50.962803,0.035)); #512470=CARTESIAN_POINT('',(11.587803,50.962803,0.)); #512471=CARTESIAN_POINT('Origin',(11.628072,50.989941,0.)); #512472=CARTESIAN_POINT('',(11.628072,50.989941,0.)); #512473=CARTESIAN_POINT('',(11.628072,50.989941,0.)); #512474=CARTESIAN_POINT('',(11.628072,50.989941,0.035)); #512475=CARTESIAN_POINT('',(11.628072,50.989941,0.035)); #512476=CARTESIAN_POINT('',(11.628072,50.989941,0.)); #512477=CARTESIAN_POINT('Origin',(11.677606,51.,0.)); #512478=CARTESIAN_POINT('',(11.677606,51.,0.)); #512479=CARTESIAN_POINT('',(11.677606,51.,0.)); #512480=CARTESIAN_POINT('',(11.677606,51.,0.035)); #512481=CARTESIAN_POINT('',(11.677606,51.,0.035)); #512482=CARTESIAN_POINT('',(11.677606,51.,0.)); #512483=CARTESIAN_POINT('Origin',(13.0635,51.,0.)); #512484=CARTESIAN_POINT('',(13.0635,51.,0.)); #512485=CARTESIAN_POINT('',(13.0635,51.,0.)); #512486=CARTESIAN_POINT('',(13.0635,51.,0.035)); #512487=CARTESIAN_POINT('',(13.0635,51.,0.035)); #512488=CARTESIAN_POINT('',(13.0635,51.,0.)); #512489=CARTESIAN_POINT('Origin',(13.109281,50.991463,0.)); #512490=CARTESIAN_POINT('',(13.109281,50.991463,0.)); #512491=CARTESIAN_POINT('',(13.109281,50.991463,0.)); #512492=CARTESIAN_POINT('',(13.109281,50.991463,0.035)); #512493=CARTESIAN_POINT('',(13.109281,50.991463,0.035)); #512494=CARTESIAN_POINT('',(13.109281,50.991463,0.)); #512495=CARTESIAN_POINT('Origin',(13.151859,50.964222,0.)); #512496=CARTESIAN_POINT('',(13.151859,50.964222,0.)); #512497=CARTESIAN_POINT('',(13.151859,50.964222,0.)); #512498=CARTESIAN_POINT('',(13.151859,50.964222,0.035)); #512499=CARTESIAN_POINT('',(13.151859,50.964222,0.035)); #512500=CARTESIAN_POINT('',(13.151859,50.964222,0.)); #512501=CARTESIAN_POINT('Origin',(13.180441,50.922534,0.)); #512502=CARTESIAN_POINT('',(13.180441,50.922534,0.)); #512503=CARTESIAN_POINT('',(13.180441,50.922534,0.)); #512504=CARTESIAN_POINT('',(13.180441,50.922534,0.035)); #512505=CARTESIAN_POINT('',(13.180441,50.922534,0.035)); #512506=CARTESIAN_POINT('',(13.180441,50.922534,0.)); #512507=CARTESIAN_POINT('Origin',(13.1905,50.873,0.)); #512508=CARTESIAN_POINT('',(13.1905,50.873,0.)); #512509=CARTESIAN_POINT('',(13.1905,50.873,0.)); #512510=CARTESIAN_POINT('',(13.1905,50.873,0.035)); #512511=CARTESIAN_POINT('',(13.1905,50.873,0.035)); #512512=CARTESIAN_POINT('',(13.1905,50.873,0.)); #512513=CARTESIAN_POINT('Origin',(13.1905,49.992375,0.)); #512514=CARTESIAN_POINT('',(13.1905,49.992375,0.)); #512515=CARTESIAN_POINT('',(13.1905,49.992375,0.)); #512516=CARTESIAN_POINT('',(13.1905,49.992375,0.035)); #512517=CARTESIAN_POINT('',(13.1905,49.992375,0.035)); #512518=CARTESIAN_POINT('',(13.1905,49.992375,0.)); #512519=CARTESIAN_POINT('Origin',(13.279775,49.9031,0.)); #512520=CARTESIAN_POINT('',(13.279775,49.9031,0.)); #512521=CARTESIAN_POINT('',(13.279775,49.9031,0.)); #512522=CARTESIAN_POINT('',(13.279775,49.9031,0.035)); #512523=CARTESIAN_POINT('',(13.279775,49.9031,0.035)); #512524=CARTESIAN_POINT('',(13.279775,49.9031,0.)); #512525=CARTESIAN_POINT('Origin',(14.244225,49.9031,0.)); #512526=CARTESIAN_POINT('',(14.244225,49.9031,0.)); #512527=CARTESIAN_POINT('',(14.244225,49.9031,0.)); #512528=CARTESIAN_POINT('',(14.244225,49.9031,0.035)); #512529=CARTESIAN_POINT('',(14.244225,49.9031,0.035)); #512530=CARTESIAN_POINT('',(14.244225,49.9031,0.)); #512531=CARTESIAN_POINT('Origin',(14.3335,49.992375,0.)); #512532=CARTESIAN_POINT('',(14.3335,49.992375,0.)); #512533=CARTESIAN_POINT('',(14.3335,49.992375,0.)); #512534=CARTESIAN_POINT('',(14.3335,49.992375,0.035)); #512535=CARTESIAN_POINT('',(14.3335,49.992375,0.035)); #512536=CARTESIAN_POINT('',(14.3335,49.992375,0.)); #512537=CARTESIAN_POINT('Origin',(14.3335,50.1444,0.)); #512538=CARTESIAN_POINT('',(14.3335,50.1444,0.)); #512539=CARTESIAN_POINT('',(14.3335,50.1444,0.)); #512540=CARTESIAN_POINT('',(14.3335,50.1444,0.035)); #512541=CARTESIAN_POINT('',(14.3335,50.1444,0.035)); #512542=CARTESIAN_POINT('',(14.3335,50.1444,0.)); #512543=CARTESIAN_POINT('Origin',(14.342037,50.190181,0.)); #512544=CARTESIAN_POINT('',(14.342037,50.190181,0.)); #512545=CARTESIAN_POINT('',(14.342037,50.190181,0.)); #512546=CARTESIAN_POINT('',(14.342037,50.190181,0.035)); #512547=CARTESIAN_POINT('',(14.342037,50.190181,0.035)); #512548=CARTESIAN_POINT('',(14.342037,50.190181,0.)); #512549=CARTESIAN_POINT('Origin',(14.369278,50.232759,0.)); #512550=CARTESIAN_POINT('',(14.369278,50.232759,0.)); #512551=CARTESIAN_POINT('',(14.369278,50.232759,0.)); #512552=CARTESIAN_POINT('',(14.369278,50.232759,0.035)); #512553=CARTESIAN_POINT('',(14.369278,50.232759,0.035)); #512554=CARTESIAN_POINT('',(14.369278,50.232759,0.)); #512555=CARTESIAN_POINT('Origin',(14.410966,50.261341,0.)); #512556=CARTESIAN_POINT('',(14.410966,50.261341,0.)); #512557=CARTESIAN_POINT('',(14.410966,50.261341,0.)); #512558=CARTESIAN_POINT('',(14.410966,50.261341,0.035)); #512559=CARTESIAN_POINT('',(14.410966,50.261341,0.035)); #512560=CARTESIAN_POINT('',(14.410966,50.261341,0.)); #512561=CARTESIAN_POINT('Origin',(14.4605,50.2714,0.)); #512562=CARTESIAN_POINT('',(14.4605,50.2714,0.)); #512563=CARTESIAN_POINT('',(14.4605,50.2714,0.)); #512564=CARTESIAN_POINT('',(14.4605,50.2714,0.035)); #512565=CARTESIAN_POINT('',(14.4605,50.2714,0.035)); #512566=CARTESIAN_POINT('',(14.4605,50.2714,0.)); #512567=CARTESIAN_POINT('Origin',(14.602706,50.2714,0.)); #512568=CARTESIAN_POINT('',(14.602706,50.2714,0.)); #512569=CARTESIAN_POINT('',(14.602706,50.2714,0.)); #512570=CARTESIAN_POINT('',(14.602706,50.2714,0.035)); #512571=CARTESIAN_POINT('',(14.602706,50.2714,0.035)); #512572=CARTESIAN_POINT('',(14.602706,50.2714,0.)); #512573=CARTESIAN_POINT('Origin',(14.650369,50.262116,0.)); #512574=CARTESIAN_POINT('',(14.650369,50.262116,0.)); #512575=CARTESIAN_POINT('',(14.650369,50.262116,0.)); #512576=CARTESIAN_POINT('',(14.650369,50.262116,0.035)); #512577=CARTESIAN_POINT('',(14.650369,50.262116,0.035)); #512578=CARTESIAN_POINT('',(14.650369,50.262116,0.)); #512579=CARTESIAN_POINT('Origin',(14.692509,50.234203,0.)); #512580=CARTESIAN_POINT('',(14.692509,50.234203,0.)); #512581=CARTESIAN_POINT('',(14.692509,50.234203,0.)); #512582=CARTESIAN_POINT('',(14.692509,50.234203,0.035)); #512583=CARTESIAN_POINT('',(14.692509,50.234203,0.035)); #512584=CARTESIAN_POINT('',(14.692509,50.234203,0.)); #512585=CARTESIAN_POINT('Origin',(14.734203,50.192509,0.)); #512586=CARTESIAN_POINT('',(14.734203,50.192509,0.)); #512587=CARTESIAN_POINT('',(14.734203,50.192509,0.)); #512588=CARTESIAN_POINT('',(14.734203,50.192509,0.035)); #512589=CARTESIAN_POINT('',(14.734203,50.192509,0.035)); #512590=CARTESIAN_POINT('',(14.734203,50.192509,0.)); #512591=CARTESIAN_POINT('Origin',(14.761341,50.152241,0.)); #512592=CARTESIAN_POINT('',(14.761341,50.152241,0.)); #512593=CARTESIAN_POINT('',(14.761341,50.152241,0.)); #512594=CARTESIAN_POINT('',(14.761341,50.152241,0.035)); #512595=CARTESIAN_POINT('',(14.761341,50.152241,0.035)); #512596=CARTESIAN_POINT('',(14.761341,50.152241,0.)); #512597=CARTESIAN_POINT('Origin',(14.7714,50.102706,0.)); #512598=CARTESIAN_POINT('',(14.7714,50.102706,0.)); #512599=CARTESIAN_POINT('',(14.7714,50.102706,0.)); #512600=CARTESIAN_POINT('',(14.7714,50.102706,0.035)); #512601=CARTESIAN_POINT('',(14.7714,50.102706,0.035)); #512602=CARTESIAN_POINT('',(14.7714,50.102706,0.)); #512603=CARTESIAN_POINT('Origin',(14.7714,45.905313,0.)); #512604=CARTESIAN_POINT('',(14.7714,45.905313,0.)); #512605=CARTESIAN_POINT('',(14.7714,45.905313,0.)); #512606=CARTESIAN_POINT('',(14.7714,45.905313,0.035)); #512607=CARTESIAN_POINT('',(14.7714,45.905313,0.035)); #512608=CARTESIAN_POINT('',(14.7714,45.905313,0.)); #512609=CARTESIAN_POINT('Origin',(15.405313,45.2714,0.)); #512610=CARTESIAN_POINT('',(15.405313,45.2714,0.)); #512611=CARTESIAN_POINT('',(15.405313,45.2714,0.)); #512612=CARTESIAN_POINT('',(15.405313,45.2714,0.035)); #512613=CARTESIAN_POINT('',(15.405313,45.2714,0.035)); #512614=CARTESIAN_POINT('',(15.405313,45.2714,0.)); #512615=CARTESIAN_POINT('Origin',(17.852706,45.2714,0.)); #512616=CARTESIAN_POINT('',(17.852706,45.2714,0.)); #512617=CARTESIAN_POINT('',(17.852706,45.2714,0.)); #512618=CARTESIAN_POINT('',(17.852706,45.2714,0.035)); #512619=CARTESIAN_POINT('',(17.852706,45.2714,0.035)); #512620=CARTESIAN_POINT('',(17.852706,45.2714,0.)); #512621=CARTESIAN_POINT('Origin',(17.900369,45.262116,0.)); #512622=CARTESIAN_POINT('',(17.900369,45.262116,0.)); #512623=CARTESIAN_POINT('',(17.900369,45.262116,0.)); #512624=CARTESIAN_POINT('',(17.900369,45.262116,0.035)); #512625=CARTESIAN_POINT('',(17.900369,45.262116,0.035)); #512626=CARTESIAN_POINT('',(17.900369,45.262116,0.)); #512627=CARTESIAN_POINT('Origin',(17.942509,45.234203,0.)); #512628=CARTESIAN_POINT('',(17.942509,45.234203,0.)); #512629=CARTESIAN_POINT('',(17.942509,45.234203,0.)); #512630=CARTESIAN_POINT('',(17.942509,45.234203,0.035)); #512631=CARTESIAN_POINT('',(17.942509,45.234203,0.035)); #512632=CARTESIAN_POINT('',(17.942509,45.234203,0.)); #512633=CARTESIAN_POINT('Origin',(18.609203,44.567509,0.)); #512634=CARTESIAN_POINT('',(18.609203,44.567509,0.)); #512635=CARTESIAN_POINT('',(18.609203,44.567509,0.)); #512636=CARTESIAN_POINT('',(18.609203,44.567509,0.035)); #512637=CARTESIAN_POINT('',(18.609203,44.567509,0.035)); #512638=CARTESIAN_POINT('',(18.609203,44.567509,0.)); #512639=CARTESIAN_POINT('Origin',(18.636341,44.527241,0.)); #512640=CARTESIAN_POINT('',(18.636341,44.527241,0.)); #512641=CARTESIAN_POINT('',(18.636341,44.527241,0.)); #512642=CARTESIAN_POINT('',(18.636341,44.527241,0.035)); #512643=CARTESIAN_POINT('',(18.636341,44.527241,0.035)); #512644=CARTESIAN_POINT('',(18.636341,44.527241,0.)); #512645=CARTESIAN_POINT('Origin',(18.6464,44.477706,0.)); #512646=CARTESIAN_POINT('',(18.6464,44.477706,0.)); #512647=CARTESIAN_POINT('',(18.6464,44.477706,0.)); #512648=CARTESIAN_POINT('',(18.6464,44.477706,0.035)); #512649=CARTESIAN_POINT('',(18.6464,44.477706,0.035)); #512650=CARTESIAN_POINT('',(18.6464,44.477706,0.)); #512651=CARTESIAN_POINT('Origin',(18.6464,39.249975,0.)); #512652=CARTESIAN_POINT('',(18.6464,39.249975,0.)); #512653=CARTESIAN_POINT('',(18.6464,39.249975,0.)); #512654=CARTESIAN_POINT('',(18.6464,39.249975,0.035)); #512655=CARTESIAN_POINT('',(18.6464,39.249975,0.035)); #512656=CARTESIAN_POINT('',(18.6464,39.249975,0.)); #512657=CARTESIAN_POINT('Origin',(19.374975,38.5214,0.)); #512658=CARTESIAN_POINT('',(19.374975,38.5214,0.)); #512659=CARTESIAN_POINT('',(19.374975,38.5214,0.)); #512660=CARTESIAN_POINT('',(19.374975,38.5214,0.035)); #512661=CARTESIAN_POINT('',(19.374975,38.5214,0.035)); #512662=CARTESIAN_POINT('',(19.374975,38.5214,0.)); #512663=CARTESIAN_POINT('Origin',(23.731356,38.5214,0.)); #512664=CARTESIAN_POINT('',(23.731356,38.5214,0.)); #512665=CARTESIAN_POINT('',(23.731356,38.5214,0.)); #512666=CARTESIAN_POINT('',(23.731356,38.5214,0.035)); #512667=CARTESIAN_POINT('',(23.731356,38.5214,0.035)); #512668=CARTESIAN_POINT('',(23.731356,38.5214,0.)); #512669=CARTESIAN_POINT('Origin',(23.779019,38.512116,0.)); #512670=CARTESIAN_POINT('',(23.779019,38.512116,0.)); #512671=CARTESIAN_POINT('',(23.779019,38.512116,0.)); #512672=CARTESIAN_POINT('',(23.779019,38.512116,0.035)); #512673=CARTESIAN_POINT('',(23.779019,38.512116,0.035)); #512674=CARTESIAN_POINT('',(23.779019,38.512116,0.)); #512675=CARTESIAN_POINT('Origin',(23.821159,38.484203,0.)); #512676=CARTESIAN_POINT('',(23.821159,38.484203,0.)); #512677=CARTESIAN_POINT('',(23.821159,38.484203,0.)); #512678=CARTESIAN_POINT('',(23.821159,38.484203,0.035)); #512679=CARTESIAN_POINT('',(23.821159,38.484203,0.035)); #512680=CARTESIAN_POINT('',(23.821159,38.484203,0.)); #512681=CARTESIAN_POINT('Origin',(25.734203,36.571159,0.)); #512682=CARTESIAN_POINT('',(25.734203,36.571159,0.)); #512683=CARTESIAN_POINT('',(25.734203,36.571159,0.)); #512684=CARTESIAN_POINT('',(25.734203,36.571159,0.035)); #512685=CARTESIAN_POINT('',(25.734203,36.571159,0.035)); #512686=CARTESIAN_POINT('',(25.734203,36.571159,0.)); #512687=CARTESIAN_POINT('Origin',(25.761341,36.530891,0.)); #512688=CARTESIAN_POINT('',(25.761341,36.530891,0.)); #512689=CARTESIAN_POINT('',(25.761341,36.530891,0.)); #512690=CARTESIAN_POINT('',(25.761341,36.530891,0.035)); #512691=CARTESIAN_POINT('',(25.761341,36.530891,0.035)); #512692=CARTESIAN_POINT('',(25.761341,36.530891,0.)); #512693=CARTESIAN_POINT('Origin',(25.7714,36.481356,0.)); #512694=CARTESIAN_POINT('',(25.7714,36.481356,0.)); #512695=CARTESIAN_POINT('',(25.7714,36.481356,0.)); #512696=CARTESIAN_POINT('',(25.7714,36.481356,0.035)); #512697=CARTESIAN_POINT('',(25.7714,36.481356,0.035)); #512698=CARTESIAN_POINT('',(25.7714,36.481356,0.)); #512699=CARTESIAN_POINT('Origin',(25.7714,36.428441,0.)); #512700=CARTESIAN_POINT('',(25.7714,36.428441,0.)); #512701=CARTESIAN_POINT('',(25.7714,36.428441,0.)); #512702=CARTESIAN_POINT('',(25.7714,36.428441,0.035)); #512703=CARTESIAN_POINT('',(25.7714,36.428441,0.035)); #512704=CARTESIAN_POINT('',(25.7714,36.428441,0.)); #512705=CARTESIAN_POINT('Origin',(25.762116,36.380778,0.)); #512706=CARTESIAN_POINT('',(25.762116,36.380778,0.)); #512707=CARTESIAN_POINT('',(25.762116,36.380778,0.)); #512708=CARTESIAN_POINT('',(25.762116,36.380778,0.035)); #512709=CARTESIAN_POINT('',(25.762116,36.380778,0.035)); #512710=CARTESIAN_POINT('',(25.762116,36.380778,0.)); #512711=CARTESIAN_POINT('Origin',(25.734203,36.338638,0.)); #512712=CARTESIAN_POINT('',(25.734203,36.338638,0.)); #512713=CARTESIAN_POINT('',(25.734203,36.338638,0.)); #512714=CARTESIAN_POINT('',(25.734203,36.338638,0.035)); #512715=CARTESIAN_POINT('',(25.734203,36.338638,0.035)); #512716=CARTESIAN_POINT('',(25.734203,36.338638,0.)); #512717=CARTESIAN_POINT('Origin',(25.5726,36.177034,0.)); #512718=CARTESIAN_POINT('',(25.5726,36.177034,0.)); #512719=CARTESIAN_POINT('',(25.5726,36.177034,0.)); #512720=CARTESIAN_POINT('',(25.5726,36.177034,0.035)); #512721=CARTESIAN_POINT('',(25.5726,36.177034,0.035)); #512722=CARTESIAN_POINT('',(25.5726,36.177034,0.)); #512723=CARTESIAN_POINT('Origin',(25.5726,36.127,0.)); #512724=CARTESIAN_POINT('',(25.5726,36.127,0.)); #512725=CARTESIAN_POINT('',(25.5726,36.127,0.)); #512726=CARTESIAN_POINT('',(25.5726,36.127,0.035)); #512727=CARTESIAN_POINT('',(25.5726,36.127,0.035)); #512728=CARTESIAN_POINT('',(25.5726,36.127,0.)); #512729=CARTESIAN_POINT('Origin',(25.564063,36.081219,0.)); #512730=CARTESIAN_POINT('',(25.564063,36.081219,0.)); #512731=CARTESIAN_POINT('',(25.564063,36.081219,0.)); #512732=CARTESIAN_POINT('',(25.564063,36.081219,0.035)); #512733=CARTESIAN_POINT('',(25.564063,36.081219,0.035)); #512734=CARTESIAN_POINT('',(25.564063,36.081219,0.)); #512735=CARTESIAN_POINT('Origin',(25.536822,36.038641,0.)); #512736=CARTESIAN_POINT('',(25.536822,36.038641,0.)); #512737=CARTESIAN_POINT('',(25.536822,36.038641,0.)); #512738=CARTESIAN_POINT('',(25.536822,36.038641,0.035)); #512739=CARTESIAN_POINT('',(25.536822,36.038641,0.035)); #512740=CARTESIAN_POINT('',(25.536822,36.038641,0.)); #512741=CARTESIAN_POINT('Origin',(25.495134,36.010059,0.)); #512742=CARTESIAN_POINT('',(25.495134,36.010059,0.)); #512743=CARTESIAN_POINT('',(25.495134,36.010059,0.)); #512744=CARTESIAN_POINT('',(25.495134,36.010059,0.035)); #512745=CARTESIAN_POINT('',(25.495134,36.010059,0.035)); #512746=CARTESIAN_POINT('',(25.495134,36.010059,0.)); #512747=CARTESIAN_POINT('Origin',(25.4456,36.,0.)); #512748=CARTESIAN_POINT('',(25.4456,36.,0.)); #512749=CARTESIAN_POINT('',(25.4456,36.,0.)); #512750=CARTESIAN_POINT('',(25.4456,36.,0.035)); #512751=CARTESIAN_POINT('',(25.4456,36.,0.035)); #512752=CARTESIAN_POINT('',(25.4456,36.,0.)); #512753=CARTESIAN_POINT('Origin',(24.008994,36.,0.)); #512754=CARTESIAN_POINT('',(24.008994,36.,0.)); #512755=CARTESIAN_POINT('',(24.008994,36.,0.)); #512756=CARTESIAN_POINT('',(24.008994,36.,0.035)); #512757=CARTESIAN_POINT('',(24.008994,36.,0.035)); #512758=CARTESIAN_POINT('',(24.008994,36.,0.)); #512759=CARTESIAN_POINT('Origin',(23.999975,35.998716,0.)); #512760=CARTESIAN_POINT('',(23.999975,35.998716,0.)); #512761=CARTESIAN_POINT('',(23.999975,35.998716,0.)); #512762=CARTESIAN_POINT('',(23.999975,35.998716,0.035)); #512763=CARTESIAN_POINT('',(23.999975,35.998716,0.035)); #512764=CARTESIAN_POINT('',(23.999975,35.998716,0.)); #512765=CARTESIAN_POINT('Origin',(23.994347,35.994347,0.)); #512766=CARTESIAN_POINT('',(23.994347,35.994347,0.)); #512767=CARTESIAN_POINT('',(23.994347,35.994347,0.)); #512768=CARTESIAN_POINT('',(23.994347,35.994347,0.035)); #512769=CARTESIAN_POINT('',(23.994347,35.994347,0.035)); #512770=CARTESIAN_POINT('',(23.994347,35.994347,0.)); #512771=CARTESIAN_POINT('Origin',(23.006366,35.006366,0.)); #512772=CARTESIAN_POINT('',(23.006366,35.006366,0.)); #512773=CARTESIAN_POINT('',(23.006366,35.006366,0.)); #512774=CARTESIAN_POINT('',(23.006366,35.006366,0.035)); #512775=CARTESIAN_POINT('',(23.006366,35.006366,0.035)); #512776=CARTESIAN_POINT('',(23.006366,35.006366,0.)); #512777=CARTESIAN_POINT('Origin',(23.000891,34.999072,0.)); #512778=CARTESIAN_POINT('',(23.000891,34.999072,0.)); #512779=CARTESIAN_POINT('',(23.000891,34.999072,0.)); #512780=CARTESIAN_POINT('',(23.000891,34.999072,0.035)); #512781=CARTESIAN_POINT('',(23.000891,34.999072,0.035)); #512782=CARTESIAN_POINT('',(23.000891,34.999072,0.)); #512783=CARTESIAN_POINT('Origin',(23.,34.992016,0.)); #512784=CARTESIAN_POINT('',(23.,34.992016,0.)); #512785=CARTESIAN_POINT('',(23.,34.992016,0.)); #512786=CARTESIAN_POINT('',(23.,34.992016,0.035)); #512787=CARTESIAN_POINT('',(23.,34.992016,0.035)); #512788=CARTESIAN_POINT('',(23.,34.992016,0.)); #512789=CARTESIAN_POINT('Origin',(23.,31.802606,0.)); #512790=CARTESIAN_POINT('',(23.,31.802606,0.)); #512791=CARTESIAN_POINT('',(23.,31.802606,0.)); #512792=CARTESIAN_POINT('',(23.,31.802606,0.035)); #512793=CARTESIAN_POINT('',(23.,31.802606,0.035)); #512794=CARTESIAN_POINT('',(23.,31.802606,0.)); #512795=CARTESIAN_POINT('Origin',(22.990716,31.754944,0.)); #512796=CARTESIAN_POINT('',(22.990716,31.754944,0.)); #512797=CARTESIAN_POINT('',(22.990716,31.754944,0.)); #512798=CARTESIAN_POINT('',(22.990716,31.754944,0.035)); #512799=CARTESIAN_POINT('',(22.990716,31.754944,0.035)); #512800=CARTESIAN_POINT('',(22.990716,31.754944,0.)); #512801=CARTESIAN_POINT('Origin',(22.962803,31.712803,0.)); #512802=CARTESIAN_POINT('',(22.962803,31.712803,0.)); #512803=CARTESIAN_POINT('',(22.962803,31.712803,0.)); #512804=CARTESIAN_POINT('',(22.962803,31.712803,0.035)); #512805=CARTESIAN_POINT('',(22.962803,31.712803,0.035)); #512806=CARTESIAN_POINT('',(22.962803,31.712803,0.)); #512807=CARTESIAN_POINT('Origin',(21.662197,30.412197,0.)); #512808=CARTESIAN_POINT('',(21.662197,30.412197,0.)); #512809=CARTESIAN_POINT('',(21.662197,30.412197,0.)); #512810=CARTESIAN_POINT('',(21.662197,30.412197,0.035)); #512811=CARTESIAN_POINT('',(21.662197,30.412197,0.035)); #512812=CARTESIAN_POINT('',(21.662197,30.412197,0.)); #512813=CARTESIAN_POINT('Origin',(21.621928,30.385059,0.)); #512814=CARTESIAN_POINT('',(21.621928,30.385059,0.)); #512815=CARTESIAN_POINT('',(21.621928,30.385059,0.)); #512816=CARTESIAN_POINT('',(21.621928,30.385059,0.035)); #512817=CARTESIAN_POINT('',(21.621928,30.385059,0.035)); #512818=CARTESIAN_POINT('',(21.621928,30.385059,0.)); #512819=CARTESIAN_POINT('Origin',(21.572394,30.375,0.)); #512820=CARTESIAN_POINT('',(21.572394,30.375,0.)); #512821=CARTESIAN_POINT('',(21.572394,30.375,0.)); #512822=CARTESIAN_POINT('',(21.572394,30.375,0.035)); #512823=CARTESIAN_POINT('',(21.572394,30.375,0.035)); #512824=CARTESIAN_POINT('',(21.572394,30.375,0.)); #512825=CARTESIAN_POINT('Origin',(20.385022,30.375,0.)); #512826=CARTESIAN_POINT('',(20.385022,30.375,0.)); #512827=CARTESIAN_POINT('',(20.385022,30.375,0.)); #512828=CARTESIAN_POINT('',(20.385022,30.375,0.035)); #512829=CARTESIAN_POINT('',(20.385022,30.375,0.035)); #512830=CARTESIAN_POINT('',(20.385022,30.375,0.)); #512831=CARTESIAN_POINT('Origin',(20.375825,30.373541,0.)); #512832=CARTESIAN_POINT('',(20.375825,30.373541,0.)); #512833=CARTESIAN_POINT('',(20.375825,30.373541,0.)); #512834=CARTESIAN_POINT('',(20.375825,30.373541,0.035)); #512835=CARTESIAN_POINT('',(20.375825,30.373541,0.035)); #512836=CARTESIAN_POINT('',(20.375825,30.373541,0.)); #512837=CARTESIAN_POINT('Origin',(20.375,30.367016,0.)); #512838=CARTESIAN_POINT('',(20.375,30.367016,0.)); #512839=CARTESIAN_POINT('',(20.375,30.367016,0.)); #512840=CARTESIAN_POINT('',(20.375,30.367016,0.035)); #512841=CARTESIAN_POINT('',(20.375,30.367016,0.035)); #512842=CARTESIAN_POINT('',(20.375,30.367016,0.)); #512843=CARTESIAN_POINT('Origin',(20.375,29.127,0.)); #512844=CARTESIAN_POINT('',(20.375,29.127,0.)); #512845=CARTESIAN_POINT('',(20.375,29.127,0.)); #512846=CARTESIAN_POINT('',(20.375,29.127,0.035)); #512847=CARTESIAN_POINT('',(20.375,29.127,0.035)); #512848=CARTESIAN_POINT('',(20.375,29.127,0.)); #512849=CARTESIAN_POINT('Origin',(25.75,29.127,0.)); #512850=CARTESIAN_POINT('',(25.75,29.127,0.)); #512851=CARTESIAN_POINT('',(25.75,29.127,0.)); #512852=CARTESIAN_POINT('',(25.75,29.127,0.035)); #512853=CARTESIAN_POINT('',(25.75,29.127,0.035)); #512854=CARTESIAN_POINT('',(25.75,29.127,0.)); #512855=CARTESIAN_POINT('Origin',(25.7500007497004,28.9999996912748,0.)); #512856=CARTESIAN_POINT('',(25.839803,29.089803,0.)); #512857=CARTESIAN_POINT('Origin',(25.7500007497004,28.9999996912748,0.)); #512858=CARTESIAN_POINT('',(25.839803,29.089803,0.035)); #512859=CARTESIAN_POINT('Origin',(25.7500007497004,28.9999996912748,0.035)); #512860=CARTESIAN_POINT('',(25.839803,29.089803,0.)); #512861=CARTESIAN_POINT('Origin',(28.839803,26.089803,0.)); #512862=CARTESIAN_POINT('',(28.839803,26.089803,0.)); #512863=CARTESIAN_POINT('',(28.839803,26.089803,0.)); #512864=CARTESIAN_POINT('',(28.839803,26.089803,0.035)); #512865=CARTESIAN_POINT('',(28.839803,26.089803,0.035)); #512866=CARTESIAN_POINT('',(28.839803,26.089803,0.)); #512867=CARTESIAN_POINT('Origin',(28.7499996912748,26.0000007497004,0.)); #512868=CARTESIAN_POINT('',(28.877,26.,0.)); #512869=CARTESIAN_POINT('Origin',(28.7499996912748,26.0000007497004,0.)); #512870=CARTESIAN_POINT('',(28.877,26.,0.035)); #512871=CARTESIAN_POINT('Origin',(28.7499996912748,26.0000007497004,0.035)); #512872=CARTESIAN_POINT('',(28.877,26.,0.)); #512873=CARTESIAN_POINT('Origin',(28.877,20.272269,0.)); #512874=CARTESIAN_POINT('',(28.877,20.272269,0.)); #512875=CARTESIAN_POINT('',(28.877,20.272269,0.)); #512876=CARTESIAN_POINT('',(28.877,20.272269,0.035)); #512877=CARTESIAN_POINT('',(28.877,20.272269,0.035)); #512878=CARTESIAN_POINT('',(28.877,20.272269,0.)); #512879=CARTESIAN_POINT('Origin',(29.772269,19.377,0.)); #512880=CARTESIAN_POINT('',(29.772269,19.377,0.)); #512881=CARTESIAN_POINT('',(29.772269,19.377,0.)); #512882=CARTESIAN_POINT('',(29.772269,19.377,0.035)); #512883=CARTESIAN_POINT('',(29.772269,19.377,0.035)); #512884=CARTESIAN_POINT('',(29.772269,19.377,0.)); #512885=CARTESIAN_POINT('Origin',(30.2875,19.377,0.)); #512886=CARTESIAN_POINT('',(30.2875,19.377,0.)); #512887=CARTESIAN_POINT('',(30.2875,19.377,0.)); #512888=CARTESIAN_POINT('',(30.2875,19.377,0.035)); #512889=CARTESIAN_POINT('',(30.2875,19.377,0.035)); #512890=CARTESIAN_POINT('',(30.2875,19.377,0.)); #512891=CARTESIAN_POINT('Origin',(30.2875,19.3875,0.)); #512892=CARTESIAN_POINT('',(30.2875,19.3875,0.)); #512893=CARTESIAN_POINT('',(30.2875,19.3875,0.)); #512894=CARTESIAN_POINT('',(30.2875,19.3875,0.035)); #512895=CARTESIAN_POINT('',(30.2875,19.3875,0.035)); #512896=CARTESIAN_POINT('',(30.2875,19.3875,0.)); #512897=CARTESIAN_POINT('Origin',(30.9125,19.3875,0.)); #512898=CARTESIAN_POINT('',(30.9125,19.3875,0.)); #512899=CARTESIAN_POINT('',(30.9125,19.3875,0.035)); #512900=CARTESIAN_POINT('Origin',(19.8038403601717,32.3192964978018,0.035)); #512901=CARTESIAN_POINT('Origin',(19.8038403601717,32.3192964978018,0.)); #512902=CARTESIAN_POINT('Origin',(31.5,27.75,-200.)); #512903=CARTESIAN_POINT('',(31.035,27.75,0.)); #512904=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #512905=CARTESIAN_POINT('',(31.035,27.75,0.0349999999999895)); #512906=CARTESIAN_POINT('',(31.035,27.75,-200.)); #512907=CARTESIAN_POINT('Origin',(31.5,27.75,0.0349999999999895)); #512908=CARTESIAN_POINT('Origin',(31.536844,27.,0.)); #512909=CARTESIAN_POINT('',(31.536844,27.,0.)); #512910=CARTESIAN_POINT('',(31.610181,27.007222,0.)); #512911=CARTESIAN_POINT('',(31.536844,27.,0.)); #512912=CARTESIAN_POINT('',(31.610181,27.007222,0.035)); #512913=CARTESIAN_POINT('',(31.610181,27.007222,0.)); #512914=CARTESIAN_POINT('',(31.536844,27.,0.035)); #512915=CARTESIAN_POINT('',(31.536844,27.,0.035)); #512916=CARTESIAN_POINT('',(31.536844,27.,0.)); #512917=CARTESIAN_POINT('Origin',(31.5000001874156,27.7500005308286,0.)); #512918=CARTESIAN_POINT('',(31.389819,28.492778,0.)); #512919=CARTESIAN_POINT('Origin',(31.5000001874156,27.7500005308286,0.)); #512920=CARTESIAN_POINT('',(31.389819,28.492778,0.035)); #512921=CARTESIAN_POINT('Origin',(31.5000001874156,27.7500005308286,0.035)); #512922=CARTESIAN_POINT('',(31.389819,28.492778,0.)); #512923=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #512924=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #512925=CARTESIAN_POINT('Origin',(31.5,27.75,0.035)); #512926=CARTESIAN_POINT('Origin',(31.4983877860344,27.7486960162912,0.035)); #512927=CARTESIAN_POINT('Origin',(31.4983877860344,27.7486960162912,0.)); #512928=CARTESIAN_POINT('Origin',(33.696416,58.909663,0.)); #512929=CARTESIAN_POINT('',(33.696416,58.909663,0.)); #512930=CARTESIAN_POINT('',(33.726034,58.916172,0.)); #512931=CARTESIAN_POINT('',(33.696416,58.909663,0.)); #512932=CARTESIAN_POINT('',(33.726034,58.916172,0.035)); #512933=CARTESIAN_POINT('',(33.726034,58.916172,0.)); #512934=CARTESIAN_POINT('',(33.696416,58.909663,0.035)); #512935=CARTESIAN_POINT('',(33.696416,58.909663,0.035)); #512936=CARTESIAN_POINT('',(33.696416,58.909663,0.)); #512937=CARTESIAN_POINT('Origin',(33.666603,58.915222,0.)); #512938=CARTESIAN_POINT('',(33.666603,58.915222,0.)); #512939=CARTESIAN_POINT('',(33.666603,58.915222,0.)); #512940=CARTESIAN_POINT('',(33.666603,58.915222,0.035)); #512941=CARTESIAN_POINT('',(33.666603,58.915222,0.035)); #512942=CARTESIAN_POINT('',(33.666603,58.915222,0.)); #512943=CARTESIAN_POINT('Origin',(33.641319,58.931972,0.)); #512944=CARTESIAN_POINT('',(33.641319,58.931972,0.)); #512945=CARTESIAN_POINT('',(33.641319,58.931972,0.)); #512946=CARTESIAN_POINT('',(33.641319,58.931972,0.035)); #512947=CARTESIAN_POINT('',(33.641319,58.931972,0.035)); #512948=CARTESIAN_POINT('',(33.641319,58.931972,0.)); #512949=CARTESIAN_POINT('Origin',(33.050272,59.523019,0.)); #512950=CARTESIAN_POINT('',(33.050272,59.523019,0.)); #512951=CARTESIAN_POINT('',(33.050272,59.523019,0.)); #512952=CARTESIAN_POINT('',(33.050272,59.523019,0.035)); #512953=CARTESIAN_POINT('',(33.050272,59.523019,0.035)); #512954=CARTESIAN_POINT('',(33.050272,59.523019,0.)); #512955=CARTESIAN_POINT('Origin',(33.034972,59.544956,0.)); #512956=CARTESIAN_POINT('',(33.034972,59.544956,0.)); #512957=CARTESIAN_POINT('',(33.034972,59.544956,0.)); #512958=CARTESIAN_POINT('',(33.034972,59.544956,0.035)); #512959=CARTESIAN_POINT('',(33.034972,59.544956,0.035)); #512960=CARTESIAN_POINT('',(33.034972,59.544956,0.)); #512961=CARTESIAN_POINT('Origin',(33.027991,59.574472,0.)); #512962=CARTESIAN_POINT('',(33.027991,59.574472,0.)); #512963=CARTESIAN_POINT('',(33.027991,59.574472,0.)); #512964=CARTESIAN_POINT('',(33.027991,59.574472,0.035)); #512965=CARTESIAN_POINT('',(33.027991,59.574472,0.035)); #512966=CARTESIAN_POINT('',(33.027991,59.574472,0.)); #512967=CARTESIAN_POINT('Origin',(33.033075,59.604369,0.)); #512968=CARTESIAN_POINT('',(33.033075,59.604369,0.)); #512969=CARTESIAN_POINT('',(33.033075,59.604369,0.)); #512970=CARTESIAN_POINT('',(33.033075,59.604369,0.035)); #512971=CARTESIAN_POINT('',(33.033075,59.604369,0.035)); #512972=CARTESIAN_POINT('',(33.033075,59.604369,0.)); #512973=CARTESIAN_POINT('Origin',(33.049419,59.629916,0.)); #512974=CARTESIAN_POINT('',(33.049419,59.629916,0.)); #512975=CARTESIAN_POINT('',(33.049419,59.629916,0.)); #512976=CARTESIAN_POINT('',(33.049419,59.629916,0.035)); #512977=CARTESIAN_POINT('',(33.049419,59.629916,0.035)); #512978=CARTESIAN_POINT('',(33.049419,59.629916,0.)); #512979=CARTESIAN_POINT('Origin',(33.074431,59.647066,0.)); #512980=CARTESIAN_POINT('',(33.074431,59.647066,0.)); #512981=CARTESIAN_POINT('',(33.074431,59.647066,0.)); #512982=CARTESIAN_POINT('',(33.074431,59.647066,0.035)); #512983=CARTESIAN_POINT('',(33.074431,59.647066,0.035)); #512984=CARTESIAN_POINT('',(33.074431,59.647066,0.)); #512985=CARTESIAN_POINT('Origin',(33.104153,59.6531,0.)); #512986=CARTESIAN_POINT('',(33.104153,59.6531,0.)); #512987=CARTESIAN_POINT('',(33.104153,59.6531,0.)); #512988=CARTESIAN_POINT('',(33.104153,59.6531,0.035)); #512989=CARTESIAN_POINT('',(33.104153,59.6531,0.035)); #512990=CARTESIAN_POINT('',(33.104153,59.6531,0.)); #512991=CARTESIAN_POINT('Origin',(33.480047,59.6531,0.)); #512992=CARTESIAN_POINT('',(33.480047,59.6531,0.)); #512993=CARTESIAN_POINT('',(33.480047,59.6531,0.)); #512994=CARTESIAN_POINT('',(33.480047,59.6531,0.035)); #512995=CARTESIAN_POINT('',(33.480047,59.6531,0.035)); #512996=CARTESIAN_POINT('',(33.480047,59.6531,0.)); #512997=CARTESIAN_POINT('Origin',(33.508644,59.647531,0.)); #512998=CARTESIAN_POINT('',(33.508644,59.647531,0.)); #512999=CARTESIAN_POINT('',(33.508644,59.647531,0.)); #513000=CARTESIAN_POINT('',(33.508644,59.647531,0.035)); #513001=CARTESIAN_POINT('',(33.508644,59.647531,0.035)); #513002=CARTESIAN_POINT('',(33.508644,59.647531,0.)); #513003=CARTESIAN_POINT('Origin',(33.533928,59.630781,0.)); #513004=CARTESIAN_POINT('',(33.533928,59.630781,0.)); #513005=CARTESIAN_POINT('',(33.533928,59.630781,0.)); #513006=CARTESIAN_POINT('',(33.533928,59.630781,0.035)); #513007=CARTESIAN_POINT('',(33.533928,59.630781,0.035)); #513008=CARTESIAN_POINT('',(33.533928,59.630781,0.)); #513009=CARTESIAN_POINT('Origin',(33.749081,59.415628,0.)); #513010=CARTESIAN_POINT('',(33.749081,59.415628,0.)); #513011=CARTESIAN_POINT('',(33.749081,59.415628,0.)); #513012=CARTESIAN_POINT('',(33.749081,59.415628,0.035)); #513013=CARTESIAN_POINT('',(33.749081,59.415628,0.035)); #513014=CARTESIAN_POINT('',(33.749081,59.415628,0.)); #513015=CARTESIAN_POINT('Origin',(33.765366,59.391469,0.)); #513016=CARTESIAN_POINT('',(33.765366,59.391469,0.)); #513017=CARTESIAN_POINT('',(33.765366,59.391469,0.)); #513018=CARTESIAN_POINT('',(33.765366,59.391469,0.035)); #513019=CARTESIAN_POINT('',(33.765366,59.391469,0.035)); #513020=CARTESIAN_POINT('',(33.765366,59.391469,0.)); #513021=CARTESIAN_POINT('Origin',(33.7714,59.361747,0.)); #513022=CARTESIAN_POINT('',(33.7714,59.361747,0.)); #513023=CARTESIAN_POINT('',(33.7714,59.361747,0.)); #513024=CARTESIAN_POINT('',(33.7714,59.361747,0.035)); #513025=CARTESIAN_POINT('',(33.7714,59.361747,0.035)); #513026=CARTESIAN_POINT('',(33.7714,59.361747,0.)); #513027=CARTESIAN_POINT('Origin',(33.7714,58.985853,0.)); #513028=CARTESIAN_POINT('',(33.7714,58.985853,0.)); #513029=CARTESIAN_POINT('',(33.7714,58.985853,0.)); #513030=CARTESIAN_POINT('',(33.7714,58.985853,0.035)); #513031=CARTESIAN_POINT('',(33.7714,58.985853,0.035)); #513032=CARTESIAN_POINT('',(33.7714,58.985853,0.)); #513033=CARTESIAN_POINT('Origin',(33.766706,58.959522,0.)); #513034=CARTESIAN_POINT('',(33.766706,58.959522,0.)); #513035=CARTESIAN_POINT('',(33.766706,58.959522,0.)); #513036=CARTESIAN_POINT('',(33.766706,58.959522,0.035)); #513037=CARTESIAN_POINT('',(33.766706,58.959522,0.035)); #513038=CARTESIAN_POINT('',(33.766706,58.959522,0.)); #513039=CARTESIAN_POINT('Origin',(33.750772,58.933716,0.)); #513040=CARTESIAN_POINT('',(33.750772,58.933716,0.)); #513041=CARTESIAN_POINT('',(33.750772,58.933716,0.)); #513042=CARTESIAN_POINT('',(33.750772,58.933716,0.035)); #513043=CARTESIAN_POINT('',(33.750772,58.933716,0.035)); #513044=CARTESIAN_POINT('',(33.750772,58.933716,0.)); #513045=CARTESIAN_POINT('Origin',(33.726034,58.916172,0.)); #513046=CARTESIAN_POINT('',(33.726034,58.916172,0.)); #513047=CARTESIAN_POINT('',(33.726034,58.916172,0.035)); #513048=CARTESIAN_POINT('Origin',(33.4631122168991,59.3448100598658,0.035)); #513049=CARTESIAN_POINT('Origin',(33.4631122168991,59.3448100598658,0.)); #513050=CARTESIAN_POINT('Origin',(26.446416,29.19,0.)); #513051=CARTESIAN_POINT('',(26.446416,29.19,0.)); #513052=CARTESIAN_POINT('',(26.476034,29.196509,0.)); #513053=CARTESIAN_POINT('',(26.446416,29.19,0.)); #513054=CARTESIAN_POINT('',(26.476034,29.196509,0.035)); #513055=CARTESIAN_POINT('',(26.476034,29.196509,0.)); #513056=CARTESIAN_POINT('',(26.446416,29.19,0.035)); #513057=CARTESIAN_POINT('',(26.446416,29.19,0.035)); #513058=CARTESIAN_POINT('',(26.446416,29.19,0.)); #513059=CARTESIAN_POINT('Origin',(26.416603,29.195559,0.)); #513060=CARTESIAN_POINT('',(26.416603,29.195559,0.)); #513061=CARTESIAN_POINT('',(26.416603,29.195559,0.)); #513062=CARTESIAN_POINT('',(26.416603,29.195559,0.035)); #513063=CARTESIAN_POINT('',(26.416603,29.195559,0.035)); #513064=CARTESIAN_POINT('',(26.416603,29.195559,0.)); #513065=CARTESIAN_POINT('Origin',(26.391319,29.212309,0.)); #513066=CARTESIAN_POINT('',(26.391319,29.212309,0.)); #513067=CARTESIAN_POINT('',(26.391319,29.212309,0.)); #513068=CARTESIAN_POINT('',(26.391319,29.212309,0.035)); #513069=CARTESIAN_POINT('',(26.391319,29.212309,0.035)); #513070=CARTESIAN_POINT('',(26.391319,29.212309,0.)); #513071=CARTESIAN_POINT('Origin',(26.250919,29.352709,0.)); #513072=CARTESIAN_POINT('',(26.250919,29.352709,0.)); #513073=CARTESIAN_POINT('',(26.250919,29.352709,0.)); #513074=CARTESIAN_POINT('',(26.250919,29.352709,0.035)); #513075=CARTESIAN_POINT('',(26.250919,29.352709,0.035)); #513076=CARTESIAN_POINT('',(26.250919,29.352709,0.)); #513077=CARTESIAN_POINT('Origin',(26.234634,29.376869,0.)); #513078=CARTESIAN_POINT('',(26.234634,29.376869,0.)); #513079=CARTESIAN_POINT('',(26.234634,29.376869,0.)); #513080=CARTESIAN_POINT('',(26.234634,29.376869,0.035)); #513081=CARTESIAN_POINT('',(26.234634,29.376869,0.035)); #513082=CARTESIAN_POINT('',(26.234634,29.376869,0.)); #513083=CARTESIAN_POINT('Origin',(26.2286,29.406591,0.)); #513084=CARTESIAN_POINT('',(26.2286,29.406591,0.)); #513085=CARTESIAN_POINT('',(26.2286,29.406591,0.)); #513086=CARTESIAN_POINT('',(26.2286,29.406591,0.035)); #513087=CARTESIAN_POINT('',(26.2286,29.406591,0.035)); #513088=CARTESIAN_POINT('',(26.2286,29.406591,0.)); #513089=CARTESIAN_POINT('Origin',(26.2286,30.592603,0.)); #513090=CARTESIAN_POINT('',(26.2286,30.592603,0.)); #513091=CARTESIAN_POINT('',(26.2286,30.592603,0.)); #513092=CARTESIAN_POINT('',(26.2286,30.592603,0.035)); #513093=CARTESIAN_POINT('',(26.2286,30.592603,0.035)); #513094=CARTESIAN_POINT('',(26.2286,30.592603,0.)); #513095=CARTESIAN_POINT('Origin',(26.234169,30.6212,0.)); #513096=CARTESIAN_POINT('',(26.234169,30.6212,0.)); #513097=CARTESIAN_POINT('',(26.234169,30.6212,0.)); #513098=CARTESIAN_POINT('',(26.234169,30.6212,0.035)); #513099=CARTESIAN_POINT('',(26.234169,30.6212,0.035)); #513100=CARTESIAN_POINT('',(26.234169,30.6212,0.)); #513101=CARTESIAN_POINT('Origin',(26.250919,30.646484,0.)); #513102=CARTESIAN_POINT('',(26.250919,30.646484,0.)); #513103=CARTESIAN_POINT('',(26.250919,30.646484,0.)); #513104=CARTESIAN_POINT('',(26.250919,30.646484,0.035)); #513105=CARTESIAN_POINT('',(26.250919,30.646484,0.035)); #513106=CARTESIAN_POINT('',(26.250919,30.646484,0.)); #513107=CARTESIAN_POINT('Origin',(26.321119,30.716684,0.)); #513108=CARTESIAN_POINT('',(26.321119,30.716684,0.)); #513109=CARTESIAN_POINT('',(26.321119,30.716684,0.)); #513110=CARTESIAN_POINT('',(26.321119,30.716684,0.035)); #513111=CARTESIAN_POINT('',(26.321119,30.716684,0.035)); #513112=CARTESIAN_POINT('',(26.321119,30.716684,0.)); #513113=CARTESIAN_POINT('Origin',(26.344166,30.732484,0.)); #513114=CARTESIAN_POINT('',(26.344166,30.732484,0.)); #513115=CARTESIAN_POINT('',(26.344166,30.732484,0.)); #513116=CARTESIAN_POINT('',(26.344166,30.732484,0.035)); #513117=CARTESIAN_POINT('',(26.344166,30.732484,0.035)); #513118=CARTESIAN_POINT('',(26.344166,30.732484,0.)); #513119=CARTESIAN_POINT('Origin',(26.373784,30.738994,0.)); #513120=CARTESIAN_POINT('',(26.373784,30.738994,0.)); #513121=CARTESIAN_POINT('',(26.373784,30.738994,0.)); #513122=CARTESIAN_POINT('',(26.373784,30.738994,0.035)); #513123=CARTESIAN_POINT('',(26.373784,30.738994,0.035)); #513124=CARTESIAN_POINT('',(26.373784,30.738994,0.)); #513125=CARTESIAN_POINT('Origin',(26.403597,30.733434,0.)); #513126=CARTESIAN_POINT('',(26.403597,30.733434,0.)); #513127=CARTESIAN_POINT('',(26.403597,30.733434,0.)); #513128=CARTESIAN_POINT('',(26.403597,30.733434,0.035)); #513129=CARTESIAN_POINT('',(26.403597,30.733434,0.035)); #513130=CARTESIAN_POINT('',(26.403597,30.733434,0.)); #513131=CARTESIAN_POINT('Origin',(26.428881,30.716684,0.)); #513132=CARTESIAN_POINT('',(26.428881,30.716684,0.)); #513133=CARTESIAN_POINT('',(26.428881,30.716684,0.)); #513134=CARTESIAN_POINT('',(26.428881,30.716684,0.035)); #513135=CARTESIAN_POINT('',(26.428881,30.716684,0.035)); #513136=CARTESIAN_POINT('',(26.428881,30.716684,0.)); #513137=CARTESIAN_POINT('Origin',(26.499081,30.646484,0.)); #513138=CARTESIAN_POINT('',(26.499081,30.646484,0.)); #513139=CARTESIAN_POINT('',(26.499081,30.646484,0.)); #513140=CARTESIAN_POINT('',(26.499081,30.646484,0.035)); #513141=CARTESIAN_POINT('',(26.499081,30.646484,0.035)); #513142=CARTESIAN_POINT('',(26.499081,30.646484,0.)); #513143=CARTESIAN_POINT('Origin',(26.515366,30.622325,0.)); #513144=CARTESIAN_POINT('',(26.515366,30.622325,0.)); #513145=CARTESIAN_POINT('',(26.515366,30.622325,0.)); #513146=CARTESIAN_POINT('',(26.515366,30.622325,0.035)); #513147=CARTESIAN_POINT('',(26.515366,30.622325,0.035)); #513148=CARTESIAN_POINT('',(26.515366,30.622325,0.)); #513149=CARTESIAN_POINT('Origin',(26.5214,30.592603,0.)); #513150=CARTESIAN_POINT('',(26.5214,30.592603,0.)); #513151=CARTESIAN_POINT('',(26.5214,30.592603,0.)); #513152=CARTESIAN_POINT('',(26.5214,30.592603,0.035)); #513153=CARTESIAN_POINT('',(26.5214,30.592603,0.035)); #513154=CARTESIAN_POINT('',(26.5214,30.592603,0.)); #513155=CARTESIAN_POINT('Origin',(26.5214,29.266191,0.)); #513156=CARTESIAN_POINT('',(26.5214,29.266191,0.)); #513157=CARTESIAN_POINT('',(26.5214,29.266191,0.)); #513158=CARTESIAN_POINT('',(26.5214,29.266191,0.035)); #513159=CARTESIAN_POINT('',(26.5214,29.266191,0.035)); #513160=CARTESIAN_POINT('',(26.5214,29.266191,0.)); #513161=CARTESIAN_POINT('Origin',(26.516706,29.239859,0.)); #513162=CARTESIAN_POINT('',(26.516706,29.239859,0.)); #513163=CARTESIAN_POINT('',(26.516706,29.239859,0.)); #513164=CARTESIAN_POINT('',(26.516706,29.239859,0.035)); #513165=CARTESIAN_POINT('',(26.516706,29.239859,0.035)); #513166=CARTESIAN_POINT('',(26.516706,29.239859,0.)); #513167=CARTESIAN_POINT('Origin',(26.500772,29.214053,0.)); #513168=CARTESIAN_POINT('',(26.500772,29.214053,0.)); #513169=CARTESIAN_POINT('',(26.500772,29.214053,0.)); #513170=CARTESIAN_POINT('',(26.500772,29.214053,0.035)); #513171=CARTESIAN_POINT('',(26.500772,29.214053,0.035)); #513172=CARTESIAN_POINT('',(26.500772,29.214053,0.)); #513173=CARTESIAN_POINT('Origin',(26.476034,29.196509,0.)); #513174=CARTESIAN_POINT('',(26.476034,29.196509,0.)); #513175=CARTESIAN_POINT('',(26.476034,29.196509,0.035)); #513176=CARTESIAN_POINT('Origin',(26.3803781637128,29.9651016304476,0.035)); #513177=CARTESIAN_POINT('Origin',(26.3803781637128,29.9651016304476,0.)); #513178=CARTESIAN_POINT('Origin',(28.983003,36.2286,0.)); #513179=CARTESIAN_POINT('',(28.983003,36.2286,0.)); #513180=CARTESIAN_POINT('',(29.012725,36.234634,0.)); #513181=CARTESIAN_POINT('',(28.983003,36.2286,0.)); #513182=CARTESIAN_POINT('',(29.012725,36.234634,0.035)); #513183=CARTESIAN_POINT('',(29.012725,36.234634,0.)); #513184=CARTESIAN_POINT('',(28.983003,36.2286,0.035)); #513185=CARTESIAN_POINT('',(28.983003,36.2286,0.035)); #513186=CARTESIAN_POINT('',(28.983003,36.2286,0.)); #513187=CARTESIAN_POINT('Origin',(27.815578,36.2286,0.)); #513188=CARTESIAN_POINT('',(27.815578,36.2286,0.)); #513189=CARTESIAN_POINT('',(27.815578,36.2286,0.)); #513190=CARTESIAN_POINT('',(27.815578,36.2286,0.035)); #513191=CARTESIAN_POINT('',(27.815578,36.2286,0.035)); #513192=CARTESIAN_POINT('',(27.815578,36.2286,0.)); #513193=CARTESIAN_POINT('Origin',(27.786981,36.234169,0.)); #513194=CARTESIAN_POINT('',(27.786981,36.234169,0.)); #513195=CARTESIAN_POINT('',(27.786981,36.234169,0.)); #513196=CARTESIAN_POINT('',(27.786981,36.234169,0.035)); #513197=CARTESIAN_POINT('',(27.786981,36.234169,0.035)); #513198=CARTESIAN_POINT('',(27.786981,36.234169,0.)); #513199=CARTESIAN_POINT('Origin',(27.761697,36.250919,0.)); #513200=CARTESIAN_POINT('',(27.761697,36.250919,0.)); #513201=CARTESIAN_POINT('',(27.761697,36.250919,0.)); #513202=CARTESIAN_POINT('',(27.761697,36.250919,0.035)); #513203=CARTESIAN_POINT('',(27.761697,36.250919,0.035)); #513204=CARTESIAN_POINT('',(27.761697,36.250919,0.)); #513205=CARTESIAN_POINT('Origin',(27.621297,36.391319,0.)); #513206=CARTESIAN_POINT('',(27.621297,36.391319,0.)); #513207=CARTESIAN_POINT('',(27.621297,36.391319,0.)); #513208=CARTESIAN_POINT('',(27.621297,36.391319,0.035)); #513209=CARTESIAN_POINT('',(27.621297,36.391319,0.035)); #513210=CARTESIAN_POINT('',(27.621297,36.391319,0.)); #513211=CARTESIAN_POINT('Origin',(27.605997,36.413256,0.)); #513212=CARTESIAN_POINT('',(27.605997,36.413256,0.)); #513213=CARTESIAN_POINT('',(27.605997,36.413256,0.)); #513214=CARTESIAN_POINT('',(27.605997,36.413256,0.035)); #513215=CARTESIAN_POINT('',(27.605997,36.413256,0.035)); #513216=CARTESIAN_POINT('',(27.605997,36.413256,0.)); #513217=CARTESIAN_POINT('Origin',(27.599016,36.442772,0.)); #513218=CARTESIAN_POINT('',(27.599016,36.442772,0.)); #513219=CARTESIAN_POINT('',(27.599016,36.442772,0.)); #513220=CARTESIAN_POINT('',(27.599016,36.442772,0.035)); #513221=CARTESIAN_POINT('',(27.599016,36.442772,0.035)); #513222=CARTESIAN_POINT('',(27.599016,36.442772,0.)); #513223=CARTESIAN_POINT('Origin',(27.6041,36.472669,0.)); #513224=CARTESIAN_POINT('',(27.6041,36.472669,0.)); #513225=CARTESIAN_POINT('',(27.6041,36.472669,0.)); #513226=CARTESIAN_POINT('',(27.6041,36.472669,0.035)); #513227=CARTESIAN_POINT('',(27.6041,36.472669,0.035)); #513228=CARTESIAN_POINT('',(27.6041,36.472669,0.)); #513229=CARTESIAN_POINT('Origin',(27.620444,36.498216,0.)); #513230=CARTESIAN_POINT('',(27.620444,36.498216,0.)); #513231=CARTESIAN_POINT('',(27.620444,36.498216,0.)); #513232=CARTESIAN_POINT('',(27.620444,36.498216,0.035)); #513233=CARTESIAN_POINT('',(27.620444,36.498216,0.035)); #513234=CARTESIAN_POINT('',(27.620444,36.498216,0.)); #513235=CARTESIAN_POINT('Origin',(27.645456,36.515366,0.)); #513236=CARTESIAN_POINT('',(27.645456,36.515366,0.)); #513237=CARTESIAN_POINT('',(27.645456,36.515366,0.)); #513238=CARTESIAN_POINT('',(27.645456,36.515366,0.035)); #513239=CARTESIAN_POINT('',(27.645456,36.515366,0.035)); #513240=CARTESIAN_POINT('',(27.645456,36.515366,0.)); #513241=CARTESIAN_POINT('Origin',(27.675178,36.5214,0.)); #513242=CARTESIAN_POINT('',(27.675178,36.5214,0.)); #513243=CARTESIAN_POINT('',(27.675178,36.5214,0.)); #513244=CARTESIAN_POINT('',(27.675178,36.5214,0.035)); #513245=CARTESIAN_POINT('',(27.675178,36.5214,0.035)); #513246=CARTESIAN_POINT('',(27.675178,36.5214,0.)); #513247=CARTESIAN_POINT('Origin',(28.842603,36.5214,0.)); #513248=CARTESIAN_POINT('',(28.842603,36.5214,0.)); #513249=CARTESIAN_POINT('',(28.842603,36.5214,0.)); #513250=CARTESIAN_POINT('',(28.842603,36.5214,0.035)); #513251=CARTESIAN_POINT('',(28.842603,36.5214,0.035)); #513252=CARTESIAN_POINT('',(28.842603,36.5214,0.)); #513253=CARTESIAN_POINT('Origin',(28.8712,36.515831,0.)); #513254=CARTESIAN_POINT('',(28.8712,36.515831,0.)); #513255=CARTESIAN_POINT('',(28.8712,36.515831,0.)); #513256=CARTESIAN_POINT('',(28.8712,36.515831,0.035)); #513257=CARTESIAN_POINT('',(28.8712,36.515831,0.035)); #513258=CARTESIAN_POINT('',(28.8712,36.515831,0.)); #513259=CARTESIAN_POINT('Origin',(28.896484,36.499081,0.)); #513260=CARTESIAN_POINT('',(28.896484,36.499081,0.)); #513261=CARTESIAN_POINT('',(28.896484,36.499081,0.)); #513262=CARTESIAN_POINT('',(28.896484,36.499081,0.035)); #513263=CARTESIAN_POINT('',(28.896484,36.499081,0.035)); #513264=CARTESIAN_POINT('',(28.896484,36.499081,0.)); #513265=CARTESIAN_POINT('Origin',(29.036884,36.358681,0.)); #513266=CARTESIAN_POINT('',(29.036884,36.358681,0.)); #513267=CARTESIAN_POINT('',(29.036884,36.358681,0.)); #513268=CARTESIAN_POINT('',(29.036884,36.358681,0.035)); #513269=CARTESIAN_POINT('',(29.036884,36.358681,0.035)); #513270=CARTESIAN_POINT('',(29.036884,36.358681,0.)); #513271=CARTESIAN_POINT('Origin',(29.052184,36.336744,0.)); #513272=CARTESIAN_POINT('',(29.052184,36.336744,0.)); #513273=CARTESIAN_POINT('',(29.052184,36.336744,0.)); #513274=CARTESIAN_POINT('',(29.052184,36.336744,0.035)); #513275=CARTESIAN_POINT('',(29.052184,36.336744,0.035)); #513276=CARTESIAN_POINT('',(29.052184,36.336744,0.)); #513277=CARTESIAN_POINT('Origin',(29.059166,36.307228,0.)); #513278=CARTESIAN_POINT('',(29.059166,36.307228,0.)); #513279=CARTESIAN_POINT('',(29.059166,36.307228,0.)); #513280=CARTESIAN_POINT('',(29.059166,36.307228,0.035)); #513281=CARTESIAN_POINT('',(29.059166,36.307228,0.035)); #513282=CARTESIAN_POINT('',(29.059166,36.307228,0.)); #513283=CARTESIAN_POINT('Origin',(29.054081,36.277331,0.)); #513284=CARTESIAN_POINT('',(29.054081,36.277331,0.)); #513285=CARTESIAN_POINT('',(29.054081,36.277331,0.)); #513286=CARTESIAN_POINT('',(29.054081,36.277331,0.035)); #513287=CARTESIAN_POINT('',(29.054081,36.277331,0.035)); #513288=CARTESIAN_POINT('',(29.054081,36.277331,0.)); #513289=CARTESIAN_POINT('Origin',(29.037738,36.251784,0.)); #513290=CARTESIAN_POINT('',(29.037738,36.251784,0.)); #513291=CARTESIAN_POINT('',(29.037738,36.251784,0.)); #513292=CARTESIAN_POINT('',(29.037738,36.251784,0.035)); #513293=CARTESIAN_POINT('',(29.037738,36.251784,0.035)); #513294=CARTESIAN_POINT('',(29.037738,36.251784,0.)); #513295=CARTESIAN_POINT('Origin',(29.012725,36.234634,0.)); #513296=CARTESIAN_POINT('',(29.012725,36.234634,0.)); #513297=CARTESIAN_POINT('',(29.012725,36.234634,0.035)); #513298=CARTESIAN_POINT('Origin',(28.3290906676392,36.3749999765408,0.035)); #513299=CARTESIAN_POINT('Origin',(28.3290906676392,36.3749999765408,0.)); #513300=CARTESIAN_POINT('Origin',(41.557228,29.190028,0.)); #513301=CARTESIAN_POINT('',(41.557228,29.190028,0.)); #513302=CARTESIAN_POINT('',(41.586744,29.197009,0.)); #513303=CARTESIAN_POINT('',(41.557228,29.190028,0.)); #513304=CARTESIAN_POINT('',(41.586744,29.197009,0.035)); #513305=CARTESIAN_POINT('',(41.586744,29.197009,0.)); #513306=CARTESIAN_POINT('',(41.557228,29.190028,0.035)); #513307=CARTESIAN_POINT('',(41.557228,29.190028,0.035)); #513308=CARTESIAN_POINT('',(41.557228,29.190028,0.)); #513309=CARTESIAN_POINT('Origin',(41.527331,29.195113,0.)); #513310=CARTESIAN_POINT('',(41.527331,29.195113,0.)); #513311=CARTESIAN_POINT('',(41.527331,29.195113,0.)); #513312=CARTESIAN_POINT('',(41.527331,29.195113,0.035)); #513313=CARTESIAN_POINT('',(41.527331,29.195113,0.035)); #513314=CARTESIAN_POINT('',(41.527331,29.195113,0.)); #513315=CARTESIAN_POINT('Origin',(41.501784,29.211456,0.)); #513316=CARTESIAN_POINT('',(41.501784,29.211456,0.)); #513317=CARTESIAN_POINT('',(41.501784,29.211456,0.)); #513318=CARTESIAN_POINT('',(41.501784,29.211456,0.035)); #513319=CARTESIAN_POINT('',(41.501784,29.211456,0.035)); #513320=CARTESIAN_POINT('',(41.501784,29.211456,0.)); #513321=CARTESIAN_POINT('Origin',(41.484634,29.236469,0.)); #513322=CARTESIAN_POINT('',(41.484634,29.236469,0.)); #513323=CARTESIAN_POINT('',(41.484634,29.236469,0.)); #513324=CARTESIAN_POINT('',(41.484634,29.236469,0.035)); #513325=CARTESIAN_POINT('',(41.484634,29.236469,0.035)); #513326=CARTESIAN_POINT('',(41.484634,29.236469,0.)); #513327=CARTESIAN_POINT('Origin',(41.4786,29.266191,0.)); #513328=CARTESIAN_POINT('',(41.4786,29.266191,0.)); #513329=CARTESIAN_POINT('',(41.4786,29.266191,0.)); #513330=CARTESIAN_POINT('',(41.4786,29.266191,0.035)); #513331=CARTESIAN_POINT('',(41.4786,29.266191,0.035)); #513332=CARTESIAN_POINT('',(41.4786,29.266191,0.)); #513333=CARTESIAN_POINT('Origin',(41.4786,30.592603,0.)); #513334=CARTESIAN_POINT('',(41.4786,30.592603,0.)); #513335=CARTESIAN_POINT('',(41.4786,30.592603,0.)); #513336=CARTESIAN_POINT('',(41.4786,30.592603,0.035)); #513337=CARTESIAN_POINT('',(41.4786,30.592603,0.035)); #513338=CARTESIAN_POINT('',(41.4786,30.592603,0.)); #513339=CARTESIAN_POINT('Origin',(41.484169,30.6212,0.)); #513340=CARTESIAN_POINT('',(41.484169,30.6212,0.)); #513341=CARTESIAN_POINT('',(41.484169,30.6212,0.)); #513342=CARTESIAN_POINT('',(41.484169,30.6212,0.035)); #513343=CARTESIAN_POINT('',(41.484169,30.6212,0.035)); #513344=CARTESIAN_POINT('',(41.484169,30.6212,0.)); #513345=CARTESIAN_POINT('Origin',(41.500919,30.646484,0.)); #513346=CARTESIAN_POINT('',(41.500919,30.646484,0.)); #513347=CARTESIAN_POINT('',(41.500919,30.646484,0.)); #513348=CARTESIAN_POINT('',(41.500919,30.646484,0.035)); #513349=CARTESIAN_POINT('',(41.500919,30.646484,0.035)); #513350=CARTESIAN_POINT('',(41.500919,30.646484,0.)); #513351=CARTESIAN_POINT('Origin',(41.571119,30.716684,0.)); #513352=CARTESIAN_POINT('',(41.571119,30.716684,0.)); #513353=CARTESIAN_POINT('',(41.571119,30.716684,0.)); #513354=CARTESIAN_POINT('',(41.571119,30.716684,0.035)); #513355=CARTESIAN_POINT('',(41.571119,30.716684,0.035)); #513356=CARTESIAN_POINT('',(41.571119,30.716684,0.)); #513357=CARTESIAN_POINT('Origin',(41.594166,30.732484,0.)); #513358=CARTESIAN_POINT('',(41.594166,30.732484,0.)); #513359=CARTESIAN_POINT('',(41.594166,30.732484,0.)); #513360=CARTESIAN_POINT('',(41.594166,30.732484,0.035)); #513361=CARTESIAN_POINT('',(41.594166,30.732484,0.035)); #513362=CARTESIAN_POINT('',(41.594166,30.732484,0.)); #513363=CARTESIAN_POINT('Origin',(41.623784,30.738994,0.)); #513364=CARTESIAN_POINT('',(41.623784,30.738994,0.)); #513365=CARTESIAN_POINT('',(41.623784,30.738994,0.)); #513366=CARTESIAN_POINT('',(41.623784,30.738994,0.035)); #513367=CARTESIAN_POINT('',(41.623784,30.738994,0.035)); #513368=CARTESIAN_POINT('',(41.623784,30.738994,0.)); #513369=CARTESIAN_POINT('Origin',(41.653597,30.733434,0.)); #513370=CARTESIAN_POINT('',(41.653597,30.733434,0.)); #513371=CARTESIAN_POINT('',(41.653597,30.733434,0.)); #513372=CARTESIAN_POINT('',(41.653597,30.733434,0.035)); #513373=CARTESIAN_POINT('',(41.653597,30.733434,0.035)); #513374=CARTESIAN_POINT('',(41.653597,30.733434,0.)); #513375=CARTESIAN_POINT('Origin',(41.678881,30.716684,0.)); #513376=CARTESIAN_POINT('',(41.678881,30.716684,0.)); #513377=CARTESIAN_POINT('',(41.678881,30.716684,0.)); #513378=CARTESIAN_POINT('',(41.678881,30.716684,0.035)); #513379=CARTESIAN_POINT('',(41.678881,30.716684,0.035)); #513380=CARTESIAN_POINT('',(41.678881,30.716684,0.)); #513381=CARTESIAN_POINT('Origin',(41.749081,30.646484,0.)); #513382=CARTESIAN_POINT('',(41.749081,30.646484,0.)); #513383=CARTESIAN_POINT('',(41.749081,30.646484,0.)); #513384=CARTESIAN_POINT('',(41.749081,30.646484,0.035)); #513385=CARTESIAN_POINT('',(41.749081,30.646484,0.035)); #513386=CARTESIAN_POINT('',(41.749081,30.646484,0.)); #513387=CARTESIAN_POINT('Origin',(41.765366,30.622325,0.)); #513388=CARTESIAN_POINT('',(41.765366,30.622325,0.)); #513389=CARTESIAN_POINT('',(41.765366,30.622325,0.)); #513390=CARTESIAN_POINT('',(41.765366,30.622325,0.035)); #513391=CARTESIAN_POINT('',(41.765366,30.622325,0.035)); #513392=CARTESIAN_POINT('',(41.765366,30.622325,0.)); #513393=CARTESIAN_POINT('Origin',(41.7714,30.592603,0.)); #513394=CARTESIAN_POINT('',(41.7714,30.592603,0.)); #513395=CARTESIAN_POINT('',(41.7714,30.592603,0.)); #513396=CARTESIAN_POINT('',(41.7714,30.592603,0.035)); #513397=CARTESIAN_POINT('',(41.7714,30.592603,0.035)); #513398=CARTESIAN_POINT('',(41.7714,30.592603,0.)); #513399=CARTESIAN_POINT('Origin',(41.7714,29.406591,0.)); #513400=CARTESIAN_POINT('',(41.7714,29.406591,0.)); #513401=CARTESIAN_POINT('',(41.7714,29.406591,0.)); #513402=CARTESIAN_POINT('',(41.7714,29.406591,0.035)); #513403=CARTESIAN_POINT('',(41.7714,29.406591,0.035)); #513404=CARTESIAN_POINT('',(41.7714,29.406591,0.)); #513405=CARTESIAN_POINT('Origin',(41.765831,29.377994,0.)); #513406=CARTESIAN_POINT('',(41.765831,29.377994,0.)); #513407=CARTESIAN_POINT('',(41.765831,29.377994,0.)); #513408=CARTESIAN_POINT('',(41.765831,29.377994,0.035)); #513409=CARTESIAN_POINT('',(41.765831,29.377994,0.035)); #513410=CARTESIAN_POINT('',(41.765831,29.377994,0.)); #513411=CARTESIAN_POINT('Origin',(41.749081,29.352709,0.)); #513412=CARTESIAN_POINT('',(41.749081,29.352709,0.)); #513413=CARTESIAN_POINT('',(41.749081,29.352709,0.)); #513414=CARTESIAN_POINT('',(41.749081,29.352709,0.035)); #513415=CARTESIAN_POINT('',(41.749081,29.352709,0.035)); #513416=CARTESIAN_POINT('',(41.749081,29.352709,0.)); #513417=CARTESIAN_POINT('Origin',(41.608681,29.212309,0.)); #513418=CARTESIAN_POINT('',(41.608681,29.212309,0.)); #513419=CARTESIAN_POINT('',(41.608681,29.212309,0.)); #513420=CARTESIAN_POINT('',(41.608681,29.212309,0.035)); #513421=CARTESIAN_POINT('',(41.608681,29.212309,0.035)); #513422=CARTESIAN_POINT('',(41.608681,29.212309,0.)); #513423=CARTESIAN_POINT('Origin',(41.586744,29.197009,0.)); #513424=CARTESIAN_POINT('',(41.586744,29.197009,0.)); #513425=CARTESIAN_POINT('',(41.586744,29.197009,0.035)); #513426=CARTESIAN_POINT('Origin',(41.6196236974021,29.9650999970932,0.035)); #513427=CARTESIAN_POINT('Origin',(41.6196236974021,29.9650999970932,0.)); #513428=CARTESIAN_POINT('Origin',(40.,38.75,-200.)); #513429=CARTESIAN_POINT('',(39.86,38.75,0.)); #513430=CARTESIAN_POINT('Origin',(40.,38.75,0.)); #513431=CARTESIAN_POINT('',(39.86,38.75,0.0349999999999895)); #513432=CARTESIAN_POINT('',(39.86,38.75,-200.)); #513433=CARTESIAN_POINT('Origin',(40.,38.75,0.0349999999999895)); #513434=CARTESIAN_POINT('Origin',(40.,39.5,-200.)); #513435=CARTESIAN_POINT('',(39.86,39.5,0.)); #513436=CARTESIAN_POINT('Origin',(40.,39.5,0.)); #513437=CARTESIAN_POINT('',(39.86,39.5,0.0349999999999895)); #513438=CARTESIAN_POINT('',(39.86,39.5,-200.)); #513439=CARTESIAN_POINT('Origin',(40.,39.5,0.0349999999999895)); #513440=CARTESIAN_POINT('Origin',(40.,38.,-200.)); #513441=CARTESIAN_POINT('',(39.86,38.,0.)); #513442=CARTESIAN_POINT('Origin',(40.,38.,0.)); #513443=CARTESIAN_POINT('',(39.86,38.,0.0349999999999895)); #513444=CARTESIAN_POINT('',(39.86,38.,-200.)); #513445=CARTESIAN_POINT('Origin',(40.,38.,0.0349999999999895)); #513446=CARTESIAN_POINT('Origin',(40.404084,36.9786,0.)); #513447=CARTESIAN_POINT('',(40.404084,36.9786,0.)); #513448=CARTESIAN_POINT('',(40.433806,36.984634,0.)); #513449=CARTESIAN_POINT('',(40.404084,36.9786,0.)); #513450=CARTESIAN_POINT('',(40.433806,36.984634,0.035)); #513451=CARTESIAN_POINT('',(40.433806,36.984634,0.)); #513452=CARTESIAN_POINT('',(40.404084,36.9786,0.035)); #513453=CARTESIAN_POINT('',(40.404084,36.9786,0.035)); #513454=CARTESIAN_POINT('',(40.404084,36.9786,0.)); #513455=CARTESIAN_POINT('Origin',(39.157397,36.9786,0.)); #513456=CARTESIAN_POINT('',(39.157397,36.9786,0.)); #513457=CARTESIAN_POINT('',(39.157397,36.9786,0.)); #513458=CARTESIAN_POINT('',(39.157397,36.9786,0.035)); #513459=CARTESIAN_POINT('',(39.157397,36.9786,0.035)); #513460=CARTESIAN_POINT('',(39.157397,36.9786,0.)); #513461=CARTESIAN_POINT('Origin',(39.1288,36.984169,0.)); #513462=CARTESIAN_POINT('',(39.1288,36.984169,0.)); #513463=CARTESIAN_POINT('',(39.1288,36.984169,0.)); #513464=CARTESIAN_POINT('',(39.1288,36.984169,0.035)); #513465=CARTESIAN_POINT('',(39.1288,36.984169,0.035)); #513466=CARTESIAN_POINT('',(39.1288,36.984169,0.)); #513467=CARTESIAN_POINT('Origin',(39.103516,37.000919,0.)); #513468=CARTESIAN_POINT('',(39.103516,37.000919,0.)); #513469=CARTESIAN_POINT('',(39.103516,37.000919,0.)); #513470=CARTESIAN_POINT('',(39.103516,37.000919,0.035)); #513471=CARTESIAN_POINT('',(39.103516,37.000919,0.035)); #513472=CARTESIAN_POINT('',(39.103516,37.000919,0.)); #513473=CARTESIAN_POINT('Origin',(38.927034,37.1774,0.)); #513474=CARTESIAN_POINT('',(38.927034,37.1774,0.)); #513475=CARTESIAN_POINT('',(38.927034,37.1774,0.)); #513476=CARTESIAN_POINT('',(38.927034,37.1774,0.035)); #513477=CARTESIAN_POINT('',(38.927034,37.1774,0.035)); #513478=CARTESIAN_POINT('',(38.927034,37.1774,0.)); #513479=CARTESIAN_POINT('Origin',(38.572966,37.1774,0.)); #513480=CARTESIAN_POINT('',(38.572966,37.1774,0.)); #513481=CARTESIAN_POINT('',(38.572966,37.1774,0.)); #513482=CARTESIAN_POINT('',(38.572966,37.1774,0.035)); #513483=CARTESIAN_POINT('',(38.572966,37.1774,0.035)); #513484=CARTESIAN_POINT('',(38.572966,37.1774,0.)); #513485=CARTESIAN_POINT('Origin',(38.554281,37.158716,0.)); #513486=CARTESIAN_POINT('',(38.554281,37.158716,0.)); #513487=CARTESIAN_POINT('',(38.554281,37.158716,0.)); #513488=CARTESIAN_POINT('',(38.554281,37.158716,0.035)); #513489=CARTESIAN_POINT('',(38.554281,37.158716,0.035)); #513490=CARTESIAN_POINT('',(38.554281,37.158716,0.)); #513491=CARTESIAN_POINT('Origin',(38.532344,37.143416,0.)); #513492=CARTESIAN_POINT('',(38.532344,37.143416,0.)); #513493=CARTESIAN_POINT('',(38.532344,37.143416,0.)); #513494=CARTESIAN_POINT('',(38.532344,37.143416,0.035)); #513495=CARTESIAN_POINT('',(38.532344,37.143416,0.035)); #513496=CARTESIAN_POINT('',(38.532344,37.143416,0.)); #513497=CARTESIAN_POINT('Origin',(38.502828,37.136434,0.)); #513498=CARTESIAN_POINT('',(38.502828,37.136434,0.)); #513499=CARTESIAN_POINT('',(38.502828,37.136434,0.)); #513500=CARTESIAN_POINT('',(38.502828,37.136434,0.035)); #513501=CARTESIAN_POINT('',(38.502828,37.136434,0.035)); #513502=CARTESIAN_POINT('',(38.502828,37.136434,0.)); #513503=CARTESIAN_POINT('Origin',(38.472931,37.141519,0.)); #513504=CARTESIAN_POINT('',(38.472931,37.141519,0.)); #513505=CARTESIAN_POINT('',(38.472931,37.141519,0.)); #513506=CARTESIAN_POINT('',(38.472931,37.141519,0.035)); #513507=CARTESIAN_POINT('',(38.472931,37.141519,0.035)); #513508=CARTESIAN_POINT('',(38.472931,37.141519,0.)); #513509=CARTESIAN_POINT('Origin',(38.447384,37.157863,0.)); #513510=CARTESIAN_POINT('',(38.447384,37.157863,0.)); #513511=CARTESIAN_POINT('',(38.447384,37.157863,0.)); #513512=CARTESIAN_POINT('',(38.447384,37.157863,0.035)); #513513=CARTESIAN_POINT('',(38.447384,37.157863,0.035)); #513514=CARTESIAN_POINT('',(38.447384,37.157863,0.)); #513515=CARTESIAN_POINT('Origin',(38.430234,37.182875,0.)); #513516=CARTESIAN_POINT('',(38.430234,37.182875,0.)); #513517=CARTESIAN_POINT('',(38.430234,37.182875,0.)); #513518=CARTESIAN_POINT('',(38.430234,37.182875,0.035)); #513519=CARTESIAN_POINT('',(38.430234,37.182875,0.035)); #513520=CARTESIAN_POINT('',(38.430234,37.182875,0.)); #513521=CARTESIAN_POINT('Origin',(38.4242,37.212597,0.)); #513522=CARTESIAN_POINT('',(38.4242,37.212597,0.)); #513523=CARTESIAN_POINT('',(38.4242,37.212597,0.)); #513524=CARTESIAN_POINT('',(38.4242,37.212597,0.035)); #513525=CARTESIAN_POINT('',(38.4242,37.212597,0.035)); #513526=CARTESIAN_POINT('',(38.4242,37.212597,0.)); #513527=CARTESIAN_POINT('Origin',(38.4242,37.307347,0.)); #513528=CARTESIAN_POINT('',(38.4242,37.307347,0.)); #513529=CARTESIAN_POINT('',(38.4242,37.307347,0.)); #513530=CARTESIAN_POINT('',(38.4242,37.307347,0.035)); #513531=CARTESIAN_POINT('',(38.4242,37.307347,0.035)); #513532=CARTESIAN_POINT('',(38.4242,37.307347,0.)); #513533=CARTESIAN_POINT('Origin',(38.429769,37.335944,0.)); #513534=CARTESIAN_POINT('',(38.429769,37.335944,0.)); #513535=CARTESIAN_POINT('',(38.429769,37.335944,0.)); #513536=CARTESIAN_POINT('',(38.429769,37.335944,0.035)); #513537=CARTESIAN_POINT('',(38.429769,37.335944,0.035)); #513538=CARTESIAN_POINT('',(38.429769,37.335944,0.)); #513539=CARTESIAN_POINT('Origin',(38.446519,37.361228,0.)); #513540=CARTESIAN_POINT('',(38.446519,37.361228,0.)); #513541=CARTESIAN_POINT('',(38.446519,37.361228,0.)); #513542=CARTESIAN_POINT('',(38.446519,37.361228,0.035)); #513543=CARTESIAN_POINT('',(38.446519,37.361228,0.035)); #513544=CARTESIAN_POINT('',(38.446519,37.361228,0.)); #513545=CARTESIAN_POINT('Origin',(38.466072,37.380781,0.)); #513546=CARTESIAN_POINT('',(38.466072,37.380781,0.)); #513547=CARTESIAN_POINT('',(38.466072,37.380781,0.)); #513548=CARTESIAN_POINT('',(38.466072,37.380781,0.035)); #513549=CARTESIAN_POINT('',(38.466072,37.380781,0.035)); #513550=CARTESIAN_POINT('',(38.466072,37.380781,0.)); #513551=CARTESIAN_POINT('Origin',(38.490231,37.397066,0.)); #513552=CARTESIAN_POINT('',(38.490231,37.397066,0.)); #513553=CARTESIAN_POINT('',(38.490231,37.397066,0.)); #513554=CARTESIAN_POINT('',(38.490231,37.397066,0.035)); #513555=CARTESIAN_POINT('',(38.490231,37.397066,0.035)); #513556=CARTESIAN_POINT('',(38.490231,37.397066,0.)); #513557=CARTESIAN_POINT('Origin',(38.519953,37.4031,0.)); #513558=CARTESIAN_POINT('',(38.519953,37.4031,0.)); #513559=CARTESIAN_POINT('',(38.519953,37.4031,0.)); #513560=CARTESIAN_POINT('',(38.519953,37.4031,0.035)); #513561=CARTESIAN_POINT('',(38.519953,37.4031,0.035)); #513562=CARTESIAN_POINT('',(38.519953,37.4031,0.)); #513563=CARTESIAN_POINT('Origin',(39.244228,37.4031,0.)); #513564=CARTESIAN_POINT('',(39.244228,37.4031,0.)); #513565=CARTESIAN_POINT('',(39.244228,37.4031,0.)); #513566=CARTESIAN_POINT('',(39.244228,37.4031,0.035)); #513567=CARTESIAN_POINT('',(39.244228,37.4031,0.035)); #513568=CARTESIAN_POINT('',(39.244228,37.4031,0.)); #513569=CARTESIAN_POINT('Origin',(39.3335,37.492372,0.)); #513570=CARTESIAN_POINT('',(39.3335,37.492372,0.)); #513571=CARTESIAN_POINT('',(39.3335,37.492372,0.)); #513572=CARTESIAN_POINT('',(39.3335,37.492372,0.035)); #513573=CARTESIAN_POINT('',(39.3335,37.492372,0.035)); #513574=CARTESIAN_POINT('',(39.3335,37.492372,0.)); #513575=CARTESIAN_POINT('Origin',(39.3335,38.507628,0.)); #513576=CARTESIAN_POINT('',(39.3335,38.507628,0.)); #513577=CARTESIAN_POINT('',(39.3335,38.507628,0.)); #513578=CARTESIAN_POINT('',(39.3335,38.507628,0.035)); #513579=CARTESIAN_POINT('',(39.3335,38.507628,0.035)); #513580=CARTESIAN_POINT('',(39.3335,38.507628,0.)); #513581=CARTESIAN_POINT('Origin',(39.244228,38.5969,0.)); #513582=CARTESIAN_POINT('',(39.244228,38.5969,0.)); #513583=CARTESIAN_POINT('',(39.244228,38.5969,0.)); #513584=CARTESIAN_POINT('',(39.244228,38.5969,0.035)); #513585=CARTESIAN_POINT('',(39.244228,38.5969,0.035)); #513586=CARTESIAN_POINT('',(39.244228,38.5969,0.)); #513587=CARTESIAN_POINT('Origin',(38.342153,38.5969,0.)); #513588=CARTESIAN_POINT('',(38.342153,38.5969,0.)); #513589=CARTESIAN_POINT('',(38.342153,38.5969,0.)); #513590=CARTESIAN_POINT('',(38.342153,38.5969,0.035)); #513591=CARTESIAN_POINT('',(38.342153,38.5969,0.035)); #513592=CARTESIAN_POINT('',(38.342153,38.5969,0.)); #513593=CARTESIAN_POINT('Origin',(38.315822,38.601594,0.)); #513594=CARTESIAN_POINT('',(38.315822,38.601594,0.)); #513595=CARTESIAN_POINT('',(38.315822,38.601594,0.)); #513596=CARTESIAN_POINT('',(38.315822,38.601594,0.035)); #513597=CARTESIAN_POINT('',(38.315822,38.601594,0.035)); #513598=CARTESIAN_POINT('',(38.315822,38.601594,0.)); #513599=CARTESIAN_POINT('Origin',(38.290016,38.617528,0.)); #513600=CARTESIAN_POINT('',(38.290016,38.617528,0.)); #513601=CARTESIAN_POINT('',(38.290016,38.617528,0.)); #513602=CARTESIAN_POINT('',(38.290016,38.617528,0.035)); #513603=CARTESIAN_POINT('',(38.290016,38.617528,0.035)); #513604=CARTESIAN_POINT('',(38.290016,38.617528,0.)); #513605=CARTESIAN_POINT('Origin',(38.272472,38.642266,0.)); #513606=CARTESIAN_POINT('',(38.272472,38.642266,0.)); #513607=CARTESIAN_POINT('',(38.272472,38.642266,0.)); #513608=CARTESIAN_POINT('',(38.272472,38.642266,0.035)); #513609=CARTESIAN_POINT('',(38.272472,38.642266,0.035)); #513610=CARTESIAN_POINT('',(38.272472,38.642266,0.)); #513611=CARTESIAN_POINT('Origin',(38.265963,38.671884,0.)); #513612=CARTESIAN_POINT('',(38.265963,38.671884,0.)); #513613=CARTESIAN_POINT('',(38.265963,38.671884,0.)); #513614=CARTESIAN_POINT('',(38.265963,38.671884,0.035)); #513615=CARTESIAN_POINT('',(38.265963,38.671884,0.035)); #513616=CARTESIAN_POINT('',(38.265963,38.671884,0.)); #513617=CARTESIAN_POINT('Origin',(38.271522,38.701697,0.)); #513618=CARTESIAN_POINT('',(38.271522,38.701697,0.)); #513619=CARTESIAN_POINT('',(38.271522,38.701697,0.)); #513620=CARTESIAN_POINT('',(38.271522,38.701697,0.035)); #513621=CARTESIAN_POINT('',(38.271522,38.701697,0.035)); #513622=CARTESIAN_POINT('',(38.271522,38.701697,0.)); #513623=CARTESIAN_POINT('Origin',(38.288272,38.726981,0.)); #513624=CARTESIAN_POINT('',(38.288272,38.726981,0.)); #513625=CARTESIAN_POINT('',(38.288272,38.726981,0.)); #513626=CARTESIAN_POINT('',(38.288272,38.726981,0.035)); #513627=CARTESIAN_POINT('',(38.288272,38.726981,0.035)); #513628=CARTESIAN_POINT('',(38.288272,38.726981,0.)); #513629=CARTESIAN_POINT('Origin',(42.310372,42.749081,0.)); #513630=CARTESIAN_POINT('',(42.310372,42.749081,0.)); #513631=CARTESIAN_POINT('',(42.310372,42.749081,0.)); #513632=CARTESIAN_POINT('',(42.310372,42.749081,0.035)); #513633=CARTESIAN_POINT('',(42.310372,42.749081,0.035)); #513634=CARTESIAN_POINT('',(42.310372,42.749081,0.)); #513635=CARTESIAN_POINT('Origin',(42.334531,42.765366,0.)); #513636=CARTESIAN_POINT('',(42.334531,42.765366,0.)); #513637=CARTESIAN_POINT('',(42.334531,42.765366,0.)); #513638=CARTESIAN_POINT('',(42.334531,42.765366,0.035)); #513639=CARTESIAN_POINT('',(42.334531,42.765366,0.035)); #513640=CARTESIAN_POINT('',(42.334531,42.765366,0.)); #513641=CARTESIAN_POINT('Origin',(42.339706,42.766416,0.)); #513642=CARTESIAN_POINT('',(42.339706,42.766416,0.)); #513643=CARTESIAN_POINT('',(42.339706,42.766416,0.)); #513644=CARTESIAN_POINT('',(42.339706,42.766416,0.035)); #513645=CARTESIAN_POINT('',(42.339706,42.766416,0.035)); #513646=CARTESIAN_POINT('',(42.339706,42.766416,0.)); #513647=CARTESIAN_POINT('Origin',(42.43095,42.857659,0.)); #513648=CARTESIAN_POINT('',(42.43095,42.857659,0.)); #513649=CARTESIAN_POINT('',(42.43095,42.857659,0.)); #513650=CARTESIAN_POINT('',(42.43095,42.857659,0.035)); #513651=CARTESIAN_POINT('',(42.43095,42.857659,0.035)); #513652=CARTESIAN_POINT('',(42.43095,42.857659,0.)); #513653=CARTESIAN_POINT('Origin',(42.453997,42.873459,0.)); #513654=CARTESIAN_POINT('',(42.453997,42.873459,0.)); #513655=CARTESIAN_POINT('',(42.453997,42.873459,0.)); #513656=CARTESIAN_POINT('',(42.453997,42.873459,0.035)); #513657=CARTESIAN_POINT('',(42.453997,42.873459,0.035)); #513658=CARTESIAN_POINT('',(42.453997,42.873459,0.)); #513659=CARTESIAN_POINT('Origin',(42.483616,42.879969,0.)); #513660=CARTESIAN_POINT('',(42.483616,42.879969,0.)); #513661=CARTESIAN_POINT('',(42.483616,42.879969,0.)); #513662=CARTESIAN_POINT('',(42.483616,42.879969,0.035)); #513663=CARTESIAN_POINT('',(42.483616,42.879969,0.035)); #513664=CARTESIAN_POINT('',(42.483616,42.879969,0.)); #513665=CARTESIAN_POINT('Origin',(42.513428,42.874409,0.)); #513666=CARTESIAN_POINT('',(42.513428,42.874409,0.)); #513667=CARTESIAN_POINT('',(42.513428,42.874409,0.)); #513668=CARTESIAN_POINT('',(42.513428,42.874409,0.035)); #513669=CARTESIAN_POINT('',(42.513428,42.874409,0.035)); #513670=CARTESIAN_POINT('',(42.513428,42.874409,0.)); #513671=CARTESIAN_POINT('Origin',(42.538713,42.857659,0.)); #513672=CARTESIAN_POINT('',(42.538713,42.857659,0.)); #513673=CARTESIAN_POINT('',(42.538713,42.857659,0.)); #513674=CARTESIAN_POINT('',(42.538713,42.857659,0.035)); #513675=CARTESIAN_POINT('',(42.538713,42.857659,0.035)); #513676=CARTESIAN_POINT('',(42.538713,42.857659,0.)); #513677=CARTESIAN_POINT('Origin',(43.380781,42.015591,0.)); #513678=CARTESIAN_POINT('',(43.380781,42.015591,0.)); #513679=CARTESIAN_POINT('',(43.380781,42.015591,0.)); #513680=CARTESIAN_POINT('',(43.380781,42.015591,0.035)); #513681=CARTESIAN_POINT('',(43.380781,42.015591,0.035)); #513682=CARTESIAN_POINT('',(43.380781,42.015591,0.)); #513683=CARTESIAN_POINT('Origin',(43.397066,41.991431,0.)); #513684=CARTESIAN_POINT('',(43.397066,41.991431,0.)); #513685=CARTESIAN_POINT('',(43.397066,41.991431,0.)); #513686=CARTESIAN_POINT('',(43.397066,41.991431,0.035)); #513687=CARTESIAN_POINT('',(43.397066,41.991431,0.035)); #513688=CARTESIAN_POINT('',(43.397066,41.991431,0.)); #513689=CARTESIAN_POINT('Origin',(43.4031,41.961709,0.)); #513690=CARTESIAN_POINT('',(43.4031,41.961709,0.)); #513691=CARTESIAN_POINT('',(43.4031,41.961709,0.)); #513692=CARTESIAN_POINT('',(43.4031,41.961709,0.035)); #513693=CARTESIAN_POINT('',(43.4031,41.961709,0.035)); #513694=CARTESIAN_POINT('',(43.4031,41.961709,0.)); #513695=CARTESIAN_POINT('Origin',(43.4031,41.279772,0.)); #513696=CARTESIAN_POINT('',(43.4031,41.279772,0.)); #513697=CARTESIAN_POINT('',(43.4031,41.279772,0.)); #513698=CARTESIAN_POINT('',(43.4031,41.279772,0.035)); #513699=CARTESIAN_POINT('',(43.4031,41.279772,0.035)); #513700=CARTESIAN_POINT('',(43.4031,41.279772,0.)); #513701=CARTESIAN_POINT('Origin',(43.492372,41.1905,0.)); #513702=CARTESIAN_POINT('',(43.492372,41.1905,0.)); #513703=CARTESIAN_POINT('',(43.492372,41.1905,0.)); #513704=CARTESIAN_POINT('',(43.492372,41.1905,0.035)); #513705=CARTESIAN_POINT('',(43.492372,41.1905,0.035)); #513706=CARTESIAN_POINT('',(43.492372,41.1905,0.)); #513707=CARTESIAN_POINT('Origin',(44.507628,41.1905,0.)); #513708=CARTESIAN_POINT('',(44.507628,41.1905,0.)); #513709=CARTESIAN_POINT('',(44.507628,41.1905,0.)); #513710=CARTESIAN_POINT('',(44.507628,41.1905,0.035)); #513711=CARTESIAN_POINT('',(44.507628,41.1905,0.035)); #513712=CARTESIAN_POINT('',(44.507628,41.1905,0.)); #513713=CARTESIAN_POINT('Origin',(44.5969,41.279772,0.)); #513714=CARTESIAN_POINT('',(44.5969,41.279772,0.)); #513715=CARTESIAN_POINT('',(44.5969,41.279772,0.)); #513716=CARTESIAN_POINT('',(44.5969,41.279772,0.035)); #513717=CARTESIAN_POINT('',(44.5969,41.279772,0.035)); #513718=CARTESIAN_POINT('',(44.5969,41.279772,0.)); #513719=CARTESIAN_POINT('Origin',(44.5969,42.1952,0.)); #513720=CARTESIAN_POINT('',(44.5969,42.1952,0.)); #513721=CARTESIAN_POINT('',(44.5969,42.1952,0.)); #513722=CARTESIAN_POINT('',(44.5969,42.1952,0.035)); #513723=CARTESIAN_POINT('',(44.5969,42.1952,0.035)); #513724=CARTESIAN_POINT('',(44.5969,42.1952,0.)); #513725=CARTESIAN_POINT('Origin',(44.602022,42.222669,0.)); #513726=CARTESIAN_POINT('',(44.602022,42.222669,0.)); #513727=CARTESIAN_POINT('',(44.602022,42.222669,0.)); #513728=CARTESIAN_POINT('',(44.602022,42.222669,0.035)); #513729=CARTESIAN_POINT('',(44.602022,42.222669,0.035)); #513730=CARTESIAN_POINT('',(44.602022,42.222669,0.)); #513731=CARTESIAN_POINT('Origin',(44.618366,42.248216,0.)); #513732=CARTESIAN_POINT('',(44.618366,42.248216,0.)); #513733=CARTESIAN_POINT('',(44.618366,42.248216,0.)); #513734=CARTESIAN_POINT('',(44.618366,42.248216,0.035)); #513735=CARTESIAN_POINT('',(44.618366,42.248216,0.035)); #513736=CARTESIAN_POINT('',(44.618366,42.248216,0.)); #513737=CARTESIAN_POINT('Origin',(44.643378,42.265366,0.)); #513738=CARTESIAN_POINT('',(44.643378,42.265366,0.)); #513739=CARTESIAN_POINT('',(44.643378,42.265366,0.)); #513740=CARTESIAN_POINT('',(44.643378,42.265366,0.035)); #513741=CARTESIAN_POINT('',(44.643378,42.265366,0.035)); #513742=CARTESIAN_POINT('',(44.643378,42.265366,0.)); #513743=CARTESIAN_POINT('Origin',(44.6731,42.2714,0.)); #513744=CARTESIAN_POINT('',(44.6731,42.2714,0.)); #513745=CARTESIAN_POINT('',(44.6731,42.2714,0.)); #513746=CARTESIAN_POINT('',(44.6731,42.2714,0.035)); #513747=CARTESIAN_POINT('',(44.6731,42.2714,0.035)); #513748=CARTESIAN_POINT('',(44.6731,42.2714,0.)); #513749=CARTESIAN_POINT('Origin',(47.135747,42.2714,0.)); #513750=CARTESIAN_POINT('',(47.135747,42.2714,0.)); #513751=CARTESIAN_POINT('',(47.135747,42.2714,0.)); #513752=CARTESIAN_POINT('',(47.135747,42.2714,0.035)); #513753=CARTESIAN_POINT('',(47.135747,42.2714,0.035)); #513754=CARTESIAN_POINT('',(47.135747,42.2714,0.)); #513755=CARTESIAN_POINT('Origin',(47.164344,42.265831,0.)); #513756=CARTESIAN_POINT('',(47.164344,42.265831,0.)); #513757=CARTESIAN_POINT('',(47.164344,42.265831,0.)); #513758=CARTESIAN_POINT('',(47.164344,42.265831,0.035)); #513759=CARTESIAN_POINT('',(47.164344,42.265831,0.035)); #513760=CARTESIAN_POINT('',(47.164344,42.265831,0.)); #513761=CARTESIAN_POINT('Origin',(47.189628,42.249081,0.)); #513762=CARTESIAN_POINT('',(47.189628,42.249081,0.)); #513763=CARTESIAN_POINT('',(47.189628,42.249081,0.)); #513764=CARTESIAN_POINT('',(47.189628,42.249081,0.035)); #513765=CARTESIAN_POINT('',(47.189628,42.249081,0.035)); #513766=CARTESIAN_POINT('',(47.189628,42.249081,0.)); #513767=CARTESIAN_POINT('Origin',(47.380781,42.057928,0.)); #513768=CARTESIAN_POINT('',(47.380781,42.057928,0.)); #513769=CARTESIAN_POINT('',(47.380781,42.057928,0.)); #513770=CARTESIAN_POINT('',(47.380781,42.057928,0.035)); #513771=CARTESIAN_POINT('',(47.380781,42.057928,0.035)); #513772=CARTESIAN_POINT('',(47.380781,42.057928,0.)); #513773=CARTESIAN_POINT('Origin',(47.397066,42.033769,0.)); #513774=CARTESIAN_POINT('',(47.397066,42.033769,0.)); #513775=CARTESIAN_POINT('',(47.397066,42.033769,0.)); #513776=CARTESIAN_POINT('',(47.397066,42.033769,0.035)); #513777=CARTESIAN_POINT('',(47.397066,42.033769,0.035)); #513778=CARTESIAN_POINT('',(47.397066,42.033769,0.)); #513779=CARTESIAN_POINT('Origin',(47.4031,42.004047,0.)); #513780=CARTESIAN_POINT('',(47.4031,42.004047,0.)); #513781=CARTESIAN_POINT('',(47.4031,42.004047,0.)); #513782=CARTESIAN_POINT('',(47.4031,42.004047,0.035)); #513783=CARTESIAN_POINT('',(47.4031,42.004047,0.035)); #513784=CARTESIAN_POINT('',(47.4031,42.004047,0.)); #513785=CARTESIAN_POINT('Origin',(47.4031,41.279772,0.)); #513786=CARTESIAN_POINT('',(47.4031,41.279772,0.)); #513787=CARTESIAN_POINT('',(47.4031,41.279772,0.)); #513788=CARTESIAN_POINT('',(47.4031,41.279772,0.035)); #513789=CARTESIAN_POINT('',(47.4031,41.279772,0.035)); #513790=CARTESIAN_POINT('',(47.4031,41.279772,0.)); #513791=CARTESIAN_POINT('Origin',(47.492372,41.1905,0.)); #513792=CARTESIAN_POINT('',(47.492372,41.1905,0.)); #513793=CARTESIAN_POINT('',(47.492372,41.1905,0.)); #513794=CARTESIAN_POINT('',(47.492372,41.1905,0.035)); #513795=CARTESIAN_POINT('',(47.492372,41.1905,0.035)); #513796=CARTESIAN_POINT('',(47.492372,41.1905,0.)); #513797=CARTESIAN_POINT('Origin',(48.4452,41.1905,0.)); #513798=CARTESIAN_POINT('',(48.4452,41.1905,0.)); #513799=CARTESIAN_POINT('',(48.4452,41.1905,0.)); #513800=CARTESIAN_POINT('',(48.4452,41.1905,0.035)); #513801=CARTESIAN_POINT('',(48.4452,41.1905,0.035)); #513802=CARTESIAN_POINT('',(48.4452,41.1905,0.)); #513803=CARTESIAN_POINT('Origin',(48.472669,41.185378,0.)); #513804=CARTESIAN_POINT('',(48.472669,41.185378,0.)); #513805=CARTESIAN_POINT('',(48.472669,41.185378,0.)); #513806=CARTESIAN_POINT('',(48.472669,41.185378,0.035)); #513807=CARTESIAN_POINT('',(48.472669,41.185378,0.035)); #513808=CARTESIAN_POINT('',(48.472669,41.185378,0.)); #513809=CARTESIAN_POINT('Origin',(48.498216,41.169034,0.)); #513810=CARTESIAN_POINT('',(48.498216,41.169034,0.)); #513811=CARTESIAN_POINT('',(48.498216,41.169034,0.)); #513812=CARTESIAN_POINT('',(48.498216,41.169034,0.035)); #513813=CARTESIAN_POINT('',(48.498216,41.169034,0.035)); #513814=CARTESIAN_POINT('',(48.498216,41.169034,0.)); #513815=CARTESIAN_POINT('Origin',(48.515366,41.144022,0.)); #513816=CARTESIAN_POINT('',(48.515366,41.144022,0.)); #513817=CARTESIAN_POINT('',(48.515366,41.144022,0.)); #513818=CARTESIAN_POINT('',(48.515366,41.144022,0.035)); #513819=CARTESIAN_POINT('',(48.515366,41.144022,0.035)); #513820=CARTESIAN_POINT('',(48.515366,41.144022,0.)); #513821=CARTESIAN_POINT('Origin',(48.5214,41.1143,0.)); #513822=CARTESIAN_POINT('',(48.5214,41.1143,0.)); #513823=CARTESIAN_POINT('',(48.5214,41.1143,0.)); #513824=CARTESIAN_POINT('',(48.5214,41.1143,0.035)); #513825=CARTESIAN_POINT('',(48.5214,41.1143,0.035)); #513826=CARTESIAN_POINT('',(48.5214,41.1143,0.)); #513827=CARTESIAN_POINT('Origin',(48.5214,40.8857,0.)); #513828=CARTESIAN_POINT('',(48.5214,40.8857,0.)); #513829=CARTESIAN_POINT('',(48.5214,40.8857,0.)); #513830=CARTESIAN_POINT('',(48.5214,40.8857,0.035)); #513831=CARTESIAN_POINT('',(48.5214,40.8857,0.035)); #513832=CARTESIAN_POINT('',(48.5214,40.8857,0.)); #513833=CARTESIAN_POINT('Origin',(48.516278,40.858231,0.)); #513834=CARTESIAN_POINT('',(48.516278,40.858231,0.)); #513835=CARTESIAN_POINT('',(48.516278,40.858231,0.)); #513836=CARTESIAN_POINT('',(48.516278,40.858231,0.035)); #513837=CARTESIAN_POINT('',(48.516278,40.858231,0.035)); #513838=CARTESIAN_POINT('',(48.516278,40.858231,0.)); #513839=CARTESIAN_POINT('Origin',(48.499934,40.832684,0.)); #513840=CARTESIAN_POINT('',(48.499934,40.832684,0.)); #513841=CARTESIAN_POINT('',(48.499934,40.832684,0.)); #513842=CARTESIAN_POINT('',(48.499934,40.832684,0.035)); #513843=CARTESIAN_POINT('',(48.499934,40.832684,0.035)); #513844=CARTESIAN_POINT('',(48.499934,40.832684,0.)); #513845=CARTESIAN_POINT('Origin',(48.474922,40.815534,0.)); #513846=CARTESIAN_POINT('',(48.474922,40.815534,0.)); #513847=CARTESIAN_POINT('',(48.474922,40.815534,0.)); #513848=CARTESIAN_POINT('',(48.474922,40.815534,0.035)); #513849=CARTESIAN_POINT('',(48.474922,40.815534,0.035)); #513850=CARTESIAN_POINT('',(48.474922,40.815534,0.)); #513851=CARTESIAN_POINT('Origin',(48.4452,40.8095,0.)); #513852=CARTESIAN_POINT('',(48.4452,40.8095,0.)); #513853=CARTESIAN_POINT('',(48.4452,40.8095,0.)); #513854=CARTESIAN_POINT('',(48.4452,40.8095,0.035)); #513855=CARTESIAN_POINT('',(48.4452,40.8095,0.035)); #513856=CARTESIAN_POINT('',(48.4452,40.8095,0.)); #513857=CARTESIAN_POINT('Origin',(47.492372,40.8095,0.)); #513858=CARTESIAN_POINT('',(47.492372,40.8095,0.)); #513859=CARTESIAN_POINT('',(47.492372,40.8095,0.)); #513860=CARTESIAN_POINT('',(47.492372,40.8095,0.035)); #513861=CARTESIAN_POINT('',(47.492372,40.8095,0.035)); #513862=CARTESIAN_POINT('',(47.492372,40.8095,0.)); #513863=CARTESIAN_POINT('Origin',(47.4031,40.720228,0.)); #513864=CARTESIAN_POINT('',(47.4031,40.720228,0.)); #513865=CARTESIAN_POINT('',(47.4031,40.720228,0.)); #513866=CARTESIAN_POINT('',(47.4031,40.720228,0.035)); #513867=CARTESIAN_POINT('',(47.4031,40.720228,0.035)); #513868=CARTESIAN_POINT('',(47.4031,40.720228,0.)); #513869=CARTESIAN_POINT('Origin',(47.4031,39.995953,0.)); #513870=CARTESIAN_POINT('',(47.4031,39.995953,0.)); #513871=CARTESIAN_POINT('',(47.4031,39.995953,0.)); #513872=CARTESIAN_POINT('',(47.4031,39.995953,0.035)); #513873=CARTESIAN_POINT('',(47.4031,39.995953,0.035)); #513874=CARTESIAN_POINT('',(47.4031,39.995953,0.)); #513875=CARTESIAN_POINT('Origin',(47.397531,39.967356,0.)); #513876=CARTESIAN_POINT('',(47.397531,39.967356,0.)); #513877=CARTESIAN_POINT('',(47.397531,39.967356,0.)); #513878=CARTESIAN_POINT('',(47.397531,39.967356,0.035)); #513879=CARTESIAN_POINT('',(47.397531,39.967356,0.035)); #513880=CARTESIAN_POINT('',(47.397531,39.967356,0.)); #513881=CARTESIAN_POINT('Origin',(47.380781,39.942072,0.)); #513882=CARTESIAN_POINT('',(47.380781,39.942072,0.)); #513883=CARTESIAN_POINT('',(47.380781,39.942072,0.)); #513884=CARTESIAN_POINT('',(47.380781,39.942072,0.035)); #513885=CARTESIAN_POINT('',(47.380781,39.942072,0.035)); #513886=CARTESIAN_POINT('',(47.380781,39.942072,0.)); #513887=CARTESIAN_POINT('Origin',(47.177628,39.738919,0.)); #513888=CARTESIAN_POINT('',(47.177628,39.738919,0.)); #513889=CARTESIAN_POINT('',(47.177628,39.738919,0.)); #513890=CARTESIAN_POINT('',(47.177628,39.738919,0.035)); #513891=CARTESIAN_POINT('',(47.177628,39.738919,0.035)); #513892=CARTESIAN_POINT('',(47.177628,39.738919,0.)); #513893=CARTESIAN_POINT('Origin',(47.153469,39.722634,0.)); #513894=CARTESIAN_POINT('',(47.153469,39.722634,0.)); #513895=CARTESIAN_POINT('',(47.153469,39.722634,0.)); #513896=CARTESIAN_POINT('',(47.153469,39.722634,0.035)); #513897=CARTESIAN_POINT('',(47.153469,39.722634,0.035)); #513898=CARTESIAN_POINT('',(47.153469,39.722634,0.)); #513899=CARTESIAN_POINT('Origin',(47.123747,39.7166,0.)); #513900=CARTESIAN_POINT('',(47.123747,39.7166,0.)); #513901=CARTESIAN_POINT('',(47.123747,39.7166,0.)); #513902=CARTESIAN_POINT('',(47.123747,39.7166,0.035)); #513903=CARTESIAN_POINT('',(47.123747,39.7166,0.035)); #513904=CARTESIAN_POINT('',(47.123747,39.7166,0.)); #513905=CARTESIAN_POINT('Origin',(44.6731,39.7166,0.)); #513906=CARTESIAN_POINT('',(44.6731,39.7166,0.)); #513907=CARTESIAN_POINT('',(44.6731,39.7166,0.)); #513908=CARTESIAN_POINT('',(44.6731,39.7166,0.035)); #513909=CARTESIAN_POINT('',(44.6731,39.7166,0.035)); #513910=CARTESIAN_POINT('',(44.6731,39.7166,0.)); #513911=CARTESIAN_POINT('Origin',(44.645631,39.721722,0.)); #513912=CARTESIAN_POINT('',(44.645631,39.721722,0.)); #513913=CARTESIAN_POINT('',(44.645631,39.721722,0.)); #513914=CARTESIAN_POINT('',(44.645631,39.721722,0.035)); #513915=CARTESIAN_POINT('',(44.645631,39.721722,0.035)); #513916=CARTESIAN_POINT('',(44.645631,39.721722,0.)); #513917=CARTESIAN_POINT('Origin',(44.620084,39.738066,0.)); #513918=CARTESIAN_POINT('',(44.620084,39.738066,0.)); #513919=CARTESIAN_POINT('',(44.620084,39.738066,0.)); #513920=CARTESIAN_POINT('',(44.620084,39.738066,0.035)); #513921=CARTESIAN_POINT('',(44.620084,39.738066,0.035)); #513922=CARTESIAN_POINT('',(44.620084,39.738066,0.)); #513923=CARTESIAN_POINT('Origin',(44.602934,39.763078,0.)); #513924=CARTESIAN_POINT('',(44.602934,39.763078,0.)); #513925=CARTESIAN_POINT('',(44.602934,39.763078,0.)); #513926=CARTESIAN_POINT('',(44.602934,39.763078,0.035)); #513927=CARTESIAN_POINT('',(44.602934,39.763078,0.035)); #513928=CARTESIAN_POINT('',(44.602934,39.763078,0.)); #513929=CARTESIAN_POINT('Origin',(44.5969,39.7928,0.)); #513930=CARTESIAN_POINT('',(44.5969,39.7928,0.)); #513931=CARTESIAN_POINT('',(44.5969,39.7928,0.)); #513932=CARTESIAN_POINT('',(44.5969,39.7928,0.035)); #513933=CARTESIAN_POINT('',(44.5969,39.7928,0.035)); #513934=CARTESIAN_POINT('',(44.5969,39.7928,0.)); #513935=CARTESIAN_POINT('Origin',(44.5969,40.720228,0.)); #513936=CARTESIAN_POINT('',(44.5969,40.720228,0.)); #513937=CARTESIAN_POINT('',(44.5969,40.720228,0.)); #513938=CARTESIAN_POINT('',(44.5969,40.720228,0.035)); #513939=CARTESIAN_POINT('',(44.5969,40.720228,0.035)); #513940=CARTESIAN_POINT('',(44.5969,40.720228,0.)); #513941=CARTESIAN_POINT('Origin',(44.507628,40.8095,0.)); #513942=CARTESIAN_POINT('',(44.507628,40.8095,0.)); #513943=CARTESIAN_POINT('',(44.507628,40.8095,0.)); #513944=CARTESIAN_POINT('',(44.507628,40.8095,0.035)); #513945=CARTESIAN_POINT('',(44.507628,40.8095,0.035)); #513946=CARTESIAN_POINT('',(44.507628,40.8095,0.)); #513947=CARTESIAN_POINT('Origin',(43.492372,40.8095,0.)); #513948=CARTESIAN_POINT('',(43.492372,40.8095,0.)); #513949=CARTESIAN_POINT('',(43.492372,40.8095,0.)); #513950=CARTESIAN_POINT('',(43.492372,40.8095,0.035)); #513951=CARTESIAN_POINT('',(43.492372,40.8095,0.035)); #513952=CARTESIAN_POINT('',(43.492372,40.8095,0.)); #513953=CARTESIAN_POINT('Origin',(43.4031,40.720228,0.)); #513954=CARTESIAN_POINT('',(43.4031,40.720228,0.)); #513955=CARTESIAN_POINT('',(43.4031,40.720228,0.)); #513956=CARTESIAN_POINT('',(43.4031,40.720228,0.035)); #513957=CARTESIAN_POINT('',(43.4031,40.720228,0.035)); #513958=CARTESIAN_POINT('',(43.4031,40.720228,0.)); #513959=CARTESIAN_POINT('Origin',(43.4031,39.977616,0.)); #513960=CARTESIAN_POINT('',(43.4031,39.977616,0.)); #513961=CARTESIAN_POINT('',(43.4031,39.977616,0.)); #513962=CARTESIAN_POINT('',(43.4031,39.977616,0.035)); #513963=CARTESIAN_POINT('',(43.4031,39.977616,0.035)); #513964=CARTESIAN_POINT('',(43.4031,39.977616,0.)); #513965=CARTESIAN_POINT('Origin',(43.397531,39.949019,0.)); #513966=CARTESIAN_POINT('',(43.397531,39.949019,0.)); #513967=CARTESIAN_POINT('',(43.397531,39.949019,0.)); #513968=CARTESIAN_POINT('',(43.397531,39.949019,0.035)); #513969=CARTESIAN_POINT('',(43.397531,39.949019,0.035)); #513970=CARTESIAN_POINT('',(43.397531,39.949019,0.)); #513971=CARTESIAN_POINT('Origin',(43.380781,39.923734,0.)); #513972=CARTESIAN_POINT('',(43.380781,39.923734,0.)); #513973=CARTESIAN_POINT('',(43.380781,39.923734,0.)); #513974=CARTESIAN_POINT('',(43.380781,39.923734,0.035)); #513975=CARTESIAN_POINT('',(43.380781,39.923734,0.035)); #513976=CARTESIAN_POINT('',(43.380781,39.923734,0.)); #513977=CARTESIAN_POINT('Origin',(40.457966,37.000919,0.)); #513978=CARTESIAN_POINT('',(40.457966,37.000919,0.)); #513979=CARTESIAN_POINT('',(40.457966,37.000919,0.)); #513980=CARTESIAN_POINT('',(40.457966,37.000919,0.035)); #513981=CARTESIAN_POINT('',(40.457966,37.000919,0.035)); #513982=CARTESIAN_POINT('',(40.457966,37.000919,0.)); #513983=CARTESIAN_POINT('Origin',(40.433806,36.984634,0.)); #513984=CARTESIAN_POINT('',(40.433806,36.984634,0.)); #513985=CARTESIAN_POINT('',(40.433806,36.984634,0.035)); #513986=CARTESIAN_POINT('Origin',(43.074845476427,40.1334671705209,0.035)); #513987=CARTESIAN_POINT('Origin',(43.074845476427,40.1334671705209,0.)); #513988=CARTESIAN_POINT('Origin',(38.123747,16.4786,0.)); #513989=CARTESIAN_POINT('',(38.123747,16.4786,0.)); #513990=CARTESIAN_POINT('',(38.153469,16.484634,0.)); #513991=CARTESIAN_POINT('',(38.123747,16.4786,0.)); #513992=CARTESIAN_POINT('',(38.153469,16.484634,0.035)); #513993=CARTESIAN_POINT('',(38.153469,16.484634,0.)); #513994=CARTESIAN_POINT('',(38.123747,16.4786,0.035)); #513995=CARTESIAN_POINT('',(38.123747,16.4786,0.035)); #513996=CARTESIAN_POINT('',(38.123747,16.4786,0.)); #513997=CARTESIAN_POINT('Origin',(37.9411,16.4786,0.)); #513998=CARTESIAN_POINT('',(37.9411,16.4786,0.)); #513999=CARTESIAN_POINT('',(37.9411,16.4786,0.)); #514000=CARTESIAN_POINT('',(37.9411,16.4786,0.035)); #514001=CARTESIAN_POINT('',(37.9411,16.4786,0.035)); #514002=CARTESIAN_POINT('',(37.9411,16.4786,0.)); #514003=CARTESIAN_POINT('Origin',(37.913631,16.483722,0.)); #514004=CARTESIAN_POINT('',(37.913631,16.483722,0.)); #514005=CARTESIAN_POINT('',(37.913631,16.483722,0.)); #514006=CARTESIAN_POINT('',(37.913631,16.483722,0.035)); #514007=CARTESIAN_POINT('',(37.913631,16.483722,0.035)); #514008=CARTESIAN_POINT('',(37.913631,16.483722,0.)); #514009=CARTESIAN_POINT('Origin',(37.888084,16.500066,0.)); #514010=CARTESIAN_POINT('',(37.888084,16.500066,0.)); #514011=CARTESIAN_POINT('',(37.888084,16.500066,0.)); #514012=CARTESIAN_POINT('',(37.888084,16.500066,0.035)); #514013=CARTESIAN_POINT('',(37.888084,16.500066,0.035)); #514014=CARTESIAN_POINT('',(37.888084,16.500066,0.)); #514015=CARTESIAN_POINT('Origin',(37.870934,16.525078,0.)); #514016=CARTESIAN_POINT('',(37.870934,16.525078,0.)); #514017=CARTESIAN_POINT('',(37.870934,16.525078,0.)); #514018=CARTESIAN_POINT('',(37.870934,16.525078,0.035)); #514019=CARTESIAN_POINT('',(37.870934,16.525078,0.035)); #514020=CARTESIAN_POINT('',(37.870934,16.525078,0.)); #514021=CARTESIAN_POINT('Origin',(37.8649,16.5548,0.)); #514022=CARTESIAN_POINT('',(37.8649,16.5548,0.)); #514023=CARTESIAN_POINT('',(37.8649,16.5548,0.)); #514024=CARTESIAN_POINT('',(37.8649,16.5548,0.035)); #514025=CARTESIAN_POINT('',(37.8649,16.5548,0.035)); #514026=CARTESIAN_POINT('',(37.8649,16.5548,0.)); #514027=CARTESIAN_POINT('Origin',(37.8649,16.952697,0.)); #514028=CARTESIAN_POINT('',(37.8649,16.952697,0.)); #514029=CARTESIAN_POINT('',(37.8649,16.952697,0.)); #514030=CARTESIAN_POINT('',(37.8649,16.952697,0.035)); #514031=CARTESIAN_POINT('',(37.8649,16.952697,0.035)); #514032=CARTESIAN_POINT('',(37.8649,16.952697,0.)); #514033=CARTESIAN_POINT('Origin',(37.853609,16.969166,0.)); #514034=CARTESIAN_POINT('',(37.853609,16.969166,0.)); #514035=CARTESIAN_POINT('',(37.853609,16.969166,0.)); #514036=CARTESIAN_POINT('',(37.853609,16.969166,0.035)); #514037=CARTESIAN_POINT('',(37.853609,16.969166,0.035)); #514038=CARTESIAN_POINT('',(37.853609,16.969166,0.)); #514039=CARTESIAN_POINT('Origin',(37.8471,16.998784,0.)); #514040=CARTESIAN_POINT('',(37.8471,16.998784,0.)); #514041=CARTESIAN_POINT('',(37.8471,16.998784,0.)); #514042=CARTESIAN_POINT('',(37.8471,16.998784,0.035)); #514043=CARTESIAN_POINT('',(37.8471,16.998784,0.035)); #514044=CARTESIAN_POINT('',(37.8471,16.998784,0.)); #514045=CARTESIAN_POINT('Origin',(37.852659,17.028597,0.)); #514046=CARTESIAN_POINT('',(37.852659,17.028597,0.)); #514047=CARTESIAN_POINT('',(37.852659,17.028597,0.)); #514048=CARTESIAN_POINT('',(37.852659,17.028597,0.035)); #514049=CARTESIAN_POINT('',(37.852659,17.028597,0.035)); #514050=CARTESIAN_POINT('',(37.852659,17.028597,0.)); #514051=CARTESIAN_POINT('Origin',(37.8649,17.047075,0.)); #514052=CARTESIAN_POINT('',(37.8649,17.047075,0.)); #514053=CARTESIAN_POINT('',(37.8649,17.047075,0.)); #514054=CARTESIAN_POINT('',(37.8649,17.047075,0.035)); #514055=CARTESIAN_POINT('',(37.8649,17.047075,0.035)); #514056=CARTESIAN_POINT('',(37.8649,17.047075,0.)); #514057=CARTESIAN_POINT('Origin',(37.8649,17.4452,0.)); #514058=CARTESIAN_POINT('',(37.8649,17.4452,0.)); #514059=CARTESIAN_POINT('',(37.8649,17.4452,0.)); #514060=CARTESIAN_POINT('',(37.8649,17.4452,0.035)); #514061=CARTESIAN_POINT('',(37.8649,17.4452,0.035)); #514062=CARTESIAN_POINT('',(37.8649,17.4452,0.)); #514063=CARTESIAN_POINT('Origin',(37.870022,17.472669,0.)); #514064=CARTESIAN_POINT('',(37.870022,17.472669,0.)); #514065=CARTESIAN_POINT('',(37.870022,17.472669,0.)); #514066=CARTESIAN_POINT('',(37.870022,17.472669,0.035)); #514067=CARTESIAN_POINT('',(37.870022,17.472669,0.035)); #514068=CARTESIAN_POINT('',(37.870022,17.472669,0.)); #514069=CARTESIAN_POINT('Origin',(37.886366,17.498216,0.)); #514070=CARTESIAN_POINT('',(37.886366,17.498216,0.)); #514071=CARTESIAN_POINT('',(37.886366,17.498216,0.)); #514072=CARTESIAN_POINT('',(37.886366,17.498216,0.035)); #514073=CARTESIAN_POINT('',(37.886366,17.498216,0.035)); #514074=CARTESIAN_POINT('',(37.886366,17.498216,0.)); #514075=CARTESIAN_POINT('Origin',(37.911378,17.515366,0.)); #514076=CARTESIAN_POINT('',(37.911378,17.515366,0.)); #514077=CARTESIAN_POINT('',(37.911378,17.515366,0.)); #514078=CARTESIAN_POINT('',(37.911378,17.515366,0.035)); #514079=CARTESIAN_POINT('',(37.911378,17.515366,0.035)); #514080=CARTESIAN_POINT('',(37.911378,17.515366,0.)); #514081=CARTESIAN_POINT('Origin',(37.9411,17.5214,0.)); #514082=CARTESIAN_POINT('',(37.9411,17.5214,0.)); #514083=CARTESIAN_POINT('',(37.9411,17.5214,0.)); #514084=CARTESIAN_POINT('',(37.9411,17.5214,0.035)); #514085=CARTESIAN_POINT('',(37.9411,17.5214,0.035)); #514086=CARTESIAN_POINT('',(37.9411,17.5214,0.)); #514087=CARTESIAN_POINT('Origin',(38.123747,17.5214,0.)); #514088=CARTESIAN_POINT('',(38.123747,17.5214,0.)); #514089=CARTESIAN_POINT('',(38.123747,17.5214,0.)); #514090=CARTESIAN_POINT('',(38.123747,17.5214,0.035)); #514091=CARTESIAN_POINT('',(38.123747,17.5214,0.035)); #514092=CARTESIAN_POINT('',(38.123747,17.5214,0.)); #514093=CARTESIAN_POINT('Origin',(38.152344,17.515831,0.)); #514094=CARTESIAN_POINT('',(38.152344,17.515831,0.)); #514095=CARTESIAN_POINT('',(38.152344,17.515831,0.)); #514096=CARTESIAN_POINT('',(38.152344,17.515831,0.035)); #514097=CARTESIAN_POINT('',(38.152344,17.515831,0.035)); #514098=CARTESIAN_POINT('',(38.152344,17.515831,0.)); #514099=CARTESIAN_POINT('Origin',(38.177628,17.499081,0.)); #514100=CARTESIAN_POINT('',(38.177628,17.499081,0.)); #514101=CARTESIAN_POINT('',(38.177628,17.499081,0.)); #514102=CARTESIAN_POINT('',(38.177628,17.499081,0.035)); #514103=CARTESIAN_POINT('',(38.177628,17.499081,0.035)); #514104=CARTESIAN_POINT('',(38.177628,17.499081,0.)); #514105=CARTESIAN_POINT('Origin',(38.405309,17.2714,0.)); #514106=CARTESIAN_POINT('',(38.405309,17.2714,0.)); #514107=CARTESIAN_POINT('',(38.405309,17.2714,0.)); #514108=CARTESIAN_POINT('',(38.405309,17.2714,0.035)); #514109=CARTESIAN_POINT('',(38.405309,17.2714,0.035)); #514110=CARTESIAN_POINT('',(38.405309,17.2714,0.)); #514111=CARTESIAN_POINT('Origin',(38.842603,17.2714,0.)); #514112=CARTESIAN_POINT('',(38.842603,17.2714,0.)); #514113=CARTESIAN_POINT('',(38.842603,17.2714,0.)); #514114=CARTESIAN_POINT('',(38.842603,17.2714,0.035)); #514115=CARTESIAN_POINT('',(38.842603,17.2714,0.035)); #514116=CARTESIAN_POINT('',(38.842603,17.2714,0.)); #514117=CARTESIAN_POINT('Origin',(38.8712,17.265831,0.)); #514118=CARTESIAN_POINT('',(38.8712,17.265831,0.)); #514119=CARTESIAN_POINT('',(38.8712,17.265831,0.)); #514120=CARTESIAN_POINT('',(38.8712,17.265831,0.035)); #514121=CARTESIAN_POINT('',(38.8712,17.265831,0.035)); #514122=CARTESIAN_POINT('',(38.8712,17.265831,0.)); #514123=CARTESIAN_POINT('Origin',(38.896484,17.249081,0.)); #514124=CARTESIAN_POINT('',(38.896484,17.249081,0.)); #514125=CARTESIAN_POINT('',(38.896484,17.249081,0.)); #514126=CARTESIAN_POINT('',(38.896484,17.249081,0.035)); #514127=CARTESIAN_POINT('',(38.896484,17.249081,0.035)); #514128=CARTESIAN_POINT('',(38.896484,17.249081,0.)); #514129=CARTESIAN_POINT('Origin',(39.091684,17.053881,0.)); #514130=CARTESIAN_POINT('',(39.091684,17.053881,0.)); #514131=CARTESIAN_POINT('',(39.091684,17.053881,0.)); #514132=CARTESIAN_POINT('',(39.091684,17.053881,0.035)); #514133=CARTESIAN_POINT('',(39.091684,17.053881,0.035)); #514134=CARTESIAN_POINT('',(39.091684,17.053881,0.)); #514135=CARTESIAN_POINT('Origin',(39.107484,17.030834,0.)); #514136=CARTESIAN_POINT('',(39.107484,17.030834,0.)); #514137=CARTESIAN_POINT('',(39.107484,17.030834,0.)); #514138=CARTESIAN_POINT('',(39.107484,17.030834,0.035)); #514139=CARTESIAN_POINT('',(39.107484,17.030834,0.035)); #514140=CARTESIAN_POINT('',(39.107484,17.030834,0.)); #514141=CARTESIAN_POINT('Origin',(39.113994,17.001216,0.)); #514142=CARTESIAN_POINT('',(39.113994,17.001216,0.)); #514143=CARTESIAN_POINT('',(39.113994,17.001216,0.)); #514144=CARTESIAN_POINT('',(39.113994,17.001216,0.035)); #514145=CARTESIAN_POINT('',(39.113994,17.001216,0.035)); #514146=CARTESIAN_POINT('',(39.113994,17.001216,0.)); #514147=CARTESIAN_POINT('Origin',(39.108434,16.971403,0.)); #514148=CARTESIAN_POINT('',(39.108434,16.971403,0.)); #514149=CARTESIAN_POINT('',(39.108434,16.971403,0.)); #514150=CARTESIAN_POINT('',(39.108434,16.971403,0.035)); #514151=CARTESIAN_POINT('',(39.108434,16.971403,0.035)); #514152=CARTESIAN_POINT('',(39.108434,16.971403,0.)); #514153=CARTESIAN_POINT('Origin',(39.091684,16.946119,0.)); #514154=CARTESIAN_POINT('',(39.091684,16.946119,0.)); #514155=CARTESIAN_POINT('',(39.091684,16.946119,0.)); #514156=CARTESIAN_POINT('',(39.091684,16.946119,0.035)); #514157=CARTESIAN_POINT('',(39.091684,16.946119,0.035)); #514158=CARTESIAN_POINT('',(39.091684,16.946119,0.)); #514159=CARTESIAN_POINT('Origin',(38.896484,16.750919,0.)); #514160=CARTESIAN_POINT('',(38.896484,16.750919,0.)); #514161=CARTESIAN_POINT('',(38.896484,16.750919,0.)); #514162=CARTESIAN_POINT('',(38.896484,16.750919,0.035)); #514163=CARTESIAN_POINT('',(38.896484,16.750919,0.035)); #514164=CARTESIAN_POINT('',(38.896484,16.750919,0.)); #514165=CARTESIAN_POINT('Origin',(38.872325,16.734634,0.)); #514166=CARTESIAN_POINT('',(38.872325,16.734634,0.)); #514167=CARTESIAN_POINT('',(38.872325,16.734634,0.)); #514168=CARTESIAN_POINT('',(38.872325,16.734634,0.035)); #514169=CARTESIAN_POINT('',(38.872325,16.734634,0.035)); #514170=CARTESIAN_POINT('',(38.872325,16.734634,0.)); #514171=CARTESIAN_POINT('Origin',(38.842603,16.7286,0.)); #514172=CARTESIAN_POINT('',(38.842603,16.7286,0.)); #514173=CARTESIAN_POINT('',(38.842603,16.7286,0.)); #514174=CARTESIAN_POINT('',(38.842603,16.7286,0.035)); #514175=CARTESIAN_POINT('',(38.842603,16.7286,0.035)); #514176=CARTESIAN_POINT('',(38.842603,16.7286,0.)); #514177=CARTESIAN_POINT('Origin',(38.405309,16.7286,0.)); #514178=CARTESIAN_POINT('',(38.405309,16.7286,0.)); #514179=CARTESIAN_POINT('',(38.405309,16.7286,0.)); #514180=CARTESIAN_POINT('',(38.405309,16.7286,0.035)); #514181=CARTESIAN_POINT('',(38.405309,16.7286,0.035)); #514182=CARTESIAN_POINT('',(38.405309,16.7286,0.)); #514183=CARTESIAN_POINT('Origin',(38.177628,16.500919,0.)); #514184=CARTESIAN_POINT('',(38.177628,16.500919,0.)); #514185=CARTESIAN_POINT('',(38.177628,16.500919,0.)); #514186=CARTESIAN_POINT('',(38.177628,16.500919,0.035)); #514187=CARTESIAN_POINT('',(38.177628,16.500919,0.035)); #514188=CARTESIAN_POINT('',(38.177628,16.500919,0.)); #514189=CARTESIAN_POINT('Origin',(38.153469,16.484634,0.)); #514190=CARTESIAN_POINT('',(38.153469,16.484634,0.)); #514191=CARTESIAN_POINT('',(38.153469,16.484634,0.035)); #514192=CARTESIAN_POINT('Origin',(38.3560814325145,16.9999994065418,0.035)); #514193=CARTESIAN_POINT('Origin',(38.3560814325145,16.9999994065418,0.)); #514194=CARTESIAN_POINT('Origin',(30.182228,29.934491,0.)); #514195=CARTESIAN_POINT('',(30.182228,29.934491,0.)); #514196=CARTESIAN_POINT('',(30.211744,29.941472,0.)); #514197=CARTESIAN_POINT('',(30.182228,29.934491,0.)); #514198=CARTESIAN_POINT('',(30.211744,29.941472,0.035)); #514199=CARTESIAN_POINT('',(30.211744,29.941472,0.)); #514200=CARTESIAN_POINT('',(30.182228,29.934491,0.035)); #514201=CARTESIAN_POINT('',(30.182228,29.934491,0.035)); #514202=CARTESIAN_POINT('',(30.182228,29.934491,0.)); #514203=CARTESIAN_POINT('Origin',(30.152331,29.939575,0.)); #514204=CARTESIAN_POINT('',(30.152331,29.939575,0.)); #514205=CARTESIAN_POINT('',(30.152331,29.939575,0.)); #514206=CARTESIAN_POINT('',(30.152331,29.939575,0.035)); #514207=CARTESIAN_POINT('',(30.152331,29.939575,0.035)); #514208=CARTESIAN_POINT('',(30.152331,29.939575,0.)); #514209=CARTESIAN_POINT('Origin',(30.126784,29.955919,0.)); #514210=CARTESIAN_POINT('',(30.126784,29.955919,0.)); #514211=CARTESIAN_POINT('',(30.126784,29.955919,0.)); #514212=CARTESIAN_POINT('',(30.126784,29.955919,0.035)); #514213=CARTESIAN_POINT('',(30.126784,29.955919,0.035)); #514214=CARTESIAN_POINT('',(30.126784,29.955919,0.)); #514215=CARTESIAN_POINT('Origin',(30.109634,29.980931,0.)); #514216=CARTESIAN_POINT('',(30.109634,29.980931,0.)); #514217=CARTESIAN_POINT('',(30.109634,29.980931,0.)); #514218=CARTESIAN_POINT('',(30.109634,29.980931,0.035)); #514219=CARTESIAN_POINT('',(30.109634,29.980931,0.035)); #514220=CARTESIAN_POINT('',(30.109634,29.980931,0.)); #514221=CARTESIAN_POINT('Origin',(30.1036,30.010653,0.)); #514222=CARTESIAN_POINT('',(30.1036,30.010653,0.)); #514223=CARTESIAN_POINT('',(30.1036,30.010653,0.)); #514224=CARTESIAN_POINT('',(30.1036,30.010653,0.035)); #514225=CARTESIAN_POINT('',(30.1036,30.010653,0.035)); #514226=CARTESIAN_POINT('',(30.1036,30.010653,0.)); #514227=CARTESIAN_POINT('Origin',(30.1036,30.998747,0.)); #514228=CARTESIAN_POINT('',(30.1036,30.998747,0.)); #514229=CARTESIAN_POINT('',(30.1036,30.998747,0.)); #514230=CARTESIAN_POINT('',(30.1036,30.998747,0.035)); #514231=CARTESIAN_POINT('',(30.1036,30.998747,0.035)); #514232=CARTESIAN_POINT('',(30.1036,30.998747,0.)); #514233=CARTESIAN_POINT('Origin',(30.109169,31.027344,0.)); #514234=CARTESIAN_POINT('',(30.109169,31.027344,0.)); #514235=CARTESIAN_POINT('',(30.109169,31.027344,0.)); #514236=CARTESIAN_POINT('',(30.109169,31.027344,0.035)); #514237=CARTESIAN_POINT('',(30.109169,31.027344,0.035)); #514238=CARTESIAN_POINT('',(30.109169,31.027344,0.)); #514239=CARTESIAN_POINT('Origin',(30.125919,31.052628,0.)); #514240=CARTESIAN_POINT('',(30.125919,31.052628,0.)); #514241=CARTESIAN_POINT('',(30.125919,31.052628,0.)); #514242=CARTESIAN_POINT('',(30.125919,31.052628,0.035)); #514243=CARTESIAN_POINT('',(30.125919,31.052628,0.035)); #514244=CARTESIAN_POINT('',(30.125919,31.052628,0.)); #514245=CARTESIAN_POINT('Origin',(30.720819,31.647528,0.)); #514246=CARTESIAN_POINT('',(30.720819,31.647528,0.)); #514247=CARTESIAN_POINT('',(30.720819,31.647528,0.)); #514248=CARTESIAN_POINT('',(30.720819,31.647528,0.035)); #514249=CARTESIAN_POINT('',(30.720819,31.647528,0.035)); #514250=CARTESIAN_POINT('',(30.720819,31.647528,0.)); #514251=CARTESIAN_POINT('Origin',(30.742756,31.662828,0.)); #514252=CARTESIAN_POINT('',(30.742756,31.662828,0.)); #514253=CARTESIAN_POINT('',(30.742756,31.662828,0.)); #514254=CARTESIAN_POINT('',(30.742756,31.662828,0.035)); #514255=CARTESIAN_POINT('',(30.742756,31.662828,0.035)); #514256=CARTESIAN_POINT('',(30.742756,31.662828,0.)); #514257=CARTESIAN_POINT('Origin',(30.772272,31.669809,0.)); #514258=CARTESIAN_POINT('',(30.772272,31.669809,0.)); #514259=CARTESIAN_POINT('',(30.772272,31.669809,0.)); #514260=CARTESIAN_POINT('',(30.772272,31.669809,0.035)); #514261=CARTESIAN_POINT('',(30.772272,31.669809,0.035)); #514262=CARTESIAN_POINT('',(30.772272,31.669809,0.)); #514263=CARTESIAN_POINT('Origin',(30.802169,31.664725,0.)); #514264=CARTESIAN_POINT('',(30.802169,31.664725,0.)); #514265=CARTESIAN_POINT('',(30.802169,31.664725,0.)); #514266=CARTESIAN_POINT('',(30.802169,31.664725,0.035)); #514267=CARTESIAN_POINT('',(30.802169,31.664725,0.035)); #514268=CARTESIAN_POINT('',(30.802169,31.664725,0.)); #514269=CARTESIAN_POINT('Origin',(30.827716,31.648381,0.)); #514270=CARTESIAN_POINT('',(30.827716,31.648381,0.)); #514271=CARTESIAN_POINT('',(30.827716,31.648381,0.)); #514272=CARTESIAN_POINT('',(30.827716,31.648381,0.035)); #514273=CARTESIAN_POINT('',(30.827716,31.648381,0.035)); #514274=CARTESIAN_POINT('',(30.827716,31.648381,0.)); #514275=CARTESIAN_POINT('Origin',(30.844866,31.623369,0.)); #514276=CARTESIAN_POINT('',(30.844866,31.623369,0.)); #514277=CARTESIAN_POINT('',(30.844866,31.623369,0.)); #514278=CARTESIAN_POINT('',(30.844866,31.623369,0.035)); #514279=CARTESIAN_POINT('',(30.844866,31.623369,0.035)); #514280=CARTESIAN_POINT('',(30.844866,31.623369,0.)); #514281=CARTESIAN_POINT('Origin',(30.8509,31.593647,0.)); #514282=CARTESIAN_POINT('',(30.8509,31.593647,0.)); #514283=CARTESIAN_POINT('',(30.8509,31.593647,0.)); #514284=CARTESIAN_POINT('',(30.8509,31.593647,0.035)); #514285=CARTESIAN_POINT('',(30.8509,31.593647,0.035)); #514286=CARTESIAN_POINT('',(30.8509,31.593647,0.)); #514287=CARTESIAN_POINT('Origin',(30.8509,30.605553,0.)); #514288=CARTESIAN_POINT('',(30.8509,30.605553,0.)); #514289=CARTESIAN_POINT('',(30.8509,30.605553,0.)); #514290=CARTESIAN_POINT('',(30.8509,30.605553,0.035)); #514291=CARTESIAN_POINT('',(30.8509,30.605553,0.035)); #514292=CARTESIAN_POINT('',(30.8509,30.605553,0.)); #514293=CARTESIAN_POINT('Origin',(30.845331,30.576956,0.)); #514294=CARTESIAN_POINT('',(30.845331,30.576956,0.)); #514295=CARTESIAN_POINT('',(30.845331,30.576956,0.)); #514296=CARTESIAN_POINT('',(30.845331,30.576956,0.035)); #514297=CARTESIAN_POINT('',(30.845331,30.576956,0.035)); #514298=CARTESIAN_POINT('',(30.845331,30.576956,0.)); #514299=CARTESIAN_POINT('Origin',(30.828581,30.551672,0.)); #514300=CARTESIAN_POINT('',(30.828581,30.551672,0.)); #514301=CARTESIAN_POINT('',(30.828581,30.551672,0.)); #514302=CARTESIAN_POINT('',(30.828581,30.551672,0.035)); #514303=CARTESIAN_POINT('',(30.828581,30.551672,0.035)); #514304=CARTESIAN_POINT('',(30.828581,30.551672,0.)); #514305=CARTESIAN_POINT('Origin',(30.233681,29.956772,0.)); #514306=CARTESIAN_POINT('',(30.233681,29.956772,0.)); #514307=CARTESIAN_POINT('',(30.233681,29.956772,0.)); #514308=CARTESIAN_POINT('',(30.233681,29.956772,0.035)); #514309=CARTESIAN_POINT('',(30.233681,29.956772,0.035)); #514310=CARTESIAN_POINT('',(30.233681,29.956772,0.)); #514311=CARTESIAN_POINT('Origin',(30.211744,29.941472,0.)); #514312=CARTESIAN_POINT('',(30.211744,29.941472,0.)); #514313=CARTESIAN_POINT('',(30.211744,29.941472,0.035)); #514314=CARTESIAN_POINT('Origin',(30.47725,30.80215,0.035)); #514315=CARTESIAN_POINT('Origin',(30.47725,30.80215,0.)); #514316=CARTESIAN_POINT('Origin',(38.842603,17.7286,0.)); #514317=CARTESIAN_POINT('',(38.842603,17.7286,0.)); #514318=CARTESIAN_POINT('',(38.872325,17.734634,0.)); #514319=CARTESIAN_POINT('',(38.842603,17.7286,0.)); #514320=CARTESIAN_POINT('',(38.872325,17.734634,0.035)); #514321=CARTESIAN_POINT('',(38.872325,17.734634,0.)); #514322=CARTESIAN_POINT('',(38.842603,17.7286,0.035)); #514323=CARTESIAN_POINT('',(38.842603,17.7286,0.035)); #514324=CARTESIAN_POINT('',(38.842603,17.7286,0.)); #514325=CARTESIAN_POINT('Origin',(38.626253,17.7286,0.)); #514326=CARTESIAN_POINT('',(38.626253,17.7286,0.)); #514327=CARTESIAN_POINT('',(38.626253,17.7286,0.)); #514328=CARTESIAN_POINT('',(38.626253,17.7286,0.035)); #514329=CARTESIAN_POINT('',(38.626253,17.7286,0.035)); #514330=CARTESIAN_POINT('',(38.626253,17.7286,0.)); #514331=CARTESIAN_POINT('Origin',(38.597656,17.734169,0.)); #514332=CARTESIAN_POINT('',(38.597656,17.734169,0.)); #514333=CARTESIAN_POINT('',(38.597656,17.734169,0.)); #514334=CARTESIAN_POINT('',(38.597656,17.734169,0.035)); #514335=CARTESIAN_POINT('',(38.597656,17.734169,0.035)); #514336=CARTESIAN_POINT('',(38.597656,17.734169,0.)); #514337=CARTESIAN_POINT('Origin',(38.572372,17.750919,0.)); #514338=CARTESIAN_POINT('',(38.572372,17.750919,0.)); #514339=CARTESIAN_POINT('',(38.572372,17.750919,0.)); #514340=CARTESIAN_POINT('',(38.572372,17.750919,0.035)); #514341=CARTESIAN_POINT('',(38.572372,17.750919,0.035)); #514342=CARTESIAN_POINT('',(38.572372,17.750919,0.)); #514343=CARTESIAN_POINT('Origin',(38.431972,17.891319,0.)); #514344=CARTESIAN_POINT('',(38.431972,17.891319,0.)); #514345=CARTESIAN_POINT('',(38.431972,17.891319,0.)); #514346=CARTESIAN_POINT('',(38.431972,17.891319,0.035)); #514347=CARTESIAN_POINT('',(38.431972,17.891319,0.035)); #514348=CARTESIAN_POINT('',(38.431972,17.891319,0.)); #514349=CARTESIAN_POINT('Origin',(38.416672,17.913256,0.)); #514350=CARTESIAN_POINT('',(38.416672,17.913256,0.)); #514351=CARTESIAN_POINT('',(38.416672,17.913256,0.)); #514352=CARTESIAN_POINT('',(38.416672,17.913256,0.035)); #514353=CARTESIAN_POINT('',(38.416672,17.913256,0.035)); #514354=CARTESIAN_POINT('',(38.416672,17.913256,0.)); #514355=CARTESIAN_POINT('Origin',(38.409691,17.942772,0.)); #514356=CARTESIAN_POINT('',(38.409691,17.942772,0.)); #514357=CARTESIAN_POINT('',(38.409691,17.942772,0.)); #514358=CARTESIAN_POINT('',(38.409691,17.942772,0.035)); #514359=CARTESIAN_POINT('',(38.409691,17.942772,0.035)); #514360=CARTESIAN_POINT('',(38.409691,17.942772,0.)); #514361=CARTESIAN_POINT('Origin',(38.414775,17.972669,0.)); #514362=CARTESIAN_POINT('',(38.414775,17.972669,0.)); #514363=CARTESIAN_POINT('',(38.414775,17.972669,0.)); #514364=CARTESIAN_POINT('',(38.414775,17.972669,0.035)); #514365=CARTESIAN_POINT('',(38.414775,17.972669,0.035)); #514366=CARTESIAN_POINT('',(38.414775,17.972669,0.)); #514367=CARTESIAN_POINT('Origin',(38.431119,17.998216,0.)); #514368=CARTESIAN_POINT('',(38.431119,17.998216,0.)); #514369=CARTESIAN_POINT('',(38.431119,17.998216,0.)); #514370=CARTESIAN_POINT('',(38.431119,17.998216,0.035)); #514371=CARTESIAN_POINT('',(38.431119,17.998216,0.035)); #514372=CARTESIAN_POINT('',(38.431119,17.998216,0.)); #514373=CARTESIAN_POINT('Origin',(38.456131,18.015366,0.)); #514374=CARTESIAN_POINT('',(38.456131,18.015366,0.)); #514375=CARTESIAN_POINT('',(38.456131,18.015366,0.)); #514376=CARTESIAN_POINT('',(38.456131,18.015366,0.035)); #514377=CARTESIAN_POINT('',(38.456131,18.015366,0.035)); #514378=CARTESIAN_POINT('',(38.456131,18.015366,0.)); #514379=CARTESIAN_POINT('Origin',(38.485853,18.0214,0.)); #514380=CARTESIAN_POINT('',(38.485853,18.0214,0.)); #514381=CARTESIAN_POINT('',(38.485853,18.0214,0.)); #514382=CARTESIAN_POINT('',(38.485853,18.0214,0.035)); #514383=CARTESIAN_POINT('',(38.485853,18.0214,0.035)); #514384=CARTESIAN_POINT('',(38.485853,18.0214,0.)); #514385=CARTESIAN_POINT('Origin',(38.842603,18.0214,0.)); #514386=CARTESIAN_POINT('',(38.842603,18.0214,0.)); #514387=CARTESIAN_POINT('',(38.842603,18.0214,0.)); #514388=CARTESIAN_POINT('',(38.842603,18.0214,0.035)); #514389=CARTESIAN_POINT('',(38.842603,18.0214,0.035)); #514390=CARTESIAN_POINT('',(38.842603,18.0214,0.)); #514391=CARTESIAN_POINT('Origin',(38.8712,18.015831,0.)); #514392=CARTESIAN_POINT('',(38.8712,18.015831,0.)); #514393=CARTESIAN_POINT('',(38.8712,18.015831,0.)); #514394=CARTESIAN_POINT('',(38.8712,18.015831,0.035)); #514395=CARTESIAN_POINT('',(38.8712,18.015831,0.035)); #514396=CARTESIAN_POINT('',(38.8712,18.015831,0.)); #514397=CARTESIAN_POINT('Origin',(38.896484,17.999081,0.)); #514398=CARTESIAN_POINT('',(38.896484,17.999081,0.)); #514399=CARTESIAN_POINT('',(38.896484,17.999081,0.)); #514400=CARTESIAN_POINT('',(38.896484,17.999081,0.035)); #514401=CARTESIAN_POINT('',(38.896484,17.999081,0.035)); #514402=CARTESIAN_POINT('',(38.896484,17.999081,0.)); #514403=CARTESIAN_POINT('Origin',(38.966684,17.928881,0.)); #514404=CARTESIAN_POINT('',(38.966684,17.928881,0.)); #514405=CARTESIAN_POINT('',(38.966684,17.928881,0.)); #514406=CARTESIAN_POINT('',(38.966684,17.928881,0.035)); #514407=CARTESIAN_POINT('',(38.966684,17.928881,0.035)); #514408=CARTESIAN_POINT('',(38.966684,17.928881,0.)); #514409=CARTESIAN_POINT('Origin',(38.982484,17.905834,0.)); #514410=CARTESIAN_POINT('',(38.982484,17.905834,0.)); #514411=CARTESIAN_POINT('',(38.982484,17.905834,0.)); #514412=CARTESIAN_POINT('',(38.982484,17.905834,0.035)); #514413=CARTESIAN_POINT('',(38.982484,17.905834,0.035)); #514414=CARTESIAN_POINT('',(38.982484,17.905834,0.)); #514415=CARTESIAN_POINT('Origin',(38.988994,17.876216,0.)); #514416=CARTESIAN_POINT('',(38.988994,17.876216,0.)); #514417=CARTESIAN_POINT('',(38.988994,17.876216,0.)); #514418=CARTESIAN_POINT('',(38.988994,17.876216,0.035)); #514419=CARTESIAN_POINT('',(38.988994,17.876216,0.035)); #514420=CARTESIAN_POINT('',(38.988994,17.876216,0.)); #514421=CARTESIAN_POINT('Origin',(38.983434,17.846403,0.)); #514422=CARTESIAN_POINT('',(38.983434,17.846403,0.)); #514423=CARTESIAN_POINT('',(38.983434,17.846403,0.)); #514424=CARTESIAN_POINT('',(38.983434,17.846403,0.035)); #514425=CARTESIAN_POINT('',(38.983434,17.846403,0.035)); #514426=CARTESIAN_POINT('',(38.983434,17.846403,0.)); #514427=CARTESIAN_POINT('Origin',(38.966684,17.821119,0.)); #514428=CARTESIAN_POINT('',(38.966684,17.821119,0.)); #514429=CARTESIAN_POINT('',(38.966684,17.821119,0.)); #514430=CARTESIAN_POINT('',(38.966684,17.821119,0.035)); #514431=CARTESIAN_POINT('',(38.966684,17.821119,0.035)); #514432=CARTESIAN_POINT('',(38.966684,17.821119,0.)); #514433=CARTESIAN_POINT('Origin',(38.896484,17.750919,0.)); #514434=CARTESIAN_POINT('',(38.896484,17.750919,0.)); #514435=CARTESIAN_POINT('',(38.896484,17.750919,0.)); #514436=CARTESIAN_POINT('',(38.896484,17.750919,0.035)); #514437=CARTESIAN_POINT('',(38.896484,17.750919,0.035)); #514438=CARTESIAN_POINT('',(38.896484,17.750919,0.)); #514439=CARTESIAN_POINT('Origin',(38.872325,17.734634,0.)); #514440=CARTESIAN_POINT('',(38.872325,17.734634,0.)); #514441=CARTESIAN_POINT('',(38.872325,17.734634,0.035)); #514442=CARTESIAN_POINT('Origin',(38.7007398034252,17.8875872966964,0.035)); #514443=CARTESIAN_POINT('Origin',(38.7007398034252,17.8875872966964,0.)); #514444=CARTESIAN_POINT('Origin',(41.,25.25,-200.)); #514445=CARTESIAN_POINT('',(40.86,25.25,0.)); #514446=CARTESIAN_POINT('Origin',(41.,25.25,0.)); #514447=CARTESIAN_POINT('',(40.86,25.25,0.0349999999999895)); #514448=CARTESIAN_POINT('',(40.86,25.25,-200.)); #514449=CARTESIAN_POINT('Origin',(41.,25.25,0.0349999999999895)); #514450=CARTESIAN_POINT('Origin',(39.1500000454174,34.565,-200.)); #514451=CARTESIAN_POINT('',(38.5500000151391,34.565,0.0349999999999895)); #514452=CARTESIAN_POINT('',(39.1500000454174,34.565,0.0349999999999895)); #514453=CARTESIAN_POINT('',(40.7828720988787,34.565,0.0350000000000072)); #514454=CARTESIAN_POINT('',(38.5500000151391,34.565,0.)); #514455=CARTESIAN_POINT('',(38.5500000151391,34.565,-200.)); #514456=CARTESIAN_POINT('',(39.1500000454174,34.565,0.)); #514457=CARTESIAN_POINT('',(40.7828720988787,34.565,0.)); #514458=CARTESIAN_POINT('',(39.1500000454174,34.565,-200.)); #514459=CARTESIAN_POINT('Origin',(39.15,34.,-200.)); #514460=CARTESIAN_POINT('',(39.1499999848609,33.435,0.0349999999999895)); #514461=CARTESIAN_POINT('Origin',(39.15,34.,0.0349999999999895)); #514462=CARTESIAN_POINT('',(39.1499999848609,33.435,0.)); #514463=CARTESIAN_POINT('Origin',(39.15,34.,0.)); #514464=CARTESIAN_POINT('',(39.1499999848609,33.435,-200.)); #514465=CARTESIAN_POINT('Origin',(38.5499999545827,33.435,-200.)); #514466=CARTESIAN_POINT('',(38.5499999545827,33.435,0.0349999999999895)); #514467=CARTESIAN_POINT('',(40.4828720534613,33.435,0.0350000000000072)); #514468=CARTESIAN_POINT('',(38.5499999545827,33.435,0.)); #514469=CARTESIAN_POINT('',(40.4828720534613,33.435,0.)); #514470=CARTESIAN_POINT('',(38.5499999545827,33.435,-200.)); #514471=CARTESIAN_POINT('Origin',(38.55,34.,-200.)); #514472=CARTESIAN_POINT('Origin',(38.55,34.,0.0349999999999895)); #514473=CARTESIAN_POINT('Origin',(38.55,34.,0.)); #514474=CARTESIAN_POINT('Origin',(43.75,34.,-200.)); #514475=CARTESIAN_POINT('',(43.235,34.,0.)); #514476=CARTESIAN_POINT('Origin',(43.75,34.,0.)); #514477=CARTESIAN_POINT('',(43.235,34.,0.0349999999999895)); #514478=CARTESIAN_POINT('',(43.235,34.,-200.)); #514479=CARTESIAN_POINT('Origin',(43.75,34.,0.0349999999999895)); #514480=CARTESIAN_POINT('Origin',(41.,24.5,-200.)); #514481=CARTESIAN_POINT('',(40.86,24.5,0.)); #514482=CARTESIAN_POINT('Origin',(41.,24.5,0.)); #514483=CARTESIAN_POINT('',(40.86,24.5,0.0349999999999895)); #514484=CARTESIAN_POINT('',(40.86,24.5,-200.)); #514485=CARTESIAN_POINT('Origin',(41.,24.5,0.0349999999999895)); #514486=CARTESIAN_POINT('Origin',(41.,26.,-200.)); #514487=CARTESIAN_POINT('',(40.86,26.,0.)); #514488=CARTESIAN_POINT('Origin',(41.,26.,0.)); #514489=CARTESIAN_POINT('',(40.86,26.,0.0349999999999895)); #514490=CARTESIAN_POINT('',(40.86,26.,-200.)); #514491=CARTESIAN_POINT('Origin',(41.,26.,0.0349999999999895)); #514492=CARTESIAN_POINT('Origin',(41.75,25.25,-200.)); #514493=CARTESIAN_POINT('',(41.61,25.25,0.)); #514494=CARTESIAN_POINT('Origin',(41.75,25.25,0.)); #514495=CARTESIAN_POINT('',(41.61,25.25,0.0349999999999895)); #514496=CARTESIAN_POINT('',(41.61,25.25,-200.)); #514497=CARTESIAN_POINT('Origin',(41.75,25.25,0.0349999999999895)); #514498=CARTESIAN_POINT('Origin',(41.75,26.,-200.)); #514499=CARTESIAN_POINT('',(41.61,26.,0.)); #514500=CARTESIAN_POINT('Origin',(41.75,26.,0.)); #514501=CARTESIAN_POINT('',(41.61,26.,0.0349999999999895)); #514502=CARTESIAN_POINT('',(41.61,26.,-200.)); #514503=CARTESIAN_POINT('Origin',(41.75,26.,0.0349999999999895)); #514504=CARTESIAN_POINT('Origin',(42.5,24.5,-200.)); #514505=CARTESIAN_POINT('',(42.36,24.5,0.)); #514506=CARTESIAN_POINT('Origin',(42.5,24.5,0.)); #514507=CARTESIAN_POINT('',(42.36,24.5,0.0349999999999895)); #514508=CARTESIAN_POINT('',(42.36,24.5,-200.)); #514509=CARTESIAN_POINT('Origin',(42.5,24.5,0.0349999999999895)); #514510=CARTESIAN_POINT('Origin',(41.75,24.5,-200.)); #514511=CARTESIAN_POINT('',(41.61,24.5,0.)); #514512=CARTESIAN_POINT('Origin',(41.75,24.5,0.)); #514513=CARTESIAN_POINT('',(41.61,24.5,0.0349999999999895)); #514514=CARTESIAN_POINT('',(41.61,24.5,-200.)); #514515=CARTESIAN_POINT('Origin',(41.75,24.5,0.0349999999999895)); #514516=CARTESIAN_POINT('Origin',(42.5,25.25,-200.)); #514517=CARTESIAN_POINT('',(42.36,25.25,0.)); #514518=CARTESIAN_POINT('Origin',(42.5,25.25,0.)); #514519=CARTESIAN_POINT('',(42.36,25.25,0.0349999999999895)); #514520=CARTESIAN_POINT('',(42.36,25.25,-200.)); #514521=CARTESIAN_POINT('Origin',(42.5,25.25,0.0349999999999895)); #514522=CARTESIAN_POINT('Origin',(42.5,26.,-200.)); #514523=CARTESIAN_POINT('',(42.36,26.,0.)); #514524=CARTESIAN_POINT('Origin',(42.5,26.,0.)); #514525=CARTESIAN_POINT('',(42.36,26.,0.0349999999999895)); #514526=CARTESIAN_POINT('',(42.36,26.,-200.)); #514527=CARTESIAN_POINT('Origin',(42.5,26.,0.0349999999999895)); #514528=CARTESIAN_POINT('Origin',(46.444419,33.0226,0.)); #514529=CARTESIAN_POINT('',(46.444419,33.0226,0.)); #514530=CARTESIAN_POINT('',(46.055581,33.0226,0.)); #514531=CARTESIAN_POINT('',(46.444419,33.0226,0.)); #514532=CARTESIAN_POINT('',(46.055581,33.0226,0.035)); #514533=CARTESIAN_POINT('',(46.055581,33.0226,0.)); #514534=CARTESIAN_POINT('',(46.444419,33.0226,0.035)); #514535=CARTESIAN_POINT('',(46.444419,33.0226,0.035)); #514536=CARTESIAN_POINT('',(46.444419,33.0226,0.)); #514537=CARTESIAN_POINT('Origin',(46.803653,33.1714,0.)); #514538=CARTESIAN_POINT('',(46.803653,33.1714,0.)); #514539=CARTESIAN_POINT('',(46.803653,33.1714,0.)); #514540=CARTESIAN_POINT('',(46.803653,33.1714,0.035)); #514541=CARTESIAN_POINT('',(46.803653,33.1714,0.035)); #514542=CARTESIAN_POINT('',(46.803653,33.1714,0.)); #514543=CARTESIAN_POINT('Origin',(47.0786,33.446347,0.)); #514544=CARTESIAN_POINT('',(47.0786,33.446347,0.)); #514545=CARTESIAN_POINT('',(47.0786,33.446347,0.)); #514546=CARTESIAN_POINT('',(47.0786,33.446347,0.035)); #514547=CARTESIAN_POINT('',(47.0786,33.446347,0.035)); #514548=CARTESIAN_POINT('',(47.0786,33.446347,0.)); #514549=CARTESIAN_POINT('Origin',(47.2274,33.805581,0.)); #514550=CARTESIAN_POINT('',(47.2274,33.805581,0.)); #514551=CARTESIAN_POINT('',(47.2274,33.805581,0.)); #514552=CARTESIAN_POINT('',(47.2274,33.805581,0.035)); #514553=CARTESIAN_POINT('',(47.2274,33.805581,0.035)); #514554=CARTESIAN_POINT('',(47.2274,33.805581,0.)); #514555=CARTESIAN_POINT('Origin',(47.2274,34.194419,0.)); #514556=CARTESIAN_POINT('',(47.2274,34.194419,0.)); #514557=CARTESIAN_POINT('',(47.2274,34.194419,0.)); #514558=CARTESIAN_POINT('',(47.2274,34.194419,0.035)); #514559=CARTESIAN_POINT('',(47.2274,34.194419,0.035)); #514560=CARTESIAN_POINT('',(47.2274,34.194419,0.)); #514561=CARTESIAN_POINT('Origin',(47.0786,34.553653,0.)); #514562=CARTESIAN_POINT('',(47.0786,34.553653,0.)); #514563=CARTESIAN_POINT('',(47.0786,34.553653,0.)); #514564=CARTESIAN_POINT('',(47.0786,34.553653,0.035)); #514565=CARTESIAN_POINT('',(47.0786,34.553653,0.035)); #514566=CARTESIAN_POINT('',(47.0786,34.553653,0.)); #514567=CARTESIAN_POINT('Origin',(46.803653,34.8286,0.)); #514568=CARTESIAN_POINT('',(46.803653,34.8286,0.)); #514569=CARTESIAN_POINT('',(46.803653,34.8286,0.)); #514570=CARTESIAN_POINT('',(46.803653,34.8286,0.035)); #514571=CARTESIAN_POINT('',(46.803653,34.8286,0.035)); #514572=CARTESIAN_POINT('',(46.803653,34.8286,0.)); #514573=CARTESIAN_POINT('Origin',(46.444419,34.9774,0.)); #514574=CARTESIAN_POINT('',(46.444419,34.9774,0.)); #514575=CARTESIAN_POINT('',(46.444419,34.9774,0.)); #514576=CARTESIAN_POINT('',(46.444419,34.9774,0.035)); #514577=CARTESIAN_POINT('',(46.444419,34.9774,0.035)); #514578=CARTESIAN_POINT('',(46.444419,34.9774,0.)); #514579=CARTESIAN_POINT('Origin',(46.055581,34.9774,0.)); #514580=CARTESIAN_POINT('',(46.055581,34.9774,0.)); #514581=CARTESIAN_POINT('',(46.055581,34.9774,0.)); #514582=CARTESIAN_POINT('',(46.055581,34.9774,0.035)); #514583=CARTESIAN_POINT('',(46.055581,34.9774,0.035)); #514584=CARTESIAN_POINT('',(46.055581,34.9774,0.)); #514585=CARTESIAN_POINT('Origin',(45.696347,34.8286,0.)); #514586=CARTESIAN_POINT('',(45.696347,34.8286,0.)); #514587=CARTESIAN_POINT('',(45.696347,34.8286,0.)); #514588=CARTESIAN_POINT('',(45.696347,34.8286,0.035)); #514589=CARTESIAN_POINT('',(45.696347,34.8286,0.035)); #514590=CARTESIAN_POINT('',(45.696347,34.8286,0.)); #514591=CARTESIAN_POINT('Origin',(45.4214,34.553653,0.)); #514592=CARTESIAN_POINT('',(45.4214,34.553653,0.)); #514593=CARTESIAN_POINT('',(45.4214,34.553653,0.)); #514594=CARTESIAN_POINT('',(45.4214,34.553653,0.035)); #514595=CARTESIAN_POINT('',(45.4214,34.553653,0.035)); #514596=CARTESIAN_POINT('',(45.4214,34.553653,0.)); #514597=CARTESIAN_POINT('Origin',(45.2726,34.194419,0.)); #514598=CARTESIAN_POINT('',(45.2726,34.194419,0.)); #514599=CARTESIAN_POINT('',(45.2726,34.194419,0.)); #514600=CARTESIAN_POINT('',(45.2726,34.194419,0.035)); #514601=CARTESIAN_POINT('',(45.2726,34.194419,0.035)); #514602=CARTESIAN_POINT('',(45.2726,34.194419,0.)); #514603=CARTESIAN_POINT('Origin',(45.2726,33.805581,0.)); #514604=CARTESIAN_POINT('',(45.2726,33.805581,0.)); #514605=CARTESIAN_POINT('',(45.2726,33.805581,0.)); #514606=CARTESIAN_POINT('',(45.2726,33.805581,0.035)); #514607=CARTESIAN_POINT('',(45.2726,33.805581,0.035)); #514608=CARTESIAN_POINT('',(45.2726,33.805581,0.)); #514609=CARTESIAN_POINT('Origin',(45.4214,33.446347,0.)); #514610=CARTESIAN_POINT('',(45.4214,33.446347,0.)); #514611=CARTESIAN_POINT('',(45.4214,33.446347,0.)); #514612=CARTESIAN_POINT('',(45.4214,33.446347,0.035)); #514613=CARTESIAN_POINT('',(45.4214,33.446347,0.035)); #514614=CARTESIAN_POINT('',(45.4214,33.446347,0.)); #514615=CARTESIAN_POINT('Origin',(45.696347,33.1714,0.)); #514616=CARTESIAN_POINT('',(45.696347,33.1714,0.)); #514617=CARTESIAN_POINT('',(45.696347,33.1714,0.)); #514618=CARTESIAN_POINT('',(45.696347,33.1714,0.035)); #514619=CARTESIAN_POINT('',(45.696347,33.1714,0.035)); #514620=CARTESIAN_POINT('',(45.696347,33.1714,0.)); #514621=CARTESIAN_POINT('Origin',(46.055581,33.0226,0.)); #514622=CARTESIAN_POINT('',(46.055581,33.0226,0.)); #514623=CARTESIAN_POINT('',(46.055581,33.0226,0.035)); #514624=CARTESIAN_POINT('Origin',(39.0722,19.9786,0.)); #514625=CARTESIAN_POINT('',(39.0722,19.9786,0.)); #514626=CARTESIAN_POINT('',(39.101922,19.984634,0.)); #514627=CARTESIAN_POINT('',(39.0722,19.9786,0.)); #514628=CARTESIAN_POINT('',(39.101922,19.984634,0.035)); #514629=CARTESIAN_POINT('',(39.101922,19.984634,0.)); #514630=CARTESIAN_POINT('',(39.0722,19.9786,0.035)); #514631=CARTESIAN_POINT('',(39.0722,19.9786,0.035)); #514632=CARTESIAN_POINT('',(39.0722,19.9786,0.)); #514633=CARTESIAN_POINT('Origin',(37.868491,19.9786,0.)); #514634=CARTESIAN_POINT('',(37.868491,19.9786,0.)); #514635=CARTESIAN_POINT('',(37.868491,19.9786,0.)); #514636=CARTESIAN_POINT('',(37.868491,19.9786,0.035)); #514637=CARTESIAN_POINT('',(37.868491,19.9786,0.035)); #514638=CARTESIAN_POINT('',(37.868491,19.9786,0.)); #514639=CARTESIAN_POINT('Origin',(37.839894,19.984169,0.)); #514640=CARTESIAN_POINT('',(37.839894,19.984169,0.)); #514641=CARTESIAN_POINT('',(37.839894,19.984169,0.)); #514642=CARTESIAN_POINT('',(37.839894,19.984169,0.035)); #514643=CARTESIAN_POINT('',(37.839894,19.984169,0.035)); #514644=CARTESIAN_POINT('',(37.839894,19.984169,0.)); #514645=CARTESIAN_POINT('Origin',(37.814609,20.000919,0.)); #514646=CARTESIAN_POINT('',(37.814609,20.000919,0.)); #514647=CARTESIAN_POINT('',(37.814609,20.000919,0.)); #514648=CARTESIAN_POINT('',(37.814609,20.000919,0.035)); #514649=CARTESIAN_POINT('',(37.814609,20.000919,0.035)); #514650=CARTESIAN_POINT('',(37.814609,20.000919,0.)); #514651=CARTESIAN_POINT('Origin',(37.775628,20.0399,0.)); #514652=CARTESIAN_POINT('',(37.775628,20.0399,0.)); #514653=CARTESIAN_POINT('',(37.775628,20.0399,0.)); #514654=CARTESIAN_POINT('',(37.775628,20.0399,0.035)); #514655=CARTESIAN_POINT('',(37.775628,20.0399,0.035)); #514656=CARTESIAN_POINT('',(37.775628,20.0399,0.)); #514657=CARTESIAN_POINT('Origin',(37.7048,20.0399,0.)); #514658=CARTESIAN_POINT('',(37.7048,20.0399,0.)); #514659=CARTESIAN_POINT('',(37.7048,20.0399,0.)); #514660=CARTESIAN_POINT('',(37.7048,20.0399,0.035)); #514661=CARTESIAN_POINT('',(37.7048,20.0399,0.035)); #514662=CARTESIAN_POINT('',(37.7048,20.0399,0.)); #514663=CARTESIAN_POINT('Origin',(37.677331,20.045022,0.)); #514664=CARTESIAN_POINT('',(37.677331,20.045022,0.)); #514665=CARTESIAN_POINT('',(37.677331,20.045022,0.)); #514666=CARTESIAN_POINT('',(37.677331,20.045022,0.035)); #514667=CARTESIAN_POINT('',(37.677331,20.045022,0.035)); #514668=CARTESIAN_POINT('',(37.677331,20.045022,0.)); #514669=CARTESIAN_POINT('Origin',(37.651784,20.061366,0.)); #514670=CARTESIAN_POINT('',(37.651784,20.061366,0.)); #514671=CARTESIAN_POINT('',(37.651784,20.061366,0.)); #514672=CARTESIAN_POINT('',(37.651784,20.061366,0.035)); #514673=CARTESIAN_POINT('',(37.651784,20.061366,0.035)); #514674=CARTESIAN_POINT('',(37.651784,20.061366,0.)); #514675=CARTESIAN_POINT('Origin',(37.634634,20.086378,0.)); #514676=CARTESIAN_POINT('',(37.634634,20.086378,0.)); #514677=CARTESIAN_POINT('',(37.634634,20.086378,0.)); #514678=CARTESIAN_POINT('',(37.634634,20.086378,0.035)); #514679=CARTESIAN_POINT('',(37.634634,20.086378,0.035)); #514680=CARTESIAN_POINT('',(37.634634,20.086378,0.)); #514681=CARTESIAN_POINT('Origin',(37.6286,20.1161,0.)); #514682=CARTESIAN_POINT('',(37.6286,20.1161,0.)); #514683=CARTESIAN_POINT('',(37.6286,20.1161,0.)); #514684=CARTESIAN_POINT('',(37.6286,20.1161,0.035)); #514685=CARTESIAN_POINT('',(37.6286,20.1161,0.035)); #514686=CARTESIAN_POINT('',(37.6286,20.1161,0.)); #514687=CARTESIAN_POINT('Origin',(37.6286,20.219691,0.)); #514688=CARTESIAN_POINT('',(37.6286,20.219691,0.)); #514689=CARTESIAN_POINT('',(37.6286,20.219691,0.)); #514690=CARTESIAN_POINT('',(37.6286,20.219691,0.035)); #514691=CARTESIAN_POINT('',(37.6286,20.219691,0.035)); #514692=CARTESIAN_POINT('',(37.6286,20.219691,0.)); #514693=CARTESIAN_POINT('Origin',(37.219691,20.6286,0.)); #514694=CARTESIAN_POINT('',(37.219691,20.6286,0.)); #514695=CARTESIAN_POINT('',(37.219691,20.6286,0.)); #514696=CARTESIAN_POINT('',(37.219691,20.6286,0.035)); #514697=CARTESIAN_POINT('',(37.219691,20.6286,0.035)); #514698=CARTESIAN_POINT('',(37.219691,20.6286,0.)); #514699=CARTESIAN_POINT('Origin',(37.1161,20.6286,0.)); #514700=CARTESIAN_POINT('',(37.1161,20.6286,0.)); #514701=CARTESIAN_POINT('',(37.1161,20.6286,0.)); #514702=CARTESIAN_POINT('',(37.1161,20.6286,0.035)); #514703=CARTESIAN_POINT('',(37.1161,20.6286,0.035)); #514704=CARTESIAN_POINT('',(37.1161,20.6286,0.)); #514705=CARTESIAN_POINT('Origin',(37.088631,20.633722,0.)); #514706=CARTESIAN_POINT('',(37.088631,20.633722,0.)); #514707=CARTESIAN_POINT('',(37.088631,20.633722,0.)); #514708=CARTESIAN_POINT('',(37.088631,20.633722,0.035)); #514709=CARTESIAN_POINT('',(37.088631,20.633722,0.035)); #514710=CARTESIAN_POINT('',(37.088631,20.633722,0.)); #514711=CARTESIAN_POINT('Origin',(37.063084,20.650066,0.)); #514712=CARTESIAN_POINT('',(37.063084,20.650066,0.)); #514713=CARTESIAN_POINT('',(37.063084,20.650066,0.)); #514714=CARTESIAN_POINT('',(37.063084,20.650066,0.035)); #514715=CARTESIAN_POINT('',(37.063084,20.650066,0.035)); #514716=CARTESIAN_POINT('',(37.063084,20.650066,0.)); #514717=CARTESIAN_POINT('Origin',(37.045934,20.675078,0.)); #514718=CARTESIAN_POINT('',(37.045934,20.675078,0.)); #514719=CARTESIAN_POINT('',(37.045934,20.675078,0.)); #514720=CARTESIAN_POINT('',(37.045934,20.675078,0.035)); #514721=CARTESIAN_POINT('',(37.045934,20.675078,0.035)); #514722=CARTESIAN_POINT('',(37.045934,20.675078,0.)); #514723=CARTESIAN_POINT('Origin',(37.0399,20.7048,0.)); #514724=CARTESIAN_POINT('',(37.0399,20.7048,0.)); #514725=CARTESIAN_POINT('',(37.0399,20.7048,0.)); #514726=CARTESIAN_POINT('',(37.0399,20.7048,0.035)); #514727=CARTESIAN_POINT('',(37.0399,20.7048,0.035)); #514728=CARTESIAN_POINT('',(37.0399,20.7048,0.)); #514729=CARTESIAN_POINT('Origin',(37.0399,20.775628,0.)); #514730=CARTESIAN_POINT('',(37.0399,20.775628,0.)); #514731=CARTESIAN_POINT('',(37.0399,20.775628,0.)); #514732=CARTESIAN_POINT('',(37.0399,20.775628,0.035)); #514733=CARTESIAN_POINT('',(37.0399,20.775628,0.035)); #514734=CARTESIAN_POINT('',(37.0399,20.775628,0.)); #514735=CARTESIAN_POINT('Origin',(36.950628,20.8649,0.)); #514736=CARTESIAN_POINT('',(36.950628,20.8649,0.)); #514737=CARTESIAN_POINT('',(36.950628,20.8649,0.)); #514738=CARTESIAN_POINT('',(36.950628,20.8649,0.035)); #514739=CARTESIAN_POINT('',(36.950628,20.8649,0.035)); #514740=CARTESIAN_POINT('',(36.950628,20.8649,0.)); #514741=CARTESIAN_POINT('Origin',(36.5548,20.8649,0.)); #514742=CARTESIAN_POINT('',(36.5548,20.8649,0.)); #514743=CARTESIAN_POINT('',(36.5548,20.8649,0.)); #514744=CARTESIAN_POINT('',(36.5548,20.8649,0.035)); #514745=CARTESIAN_POINT('',(36.5548,20.8649,0.035)); #514746=CARTESIAN_POINT('',(36.5548,20.8649,0.)); #514747=CARTESIAN_POINT('Origin',(36.527331,20.870022,0.)); #514748=CARTESIAN_POINT('',(36.527331,20.870022,0.)); #514749=CARTESIAN_POINT('',(36.527331,20.870022,0.)); #514750=CARTESIAN_POINT('',(36.527331,20.870022,0.035)); #514751=CARTESIAN_POINT('',(36.527331,20.870022,0.035)); #514752=CARTESIAN_POINT('',(36.527331,20.870022,0.)); #514753=CARTESIAN_POINT('Origin',(36.501784,20.886366,0.)); #514754=CARTESIAN_POINT('',(36.501784,20.886366,0.)); #514755=CARTESIAN_POINT('',(36.501784,20.886366,0.)); #514756=CARTESIAN_POINT('',(36.501784,20.886366,0.035)); #514757=CARTESIAN_POINT('',(36.501784,20.886366,0.035)); #514758=CARTESIAN_POINT('',(36.501784,20.886366,0.)); #514759=CARTESIAN_POINT('Origin',(36.484634,20.911378,0.)); #514760=CARTESIAN_POINT('',(36.484634,20.911378,0.)); #514761=CARTESIAN_POINT('',(36.484634,20.911378,0.)); #514762=CARTESIAN_POINT('',(36.484634,20.911378,0.035)); #514763=CARTESIAN_POINT('',(36.484634,20.911378,0.035)); #514764=CARTESIAN_POINT('',(36.484634,20.911378,0.)); #514765=CARTESIAN_POINT('Origin',(36.4786,20.9411,0.)); #514766=CARTESIAN_POINT('',(36.4786,20.9411,0.)); #514767=CARTESIAN_POINT('',(36.4786,20.9411,0.)); #514768=CARTESIAN_POINT('',(36.4786,20.9411,0.035)); #514769=CARTESIAN_POINT('',(36.4786,20.9411,0.035)); #514770=CARTESIAN_POINT('',(36.4786,20.9411,0.)); #514771=CARTESIAN_POINT('Origin',(36.4786,21.722059,0.)); #514772=CARTESIAN_POINT('',(36.4786,21.722059,0.)); #514773=CARTESIAN_POINT('',(36.4786,21.722059,0.)); #514774=CARTESIAN_POINT('',(36.4786,21.722059,0.035)); #514775=CARTESIAN_POINT('',(36.4786,21.722059,0.035)); #514776=CARTESIAN_POINT('',(36.4786,21.722059,0.)); #514777=CARTESIAN_POINT('Origin',(36.484169,21.750656,0.)); #514778=CARTESIAN_POINT('',(36.484169,21.750656,0.)); #514779=CARTESIAN_POINT('',(36.484169,21.750656,0.)); #514780=CARTESIAN_POINT('',(36.484169,21.750656,0.035)); #514781=CARTESIAN_POINT('',(36.484169,21.750656,0.035)); #514782=CARTESIAN_POINT('',(36.484169,21.750656,0.)); #514783=CARTESIAN_POINT('Origin',(36.500919,21.775941,0.)); #514784=CARTESIAN_POINT('',(36.500919,21.775941,0.)); #514785=CARTESIAN_POINT('',(36.500919,21.775941,0.)); #514786=CARTESIAN_POINT('',(36.500919,21.775941,0.035)); #514787=CARTESIAN_POINT('',(36.500919,21.775941,0.035)); #514788=CARTESIAN_POINT('',(36.500919,21.775941,0.)); #514789=CARTESIAN_POINT('Origin',(37.141319,22.416341,0.)); #514790=CARTESIAN_POINT('',(37.141319,22.416341,0.)); #514791=CARTESIAN_POINT('',(37.141319,22.416341,0.)); #514792=CARTESIAN_POINT('',(37.141319,22.416341,0.035)); #514793=CARTESIAN_POINT('',(37.141319,22.416341,0.035)); #514794=CARTESIAN_POINT('',(37.141319,22.416341,0.)); #514795=CARTESIAN_POINT('Origin',(37.163256,22.431641,0.)); #514796=CARTESIAN_POINT('',(37.163256,22.431641,0.)); #514797=CARTESIAN_POINT('',(37.163256,22.431641,0.)); #514798=CARTESIAN_POINT('',(37.163256,22.431641,0.035)); #514799=CARTESIAN_POINT('',(37.163256,22.431641,0.035)); #514800=CARTESIAN_POINT('',(37.163256,22.431641,0.)); #514801=CARTESIAN_POINT('Origin',(37.192772,22.438622,0.)); #514802=CARTESIAN_POINT('',(37.192772,22.438622,0.)); #514803=CARTESIAN_POINT('',(37.192772,22.438622,0.)); #514804=CARTESIAN_POINT('',(37.192772,22.438622,0.035)); #514805=CARTESIAN_POINT('',(37.192772,22.438622,0.035)); #514806=CARTESIAN_POINT('',(37.192772,22.438622,0.)); #514807=CARTESIAN_POINT('Origin',(37.222669,22.433537,0.)); #514808=CARTESIAN_POINT('',(37.222669,22.433537,0.)); #514809=CARTESIAN_POINT('',(37.222669,22.433537,0.)); #514810=CARTESIAN_POINT('',(37.222669,22.433537,0.035)); #514811=CARTESIAN_POINT('',(37.222669,22.433537,0.035)); #514812=CARTESIAN_POINT('',(37.222669,22.433537,0.)); #514813=CARTESIAN_POINT('Origin',(37.248216,22.417194,0.)); #514814=CARTESIAN_POINT('',(37.248216,22.417194,0.)); #514815=CARTESIAN_POINT('',(37.248216,22.417194,0.)); #514816=CARTESIAN_POINT('',(37.248216,22.417194,0.035)); #514817=CARTESIAN_POINT('',(37.248216,22.417194,0.035)); #514818=CARTESIAN_POINT('',(37.248216,22.417194,0.)); #514819=CARTESIAN_POINT('Origin',(37.265366,22.392181,0.)); #514820=CARTESIAN_POINT('',(37.265366,22.392181,0.)); #514821=CARTESIAN_POINT('',(37.265366,22.392181,0.)); #514822=CARTESIAN_POINT('',(37.265366,22.392181,0.035)); #514823=CARTESIAN_POINT('',(37.265366,22.392181,0.035)); #514824=CARTESIAN_POINT('',(37.265366,22.392181,0.)); #514825=CARTESIAN_POINT('Origin',(37.2714,22.362459,0.)); #514826=CARTESIAN_POINT('',(37.2714,22.362459,0.)); #514827=CARTESIAN_POINT('',(37.2714,22.362459,0.)); #514828=CARTESIAN_POINT('',(37.2714,22.362459,0.035)); #514829=CARTESIAN_POINT('',(37.2714,22.362459,0.035)); #514830=CARTESIAN_POINT('',(37.2714,22.362459,0.)); #514831=CARTESIAN_POINT('Origin',(37.2714,21.657397,0.)); #514832=CARTESIAN_POINT('',(37.2714,21.657397,0.)); #514833=CARTESIAN_POINT('',(37.2714,21.657397,0.)); #514834=CARTESIAN_POINT('',(37.2714,21.657397,0.035)); #514835=CARTESIAN_POINT('',(37.2714,21.657397,0.035)); #514836=CARTESIAN_POINT('',(37.2714,21.657397,0.)); #514837=CARTESIAN_POINT('Origin',(37.265831,21.6288,0.)); #514838=CARTESIAN_POINT('',(37.265831,21.6288,0.)); #514839=CARTESIAN_POINT('',(37.265831,21.6288,0.)); #514840=CARTESIAN_POINT('',(37.265831,21.6288,0.035)); #514841=CARTESIAN_POINT('',(37.265831,21.6288,0.035)); #514842=CARTESIAN_POINT('',(37.265831,21.6288,0.)); #514843=CARTESIAN_POINT('Origin',(37.249081,21.603516,0.)); #514844=CARTESIAN_POINT('',(37.249081,21.603516,0.)); #514845=CARTESIAN_POINT('',(37.249081,21.603516,0.)); #514846=CARTESIAN_POINT('',(37.249081,21.603516,0.035)); #514847=CARTESIAN_POINT('',(37.249081,21.603516,0.035)); #514848=CARTESIAN_POINT('',(37.249081,21.603516,0.)); #514849=CARTESIAN_POINT('Origin',(37.0726,21.427034,0.)); #514850=CARTESIAN_POINT('',(37.0726,21.427034,0.)); #514851=CARTESIAN_POINT('',(37.0726,21.427034,0.)); #514852=CARTESIAN_POINT('',(37.0726,21.427034,0.035)); #514853=CARTESIAN_POINT('',(37.0726,21.427034,0.035)); #514854=CARTESIAN_POINT('',(37.0726,21.427034,0.)); #514855=CARTESIAN_POINT('Origin',(37.0726,21.072966,0.)); #514856=CARTESIAN_POINT('',(37.0726,21.072966,0.)); #514857=CARTESIAN_POINT('',(37.0726,21.072966,0.)); #514858=CARTESIAN_POINT('',(37.0726,21.072966,0.035)); #514859=CARTESIAN_POINT('',(37.0726,21.072966,0.035)); #514860=CARTESIAN_POINT('',(37.0726,21.072966,0.)); #514861=CARTESIAN_POINT('Origin',(37.322966,20.8226,0.)); #514862=CARTESIAN_POINT('',(37.322966,20.8226,0.)); #514863=CARTESIAN_POINT('',(37.322966,20.8226,0.)); #514864=CARTESIAN_POINT('',(37.322966,20.8226,0.035)); #514865=CARTESIAN_POINT('',(37.322966,20.8226,0.035)); #514866=CARTESIAN_POINT('',(37.322966,20.8226,0.)); #514867=CARTESIAN_POINT('Origin',(37.677034,20.8226,0.)); #514868=CARTESIAN_POINT('',(37.677034,20.8226,0.)); #514869=CARTESIAN_POINT('',(37.677034,20.8226,0.)); #514870=CARTESIAN_POINT('',(37.677034,20.8226,0.035)); #514871=CARTESIAN_POINT('',(37.677034,20.8226,0.035)); #514872=CARTESIAN_POINT('',(37.677034,20.8226,0.)); #514873=CARTESIAN_POINT('Origin',(37.9274,21.072966,0.)); #514874=CARTESIAN_POINT('',(37.9274,21.072966,0.)); #514875=CARTESIAN_POINT('',(37.9274,21.072966,0.)); #514876=CARTESIAN_POINT('',(37.9274,21.072966,0.035)); #514877=CARTESIAN_POINT('',(37.9274,21.072966,0.035)); #514878=CARTESIAN_POINT('',(37.9274,21.072966,0.)); #514879=CARTESIAN_POINT('Origin',(37.9274,21.427034,0.)); #514880=CARTESIAN_POINT('',(37.9274,21.427034,0.)); #514881=CARTESIAN_POINT('',(37.9274,21.427034,0.)); #514882=CARTESIAN_POINT('',(37.9274,21.427034,0.035)); #514883=CARTESIAN_POINT('',(37.9274,21.427034,0.035)); #514884=CARTESIAN_POINT('',(37.9274,21.427034,0.)); #514885=CARTESIAN_POINT('Origin',(37.750919,21.603516,0.)); #514886=CARTESIAN_POINT('',(37.750919,21.603516,0.)); #514887=CARTESIAN_POINT('',(37.750919,21.603516,0.)); #514888=CARTESIAN_POINT('',(37.750919,21.603516,0.035)); #514889=CARTESIAN_POINT('',(37.750919,21.603516,0.035)); #514890=CARTESIAN_POINT('',(37.750919,21.603516,0.)); #514891=CARTESIAN_POINT('Origin',(37.734634,21.627675,0.)); #514892=CARTESIAN_POINT('',(37.734634,21.627675,0.)); #514893=CARTESIAN_POINT('',(37.734634,21.627675,0.)); #514894=CARTESIAN_POINT('',(37.734634,21.627675,0.035)); #514895=CARTESIAN_POINT('',(37.734634,21.627675,0.035)); #514896=CARTESIAN_POINT('',(37.734634,21.627675,0.)); #514897=CARTESIAN_POINT('Origin',(37.7286,21.657397,0.)); #514898=CARTESIAN_POINT('',(37.7286,21.657397,0.)); #514899=CARTESIAN_POINT('',(37.7286,21.657397,0.)); #514900=CARTESIAN_POINT('',(37.7286,21.657397,0.035)); #514901=CARTESIAN_POINT('',(37.7286,21.657397,0.035)); #514902=CARTESIAN_POINT('',(37.7286,21.657397,0.)); #514903=CARTESIAN_POINT('Origin',(37.7286,22.441722,0.)); #514904=CARTESIAN_POINT('',(37.7286,22.441722,0.)); #514905=CARTESIAN_POINT('',(37.7286,22.441722,0.)); #514906=CARTESIAN_POINT('',(37.7286,22.441722,0.035)); #514907=CARTESIAN_POINT('',(37.7286,22.441722,0.035)); #514908=CARTESIAN_POINT('',(37.7286,22.441722,0.)); #514909=CARTESIAN_POINT('Origin',(37.734169,22.470319,0.)); #514910=CARTESIAN_POINT('',(37.734169,22.470319,0.)); #514911=CARTESIAN_POINT('',(37.734169,22.470319,0.)); #514912=CARTESIAN_POINT('',(37.734169,22.470319,0.035)); #514913=CARTESIAN_POINT('',(37.734169,22.470319,0.035)); #514914=CARTESIAN_POINT('',(37.734169,22.470319,0.)); #514915=CARTESIAN_POINT('Origin',(37.750919,22.495603,0.)); #514916=CARTESIAN_POINT('',(37.750919,22.495603,0.)); #514917=CARTESIAN_POINT('',(37.750919,22.495603,0.)); #514918=CARTESIAN_POINT('',(37.750919,22.495603,0.035)); #514919=CARTESIAN_POINT('',(37.750919,22.495603,0.035)); #514920=CARTESIAN_POINT('',(37.750919,22.495603,0.)); #514921=CARTESIAN_POINT('Origin',(38.754397,23.499081,0.)); #514922=CARTESIAN_POINT('',(38.754397,23.499081,0.)); #514923=CARTESIAN_POINT('',(38.754397,23.499081,0.)); #514924=CARTESIAN_POINT('',(38.754397,23.499081,0.035)); #514925=CARTESIAN_POINT('',(38.754397,23.499081,0.035)); #514926=CARTESIAN_POINT('',(38.754397,23.499081,0.)); #514927=CARTESIAN_POINT('Origin',(38.778556,23.515366,0.)); #514928=CARTESIAN_POINT('',(38.778556,23.515366,0.)); #514929=CARTESIAN_POINT('',(38.778556,23.515366,0.)); #514930=CARTESIAN_POINT('',(38.778556,23.515366,0.035)); #514931=CARTESIAN_POINT('',(38.778556,23.515366,0.035)); #514932=CARTESIAN_POINT('',(38.778556,23.515366,0.)); #514933=CARTESIAN_POINT('Origin',(38.808278,23.5214,0.)); #514934=CARTESIAN_POINT('',(38.808278,23.5214,0.)); #514935=CARTESIAN_POINT('',(38.808278,23.5214,0.)); #514936=CARTESIAN_POINT('',(38.808278,23.5214,0.035)); #514937=CARTESIAN_POINT('',(38.808278,23.5214,0.035)); #514938=CARTESIAN_POINT('',(38.808278,23.5214,0.)); #514939=CARTESIAN_POINT('Origin',(45.106691,23.5214,0.)); #514940=CARTESIAN_POINT('',(45.106691,23.5214,0.)); #514941=CARTESIAN_POINT('',(45.106691,23.5214,0.)); #514942=CARTESIAN_POINT('',(45.106691,23.5214,0.035)); #514943=CARTESIAN_POINT('',(45.106691,23.5214,0.035)); #514944=CARTESIAN_POINT('',(45.106691,23.5214,0.)); #514945=CARTESIAN_POINT('Origin',(45.9906,24.405309,0.)); #514946=CARTESIAN_POINT('',(45.9906,24.405309,0.)); #514947=CARTESIAN_POINT('',(45.9906,24.405309,0.)); #514948=CARTESIAN_POINT('',(45.9906,24.405309,0.035)); #514949=CARTESIAN_POINT('',(45.9906,24.405309,0.035)); #514950=CARTESIAN_POINT('',(45.9906,24.405309,0.)); #514951=CARTESIAN_POINT('Origin',(45.9906,25.3269,0.)); #514952=CARTESIAN_POINT('',(45.9906,25.3269,0.)); #514953=CARTESIAN_POINT('',(45.9906,25.3269,0.)); #514954=CARTESIAN_POINT('',(45.9906,25.3269,0.035)); #514955=CARTESIAN_POINT('',(45.9906,25.3269,0.035)); #514956=CARTESIAN_POINT('',(45.9906,25.3269,0.)); #514957=CARTESIAN_POINT('Origin',(45.995722,25.354369,0.)); #514958=CARTESIAN_POINT('',(45.995722,25.354369,0.)); #514959=CARTESIAN_POINT('',(45.995722,25.354369,0.)); #514960=CARTESIAN_POINT('',(45.995722,25.354369,0.035)); #514961=CARTESIAN_POINT('',(45.995722,25.354369,0.035)); #514962=CARTESIAN_POINT('',(45.995722,25.354369,0.)); #514963=CARTESIAN_POINT('Origin',(46.012066,25.379916,0.)); #514964=CARTESIAN_POINT('',(46.012066,25.379916,0.)); #514965=CARTESIAN_POINT('',(46.012066,25.379916,0.)); #514966=CARTESIAN_POINT('',(46.012066,25.379916,0.035)); #514967=CARTESIAN_POINT('',(46.012066,25.379916,0.035)); #514968=CARTESIAN_POINT('',(46.012066,25.379916,0.)); #514969=CARTESIAN_POINT('Origin',(46.037078,25.397066,0.)); #514970=CARTESIAN_POINT('',(46.037078,25.397066,0.)); #514971=CARTESIAN_POINT('',(46.037078,25.397066,0.)); #514972=CARTESIAN_POINT('',(46.037078,25.397066,0.035)); #514973=CARTESIAN_POINT('',(46.037078,25.397066,0.035)); #514974=CARTESIAN_POINT('',(46.037078,25.397066,0.)); #514975=CARTESIAN_POINT('Origin',(46.0668,25.4031,0.)); #514976=CARTESIAN_POINT('',(46.0668,25.4031,0.)); #514977=CARTESIAN_POINT('',(46.0668,25.4031,0.)); #514978=CARTESIAN_POINT('',(46.0668,25.4031,0.035)); #514979=CARTESIAN_POINT('',(46.0668,25.4031,0.035)); #514980=CARTESIAN_POINT('',(46.0668,25.4031,0.)); #514981=CARTESIAN_POINT('Origin',(46.244228,25.4031,0.)); #514982=CARTESIAN_POINT('',(46.244228,25.4031,0.)); #514983=CARTESIAN_POINT('',(46.244228,25.4031,0.)); #514984=CARTESIAN_POINT('',(46.244228,25.4031,0.035)); #514985=CARTESIAN_POINT('',(46.244228,25.4031,0.035)); #514986=CARTESIAN_POINT('',(46.244228,25.4031,0.)); #514987=CARTESIAN_POINT('Origin',(46.3335,25.492372,0.)); #514988=CARTESIAN_POINT('',(46.3335,25.492372,0.)); #514989=CARTESIAN_POINT('',(46.3335,25.492372,0.)); #514990=CARTESIAN_POINT('',(46.3335,25.492372,0.035)); #514991=CARTESIAN_POINT('',(46.3335,25.492372,0.035)); #514992=CARTESIAN_POINT('',(46.3335,25.492372,0.)); #514993=CARTESIAN_POINT('Origin',(46.3335,26.507628,0.)); #514994=CARTESIAN_POINT('',(46.3335,26.507628,0.)); #514995=CARTESIAN_POINT('',(46.3335,26.507628,0.)); #514996=CARTESIAN_POINT('',(46.3335,26.507628,0.035)); #514997=CARTESIAN_POINT('',(46.3335,26.507628,0.035)); #514998=CARTESIAN_POINT('',(46.3335,26.507628,0.)); #514999=CARTESIAN_POINT('Origin',(46.244228,26.5969,0.)); #515000=CARTESIAN_POINT('',(46.244228,26.5969,0.)); #515001=CARTESIAN_POINT('',(46.244228,26.5969,0.)); #515002=CARTESIAN_POINT('',(46.244228,26.5969,0.035)); #515003=CARTESIAN_POINT('',(46.244228,26.5969,0.035)); #515004=CARTESIAN_POINT('',(46.244228,26.5969,0.)); #515005=CARTESIAN_POINT('Origin',(45.279772,26.5969,0.)); #515006=CARTESIAN_POINT('',(45.279772,26.5969,0.)); #515007=CARTESIAN_POINT('',(45.279772,26.5969,0.)); #515008=CARTESIAN_POINT('',(45.279772,26.5969,0.035)); #515009=CARTESIAN_POINT('',(45.279772,26.5969,0.035)); #515010=CARTESIAN_POINT('',(45.279772,26.5969,0.)); #515011=CARTESIAN_POINT('Origin',(45.1905,26.507628,0.)); #515012=CARTESIAN_POINT('',(45.1905,26.507628,0.)); #515013=CARTESIAN_POINT('',(45.1905,26.507628,0.)); #515014=CARTESIAN_POINT('',(45.1905,26.507628,0.035)); #515015=CARTESIAN_POINT('',(45.1905,26.507628,0.035)); #515016=CARTESIAN_POINT('',(45.1905,26.507628,0.)); #515017=CARTESIAN_POINT('Origin',(45.1905,25.492372,0.)); #515018=CARTESIAN_POINT('',(45.1905,25.492372,0.)); #515019=CARTESIAN_POINT('',(45.1905,25.492372,0.)); #515020=CARTESIAN_POINT('',(45.1905,25.492372,0.035)); #515021=CARTESIAN_POINT('',(45.1905,25.492372,0.035)); #515022=CARTESIAN_POINT('',(45.1905,25.492372,0.)); #515023=CARTESIAN_POINT('Origin',(45.279772,25.4031,0.)); #515024=CARTESIAN_POINT('',(45.279772,25.4031,0.)); #515025=CARTESIAN_POINT('',(45.279772,25.4031,0.)); #515026=CARTESIAN_POINT('',(45.279772,25.4031,0.035)); #515027=CARTESIAN_POINT('',(45.279772,25.4031,0.035)); #515028=CARTESIAN_POINT('',(45.279772,25.4031,0.)); #515029=CARTESIAN_POINT('Origin',(45.4572,25.4031,0.)); #515030=CARTESIAN_POINT('',(45.4572,25.4031,0.)); #515031=CARTESIAN_POINT('',(45.4572,25.4031,0.)); #515032=CARTESIAN_POINT('',(45.4572,25.4031,0.035)); #515033=CARTESIAN_POINT('',(45.4572,25.4031,0.035)); #515034=CARTESIAN_POINT('',(45.4572,25.4031,0.)); #515035=CARTESIAN_POINT('Origin',(45.484669,25.397978,0.)); #515036=CARTESIAN_POINT('',(45.484669,25.397978,0.)); #515037=CARTESIAN_POINT('',(45.484669,25.397978,0.)); #515038=CARTESIAN_POINT('',(45.484669,25.397978,0.035)); #515039=CARTESIAN_POINT('',(45.484669,25.397978,0.035)); #515040=CARTESIAN_POINT('',(45.484669,25.397978,0.)); #515041=CARTESIAN_POINT('Origin',(45.510216,25.381634,0.)); #515042=CARTESIAN_POINT('',(45.510216,25.381634,0.)); #515043=CARTESIAN_POINT('',(45.510216,25.381634,0.)); #515044=CARTESIAN_POINT('',(45.510216,25.381634,0.035)); #515045=CARTESIAN_POINT('',(45.510216,25.381634,0.035)); #515046=CARTESIAN_POINT('',(45.510216,25.381634,0.)); #515047=CARTESIAN_POINT('Origin',(45.527366,25.356622,0.)); #515048=CARTESIAN_POINT('',(45.527366,25.356622,0.)); #515049=CARTESIAN_POINT('',(45.527366,25.356622,0.)); #515050=CARTESIAN_POINT('',(45.527366,25.356622,0.035)); #515051=CARTESIAN_POINT('',(45.527366,25.356622,0.035)); #515052=CARTESIAN_POINT('',(45.527366,25.356622,0.)); #515053=CARTESIAN_POINT('Origin',(45.5334,25.3269,0.)); #515054=CARTESIAN_POINT('',(45.5334,25.3269,0.)); #515055=CARTESIAN_POINT('',(45.5334,25.3269,0.)); #515056=CARTESIAN_POINT('',(45.5334,25.3269,0.035)); #515057=CARTESIAN_POINT('',(45.5334,25.3269,0.035)); #515058=CARTESIAN_POINT('',(45.5334,25.3269,0.)); #515059=CARTESIAN_POINT('Origin',(45.5334,24.626253,0.)); #515060=CARTESIAN_POINT('',(45.5334,24.626253,0.)); #515061=CARTESIAN_POINT('',(45.5334,24.626253,0.)); #515062=CARTESIAN_POINT('',(45.5334,24.626253,0.035)); #515063=CARTESIAN_POINT('',(45.5334,24.626253,0.035)); #515064=CARTESIAN_POINT('',(45.5334,24.626253,0.)); #515065=CARTESIAN_POINT('Origin',(45.527831,24.597656,0.)); #515066=CARTESIAN_POINT('',(45.527831,24.597656,0.)); #515067=CARTESIAN_POINT('',(45.527831,24.597656,0.)); #515068=CARTESIAN_POINT('',(45.527831,24.597656,0.035)); #515069=CARTESIAN_POINT('',(45.527831,24.597656,0.035)); #515070=CARTESIAN_POINT('',(45.527831,24.597656,0.)); #515071=CARTESIAN_POINT('Origin',(45.511081,24.572372,0.)); #515072=CARTESIAN_POINT('',(45.511081,24.572372,0.)); #515073=CARTESIAN_POINT('',(45.511081,24.572372,0.)); #515074=CARTESIAN_POINT('',(45.511081,24.572372,0.035)); #515075=CARTESIAN_POINT('',(45.511081,24.572372,0.035)); #515076=CARTESIAN_POINT('',(45.511081,24.572372,0.)); #515077=CARTESIAN_POINT('Origin',(44.939628,24.000919,0.)); #515078=CARTESIAN_POINT('',(44.939628,24.000919,0.)); #515079=CARTESIAN_POINT('',(44.939628,24.000919,0.)); #515080=CARTESIAN_POINT('',(44.939628,24.000919,0.035)); #515081=CARTESIAN_POINT('',(44.939628,24.000919,0.035)); #515082=CARTESIAN_POINT('',(44.939628,24.000919,0.)); #515083=CARTESIAN_POINT('Origin',(44.915469,23.984634,0.)); #515084=CARTESIAN_POINT('',(44.915469,23.984634,0.)); #515085=CARTESIAN_POINT('',(44.915469,23.984634,0.)); #515086=CARTESIAN_POINT('',(44.915469,23.984634,0.035)); #515087=CARTESIAN_POINT('',(44.915469,23.984634,0.035)); #515088=CARTESIAN_POINT('',(44.915469,23.984634,0.)); #515089=CARTESIAN_POINT('Origin',(44.885747,23.9786,0.)); #515090=CARTESIAN_POINT('',(44.885747,23.9786,0.)); #515091=CARTESIAN_POINT('',(44.885747,23.9786,0.)); #515092=CARTESIAN_POINT('',(44.885747,23.9786,0.035)); #515093=CARTESIAN_POINT('',(44.885747,23.9786,0.035)); #515094=CARTESIAN_POINT('',(44.885747,23.9786,0.)); #515095=CARTESIAN_POINT('Origin',(38.887541,23.9786,0.)); #515096=CARTESIAN_POINT('',(38.887541,23.9786,0.)); #515097=CARTESIAN_POINT('',(38.887541,23.9786,0.)); #515098=CARTESIAN_POINT('',(38.887541,23.9786,0.035)); #515099=CARTESIAN_POINT('',(38.887541,23.9786,0.035)); #515100=CARTESIAN_POINT('',(38.887541,23.9786,0.)); #515101=CARTESIAN_POINT('Origin',(38.861209,23.983294,0.)); #515102=CARTESIAN_POINT('',(38.861209,23.983294,0.)); #515103=CARTESIAN_POINT('',(38.861209,23.983294,0.)); #515104=CARTESIAN_POINT('',(38.861209,23.983294,0.035)); #515105=CARTESIAN_POINT('',(38.861209,23.983294,0.035)); #515106=CARTESIAN_POINT('',(38.861209,23.983294,0.)); #515107=CARTESIAN_POINT('Origin',(38.835403,23.999228,0.)); #515108=CARTESIAN_POINT('',(38.835403,23.999228,0.)); #515109=CARTESIAN_POINT('',(38.835403,23.999228,0.)); #515110=CARTESIAN_POINT('',(38.835403,23.999228,0.035)); #515111=CARTESIAN_POINT('',(38.835403,23.999228,0.035)); #515112=CARTESIAN_POINT('',(38.835403,23.999228,0.)); #515113=CARTESIAN_POINT('Origin',(38.817859,24.023966,0.)); #515114=CARTESIAN_POINT('',(38.817859,24.023966,0.)); #515115=CARTESIAN_POINT('',(38.817859,24.023966,0.)); #515116=CARTESIAN_POINT('',(38.817859,24.023966,0.035)); #515117=CARTESIAN_POINT('',(38.817859,24.023966,0.035)); #515118=CARTESIAN_POINT('',(38.817859,24.023966,0.)); #515119=CARTESIAN_POINT('Origin',(38.81135,24.053584,0.)); #515120=CARTESIAN_POINT('',(38.81135,24.053584,0.)); #515121=CARTESIAN_POINT('',(38.81135,24.053584,0.)); #515122=CARTESIAN_POINT('',(38.81135,24.053584,0.035)); #515123=CARTESIAN_POINT('',(38.81135,24.053584,0.035)); #515124=CARTESIAN_POINT('',(38.81135,24.053584,0.)); #515125=CARTESIAN_POINT('Origin',(38.816909,24.083397,0.)); #515126=CARTESIAN_POINT('',(38.816909,24.083397,0.)); #515127=CARTESIAN_POINT('',(38.816909,24.083397,0.)); #515128=CARTESIAN_POINT('',(38.816909,24.083397,0.035)); #515129=CARTESIAN_POINT('',(38.816909,24.083397,0.035)); #515130=CARTESIAN_POINT('',(38.816909,24.083397,0.)); #515131=CARTESIAN_POINT('Origin',(38.833659,24.108681,0.)); #515132=CARTESIAN_POINT('',(38.833659,24.108681,0.)); #515133=CARTESIAN_POINT('',(38.833659,24.108681,0.)); #515134=CARTESIAN_POINT('',(38.833659,24.108681,0.035)); #515135=CARTESIAN_POINT('',(38.833659,24.108681,0.035)); #515136=CARTESIAN_POINT('',(38.833659,24.108681,0.)); #515137=CARTESIAN_POINT('Origin',(39.1036,24.378622,0.)); #515138=CARTESIAN_POINT('',(39.1036,24.378622,0.)); #515139=CARTESIAN_POINT('',(39.1036,24.378622,0.)); #515140=CARTESIAN_POINT('',(39.1036,24.378622,0.035)); #515141=CARTESIAN_POINT('',(39.1036,24.378622,0.035)); #515142=CARTESIAN_POINT('',(39.1036,24.378622,0.)); #515143=CARTESIAN_POINT('Origin',(39.1036,26.029084,0.)); #515144=CARTESIAN_POINT('',(39.1036,26.029084,0.)); #515145=CARTESIAN_POINT('',(39.1036,26.029084,0.)); #515146=CARTESIAN_POINT('',(39.1036,26.029084,0.035)); #515147=CARTESIAN_POINT('',(39.1036,26.029084,0.035)); #515148=CARTESIAN_POINT('',(39.1036,26.029084,0.)); #515149=CARTESIAN_POINT('Origin',(39.109169,26.057681,0.)); #515150=CARTESIAN_POINT('',(39.109169,26.057681,0.)); #515151=CARTESIAN_POINT('',(39.109169,26.057681,0.)); #515152=CARTESIAN_POINT('',(39.109169,26.057681,0.035)); #515153=CARTESIAN_POINT('',(39.109169,26.057681,0.035)); #515154=CARTESIAN_POINT('',(39.109169,26.057681,0.)); #515155=CARTESIAN_POINT('Origin',(39.125919,26.082966,0.)); #515156=CARTESIAN_POINT('',(39.125919,26.082966,0.)); #515157=CARTESIAN_POINT('',(39.125919,26.082966,0.)); #515158=CARTESIAN_POINT('',(39.125919,26.082966,0.035)); #515159=CARTESIAN_POINT('',(39.125919,26.082966,0.035)); #515160=CARTESIAN_POINT('',(39.125919,26.082966,0.)); #515161=CARTESIAN_POINT('Origin',(40.192519,27.149566,0.)); #515162=CARTESIAN_POINT('',(40.192519,27.149566,0.)); #515163=CARTESIAN_POINT('',(40.192519,27.149566,0.)); #515164=CARTESIAN_POINT('',(40.192519,27.149566,0.035)); #515165=CARTESIAN_POINT('',(40.192519,27.149566,0.035)); #515166=CARTESIAN_POINT('',(40.192519,27.149566,0.)); #515167=CARTESIAN_POINT('Origin',(40.214456,27.164866,0.)); #515168=CARTESIAN_POINT('',(40.214456,27.164866,0.)); #515169=CARTESIAN_POINT('',(40.214456,27.164866,0.)); #515170=CARTESIAN_POINT('',(40.214456,27.164866,0.035)); #515171=CARTESIAN_POINT('',(40.214456,27.164866,0.035)); #515172=CARTESIAN_POINT('',(40.214456,27.164866,0.)); #515173=CARTESIAN_POINT('Origin',(40.243972,27.171847,0.)); #515174=CARTESIAN_POINT('',(40.243972,27.171847,0.)); #515175=CARTESIAN_POINT('',(40.243972,27.171847,0.)); #515176=CARTESIAN_POINT('',(40.243972,27.171847,0.035)); #515177=CARTESIAN_POINT('',(40.243972,27.171847,0.035)); #515178=CARTESIAN_POINT('',(40.243972,27.171847,0.)); #515179=CARTESIAN_POINT('Origin',(40.273869,27.166763,0.)); #515180=CARTESIAN_POINT('',(40.273869,27.166763,0.)); #515181=CARTESIAN_POINT('',(40.273869,27.166763,0.)); #515182=CARTESIAN_POINT('',(40.273869,27.166763,0.035)); #515183=CARTESIAN_POINT('',(40.273869,27.166763,0.035)); #515184=CARTESIAN_POINT('',(40.273869,27.166763,0.)); #515185=CARTESIAN_POINT('Origin',(40.299416,27.150419,0.)); #515186=CARTESIAN_POINT('',(40.299416,27.150419,0.)); #515187=CARTESIAN_POINT('',(40.299416,27.150419,0.)); #515188=CARTESIAN_POINT('',(40.299416,27.150419,0.035)); #515189=CARTESIAN_POINT('',(40.299416,27.150419,0.035)); #515190=CARTESIAN_POINT('',(40.299416,27.150419,0.)); #515191=CARTESIAN_POINT('Origin',(40.316566,27.125406,0.)); #515192=CARTESIAN_POINT('',(40.316566,27.125406,0.)); #515193=CARTESIAN_POINT('',(40.316566,27.125406,0.)); #515194=CARTESIAN_POINT('',(40.316566,27.125406,0.035)); #515195=CARTESIAN_POINT('',(40.316566,27.125406,0.035)); #515196=CARTESIAN_POINT('',(40.316566,27.125406,0.)); #515197=CARTESIAN_POINT('Origin',(40.3226,27.095684,0.)); #515198=CARTESIAN_POINT('',(40.3226,27.095684,0.)); #515199=CARTESIAN_POINT('',(40.3226,27.095684,0.)); #515200=CARTESIAN_POINT('',(40.3226,27.095684,0.035)); #515201=CARTESIAN_POINT('',(40.3226,27.095684,0.035)); #515202=CARTESIAN_POINT('',(40.3226,27.095684,0.)); #515203=CARTESIAN_POINT('Origin',(40.3226,26.822966,0.)); #515204=CARTESIAN_POINT('',(40.3226,26.822966,0.)); #515205=CARTESIAN_POINT('',(40.3226,26.822966,0.)); #515206=CARTESIAN_POINT('',(40.3226,26.822966,0.035)); #515207=CARTESIAN_POINT('',(40.3226,26.822966,0.035)); #515208=CARTESIAN_POINT('',(40.3226,26.822966,0.)); #515209=CARTESIAN_POINT('Origin',(40.572966,26.5726,0.)); #515210=CARTESIAN_POINT('',(40.572966,26.5726,0.)); #515211=CARTESIAN_POINT('',(40.572966,26.5726,0.)); #515212=CARTESIAN_POINT('',(40.572966,26.5726,0.035)); #515213=CARTESIAN_POINT('',(40.572966,26.5726,0.035)); #515214=CARTESIAN_POINT('',(40.572966,26.5726,0.)); #515215=CARTESIAN_POINT('Origin',(40.927034,26.5726,0.)); #515216=CARTESIAN_POINT('',(40.927034,26.5726,0.)); #515217=CARTESIAN_POINT('',(40.927034,26.5726,0.)); #515218=CARTESIAN_POINT('',(40.927034,26.5726,0.035)); #515219=CARTESIAN_POINT('',(40.927034,26.5726,0.035)); #515220=CARTESIAN_POINT('',(40.927034,26.5726,0.)); #515221=CARTESIAN_POINT('Origin',(41.1774,26.822966,0.)); #515222=CARTESIAN_POINT('',(41.1774,26.822966,0.)); #515223=CARTESIAN_POINT('',(41.1774,26.822966,0.)); #515224=CARTESIAN_POINT('',(41.1774,26.822966,0.035)); #515225=CARTESIAN_POINT('',(41.1774,26.822966,0.035)); #515226=CARTESIAN_POINT('',(41.1774,26.822966,0.)); #515227=CARTESIAN_POINT('Origin',(41.1774,27.072547,0.)); #515228=CARTESIAN_POINT('',(41.1774,27.072547,0.)); #515229=CARTESIAN_POINT('',(41.1774,27.072547,0.)); #515230=CARTESIAN_POINT('',(41.1774,27.072547,0.035)); #515231=CARTESIAN_POINT('',(41.1774,27.072547,0.035)); #515232=CARTESIAN_POINT('',(41.1774,27.072547,0.)); #515233=CARTESIAN_POINT('Origin',(41.182969,27.101144,0.)); #515234=CARTESIAN_POINT('',(41.182969,27.101144,0.)); #515235=CARTESIAN_POINT('',(41.182969,27.101144,0.)); #515236=CARTESIAN_POINT('',(41.182969,27.101144,0.035)); #515237=CARTESIAN_POINT('',(41.182969,27.101144,0.035)); #515238=CARTESIAN_POINT('',(41.182969,27.101144,0.)); #515239=CARTESIAN_POINT('Origin',(41.199719,27.126428,0.)); #515240=CARTESIAN_POINT('',(41.199719,27.126428,0.)); #515241=CARTESIAN_POINT('',(41.199719,27.126428,0.)); #515242=CARTESIAN_POINT('',(41.199719,27.126428,0.035)); #515243=CARTESIAN_POINT('',(41.199719,27.126428,0.035)); #515244=CARTESIAN_POINT('',(41.199719,27.126428,0.)); #515245=CARTESIAN_POINT('Origin',(42.123572,28.050281,0.)); #515246=CARTESIAN_POINT('',(42.123572,28.050281,0.)); #515247=CARTESIAN_POINT('',(42.123572,28.050281,0.)); #515248=CARTESIAN_POINT('',(42.123572,28.050281,0.035)); #515249=CARTESIAN_POINT('',(42.123572,28.050281,0.035)); #515250=CARTESIAN_POINT('',(42.123572,28.050281,0.)); #515251=CARTESIAN_POINT('Origin',(42.147731,28.066566,0.)); #515252=CARTESIAN_POINT('',(42.147731,28.066566,0.)); #515253=CARTESIAN_POINT('',(42.147731,28.066566,0.)); #515254=CARTESIAN_POINT('',(42.147731,28.066566,0.035)); #515255=CARTESIAN_POINT('',(42.147731,28.066566,0.035)); #515256=CARTESIAN_POINT('',(42.147731,28.066566,0.)); #515257=CARTESIAN_POINT('Origin',(42.177453,28.0726,0.)); #515258=CARTESIAN_POINT('',(42.177453,28.0726,0.)); #515259=CARTESIAN_POINT('',(42.177453,28.0726,0.)); #515260=CARTESIAN_POINT('',(42.177453,28.0726,0.035)); #515261=CARTESIAN_POINT('',(42.177453,28.0726,0.035)); #515262=CARTESIAN_POINT('',(42.177453,28.0726,0.)); #515263=CARTESIAN_POINT('Origin',(42.427034,28.0726,0.)); #515264=CARTESIAN_POINT('',(42.427034,28.0726,0.)); #515265=CARTESIAN_POINT('',(42.427034,28.0726,0.)); #515266=CARTESIAN_POINT('',(42.427034,28.0726,0.035)); #515267=CARTESIAN_POINT('',(42.427034,28.0726,0.035)); #515268=CARTESIAN_POINT('',(42.427034,28.0726,0.)); #515269=CARTESIAN_POINT('Origin',(42.6774,28.322966,0.)); #515270=CARTESIAN_POINT('',(42.6774,28.322966,0.)); #515271=CARTESIAN_POINT('',(42.6774,28.322966,0.)); #515272=CARTESIAN_POINT('',(42.6774,28.322966,0.035)); #515273=CARTESIAN_POINT('',(42.6774,28.322966,0.035)); #515274=CARTESIAN_POINT('',(42.6774,28.322966,0.)); #515275=CARTESIAN_POINT('Origin',(42.6774,28.677034,0.)); #515276=CARTESIAN_POINT('',(42.6774,28.677034,0.)); #515277=CARTESIAN_POINT('',(42.6774,28.677034,0.)); #515278=CARTESIAN_POINT('',(42.6774,28.677034,0.035)); #515279=CARTESIAN_POINT('',(42.6774,28.677034,0.035)); #515280=CARTESIAN_POINT('',(42.6774,28.677034,0.)); #515281=CARTESIAN_POINT('Origin',(42.427034,28.9274,0.)); #515282=CARTESIAN_POINT('',(42.427034,28.9274,0.)); #515283=CARTESIAN_POINT('',(42.427034,28.9274,0.)); #515284=CARTESIAN_POINT('',(42.427034,28.9274,0.035)); #515285=CARTESIAN_POINT('',(42.427034,28.9274,0.035)); #515286=CARTESIAN_POINT('',(42.427034,28.9274,0.)); #515287=CARTESIAN_POINT('Origin',(42.154316,28.9274,0.)); #515288=CARTESIAN_POINT('',(42.154316,28.9274,0.)); #515289=CARTESIAN_POINT('',(42.154316,28.9274,0.)); #515290=CARTESIAN_POINT('',(42.154316,28.9274,0.035)); #515291=CARTESIAN_POINT('',(42.154316,28.9274,0.035)); #515292=CARTESIAN_POINT('',(42.154316,28.9274,0.)); #515293=CARTESIAN_POINT('Origin',(42.127984,28.932094,0.)); #515294=CARTESIAN_POINT('',(42.127984,28.932094,0.)); #515295=CARTESIAN_POINT('',(42.127984,28.932094,0.)); #515296=CARTESIAN_POINT('',(42.127984,28.932094,0.035)); #515297=CARTESIAN_POINT('',(42.127984,28.932094,0.035)); #515298=CARTESIAN_POINT('',(42.127984,28.932094,0.)); #515299=CARTESIAN_POINT('Origin',(42.102178,28.948028,0.)); #515300=CARTESIAN_POINT('',(42.102178,28.948028,0.)); #515301=CARTESIAN_POINT('',(42.102178,28.948028,0.)); #515302=CARTESIAN_POINT('',(42.102178,28.948028,0.035)); #515303=CARTESIAN_POINT('',(42.102178,28.948028,0.035)); #515304=CARTESIAN_POINT('',(42.102178,28.948028,0.)); #515305=CARTESIAN_POINT('Origin',(42.084634,28.972766,0.)); #515306=CARTESIAN_POINT('',(42.084634,28.972766,0.)); #515307=CARTESIAN_POINT('',(42.084634,28.972766,0.)); #515308=CARTESIAN_POINT('',(42.084634,28.972766,0.035)); #515309=CARTESIAN_POINT('',(42.084634,28.972766,0.035)); #515310=CARTESIAN_POINT('',(42.084634,28.972766,0.)); #515311=CARTESIAN_POINT('Origin',(42.078125,29.002384,0.)); #515312=CARTESIAN_POINT('',(42.078125,29.002384,0.)); #515313=CARTESIAN_POINT('',(42.078125,29.002384,0.)); #515314=CARTESIAN_POINT('',(42.078125,29.002384,0.035)); #515315=CARTESIAN_POINT('',(42.078125,29.002384,0.035)); #515316=CARTESIAN_POINT('',(42.078125,29.002384,0.)); #515317=CARTESIAN_POINT('Origin',(42.083684,29.032197,0.)); #515318=CARTESIAN_POINT('',(42.083684,29.032197,0.)); #515319=CARTESIAN_POINT('',(42.083684,29.032197,0.)); #515320=CARTESIAN_POINT('',(42.083684,29.032197,0.035)); #515321=CARTESIAN_POINT('',(42.083684,29.032197,0.035)); #515322=CARTESIAN_POINT('',(42.083684,29.032197,0.)); #515323=CARTESIAN_POINT('Origin',(42.100434,29.057481,0.)); #515324=CARTESIAN_POINT('',(42.100434,29.057481,0.)); #515325=CARTESIAN_POINT('',(42.100434,29.057481,0.)); #515326=CARTESIAN_POINT('',(42.100434,29.057481,0.035)); #515327=CARTESIAN_POINT('',(42.100434,29.057481,0.035)); #515328=CARTESIAN_POINT('',(42.100434,29.057481,0.)); #515329=CARTESIAN_POINT('Origin',(42.2286,29.185647,0.)); #515330=CARTESIAN_POINT('',(42.2286,29.185647,0.)); #515331=CARTESIAN_POINT('',(42.2286,29.185647,0.)); #515332=CARTESIAN_POINT('',(42.2286,29.185647,0.035)); #515333=CARTESIAN_POINT('',(42.2286,29.185647,0.035)); #515334=CARTESIAN_POINT('',(42.2286,29.185647,0.)); #515335=CARTESIAN_POINT('Origin',(42.2286,30.592603,0.)); #515336=CARTESIAN_POINT('',(42.2286,30.592603,0.)); #515337=CARTESIAN_POINT('',(42.2286,30.592603,0.)); #515338=CARTESIAN_POINT('',(42.2286,30.592603,0.035)); #515339=CARTESIAN_POINT('',(42.2286,30.592603,0.035)); #515340=CARTESIAN_POINT('',(42.2286,30.592603,0.)); #515341=CARTESIAN_POINT('Origin',(42.234169,30.6212,0.)); #515342=CARTESIAN_POINT('',(42.234169,30.6212,0.)); #515343=CARTESIAN_POINT('',(42.234169,30.6212,0.)); #515344=CARTESIAN_POINT('',(42.234169,30.6212,0.035)); #515345=CARTESIAN_POINT('',(42.234169,30.6212,0.035)); #515346=CARTESIAN_POINT('',(42.234169,30.6212,0.)); #515347=CARTESIAN_POINT('Origin',(42.250919,30.646484,0.)); #515348=CARTESIAN_POINT('',(42.250919,30.646484,0.)); #515349=CARTESIAN_POINT('',(42.250919,30.646484,0.)); #515350=CARTESIAN_POINT('',(42.250919,30.646484,0.035)); #515351=CARTESIAN_POINT('',(42.250919,30.646484,0.035)); #515352=CARTESIAN_POINT('',(42.250919,30.646484,0.)); #515353=CARTESIAN_POINT('Origin',(42.4274,30.822966,0.)); #515354=CARTESIAN_POINT('',(42.4274,30.822966,0.)); #515355=CARTESIAN_POINT('',(42.4274,30.822966,0.)); #515356=CARTESIAN_POINT('',(42.4274,30.822966,0.035)); #515357=CARTESIAN_POINT('',(42.4274,30.822966,0.035)); #515358=CARTESIAN_POINT('',(42.4274,30.822966,0.)); #515359=CARTESIAN_POINT('Origin',(42.4274,31.177034,0.)); #515360=CARTESIAN_POINT('',(42.4274,31.177034,0.)); #515361=CARTESIAN_POINT('',(42.4274,31.177034,0.)); #515362=CARTESIAN_POINT('',(42.4274,31.177034,0.035)); #515363=CARTESIAN_POINT('',(42.4274,31.177034,0.035)); #515364=CARTESIAN_POINT('',(42.4274,31.177034,0.)); #515365=CARTESIAN_POINT('Origin',(42.177034,31.4274,0.)); #515366=CARTESIAN_POINT('',(42.177034,31.4274,0.)); #515367=CARTESIAN_POINT('',(42.177034,31.4274,0.)); #515368=CARTESIAN_POINT('',(42.177034,31.4274,0.035)); #515369=CARTESIAN_POINT('',(42.177034,31.4274,0.035)); #515370=CARTESIAN_POINT('',(42.177034,31.4274,0.)); #515371=CARTESIAN_POINT('Origin',(41.822966,31.4274,0.)); #515372=CARTESIAN_POINT('',(41.822966,31.4274,0.)); #515373=CARTESIAN_POINT('',(41.822966,31.4274,0.)); #515374=CARTESIAN_POINT('',(41.822966,31.4274,0.035)); #515375=CARTESIAN_POINT('',(41.822966,31.4274,0.035)); #515376=CARTESIAN_POINT('',(41.822966,31.4274,0.)); #515377=CARTESIAN_POINT('Origin',(41.678881,31.283316,0.)); #515378=CARTESIAN_POINT('',(41.678881,31.283316,0.)); #515379=CARTESIAN_POINT('',(41.678881,31.283316,0.)); #515380=CARTESIAN_POINT('',(41.678881,31.283316,0.035)); #515381=CARTESIAN_POINT('',(41.678881,31.283316,0.035)); #515382=CARTESIAN_POINT('',(41.678881,31.283316,0.)); #515383=CARTESIAN_POINT('Origin',(41.655834,31.267516,0.)); #515384=CARTESIAN_POINT('',(41.655834,31.267516,0.)); #515385=CARTESIAN_POINT('',(41.655834,31.267516,0.)); #515386=CARTESIAN_POINT('',(41.655834,31.267516,0.035)); #515387=CARTESIAN_POINT('',(41.655834,31.267516,0.035)); #515388=CARTESIAN_POINT('',(41.655834,31.267516,0.)); #515389=CARTESIAN_POINT('Origin',(41.626216,31.261006,0.)); #515390=CARTESIAN_POINT('',(41.626216,31.261006,0.)); #515391=CARTESIAN_POINT('',(41.626216,31.261006,0.)); #515392=CARTESIAN_POINT('',(41.626216,31.261006,0.035)); #515393=CARTESIAN_POINT('',(41.626216,31.261006,0.035)); #515394=CARTESIAN_POINT('',(41.626216,31.261006,0.)); #515395=CARTESIAN_POINT('Origin',(41.596403,31.266566,0.)); #515396=CARTESIAN_POINT('',(41.596403,31.266566,0.)); #515397=CARTESIAN_POINT('',(41.596403,31.266566,0.)); #515398=CARTESIAN_POINT('',(41.596403,31.266566,0.035)); #515399=CARTESIAN_POINT('',(41.596403,31.266566,0.035)); #515400=CARTESIAN_POINT('',(41.596403,31.266566,0.)); #515401=CARTESIAN_POINT('Origin',(41.571119,31.283316,0.)); #515402=CARTESIAN_POINT('',(41.571119,31.283316,0.)); #515403=CARTESIAN_POINT('',(41.571119,31.283316,0.)); #515404=CARTESIAN_POINT('',(41.571119,31.283316,0.035)); #515405=CARTESIAN_POINT('',(41.571119,31.283316,0.035)); #515406=CARTESIAN_POINT('',(41.571119,31.283316,0.)); #515407=CARTESIAN_POINT('Origin',(41.427034,31.4274,0.)); #515408=CARTESIAN_POINT('',(41.427034,31.4274,0.)); #515409=CARTESIAN_POINT('',(41.427034,31.4274,0.)); #515410=CARTESIAN_POINT('',(41.427034,31.4274,0.035)); #515411=CARTESIAN_POINT('',(41.427034,31.4274,0.035)); #515412=CARTESIAN_POINT('',(41.427034,31.4274,0.)); #515413=CARTESIAN_POINT('Origin',(41.072966,31.4274,0.)); #515414=CARTESIAN_POINT('',(41.072966,31.4274,0.)); #515415=CARTESIAN_POINT('',(41.072966,31.4274,0.)); #515416=CARTESIAN_POINT('',(41.072966,31.4274,0.035)); #515417=CARTESIAN_POINT('',(41.072966,31.4274,0.035)); #515418=CARTESIAN_POINT('',(41.072966,31.4274,0.)); #515419=CARTESIAN_POINT('Origin',(40.8226,31.177034,0.)); #515420=CARTESIAN_POINT('',(40.8226,31.177034,0.)); #515421=CARTESIAN_POINT('',(40.8226,31.177034,0.)); #515422=CARTESIAN_POINT('',(40.8226,31.177034,0.035)); #515423=CARTESIAN_POINT('',(40.8226,31.177034,0.035)); #515424=CARTESIAN_POINT('',(40.8226,31.177034,0.)); #515425=CARTESIAN_POINT('Origin',(40.8226,30.822966,0.)); #515426=CARTESIAN_POINT('',(40.8226,30.822966,0.)); #515427=CARTESIAN_POINT('',(40.8226,30.822966,0.)); #515428=CARTESIAN_POINT('',(40.8226,30.822966,0.035)); #515429=CARTESIAN_POINT('',(40.8226,30.822966,0.035)); #515430=CARTESIAN_POINT('',(40.8226,30.822966,0.)); #515431=CARTESIAN_POINT('Origin',(40.999081,30.646484,0.)); #515432=CARTESIAN_POINT('',(40.999081,30.646484,0.)); #515433=CARTESIAN_POINT('',(40.999081,30.646484,0.)); #515434=CARTESIAN_POINT('',(40.999081,30.646484,0.035)); #515435=CARTESIAN_POINT('',(40.999081,30.646484,0.035)); #515436=CARTESIAN_POINT('',(40.999081,30.646484,0.)); #515437=CARTESIAN_POINT('Origin',(41.015366,30.622325,0.)); #515438=CARTESIAN_POINT('',(41.015366,30.622325,0.)); #515439=CARTESIAN_POINT('',(41.015366,30.622325,0.)); #515440=CARTESIAN_POINT('',(41.015366,30.622325,0.035)); #515441=CARTESIAN_POINT('',(41.015366,30.622325,0.035)); #515442=CARTESIAN_POINT('',(41.015366,30.622325,0.)); #515443=CARTESIAN_POINT('Origin',(41.0214,30.592603,0.)); #515444=CARTESIAN_POINT('',(41.0214,30.592603,0.)); #515445=CARTESIAN_POINT('',(41.0214,30.592603,0.)); #515446=CARTESIAN_POINT('',(41.0214,30.592603,0.035)); #515447=CARTESIAN_POINT('',(41.0214,30.592603,0.035)); #515448=CARTESIAN_POINT('',(41.0214,30.592603,0.)); #515449=CARTESIAN_POINT('Origin',(41.0214,29.186928,0.)); #515450=CARTESIAN_POINT('',(41.0214,29.186928,0.)); #515451=CARTESIAN_POINT('',(41.0214,29.186928,0.)); #515452=CARTESIAN_POINT('',(41.0214,29.186928,0.035)); #515453=CARTESIAN_POINT('',(41.0214,29.186928,0.035)); #515454=CARTESIAN_POINT('',(41.0214,29.186928,0.)); #515455=CARTESIAN_POINT('Origin',(41.015831,29.158331,0.)); #515456=CARTESIAN_POINT('',(41.015831,29.158331,0.)); #515457=CARTESIAN_POINT('',(41.015831,29.158331,0.)); #515458=CARTESIAN_POINT('',(41.015831,29.158331,0.035)); #515459=CARTESIAN_POINT('',(41.015831,29.158331,0.035)); #515460=CARTESIAN_POINT('',(41.015831,29.158331,0.)); #515461=CARTESIAN_POINT('Origin',(40.999081,29.133047,0.)); #515462=CARTESIAN_POINT('',(40.999081,29.133047,0.)); #515463=CARTESIAN_POINT('',(40.999081,29.133047,0.)); #515464=CARTESIAN_POINT('',(40.999081,29.133047,0.035)); #515465=CARTESIAN_POINT('',(40.999081,29.133047,0.035)); #515466=CARTESIAN_POINT('',(40.999081,29.133047,0.)); #515467=CARTESIAN_POINT('Origin',(38.483681,26.617647,0.)); #515468=CARTESIAN_POINT('',(38.483681,26.617647,0.)); #515469=CARTESIAN_POINT('',(38.483681,26.617647,0.)); #515470=CARTESIAN_POINT('',(38.483681,26.617647,0.035)); #515471=CARTESIAN_POINT('',(38.483681,26.617647,0.035)); #515472=CARTESIAN_POINT('',(38.483681,26.617647,0.)); #515473=CARTESIAN_POINT('Origin',(38.461744,26.602347,0.)); #515474=CARTESIAN_POINT('',(38.461744,26.602347,0.)); #515475=CARTESIAN_POINT('',(38.461744,26.602347,0.)); #515476=CARTESIAN_POINT('',(38.461744,26.602347,0.035)); #515477=CARTESIAN_POINT('',(38.461744,26.602347,0.035)); #515478=CARTESIAN_POINT('',(38.461744,26.602347,0.)); #515479=CARTESIAN_POINT('Origin',(38.432228,26.595366,0.)); #515480=CARTESIAN_POINT('',(38.432228,26.595366,0.)); #515481=CARTESIAN_POINT('',(38.432228,26.595366,0.)); #515482=CARTESIAN_POINT('',(38.432228,26.595366,0.035)); #515483=CARTESIAN_POINT('',(38.432228,26.595366,0.035)); #515484=CARTESIAN_POINT('',(38.432228,26.595366,0.)); #515485=CARTESIAN_POINT('Origin',(38.402331,26.60045,0.)); #515486=CARTESIAN_POINT('',(38.402331,26.60045,0.)); #515487=CARTESIAN_POINT('',(38.402331,26.60045,0.)); #515488=CARTESIAN_POINT('',(38.402331,26.60045,0.035)); #515489=CARTESIAN_POINT('',(38.402331,26.60045,0.035)); #515490=CARTESIAN_POINT('',(38.402331,26.60045,0.)); #515491=CARTESIAN_POINT('Origin',(38.376784,26.616794,0.)); #515492=CARTESIAN_POINT('',(38.376784,26.616794,0.)); #515493=CARTESIAN_POINT('',(38.376784,26.616794,0.)); #515494=CARTESIAN_POINT('',(38.376784,26.616794,0.035)); #515495=CARTESIAN_POINT('',(38.376784,26.616794,0.035)); #515496=CARTESIAN_POINT('',(38.376784,26.616794,0.)); #515497=CARTESIAN_POINT('Origin',(38.359634,26.641806,0.)); #515498=CARTESIAN_POINT('',(38.359634,26.641806,0.)); #515499=CARTESIAN_POINT('',(38.359634,26.641806,0.)); #515500=CARTESIAN_POINT('',(38.359634,26.641806,0.035)); #515501=CARTESIAN_POINT('',(38.359634,26.641806,0.035)); #515502=CARTESIAN_POINT('',(38.359634,26.641806,0.)); #515503=CARTESIAN_POINT('Origin',(38.3536,26.671528,0.)); #515504=CARTESIAN_POINT('',(38.3536,26.671528,0.)); #515505=CARTESIAN_POINT('',(38.3536,26.671528,0.)); #515506=CARTESIAN_POINT('',(38.3536,26.671528,0.035)); #515507=CARTESIAN_POINT('',(38.3536,26.671528,0.035)); #515508=CARTESIAN_POINT('',(38.3536,26.671528,0.)); #515509=CARTESIAN_POINT('Origin',(38.3536,30.958422,0.)); #515510=CARTESIAN_POINT('',(38.3536,30.958422,0.)); #515511=CARTESIAN_POINT('',(38.3536,30.958422,0.)); #515512=CARTESIAN_POINT('',(38.3536,30.958422,0.035)); #515513=CARTESIAN_POINT('',(38.3536,30.958422,0.035)); #515514=CARTESIAN_POINT('',(38.3536,30.958422,0.)); #515515=CARTESIAN_POINT('Origin',(37.063419,32.248603,0.)); #515516=CARTESIAN_POINT('',(37.063419,32.248603,0.)); #515517=CARTESIAN_POINT('',(37.063419,32.248603,0.)); #515518=CARTESIAN_POINT('',(37.063419,32.248603,0.035)); #515519=CARTESIAN_POINT('',(37.063419,32.248603,0.035)); #515520=CARTESIAN_POINT('',(37.063419,32.248603,0.)); #515521=CARTESIAN_POINT('Origin',(37.047134,32.272762,0.)); #515522=CARTESIAN_POINT('',(37.047134,32.272762,0.)); #515523=CARTESIAN_POINT('',(37.047134,32.272762,0.)); #515524=CARTESIAN_POINT('',(37.047134,32.272762,0.035)); #515525=CARTESIAN_POINT('',(37.047134,32.272762,0.035)); #515526=CARTESIAN_POINT('',(37.047134,32.272762,0.)); #515527=CARTESIAN_POINT('Origin',(37.0411,32.302484,0.)); #515528=CARTESIAN_POINT('',(37.0411,32.302484,0.)); #515529=CARTESIAN_POINT('',(37.0411,32.302484,0.)); #515530=CARTESIAN_POINT('',(37.0411,32.302484,0.035)); #515531=CARTESIAN_POINT('',(37.0411,32.302484,0.035)); #515532=CARTESIAN_POINT('',(37.0411,32.302484,0.)); #515533=CARTESIAN_POINT('Origin',(37.0411,34.375572,0.)); #515534=CARTESIAN_POINT('',(37.0411,34.375572,0.)); #515535=CARTESIAN_POINT('',(37.0411,34.375572,0.)); #515536=CARTESIAN_POINT('',(37.0411,34.375572,0.035)); #515537=CARTESIAN_POINT('',(37.0411,34.375572,0.035)); #515538=CARTESIAN_POINT('',(37.0411,34.375572,0.)); #515539=CARTESIAN_POINT('Origin',(37.046669,34.404169,0.)); #515540=CARTESIAN_POINT('',(37.046669,34.404169,0.)); #515541=CARTESIAN_POINT('',(37.046669,34.404169,0.)); #515542=CARTESIAN_POINT('',(37.046669,34.404169,0.035)); #515543=CARTESIAN_POINT('',(37.046669,34.404169,0.035)); #515544=CARTESIAN_POINT('',(37.046669,34.404169,0.)); #515545=CARTESIAN_POINT('Origin',(37.063419,34.429453,0.)); #515546=CARTESIAN_POINT('',(37.063419,34.429453,0.)); #515547=CARTESIAN_POINT('',(37.063419,34.429453,0.)); #515548=CARTESIAN_POINT('',(37.063419,34.429453,0.035)); #515549=CARTESIAN_POINT('',(37.063419,34.429453,0.035)); #515550=CARTESIAN_POINT('',(37.063419,34.429453,0.)); #515551=CARTESIAN_POINT('Origin',(38.383047,35.749081,0.)); #515552=CARTESIAN_POINT('',(38.383047,35.749081,0.)); #515553=CARTESIAN_POINT('',(38.383047,35.749081,0.)); #515554=CARTESIAN_POINT('',(38.383047,35.749081,0.035)); #515555=CARTESIAN_POINT('',(38.383047,35.749081,0.035)); #515556=CARTESIAN_POINT('',(38.383047,35.749081,0.)); #515557=CARTESIAN_POINT('Origin',(38.407206,35.765366,0.)); #515558=CARTESIAN_POINT('',(38.407206,35.765366,0.)); #515559=CARTESIAN_POINT('',(38.407206,35.765366,0.)); #515560=CARTESIAN_POINT('',(38.407206,35.765366,0.035)); #515561=CARTESIAN_POINT('',(38.407206,35.765366,0.035)); #515562=CARTESIAN_POINT('',(38.407206,35.765366,0.)); #515563=CARTESIAN_POINT('Origin',(38.436928,35.7714,0.)); #515564=CARTESIAN_POINT('',(38.436928,35.7714,0.)); #515565=CARTESIAN_POINT('',(38.436928,35.7714,0.)); #515566=CARTESIAN_POINT('',(38.436928,35.7714,0.035)); #515567=CARTESIAN_POINT('',(38.436928,35.7714,0.035)); #515568=CARTESIAN_POINT('',(38.436928,35.7714,0.)); #515569=CARTESIAN_POINT('Origin',(40.405366,35.7714,0.)); #515570=CARTESIAN_POINT('',(40.405366,35.7714,0.)); #515571=CARTESIAN_POINT('',(40.405366,35.7714,0.)); #515572=CARTESIAN_POINT('',(40.405366,35.7714,0.035)); #515573=CARTESIAN_POINT('',(40.405366,35.7714,0.035)); #515574=CARTESIAN_POINT('',(40.405366,35.7714,0.)); #515575=CARTESIAN_POINT('Origin',(40.692519,36.058553,0.)); #515576=CARTESIAN_POINT('',(40.692519,36.058553,0.)); #515577=CARTESIAN_POINT('',(40.692519,36.058553,0.)); #515578=CARTESIAN_POINT('',(40.692519,36.058553,0.035)); #515579=CARTESIAN_POINT('',(40.692519,36.058553,0.035)); #515580=CARTESIAN_POINT('',(40.692519,36.058553,0.)); #515581=CARTESIAN_POINT('Origin',(40.714456,36.073853,0.)); #515582=CARTESIAN_POINT('',(40.714456,36.073853,0.)); #515583=CARTESIAN_POINT('',(40.714456,36.073853,0.)); #515584=CARTESIAN_POINT('',(40.714456,36.073853,0.035)); #515585=CARTESIAN_POINT('',(40.714456,36.073853,0.035)); #515586=CARTESIAN_POINT('',(40.714456,36.073853,0.)); #515587=CARTESIAN_POINT('Origin',(40.743972,36.080834,0.)); #515588=CARTESIAN_POINT('',(40.743972,36.080834,0.)); #515589=CARTESIAN_POINT('',(40.743972,36.080834,0.)); #515590=CARTESIAN_POINT('',(40.743972,36.080834,0.035)); #515591=CARTESIAN_POINT('',(40.743972,36.080834,0.035)); #515592=CARTESIAN_POINT('',(40.743972,36.080834,0.)); #515593=CARTESIAN_POINT('Origin',(40.773869,36.07575,0.)); #515594=CARTESIAN_POINT('',(40.773869,36.07575,0.)); #515595=CARTESIAN_POINT('',(40.773869,36.07575,0.)); #515596=CARTESIAN_POINT('',(40.773869,36.07575,0.035)); #515597=CARTESIAN_POINT('',(40.773869,36.07575,0.035)); #515598=CARTESIAN_POINT('',(40.773869,36.07575,0.)); #515599=CARTESIAN_POINT('Origin',(40.799416,36.059406,0.)); #515600=CARTESIAN_POINT('',(40.799416,36.059406,0.)); #515601=CARTESIAN_POINT('',(40.799416,36.059406,0.)); #515602=CARTESIAN_POINT('',(40.799416,36.059406,0.035)); #515603=CARTESIAN_POINT('',(40.799416,36.059406,0.035)); #515604=CARTESIAN_POINT('',(40.799416,36.059406,0.)); #515605=CARTESIAN_POINT('Origin',(40.816566,36.034394,0.)); #515606=CARTESIAN_POINT('',(40.816566,36.034394,0.)); #515607=CARTESIAN_POINT('',(40.816566,36.034394,0.)); #515608=CARTESIAN_POINT('',(40.816566,36.034394,0.035)); #515609=CARTESIAN_POINT('',(40.816566,36.034394,0.035)); #515610=CARTESIAN_POINT('',(40.816566,36.034394,0.)); #515611=CARTESIAN_POINT('Origin',(40.8226,36.004672,0.)); #515612=CARTESIAN_POINT('',(40.8226,36.004672,0.)); #515613=CARTESIAN_POINT('',(40.8226,36.004672,0.)); #515614=CARTESIAN_POINT('',(40.8226,36.004672,0.035)); #515615=CARTESIAN_POINT('',(40.8226,36.004672,0.035)); #515616=CARTESIAN_POINT('',(40.8226,36.004672,0.)); #515617=CARTESIAN_POINT('Origin',(40.8226,35.822966,0.)); #515618=CARTESIAN_POINT('',(40.8226,35.822966,0.)); #515619=CARTESIAN_POINT('',(40.8226,35.822966,0.)); #515620=CARTESIAN_POINT('',(40.8226,35.822966,0.035)); #515621=CARTESIAN_POINT('',(40.8226,35.822966,0.035)); #515622=CARTESIAN_POINT('',(40.8226,35.822966,0.)); #515623=CARTESIAN_POINT('Origin',(41.072966,35.5726,0.)); #515624=CARTESIAN_POINT('',(41.072966,35.5726,0.)); #515625=CARTESIAN_POINT('',(41.072966,35.5726,0.)); #515626=CARTESIAN_POINT('',(41.072966,35.5726,0.035)); #515627=CARTESIAN_POINT('',(41.072966,35.5726,0.035)); #515628=CARTESIAN_POINT('',(41.072966,35.5726,0.)); #515629=CARTESIAN_POINT('Origin',(41.427034,35.5726,0.)); #515630=CARTESIAN_POINT('',(41.427034,35.5726,0.)); #515631=CARTESIAN_POINT('',(41.427034,35.5726,0.)); #515632=CARTESIAN_POINT('',(41.427034,35.5726,0.035)); #515633=CARTESIAN_POINT('',(41.427034,35.5726,0.035)); #515634=CARTESIAN_POINT('',(41.427034,35.5726,0.)); #515635=CARTESIAN_POINT('Origin',(41.571119,35.716684,0.)); #515636=CARTESIAN_POINT('',(41.571119,35.716684,0.)); #515637=CARTESIAN_POINT('',(41.571119,35.716684,0.)); #515638=CARTESIAN_POINT('',(41.571119,35.716684,0.035)); #515639=CARTESIAN_POINT('',(41.571119,35.716684,0.035)); #515640=CARTESIAN_POINT('',(41.571119,35.716684,0.)); #515641=CARTESIAN_POINT('Origin',(41.594166,35.732484,0.)); #515642=CARTESIAN_POINT('',(41.594166,35.732484,0.)); #515643=CARTESIAN_POINT('',(41.594166,35.732484,0.)); #515644=CARTESIAN_POINT('',(41.594166,35.732484,0.035)); #515645=CARTESIAN_POINT('',(41.594166,35.732484,0.035)); #515646=CARTESIAN_POINT('',(41.594166,35.732484,0.)); #515647=CARTESIAN_POINT('Origin',(41.623784,35.738994,0.)); #515648=CARTESIAN_POINT('',(41.623784,35.738994,0.)); #515649=CARTESIAN_POINT('',(41.623784,35.738994,0.)); #515650=CARTESIAN_POINT('',(41.623784,35.738994,0.035)); #515651=CARTESIAN_POINT('',(41.623784,35.738994,0.035)); #515652=CARTESIAN_POINT('',(41.623784,35.738994,0.)); #515653=CARTESIAN_POINT('Origin',(41.653597,35.733434,0.)); #515654=CARTESIAN_POINT('',(41.653597,35.733434,0.)); #515655=CARTESIAN_POINT('',(41.653597,35.733434,0.)); #515656=CARTESIAN_POINT('',(41.653597,35.733434,0.035)); #515657=CARTESIAN_POINT('',(41.653597,35.733434,0.035)); #515658=CARTESIAN_POINT('',(41.653597,35.733434,0.)); #515659=CARTESIAN_POINT('Origin',(41.678881,35.716684,0.)); #515660=CARTESIAN_POINT('',(41.678881,35.716684,0.)); #515661=CARTESIAN_POINT('',(41.678881,35.716684,0.)); #515662=CARTESIAN_POINT('',(41.678881,35.716684,0.035)); #515663=CARTESIAN_POINT('',(41.678881,35.716684,0.035)); #515664=CARTESIAN_POINT('',(41.678881,35.716684,0.)); #515665=CARTESIAN_POINT('Origin',(41.822966,35.5726,0.)); #515666=CARTESIAN_POINT('',(41.822966,35.5726,0.)); #515667=CARTESIAN_POINT('',(41.822966,35.5726,0.)); #515668=CARTESIAN_POINT('',(41.822966,35.5726,0.035)); #515669=CARTESIAN_POINT('',(41.822966,35.5726,0.035)); #515670=CARTESIAN_POINT('',(41.822966,35.5726,0.)); #515671=CARTESIAN_POINT('Origin',(42.177034,35.5726,0.)); #515672=CARTESIAN_POINT('',(42.177034,35.5726,0.)); #515673=CARTESIAN_POINT('',(42.177034,35.5726,0.)); #515674=CARTESIAN_POINT('',(42.177034,35.5726,0.035)); #515675=CARTESIAN_POINT('',(42.177034,35.5726,0.035)); #515676=CARTESIAN_POINT('',(42.177034,35.5726,0.)); #515677=CARTESIAN_POINT('Origin',(42.4274,35.822966,0.)); #515678=CARTESIAN_POINT('',(42.4274,35.822966,0.)); #515679=CARTESIAN_POINT('',(42.4274,35.822966,0.)); #515680=CARTESIAN_POINT('',(42.4274,35.822966,0.035)); #515681=CARTESIAN_POINT('',(42.4274,35.822966,0.035)); #515682=CARTESIAN_POINT('',(42.4274,35.822966,0.)); #515683=CARTESIAN_POINT('Origin',(42.4274,36.177034,0.)); #515684=CARTESIAN_POINT('',(42.4274,36.177034,0.)); #515685=CARTESIAN_POINT('',(42.4274,36.177034,0.)); #515686=CARTESIAN_POINT('',(42.4274,36.177034,0.035)); #515687=CARTESIAN_POINT('',(42.4274,36.177034,0.035)); #515688=CARTESIAN_POINT('',(42.4274,36.177034,0.)); #515689=CARTESIAN_POINT('Origin',(42.250919,36.353516,0.)); #515690=CARTESIAN_POINT('',(42.250919,36.353516,0.)); #515691=CARTESIAN_POINT('',(42.250919,36.353516,0.)); #515692=CARTESIAN_POINT('',(42.250919,36.353516,0.035)); #515693=CARTESIAN_POINT('',(42.250919,36.353516,0.035)); #515694=CARTESIAN_POINT('',(42.250919,36.353516,0.)); #515695=CARTESIAN_POINT('Origin',(42.234634,36.377675,0.)); #515696=CARTESIAN_POINT('',(42.234634,36.377675,0.)); #515697=CARTESIAN_POINT('',(42.234634,36.377675,0.)); #515698=CARTESIAN_POINT('',(42.234634,36.377675,0.035)); #515699=CARTESIAN_POINT('',(42.234634,36.377675,0.035)); #515700=CARTESIAN_POINT('',(42.234634,36.377675,0.)); #515701=CARTESIAN_POINT('Origin',(42.2286,36.407397,0.)); #515702=CARTESIAN_POINT('',(42.2286,36.407397,0.)); #515703=CARTESIAN_POINT('',(42.2286,36.407397,0.)); #515704=CARTESIAN_POINT('',(42.2286,36.407397,0.035)); #515705=CARTESIAN_POINT('',(42.2286,36.407397,0.035)); #515706=CARTESIAN_POINT('',(42.2286,36.407397,0.)); #515707=CARTESIAN_POINT('Origin',(42.2286,36.502397,0.)); #515708=CARTESIAN_POINT('',(42.2286,36.502397,0.)); #515709=CARTESIAN_POINT('',(42.2286,36.502397,0.)); #515710=CARTESIAN_POINT('',(42.2286,36.502397,0.035)); #515711=CARTESIAN_POINT('',(42.2286,36.502397,0.035)); #515712=CARTESIAN_POINT('',(42.2286,36.502397,0.)); #515713=CARTESIAN_POINT('Origin',(42.234169,36.530994,0.)); #515714=CARTESIAN_POINT('',(42.234169,36.530994,0.)); #515715=CARTESIAN_POINT('',(42.234169,36.530994,0.)); #515716=CARTESIAN_POINT('',(42.234169,36.530994,0.035)); #515717=CARTESIAN_POINT('',(42.234169,36.530994,0.035)); #515718=CARTESIAN_POINT('',(42.234169,36.530994,0.)); #515719=CARTESIAN_POINT('Origin',(42.250919,36.556278,0.)); #515720=CARTESIAN_POINT('',(42.250919,36.556278,0.)); #515721=CARTESIAN_POINT('',(42.250919,36.556278,0.)); #515722=CARTESIAN_POINT('',(42.250919,36.556278,0.035)); #515723=CARTESIAN_POINT('',(42.250919,36.556278,0.035)); #515724=CARTESIAN_POINT('',(42.250919,36.556278,0.)); #515725=CARTESIAN_POINT('Origin',(44.193722,38.499081,0.)); #515726=CARTESIAN_POINT('',(44.193722,38.499081,0.)); #515727=CARTESIAN_POINT('',(44.193722,38.499081,0.)); #515728=CARTESIAN_POINT('',(44.193722,38.499081,0.035)); #515729=CARTESIAN_POINT('',(44.193722,38.499081,0.035)); #515730=CARTESIAN_POINT('',(44.193722,38.499081,0.)); #515731=CARTESIAN_POINT('Origin',(44.217881,38.515366,0.)); #515732=CARTESIAN_POINT('',(44.217881,38.515366,0.)); #515733=CARTESIAN_POINT('',(44.217881,38.515366,0.)); #515734=CARTESIAN_POINT('',(44.217881,38.515366,0.035)); #515735=CARTESIAN_POINT('',(44.217881,38.515366,0.035)); #515736=CARTESIAN_POINT('',(44.217881,38.515366,0.)); #515737=CARTESIAN_POINT('Origin',(44.247603,38.5214,0.)); #515738=CARTESIAN_POINT('',(44.247603,38.5214,0.)); #515739=CARTESIAN_POINT('',(44.247603,38.5214,0.)); #515740=CARTESIAN_POINT('',(44.247603,38.5214,0.035)); #515741=CARTESIAN_POINT('',(44.247603,38.5214,0.035)); #515742=CARTESIAN_POINT('',(44.247603,38.5214,0.)); #515743=CARTESIAN_POINT('Origin',(48.3222,38.5214,0.)); #515744=CARTESIAN_POINT('',(48.3222,38.5214,0.)); #515745=CARTESIAN_POINT('',(48.3222,38.5214,0.)); #515746=CARTESIAN_POINT('',(48.3222,38.5214,0.035)); #515747=CARTESIAN_POINT('',(48.3222,38.5214,0.035)); #515748=CARTESIAN_POINT('',(48.3222,38.5214,0.)); #515749=CARTESIAN_POINT('Origin',(48.349669,38.516278,0.)); #515750=CARTESIAN_POINT('',(48.349669,38.516278,0.)); #515751=CARTESIAN_POINT('',(48.349669,38.516278,0.)); #515752=CARTESIAN_POINT('',(48.349669,38.516278,0.035)); #515753=CARTESIAN_POINT('',(48.349669,38.516278,0.035)); #515754=CARTESIAN_POINT('',(48.349669,38.516278,0.)); #515755=CARTESIAN_POINT('Origin',(48.375216,38.499934,0.)); #515756=CARTESIAN_POINT('',(48.375216,38.499934,0.)); #515757=CARTESIAN_POINT('',(48.375216,38.499934,0.)); #515758=CARTESIAN_POINT('',(48.375216,38.499934,0.035)); #515759=CARTESIAN_POINT('',(48.375216,38.499934,0.035)); #515760=CARTESIAN_POINT('',(48.375216,38.499934,0.)); #515761=CARTESIAN_POINT('Origin',(48.392366,38.474922,0.)); #515762=CARTESIAN_POINT('',(48.392366,38.474922,0.)); #515763=CARTESIAN_POINT('',(48.392366,38.474922,0.)); #515764=CARTESIAN_POINT('',(48.392366,38.474922,0.035)); #515765=CARTESIAN_POINT('',(48.392366,38.474922,0.035)); #515766=CARTESIAN_POINT('',(48.392366,38.474922,0.)); #515767=CARTESIAN_POINT('Origin',(48.3984,38.4452,0.)); #515768=CARTESIAN_POINT('',(48.3984,38.4452,0.)); #515769=CARTESIAN_POINT('',(48.3984,38.4452,0.)); #515770=CARTESIAN_POINT('',(48.3984,38.4452,0.035)); #515771=CARTESIAN_POINT('',(48.3984,38.4452,0.035)); #515772=CARTESIAN_POINT('',(48.3984,38.4452,0.)); #515773=CARTESIAN_POINT('Origin',(48.3984,23.57365,0.)); #515774=CARTESIAN_POINT('',(48.3984,23.57365,0.)); #515775=CARTESIAN_POINT('',(48.3984,23.57365,0.)); #515776=CARTESIAN_POINT('',(48.3984,23.57365,0.035)); #515777=CARTESIAN_POINT('',(48.3984,23.57365,0.035)); #515778=CARTESIAN_POINT('',(48.3984,23.57365,0.)); #515779=CARTESIAN_POINT('Origin',(48.392831,23.545053,0.)); #515780=CARTESIAN_POINT('',(48.392831,23.545053,0.)); #515781=CARTESIAN_POINT('',(48.392831,23.545053,0.)); #515782=CARTESIAN_POINT('',(48.392831,23.545053,0.035)); #515783=CARTESIAN_POINT('',(48.392831,23.545053,0.035)); #515784=CARTESIAN_POINT('',(48.392831,23.545053,0.)); #515785=CARTESIAN_POINT('Origin',(48.376081,23.519769,0.)); #515786=CARTESIAN_POINT('',(48.376081,23.519769,0.)); #515787=CARTESIAN_POINT('',(48.376081,23.519769,0.)); #515788=CARTESIAN_POINT('',(48.376081,23.519769,0.035)); #515789=CARTESIAN_POINT('',(48.376081,23.519769,0.035)); #515790=CARTESIAN_POINT('',(48.376081,23.519769,0.)); #515791=CARTESIAN_POINT('Origin',(47.230231,22.373919,0.)); #515792=CARTESIAN_POINT('',(47.230231,22.373919,0.)); #515793=CARTESIAN_POINT('',(47.230231,22.373919,0.)); #515794=CARTESIAN_POINT('',(47.230231,22.373919,0.035)); #515795=CARTESIAN_POINT('',(47.230231,22.373919,0.035)); #515796=CARTESIAN_POINT('',(47.230231,22.373919,0.)); #515797=CARTESIAN_POINT('Origin',(47.206072,22.357634,0.)); #515798=CARTESIAN_POINT('',(47.206072,22.357634,0.)); #515799=CARTESIAN_POINT('',(47.206072,22.357634,0.)); #515800=CARTESIAN_POINT('',(47.206072,22.357634,0.035)); #515801=CARTESIAN_POINT('',(47.206072,22.357634,0.035)); #515802=CARTESIAN_POINT('',(47.206072,22.357634,0.)); #515803=CARTESIAN_POINT('Origin',(47.17635,22.3516,0.)); #515804=CARTESIAN_POINT('',(47.17635,22.3516,0.)); #515805=CARTESIAN_POINT('',(47.17635,22.3516,0.)); #515806=CARTESIAN_POINT('',(47.17635,22.3516,0.035)); #515807=CARTESIAN_POINT('',(47.17635,22.3516,0.035)); #515808=CARTESIAN_POINT('',(47.17635,22.3516,0.)); #515809=CARTESIAN_POINT('Origin',(40.504047,22.3516,0.)); #515810=CARTESIAN_POINT('',(40.504047,22.3516,0.)); #515811=CARTESIAN_POINT('',(40.504047,22.3516,0.)); #515812=CARTESIAN_POINT('',(40.504047,22.3516,0.035)); #515813=CARTESIAN_POINT('',(40.504047,22.3516,0.035)); #515814=CARTESIAN_POINT('',(40.504047,22.3516,0.)); #515815=CARTESIAN_POINT('Origin',(40.478691,22.3489,0.)); #515816=CARTESIAN_POINT('',(40.478691,22.3489,0.)); #515817=CARTESIAN_POINT('',(40.478691,22.3489,0.)); #515818=CARTESIAN_POINT('',(40.478691,22.3489,0.035)); #515819=CARTESIAN_POINT('',(40.478691,22.3489,0.035)); #515820=CARTESIAN_POINT('',(40.478691,22.3489,0.)); #515821=CARTESIAN_POINT('Origin',(40.458337,22.342194,0.)); #515822=CARTESIAN_POINT('',(40.458337,22.342194,0.)); #515823=CARTESIAN_POINT('',(40.458337,22.342194,0.)); #515824=CARTESIAN_POINT('',(40.458337,22.342194,0.035)); #515825=CARTESIAN_POINT('',(40.458337,22.342194,0.035)); #515826=CARTESIAN_POINT('',(40.458337,22.342194,0.)); #515827=CARTESIAN_POINT('Origin',(40.438803,22.330731,0.)); #515828=CARTESIAN_POINT('',(40.438803,22.330731,0.)); #515829=CARTESIAN_POINT('',(40.438803,22.330731,0.)); #515830=CARTESIAN_POINT('',(40.438803,22.330731,0.035)); #515831=CARTESIAN_POINT('',(40.438803,22.330731,0.035)); #515832=CARTESIAN_POINT('',(40.438803,22.330731,0.)); #515833=CARTESIAN_POINT('Origin',(40.426134,22.319822,0.)); #515834=CARTESIAN_POINT('',(40.426134,22.319822,0.)); #515835=CARTESIAN_POINT('',(40.426134,22.319822,0.)); #515836=CARTESIAN_POINT('',(40.426134,22.319822,0.035)); #515837=CARTESIAN_POINT('',(40.426134,22.319822,0.035)); #515838=CARTESIAN_POINT('',(40.426134,22.319822,0.)); #515839=CARTESIAN_POINT('Origin',(39.181022,21.074709,0.)); #515840=CARTESIAN_POINT('',(39.181022,21.074709,0.)); #515841=CARTESIAN_POINT('',(39.181022,21.074709,0.)); #515842=CARTESIAN_POINT('',(39.181022,21.074709,0.035)); #515843=CARTESIAN_POINT('',(39.181022,21.074709,0.035)); #515844=CARTESIAN_POINT('',(39.181022,21.074709,0.)); #515845=CARTESIAN_POINT('Origin',(39.165003,21.054869,0.)); #515846=CARTESIAN_POINT('',(39.165003,21.054869,0.)); #515847=CARTESIAN_POINT('',(39.165003,21.054869,0.)); #515848=CARTESIAN_POINT('',(39.165003,21.054869,0.035)); #515849=CARTESIAN_POINT('',(39.165003,21.054869,0.035)); #515850=CARTESIAN_POINT('',(39.165003,21.054869,0.)); #515851=CARTESIAN_POINT('Origin',(39.155353,21.035728,0.)); #515852=CARTESIAN_POINT('',(39.155353,21.035728,0.)); #515853=CARTESIAN_POINT('',(39.155353,21.035728,0.)); #515854=CARTESIAN_POINT('',(39.155353,21.035728,0.035)); #515855=CARTESIAN_POINT('',(39.155353,21.035728,0.035)); #515856=CARTESIAN_POINT('',(39.155353,21.035728,0.)); #515857=CARTESIAN_POINT('Origin',(39.149641,21.013816,0.)); #515858=CARTESIAN_POINT('',(39.149641,21.013816,0.)); #515859=CARTESIAN_POINT('',(39.149641,21.013816,0.)); #515860=CARTESIAN_POINT('',(39.149641,21.013816,0.035)); #515861=CARTESIAN_POINT('',(39.149641,21.013816,0.035)); #515862=CARTESIAN_POINT('',(39.149641,21.013816,0.)); #515863=CARTESIAN_POINT('Origin',(39.1484,20.997153,0.)); #515864=CARTESIAN_POINT('',(39.1484,20.997153,0.)); #515865=CARTESIAN_POINT('',(39.1484,20.997153,0.)); #515866=CARTESIAN_POINT('',(39.1484,20.997153,0.035)); #515867=CARTESIAN_POINT('',(39.1484,20.997153,0.035)); #515868=CARTESIAN_POINT('',(39.1484,20.997153,0.)); #515869=CARTESIAN_POINT('Origin',(39.1484,20.0548,0.)); #515870=CARTESIAN_POINT('',(39.1484,20.0548,0.)); #515871=CARTESIAN_POINT('',(39.1484,20.0548,0.)); #515872=CARTESIAN_POINT('',(39.1484,20.0548,0.035)); #515873=CARTESIAN_POINT('',(39.1484,20.0548,0.035)); #515874=CARTESIAN_POINT('',(39.1484,20.0548,0.)); #515875=CARTESIAN_POINT('Origin',(39.143278,20.027331,0.)); #515876=CARTESIAN_POINT('',(39.143278,20.027331,0.)); #515877=CARTESIAN_POINT('',(39.143278,20.027331,0.)); #515878=CARTESIAN_POINT('',(39.143278,20.027331,0.035)); #515879=CARTESIAN_POINT('',(39.143278,20.027331,0.035)); #515880=CARTESIAN_POINT('',(39.143278,20.027331,0.)); #515881=CARTESIAN_POINT('Origin',(39.126934,20.001784,0.)); #515882=CARTESIAN_POINT('',(39.126934,20.001784,0.)); #515883=CARTESIAN_POINT('',(39.126934,20.001784,0.)); #515884=CARTESIAN_POINT('',(39.126934,20.001784,0.035)); #515885=CARTESIAN_POINT('',(39.126934,20.001784,0.035)); #515886=CARTESIAN_POINT('',(39.126934,20.001784,0.)); #515887=CARTESIAN_POINT('Origin',(39.101922,19.984634,0.)); #515888=CARTESIAN_POINT('',(39.101922,19.984634,0.)); #515889=CARTESIAN_POINT('',(39.101922,19.984634,0.035)); #515890=CARTESIAN_POINT('Origin',(42.41574415234,27.8916757443844,0.035)); #515891=CARTESIAN_POINT('Origin',(42.41574415234,27.8916757443844,0.)); #515892=CARTESIAN_POINT('Origin',(38.842603,15.9786,0.)); #515893=CARTESIAN_POINT('',(38.842603,15.9786,0.)); #515894=CARTESIAN_POINT('',(38.872325,15.984634,0.)); #515895=CARTESIAN_POINT('',(38.842603,15.9786,0.)); #515896=CARTESIAN_POINT('',(38.872325,15.984634,0.035)); #515897=CARTESIAN_POINT('',(38.872325,15.984634,0.)); #515898=CARTESIAN_POINT('',(38.842603,15.9786,0.035)); #515899=CARTESIAN_POINT('',(38.842603,15.9786,0.035)); #515900=CARTESIAN_POINT('',(38.842603,15.9786,0.)); #515901=CARTESIAN_POINT('Origin',(38.485853,15.9786,0.)); #515902=CARTESIAN_POINT('',(38.485853,15.9786,0.)); #515903=CARTESIAN_POINT('',(38.485853,15.9786,0.)); #515904=CARTESIAN_POINT('',(38.485853,15.9786,0.035)); #515905=CARTESIAN_POINT('',(38.485853,15.9786,0.035)); #515906=CARTESIAN_POINT('',(38.485853,15.9786,0.)); #515907=CARTESIAN_POINT('Origin',(38.459522,15.983294,0.)); #515908=CARTESIAN_POINT('',(38.459522,15.983294,0.)); #515909=CARTESIAN_POINT('',(38.459522,15.983294,0.)); #515910=CARTESIAN_POINT('',(38.459522,15.983294,0.035)); #515911=CARTESIAN_POINT('',(38.459522,15.983294,0.035)); #515912=CARTESIAN_POINT('',(38.459522,15.983294,0.)); #515913=CARTESIAN_POINT('Origin',(38.433716,15.999228,0.)); #515914=CARTESIAN_POINT('',(38.433716,15.999228,0.)); #515915=CARTESIAN_POINT('',(38.433716,15.999228,0.)); #515916=CARTESIAN_POINT('',(38.433716,15.999228,0.035)); #515917=CARTESIAN_POINT('',(38.433716,15.999228,0.035)); #515918=CARTESIAN_POINT('',(38.433716,15.999228,0.)); #515919=CARTESIAN_POINT('Origin',(38.416172,16.023966,0.)); #515920=CARTESIAN_POINT('',(38.416172,16.023966,0.)); #515921=CARTESIAN_POINT('',(38.416172,16.023966,0.)); #515922=CARTESIAN_POINT('',(38.416172,16.023966,0.035)); #515923=CARTESIAN_POINT('',(38.416172,16.023966,0.035)); #515924=CARTESIAN_POINT('',(38.416172,16.023966,0.)); #515925=CARTESIAN_POINT('Origin',(38.409663,16.053584,0.)); #515926=CARTESIAN_POINT('',(38.409663,16.053584,0.)); #515927=CARTESIAN_POINT('',(38.409663,16.053584,0.)); #515928=CARTESIAN_POINT('',(38.409663,16.053584,0.035)); #515929=CARTESIAN_POINT('',(38.409663,16.053584,0.035)); #515930=CARTESIAN_POINT('',(38.409663,16.053584,0.)); #515931=CARTESIAN_POINT('Origin',(38.415222,16.083397,0.)); #515932=CARTESIAN_POINT('',(38.415222,16.083397,0.)); #515933=CARTESIAN_POINT('',(38.415222,16.083397,0.)); #515934=CARTESIAN_POINT('',(38.415222,16.083397,0.035)); #515935=CARTESIAN_POINT('',(38.415222,16.083397,0.035)); #515936=CARTESIAN_POINT('',(38.415222,16.083397,0.)); #515937=CARTESIAN_POINT('Origin',(38.431972,16.108681,0.)); #515938=CARTESIAN_POINT('',(38.431972,16.108681,0.)); #515939=CARTESIAN_POINT('',(38.431972,16.108681,0.)); #515940=CARTESIAN_POINT('',(38.431972,16.108681,0.035)); #515941=CARTESIAN_POINT('',(38.431972,16.108681,0.035)); #515942=CARTESIAN_POINT('',(38.431972,16.108681,0.)); #515943=CARTESIAN_POINT('Origin',(38.572372,16.249081,0.)); #515944=CARTESIAN_POINT('',(38.572372,16.249081,0.)); #515945=CARTESIAN_POINT('',(38.572372,16.249081,0.)); #515946=CARTESIAN_POINT('',(38.572372,16.249081,0.035)); #515947=CARTESIAN_POINT('',(38.572372,16.249081,0.035)); #515948=CARTESIAN_POINT('',(38.572372,16.249081,0.)); #515949=CARTESIAN_POINT('Origin',(38.596531,16.265366,0.)); #515950=CARTESIAN_POINT('',(38.596531,16.265366,0.)); #515951=CARTESIAN_POINT('',(38.596531,16.265366,0.)); #515952=CARTESIAN_POINT('',(38.596531,16.265366,0.035)); #515953=CARTESIAN_POINT('',(38.596531,16.265366,0.035)); #515954=CARTESIAN_POINT('',(38.596531,16.265366,0.)); #515955=CARTESIAN_POINT('Origin',(38.626253,16.2714,0.)); #515956=CARTESIAN_POINT('',(38.626253,16.2714,0.)); #515957=CARTESIAN_POINT('',(38.626253,16.2714,0.)); #515958=CARTESIAN_POINT('',(38.626253,16.2714,0.035)); #515959=CARTESIAN_POINT('',(38.626253,16.2714,0.035)); #515960=CARTESIAN_POINT('',(38.626253,16.2714,0.)); #515961=CARTESIAN_POINT('Origin',(38.842603,16.2714,0.)); #515962=CARTESIAN_POINT('',(38.842603,16.2714,0.)); #515963=CARTESIAN_POINT('',(38.842603,16.2714,0.)); #515964=CARTESIAN_POINT('',(38.842603,16.2714,0.035)); #515965=CARTESIAN_POINT('',(38.842603,16.2714,0.035)); #515966=CARTESIAN_POINT('',(38.842603,16.2714,0.)); #515967=CARTESIAN_POINT('Origin',(38.8712,16.265831,0.)); #515968=CARTESIAN_POINT('',(38.8712,16.265831,0.)); #515969=CARTESIAN_POINT('',(38.8712,16.265831,0.)); #515970=CARTESIAN_POINT('',(38.8712,16.265831,0.035)); #515971=CARTESIAN_POINT('',(38.8712,16.265831,0.035)); #515972=CARTESIAN_POINT('',(38.8712,16.265831,0.)); #515973=CARTESIAN_POINT('Origin',(38.896484,16.249081,0.)); #515974=CARTESIAN_POINT('',(38.896484,16.249081,0.)); #515975=CARTESIAN_POINT('',(38.896484,16.249081,0.)); #515976=CARTESIAN_POINT('',(38.896484,16.249081,0.035)); #515977=CARTESIAN_POINT('',(38.896484,16.249081,0.035)); #515978=CARTESIAN_POINT('',(38.896484,16.249081,0.)); #515979=CARTESIAN_POINT('Origin',(38.966684,16.178881,0.)); #515980=CARTESIAN_POINT('',(38.966684,16.178881,0.)); #515981=CARTESIAN_POINT('',(38.966684,16.178881,0.)); #515982=CARTESIAN_POINT('',(38.966684,16.178881,0.035)); #515983=CARTESIAN_POINT('',(38.966684,16.178881,0.035)); #515984=CARTESIAN_POINT('',(38.966684,16.178881,0.)); #515985=CARTESIAN_POINT('Origin',(38.982484,16.155834,0.)); #515986=CARTESIAN_POINT('',(38.982484,16.155834,0.)); #515987=CARTESIAN_POINT('',(38.982484,16.155834,0.)); #515988=CARTESIAN_POINT('',(38.982484,16.155834,0.035)); #515989=CARTESIAN_POINT('',(38.982484,16.155834,0.035)); #515990=CARTESIAN_POINT('',(38.982484,16.155834,0.)); #515991=CARTESIAN_POINT('Origin',(38.988994,16.126216,0.)); #515992=CARTESIAN_POINT('',(38.988994,16.126216,0.)); #515993=CARTESIAN_POINT('',(38.988994,16.126216,0.)); #515994=CARTESIAN_POINT('',(38.988994,16.126216,0.035)); #515995=CARTESIAN_POINT('',(38.988994,16.126216,0.035)); #515996=CARTESIAN_POINT('',(38.988994,16.126216,0.)); #515997=CARTESIAN_POINT('Origin',(38.983434,16.096403,0.)); #515998=CARTESIAN_POINT('',(38.983434,16.096403,0.)); #515999=CARTESIAN_POINT('',(38.983434,16.096403,0.)); #516000=CARTESIAN_POINT('',(38.983434,16.096403,0.035)); #516001=CARTESIAN_POINT('',(38.983434,16.096403,0.035)); #516002=CARTESIAN_POINT('',(38.983434,16.096403,0.)); #516003=CARTESIAN_POINT('Origin',(38.966684,16.071119,0.)); #516004=CARTESIAN_POINT('',(38.966684,16.071119,0.)); #516005=CARTESIAN_POINT('',(38.966684,16.071119,0.)); #516006=CARTESIAN_POINT('',(38.966684,16.071119,0.035)); #516007=CARTESIAN_POINT('',(38.966684,16.071119,0.035)); #516008=CARTESIAN_POINT('',(38.966684,16.071119,0.)); #516009=CARTESIAN_POINT('Origin',(38.896484,16.000919,0.)); #516010=CARTESIAN_POINT('',(38.896484,16.000919,0.)); #516011=CARTESIAN_POINT('',(38.896484,16.000919,0.)); #516012=CARTESIAN_POINT('',(38.896484,16.000919,0.035)); #516013=CARTESIAN_POINT('',(38.896484,16.000919,0.035)); #516014=CARTESIAN_POINT('',(38.896484,16.000919,0.)); #516015=CARTESIAN_POINT('Origin',(38.872325,15.984634,0.)); #516016=CARTESIAN_POINT('',(38.872325,15.984634,0.)); #516017=CARTESIAN_POINT('',(38.872325,15.984634,0.035)); #516018=CARTESIAN_POINT('Origin',(38.7007434002236,16.1124083912077,0.035)); #516019=CARTESIAN_POINT('Origin',(38.7007434002236,16.1124083912077,0.)); #516020=CARTESIAN_POINT('Origin',(37.821416,29.934463,0.)); #516021=CARTESIAN_POINT('',(37.821416,29.934463,0.)); #516022=CARTESIAN_POINT('',(37.851034,29.940972,0.)); #516023=CARTESIAN_POINT('',(37.821416,29.934463,0.)); #516024=CARTESIAN_POINT('',(37.851034,29.940972,0.035)); #516025=CARTESIAN_POINT('',(37.851034,29.940972,0.)); #516026=CARTESIAN_POINT('',(37.821416,29.934463,0.035)); #516027=CARTESIAN_POINT('',(37.821416,29.934463,0.035)); #516028=CARTESIAN_POINT('',(37.821416,29.934463,0.)); #516029=CARTESIAN_POINT('Origin',(37.791603,29.940022,0.)); #516030=CARTESIAN_POINT('',(37.791603,29.940022,0.)); #516031=CARTESIAN_POINT('',(37.791603,29.940022,0.)); #516032=CARTESIAN_POINT('',(37.791603,29.940022,0.035)); #516033=CARTESIAN_POINT('',(37.791603,29.940022,0.035)); #516034=CARTESIAN_POINT('',(37.791603,29.940022,0.)); #516035=CARTESIAN_POINT('Origin',(37.766319,29.956772,0.)); #516036=CARTESIAN_POINT('',(37.766319,29.956772,0.)); #516037=CARTESIAN_POINT('',(37.766319,29.956772,0.)); #516038=CARTESIAN_POINT('',(37.766319,29.956772,0.035)); #516039=CARTESIAN_POINT('',(37.766319,29.956772,0.035)); #516040=CARTESIAN_POINT('',(37.766319,29.956772,0.)); #516041=CARTESIAN_POINT('Origin',(37.171419,30.551672,0.)); #516042=CARTESIAN_POINT('',(37.171419,30.551672,0.)); #516043=CARTESIAN_POINT('',(37.171419,30.551672,0.)); #516044=CARTESIAN_POINT('',(37.171419,30.551672,0.035)); #516045=CARTESIAN_POINT('',(37.171419,30.551672,0.035)); #516046=CARTESIAN_POINT('',(37.171419,30.551672,0.)); #516047=CARTESIAN_POINT('Origin',(37.155134,30.575831,0.)); #516048=CARTESIAN_POINT('',(37.155134,30.575831,0.)); #516049=CARTESIAN_POINT('',(37.155134,30.575831,0.)); #516050=CARTESIAN_POINT('',(37.155134,30.575831,0.035)); #516051=CARTESIAN_POINT('',(37.155134,30.575831,0.035)); #516052=CARTESIAN_POINT('',(37.155134,30.575831,0.)); #516053=CARTESIAN_POINT('Origin',(37.1491,30.605553,0.)); #516054=CARTESIAN_POINT('',(37.1491,30.605553,0.)); #516055=CARTESIAN_POINT('',(37.1491,30.605553,0.)); #516056=CARTESIAN_POINT('',(37.1491,30.605553,0.035)); #516057=CARTESIAN_POINT('',(37.1491,30.605553,0.035)); #516058=CARTESIAN_POINT('',(37.1491,30.605553,0.)); #516059=CARTESIAN_POINT('Origin',(37.1491,31.332378,0.)); #516060=CARTESIAN_POINT('',(37.1491,31.332378,0.)); #516061=CARTESIAN_POINT('',(37.1491,31.332378,0.)); #516062=CARTESIAN_POINT('',(37.1491,31.332378,0.035)); #516063=CARTESIAN_POINT('',(37.1491,31.332378,0.035)); #516064=CARTESIAN_POINT('',(37.1491,31.332378,0.)); #516065=CARTESIAN_POINT('Origin',(37.153794,31.358709,0.)); #516066=CARTESIAN_POINT('',(37.153794,31.358709,0.)); #516067=CARTESIAN_POINT('',(37.153794,31.358709,0.)); #516068=CARTESIAN_POINT('',(37.153794,31.358709,0.035)); #516069=CARTESIAN_POINT('',(37.153794,31.358709,0.035)); #516070=CARTESIAN_POINT('',(37.153794,31.358709,0.)); #516071=CARTESIAN_POINT('Origin',(37.169728,31.384516,0.)); #516072=CARTESIAN_POINT('',(37.169728,31.384516,0.)); #516073=CARTESIAN_POINT('',(37.169728,31.384516,0.)); #516074=CARTESIAN_POINT('',(37.169728,31.384516,0.035)); #516075=CARTESIAN_POINT('',(37.169728,31.384516,0.035)); #516076=CARTESIAN_POINT('',(37.169728,31.384516,0.)); #516077=CARTESIAN_POINT('Origin',(37.194466,31.402059,0.)); #516078=CARTESIAN_POINT('',(37.194466,31.402059,0.)); #516079=CARTESIAN_POINT('',(37.194466,31.402059,0.)); #516080=CARTESIAN_POINT('',(37.194466,31.402059,0.035)); #516081=CARTESIAN_POINT('',(37.194466,31.402059,0.035)); #516082=CARTESIAN_POINT('',(37.194466,31.402059,0.)); #516083=CARTESIAN_POINT('Origin',(37.224084,31.408569,0.)); #516084=CARTESIAN_POINT('',(37.224084,31.408569,0.)); #516085=CARTESIAN_POINT('',(37.224084,31.408569,0.)); #516086=CARTESIAN_POINT('',(37.224084,31.408569,0.035)); #516087=CARTESIAN_POINT('',(37.224084,31.408569,0.035)); #516088=CARTESIAN_POINT('',(37.224084,31.408569,0.)); #516089=CARTESIAN_POINT('Origin',(37.253897,31.403009,0.)); #516090=CARTESIAN_POINT('',(37.253897,31.403009,0.)); #516091=CARTESIAN_POINT('',(37.253897,31.403009,0.)); #516092=CARTESIAN_POINT('',(37.253897,31.403009,0.035)); #516093=CARTESIAN_POINT('',(37.253897,31.403009,0.035)); #516094=CARTESIAN_POINT('',(37.253897,31.403009,0.)); #516095=CARTESIAN_POINT('Origin',(37.279181,31.386259,0.)); #516096=CARTESIAN_POINT('',(37.279181,31.386259,0.)); #516097=CARTESIAN_POINT('',(37.279181,31.386259,0.)); #516098=CARTESIAN_POINT('',(37.279181,31.386259,0.035)); #516099=CARTESIAN_POINT('',(37.279181,31.386259,0.035)); #516100=CARTESIAN_POINT('',(37.279181,31.386259,0.)); #516101=CARTESIAN_POINT('Origin',(37.874081,30.791359,0.)); #516102=CARTESIAN_POINT('',(37.874081,30.791359,0.)); #516103=CARTESIAN_POINT('',(37.874081,30.791359,0.)); #516104=CARTESIAN_POINT('',(37.874081,30.791359,0.035)); #516105=CARTESIAN_POINT('',(37.874081,30.791359,0.035)); #516106=CARTESIAN_POINT('',(37.874081,30.791359,0.)); #516107=CARTESIAN_POINT('Origin',(37.890366,30.7672,0.)); #516108=CARTESIAN_POINT('',(37.890366,30.7672,0.)); #516109=CARTESIAN_POINT('',(37.890366,30.7672,0.)); #516110=CARTESIAN_POINT('',(37.890366,30.7672,0.035)); #516111=CARTESIAN_POINT('',(37.890366,30.7672,0.035)); #516112=CARTESIAN_POINT('',(37.890366,30.7672,0.)); #516113=CARTESIAN_POINT('Origin',(37.8964,30.737478,0.)); #516114=CARTESIAN_POINT('',(37.8964,30.737478,0.)); #516115=CARTESIAN_POINT('',(37.8964,30.737478,0.)); #516116=CARTESIAN_POINT('',(37.8964,30.737478,0.035)); #516117=CARTESIAN_POINT('',(37.8964,30.737478,0.035)); #516118=CARTESIAN_POINT('',(37.8964,30.737478,0.)); #516119=CARTESIAN_POINT('Origin',(37.8964,30.010653,0.)); #516120=CARTESIAN_POINT('',(37.8964,30.010653,0.)); #516121=CARTESIAN_POINT('',(37.8964,30.010653,0.)); #516122=CARTESIAN_POINT('',(37.8964,30.010653,0.035)); #516123=CARTESIAN_POINT('',(37.8964,30.010653,0.035)); #516124=CARTESIAN_POINT('',(37.8964,30.010653,0.)); #516125=CARTESIAN_POINT('Origin',(37.891706,29.984322,0.)); #516126=CARTESIAN_POINT('',(37.891706,29.984322,0.)); #516127=CARTESIAN_POINT('',(37.891706,29.984322,0.)); #516128=CARTESIAN_POINT('',(37.891706,29.984322,0.035)); #516129=CARTESIAN_POINT('',(37.891706,29.984322,0.035)); #516130=CARTESIAN_POINT('',(37.891706,29.984322,0.)); #516131=CARTESIAN_POINT('Origin',(37.875772,29.958516,0.)); #516132=CARTESIAN_POINT('',(37.875772,29.958516,0.)); #516133=CARTESIAN_POINT('',(37.875772,29.958516,0.)); #516134=CARTESIAN_POINT('',(37.875772,29.958516,0.035)); #516135=CARTESIAN_POINT('',(37.875772,29.958516,0.035)); #516136=CARTESIAN_POINT('',(37.875772,29.958516,0.)); #516137=CARTESIAN_POINT('Origin',(37.851034,29.940972,0.)); #516138=CARTESIAN_POINT('',(37.851034,29.940972,0.)); #516139=CARTESIAN_POINT('',(37.851034,29.940972,0.035)); #516140=CARTESIAN_POINT('Origin',(37.5227499364871,30.6715156469963,0.035)); #516141=CARTESIAN_POINT('Origin',(37.5227499364871,30.6715156469963,0.)); #516142=CARTESIAN_POINT('Origin',(33.25,48.5,-200.)); #516143=CARTESIAN_POINT('',(33.11,48.5,0.)); #516144=CARTESIAN_POINT('Origin',(33.25,48.5,0.)); #516145=CARTESIAN_POINT('',(33.11,48.5,0.0349999999999895)); #516146=CARTESIAN_POINT('',(33.11,48.5,-200.)); #516147=CARTESIAN_POINT('Origin',(33.25,48.5,0.0349999999999895)); #516148=CARTESIAN_POINT('Origin',(33.25,50.,-200.)); #516149=CARTESIAN_POINT('',(33.11,50.,0.)); #516150=CARTESIAN_POINT('Origin',(33.25,50.,0.)); #516151=CARTESIAN_POINT('',(33.11,50.,0.0349999999999895)); #516152=CARTESIAN_POINT('',(33.11,50.,-200.)); #516153=CARTESIAN_POINT('Origin',(33.25,50.,0.0349999999999895)); #516154=CARTESIAN_POINT('Origin',(33.25,49.25,-200.)); #516155=CARTESIAN_POINT('',(33.11,49.25,0.)); #516156=CARTESIAN_POINT('Origin',(33.25,49.25,0.)); #516157=CARTESIAN_POINT('',(33.11,49.25,0.0349999999999895)); #516158=CARTESIAN_POINT('',(33.11,49.25,-200.)); #516159=CARTESIAN_POINT('Origin',(33.25,49.25,0.0349999999999895)); #516160=CARTESIAN_POINT('Origin',(12.25,34.,-200.)); #516161=CARTESIAN_POINT('',(11.785,34.,0.)); #516162=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #516163=CARTESIAN_POINT('',(11.785,34.,0.0349999999999895)); #516164=CARTESIAN_POINT('',(11.785,34.,-200.)); #516165=CARTESIAN_POINT('Origin',(12.25,34.,0.0349999999999895)); #516166=CARTESIAN_POINT('Origin',(34.75,48.5,-200.)); #516167=CARTESIAN_POINT('',(34.61,48.5,0.)); #516168=CARTESIAN_POINT('Origin',(34.75,48.5,0.)); #516169=CARTESIAN_POINT('',(34.61,48.5,0.0349999999999895)); #516170=CARTESIAN_POINT('',(34.61,48.5,-200.)); #516171=CARTESIAN_POINT('Origin',(34.75,48.5,0.0349999999999895)); #516172=CARTESIAN_POINT('Origin',(34.,48.5,-200.)); #516173=CARTESIAN_POINT('',(33.86,48.5,0.)); #516174=CARTESIAN_POINT('Origin',(34.,48.5,0.)); #516175=CARTESIAN_POINT('',(33.86,48.5,0.0349999999999895)); #516176=CARTESIAN_POINT('',(33.86,48.5,-200.)); #516177=CARTESIAN_POINT('Origin',(34.,48.5,0.0349999999999895)); #516178=CARTESIAN_POINT('Origin',(34.75,50.,-200.)); #516179=CARTESIAN_POINT('',(34.61,50.,0.)); #516180=CARTESIAN_POINT('Origin',(34.75,50.,0.)); #516181=CARTESIAN_POINT('',(34.61,50.,0.0349999999999895)); #516182=CARTESIAN_POINT('',(34.61,50.,-200.)); #516183=CARTESIAN_POINT('Origin',(34.75,50.,0.0349999999999895)); #516184=CARTESIAN_POINT('Origin',(34.,50.,-200.)); #516185=CARTESIAN_POINT('',(33.86,50.,0.)); #516186=CARTESIAN_POINT('Origin',(34.,50.,0.)); #516187=CARTESIAN_POINT('',(33.86,50.,0.0349999999999895)); #516188=CARTESIAN_POINT('',(33.86,50.,-200.)); #516189=CARTESIAN_POINT('Origin',(34.,50.,0.0349999999999895)); #516190=CARTESIAN_POINT('Origin',(34.75,49.25,-200.)); #516191=CARTESIAN_POINT('',(34.61,49.25,0.)); #516192=CARTESIAN_POINT('Origin',(34.75,49.25,0.)); #516193=CARTESIAN_POINT('',(34.61,49.25,0.0349999999999895)); #516194=CARTESIAN_POINT('',(34.61,49.25,-200.)); #516195=CARTESIAN_POINT('Origin',(34.75,49.25,0.0349999999999895)); #516196=CARTESIAN_POINT('Origin',(34.,49.25,-200.)); #516197=CARTESIAN_POINT('',(33.86,49.25,0.)); #516198=CARTESIAN_POINT('Origin',(34.,49.25,0.)); #516199=CARTESIAN_POINT('',(33.86,49.25,0.0349999999999895)); #516200=CARTESIAN_POINT('',(33.86,49.25,-200.)); #516201=CARTESIAN_POINT('Origin',(34.,49.25,0.0349999999999895)); #516202=CARTESIAN_POINT('Origin',(10.25,33.25,-200.)); #516203=CARTESIAN_POINT('',(10.11,33.25,0.)); #516204=CARTESIAN_POINT('Origin',(10.25,33.25,0.)); #516205=CARTESIAN_POINT('',(10.11,33.25,0.0349999999999895)); #516206=CARTESIAN_POINT('',(10.11,33.25,-200.)); #516207=CARTESIAN_POINT('Origin',(10.25,33.25,0.0349999999999895)); #516208=CARTESIAN_POINT('Origin',(9.5,33.25,-200.)); #516209=CARTESIAN_POINT('',(9.36,33.25,0.)); #516210=CARTESIAN_POINT('Origin',(9.5,33.25,0.)); #516211=CARTESIAN_POINT('',(9.36,33.25,0.0349999999999895)); #516212=CARTESIAN_POINT('',(9.36,33.25,-200.)); #516213=CARTESIAN_POINT('Origin',(9.5,33.25,0.0349999999999895)); #516214=CARTESIAN_POINT('Origin',(10.25,34.,-200.)); #516215=CARTESIAN_POINT('',(10.11,34.,0.)); #516216=CARTESIAN_POINT('Origin',(10.25,34.,0.)); #516217=CARTESIAN_POINT('',(10.11,34.,0.0349999999999895)); #516218=CARTESIAN_POINT('',(10.11,34.,-200.)); #516219=CARTESIAN_POINT('Origin',(10.25,34.,0.0349999999999895)); #516220=CARTESIAN_POINT('Origin',(9.5,34.,-200.)); #516221=CARTESIAN_POINT('',(9.36,34.,0.)); #516222=CARTESIAN_POINT('Origin',(9.5,34.,0.)); #516223=CARTESIAN_POINT('',(9.36,34.,0.0349999999999895)); #516224=CARTESIAN_POINT('',(9.36,34.,-200.)); #516225=CARTESIAN_POINT('Origin',(9.5,34.,0.0349999999999895)); #516226=CARTESIAN_POINT('Origin',(57.75,33.25,-200.)); #516227=CARTESIAN_POINT('',(57.61,33.25,0.)); #516228=CARTESIAN_POINT('Origin',(57.75,33.25,0.)); #516229=CARTESIAN_POINT('',(57.61,33.25,0.0349999999999895)); #516230=CARTESIAN_POINT('',(57.61,33.25,-200.)); #516231=CARTESIAN_POINT('Origin',(57.75,33.25,0.0349999999999895)); #516232=CARTESIAN_POINT('Origin',(62.,33.25,-200.)); #516233=CARTESIAN_POINT('',(61.86,33.25,0.)); #516234=CARTESIAN_POINT('Origin',(62.,33.25,0.)); #516235=CARTESIAN_POINT('',(61.86,33.25,0.0349999999999895)); #516236=CARTESIAN_POINT('',(61.86,33.25,-200.)); #516237=CARTESIAN_POINT('Origin',(62.,33.25,0.0349999999999895)); #516238=CARTESIAN_POINT('Origin',(57.75,34.,-200.)); #516239=CARTESIAN_POINT('',(57.61,34.,0.)); #516240=CARTESIAN_POINT('Origin',(57.75,34.,0.)); #516241=CARTESIAN_POINT('',(57.61,34.,0.0349999999999895)); #516242=CARTESIAN_POINT('',(57.61,34.,-200.)); #516243=CARTESIAN_POINT('Origin',(57.75,34.,0.0349999999999895)); #516244=CARTESIAN_POINT('Origin',(57.75,34.75,-200.)); #516245=CARTESIAN_POINT('',(57.61,34.75,0.)); #516246=CARTESIAN_POINT('Origin',(57.75,34.75,0.)); #516247=CARTESIAN_POINT('',(57.61,34.75,0.0349999999999895)); #516248=CARTESIAN_POINT('',(57.61,34.75,-200.)); #516249=CARTESIAN_POINT('Origin',(57.75,34.75,0.0349999999999895)); #516250=CARTESIAN_POINT('Origin',(61.25,33.25,-200.)); #516251=CARTESIAN_POINT('',(61.11,33.25,0.)); #516252=CARTESIAN_POINT('Origin',(61.25,33.25,0.)); #516253=CARTESIAN_POINT('',(61.11,33.25,0.0349999999999895)); #516254=CARTESIAN_POINT('',(61.11,33.25,-200.)); #516255=CARTESIAN_POINT('Origin',(61.25,33.25,0.0349999999999895)); #516256=CARTESIAN_POINT('Origin',(58.5,33.25,-200.)); #516257=CARTESIAN_POINT('',(58.36,33.25,0.)); #516258=CARTESIAN_POINT('Origin',(58.5,33.25,0.)); #516259=CARTESIAN_POINT('',(58.36,33.25,0.0349999999999895)); #516260=CARTESIAN_POINT('',(58.36,33.25,-200.)); #516261=CARTESIAN_POINT('Origin',(58.5,33.25,0.0349999999999895)); #516262=CARTESIAN_POINT('Origin',(58.5,34.,-200.)); #516263=CARTESIAN_POINT('',(58.36,34.,0.)); #516264=CARTESIAN_POINT('Origin',(58.5,34.,0.)); #516265=CARTESIAN_POINT('',(58.36,34.,0.0349999999999895)); #516266=CARTESIAN_POINT('',(58.36,34.,-200.)); #516267=CARTESIAN_POINT('Origin',(58.5,34.,0.0349999999999895)); #516268=CARTESIAN_POINT('Origin',(58.5,34.75,-200.)); #516269=CARTESIAN_POINT('',(58.36,34.75,0.)); #516270=CARTESIAN_POINT('Origin',(58.5,34.75,0.)); #516271=CARTESIAN_POINT('',(58.36,34.75,0.0349999999999895)); #516272=CARTESIAN_POINT('',(58.36,34.75,-200.)); #516273=CARTESIAN_POINT('Origin',(58.5,34.75,0.0349999999999895)); #516274=CARTESIAN_POINT('Origin',(61.25,34.75,-200.)); #516275=CARTESIAN_POINT('',(61.11,34.75,0.)); #516276=CARTESIAN_POINT('Origin',(61.25,34.75,0.)); #516277=CARTESIAN_POINT('',(61.11,34.75,0.0349999999999895)); #516278=CARTESIAN_POINT('',(61.11,34.75,-200.)); #516279=CARTESIAN_POINT('Origin',(61.25,34.75,0.0349999999999895)); #516280=CARTESIAN_POINT('Origin',(61.25,34.,-200.)); #516281=CARTESIAN_POINT('',(61.11,34.,0.)); #516282=CARTESIAN_POINT('Origin',(61.25,34.,0.)); #516283=CARTESIAN_POINT('',(61.11,34.,0.0349999999999895)); #516284=CARTESIAN_POINT('',(61.11,34.,-200.)); #516285=CARTESIAN_POINT('Origin',(61.25,34.,0.0349999999999895)); #516286=CARTESIAN_POINT('Origin',(62.,34.75,-200.)); #516287=CARTESIAN_POINT('',(61.86,34.75,0.)); #516288=CARTESIAN_POINT('Origin',(62.,34.75,0.)); #516289=CARTESIAN_POINT('',(61.86,34.75,0.0349999999999895)); #516290=CARTESIAN_POINT('',(61.86,34.75,-200.)); #516291=CARTESIAN_POINT('Origin',(62.,34.75,0.0349999999999895)); #516292=CARTESIAN_POINT('Origin',(62.,34.,-200.)); #516293=CARTESIAN_POINT('',(61.86,34.,0.)); #516294=CARTESIAN_POINT('Origin',(62.,34.,0.)); #516295=CARTESIAN_POINT('',(61.86,34.,0.0349999999999895)); #516296=CARTESIAN_POINT('',(61.86,34.,-200.)); #516297=CARTESIAN_POINT('Origin',(62.,34.,0.0349999999999895)); #516298=CARTESIAN_POINT('Origin',(6.5,34.75,-200.)); #516299=CARTESIAN_POINT('',(6.36,34.75,0.)); #516300=CARTESIAN_POINT('Origin',(6.5,34.75,0.)); #516301=CARTESIAN_POINT('',(6.36,34.75,0.0349999999999895)); #516302=CARTESIAN_POINT('',(6.36,34.75,-200.)); #516303=CARTESIAN_POINT('Origin',(6.5,34.75,0.0349999999999895)); #516304=CARTESIAN_POINT('Origin',(6.5,34.,-200.)); #516305=CARTESIAN_POINT('',(6.36,34.,0.)); #516306=CARTESIAN_POINT('Origin',(6.5,34.,0.)); #516307=CARTESIAN_POINT('',(6.36,34.,0.0349999999999895)); #516308=CARTESIAN_POINT('',(6.36,34.,-200.)); #516309=CARTESIAN_POINT('Origin',(6.5,34.,0.0349999999999895)); #516310=CARTESIAN_POINT('Origin',(7.25,33.25,-200.)); #516311=CARTESIAN_POINT('',(7.11,33.25,0.)); #516312=CARTESIAN_POINT('Origin',(7.25,33.25,0.)); #516313=CARTESIAN_POINT('',(7.11,33.25,0.0349999999999895)); #516314=CARTESIAN_POINT('',(7.11,33.25,-200.)); #516315=CARTESIAN_POINT('Origin',(7.25,33.25,0.0349999999999895)); #516316=CARTESIAN_POINT('Origin',(6.5,33.25,-200.)); #516317=CARTESIAN_POINT('',(6.36,33.25,0.)); #516318=CARTESIAN_POINT('Origin',(6.5,33.25,0.)); #516319=CARTESIAN_POINT('',(6.36,33.25,0.0349999999999895)); #516320=CARTESIAN_POINT('',(6.36,33.25,-200.)); #516321=CARTESIAN_POINT('Origin',(6.5,33.25,0.0349999999999895)); #516322=CARTESIAN_POINT('Origin',(9.5,34.75,-200.)); #516323=CARTESIAN_POINT('',(9.36,34.75,0.)); #516324=CARTESIAN_POINT('Origin',(9.5,34.75,0.)); #516325=CARTESIAN_POINT('',(9.36,34.75,0.0349999999999895)); #516326=CARTESIAN_POINT('',(9.36,34.75,-200.)); #516327=CARTESIAN_POINT('Origin',(9.5,34.75,0.0349999999999895)); #516328=CARTESIAN_POINT('Origin',(10.25,34.75,-200.)); #516329=CARTESIAN_POINT('',(10.11,34.75,0.)); #516330=CARTESIAN_POINT('Origin',(10.25,34.75,0.)); #516331=CARTESIAN_POINT('',(10.11,34.75,0.0349999999999895)); #516332=CARTESIAN_POINT('',(10.11,34.75,-200.)); #516333=CARTESIAN_POINT('Origin',(10.25,34.75,0.0349999999999895)); #516334=CARTESIAN_POINT('Origin',(7.25,34.75,-200.)); #516335=CARTESIAN_POINT('',(7.11,34.75,0.)); #516336=CARTESIAN_POINT('Origin',(7.25,34.75,0.)); #516337=CARTESIAN_POINT('',(7.11,34.75,0.0349999999999895)); #516338=CARTESIAN_POINT('',(7.11,34.75,-200.)); #516339=CARTESIAN_POINT('Origin',(7.25,34.75,0.0349999999999895)); #516340=CARTESIAN_POINT('Origin',(7.25,34.,-200.)); #516341=CARTESIAN_POINT('',(7.11,34.,0.)); #516342=CARTESIAN_POINT('Origin',(7.25,34.,0.)); #516343=CARTESIAN_POINT('',(7.11,34.,0.0349999999999895)); #516344=CARTESIAN_POINT('',(7.11,34.,-200.)); #516345=CARTESIAN_POINT('Origin',(7.25,34.,0.0349999999999895)); #516346=CARTESIAN_POINT('Origin',(55.75,34.,-200.)); #516347=CARTESIAN_POINT('',(55.285,34.,0.)); #516348=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #516349=CARTESIAN_POINT('',(55.285,34.,0.0349999999999895)); #516350=CARTESIAN_POINT('',(55.285,34.,-200.)); #516351=CARTESIAN_POINT('Origin',(55.75,34.,0.0349999999999895)); #516352=CARTESIAN_POINT('Origin',(29.995,2.09999997467882,-200.)); #516353=CARTESIAN_POINT('',(29.995,2.89999999155961,0.0349999999999895)); #516354=CARTESIAN_POINT('',(29.995,2.09999997467882,0.0349999999999895)); #516355=CARTESIAN_POINT('',(29.995,18.050000005869,0.0350000000000072)); #516356=CARTESIAN_POINT('',(29.995,2.89999999155961,0.)); #516357=CARTESIAN_POINT('',(29.995,2.89999999155961,-200.)); #516358=CARTESIAN_POINT('',(29.995,2.09999997467882,0.)); #516359=CARTESIAN_POINT('',(29.995,18.050000005869,0.)); #516360=CARTESIAN_POINT('',(29.995,2.09999997467882,-200.)); #516361=CARTESIAN_POINT('Origin',(29.68,2.1,-200.)); #516362=CARTESIAN_POINT('',(29.365,2.10000000844039,0.0349999999999895)); #516363=CARTESIAN_POINT('Origin',(29.68,2.1,0.0349999999999895)); #516364=CARTESIAN_POINT('',(29.365,2.10000000844039,0.)); #516365=CARTESIAN_POINT('Origin',(29.68,2.1,0.)); #516366=CARTESIAN_POINT('',(29.365,2.10000000844039,-200.)); #516367=CARTESIAN_POINT('Origin',(29.365,2.90000002532118,-200.)); #516368=CARTESIAN_POINT('',(29.365,2.90000002532118,0.0349999999999895)); #516369=CARTESIAN_POINT('',(29.365,18.4500000311902,0.0350000000000072)); #516370=CARTESIAN_POINT('',(29.365,2.90000002532118,0.)); #516371=CARTESIAN_POINT('',(29.365,18.4500000311902,0.)); #516372=CARTESIAN_POINT('',(29.365,2.90000002532118,-200.)); #516373=CARTESIAN_POINT('Origin',(29.68,2.9,-200.)); #516374=CARTESIAN_POINT('Origin',(29.68,2.9,0.0349999999999895)); #516375=CARTESIAN_POINT('Origin',(29.68,2.9,0.)); #516376=CARTESIAN_POINT('Origin',(30.8,7.6,-200.)); #516377=CARTESIAN_POINT('',(30.685,7.6,0.)); #516378=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #516379=CARTESIAN_POINT('',(30.685,7.6,0.0349999999999895)); #516380=CARTESIAN_POINT('',(30.685,7.6,-200.)); #516381=CARTESIAN_POINT('Origin',(30.8,7.6,0.0349999999999895)); #516382=CARTESIAN_POINT('Origin',(37.2,7.1,-200.)); #516383=CARTESIAN_POINT('',(37.085,7.1,0.)); #516384=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #516385=CARTESIAN_POINT('',(37.085,7.1,0.0349999999999895)); #516386=CARTESIAN_POINT('',(37.085,7.1,-200.)); #516387=CARTESIAN_POINT('Origin',(37.2,7.1,0.0349999999999895)); #516388=CARTESIAN_POINT('Origin',(37.2,7.6,-200.)); #516389=CARTESIAN_POINT('',(37.085,7.6,0.)); #516390=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #516391=CARTESIAN_POINT('',(37.085,7.6,0.0349999999999895)); #516392=CARTESIAN_POINT('',(37.085,7.6,-200.)); #516393=CARTESIAN_POINT('Origin',(37.2,7.6,0.0349999999999895)); #516394=CARTESIAN_POINT('Origin',(30.8,7.1,-200.)); #516395=CARTESIAN_POINT('',(30.685,7.1,0.)); #516396=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #516397=CARTESIAN_POINT('',(30.685,7.1,0.0349999999999895)); #516398=CARTESIAN_POINT('',(30.685,7.1,-200.)); #516399=CARTESIAN_POINT('Origin',(30.8,7.1,0.0349999999999895)); #516400=CARTESIAN_POINT('Origin',(29.995,6.27999997467882,-200.)); #516401=CARTESIAN_POINT('',(29.995,7.07999999155961,0.0349999999999895)); #516402=CARTESIAN_POINT('',(29.995,6.27999997467882,0.0349999999999895)); #516403=CARTESIAN_POINT('',(29.995,20.140000005869,0.0350000000000072)); #516404=CARTESIAN_POINT('',(29.995,7.07999999155961,0.)); #516405=CARTESIAN_POINT('',(29.995,7.07999999155961,-200.)); #516406=CARTESIAN_POINT('',(29.995,6.27999997467882,0.)); #516407=CARTESIAN_POINT('',(29.995,20.140000005869,0.)); #516408=CARTESIAN_POINT('',(29.995,6.27999997467882,-200.)); #516409=CARTESIAN_POINT('Origin',(29.68,6.28,-200.)); #516410=CARTESIAN_POINT('',(29.365,6.28000000844039,0.0349999999999895)); #516411=CARTESIAN_POINT('Origin',(29.68,6.28,0.0349999999999895)); #516412=CARTESIAN_POINT('',(29.365,6.28000000844039,0.)); #516413=CARTESIAN_POINT('Origin',(29.68,6.28,0.)); #516414=CARTESIAN_POINT('',(29.365,6.28000000844039,-200.)); #516415=CARTESIAN_POINT('Origin',(29.365,7.08000002532118,-200.)); #516416=CARTESIAN_POINT('',(29.365,7.08000002532118,0.0349999999999895)); #516417=CARTESIAN_POINT('',(29.365,20.5400000311902,0.0350000000000072)); #516418=CARTESIAN_POINT('',(29.365,7.08000002532118,0.)); #516419=CARTESIAN_POINT('',(29.365,20.5400000311902,0.)); #516420=CARTESIAN_POINT('',(29.365,7.08000002532118,-200.)); #516421=CARTESIAN_POINT('Origin',(29.68,7.08,-200.)); #516422=CARTESIAN_POINT('Origin',(29.68,7.08,0.0349999999999895)); #516423=CARTESIAN_POINT('Origin',(29.68,7.08,0.)); #516424=CARTESIAN_POINT('Origin',(38.635,2.09999997467882,-200.)); #516425=CARTESIAN_POINT('',(38.635,2.89999999155961,0.0349999999999895)); #516426=CARTESIAN_POINT('',(38.635,2.09999997467882,0.0349999999999895)); #516427=CARTESIAN_POINT('',(38.635,18.050000005869,0.0350000000000072)); #516428=CARTESIAN_POINT('',(38.635,2.89999999155961,0.)); #516429=CARTESIAN_POINT('',(38.635,2.89999999155961,-200.)); #516430=CARTESIAN_POINT('',(38.635,2.09999997467882,0.)); #516431=CARTESIAN_POINT('',(38.635,18.050000005869,0.)); #516432=CARTESIAN_POINT('',(38.635,2.09999997467882,-200.)); #516433=CARTESIAN_POINT('Origin',(38.32,2.1,-200.)); #516434=CARTESIAN_POINT('',(38.005,2.10000000844039,0.0349999999999895)); #516435=CARTESIAN_POINT('Origin',(38.32,2.1,0.0349999999999895)); #516436=CARTESIAN_POINT('',(38.005,2.10000000844039,0.)); #516437=CARTESIAN_POINT('Origin',(38.32,2.1,0.)); #516438=CARTESIAN_POINT('',(38.005,2.10000000844039,-200.)); #516439=CARTESIAN_POINT('Origin',(38.005,2.90000002532118,-200.)); #516440=CARTESIAN_POINT('',(38.005,2.90000002532118,0.0349999999999895)); #516441=CARTESIAN_POINT('',(38.005,18.4500000311902,0.0350000000000072)); #516442=CARTESIAN_POINT('',(38.005,2.90000002532118,0.)); #516443=CARTESIAN_POINT('',(38.005,18.4500000311902,0.)); #516444=CARTESIAN_POINT('',(38.005,2.90000002532118,-200.)); #516445=CARTESIAN_POINT('Origin',(38.32,2.9,-200.)); #516446=CARTESIAN_POINT('Origin',(38.32,2.9,0.0349999999999895)); #516447=CARTESIAN_POINT('Origin',(38.32,2.9,0.)); #516448=CARTESIAN_POINT('Origin',(38.635,6.27999997467882,-200.)); #516449=CARTESIAN_POINT('',(38.635,7.07999999155961,0.0349999999999895)); #516450=CARTESIAN_POINT('',(38.635,6.27999997467882,0.0349999999999895)); #516451=CARTESIAN_POINT('',(38.635,20.140000005869,0.0350000000000072)); #516452=CARTESIAN_POINT('',(38.635,7.07999999155961,0.)); #516453=CARTESIAN_POINT('',(38.635,7.07999999155961,-200.)); #516454=CARTESIAN_POINT('',(38.635,6.27999997467882,0.)); #516455=CARTESIAN_POINT('',(38.635,20.140000005869,0.)); #516456=CARTESIAN_POINT('',(38.635,6.27999997467882,-200.)); #516457=CARTESIAN_POINT('Origin',(38.32,6.28,-200.)); #516458=CARTESIAN_POINT('',(38.005,6.28000000844039,0.0349999999999895)); #516459=CARTESIAN_POINT('Origin',(38.32,6.28,0.0349999999999895)); #516460=CARTESIAN_POINT('',(38.005,6.28000000844039,0.)); #516461=CARTESIAN_POINT('Origin',(38.32,6.28,0.)); #516462=CARTESIAN_POINT('',(38.005,6.28000000844039,-200.)); #516463=CARTESIAN_POINT('Origin',(38.005,7.08000002532118,-200.)); #516464=CARTESIAN_POINT('',(38.005,7.08000002532118,0.0349999999999895)); #516465=CARTESIAN_POINT('',(38.005,20.5400000311902,0.0350000000000072)); #516466=CARTESIAN_POINT('',(38.005,7.08000002532118,0.)); #516467=CARTESIAN_POINT('',(38.005,20.5400000311902,0.)); #516468=CARTESIAN_POINT('',(38.005,7.08000002532118,-200.)); #516469=CARTESIAN_POINT('Origin',(38.32,7.08,-200.)); #516470=CARTESIAN_POINT('Origin',(38.32,7.08,0.0349999999999895)); #516471=CARTESIAN_POINT('Origin',(38.32,7.08,0.)); #516472=CARTESIAN_POINT('Origin',(55.25,21.75,-200.)); #516473=CARTESIAN_POINT('',(55.11,21.75,0.)); #516474=CARTESIAN_POINT('Origin',(55.25,21.75,0.)); #516475=CARTESIAN_POINT('',(55.11,21.75,0.0349999999999895)); #516476=CARTESIAN_POINT('',(55.11,21.75,-200.)); #516477=CARTESIAN_POINT('Origin',(55.25,21.75,0.0349999999999895)); #516478=CARTESIAN_POINT('Origin',(55.25,21.,-200.)); #516479=CARTESIAN_POINT('',(55.11,21.,0.)); #516480=CARTESIAN_POINT('Origin',(55.25,21.,0.)); #516481=CARTESIAN_POINT('',(55.11,21.,0.0349999999999895)); #516482=CARTESIAN_POINT('',(55.11,21.,-200.)); #516483=CARTESIAN_POINT('Origin',(55.25,21.,0.0349999999999895)); #516484=CARTESIAN_POINT('Origin',(37.81,45.,-200.)); #516485=CARTESIAN_POINT('',(37.245,45.,0.)); #516486=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #516487=CARTESIAN_POINT('',(37.245,45.,0.0349999999999895)); #516488=CARTESIAN_POINT('',(37.245,45.,-200.)); #516489=CARTESIAN_POINT('Origin',(37.81,45.,0.0349999999999895)); #516490=CARTESIAN_POINT('Origin',(12.75,21.,-200.)); #516491=CARTESIAN_POINT('',(12.61,21.,0.)); #516492=CARTESIAN_POINT('Origin',(12.75,21.,0.)); #516493=CARTESIAN_POINT('',(12.61,21.,0.0349999999999895)); #516494=CARTESIAN_POINT('',(12.61,21.,-200.)); #516495=CARTESIAN_POINT('Origin',(12.75,21.,0.0349999999999895)); #516496=CARTESIAN_POINT('Origin',(56.,20.25,-200.)); #516497=CARTESIAN_POINT('',(55.86,20.25,0.)); #516498=CARTESIAN_POINT('Origin',(56.,20.25,0.)); #516499=CARTESIAN_POINT('',(55.86,20.25,0.0349999999999895)); #516500=CARTESIAN_POINT('',(55.86,20.25,-200.)); #516501=CARTESIAN_POINT('Origin',(56.,20.25,0.0349999999999895)); #516502=CARTESIAN_POINT('Origin',(56.,21.75,-200.)); #516503=CARTESIAN_POINT('',(55.86,21.75,0.)); #516504=CARTESIAN_POINT('Origin',(56.,21.75,0.)); #516505=CARTESIAN_POINT('',(55.86,21.75,0.0349999999999895)); #516506=CARTESIAN_POINT('',(55.86,21.75,-200.)); #516507=CARTESIAN_POINT('Origin',(56.,21.75,0.0349999999999895)); #516508=CARTESIAN_POINT('Origin',(56.,21.,-200.)); #516509=CARTESIAN_POINT('',(55.86,21.,0.)); #516510=CARTESIAN_POINT('Origin',(56.,21.,0.)); #516511=CARTESIAN_POINT('',(55.86,21.,0.0349999999999895)); #516512=CARTESIAN_POINT('',(55.86,21.,-200.)); #516513=CARTESIAN_POINT('Origin',(56.,21.,0.0349999999999895)); #516514=CARTESIAN_POINT('Origin',(54.5,20.25,-200.)); #516515=CARTESIAN_POINT('',(54.36,20.25,0.)); #516516=CARTESIAN_POINT('Origin',(54.5,20.25,0.)); #516517=CARTESIAN_POINT('',(54.36,20.25,0.0349999999999895)); #516518=CARTESIAN_POINT('',(54.36,20.25,-200.)); #516519=CARTESIAN_POINT('Origin',(54.5,20.25,0.0349999999999895)); #516520=CARTESIAN_POINT('Origin',(55.25,20.25,-200.)); #516521=CARTESIAN_POINT('',(55.11,20.25,0.)); #516522=CARTESIAN_POINT('Origin',(55.25,20.25,0.)); #516523=CARTESIAN_POINT('',(55.11,20.25,0.0349999999999895)); #516524=CARTESIAN_POINT('',(55.11,20.25,-200.)); #516525=CARTESIAN_POINT('Origin',(55.25,20.25,0.0349999999999895)); #516526=CARTESIAN_POINT('Origin',(54.5,21.,-200.)); #516527=CARTESIAN_POINT('',(54.36,21.,0.)); #516528=CARTESIAN_POINT('Origin',(54.5,21.,0.)); #516529=CARTESIAN_POINT('',(54.36,21.,0.0349999999999895)); #516530=CARTESIAN_POINT('',(54.36,21.,-200.)); #516531=CARTESIAN_POINT('Origin',(54.5,21.,0.0349999999999895)); #516532=CARTESIAN_POINT('Origin',(54.5,21.75,-200.)); #516533=CARTESIAN_POINT('',(54.36,21.75,0.)); #516534=CARTESIAN_POINT('Origin',(54.5,21.75,0.)); #516535=CARTESIAN_POINT('',(54.36,21.75,0.0349999999999895)); #516536=CARTESIAN_POINT('',(54.36,21.75,-200.)); #516537=CARTESIAN_POINT('Origin',(54.5,21.75,0.0349999999999895)); #516538=CARTESIAN_POINT('Origin',(12.,21.,-200.)); #516539=CARTESIAN_POINT('',(11.86,21.,0.)); #516540=CARTESIAN_POINT('Origin',(12.,21.,0.)); #516541=CARTESIAN_POINT('',(11.86,21.,0.0349999999999895)); #516542=CARTESIAN_POINT('',(11.86,21.,-200.)); #516543=CARTESIAN_POINT('Origin',(12.,21.,0.0349999999999895)); #516544=CARTESIAN_POINT('Origin',(12.,20.25,-200.)); #516545=CARTESIAN_POINT('',(11.86,20.25,0.)); #516546=CARTESIAN_POINT('Origin',(12.,20.25,0.)); #516547=CARTESIAN_POINT('',(11.86,20.25,0.0349999999999895)); #516548=CARTESIAN_POINT('',(11.86,20.25,-200.)); #516549=CARTESIAN_POINT('Origin',(12.,20.25,0.0349999999999895)); #516550=CARTESIAN_POINT('Origin',(12.75,21.75,-200.)); #516551=CARTESIAN_POINT('',(12.61,21.75,0.)); #516552=CARTESIAN_POINT('Origin',(12.75,21.75,0.)); #516553=CARTESIAN_POINT('',(12.61,21.75,0.0349999999999895)); #516554=CARTESIAN_POINT('',(12.61,21.75,-200.)); #516555=CARTESIAN_POINT('Origin',(12.75,21.75,0.0349999999999895)); #516556=CARTESIAN_POINT('Origin',(12.75,20.25,-200.)); #516557=CARTESIAN_POINT('',(12.61,20.25,0.)); #516558=CARTESIAN_POINT('Origin',(12.75,20.25,0.)); #516559=CARTESIAN_POINT('',(12.61,20.25,0.0349999999999895)); #516560=CARTESIAN_POINT('',(12.61,20.25,-200.)); #516561=CARTESIAN_POINT('Origin',(12.75,20.25,0.0349999999999895)); #516562=CARTESIAN_POINT('Origin',(13.5,21.75,-200.)); #516563=CARTESIAN_POINT('',(13.36,21.75,0.)); #516564=CARTESIAN_POINT('Origin',(13.5,21.75,0.)); #516565=CARTESIAN_POINT('',(13.36,21.75,0.0349999999999895)); #516566=CARTESIAN_POINT('',(13.36,21.75,-200.)); #516567=CARTESIAN_POINT('Origin',(13.5,21.75,0.0349999999999895)); #516568=CARTESIAN_POINT('Origin',(12.,21.75,-200.)); #516569=CARTESIAN_POINT('',(11.86,21.75,0.)); #516570=CARTESIAN_POINT('Origin',(12.,21.75,0.)); #516571=CARTESIAN_POINT('',(11.86,21.75,0.0349999999999895)); #516572=CARTESIAN_POINT('',(11.86,21.75,-200.)); #516573=CARTESIAN_POINT('Origin',(12.,21.75,0.0349999999999895)); #516574=CARTESIAN_POINT('Origin',(13.5,21.,-200.)); #516575=CARTESIAN_POINT('',(13.36,21.,0.)); #516576=CARTESIAN_POINT('Origin',(13.5,21.,0.)); #516577=CARTESIAN_POINT('',(13.36,21.,0.0349999999999895)); #516578=CARTESIAN_POINT('',(13.36,21.,-200.)); #516579=CARTESIAN_POINT('Origin',(13.5,21.,0.0349999999999895)); #516580=CARTESIAN_POINT('Origin',(13.5,20.25,-200.)); #516581=CARTESIAN_POINT('',(13.36,20.25,0.)); #516582=CARTESIAN_POINT('Origin',(13.5,20.25,0.)); #516583=CARTESIAN_POINT('',(13.36,20.25,0.0349999999999895)); #516584=CARTESIAN_POINT('',(13.36,20.25,-200.)); #516585=CARTESIAN_POINT('Origin',(13.5,20.25,0.0349999999999895)); #516586=CARTESIAN_POINT('Origin',(31.153128,43.9476,0.)); #516587=CARTESIAN_POINT('',(31.153128,43.9476,0.)); #516588=CARTESIAN_POINT('',(29.226872,43.9476,0.)); #516589=CARTESIAN_POINT('',(31.153128,43.9476,0.)); #516590=CARTESIAN_POINT('',(29.226872,43.9476,0.035)); #516591=CARTESIAN_POINT('',(29.226872,43.9476,0.)); #516592=CARTESIAN_POINT('',(31.153128,43.9476,0.035)); #516593=CARTESIAN_POINT('',(31.153128,43.9476,0.035)); #516594=CARTESIAN_POINT('',(31.153128,43.9476,0.)); #516595=CARTESIAN_POINT('Origin',(31.2424,44.036872,0.)); #516596=CARTESIAN_POINT('',(31.2424,44.036872,0.)); #516597=CARTESIAN_POINT('',(31.2424,44.036872,0.)); #516598=CARTESIAN_POINT('',(31.2424,44.036872,0.035)); #516599=CARTESIAN_POINT('',(31.2424,44.036872,0.035)); #516600=CARTESIAN_POINT('',(31.2424,44.036872,0.)); #516601=CARTESIAN_POINT('Origin',(31.2424,45.963128,0.)); #516602=CARTESIAN_POINT('',(31.2424,45.963128,0.)); #516603=CARTESIAN_POINT('',(31.2424,45.963128,0.)); #516604=CARTESIAN_POINT('',(31.2424,45.963128,0.035)); #516605=CARTESIAN_POINT('',(31.2424,45.963128,0.035)); #516606=CARTESIAN_POINT('',(31.2424,45.963128,0.)); #516607=CARTESIAN_POINT('Origin',(31.153128,46.0524,0.)); #516608=CARTESIAN_POINT('',(31.153128,46.0524,0.)); #516609=CARTESIAN_POINT('',(31.153128,46.0524,0.)); #516610=CARTESIAN_POINT('',(31.153128,46.0524,0.035)); #516611=CARTESIAN_POINT('',(31.153128,46.0524,0.035)); #516612=CARTESIAN_POINT('',(31.153128,46.0524,0.)); #516613=CARTESIAN_POINT('Origin',(29.226872,46.0524,0.)); #516614=CARTESIAN_POINT('',(29.226872,46.0524,0.)); #516615=CARTESIAN_POINT('',(29.226872,46.0524,0.)); #516616=CARTESIAN_POINT('',(29.226872,46.0524,0.035)); #516617=CARTESIAN_POINT('',(29.226872,46.0524,0.035)); #516618=CARTESIAN_POINT('',(29.226872,46.0524,0.)); #516619=CARTESIAN_POINT('Origin',(29.1376,45.963128,0.)); #516620=CARTESIAN_POINT('',(29.1376,45.963128,0.)); #516621=CARTESIAN_POINT('',(29.1376,45.963128,0.)); #516622=CARTESIAN_POINT('',(29.1376,45.963128,0.035)); #516623=CARTESIAN_POINT('',(29.1376,45.963128,0.035)); #516624=CARTESIAN_POINT('',(29.1376,45.963128,0.)); #516625=CARTESIAN_POINT('Origin',(29.1376,44.036872,0.)); #516626=CARTESIAN_POINT('',(29.1376,44.036872,0.)); #516627=CARTESIAN_POINT('',(29.1376,44.036872,0.)); #516628=CARTESIAN_POINT('',(29.1376,44.036872,0.035)); #516629=CARTESIAN_POINT('',(29.1376,44.036872,0.035)); #516630=CARTESIAN_POINT('',(29.1376,44.036872,0.)); #516631=CARTESIAN_POINT('Origin',(29.226872,43.9476,0.)); #516632=CARTESIAN_POINT('',(29.226872,43.9476,0.)); #516633=CARTESIAN_POINT('',(29.226872,43.9476,0.035)); #516634=CARTESIAN_POINT('Origin',(32.939338,43.9476,0.)); #516635=CARTESIAN_POINT('',(32.939338,43.9476,0.)); #516636=CARTESIAN_POINT('',(32.520663,43.9476,0.)); #516637=CARTESIAN_POINT('',(32.939338,43.9476,0.)); #516638=CARTESIAN_POINT('',(32.520663,43.9476,0.035)); #516639=CARTESIAN_POINT('',(32.520663,43.9476,0.)); #516640=CARTESIAN_POINT('',(32.939338,43.9476,0.035)); #516641=CARTESIAN_POINT('',(32.939338,43.9476,0.035)); #516642=CARTESIAN_POINT('',(32.939338,43.9476,0.)); #516643=CARTESIAN_POINT('Origin',(33.326138,44.107819,0.)); #516644=CARTESIAN_POINT('',(33.326138,44.107819,0.)); #516645=CARTESIAN_POINT('',(33.326138,44.107819,0.)); #516646=CARTESIAN_POINT('',(33.326138,44.107819,0.035)); #516647=CARTESIAN_POINT('',(33.326138,44.107819,0.035)); #516648=CARTESIAN_POINT('',(33.326138,44.107819,0.)); #516649=CARTESIAN_POINT('Origin',(33.622181,44.403863,0.)); #516650=CARTESIAN_POINT('',(33.622181,44.403863,0.)); #516651=CARTESIAN_POINT('',(33.622181,44.403863,0.)); #516652=CARTESIAN_POINT('',(33.622181,44.403863,0.035)); #516653=CARTESIAN_POINT('',(33.622181,44.403863,0.035)); #516654=CARTESIAN_POINT('',(33.622181,44.403863,0.)); #516655=CARTESIAN_POINT('Origin',(33.7824,44.790663,0.)); #516656=CARTESIAN_POINT('',(33.7824,44.790663,0.)); #516657=CARTESIAN_POINT('',(33.7824,44.790663,0.)); #516658=CARTESIAN_POINT('',(33.7824,44.790663,0.035)); #516659=CARTESIAN_POINT('',(33.7824,44.790663,0.035)); #516660=CARTESIAN_POINT('',(33.7824,44.790663,0.)); #516661=CARTESIAN_POINT('Origin',(33.7824,45.209338,0.)); #516662=CARTESIAN_POINT('',(33.7824,45.209338,0.)); #516663=CARTESIAN_POINT('',(33.7824,45.209338,0.)); #516664=CARTESIAN_POINT('',(33.7824,45.209338,0.035)); #516665=CARTESIAN_POINT('',(33.7824,45.209338,0.035)); #516666=CARTESIAN_POINT('',(33.7824,45.209338,0.)); #516667=CARTESIAN_POINT('Origin',(33.622181,45.596138,0.)); #516668=CARTESIAN_POINT('',(33.622181,45.596138,0.)); #516669=CARTESIAN_POINT('',(33.622181,45.596138,0.)); #516670=CARTESIAN_POINT('',(33.622181,45.596138,0.035)); #516671=CARTESIAN_POINT('',(33.622181,45.596138,0.035)); #516672=CARTESIAN_POINT('',(33.622181,45.596138,0.)); #516673=CARTESIAN_POINT('Origin',(33.326138,45.892181,0.)); #516674=CARTESIAN_POINT('',(33.326138,45.892181,0.)); #516675=CARTESIAN_POINT('',(33.326138,45.892181,0.)); #516676=CARTESIAN_POINT('',(33.326138,45.892181,0.035)); #516677=CARTESIAN_POINT('',(33.326138,45.892181,0.035)); #516678=CARTESIAN_POINT('',(33.326138,45.892181,0.)); #516679=CARTESIAN_POINT('Origin',(32.939338,46.0524,0.)); #516680=CARTESIAN_POINT('',(32.939338,46.0524,0.)); #516681=CARTESIAN_POINT('',(32.939338,46.0524,0.)); #516682=CARTESIAN_POINT('',(32.939338,46.0524,0.035)); #516683=CARTESIAN_POINT('',(32.939338,46.0524,0.035)); #516684=CARTESIAN_POINT('',(32.939338,46.0524,0.)); #516685=CARTESIAN_POINT('Origin',(32.520663,46.0524,0.)); #516686=CARTESIAN_POINT('',(32.520663,46.0524,0.)); #516687=CARTESIAN_POINT('',(32.520663,46.0524,0.)); #516688=CARTESIAN_POINT('',(32.520663,46.0524,0.035)); #516689=CARTESIAN_POINT('',(32.520663,46.0524,0.035)); #516690=CARTESIAN_POINT('',(32.520663,46.0524,0.)); #516691=CARTESIAN_POINT('Origin',(32.133862,45.892181,0.)); #516692=CARTESIAN_POINT('',(32.133862,45.892181,0.)); #516693=CARTESIAN_POINT('',(32.133862,45.892181,0.)); #516694=CARTESIAN_POINT('',(32.133862,45.892181,0.035)); #516695=CARTESIAN_POINT('',(32.133862,45.892181,0.035)); #516696=CARTESIAN_POINT('',(32.133862,45.892181,0.)); #516697=CARTESIAN_POINT('Origin',(31.837819,45.596138,0.)); #516698=CARTESIAN_POINT('',(31.837819,45.596138,0.)); #516699=CARTESIAN_POINT('',(31.837819,45.596138,0.)); #516700=CARTESIAN_POINT('',(31.837819,45.596138,0.035)); #516701=CARTESIAN_POINT('',(31.837819,45.596138,0.035)); #516702=CARTESIAN_POINT('',(31.837819,45.596138,0.)); #516703=CARTESIAN_POINT('Origin',(31.6776,45.209338,0.)); #516704=CARTESIAN_POINT('',(31.6776,45.209338,0.)); #516705=CARTESIAN_POINT('',(31.6776,45.209338,0.)); #516706=CARTESIAN_POINT('',(31.6776,45.209338,0.035)); #516707=CARTESIAN_POINT('',(31.6776,45.209338,0.035)); #516708=CARTESIAN_POINT('',(31.6776,45.209338,0.)); #516709=CARTESIAN_POINT('Origin',(31.6776,44.790663,0.)); #516710=CARTESIAN_POINT('',(31.6776,44.790663,0.)); #516711=CARTESIAN_POINT('',(31.6776,44.790663,0.)); #516712=CARTESIAN_POINT('',(31.6776,44.790663,0.035)); #516713=CARTESIAN_POINT('',(31.6776,44.790663,0.035)); #516714=CARTESIAN_POINT('',(31.6776,44.790663,0.)); #516715=CARTESIAN_POINT('Origin',(31.837819,44.403863,0.)); #516716=CARTESIAN_POINT('',(31.837819,44.403863,0.)); #516717=CARTESIAN_POINT('',(31.837819,44.403863,0.)); #516718=CARTESIAN_POINT('',(31.837819,44.403863,0.035)); #516719=CARTESIAN_POINT('',(31.837819,44.403863,0.035)); #516720=CARTESIAN_POINT('',(31.837819,44.403863,0.)); #516721=CARTESIAN_POINT('Origin',(32.133862,44.107819,0.)); #516722=CARTESIAN_POINT('',(32.133862,44.107819,0.)); #516723=CARTESIAN_POINT('',(32.133862,44.107819,0.)); #516724=CARTESIAN_POINT('',(32.133862,44.107819,0.035)); #516725=CARTESIAN_POINT('',(32.133862,44.107819,0.035)); #516726=CARTESIAN_POINT('',(32.133862,44.107819,0.)); #516727=CARTESIAN_POINT('Origin',(32.520663,43.9476,0.)); #516728=CARTESIAN_POINT('',(32.520663,43.9476,0.)); #516729=CARTESIAN_POINT('',(32.520663,43.9476,0.035)); #516730=CARTESIAN_POINT('Origin',(35.479337,43.9476,0.)); #516731=CARTESIAN_POINT('',(35.479337,43.9476,0.)); #516732=CARTESIAN_POINT('',(35.060662,43.9476,0.)); #516733=CARTESIAN_POINT('',(35.479337,43.9476,0.)); #516734=CARTESIAN_POINT('',(35.060662,43.9476,0.035)); #516735=CARTESIAN_POINT('',(35.060662,43.9476,0.)); #516736=CARTESIAN_POINT('',(35.479337,43.9476,0.035)); #516737=CARTESIAN_POINT('',(35.479337,43.9476,0.035)); #516738=CARTESIAN_POINT('',(35.479337,43.9476,0.)); #516739=CARTESIAN_POINT('Origin',(35.866138,44.107819,0.)); #516740=CARTESIAN_POINT('',(35.866138,44.107819,0.)); #516741=CARTESIAN_POINT('',(35.866138,44.107819,0.)); #516742=CARTESIAN_POINT('',(35.866138,44.107819,0.035)); #516743=CARTESIAN_POINT('',(35.866138,44.107819,0.035)); #516744=CARTESIAN_POINT('',(35.866138,44.107819,0.)); #516745=CARTESIAN_POINT('Origin',(36.162181,44.403863,0.)); #516746=CARTESIAN_POINT('',(36.162181,44.403863,0.)); #516747=CARTESIAN_POINT('',(36.162181,44.403863,0.)); #516748=CARTESIAN_POINT('',(36.162181,44.403863,0.035)); #516749=CARTESIAN_POINT('',(36.162181,44.403863,0.035)); #516750=CARTESIAN_POINT('',(36.162181,44.403863,0.)); #516751=CARTESIAN_POINT('Origin',(36.3224,44.790663,0.)); #516752=CARTESIAN_POINT('',(36.3224,44.790663,0.)); #516753=CARTESIAN_POINT('',(36.3224,44.790663,0.)); #516754=CARTESIAN_POINT('',(36.3224,44.790663,0.035)); #516755=CARTESIAN_POINT('',(36.3224,44.790663,0.035)); #516756=CARTESIAN_POINT('',(36.3224,44.790663,0.)); #516757=CARTESIAN_POINT('Origin',(36.3224,45.209338,0.)); #516758=CARTESIAN_POINT('',(36.3224,45.209338,0.)); #516759=CARTESIAN_POINT('',(36.3224,45.209338,0.)); #516760=CARTESIAN_POINT('',(36.3224,45.209338,0.035)); #516761=CARTESIAN_POINT('',(36.3224,45.209338,0.035)); #516762=CARTESIAN_POINT('',(36.3224,45.209338,0.)); #516763=CARTESIAN_POINT('Origin',(36.162181,45.596138,0.)); #516764=CARTESIAN_POINT('',(36.162181,45.596138,0.)); #516765=CARTESIAN_POINT('',(36.162181,45.596138,0.)); #516766=CARTESIAN_POINT('',(36.162181,45.596138,0.035)); #516767=CARTESIAN_POINT('',(36.162181,45.596138,0.035)); #516768=CARTESIAN_POINT('',(36.162181,45.596138,0.)); #516769=CARTESIAN_POINT('Origin',(35.866138,45.892181,0.)); #516770=CARTESIAN_POINT('',(35.866138,45.892181,0.)); #516771=CARTESIAN_POINT('',(35.866138,45.892181,0.)); #516772=CARTESIAN_POINT('',(35.866138,45.892181,0.035)); #516773=CARTESIAN_POINT('',(35.866138,45.892181,0.035)); #516774=CARTESIAN_POINT('',(35.866138,45.892181,0.)); #516775=CARTESIAN_POINT('Origin',(35.479337,46.0524,0.)); #516776=CARTESIAN_POINT('',(35.479337,46.0524,0.)); #516777=CARTESIAN_POINT('',(35.479337,46.0524,0.)); #516778=CARTESIAN_POINT('',(35.479337,46.0524,0.035)); #516779=CARTESIAN_POINT('',(35.479337,46.0524,0.035)); #516780=CARTESIAN_POINT('',(35.479337,46.0524,0.)); #516781=CARTESIAN_POINT('Origin',(35.060662,46.0524,0.)); #516782=CARTESIAN_POINT('',(35.060662,46.0524,0.)); #516783=CARTESIAN_POINT('',(35.060662,46.0524,0.)); #516784=CARTESIAN_POINT('',(35.060662,46.0524,0.035)); #516785=CARTESIAN_POINT('',(35.060662,46.0524,0.035)); #516786=CARTESIAN_POINT('',(35.060662,46.0524,0.)); #516787=CARTESIAN_POINT('Origin',(34.673862,45.892181,0.)); #516788=CARTESIAN_POINT('',(34.673862,45.892181,0.)); #516789=CARTESIAN_POINT('',(34.673862,45.892181,0.)); #516790=CARTESIAN_POINT('',(34.673862,45.892181,0.035)); #516791=CARTESIAN_POINT('',(34.673862,45.892181,0.035)); #516792=CARTESIAN_POINT('',(34.673862,45.892181,0.)); #516793=CARTESIAN_POINT('Origin',(34.377819,45.596138,0.)); #516794=CARTESIAN_POINT('',(34.377819,45.596138,0.)); #516795=CARTESIAN_POINT('',(34.377819,45.596138,0.)); #516796=CARTESIAN_POINT('',(34.377819,45.596138,0.035)); #516797=CARTESIAN_POINT('',(34.377819,45.596138,0.035)); #516798=CARTESIAN_POINT('',(34.377819,45.596138,0.)); #516799=CARTESIAN_POINT('Origin',(34.2176,45.209338,0.)); #516800=CARTESIAN_POINT('',(34.2176,45.209338,0.)); #516801=CARTESIAN_POINT('',(34.2176,45.209338,0.)); #516802=CARTESIAN_POINT('',(34.2176,45.209338,0.035)); #516803=CARTESIAN_POINT('',(34.2176,45.209338,0.035)); #516804=CARTESIAN_POINT('',(34.2176,45.209338,0.)); #516805=CARTESIAN_POINT('Origin',(34.2176,44.790663,0.)); #516806=CARTESIAN_POINT('',(34.2176,44.790663,0.)); #516807=CARTESIAN_POINT('',(34.2176,44.790663,0.)); #516808=CARTESIAN_POINT('',(34.2176,44.790663,0.035)); #516809=CARTESIAN_POINT('',(34.2176,44.790663,0.035)); #516810=CARTESIAN_POINT('',(34.2176,44.790663,0.)); #516811=CARTESIAN_POINT('Origin',(34.377819,44.403863,0.)); #516812=CARTESIAN_POINT('',(34.377819,44.403863,0.)); #516813=CARTESIAN_POINT('',(34.377819,44.403863,0.)); #516814=CARTESIAN_POINT('',(34.377819,44.403863,0.035)); #516815=CARTESIAN_POINT('',(34.377819,44.403863,0.035)); #516816=CARTESIAN_POINT('',(34.377819,44.403863,0.)); #516817=CARTESIAN_POINT('Origin',(34.673862,44.107819,0.)); #516818=CARTESIAN_POINT('',(34.673862,44.107819,0.)); #516819=CARTESIAN_POINT('',(34.673862,44.107819,0.)); #516820=CARTESIAN_POINT('',(34.673862,44.107819,0.035)); #516821=CARTESIAN_POINT('',(34.673862,44.107819,0.035)); #516822=CARTESIAN_POINT('',(34.673862,44.107819,0.)); #516823=CARTESIAN_POINT('Origin',(35.060662,43.9476,0.)); #516824=CARTESIAN_POINT('',(35.060662,43.9476,0.)); #516825=CARTESIAN_POINT('',(35.060662,43.9476,0.035)); #516826=CARTESIAN_POINT('Origin',(37.470228,52.4031,0.)); #516827=CARTESIAN_POINT('',(37.470228,52.4031,0.)); #516828=CARTESIAN_POINT('',(36.505772,52.4031,0.)); #516829=CARTESIAN_POINT('',(37.470228,52.4031,0.)); #516830=CARTESIAN_POINT('',(36.505772,52.4031,0.035)); #516831=CARTESIAN_POINT('',(36.505772,52.4031,0.)); #516832=CARTESIAN_POINT('',(37.470228,52.4031,0.035)); #516833=CARTESIAN_POINT('',(37.470228,52.4031,0.035)); #516834=CARTESIAN_POINT('',(37.470228,52.4031,0.)); #516835=CARTESIAN_POINT('Origin',(37.5595,52.492372,0.)); #516836=CARTESIAN_POINT('',(37.5595,52.492372,0.)); #516837=CARTESIAN_POINT('',(37.5595,52.492372,0.)); #516838=CARTESIAN_POINT('',(37.5595,52.492372,0.035)); #516839=CARTESIAN_POINT('',(37.5595,52.492372,0.035)); #516840=CARTESIAN_POINT('',(37.5595,52.492372,0.)); #516841=CARTESIAN_POINT('Origin',(37.5595,53.507628,0.)); #516842=CARTESIAN_POINT('',(37.5595,53.507628,0.)); #516843=CARTESIAN_POINT('',(37.5595,53.507628,0.)); #516844=CARTESIAN_POINT('',(37.5595,53.507628,0.035)); #516845=CARTESIAN_POINT('',(37.5595,53.507628,0.035)); #516846=CARTESIAN_POINT('',(37.5595,53.507628,0.)); #516847=CARTESIAN_POINT('Origin',(37.470228,53.5969,0.)); #516848=CARTESIAN_POINT('',(37.470228,53.5969,0.)); #516849=CARTESIAN_POINT('',(37.470228,53.5969,0.)); #516850=CARTESIAN_POINT('',(37.470228,53.5969,0.035)); #516851=CARTESIAN_POINT('',(37.470228,53.5969,0.035)); #516852=CARTESIAN_POINT('',(37.470228,53.5969,0.)); #516853=CARTESIAN_POINT('Origin',(37.2928,53.5969,0.)); #516854=CARTESIAN_POINT('',(37.2928,53.5969,0.)); #516855=CARTESIAN_POINT('',(37.2928,53.5969,0.)); #516856=CARTESIAN_POINT('',(37.2928,53.5969,0.035)); #516857=CARTESIAN_POINT('',(37.2928,53.5969,0.035)); #516858=CARTESIAN_POINT('',(37.2928,53.5969,0.)); #516859=CARTESIAN_POINT('Origin',(37.265331,53.602022,0.)); #516860=CARTESIAN_POINT('',(37.265331,53.602022,0.)); #516861=CARTESIAN_POINT('',(37.265331,53.602022,0.)); #516862=CARTESIAN_POINT('',(37.265331,53.602022,0.035)); #516863=CARTESIAN_POINT('',(37.265331,53.602022,0.035)); #516864=CARTESIAN_POINT('',(37.265331,53.602022,0.)); #516865=CARTESIAN_POINT('Origin',(37.239784,53.618366,0.)); #516866=CARTESIAN_POINT('',(37.239784,53.618366,0.)); #516867=CARTESIAN_POINT('',(37.239784,53.618366,0.)); #516868=CARTESIAN_POINT('',(37.239784,53.618366,0.035)); #516869=CARTESIAN_POINT('',(37.239784,53.618366,0.035)); #516870=CARTESIAN_POINT('',(37.239784,53.618366,0.)); #516871=CARTESIAN_POINT('Origin',(37.222634,53.643378,0.)); #516872=CARTESIAN_POINT('',(37.222634,53.643378,0.)); #516873=CARTESIAN_POINT('',(37.222634,53.643378,0.)); #516874=CARTESIAN_POINT('',(37.222634,53.643378,0.035)); #516875=CARTESIAN_POINT('',(37.222634,53.643378,0.035)); #516876=CARTESIAN_POINT('',(37.222634,53.643378,0.)); #516877=CARTESIAN_POINT('Origin',(37.2166,53.6731,0.)); #516878=CARTESIAN_POINT('',(37.2166,53.6731,0.)); #516879=CARTESIAN_POINT('',(37.2166,53.6731,0.)); #516880=CARTESIAN_POINT('',(37.2166,53.6731,0.035)); #516881=CARTESIAN_POINT('',(37.2166,53.6731,0.035)); #516882=CARTESIAN_POINT('',(37.2166,53.6731,0.)); #516883=CARTESIAN_POINT('Origin',(37.2166,56.3269,0.)); #516884=CARTESIAN_POINT('',(37.2166,56.3269,0.)); #516885=CARTESIAN_POINT('',(37.2166,56.3269,0.)); #516886=CARTESIAN_POINT('',(37.2166,56.3269,0.035)); #516887=CARTESIAN_POINT('',(37.2166,56.3269,0.035)); #516888=CARTESIAN_POINT('',(37.2166,56.3269,0.)); #516889=CARTESIAN_POINT('Origin',(37.221722,56.354369,0.)); #516890=CARTESIAN_POINT('',(37.221722,56.354369,0.)); #516891=CARTESIAN_POINT('',(37.221722,56.354369,0.)); #516892=CARTESIAN_POINT('',(37.221722,56.354369,0.035)); #516893=CARTESIAN_POINT('',(37.221722,56.354369,0.035)); #516894=CARTESIAN_POINT('',(37.221722,56.354369,0.)); #516895=CARTESIAN_POINT('Origin',(37.238066,56.379916,0.)); #516896=CARTESIAN_POINT('',(37.238066,56.379916,0.)); #516897=CARTESIAN_POINT('',(37.238066,56.379916,0.)); #516898=CARTESIAN_POINT('',(37.238066,56.379916,0.035)); #516899=CARTESIAN_POINT('',(37.238066,56.379916,0.035)); #516900=CARTESIAN_POINT('',(37.238066,56.379916,0.)); #516901=CARTESIAN_POINT('Origin',(37.263078,56.397066,0.)); #516902=CARTESIAN_POINT('',(37.263078,56.397066,0.)); #516903=CARTESIAN_POINT('',(37.263078,56.397066,0.)); #516904=CARTESIAN_POINT('',(37.263078,56.397066,0.035)); #516905=CARTESIAN_POINT('',(37.263078,56.397066,0.035)); #516906=CARTESIAN_POINT('',(37.263078,56.397066,0.)); #516907=CARTESIAN_POINT('Origin',(37.2928,56.4031,0.)); #516908=CARTESIAN_POINT('',(37.2928,56.4031,0.)); #516909=CARTESIAN_POINT('',(37.2928,56.4031,0.)); #516910=CARTESIAN_POINT('',(37.2928,56.4031,0.035)); #516911=CARTESIAN_POINT('',(37.2928,56.4031,0.035)); #516912=CARTESIAN_POINT('',(37.2928,56.4031,0.)); #516913=CARTESIAN_POINT('Origin',(37.470228,56.4031,0.)); #516914=CARTESIAN_POINT('',(37.470228,56.4031,0.)); #516915=CARTESIAN_POINT('',(37.470228,56.4031,0.)); #516916=CARTESIAN_POINT('',(37.470228,56.4031,0.035)); #516917=CARTESIAN_POINT('',(37.470228,56.4031,0.035)); #516918=CARTESIAN_POINT('',(37.470228,56.4031,0.)); #516919=CARTESIAN_POINT('Origin',(37.5595,56.492372,0.)); #516920=CARTESIAN_POINT('',(37.5595,56.492372,0.)); #516921=CARTESIAN_POINT('',(37.5595,56.492372,0.)); #516922=CARTESIAN_POINT('',(37.5595,56.492372,0.035)); #516923=CARTESIAN_POINT('',(37.5595,56.492372,0.035)); #516924=CARTESIAN_POINT('',(37.5595,56.492372,0.)); #516925=CARTESIAN_POINT('Origin',(37.5595,57.507628,0.)); #516926=CARTESIAN_POINT('',(37.5595,57.507628,0.)); #516927=CARTESIAN_POINT('',(37.5595,57.507628,0.)); #516928=CARTESIAN_POINT('',(37.5595,57.507628,0.035)); #516929=CARTESIAN_POINT('',(37.5595,57.507628,0.035)); #516930=CARTESIAN_POINT('',(37.5595,57.507628,0.)); #516931=CARTESIAN_POINT('Origin',(37.470228,57.5969,0.)); #516932=CARTESIAN_POINT('',(37.470228,57.5969,0.)); #516933=CARTESIAN_POINT('',(37.470228,57.5969,0.)); #516934=CARTESIAN_POINT('',(37.470228,57.5969,0.035)); #516935=CARTESIAN_POINT('',(37.470228,57.5969,0.035)); #516936=CARTESIAN_POINT('',(37.470228,57.5969,0.)); #516937=CARTESIAN_POINT('Origin',(36.505772,57.5969,0.)); #516938=CARTESIAN_POINT('',(36.505772,57.5969,0.)); #516939=CARTESIAN_POINT('',(36.505772,57.5969,0.)); #516940=CARTESIAN_POINT('',(36.505772,57.5969,0.035)); #516941=CARTESIAN_POINT('',(36.505772,57.5969,0.035)); #516942=CARTESIAN_POINT('',(36.505772,57.5969,0.)); #516943=CARTESIAN_POINT('Origin',(36.4165,57.507628,0.)); #516944=CARTESIAN_POINT('',(36.4165,57.507628,0.)); #516945=CARTESIAN_POINT('',(36.4165,57.507628,0.)); #516946=CARTESIAN_POINT('',(36.4165,57.507628,0.035)); #516947=CARTESIAN_POINT('',(36.4165,57.507628,0.035)); #516948=CARTESIAN_POINT('',(36.4165,57.507628,0.)); #516949=CARTESIAN_POINT('Origin',(36.4165,56.492372,0.)); #516950=CARTESIAN_POINT('',(36.4165,56.492372,0.)); #516951=CARTESIAN_POINT('',(36.4165,56.492372,0.)); #516952=CARTESIAN_POINT('',(36.4165,56.492372,0.035)); #516953=CARTESIAN_POINT('',(36.4165,56.492372,0.035)); #516954=CARTESIAN_POINT('',(36.4165,56.492372,0.)); #516955=CARTESIAN_POINT('Origin',(36.505772,56.4031,0.)); #516956=CARTESIAN_POINT('',(36.505772,56.4031,0.)); #516957=CARTESIAN_POINT('',(36.505772,56.4031,0.)); #516958=CARTESIAN_POINT('',(36.505772,56.4031,0.035)); #516959=CARTESIAN_POINT('',(36.505772,56.4031,0.035)); #516960=CARTESIAN_POINT('',(36.505772,56.4031,0.)); #516961=CARTESIAN_POINT('Origin',(36.6832,56.4031,0.)); #516962=CARTESIAN_POINT('',(36.6832,56.4031,0.)); #516963=CARTESIAN_POINT('',(36.6832,56.4031,0.)); #516964=CARTESIAN_POINT('',(36.6832,56.4031,0.035)); #516965=CARTESIAN_POINT('',(36.6832,56.4031,0.035)); #516966=CARTESIAN_POINT('',(36.6832,56.4031,0.)); #516967=CARTESIAN_POINT('Origin',(36.710669,56.397978,0.)); #516968=CARTESIAN_POINT('',(36.710669,56.397978,0.)); #516969=CARTESIAN_POINT('',(36.710669,56.397978,0.)); #516970=CARTESIAN_POINT('',(36.710669,56.397978,0.035)); #516971=CARTESIAN_POINT('',(36.710669,56.397978,0.035)); #516972=CARTESIAN_POINT('',(36.710669,56.397978,0.)); #516973=CARTESIAN_POINT('Origin',(36.736216,56.381634,0.)); #516974=CARTESIAN_POINT('',(36.736216,56.381634,0.)); #516975=CARTESIAN_POINT('',(36.736216,56.381634,0.)); #516976=CARTESIAN_POINT('',(36.736216,56.381634,0.035)); #516977=CARTESIAN_POINT('',(36.736216,56.381634,0.035)); #516978=CARTESIAN_POINT('',(36.736216,56.381634,0.)); #516979=CARTESIAN_POINT('Origin',(36.753366,56.356622,0.)); #516980=CARTESIAN_POINT('',(36.753366,56.356622,0.)); #516981=CARTESIAN_POINT('',(36.753366,56.356622,0.)); #516982=CARTESIAN_POINT('',(36.753366,56.356622,0.035)); #516983=CARTESIAN_POINT('',(36.753366,56.356622,0.035)); #516984=CARTESIAN_POINT('',(36.753366,56.356622,0.)); #516985=CARTESIAN_POINT('Origin',(36.7594,56.3269,0.)); #516986=CARTESIAN_POINT('',(36.7594,56.3269,0.)); #516987=CARTESIAN_POINT('',(36.7594,56.3269,0.)); #516988=CARTESIAN_POINT('',(36.7594,56.3269,0.035)); #516989=CARTESIAN_POINT('',(36.7594,56.3269,0.035)); #516990=CARTESIAN_POINT('',(36.7594,56.3269,0.)); #516991=CARTESIAN_POINT('Origin',(36.7594,53.6731,0.)); #516992=CARTESIAN_POINT('',(36.7594,53.6731,0.)); #516993=CARTESIAN_POINT('',(36.7594,53.6731,0.)); #516994=CARTESIAN_POINT('',(36.7594,53.6731,0.035)); #516995=CARTESIAN_POINT('',(36.7594,53.6731,0.035)); #516996=CARTESIAN_POINT('',(36.7594,53.6731,0.)); #516997=CARTESIAN_POINT('Origin',(36.754278,53.645631,0.)); #516998=CARTESIAN_POINT('',(36.754278,53.645631,0.)); #516999=CARTESIAN_POINT('',(36.754278,53.645631,0.)); #517000=CARTESIAN_POINT('',(36.754278,53.645631,0.035)); #517001=CARTESIAN_POINT('',(36.754278,53.645631,0.035)); #517002=CARTESIAN_POINT('',(36.754278,53.645631,0.)); #517003=CARTESIAN_POINT('Origin',(36.737934,53.620084,0.)); #517004=CARTESIAN_POINT('',(36.737934,53.620084,0.)); #517005=CARTESIAN_POINT('',(36.737934,53.620084,0.)); #517006=CARTESIAN_POINT('',(36.737934,53.620084,0.035)); #517007=CARTESIAN_POINT('',(36.737934,53.620084,0.035)); #517008=CARTESIAN_POINT('',(36.737934,53.620084,0.)); #517009=CARTESIAN_POINT('Origin',(36.712922,53.602934,0.)); #517010=CARTESIAN_POINT('',(36.712922,53.602934,0.)); #517011=CARTESIAN_POINT('',(36.712922,53.602934,0.)); #517012=CARTESIAN_POINT('',(36.712922,53.602934,0.035)); #517013=CARTESIAN_POINT('',(36.712922,53.602934,0.035)); #517014=CARTESIAN_POINT('',(36.712922,53.602934,0.)); #517015=CARTESIAN_POINT('Origin',(36.6832,53.5969,0.)); #517016=CARTESIAN_POINT('',(36.6832,53.5969,0.)); #517017=CARTESIAN_POINT('',(36.6832,53.5969,0.)); #517018=CARTESIAN_POINT('',(36.6832,53.5969,0.035)); #517019=CARTESIAN_POINT('',(36.6832,53.5969,0.035)); #517020=CARTESIAN_POINT('',(36.6832,53.5969,0.)); #517021=CARTESIAN_POINT('Origin',(36.505772,53.5969,0.)); #517022=CARTESIAN_POINT('',(36.505772,53.5969,0.)); #517023=CARTESIAN_POINT('',(36.505772,53.5969,0.)); #517024=CARTESIAN_POINT('',(36.505772,53.5969,0.035)); #517025=CARTESIAN_POINT('',(36.505772,53.5969,0.035)); #517026=CARTESIAN_POINT('',(36.505772,53.5969,0.)); #517027=CARTESIAN_POINT('Origin',(36.4165,53.507628,0.)); #517028=CARTESIAN_POINT('',(36.4165,53.507628,0.)); #517029=CARTESIAN_POINT('',(36.4165,53.507628,0.)); #517030=CARTESIAN_POINT('',(36.4165,53.507628,0.035)); #517031=CARTESIAN_POINT('',(36.4165,53.507628,0.035)); #517032=CARTESIAN_POINT('',(36.4165,53.507628,0.)); #517033=CARTESIAN_POINT('Origin',(36.4165,52.492372,0.)); #517034=CARTESIAN_POINT('',(36.4165,52.492372,0.)); #517035=CARTESIAN_POINT('',(36.4165,52.492372,0.)); #517036=CARTESIAN_POINT('',(36.4165,52.492372,0.035)); #517037=CARTESIAN_POINT('',(36.4165,52.492372,0.035)); #517038=CARTESIAN_POINT('',(36.4165,52.492372,0.)); #517039=CARTESIAN_POINT('Origin',(36.505772,52.4031,0.)); #517040=CARTESIAN_POINT('',(36.505772,52.4031,0.)); #517041=CARTESIAN_POINT('',(36.505772,52.4031,0.035)); #517042=CARTESIAN_POINT('Origin',(31.494228,52.4031,0.)); #517043=CARTESIAN_POINT('',(31.494228,52.4031,0.)); #517044=CARTESIAN_POINT('',(30.529772,52.4031,0.)); #517045=CARTESIAN_POINT('',(31.494228,52.4031,0.)); #517046=CARTESIAN_POINT('',(30.529772,52.4031,0.035)); #517047=CARTESIAN_POINT('',(30.529772,52.4031,0.)); #517048=CARTESIAN_POINT('',(31.494228,52.4031,0.035)); #517049=CARTESIAN_POINT('',(31.494228,52.4031,0.035)); #517050=CARTESIAN_POINT('',(31.494228,52.4031,0.)); #517051=CARTESIAN_POINT('Origin',(31.5835,52.492372,0.)); #517052=CARTESIAN_POINT('',(31.5835,52.492372,0.)); #517053=CARTESIAN_POINT('',(31.5835,52.492372,0.)); #517054=CARTESIAN_POINT('',(31.5835,52.492372,0.035)); #517055=CARTESIAN_POINT('',(31.5835,52.492372,0.035)); #517056=CARTESIAN_POINT('',(31.5835,52.492372,0.)); #517057=CARTESIAN_POINT('Origin',(31.5835,53.507628,0.)); #517058=CARTESIAN_POINT('',(31.5835,53.507628,0.)); #517059=CARTESIAN_POINT('',(31.5835,53.507628,0.)); #517060=CARTESIAN_POINT('',(31.5835,53.507628,0.035)); #517061=CARTESIAN_POINT('',(31.5835,53.507628,0.035)); #517062=CARTESIAN_POINT('',(31.5835,53.507628,0.)); #517063=CARTESIAN_POINT('Origin',(31.494228,53.5969,0.)); #517064=CARTESIAN_POINT('',(31.494228,53.5969,0.)); #517065=CARTESIAN_POINT('',(31.494228,53.5969,0.)); #517066=CARTESIAN_POINT('',(31.494228,53.5969,0.035)); #517067=CARTESIAN_POINT('',(31.494228,53.5969,0.035)); #517068=CARTESIAN_POINT('',(31.494228,53.5969,0.)); #517069=CARTESIAN_POINT('Origin',(31.3168,53.5969,0.)); #517070=CARTESIAN_POINT('',(31.3168,53.5969,0.)); #517071=CARTESIAN_POINT('',(31.3168,53.5969,0.)); #517072=CARTESIAN_POINT('',(31.3168,53.5969,0.035)); #517073=CARTESIAN_POINT('',(31.3168,53.5969,0.035)); #517074=CARTESIAN_POINT('',(31.3168,53.5969,0.)); #517075=CARTESIAN_POINT('Origin',(31.289331,53.602022,0.)); #517076=CARTESIAN_POINT('',(31.289331,53.602022,0.)); #517077=CARTESIAN_POINT('',(31.289331,53.602022,0.)); #517078=CARTESIAN_POINT('',(31.289331,53.602022,0.035)); #517079=CARTESIAN_POINT('',(31.289331,53.602022,0.035)); #517080=CARTESIAN_POINT('',(31.289331,53.602022,0.)); #517081=CARTESIAN_POINT('Origin',(31.263784,53.618366,0.)); #517082=CARTESIAN_POINT('',(31.263784,53.618366,0.)); #517083=CARTESIAN_POINT('',(31.263784,53.618366,0.)); #517084=CARTESIAN_POINT('',(31.263784,53.618366,0.035)); #517085=CARTESIAN_POINT('',(31.263784,53.618366,0.035)); #517086=CARTESIAN_POINT('',(31.263784,53.618366,0.)); #517087=CARTESIAN_POINT('Origin',(31.246634,53.643378,0.)); #517088=CARTESIAN_POINT('',(31.246634,53.643378,0.)); #517089=CARTESIAN_POINT('',(31.246634,53.643378,0.)); #517090=CARTESIAN_POINT('',(31.246634,53.643378,0.035)); #517091=CARTESIAN_POINT('',(31.246634,53.643378,0.035)); #517092=CARTESIAN_POINT('',(31.246634,53.643378,0.)); #517093=CARTESIAN_POINT('Origin',(31.2406,53.6731,0.)); #517094=CARTESIAN_POINT('',(31.2406,53.6731,0.)); #517095=CARTESIAN_POINT('',(31.2406,53.6731,0.)); #517096=CARTESIAN_POINT('',(31.2406,53.6731,0.035)); #517097=CARTESIAN_POINT('',(31.2406,53.6731,0.035)); #517098=CARTESIAN_POINT('',(31.2406,53.6731,0.)); #517099=CARTESIAN_POINT('Origin',(31.2406,56.3269,0.)); #517100=CARTESIAN_POINT('',(31.2406,56.3269,0.)); #517101=CARTESIAN_POINT('',(31.2406,56.3269,0.)); #517102=CARTESIAN_POINT('',(31.2406,56.3269,0.035)); #517103=CARTESIAN_POINT('',(31.2406,56.3269,0.035)); #517104=CARTESIAN_POINT('',(31.2406,56.3269,0.)); #517105=CARTESIAN_POINT('Origin',(31.245722,56.354369,0.)); #517106=CARTESIAN_POINT('',(31.245722,56.354369,0.)); #517107=CARTESIAN_POINT('',(31.245722,56.354369,0.)); #517108=CARTESIAN_POINT('',(31.245722,56.354369,0.035)); #517109=CARTESIAN_POINT('',(31.245722,56.354369,0.035)); #517110=CARTESIAN_POINT('',(31.245722,56.354369,0.)); #517111=CARTESIAN_POINT('Origin',(31.262066,56.379916,0.)); #517112=CARTESIAN_POINT('',(31.262066,56.379916,0.)); #517113=CARTESIAN_POINT('',(31.262066,56.379916,0.)); #517114=CARTESIAN_POINT('',(31.262066,56.379916,0.035)); #517115=CARTESIAN_POINT('',(31.262066,56.379916,0.035)); #517116=CARTESIAN_POINT('',(31.262066,56.379916,0.)); #517117=CARTESIAN_POINT('Origin',(31.287078,56.397066,0.)); #517118=CARTESIAN_POINT('',(31.287078,56.397066,0.)); #517119=CARTESIAN_POINT('',(31.287078,56.397066,0.)); #517120=CARTESIAN_POINT('',(31.287078,56.397066,0.035)); #517121=CARTESIAN_POINT('',(31.287078,56.397066,0.035)); #517122=CARTESIAN_POINT('',(31.287078,56.397066,0.)); #517123=CARTESIAN_POINT('Origin',(31.3168,56.4031,0.)); #517124=CARTESIAN_POINT('',(31.3168,56.4031,0.)); #517125=CARTESIAN_POINT('',(31.3168,56.4031,0.)); #517126=CARTESIAN_POINT('',(31.3168,56.4031,0.035)); #517127=CARTESIAN_POINT('',(31.3168,56.4031,0.035)); #517128=CARTESIAN_POINT('',(31.3168,56.4031,0.)); #517129=CARTESIAN_POINT('Origin',(31.494228,56.4031,0.)); #517130=CARTESIAN_POINT('',(31.494228,56.4031,0.)); #517131=CARTESIAN_POINT('',(31.494228,56.4031,0.)); #517132=CARTESIAN_POINT('',(31.494228,56.4031,0.035)); #517133=CARTESIAN_POINT('',(31.494228,56.4031,0.035)); #517134=CARTESIAN_POINT('',(31.494228,56.4031,0.)); #517135=CARTESIAN_POINT('Origin',(31.5835,56.492372,0.)); #517136=CARTESIAN_POINT('',(31.5835,56.492372,0.)); #517137=CARTESIAN_POINT('',(31.5835,56.492372,0.)); #517138=CARTESIAN_POINT('',(31.5835,56.492372,0.035)); #517139=CARTESIAN_POINT('',(31.5835,56.492372,0.035)); #517140=CARTESIAN_POINT('',(31.5835,56.492372,0.)); #517141=CARTESIAN_POINT('Origin',(31.5835,57.507628,0.)); #517142=CARTESIAN_POINT('',(31.5835,57.507628,0.)); #517143=CARTESIAN_POINT('',(31.5835,57.507628,0.)); #517144=CARTESIAN_POINT('',(31.5835,57.507628,0.035)); #517145=CARTESIAN_POINT('',(31.5835,57.507628,0.035)); #517146=CARTESIAN_POINT('',(31.5835,57.507628,0.)); #517147=CARTESIAN_POINT('Origin',(31.494228,57.5969,0.)); #517148=CARTESIAN_POINT('',(31.494228,57.5969,0.)); #517149=CARTESIAN_POINT('',(31.494228,57.5969,0.)); #517150=CARTESIAN_POINT('',(31.494228,57.5969,0.035)); #517151=CARTESIAN_POINT('',(31.494228,57.5969,0.035)); #517152=CARTESIAN_POINT('',(31.494228,57.5969,0.)); #517153=CARTESIAN_POINT('Origin',(30.529772,57.5969,0.)); #517154=CARTESIAN_POINT('',(30.529772,57.5969,0.)); #517155=CARTESIAN_POINT('',(30.529772,57.5969,0.)); #517156=CARTESIAN_POINT('',(30.529772,57.5969,0.035)); #517157=CARTESIAN_POINT('',(30.529772,57.5969,0.035)); #517158=CARTESIAN_POINT('',(30.529772,57.5969,0.)); #517159=CARTESIAN_POINT('Origin',(30.4405,57.507628,0.)); #517160=CARTESIAN_POINT('',(30.4405,57.507628,0.)); #517161=CARTESIAN_POINT('',(30.4405,57.507628,0.)); #517162=CARTESIAN_POINT('',(30.4405,57.507628,0.035)); #517163=CARTESIAN_POINT('',(30.4405,57.507628,0.035)); #517164=CARTESIAN_POINT('',(30.4405,57.507628,0.)); #517165=CARTESIAN_POINT('Origin',(30.4405,56.492372,0.)); #517166=CARTESIAN_POINT('',(30.4405,56.492372,0.)); #517167=CARTESIAN_POINT('',(30.4405,56.492372,0.)); #517168=CARTESIAN_POINT('',(30.4405,56.492372,0.035)); #517169=CARTESIAN_POINT('',(30.4405,56.492372,0.035)); #517170=CARTESIAN_POINT('',(30.4405,56.492372,0.)); #517171=CARTESIAN_POINT('Origin',(30.529772,56.4031,0.)); #517172=CARTESIAN_POINT('',(30.529772,56.4031,0.)); #517173=CARTESIAN_POINT('',(30.529772,56.4031,0.)); #517174=CARTESIAN_POINT('',(30.529772,56.4031,0.035)); #517175=CARTESIAN_POINT('',(30.529772,56.4031,0.035)); #517176=CARTESIAN_POINT('',(30.529772,56.4031,0.)); #517177=CARTESIAN_POINT('Origin',(30.7072,56.4031,0.)); #517178=CARTESIAN_POINT('',(30.7072,56.4031,0.)); #517179=CARTESIAN_POINT('',(30.7072,56.4031,0.)); #517180=CARTESIAN_POINT('',(30.7072,56.4031,0.035)); #517181=CARTESIAN_POINT('',(30.7072,56.4031,0.035)); #517182=CARTESIAN_POINT('',(30.7072,56.4031,0.)); #517183=CARTESIAN_POINT('Origin',(30.734669,56.397978,0.)); #517184=CARTESIAN_POINT('',(30.734669,56.397978,0.)); #517185=CARTESIAN_POINT('',(30.734669,56.397978,0.)); #517186=CARTESIAN_POINT('',(30.734669,56.397978,0.035)); #517187=CARTESIAN_POINT('',(30.734669,56.397978,0.035)); #517188=CARTESIAN_POINT('',(30.734669,56.397978,0.)); #517189=CARTESIAN_POINT('Origin',(30.760216,56.381634,0.)); #517190=CARTESIAN_POINT('',(30.760216,56.381634,0.)); #517191=CARTESIAN_POINT('',(30.760216,56.381634,0.)); #517192=CARTESIAN_POINT('',(30.760216,56.381634,0.035)); #517193=CARTESIAN_POINT('',(30.760216,56.381634,0.035)); #517194=CARTESIAN_POINT('',(30.760216,56.381634,0.)); #517195=CARTESIAN_POINT('Origin',(30.777366,56.356622,0.)); #517196=CARTESIAN_POINT('',(30.777366,56.356622,0.)); #517197=CARTESIAN_POINT('',(30.777366,56.356622,0.)); #517198=CARTESIAN_POINT('',(30.777366,56.356622,0.035)); #517199=CARTESIAN_POINT('',(30.777366,56.356622,0.035)); #517200=CARTESIAN_POINT('',(30.777366,56.356622,0.)); #517201=CARTESIAN_POINT('Origin',(30.7834,56.3269,0.)); #517202=CARTESIAN_POINT('',(30.7834,56.3269,0.)); #517203=CARTESIAN_POINT('',(30.7834,56.3269,0.)); #517204=CARTESIAN_POINT('',(30.7834,56.3269,0.035)); #517205=CARTESIAN_POINT('',(30.7834,56.3269,0.035)); #517206=CARTESIAN_POINT('',(30.7834,56.3269,0.)); #517207=CARTESIAN_POINT('Origin',(30.7834,53.6731,0.)); #517208=CARTESIAN_POINT('',(30.7834,53.6731,0.)); #517209=CARTESIAN_POINT('',(30.7834,53.6731,0.)); #517210=CARTESIAN_POINT('',(30.7834,53.6731,0.035)); #517211=CARTESIAN_POINT('',(30.7834,53.6731,0.035)); #517212=CARTESIAN_POINT('',(30.7834,53.6731,0.)); #517213=CARTESIAN_POINT('Origin',(30.778278,53.645631,0.)); #517214=CARTESIAN_POINT('',(30.778278,53.645631,0.)); #517215=CARTESIAN_POINT('',(30.778278,53.645631,0.)); #517216=CARTESIAN_POINT('',(30.778278,53.645631,0.035)); #517217=CARTESIAN_POINT('',(30.778278,53.645631,0.035)); #517218=CARTESIAN_POINT('',(30.778278,53.645631,0.)); #517219=CARTESIAN_POINT('Origin',(30.761934,53.620084,0.)); #517220=CARTESIAN_POINT('',(30.761934,53.620084,0.)); #517221=CARTESIAN_POINT('',(30.761934,53.620084,0.)); #517222=CARTESIAN_POINT('',(30.761934,53.620084,0.035)); #517223=CARTESIAN_POINT('',(30.761934,53.620084,0.035)); #517224=CARTESIAN_POINT('',(30.761934,53.620084,0.)); #517225=CARTESIAN_POINT('Origin',(30.736922,53.602934,0.)); #517226=CARTESIAN_POINT('',(30.736922,53.602934,0.)); #517227=CARTESIAN_POINT('',(30.736922,53.602934,0.)); #517228=CARTESIAN_POINT('',(30.736922,53.602934,0.035)); #517229=CARTESIAN_POINT('',(30.736922,53.602934,0.035)); #517230=CARTESIAN_POINT('',(30.736922,53.602934,0.)); #517231=CARTESIAN_POINT('Origin',(30.7072,53.5969,0.)); #517232=CARTESIAN_POINT('',(30.7072,53.5969,0.)); #517233=CARTESIAN_POINT('',(30.7072,53.5969,0.)); #517234=CARTESIAN_POINT('',(30.7072,53.5969,0.035)); #517235=CARTESIAN_POINT('',(30.7072,53.5969,0.035)); #517236=CARTESIAN_POINT('',(30.7072,53.5969,0.)); #517237=CARTESIAN_POINT('Origin',(30.529772,53.5969,0.)); #517238=CARTESIAN_POINT('',(30.529772,53.5969,0.)); #517239=CARTESIAN_POINT('',(30.529772,53.5969,0.)); #517240=CARTESIAN_POINT('',(30.529772,53.5969,0.035)); #517241=CARTESIAN_POINT('',(30.529772,53.5969,0.035)); #517242=CARTESIAN_POINT('',(30.529772,53.5969,0.)); #517243=CARTESIAN_POINT('Origin',(30.4405,53.507628,0.)); #517244=CARTESIAN_POINT('',(30.4405,53.507628,0.)); #517245=CARTESIAN_POINT('',(30.4405,53.507628,0.)); #517246=CARTESIAN_POINT('',(30.4405,53.507628,0.035)); #517247=CARTESIAN_POINT('',(30.4405,53.507628,0.035)); #517248=CARTESIAN_POINT('',(30.4405,53.507628,0.)); #517249=CARTESIAN_POINT('Origin',(30.4405,52.492372,0.)); #517250=CARTESIAN_POINT('',(30.4405,52.492372,0.)); #517251=CARTESIAN_POINT('',(30.4405,52.492372,0.)); #517252=CARTESIAN_POINT('',(30.4405,52.492372,0.035)); #517253=CARTESIAN_POINT('',(30.4405,52.492372,0.035)); #517254=CARTESIAN_POINT('',(30.4405,52.492372,0.)); #517255=CARTESIAN_POINT('Origin',(30.529772,52.4031,0.)); #517256=CARTESIAN_POINT('',(30.529772,52.4031,0.)); #517257=CARTESIAN_POINT('',(30.529772,52.4031,0.035)); #517258=CARTESIAN_POINT('Origin',(35.745953,4.8984,0.)); #517259=CARTESIAN_POINT('',(35.745953,4.8984,0.)); #517260=CARTESIAN_POINT('',(32.252847,4.8984,0.)); #517261=CARTESIAN_POINT('',(35.745953,4.8984,0.)); #517262=CARTESIAN_POINT('',(32.252847,4.8984,0.035)); #517263=CARTESIAN_POINT('',(32.252847,4.8984,0.)); #517264=CARTESIAN_POINT('',(35.745953,4.8984,0.035)); #517265=CARTESIAN_POINT('',(35.745953,4.8984,0.035)); #517266=CARTESIAN_POINT('',(35.745953,4.8984,0.)); #517267=CARTESIAN_POINT('Origin',(35.771309,4.9011,0.)); #517268=CARTESIAN_POINT('',(35.771309,4.9011,0.)); #517269=CARTESIAN_POINT('',(35.771309,4.9011,0.)); #517270=CARTESIAN_POINT('',(35.771309,4.9011,0.035)); #517271=CARTESIAN_POINT('',(35.771309,4.9011,0.035)); #517272=CARTESIAN_POINT('',(35.771309,4.9011,0.)); #517273=CARTESIAN_POINT('Origin',(35.791663,4.9078063,0.)); #517274=CARTESIAN_POINT('',(35.791663,4.9078063,0.)); #517275=CARTESIAN_POINT('',(35.791663,4.9078063,0.)); #517276=CARTESIAN_POINT('',(35.791663,4.9078063,0.035)); #517277=CARTESIAN_POINT('',(35.791663,4.9078063,0.035)); #517278=CARTESIAN_POINT('',(35.791663,4.9078063,0.)); #517279=CARTESIAN_POINT('Origin',(35.811197,4.9192688,0.)); #517280=CARTESIAN_POINT('',(35.811197,4.9192688,0.)); #517281=CARTESIAN_POINT('',(35.811197,4.9192688,0.)); #517282=CARTESIAN_POINT('',(35.811197,4.9192688,0.035)); #517283=CARTESIAN_POINT('',(35.811197,4.9192688,0.035)); #517284=CARTESIAN_POINT('',(35.811197,4.9192688,0.)); #517285=CARTESIAN_POINT('Origin',(35.823866,4.9301781,0.)); #517286=CARTESIAN_POINT('',(35.823866,4.9301781,0.)); #517287=CARTESIAN_POINT('',(35.823866,4.9301781,0.)); #517288=CARTESIAN_POINT('',(35.823866,4.9301781,0.035)); #517289=CARTESIAN_POINT('',(35.823866,4.9301781,0.035)); #517290=CARTESIAN_POINT('',(35.823866,4.9301781,0.)); #517291=CARTESIAN_POINT('Origin',(36.505528,5.6118406,0.)); #517292=CARTESIAN_POINT('',(36.505528,5.6118406,0.)); #517293=CARTESIAN_POINT('',(36.505528,5.6118406,0.)); #517294=CARTESIAN_POINT('',(36.505528,5.6118406,0.035)); #517295=CARTESIAN_POINT('',(36.505528,5.6118406,0.035)); #517296=CARTESIAN_POINT('',(36.505528,5.6118406,0.)); #517297=CARTESIAN_POINT('Origin',(36.529131,5.6278844,0.)); #517298=CARTESIAN_POINT('',(36.529131,5.6278844,0.)); #517299=CARTESIAN_POINT('',(36.529131,5.6278844,0.)); #517300=CARTESIAN_POINT('',(36.529131,5.6278844,0.035)); #517301=CARTESIAN_POINT('',(36.529131,5.6278844,0.035)); #517302=CARTESIAN_POINT('',(36.529131,5.6278844,0.)); #517303=CARTESIAN_POINT('Origin',(36.5588,5.6341563,0.)); #517304=CARTESIAN_POINT('',(36.5588,5.6341563,0.)); #517305=CARTESIAN_POINT('',(36.5588,5.6341563,0.)); #517306=CARTESIAN_POINT('',(36.5588,5.6341563,0.035)); #517307=CARTESIAN_POINT('',(36.5588,5.6341563,0.035)); #517308=CARTESIAN_POINT('',(36.5588,5.6341563,0.)); #517309=CARTESIAN_POINT('Origin',(36.588569,5.6283594,0.)); #517310=CARTESIAN_POINT('',(36.588569,5.6283594,0.)); #517311=CARTESIAN_POINT('',(36.588569,5.6283594,0.)); #517312=CARTESIAN_POINT('',(36.588569,5.6283594,0.035)); #517313=CARTESIAN_POINT('',(36.588569,5.6283594,0.035)); #517314=CARTESIAN_POINT('',(36.588569,5.6283594,0.)); #517315=CARTESIAN_POINT('Origin',(36.765678,5.555,0.)); #517316=CARTESIAN_POINT('',(36.765678,5.555,0.)); #517317=CARTESIAN_POINT('',(36.765678,5.555,0.)); #517318=CARTESIAN_POINT('',(36.765678,5.555,0.035)); #517319=CARTESIAN_POINT('',(36.765678,5.555,0.035)); #517320=CARTESIAN_POINT('',(36.765678,5.555,0.)); #517321=CARTESIAN_POINT('Origin',(37.014322,5.555,0.)); #517322=CARTESIAN_POINT('',(37.014322,5.555,0.)); #517323=CARTESIAN_POINT('',(37.014322,5.555,0.)); #517324=CARTESIAN_POINT('',(37.014322,5.555,0.035)); #517325=CARTESIAN_POINT('',(37.014322,5.555,0.035)); #517326=CARTESIAN_POINT('',(37.014322,5.555,0.)); #517327=CARTESIAN_POINT('Origin',(37.244034,5.65015,0.)); #517328=CARTESIAN_POINT('',(37.244034,5.65015,0.)); #517329=CARTESIAN_POINT('',(37.244034,5.65015,0.)); #517330=CARTESIAN_POINT('',(37.244034,5.65015,0.035)); #517331=CARTESIAN_POINT('',(37.244034,5.65015,0.035)); #517332=CARTESIAN_POINT('',(37.244034,5.65015,0.)); #517333=CARTESIAN_POINT('Origin',(37.41985,5.8259656,0.)); #517334=CARTESIAN_POINT('',(37.41985,5.8259656,0.)); #517335=CARTESIAN_POINT('',(37.41985,5.8259656,0.)); #517336=CARTESIAN_POINT('',(37.41985,5.8259656,0.035)); #517337=CARTESIAN_POINT('',(37.41985,5.8259656,0.035)); #517338=CARTESIAN_POINT('',(37.41985,5.8259656,0.)); #517339=CARTESIAN_POINT('Origin',(37.515,6.0556781,0.)); #517340=CARTESIAN_POINT('',(37.515,6.0556781,0.)); #517341=CARTESIAN_POINT('',(37.515,6.0556781,0.)); #517342=CARTESIAN_POINT('',(37.515,6.0556781,0.035)); #517343=CARTESIAN_POINT('',(37.515,6.0556781,0.035)); #517344=CARTESIAN_POINT('',(37.515,6.0556781,0.)); #517345=CARTESIAN_POINT('Origin',(37.515,6.3043219,0.)); #517346=CARTESIAN_POINT('',(37.515,6.3043219,0.)); #517347=CARTESIAN_POINT('',(37.515,6.3043219,0.)); #517348=CARTESIAN_POINT('',(37.515,6.3043219,0.035)); #517349=CARTESIAN_POINT('',(37.515,6.3043219,0.035)); #517350=CARTESIAN_POINT('',(37.515,6.3043219,0.)); #517351=CARTESIAN_POINT('Origin',(37.41985,6.5340344,0.)); #517352=CARTESIAN_POINT('',(37.41985,6.5340344,0.)); #517353=CARTESIAN_POINT('',(37.41985,6.5340344,0.)); #517354=CARTESIAN_POINT('',(37.41985,6.5340344,0.035)); #517355=CARTESIAN_POINT('',(37.41985,6.5340344,0.035)); #517356=CARTESIAN_POINT('',(37.41985,6.5340344,0.)); #517357=CARTESIAN_POINT('Origin',(37.244034,6.70985,0.)); #517358=CARTESIAN_POINT('',(37.244034,6.70985,0.)); #517359=CARTESIAN_POINT('',(37.244034,6.70985,0.)); #517360=CARTESIAN_POINT('',(37.244034,6.70985,0.035)); #517361=CARTESIAN_POINT('',(37.244034,6.70985,0.035)); #517362=CARTESIAN_POINT('',(37.244034,6.70985,0.)); #517363=CARTESIAN_POINT('Origin',(37.014322,6.805,0.)); #517364=CARTESIAN_POINT('',(37.014322,6.805,0.)); #517365=CARTESIAN_POINT('',(37.014322,6.805,0.)); #517366=CARTESIAN_POINT('',(37.014322,6.805,0.035)); #517367=CARTESIAN_POINT('',(37.014322,6.805,0.035)); #517368=CARTESIAN_POINT('',(37.014322,6.805,0.)); #517369=CARTESIAN_POINT('Origin',(36.9278,6.805,0.)); #517370=CARTESIAN_POINT('',(36.9278,6.805,0.)); #517371=CARTESIAN_POINT('',(36.9278,6.805,0.)); #517372=CARTESIAN_POINT('',(36.9278,6.805,0.035)); #517373=CARTESIAN_POINT('',(36.9278,6.805,0.035)); #517374=CARTESIAN_POINT('',(36.9278,6.805,0.)); #517375=CARTESIAN_POINT('Origin',(36.900331,6.8101219,0.)); #517376=CARTESIAN_POINT('',(36.900331,6.8101219,0.)); #517377=CARTESIAN_POINT('',(36.900331,6.8101219,0.)); #517378=CARTESIAN_POINT('',(36.900331,6.8101219,0.035)); #517379=CARTESIAN_POINT('',(36.900331,6.8101219,0.035)); #517380=CARTESIAN_POINT('',(36.900331,6.8101219,0.)); #517381=CARTESIAN_POINT('Origin',(36.874784,6.8264656,0.)); #517382=CARTESIAN_POINT('',(36.874784,6.8264656,0.)); #517383=CARTESIAN_POINT('',(36.874784,6.8264656,0.)); #517384=CARTESIAN_POINT('',(36.874784,6.8264656,0.035)); #517385=CARTESIAN_POINT('',(36.874784,6.8264656,0.035)); #517386=CARTESIAN_POINT('',(36.874784,6.8264656,0.)); #517387=CARTESIAN_POINT('Origin',(36.857634,6.8514781,0.)); #517388=CARTESIAN_POINT('',(36.857634,6.8514781,0.)); #517389=CARTESIAN_POINT('',(36.857634,6.8514781,0.)); #517390=CARTESIAN_POINT('',(36.857634,6.8514781,0.035)); #517391=CARTESIAN_POINT('',(36.857634,6.8514781,0.035)); #517392=CARTESIAN_POINT('',(36.857634,6.8514781,0.)); #517393=CARTESIAN_POINT('Origin',(36.8516,6.8812,0.)); #517394=CARTESIAN_POINT('',(36.8516,6.8812,0.)); #517395=CARTESIAN_POINT('',(36.8516,6.8812,0.)); #517396=CARTESIAN_POINT('',(36.8516,6.8812,0.035)); #517397=CARTESIAN_POINT('',(36.8516,6.8812,0.035)); #517398=CARTESIAN_POINT('',(36.8516,6.8812,0.)); #517399=CARTESIAN_POINT('Origin',(36.8516,7.8222,0.)); #517400=CARTESIAN_POINT('',(36.8516,7.8222,0.)); #517401=CARTESIAN_POINT('',(36.8516,7.8222,0.)); #517402=CARTESIAN_POINT('',(36.8516,7.8222,0.035)); #517403=CARTESIAN_POINT('',(36.8516,7.8222,0.035)); #517404=CARTESIAN_POINT('',(36.8516,7.8222,0.)); #517405=CARTESIAN_POINT('Origin',(36.856722,7.8496688,0.)); #517406=CARTESIAN_POINT('',(36.856722,7.8496688,0.)); #517407=CARTESIAN_POINT('',(36.856722,7.8496688,0.)); #517408=CARTESIAN_POINT('',(36.856722,7.8496688,0.035)); #517409=CARTESIAN_POINT('',(36.856722,7.8496688,0.035)); #517410=CARTESIAN_POINT('',(36.856722,7.8496688,0.)); #517411=CARTESIAN_POINT('Origin',(36.873066,7.8752156,0.)); #517412=CARTESIAN_POINT('',(36.873066,7.8752156,0.)); #517413=CARTESIAN_POINT('',(36.873066,7.8752156,0.)); #517414=CARTESIAN_POINT('',(36.873066,7.8752156,0.035)); #517415=CARTESIAN_POINT('',(36.873066,7.8752156,0.035)); #517416=CARTESIAN_POINT('',(36.873066,7.8752156,0.)); #517417=CARTESIAN_POINT('Origin',(36.898078,7.8923656,0.)); #517418=CARTESIAN_POINT('',(36.898078,7.8923656,0.)); #517419=CARTESIAN_POINT('',(36.898078,7.8923656,0.)); #517420=CARTESIAN_POINT('',(36.898078,7.8923656,0.035)); #517421=CARTESIAN_POINT('',(36.898078,7.8923656,0.035)); #517422=CARTESIAN_POINT('',(36.898078,7.8923656,0.)); #517423=CARTESIAN_POINT('Origin',(36.9278,7.8984,0.)); #517424=CARTESIAN_POINT('',(36.9278,7.8984,0.)); #517425=CARTESIAN_POINT('',(36.9278,7.8984,0.)); #517426=CARTESIAN_POINT('',(36.9278,7.8984,0.035)); #517427=CARTESIAN_POINT('',(36.9278,7.8984,0.035)); #517428=CARTESIAN_POINT('',(36.9278,7.8984,0.)); #517429=CARTESIAN_POINT('Origin',(37.245953,7.8984,0.)); #517430=CARTESIAN_POINT('',(37.245953,7.8984,0.)); #517431=CARTESIAN_POINT('',(37.245953,7.8984,0.)); #517432=CARTESIAN_POINT('',(37.245953,7.8984,0.035)); #517433=CARTESIAN_POINT('',(37.245953,7.8984,0.035)); #517434=CARTESIAN_POINT('',(37.245953,7.8984,0.)); #517435=CARTESIAN_POINT('Origin',(37.271309,7.9011,0.)); #517436=CARTESIAN_POINT('',(37.271309,7.9011,0.)); #517437=CARTESIAN_POINT('',(37.271309,7.9011,0.)); #517438=CARTESIAN_POINT('',(37.271309,7.9011,0.035)); #517439=CARTESIAN_POINT('',(37.271309,7.9011,0.035)); #517440=CARTESIAN_POINT('',(37.271309,7.9011,0.)); #517441=CARTESIAN_POINT('Origin',(37.291663,7.9078063,0.)); #517442=CARTESIAN_POINT('',(37.291663,7.9078063,0.)); #517443=CARTESIAN_POINT('',(37.291663,7.9078063,0.)); #517444=CARTESIAN_POINT('',(37.291663,7.9078063,0.035)); #517445=CARTESIAN_POINT('',(37.291663,7.9078063,0.035)); #517446=CARTESIAN_POINT('',(37.291663,7.9078063,0.)); #517447=CARTESIAN_POINT('Origin',(37.311197,7.9192688,0.)); #517448=CARTESIAN_POINT('',(37.311197,7.9192688,0.)); #517449=CARTESIAN_POINT('',(37.311197,7.9192688,0.)); #517450=CARTESIAN_POINT('',(37.311197,7.9192688,0.035)); #517451=CARTESIAN_POINT('',(37.311197,7.9192688,0.035)); #517452=CARTESIAN_POINT('',(37.311197,7.9192688,0.)); #517453=CARTESIAN_POINT('Origin',(37.323866,7.9301781,0.)); #517454=CARTESIAN_POINT('',(37.323866,7.9301781,0.)); #517455=CARTESIAN_POINT('',(37.323866,7.9301781,0.)); #517456=CARTESIAN_POINT('',(37.323866,7.9301781,0.035)); #517457=CARTESIAN_POINT('',(37.323866,7.9301781,0.035)); #517458=CARTESIAN_POINT('',(37.323866,7.9301781,0.)); #517459=CARTESIAN_POINT('Origin',(37.769769,8.3760813,0.)); #517460=CARTESIAN_POINT('',(37.769769,8.3760813,0.)); #517461=CARTESIAN_POINT('',(37.769769,8.3760813,0.)); #517462=CARTESIAN_POINT('',(37.769769,8.3760813,0.035)); #517463=CARTESIAN_POINT('',(37.769769,8.3760813,0.035)); #517464=CARTESIAN_POINT('',(37.769769,8.3760813,0.)); #517465=CARTESIAN_POINT('Origin',(37.793928,8.3923656,0.)); #517466=CARTESIAN_POINT('',(37.793928,8.3923656,0.)); #517467=CARTESIAN_POINT('',(37.793928,8.3923656,0.)); #517468=CARTESIAN_POINT('',(37.793928,8.3923656,0.035)); #517469=CARTESIAN_POINT('',(37.793928,8.3923656,0.035)); #517470=CARTESIAN_POINT('',(37.793928,8.3923656,0.)); #517471=CARTESIAN_POINT('Origin',(37.82365,8.3984,0.)); #517472=CARTESIAN_POINT('',(37.82365,8.3984,0.)); #517473=CARTESIAN_POINT('',(37.82365,8.3984,0.)); #517474=CARTESIAN_POINT('',(37.82365,8.3984,0.035)); #517475=CARTESIAN_POINT('',(37.82365,8.3984,0.035)); #517476=CARTESIAN_POINT('',(37.82365,8.3984,0.)); #517477=CARTESIAN_POINT('Origin',(42.745953,8.3984,0.)); #517478=CARTESIAN_POINT('',(42.745953,8.3984,0.)); #517479=CARTESIAN_POINT('',(42.745953,8.3984,0.)); #517480=CARTESIAN_POINT('',(42.745953,8.3984,0.035)); #517481=CARTESIAN_POINT('',(42.745953,8.3984,0.035)); #517482=CARTESIAN_POINT('',(42.745953,8.3984,0.)); #517483=CARTESIAN_POINT('Origin',(42.771309,8.4011,0.)); #517484=CARTESIAN_POINT('',(42.771309,8.4011,0.)); #517485=CARTESIAN_POINT('',(42.771309,8.4011,0.)); #517486=CARTESIAN_POINT('',(42.771309,8.4011,0.035)); #517487=CARTESIAN_POINT('',(42.771309,8.4011,0.035)); #517488=CARTESIAN_POINT('',(42.771309,8.4011,0.)); #517489=CARTESIAN_POINT('Origin',(42.791663,8.4078063,0.)); #517490=CARTESIAN_POINT('',(42.791663,8.4078063,0.)); #517491=CARTESIAN_POINT('',(42.791663,8.4078063,0.)); #517492=CARTESIAN_POINT('',(42.791663,8.4078063,0.035)); #517493=CARTESIAN_POINT('',(42.791663,8.4078063,0.035)); #517494=CARTESIAN_POINT('',(42.791663,8.4078063,0.)); #517495=CARTESIAN_POINT('Origin',(42.811197,8.4192688,0.)); #517496=CARTESIAN_POINT('',(42.811197,8.4192688,0.)); #517497=CARTESIAN_POINT('',(42.811197,8.4192688,0.)); #517498=CARTESIAN_POINT('',(42.811197,8.4192688,0.035)); #517499=CARTESIAN_POINT('',(42.811197,8.4192688,0.035)); #517500=CARTESIAN_POINT('',(42.811197,8.4192688,0.)); #517501=CARTESIAN_POINT('Origin',(42.823866,8.4301781,0.)); #517502=CARTESIAN_POINT('',(42.823866,8.4301781,0.)); #517503=CARTESIAN_POINT('',(42.823866,8.4301781,0.)); #517504=CARTESIAN_POINT('',(42.823866,8.4301781,0.035)); #517505=CARTESIAN_POINT('',(42.823866,8.4301781,0.035)); #517506=CARTESIAN_POINT('',(42.823866,8.4301781,0.)); #517507=CARTESIAN_POINT('Origin',(45.068978,10.675291,0.)); #517508=CARTESIAN_POINT('',(45.068978,10.675291,0.)); #517509=CARTESIAN_POINT('',(45.068978,10.675291,0.)); #517510=CARTESIAN_POINT('',(45.068978,10.675291,0.035)); #517511=CARTESIAN_POINT('',(45.068978,10.675291,0.035)); #517512=CARTESIAN_POINT('',(45.068978,10.675291,0.)); #517513=CARTESIAN_POINT('Origin',(45.084997,10.695131,0.)); #517514=CARTESIAN_POINT('',(45.084997,10.695131,0.)); #517515=CARTESIAN_POINT('',(45.084997,10.695131,0.)); #517516=CARTESIAN_POINT('',(45.084997,10.695131,0.035)); #517517=CARTESIAN_POINT('',(45.084997,10.695131,0.035)); #517518=CARTESIAN_POINT('',(45.084997,10.695131,0.)); #517519=CARTESIAN_POINT('Origin',(45.094647,10.714272,0.)); #517520=CARTESIAN_POINT('',(45.094647,10.714272,0.)); #517521=CARTESIAN_POINT('',(45.094647,10.714272,0.)); #517522=CARTESIAN_POINT('',(45.094647,10.714272,0.035)); #517523=CARTESIAN_POINT('',(45.094647,10.714272,0.035)); #517524=CARTESIAN_POINT('',(45.094647,10.714272,0.)); #517525=CARTESIAN_POINT('Origin',(45.100359,10.736184,0.)); #517526=CARTESIAN_POINT('',(45.100359,10.736184,0.)); #517527=CARTESIAN_POINT('',(45.100359,10.736184,0.)); #517528=CARTESIAN_POINT('',(45.100359,10.736184,0.035)); #517529=CARTESIAN_POINT('',(45.100359,10.736184,0.035)); #517530=CARTESIAN_POINT('',(45.100359,10.736184,0.)); #517531=CARTESIAN_POINT('Origin',(45.1016,10.752847,0.)); #517532=CARTESIAN_POINT('',(45.1016,10.752847,0.)); #517533=CARTESIAN_POINT('',(45.1016,10.752847,0.)); #517534=CARTESIAN_POINT('',(45.1016,10.752847,0.035)); #517535=CARTESIAN_POINT('',(45.1016,10.752847,0.035)); #517536=CARTESIAN_POINT('',(45.1016,10.752847,0.)); #517537=CARTESIAN_POINT('Origin',(45.1016,15.3222,0.)); #517538=CARTESIAN_POINT('',(45.1016,15.3222,0.)); #517539=CARTESIAN_POINT('',(45.1016,15.3222,0.)); #517540=CARTESIAN_POINT('',(45.1016,15.3222,0.035)); #517541=CARTESIAN_POINT('',(45.1016,15.3222,0.035)); #517542=CARTESIAN_POINT('',(45.1016,15.3222,0.)); #517543=CARTESIAN_POINT('Origin',(45.106722,15.349669,0.)); #517544=CARTESIAN_POINT('',(45.106722,15.349669,0.)); #517545=CARTESIAN_POINT('',(45.106722,15.349669,0.)); #517546=CARTESIAN_POINT('',(45.106722,15.349669,0.035)); #517547=CARTESIAN_POINT('',(45.106722,15.349669,0.035)); #517548=CARTESIAN_POINT('',(45.106722,15.349669,0.)); #517549=CARTESIAN_POINT('Origin',(45.123066,15.375216,0.)); #517550=CARTESIAN_POINT('',(45.123066,15.375216,0.)); #517551=CARTESIAN_POINT('',(45.123066,15.375216,0.)); #517552=CARTESIAN_POINT('',(45.123066,15.375216,0.035)); #517553=CARTESIAN_POINT('',(45.123066,15.375216,0.035)); #517554=CARTESIAN_POINT('',(45.123066,15.375216,0.)); #517555=CARTESIAN_POINT('Origin',(45.148078,15.392366,0.)); #517556=CARTESIAN_POINT('',(45.148078,15.392366,0.)); #517557=CARTESIAN_POINT('',(45.148078,15.392366,0.)); #517558=CARTESIAN_POINT('',(45.148078,15.392366,0.035)); #517559=CARTESIAN_POINT('',(45.148078,15.392366,0.035)); #517560=CARTESIAN_POINT('',(45.148078,15.392366,0.)); #517561=CARTESIAN_POINT('Origin',(45.1778,15.3984,0.)); #517562=CARTESIAN_POINT('',(45.1778,15.3984,0.)); #517563=CARTESIAN_POINT('',(45.1778,15.3984,0.)); #517564=CARTESIAN_POINT('',(45.1778,15.3984,0.035)); #517565=CARTESIAN_POINT('',(45.1778,15.3984,0.035)); #517566=CARTESIAN_POINT('',(45.1778,15.3984,0.)); #517567=CARTESIAN_POINT('Origin',(49.995953,15.3984,0.)); #517568=CARTESIAN_POINT('',(49.995953,15.3984,0.)); #517569=CARTESIAN_POINT('',(49.995953,15.3984,0.)); #517570=CARTESIAN_POINT('',(49.995953,15.3984,0.035)); #517571=CARTESIAN_POINT('',(49.995953,15.3984,0.035)); #517572=CARTESIAN_POINT('',(49.995953,15.3984,0.)); #517573=CARTESIAN_POINT('Origin',(50.021309,15.4011,0.)); #517574=CARTESIAN_POINT('',(50.021309,15.4011,0.)); #517575=CARTESIAN_POINT('',(50.021309,15.4011,0.)); #517576=CARTESIAN_POINT('',(50.021309,15.4011,0.035)); #517577=CARTESIAN_POINT('',(50.021309,15.4011,0.035)); #517578=CARTESIAN_POINT('',(50.021309,15.4011,0.)); #517579=CARTESIAN_POINT('Origin',(50.041663,15.407806,0.)); #517580=CARTESIAN_POINT('',(50.041663,15.407806,0.)); #517581=CARTESIAN_POINT('',(50.041663,15.407806,0.)); #517582=CARTESIAN_POINT('',(50.041663,15.407806,0.035)); #517583=CARTESIAN_POINT('',(50.041663,15.407806,0.035)); #517584=CARTESIAN_POINT('',(50.041663,15.407806,0.)); #517585=CARTESIAN_POINT('Origin',(50.061197,15.419269,0.)); #517586=CARTESIAN_POINT('',(50.061197,15.419269,0.)); #517587=CARTESIAN_POINT('',(50.061197,15.419269,0.)); #517588=CARTESIAN_POINT('',(50.061197,15.419269,0.035)); #517589=CARTESIAN_POINT('',(50.061197,15.419269,0.035)); #517590=CARTESIAN_POINT('',(50.061197,15.419269,0.)); #517591=CARTESIAN_POINT('Origin',(50.073866,15.430178,0.)); #517592=CARTESIAN_POINT('',(50.073866,15.430178,0.)); #517593=CARTESIAN_POINT('',(50.073866,15.430178,0.)); #517594=CARTESIAN_POINT('',(50.073866,15.430178,0.035)); #517595=CARTESIAN_POINT('',(50.073866,15.430178,0.035)); #517596=CARTESIAN_POINT('',(50.073866,15.430178,0.)); #517597=CARTESIAN_POINT('Origin',(54.068978,19.425291,0.)); #517598=CARTESIAN_POINT('',(54.068978,19.425291,0.)); #517599=CARTESIAN_POINT('',(54.068978,19.425291,0.)); #517600=CARTESIAN_POINT('',(54.068978,19.425291,0.035)); #517601=CARTESIAN_POINT('',(54.068978,19.425291,0.035)); #517602=CARTESIAN_POINT('',(54.068978,19.425291,0.)); #517603=CARTESIAN_POINT('Origin',(54.084997,19.445131,0.)); #517604=CARTESIAN_POINT('',(54.084997,19.445131,0.)); #517605=CARTESIAN_POINT('',(54.084997,19.445131,0.)); #517606=CARTESIAN_POINT('',(54.084997,19.445131,0.035)); #517607=CARTESIAN_POINT('',(54.084997,19.445131,0.035)); #517608=CARTESIAN_POINT('',(54.084997,19.445131,0.)); #517609=CARTESIAN_POINT('Origin',(54.094647,19.464272,0.)); #517610=CARTESIAN_POINT('',(54.094647,19.464272,0.)); #517611=CARTESIAN_POINT('',(54.094647,19.464272,0.)); #517612=CARTESIAN_POINT('',(54.094647,19.464272,0.035)); #517613=CARTESIAN_POINT('',(54.094647,19.464272,0.035)); #517614=CARTESIAN_POINT('',(54.094647,19.464272,0.)); #517615=CARTESIAN_POINT('Origin',(54.100359,19.486184,0.)); #517616=CARTESIAN_POINT('',(54.100359,19.486184,0.)); #517617=CARTESIAN_POINT('',(54.100359,19.486184,0.)); #517618=CARTESIAN_POINT('',(54.100359,19.486184,0.035)); #517619=CARTESIAN_POINT('',(54.100359,19.486184,0.035)); #517620=CARTESIAN_POINT('',(54.100359,19.486184,0.)); #517621=CARTESIAN_POINT('Origin',(54.1016,19.502847,0.)); #517622=CARTESIAN_POINT('',(54.1016,19.502847,0.)); #517623=CARTESIAN_POINT('',(54.1016,19.502847,0.)); #517624=CARTESIAN_POINT('',(54.1016,19.502847,0.035)); #517625=CARTESIAN_POINT('',(54.1016,19.502847,0.035)); #517626=CARTESIAN_POINT('',(54.1016,19.502847,0.)); #517627=CARTESIAN_POINT('Origin',(54.1016,37.92635,0.)); #517628=CARTESIAN_POINT('',(54.1016,37.92635,0.)); #517629=CARTESIAN_POINT('',(54.1016,37.92635,0.)); #517630=CARTESIAN_POINT('',(54.1016,37.92635,0.035)); #517631=CARTESIAN_POINT('',(54.1016,37.92635,0.035)); #517632=CARTESIAN_POINT('',(54.1016,37.92635,0.)); #517633=CARTESIAN_POINT('Origin',(54.107169,37.954947,0.)); #517634=CARTESIAN_POINT('',(54.107169,37.954947,0.)); #517635=CARTESIAN_POINT('',(54.107169,37.954947,0.)); #517636=CARTESIAN_POINT('',(54.107169,37.954947,0.035)); #517637=CARTESIAN_POINT('',(54.107169,37.954947,0.035)); #517638=CARTESIAN_POINT('',(54.107169,37.954947,0.)); #517639=CARTESIAN_POINT('Origin',(54.123919,37.980231,0.)); #517640=CARTESIAN_POINT('',(54.123919,37.980231,0.)); #517641=CARTESIAN_POINT('',(54.123919,37.980231,0.)); #517642=CARTESIAN_POINT('',(54.123919,37.980231,0.035)); #517643=CARTESIAN_POINT('',(54.123919,37.980231,0.035)); #517644=CARTESIAN_POINT('',(54.123919,37.980231,0.)); #517645=CARTESIAN_POINT('Origin',(57.818978,41.675291,0.)); #517646=CARTESIAN_POINT('',(57.818978,41.675291,0.)); #517647=CARTESIAN_POINT('',(57.818978,41.675291,0.)); #517648=CARTESIAN_POINT('',(57.818978,41.675291,0.035)); #517649=CARTESIAN_POINT('',(57.818978,41.675291,0.035)); #517650=CARTESIAN_POINT('',(57.818978,41.675291,0.)); #517651=CARTESIAN_POINT('Origin',(57.834997,41.695131,0.)); #517652=CARTESIAN_POINT('',(57.834997,41.695131,0.)); #517653=CARTESIAN_POINT('',(57.834997,41.695131,0.)); #517654=CARTESIAN_POINT('',(57.834997,41.695131,0.035)); #517655=CARTESIAN_POINT('',(57.834997,41.695131,0.035)); #517656=CARTESIAN_POINT('',(57.834997,41.695131,0.)); #517657=CARTESIAN_POINT('Origin',(57.844647,41.714272,0.)); #517658=CARTESIAN_POINT('',(57.844647,41.714272,0.)); #517659=CARTESIAN_POINT('',(57.844647,41.714272,0.)); #517660=CARTESIAN_POINT('',(57.844647,41.714272,0.035)); #517661=CARTESIAN_POINT('',(57.844647,41.714272,0.035)); #517662=CARTESIAN_POINT('',(57.844647,41.714272,0.)); #517663=CARTESIAN_POINT('Origin',(57.850359,41.736184,0.)); #517664=CARTESIAN_POINT('',(57.850359,41.736184,0.)); #517665=CARTESIAN_POINT('',(57.850359,41.736184,0.)); #517666=CARTESIAN_POINT('',(57.850359,41.736184,0.035)); #517667=CARTESIAN_POINT('',(57.850359,41.736184,0.035)); #517668=CARTESIAN_POINT('',(57.850359,41.736184,0.)); #517669=CARTESIAN_POINT('Origin',(57.8516,41.752847,0.)); #517670=CARTESIAN_POINT('',(57.8516,41.752847,0.)); #517671=CARTESIAN_POINT('',(57.8516,41.752847,0.)); #517672=CARTESIAN_POINT('',(57.8516,41.752847,0.035)); #517673=CARTESIAN_POINT('',(57.8516,41.752847,0.035)); #517674=CARTESIAN_POINT('',(57.8516,41.752847,0.)); #517675=CARTESIAN_POINT('Origin',(57.8516,49.495953,0.)); #517676=CARTESIAN_POINT('',(57.8516,49.495953,0.)); #517677=CARTESIAN_POINT('',(57.8516,49.495953,0.)); #517678=CARTESIAN_POINT('',(57.8516,49.495953,0.035)); #517679=CARTESIAN_POINT('',(57.8516,49.495953,0.035)); #517680=CARTESIAN_POINT('',(57.8516,49.495953,0.)); #517681=CARTESIAN_POINT('Origin',(57.8489,49.521309,0.)); #517682=CARTESIAN_POINT('',(57.8489,49.521309,0.)); #517683=CARTESIAN_POINT('',(57.8489,49.521309,0.)); #517684=CARTESIAN_POINT('',(57.8489,49.521309,0.035)); #517685=CARTESIAN_POINT('',(57.8489,49.521309,0.035)); #517686=CARTESIAN_POINT('',(57.8489,49.521309,0.)); #517687=CARTESIAN_POINT('Origin',(57.842775,49.539897,0.)); #517688=CARTESIAN_POINT('',(57.842775,49.539897,0.)); #517689=CARTESIAN_POINT('',(57.842775,49.539897,0.)); #517690=CARTESIAN_POINT('',(57.842775,49.539897,0.035)); #517691=CARTESIAN_POINT('',(57.842775,49.539897,0.035)); #517692=CARTESIAN_POINT('',(57.842775,49.539897,0.)); #517693=CARTESIAN_POINT('Origin',(57.821575,49.572347,0.)); #517694=CARTESIAN_POINT('',(57.821575,49.572347,0.)); #517695=CARTESIAN_POINT('',(57.821575,49.572347,0.)); #517696=CARTESIAN_POINT('',(57.821575,49.572347,0.035)); #517697=CARTESIAN_POINT('',(57.821575,49.572347,0.035)); #517698=CARTESIAN_POINT('',(57.821575,49.572347,0.)); #517699=CARTESIAN_POINT('Origin',(56.478234,51.06495,0.)); #517700=CARTESIAN_POINT('',(56.478234,51.06495,0.)); #517701=CARTESIAN_POINT('',(56.478234,51.06495,0.)); #517702=CARTESIAN_POINT('',(56.478234,51.06495,0.035)); #517703=CARTESIAN_POINT('',(56.478234,51.06495,0.035)); #517704=CARTESIAN_POINT('',(56.478234,51.06495,0.)); #517705=CARTESIAN_POINT('Origin',(56.459256,51.082,0.)); #517706=CARTESIAN_POINT('',(56.459256,51.082,0.)); #517707=CARTESIAN_POINT('',(56.459256,51.082,0.)); #517708=CARTESIAN_POINT('',(56.459256,51.082,0.035)); #517709=CARTESIAN_POINT('',(56.459256,51.082,0.035)); #517710=CARTESIAN_POINT('',(56.459256,51.082,0.)); #517711=CARTESIAN_POINT('Origin',(56.440656,51.092641,0.)); #517712=CARTESIAN_POINT('',(56.440656,51.092641,0.)); #517713=CARTESIAN_POINT('',(56.440656,51.092641,0.)); #517714=CARTESIAN_POINT('',(56.440656,51.092641,0.035)); #517715=CARTESIAN_POINT('',(56.440656,51.092641,0.035)); #517716=CARTESIAN_POINT('',(56.440656,51.092641,0.)); #517717=CARTESIAN_POINT('Origin',(56.420031,51.099187,0.)); #517718=CARTESIAN_POINT('',(56.420031,51.099187,0.)); #517719=CARTESIAN_POINT('',(56.420031,51.099187,0.)); #517720=CARTESIAN_POINT('',(56.420031,51.099187,0.035)); #517721=CARTESIAN_POINT('',(56.420031,51.099187,0.035)); #517722=CARTESIAN_POINT('',(56.420031,51.099187,0.)); #517723=CARTESIAN_POINT('Origin',(56.39615,51.1016,0.)); #517724=CARTESIAN_POINT('',(56.39615,51.1016,0.)); #517725=CARTESIAN_POINT('',(56.39615,51.1016,0.)); #517726=CARTESIAN_POINT('',(56.39615,51.1016,0.035)); #517727=CARTESIAN_POINT('',(56.39615,51.1016,0.035)); #517728=CARTESIAN_POINT('',(56.39615,51.1016,0.)); #517729=CARTESIAN_POINT('Origin',(53.754047,51.1016,0.)); #517730=CARTESIAN_POINT('',(53.754047,51.1016,0.)); #517731=CARTESIAN_POINT('',(53.754047,51.1016,0.)); #517732=CARTESIAN_POINT('',(53.754047,51.1016,0.035)); #517733=CARTESIAN_POINT('',(53.754047,51.1016,0.035)); #517734=CARTESIAN_POINT('',(53.754047,51.1016,0.)); #517735=CARTESIAN_POINT('Origin',(53.728691,51.0989,0.)); #517736=CARTESIAN_POINT('',(53.728691,51.0989,0.)); #517737=CARTESIAN_POINT('',(53.728691,51.0989,0.)); #517738=CARTESIAN_POINT('',(53.728691,51.0989,0.035)); #517739=CARTESIAN_POINT('',(53.728691,51.0989,0.035)); #517740=CARTESIAN_POINT('',(53.728691,51.0989,0.)); #517741=CARTESIAN_POINT('Origin',(53.708338,51.092194,0.)); #517742=CARTESIAN_POINT('',(53.708338,51.092194,0.)); #517743=CARTESIAN_POINT('',(53.708338,51.092194,0.)); #517744=CARTESIAN_POINT('',(53.708338,51.092194,0.035)); #517745=CARTESIAN_POINT('',(53.708338,51.092194,0.035)); #517746=CARTESIAN_POINT('',(53.708338,51.092194,0.)); #517747=CARTESIAN_POINT('Origin',(53.689856,51.08135,0.)); #517748=CARTESIAN_POINT('',(53.689856,51.08135,0.)); #517749=CARTESIAN_POINT('',(53.689856,51.08135,0.)); #517750=CARTESIAN_POINT('',(53.689856,51.08135,0.035)); #517751=CARTESIAN_POINT('',(53.689856,51.08135,0.035)); #517752=CARTESIAN_POINT('',(53.689856,51.08135,0.)); #517753=CARTESIAN_POINT('Origin',(53.674063,51.06685,0.)); #517754=CARTESIAN_POINT('',(53.674063,51.06685,0.)); #517755=CARTESIAN_POINT('',(53.674063,51.06685,0.)); #517756=CARTESIAN_POINT('',(53.674063,51.06685,0.035)); #517757=CARTESIAN_POINT('',(53.674063,51.06685,0.035)); #517758=CARTESIAN_POINT('',(53.674063,51.06685,0.)); #517759=CARTESIAN_POINT('Origin',(53.661694,51.049369,0.)); #517760=CARTESIAN_POINT('',(53.661694,51.049369,0.)); #517761=CARTESIAN_POINT('',(53.661694,51.049369,0.)); #517762=CARTESIAN_POINT('',(53.661694,51.049369,0.035)); #517763=CARTESIAN_POINT('',(53.661694,51.049369,0.035)); #517764=CARTESIAN_POINT('',(53.661694,51.049369,0.)); #517765=CARTESIAN_POINT('Origin',(53.653922,51.031172,0.)); #517766=CARTESIAN_POINT('',(53.653922,51.031172,0.)); #517767=CARTESIAN_POINT('',(53.653922,51.031172,0.)); #517768=CARTESIAN_POINT('',(53.653922,51.031172,0.035)); #517769=CARTESIAN_POINT('',(53.653922,51.031172,0.035)); #517770=CARTESIAN_POINT('',(53.653922,51.031172,0.)); #517771=CARTESIAN_POINT('Origin',(53.6484,50.994316,0.)); #517772=CARTESIAN_POINT('',(53.6484,50.994316,0.)); #517773=CARTESIAN_POINT('',(53.6484,50.994316,0.)); #517774=CARTESIAN_POINT('',(53.6484,50.994316,0.035)); #517775=CARTESIAN_POINT('',(53.6484,50.994316,0.035)); #517776=CARTESIAN_POINT('',(53.6484,50.994316,0.)); #517777=CARTESIAN_POINT('Origin',(53.6484,50.8048,0.)); #517778=CARTESIAN_POINT('',(53.6484,50.8048,0.)); #517779=CARTESIAN_POINT('',(53.6484,50.8048,0.)); #517780=CARTESIAN_POINT('',(53.6484,50.8048,0.035)); #517781=CARTESIAN_POINT('',(53.6484,50.8048,0.035)); #517782=CARTESIAN_POINT('',(53.6484,50.8048,0.)); #517783=CARTESIAN_POINT('Origin',(53.643278,50.777331,0.)); #517784=CARTESIAN_POINT('',(53.643278,50.777331,0.)); #517785=CARTESIAN_POINT('',(53.643278,50.777331,0.)); #517786=CARTESIAN_POINT('',(53.643278,50.777331,0.035)); #517787=CARTESIAN_POINT('',(53.643278,50.777331,0.035)); #517788=CARTESIAN_POINT('',(53.643278,50.777331,0.)); #517789=CARTESIAN_POINT('Origin',(53.626934,50.751784,0.)); #517790=CARTESIAN_POINT('',(53.626934,50.751784,0.)); #517791=CARTESIAN_POINT('',(53.626934,50.751784,0.)); #517792=CARTESIAN_POINT('',(53.626934,50.751784,0.035)); #517793=CARTESIAN_POINT('',(53.626934,50.751784,0.035)); #517794=CARTESIAN_POINT('',(53.626934,50.751784,0.)); #517795=CARTESIAN_POINT('Origin',(53.601922,50.734634,0.)); #517796=CARTESIAN_POINT('',(53.601922,50.734634,0.)); #517797=CARTESIAN_POINT('',(53.601922,50.734634,0.)); #517798=CARTESIAN_POINT('',(53.601922,50.734634,0.035)); #517799=CARTESIAN_POINT('',(53.601922,50.734634,0.035)); #517800=CARTESIAN_POINT('',(53.601922,50.734634,0.)); #517801=CARTESIAN_POINT('Origin',(53.5722,50.7286,0.)); #517802=CARTESIAN_POINT('',(53.5722,50.7286,0.)); #517803=CARTESIAN_POINT('',(53.5722,50.7286,0.)); #517804=CARTESIAN_POINT('',(53.5722,50.7286,0.035)); #517805=CARTESIAN_POINT('',(53.5722,50.7286,0.035)); #517806=CARTESIAN_POINT('',(53.5722,50.7286,0.)); #517807=CARTESIAN_POINT('Origin',(52.4278,50.7286,0.)); #517808=CARTESIAN_POINT('',(52.4278,50.7286,0.)); #517809=CARTESIAN_POINT('',(52.4278,50.7286,0.)); #517810=CARTESIAN_POINT('',(52.4278,50.7286,0.035)); #517811=CARTESIAN_POINT('',(52.4278,50.7286,0.035)); #517812=CARTESIAN_POINT('',(52.4278,50.7286,0.)); #517813=CARTESIAN_POINT('Origin',(52.400331,50.733722,0.)); #517814=CARTESIAN_POINT('',(52.400331,50.733722,0.)); #517815=CARTESIAN_POINT('',(52.400331,50.733722,0.)); #517816=CARTESIAN_POINT('',(52.400331,50.733722,0.035)); #517817=CARTESIAN_POINT('',(52.400331,50.733722,0.035)); #517818=CARTESIAN_POINT('',(52.400331,50.733722,0.)); #517819=CARTESIAN_POINT('Origin',(52.374784,50.750066,0.)); #517820=CARTESIAN_POINT('',(52.374784,50.750066,0.)); #517821=CARTESIAN_POINT('',(52.374784,50.750066,0.)); #517822=CARTESIAN_POINT('',(52.374784,50.750066,0.035)); #517823=CARTESIAN_POINT('',(52.374784,50.750066,0.035)); #517824=CARTESIAN_POINT('',(52.374784,50.750066,0.)); #517825=CARTESIAN_POINT('Origin',(52.357634,50.775078,0.)); #517826=CARTESIAN_POINT('',(52.357634,50.775078,0.)); #517827=CARTESIAN_POINT('',(52.357634,50.775078,0.)); #517828=CARTESIAN_POINT('',(52.357634,50.775078,0.035)); #517829=CARTESIAN_POINT('',(52.357634,50.775078,0.035)); #517830=CARTESIAN_POINT('',(52.357634,50.775078,0.)); #517831=CARTESIAN_POINT('Origin',(52.3516,50.8048,0.)); #517832=CARTESIAN_POINT('',(52.3516,50.8048,0.)); #517833=CARTESIAN_POINT('',(52.3516,50.8048,0.)); #517834=CARTESIAN_POINT('',(52.3516,50.8048,0.035)); #517835=CARTESIAN_POINT('',(52.3516,50.8048,0.035)); #517836=CARTESIAN_POINT('',(52.3516,50.8048,0.)); #517837=CARTESIAN_POINT('Origin',(52.3516,50.995953,0.)); #517838=CARTESIAN_POINT('',(52.3516,50.995953,0.)); #517839=CARTESIAN_POINT('',(52.3516,50.995953,0.)); #517840=CARTESIAN_POINT('',(52.3516,50.995953,0.035)); #517841=CARTESIAN_POINT('',(52.3516,50.995953,0.035)); #517842=CARTESIAN_POINT('',(52.3516,50.995953,0.)); #517843=CARTESIAN_POINT('Origin',(52.3489,51.021309,0.)); #517844=CARTESIAN_POINT('',(52.3489,51.021309,0.)); #517845=CARTESIAN_POINT('',(52.3489,51.021309,0.)); #517846=CARTESIAN_POINT('',(52.3489,51.021309,0.035)); #517847=CARTESIAN_POINT('',(52.3489,51.021309,0.035)); #517848=CARTESIAN_POINT('',(52.3489,51.021309,0.)); #517849=CARTESIAN_POINT('Origin',(52.342194,51.041663,0.)); #517850=CARTESIAN_POINT('',(52.342194,51.041663,0.)); #517851=CARTESIAN_POINT('',(52.342194,51.041663,0.)); #517852=CARTESIAN_POINT('',(52.342194,51.041663,0.035)); #517853=CARTESIAN_POINT('',(52.342194,51.041663,0.035)); #517854=CARTESIAN_POINT('',(52.342194,51.041663,0.)); #517855=CARTESIAN_POINT('Origin',(52.33135,51.060144,0.)); #517856=CARTESIAN_POINT('',(52.33135,51.060144,0.)); #517857=CARTESIAN_POINT('',(52.33135,51.060144,0.)); #517858=CARTESIAN_POINT('',(52.33135,51.060144,0.035)); #517859=CARTESIAN_POINT('',(52.33135,51.060144,0.035)); #517860=CARTESIAN_POINT('',(52.33135,51.060144,0.)); #517861=CARTESIAN_POINT('Origin',(52.31685,51.075938,0.)); #517862=CARTESIAN_POINT('',(52.31685,51.075938,0.)); #517863=CARTESIAN_POINT('',(52.31685,51.075938,0.)); #517864=CARTESIAN_POINT('',(52.31685,51.075938,0.035)); #517865=CARTESIAN_POINT('',(52.31685,51.075938,0.035)); #517866=CARTESIAN_POINT('',(52.31685,51.075938,0.)); #517867=CARTESIAN_POINT('Origin',(52.299369,51.088306,0.)); #517868=CARTESIAN_POINT('',(52.299369,51.088306,0.)); #517869=CARTESIAN_POINT('',(52.299369,51.088306,0.)); #517870=CARTESIAN_POINT('',(52.299369,51.088306,0.035)); #517871=CARTESIAN_POINT('',(52.299369,51.088306,0.035)); #517872=CARTESIAN_POINT('',(52.299369,51.088306,0.)); #517873=CARTESIAN_POINT('Origin',(52.281172,51.096078,0.)); #517874=CARTESIAN_POINT('',(52.281172,51.096078,0.)); #517875=CARTESIAN_POINT('',(52.281172,51.096078,0.)); #517876=CARTESIAN_POINT('',(52.281172,51.096078,0.035)); #517877=CARTESIAN_POINT('',(52.281172,51.096078,0.035)); #517878=CARTESIAN_POINT('',(52.281172,51.096078,0.)); #517879=CARTESIAN_POINT('Origin',(52.244316,51.1016,0.)); #517880=CARTESIAN_POINT('',(52.244316,51.1016,0.)); #517881=CARTESIAN_POINT('',(52.244316,51.1016,0.)); #517882=CARTESIAN_POINT('',(52.244316,51.1016,0.035)); #517883=CARTESIAN_POINT('',(52.244316,51.1016,0.035)); #517884=CARTESIAN_POINT('',(52.244316,51.1016,0.)); #517885=CARTESIAN_POINT('Origin',(49.8028,51.1016,0.)); #517886=CARTESIAN_POINT('',(49.8028,51.1016,0.)); #517887=CARTESIAN_POINT('',(49.8028,51.1016,0.)); #517888=CARTESIAN_POINT('',(49.8028,51.1016,0.035)); #517889=CARTESIAN_POINT('',(49.8028,51.1016,0.035)); #517890=CARTESIAN_POINT('',(49.8028,51.1016,0.)); #517891=CARTESIAN_POINT('Origin',(49.775331,51.106722,0.)); #517892=CARTESIAN_POINT('',(49.775331,51.106722,0.)); #517893=CARTESIAN_POINT('',(49.775331,51.106722,0.)); #517894=CARTESIAN_POINT('',(49.775331,51.106722,0.035)); #517895=CARTESIAN_POINT('',(49.775331,51.106722,0.035)); #517896=CARTESIAN_POINT('',(49.775331,51.106722,0.)); #517897=CARTESIAN_POINT('Origin',(49.749784,51.123066,0.)); #517898=CARTESIAN_POINT('',(49.749784,51.123066,0.)); #517899=CARTESIAN_POINT('',(49.749784,51.123066,0.)); #517900=CARTESIAN_POINT('',(49.749784,51.123066,0.035)); #517901=CARTESIAN_POINT('',(49.749784,51.123066,0.035)); #517902=CARTESIAN_POINT('',(49.749784,51.123066,0.)); #517903=CARTESIAN_POINT('Origin',(49.732634,51.148078,0.)); #517904=CARTESIAN_POINT('',(49.732634,51.148078,0.)); #517905=CARTESIAN_POINT('',(49.732634,51.148078,0.)); #517906=CARTESIAN_POINT('',(49.732634,51.148078,0.035)); #517907=CARTESIAN_POINT('',(49.732634,51.148078,0.035)); #517908=CARTESIAN_POINT('',(49.732634,51.148078,0.)); #517909=CARTESIAN_POINT('Origin',(49.7266,51.1778,0.)); #517910=CARTESIAN_POINT('',(49.7266,51.1778,0.)); #517911=CARTESIAN_POINT('',(49.7266,51.1778,0.)); #517912=CARTESIAN_POINT('',(49.7266,51.1778,0.035)); #517913=CARTESIAN_POINT('',(49.7266,51.1778,0.035)); #517914=CARTESIAN_POINT('',(49.7266,51.1778,0.)); #517915=CARTESIAN_POINT('Origin',(49.7266,56.995953,0.)); #517916=CARTESIAN_POINT('',(49.7266,56.995953,0.)); #517917=CARTESIAN_POINT('',(49.7266,56.995953,0.)); #517918=CARTESIAN_POINT('',(49.7266,56.995953,0.035)); #517919=CARTESIAN_POINT('',(49.7266,56.995953,0.035)); #517920=CARTESIAN_POINT('',(49.7266,56.995953,0.)); #517921=CARTESIAN_POINT('Origin',(49.7239,57.021309,0.)); #517922=CARTESIAN_POINT('',(49.7239,57.021309,0.)); #517923=CARTESIAN_POINT('',(49.7239,57.021309,0.)); #517924=CARTESIAN_POINT('',(49.7239,57.021309,0.035)); #517925=CARTESIAN_POINT('',(49.7239,57.021309,0.035)); #517926=CARTESIAN_POINT('',(49.7239,57.021309,0.)); #517927=CARTESIAN_POINT('Origin',(49.717194,57.041663,0.)); #517928=CARTESIAN_POINT('',(49.717194,57.041663,0.)); #517929=CARTESIAN_POINT('',(49.717194,57.041663,0.)); #517930=CARTESIAN_POINT('',(49.717194,57.041663,0.035)); #517931=CARTESIAN_POINT('',(49.717194,57.041663,0.035)); #517932=CARTESIAN_POINT('',(49.717194,57.041663,0.)); #517933=CARTESIAN_POINT('Origin',(49.705731,57.061197,0.)); #517934=CARTESIAN_POINT('',(49.705731,57.061197,0.)); #517935=CARTESIAN_POINT('',(49.705731,57.061197,0.)); #517936=CARTESIAN_POINT('',(49.705731,57.061197,0.035)); #517937=CARTESIAN_POINT('',(49.705731,57.061197,0.035)); #517938=CARTESIAN_POINT('',(49.705731,57.061197,0.)); #517939=CARTESIAN_POINT('Origin',(49.694822,57.073866,0.)); #517940=CARTESIAN_POINT('',(49.694822,57.073866,0.)); #517941=CARTESIAN_POINT('',(49.694822,57.073866,0.)); #517942=CARTESIAN_POINT('',(49.694822,57.073866,0.035)); #517943=CARTESIAN_POINT('',(49.694822,57.073866,0.035)); #517944=CARTESIAN_POINT('',(49.694822,57.073866,0.)); #517945=CARTESIAN_POINT('Origin',(48.317944,58.450747,0.)); #517946=CARTESIAN_POINT('',(48.317944,58.450747,0.)); #517947=CARTESIAN_POINT('',(48.317944,58.450747,0.)); #517948=CARTESIAN_POINT('',(48.317944,58.450747,0.035)); #517949=CARTESIAN_POINT('',(48.317944,58.450747,0.035)); #517950=CARTESIAN_POINT('',(48.317944,58.450747,0.)); #517951=CARTESIAN_POINT('Origin',(48.302144,58.473794,0.)); #517952=CARTESIAN_POINT('',(48.302144,58.473794,0.)); #517953=CARTESIAN_POINT('',(48.302144,58.473794,0.)); #517954=CARTESIAN_POINT('',(48.302144,58.473794,0.035)); #517955=CARTESIAN_POINT('',(48.302144,58.473794,0.035)); #517956=CARTESIAN_POINT('',(48.302144,58.473794,0.)); #517957=CARTESIAN_POINT('Origin',(48.295634,58.503413,0.)); #517958=CARTESIAN_POINT('',(48.295634,58.503413,0.)); #517959=CARTESIAN_POINT('',(48.295634,58.503413,0.)); #517960=CARTESIAN_POINT('',(48.295634,58.503413,0.035)); #517961=CARTESIAN_POINT('',(48.295634,58.503413,0.035)); #517962=CARTESIAN_POINT('',(48.295634,58.503413,0.)); #517963=CARTESIAN_POINT('Origin',(48.301194,58.533225,0.)); #517964=CARTESIAN_POINT('',(48.301194,58.533225,0.)); #517965=CARTESIAN_POINT('',(48.301194,58.533225,0.)); #517966=CARTESIAN_POINT('',(48.301194,58.533225,0.035)); #517967=CARTESIAN_POINT('',(48.301194,58.533225,0.035)); #517968=CARTESIAN_POINT('',(48.301194,58.533225,0.)); #517969=CARTESIAN_POINT('Origin',(48.317944,58.558509,0.)); #517970=CARTESIAN_POINT('',(48.317944,58.558509,0.)); #517971=CARTESIAN_POINT('',(48.317944,58.558509,0.)); #517972=CARTESIAN_POINT('',(48.317944,58.558509,0.035)); #517973=CARTESIAN_POINT('',(48.317944,58.558509,0.035)); #517974=CARTESIAN_POINT('',(48.317944,58.558509,0.)); #517975=CARTESIAN_POINT('Origin',(48.3324,58.572966,0.)); #517976=CARTESIAN_POINT('',(48.3324,58.572966,0.)); #517977=CARTESIAN_POINT('',(48.3324,58.572966,0.)); #517978=CARTESIAN_POINT('',(48.3324,58.572966,0.035)); #517979=CARTESIAN_POINT('',(48.3324,58.572966,0.035)); #517980=CARTESIAN_POINT('',(48.3324,58.572966,0.)); #517981=CARTESIAN_POINT('Origin',(48.3324,58.927034,0.)); #517982=CARTESIAN_POINT('',(48.3324,58.927034,0.)); #517983=CARTESIAN_POINT('',(48.3324,58.927034,0.)); #517984=CARTESIAN_POINT('',(48.3324,58.927034,0.035)); #517985=CARTESIAN_POINT('',(48.3324,58.927034,0.035)); #517986=CARTESIAN_POINT('',(48.3324,58.927034,0.)); #517987=CARTESIAN_POINT('Origin',(48.082034,59.1774,0.)); #517988=CARTESIAN_POINT('',(48.082034,59.1774,0.)); #517989=CARTESIAN_POINT('',(48.082034,59.1774,0.)); #517990=CARTESIAN_POINT('',(48.082034,59.1774,0.035)); #517991=CARTESIAN_POINT('',(48.082034,59.1774,0.035)); #517992=CARTESIAN_POINT('',(48.082034,59.1774,0.)); #517993=CARTESIAN_POINT('Origin',(47.727966,59.1774,0.)); #517994=CARTESIAN_POINT('',(47.727966,59.1774,0.)); #517995=CARTESIAN_POINT('',(47.727966,59.1774,0.)); #517996=CARTESIAN_POINT('',(47.727966,59.1774,0.035)); #517997=CARTESIAN_POINT('',(47.727966,59.1774,0.035)); #517998=CARTESIAN_POINT('',(47.727966,59.1774,0.)); #517999=CARTESIAN_POINT('Origin',(47.551484,59.000919,0.)); #518000=CARTESIAN_POINT('',(47.551484,59.000919,0.)); #518001=CARTESIAN_POINT('',(47.551484,59.000919,0.)); #518002=CARTESIAN_POINT('',(47.551484,59.000919,0.035)); #518003=CARTESIAN_POINT('',(47.551484,59.000919,0.035)); #518004=CARTESIAN_POINT('',(47.551484,59.000919,0.)); #518005=CARTESIAN_POINT('Origin',(47.527325,58.984634,0.)); #518006=CARTESIAN_POINT('',(47.527325,58.984634,0.)); #518007=CARTESIAN_POINT('',(47.527325,58.984634,0.)); #518008=CARTESIAN_POINT('',(47.527325,58.984634,0.035)); #518009=CARTESIAN_POINT('',(47.527325,58.984634,0.035)); #518010=CARTESIAN_POINT('',(47.527325,58.984634,0.)); #518011=CARTESIAN_POINT('Origin',(47.497603,58.9786,0.)); #518012=CARTESIAN_POINT('',(47.497603,58.9786,0.)); #518013=CARTESIAN_POINT('',(47.497603,58.9786,0.)); #518014=CARTESIAN_POINT('',(47.497603,58.9786,0.035)); #518015=CARTESIAN_POINT('',(47.497603,58.9786,0.035)); #518016=CARTESIAN_POINT('',(47.497603,58.9786,0.)); #518017=CARTESIAN_POINT('Origin',(43.405309,58.9786,0.)); #518018=CARTESIAN_POINT('',(43.405309,58.9786,0.)); #518019=CARTESIAN_POINT('',(43.405309,58.9786,0.)); #518020=CARTESIAN_POINT('',(43.405309,58.9786,0.035)); #518021=CARTESIAN_POINT('',(43.405309,58.9786,0.035)); #518022=CARTESIAN_POINT('',(43.405309,58.9786,0.)); #518023=CARTESIAN_POINT('Origin',(42.3964,57.969691,0.)); #518024=CARTESIAN_POINT('',(42.3964,57.969691,0.)); #518025=CARTESIAN_POINT('',(42.3964,57.969691,0.)); #518026=CARTESIAN_POINT('',(42.3964,57.969691,0.035)); #518027=CARTESIAN_POINT('',(42.3964,57.969691,0.035)); #518028=CARTESIAN_POINT('',(42.3964,57.969691,0.)); #518029=CARTESIAN_POINT('Origin',(42.3964,52.110853,0.)); #518030=CARTESIAN_POINT('',(42.3964,52.110853,0.)); #518031=CARTESIAN_POINT('',(42.3964,52.110853,0.)); #518032=CARTESIAN_POINT('',(42.3964,52.110853,0.035)); #518033=CARTESIAN_POINT('',(42.3964,52.110853,0.035)); #518034=CARTESIAN_POINT('',(42.3964,52.110853,0.)); #518035=CARTESIAN_POINT('Origin',(42.391706,52.084522,0.)); #518036=CARTESIAN_POINT('',(42.391706,52.084522,0.)); #518037=CARTESIAN_POINT('',(42.391706,52.084522,0.)); #518038=CARTESIAN_POINT('',(42.391706,52.084522,0.035)); #518039=CARTESIAN_POINT('',(42.391706,52.084522,0.035)); #518040=CARTESIAN_POINT('',(42.391706,52.084522,0.)); #518041=CARTESIAN_POINT('Origin',(42.375772,52.058716,0.)); #518042=CARTESIAN_POINT('',(42.375772,52.058716,0.)); #518043=CARTESIAN_POINT('',(42.375772,52.058716,0.)); #518044=CARTESIAN_POINT('',(42.375772,52.058716,0.035)); #518045=CARTESIAN_POINT('',(42.375772,52.058716,0.035)); #518046=CARTESIAN_POINT('',(42.375772,52.058716,0.)); #518047=CARTESIAN_POINT('Origin',(42.351034,52.041172,0.)); #518048=CARTESIAN_POINT('',(42.351034,52.041172,0.)); #518049=CARTESIAN_POINT('',(42.351034,52.041172,0.)); #518050=CARTESIAN_POINT('',(42.351034,52.041172,0.035)); #518051=CARTESIAN_POINT('',(42.351034,52.041172,0.035)); #518052=CARTESIAN_POINT('',(42.351034,52.041172,0.)); #518053=CARTESIAN_POINT('Origin',(42.321416,52.034663,0.)); #518054=CARTESIAN_POINT('',(42.321416,52.034663,0.)); #518055=CARTESIAN_POINT('',(42.321416,52.034663,0.)); #518056=CARTESIAN_POINT('',(42.321416,52.034663,0.035)); #518057=CARTESIAN_POINT('',(42.321416,52.034663,0.035)); #518058=CARTESIAN_POINT('',(42.321416,52.034663,0.)); #518059=CARTESIAN_POINT('Origin',(42.291603,52.040222,0.)); #518060=CARTESIAN_POINT('',(42.291603,52.040222,0.)); #518061=CARTESIAN_POINT('',(42.291603,52.040222,0.)); #518062=CARTESIAN_POINT('',(42.291603,52.040222,0.035)); #518063=CARTESIAN_POINT('',(42.291603,52.040222,0.035)); #518064=CARTESIAN_POINT('',(42.291603,52.040222,0.)); #518065=CARTESIAN_POINT('Origin',(42.266319,52.056972,0.)); #518066=CARTESIAN_POINT('',(42.266319,52.056972,0.)); #518067=CARTESIAN_POINT('',(42.266319,52.056972,0.)); #518068=CARTESIAN_POINT('',(42.266319,52.056972,0.035)); #518069=CARTESIAN_POINT('',(42.266319,52.056972,0.035)); #518070=CARTESIAN_POINT('',(42.266319,52.056972,0.)); #518071=CARTESIAN_POINT('Origin',(41.094691,53.2286,0.)); #518072=CARTESIAN_POINT('',(41.094691,53.2286,0.)); #518073=CARTESIAN_POINT('',(41.094691,53.2286,0.)); #518074=CARTESIAN_POINT('',(41.094691,53.2286,0.035)); #518075=CARTESIAN_POINT('',(41.094691,53.2286,0.035)); #518076=CARTESIAN_POINT('',(41.094691,53.2286,0.)); #518077=CARTESIAN_POINT('Origin',(39.1597,53.2286,0.)); #518078=CARTESIAN_POINT('',(39.1597,53.2286,0.)); #518079=CARTESIAN_POINT('',(39.1597,53.2286,0.)); #518080=CARTESIAN_POINT('',(39.1597,53.2286,0.035)); #518081=CARTESIAN_POINT('',(39.1597,53.2286,0.035)); #518082=CARTESIAN_POINT('',(39.1597,53.2286,0.)); #518083=CARTESIAN_POINT('Origin',(39.132231,53.233722,0.)); #518084=CARTESIAN_POINT('',(39.132231,53.233722,0.)); #518085=CARTESIAN_POINT('',(39.132231,53.233722,0.)); #518086=CARTESIAN_POINT('',(39.132231,53.233722,0.035)); #518087=CARTESIAN_POINT('',(39.132231,53.233722,0.035)); #518088=CARTESIAN_POINT('',(39.132231,53.233722,0.)); #518089=CARTESIAN_POINT('Origin',(39.106684,53.250066,0.)); #518090=CARTESIAN_POINT('',(39.106684,53.250066,0.)); #518091=CARTESIAN_POINT('',(39.106684,53.250066,0.)); #518092=CARTESIAN_POINT('',(39.106684,53.250066,0.035)); #518093=CARTESIAN_POINT('',(39.106684,53.250066,0.035)); #518094=CARTESIAN_POINT('',(39.106684,53.250066,0.)); #518095=CARTESIAN_POINT('Origin',(39.089534,53.275078,0.)); #518096=CARTESIAN_POINT('',(39.089534,53.275078,0.)); #518097=CARTESIAN_POINT('',(39.089534,53.275078,0.)); #518098=CARTESIAN_POINT('',(39.089534,53.275078,0.035)); #518099=CARTESIAN_POINT('',(39.089534,53.275078,0.035)); #518100=CARTESIAN_POINT('',(39.089534,53.275078,0.)); #518101=CARTESIAN_POINT('Origin',(39.0835,53.3048,0.)); #518102=CARTESIAN_POINT('',(39.0835,53.3048,0.)); #518103=CARTESIAN_POINT('',(39.0835,53.3048,0.)); #518104=CARTESIAN_POINT('',(39.0835,53.3048,0.035)); #518105=CARTESIAN_POINT('',(39.0835,53.3048,0.035)); #518106=CARTESIAN_POINT('',(39.0835,53.3048,0.)); #518107=CARTESIAN_POINT('Origin',(39.0835,53.507628,0.)); #518108=CARTESIAN_POINT('',(39.0835,53.507628,0.)); #518109=CARTESIAN_POINT('',(39.0835,53.507628,0.)); #518110=CARTESIAN_POINT('',(39.0835,53.507628,0.035)); #518111=CARTESIAN_POINT('',(39.0835,53.507628,0.035)); #518112=CARTESIAN_POINT('',(39.0835,53.507628,0.)); #518113=CARTESIAN_POINT('Origin',(38.994228,53.5969,0.)); #518114=CARTESIAN_POINT('',(38.994228,53.5969,0.)); #518115=CARTESIAN_POINT('',(38.994228,53.5969,0.)); #518116=CARTESIAN_POINT('',(38.994228,53.5969,0.035)); #518117=CARTESIAN_POINT('',(38.994228,53.5969,0.035)); #518118=CARTESIAN_POINT('',(38.994228,53.5969,0.)); #518119=CARTESIAN_POINT('Origin',(38.029772,53.5969,0.)); #518120=CARTESIAN_POINT('',(38.029772,53.5969,0.)); #518121=CARTESIAN_POINT('',(38.029772,53.5969,0.)); #518122=CARTESIAN_POINT('',(38.029772,53.5969,0.035)); #518123=CARTESIAN_POINT('',(38.029772,53.5969,0.035)); #518124=CARTESIAN_POINT('',(38.029772,53.5969,0.)); #518125=CARTESIAN_POINT('Origin',(37.9405,53.507628,0.)); #518126=CARTESIAN_POINT('',(37.9405,53.507628,0.)); #518127=CARTESIAN_POINT('',(37.9405,53.507628,0.)); #518128=CARTESIAN_POINT('',(37.9405,53.507628,0.035)); #518129=CARTESIAN_POINT('',(37.9405,53.507628,0.035)); #518130=CARTESIAN_POINT('',(37.9405,53.507628,0.)); #518131=CARTESIAN_POINT('Origin',(37.9405,52.492372,0.)); #518132=CARTESIAN_POINT('',(37.9405,52.492372,0.)); #518133=CARTESIAN_POINT('',(37.9405,52.492372,0.)); #518134=CARTESIAN_POINT('',(37.9405,52.492372,0.035)); #518135=CARTESIAN_POINT('',(37.9405,52.492372,0.035)); #518136=CARTESIAN_POINT('',(37.9405,52.492372,0.)); #518137=CARTESIAN_POINT('Origin',(38.029772,52.4031,0.)); #518138=CARTESIAN_POINT('',(38.029772,52.4031,0.)); #518139=CARTESIAN_POINT('',(38.029772,52.4031,0.)); #518140=CARTESIAN_POINT('',(38.029772,52.4031,0.035)); #518141=CARTESIAN_POINT('',(38.029772,52.4031,0.035)); #518142=CARTESIAN_POINT('',(38.029772,52.4031,0.)); #518143=CARTESIAN_POINT('Origin',(38.994228,52.4031,0.)); #518144=CARTESIAN_POINT('',(38.994228,52.4031,0.)); #518145=CARTESIAN_POINT('',(38.994228,52.4031,0.)); #518146=CARTESIAN_POINT('',(38.994228,52.4031,0.035)); #518147=CARTESIAN_POINT('',(38.994228,52.4031,0.035)); #518148=CARTESIAN_POINT('',(38.994228,52.4031,0.)); #518149=CARTESIAN_POINT('Origin',(39.0835,52.492372,0.)); #518150=CARTESIAN_POINT('',(39.0835,52.492372,0.)); #518151=CARTESIAN_POINT('',(39.0835,52.492372,0.)); #518152=CARTESIAN_POINT('',(39.0835,52.492372,0.035)); #518153=CARTESIAN_POINT('',(39.0835,52.492372,0.035)); #518154=CARTESIAN_POINT('',(39.0835,52.492372,0.)); #518155=CARTESIAN_POINT('Origin',(39.0835,52.6952,0.)); #518156=CARTESIAN_POINT('',(39.0835,52.6952,0.)); #518157=CARTESIAN_POINT('',(39.0835,52.6952,0.)); #518158=CARTESIAN_POINT('',(39.0835,52.6952,0.035)); #518159=CARTESIAN_POINT('',(39.0835,52.6952,0.035)); #518160=CARTESIAN_POINT('',(39.0835,52.6952,0.)); #518161=CARTESIAN_POINT('Origin',(39.088622,52.722669,0.)); #518162=CARTESIAN_POINT('',(39.088622,52.722669,0.)); #518163=CARTESIAN_POINT('',(39.088622,52.722669,0.)); #518164=CARTESIAN_POINT('',(39.088622,52.722669,0.035)); #518165=CARTESIAN_POINT('',(39.088622,52.722669,0.035)); #518166=CARTESIAN_POINT('',(39.088622,52.722669,0.)); #518167=CARTESIAN_POINT('Origin',(39.104966,52.748216,0.)); #518168=CARTESIAN_POINT('',(39.104966,52.748216,0.)); #518169=CARTESIAN_POINT('',(39.104966,52.748216,0.)); #518170=CARTESIAN_POINT('',(39.104966,52.748216,0.035)); #518171=CARTESIAN_POINT('',(39.104966,52.748216,0.035)); #518172=CARTESIAN_POINT('',(39.104966,52.748216,0.)); #518173=CARTESIAN_POINT('Origin',(39.129978,52.765366,0.)); #518174=CARTESIAN_POINT('',(39.129978,52.765366,0.)); #518175=CARTESIAN_POINT('',(39.129978,52.765366,0.)); #518176=CARTESIAN_POINT('',(39.129978,52.765366,0.035)); #518177=CARTESIAN_POINT('',(39.129978,52.765366,0.035)); #518178=CARTESIAN_POINT('',(39.129978,52.765366,0.)); #518179=CARTESIAN_POINT('Origin',(39.1597,52.7714,0.)); #518180=CARTESIAN_POINT('',(39.1597,52.7714,0.)); #518181=CARTESIAN_POINT('',(39.1597,52.7714,0.)); #518182=CARTESIAN_POINT('',(39.1597,52.7714,0.035)); #518183=CARTESIAN_POINT('',(39.1597,52.7714,0.035)); #518184=CARTESIAN_POINT('',(39.1597,52.7714,0.)); #518185=CARTESIAN_POINT('Origin',(40.873747,52.7714,0.)); #518186=CARTESIAN_POINT('',(40.873747,52.7714,0.)); #518187=CARTESIAN_POINT('',(40.873747,52.7714,0.)); #518188=CARTESIAN_POINT('',(40.873747,52.7714,0.035)); #518189=CARTESIAN_POINT('',(40.873747,52.7714,0.035)); #518190=CARTESIAN_POINT('',(40.873747,52.7714,0.)); #518191=CARTESIAN_POINT('Origin',(40.902344,52.765831,0.)); #518192=CARTESIAN_POINT('',(40.902344,52.765831,0.)); #518193=CARTESIAN_POINT('',(40.902344,52.765831,0.)); #518194=CARTESIAN_POINT('',(40.902344,52.765831,0.035)); #518195=CARTESIAN_POINT('',(40.902344,52.765831,0.035)); #518196=CARTESIAN_POINT('',(40.902344,52.765831,0.)); #518197=CARTESIAN_POINT('Origin',(40.927628,52.749081,0.)); #518198=CARTESIAN_POINT('',(40.927628,52.749081,0.)); #518199=CARTESIAN_POINT('',(40.927628,52.749081,0.)); #518200=CARTESIAN_POINT('',(40.927628,52.749081,0.035)); #518201=CARTESIAN_POINT('',(40.927628,52.749081,0.035)); #518202=CARTESIAN_POINT('',(40.927628,52.749081,0.)); #518203=CARTESIAN_POINT('Origin',(41.999081,51.677628,0.)); #518204=CARTESIAN_POINT('',(41.999081,51.677628,0.)); #518205=CARTESIAN_POINT('',(41.999081,51.677628,0.)); #518206=CARTESIAN_POINT('',(41.999081,51.677628,0.035)); #518207=CARTESIAN_POINT('',(41.999081,51.677628,0.035)); #518208=CARTESIAN_POINT('',(41.999081,51.677628,0.)); #518209=CARTESIAN_POINT('Origin',(42.015366,51.653469,0.)); #518210=CARTESIAN_POINT('',(42.015366,51.653469,0.)); #518211=CARTESIAN_POINT('',(42.015366,51.653469,0.)); #518212=CARTESIAN_POINT('',(42.015366,51.653469,0.035)); #518213=CARTESIAN_POINT('',(42.015366,51.653469,0.035)); #518214=CARTESIAN_POINT('',(42.015366,51.653469,0.)); #518215=CARTESIAN_POINT('Origin',(42.0214,51.623747,0.)); #518216=CARTESIAN_POINT('',(42.0214,51.623747,0.)); #518217=CARTESIAN_POINT('',(42.0214,51.623747,0.)); #518218=CARTESIAN_POINT('',(42.0214,51.623747,0.035)); #518219=CARTESIAN_POINT('',(42.0214,51.623747,0.035)); #518220=CARTESIAN_POINT('',(42.0214,51.623747,0.)); #518221=CARTESIAN_POINT('Origin',(42.0214,43.138253,0.)); #518222=CARTESIAN_POINT('',(42.0214,43.138253,0.)); #518223=CARTESIAN_POINT('',(42.0214,43.138253,0.)); #518224=CARTESIAN_POINT('',(42.0214,43.138253,0.035)); #518225=CARTESIAN_POINT('',(42.0214,43.138253,0.035)); #518226=CARTESIAN_POINT('',(42.0214,43.138253,0.)); #518227=CARTESIAN_POINT('Origin',(42.015831,43.109656,0.)); #518228=CARTESIAN_POINT('',(42.015831,43.109656,0.)); #518229=CARTESIAN_POINT('',(42.015831,43.109656,0.)); #518230=CARTESIAN_POINT('',(42.015831,43.109656,0.035)); #518231=CARTESIAN_POINT('',(42.015831,43.109656,0.035)); #518232=CARTESIAN_POINT('',(42.015831,43.109656,0.)); #518233=CARTESIAN_POINT('Origin',(41.999081,43.084372,0.)); #518234=CARTESIAN_POINT('',(41.999081,43.084372,0.)); #518235=CARTESIAN_POINT('',(41.999081,43.084372,0.)); #518236=CARTESIAN_POINT('',(41.999081,43.084372,0.035)); #518237=CARTESIAN_POINT('',(41.999081,43.084372,0.035)); #518238=CARTESIAN_POINT('',(41.999081,43.084372,0.)); #518239=CARTESIAN_POINT('Origin',(37.533928,38.619219,0.)); #518240=CARTESIAN_POINT('',(37.533928,38.619219,0.)); #518241=CARTESIAN_POINT('',(37.533928,38.619219,0.)); #518242=CARTESIAN_POINT('',(37.533928,38.619219,0.035)); #518243=CARTESIAN_POINT('',(37.533928,38.619219,0.035)); #518244=CARTESIAN_POINT('',(37.533928,38.619219,0.)); #518245=CARTESIAN_POINT('Origin',(37.509769,38.602934,0.)); #518246=CARTESIAN_POINT('',(37.509769,38.602934,0.)); #518247=CARTESIAN_POINT('',(37.509769,38.602934,0.)); #518248=CARTESIAN_POINT('',(37.509769,38.602934,0.035)); #518249=CARTESIAN_POINT('',(37.509769,38.602934,0.035)); #518250=CARTESIAN_POINT('',(37.509769,38.602934,0.)); #518251=CARTESIAN_POINT('Origin',(37.480047,38.5969,0.)); #518252=CARTESIAN_POINT('',(37.480047,38.5969,0.)); #518253=CARTESIAN_POINT('',(37.480047,38.5969,0.)); #518254=CARTESIAN_POINT('',(37.480047,38.5969,0.035)); #518255=CARTESIAN_POINT('',(37.480047,38.5969,0.035)); #518256=CARTESIAN_POINT('',(37.480047,38.5969,0.)); #518257=CARTESIAN_POINT('Origin',(36.755772,38.5969,0.)); #518258=CARTESIAN_POINT('',(36.755772,38.5969,0.)); #518259=CARTESIAN_POINT('',(36.755772,38.5969,0.)); #518260=CARTESIAN_POINT('',(36.755772,38.5969,0.035)); #518261=CARTESIAN_POINT('',(36.755772,38.5969,0.035)); #518262=CARTESIAN_POINT('',(36.755772,38.5969,0.)); #518263=CARTESIAN_POINT('Origin',(36.6665,38.507628,0.)); #518264=CARTESIAN_POINT('',(36.6665,38.507628,0.)); #518265=CARTESIAN_POINT('',(36.6665,38.507628,0.)); #518266=CARTESIAN_POINT('',(36.6665,38.507628,0.035)); #518267=CARTESIAN_POINT('',(36.6665,38.507628,0.035)); #518268=CARTESIAN_POINT('',(36.6665,38.507628,0.)); #518269=CARTESIAN_POINT('Origin',(36.6665,37.492372,0.)); #518270=CARTESIAN_POINT('',(36.6665,37.492372,0.)); #518271=CARTESIAN_POINT('',(36.6665,37.492372,0.)); #518272=CARTESIAN_POINT('',(36.6665,37.492372,0.035)); #518273=CARTESIAN_POINT('',(36.6665,37.492372,0.035)); #518274=CARTESIAN_POINT('',(36.6665,37.492372,0.)); #518275=CARTESIAN_POINT('Origin',(36.755772,37.4031,0.)); #518276=CARTESIAN_POINT('',(36.755772,37.4031,0.)); #518277=CARTESIAN_POINT('',(36.755772,37.4031,0.)); #518278=CARTESIAN_POINT('',(36.755772,37.4031,0.035)); #518279=CARTESIAN_POINT('',(36.755772,37.4031,0.035)); #518280=CARTESIAN_POINT('',(36.755772,37.4031,0.)); #518281=CARTESIAN_POINT('Origin',(37.480047,37.4031,0.)); #518282=CARTESIAN_POINT('',(37.480047,37.4031,0.)); #518283=CARTESIAN_POINT('',(37.480047,37.4031,0.)); #518284=CARTESIAN_POINT('',(37.480047,37.4031,0.035)); #518285=CARTESIAN_POINT('',(37.480047,37.4031,0.035)); #518286=CARTESIAN_POINT('',(37.480047,37.4031,0.)); #518287=CARTESIAN_POINT('Origin',(37.508644,37.397531,0.)); #518288=CARTESIAN_POINT('',(37.508644,37.397531,0.)); #518289=CARTESIAN_POINT('',(37.508644,37.397531,0.)); #518290=CARTESIAN_POINT('',(37.508644,37.397531,0.035)); #518291=CARTESIAN_POINT('',(37.508644,37.397531,0.035)); #518292=CARTESIAN_POINT('',(37.508644,37.397531,0.)); #518293=CARTESIAN_POINT('Origin',(37.533928,37.380781,0.)); #518294=CARTESIAN_POINT('',(37.533928,37.380781,0.)); #518295=CARTESIAN_POINT('',(37.533928,37.380781,0.)); #518296=CARTESIAN_POINT('',(37.533928,37.380781,0.035)); #518297=CARTESIAN_POINT('',(37.533928,37.380781,0.035)); #518298=CARTESIAN_POINT('',(37.533928,37.380781,0.)); #518299=CARTESIAN_POINT('Origin',(37.549581,37.365128,0.)); #518300=CARTESIAN_POINT('',(37.549581,37.365128,0.)); #518301=CARTESIAN_POINT('',(37.549581,37.365128,0.)); #518302=CARTESIAN_POINT('',(37.549581,37.365128,0.035)); #518303=CARTESIAN_POINT('',(37.549581,37.365128,0.035)); #518304=CARTESIAN_POINT('',(37.549581,37.365128,0.)); #518305=CARTESIAN_POINT('Origin',(37.565866,37.340969,0.)); #518306=CARTESIAN_POINT('',(37.565866,37.340969,0.)); #518307=CARTESIAN_POINT('',(37.565866,37.340969,0.)); #518308=CARTESIAN_POINT('',(37.565866,37.340969,0.035)); #518309=CARTESIAN_POINT('',(37.565866,37.340969,0.035)); #518310=CARTESIAN_POINT('',(37.565866,37.340969,0.)); #518311=CARTESIAN_POINT('Origin',(37.5719,37.311247,0.)); #518312=CARTESIAN_POINT('',(37.5719,37.311247,0.)); #518313=CARTESIAN_POINT('',(37.5719,37.311247,0.)); #518314=CARTESIAN_POINT('',(37.5719,37.311247,0.035)); #518315=CARTESIAN_POINT('',(37.5719,37.311247,0.035)); #518316=CARTESIAN_POINT('',(37.5719,37.311247,0.)); #518317=CARTESIAN_POINT('Origin',(37.5719,37.153997,0.)); #518318=CARTESIAN_POINT('',(37.5719,37.153997,0.)); #518319=CARTESIAN_POINT('',(37.5719,37.153997,0.)); #518320=CARTESIAN_POINT('',(37.5719,37.153997,0.035)); #518321=CARTESIAN_POINT('',(37.5719,37.153997,0.035)); #518322=CARTESIAN_POINT('',(37.5719,37.153997,0.)); #518323=CARTESIAN_POINT('Origin',(37.567206,37.127666,0.)); #518324=CARTESIAN_POINT('',(37.567206,37.127666,0.)); #518325=CARTESIAN_POINT('',(37.567206,37.127666,0.)); #518326=CARTESIAN_POINT('',(37.567206,37.127666,0.035)); #518327=CARTESIAN_POINT('',(37.567206,37.127666,0.035)); #518328=CARTESIAN_POINT('',(37.567206,37.127666,0.)); #518329=CARTESIAN_POINT('Origin',(37.551272,37.101859,0.)); #518330=CARTESIAN_POINT('',(37.551272,37.101859,0.)); #518331=CARTESIAN_POINT('',(37.551272,37.101859,0.)); #518332=CARTESIAN_POINT('',(37.551272,37.101859,0.035)); #518333=CARTESIAN_POINT('',(37.551272,37.101859,0.035)); #518334=CARTESIAN_POINT('',(37.551272,37.101859,0.)); #518335=CARTESIAN_POINT('Origin',(37.526534,37.084316,0.)); #518336=CARTESIAN_POINT('',(37.526534,37.084316,0.)); #518337=CARTESIAN_POINT('',(37.526534,37.084316,0.)); #518338=CARTESIAN_POINT('',(37.526534,37.084316,0.035)); #518339=CARTESIAN_POINT('',(37.526534,37.084316,0.035)); #518340=CARTESIAN_POINT('',(37.526534,37.084316,0.)); #518341=CARTESIAN_POINT('Origin',(37.496916,37.077806,0.)); #518342=CARTESIAN_POINT('',(37.496916,37.077806,0.)); #518343=CARTESIAN_POINT('',(37.496916,37.077806,0.)); #518344=CARTESIAN_POINT('',(37.496916,37.077806,0.035)); #518345=CARTESIAN_POINT('',(37.496916,37.077806,0.035)); #518346=CARTESIAN_POINT('',(37.496916,37.077806,0.)); #518347=CARTESIAN_POINT('Origin',(37.467103,37.083366,0.)); #518348=CARTESIAN_POINT('',(37.467103,37.083366,0.)); #518349=CARTESIAN_POINT('',(37.467103,37.083366,0.)); #518350=CARTESIAN_POINT('',(37.467103,37.083366,0.035)); #518351=CARTESIAN_POINT('',(37.467103,37.083366,0.035)); #518352=CARTESIAN_POINT('',(37.467103,37.083366,0.)); #518353=CARTESIAN_POINT('Origin',(37.441819,37.100116,0.)); #518354=CARTESIAN_POINT('',(37.441819,37.100116,0.)); #518355=CARTESIAN_POINT('',(37.441819,37.100116,0.)); #518356=CARTESIAN_POINT('',(37.441819,37.100116,0.035)); #518357=CARTESIAN_POINT('',(37.441819,37.100116,0.035)); #518358=CARTESIAN_POINT('',(37.441819,37.100116,0.)); #518359=CARTESIAN_POINT('Origin',(37.364534,37.1774,0.)); #518360=CARTESIAN_POINT('',(37.364534,37.1774,0.)); #518361=CARTESIAN_POINT('',(37.364534,37.1774,0.)); #518362=CARTESIAN_POINT('',(37.364534,37.1774,0.035)); #518363=CARTESIAN_POINT('',(37.364534,37.1774,0.035)); #518364=CARTESIAN_POINT('',(37.364534,37.1774,0.)); #518365=CARTESIAN_POINT('Origin',(37.010466,37.1774,0.)); #518366=CARTESIAN_POINT('',(37.010466,37.1774,0.)); #518367=CARTESIAN_POINT('',(37.010466,37.1774,0.)); #518368=CARTESIAN_POINT('',(37.010466,37.1774,0.035)); #518369=CARTESIAN_POINT('',(37.010466,37.1774,0.035)); #518370=CARTESIAN_POINT('',(37.010466,37.1774,0.)); #518371=CARTESIAN_POINT('Origin',(36.7601,36.927034,0.)); #518372=CARTESIAN_POINT('',(36.7601,36.927034,0.)); #518373=CARTESIAN_POINT('',(36.7601,36.927034,0.)); #518374=CARTESIAN_POINT('',(36.7601,36.927034,0.035)); #518375=CARTESIAN_POINT('',(36.7601,36.927034,0.035)); #518376=CARTESIAN_POINT('',(36.7601,36.927034,0.)); #518377=CARTESIAN_POINT('Origin',(36.7601,36.677453,0.)); #518378=CARTESIAN_POINT('',(36.7601,36.677453,0.)); #518379=CARTESIAN_POINT('',(36.7601,36.677453,0.)); #518380=CARTESIAN_POINT('',(36.7601,36.677453,0.035)); #518381=CARTESIAN_POINT('',(36.7601,36.677453,0.035)); #518382=CARTESIAN_POINT('',(36.7601,36.677453,0.)); #518383=CARTESIAN_POINT('Origin',(36.754531,36.648856,0.)); #518384=CARTESIAN_POINT('',(36.754531,36.648856,0.)); #518385=CARTESIAN_POINT('',(36.754531,36.648856,0.)); #518386=CARTESIAN_POINT('',(36.754531,36.648856,0.035)); #518387=CARTESIAN_POINT('',(36.754531,36.648856,0.035)); #518388=CARTESIAN_POINT('',(36.754531,36.648856,0.)); #518389=CARTESIAN_POINT('Origin',(36.737781,36.623572,0.)); #518390=CARTESIAN_POINT('',(36.737781,36.623572,0.)); #518391=CARTESIAN_POINT('',(36.737781,36.623572,0.)); #518392=CARTESIAN_POINT('',(36.737781,36.623572,0.035)); #518393=CARTESIAN_POINT('',(36.737781,36.623572,0.035)); #518394=CARTESIAN_POINT('',(36.737781,36.623572,0.)); #518395=CARTESIAN_POINT('Origin',(34.8339,34.719691,0.)); #518396=CARTESIAN_POINT('',(34.8339,34.719691,0.)); #518397=CARTESIAN_POINT('',(34.8339,34.719691,0.)); #518398=CARTESIAN_POINT('',(34.8339,34.719691,0.035)); #518399=CARTESIAN_POINT('',(34.8339,34.719691,0.035)); #518400=CARTESIAN_POINT('',(34.8339,34.719691,0.)); #518401=CARTESIAN_POINT('Origin',(34.8339,25.876247,0.)); #518402=CARTESIAN_POINT('',(34.8339,25.876247,0.)); #518403=CARTESIAN_POINT('',(34.8339,25.876247,0.)); #518404=CARTESIAN_POINT('',(34.8339,25.876247,0.035)); #518405=CARTESIAN_POINT('',(34.8339,25.876247,0.035)); #518406=CARTESIAN_POINT('',(34.8339,25.876247,0.)); #518407=CARTESIAN_POINT('Origin',(34.829206,25.849916,0.)); #518408=CARTESIAN_POINT('',(34.829206,25.849916,0.)); #518409=CARTESIAN_POINT('',(34.829206,25.849916,0.)); #518410=CARTESIAN_POINT('',(34.829206,25.849916,0.035)); #518411=CARTESIAN_POINT('',(34.829206,25.849916,0.035)); #518412=CARTESIAN_POINT('',(34.829206,25.849916,0.)); #518413=CARTESIAN_POINT('Origin',(34.813272,25.824109,0.)); #518414=CARTESIAN_POINT('',(34.813272,25.824109,0.)); #518415=CARTESIAN_POINT('',(34.813272,25.824109,0.)); #518416=CARTESIAN_POINT('',(34.813272,25.824109,0.035)); #518417=CARTESIAN_POINT('',(34.813272,25.824109,0.035)); #518418=CARTESIAN_POINT('',(34.813272,25.824109,0.)); #518419=CARTESIAN_POINT('Origin',(34.788534,25.806566,0.)); #518420=CARTESIAN_POINT('',(34.788534,25.806566,0.)); #518421=CARTESIAN_POINT('',(34.788534,25.806566,0.)); #518422=CARTESIAN_POINT('',(34.788534,25.806566,0.035)); #518423=CARTESIAN_POINT('',(34.788534,25.806566,0.035)); #518424=CARTESIAN_POINT('',(34.788534,25.806566,0.)); #518425=CARTESIAN_POINT('Origin',(34.758916,25.800056,0.)); #518426=CARTESIAN_POINT('',(34.758916,25.800056,0.)); #518427=CARTESIAN_POINT('',(34.758916,25.800056,0.)); #518428=CARTESIAN_POINT('',(34.758916,25.800056,0.035)); #518429=CARTESIAN_POINT('',(34.758916,25.800056,0.035)); #518430=CARTESIAN_POINT('',(34.758916,25.800056,0.)); #518431=CARTESIAN_POINT('Origin',(34.729103,25.805616,0.)); #518432=CARTESIAN_POINT('',(34.729103,25.805616,0.)); #518433=CARTESIAN_POINT('',(34.729103,25.805616,0.)); #518434=CARTESIAN_POINT('',(34.729103,25.805616,0.035)); #518435=CARTESIAN_POINT('',(34.729103,25.805616,0.035)); #518436=CARTESIAN_POINT('',(34.729103,25.805616,0.)); #518437=CARTESIAN_POINT('Origin',(34.703819,25.822366,0.)); #518438=CARTESIAN_POINT('',(34.703819,25.822366,0.)); #518439=CARTESIAN_POINT('',(34.703819,25.822366,0.)); #518440=CARTESIAN_POINT('',(34.703819,25.822366,0.035)); #518441=CARTESIAN_POINT('',(34.703819,25.822366,0.035)); #518442=CARTESIAN_POINT('',(34.703819,25.822366,0.)); #518443=CARTESIAN_POINT('Origin',(34.511169,26.015016,0.)); #518444=CARTESIAN_POINT('',(34.511169,26.015016,0.)); #518445=CARTESIAN_POINT('',(34.511169,26.015016,0.)); #518446=CARTESIAN_POINT('',(34.511169,26.015016,0.035)); #518447=CARTESIAN_POINT('',(34.511169,26.015016,0.035)); #518448=CARTESIAN_POINT('',(34.511169,26.015016,0.)); #518449=CARTESIAN_POINT('Origin',(34.1795,26.1524,0.)); #518450=CARTESIAN_POINT('',(34.1795,26.1524,0.)); #518451=CARTESIAN_POINT('',(34.1795,26.1524,0.)); #518452=CARTESIAN_POINT('',(34.1795,26.1524,0.035)); #518453=CARTESIAN_POINT('',(34.1795,26.1524,0.035)); #518454=CARTESIAN_POINT('',(34.1795,26.1524,0.)); #518455=CARTESIAN_POINT('Origin',(33.8205,26.1524,0.)); #518456=CARTESIAN_POINT('',(33.8205,26.1524,0.)); #518457=CARTESIAN_POINT('',(33.8205,26.1524,0.)); #518458=CARTESIAN_POINT('',(33.8205,26.1524,0.035)); #518459=CARTESIAN_POINT('',(33.8205,26.1524,0.035)); #518460=CARTESIAN_POINT('',(33.8205,26.1524,0.)); #518461=CARTESIAN_POINT('Origin',(33.488831,26.015016,0.)); #518462=CARTESIAN_POINT('',(33.488831,26.015016,0.)); #518463=CARTESIAN_POINT('',(33.488831,26.015016,0.)); #518464=CARTESIAN_POINT('',(33.488831,26.015016,0.035)); #518465=CARTESIAN_POINT('',(33.488831,26.015016,0.035)); #518466=CARTESIAN_POINT('',(33.488831,26.015016,0.)); #518467=CARTESIAN_POINT('Origin',(33.296181,25.822366,0.)); #518468=CARTESIAN_POINT('',(33.296181,25.822366,0.)); #518469=CARTESIAN_POINT('',(33.296181,25.822366,0.)); #518470=CARTESIAN_POINT('',(33.296181,25.822366,0.035)); #518471=CARTESIAN_POINT('',(33.296181,25.822366,0.035)); #518472=CARTESIAN_POINT('',(33.296181,25.822366,0.)); #518473=CARTESIAN_POINT('Origin',(33.274244,25.807066,0.)); #518474=CARTESIAN_POINT('',(33.274244,25.807066,0.)); #518475=CARTESIAN_POINT('',(33.274244,25.807066,0.)); #518476=CARTESIAN_POINT('',(33.274244,25.807066,0.035)); #518477=CARTESIAN_POINT('',(33.274244,25.807066,0.035)); #518478=CARTESIAN_POINT('',(33.274244,25.807066,0.)); #518479=CARTESIAN_POINT('Origin',(33.244728,25.800084,0.)); #518480=CARTESIAN_POINT('',(33.244728,25.800084,0.)); #518481=CARTESIAN_POINT('',(33.244728,25.800084,0.)); #518482=CARTESIAN_POINT('',(33.244728,25.800084,0.035)); #518483=CARTESIAN_POINT('',(33.244728,25.800084,0.035)); #518484=CARTESIAN_POINT('',(33.244728,25.800084,0.)); #518485=CARTESIAN_POINT('Origin',(33.214831,25.805169,0.)); #518486=CARTESIAN_POINT('',(33.214831,25.805169,0.)); #518487=CARTESIAN_POINT('',(33.214831,25.805169,0.)); #518488=CARTESIAN_POINT('',(33.214831,25.805169,0.035)); #518489=CARTESIAN_POINT('',(33.214831,25.805169,0.035)); #518490=CARTESIAN_POINT('',(33.214831,25.805169,0.)); #518491=CARTESIAN_POINT('Origin',(33.189284,25.821513,0.)); #518492=CARTESIAN_POINT('',(33.189284,25.821513,0.)); #518493=CARTESIAN_POINT('',(33.189284,25.821513,0.)); #518494=CARTESIAN_POINT('',(33.189284,25.821513,0.035)); #518495=CARTESIAN_POINT('',(33.189284,25.821513,0.035)); #518496=CARTESIAN_POINT('',(33.189284,25.821513,0.)); #518497=CARTESIAN_POINT('Origin',(33.172134,25.846525,0.)); #518498=CARTESIAN_POINT('',(33.172134,25.846525,0.)); #518499=CARTESIAN_POINT('',(33.172134,25.846525,0.)); #518500=CARTESIAN_POINT('',(33.172134,25.846525,0.035)); #518501=CARTESIAN_POINT('',(33.172134,25.846525,0.035)); #518502=CARTESIAN_POINT('',(33.172134,25.846525,0.)); #518503=CARTESIAN_POINT('Origin',(33.1661,25.876247,0.)); #518504=CARTESIAN_POINT('',(33.1661,25.876247,0.)); #518505=CARTESIAN_POINT('',(33.1661,25.876247,0.)); #518506=CARTESIAN_POINT('',(33.1661,25.876247,0.035)); #518507=CARTESIAN_POINT('',(33.1661,25.876247,0.035)); #518508=CARTESIAN_POINT('',(33.1661,25.876247,0.)); #518509=CARTESIAN_POINT('Origin',(33.1661,34.719691,0.)); #518510=CARTESIAN_POINT('',(33.1661,34.719691,0.)); #518511=CARTESIAN_POINT('',(33.1661,34.719691,0.)); #518512=CARTESIAN_POINT('',(33.1661,34.719691,0.035)); #518513=CARTESIAN_POINT('',(33.1661,34.719691,0.035)); #518514=CARTESIAN_POINT('',(33.1661,34.719691,0.)); #518515=CARTESIAN_POINT('Origin',(31.262219,36.623572,0.)); #518516=CARTESIAN_POINT('',(31.262219,36.623572,0.)); #518517=CARTESIAN_POINT('',(31.262219,36.623572,0.)); #518518=CARTESIAN_POINT('',(31.262219,36.623572,0.035)); #518519=CARTESIAN_POINT('',(31.262219,36.623572,0.035)); #518520=CARTESIAN_POINT('',(31.262219,36.623572,0.)); #518521=CARTESIAN_POINT('Origin',(31.245934,36.647731,0.)); #518522=CARTESIAN_POINT('',(31.245934,36.647731,0.)); #518523=CARTESIAN_POINT('',(31.245934,36.647731,0.)); #518524=CARTESIAN_POINT('',(31.245934,36.647731,0.035)); #518525=CARTESIAN_POINT('',(31.245934,36.647731,0.035)); #518526=CARTESIAN_POINT('',(31.245934,36.647731,0.)); #518527=CARTESIAN_POINT('Origin',(31.2399,36.677453,0.)); #518528=CARTESIAN_POINT('',(31.2399,36.677453,0.)); #518529=CARTESIAN_POINT('',(31.2399,36.677453,0.)); #518530=CARTESIAN_POINT('',(31.2399,36.677453,0.035)); #518531=CARTESIAN_POINT('',(31.2399,36.677453,0.035)); #518532=CARTESIAN_POINT('',(31.2399,36.677453,0.)); #518533=CARTESIAN_POINT('Origin',(31.2399,36.927034,0.)); #518534=CARTESIAN_POINT('',(31.2399,36.927034,0.)); #518535=CARTESIAN_POINT('',(31.2399,36.927034,0.)); #518536=CARTESIAN_POINT('',(31.2399,36.927034,0.035)); #518537=CARTESIAN_POINT('',(31.2399,36.927034,0.035)); #518538=CARTESIAN_POINT('',(31.2399,36.927034,0.)); #518539=CARTESIAN_POINT('Origin',(30.989534,37.1774,0.)); #518540=CARTESIAN_POINT('',(30.989534,37.1774,0.)); #518541=CARTESIAN_POINT('',(30.989534,37.1774,0.)); #518542=CARTESIAN_POINT('',(30.989534,37.1774,0.035)); #518543=CARTESIAN_POINT('',(30.989534,37.1774,0.035)); #518544=CARTESIAN_POINT('',(30.989534,37.1774,0.)); #518545=CARTESIAN_POINT('Origin',(30.635466,37.1774,0.)); #518546=CARTESIAN_POINT('',(30.635466,37.1774,0.)); #518547=CARTESIAN_POINT('',(30.635466,37.1774,0.)); #518548=CARTESIAN_POINT('',(30.635466,37.1774,0.035)); #518549=CARTESIAN_POINT('',(30.635466,37.1774,0.035)); #518550=CARTESIAN_POINT('',(30.635466,37.1774,0.)); #518551=CARTESIAN_POINT('Origin',(30.558181,37.100116,0.)); #518552=CARTESIAN_POINT('',(30.558181,37.100116,0.)); #518553=CARTESIAN_POINT('',(30.558181,37.100116,0.)); #518554=CARTESIAN_POINT('',(30.558181,37.100116,0.035)); #518555=CARTESIAN_POINT('',(30.558181,37.100116,0.035)); #518556=CARTESIAN_POINT('',(30.558181,37.100116,0.)); #518557=CARTESIAN_POINT('Origin',(30.536244,37.084816,0.)); #518558=CARTESIAN_POINT('',(30.536244,37.084816,0.)); #518559=CARTESIAN_POINT('',(30.536244,37.084816,0.)); #518560=CARTESIAN_POINT('',(30.536244,37.084816,0.035)); #518561=CARTESIAN_POINT('',(30.536244,37.084816,0.035)); #518562=CARTESIAN_POINT('',(30.536244,37.084816,0.)); #518563=CARTESIAN_POINT('Origin',(30.506728,37.077834,0.)); #518564=CARTESIAN_POINT('',(30.506728,37.077834,0.)); #518565=CARTESIAN_POINT('',(30.506728,37.077834,0.)); #518566=CARTESIAN_POINT('',(30.506728,37.077834,0.035)); #518567=CARTESIAN_POINT('',(30.506728,37.077834,0.035)); #518568=CARTESIAN_POINT('',(30.506728,37.077834,0.)); #518569=CARTESIAN_POINT('Origin',(30.476831,37.082919,0.)); #518570=CARTESIAN_POINT('',(30.476831,37.082919,0.)); #518571=CARTESIAN_POINT('',(30.476831,37.082919,0.)); #518572=CARTESIAN_POINT('',(30.476831,37.082919,0.035)); #518573=CARTESIAN_POINT('',(30.476831,37.082919,0.035)); #518574=CARTESIAN_POINT('',(30.476831,37.082919,0.)); #518575=CARTESIAN_POINT('Origin',(30.451284,37.099263,0.)); #518576=CARTESIAN_POINT('',(30.451284,37.099263,0.)); #518577=CARTESIAN_POINT('',(30.451284,37.099263,0.)); #518578=CARTESIAN_POINT('',(30.451284,37.099263,0.035)); #518579=CARTESIAN_POINT('',(30.451284,37.099263,0.035)); #518580=CARTESIAN_POINT('',(30.451284,37.099263,0.)); #518581=CARTESIAN_POINT('Origin',(30.434134,37.124275,0.)); #518582=CARTESIAN_POINT('',(30.434134,37.124275,0.)); #518583=CARTESIAN_POINT('',(30.434134,37.124275,0.)); #518584=CARTESIAN_POINT('',(30.434134,37.124275,0.035)); #518585=CARTESIAN_POINT('',(30.434134,37.124275,0.035)); #518586=CARTESIAN_POINT('',(30.434134,37.124275,0.)); #518587=CARTESIAN_POINT('Origin',(30.4281,37.153997,0.)); #518588=CARTESIAN_POINT('',(30.4281,37.153997,0.)); #518589=CARTESIAN_POINT('',(30.4281,37.153997,0.)); #518590=CARTESIAN_POINT('',(30.4281,37.153997,0.035)); #518591=CARTESIAN_POINT('',(30.4281,37.153997,0.035)); #518592=CARTESIAN_POINT('',(30.4281,37.153997,0.)); #518593=CARTESIAN_POINT('Origin',(30.4281,37.311247,0.)); #518594=CARTESIAN_POINT('',(30.4281,37.311247,0.)); #518595=CARTESIAN_POINT('',(30.4281,37.311247,0.)); #518596=CARTESIAN_POINT('',(30.4281,37.311247,0.035)); #518597=CARTESIAN_POINT('',(30.4281,37.311247,0.035)); #518598=CARTESIAN_POINT('',(30.4281,37.311247,0.)); #518599=CARTESIAN_POINT('Origin',(30.433669,37.339844,0.)); #518600=CARTESIAN_POINT('',(30.433669,37.339844,0.)); #518601=CARTESIAN_POINT('',(30.433669,37.339844,0.)); #518602=CARTESIAN_POINT('',(30.433669,37.339844,0.035)); #518603=CARTESIAN_POINT('',(30.433669,37.339844,0.035)); #518604=CARTESIAN_POINT('',(30.433669,37.339844,0.)); #518605=CARTESIAN_POINT('Origin',(30.450419,37.365128,0.)); #518606=CARTESIAN_POINT('',(30.450419,37.365128,0.)); #518607=CARTESIAN_POINT('',(30.450419,37.365128,0.)); #518608=CARTESIAN_POINT('',(30.450419,37.365128,0.035)); #518609=CARTESIAN_POINT('',(30.450419,37.365128,0.035)); #518610=CARTESIAN_POINT('',(30.450419,37.365128,0.)); #518611=CARTESIAN_POINT('Origin',(30.466072,37.380781,0.)); #518612=CARTESIAN_POINT('',(30.466072,37.380781,0.)); #518613=CARTESIAN_POINT('',(30.466072,37.380781,0.)); #518614=CARTESIAN_POINT('',(30.466072,37.380781,0.035)); #518615=CARTESIAN_POINT('',(30.466072,37.380781,0.035)); #518616=CARTESIAN_POINT('',(30.466072,37.380781,0.)); #518617=CARTESIAN_POINT('Origin',(30.490231,37.397066,0.)); #518618=CARTESIAN_POINT('',(30.490231,37.397066,0.)); #518619=CARTESIAN_POINT('',(30.490231,37.397066,0.)); #518620=CARTESIAN_POINT('',(30.490231,37.397066,0.035)); #518621=CARTESIAN_POINT('',(30.490231,37.397066,0.035)); #518622=CARTESIAN_POINT('',(30.490231,37.397066,0.)); #518623=CARTESIAN_POINT('Origin',(30.519953,37.4031,0.)); #518624=CARTESIAN_POINT('',(30.519953,37.4031,0.)); #518625=CARTESIAN_POINT('',(30.519953,37.4031,0.)); #518626=CARTESIAN_POINT('',(30.519953,37.4031,0.035)); #518627=CARTESIAN_POINT('',(30.519953,37.4031,0.035)); #518628=CARTESIAN_POINT('',(30.519953,37.4031,0.)); #518629=CARTESIAN_POINT('Origin',(31.244228,37.4031,0.)); #518630=CARTESIAN_POINT('',(31.244228,37.4031,0.)); #518631=CARTESIAN_POINT('',(31.244228,37.4031,0.)); #518632=CARTESIAN_POINT('',(31.244228,37.4031,0.035)); #518633=CARTESIAN_POINT('',(31.244228,37.4031,0.035)); #518634=CARTESIAN_POINT('',(31.244228,37.4031,0.)); #518635=CARTESIAN_POINT('Origin',(31.3335,37.492372,0.)); #518636=CARTESIAN_POINT('',(31.3335,37.492372,0.)); #518637=CARTESIAN_POINT('',(31.3335,37.492372,0.)); #518638=CARTESIAN_POINT('',(31.3335,37.492372,0.035)); #518639=CARTESIAN_POINT('',(31.3335,37.492372,0.035)); #518640=CARTESIAN_POINT('',(31.3335,37.492372,0.)); #518641=CARTESIAN_POINT('Origin',(31.3335,38.507628,0.)); #518642=CARTESIAN_POINT('',(31.3335,38.507628,0.)); #518643=CARTESIAN_POINT('',(31.3335,38.507628,0.)); #518644=CARTESIAN_POINT('',(31.3335,38.507628,0.035)); #518645=CARTESIAN_POINT('',(31.3335,38.507628,0.035)); #518646=CARTESIAN_POINT('',(31.3335,38.507628,0.)); #518647=CARTESIAN_POINT('Origin',(31.244228,38.5969,0.)); #518648=CARTESIAN_POINT('',(31.244228,38.5969,0.)); #518649=CARTESIAN_POINT('',(31.244228,38.5969,0.)); #518650=CARTESIAN_POINT('',(31.244228,38.5969,0.035)); #518651=CARTESIAN_POINT('',(31.244228,38.5969,0.035)); #518652=CARTESIAN_POINT('',(31.244228,38.5969,0.)); #518653=CARTESIAN_POINT('Origin',(30.519953,38.5969,0.)); #518654=CARTESIAN_POINT('',(30.519953,38.5969,0.)); #518655=CARTESIAN_POINT('',(30.519953,38.5969,0.)); #518656=CARTESIAN_POINT('',(30.519953,38.5969,0.035)); #518657=CARTESIAN_POINT('',(30.519953,38.5969,0.035)); #518658=CARTESIAN_POINT('',(30.519953,38.5969,0.)); #518659=CARTESIAN_POINT('Origin',(30.491356,38.602469,0.)); #518660=CARTESIAN_POINT('',(30.491356,38.602469,0.)); #518661=CARTESIAN_POINT('',(30.491356,38.602469,0.)); #518662=CARTESIAN_POINT('',(30.491356,38.602469,0.035)); #518663=CARTESIAN_POINT('',(30.491356,38.602469,0.035)); #518664=CARTESIAN_POINT('',(30.491356,38.602469,0.)); #518665=CARTESIAN_POINT('Origin',(30.466072,38.619219,0.)); #518666=CARTESIAN_POINT('',(30.466072,38.619219,0.)); #518667=CARTESIAN_POINT('',(30.466072,38.619219,0.)); #518668=CARTESIAN_POINT('',(30.466072,38.619219,0.035)); #518669=CARTESIAN_POINT('',(30.466072,38.619219,0.035)); #518670=CARTESIAN_POINT('',(30.466072,38.619219,0.)); #518671=CARTESIAN_POINT('Origin',(26.000919,43.084372,0.)); #518672=CARTESIAN_POINT('',(26.000919,43.084372,0.)); #518673=CARTESIAN_POINT('',(26.000919,43.084372,0.)); #518674=CARTESIAN_POINT('',(26.000919,43.084372,0.035)); #518675=CARTESIAN_POINT('',(26.000919,43.084372,0.035)); #518676=CARTESIAN_POINT('',(26.000919,43.084372,0.)); #518677=CARTESIAN_POINT('Origin',(25.984634,43.108531,0.)); #518678=CARTESIAN_POINT('',(25.984634,43.108531,0.)); #518679=CARTESIAN_POINT('',(25.984634,43.108531,0.)); #518680=CARTESIAN_POINT('',(25.984634,43.108531,0.035)); #518681=CARTESIAN_POINT('',(25.984634,43.108531,0.035)); #518682=CARTESIAN_POINT('',(25.984634,43.108531,0.)); #518683=CARTESIAN_POINT('Origin',(25.9786,43.138253,0.)); #518684=CARTESIAN_POINT('',(25.9786,43.138253,0.)); #518685=CARTESIAN_POINT('',(25.9786,43.138253,0.)); #518686=CARTESIAN_POINT('',(25.9786,43.138253,0.035)); #518687=CARTESIAN_POINT('',(25.9786,43.138253,0.035)); #518688=CARTESIAN_POINT('',(25.9786,43.138253,0.)); #518689=CARTESIAN_POINT('Origin',(25.9786,51.623747,0.)); #518690=CARTESIAN_POINT('',(25.9786,51.623747,0.)); #518691=CARTESIAN_POINT('',(25.9786,51.623747,0.)); #518692=CARTESIAN_POINT('',(25.9786,51.623747,0.035)); #518693=CARTESIAN_POINT('',(25.9786,51.623747,0.035)); #518694=CARTESIAN_POINT('',(25.9786,51.623747,0.)); #518695=CARTESIAN_POINT('Origin',(25.984169,51.652344,0.)); #518696=CARTESIAN_POINT('',(25.984169,51.652344,0.)); #518697=CARTESIAN_POINT('',(25.984169,51.652344,0.)); #518698=CARTESIAN_POINT('',(25.984169,51.652344,0.035)); #518699=CARTESIAN_POINT('',(25.984169,51.652344,0.035)); #518700=CARTESIAN_POINT('',(25.984169,51.652344,0.)); #518701=CARTESIAN_POINT('Origin',(26.000919,51.677628,0.)); #518702=CARTESIAN_POINT('',(26.000919,51.677628,0.)); #518703=CARTESIAN_POINT('',(26.000919,51.677628,0.)); #518704=CARTESIAN_POINT('',(26.000919,51.677628,0.035)); #518705=CARTESIAN_POINT('',(26.000919,51.677628,0.035)); #518706=CARTESIAN_POINT('',(26.000919,51.677628,0.)); #518707=CARTESIAN_POINT('Origin',(27.072372,52.749081,0.)); #518708=CARTESIAN_POINT('',(27.072372,52.749081,0.)); #518709=CARTESIAN_POINT('',(27.072372,52.749081,0.)); #518710=CARTESIAN_POINT('',(27.072372,52.749081,0.035)); #518711=CARTESIAN_POINT('',(27.072372,52.749081,0.035)); #518712=CARTESIAN_POINT('',(27.072372,52.749081,0.)); #518713=CARTESIAN_POINT('Origin',(27.096531,52.765366,0.)); #518714=CARTESIAN_POINT('',(27.096531,52.765366,0.)); #518715=CARTESIAN_POINT('',(27.096531,52.765366,0.)); #518716=CARTESIAN_POINT('',(27.096531,52.765366,0.035)); #518717=CARTESIAN_POINT('',(27.096531,52.765366,0.035)); #518718=CARTESIAN_POINT('',(27.096531,52.765366,0.)); #518719=CARTESIAN_POINT('Origin',(27.126253,52.7714,0.)); #518720=CARTESIAN_POINT('',(27.126253,52.7714,0.)); #518721=CARTESIAN_POINT('',(27.126253,52.7714,0.)); #518722=CARTESIAN_POINT('',(27.126253,52.7714,0.035)); #518723=CARTESIAN_POINT('',(27.126253,52.7714,0.035)); #518724=CARTESIAN_POINT('',(27.126253,52.7714,0.)); #518725=CARTESIAN_POINT('Origin',(28.8403,52.7714,0.)); #518726=CARTESIAN_POINT('',(28.8403,52.7714,0.)); #518727=CARTESIAN_POINT('',(28.8403,52.7714,0.)); #518728=CARTESIAN_POINT('',(28.8403,52.7714,0.035)); #518729=CARTESIAN_POINT('',(28.8403,52.7714,0.035)); #518730=CARTESIAN_POINT('',(28.8403,52.7714,0.)); #518731=CARTESIAN_POINT('Origin',(28.867769,52.766278,0.)); #518732=CARTESIAN_POINT('',(28.867769,52.766278,0.)); #518733=CARTESIAN_POINT('',(28.867769,52.766278,0.)); #518734=CARTESIAN_POINT('',(28.867769,52.766278,0.035)); #518735=CARTESIAN_POINT('',(28.867769,52.766278,0.035)); #518736=CARTESIAN_POINT('',(28.867769,52.766278,0.)); #518737=CARTESIAN_POINT('Origin',(28.893316,52.749934,0.)); #518738=CARTESIAN_POINT('',(28.893316,52.749934,0.)); #518739=CARTESIAN_POINT('',(28.893316,52.749934,0.)); #518740=CARTESIAN_POINT('',(28.893316,52.749934,0.035)); #518741=CARTESIAN_POINT('',(28.893316,52.749934,0.035)); #518742=CARTESIAN_POINT('',(28.893316,52.749934,0.)); #518743=CARTESIAN_POINT('Origin',(28.910466,52.724922,0.)); #518744=CARTESIAN_POINT('',(28.910466,52.724922,0.)); #518745=CARTESIAN_POINT('',(28.910466,52.724922,0.)); #518746=CARTESIAN_POINT('',(28.910466,52.724922,0.035)); #518747=CARTESIAN_POINT('',(28.910466,52.724922,0.035)); #518748=CARTESIAN_POINT('',(28.910466,52.724922,0.)); #518749=CARTESIAN_POINT('Origin',(28.9165,52.6952,0.)); #518750=CARTESIAN_POINT('',(28.9165,52.6952,0.)); #518751=CARTESIAN_POINT('',(28.9165,52.6952,0.)); #518752=CARTESIAN_POINT('',(28.9165,52.6952,0.035)); #518753=CARTESIAN_POINT('',(28.9165,52.6952,0.035)); #518754=CARTESIAN_POINT('',(28.9165,52.6952,0.)); #518755=CARTESIAN_POINT('Origin',(28.9165,52.492372,0.)); #518756=CARTESIAN_POINT('',(28.9165,52.492372,0.)); #518757=CARTESIAN_POINT('',(28.9165,52.492372,0.)); #518758=CARTESIAN_POINT('',(28.9165,52.492372,0.035)); #518759=CARTESIAN_POINT('',(28.9165,52.492372,0.035)); #518760=CARTESIAN_POINT('',(28.9165,52.492372,0.)); #518761=CARTESIAN_POINT('Origin',(29.005772,52.4031,0.)); #518762=CARTESIAN_POINT('',(29.005772,52.4031,0.)); #518763=CARTESIAN_POINT('',(29.005772,52.4031,0.)); #518764=CARTESIAN_POINT('',(29.005772,52.4031,0.035)); #518765=CARTESIAN_POINT('',(29.005772,52.4031,0.035)); #518766=CARTESIAN_POINT('',(29.005772,52.4031,0.)); #518767=CARTESIAN_POINT('Origin',(29.970228,52.4031,0.)); #518768=CARTESIAN_POINT('',(29.970228,52.4031,0.)); #518769=CARTESIAN_POINT('',(29.970228,52.4031,0.)); #518770=CARTESIAN_POINT('',(29.970228,52.4031,0.035)); #518771=CARTESIAN_POINT('',(29.970228,52.4031,0.035)); #518772=CARTESIAN_POINT('',(29.970228,52.4031,0.)); #518773=CARTESIAN_POINT('Origin',(30.0595,52.492372,0.)); #518774=CARTESIAN_POINT('',(30.0595,52.492372,0.)); #518775=CARTESIAN_POINT('',(30.0595,52.492372,0.)); #518776=CARTESIAN_POINT('',(30.0595,52.492372,0.035)); #518777=CARTESIAN_POINT('',(30.0595,52.492372,0.035)); #518778=CARTESIAN_POINT('',(30.0595,52.492372,0.)); #518779=CARTESIAN_POINT('Origin',(30.0595,53.507628,0.)); #518780=CARTESIAN_POINT('',(30.0595,53.507628,0.)); #518781=CARTESIAN_POINT('',(30.0595,53.507628,0.)); #518782=CARTESIAN_POINT('',(30.0595,53.507628,0.035)); #518783=CARTESIAN_POINT('',(30.0595,53.507628,0.035)); #518784=CARTESIAN_POINT('',(30.0595,53.507628,0.)); #518785=CARTESIAN_POINT('Origin',(29.970228,53.5969,0.)); #518786=CARTESIAN_POINT('',(29.970228,53.5969,0.)); #518787=CARTESIAN_POINT('',(29.970228,53.5969,0.)); #518788=CARTESIAN_POINT('',(29.970228,53.5969,0.035)); #518789=CARTESIAN_POINT('',(29.970228,53.5969,0.035)); #518790=CARTESIAN_POINT('',(29.970228,53.5969,0.)); #518791=CARTESIAN_POINT('Origin',(29.005772,53.5969,0.)); #518792=CARTESIAN_POINT('',(29.005772,53.5969,0.)); #518793=CARTESIAN_POINT('',(29.005772,53.5969,0.)); #518794=CARTESIAN_POINT('',(29.005772,53.5969,0.035)); #518795=CARTESIAN_POINT('',(29.005772,53.5969,0.035)); #518796=CARTESIAN_POINT('',(29.005772,53.5969,0.)); #518797=CARTESIAN_POINT('Origin',(28.9165,53.507628,0.)); #518798=CARTESIAN_POINT('',(28.9165,53.507628,0.)); #518799=CARTESIAN_POINT('',(28.9165,53.507628,0.)); #518800=CARTESIAN_POINT('',(28.9165,53.507628,0.035)); #518801=CARTESIAN_POINT('',(28.9165,53.507628,0.035)); #518802=CARTESIAN_POINT('',(28.9165,53.507628,0.)); #518803=CARTESIAN_POINT('Origin',(28.9165,53.3048,0.)); #518804=CARTESIAN_POINT('',(28.9165,53.3048,0.)); #518805=CARTESIAN_POINT('',(28.9165,53.3048,0.)); #518806=CARTESIAN_POINT('',(28.9165,53.3048,0.035)); #518807=CARTESIAN_POINT('',(28.9165,53.3048,0.035)); #518808=CARTESIAN_POINT('',(28.9165,53.3048,0.)); #518809=CARTESIAN_POINT('Origin',(28.911378,53.277331,0.)); #518810=CARTESIAN_POINT('',(28.911378,53.277331,0.)); #518811=CARTESIAN_POINT('',(28.911378,53.277331,0.)); #518812=CARTESIAN_POINT('',(28.911378,53.277331,0.035)); #518813=CARTESIAN_POINT('',(28.911378,53.277331,0.035)); #518814=CARTESIAN_POINT('',(28.911378,53.277331,0.)); #518815=CARTESIAN_POINT('Origin',(28.895034,53.251784,0.)); #518816=CARTESIAN_POINT('',(28.895034,53.251784,0.)); #518817=CARTESIAN_POINT('',(28.895034,53.251784,0.)); #518818=CARTESIAN_POINT('',(28.895034,53.251784,0.035)); #518819=CARTESIAN_POINT('',(28.895034,53.251784,0.035)); #518820=CARTESIAN_POINT('',(28.895034,53.251784,0.)); #518821=CARTESIAN_POINT('Origin',(28.870022,53.234634,0.)); #518822=CARTESIAN_POINT('',(28.870022,53.234634,0.)); #518823=CARTESIAN_POINT('',(28.870022,53.234634,0.)); #518824=CARTESIAN_POINT('',(28.870022,53.234634,0.035)); #518825=CARTESIAN_POINT('',(28.870022,53.234634,0.035)); #518826=CARTESIAN_POINT('',(28.870022,53.234634,0.)); #518827=CARTESIAN_POINT('Origin',(28.8403,53.2286,0.)); #518828=CARTESIAN_POINT('',(28.8403,53.2286,0.)); #518829=CARTESIAN_POINT('',(28.8403,53.2286,0.)); #518830=CARTESIAN_POINT('',(28.8403,53.2286,0.035)); #518831=CARTESIAN_POINT('',(28.8403,53.2286,0.035)); #518832=CARTESIAN_POINT('',(28.8403,53.2286,0.)); #518833=CARTESIAN_POINT('Origin',(26.905309,53.2286,0.)); #518834=CARTESIAN_POINT('',(26.905309,53.2286,0.)); #518835=CARTESIAN_POINT('',(26.905309,53.2286,0.)); #518836=CARTESIAN_POINT('',(26.905309,53.2286,0.035)); #518837=CARTESIAN_POINT('',(26.905309,53.2286,0.035)); #518838=CARTESIAN_POINT('',(26.905309,53.2286,0.)); #518839=CARTESIAN_POINT('Origin',(25.733681,52.056972,0.)); #518840=CARTESIAN_POINT('',(25.733681,52.056972,0.)); #518841=CARTESIAN_POINT('',(25.733681,52.056972,0.)); #518842=CARTESIAN_POINT('',(25.733681,52.056972,0.035)); #518843=CARTESIAN_POINT('',(25.733681,52.056972,0.035)); #518844=CARTESIAN_POINT('',(25.733681,52.056972,0.)); #518845=CARTESIAN_POINT('Origin',(25.711744,52.041672,0.)); #518846=CARTESIAN_POINT('',(25.711744,52.041672,0.)); #518847=CARTESIAN_POINT('',(25.711744,52.041672,0.)); #518848=CARTESIAN_POINT('',(25.711744,52.041672,0.035)); #518849=CARTESIAN_POINT('',(25.711744,52.041672,0.035)); #518850=CARTESIAN_POINT('',(25.711744,52.041672,0.)); #518851=CARTESIAN_POINT('Origin',(25.682228,52.034691,0.)); #518852=CARTESIAN_POINT('',(25.682228,52.034691,0.)); #518853=CARTESIAN_POINT('',(25.682228,52.034691,0.)); #518854=CARTESIAN_POINT('',(25.682228,52.034691,0.035)); #518855=CARTESIAN_POINT('',(25.682228,52.034691,0.035)); #518856=CARTESIAN_POINT('',(25.682228,52.034691,0.)); #518857=CARTESIAN_POINT('Origin',(25.652331,52.039775,0.)); #518858=CARTESIAN_POINT('',(25.652331,52.039775,0.)); #518859=CARTESIAN_POINT('',(25.652331,52.039775,0.)); #518860=CARTESIAN_POINT('',(25.652331,52.039775,0.035)); #518861=CARTESIAN_POINT('',(25.652331,52.039775,0.035)); #518862=CARTESIAN_POINT('',(25.652331,52.039775,0.)); #518863=CARTESIAN_POINT('Origin',(25.626784,52.056119,0.)); #518864=CARTESIAN_POINT('',(25.626784,52.056119,0.)); #518865=CARTESIAN_POINT('',(25.626784,52.056119,0.)); #518866=CARTESIAN_POINT('',(25.626784,52.056119,0.035)); #518867=CARTESIAN_POINT('',(25.626784,52.056119,0.035)); #518868=CARTESIAN_POINT('',(25.626784,52.056119,0.)); #518869=CARTESIAN_POINT('Origin',(25.609634,52.081131,0.)); #518870=CARTESIAN_POINT('',(25.609634,52.081131,0.)); #518871=CARTESIAN_POINT('',(25.609634,52.081131,0.)); #518872=CARTESIAN_POINT('',(25.609634,52.081131,0.035)); #518873=CARTESIAN_POINT('',(25.609634,52.081131,0.035)); #518874=CARTESIAN_POINT('',(25.609634,52.081131,0.)); #518875=CARTESIAN_POINT('Origin',(25.6036,52.110853,0.)); #518876=CARTESIAN_POINT('',(25.6036,52.110853,0.)); #518877=CARTESIAN_POINT('',(25.6036,52.110853,0.)); #518878=CARTESIAN_POINT('',(25.6036,52.110853,0.035)); #518879=CARTESIAN_POINT('',(25.6036,52.110853,0.035)); #518880=CARTESIAN_POINT('',(25.6036,52.110853,0.)); #518881=CARTESIAN_POINT('Origin',(25.6036,57.969691,0.)); #518882=CARTESIAN_POINT('',(25.6036,57.969691,0.)); #518883=CARTESIAN_POINT('',(25.6036,57.969691,0.)); #518884=CARTESIAN_POINT('',(25.6036,57.969691,0.035)); #518885=CARTESIAN_POINT('',(25.6036,57.969691,0.035)); #518886=CARTESIAN_POINT('',(25.6036,57.969691,0.)); #518887=CARTESIAN_POINT('Origin',(24.594691,58.9786,0.)); #518888=CARTESIAN_POINT('',(24.594691,58.9786,0.)); #518889=CARTESIAN_POINT('',(24.594691,58.9786,0.)); #518890=CARTESIAN_POINT('',(24.594691,58.9786,0.035)); #518891=CARTESIAN_POINT('',(24.594691,58.9786,0.035)); #518892=CARTESIAN_POINT('',(24.594691,58.9786,0.)); #518893=CARTESIAN_POINT('Origin',(24.312397,58.9786,0.)); #518894=CARTESIAN_POINT('',(24.312397,58.9786,0.)); #518895=CARTESIAN_POINT('',(24.312397,58.9786,0.)); #518896=CARTESIAN_POINT('',(24.312397,58.9786,0.035)); #518897=CARTESIAN_POINT('',(24.312397,58.9786,0.035)); #518898=CARTESIAN_POINT('',(24.312397,58.9786,0.)); #518899=CARTESIAN_POINT('Origin',(24.2838,58.984169,0.)); #518900=CARTESIAN_POINT('',(24.2838,58.984169,0.)); #518901=CARTESIAN_POINT('',(24.2838,58.984169,0.)); #518902=CARTESIAN_POINT('',(24.2838,58.984169,0.035)); #518903=CARTESIAN_POINT('',(24.2838,58.984169,0.035)); #518904=CARTESIAN_POINT('',(24.2838,58.984169,0.)); #518905=CARTESIAN_POINT('Origin',(24.258516,59.000919,0.)); #518906=CARTESIAN_POINT('',(24.258516,59.000919,0.)); #518907=CARTESIAN_POINT('',(24.258516,59.000919,0.)); #518908=CARTESIAN_POINT('',(24.258516,59.000919,0.035)); #518909=CARTESIAN_POINT('',(24.258516,59.000919,0.035)); #518910=CARTESIAN_POINT('',(24.258516,59.000919,0.)); #518911=CARTESIAN_POINT('Origin',(24.082034,59.1774,0.)); #518912=CARTESIAN_POINT('',(24.082034,59.1774,0.)); #518913=CARTESIAN_POINT('',(24.082034,59.1774,0.)); #518914=CARTESIAN_POINT('',(24.082034,59.1774,0.035)); #518915=CARTESIAN_POINT('',(24.082034,59.1774,0.035)); #518916=CARTESIAN_POINT('',(24.082034,59.1774,0.)); #518917=CARTESIAN_POINT('Origin',(23.727966,59.1774,0.)); #518918=CARTESIAN_POINT('',(23.727966,59.1774,0.)); #518919=CARTESIAN_POINT('',(23.727966,59.1774,0.)); #518920=CARTESIAN_POINT('',(23.727966,59.1774,0.035)); #518921=CARTESIAN_POINT('',(23.727966,59.1774,0.035)); #518922=CARTESIAN_POINT('',(23.727966,59.1774,0.)); #518923=CARTESIAN_POINT('Origin',(23.477425,58.926859,0.)); #518924=CARTESIAN_POINT('',(23.477425,58.926859,0.)); #518925=CARTESIAN_POINT('',(23.477425,58.926859,0.)); #518926=CARTESIAN_POINT('',(23.477425,58.926859,0.035)); #518927=CARTESIAN_POINT('',(23.477425,58.926859,0.035)); #518928=CARTESIAN_POINT('',(23.477425,58.926859,0.)); #518929=CARTESIAN_POINT('Origin',(23.472478,58.900331,0.)); #518930=CARTESIAN_POINT('',(23.472478,58.900331,0.)); #518931=CARTESIAN_POINT('',(23.472478,58.900331,0.)); #518932=CARTESIAN_POINT('',(23.472478,58.900331,0.035)); #518933=CARTESIAN_POINT('',(23.472478,58.900331,0.035)); #518934=CARTESIAN_POINT('',(23.472478,58.900331,0.)); #518935=CARTESIAN_POINT('Origin',(23.456134,58.874784,0.)); #518936=CARTESIAN_POINT('',(23.456134,58.874784,0.)); #518937=CARTESIAN_POINT('',(23.456134,58.874784,0.)); #518938=CARTESIAN_POINT('',(23.456134,58.874784,0.035)); #518939=CARTESIAN_POINT('',(23.456134,58.874784,0.035)); #518940=CARTESIAN_POINT('',(23.456134,58.874784,0.)); #518941=CARTESIAN_POINT('Origin',(23.431122,58.857634,0.)); #518942=CARTESIAN_POINT('',(23.431122,58.857634,0.)); #518943=CARTESIAN_POINT('',(23.431122,58.857634,0.)); #518944=CARTESIAN_POINT('',(23.431122,58.857634,0.035)); #518945=CARTESIAN_POINT('',(23.431122,58.857634,0.035)); #518946=CARTESIAN_POINT('',(23.431122,58.857634,0.)); #518947=CARTESIAN_POINT('Origin',(23.4014,58.8516,0.)); #518948=CARTESIAN_POINT('',(23.4014,58.8516,0.)); #518949=CARTESIAN_POINT('',(23.4014,58.8516,0.)); #518950=CARTESIAN_POINT('',(23.4014,58.8516,0.035)); #518951=CARTESIAN_POINT('',(23.4014,58.8516,0.035)); #518952=CARTESIAN_POINT('',(23.4014,58.8516,0.)); #518953=CARTESIAN_POINT('Origin',(20.129047,58.8516,0.)); #518954=CARTESIAN_POINT('',(20.129047,58.8516,0.)); #518955=CARTESIAN_POINT('',(20.129047,58.8516,0.)); #518956=CARTESIAN_POINT('',(20.129047,58.8516,0.035)); #518957=CARTESIAN_POINT('',(20.129047,58.8516,0.035)); #518958=CARTESIAN_POINT('',(20.129047,58.8516,0.)); #518959=CARTESIAN_POINT('Origin',(20.103691,58.8489,0.)); #518960=CARTESIAN_POINT('',(20.103691,58.8489,0.)); #518961=CARTESIAN_POINT('',(20.103691,58.8489,0.)); #518962=CARTESIAN_POINT('',(20.103691,58.8489,0.035)); #518963=CARTESIAN_POINT('',(20.103691,58.8489,0.035)); #518964=CARTESIAN_POINT('',(20.103691,58.8489,0.)); #518965=CARTESIAN_POINT('Origin',(20.083338,58.842194,0.)); #518966=CARTESIAN_POINT('',(20.083338,58.842194,0.)); #518967=CARTESIAN_POINT('',(20.083338,58.842194,0.)); #518968=CARTESIAN_POINT('',(20.083338,58.842194,0.035)); #518969=CARTESIAN_POINT('',(20.083338,58.842194,0.035)); #518970=CARTESIAN_POINT('',(20.083338,58.842194,0.)); #518971=CARTESIAN_POINT('Origin',(20.063803,58.830731,0.)); #518972=CARTESIAN_POINT('',(20.063803,58.830731,0.)); #518973=CARTESIAN_POINT('',(20.063803,58.830731,0.)); #518974=CARTESIAN_POINT('',(20.063803,58.830731,0.035)); #518975=CARTESIAN_POINT('',(20.063803,58.830731,0.035)); #518976=CARTESIAN_POINT('',(20.063803,58.830731,0.)); #518977=CARTESIAN_POINT('Origin',(20.051134,58.819822,0.)); #518978=CARTESIAN_POINT('',(20.051134,58.819822,0.)); #518979=CARTESIAN_POINT('',(20.051134,58.819822,0.)); #518980=CARTESIAN_POINT('',(20.051134,58.819822,0.035)); #518981=CARTESIAN_POINT('',(20.051134,58.819822,0.035)); #518982=CARTESIAN_POINT('',(20.051134,58.819822,0.)); #518983=CARTESIAN_POINT('Origin',(18.306022,57.074709,0.)); #518984=CARTESIAN_POINT('',(18.306022,57.074709,0.)); #518985=CARTESIAN_POINT('',(18.306022,57.074709,0.)); #518986=CARTESIAN_POINT('',(18.306022,57.074709,0.035)); #518987=CARTESIAN_POINT('',(18.306022,57.074709,0.035)); #518988=CARTESIAN_POINT('',(18.306022,57.074709,0.)); #518989=CARTESIAN_POINT('Origin',(18.290003,57.054869,0.)); #518990=CARTESIAN_POINT('',(18.290003,57.054869,0.)); #518991=CARTESIAN_POINT('',(18.290003,57.054869,0.)); #518992=CARTESIAN_POINT('',(18.290003,57.054869,0.035)); #518993=CARTESIAN_POINT('',(18.290003,57.054869,0.035)); #518994=CARTESIAN_POINT('',(18.290003,57.054869,0.)); #518995=CARTESIAN_POINT('Origin',(18.280353,57.035728,0.)); #518996=CARTESIAN_POINT('',(18.280353,57.035728,0.)); #518997=CARTESIAN_POINT('',(18.280353,57.035728,0.)); #518998=CARTESIAN_POINT('',(18.280353,57.035728,0.035)); #518999=CARTESIAN_POINT('',(18.280353,57.035728,0.035)); #519000=CARTESIAN_POINT('',(18.280353,57.035728,0.)); #519001=CARTESIAN_POINT('Origin',(18.274641,57.013816,0.)); #519002=CARTESIAN_POINT('',(18.274641,57.013816,0.)); #519003=CARTESIAN_POINT('',(18.274641,57.013816,0.)); #519004=CARTESIAN_POINT('',(18.274641,57.013816,0.035)); #519005=CARTESIAN_POINT('',(18.274641,57.013816,0.035)); #519006=CARTESIAN_POINT('',(18.274641,57.013816,0.)); #519007=CARTESIAN_POINT('Origin',(18.2734,56.997153,0.)); #519008=CARTESIAN_POINT('',(18.2734,56.997153,0.)); #519009=CARTESIAN_POINT('',(18.2734,56.997153,0.)); #519010=CARTESIAN_POINT('',(18.2734,56.997153,0.035)); #519011=CARTESIAN_POINT('',(18.2734,56.997153,0.035)); #519012=CARTESIAN_POINT('',(18.2734,56.997153,0.)); #519013=CARTESIAN_POINT('Origin',(18.2734,51.1778,0.)); #519014=CARTESIAN_POINT('',(18.2734,51.1778,0.)); #519015=CARTESIAN_POINT('',(18.2734,51.1778,0.)); #519016=CARTESIAN_POINT('',(18.2734,51.1778,0.035)); #519017=CARTESIAN_POINT('',(18.2734,51.1778,0.035)); #519018=CARTESIAN_POINT('',(18.2734,51.1778,0.)); #519019=CARTESIAN_POINT('Origin',(18.268278,51.150331,0.)); #519020=CARTESIAN_POINT('',(18.268278,51.150331,0.)); #519021=CARTESIAN_POINT('',(18.268278,51.150331,0.)); #519022=CARTESIAN_POINT('',(18.268278,51.150331,0.035)); #519023=CARTESIAN_POINT('',(18.268278,51.150331,0.035)); #519024=CARTESIAN_POINT('',(18.268278,51.150331,0.)); #519025=CARTESIAN_POINT('Origin',(18.251934,51.124784,0.)); #519026=CARTESIAN_POINT('',(18.251934,51.124784,0.)); #519027=CARTESIAN_POINT('',(18.251934,51.124784,0.)); #519028=CARTESIAN_POINT('',(18.251934,51.124784,0.035)); #519029=CARTESIAN_POINT('',(18.251934,51.124784,0.035)); #519030=CARTESIAN_POINT('',(18.251934,51.124784,0.)); #519031=CARTESIAN_POINT('Origin',(18.226922,51.107634,0.)); #519032=CARTESIAN_POINT('',(18.226922,51.107634,0.)); #519033=CARTESIAN_POINT('',(18.226922,51.107634,0.)); #519034=CARTESIAN_POINT('',(18.226922,51.107634,0.035)); #519035=CARTESIAN_POINT('',(18.226922,51.107634,0.035)); #519036=CARTESIAN_POINT('',(18.226922,51.107634,0.)); #519037=CARTESIAN_POINT('Origin',(18.1972,51.1016,0.)); #519038=CARTESIAN_POINT('',(18.1972,51.1016,0.)); #519039=CARTESIAN_POINT('',(18.1972,51.1016,0.)); #519040=CARTESIAN_POINT('',(18.1972,51.1016,0.035)); #519041=CARTESIAN_POINT('',(18.1972,51.1016,0.035)); #519042=CARTESIAN_POINT('',(18.1972,51.1016,0.)); #519043=CARTESIAN_POINT('Origin',(15.754047,51.1016,0.)); #519044=CARTESIAN_POINT('',(15.754047,51.1016,0.)); #519045=CARTESIAN_POINT('',(15.754047,51.1016,0.)); #519046=CARTESIAN_POINT('',(15.754047,51.1016,0.035)); #519047=CARTESIAN_POINT('',(15.754047,51.1016,0.035)); #519048=CARTESIAN_POINT('',(15.754047,51.1016,0.)); #519049=CARTESIAN_POINT('Origin',(15.728691,51.0989,0.)); #519050=CARTESIAN_POINT('',(15.728691,51.0989,0.)); #519051=CARTESIAN_POINT('',(15.728691,51.0989,0.)); #519052=CARTESIAN_POINT('',(15.728691,51.0989,0.035)); #519053=CARTESIAN_POINT('',(15.728691,51.0989,0.035)); #519054=CARTESIAN_POINT('',(15.728691,51.0989,0.)); #519055=CARTESIAN_POINT('Origin',(15.708338,51.092194,0.)); #519056=CARTESIAN_POINT('',(15.708338,51.092194,0.)); #519057=CARTESIAN_POINT('',(15.708338,51.092194,0.)); #519058=CARTESIAN_POINT('',(15.708338,51.092194,0.035)); #519059=CARTESIAN_POINT('',(15.708338,51.092194,0.035)); #519060=CARTESIAN_POINT('',(15.708338,51.092194,0.)); #519061=CARTESIAN_POINT('Origin',(15.689856,51.08135,0.)); #519062=CARTESIAN_POINT('',(15.689856,51.08135,0.)); #519063=CARTESIAN_POINT('',(15.689856,51.08135,0.)); #519064=CARTESIAN_POINT('',(15.689856,51.08135,0.035)); #519065=CARTESIAN_POINT('',(15.689856,51.08135,0.035)); #519066=CARTESIAN_POINT('',(15.689856,51.08135,0.)); #519067=CARTESIAN_POINT('Origin',(15.674063,51.06685,0.)); #519068=CARTESIAN_POINT('',(15.674063,51.06685,0.)); #519069=CARTESIAN_POINT('',(15.674063,51.06685,0.)); #519070=CARTESIAN_POINT('',(15.674063,51.06685,0.035)); #519071=CARTESIAN_POINT('',(15.674063,51.06685,0.035)); #519072=CARTESIAN_POINT('',(15.674063,51.06685,0.)); #519073=CARTESIAN_POINT('Origin',(15.661694,51.049369,0.)); #519074=CARTESIAN_POINT('',(15.661694,51.049369,0.)); #519075=CARTESIAN_POINT('',(15.661694,51.049369,0.)); #519076=CARTESIAN_POINT('',(15.661694,51.049369,0.035)); #519077=CARTESIAN_POINT('',(15.661694,51.049369,0.035)); #519078=CARTESIAN_POINT('',(15.661694,51.049369,0.)); #519079=CARTESIAN_POINT('Origin',(15.653922,51.031172,0.)); #519080=CARTESIAN_POINT('',(15.653922,51.031172,0.)); #519081=CARTESIAN_POINT('',(15.653922,51.031172,0.)); #519082=CARTESIAN_POINT('',(15.653922,51.031172,0.035)); #519083=CARTESIAN_POINT('',(15.653922,51.031172,0.035)); #519084=CARTESIAN_POINT('',(15.653922,51.031172,0.)); #519085=CARTESIAN_POINT('Origin',(15.6484,50.994316,0.)); #519086=CARTESIAN_POINT('',(15.6484,50.994316,0.)); #519087=CARTESIAN_POINT('',(15.6484,50.994316,0.)); #519088=CARTESIAN_POINT('',(15.6484,50.994316,0.035)); #519089=CARTESIAN_POINT('',(15.6484,50.994316,0.035)); #519090=CARTESIAN_POINT('',(15.6484,50.994316,0.)); #519091=CARTESIAN_POINT('Origin',(15.6484,50.8048,0.)); #519092=CARTESIAN_POINT('',(15.6484,50.8048,0.)); #519093=CARTESIAN_POINT('',(15.6484,50.8048,0.)); #519094=CARTESIAN_POINT('',(15.6484,50.8048,0.035)); #519095=CARTESIAN_POINT('',(15.6484,50.8048,0.035)); #519096=CARTESIAN_POINT('',(15.6484,50.8048,0.)); #519097=CARTESIAN_POINT('Origin',(15.643278,50.777331,0.)); #519098=CARTESIAN_POINT('',(15.643278,50.777331,0.)); #519099=CARTESIAN_POINT('',(15.643278,50.777331,0.)); #519100=CARTESIAN_POINT('',(15.643278,50.777331,0.035)); #519101=CARTESIAN_POINT('',(15.643278,50.777331,0.035)); #519102=CARTESIAN_POINT('',(15.643278,50.777331,0.)); #519103=CARTESIAN_POINT('Origin',(15.626934,50.751784,0.)); #519104=CARTESIAN_POINT('',(15.626934,50.751784,0.)); #519105=CARTESIAN_POINT('',(15.626934,50.751784,0.)); #519106=CARTESIAN_POINT('',(15.626934,50.751784,0.035)); #519107=CARTESIAN_POINT('',(15.626934,50.751784,0.035)); #519108=CARTESIAN_POINT('',(15.626934,50.751784,0.)); #519109=CARTESIAN_POINT('Origin',(15.601922,50.734634,0.)); #519110=CARTESIAN_POINT('',(15.601922,50.734634,0.)); #519111=CARTESIAN_POINT('',(15.601922,50.734634,0.)); #519112=CARTESIAN_POINT('',(15.601922,50.734634,0.035)); #519113=CARTESIAN_POINT('',(15.601922,50.734634,0.035)); #519114=CARTESIAN_POINT('',(15.601922,50.734634,0.)); #519115=CARTESIAN_POINT('Origin',(15.5722,50.7286,0.)); #519116=CARTESIAN_POINT('',(15.5722,50.7286,0.)); #519117=CARTESIAN_POINT('',(15.5722,50.7286,0.)); #519118=CARTESIAN_POINT('',(15.5722,50.7286,0.035)); #519119=CARTESIAN_POINT('',(15.5722,50.7286,0.035)); #519120=CARTESIAN_POINT('',(15.5722,50.7286,0.)); #519121=CARTESIAN_POINT('Origin',(14.4278,50.7286,0.)); #519122=CARTESIAN_POINT('',(14.4278,50.7286,0.)); #519123=CARTESIAN_POINT('',(14.4278,50.7286,0.)); #519124=CARTESIAN_POINT('',(14.4278,50.7286,0.035)); #519125=CARTESIAN_POINT('',(14.4278,50.7286,0.035)); #519126=CARTESIAN_POINT('',(14.4278,50.7286,0.)); #519127=CARTESIAN_POINT('Origin',(14.400331,50.733722,0.)); #519128=CARTESIAN_POINT('',(14.400331,50.733722,0.)); #519129=CARTESIAN_POINT('',(14.400331,50.733722,0.)); #519130=CARTESIAN_POINT('',(14.400331,50.733722,0.035)); #519131=CARTESIAN_POINT('',(14.400331,50.733722,0.035)); #519132=CARTESIAN_POINT('',(14.400331,50.733722,0.)); #519133=CARTESIAN_POINT('Origin',(14.374784,50.750066,0.)); #519134=CARTESIAN_POINT('',(14.374784,50.750066,0.)); #519135=CARTESIAN_POINT('',(14.374784,50.750066,0.)); #519136=CARTESIAN_POINT('',(14.374784,50.750066,0.035)); #519137=CARTESIAN_POINT('',(14.374784,50.750066,0.035)); #519138=CARTESIAN_POINT('',(14.374784,50.750066,0.)); #519139=CARTESIAN_POINT('Origin',(14.357634,50.775078,0.)); #519140=CARTESIAN_POINT('',(14.357634,50.775078,0.)); #519141=CARTESIAN_POINT('',(14.357634,50.775078,0.)); #519142=CARTESIAN_POINT('',(14.357634,50.775078,0.035)); #519143=CARTESIAN_POINT('',(14.357634,50.775078,0.035)); #519144=CARTESIAN_POINT('',(14.357634,50.775078,0.)); #519145=CARTESIAN_POINT('Origin',(14.3516,50.8048,0.)); #519146=CARTESIAN_POINT('',(14.3516,50.8048,0.)); #519147=CARTESIAN_POINT('',(14.3516,50.8048,0.)); #519148=CARTESIAN_POINT('',(14.3516,50.8048,0.035)); #519149=CARTESIAN_POINT('',(14.3516,50.8048,0.035)); #519150=CARTESIAN_POINT('',(14.3516,50.8048,0.)); #519151=CARTESIAN_POINT('Origin',(14.3516,50.995953,0.)); #519152=CARTESIAN_POINT('',(14.3516,50.995953,0.)); #519153=CARTESIAN_POINT('',(14.3516,50.995953,0.)); #519154=CARTESIAN_POINT('',(14.3516,50.995953,0.035)); #519155=CARTESIAN_POINT('',(14.3516,50.995953,0.035)); #519156=CARTESIAN_POINT('',(14.3516,50.995953,0.)); #519157=CARTESIAN_POINT('Origin',(14.3489,51.021309,0.)); #519158=CARTESIAN_POINT('',(14.3489,51.021309,0.)); #519159=CARTESIAN_POINT('',(14.3489,51.021309,0.)); #519160=CARTESIAN_POINT('',(14.3489,51.021309,0.035)); #519161=CARTESIAN_POINT('',(14.3489,51.021309,0.035)); #519162=CARTESIAN_POINT('',(14.3489,51.021309,0.)); #519163=CARTESIAN_POINT('Origin',(14.342194,51.041663,0.)); #519164=CARTESIAN_POINT('',(14.342194,51.041663,0.)); #519165=CARTESIAN_POINT('',(14.342194,51.041663,0.)); #519166=CARTESIAN_POINT('',(14.342194,51.041663,0.035)); #519167=CARTESIAN_POINT('',(14.342194,51.041663,0.035)); #519168=CARTESIAN_POINT('',(14.342194,51.041663,0.)); #519169=CARTESIAN_POINT('Origin',(14.33135,51.060144,0.)); #519170=CARTESIAN_POINT('',(14.33135,51.060144,0.)); #519171=CARTESIAN_POINT('',(14.33135,51.060144,0.)); #519172=CARTESIAN_POINT('',(14.33135,51.060144,0.035)); #519173=CARTESIAN_POINT('',(14.33135,51.060144,0.035)); #519174=CARTESIAN_POINT('',(14.33135,51.060144,0.)); #519175=CARTESIAN_POINT('Origin',(14.31685,51.075938,0.)); #519176=CARTESIAN_POINT('',(14.31685,51.075938,0.)); #519177=CARTESIAN_POINT('',(14.31685,51.075938,0.)); #519178=CARTESIAN_POINT('',(14.31685,51.075938,0.035)); #519179=CARTESIAN_POINT('',(14.31685,51.075938,0.035)); #519180=CARTESIAN_POINT('',(14.31685,51.075938,0.)); #519181=CARTESIAN_POINT('Origin',(14.299369,51.088306,0.)); #519182=CARTESIAN_POINT('',(14.299369,51.088306,0.)); #519183=CARTESIAN_POINT('',(14.299369,51.088306,0.)); #519184=CARTESIAN_POINT('',(14.299369,51.088306,0.035)); #519185=CARTESIAN_POINT('',(14.299369,51.088306,0.035)); #519186=CARTESIAN_POINT('',(14.299369,51.088306,0.)); #519187=CARTESIAN_POINT('Origin',(14.281172,51.096078,0.)); #519188=CARTESIAN_POINT('',(14.281172,51.096078,0.)); #519189=CARTESIAN_POINT('',(14.281172,51.096078,0.)); #519190=CARTESIAN_POINT('',(14.281172,51.096078,0.035)); #519191=CARTESIAN_POINT('',(14.281172,51.096078,0.035)); #519192=CARTESIAN_POINT('',(14.281172,51.096078,0.)); #519193=CARTESIAN_POINT('Origin',(14.244316,51.1016,0.)); #519194=CARTESIAN_POINT('',(14.244316,51.1016,0.)); #519195=CARTESIAN_POINT('',(14.244316,51.1016,0.)); #519196=CARTESIAN_POINT('',(14.244316,51.1016,0.035)); #519197=CARTESIAN_POINT('',(14.244316,51.1016,0.035)); #519198=CARTESIAN_POINT('',(14.244316,51.1016,0.)); #519199=CARTESIAN_POINT('Origin',(11.629047,51.1016,0.)); #519200=CARTESIAN_POINT('',(11.629047,51.1016,0.)); #519201=CARTESIAN_POINT('',(11.629047,51.1016,0.)); #519202=CARTESIAN_POINT('',(11.629047,51.1016,0.035)); #519203=CARTESIAN_POINT('',(11.629047,51.1016,0.035)); #519204=CARTESIAN_POINT('',(11.629047,51.1016,0.)); #519205=CARTESIAN_POINT('Origin',(11.603691,51.0989,0.)); #519206=CARTESIAN_POINT('',(11.603691,51.0989,0.)); #519207=CARTESIAN_POINT('',(11.603691,51.0989,0.)); #519208=CARTESIAN_POINT('',(11.603691,51.0989,0.035)); #519209=CARTESIAN_POINT('',(11.603691,51.0989,0.035)); #519210=CARTESIAN_POINT('',(11.603691,51.0989,0.)); #519211=CARTESIAN_POINT('Origin',(11.583338,51.092194,0.)); #519212=CARTESIAN_POINT('',(11.583338,51.092194,0.)); #519213=CARTESIAN_POINT('',(11.583338,51.092194,0.)); #519214=CARTESIAN_POINT('',(11.583338,51.092194,0.035)); #519215=CARTESIAN_POINT('',(11.583338,51.092194,0.035)); #519216=CARTESIAN_POINT('',(11.583338,51.092194,0.)); #519217=CARTESIAN_POINT('Origin',(11.563803,51.080731,0.)); #519218=CARTESIAN_POINT('',(11.563803,51.080731,0.)); #519219=CARTESIAN_POINT('',(11.563803,51.080731,0.)); #519220=CARTESIAN_POINT('',(11.563803,51.080731,0.035)); #519221=CARTESIAN_POINT('',(11.563803,51.080731,0.035)); #519222=CARTESIAN_POINT('',(11.563803,51.080731,0.)); #519223=CARTESIAN_POINT('Origin',(11.551134,51.069822,0.)); #519224=CARTESIAN_POINT('',(11.551134,51.069822,0.)); #519225=CARTESIAN_POINT('',(11.551134,51.069822,0.)); #519226=CARTESIAN_POINT('',(11.551134,51.069822,0.035)); #519227=CARTESIAN_POINT('',(11.551134,51.069822,0.035)); #519228=CARTESIAN_POINT('',(11.551134,51.069822,0.)); #519229=CARTESIAN_POINT('Origin',(10.181022,49.699709,0.)); #519230=CARTESIAN_POINT('',(10.181022,49.699709,0.)); #519231=CARTESIAN_POINT('',(10.181022,49.699709,0.)); #519232=CARTESIAN_POINT('',(10.181022,49.699709,0.035)); #519233=CARTESIAN_POINT('',(10.181022,49.699709,0.035)); #519234=CARTESIAN_POINT('',(10.181022,49.699709,0.)); #519235=CARTESIAN_POINT('Origin',(10.165003,49.679869,0.)); #519236=CARTESIAN_POINT('',(10.165003,49.679869,0.)); #519237=CARTESIAN_POINT('',(10.165003,49.679869,0.)); #519238=CARTESIAN_POINT('',(10.165003,49.679869,0.035)); #519239=CARTESIAN_POINT('',(10.165003,49.679869,0.035)); #519240=CARTESIAN_POINT('',(10.165003,49.679869,0.)); #519241=CARTESIAN_POINT('Origin',(10.155353,49.660728,0.)); #519242=CARTESIAN_POINT('',(10.155353,49.660728,0.)); #519243=CARTESIAN_POINT('',(10.155353,49.660728,0.)); #519244=CARTESIAN_POINT('',(10.155353,49.660728,0.035)); #519245=CARTESIAN_POINT('',(10.155353,49.660728,0.035)); #519246=CARTESIAN_POINT('',(10.155353,49.660728,0.)); #519247=CARTESIAN_POINT('Origin',(10.149641,49.638816,0.)); #519248=CARTESIAN_POINT('',(10.149641,49.638816,0.)); #519249=CARTESIAN_POINT('',(10.149641,49.638816,0.)); #519250=CARTESIAN_POINT('',(10.149641,49.638816,0.035)); #519251=CARTESIAN_POINT('',(10.149641,49.638816,0.035)); #519252=CARTESIAN_POINT('',(10.149641,49.638816,0.)); #519253=CARTESIAN_POINT('Origin',(10.1484,49.622153,0.)); #519254=CARTESIAN_POINT('',(10.1484,49.622153,0.)); #519255=CARTESIAN_POINT('',(10.1484,49.622153,0.)); #519256=CARTESIAN_POINT('',(10.1484,49.622153,0.035)); #519257=CARTESIAN_POINT('',(10.1484,49.622153,0.035)); #519258=CARTESIAN_POINT('',(10.1484,49.622153,0.)); #519259=CARTESIAN_POINT('Origin',(10.1484,42.504047,0.)); #519260=CARTESIAN_POINT('',(10.1484,42.504047,0.)); #519261=CARTESIAN_POINT('',(10.1484,42.504047,0.)); #519262=CARTESIAN_POINT('',(10.1484,42.504047,0.035)); #519263=CARTESIAN_POINT('',(10.1484,42.504047,0.035)); #519264=CARTESIAN_POINT('',(10.1484,42.504047,0.)); #519265=CARTESIAN_POINT('Origin',(10.1511,42.478691,0.)); #519266=CARTESIAN_POINT('',(10.1511,42.478691,0.)); #519267=CARTESIAN_POINT('',(10.1511,42.478691,0.)); #519268=CARTESIAN_POINT('',(10.1511,42.478691,0.035)); #519269=CARTESIAN_POINT('',(10.1511,42.478691,0.035)); #519270=CARTESIAN_POINT('',(10.1511,42.478691,0.)); #519271=CARTESIAN_POINT('Origin',(10.157456,42.459403,0.)); #519272=CARTESIAN_POINT('',(10.157456,42.459403,0.)); #519273=CARTESIAN_POINT('',(10.157456,42.459403,0.)); #519274=CARTESIAN_POINT('',(10.157456,42.459403,0.035)); #519275=CARTESIAN_POINT('',(10.157456,42.459403,0.035)); #519276=CARTESIAN_POINT('',(10.157456,42.459403,0.)); #519277=CARTESIAN_POINT('Origin',(10.175256,42.430984,0.)); #519278=CARTESIAN_POINT('',(10.175256,42.430984,0.)); #519279=CARTESIAN_POINT('',(10.175256,42.430984,0.)); #519280=CARTESIAN_POINT('',(10.175256,42.430984,0.035)); #519281=CARTESIAN_POINT('',(10.175256,42.430984,0.035)); #519282=CARTESIAN_POINT('',(10.175256,42.430984,0.)); #519283=CARTESIAN_POINT('Origin',(13.880738,37.984406,0.)); #519284=CARTESIAN_POINT('',(13.880738,37.984406,0.)); #519285=CARTESIAN_POINT('',(13.880738,37.984406,0.)); #519286=CARTESIAN_POINT('',(13.880738,37.984406,0.035)); #519287=CARTESIAN_POINT('',(13.880738,37.984406,0.035)); #519288=CARTESIAN_POINT('',(13.880738,37.984406,0.)); #519289=CARTESIAN_POINT('Origin',(13.892366,37.965347,0.)); #519290=CARTESIAN_POINT('',(13.892366,37.965347,0.)); #519291=CARTESIAN_POINT('',(13.892366,37.965347,0.)); #519292=CARTESIAN_POINT('',(13.892366,37.965347,0.035)); #519293=CARTESIAN_POINT('',(13.892366,37.965347,0.035)); #519294=CARTESIAN_POINT('',(13.892366,37.965347,0.)); #519295=CARTESIAN_POINT('Origin',(13.8984,37.935625,0.)); #519296=CARTESIAN_POINT('',(13.8984,37.935625,0.)); #519297=CARTESIAN_POINT('',(13.8984,37.935625,0.)); #519298=CARTESIAN_POINT('',(13.8984,37.935625,0.035)); #519299=CARTESIAN_POINT('',(13.8984,37.935625,0.035)); #519300=CARTESIAN_POINT('',(13.8984,37.935625,0.)); #519301=CARTESIAN_POINT('Origin',(13.8984,19.504047,0.)); #519302=CARTESIAN_POINT('',(13.8984,19.504047,0.)); #519303=CARTESIAN_POINT('',(13.8984,19.504047,0.)); #519304=CARTESIAN_POINT('',(13.8984,19.504047,0.035)); #519305=CARTESIAN_POINT('',(13.8984,19.504047,0.035)); #519306=CARTESIAN_POINT('',(13.8984,19.504047,0.)); #519307=CARTESIAN_POINT('Origin',(13.9011,19.478691,0.)); #519308=CARTESIAN_POINT('',(13.9011,19.478691,0.)); #519309=CARTESIAN_POINT('',(13.9011,19.478691,0.)); #519310=CARTESIAN_POINT('',(13.9011,19.478691,0.035)); #519311=CARTESIAN_POINT('',(13.9011,19.478691,0.035)); #519312=CARTESIAN_POINT('',(13.9011,19.478691,0.)); #519313=CARTESIAN_POINT('Origin',(13.907806,19.458338,0.)); #519314=CARTESIAN_POINT('',(13.907806,19.458338,0.)); #519315=CARTESIAN_POINT('',(13.907806,19.458338,0.)); #519316=CARTESIAN_POINT('',(13.907806,19.458338,0.035)); #519317=CARTESIAN_POINT('',(13.907806,19.458338,0.035)); #519318=CARTESIAN_POINT('',(13.907806,19.458338,0.)); #519319=CARTESIAN_POINT('Origin',(13.919269,19.438803,0.)); #519320=CARTESIAN_POINT('',(13.919269,19.438803,0.)); #519321=CARTESIAN_POINT('',(13.919269,19.438803,0.)); #519322=CARTESIAN_POINT('',(13.919269,19.438803,0.035)); #519323=CARTESIAN_POINT('',(13.919269,19.438803,0.035)); #519324=CARTESIAN_POINT('',(13.919269,19.438803,0.)); #519325=CARTESIAN_POINT('Origin',(13.930178,19.426134,0.)); #519326=CARTESIAN_POINT('',(13.930178,19.426134,0.)); #519327=CARTESIAN_POINT('',(13.930178,19.426134,0.)); #519328=CARTESIAN_POINT('',(13.930178,19.426134,0.035)); #519329=CARTESIAN_POINT('',(13.930178,19.426134,0.035)); #519330=CARTESIAN_POINT('',(13.930178,19.426134,0.)); #519331=CARTESIAN_POINT('Origin',(14.175291,19.181022,0.)); #519332=CARTESIAN_POINT('',(14.175291,19.181022,0.)); #519333=CARTESIAN_POINT('',(14.175291,19.181022,0.)); #519334=CARTESIAN_POINT('',(14.175291,19.181022,0.035)); #519335=CARTESIAN_POINT('',(14.175291,19.181022,0.035)); #519336=CARTESIAN_POINT('',(14.175291,19.181022,0.)); #519337=CARTESIAN_POINT('Origin',(14.195131,19.165003,0.)); #519338=CARTESIAN_POINT('',(14.195131,19.165003,0.)); #519339=CARTESIAN_POINT('',(14.195131,19.165003,0.)); #519340=CARTESIAN_POINT('',(14.195131,19.165003,0.035)); #519341=CARTESIAN_POINT('',(14.195131,19.165003,0.035)); #519342=CARTESIAN_POINT('',(14.195131,19.165003,0.)); #519343=CARTESIAN_POINT('Origin',(14.214272,19.155353,0.)); #519344=CARTESIAN_POINT('',(14.214272,19.155353,0.)); #519345=CARTESIAN_POINT('',(14.214272,19.155353,0.)); #519346=CARTESIAN_POINT('',(14.214272,19.155353,0.035)); #519347=CARTESIAN_POINT('',(14.214272,19.155353,0.035)); #519348=CARTESIAN_POINT('',(14.214272,19.155353,0.)); #519349=CARTESIAN_POINT('Origin',(14.236184,19.149641,0.)); #519350=CARTESIAN_POINT('',(14.236184,19.149641,0.)); #519351=CARTESIAN_POINT('',(14.236184,19.149641,0.)); #519352=CARTESIAN_POINT('',(14.236184,19.149641,0.035)); #519353=CARTESIAN_POINT('',(14.236184,19.149641,0.035)); #519354=CARTESIAN_POINT('',(14.236184,19.149641,0.)); #519355=CARTESIAN_POINT('Origin',(14.252847,19.1484,0.)); #519356=CARTESIAN_POINT('',(14.252847,19.1484,0.)); #519357=CARTESIAN_POINT('',(14.252847,19.1484,0.)); #519358=CARTESIAN_POINT('',(14.252847,19.1484,0.035)); #519359=CARTESIAN_POINT('',(14.252847,19.1484,0.035)); #519360=CARTESIAN_POINT('',(14.252847,19.1484,0.)); #519361=CARTESIAN_POINT('Origin',(18.245953,19.1484,0.)); #519362=CARTESIAN_POINT('',(18.245953,19.1484,0.)); #519363=CARTESIAN_POINT('',(18.245953,19.1484,0.)); #519364=CARTESIAN_POINT('',(18.245953,19.1484,0.035)); #519365=CARTESIAN_POINT('',(18.245953,19.1484,0.035)); #519366=CARTESIAN_POINT('',(18.245953,19.1484,0.)); #519367=CARTESIAN_POINT('Origin',(18.271309,19.1511,0.)); #519368=CARTESIAN_POINT('',(18.271309,19.1511,0.)); #519369=CARTESIAN_POINT('',(18.271309,19.1511,0.)); #519370=CARTESIAN_POINT('',(18.271309,19.1511,0.035)); #519371=CARTESIAN_POINT('',(18.271309,19.1511,0.035)); #519372=CARTESIAN_POINT('',(18.271309,19.1511,0.)); #519373=CARTESIAN_POINT('Origin',(18.291663,19.157806,0.)); #519374=CARTESIAN_POINT('',(18.291663,19.157806,0.)); #519375=CARTESIAN_POINT('',(18.291663,19.157806,0.)); #519376=CARTESIAN_POINT('',(18.291663,19.157806,0.035)); #519377=CARTESIAN_POINT('',(18.291663,19.157806,0.035)); #519378=CARTESIAN_POINT('',(18.291663,19.157806,0.)); #519379=CARTESIAN_POINT('Origin',(18.311197,19.169269,0.)); #519380=CARTESIAN_POINT('',(18.311197,19.169269,0.)); #519381=CARTESIAN_POINT('',(18.311197,19.169269,0.)); #519382=CARTESIAN_POINT('',(18.311197,19.169269,0.035)); #519383=CARTESIAN_POINT('',(18.311197,19.169269,0.035)); #519384=CARTESIAN_POINT('',(18.311197,19.169269,0.)); #519385=CARTESIAN_POINT('Origin',(18.323866,19.180178,0.)); #519386=CARTESIAN_POINT('',(18.323866,19.180178,0.)); #519387=CARTESIAN_POINT('',(18.323866,19.180178,0.)); #519388=CARTESIAN_POINT('',(18.323866,19.180178,0.035)); #519389=CARTESIAN_POINT('',(18.323866,19.180178,0.035)); #519390=CARTESIAN_POINT('',(18.323866,19.180178,0.)); #519391=CARTESIAN_POINT('Origin',(19.568978,20.425291,0.)); #519392=CARTESIAN_POINT('',(19.568978,20.425291,0.)); #519393=CARTESIAN_POINT('',(19.568978,20.425291,0.)); #519394=CARTESIAN_POINT('',(19.568978,20.425291,0.035)); #519395=CARTESIAN_POINT('',(19.568978,20.425291,0.035)); #519396=CARTESIAN_POINT('',(19.568978,20.425291,0.)); #519397=CARTESIAN_POINT('Origin',(19.584997,20.445131,0.)); #519398=CARTESIAN_POINT('',(19.584997,20.445131,0.)); #519399=CARTESIAN_POINT('',(19.584997,20.445131,0.)); #519400=CARTESIAN_POINT('',(19.584997,20.445131,0.035)); #519401=CARTESIAN_POINT('',(19.584997,20.445131,0.035)); #519402=CARTESIAN_POINT('',(19.584997,20.445131,0.)); #519403=CARTESIAN_POINT('Origin',(19.594647,20.464272,0.)); #519404=CARTESIAN_POINT('',(19.594647,20.464272,0.)); #519405=CARTESIAN_POINT('',(19.594647,20.464272,0.)); #519406=CARTESIAN_POINT('',(19.594647,20.464272,0.035)); #519407=CARTESIAN_POINT('',(19.594647,20.464272,0.035)); #519408=CARTESIAN_POINT('',(19.594647,20.464272,0.)); #519409=CARTESIAN_POINT('Origin',(19.600359,20.486184,0.)); #519410=CARTESIAN_POINT('',(19.600359,20.486184,0.)); #519411=CARTESIAN_POINT('',(19.600359,20.486184,0.)); #519412=CARTESIAN_POINT('',(19.600359,20.486184,0.035)); #519413=CARTESIAN_POINT('',(19.600359,20.486184,0.035)); #519414=CARTESIAN_POINT('',(19.600359,20.486184,0.)); #519415=CARTESIAN_POINT('Origin',(19.6016,20.502847,0.)); #519416=CARTESIAN_POINT('',(19.6016,20.502847,0.)); #519417=CARTESIAN_POINT('',(19.6016,20.502847,0.)); #519418=CARTESIAN_POINT('',(19.6016,20.502847,0.035)); #519419=CARTESIAN_POINT('',(19.6016,20.502847,0.035)); #519420=CARTESIAN_POINT('',(19.6016,20.502847,0.)); #519421=CARTESIAN_POINT('Origin',(19.6016,24.17635,0.)); #519422=CARTESIAN_POINT('',(19.6016,24.17635,0.)); #519423=CARTESIAN_POINT('',(19.6016,24.17635,0.)); #519424=CARTESIAN_POINT('',(19.6016,24.17635,0.035)); #519425=CARTESIAN_POINT('',(19.6016,24.17635,0.035)); #519426=CARTESIAN_POINT('',(19.6016,24.17635,0.)); #519427=CARTESIAN_POINT('Origin',(19.607169,24.204947,0.)); #519428=CARTESIAN_POINT('',(19.607169,24.204947,0.)); #519429=CARTESIAN_POINT('',(19.607169,24.204947,0.)); #519430=CARTESIAN_POINT('',(19.607169,24.204947,0.035)); #519431=CARTESIAN_POINT('',(19.607169,24.204947,0.035)); #519432=CARTESIAN_POINT('',(19.607169,24.204947,0.)); #519433=CARTESIAN_POINT('Origin',(19.623919,24.230231,0.)); #519434=CARTESIAN_POINT('',(19.623919,24.230231,0.)); #519435=CARTESIAN_POINT('',(19.623919,24.230231,0.)); #519436=CARTESIAN_POINT('',(19.623919,24.230231,0.035)); #519437=CARTESIAN_POINT('',(19.623919,24.230231,0.035)); #519438=CARTESIAN_POINT('',(19.623919,24.230231,0.)); #519439=CARTESIAN_POINT('Origin',(20.769769,25.376081,0.)); #519440=CARTESIAN_POINT('',(20.769769,25.376081,0.)); #519441=CARTESIAN_POINT('',(20.769769,25.376081,0.)); #519442=CARTESIAN_POINT('',(20.769769,25.376081,0.035)); #519443=CARTESIAN_POINT('',(20.769769,25.376081,0.035)); #519444=CARTESIAN_POINT('',(20.769769,25.376081,0.)); #519445=CARTESIAN_POINT('Origin',(20.793928,25.392366,0.)); #519446=CARTESIAN_POINT('',(20.793928,25.392366,0.)); #519447=CARTESIAN_POINT('',(20.793928,25.392366,0.)); #519448=CARTESIAN_POINT('',(20.793928,25.392366,0.035)); #519449=CARTESIAN_POINT('',(20.793928,25.392366,0.035)); #519450=CARTESIAN_POINT('',(20.793928,25.392366,0.)); #519451=CARTESIAN_POINT('Origin',(20.82365,25.3984,0.)); #519452=CARTESIAN_POINT('',(20.82365,25.3984,0.)); #519453=CARTESIAN_POINT('',(20.82365,25.3984,0.)); #519454=CARTESIAN_POINT('',(20.82365,25.3984,0.035)); #519455=CARTESIAN_POINT('',(20.82365,25.3984,0.035)); #519456=CARTESIAN_POINT('',(20.82365,25.3984,0.)); #519457=CARTESIAN_POINT('Origin',(22.746747,25.3984,0.)); #519458=CARTESIAN_POINT('',(22.746747,25.3984,0.)); #519459=CARTESIAN_POINT('',(22.746747,25.3984,0.)); #519460=CARTESIAN_POINT('',(22.746747,25.3984,0.035)); #519461=CARTESIAN_POINT('',(22.746747,25.3984,0.035)); #519462=CARTESIAN_POINT('',(22.746747,25.3984,0.)); #519463=CARTESIAN_POINT('Origin',(22.775344,25.392831,0.)); #519464=CARTESIAN_POINT('',(22.775344,25.392831,0.)); #519465=CARTESIAN_POINT('',(22.775344,25.392831,0.)); #519466=CARTESIAN_POINT('',(22.775344,25.392831,0.035)); #519467=CARTESIAN_POINT('',(22.775344,25.392831,0.035)); #519468=CARTESIAN_POINT('',(22.775344,25.392831,0.)); #519469=CARTESIAN_POINT('Origin',(22.800628,25.376081,0.)); #519470=CARTESIAN_POINT('',(22.800628,25.376081,0.)); #519471=CARTESIAN_POINT('',(22.800628,25.376081,0.)); #519472=CARTESIAN_POINT('',(22.800628,25.376081,0.035)); #519473=CARTESIAN_POINT('',(22.800628,25.376081,0.035)); #519474=CARTESIAN_POINT('',(22.800628,25.376081,0.)); #519475=CARTESIAN_POINT('Origin',(23.155309,25.0214,0.)); #519476=CARTESIAN_POINT('',(23.155309,25.0214,0.)); #519477=CARTESIAN_POINT('',(23.155309,25.0214,0.)); #519478=CARTESIAN_POINT('',(23.155309,25.0214,0.035)); #519479=CARTESIAN_POINT('',(23.155309,25.0214,0.035)); #519480=CARTESIAN_POINT('',(23.155309,25.0214,0.)); #519481=CARTESIAN_POINT('Origin',(27.373747,25.0214,0.)); #519482=CARTESIAN_POINT('',(27.373747,25.0214,0.)); #519483=CARTESIAN_POINT('',(27.373747,25.0214,0.)); #519484=CARTESIAN_POINT('',(27.373747,25.0214,0.035)); #519485=CARTESIAN_POINT('',(27.373747,25.0214,0.035)); #519486=CARTESIAN_POINT('',(27.373747,25.0214,0.)); #519487=CARTESIAN_POINT('Origin',(27.402344,25.015831,0.)); #519488=CARTESIAN_POINT('',(27.402344,25.015831,0.)); #519489=CARTESIAN_POINT('',(27.402344,25.015831,0.)); #519490=CARTESIAN_POINT('',(27.402344,25.015831,0.035)); #519491=CARTESIAN_POINT('',(27.402344,25.015831,0.035)); #519492=CARTESIAN_POINT('',(27.402344,25.015831,0.)); #519493=CARTESIAN_POINT('Origin',(27.427628,24.999081,0.)); #519494=CARTESIAN_POINT('',(27.427628,24.999081,0.)); #519495=CARTESIAN_POINT('',(27.427628,24.999081,0.)); #519496=CARTESIAN_POINT('',(27.427628,24.999081,0.035)); #519497=CARTESIAN_POINT('',(27.427628,24.999081,0.035)); #519498=CARTESIAN_POINT('',(27.427628,24.999081,0.)); #519499=CARTESIAN_POINT('Origin',(27.494228,24.932481,0.)); #519500=CARTESIAN_POINT('',(27.494228,24.932481,0.)); #519501=CARTESIAN_POINT('',(27.494228,24.932481,0.)); #519502=CARTESIAN_POINT('',(27.494228,24.932481,0.035)); #519503=CARTESIAN_POINT('',(27.494228,24.932481,0.035)); #519504=CARTESIAN_POINT('',(27.494228,24.932481,0.)); #519505=CARTESIAN_POINT('Origin',(27.509528,24.910544,0.)); #519506=CARTESIAN_POINT('',(27.509528,24.910544,0.)); #519507=CARTESIAN_POINT('',(27.509528,24.910544,0.)); #519508=CARTESIAN_POINT('',(27.509528,24.910544,0.035)); #519509=CARTESIAN_POINT('',(27.509528,24.910544,0.035)); #519510=CARTESIAN_POINT('',(27.509528,24.910544,0.)); #519511=CARTESIAN_POINT('Origin',(27.516509,24.881028,0.)); #519512=CARTESIAN_POINT('',(27.516509,24.881028,0.)); #519513=CARTESIAN_POINT('',(27.516509,24.881028,0.)); #519514=CARTESIAN_POINT('',(27.516509,24.881028,0.035)); #519515=CARTESIAN_POINT('',(27.516509,24.881028,0.035)); #519516=CARTESIAN_POINT('',(27.516509,24.881028,0.)); #519517=CARTESIAN_POINT('Origin',(27.511425,24.851131,0.)); #519518=CARTESIAN_POINT('',(27.511425,24.851131,0.)); #519519=CARTESIAN_POINT('',(27.511425,24.851131,0.)); #519520=CARTESIAN_POINT('',(27.511425,24.851131,0.035)); #519521=CARTESIAN_POINT('',(27.511425,24.851131,0.035)); #519522=CARTESIAN_POINT('',(27.511425,24.851131,0.)); #519523=CARTESIAN_POINT('Origin',(27.495081,24.825584,0.)); #519524=CARTESIAN_POINT('',(27.495081,24.825584,0.)); #519525=CARTESIAN_POINT('',(27.495081,24.825584,0.)); #519526=CARTESIAN_POINT('',(27.495081,24.825584,0.035)); #519527=CARTESIAN_POINT('',(27.495081,24.825584,0.035)); #519528=CARTESIAN_POINT('',(27.495081,24.825584,0.)); #519529=CARTESIAN_POINT('Origin',(27.470069,24.808434,0.)); #519530=CARTESIAN_POINT('',(27.470069,24.808434,0.)); #519531=CARTESIAN_POINT('',(27.470069,24.808434,0.)); #519532=CARTESIAN_POINT('',(27.470069,24.808434,0.035)); #519533=CARTESIAN_POINT('',(27.470069,24.808434,0.035)); #519534=CARTESIAN_POINT('',(27.470069,24.808434,0.)); #519535=CARTESIAN_POINT('Origin',(27.440347,24.8024,0.)); #519536=CARTESIAN_POINT('',(27.440347,24.8024,0.)); #519537=CARTESIAN_POINT('',(27.440347,24.8024,0.)); #519538=CARTESIAN_POINT('',(27.440347,24.8024,0.035)); #519539=CARTESIAN_POINT('',(27.440347,24.8024,0.035)); #519540=CARTESIAN_POINT('',(27.440347,24.8024,0.)); #519541=CARTESIAN_POINT('Origin',(27.072966,24.8024,0.)); #519542=CARTESIAN_POINT('',(27.072966,24.8024,0.)); #519543=CARTESIAN_POINT('',(27.072966,24.8024,0.)); #519544=CARTESIAN_POINT('',(27.072966,24.8024,0.035)); #519545=CARTESIAN_POINT('',(27.072966,24.8024,0.035)); #519546=CARTESIAN_POINT('',(27.072966,24.8024,0.)); #519547=CARTESIAN_POINT('Origin',(26.8226,24.552034,0.)); #519548=CARTESIAN_POINT('',(26.8226,24.552034,0.)); #519549=CARTESIAN_POINT('',(26.8226,24.552034,0.)); #519550=CARTESIAN_POINT('',(26.8226,24.552034,0.035)); #519551=CARTESIAN_POINT('',(26.8226,24.552034,0.035)); #519552=CARTESIAN_POINT('',(26.8226,24.552034,0.)); #519553=CARTESIAN_POINT('Origin',(26.8226,24.197966,0.)); #519554=CARTESIAN_POINT('',(26.8226,24.197966,0.)); #519555=CARTESIAN_POINT('',(26.8226,24.197966,0.)); #519556=CARTESIAN_POINT('',(26.8226,24.197966,0.035)); #519557=CARTESIAN_POINT('',(26.8226,24.197966,0.035)); #519558=CARTESIAN_POINT('',(26.8226,24.197966,0.)); #519559=CARTESIAN_POINT('Origin',(26.999081,24.021484,0.)); #519560=CARTESIAN_POINT('',(26.999081,24.021484,0.)); #519561=CARTESIAN_POINT('',(26.999081,24.021484,0.)); #519562=CARTESIAN_POINT('',(26.999081,24.021484,0.035)); #519563=CARTESIAN_POINT('',(26.999081,24.021484,0.035)); #519564=CARTESIAN_POINT('',(26.999081,24.021484,0.)); #519565=CARTESIAN_POINT('Origin',(27.015366,23.997325,0.)); #519566=CARTESIAN_POINT('',(27.015366,23.997325,0.)); #519567=CARTESIAN_POINT('',(27.015366,23.997325,0.)); #519568=CARTESIAN_POINT('',(27.015366,23.997325,0.035)); #519569=CARTESIAN_POINT('',(27.015366,23.997325,0.035)); #519570=CARTESIAN_POINT('',(27.015366,23.997325,0.)); #519571=CARTESIAN_POINT('Origin',(27.0214,23.967603,0.)); #519572=CARTESIAN_POINT('',(27.0214,23.967603,0.)); #519573=CARTESIAN_POINT('',(27.0214,23.967603,0.)); #519574=CARTESIAN_POINT('',(27.0214,23.967603,0.035)); #519575=CARTESIAN_POINT('',(27.0214,23.967603,0.035)); #519576=CARTESIAN_POINT('',(27.0214,23.967603,0.)); #519577=CARTESIAN_POINT('Origin',(27.0214,20.222453,0.)); #519578=CARTESIAN_POINT('',(27.0214,20.222453,0.)); #519579=CARTESIAN_POINT('',(27.0214,20.222453,0.)); #519580=CARTESIAN_POINT('',(27.0214,20.222453,0.035)); #519581=CARTESIAN_POINT('',(27.0214,20.222453,0.035)); #519582=CARTESIAN_POINT('',(27.0214,20.222453,0.)); #519583=CARTESIAN_POINT('Origin',(27.016706,20.196122,0.)); #519584=CARTESIAN_POINT('',(27.016706,20.196122,0.)); #519585=CARTESIAN_POINT('',(27.016706,20.196122,0.)); #519586=CARTESIAN_POINT('',(27.016706,20.196122,0.035)); #519587=CARTESIAN_POINT('',(27.016706,20.196122,0.035)); #519588=CARTESIAN_POINT('',(27.016706,20.196122,0.)); #519589=CARTESIAN_POINT('Origin',(27.000772,20.170316,0.)); #519590=CARTESIAN_POINT('',(27.000772,20.170316,0.)); #519591=CARTESIAN_POINT('',(27.000772,20.170316,0.)); #519592=CARTESIAN_POINT('',(27.000772,20.170316,0.035)); #519593=CARTESIAN_POINT('',(27.000772,20.170316,0.035)); #519594=CARTESIAN_POINT('',(27.000772,20.170316,0.)); #519595=CARTESIAN_POINT('Origin',(26.976034,20.152772,0.)); #519596=CARTESIAN_POINT('',(26.976034,20.152772,0.)); #519597=CARTESIAN_POINT('',(26.976034,20.152772,0.)); #519598=CARTESIAN_POINT('',(26.976034,20.152772,0.035)); #519599=CARTESIAN_POINT('',(26.976034,20.152772,0.035)); #519600=CARTESIAN_POINT('',(26.976034,20.152772,0.)); #519601=CARTESIAN_POINT('Origin',(26.946416,20.146263,0.)); #519602=CARTESIAN_POINT('',(26.946416,20.146263,0.)); #519603=CARTESIAN_POINT('',(26.946416,20.146263,0.)); #519604=CARTESIAN_POINT('',(26.946416,20.146263,0.035)); #519605=CARTESIAN_POINT('',(26.946416,20.146263,0.035)); #519606=CARTESIAN_POINT('',(26.946416,20.146263,0.)); #519607=CARTESIAN_POINT('Origin',(26.916603,20.151822,0.)); #519608=CARTESIAN_POINT('',(26.916603,20.151822,0.)); #519609=CARTESIAN_POINT('',(26.916603,20.151822,0.)); #519610=CARTESIAN_POINT('',(26.916603,20.151822,0.035)); #519611=CARTESIAN_POINT('',(26.916603,20.151822,0.035)); #519612=CARTESIAN_POINT('',(26.916603,20.151822,0.)); #519613=CARTESIAN_POINT('Origin',(26.891319,20.168572,0.)); #519614=CARTESIAN_POINT('',(26.891319,20.168572,0.)); #519615=CARTESIAN_POINT('',(26.891319,20.168572,0.)); #519616=CARTESIAN_POINT('',(26.891319,20.168572,0.035)); #519617=CARTESIAN_POINT('',(26.891319,20.168572,0.035)); #519618=CARTESIAN_POINT('',(26.891319,20.168572,0.)); #519619=CARTESIAN_POINT('Origin',(26.355819,20.704072,0.)); #519620=CARTESIAN_POINT('',(26.355819,20.704072,0.)); #519621=CARTESIAN_POINT('',(26.355819,20.704072,0.)); #519622=CARTESIAN_POINT('',(26.355819,20.704072,0.035)); #519623=CARTESIAN_POINT('',(26.355819,20.704072,0.035)); #519624=CARTESIAN_POINT('',(26.355819,20.704072,0.)); #519625=CARTESIAN_POINT('Origin',(26.339534,20.728231,0.)); #519626=CARTESIAN_POINT('',(26.339534,20.728231,0.)); #519627=CARTESIAN_POINT('',(26.339534,20.728231,0.)); #519628=CARTESIAN_POINT('',(26.339534,20.728231,0.035)); #519629=CARTESIAN_POINT('',(26.339534,20.728231,0.035)); #519630=CARTESIAN_POINT('',(26.339534,20.728231,0.)); #519631=CARTESIAN_POINT('Origin',(26.3335,20.757953,0.)); #519632=CARTESIAN_POINT('',(26.3335,20.757953,0.)); #519633=CARTESIAN_POINT('',(26.3335,20.757953,0.)); #519634=CARTESIAN_POINT('',(26.3335,20.757953,0.035)); #519635=CARTESIAN_POINT('',(26.3335,20.757953,0.035)); #519636=CARTESIAN_POINT('',(26.3335,20.757953,0.)); #519637=CARTESIAN_POINT('Origin',(26.3335,21.507628,0.)); #519638=CARTESIAN_POINT('',(26.3335,21.507628,0.)); #519639=CARTESIAN_POINT('',(26.3335,21.507628,0.)); #519640=CARTESIAN_POINT('',(26.3335,21.507628,0.035)); #519641=CARTESIAN_POINT('',(26.3335,21.507628,0.035)); #519642=CARTESIAN_POINT('',(26.3335,21.507628,0.)); #519643=CARTESIAN_POINT('Origin',(26.244228,21.5969,0.)); #519644=CARTESIAN_POINT('',(26.244228,21.5969,0.)); #519645=CARTESIAN_POINT('',(26.244228,21.5969,0.)); #519646=CARTESIAN_POINT('',(26.244228,21.5969,0.035)); #519647=CARTESIAN_POINT('',(26.244228,21.5969,0.035)); #519648=CARTESIAN_POINT('',(26.244228,21.5969,0.)); #519649=CARTESIAN_POINT('Origin',(25.279772,21.5969,0.)); #519650=CARTESIAN_POINT('',(25.279772,21.5969,0.)); #519651=CARTESIAN_POINT('',(25.279772,21.5969,0.)); #519652=CARTESIAN_POINT('',(25.279772,21.5969,0.035)); #519653=CARTESIAN_POINT('',(25.279772,21.5969,0.035)); #519654=CARTESIAN_POINT('',(25.279772,21.5969,0.)); #519655=CARTESIAN_POINT('Origin',(25.1905,21.507628,0.)); #519656=CARTESIAN_POINT('',(25.1905,21.507628,0.)); #519657=CARTESIAN_POINT('',(25.1905,21.507628,0.)); #519658=CARTESIAN_POINT('',(25.1905,21.507628,0.035)); #519659=CARTESIAN_POINT('',(25.1905,21.507628,0.035)); #519660=CARTESIAN_POINT('',(25.1905,21.507628,0.)); #519661=CARTESIAN_POINT('Origin',(25.1905,20.5548,0.)); #519662=CARTESIAN_POINT('',(25.1905,20.5548,0.)); #519663=CARTESIAN_POINT('',(25.1905,20.5548,0.)); #519664=CARTESIAN_POINT('',(25.1905,20.5548,0.035)); #519665=CARTESIAN_POINT('',(25.1905,20.5548,0.035)); #519666=CARTESIAN_POINT('',(25.1905,20.5548,0.)); #519667=CARTESIAN_POINT('Origin',(25.185378,20.527331,0.)); #519668=CARTESIAN_POINT('',(25.185378,20.527331,0.)); #519669=CARTESIAN_POINT('',(25.185378,20.527331,0.)); #519670=CARTESIAN_POINT('',(25.185378,20.527331,0.035)); #519671=CARTESIAN_POINT('',(25.185378,20.527331,0.035)); #519672=CARTESIAN_POINT('',(25.185378,20.527331,0.)); #519673=CARTESIAN_POINT('Origin',(25.169034,20.501784,0.)); #519674=CARTESIAN_POINT('',(25.169034,20.501784,0.)); #519675=CARTESIAN_POINT('',(25.169034,20.501784,0.)); #519676=CARTESIAN_POINT('',(25.169034,20.501784,0.035)); #519677=CARTESIAN_POINT('',(25.169034,20.501784,0.035)); #519678=CARTESIAN_POINT('',(25.169034,20.501784,0.)); #519679=CARTESIAN_POINT('Origin',(25.144022,20.484634,0.)); #519680=CARTESIAN_POINT('',(25.144022,20.484634,0.)); #519681=CARTESIAN_POINT('',(25.144022,20.484634,0.)); #519682=CARTESIAN_POINT('',(25.144022,20.484634,0.035)); #519683=CARTESIAN_POINT('',(25.144022,20.484634,0.035)); #519684=CARTESIAN_POINT('',(25.144022,20.484634,0.)); #519685=CARTESIAN_POINT('Origin',(25.1143,20.4786,0.)); #519686=CARTESIAN_POINT('',(25.1143,20.4786,0.)); #519687=CARTESIAN_POINT('',(25.1143,20.4786,0.)); #519688=CARTESIAN_POINT('',(25.1143,20.4786,0.035)); #519689=CARTESIAN_POINT('',(25.1143,20.4786,0.035)); #519690=CARTESIAN_POINT('',(25.1143,20.4786,0.)); #519691=CARTESIAN_POINT('Origin',(22.638253,20.4786,0.)); #519692=CARTESIAN_POINT('',(22.638253,20.4786,0.)); #519693=CARTESIAN_POINT('',(22.638253,20.4786,0.)); #519694=CARTESIAN_POINT('',(22.638253,20.4786,0.035)); #519695=CARTESIAN_POINT('',(22.638253,20.4786,0.035)); #519696=CARTESIAN_POINT('',(22.638253,20.4786,0.)); #519697=CARTESIAN_POINT('Origin',(22.609656,20.484169,0.)); #519698=CARTESIAN_POINT('',(22.609656,20.484169,0.)); #519699=CARTESIAN_POINT('',(22.609656,20.484169,0.)); #519700=CARTESIAN_POINT('',(22.609656,20.484169,0.035)); #519701=CARTESIAN_POINT('',(22.609656,20.484169,0.035)); #519702=CARTESIAN_POINT('',(22.609656,20.484169,0.)); #519703=CARTESIAN_POINT('Origin',(22.584372,20.500919,0.)); #519704=CARTESIAN_POINT('',(22.584372,20.500919,0.)); #519705=CARTESIAN_POINT('',(22.584372,20.500919,0.)); #519706=CARTESIAN_POINT('',(22.584372,20.500919,0.035)); #519707=CARTESIAN_POINT('',(22.584372,20.500919,0.035)); #519708=CARTESIAN_POINT('',(22.584372,20.500919,0.)); #519709=CARTESIAN_POINT('Origin',(22.355819,20.729472,0.)); #519710=CARTESIAN_POINT('',(22.355819,20.729472,0.)); #519711=CARTESIAN_POINT('',(22.355819,20.729472,0.)); #519712=CARTESIAN_POINT('',(22.355819,20.729472,0.035)); #519713=CARTESIAN_POINT('',(22.355819,20.729472,0.035)); #519714=CARTESIAN_POINT('',(22.355819,20.729472,0.)); #519715=CARTESIAN_POINT('Origin',(22.339534,20.753631,0.)); #519716=CARTESIAN_POINT('',(22.339534,20.753631,0.)); #519717=CARTESIAN_POINT('',(22.339534,20.753631,0.)); #519718=CARTESIAN_POINT('',(22.339534,20.753631,0.035)); #519719=CARTESIAN_POINT('',(22.339534,20.753631,0.035)); #519720=CARTESIAN_POINT('',(22.339534,20.753631,0.)); #519721=CARTESIAN_POINT('Origin',(22.3335,20.783353,0.)); #519722=CARTESIAN_POINT('',(22.3335,20.783353,0.)); #519723=CARTESIAN_POINT('',(22.3335,20.783353,0.)); #519724=CARTESIAN_POINT('',(22.3335,20.783353,0.035)); #519725=CARTESIAN_POINT('',(22.3335,20.783353,0.035)); #519726=CARTESIAN_POINT('',(22.3335,20.783353,0.)); #519727=CARTESIAN_POINT('Origin',(22.3335,21.507628,0.)); #519728=CARTESIAN_POINT('',(22.3335,21.507628,0.)); #519729=CARTESIAN_POINT('',(22.3335,21.507628,0.)); #519730=CARTESIAN_POINT('',(22.3335,21.507628,0.035)); #519731=CARTESIAN_POINT('',(22.3335,21.507628,0.035)); #519732=CARTESIAN_POINT('',(22.3335,21.507628,0.)); #519733=CARTESIAN_POINT('Origin',(22.244228,21.5969,0.)); #519734=CARTESIAN_POINT('',(22.244228,21.5969,0.)); #519735=CARTESIAN_POINT('',(22.244228,21.5969,0.)); #519736=CARTESIAN_POINT('',(22.244228,21.5969,0.035)); #519737=CARTESIAN_POINT('',(22.244228,21.5969,0.035)); #519738=CARTESIAN_POINT('',(22.244228,21.5969,0.)); #519739=CARTESIAN_POINT('Origin',(21.279772,21.5969,0.)); #519740=CARTESIAN_POINT('',(21.279772,21.5969,0.)); #519741=CARTESIAN_POINT('',(21.279772,21.5969,0.)); #519742=CARTESIAN_POINT('',(21.279772,21.5969,0.035)); #519743=CARTESIAN_POINT('',(21.279772,21.5969,0.035)); #519744=CARTESIAN_POINT('',(21.279772,21.5969,0.)); #519745=CARTESIAN_POINT('Origin',(21.1905,21.507628,0.)); #519746=CARTESIAN_POINT('',(21.1905,21.507628,0.)); #519747=CARTESIAN_POINT('',(21.1905,21.507628,0.)); #519748=CARTESIAN_POINT('',(21.1905,21.507628,0.035)); #519749=CARTESIAN_POINT('',(21.1905,21.507628,0.035)); #519750=CARTESIAN_POINT('',(21.1905,21.507628,0.)); #519751=CARTESIAN_POINT('Origin',(21.1905,20.492372,0.)); #519752=CARTESIAN_POINT('',(21.1905,20.492372,0.)); #519753=CARTESIAN_POINT('',(21.1905,20.492372,0.)); #519754=CARTESIAN_POINT('',(21.1905,20.492372,0.035)); #519755=CARTESIAN_POINT('',(21.1905,20.492372,0.035)); #519756=CARTESIAN_POINT('',(21.1905,20.492372,0.)); #519757=CARTESIAN_POINT('Origin',(21.279772,20.4031,0.)); #519758=CARTESIAN_POINT('',(21.279772,20.4031,0.)); #519759=CARTESIAN_POINT('',(21.279772,20.4031,0.)); #519760=CARTESIAN_POINT('',(21.279772,20.4031,0.035)); #519761=CARTESIAN_POINT('',(21.279772,20.4031,0.035)); #519762=CARTESIAN_POINT('',(21.279772,20.4031,0.)); #519763=CARTESIAN_POINT('Origin',(22.004047,20.4031,0.)); #519764=CARTESIAN_POINT('',(22.004047,20.4031,0.)); #519765=CARTESIAN_POINT('',(22.004047,20.4031,0.)); #519766=CARTESIAN_POINT('',(22.004047,20.4031,0.035)); #519767=CARTESIAN_POINT('',(22.004047,20.4031,0.035)); #519768=CARTESIAN_POINT('',(22.004047,20.4031,0.)); #519769=CARTESIAN_POINT('Origin',(22.032644,20.397531,0.)); #519770=CARTESIAN_POINT('',(22.032644,20.397531,0.)); #519771=CARTESIAN_POINT('',(22.032644,20.397531,0.)); #519772=CARTESIAN_POINT('',(22.032644,20.397531,0.035)); #519773=CARTESIAN_POINT('',(22.032644,20.397531,0.035)); #519774=CARTESIAN_POINT('',(22.032644,20.397531,0.)); #519775=CARTESIAN_POINT('Origin',(22.057928,20.380781,0.)); #519776=CARTESIAN_POINT('',(22.057928,20.380781,0.)); #519777=CARTESIAN_POINT('',(22.057928,20.380781,0.)); #519778=CARTESIAN_POINT('',(22.057928,20.380781,0.035)); #519779=CARTESIAN_POINT('',(22.057928,20.380781,0.035)); #519780=CARTESIAN_POINT('',(22.057928,20.380781,0.)); #519781=CARTESIAN_POINT('Origin',(22.417309,20.0214,0.)); #519782=CARTESIAN_POINT('',(22.417309,20.0214,0.)); #519783=CARTESIAN_POINT('',(22.417309,20.0214,0.)); #519784=CARTESIAN_POINT('',(22.417309,20.0214,0.035)); #519785=CARTESIAN_POINT('',(22.417309,20.0214,0.035)); #519786=CARTESIAN_POINT('',(22.417309,20.0214,0.)); #519787=CARTESIAN_POINT('Origin',(25.748747,20.0214,0.)); #519788=CARTESIAN_POINT('',(25.748747,20.0214,0.)); #519789=CARTESIAN_POINT('',(25.748747,20.0214,0.)); #519790=CARTESIAN_POINT('',(25.748747,20.0214,0.035)); #519791=CARTESIAN_POINT('',(25.748747,20.0214,0.035)); #519792=CARTESIAN_POINT('',(25.748747,20.0214,0.)); #519793=CARTESIAN_POINT('Origin',(25.777344,20.015831,0.)); #519794=CARTESIAN_POINT('',(25.777344,20.015831,0.)); #519795=CARTESIAN_POINT('',(25.777344,20.015831,0.)); #519796=CARTESIAN_POINT('',(25.777344,20.015831,0.035)); #519797=CARTESIAN_POINT('',(25.777344,20.015831,0.035)); #519798=CARTESIAN_POINT('',(25.777344,20.015831,0.)); #519799=CARTESIAN_POINT('Origin',(25.802628,19.999081,0.)); #519800=CARTESIAN_POINT('',(25.802628,19.999081,0.)); #519801=CARTESIAN_POINT('',(25.802628,19.999081,0.)); #519802=CARTESIAN_POINT('',(25.802628,19.999081,0.035)); #519803=CARTESIAN_POINT('',(25.802628,19.999081,0.035)); #519804=CARTESIAN_POINT('',(25.802628,19.999081,0.)); #519805=CARTESIAN_POINT('Origin',(26.249081,19.552628,0.)); #519806=CARTESIAN_POINT('',(26.249081,19.552628,0.)); #519807=CARTESIAN_POINT('',(26.249081,19.552628,0.)); #519808=CARTESIAN_POINT('',(26.249081,19.552628,0.035)); #519809=CARTESIAN_POINT('',(26.249081,19.552628,0.035)); #519810=CARTESIAN_POINT('',(26.249081,19.552628,0.)); #519811=CARTESIAN_POINT('Origin',(26.265366,19.528469,0.)); #519812=CARTESIAN_POINT('',(26.265366,19.528469,0.)); #519813=CARTESIAN_POINT('',(26.265366,19.528469,0.)); #519814=CARTESIAN_POINT('',(26.265366,19.528469,0.035)); #519815=CARTESIAN_POINT('',(26.265366,19.528469,0.035)); #519816=CARTESIAN_POINT('',(26.265366,19.528469,0.)); #519817=CARTESIAN_POINT('Origin',(26.2714,19.498747,0.)); #519818=CARTESIAN_POINT('',(26.2714,19.498747,0.)); #519819=CARTESIAN_POINT('',(26.2714,19.498747,0.)); #519820=CARTESIAN_POINT('',(26.2714,19.498747,0.035)); #519821=CARTESIAN_POINT('',(26.2714,19.498747,0.035)); #519822=CARTESIAN_POINT('',(26.2714,19.498747,0.)); #519823=CARTESIAN_POINT('Origin',(26.2714,18.6731,0.)); #519824=CARTESIAN_POINT('',(26.2714,18.6731,0.)); #519825=CARTESIAN_POINT('',(26.2714,18.6731,0.)); #519826=CARTESIAN_POINT('',(26.2714,18.6731,0.035)); #519827=CARTESIAN_POINT('',(26.2714,18.6731,0.035)); #519828=CARTESIAN_POINT('',(26.2714,18.6731,0.)); #519829=CARTESIAN_POINT('Origin',(26.266278,18.645631,0.)); #519830=CARTESIAN_POINT('',(26.266278,18.645631,0.)); #519831=CARTESIAN_POINT('',(26.266278,18.645631,0.)); #519832=CARTESIAN_POINT('',(26.266278,18.645631,0.035)); #519833=CARTESIAN_POINT('',(26.266278,18.645631,0.035)); #519834=CARTESIAN_POINT('',(26.266278,18.645631,0.)); #519835=CARTESIAN_POINT('Origin',(26.249934,18.620084,0.)); #519836=CARTESIAN_POINT('',(26.249934,18.620084,0.)); #519837=CARTESIAN_POINT('',(26.249934,18.620084,0.)); #519838=CARTESIAN_POINT('',(26.249934,18.620084,0.035)); #519839=CARTESIAN_POINT('',(26.249934,18.620084,0.035)); #519840=CARTESIAN_POINT('',(26.249934,18.620084,0.)); #519841=CARTESIAN_POINT('Origin',(26.224922,18.602934,0.)); #519842=CARTESIAN_POINT('',(26.224922,18.602934,0.)); #519843=CARTESIAN_POINT('',(26.224922,18.602934,0.)); #519844=CARTESIAN_POINT('',(26.224922,18.602934,0.035)); #519845=CARTESIAN_POINT('',(26.224922,18.602934,0.035)); #519846=CARTESIAN_POINT('',(26.224922,18.602934,0.)); #519847=CARTESIAN_POINT('Origin',(26.1952,18.5969,0.)); #519848=CARTESIAN_POINT('',(26.1952,18.5969,0.)); #519849=CARTESIAN_POINT('',(26.1952,18.5969,0.)); #519850=CARTESIAN_POINT('',(26.1952,18.5969,0.035)); #519851=CARTESIAN_POINT('',(26.1952,18.5969,0.035)); #519852=CARTESIAN_POINT('',(26.1952,18.5969,0.)); #519853=CARTESIAN_POINT('Origin',(25.279772,18.5969,0.)); #519854=CARTESIAN_POINT('',(25.279772,18.5969,0.)); #519855=CARTESIAN_POINT('',(25.279772,18.5969,0.)); #519856=CARTESIAN_POINT('',(25.279772,18.5969,0.035)); #519857=CARTESIAN_POINT('',(25.279772,18.5969,0.035)); #519858=CARTESIAN_POINT('',(25.279772,18.5969,0.)); #519859=CARTESIAN_POINT('Origin',(25.1905,18.507628,0.)); #519860=CARTESIAN_POINT('',(25.1905,18.507628,0.)); #519861=CARTESIAN_POINT('',(25.1905,18.507628,0.)); #519862=CARTESIAN_POINT('',(25.1905,18.507628,0.035)); #519863=CARTESIAN_POINT('',(25.1905,18.507628,0.035)); #519864=CARTESIAN_POINT('',(25.1905,18.507628,0.)); #519865=CARTESIAN_POINT('Origin',(25.1905,17.5548,0.)); #519866=CARTESIAN_POINT('',(25.1905,17.5548,0.)); #519867=CARTESIAN_POINT('',(25.1905,17.5548,0.)); #519868=CARTESIAN_POINT('',(25.1905,17.5548,0.035)); #519869=CARTESIAN_POINT('',(25.1905,17.5548,0.035)); #519870=CARTESIAN_POINT('',(25.1905,17.5548,0.)); #519871=CARTESIAN_POINT('Origin',(25.185378,17.527331,0.)); #519872=CARTESIAN_POINT('',(25.185378,17.527331,0.)); #519873=CARTESIAN_POINT('',(25.185378,17.527331,0.)); #519874=CARTESIAN_POINT('',(25.185378,17.527331,0.035)); #519875=CARTESIAN_POINT('',(25.185378,17.527331,0.035)); #519876=CARTESIAN_POINT('',(25.185378,17.527331,0.)); #519877=CARTESIAN_POINT('Origin',(25.169034,17.501784,0.)); #519878=CARTESIAN_POINT('',(25.169034,17.501784,0.)); #519879=CARTESIAN_POINT('',(25.169034,17.501784,0.)); #519880=CARTESIAN_POINT('',(25.169034,17.501784,0.035)); #519881=CARTESIAN_POINT('',(25.169034,17.501784,0.035)); #519882=CARTESIAN_POINT('',(25.169034,17.501784,0.)); #519883=CARTESIAN_POINT('Origin',(25.144022,17.484634,0.)); #519884=CARTESIAN_POINT('',(25.144022,17.484634,0.)); #519885=CARTESIAN_POINT('',(25.144022,17.484634,0.)); #519886=CARTESIAN_POINT('',(25.144022,17.484634,0.035)); #519887=CARTESIAN_POINT('',(25.144022,17.484634,0.035)); #519888=CARTESIAN_POINT('',(25.144022,17.484634,0.)); #519889=CARTESIAN_POINT('Origin',(25.1143,17.4786,0.)); #519890=CARTESIAN_POINT('',(25.1143,17.4786,0.)); #519891=CARTESIAN_POINT('',(25.1143,17.4786,0.)); #519892=CARTESIAN_POINT('',(25.1143,17.4786,0.035)); #519893=CARTESIAN_POINT('',(25.1143,17.4786,0.035)); #519894=CARTESIAN_POINT('',(25.1143,17.4786,0.)); #519895=CARTESIAN_POINT('Origin',(22.638253,17.4786,0.)); #519896=CARTESIAN_POINT('',(22.638253,17.4786,0.)); #519897=CARTESIAN_POINT('',(22.638253,17.4786,0.)); #519898=CARTESIAN_POINT('',(22.638253,17.4786,0.035)); #519899=CARTESIAN_POINT('',(22.638253,17.4786,0.035)); #519900=CARTESIAN_POINT('',(22.638253,17.4786,0.)); #519901=CARTESIAN_POINT('Origin',(22.609656,17.484169,0.)); #519902=CARTESIAN_POINT('',(22.609656,17.484169,0.)); #519903=CARTESIAN_POINT('',(22.609656,17.484169,0.)); #519904=CARTESIAN_POINT('',(22.609656,17.484169,0.035)); #519905=CARTESIAN_POINT('',(22.609656,17.484169,0.035)); #519906=CARTESIAN_POINT('',(22.609656,17.484169,0.)); #519907=CARTESIAN_POINT('Origin',(22.584372,17.500919,0.)); #519908=CARTESIAN_POINT('',(22.584372,17.500919,0.)); #519909=CARTESIAN_POINT('',(22.584372,17.500919,0.)); #519910=CARTESIAN_POINT('',(22.584372,17.500919,0.035)); #519911=CARTESIAN_POINT('',(22.584372,17.500919,0.035)); #519912=CARTESIAN_POINT('',(22.584372,17.500919,0.)); #519913=CARTESIAN_POINT('Origin',(22.355819,17.729472,0.)); #519914=CARTESIAN_POINT('',(22.355819,17.729472,0.)); #519915=CARTESIAN_POINT('',(22.355819,17.729472,0.)); #519916=CARTESIAN_POINT('',(22.355819,17.729472,0.035)); #519917=CARTESIAN_POINT('',(22.355819,17.729472,0.035)); #519918=CARTESIAN_POINT('',(22.355819,17.729472,0.)); #519919=CARTESIAN_POINT('Origin',(22.339534,17.753631,0.)); #519920=CARTESIAN_POINT('',(22.339534,17.753631,0.)); #519921=CARTESIAN_POINT('',(22.339534,17.753631,0.)); #519922=CARTESIAN_POINT('',(22.339534,17.753631,0.035)); #519923=CARTESIAN_POINT('',(22.339534,17.753631,0.035)); #519924=CARTESIAN_POINT('',(22.339534,17.753631,0.)); #519925=CARTESIAN_POINT('Origin',(22.3335,17.783353,0.)); #519926=CARTESIAN_POINT('',(22.3335,17.783353,0.)); #519927=CARTESIAN_POINT('',(22.3335,17.783353,0.)); #519928=CARTESIAN_POINT('',(22.3335,17.783353,0.035)); #519929=CARTESIAN_POINT('',(22.3335,17.783353,0.035)); #519930=CARTESIAN_POINT('',(22.3335,17.783353,0.)); #519931=CARTESIAN_POINT('Origin',(22.3335,18.507628,0.)); #519932=CARTESIAN_POINT('',(22.3335,18.507628,0.)); #519933=CARTESIAN_POINT('',(22.3335,18.507628,0.)); #519934=CARTESIAN_POINT('',(22.3335,18.507628,0.035)); #519935=CARTESIAN_POINT('',(22.3335,18.507628,0.035)); #519936=CARTESIAN_POINT('',(22.3335,18.507628,0.)); #519937=CARTESIAN_POINT('Origin',(22.244228,18.5969,0.)); #519938=CARTESIAN_POINT('',(22.244228,18.5969,0.)); #519939=CARTESIAN_POINT('',(22.244228,18.5969,0.)); #519940=CARTESIAN_POINT('',(22.244228,18.5969,0.035)); #519941=CARTESIAN_POINT('',(22.244228,18.5969,0.035)); #519942=CARTESIAN_POINT('',(22.244228,18.5969,0.)); #519943=CARTESIAN_POINT('Origin',(21.279772,18.5969,0.)); #519944=CARTESIAN_POINT('',(21.279772,18.5969,0.)); #519945=CARTESIAN_POINT('',(21.279772,18.5969,0.)); #519946=CARTESIAN_POINT('',(21.279772,18.5969,0.035)); #519947=CARTESIAN_POINT('',(21.279772,18.5969,0.035)); #519948=CARTESIAN_POINT('',(21.279772,18.5969,0.)); #519949=CARTESIAN_POINT('Origin',(21.1905,18.507628,0.)); #519950=CARTESIAN_POINT('',(21.1905,18.507628,0.)); #519951=CARTESIAN_POINT('',(21.1905,18.507628,0.)); #519952=CARTESIAN_POINT('',(21.1905,18.507628,0.035)); #519953=CARTESIAN_POINT('',(21.1905,18.507628,0.035)); #519954=CARTESIAN_POINT('',(21.1905,18.507628,0.)); #519955=CARTESIAN_POINT('Origin',(21.1905,17.492372,0.)); #519956=CARTESIAN_POINT('',(21.1905,17.492372,0.)); #519957=CARTESIAN_POINT('',(21.1905,17.492372,0.)); #519958=CARTESIAN_POINT('',(21.1905,17.492372,0.035)); #519959=CARTESIAN_POINT('',(21.1905,17.492372,0.035)); #519960=CARTESIAN_POINT('',(21.1905,17.492372,0.)); #519961=CARTESIAN_POINT('Origin',(21.279772,17.4031,0.)); #519962=CARTESIAN_POINT('',(21.279772,17.4031,0.)); #519963=CARTESIAN_POINT('',(21.279772,17.4031,0.)); #519964=CARTESIAN_POINT('',(21.279772,17.4031,0.035)); #519965=CARTESIAN_POINT('',(21.279772,17.4031,0.035)); #519966=CARTESIAN_POINT('',(21.279772,17.4031,0.)); #519967=CARTESIAN_POINT('Origin',(22.004047,17.4031,0.)); #519968=CARTESIAN_POINT('',(22.004047,17.4031,0.)); #519969=CARTESIAN_POINT('',(22.004047,17.4031,0.)); #519970=CARTESIAN_POINT('',(22.004047,17.4031,0.035)); #519971=CARTESIAN_POINT('',(22.004047,17.4031,0.035)); #519972=CARTESIAN_POINT('',(22.004047,17.4031,0.)); #519973=CARTESIAN_POINT('Origin',(22.032644,17.397531,0.)); #519974=CARTESIAN_POINT('',(22.032644,17.397531,0.)); #519975=CARTESIAN_POINT('',(22.032644,17.397531,0.)); #519976=CARTESIAN_POINT('',(22.032644,17.397531,0.035)); #519977=CARTESIAN_POINT('',(22.032644,17.397531,0.035)); #519978=CARTESIAN_POINT('',(22.032644,17.397531,0.)); #519979=CARTESIAN_POINT('Origin',(22.057928,17.380781,0.)); #519980=CARTESIAN_POINT('',(22.057928,17.380781,0.)); #519981=CARTESIAN_POINT('',(22.057928,17.380781,0.)); #519982=CARTESIAN_POINT('',(22.057928,17.380781,0.035)); #519983=CARTESIAN_POINT('',(22.057928,17.380781,0.035)); #519984=CARTESIAN_POINT('',(22.057928,17.380781,0.)); #519985=CARTESIAN_POINT('Origin',(22.417309,17.0214,0.)); #519986=CARTESIAN_POINT('',(22.417309,17.0214,0.)); #519987=CARTESIAN_POINT('',(22.417309,17.0214,0.)); #519988=CARTESIAN_POINT('',(22.417309,17.0214,0.035)); #519989=CARTESIAN_POINT('',(22.417309,17.0214,0.035)); #519990=CARTESIAN_POINT('',(22.417309,17.0214,0.)); #519991=CARTESIAN_POINT('Origin',(25.877397,17.0214,0.)); #519992=CARTESIAN_POINT('',(25.877397,17.0214,0.)); #519993=CARTESIAN_POINT('',(25.877397,17.0214,0.)); #519994=CARTESIAN_POINT('',(25.877397,17.0214,0.035)); #519995=CARTESIAN_POINT('',(25.877397,17.0214,0.035)); #519996=CARTESIAN_POINT('',(25.877397,17.0214,0.)); #519997=CARTESIAN_POINT('Origin',(25.905994,17.015831,0.)); #519998=CARTESIAN_POINT('',(25.905994,17.015831,0.)); #519999=CARTESIAN_POINT('',(25.905994,17.015831,0.)); #520000=CARTESIAN_POINT('',(25.905994,17.015831,0.035)); #520001=CARTESIAN_POINT('',(25.905994,17.015831,0.035)); #520002=CARTESIAN_POINT('',(25.905994,17.015831,0.)); #520003=CARTESIAN_POINT('Origin',(25.931278,16.999081,0.)); #520004=CARTESIAN_POINT('',(25.931278,16.999081,0.)); #520005=CARTESIAN_POINT('',(25.931278,16.999081,0.)); #520006=CARTESIAN_POINT('',(25.931278,16.999081,0.035)); #520007=CARTESIAN_POINT('',(25.931278,16.999081,0.035)); #520008=CARTESIAN_POINT('',(25.931278,16.999081,0.)); #520009=CARTESIAN_POINT('Origin',(28.908959,14.0214,0.)); #520010=CARTESIAN_POINT('',(28.908959,14.0214,0.)); #520011=CARTESIAN_POINT('',(28.908959,14.0214,0.)); #520012=CARTESIAN_POINT('',(28.908959,14.0214,0.035)); #520013=CARTESIAN_POINT('',(28.908959,14.0214,0.035)); #520014=CARTESIAN_POINT('',(28.908959,14.0214,0.)); #520015=CARTESIAN_POINT('Origin',(30.131509,14.0214,0.)); #520016=CARTESIAN_POINT('',(30.131509,14.0214,0.)); #520017=CARTESIAN_POINT('',(30.131509,14.0214,0.)); #520018=CARTESIAN_POINT('',(30.131509,14.0214,0.035)); #520019=CARTESIAN_POINT('',(30.131509,14.0214,0.035)); #520020=CARTESIAN_POINT('',(30.131509,14.0214,0.)); #520021=CARTESIAN_POINT('Origin',(30.160106,14.015831,0.)); #520022=CARTESIAN_POINT('',(30.160106,14.015831,0.)); #520023=CARTESIAN_POINT('',(30.160106,14.015831,0.)); #520024=CARTESIAN_POINT('',(30.160106,14.015831,0.035)); #520025=CARTESIAN_POINT('',(30.160106,14.015831,0.035)); #520026=CARTESIAN_POINT('',(30.160106,14.015831,0.)); #520027=CARTESIAN_POINT('Origin',(30.185391,13.999081,0.)); #520028=CARTESIAN_POINT('',(30.185391,13.999081,0.)); #520029=CARTESIAN_POINT('',(30.185391,13.999081,0.)); #520030=CARTESIAN_POINT('',(30.185391,13.999081,0.035)); #520031=CARTESIAN_POINT('',(30.185391,13.999081,0.035)); #520032=CARTESIAN_POINT('',(30.185391,13.999081,0.)); #520033=CARTESIAN_POINT('Origin',(30.224372,13.9601,0.)); #520034=CARTESIAN_POINT('',(30.224372,13.9601,0.)); #520035=CARTESIAN_POINT('',(30.224372,13.9601,0.)); #520036=CARTESIAN_POINT('',(30.224372,13.9601,0.035)); #520037=CARTESIAN_POINT('',(30.224372,13.9601,0.035)); #520038=CARTESIAN_POINT('',(30.224372,13.9601,0.)); #520039=CARTESIAN_POINT('Origin',(30.8839,13.9601,0.)); #520040=CARTESIAN_POINT('',(30.8839,13.9601,0.)); #520041=CARTESIAN_POINT('',(30.8839,13.9601,0.)); #520042=CARTESIAN_POINT('',(30.8839,13.9601,0.035)); #520043=CARTESIAN_POINT('',(30.8839,13.9601,0.035)); #520044=CARTESIAN_POINT('',(30.8839,13.9601,0.)); #520045=CARTESIAN_POINT('Origin',(30.911369,13.954978,0.)); #520046=CARTESIAN_POINT('',(30.911369,13.954978,0.)); #520047=CARTESIAN_POINT('',(30.911369,13.954978,0.)); #520048=CARTESIAN_POINT('',(30.911369,13.954978,0.035)); #520049=CARTESIAN_POINT('',(30.911369,13.954978,0.035)); #520050=CARTESIAN_POINT('',(30.911369,13.954978,0.)); #520051=CARTESIAN_POINT('Origin',(30.936916,13.938634,0.)); #520052=CARTESIAN_POINT('',(30.936916,13.938634,0.)); #520053=CARTESIAN_POINT('',(30.936916,13.938634,0.)); #520054=CARTESIAN_POINT('',(30.936916,13.938634,0.035)); #520055=CARTESIAN_POINT('',(30.936916,13.938634,0.035)); #520056=CARTESIAN_POINT('',(30.936916,13.938634,0.)); #520057=CARTESIAN_POINT('Origin',(30.954066,13.913622,0.)); #520058=CARTESIAN_POINT('',(30.954066,13.913622,0.)); #520059=CARTESIAN_POINT('',(30.954066,13.913622,0.)); #520060=CARTESIAN_POINT('',(30.954066,13.913622,0.035)); #520061=CARTESIAN_POINT('',(30.954066,13.913622,0.035)); #520062=CARTESIAN_POINT('',(30.954066,13.913622,0.)); #520063=CARTESIAN_POINT('Origin',(30.9601,13.8839,0.)); #520064=CARTESIAN_POINT('',(30.9601,13.8839,0.)); #520065=CARTESIAN_POINT('',(30.9601,13.8839,0.)); #520066=CARTESIAN_POINT('',(30.9601,13.8839,0.035)); #520067=CARTESIAN_POINT('',(30.9601,13.8839,0.035)); #520068=CARTESIAN_POINT('',(30.9601,13.8839,0.)); #520069=CARTESIAN_POINT('Origin',(30.9601,13.5036,0.)); #520070=CARTESIAN_POINT('',(30.9601,13.5036,0.)); #520071=CARTESIAN_POINT('',(30.9601,13.5036,0.)); #520072=CARTESIAN_POINT('',(30.9601,13.5036,0.035)); #520073=CARTESIAN_POINT('',(30.9601,13.5036,0.035)); #520074=CARTESIAN_POINT('',(30.9601,13.5036,0.)); #520075=CARTESIAN_POINT('Origin',(30.954978,13.476131,0.)); #520076=CARTESIAN_POINT('',(30.954978,13.476131,0.)); #520077=CARTESIAN_POINT('',(30.954978,13.476131,0.)); #520078=CARTESIAN_POINT('',(30.954978,13.476131,0.035)); #520079=CARTESIAN_POINT('',(30.954978,13.476131,0.035)); #520080=CARTESIAN_POINT('',(30.954978,13.476131,0.)); #520081=CARTESIAN_POINT('Origin',(30.938634,13.450584,0.)); #520082=CARTESIAN_POINT('',(30.938634,13.450584,0.)); #520083=CARTESIAN_POINT('',(30.938634,13.450584,0.)); #520084=CARTESIAN_POINT('',(30.938634,13.450584,0.035)); #520085=CARTESIAN_POINT('',(30.938634,13.450584,0.035)); #520086=CARTESIAN_POINT('',(30.938634,13.450584,0.)); #520087=CARTESIAN_POINT('Origin',(30.913622,13.433434,0.)); #520088=CARTESIAN_POINT('',(30.913622,13.433434,0.)); #520089=CARTESIAN_POINT('',(30.913622,13.433434,0.)); #520090=CARTESIAN_POINT('',(30.913622,13.433434,0.035)); #520091=CARTESIAN_POINT('',(30.913622,13.433434,0.035)); #520092=CARTESIAN_POINT('',(30.913622,13.433434,0.)); #520093=CARTESIAN_POINT('Origin',(30.8839,13.4274,0.)); #520094=CARTESIAN_POINT('',(30.8839,13.4274,0.)); #520095=CARTESIAN_POINT('',(30.8839,13.4274,0.)); #520096=CARTESIAN_POINT('',(30.8839,13.4274,0.035)); #520097=CARTESIAN_POINT('',(30.8839,13.4274,0.035)); #520098=CARTESIAN_POINT('',(30.8839,13.4274,0.)); #520099=CARTESIAN_POINT('Origin',(30.822966,13.4274,0.)); #520100=CARTESIAN_POINT('',(30.822966,13.4274,0.)); #520101=CARTESIAN_POINT('',(30.822966,13.4274,0.)); #520102=CARTESIAN_POINT('',(30.822966,13.4274,0.035)); #520103=CARTESIAN_POINT('',(30.822966,13.4274,0.035)); #520104=CARTESIAN_POINT('',(30.822966,13.4274,0.)); #520105=CARTESIAN_POINT('Origin',(30.5726,13.177034,0.)); #520106=CARTESIAN_POINT('',(30.5726,13.177034,0.)); #520107=CARTESIAN_POINT('',(30.5726,13.177034,0.)); #520108=CARTESIAN_POINT('',(30.5726,13.177034,0.035)); #520109=CARTESIAN_POINT('',(30.5726,13.177034,0.035)); #520110=CARTESIAN_POINT('',(30.5726,13.177034,0.)); #520111=CARTESIAN_POINT('Origin',(30.5726,12.822966,0.)); #520112=CARTESIAN_POINT('',(30.5726,12.822966,0.)); #520113=CARTESIAN_POINT('',(30.5726,12.822966,0.)); #520114=CARTESIAN_POINT('',(30.5726,12.822966,0.035)); #520115=CARTESIAN_POINT('',(30.5726,12.822966,0.035)); #520116=CARTESIAN_POINT('',(30.5726,12.822966,0.)); #520117=CARTESIAN_POINT('Origin',(30.822966,12.5726,0.)); #520118=CARTESIAN_POINT('',(30.822966,12.5726,0.)); #520119=CARTESIAN_POINT('',(30.822966,12.5726,0.)); #520120=CARTESIAN_POINT('',(30.822966,12.5726,0.035)); #520121=CARTESIAN_POINT('',(30.822966,12.5726,0.035)); #520122=CARTESIAN_POINT('',(30.822966,12.5726,0.)); #520123=CARTESIAN_POINT('Origin',(31.177034,12.5726,0.)); #520124=CARTESIAN_POINT('',(31.177034,12.5726,0.)); #520125=CARTESIAN_POINT('',(31.177034,12.5726,0.)); #520126=CARTESIAN_POINT('',(31.177034,12.5726,0.035)); #520127=CARTESIAN_POINT('',(31.177034,12.5726,0.035)); #520128=CARTESIAN_POINT('',(31.177034,12.5726,0.)); #520129=CARTESIAN_POINT('Origin',(31.391319,12.786884,0.)); #520130=CARTESIAN_POINT('',(31.391319,12.786884,0.)); #520131=CARTESIAN_POINT('',(31.391319,12.786884,0.)); #520132=CARTESIAN_POINT('',(31.391319,12.786884,0.035)); #520133=CARTESIAN_POINT('',(31.391319,12.786884,0.035)); #520134=CARTESIAN_POINT('',(31.391319,12.786884,0.)); #520135=CARTESIAN_POINT('Origin',(31.413256,12.802184,0.)); #520136=CARTESIAN_POINT('',(31.413256,12.802184,0.)); #520137=CARTESIAN_POINT('',(31.413256,12.802184,0.)); #520138=CARTESIAN_POINT('',(31.413256,12.802184,0.035)); #520139=CARTESIAN_POINT('',(31.413256,12.802184,0.035)); #520140=CARTESIAN_POINT('',(31.413256,12.802184,0.)); #520141=CARTESIAN_POINT('Origin',(31.442772,12.809166,0.)); #520142=CARTESIAN_POINT('',(31.442772,12.809166,0.)); #520143=CARTESIAN_POINT('',(31.442772,12.809166,0.)); #520144=CARTESIAN_POINT('',(31.442772,12.809166,0.035)); #520145=CARTESIAN_POINT('',(31.442772,12.809166,0.035)); #520146=CARTESIAN_POINT('',(31.442772,12.809166,0.)); #520147=CARTESIAN_POINT('Origin',(31.472669,12.804081,0.)); #520148=CARTESIAN_POINT('',(31.472669,12.804081,0.)); #520149=CARTESIAN_POINT('',(31.472669,12.804081,0.)); #520150=CARTESIAN_POINT('',(31.472669,12.804081,0.035)); #520151=CARTESIAN_POINT('',(31.472669,12.804081,0.035)); #520152=CARTESIAN_POINT('',(31.472669,12.804081,0.)); #520153=CARTESIAN_POINT('Origin',(31.498216,12.787738,0.)); #520154=CARTESIAN_POINT('',(31.498216,12.787738,0.)); #520155=CARTESIAN_POINT('',(31.498216,12.787738,0.)); #520156=CARTESIAN_POINT('',(31.498216,12.787738,0.035)); #520157=CARTESIAN_POINT('',(31.498216,12.787738,0.035)); #520158=CARTESIAN_POINT('',(31.498216,12.787738,0.)); #520159=CARTESIAN_POINT('Origin',(31.515366,12.762725,0.)); #520160=CARTESIAN_POINT('',(31.515366,12.762725,0.)); #520161=CARTESIAN_POINT('',(31.515366,12.762725,0.)); #520162=CARTESIAN_POINT('',(31.515366,12.762725,0.035)); #520163=CARTESIAN_POINT('',(31.515366,12.762725,0.035)); #520164=CARTESIAN_POINT('',(31.515366,12.762725,0.)); #520165=CARTESIAN_POINT('Origin',(31.5214,12.733003,0.)); #520166=CARTESIAN_POINT('',(31.5214,12.733003,0.)); #520167=CARTESIAN_POINT('',(31.5214,12.733003,0.)); #520168=CARTESIAN_POINT('',(31.5214,12.733003,0.035)); #520169=CARTESIAN_POINT('',(31.5214,12.733003,0.035)); #520170=CARTESIAN_POINT('',(31.5214,12.733003,0.)); #520171=CARTESIAN_POINT('Origin',(31.5214,11.4278,0.)); #520172=CARTESIAN_POINT('',(31.5214,11.4278,0.)); #520173=CARTESIAN_POINT('',(31.5214,11.4278,0.)); #520174=CARTESIAN_POINT('',(31.5214,11.4278,0.035)); #520175=CARTESIAN_POINT('',(31.5214,11.4278,0.035)); #520176=CARTESIAN_POINT('',(31.5214,11.4278,0.)); #520177=CARTESIAN_POINT('Origin',(31.516278,11.400331,0.)); #520178=CARTESIAN_POINT('',(31.516278,11.400331,0.)); #520179=CARTESIAN_POINT('',(31.516278,11.400331,0.)); #520180=CARTESIAN_POINT('',(31.516278,11.400331,0.035)); #520181=CARTESIAN_POINT('',(31.516278,11.400331,0.035)); #520182=CARTESIAN_POINT('',(31.516278,11.400331,0.)); #520183=CARTESIAN_POINT('Origin',(31.499934,11.374784,0.)); #520184=CARTESIAN_POINT('',(31.499934,11.374784,0.)); #520185=CARTESIAN_POINT('',(31.499934,11.374784,0.)); #520186=CARTESIAN_POINT('',(31.499934,11.374784,0.035)); #520187=CARTESIAN_POINT('',(31.499934,11.374784,0.035)); #520188=CARTESIAN_POINT('',(31.499934,11.374784,0.)); #520189=CARTESIAN_POINT('Origin',(31.474922,11.357634,0.)); #520190=CARTESIAN_POINT('',(31.474922,11.357634,0.)); #520191=CARTESIAN_POINT('',(31.474922,11.357634,0.)); #520192=CARTESIAN_POINT('',(31.474922,11.357634,0.035)); #520193=CARTESIAN_POINT('',(31.474922,11.357634,0.035)); #520194=CARTESIAN_POINT('',(31.474922,11.357634,0.)); #520195=CARTESIAN_POINT('Origin',(31.4452,11.3516,0.)); #520196=CARTESIAN_POINT('',(31.4452,11.3516,0.)); #520197=CARTESIAN_POINT('',(31.4452,11.3516,0.)); #520198=CARTESIAN_POINT('',(31.4452,11.3516,0.035)); #520199=CARTESIAN_POINT('',(31.4452,11.3516,0.035)); #520200=CARTESIAN_POINT('',(31.4452,11.3516,0.)); #520201=CARTESIAN_POINT('Origin',(31.0036,11.3516,0.)); #520202=CARTESIAN_POINT('',(31.0036,11.3516,0.)); #520203=CARTESIAN_POINT('',(31.0036,11.3516,0.)); #520204=CARTESIAN_POINT('',(31.0036,11.3516,0.035)); #520205=CARTESIAN_POINT('',(31.0036,11.3516,0.035)); #520206=CARTESIAN_POINT('',(31.0036,11.3516,0.)); #520207=CARTESIAN_POINT('Origin',(30.976131,11.356722,0.)); #520208=CARTESIAN_POINT('',(30.976131,11.356722,0.)); #520209=CARTESIAN_POINT('',(30.976131,11.356722,0.)); #520210=CARTESIAN_POINT('',(30.976131,11.356722,0.035)); #520211=CARTESIAN_POINT('',(30.976131,11.356722,0.035)); #520212=CARTESIAN_POINT('',(30.976131,11.356722,0.)); #520213=CARTESIAN_POINT('Origin',(30.950584,11.373066,0.)); #520214=CARTESIAN_POINT('',(30.950584,11.373066,0.)); #520215=CARTESIAN_POINT('',(30.950584,11.373066,0.)); #520216=CARTESIAN_POINT('',(30.950584,11.373066,0.035)); #520217=CARTESIAN_POINT('',(30.950584,11.373066,0.035)); #520218=CARTESIAN_POINT('',(30.950584,11.373066,0.)); #520219=CARTESIAN_POINT('Origin',(30.933434,11.398078,0.)); #520220=CARTESIAN_POINT('',(30.933434,11.398078,0.)); #520221=CARTESIAN_POINT('',(30.933434,11.398078,0.)); #520222=CARTESIAN_POINT('',(30.933434,11.398078,0.035)); #520223=CARTESIAN_POINT('',(30.933434,11.398078,0.035)); #520224=CARTESIAN_POINT('',(30.933434,11.398078,0.)); #520225=CARTESIAN_POINT('Origin',(30.927594,11.426841,0.)); #520226=CARTESIAN_POINT('',(30.927594,11.426841,0.)); #520227=CARTESIAN_POINT('',(30.927594,11.426841,0.)); #520228=CARTESIAN_POINT('',(30.927594,11.426841,0.035)); #520229=CARTESIAN_POINT('',(30.927594,11.426841,0.035)); #520230=CARTESIAN_POINT('',(30.927594,11.426841,0.)); #520231=CARTESIAN_POINT('Origin',(30.677034,11.6774,0.)); #520232=CARTESIAN_POINT('',(30.677034,11.6774,0.)); #520233=CARTESIAN_POINT('',(30.677034,11.6774,0.)); #520234=CARTESIAN_POINT('',(30.677034,11.6774,0.035)); #520235=CARTESIAN_POINT('',(30.677034,11.6774,0.035)); #520236=CARTESIAN_POINT('',(30.677034,11.6774,0.)); #520237=CARTESIAN_POINT('Origin',(30.322966,11.6774,0.)); #520238=CARTESIAN_POINT('',(30.322966,11.6774,0.)); #520239=CARTESIAN_POINT('',(30.322966,11.6774,0.)); #520240=CARTESIAN_POINT('',(30.322966,11.6774,0.035)); #520241=CARTESIAN_POINT('',(30.322966,11.6774,0.035)); #520242=CARTESIAN_POINT('',(30.322966,11.6774,0.)); #520243=CARTESIAN_POINT('Origin',(30.146484,11.500919,0.)); #520244=CARTESIAN_POINT('',(30.146484,11.500919,0.)); #520245=CARTESIAN_POINT('',(30.146484,11.500919,0.)); #520246=CARTESIAN_POINT('',(30.146484,11.500919,0.035)); #520247=CARTESIAN_POINT('',(30.146484,11.500919,0.035)); #520248=CARTESIAN_POINT('',(30.146484,11.500919,0.)); #520249=CARTESIAN_POINT('Origin',(30.122325,11.484634,0.)); #520250=CARTESIAN_POINT('',(30.122325,11.484634,0.)); #520251=CARTESIAN_POINT('',(30.122325,11.484634,0.)); #520252=CARTESIAN_POINT('',(30.122325,11.484634,0.035)); #520253=CARTESIAN_POINT('',(30.122325,11.484634,0.035)); #520254=CARTESIAN_POINT('',(30.122325,11.484634,0.)); #520255=CARTESIAN_POINT('Origin',(30.092603,11.4786,0.)); #520256=CARTESIAN_POINT('',(30.092603,11.4786,0.)); #520257=CARTESIAN_POINT('',(30.092603,11.4786,0.)); #520258=CARTESIAN_POINT('',(30.092603,11.4786,0.035)); #520259=CARTESIAN_POINT('',(30.092603,11.4786,0.035)); #520260=CARTESIAN_POINT('',(30.092603,11.4786,0.)); #520261=CARTESIAN_POINT('Origin',(29.660241,11.4786,0.)); #520262=CARTESIAN_POINT('',(29.660241,11.4786,0.)); #520263=CARTESIAN_POINT('',(29.660241,11.4786,0.)); #520264=CARTESIAN_POINT('',(29.660241,11.4786,0.035)); #520265=CARTESIAN_POINT('',(29.660241,11.4786,0.035)); #520266=CARTESIAN_POINT('',(29.660241,11.4786,0.)); #520267=CARTESIAN_POINT('Origin',(29.631644,11.484169,0.)); #520268=CARTESIAN_POINT('',(29.631644,11.484169,0.)); #520269=CARTESIAN_POINT('',(29.631644,11.484169,0.)); #520270=CARTESIAN_POINT('',(29.631644,11.484169,0.035)); #520271=CARTESIAN_POINT('',(29.631644,11.484169,0.035)); #520272=CARTESIAN_POINT('',(29.631644,11.484169,0.)); #520273=CARTESIAN_POINT('Origin',(29.606359,11.500919,0.)); #520274=CARTESIAN_POINT('',(29.606359,11.500919,0.)); #520275=CARTESIAN_POINT('',(29.606359,11.500919,0.)); #520276=CARTESIAN_POINT('',(29.606359,11.500919,0.035)); #520277=CARTESIAN_POINT('',(29.606359,11.500919,0.035)); #520278=CARTESIAN_POINT('',(29.606359,11.500919,0.)); #520279=CARTESIAN_POINT('Origin',(26.355819,14.751459,0.)); #520280=CARTESIAN_POINT('',(26.355819,14.751459,0.)); #520281=CARTESIAN_POINT('',(26.355819,14.751459,0.)); #520282=CARTESIAN_POINT('',(26.355819,14.751459,0.035)); #520283=CARTESIAN_POINT('',(26.355819,14.751459,0.035)); #520284=CARTESIAN_POINT('',(26.355819,14.751459,0.)); #520285=CARTESIAN_POINT('Origin',(26.339534,14.775619,0.)); #520286=CARTESIAN_POINT('',(26.339534,14.775619,0.)); #520287=CARTESIAN_POINT('',(26.339534,14.775619,0.)); #520288=CARTESIAN_POINT('',(26.339534,14.775619,0.035)); #520289=CARTESIAN_POINT('',(26.339534,14.775619,0.035)); #520290=CARTESIAN_POINT('',(26.339534,14.775619,0.)); #520291=CARTESIAN_POINT('Origin',(26.3335,14.805341,0.)); #520292=CARTESIAN_POINT('',(26.3335,14.805341,0.)); #520293=CARTESIAN_POINT('',(26.3335,14.805341,0.)); #520294=CARTESIAN_POINT('',(26.3335,14.805341,0.035)); #520295=CARTESIAN_POINT('',(26.3335,14.805341,0.035)); #520296=CARTESIAN_POINT('',(26.3335,14.805341,0.)); #520297=CARTESIAN_POINT('Origin',(26.3335,15.507628,0.)); #520298=CARTESIAN_POINT('',(26.3335,15.507628,0.)); #520299=CARTESIAN_POINT('',(26.3335,15.507628,0.)); #520300=CARTESIAN_POINT('',(26.3335,15.507628,0.035)); #520301=CARTESIAN_POINT('',(26.3335,15.507628,0.035)); #520302=CARTESIAN_POINT('',(26.3335,15.507628,0.)); #520303=CARTESIAN_POINT('Origin',(26.244228,15.5969,0.)); #520304=CARTESIAN_POINT('',(26.244228,15.5969,0.)); #520305=CARTESIAN_POINT('',(26.244228,15.5969,0.)); #520306=CARTESIAN_POINT('',(26.244228,15.5969,0.035)); #520307=CARTESIAN_POINT('',(26.244228,15.5969,0.035)); #520308=CARTESIAN_POINT('',(26.244228,15.5969,0.)); #520309=CARTESIAN_POINT('Origin',(25.279772,15.5969,0.)); #520310=CARTESIAN_POINT('',(25.279772,15.5969,0.)); #520311=CARTESIAN_POINT('',(25.279772,15.5969,0.)); #520312=CARTESIAN_POINT('',(25.279772,15.5969,0.035)); #520313=CARTESIAN_POINT('',(25.279772,15.5969,0.035)); #520314=CARTESIAN_POINT('',(25.279772,15.5969,0.)); #520315=CARTESIAN_POINT('Origin',(25.1905,15.507628,0.)); #520316=CARTESIAN_POINT('',(25.1905,15.507628,0.)); #520317=CARTESIAN_POINT('',(25.1905,15.507628,0.)); #520318=CARTESIAN_POINT('',(25.1905,15.507628,0.035)); #520319=CARTESIAN_POINT('',(25.1905,15.507628,0.035)); #520320=CARTESIAN_POINT('',(25.1905,15.507628,0.)); #520321=CARTESIAN_POINT('Origin',(25.1905,14.5548,0.)); #520322=CARTESIAN_POINT('',(25.1905,14.5548,0.)); #520323=CARTESIAN_POINT('',(25.1905,14.5548,0.)); #520324=CARTESIAN_POINT('',(25.1905,14.5548,0.035)); #520325=CARTESIAN_POINT('',(25.1905,14.5548,0.035)); #520326=CARTESIAN_POINT('',(25.1905,14.5548,0.)); #520327=CARTESIAN_POINT('Origin',(25.185378,14.527331,0.)); #520328=CARTESIAN_POINT('',(25.185378,14.527331,0.)); #520329=CARTESIAN_POINT('',(25.185378,14.527331,0.)); #520330=CARTESIAN_POINT('',(25.185378,14.527331,0.035)); #520331=CARTESIAN_POINT('',(25.185378,14.527331,0.035)); #520332=CARTESIAN_POINT('',(25.185378,14.527331,0.)); #520333=CARTESIAN_POINT('Origin',(25.169034,14.501784,0.)); #520334=CARTESIAN_POINT('',(25.169034,14.501784,0.)); #520335=CARTESIAN_POINT('',(25.169034,14.501784,0.)); #520336=CARTESIAN_POINT('',(25.169034,14.501784,0.035)); #520337=CARTESIAN_POINT('',(25.169034,14.501784,0.035)); #520338=CARTESIAN_POINT('',(25.169034,14.501784,0.)); #520339=CARTESIAN_POINT('Origin',(25.144022,14.484634,0.)); #520340=CARTESIAN_POINT('',(25.144022,14.484634,0.)); #520341=CARTESIAN_POINT('',(25.144022,14.484634,0.)); #520342=CARTESIAN_POINT('',(25.144022,14.484634,0.035)); #520343=CARTESIAN_POINT('',(25.144022,14.484634,0.035)); #520344=CARTESIAN_POINT('',(25.144022,14.484634,0.)); #520345=CARTESIAN_POINT('Origin',(25.1143,14.4786,0.)); #520346=CARTESIAN_POINT('',(25.1143,14.4786,0.)); #520347=CARTESIAN_POINT('',(25.1143,14.4786,0.)); #520348=CARTESIAN_POINT('',(25.1143,14.4786,0.035)); #520349=CARTESIAN_POINT('',(25.1143,14.4786,0.035)); #520350=CARTESIAN_POINT('',(25.1143,14.4786,0.)); #520351=CARTESIAN_POINT('Origin',(22.638253,14.4786,0.)); #520352=CARTESIAN_POINT('',(22.638253,14.4786,0.)); #520353=CARTESIAN_POINT('',(22.638253,14.4786,0.)); #520354=CARTESIAN_POINT('',(22.638253,14.4786,0.035)); #520355=CARTESIAN_POINT('',(22.638253,14.4786,0.035)); #520356=CARTESIAN_POINT('',(22.638253,14.4786,0.)); #520357=CARTESIAN_POINT('Origin',(22.609656,14.484169,0.)); #520358=CARTESIAN_POINT('',(22.609656,14.484169,0.)); #520359=CARTESIAN_POINT('',(22.609656,14.484169,0.)); #520360=CARTESIAN_POINT('',(22.609656,14.484169,0.035)); #520361=CARTESIAN_POINT('',(22.609656,14.484169,0.035)); #520362=CARTESIAN_POINT('',(22.609656,14.484169,0.)); #520363=CARTESIAN_POINT('Origin',(22.584372,14.500919,0.)); #520364=CARTESIAN_POINT('',(22.584372,14.500919,0.)); #520365=CARTESIAN_POINT('',(22.584372,14.500919,0.)); #520366=CARTESIAN_POINT('',(22.584372,14.500919,0.035)); #520367=CARTESIAN_POINT('',(22.584372,14.500919,0.035)); #520368=CARTESIAN_POINT('',(22.584372,14.500919,0.)); #520369=CARTESIAN_POINT('Origin',(22.355819,14.729472,0.)); #520370=CARTESIAN_POINT('',(22.355819,14.729472,0.)); #520371=CARTESIAN_POINT('',(22.355819,14.729472,0.)); #520372=CARTESIAN_POINT('',(22.355819,14.729472,0.035)); #520373=CARTESIAN_POINT('',(22.355819,14.729472,0.035)); #520374=CARTESIAN_POINT('',(22.355819,14.729472,0.)); #520375=CARTESIAN_POINT('Origin',(22.339534,14.753631,0.)); #520376=CARTESIAN_POINT('',(22.339534,14.753631,0.)); #520377=CARTESIAN_POINT('',(22.339534,14.753631,0.)); #520378=CARTESIAN_POINT('',(22.339534,14.753631,0.035)); #520379=CARTESIAN_POINT('',(22.339534,14.753631,0.035)); #520380=CARTESIAN_POINT('',(22.339534,14.753631,0.)); #520381=CARTESIAN_POINT('Origin',(22.3335,14.783353,0.)); #520382=CARTESIAN_POINT('',(22.3335,14.783353,0.)); #520383=CARTESIAN_POINT('',(22.3335,14.783353,0.)); #520384=CARTESIAN_POINT('',(22.3335,14.783353,0.035)); #520385=CARTESIAN_POINT('',(22.3335,14.783353,0.035)); #520386=CARTESIAN_POINT('',(22.3335,14.783353,0.)); #520387=CARTESIAN_POINT('Origin',(22.3335,15.507628,0.)); #520388=CARTESIAN_POINT('',(22.3335,15.507628,0.)); #520389=CARTESIAN_POINT('',(22.3335,15.507628,0.)); #520390=CARTESIAN_POINT('',(22.3335,15.507628,0.035)); #520391=CARTESIAN_POINT('',(22.3335,15.507628,0.035)); #520392=CARTESIAN_POINT('',(22.3335,15.507628,0.)); #520393=CARTESIAN_POINT('Origin',(22.244228,15.5969,0.)); #520394=CARTESIAN_POINT('',(22.244228,15.5969,0.)); #520395=CARTESIAN_POINT('',(22.244228,15.5969,0.)); #520396=CARTESIAN_POINT('',(22.244228,15.5969,0.035)); #520397=CARTESIAN_POINT('',(22.244228,15.5969,0.035)); #520398=CARTESIAN_POINT('',(22.244228,15.5969,0.)); #520399=CARTESIAN_POINT('Origin',(21.279772,15.5969,0.)); #520400=CARTESIAN_POINT('',(21.279772,15.5969,0.)); #520401=CARTESIAN_POINT('',(21.279772,15.5969,0.)); #520402=CARTESIAN_POINT('',(21.279772,15.5969,0.035)); #520403=CARTESIAN_POINT('',(21.279772,15.5969,0.035)); #520404=CARTESIAN_POINT('',(21.279772,15.5969,0.)); #520405=CARTESIAN_POINT('Origin',(21.1905,15.507628,0.)); #520406=CARTESIAN_POINT('',(21.1905,15.507628,0.)); #520407=CARTESIAN_POINT('',(21.1905,15.507628,0.)); #520408=CARTESIAN_POINT('',(21.1905,15.507628,0.035)); #520409=CARTESIAN_POINT('',(21.1905,15.507628,0.035)); #520410=CARTESIAN_POINT('',(21.1905,15.507628,0.)); #520411=CARTESIAN_POINT('Origin',(21.1905,14.492372,0.)); #520412=CARTESIAN_POINT('',(21.1905,14.492372,0.)); #520413=CARTESIAN_POINT('',(21.1905,14.492372,0.)); #520414=CARTESIAN_POINT('',(21.1905,14.492372,0.035)); #520415=CARTESIAN_POINT('',(21.1905,14.492372,0.035)); #520416=CARTESIAN_POINT('',(21.1905,14.492372,0.)); #520417=CARTESIAN_POINT('Origin',(21.279772,14.4031,0.)); #520418=CARTESIAN_POINT('',(21.279772,14.4031,0.)); #520419=CARTESIAN_POINT('',(21.279772,14.4031,0.)); #520420=CARTESIAN_POINT('',(21.279772,14.4031,0.035)); #520421=CARTESIAN_POINT('',(21.279772,14.4031,0.035)); #520422=CARTESIAN_POINT('',(21.279772,14.4031,0.)); #520423=CARTESIAN_POINT('Origin',(22.004047,14.4031,0.)); #520424=CARTESIAN_POINT('',(22.004047,14.4031,0.)); #520425=CARTESIAN_POINT('',(22.004047,14.4031,0.)); #520426=CARTESIAN_POINT('',(22.004047,14.4031,0.035)); #520427=CARTESIAN_POINT('',(22.004047,14.4031,0.035)); #520428=CARTESIAN_POINT('',(22.004047,14.4031,0.)); #520429=CARTESIAN_POINT('Origin',(22.032644,14.397531,0.)); #520430=CARTESIAN_POINT('',(22.032644,14.397531,0.)); #520431=CARTESIAN_POINT('',(22.032644,14.397531,0.)); #520432=CARTESIAN_POINT('',(22.032644,14.397531,0.035)); #520433=CARTESIAN_POINT('',(22.032644,14.397531,0.035)); #520434=CARTESIAN_POINT('',(22.032644,14.397531,0.)); #520435=CARTESIAN_POINT('Origin',(22.057928,14.380781,0.)); #520436=CARTESIAN_POINT('',(22.057928,14.380781,0.)); #520437=CARTESIAN_POINT('',(22.057928,14.380781,0.)); #520438=CARTESIAN_POINT('',(22.057928,14.380781,0.035)); #520439=CARTESIAN_POINT('',(22.057928,14.380781,0.035)); #520440=CARTESIAN_POINT('',(22.057928,14.380781,0.)); #520441=CARTESIAN_POINT('Origin',(22.417309,14.0214,0.)); #520442=CARTESIAN_POINT('',(22.417309,14.0214,0.)); #520443=CARTESIAN_POINT('',(22.417309,14.0214,0.)); #520444=CARTESIAN_POINT('',(22.417309,14.0214,0.035)); #520445=CARTESIAN_POINT('',(22.417309,14.0214,0.035)); #520446=CARTESIAN_POINT('',(22.417309,14.0214,0.)); #520447=CARTESIAN_POINT('Origin',(25.873747,14.0214,0.)); #520448=CARTESIAN_POINT('',(25.873747,14.0214,0.)); #520449=CARTESIAN_POINT('',(25.873747,14.0214,0.)); #520450=CARTESIAN_POINT('',(25.873747,14.0214,0.035)); #520451=CARTESIAN_POINT('',(25.873747,14.0214,0.035)); #520452=CARTESIAN_POINT('',(25.873747,14.0214,0.)); #520453=CARTESIAN_POINT('Origin',(25.902344,14.015831,0.)); #520454=CARTESIAN_POINT('',(25.902344,14.015831,0.)); #520455=CARTESIAN_POINT('',(25.902344,14.015831,0.)); #520456=CARTESIAN_POINT('',(25.902344,14.015831,0.035)); #520457=CARTESIAN_POINT('',(25.902344,14.015831,0.035)); #520458=CARTESIAN_POINT('',(25.902344,14.015831,0.)); #520459=CARTESIAN_POINT('Origin',(25.927628,13.999081,0.)); #520460=CARTESIAN_POINT('',(25.927628,13.999081,0.)); #520461=CARTESIAN_POINT('',(25.927628,13.999081,0.)); #520462=CARTESIAN_POINT('',(25.927628,13.999081,0.035)); #520463=CARTESIAN_POINT('',(25.927628,13.999081,0.035)); #520464=CARTESIAN_POINT('',(25.927628,13.999081,0.)); #520465=CARTESIAN_POINT('Origin',(29.655309,10.2714,0.)); #520466=CARTESIAN_POINT('',(29.655309,10.2714,0.)); #520467=CARTESIAN_POINT('',(29.655309,10.2714,0.)); #520468=CARTESIAN_POINT('',(29.655309,10.2714,0.035)); #520469=CARTESIAN_POINT('',(29.655309,10.2714,0.035)); #520470=CARTESIAN_POINT('',(29.655309,10.2714,0.)); #520471=CARTESIAN_POINT('Origin',(30.0722,10.2714,0.)); #520472=CARTESIAN_POINT('',(30.0722,10.2714,0.)); #520473=CARTESIAN_POINT('',(30.0722,10.2714,0.)); #520474=CARTESIAN_POINT('',(30.0722,10.2714,0.035)); #520475=CARTESIAN_POINT('',(30.0722,10.2714,0.035)); #520476=CARTESIAN_POINT('',(30.0722,10.2714,0.)); #520477=CARTESIAN_POINT('Origin',(30.099669,10.266278,0.)); #520478=CARTESIAN_POINT('',(30.099669,10.266278,0.)); #520479=CARTESIAN_POINT('',(30.099669,10.266278,0.)); #520480=CARTESIAN_POINT('',(30.099669,10.266278,0.035)); #520481=CARTESIAN_POINT('',(30.099669,10.266278,0.035)); #520482=CARTESIAN_POINT('',(30.099669,10.266278,0.)); #520483=CARTESIAN_POINT('Origin',(30.125216,10.249934,0.)); #520484=CARTESIAN_POINT('',(30.125216,10.249934,0.)); #520485=CARTESIAN_POINT('',(30.125216,10.249934,0.)); #520486=CARTESIAN_POINT('',(30.125216,10.249934,0.035)); #520487=CARTESIAN_POINT('',(30.125216,10.249934,0.035)); #520488=CARTESIAN_POINT('',(30.125216,10.249934,0.)); #520489=CARTESIAN_POINT('Origin',(30.142366,10.224922,0.)); #520490=CARTESIAN_POINT('',(30.142366,10.224922,0.)); #520491=CARTESIAN_POINT('',(30.142366,10.224922,0.)); #520492=CARTESIAN_POINT('',(30.142366,10.224922,0.035)); #520493=CARTESIAN_POINT('',(30.142366,10.224922,0.035)); #520494=CARTESIAN_POINT('',(30.142366,10.224922,0.)); #520495=CARTESIAN_POINT('Origin',(30.1484,10.1952,0.)); #520496=CARTESIAN_POINT('',(30.1484,10.1952,0.)); #520497=CARTESIAN_POINT('',(30.1484,10.1952,0.)); #520498=CARTESIAN_POINT('',(30.1484,10.1952,0.035)); #520499=CARTESIAN_POINT('',(30.1484,10.1952,0.035)); #520500=CARTESIAN_POINT('',(30.1484,10.1952,0.)); #520501=CARTESIAN_POINT('Origin',(30.1484,8.5040469,0.)); #520502=CARTESIAN_POINT('',(30.1484,8.5040469,0.)); #520503=CARTESIAN_POINT('',(30.1484,8.5040469,0.)); #520504=CARTESIAN_POINT('',(30.1484,8.5040469,0.035)); #520505=CARTESIAN_POINT('',(30.1484,8.5040469,0.035)); #520506=CARTESIAN_POINT('',(30.1484,8.5040469,0.)); #520507=CARTESIAN_POINT('Origin',(30.1511,8.4786906,0.)); #520508=CARTESIAN_POINT('',(30.1511,8.4786906,0.)); #520509=CARTESIAN_POINT('',(30.1511,8.4786906,0.)); #520510=CARTESIAN_POINT('',(30.1511,8.4786906,0.035)); #520511=CARTESIAN_POINT('',(30.1511,8.4786906,0.035)); #520512=CARTESIAN_POINT('',(30.1511,8.4786906,0.)); #520513=CARTESIAN_POINT('Origin',(30.157806,8.4583375,0.)); #520514=CARTESIAN_POINT('',(30.157806,8.4583375,0.)); #520515=CARTESIAN_POINT('',(30.157806,8.4583375,0.)); #520516=CARTESIAN_POINT('',(30.157806,8.4583375,0.035)); #520517=CARTESIAN_POINT('',(30.157806,8.4583375,0.035)); #520518=CARTESIAN_POINT('',(30.157806,8.4583375,0.)); #520519=CARTESIAN_POINT('Origin',(30.169269,8.4388031,0.)); #520520=CARTESIAN_POINT('',(30.169269,8.4388031,0.)); #520521=CARTESIAN_POINT('',(30.169269,8.4388031,0.)); #520522=CARTESIAN_POINT('',(30.169269,8.4388031,0.035)); #520523=CARTESIAN_POINT('',(30.169269,8.4388031,0.035)); #520524=CARTESIAN_POINT('',(30.169269,8.4388031,0.)); #520525=CARTESIAN_POINT('Origin',(30.180178,8.4261344,0.)); #520526=CARTESIAN_POINT('',(30.180178,8.4261344,0.)); #520527=CARTESIAN_POINT('',(30.180178,8.4261344,0.)); #520528=CARTESIAN_POINT('',(30.180178,8.4261344,0.035)); #520529=CARTESIAN_POINT('',(30.180178,8.4261344,0.035)); #520530=CARTESIAN_POINT('',(30.180178,8.4261344,0.)); #520531=CARTESIAN_POINT('Origin',(30.675291,7.9310219,0.)); #520532=CARTESIAN_POINT('',(30.675291,7.9310219,0.)); #520533=CARTESIAN_POINT('',(30.675291,7.9310219,0.)); #520534=CARTESIAN_POINT('',(30.675291,7.9310219,0.035)); #520535=CARTESIAN_POINT('',(30.675291,7.9310219,0.035)); #520536=CARTESIAN_POINT('',(30.675291,7.9310219,0.)); #520537=CARTESIAN_POINT('Origin',(30.695131,7.9150031,0.)); #520538=CARTESIAN_POINT('',(30.695131,7.9150031,0.)); #520539=CARTESIAN_POINT('',(30.695131,7.9150031,0.)); #520540=CARTESIAN_POINT('',(30.695131,7.9150031,0.035)); #520541=CARTESIAN_POINT('',(30.695131,7.9150031,0.035)); #520542=CARTESIAN_POINT('',(30.695131,7.9150031,0.)); #520543=CARTESIAN_POINT('Origin',(30.714272,7.9053531,0.)); #520544=CARTESIAN_POINT('',(30.714272,7.9053531,0.)); #520545=CARTESIAN_POINT('',(30.714272,7.9053531,0.)); #520546=CARTESIAN_POINT('',(30.714272,7.9053531,0.035)); #520547=CARTESIAN_POINT('',(30.714272,7.9053531,0.035)); #520548=CARTESIAN_POINT('',(30.714272,7.9053531,0.)); #520549=CARTESIAN_POINT('Origin',(30.736184,7.8996406,0.)); #520550=CARTESIAN_POINT('',(30.736184,7.8996406,0.)); #520551=CARTESIAN_POINT('',(30.736184,7.8996406,0.)); #520552=CARTESIAN_POINT('',(30.736184,7.8996406,0.035)); #520553=CARTESIAN_POINT('',(30.736184,7.8996406,0.035)); #520554=CARTESIAN_POINT('',(30.736184,7.8996406,0.)); #520555=CARTESIAN_POINT('Origin',(30.752847,7.8984,0.)); #520556=CARTESIAN_POINT('',(30.752847,7.8984,0.)); #520557=CARTESIAN_POINT('',(30.752847,7.8984,0.)); #520558=CARTESIAN_POINT('',(30.752847,7.8984,0.035)); #520559=CARTESIAN_POINT('',(30.752847,7.8984,0.035)); #520560=CARTESIAN_POINT('',(30.752847,7.8984,0.)); #520561=CARTESIAN_POINT('Origin',(31.0722,7.8984,0.)); #520562=CARTESIAN_POINT('',(31.0722,7.8984,0.)); #520563=CARTESIAN_POINT('',(31.0722,7.8984,0.)); #520564=CARTESIAN_POINT('',(31.0722,7.8984,0.035)); #520565=CARTESIAN_POINT('',(31.0722,7.8984,0.035)); #520566=CARTESIAN_POINT('',(31.0722,7.8984,0.)); #520567=CARTESIAN_POINT('Origin',(31.099669,7.8932781,0.)); #520568=CARTESIAN_POINT('',(31.099669,7.8932781,0.)); #520569=CARTESIAN_POINT('',(31.099669,7.8932781,0.)); #520570=CARTESIAN_POINT('',(31.099669,7.8932781,0.035)); #520571=CARTESIAN_POINT('',(31.099669,7.8932781,0.035)); #520572=CARTESIAN_POINT('',(31.099669,7.8932781,0.)); #520573=CARTESIAN_POINT('Origin',(31.125216,7.8769344,0.)); #520574=CARTESIAN_POINT('',(31.125216,7.8769344,0.)); #520575=CARTESIAN_POINT('',(31.125216,7.8769344,0.)); #520576=CARTESIAN_POINT('',(31.125216,7.8769344,0.035)); #520577=CARTESIAN_POINT('',(31.125216,7.8769344,0.035)); #520578=CARTESIAN_POINT('',(31.125216,7.8769344,0.)); #520579=CARTESIAN_POINT('Origin',(31.142366,7.8519219,0.)); #520580=CARTESIAN_POINT('',(31.142366,7.8519219,0.)); #520581=CARTESIAN_POINT('',(31.142366,7.8519219,0.)); #520582=CARTESIAN_POINT('',(31.142366,7.8519219,0.035)); #520583=CARTESIAN_POINT('',(31.142366,7.8519219,0.035)); #520584=CARTESIAN_POINT('',(31.142366,7.8519219,0.)); #520585=CARTESIAN_POINT('Origin',(31.1484,7.8222,0.)); #520586=CARTESIAN_POINT('',(31.1484,7.8222,0.)); #520587=CARTESIAN_POINT('',(31.1484,7.8222,0.)); #520588=CARTESIAN_POINT('',(31.1484,7.8222,0.035)); #520589=CARTESIAN_POINT('',(31.1484,7.8222,0.035)); #520590=CARTESIAN_POINT('',(31.1484,7.8222,0.)); #520591=CARTESIAN_POINT('Origin',(31.1484,6.8812,0.)); #520592=CARTESIAN_POINT('',(31.1484,6.8812,0.)); #520593=CARTESIAN_POINT('',(31.1484,6.8812,0.)); #520594=CARTESIAN_POINT('',(31.1484,6.8812,0.035)); #520595=CARTESIAN_POINT('',(31.1484,6.8812,0.035)); #520596=CARTESIAN_POINT('',(31.1484,6.8812,0.)); #520597=CARTESIAN_POINT('Origin',(31.143278,6.8537313,0.)); #520598=CARTESIAN_POINT('',(31.143278,6.8537313,0.)); #520599=CARTESIAN_POINT('',(31.143278,6.8537313,0.)); #520600=CARTESIAN_POINT('',(31.143278,6.8537313,0.035)); #520601=CARTESIAN_POINT('',(31.143278,6.8537313,0.035)); #520602=CARTESIAN_POINT('',(31.143278,6.8537313,0.)); #520603=CARTESIAN_POINT('Origin',(31.126934,6.8281844,0.)); #520604=CARTESIAN_POINT('',(31.126934,6.8281844,0.)); #520605=CARTESIAN_POINT('',(31.126934,6.8281844,0.)); #520606=CARTESIAN_POINT('',(31.126934,6.8281844,0.035)); #520607=CARTESIAN_POINT('',(31.126934,6.8281844,0.035)); #520608=CARTESIAN_POINT('',(31.126934,6.8281844,0.)); #520609=CARTESIAN_POINT('Origin',(31.101922,6.8110344,0.)); #520610=CARTESIAN_POINT('',(31.101922,6.8110344,0.)); #520611=CARTESIAN_POINT('',(31.101922,6.8110344,0.)); #520612=CARTESIAN_POINT('',(31.101922,6.8110344,0.035)); #520613=CARTESIAN_POINT('',(31.101922,6.8110344,0.035)); #520614=CARTESIAN_POINT('',(31.101922,6.8110344,0.)); #520615=CARTESIAN_POINT('Origin',(31.0722,6.805,0.)); #520616=CARTESIAN_POINT('',(31.0722,6.805,0.)); #520617=CARTESIAN_POINT('',(31.0722,6.805,0.)); #520618=CARTESIAN_POINT('',(31.0722,6.805,0.035)); #520619=CARTESIAN_POINT('',(31.0722,6.805,0.035)); #520620=CARTESIAN_POINT('',(31.0722,6.805,0.)); #520621=CARTESIAN_POINT('Origin',(30.985678,6.805,0.)); #520622=CARTESIAN_POINT('',(30.985678,6.805,0.)); #520623=CARTESIAN_POINT('',(30.985678,6.805,0.)); #520624=CARTESIAN_POINT('',(30.985678,6.805,0.035)); #520625=CARTESIAN_POINT('',(30.985678,6.805,0.035)); #520626=CARTESIAN_POINT('',(30.985678,6.805,0.)); #520627=CARTESIAN_POINT('Origin',(30.755966,6.70985,0.)); #520628=CARTESIAN_POINT('',(30.755966,6.70985,0.)); #520629=CARTESIAN_POINT('',(30.755966,6.70985,0.)); #520630=CARTESIAN_POINT('',(30.755966,6.70985,0.035)); #520631=CARTESIAN_POINT('',(30.755966,6.70985,0.035)); #520632=CARTESIAN_POINT('',(30.755966,6.70985,0.)); #520633=CARTESIAN_POINT('Origin',(30.58015,6.5340344,0.)); #520634=CARTESIAN_POINT('',(30.58015,6.5340344,0.)); #520635=CARTESIAN_POINT('',(30.58015,6.5340344,0.)); #520636=CARTESIAN_POINT('',(30.58015,6.5340344,0.035)); #520637=CARTESIAN_POINT('',(30.58015,6.5340344,0.035)); #520638=CARTESIAN_POINT('',(30.58015,6.5340344,0.)); #520639=CARTESIAN_POINT('Origin',(30.485,6.3043219,0.)); #520640=CARTESIAN_POINT('',(30.485,6.3043219,0.)); #520641=CARTESIAN_POINT('',(30.485,6.3043219,0.)); #520642=CARTESIAN_POINT('',(30.485,6.3043219,0.035)); #520643=CARTESIAN_POINT('',(30.485,6.3043219,0.035)); #520644=CARTESIAN_POINT('',(30.485,6.3043219,0.)); #520645=CARTESIAN_POINT('Origin',(30.485,6.0556781,0.)); #520646=CARTESIAN_POINT('',(30.485,6.0556781,0.)); #520647=CARTESIAN_POINT('',(30.485,6.0556781,0.)); #520648=CARTESIAN_POINT('',(30.485,6.0556781,0.035)); #520649=CARTESIAN_POINT('',(30.485,6.0556781,0.035)); #520650=CARTESIAN_POINT('',(30.485,6.0556781,0.)); #520651=CARTESIAN_POINT('Origin',(30.58015,5.8259656,0.)); #520652=CARTESIAN_POINT('',(30.58015,5.8259656,0.)); #520653=CARTESIAN_POINT('',(30.58015,5.8259656,0.)); #520654=CARTESIAN_POINT('',(30.58015,5.8259656,0.035)); #520655=CARTESIAN_POINT('',(30.58015,5.8259656,0.035)); #520656=CARTESIAN_POINT('',(30.58015,5.8259656,0.)); #520657=CARTESIAN_POINT('Origin',(30.755966,5.65015,0.)); #520658=CARTESIAN_POINT('',(30.755966,5.65015,0.)); #520659=CARTESIAN_POINT('',(30.755966,5.65015,0.)); #520660=CARTESIAN_POINT('',(30.755966,5.65015,0.035)); #520661=CARTESIAN_POINT('',(30.755966,5.65015,0.035)); #520662=CARTESIAN_POINT('',(30.755966,5.65015,0.)); #520663=CARTESIAN_POINT('Origin',(30.985678,5.555,0.)); #520664=CARTESIAN_POINT('',(30.985678,5.555,0.)); #520665=CARTESIAN_POINT('',(30.985678,5.555,0.)); #520666=CARTESIAN_POINT('',(30.985678,5.555,0.035)); #520667=CARTESIAN_POINT('',(30.985678,5.555,0.035)); #520668=CARTESIAN_POINT('',(30.985678,5.555,0.)); #520669=CARTESIAN_POINT('Origin',(31.234322,5.555,0.)); #520670=CARTESIAN_POINT('',(31.234322,5.555,0.)); #520671=CARTESIAN_POINT('',(31.234322,5.555,0.)); #520672=CARTESIAN_POINT('',(31.234322,5.555,0.035)); #520673=CARTESIAN_POINT('',(31.234322,5.555,0.035)); #520674=CARTESIAN_POINT('',(31.234322,5.555,0.)); #520675=CARTESIAN_POINT('Origin',(31.411431,5.6283594,0.)); #520676=CARTESIAN_POINT('',(31.411431,5.6283594,0.)); #520677=CARTESIAN_POINT('',(31.411431,5.6283594,0.)); #520678=CARTESIAN_POINT('',(31.411431,5.6283594,0.035)); #520679=CARTESIAN_POINT('',(31.411431,5.6283594,0.035)); #520680=CARTESIAN_POINT('',(31.411431,5.6283594,0.)); #520681=CARTESIAN_POINT('Origin',(31.439375,5.63415,0.)); #520682=CARTESIAN_POINT('',(31.439375,5.63415,0.)); #520683=CARTESIAN_POINT('',(31.439375,5.63415,0.)); #520684=CARTESIAN_POINT('',(31.439375,5.63415,0.035)); #520685=CARTESIAN_POINT('',(31.439375,5.63415,0.035)); #520686=CARTESIAN_POINT('',(31.439375,5.63415,0.)); #520687=CARTESIAN_POINT('Origin',(31.469188,5.6285906,0.)); #520688=CARTESIAN_POINT('',(31.469188,5.6285906,0.)); #520689=CARTESIAN_POINT('',(31.469188,5.6285906,0.)); #520690=CARTESIAN_POINT('',(31.469188,5.6285906,0.035)); #520691=CARTESIAN_POINT('',(31.469188,5.6285906,0.035)); #520692=CARTESIAN_POINT('',(31.469188,5.6285906,0.)); #520693=CARTESIAN_POINT('Origin',(31.494472,5.6118406,0.)); #520694=CARTESIAN_POINT('',(31.494472,5.6118406,0.)); #520695=CARTESIAN_POINT('',(31.494472,5.6118406,0.)); #520696=CARTESIAN_POINT('',(31.494472,5.6118406,0.035)); #520697=CARTESIAN_POINT('',(31.494472,5.6118406,0.035)); #520698=CARTESIAN_POINT('',(31.494472,5.6118406,0.)); #520699=CARTESIAN_POINT('Origin',(32.175291,4.9310219,0.)); #520700=CARTESIAN_POINT('',(32.175291,4.9310219,0.)); #520701=CARTESIAN_POINT('',(32.175291,4.9310219,0.)); #520702=CARTESIAN_POINT('',(32.175291,4.9310219,0.035)); #520703=CARTESIAN_POINT('',(32.175291,4.9310219,0.035)); #520704=CARTESIAN_POINT('',(32.175291,4.9310219,0.)); #520705=CARTESIAN_POINT('Origin',(32.195131,4.9150031,0.)); #520706=CARTESIAN_POINT('',(32.195131,4.9150031,0.)); #520707=CARTESIAN_POINT('',(32.195131,4.9150031,0.)); #520708=CARTESIAN_POINT('',(32.195131,4.9150031,0.035)); #520709=CARTESIAN_POINT('',(32.195131,4.9150031,0.035)); #520710=CARTESIAN_POINT('',(32.195131,4.9150031,0.)); #520711=CARTESIAN_POINT('Origin',(32.214272,4.9053531,0.)); #520712=CARTESIAN_POINT('',(32.214272,4.9053531,0.)); #520713=CARTESIAN_POINT('',(32.214272,4.9053531,0.)); #520714=CARTESIAN_POINT('',(32.214272,4.9053531,0.035)); #520715=CARTESIAN_POINT('',(32.214272,4.9053531,0.035)); #520716=CARTESIAN_POINT('',(32.214272,4.9053531,0.)); #520717=CARTESIAN_POINT('Origin',(32.236184,4.8996406,0.)); #520718=CARTESIAN_POINT('',(32.236184,4.8996406,0.)); #520719=CARTESIAN_POINT('',(32.236184,4.8996406,0.)); #520720=CARTESIAN_POINT('',(32.236184,4.8996406,0.035)); #520721=CARTESIAN_POINT('',(32.236184,4.8996406,0.035)); #520722=CARTESIAN_POINT('',(32.236184,4.8996406,0.)); #520723=CARTESIAN_POINT('Origin',(32.252847,4.8984,0.)); #520724=CARTESIAN_POINT('',(32.252847,4.8984,0.)); #520725=CARTESIAN_POINT('',(32.252847,4.8984,0.035)); #520726=CARTESIAN_POINT('Origin',(33.427034,54.5726,0.)); #520727=CARTESIAN_POINT('',(33.427034,54.5726,0.)); #520728=CARTESIAN_POINT('',(33.072966,54.5726,0.)); #520729=CARTESIAN_POINT('',(33.427034,54.5726,0.)); #520730=CARTESIAN_POINT('',(33.072966,54.5726,0.035)); #520731=CARTESIAN_POINT('',(33.072966,54.5726,0.)); #520732=CARTESIAN_POINT('',(33.427034,54.5726,0.035)); #520733=CARTESIAN_POINT('',(33.427034,54.5726,0.035)); #520734=CARTESIAN_POINT('',(33.427034,54.5726,0.)); #520735=CARTESIAN_POINT('Origin',(33.571119,54.716684,0.)); #520736=CARTESIAN_POINT('',(33.571119,54.716684,0.)); #520737=CARTESIAN_POINT('',(33.571119,54.716684,0.)); #520738=CARTESIAN_POINT('',(33.571119,54.716684,0.035)); #520739=CARTESIAN_POINT('',(33.571119,54.716684,0.035)); #520740=CARTESIAN_POINT('',(33.571119,54.716684,0.)); #520741=CARTESIAN_POINT('Origin',(33.594166,54.732484,0.)); #520742=CARTESIAN_POINT('',(33.594166,54.732484,0.)); #520743=CARTESIAN_POINT('',(33.594166,54.732484,0.)); #520744=CARTESIAN_POINT('',(33.594166,54.732484,0.035)); #520745=CARTESIAN_POINT('',(33.594166,54.732484,0.035)); #520746=CARTESIAN_POINT('',(33.594166,54.732484,0.)); #520747=CARTESIAN_POINT('Origin',(33.623784,54.738994,0.)); #520748=CARTESIAN_POINT('',(33.623784,54.738994,0.)); #520749=CARTESIAN_POINT('',(33.623784,54.738994,0.)); #520750=CARTESIAN_POINT('',(33.623784,54.738994,0.035)); #520751=CARTESIAN_POINT('',(33.623784,54.738994,0.035)); #520752=CARTESIAN_POINT('',(33.623784,54.738994,0.)); #520753=CARTESIAN_POINT('Origin',(33.653597,54.733434,0.)); #520754=CARTESIAN_POINT('',(33.653597,54.733434,0.)); #520755=CARTESIAN_POINT('',(33.653597,54.733434,0.)); #520756=CARTESIAN_POINT('',(33.653597,54.733434,0.035)); #520757=CARTESIAN_POINT('',(33.653597,54.733434,0.035)); #520758=CARTESIAN_POINT('',(33.653597,54.733434,0.)); #520759=CARTESIAN_POINT('Origin',(33.678881,54.716684,0.)); #520760=CARTESIAN_POINT('',(33.678881,54.716684,0.)); #520761=CARTESIAN_POINT('',(33.678881,54.716684,0.)); #520762=CARTESIAN_POINT('',(33.678881,54.716684,0.035)); #520763=CARTESIAN_POINT('',(33.678881,54.716684,0.035)); #520764=CARTESIAN_POINT('',(33.678881,54.716684,0.)); #520765=CARTESIAN_POINT('Origin',(33.822966,54.5726,0.)); #520766=CARTESIAN_POINT('',(33.822966,54.5726,0.)); #520767=CARTESIAN_POINT('',(33.822966,54.5726,0.)); #520768=CARTESIAN_POINT('',(33.822966,54.5726,0.035)); #520769=CARTESIAN_POINT('',(33.822966,54.5726,0.035)); #520770=CARTESIAN_POINT('',(33.822966,54.5726,0.)); #520771=CARTESIAN_POINT('Origin',(34.177034,54.5726,0.)); #520772=CARTESIAN_POINT('',(34.177034,54.5726,0.)); #520773=CARTESIAN_POINT('',(34.177034,54.5726,0.)); #520774=CARTESIAN_POINT('',(34.177034,54.5726,0.035)); #520775=CARTESIAN_POINT('',(34.177034,54.5726,0.035)); #520776=CARTESIAN_POINT('',(34.177034,54.5726,0.)); #520777=CARTESIAN_POINT('Origin',(34.321119,54.716684,0.)); #520778=CARTESIAN_POINT('',(34.321119,54.716684,0.)); #520779=CARTESIAN_POINT('',(34.321119,54.716684,0.)); #520780=CARTESIAN_POINT('',(34.321119,54.716684,0.035)); #520781=CARTESIAN_POINT('',(34.321119,54.716684,0.035)); #520782=CARTESIAN_POINT('',(34.321119,54.716684,0.)); #520783=CARTESIAN_POINT('Origin',(34.344166,54.732484,0.)); #520784=CARTESIAN_POINT('',(34.344166,54.732484,0.)); #520785=CARTESIAN_POINT('',(34.344166,54.732484,0.)); #520786=CARTESIAN_POINT('',(34.344166,54.732484,0.035)); #520787=CARTESIAN_POINT('',(34.344166,54.732484,0.035)); #520788=CARTESIAN_POINT('',(34.344166,54.732484,0.)); #520789=CARTESIAN_POINT('Origin',(34.373784,54.738994,0.)); #520790=CARTESIAN_POINT('',(34.373784,54.738994,0.)); #520791=CARTESIAN_POINT('',(34.373784,54.738994,0.)); #520792=CARTESIAN_POINT('',(34.373784,54.738994,0.035)); #520793=CARTESIAN_POINT('',(34.373784,54.738994,0.035)); #520794=CARTESIAN_POINT('',(34.373784,54.738994,0.)); #520795=CARTESIAN_POINT('Origin',(34.403597,54.733434,0.)); #520796=CARTESIAN_POINT('',(34.403597,54.733434,0.)); #520797=CARTESIAN_POINT('',(34.403597,54.733434,0.)); #520798=CARTESIAN_POINT('',(34.403597,54.733434,0.035)); #520799=CARTESIAN_POINT('',(34.403597,54.733434,0.035)); #520800=CARTESIAN_POINT('',(34.403597,54.733434,0.)); #520801=CARTESIAN_POINT('Origin',(34.428881,54.716684,0.)); #520802=CARTESIAN_POINT('',(34.428881,54.716684,0.)); #520803=CARTESIAN_POINT('',(34.428881,54.716684,0.)); #520804=CARTESIAN_POINT('',(34.428881,54.716684,0.035)); #520805=CARTESIAN_POINT('',(34.428881,54.716684,0.035)); #520806=CARTESIAN_POINT('',(34.428881,54.716684,0.)); #520807=CARTESIAN_POINT('Origin',(34.572966,54.5726,0.)); #520808=CARTESIAN_POINT('',(34.572966,54.5726,0.)); #520809=CARTESIAN_POINT('',(34.572966,54.5726,0.)); #520810=CARTESIAN_POINT('',(34.572966,54.5726,0.035)); #520811=CARTESIAN_POINT('',(34.572966,54.5726,0.035)); #520812=CARTESIAN_POINT('',(34.572966,54.5726,0.)); #520813=CARTESIAN_POINT('Origin',(34.927034,54.5726,0.)); #520814=CARTESIAN_POINT('',(34.927034,54.5726,0.)); #520815=CARTESIAN_POINT('',(34.927034,54.5726,0.)); #520816=CARTESIAN_POINT('',(34.927034,54.5726,0.035)); #520817=CARTESIAN_POINT('',(34.927034,54.5726,0.035)); #520818=CARTESIAN_POINT('',(34.927034,54.5726,0.)); #520819=CARTESIAN_POINT('Origin',(35.1774,54.822966,0.)); #520820=CARTESIAN_POINT('',(35.1774,54.822966,0.)); #520821=CARTESIAN_POINT('',(35.1774,54.822966,0.)); #520822=CARTESIAN_POINT('',(35.1774,54.822966,0.035)); #520823=CARTESIAN_POINT('',(35.1774,54.822966,0.035)); #520824=CARTESIAN_POINT('',(35.1774,54.822966,0.)); #520825=CARTESIAN_POINT('Origin',(35.1774,55.177034,0.)); #520826=CARTESIAN_POINT('',(35.1774,55.177034,0.)); #520827=CARTESIAN_POINT('',(35.1774,55.177034,0.)); #520828=CARTESIAN_POINT('',(35.1774,55.177034,0.035)); #520829=CARTESIAN_POINT('',(35.1774,55.177034,0.035)); #520830=CARTESIAN_POINT('',(35.1774,55.177034,0.)); #520831=CARTESIAN_POINT('Origin',(35.000919,55.353516,0.)); #520832=CARTESIAN_POINT('',(35.000919,55.353516,0.)); #520833=CARTESIAN_POINT('',(35.000919,55.353516,0.)); #520834=CARTESIAN_POINT('',(35.000919,55.353516,0.035)); #520835=CARTESIAN_POINT('',(35.000919,55.353516,0.035)); #520836=CARTESIAN_POINT('',(35.000919,55.353516,0.)); #520837=CARTESIAN_POINT('Origin',(34.984634,55.377675,0.)); #520838=CARTESIAN_POINT('',(34.984634,55.377675,0.)); #520839=CARTESIAN_POINT('',(34.984634,55.377675,0.)); #520840=CARTESIAN_POINT('',(34.984634,55.377675,0.035)); #520841=CARTESIAN_POINT('',(34.984634,55.377675,0.035)); #520842=CARTESIAN_POINT('',(34.984634,55.377675,0.)); #520843=CARTESIAN_POINT('Origin',(34.9786,55.407397,0.)); #520844=CARTESIAN_POINT('',(34.9786,55.407397,0.)); #520845=CARTESIAN_POINT('',(34.9786,55.407397,0.)); #520846=CARTESIAN_POINT('',(34.9786,55.407397,0.035)); #520847=CARTESIAN_POINT('',(34.9786,55.407397,0.035)); #520848=CARTESIAN_POINT('',(34.9786,55.407397,0.)); #520849=CARTESIAN_POINT('Origin',(34.9786,55.719691,0.)); #520850=CARTESIAN_POINT('',(34.9786,55.719691,0.)); #520851=CARTESIAN_POINT('',(34.9786,55.719691,0.)); #520852=CARTESIAN_POINT('',(34.9786,55.719691,0.035)); #520853=CARTESIAN_POINT('',(34.9786,55.719691,0.035)); #520854=CARTESIAN_POINT('',(34.9786,55.719691,0.)); #520855=CARTESIAN_POINT('Origin',(34.625919,56.072372,0.)); #520856=CARTESIAN_POINT('',(34.625919,56.072372,0.)); #520857=CARTESIAN_POINT('',(34.625919,56.072372,0.)); #520858=CARTESIAN_POINT('',(34.625919,56.072372,0.035)); #520859=CARTESIAN_POINT('',(34.625919,56.072372,0.035)); #520860=CARTESIAN_POINT('',(34.625919,56.072372,0.)); #520861=CARTESIAN_POINT('Origin',(34.609634,56.096531,0.)); #520862=CARTESIAN_POINT('',(34.609634,56.096531,0.)); #520863=CARTESIAN_POINT('',(34.609634,56.096531,0.)); #520864=CARTESIAN_POINT('',(34.609634,56.096531,0.035)); #520865=CARTESIAN_POINT('',(34.609634,56.096531,0.035)); #520866=CARTESIAN_POINT('',(34.609634,56.096531,0.)); #520867=CARTESIAN_POINT('Origin',(34.6036,56.126253,0.)); #520868=CARTESIAN_POINT('',(34.6036,56.126253,0.)); #520869=CARTESIAN_POINT('',(34.6036,56.126253,0.)); #520870=CARTESIAN_POINT('',(34.6036,56.126253,0.035)); #520871=CARTESIAN_POINT('',(34.6036,56.126253,0.035)); #520872=CARTESIAN_POINT('',(34.6036,56.126253,0.)); #520873=CARTESIAN_POINT('Origin',(34.6036,58.498747,0.)); #520874=CARTESIAN_POINT('',(34.6036,58.498747,0.)); #520875=CARTESIAN_POINT('',(34.6036,58.498747,0.)); #520876=CARTESIAN_POINT('',(34.6036,58.498747,0.035)); #520877=CARTESIAN_POINT('',(34.6036,58.498747,0.035)); #520878=CARTESIAN_POINT('',(34.6036,58.498747,0.)); #520879=CARTESIAN_POINT('Origin',(34.609169,58.527344,0.)); #520880=CARTESIAN_POINT('',(34.609169,58.527344,0.)); #520881=CARTESIAN_POINT('',(34.609169,58.527344,0.)); #520882=CARTESIAN_POINT('',(34.609169,58.527344,0.035)); #520883=CARTESIAN_POINT('',(34.609169,58.527344,0.035)); #520884=CARTESIAN_POINT('',(34.609169,58.527344,0.)); #520885=CARTESIAN_POINT('Origin',(34.625919,58.552628,0.)); #520886=CARTESIAN_POINT('',(34.625919,58.552628,0.)); #520887=CARTESIAN_POINT('',(34.625919,58.552628,0.)); #520888=CARTESIAN_POINT('',(34.625919,58.552628,0.035)); #520889=CARTESIAN_POINT('',(34.625919,58.552628,0.035)); #520890=CARTESIAN_POINT('',(34.625919,58.552628,0.)); #520891=CARTESIAN_POINT('Origin',(35.322372,59.249081,0.)); #520892=CARTESIAN_POINT('',(35.322372,59.249081,0.)); #520893=CARTESIAN_POINT('',(35.322372,59.249081,0.)); #520894=CARTESIAN_POINT('',(35.322372,59.249081,0.035)); #520895=CARTESIAN_POINT('',(35.322372,59.249081,0.035)); #520896=CARTESIAN_POINT('',(35.322372,59.249081,0.)); #520897=CARTESIAN_POINT('Origin',(35.346531,59.265366,0.)); #520898=CARTESIAN_POINT('',(35.346531,59.265366,0.)); #520899=CARTESIAN_POINT('',(35.346531,59.265366,0.)); #520900=CARTESIAN_POINT('',(35.346531,59.265366,0.035)); #520901=CARTESIAN_POINT('',(35.346531,59.265366,0.035)); #520902=CARTESIAN_POINT('',(35.346531,59.265366,0.)); #520903=CARTESIAN_POINT('Origin',(35.376253,59.2714,0.)); #520904=CARTESIAN_POINT('',(35.376253,59.2714,0.)); #520905=CARTESIAN_POINT('',(35.376253,59.2714,0.)); #520906=CARTESIAN_POINT('',(35.376253,59.2714,0.035)); #520907=CARTESIAN_POINT('',(35.376253,59.2714,0.035)); #520908=CARTESIAN_POINT('',(35.376253,59.2714,0.)); #520909=CARTESIAN_POINT('Origin',(36.582691,59.2714,0.)); #520910=CARTESIAN_POINT('',(36.582691,59.2714,0.)); #520911=CARTESIAN_POINT('',(36.582691,59.2714,0.)); #520912=CARTESIAN_POINT('',(36.582691,59.2714,0.035)); #520913=CARTESIAN_POINT('',(36.582691,59.2714,0.035)); #520914=CARTESIAN_POINT('',(36.582691,59.2714,0.)); #520915=CARTESIAN_POINT('Origin',(36.942072,59.630781,0.)); #520916=CARTESIAN_POINT('',(36.942072,59.630781,0.)); #520917=CARTESIAN_POINT('',(36.942072,59.630781,0.)); #520918=CARTESIAN_POINT('',(36.942072,59.630781,0.035)); #520919=CARTESIAN_POINT('',(36.942072,59.630781,0.035)); #520920=CARTESIAN_POINT('',(36.942072,59.630781,0.)); #520921=CARTESIAN_POINT('Origin',(36.966231,59.647066,0.)); #520922=CARTESIAN_POINT('',(36.966231,59.647066,0.)); #520923=CARTESIAN_POINT('',(36.966231,59.647066,0.)); #520924=CARTESIAN_POINT('',(36.966231,59.647066,0.035)); #520925=CARTESIAN_POINT('',(36.966231,59.647066,0.035)); #520926=CARTESIAN_POINT('',(36.966231,59.647066,0.)); #520927=CARTESIAN_POINT('Origin',(36.995953,59.6531,0.)); #520928=CARTESIAN_POINT('',(36.995953,59.6531,0.)); #520929=CARTESIAN_POINT('',(36.995953,59.6531,0.)); #520930=CARTESIAN_POINT('',(36.995953,59.6531,0.035)); #520931=CARTESIAN_POINT('',(36.995953,59.6531,0.035)); #520932=CARTESIAN_POINT('',(36.995953,59.6531,0.)); #520933=CARTESIAN_POINT('Origin',(37.720228,59.6531,0.)); #520934=CARTESIAN_POINT('',(37.720228,59.6531,0.)); #520935=CARTESIAN_POINT('',(37.720228,59.6531,0.)); #520936=CARTESIAN_POINT('',(37.720228,59.6531,0.035)); #520937=CARTESIAN_POINT('',(37.720228,59.6531,0.035)); #520938=CARTESIAN_POINT('',(37.720228,59.6531,0.)); #520939=CARTESIAN_POINT('Origin',(37.8095,59.742372,0.)); #520940=CARTESIAN_POINT('',(37.8095,59.742372,0.)); #520941=CARTESIAN_POINT('',(37.8095,59.742372,0.)); #520942=CARTESIAN_POINT('',(37.8095,59.742372,0.035)); #520943=CARTESIAN_POINT('',(37.8095,59.742372,0.035)); #520944=CARTESIAN_POINT('',(37.8095,59.742372,0.)); #520945=CARTESIAN_POINT('Origin',(37.8095,60.757628,0.)); #520946=CARTESIAN_POINT('',(37.8095,60.757628,0.)); #520947=CARTESIAN_POINT('',(37.8095,60.757628,0.)); #520948=CARTESIAN_POINT('',(37.8095,60.757628,0.035)); #520949=CARTESIAN_POINT('',(37.8095,60.757628,0.035)); #520950=CARTESIAN_POINT('',(37.8095,60.757628,0.)); #520951=CARTESIAN_POINT('Origin',(37.720228,60.8469,0.)); #520952=CARTESIAN_POINT('',(37.720228,60.8469,0.)); #520953=CARTESIAN_POINT('',(37.720228,60.8469,0.)); #520954=CARTESIAN_POINT('',(37.720228,60.8469,0.035)); #520955=CARTESIAN_POINT('',(37.720228,60.8469,0.035)); #520956=CARTESIAN_POINT('',(37.720228,60.8469,0.)); #520957=CARTESIAN_POINT('Origin',(36.755772,60.8469,0.)); #520958=CARTESIAN_POINT('',(36.755772,60.8469,0.)); #520959=CARTESIAN_POINT('',(36.755772,60.8469,0.)); #520960=CARTESIAN_POINT('',(36.755772,60.8469,0.035)); #520961=CARTESIAN_POINT('',(36.755772,60.8469,0.035)); #520962=CARTESIAN_POINT('',(36.755772,60.8469,0.)); #520963=CARTESIAN_POINT('Origin',(36.6665,60.757628,0.)); #520964=CARTESIAN_POINT('',(36.6665,60.757628,0.)); #520965=CARTESIAN_POINT('',(36.6665,60.757628,0.)); #520966=CARTESIAN_POINT('',(36.6665,60.757628,0.035)); #520967=CARTESIAN_POINT('',(36.6665,60.757628,0.035)); #520968=CARTESIAN_POINT('',(36.6665,60.757628,0.)); #520969=CARTESIAN_POINT('Origin',(36.6665,60.033353,0.)); #520970=CARTESIAN_POINT('',(36.6665,60.033353,0.)); #520971=CARTESIAN_POINT('',(36.6665,60.033353,0.)); #520972=CARTESIAN_POINT('',(36.6665,60.033353,0.035)); #520973=CARTESIAN_POINT('',(36.6665,60.033353,0.035)); #520974=CARTESIAN_POINT('',(36.6665,60.033353,0.)); #520975=CARTESIAN_POINT('Origin',(36.660931,60.004756,0.)); #520976=CARTESIAN_POINT('',(36.660931,60.004756,0.)); #520977=CARTESIAN_POINT('',(36.660931,60.004756,0.)); #520978=CARTESIAN_POINT('',(36.660931,60.004756,0.035)); #520979=CARTESIAN_POINT('',(36.660931,60.004756,0.035)); #520980=CARTESIAN_POINT('',(36.660931,60.004756,0.)); #520981=CARTESIAN_POINT('Origin',(36.644181,59.979472,0.)); #520982=CARTESIAN_POINT('',(36.644181,59.979472,0.)); #520983=CARTESIAN_POINT('',(36.644181,59.979472,0.)); #520984=CARTESIAN_POINT('',(36.644181,59.979472,0.035)); #520985=CARTESIAN_POINT('',(36.644181,59.979472,0.035)); #520986=CARTESIAN_POINT('',(36.644181,59.979472,0.)); #520987=CARTESIAN_POINT('Origin',(36.415628,59.750919,0.)); #520988=CARTESIAN_POINT('',(36.415628,59.750919,0.)); #520989=CARTESIAN_POINT('',(36.415628,59.750919,0.)); #520990=CARTESIAN_POINT('',(36.415628,59.750919,0.035)); #520991=CARTESIAN_POINT('',(36.415628,59.750919,0.035)); #520992=CARTESIAN_POINT('',(36.415628,59.750919,0.)); #520993=CARTESIAN_POINT('Origin',(36.391469,59.734634,0.)); #520994=CARTESIAN_POINT('',(36.391469,59.734634,0.)); #520995=CARTESIAN_POINT('',(36.391469,59.734634,0.)); #520996=CARTESIAN_POINT('',(36.391469,59.734634,0.035)); #520997=CARTESIAN_POINT('',(36.391469,59.734634,0.035)); #520998=CARTESIAN_POINT('',(36.391469,59.734634,0.)); #520999=CARTESIAN_POINT('Origin',(36.361747,59.7286,0.)); #521000=CARTESIAN_POINT('',(36.361747,59.7286,0.)); #521001=CARTESIAN_POINT('',(36.361747,59.7286,0.)); #521002=CARTESIAN_POINT('',(36.361747,59.7286,0.035)); #521003=CARTESIAN_POINT('',(36.361747,59.7286,0.035)); #521004=CARTESIAN_POINT('',(36.361747,59.7286,0.)); #521005=CARTESIAN_POINT('Origin',(35.4097,59.7286,0.)); #521006=CARTESIAN_POINT('',(35.4097,59.7286,0.)); #521007=CARTESIAN_POINT('',(35.4097,59.7286,0.)); #521008=CARTESIAN_POINT('',(35.4097,59.7286,0.035)); #521009=CARTESIAN_POINT('',(35.4097,59.7286,0.035)); #521010=CARTESIAN_POINT('',(35.4097,59.7286,0.)); #521011=CARTESIAN_POINT('Origin',(35.382231,59.733722,0.)); #521012=CARTESIAN_POINT('',(35.382231,59.733722,0.)); #521013=CARTESIAN_POINT('',(35.382231,59.733722,0.)); #521014=CARTESIAN_POINT('',(35.382231,59.733722,0.035)); #521015=CARTESIAN_POINT('',(35.382231,59.733722,0.035)); #521016=CARTESIAN_POINT('',(35.382231,59.733722,0.)); #521017=CARTESIAN_POINT('Origin',(35.356684,59.750066,0.)); #521018=CARTESIAN_POINT('',(35.356684,59.750066,0.)); #521019=CARTESIAN_POINT('',(35.356684,59.750066,0.)); #521020=CARTESIAN_POINT('',(35.356684,59.750066,0.035)); #521021=CARTESIAN_POINT('',(35.356684,59.750066,0.035)); #521022=CARTESIAN_POINT('',(35.356684,59.750066,0.)); #521023=CARTESIAN_POINT('Origin',(35.339534,59.775078,0.)); #521024=CARTESIAN_POINT('',(35.339534,59.775078,0.)); #521025=CARTESIAN_POINT('',(35.339534,59.775078,0.)); #521026=CARTESIAN_POINT('',(35.339534,59.775078,0.035)); #521027=CARTESIAN_POINT('',(35.339534,59.775078,0.035)); #521028=CARTESIAN_POINT('',(35.339534,59.775078,0.)); #521029=CARTESIAN_POINT('Origin',(35.3335,59.8048,0.)); #521030=CARTESIAN_POINT('',(35.3335,59.8048,0.)); #521031=CARTESIAN_POINT('',(35.3335,59.8048,0.)); #521032=CARTESIAN_POINT('',(35.3335,59.8048,0.035)); #521033=CARTESIAN_POINT('',(35.3335,59.8048,0.035)); #521034=CARTESIAN_POINT('',(35.3335,59.8048,0.)); #521035=CARTESIAN_POINT('Origin',(35.3335,60.757628,0.)); #521036=CARTESIAN_POINT('',(35.3335,60.757628,0.)); #521037=CARTESIAN_POINT('',(35.3335,60.757628,0.)); #521038=CARTESIAN_POINT('',(35.3335,60.757628,0.035)); #521039=CARTESIAN_POINT('',(35.3335,60.757628,0.035)); #521040=CARTESIAN_POINT('',(35.3335,60.757628,0.)); #521041=CARTESIAN_POINT('Origin',(35.244228,60.8469,0.)); #521042=CARTESIAN_POINT('',(35.244228,60.8469,0.)); #521043=CARTESIAN_POINT('',(35.244228,60.8469,0.)); #521044=CARTESIAN_POINT('',(35.244228,60.8469,0.035)); #521045=CARTESIAN_POINT('',(35.244228,60.8469,0.035)); #521046=CARTESIAN_POINT('',(35.244228,60.8469,0.)); #521047=CARTESIAN_POINT('Origin',(34.279772,60.8469,0.)); #521048=CARTESIAN_POINT('',(34.279772,60.8469,0.)); #521049=CARTESIAN_POINT('',(34.279772,60.8469,0.)); #521050=CARTESIAN_POINT('',(34.279772,60.8469,0.035)); #521051=CARTESIAN_POINT('',(34.279772,60.8469,0.035)); #521052=CARTESIAN_POINT('',(34.279772,60.8469,0.)); #521053=CARTESIAN_POINT('Origin',(34.1905,60.757628,0.)); #521054=CARTESIAN_POINT('',(34.1905,60.757628,0.)); #521055=CARTESIAN_POINT('',(34.1905,60.757628,0.)); #521056=CARTESIAN_POINT('',(34.1905,60.757628,0.035)); #521057=CARTESIAN_POINT('',(34.1905,60.757628,0.035)); #521058=CARTESIAN_POINT('',(34.1905,60.757628,0.)); #521059=CARTESIAN_POINT('Origin',(34.1905,59.804753,0.)); #521060=CARTESIAN_POINT('',(34.1905,59.804753,0.)); #521061=CARTESIAN_POINT('',(34.1905,59.804753,0.)); #521062=CARTESIAN_POINT('',(34.1905,59.804753,0.035)); #521063=CARTESIAN_POINT('',(34.1905,59.804753,0.035)); #521064=CARTESIAN_POINT('',(34.1905,59.804753,0.)); #521065=CARTESIAN_POINT('Origin',(34.185806,59.778422,0.)); #521066=CARTESIAN_POINT('',(34.185806,59.778422,0.)); #521067=CARTESIAN_POINT('',(34.185806,59.778422,0.)); #521068=CARTESIAN_POINT('',(34.185806,59.778422,0.035)); #521069=CARTESIAN_POINT('',(34.185806,59.778422,0.035)); #521070=CARTESIAN_POINT('',(34.185806,59.778422,0.)); #521071=CARTESIAN_POINT('Origin',(34.169872,59.752616,0.)); #521072=CARTESIAN_POINT('',(34.169872,59.752616,0.)); #521073=CARTESIAN_POINT('',(34.169872,59.752616,0.)); #521074=CARTESIAN_POINT('',(34.169872,59.752616,0.035)); #521075=CARTESIAN_POINT('',(34.169872,59.752616,0.035)); #521076=CARTESIAN_POINT('',(34.169872,59.752616,0.)); #521077=CARTESIAN_POINT('Origin',(34.145134,59.735072,0.)); #521078=CARTESIAN_POINT('',(34.145134,59.735072,0.)); #521079=CARTESIAN_POINT('',(34.145134,59.735072,0.)); #521080=CARTESIAN_POINT('',(34.145134,59.735072,0.035)); #521081=CARTESIAN_POINT('',(34.145134,59.735072,0.035)); #521082=CARTESIAN_POINT('',(34.145134,59.735072,0.)); #521083=CARTESIAN_POINT('Origin',(34.115516,59.728563,0.)); #521084=CARTESIAN_POINT('',(34.115516,59.728563,0.)); #521085=CARTESIAN_POINT('',(34.115516,59.728563,0.)); #521086=CARTESIAN_POINT('',(34.115516,59.728563,0.035)); #521087=CARTESIAN_POINT('',(34.115516,59.728563,0.035)); #521088=CARTESIAN_POINT('',(34.115516,59.728563,0.)); #521089=CARTESIAN_POINT('Origin',(34.085703,59.734122,0.)); #521090=CARTESIAN_POINT('',(34.085703,59.734122,0.)); #521091=CARTESIAN_POINT('',(34.085703,59.734122,0.)); #521092=CARTESIAN_POINT('',(34.085703,59.734122,0.035)); #521093=CARTESIAN_POINT('',(34.085703,59.734122,0.035)); #521094=CARTESIAN_POINT('',(34.085703,59.734122,0.)); #521095=CARTESIAN_POINT('Origin',(34.060419,59.750872,0.)); #521096=CARTESIAN_POINT('',(34.060419,59.750872,0.)); #521097=CARTESIAN_POINT('',(34.060419,59.750872,0.)); #521098=CARTESIAN_POINT('',(34.060419,59.750872,0.035)); #521099=CARTESIAN_POINT('',(34.060419,59.750872,0.035)); #521100=CARTESIAN_POINT('',(34.060419,59.750872,0.)); #521101=CARTESIAN_POINT('Origin',(33.831819,59.979472,0.)); #521102=CARTESIAN_POINT('',(33.831819,59.979472,0.)); #521103=CARTESIAN_POINT('',(33.831819,59.979472,0.)); #521104=CARTESIAN_POINT('',(33.831819,59.979472,0.035)); #521105=CARTESIAN_POINT('',(33.831819,59.979472,0.035)); #521106=CARTESIAN_POINT('',(33.831819,59.979472,0.)); #521107=CARTESIAN_POINT('Origin',(33.815534,60.003631,0.)); #521108=CARTESIAN_POINT('',(33.815534,60.003631,0.)); #521109=CARTESIAN_POINT('',(33.815534,60.003631,0.)); #521110=CARTESIAN_POINT('',(33.815534,60.003631,0.035)); #521111=CARTESIAN_POINT('',(33.815534,60.003631,0.035)); #521112=CARTESIAN_POINT('',(33.815534,60.003631,0.)); #521113=CARTESIAN_POINT('Origin',(33.8095,60.033353,0.)); #521114=CARTESIAN_POINT('',(33.8095,60.033353,0.)); #521115=CARTESIAN_POINT('',(33.8095,60.033353,0.)); #521116=CARTESIAN_POINT('',(33.8095,60.033353,0.035)); #521117=CARTESIAN_POINT('',(33.8095,60.033353,0.035)); #521118=CARTESIAN_POINT('',(33.8095,60.033353,0.)); #521119=CARTESIAN_POINT('Origin',(33.8095,60.757628,0.)); #521120=CARTESIAN_POINT('',(33.8095,60.757628,0.)); #521121=CARTESIAN_POINT('',(33.8095,60.757628,0.)); #521122=CARTESIAN_POINT('',(33.8095,60.757628,0.035)); #521123=CARTESIAN_POINT('',(33.8095,60.757628,0.035)); #521124=CARTESIAN_POINT('',(33.8095,60.757628,0.)); #521125=CARTESIAN_POINT('Origin',(33.720228,60.8469,0.)); #521126=CARTESIAN_POINT('',(33.720228,60.8469,0.)); #521127=CARTESIAN_POINT('',(33.720228,60.8469,0.)); #521128=CARTESIAN_POINT('',(33.720228,60.8469,0.035)); #521129=CARTESIAN_POINT('',(33.720228,60.8469,0.035)); #521130=CARTESIAN_POINT('',(33.720228,60.8469,0.)); #521131=CARTESIAN_POINT('Origin',(32.755772,60.8469,0.)); #521132=CARTESIAN_POINT('',(32.755772,60.8469,0.)); #521133=CARTESIAN_POINT('',(32.755772,60.8469,0.)); #521134=CARTESIAN_POINT('',(32.755772,60.8469,0.035)); #521135=CARTESIAN_POINT('',(32.755772,60.8469,0.035)); #521136=CARTESIAN_POINT('',(32.755772,60.8469,0.)); #521137=CARTESIAN_POINT('Origin',(32.6665,60.757628,0.)); #521138=CARTESIAN_POINT('',(32.6665,60.757628,0.)); #521139=CARTESIAN_POINT('',(32.6665,60.757628,0.)); #521140=CARTESIAN_POINT('',(32.6665,60.757628,0.035)); #521141=CARTESIAN_POINT('',(32.6665,60.757628,0.035)); #521142=CARTESIAN_POINT('',(32.6665,60.757628,0.)); #521143=CARTESIAN_POINT('Origin',(32.6665,59.8048,0.)); #521144=CARTESIAN_POINT('',(32.6665,59.8048,0.)); #521145=CARTESIAN_POINT('',(32.6665,59.8048,0.)); #521146=CARTESIAN_POINT('',(32.6665,59.8048,0.035)); #521147=CARTESIAN_POINT('',(32.6665,59.8048,0.035)); #521148=CARTESIAN_POINT('',(32.6665,59.8048,0.)); #521149=CARTESIAN_POINT('Origin',(32.661378,59.777331,0.)); #521150=CARTESIAN_POINT('',(32.661378,59.777331,0.)); #521151=CARTESIAN_POINT('',(32.661378,59.777331,0.)); #521152=CARTESIAN_POINT('',(32.661378,59.777331,0.035)); #521153=CARTESIAN_POINT('',(32.661378,59.777331,0.035)); #521154=CARTESIAN_POINT('',(32.661378,59.777331,0.)); #521155=CARTESIAN_POINT('Origin',(32.645034,59.751784,0.)); #521156=CARTESIAN_POINT('',(32.645034,59.751784,0.)); #521157=CARTESIAN_POINT('',(32.645034,59.751784,0.)); #521158=CARTESIAN_POINT('',(32.645034,59.751784,0.035)); #521159=CARTESIAN_POINT('',(32.645034,59.751784,0.035)); #521160=CARTESIAN_POINT('',(32.645034,59.751784,0.)); #521161=CARTESIAN_POINT('Origin',(32.620022,59.734634,0.)); #521162=CARTESIAN_POINT('',(32.620022,59.734634,0.)); #521163=CARTESIAN_POINT('',(32.620022,59.734634,0.)); #521164=CARTESIAN_POINT('',(32.620022,59.734634,0.035)); #521165=CARTESIAN_POINT('',(32.620022,59.734634,0.035)); #521166=CARTESIAN_POINT('',(32.620022,59.734634,0.)); #521167=CARTESIAN_POINT('Origin',(32.5903,59.7286,0.)); #521168=CARTESIAN_POINT('',(32.5903,59.7286,0.)); #521169=CARTESIAN_POINT('',(32.5903,59.7286,0.)); #521170=CARTESIAN_POINT('',(32.5903,59.7286,0.035)); #521171=CARTESIAN_POINT('',(32.5903,59.7286,0.035)); #521172=CARTESIAN_POINT('',(32.5903,59.7286,0.)); #521173=CARTESIAN_POINT('Origin',(31.4097,59.7286,0.)); #521174=CARTESIAN_POINT('',(31.4097,59.7286,0.)); #521175=CARTESIAN_POINT('',(31.4097,59.7286,0.)); #521176=CARTESIAN_POINT('',(31.4097,59.7286,0.035)); #521177=CARTESIAN_POINT('',(31.4097,59.7286,0.035)); #521178=CARTESIAN_POINT('',(31.4097,59.7286,0.)); #521179=CARTESIAN_POINT('Origin',(31.382231,59.733722,0.)); #521180=CARTESIAN_POINT('',(31.382231,59.733722,0.)); #521181=CARTESIAN_POINT('',(31.382231,59.733722,0.)); #521182=CARTESIAN_POINT('',(31.382231,59.733722,0.035)); #521183=CARTESIAN_POINT('',(31.382231,59.733722,0.035)); #521184=CARTESIAN_POINT('',(31.382231,59.733722,0.)); #521185=CARTESIAN_POINT('Origin',(31.356684,59.750066,0.)); #521186=CARTESIAN_POINT('',(31.356684,59.750066,0.)); #521187=CARTESIAN_POINT('',(31.356684,59.750066,0.)); #521188=CARTESIAN_POINT('',(31.356684,59.750066,0.035)); #521189=CARTESIAN_POINT('',(31.356684,59.750066,0.035)); #521190=CARTESIAN_POINT('',(31.356684,59.750066,0.)); #521191=CARTESIAN_POINT('Origin',(31.339534,59.775078,0.)); #521192=CARTESIAN_POINT('',(31.339534,59.775078,0.)); #521193=CARTESIAN_POINT('',(31.339534,59.775078,0.)); #521194=CARTESIAN_POINT('',(31.339534,59.775078,0.035)); #521195=CARTESIAN_POINT('',(31.339534,59.775078,0.035)); #521196=CARTESIAN_POINT('',(31.339534,59.775078,0.)); #521197=CARTESIAN_POINT('Origin',(31.3335,59.8048,0.)); #521198=CARTESIAN_POINT('',(31.3335,59.8048,0.)); #521199=CARTESIAN_POINT('',(31.3335,59.8048,0.)); #521200=CARTESIAN_POINT('',(31.3335,59.8048,0.035)); #521201=CARTESIAN_POINT('',(31.3335,59.8048,0.035)); #521202=CARTESIAN_POINT('',(31.3335,59.8048,0.)); #521203=CARTESIAN_POINT('Origin',(31.3335,60.757628,0.)); #521204=CARTESIAN_POINT('',(31.3335,60.757628,0.)); #521205=CARTESIAN_POINT('',(31.3335,60.757628,0.)); #521206=CARTESIAN_POINT('',(31.3335,60.757628,0.035)); #521207=CARTESIAN_POINT('',(31.3335,60.757628,0.035)); #521208=CARTESIAN_POINT('',(31.3335,60.757628,0.)); #521209=CARTESIAN_POINT('Origin',(31.244228,60.8469,0.)); #521210=CARTESIAN_POINT('',(31.244228,60.8469,0.)); #521211=CARTESIAN_POINT('',(31.244228,60.8469,0.)); #521212=CARTESIAN_POINT('',(31.244228,60.8469,0.035)); #521213=CARTESIAN_POINT('',(31.244228,60.8469,0.035)); #521214=CARTESIAN_POINT('',(31.244228,60.8469,0.)); #521215=CARTESIAN_POINT('Origin',(30.279772,60.8469,0.)); #521216=CARTESIAN_POINT('',(30.279772,60.8469,0.)); #521217=CARTESIAN_POINT('',(30.279772,60.8469,0.)); #521218=CARTESIAN_POINT('',(30.279772,60.8469,0.035)); #521219=CARTESIAN_POINT('',(30.279772,60.8469,0.035)); #521220=CARTESIAN_POINT('',(30.279772,60.8469,0.)); #521221=CARTESIAN_POINT('Origin',(30.1905,60.757628,0.)); #521222=CARTESIAN_POINT('',(30.1905,60.757628,0.)); #521223=CARTESIAN_POINT('',(30.1905,60.757628,0.)); #521224=CARTESIAN_POINT('',(30.1905,60.757628,0.035)); #521225=CARTESIAN_POINT('',(30.1905,60.757628,0.035)); #521226=CARTESIAN_POINT('',(30.1905,60.757628,0.)); #521227=CARTESIAN_POINT('Origin',(30.1905,59.8048,0.)); #521228=CARTESIAN_POINT('',(30.1905,59.8048,0.)); #521229=CARTESIAN_POINT('',(30.1905,59.8048,0.)); #521230=CARTESIAN_POINT('',(30.1905,59.8048,0.035)); #521231=CARTESIAN_POINT('',(30.1905,59.8048,0.035)); #521232=CARTESIAN_POINT('',(30.1905,59.8048,0.)); #521233=CARTESIAN_POINT('Origin',(30.185378,59.777331,0.)); #521234=CARTESIAN_POINT('',(30.185378,59.777331,0.)); #521235=CARTESIAN_POINT('',(30.185378,59.777331,0.)); #521236=CARTESIAN_POINT('',(30.185378,59.777331,0.035)); #521237=CARTESIAN_POINT('',(30.185378,59.777331,0.035)); #521238=CARTESIAN_POINT('',(30.185378,59.777331,0.)); #521239=CARTESIAN_POINT('Origin',(30.169034,59.751784,0.)); #521240=CARTESIAN_POINT('',(30.169034,59.751784,0.)); #521241=CARTESIAN_POINT('',(30.169034,59.751784,0.)); #521242=CARTESIAN_POINT('',(30.169034,59.751784,0.035)); #521243=CARTESIAN_POINT('',(30.169034,59.751784,0.035)); #521244=CARTESIAN_POINT('',(30.169034,59.751784,0.)); #521245=CARTESIAN_POINT('Origin',(30.144022,59.734634,0.)); #521246=CARTESIAN_POINT('',(30.144022,59.734634,0.)); #521247=CARTESIAN_POINT('',(30.144022,59.734634,0.)); #521248=CARTESIAN_POINT('',(30.144022,59.734634,0.035)); #521249=CARTESIAN_POINT('',(30.144022,59.734634,0.035)); #521250=CARTESIAN_POINT('',(30.144022,59.734634,0.)); #521251=CARTESIAN_POINT('Origin',(30.1143,59.7286,0.)); #521252=CARTESIAN_POINT('',(30.1143,59.7286,0.)); #521253=CARTESIAN_POINT('',(30.1143,59.7286,0.)); #521254=CARTESIAN_POINT('',(30.1143,59.7286,0.035)); #521255=CARTESIAN_POINT('',(30.1143,59.7286,0.035)); #521256=CARTESIAN_POINT('',(30.1143,59.7286,0.)); #521257=CARTESIAN_POINT('Origin',(30.085656,59.734169,0.)); #521258=CARTESIAN_POINT('',(30.085656,59.734169,0.)); #521259=CARTESIAN_POINT('',(30.085656,59.734169,0.)); #521260=CARTESIAN_POINT('',(30.085656,59.734169,0.035)); #521261=CARTESIAN_POINT('',(30.085656,59.734169,0.035)); #521262=CARTESIAN_POINT('',(30.085656,59.734169,0.)); #521263=CARTESIAN_POINT('Origin',(30.060372,59.750919,0.)); #521264=CARTESIAN_POINT('',(30.060372,59.750919,0.)); #521265=CARTESIAN_POINT('',(30.060372,59.750919,0.)); #521266=CARTESIAN_POINT('',(30.060372,59.750919,0.035)); #521267=CARTESIAN_POINT('',(30.060372,59.750919,0.035)); #521268=CARTESIAN_POINT('',(30.060372,59.750919,0.)); #521269=CARTESIAN_POINT('Origin',(29.831819,59.979472,0.)); #521270=CARTESIAN_POINT('',(29.831819,59.979472,0.)); #521271=CARTESIAN_POINT('',(29.831819,59.979472,0.)); #521272=CARTESIAN_POINT('',(29.831819,59.979472,0.035)); #521273=CARTESIAN_POINT('',(29.831819,59.979472,0.035)); #521274=CARTESIAN_POINT('',(29.831819,59.979472,0.)); #521275=CARTESIAN_POINT('Origin',(29.815534,60.003631,0.)); #521276=CARTESIAN_POINT('',(29.815534,60.003631,0.)); #521277=CARTESIAN_POINT('',(29.815534,60.003631,0.)); #521278=CARTESIAN_POINT('',(29.815534,60.003631,0.035)); #521279=CARTESIAN_POINT('',(29.815534,60.003631,0.035)); #521280=CARTESIAN_POINT('',(29.815534,60.003631,0.)); #521281=CARTESIAN_POINT('Origin',(29.8095,60.033353,0.)); #521282=CARTESIAN_POINT('',(29.8095,60.033353,0.)); #521283=CARTESIAN_POINT('',(29.8095,60.033353,0.)); #521284=CARTESIAN_POINT('',(29.8095,60.033353,0.035)); #521285=CARTESIAN_POINT('',(29.8095,60.033353,0.035)); #521286=CARTESIAN_POINT('',(29.8095,60.033353,0.)); #521287=CARTESIAN_POINT('Origin',(29.8095,60.757628,0.)); #521288=CARTESIAN_POINT('',(29.8095,60.757628,0.)); #521289=CARTESIAN_POINT('',(29.8095,60.757628,0.)); #521290=CARTESIAN_POINT('',(29.8095,60.757628,0.035)); #521291=CARTESIAN_POINT('',(29.8095,60.757628,0.035)); #521292=CARTESIAN_POINT('',(29.8095,60.757628,0.)); #521293=CARTESIAN_POINT('Origin',(29.720228,60.8469,0.)); #521294=CARTESIAN_POINT('',(29.720228,60.8469,0.)); #521295=CARTESIAN_POINT('',(29.720228,60.8469,0.)); #521296=CARTESIAN_POINT('',(29.720228,60.8469,0.035)); #521297=CARTESIAN_POINT('',(29.720228,60.8469,0.035)); #521298=CARTESIAN_POINT('',(29.720228,60.8469,0.)); #521299=CARTESIAN_POINT('Origin',(28.755772,60.8469,0.)); #521300=CARTESIAN_POINT('',(28.755772,60.8469,0.)); #521301=CARTESIAN_POINT('',(28.755772,60.8469,0.)); #521302=CARTESIAN_POINT('',(28.755772,60.8469,0.035)); #521303=CARTESIAN_POINT('',(28.755772,60.8469,0.035)); #521304=CARTESIAN_POINT('',(28.755772,60.8469,0.)); #521305=CARTESIAN_POINT('Origin',(28.6665,60.757628,0.)); #521306=CARTESIAN_POINT('',(28.6665,60.757628,0.)); #521307=CARTESIAN_POINT('',(28.6665,60.757628,0.)); #521308=CARTESIAN_POINT('',(28.6665,60.757628,0.035)); #521309=CARTESIAN_POINT('',(28.6665,60.757628,0.035)); #521310=CARTESIAN_POINT('',(28.6665,60.757628,0.)); #521311=CARTESIAN_POINT('Origin',(28.6665,59.742372,0.)); #521312=CARTESIAN_POINT('',(28.6665,59.742372,0.)); #521313=CARTESIAN_POINT('',(28.6665,59.742372,0.)); #521314=CARTESIAN_POINT('',(28.6665,59.742372,0.035)); #521315=CARTESIAN_POINT('',(28.6665,59.742372,0.035)); #521316=CARTESIAN_POINT('',(28.6665,59.742372,0.)); #521317=CARTESIAN_POINT('Origin',(28.755772,59.6531,0.)); #521318=CARTESIAN_POINT('',(28.755772,59.6531,0.)); #521319=CARTESIAN_POINT('',(28.755772,59.6531,0.)); #521320=CARTESIAN_POINT('',(28.755772,59.6531,0.035)); #521321=CARTESIAN_POINT('',(28.755772,59.6531,0.035)); #521322=CARTESIAN_POINT('',(28.755772,59.6531,0.)); #521323=CARTESIAN_POINT('Origin',(29.480047,59.6531,0.)); #521324=CARTESIAN_POINT('',(29.480047,59.6531,0.)); #521325=CARTESIAN_POINT('',(29.480047,59.6531,0.)); #521326=CARTESIAN_POINT('',(29.480047,59.6531,0.035)); #521327=CARTESIAN_POINT('',(29.480047,59.6531,0.035)); #521328=CARTESIAN_POINT('',(29.480047,59.6531,0.)); #521329=CARTESIAN_POINT('Origin',(29.508644,59.647531,0.)); #521330=CARTESIAN_POINT('',(29.508644,59.647531,0.)); #521331=CARTESIAN_POINT('',(29.508644,59.647531,0.)); #521332=CARTESIAN_POINT('',(29.508644,59.647531,0.035)); #521333=CARTESIAN_POINT('',(29.508644,59.647531,0.035)); #521334=CARTESIAN_POINT('',(29.508644,59.647531,0.)); #521335=CARTESIAN_POINT('Origin',(29.533928,59.630781,0.)); #521336=CARTESIAN_POINT('',(29.533928,59.630781,0.)); #521337=CARTESIAN_POINT('',(29.533928,59.630781,0.)); #521338=CARTESIAN_POINT('',(29.533928,59.630781,0.035)); #521339=CARTESIAN_POINT('',(29.533928,59.630781,0.035)); #521340=CARTESIAN_POINT('',(29.533928,59.630781,0.)); #521341=CARTESIAN_POINT('Origin',(29.893309,59.2714,0.)); #521342=CARTESIAN_POINT('',(29.893309,59.2714,0.)); #521343=CARTESIAN_POINT('',(29.893309,59.2714,0.)); #521344=CARTESIAN_POINT('',(29.893309,59.2714,0.035)); #521345=CARTESIAN_POINT('',(29.893309,59.2714,0.035)); #521346=CARTESIAN_POINT('',(29.893309,59.2714,0.)); #521347=CARTESIAN_POINT('Origin',(32.623747,59.2714,0.)); #521348=CARTESIAN_POINT('',(32.623747,59.2714,0.)); #521349=CARTESIAN_POINT('',(32.623747,59.2714,0.)); #521350=CARTESIAN_POINT('',(32.623747,59.2714,0.035)); #521351=CARTESIAN_POINT('',(32.623747,59.2714,0.035)); #521352=CARTESIAN_POINT('',(32.623747,59.2714,0.)); #521353=CARTESIAN_POINT('Origin',(32.652344,59.265831,0.)); #521354=CARTESIAN_POINT('',(32.652344,59.265831,0.)); #521355=CARTESIAN_POINT('',(32.652344,59.265831,0.)); #521356=CARTESIAN_POINT('',(32.652344,59.265831,0.035)); #521357=CARTESIAN_POINT('',(32.652344,59.265831,0.035)); #521358=CARTESIAN_POINT('',(32.652344,59.265831,0.)); #521359=CARTESIAN_POINT('Origin',(32.677628,59.249081,0.)); #521360=CARTESIAN_POINT('',(32.677628,59.249081,0.)); #521361=CARTESIAN_POINT('',(32.677628,59.249081,0.)); #521362=CARTESIAN_POINT('',(32.677628,59.249081,0.035)); #521363=CARTESIAN_POINT('',(32.677628,59.249081,0.035)); #521364=CARTESIAN_POINT('',(32.677628,59.249081,0.)); #521365=CARTESIAN_POINT('Origin',(33.374081,58.552628,0.)); #521366=CARTESIAN_POINT('',(33.374081,58.552628,0.)); #521367=CARTESIAN_POINT('',(33.374081,58.552628,0.)); #521368=CARTESIAN_POINT('',(33.374081,58.552628,0.035)); #521369=CARTESIAN_POINT('',(33.374081,58.552628,0.035)); #521370=CARTESIAN_POINT('',(33.374081,58.552628,0.)); #521371=CARTESIAN_POINT('Origin',(33.390366,58.528469,0.)); #521372=CARTESIAN_POINT('',(33.390366,58.528469,0.)); #521373=CARTESIAN_POINT('',(33.390366,58.528469,0.)); #521374=CARTESIAN_POINT('',(33.390366,58.528469,0.035)); #521375=CARTESIAN_POINT('',(33.390366,58.528469,0.035)); #521376=CARTESIAN_POINT('',(33.390366,58.528469,0.)); #521377=CARTESIAN_POINT('Origin',(33.3964,58.498747,0.)); #521378=CARTESIAN_POINT('',(33.3964,58.498747,0.)); #521379=CARTESIAN_POINT('',(33.3964,58.498747,0.)); #521380=CARTESIAN_POINT('',(33.3964,58.498747,0.035)); #521381=CARTESIAN_POINT('',(33.3964,58.498747,0.035)); #521382=CARTESIAN_POINT('',(33.3964,58.498747,0.)); #521383=CARTESIAN_POINT('Origin',(33.3964,56.126253,0.)); #521384=CARTESIAN_POINT('',(33.3964,56.126253,0.)); #521385=CARTESIAN_POINT('',(33.3964,56.126253,0.)); #521386=CARTESIAN_POINT('',(33.3964,56.126253,0.035)); #521387=CARTESIAN_POINT('',(33.3964,56.126253,0.035)); #521388=CARTESIAN_POINT('',(33.3964,56.126253,0.)); #521389=CARTESIAN_POINT('Origin',(33.390831,56.097656,0.)); #521390=CARTESIAN_POINT('',(33.390831,56.097656,0.)); #521391=CARTESIAN_POINT('',(33.390831,56.097656,0.)); #521392=CARTESIAN_POINT('',(33.390831,56.097656,0.035)); #521393=CARTESIAN_POINT('',(33.390831,56.097656,0.035)); #521394=CARTESIAN_POINT('',(33.390831,56.097656,0.)); #521395=CARTESIAN_POINT('Origin',(33.374081,56.072372,0.)); #521396=CARTESIAN_POINT('',(33.374081,56.072372,0.)); #521397=CARTESIAN_POINT('',(33.374081,56.072372,0.)); #521398=CARTESIAN_POINT('',(33.374081,56.072372,0.035)); #521399=CARTESIAN_POINT('',(33.374081,56.072372,0.035)); #521400=CARTESIAN_POINT('',(33.374081,56.072372,0.)); #521401=CARTESIAN_POINT('Origin',(33.0214,55.719691,0.)); #521402=CARTESIAN_POINT('',(33.0214,55.719691,0.)); #521403=CARTESIAN_POINT('',(33.0214,55.719691,0.)); #521404=CARTESIAN_POINT('',(33.0214,55.719691,0.035)); #521405=CARTESIAN_POINT('',(33.0214,55.719691,0.035)); #521406=CARTESIAN_POINT('',(33.0214,55.719691,0.)); #521407=CARTESIAN_POINT('Origin',(33.0214,55.407397,0.)); #521408=CARTESIAN_POINT('',(33.0214,55.407397,0.)); #521409=CARTESIAN_POINT('',(33.0214,55.407397,0.)); #521410=CARTESIAN_POINT('',(33.0214,55.407397,0.035)); #521411=CARTESIAN_POINT('',(33.0214,55.407397,0.035)); #521412=CARTESIAN_POINT('',(33.0214,55.407397,0.)); #521413=CARTESIAN_POINT('Origin',(33.015831,55.3788,0.)); #521414=CARTESIAN_POINT('',(33.015831,55.3788,0.)); #521415=CARTESIAN_POINT('',(33.015831,55.3788,0.)); #521416=CARTESIAN_POINT('',(33.015831,55.3788,0.035)); #521417=CARTESIAN_POINT('',(33.015831,55.3788,0.035)); #521418=CARTESIAN_POINT('',(33.015831,55.3788,0.)); #521419=CARTESIAN_POINT('Origin',(32.999081,55.353516,0.)); #521420=CARTESIAN_POINT('',(32.999081,55.353516,0.)); #521421=CARTESIAN_POINT('',(32.999081,55.353516,0.)); #521422=CARTESIAN_POINT('',(32.999081,55.353516,0.035)); #521423=CARTESIAN_POINT('',(32.999081,55.353516,0.035)); #521424=CARTESIAN_POINT('',(32.999081,55.353516,0.)); #521425=CARTESIAN_POINT('Origin',(32.8226,55.177034,0.)); #521426=CARTESIAN_POINT('',(32.8226,55.177034,0.)); #521427=CARTESIAN_POINT('',(32.8226,55.177034,0.)); #521428=CARTESIAN_POINT('',(32.8226,55.177034,0.035)); #521429=CARTESIAN_POINT('',(32.8226,55.177034,0.035)); #521430=CARTESIAN_POINT('',(32.8226,55.177034,0.)); #521431=CARTESIAN_POINT('Origin',(32.8226,54.822966,0.)); #521432=CARTESIAN_POINT('',(32.8226,54.822966,0.)); #521433=CARTESIAN_POINT('',(32.8226,54.822966,0.)); #521434=CARTESIAN_POINT('',(32.8226,54.822966,0.035)); #521435=CARTESIAN_POINT('',(32.8226,54.822966,0.035)); #521436=CARTESIAN_POINT('',(32.8226,54.822966,0.)); #521437=CARTESIAN_POINT('Origin',(33.072966,54.5726,0.)); #521438=CARTESIAN_POINT('',(33.072966,54.5726,0.)); #521439=CARTESIAN_POINT('',(33.072966,54.5726,0.035)); #521440=CARTESIAN_POINT('Origin',(39.244228,59.6531,0.)); #521441=CARTESIAN_POINT('',(39.244228,59.6531,0.)); #521442=CARTESIAN_POINT('',(38.279772,59.6531,0.)); #521443=CARTESIAN_POINT('',(39.244228,59.6531,0.)); #521444=CARTESIAN_POINT('',(38.279772,59.6531,0.035)); #521445=CARTESIAN_POINT('',(38.279772,59.6531,0.)); #521446=CARTESIAN_POINT('',(39.244228,59.6531,0.035)); #521447=CARTESIAN_POINT('',(39.244228,59.6531,0.035)); #521448=CARTESIAN_POINT('',(39.244228,59.6531,0.)); #521449=CARTESIAN_POINT('Origin',(39.3335,59.742372,0.)); #521450=CARTESIAN_POINT('',(39.3335,59.742372,0.)); #521451=CARTESIAN_POINT('',(39.3335,59.742372,0.)); #521452=CARTESIAN_POINT('',(39.3335,59.742372,0.035)); #521453=CARTESIAN_POINT('',(39.3335,59.742372,0.035)); #521454=CARTESIAN_POINT('',(39.3335,59.742372,0.)); #521455=CARTESIAN_POINT('Origin',(39.3335,60.757628,0.)); #521456=CARTESIAN_POINT('',(39.3335,60.757628,0.)); #521457=CARTESIAN_POINT('',(39.3335,60.757628,0.)); #521458=CARTESIAN_POINT('',(39.3335,60.757628,0.035)); #521459=CARTESIAN_POINT('',(39.3335,60.757628,0.035)); #521460=CARTESIAN_POINT('',(39.3335,60.757628,0.)); #521461=CARTESIAN_POINT('Origin',(39.244228,60.8469,0.)); #521462=CARTESIAN_POINT('',(39.244228,60.8469,0.)); #521463=CARTESIAN_POINT('',(39.244228,60.8469,0.)); #521464=CARTESIAN_POINT('',(39.244228,60.8469,0.035)); #521465=CARTESIAN_POINT('',(39.244228,60.8469,0.035)); #521466=CARTESIAN_POINT('',(39.244228,60.8469,0.)); #521467=CARTESIAN_POINT('Origin',(38.279772,60.8469,0.)); #521468=CARTESIAN_POINT('',(38.279772,60.8469,0.)); #521469=CARTESIAN_POINT('',(38.279772,60.8469,0.)); #521470=CARTESIAN_POINT('',(38.279772,60.8469,0.035)); #521471=CARTESIAN_POINT('',(38.279772,60.8469,0.035)); #521472=CARTESIAN_POINT('',(38.279772,60.8469,0.)); #521473=CARTESIAN_POINT('Origin',(38.1905,60.757628,0.)); #521474=CARTESIAN_POINT('',(38.1905,60.757628,0.)); #521475=CARTESIAN_POINT('',(38.1905,60.757628,0.)); #521476=CARTESIAN_POINT('',(38.1905,60.757628,0.035)); #521477=CARTESIAN_POINT('',(38.1905,60.757628,0.035)); #521478=CARTESIAN_POINT('',(38.1905,60.757628,0.)); #521479=CARTESIAN_POINT('Origin',(38.1905,59.742372,0.)); #521480=CARTESIAN_POINT('',(38.1905,59.742372,0.)); #521481=CARTESIAN_POINT('',(38.1905,59.742372,0.)); #521482=CARTESIAN_POINT('',(38.1905,59.742372,0.035)); #521483=CARTESIAN_POINT('',(38.1905,59.742372,0.035)); #521484=CARTESIAN_POINT('',(38.1905,59.742372,0.)); #521485=CARTESIAN_POINT('Origin',(38.279772,59.6531,0.)); #521486=CARTESIAN_POINT('',(38.279772,59.6531,0.)); #521487=CARTESIAN_POINT('',(38.279772,59.6531,0.035)); #521488=CARTESIAN_POINT('Origin',(34.003988,0.37630313,0.)); #521489=CARTESIAN_POINT('',(34.003988,0.37630313,0.)); #521490=CARTESIAN_POINT('',(37.510666,0.56008125,0.)); #521491=CARTESIAN_POINT('',(34.003988,0.37630313,0.)); #521492=CARTESIAN_POINT('',(37.510666,0.56008125,0.035)); #521493=CARTESIAN_POINT('',(37.510666,0.56008125,0.)); #521494=CARTESIAN_POINT('',(34.003988,0.37630313,0.035)); #521495=CARTESIAN_POINT('',(34.003988,0.37630313,0.035)); #521496=CARTESIAN_POINT('',(34.003988,0.37630313,0.)); #521497=CARTESIAN_POINT('Origin',(33.996012,0.37630313,0.)); #521498=CARTESIAN_POINT('',(33.996012,0.37630313,0.)); #521499=CARTESIAN_POINT('',(33.996012,0.37630313,0.)); #521500=CARTESIAN_POINT('',(33.996012,0.37630313,0.035)); #521501=CARTESIAN_POINT('',(33.996012,0.37630313,0.035)); #521502=CARTESIAN_POINT('',(33.996012,0.37630313,0.)); #521503=CARTESIAN_POINT('Origin',(30.489334,0.56008125,0.)); #521504=CARTESIAN_POINT('',(30.489334,0.56008125,0.)); #521505=CARTESIAN_POINT('',(30.489334,0.56008125,0.)); #521506=CARTESIAN_POINT('',(30.489334,0.56008125,0.035)); #521507=CARTESIAN_POINT('',(30.489334,0.56008125,0.035)); #521508=CARTESIAN_POINT('',(30.489334,0.56008125,0.)); #521509=CARTESIAN_POINT('Origin',(30.4814,0.56091563,0.)); #521510=CARTESIAN_POINT('',(30.4814,0.56091563,0.)); #521511=CARTESIAN_POINT('',(30.4814,0.56091563,0.)); #521512=CARTESIAN_POINT('',(30.4814,0.56091563,0.035)); #521513=CARTESIAN_POINT('',(30.4814,0.56091563,0.035)); #521514=CARTESIAN_POINT('',(30.4814,0.56091563,0.)); #521515=CARTESIAN_POINT('Origin',(27.013141,1.1102344,0.)); #521516=CARTESIAN_POINT('',(27.013141,1.1102344,0.)); #521517=CARTESIAN_POINT('',(27.013141,1.1102344,0.)); #521518=CARTESIAN_POINT('',(27.013141,1.1102344,0.035)); #521519=CARTESIAN_POINT('',(27.013141,1.1102344,0.035)); #521520=CARTESIAN_POINT('',(27.013141,1.1102344,0.)); #521521=CARTESIAN_POINT('Origin',(27.005341,1.1118938,0.)); #521522=CARTESIAN_POINT('',(27.005341,1.1118938,0.)); #521523=CARTESIAN_POINT('',(27.005341,1.1118938,0.)); #521524=CARTESIAN_POINT('',(27.005341,1.1118938,0.035)); #521525=CARTESIAN_POINT('',(27.005341,1.1118938,0.035)); #521526=CARTESIAN_POINT('',(27.005341,1.1118938,0.)); #521527=CARTESIAN_POINT('Origin',(23.6135,2.0207344,0.)); #521528=CARTESIAN_POINT('',(23.6135,2.0207344,0.)); #521529=CARTESIAN_POINT('',(23.6135,2.0207344,0.)); #521530=CARTESIAN_POINT('',(23.6135,2.0207344,0.035)); #521531=CARTESIAN_POINT('',(23.6135,2.0207344,0.035)); #521532=CARTESIAN_POINT('',(23.6135,2.0207344,0.)); #521533=CARTESIAN_POINT('Origin',(23.605916,2.0232,0.)); #521534=CARTESIAN_POINT('',(23.605916,2.0232,0.)); #521535=CARTESIAN_POINT('',(23.605916,2.0232,0.)); #521536=CARTESIAN_POINT('',(23.605916,2.0232,0.035)); #521537=CARTESIAN_POINT('',(23.605916,2.0232,0.035)); #521538=CARTESIAN_POINT('',(23.605916,2.0232,0.)); #521539=CARTESIAN_POINT('Origin',(20.327656,3.2816063,0.)); #521540=CARTESIAN_POINT('',(20.327656,3.2816063,0.)); #521541=CARTESIAN_POINT('',(20.327656,3.2816063,0.)); #521542=CARTESIAN_POINT('',(20.327656,3.2816063,0.035)); #521543=CARTESIAN_POINT('',(20.327656,3.2816063,0.035)); #521544=CARTESIAN_POINT('',(20.327656,3.2816063,0.)); #521545=CARTESIAN_POINT('Origin',(20.320369,3.28485,0.)); #521546=CARTESIAN_POINT('',(20.320369,3.28485,0.)); #521547=CARTESIAN_POINT('',(20.320369,3.28485,0.)); #521548=CARTESIAN_POINT('',(20.320369,3.28485,0.035)); #521549=CARTESIAN_POINT('',(20.320369,3.28485,0.035)); #521550=CARTESIAN_POINT('',(20.320369,3.28485,0.)); #521551=CARTESIAN_POINT('Origin',(17.191606,4.8790313,0.)); #521552=CARTESIAN_POINT('',(17.191606,4.8790313,0.)); #521553=CARTESIAN_POINT('',(17.191606,4.8790313,0.)); #521554=CARTESIAN_POINT('',(17.191606,4.8790313,0.035)); #521555=CARTESIAN_POINT('',(17.191606,4.8790313,0.035)); #521556=CARTESIAN_POINT('',(17.191606,4.8790313,0.)); #521557=CARTESIAN_POINT('Origin',(17.1847,4.8830188,0.)); #521558=CARTESIAN_POINT('',(17.1847,4.8830188,0.)); #521559=CARTESIAN_POINT('',(17.1847,4.8830188,0.)); #521560=CARTESIAN_POINT('',(17.1847,4.8830188,0.035)); #521561=CARTESIAN_POINT('',(17.1847,4.8830188,0.035)); #521562=CARTESIAN_POINT('',(17.1847,4.8830188,0.)); #521563=CARTESIAN_POINT('Origin',(14.239716,6.7955156,0.)); #521564=CARTESIAN_POINT('',(14.239716,6.7955156,0.)); #521565=CARTESIAN_POINT('',(14.239716,6.7955156,0.)); #521566=CARTESIAN_POINT('',(14.239716,6.7955156,0.035)); #521567=CARTESIAN_POINT('',(14.239716,6.7955156,0.035)); #521568=CARTESIAN_POINT('',(14.239716,6.7955156,0.)); #521569=CARTESIAN_POINT('Origin',(14.233263,6.8002031,0.)); #521570=CARTESIAN_POINT('',(14.233263,6.8002031,0.)); #521571=CARTESIAN_POINT('',(14.233263,6.8002031,0.)); #521572=CARTESIAN_POINT('',(14.233263,6.8002031,0.035)); #521573=CARTESIAN_POINT('',(14.233263,6.8002031,0.035)); #521574=CARTESIAN_POINT('',(14.233263,6.8002031,0.)); #521575=CARTESIAN_POINT('Origin',(11.504322,9.0100562,0.)); #521576=CARTESIAN_POINT('',(11.504322,9.0100562,0.)); #521577=CARTESIAN_POINT('',(11.504322,9.0100562,0.)); #521578=CARTESIAN_POINT('',(11.504322,9.0100562,0.035)); #521579=CARTESIAN_POINT('',(11.504322,9.0100562,0.035)); #521580=CARTESIAN_POINT('',(11.504322,9.0100562,0.)); #521581=CARTESIAN_POINT('Origin',(11.498394,9.0153938,0.)); #521582=CARTESIAN_POINT('',(11.498394,9.0153938,0.)); #521583=CARTESIAN_POINT('',(11.498394,9.0153938,0.)); #521584=CARTESIAN_POINT('',(11.498394,9.0153938,0.035)); #521585=CARTESIAN_POINT('',(11.498394,9.0153938,0.035)); #521586=CARTESIAN_POINT('',(11.498394,9.0153938,0.)); #521587=CARTESIAN_POINT('Origin',(9.0153938,11.498394,0.)); #521588=CARTESIAN_POINT('',(9.0153938,11.498394,0.)); #521589=CARTESIAN_POINT('',(9.0153938,11.498394,0.)); #521590=CARTESIAN_POINT('',(9.0153938,11.498394,0.035)); #521591=CARTESIAN_POINT('',(9.0153938,11.498394,0.035)); #521592=CARTESIAN_POINT('',(9.0153938,11.498394,0.)); #521593=CARTESIAN_POINT('Origin',(9.0100562,11.504322,0.)); #521594=CARTESIAN_POINT('',(9.0100562,11.504322,0.)); #521595=CARTESIAN_POINT('',(9.0100562,11.504322,0.)); #521596=CARTESIAN_POINT('',(9.0100562,11.504322,0.035)); #521597=CARTESIAN_POINT('',(9.0100562,11.504322,0.035)); #521598=CARTESIAN_POINT('',(9.0100562,11.504322,0.)); #521599=CARTESIAN_POINT('Origin',(6.8002031,14.233263,0.)); #521600=CARTESIAN_POINT('',(6.8002031,14.233263,0.)); #521601=CARTESIAN_POINT('',(6.8002031,14.233263,0.)); #521602=CARTESIAN_POINT('',(6.8002031,14.233263,0.035)); #521603=CARTESIAN_POINT('',(6.8002031,14.233263,0.035)); #521604=CARTESIAN_POINT('',(6.8002031,14.233263,0.)); #521605=CARTESIAN_POINT('Origin',(6.7955156,14.239716,0.)); #521606=CARTESIAN_POINT('',(6.7955156,14.239716,0.)); #521607=CARTESIAN_POINT('',(6.7955156,14.239716,0.)); #521608=CARTESIAN_POINT('',(6.7955156,14.239716,0.035)); #521609=CARTESIAN_POINT('',(6.7955156,14.239716,0.035)); #521610=CARTESIAN_POINT('',(6.7955156,14.239716,0.)); #521611=CARTESIAN_POINT('Origin',(4.8830188,17.1847,0.)); #521612=CARTESIAN_POINT('',(4.8830188,17.1847,0.)); #521613=CARTESIAN_POINT('',(4.8830188,17.1847,0.)); #521614=CARTESIAN_POINT('',(4.8830188,17.1847,0.035)); #521615=CARTESIAN_POINT('',(4.8830188,17.1847,0.035)); #521616=CARTESIAN_POINT('',(4.8830188,17.1847,0.)); #521617=CARTESIAN_POINT('Origin',(4.8790313,17.191606,0.)); #521618=CARTESIAN_POINT('',(4.8790313,17.191606,0.)); #521619=CARTESIAN_POINT('',(4.8790313,17.191606,0.)); #521620=CARTESIAN_POINT('',(4.8790313,17.191606,0.035)); #521621=CARTESIAN_POINT('',(4.8790313,17.191606,0.035)); #521622=CARTESIAN_POINT('',(4.8790313,17.191606,0.)); #521623=CARTESIAN_POINT('Origin',(3.28485,20.320369,0.)); #521624=CARTESIAN_POINT('',(3.28485,20.320369,0.)); #521625=CARTESIAN_POINT('',(3.28485,20.320369,0.)); #521626=CARTESIAN_POINT('',(3.28485,20.320369,0.035)); #521627=CARTESIAN_POINT('',(3.28485,20.320369,0.035)); #521628=CARTESIAN_POINT('',(3.28485,20.320369,0.)); #521629=CARTESIAN_POINT('Origin',(3.2816063,20.327656,0.)); #521630=CARTESIAN_POINT('',(3.2816063,20.327656,0.)); #521631=CARTESIAN_POINT('',(3.2816063,20.327656,0.)); #521632=CARTESIAN_POINT('',(3.2816063,20.327656,0.035)); #521633=CARTESIAN_POINT('',(3.2816063,20.327656,0.035)); #521634=CARTESIAN_POINT('',(3.2816063,20.327656,0.)); #521635=CARTESIAN_POINT('Origin',(2.0232,23.605916,0.)); #521636=CARTESIAN_POINT('',(2.0232,23.605916,0.)); #521637=CARTESIAN_POINT('',(2.0232,23.605916,0.)); #521638=CARTESIAN_POINT('',(2.0232,23.605916,0.035)); #521639=CARTESIAN_POINT('',(2.0232,23.605916,0.035)); #521640=CARTESIAN_POINT('',(2.0232,23.605916,0.)); #521641=CARTESIAN_POINT('Origin',(2.0207344,23.6135,0.)); #521642=CARTESIAN_POINT('',(2.0207344,23.6135,0.)); #521643=CARTESIAN_POINT('',(2.0207344,23.6135,0.)); #521644=CARTESIAN_POINT('',(2.0207344,23.6135,0.035)); #521645=CARTESIAN_POINT('',(2.0207344,23.6135,0.035)); #521646=CARTESIAN_POINT('',(2.0207344,23.6135,0.)); #521647=CARTESIAN_POINT('Origin',(1.1118938,27.005341,0.)); #521648=CARTESIAN_POINT('',(1.1118938,27.005341,0.)); #521649=CARTESIAN_POINT('',(1.1118938,27.005341,0.)); #521650=CARTESIAN_POINT('',(1.1118938,27.005341,0.035)); #521651=CARTESIAN_POINT('',(1.1118938,27.005341,0.035)); #521652=CARTESIAN_POINT('',(1.1118938,27.005341,0.)); #521653=CARTESIAN_POINT('Origin',(1.1102344,27.013141,0.)); #521654=CARTESIAN_POINT('',(1.1102344,27.013141,0.)); #521655=CARTESIAN_POINT('',(1.1102344,27.013141,0.)); #521656=CARTESIAN_POINT('',(1.1102344,27.013141,0.035)); #521657=CARTESIAN_POINT('',(1.1102344,27.013141,0.035)); #521658=CARTESIAN_POINT('',(1.1102344,27.013141,0.)); #521659=CARTESIAN_POINT('Origin',(0.56091563,30.4814,0.)); #521660=CARTESIAN_POINT('',(0.56091563,30.4814,0.)); #521661=CARTESIAN_POINT('',(0.56091563,30.4814,0.)); #521662=CARTESIAN_POINT('',(0.560915629999998,30.4814,0.035)); #521663=CARTESIAN_POINT('',(0.56091563,30.4814,0.035)); #521664=CARTESIAN_POINT('',(0.56091563,30.4814,0.)); #521665=CARTESIAN_POINT('Origin',(0.56008125,30.489334,0.)); #521666=CARTESIAN_POINT('',(0.56008125,30.489334,0.)); #521667=CARTESIAN_POINT('',(0.56008125,30.489334,0.)); #521668=CARTESIAN_POINT('',(0.56008125,30.489334,0.035)); #521669=CARTESIAN_POINT('',(0.56008125,30.489334,0.035)); #521670=CARTESIAN_POINT('',(0.56008125,30.489334,0.)); #521671=CARTESIAN_POINT('Origin',(0.37630313,33.996012,0.)); #521672=CARTESIAN_POINT('',(0.37630313,33.996012,0.)); #521673=CARTESIAN_POINT('',(0.37630313,33.996012,0.)); #521674=CARTESIAN_POINT('',(0.376303130000002,33.996012,0.035)); #521675=CARTESIAN_POINT('',(0.37630313,33.996012,0.035)); #521676=CARTESIAN_POINT('',(0.37630313,33.996012,0.)); #521677=CARTESIAN_POINT('Origin',(0.37630313,34.003988,0.)); #521678=CARTESIAN_POINT('',(0.37630313,34.003988,0.)); #521679=CARTESIAN_POINT('',(0.37630313,34.003988,0.)); #521680=CARTESIAN_POINT('',(0.376303130000002,34.003988,0.035)); #521681=CARTESIAN_POINT('',(0.37630313,34.003988,0.035)); #521682=CARTESIAN_POINT('',(0.37630313,34.003988,0.)); #521683=CARTESIAN_POINT('Origin',(0.56008125,37.510666,0.)); #521684=CARTESIAN_POINT('',(0.56008125,37.510666,0.)); #521685=CARTESIAN_POINT('',(0.56008125,37.510666,0.)); #521686=CARTESIAN_POINT('',(0.56008125,37.510666,0.035)); #521687=CARTESIAN_POINT('',(0.56008125,37.510666,0.035)); #521688=CARTESIAN_POINT('',(0.56008125,37.510666,0.)); #521689=CARTESIAN_POINT('Origin',(0.56091563,37.5186,0.)); #521690=CARTESIAN_POINT('',(0.56091563,37.5186,0.)); #521691=CARTESIAN_POINT('',(0.56091563,37.5186,0.)); #521692=CARTESIAN_POINT('',(0.560915629999998,37.5186,0.035)); #521693=CARTESIAN_POINT('',(0.56091563,37.5186,0.035)); #521694=CARTESIAN_POINT('',(0.56091563,37.5186,0.)); #521695=CARTESIAN_POINT('Origin',(1.1102344,40.986859,0.)); #521696=CARTESIAN_POINT('',(1.1102344,40.986859,0.)); #521697=CARTESIAN_POINT('',(1.1102344,40.986859,0.)); #521698=CARTESIAN_POINT('',(1.1102344,40.986859,0.035)); #521699=CARTESIAN_POINT('',(1.1102344,40.986859,0.035)); #521700=CARTESIAN_POINT('',(1.1102344,40.986859,0.)); #521701=CARTESIAN_POINT('Origin',(1.1118938,40.994659,0.)); #521702=CARTESIAN_POINT('',(1.1118938,40.994659,0.)); #521703=CARTESIAN_POINT('',(1.1118938,40.994659,0.)); #521704=CARTESIAN_POINT('',(1.1118938,40.994659,0.035)); #521705=CARTESIAN_POINT('',(1.1118938,40.994659,0.035)); #521706=CARTESIAN_POINT('',(1.1118938,40.994659,0.)); #521707=CARTESIAN_POINT('Origin',(2.0207344,44.3865,0.)); #521708=CARTESIAN_POINT('',(2.0207344,44.3865,0.)); #521709=CARTESIAN_POINT('',(2.0207344,44.3865,0.)); #521710=CARTESIAN_POINT('',(2.0207344,44.3865,0.035)); #521711=CARTESIAN_POINT('',(2.0207344,44.3865,0.035)); #521712=CARTESIAN_POINT('',(2.0207344,44.3865,0.)); #521713=CARTESIAN_POINT('Origin',(2.0232,44.394084,0.)); #521714=CARTESIAN_POINT('',(2.0232,44.394084,0.)); #521715=CARTESIAN_POINT('',(2.0232,44.394084,0.)); #521716=CARTESIAN_POINT('',(2.0232,44.394084,0.035)); #521717=CARTESIAN_POINT('',(2.0232,44.394084,0.035)); #521718=CARTESIAN_POINT('',(2.0232,44.394084,0.)); #521719=CARTESIAN_POINT('Origin',(3.2816063,47.672344,0.)); #521720=CARTESIAN_POINT('',(3.2816063,47.672344,0.)); #521721=CARTESIAN_POINT('',(3.2816063,47.672344,0.)); #521722=CARTESIAN_POINT('',(3.2816063,47.672344,0.035)); #521723=CARTESIAN_POINT('',(3.2816063,47.672344,0.035)); #521724=CARTESIAN_POINT('',(3.2816063,47.672344,0.)); #521725=CARTESIAN_POINT('Origin',(3.28485,47.679631,0.)); #521726=CARTESIAN_POINT('',(3.28485,47.679631,0.)); #521727=CARTESIAN_POINT('',(3.28485,47.679631,0.)); #521728=CARTESIAN_POINT('',(3.28485,47.679631,0.035)); #521729=CARTESIAN_POINT('',(3.28485,47.679631,0.035)); #521730=CARTESIAN_POINT('',(3.28485,47.679631,0.)); #521731=CARTESIAN_POINT('Origin',(4.8790313,50.808394,0.)); #521732=CARTESIAN_POINT('',(4.8790313,50.808394,0.)); #521733=CARTESIAN_POINT('',(4.8790313,50.808394,0.)); #521734=CARTESIAN_POINT('',(4.8790313,50.808394,0.035)); #521735=CARTESIAN_POINT('',(4.8790313,50.808394,0.035)); #521736=CARTESIAN_POINT('',(4.8790313,50.808394,0.)); #521737=CARTESIAN_POINT('Origin',(4.8830188,50.8153,0.)); #521738=CARTESIAN_POINT('',(4.8830188,50.8153,0.)); #521739=CARTESIAN_POINT('',(4.8830188,50.8153,0.)); #521740=CARTESIAN_POINT('',(4.8830188,50.8153,0.035)); #521741=CARTESIAN_POINT('',(4.8830188,50.8153,0.035)); #521742=CARTESIAN_POINT('',(4.8830188,50.8153,0.)); #521743=CARTESIAN_POINT('Origin',(6.7955156,53.760284,0.)); #521744=CARTESIAN_POINT('',(6.7955156,53.760284,0.)); #521745=CARTESIAN_POINT('',(6.7955156,53.760284,0.)); #521746=CARTESIAN_POINT('',(6.7955156,53.760284,0.035)); #521747=CARTESIAN_POINT('',(6.7955156,53.760284,0.035)); #521748=CARTESIAN_POINT('',(6.7955156,53.760284,0.)); #521749=CARTESIAN_POINT('Origin',(6.8002031,53.766738,0.)); #521750=CARTESIAN_POINT('',(6.8002031,53.766738,0.)); #521751=CARTESIAN_POINT('',(6.8002031,53.766738,0.)); #521752=CARTESIAN_POINT('',(6.8002031,53.766738,0.035)); #521753=CARTESIAN_POINT('',(6.8002031,53.766738,0.035)); #521754=CARTESIAN_POINT('',(6.8002031,53.766738,0.)); #521755=CARTESIAN_POINT('Origin',(9.0100562,56.495678,0.)); #521756=CARTESIAN_POINT('',(9.0100562,56.495678,0.)); #521757=CARTESIAN_POINT('',(9.0100562,56.495678,0.)); #521758=CARTESIAN_POINT('',(9.0100562,56.495678,0.035)); #521759=CARTESIAN_POINT('',(9.0100562,56.495678,0.035)); #521760=CARTESIAN_POINT('',(9.0100562,56.495678,0.)); #521761=CARTESIAN_POINT('Origin',(9.0153938,56.501606,0.)); #521762=CARTESIAN_POINT('',(9.0153938,56.501606,0.)); #521763=CARTESIAN_POINT('',(9.0153938,56.501606,0.)); #521764=CARTESIAN_POINT('',(9.0153938,56.501606,0.035)); #521765=CARTESIAN_POINT('',(9.0153938,56.501606,0.035)); #521766=CARTESIAN_POINT('',(9.0153938,56.501606,0.)); #521767=CARTESIAN_POINT('Origin',(11.498394,58.984606,0.)); #521768=CARTESIAN_POINT('',(11.498394,58.984606,0.)); #521769=CARTESIAN_POINT('',(11.498394,58.984606,0.)); #521770=CARTESIAN_POINT('',(11.498394,58.984606,0.035)); #521771=CARTESIAN_POINT('',(11.498394,58.984606,0.035)); #521772=CARTESIAN_POINT('',(11.498394,58.984606,0.)); #521773=CARTESIAN_POINT('Origin',(11.504322,58.989944,0.)); #521774=CARTESIAN_POINT('',(11.504322,58.989944,0.)); #521775=CARTESIAN_POINT('',(11.504322,58.989944,0.)); #521776=CARTESIAN_POINT('',(11.504322,58.989944,0.035)); #521777=CARTESIAN_POINT('',(11.504322,58.989944,0.035)); #521778=CARTESIAN_POINT('',(11.504322,58.989944,0.)); #521779=CARTESIAN_POINT('Origin',(14.233263,61.199797,0.)); #521780=CARTESIAN_POINT('',(14.233263,61.199797,0.)); #521781=CARTESIAN_POINT('',(14.233263,61.199797,0.)); #521782=CARTESIAN_POINT('',(14.233263,61.199797,0.035)); #521783=CARTESIAN_POINT('',(14.233263,61.199797,0.035)); #521784=CARTESIAN_POINT('',(14.233263,61.199797,0.)); #521785=CARTESIAN_POINT('Origin',(14.239716,61.204484,0.)); #521786=CARTESIAN_POINT('',(14.239716,61.204484,0.)); #521787=CARTESIAN_POINT('',(14.239716,61.204484,0.)); #521788=CARTESIAN_POINT('',(14.239716,61.204484,0.035)); #521789=CARTESIAN_POINT('',(14.239716,61.204484,0.035)); #521790=CARTESIAN_POINT('',(14.239716,61.204484,0.)); #521791=CARTESIAN_POINT('Origin',(17.1847,63.116981,0.)); #521792=CARTESIAN_POINT('',(17.1847,63.116981,0.)); #521793=CARTESIAN_POINT('',(17.1847,63.116981,0.)); #521794=CARTESIAN_POINT('',(17.1847,63.116981,0.035)); #521795=CARTESIAN_POINT('',(17.1847,63.116981,0.035)); #521796=CARTESIAN_POINT('',(17.1847,63.116981,0.)); #521797=CARTESIAN_POINT('Origin',(17.191606,63.120969,0.)); #521798=CARTESIAN_POINT('',(17.191606,63.120969,0.)); #521799=CARTESIAN_POINT('',(17.191606,63.120969,0.)); #521800=CARTESIAN_POINT('',(17.191606,63.120969,0.035)); #521801=CARTESIAN_POINT('',(17.191606,63.120969,0.035)); #521802=CARTESIAN_POINT('',(17.191606,63.120969,0.)); #521803=CARTESIAN_POINT('Origin',(20.320369,64.71515,0.)); #521804=CARTESIAN_POINT('',(20.320369,64.71515,0.)); #521805=CARTESIAN_POINT('',(20.320369,64.71515,0.)); #521806=CARTESIAN_POINT('',(20.320369,64.71515,0.035)); #521807=CARTESIAN_POINT('',(20.320369,64.71515,0.035)); #521808=CARTESIAN_POINT('',(20.320369,64.71515,0.)); #521809=CARTESIAN_POINT('Origin',(20.327656,64.718394,0.)); #521810=CARTESIAN_POINT('',(20.327656,64.718394,0.)); #521811=CARTESIAN_POINT('',(20.327656,64.718394,0.)); #521812=CARTESIAN_POINT('',(20.327656,64.718394,0.035)); #521813=CARTESIAN_POINT('',(20.327656,64.718394,0.035)); #521814=CARTESIAN_POINT('',(20.327656,64.718394,0.)); #521815=CARTESIAN_POINT('Origin',(23.605916,65.9768,0.)); #521816=CARTESIAN_POINT('',(23.605916,65.9768,0.)); #521817=CARTESIAN_POINT('',(23.605916,65.9768,0.)); #521818=CARTESIAN_POINT('',(23.605916,65.9768,0.035)); #521819=CARTESIAN_POINT('',(23.605916,65.9768,0.035)); #521820=CARTESIAN_POINT('',(23.605916,65.9768,0.)); #521821=CARTESIAN_POINT('Origin',(23.6135,65.979266,0.)); #521822=CARTESIAN_POINT('',(23.6135,65.979266,0.)); #521823=CARTESIAN_POINT('',(23.6135,65.979266,0.)); #521824=CARTESIAN_POINT('',(23.6135,65.979266,0.035)); #521825=CARTESIAN_POINT('',(23.6135,65.979266,0.035)); #521826=CARTESIAN_POINT('',(23.6135,65.979266,0.)); #521827=CARTESIAN_POINT('Origin',(27.005341,66.888106,0.)); #521828=CARTESIAN_POINT('',(27.005341,66.888106,0.)); #521829=CARTESIAN_POINT('',(27.005341,66.888106,0.)); #521830=CARTESIAN_POINT('',(27.005341,66.888106,0.035)); #521831=CARTESIAN_POINT('',(27.005341,66.888106,0.035)); #521832=CARTESIAN_POINT('',(27.005341,66.888106,0.)); #521833=CARTESIAN_POINT('Origin',(27.013141,66.889766,0.)); #521834=CARTESIAN_POINT('',(27.013141,66.889766,0.)); #521835=CARTESIAN_POINT('',(27.013141,66.889766,0.)); #521836=CARTESIAN_POINT('',(27.013141,66.889766,0.035)); #521837=CARTESIAN_POINT('',(27.013141,66.889766,0.035)); #521838=CARTESIAN_POINT('',(27.013141,66.889766,0.)); #521839=CARTESIAN_POINT('Origin',(30.4814,67.439084,0.)); #521840=CARTESIAN_POINT('',(30.4814,67.439084,0.)); #521841=CARTESIAN_POINT('',(30.4814,67.439084,0.)); #521842=CARTESIAN_POINT('',(30.4814,67.439084,0.035)); #521843=CARTESIAN_POINT('',(30.4814,67.439084,0.035)); #521844=CARTESIAN_POINT('',(30.4814,67.439084,0.)); #521845=CARTESIAN_POINT('Origin',(30.489334,67.439919,0.)); #521846=CARTESIAN_POINT('',(30.489334,67.439919,0.)); #521847=CARTESIAN_POINT('',(30.489334,67.439919,0.)); #521848=CARTESIAN_POINT('',(30.489334,67.439919,0.035)); #521849=CARTESIAN_POINT('',(30.489334,67.439919,0.035)); #521850=CARTESIAN_POINT('',(30.489334,67.439919,0.)); #521851=CARTESIAN_POINT('Origin',(33.996012,67.623697,0.)); #521852=CARTESIAN_POINT('',(33.996012,67.623697,0.)); #521853=CARTESIAN_POINT('',(33.996012,67.623697,0.)); #521854=CARTESIAN_POINT('',(33.996012,67.623697,0.035)); #521855=CARTESIAN_POINT('',(33.996012,67.623697,0.035)); #521856=CARTESIAN_POINT('',(33.996012,67.623697,0.)); #521857=CARTESIAN_POINT('Origin',(34.003988,67.623697,0.)); #521858=CARTESIAN_POINT('',(34.003988,67.623697,0.)); #521859=CARTESIAN_POINT('',(34.003988,67.623697,0.)); #521860=CARTESIAN_POINT('',(34.003988,67.623697,0.035)); #521861=CARTESIAN_POINT('',(34.003988,67.623697,0.035)); #521862=CARTESIAN_POINT('',(34.003988,67.623697,0.)); #521863=CARTESIAN_POINT('Origin',(37.510666,67.439919,0.)); #521864=CARTESIAN_POINT('',(37.510666,67.439919,0.)); #521865=CARTESIAN_POINT('',(37.510666,67.439919,0.)); #521866=CARTESIAN_POINT('',(37.510666,67.439919,0.035)); #521867=CARTESIAN_POINT('',(37.510666,67.439919,0.035)); #521868=CARTESIAN_POINT('',(37.510666,67.439919,0.)); #521869=CARTESIAN_POINT('Origin',(37.5186,67.439084,0.)); #521870=CARTESIAN_POINT('',(37.5186,67.439084,0.)); #521871=CARTESIAN_POINT('',(37.5186,67.439084,0.)); #521872=CARTESIAN_POINT('',(37.5186,67.439084,0.035)); #521873=CARTESIAN_POINT('',(37.5186,67.439084,0.035)); #521874=CARTESIAN_POINT('',(37.5186,67.439084,0.)); #521875=CARTESIAN_POINT('Origin',(40.986859,66.889766,0.)); #521876=CARTESIAN_POINT('',(40.986859,66.889766,0.)); #521877=CARTESIAN_POINT('',(40.986859,66.889766,0.)); #521878=CARTESIAN_POINT('',(40.986859,66.889766,0.035)); #521879=CARTESIAN_POINT('',(40.986859,66.889766,0.035)); #521880=CARTESIAN_POINT('',(40.986859,66.889766,0.)); #521881=CARTESIAN_POINT('Origin',(40.994659,66.888106,0.)); #521882=CARTESIAN_POINT('',(40.994659,66.888106,0.)); #521883=CARTESIAN_POINT('',(40.994659,66.888106,0.)); #521884=CARTESIAN_POINT('',(40.994659,66.888106,0.035)); #521885=CARTESIAN_POINT('',(40.994659,66.888106,0.035)); #521886=CARTESIAN_POINT('',(40.994659,66.888106,0.)); #521887=CARTESIAN_POINT('Origin',(44.3865,65.979266,0.)); #521888=CARTESIAN_POINT('',(44.3865,65.979266,0.)); #521889=CARTESIAN_POINT('',(44.3865,65.979266,0.)); #521890=CARTESIAN_POINT('',(44.3865,65.979266,0.035)); #521891=CARTESIAN_POINT('',(44.3865,65.979266,0.035)); #521892=CARTESIAN_POINT('',(44.3865,65.979266,0.)); #521893=CARTESIAN_POINT('Origin',(44.394084,65.9768,0.)); #521894=CARTESIAN_POINT('',(44.394084,65.9768,0.)); #521895=CARTESIAN_POINT('',(44.394084,65.9768,0.)); #521896=CARTESIAN_POINT('',(44.394084,65.9768,0.035)); #521897=CARTESIAN_POINT('',(44.394084,65.9768,0.035)); #521898=CARTESIAN_POINT('',(44.394084,65.9768,0.)); #521899=CARTESIAN_POINT('Origin',(47.672344,64.718394,0.)); #521900=CARTESIAN_POINT('',(47.672344,64.718394,0.)); #521901=CARTESIAN_POINT('',(47.672344,64.718394,0.)); #521902=CARTESIAN_POINT('',(47.672344,64.718394,0.035)); #521903=CARTESIAN_POINT('',(47.672344,64.718394,0.035)); #521904=CARTESIAN_POINT('',(47.672344,64.718394,0.)); #521905=CARTESIAN_POINT('Origin',(47.679631,64.71515,0.)); #521906=CARTESIAN_POINT('',(47.679631,64.71515,0.)); #521907=CARTESIAN_POINT('',(47.679631,64.71515,0.)); #521908=CARTESIAN_POINT('',(47.679631,64.71515,0.035)); #521909=CARTESIAN_POINT('',(47.679631,64.71515,0.035)); #521910=CARTESIAN_POINT('',(47.679631,64.71515,0.)); #521911=CARTESIAN_POINT('Origin',(50.808394,63.120969,0.)); #521912=CARTESIAN_POINT('',(50.808394,63.120969,0.)); #521913=CARTESIAN_POINT('',(50.808394,63.120969,0.)); #521914=CARTESIAN_POINT('',(50.808394,63.120969,0.035)); #521915=CARTESIAN_POINT('',(50.808394,63.120969,0.035)); #521916=CARTESIAN_POINT('',(50.808394,63.120969,0.)); #521917=CARTESIAN_POINT('Origin',(50.8153,63.116981,0.)); #521918=CARTESIAN_POINT('',(50.8153,63.116981,0.)); #521919=CARTESIAN_POINT('',(50.8153,63.116981,0.)); #521920=CARTESIAN_POINT('',(50.8153,63.116981,0.035)); #521921=CARTESIAN_POINT('',(50.8153,63.116981,0.035)); #521922=CARTESIAN_POINT('',(50.8153,63.116981,0.)); #521923=CARTESIAN_POINT('Origin',(53.760284,61.204484,0.)); #521924=CARTESIAN_POINT('',(53.760284,61.204484,0.)); #521925=CARTESIAN_POINT('',(53.760284,61.204484,0.)); #521926=CARTESIAN_POINT('',(53.760284,61.204484,0.035)); #521927=CARTESIAN_POINT('',(53.760284,61.204484,0.035)); #521928=CARTESIAN_POINT('',(53.760284,61.204484,0.)); #521929=CARTESIAN_POINT('Origin',(53.766738,61.199797,0.)); #521930=CARTESIAN_POINT('',(53.766738,61.199797,0.)); #521931=CARTESIAN_POINT('',(53.766738,61.199797,0.)); #521932=CARTESIAN_POINT('',(53.766738,61.199797,0.035)); #521933=CARTESIAN_POINT('',(53.766738,61.199797,0.035)); #521934=CARTESIAN_POINT('',(53.766738,61.199797,0.)); #521935=CARTESIAN_POINT('Origin',(56.495678,58.989944,0.)); #521936=CARTESIAN_POINT('',(56.495678,58.989944,0.)); #521937=CARTESIAN_POINT('',(56.495678,58.989944,0.)); #521938=CARTESIAN_POINT('',(56.495678,58.989944,0.035)); #521939=CARTESIAN_POINT('',(56.495678,58.989944,0.035)); #521940=CARTESIAN_POINT('',(56.495678,58.989944,0.)); #521941=CARTESIAN_POINT('Origin',(56.501606,58.984606,0.)); #521942=CARTESIAN_POINT('',(56.501606,58.984606,0.)); #521943=CARTESIAN_POINT('',(56.501606,58.984606,0.)); #521944=CARTESIAN_POINT('',(56.501606,58.984606,0.035)); #521945=CARTESIAN_POINT('',(56.501606,58.984606,0.035)); #521946=CARTESIAN_POINT('',(56.501606,58.984606,0.)); #521947=CARTESIAN_POINT('Origin',(58.984606,56.501606,0.)); #521948=CARTESIAN_POINT('',(58.984606,56.501606,0.)); #521949=CARTESIAN_POINT('',(58.984606,56.501606,0.)); #521950=CARTESIAN_POINT('',(58.984606,56.501606,0.035)); #521951=CARTESIAN_POINT('',(58.984606,56.501606,0.035)); #521952=CARTESIAN_POINT('',(58.984606,56.501606,0.)); #521953=CARTESIAN_POINT('Origin',(58.989944,56.495678,0.)); #521954=CARTESIAN_POINT('',(58.989944,56.495678,0.)); #521955=CARTESIAN_POINT('',(58.989944,56.495678,0.)); #521956=CARTESIAN_POINT('',(58.989944,56.495678,0.035)); #521957=CARTESIAN_POINT('',(58.989944,56.495678,0.035)); #521958=CARTESIAN_POINT('',(58.989944,56.495678,0.)); #521959=CARTESIAN_POINT('Origin',(61.199797,53.766738,0.)); #521960=CARTESIAN_POINT('',(61.199797,53.766738,0.)); #521961=CARTESIAN_POINT('',(61.199797,53.766738,0.)); #521962=CARTESIAN_POINT('',(61.199797,53.766738,0.035)); #521963=CARTESIAN_POINT('',(61.199797,53.766738,0.035)); #521964=CARTESIAN_POINT('',(61.199797,53.766738,0.)); #521965=CARTESIAN_POINT('Origin',(61.204484,53.760284,0.)); #521966=CARTESIAN_POINT('',(61.204484,53.760284,0.)); #521967=CARTESIAN_POINT('',(61.204484,53.760284,0.)); #521968=CARTESIAN_POINT('',(61.204484,53.760284,0.035)); #521969=CARTESIAN_POINT('',(61.204484,53.760284,0.035)); #521970=CARTESIAN_POINT('',(61.204484,53.760284,0.)); #521971=CARTESIAN_POINT('Origin',(63.116981,50.8153,0.)); #521972=CARTESIAN_POINT('',(63.116981,50.8153,0.)); #521973=CARTESIAN_POINT('',(63.116981,50.8153,0.)); #521974=CARTESIAN_POINT('',(63.116981,50.8153,0.035)); #521975=CARTESIAN_POINT('',(63.116981,50.8153,0.035)); #521976=CARTESIAN_POINT('',(63.116981,50.8153,0.)); #521977=CARTESIAN_POINT('Origin',(63.120969,50.808394,0.)); #521978=CARTESIAN_POINT('',(63.120969,50.808394,0.)); #521979=CARTESIAN_POINT('',(63.120969,50.808394,0.)); #521980=CARTESIAN_POINT('',(63.120969,50.808394,0.035)); #521981=CARTESIAN_POINT('',(63.120969,50.808394,0.035)); #521982=CARTESIAN_POINT('',(63.120969,50.808394,0.)); #521983=CARTESIAN_POINT('Origin',(64.71515,47.679631,0.)); #521984=CARTESIAN_POINT('',(64.71515,47.679631,0.)); #521985=CARTESIAN_POINT('',(64.71515,47.679631,0.)); #521986=CARTESIAN_POINT('',(64.71515,47.679631,0.035)); #521987=CARTESIAN_POINT('',(64.71515,47.679631,0.035)); #521988=CARTESIAN_POINT('',(64.71515,47.679631,0.)); #521989=CARTESIAN_POINT('Origin',(64.718394,47.672344,0.)); #521990=CARTESIAN_POINT('',(64.718394,47.672344,0.)); #521991=CARTESIAN_POINT('',(64.718394,47.672344,0.)); #521992=CARTESIAN_POINT('',(64.718394,47.672344,0.035)); #521993=CARTESIAN_POINT('',(64.718394,47.672344,0.035)); #521994=CARTESIAN_POINT('',(64.718394,47.672344,0.)); #521995=CARTESIAN_POINT('Origin',(65.9768,44.394084,0.)); #521996=CARTESIAN_POINT('',(65.9768,44.394084,0.)); #521997=CARTESIAN_POINT('',(65.9768,44.394084,0.)); #521998=CARTESIAN_POINT('',(65.9768,44.394084,0.035)); #521999=CARTESIAN_POINT('',(65.9768,44.394084,0.035)); #522000=CARTESIAN_POINT('',(65.9768,44.394084,0.)); #522001=CARTESIAN_POINT('Origin',(65.979266,44.3865,0.)); #522002=CARTESIAN_POINT('',(65.979266,44.3865,0.)); #522003=CARTESIAN_POINT('',(65.979266,44.3865,0.)); #522004=CARTESIAN_POINT('',(65.979266,44.3865,0.035)); #522005=CARTESIAN_POINT('',(65.979266,44.3865,0.035)); #522006=CARTESIAN_POINT('',(65.979266,44.3865,0.)); #522007=CARTESIAN_POINT('Origin',(66.888106,40.994659,0.)); #522008=CARTESIAN_POINT('',(66.888106,40.994659,0.)); #522009=CARTESIAN_POINT('',(66.888106,40.994659,0.)); #522010=CARTESIAN_POINT('',(66.888106,40.994659,0.035)); #522011=CARTESIAN_POINT('',(66.888106,40.994659,0.035)); #522012=CARTESIAN_POINT('',(66.888106,40.994659,0.)); #522013=CARTESIAN_POINT('Origin',(66.889766,40.986859,0.)); #522014=CARTESIAN_POINT('',(66.889766,40.986859,0.)); #522015=CARTESIAN_POINT('',(66.889766,40.986859,0.)); #522016=CARTESIAN_POINT('',(66.889766,40.986859,0.035)); #522017=CARTESIAN_POINT('',(66.889766,40.986859,0.035)); #522018=CARTESIAN_POINT('',(66.889766,40.986859,0.)); #522019=CARTESIAN_POINT('Origin',(67.439084,37.5186,0.)); #522020=CARTESIAN_POINT('',(67.439084,37.5186,0.)); #522021=CARTESIAN_POINT('',(67.439084,37.5186,0.)); #522022=CARTESIAN_POINT('',(67.439084,37.5186,0.035)); #522023=CARTESIAN_POINT('',(67.439084,37.5186,0.035)); #522024=CARTESIAN_POINT('',(67.439084,37.5186,0.)); #522025=CARTESIAN_POINT('Origin',(67.439919,37.510666,0.)); #522026=CARTESIAN_POINT('',(67.439919,37.510666,0.)); #522027=CARTESIAN_POINT('',(67.439919,37.510666,0.)); #522028=CARTESIAN_POINT('',(67.439919,37.510666,0.035)); #522029=CARTESIAN_POINT('',(67.439919,37.510666,0.035)); #522030=CARTESIAN_POINT('',(67.439919,37.510666,0.)); #522031=CARTESIAN_POINT('Origin',(67.623697,34.003988,0.)); #522032=CARTESIAN_POINT('',(67.623697,34.003988,0.)); #522033=CARTESIAN_POINT('',(67.623697,34.003988,0.)); #522034=CARTESIAN_POINT('',(67.623697,34.003988,0.035)); #522035=CARTESIAN_POINT('',(67.623697,34.003988,0.035)); #522036=CARTESIAN_POINT('',(67.623697,34.003988,0.)); #522037=CARTESIAN_POINT('Origin',(67.623697,33.996012,0.)); #522038=CARTESIAN_POINT('',(67.623697,33.996012,0.)); #522039=CARTESIAN_POINT('',(67.623697,33.996012,0.)); #522040=CARTESIAN_POINT('',(67.623697,33.996012,0.035)); #522041=CARTESIAN_POINT('',(67.623697,33.996012,0.035)); #522042=CARTESIAN_POINT('',(67.623697,33.996012,0.)); #522043=CARTESIAN_POINT('Origin',(67.439919,30.489334,0.)); #522044=CARTESIAN_POINT('',(67.439919,30.489334,0.)); #522045=CARTESIAN_POINT('',(67.439919,30.489334,0.)); #522046=CARTESIAN_POINT('',(67.439919,30.489334,0.035)); #522047=CARTESIAN_POINT('',(67.439919,30.489334,0.035)); #522048=CARTESIAN_POINT('',(67.439919,30.489334,0.)); #522049=CARTESIAN_POINT('Origin',(67.439084,30.4814,0.)); #522050=CARTESIAN_POINT('',(67.439084,30.4814,0.)); #522051=CARTESIAN_POINT('',(67.439084,30.4814,0.)); #522052=CARTESIAN_POINT('',(67.439084,30.4814,0.035)); #522053=CARTESIAN_POINT('',(67.439084,30.4814,0.035)); #522054=CARTESIAN_POINT('',(67.439084,30.4814,0.)); #522055=CARTESIAN_POINT('Origin',(66.889766,27.013141,0.)); #522056=CARTESIAN_POINT('',(66.889766,27.013141,0.)); #522057=CARTESIAN_POINT('',(66.889766,27.013141,0.)); #522058=CARTESIAN_POINT('',(66.889766,27.013141,0.035)); #522059=CARTESIAN_POINT('',(66.889766,27.013141,0.035)); #522060=CARTESIAN_POINT('',(66.889766,27.013141,0.)); #522061=CARTESIAN_POINT('Origin',(66.888106,27.005341,0.)); #522062=CARTESIAN_POINT('',(66.888106,27.005341,0.)); #522063=CARTESIAN_POINT('',(66.888106,27.005341,0.)); #522064=CARTESIAN_POINT('',(66.888106,27.005341,0.035)); #522065=CARTESIAN_POINT('',(66.888106,27.005341,0.035)); #522066=CARTESIAN_POINT('',(66.888106,27.005341,0.)); #522067=CARTESIAN_POINT('Origin',(65.979266,23.6135,0.)); #522068=CARTESIAN_POINT('',(65.979266,23.6135,0.)); #522069=CARTESIAN_POINT('',(65.979266,23.6135,0.)); #522070=CARTESIAN_POINT('',(65.979266,23.6135,0.035)); #522071=CARTESIAN_POINT('',(65.979266,23.6135,0.035)); #522072=CARTESIAN_POINT('',(65.979266,23.6135,0.)); #522073=CARTESIAN_POINT('Origin',(65.9768,23.605916,0.)); #522074=CARTESIAN_POINT('',(65.9768,23.605916,0.)); #522075=CARTESIAN_POINT('',(65.9768,23.605916,0.)); #522076=CARTESIAN_POINT('',(65.9768,23.605916,0.035)); #522077=CARTESIAN_POINT('',(65.9768,23.605916,0.035)); #522078=CARTESIAN_POINT('',(65.9768,23.605916,0.)); #522079=CARTESIAN_POINT('Origin',(64.718394,20.327656,0.)); #522080=CARTESIAN_POINT('',(64.718394,20.327656,0.)); #522081=CARTESIAN_POINT('',(64.718394,20.327656,0.)); #522082=CARTESIAN_POINT('',(64.718394,20.327656,0.035)); #522083=CARTESIAN_POINT('',(64.718394,20.327656,0.035)); #522084=CARTESIAN_POINT('',(64.718394,20.327656,0.)); #522085=CARTESIAN_POINT('Origin',(64.71515,20.320369,0.)); #522086=CARTESIAN_POINT('',(64.71515,20.320369,0.)); #522087=CARTESIAN_POINT('',(64.71515,20.320369,0.)); #522088=CARTESIAN_POINT('',(64.71515,20.320369,0.035)); #522089=CARTESIAN_POINT('',(64.71515,20.320369,0.035)); #522090=CARTESIAN_POINT('',(64.71515,20.320369,0.)); #522091=CARTESIAN_POINT('Origin',(63.120969,17.191606,0.)); #522092=CARTESIAN_POINT('',(63.120969,17.191606,0.)); #522093=CARTESIAN_POINT('',(63.120969,17.191606,0.)); #522094=CARTESIAN_POINT('',(63.120969,17.191606,0.035)); #522095=CARTESIAN_POINT('',(63.120969,17.191606,0.035)); #522096=CARTESIAN_POINT('',(63.120969,17.191606,0.)); #522097=CARTESIAN_POINT('Origin',(63.116981,17.1847,0.)); #522098=CARTESIAN_POINT('',(63.116981,17.1847,0.)); #522099=CARTESIAN_POINT('',(63.116981,17.1847,0.)); #522100=CARTESIAN_POINT('',(63.116981,17.1847,0.035)); #522101=CARTESIAN_POINT('',(63.116981,17.1847,0.035)); #522102=CARTESIAN_POINT('',(63.116981,17.1847,0.)); #522103=CARTESIAN_POINT('Origin',(61.204484,14.239716,0.)); #522104=CARTESIAN_POINT('',(61.204484,14.239716,0.)); #522105=CARTESIAN_POINT('',(61.204484,14.239716,0.)); #522106=CARTESIAN_POINT('',(61.204484,14.239716,0.035)); #522107=CARTESIAN_POINT('',(61.204484,14.239716,0.035)); #522108=CARTESIAN_POINT('',(61.204484,14.239716,0.)); #522109=CARTESIAN_POINT('Origin',(61.199797,14.233263,0.)); #522110=CARTESIAN_POINT('',(61.199797,14.233263,0.)); #522111=CARTESIAN_POINT('',(61.199797,14.233263,0.)); #522112=CARTESIAN_POINT('',(61.199797,14.233263,0.035)); #522113=CARTESIAN_POINT('',(61.199797,14.233263,0.035)); #522114=CARTESIAN_POINT('',(61.199797,14.233263,0.)); #522115=CARTESIAN_POINT('Origin',(58.989944,11.504322,0.)); #522116=CARTESIAN_POINT('',(58.989944,11.504322,0.)); #522117=CARTESIAN_POINT('',(58.989944,11.504322,0.)); #522118=CARTESIAN_POINT('',(58.989944,11.504322,0.035)); #522119=CARTESIAN_POINT('',(58.989944,11.504322,0.035)); #522120=CARTESIAN_POINT('',(58.989944,11.504322,0.)); #522121=CARTESIAN_POINT('Origin',(58.984606,11.498394,0.)); #522122=CARTESIAN_POINT('',(58.984606,11.498394,0.)); #522123=CARTESIAN_POINT('',(58.984606,11.498394,0.)); #522124=CARTESIAN_POINT('',(58.984606,11.498394,0.035)); #522125=CARTESIAN_POINT('',(58.984606,11.498394,0.035)); #522126=CARTESIAN_POINT('',(58.984606,11.498394,0.)); #522127=CARTESIAN_POINT('Origin',(56.501606,9.0153938,0.)); #522128=CARTESIAN_POINT('',(56.501606,9.0153938,0.)); #522129=CARTESIAN_POINT('',(56.501606,9.0153938,0.)); #522130=CARTESIAN_POINT('',(56.501606,9.0153938,0.035)); #522131=CARTESIAN_POINT('',(56.501606,9.0153938,0.035)); #522132=CARTESIAN_POINT('',(56.501606,9.0153938,0.)); #522133=CARTESIAN_POINT('Origin',(56.495678,9.0100562,0.)); #522134=CARTESIAN_POINT('',(56.495678,9.0100562,0.)); #522135=CARTESIAN_POINT('',(56.495678,9.0100562,0.)); #522136=CARTESIAN_POINT('',(56.495678,9.0100562,0.035)); #522137=CARTESIAN_POINT('',(56.495678,9.0100562,0.035)); #522138=CARTESIAN_POINT('',(56.495678,9.0100562,0.)); #522139=CARTESIAN_POINT('Origin',(53.766738,6.8002031,0.)); #522140=CARTESIAN_POINT('',(53.766738,6.8002031,0.)); #522141=CARTESIAN_POINT('',(53.766738,6.8002031,0.)); #522142=CARTESIAN_POINT('',(53.766738,6.8002031,0.035)); #522143=CARTESIAN_POINT('',(53.766738,6.8002031,0.035)); #522144=CARTESIAN_POINT('',(53.766738,6.8002031,0.)); #522145=CARTESIAN_POINT('Origin',(53.760284,6.7955156,0.)); #522146=CARTESIAN_POINT('',(53.760284,6.7955156,0.)); #522147=CARTESIAN_POINT('',(53.760284,6.7955156,0.)); #522148=CARTESIAN_POINT('',(53.760284,6.7955156,0.035)); #522149=CARTESIAN_POINT('',(53.760284,6.7955156,0.035)); #522150=CARTESIAN_POINT('',(53.760284,6.7955156,0.)); #522151=CARTESIAN_POINT('Origin',(50.8153,4.8830188,0.)); #522152=CARTESIAN_POINT('',(50.8153,4.8830188,0.)); #522153=CARTESIAN_POINT('',(50.8153,4.8830188,0.)); #522154=CARTESIAN_POINT('',(50.8153,4.8830188,0.035)); #522155=CARTESIAN_POINT('',(50.8153,4.8830188,0.035)); #522156=CARTESIAN_POINT('',(50.8153,4.8830188,0.)); #522157=CARTESIAN_POINT('Origin',(50.808394,4.8790313,0.)); #522158=CARTESIAN_POINT('',(50.808394,4.8790313,0.)); #522159=CARTESIAN_POINT('',(50.808394,4.8790313,0.)); #522160=CARTESIAN_POINT('',(50.808394,4.8790313,0.035)); #522161=CARTESIAN_POINT('',(50.808394,4.8790313,0.035)); #522162=CARTESIAN_POINT('',(50.808394,4.8790313,0.)); #522163=CARTESIAN_POINT('Origin',(47.679631,3.28485,0.)); #522164=CARTESIAN_POINT('',(47.679631,3.28485,0.)); #522165=CARTESIAN_POINT('',(47.679631,3.28485,0.)); #522166=CARTESIAN_POINT('',(47.679631,3.28485,0.035)); #522167=CARTESIAN_POINT('',(47.679631,3.28485,0.035)); #522168=CARTESIAN_POINT('',(47.679631,3.28485,0.)); #522169=CARTESIAN_POINT('Origin',(47.672344,3.2816063,0.)); #522170=CARTESIAN_POINT('',(47.672344,3.2816063,0.)); #522171=CARTESIAN_POINT('',(47.672344,3.2816063,0.)); #522172=CARTESIAN_POINT('',(47.672344,3.2816063,0.035)); #522173=CARTESIAN_POINT('',(47.672344,3.2816063,0.035)); #522174=CARTESIAN_POINT('',(47.672344,3.2816063,0.)); #522175=CARTESIAN_POINT('Origin',(44.394084,2.0232,0.)); #522176=CARTESIAN_POINT('',(44.394084,2.0232,0.)); #522177=CARTESIAN_POINT('',(44.394084,2.0232,0.)); #522178=CARTESIAN_POINT('',(44.394084,2.0232,0.035)); #522179=CARTESIAN_POINT('',(44.394084,2.0232,0.035)); #522180=CARTESIAN_POINT('',(44.394084,2.0232,0.)); #522181=CARTESIAN_POINT('Origin',(44.3865,2.0207344,0.)); #522182=CARTESIAN_POINT('',(44.3865,2.0207344,0.)); #522183=CARTESIAN_POINT('',(44.3865,2.0207344,0.)); #522184=CARTESIAN_POINT('',(44.3865,2.0207344,0.035)); #522185=CARTESIAN_POINT('',(44.3865,2.0207344,0.035)); #522186=CARTESIAN_POINT('',(44.3865,2.0207344,0.)); #522187=CARTESIAN_POINT('Origin',(40.994659,1.1118938,0.)); #522188=CARTESIAN_POINT('',(40.994659,1.1118938,0.)); #522189=CARTESIAN_POINT('',(40.994659,1.1118938,0.)); #522190=CARTESIAN_POINT('',(40.994659,1.1118938,0.035)); #522191=CARTESIAN_POINT('',(40.994659,1.1118938,0.035)); #522192=CARTESIAN_POINT('',(40.994659,1.1118938,0.)); #522193=CARTESIAN_POINT('Origin',(40.986859,1.1102344,0.)); #522194=CARTESIAN_POINT('',(40.986859,1.1102344,0.)); #522195=CARTESIAN_POINT('',(40.986859,1.1102344,0.)); #522196=CARTESIAN_POINT('',(40.986859,1.1102344,0.035)); #522197=CARTESIAN_POINT('',(40.986859,1.1102344,0.035)); #522198=CARTESIAN_POINT('',(40.986859,1.1102344,0.)); #522199=CARTESIAN_POINT('Origin',(37.5186,0.56091563,0.)); #522200=CARTESIAN_POINT('',(37.5186,0.56091563,0.)); #522201=CARTESIAN_POINT('',(37.5186,0.56091563,0.)); #522202=CARTESIAN_POINT('',(37.5186,0.56091563,0.035)); #522203=CARTESIAN_POINT('',(37.5186,0.56091563,0.035)); #522204=CARTESIAN_POINT('',(37.5186,0.56091563,0.)); #522205=CARTESIAN_POINT('Origin',(37.510666,0.56008125,0.)); #522206=CARTESIAN_POINT('',(37.510666,0.56008125,0.)); #522207=CARTESIAN_POINT('',(37.510666,0.56008125,0.035)); #522208=CARTESIAN_POINT('Origin',(34.0000000370592,34.0000000370592,0.035)); #522209=CARTESIAN_POINT('Origin',(34.0000000370592,34.0000000370592,0.)); #522210=CARTESIAN_POINT('Origin',(34.376216,55.261006,0.)); #522211=CARTESIAN_POINT('',(34.376216,55.261006,0.)); #522212=CARTESIAN_POINT('',(34.405834,55.267516,0.)); #522213=CARTESIAN_POINT('',(34.376216,55.261006,0.)); #522214=CARTESIAN_POINT('',(34.405834,55.267516,0.035)); #522215=CARTESIAN_POINT('',(34.405834,55.267516,0.)); #522216=CARTESIAN_POINT('',(34.376216,55.261006,0.035)); #522217=CARTESIAN_POINT('',(34.376216,55.261006,0.035)); #522218=CARTESIAN_POINT('',(34.376216,55.261006,0.)); #522219=CARTESIAN_POINT('Origin',(34.346403,55.266566,0.)); #522220=CARTESIAN_POINT('',(34.346403,55.266566,0.)); #522221=CARTESIAN_POINT('',(34.346403,55.266566,0.)); #522222=CARTESIAN_POINT('',(34.346403,55.266566,0.035)); #522223=CARTESIAN_POINT('',(34.346403,55.266566,0.035)); #522224=CARTESIAN_POINT('',(34.346403,55.266566,0.)); #522225=CARTESIAN_POINT('Origin',(34.321119,55.283316,0.)); #522226=CARTESIAN_POINT('',(34.321119,55.283316,0.)); #522227=CARTESIAN_POINT('',(34.321119,55.283316,0.)); #522228=CARTESIAN_POINT('',(34.321119,55.283316,0.035)); #522229=CARTESIAN_POINT('',(34.321119,55.283316,0.035)); #522230=CARTESIAN_POINT('',(34.321119,55.283316,0.)); #522231=CARTESIAN_POINT('Origin',(34.250919,55.353516,0.)); #522232=CARTESIAN_POINT('',(34.250919,55.353516,0.)); #522233=CARTESIAN_POINT('',(34.250919,55.353516,0.)); #522234=CARTESIAN_POINT('',(34.250919,55.353516,0.035)); #522235=CARTESIAN_POINT('',(34.250919,55.353516,0.035)); #522236=CARTESIAN_POINT('',(34.250919,55.353516,0.)); #522237=CARTESIAN_POINT('Origin',(34.234634,55.377675,0.)); #522238=CARTESIAN_POINT('',(34.234634,55.377675,0.)); #522239=CARTESIAN_POINT('',(34.234634,55.377675,0.)); #522240=CARTESIAN_POINT('',(34.234634,55.377675,0.035)); #522241=CARTESIAN_POINT('',(34.234634,55.377675,0.035)); #522242=CARTESIAN_POINT('',(34.234634,55.377675,0.)); #522243=CARTESIAN_POINT('Origin',(34.2286,55.407397,0.)); #522244=CARTESIAN_POINT('',(34.2286,55.407397,0.)); #522245=CARTESIAN_POINT('',(34.2286,55.407397,0.)); #522246=CARTESIAN_POINT('',(34.2286,55.407397,0.035)); #522247=CARTESIAN_POINT('',(34.2286,55.407397,0.035)); #522248=CARTESIAN_POINT('',(34.2286,55.407397,0.)); #522249=CARTESIAN_POINT('Origin',(34.2286,55.639147,0.)); #522250=CARTESIAN_POINT('',(34.2286,55.639147,0.)); #522251=CARTESIAN_POINT('',(34.2286,55.639147,0.)); #522252=CARTESIAN_POINT('',(34.2286,55.639147,0.035)); #522253=CARTESIAN_POINT('',(34.2286,55.639147,0.035)); #522254=CARTESIAN_POINT('',(34.2286,55.639147,0.)); #522255=CARTESIAN_POINT('Origin',(34.233294,55.665478,0.)); #522256=CARTESIAN_POINT('',(34.233294,55.665478,0.)); #522257=CARTESIAN_POINT('',(34.233294,55.665478,0.)); #522258=CARTESIAN_POINT('',(34.233294,55.665478,0.035)); #522259=CARTESIAN_POINT('',(34.233294,55.665478,0.035)); #522260=CARTESIAN_POINT('',(34.233294,55.665478,0.)); #522261=CARTESIAN_POINT('Origin',(34.249228,55.691284,0.)); #522262=CARTESIAN_POINT('',(34.249228,55.691284,0.)); #522263=CARTESIAN_POINT('',(34.249228,55.691284,0.)); #522264=CARTESIAN_POINT('',(34.249228,55.691284,0.035)); #522265=CARTESIAN_POINT('',(34.249228,55.691284,0.035)); #522266=CARTESIAN_POINT('',(34.249228,55.691284,0.)); #522267=CARTESIAN_POINT('Origin',(34.273966,55.708828,0.)); #522268=CARTESIAN_POINT('',(34.273966,55.708828,0.)); #522269=CARTESIAN_POINT('',(34.273966,55.708828,0.)); #522270=CARTESIAN_POINT('',(34.273966,55.708828,0.035)); #522271=CARTESIAN_POINT('',(34.273966,55.708828,0.035)); #522272=CARTESIAN_POINT('',(34.273966,55.708828,0.)); #522273=CARTESIAN_POINT('Origin',(34.303584,55.715338,0.)); #522274=CARTESIAN_POINT('',(34.303584,55.715338,0.)); #522275=CARTESIAN_POINT('',(34.303584,55.715338,0.)); #522276=CARTESIAN_POINT('',(34.303584,55.715338,0.035)); #522277=CARTESIAN_POINT('',(34.303584,55.715338,0.035)); #522278=CARTESIAN_POINT('',(34.303584,55.715338,0.)); #522279=CARTESIAN_POINT('Origin',(34.333397,55.709778,0.)); #522280=CARTESIAN_POINT('',(34.333397,55.709778,0.)); #522281=CARTESIAN_POINT('',(34.333397,55.709778,0.)); #522282=CARTESIAN_POINT('',(34.333397,55.709778,0.035)); #522283=CARTESIAN_POINT('',(34.333397,55.709778,0.035)); #522284=CARTESIAN_POINT('',(34.333397,55.709778,0.)); #522285=CARTESIAN_POINT('Origin',(34.358681,55.693028,0.)); #522286=CARTESIAN_POINT('',(34.358681,55.693028,0.)); #522287=CARTESIAN_POINT('',(34.358681,55.693028,0.)); #522288=CARTESIAN_POINT('',(34.358681,55.693028,0.035)); #522289=CARTESIAN_POINT('',(34.358681,55.693028,0.035)); #522290=CARTESIAN_POINT('',(34.358681,55.693028,0.)); #522291=CARTESIAN_POINT('Origin',(34.499081,55.552628,0.)); #522292=CARTESIAN_POINT('',(34.499081,55.552628,0.)); #522293=CARTESIAN_POINT('',(34.499081,55.552628,0.)); #522294=CARTESIAN_POINT('',(34.499081,55.552628,0.035)); #522295=CARTESIAN_POINT('',(34.499081,55.552628,0.035)); #522296=CARTESIAN_POINT('',(34.499081,55.552628,0.)); #522297=CARTESIAN_POINT('Origin',(34.515366,55.528469,0.)); #522298=CARTESIAN_POINT('',(34.515366,55.528469,0.)); #522299=CARTESIAN_POINT('',(34.515366,55.528469,0.)); #522300=CARTESIAN_POINT('',(34.515366,55.528469,0.035)); #522301=CARTESIAN_POINT('',(34.515366,55.528469,0.035)); #522302=CARTESIAN_POINT('',(34.515366,55.528469,0.)); #522303=CARTESIAN_POINT('Origin',(34.5214,55.498747,0.)); #522304=CARTESIAN_POINT('',(34.5214,55.498747,0.)); #522305=CARTESIAN_POINT('',(34.5214,55.498747,0.)); #522306=CARTESIAN_POINT('',(34.5214,55.498747,0.035)); #522307=CARTESIAN_POINT('',(34.5214,55.498747,0.035)); #522308=CARTESIAN_POINT('',(34.5214,55.498747,0.)); #522309=CARTESIAN_POINT('Origin',(34.5214,55.407397,0.)); #522310=CARTESIAN_POINT('',(34.5214,55.407397,0.)); #522311=CARTESIAN_POINT('',(34.5214,55.407397,0.)); #522312=CARTESIAN_POINT('',(34.5214,55.407397,0.035)); #522313=CARTESIAN_POINT('',(34.5214,55.407397,0.035)); #522314=CARTESIAN_POINT('',(34.5214,55.407397,0.)); #522315=CARTESIAN_POINT('Origin',(34.515831,55.3788,0.)); #522316=CARTESIAN_POINT('',(34.515831,55.3788,0.)); #522317=CARTESIAN_POINT('',(34.515831,55.3788,0.)); #522318=CARTESIAN_POINT('',(34.515831,55.3788,0.035)); #522319=CARTESIAN_POINT('',(34.515831,55.3788,0.035)); #522320=CARTESIAN_POINT('',(34.515831,55.3788,0.)); #522321=CARTESIAN_POINT('Origin',(34.499081,55.353516,0.)); #522322=CARTESIAN_POINT('',(34.499081,55.353516,0.)); #522323=CARTESIAN_POINT('',(34.499081,55.353516,0.)); #522324=CARTESIAN_POINT('',(34.499081,55.353516,0.035)); #522325=CARTESIAN_POINT('',(34.499081,55.353516,0.035)); #522326=CARTESIAN_POINT('',(34.499081,55.353516,0.)); #522327=CARTESIAN_POINT('Origin',(34.428881,55.283316,0.)); #522328=CARTESIAN_POINT('',(34.428881,55.283316,0.)); #522329=CARTESIAN_POINT('',(34.428881,55.283316,0.)); #522330=CARTESIAN_POINT('',(34.428881,55.283316,0.035)); #522331=CARTESIAN_POINT('',(34.428881,55.283316,0.035)); #522332=CARTESIAN_POINT('',(34.428881,55.283316,0.)); #522333=CARTESIAN_POINT('Origin',(34.405834,55.267516,0.)); #522334=CARTESIAN_POINT('',(34.405834,55.267516,0.)); #522335=CARTESIAN_POINT('',(34.405834,55.267516,0.035)); #522336=CARTESIAN_POINT('Origin',(34.359776144893,55.4864610160314,0.035)); #522337=CARTESIAN_POINT('Origin',(34.359776144893,55.4864610160314,0.)); #522338=CARTESIAN_POINT('Origin',(34.506028,23.108491,0.)); #522339=CARTESIAN_POINT('',(34.506028,23.108491,0.)); #522340=CARTESIAN_POINT('',(34.535544,23.115472,0.)); #522341=CARTESIAN_POINT('',(34.506028,23.108491,0.)); #522342=CARTESIAN_POINT('',(34.535544,23.115472,0.035)); #522343=CARTESIAN_POINT('',(34.535544,23.115472,0.)); #522344=CARTESIAN_POINT('',(34.506028,23.108491,0.035)); #522345=CARTESIAN_POINT('',(34.506028,23.108491,0.035)); #522346=CARTESIAN_POINT('',(34.506028,23.108491,0.)); #522347=CARTESIAN_POINT('Origin',(34.476131,23.113575,0.)); #522348=CARTESIAN_POINT('',(34.476131,23.113575,0.)); #522349=CARTESIAN_POINT('',(34.476131,23.113575,0.)); #522350=CARTESIAN_POINT('',(34.476131,23.113575,0.035)); #522351=CARTESIAN_POINT('',(34.476131,23.113575,0.035)); #522352=CARTESIAN_POINT('',(34.476131,23.113575,0.)); #522353=CARTESIAN_POINT('Origin',(34.450584,23.129919,0.)); #522354=CARTESIAN_POINT('',(34.450584,23.129919,0.)); #522355=CARTESIAN_POINT('',(34.450584,23.129919,0.)); #522356=CARTESIAN_POINT('',(34.450584,23.129919,0.035)); #522357=CARTESIAN_POINT('',(34.450584,23.129919,0.035)); #522358=CARTESIAN_POINT('',(34.450584,23.129919,0.)); #522359=CARTESIAN_POINT('Origin',(34.433434,23.154931,0.)); #522360=CARTESIAN_POINT('',(34.433434,23.154931,0.)); #522361=CARTESIAN_POINT('',(34.433434,23.154931,0.)); #522362=CARTESIAN_POINT('',(34.433434,23.154931,0.035)); #522363=CARTESIAN_POINT('',(34.433434,23.154931,0.035)); #522364=CARTESIAN_POINT('',(34.433434,23.154931,0.)); #522365=CARTESIAN_POINT('Origin',(34.4274,23.184653,0.)); #522366=CARTESIAN_POINT('',(34.4274,23.184653,0.)); #522367=CARTESIAN_POINT('',(34.4274,23.184653,0.)); #522368=CARTESIAN_POINT('',(34.4274,23.184653,0.035)); #522369=CARTESIAN_POINT('',(34.4274,23.184653,0.035)); #522370=CARTESIAN_POINT('',(34.4274,23.184653,0.)); #522371=CARTESIAN_POINT('Origin',(34.4274,23.302034,0.)); #522372=CARTESIAN_POINT('',(34.4274,23.302034,0.)); #522373=CARTESIAN_POINT('',(34.4274,23.302034,0.)); #522374=CARTESIAN_POINT('',(34.4274,23.302034,0.035)); #522375=CARTESIAN_POINT('',(34.4274,23.302034,0.035)); #522376=CARTESIAN_POINT('',(34.4274,23.302034,0.)); #522377=CARTESIAN_POINT('Origin',(34.250919,23.478516,0.)); #522378=CARTESIAN_POINT('',(34.250919,23.478516,0.)); #522379=CARTESIAN_POINT('',(34.250919,23.478516,0.)); #522380=CARTESIAN_POINT('',(34.250919,23.478516,0.035)); #522381=CARTESIAN_POINT('',(34.250919,23.478516,0.035)); #522382=CARTESIAN_POINT('',(34.250919,23.478516,0.)); #522383=CARTESIAN_POINT('Origin',(34.234634,23.502675,0.)); #522384=CARTESIAN_POINT('',(34.234634,23.502675,0.)); #522385=CARTESIAN_POINT('',(34.234634,23.502675,0.)); #522386=CARTESIAN_POINT('',(34.234634,23.502675,0.035)); #522387=CARTESIAN_POINT('',(34.234634,23.502675,0.035)); #522388=CARTESIAN_POINT('',(34.234634,23.502675,0.)); #522389=CARTESIAN_POINT('Origin',(34.2286,23.532397,0.)); #522390=CARTESIAN_POINT('',(34.2286,23.532397,0.)); #522391=CARTESIAN_POINT('',(34.2286,23.532397,0.)); #522392=CARTESIAN_POINT('',(34.2286,23.532397,0.035)); #522393=CARTESIAN_POINT('',(34.2286,23.532397,0.035)); #522394=CARTESIAN_POINT('',(34.2286,23.532397,0.)); #522395=CARTESIAN_POINT('Origin',(34.2286,24.317025,0.)); #522396=CARTESIAN_POINT('',(34.2286,24.317025,0.)); #522397=CARTESIAN_POINT('',(34.2286,24.317025,0.)); #522398=CARTESIAN_POINT('',(34.2286,24.317025,0.035)); #522399=CARTESIAN_POINT('',(34.2286,24.317025,0.035)); #522400=CARTESIAN_POINT('',(34.2286,24.317025,0.)); #522401=CARTESIAN_POINT('Origin',(34.233944,24.345059,0.)); #522402=CARTESIAN_POINT('',(34.233944,24.345059,0.)); #522403=CARTESIAN_POINT('',(34.233944,24.345059,0.)); #522404=CARTESIAN_POINT('',(34.233944,24.345059,0.035)); #522405=CARTESIAN_POINT('',(34.233944,24.345059,0.035)); #522406=CARTESIAN_POINT('',(34.233944,24.345059,0.)); #522407=CARTESIAN_POINT('Origin',(34.250491,24.370475,0.)); #522408=CARTESIAN_POINT('',(34.250491,24.370475,0.)); #522409=CARTESIAN_POINT('',(34.250491,24.370475,0.)); #522410=CARTESIAN_POINT('',(34.250491,24.370475,0.035)); #522411=CARTESIAN_POINT('',(34.250491,24.370475,0.035)); #522412=CARTESIAN_POINT('',(34.250491,24.370475,0.)); #522413=CARTESIAN_POINT('Origin',(34.275641,24.387425,0.)); #522414=CARTESIAN_POINT('',(34.275641,24.387425,0.)); #522415=CARTESIAN_POINT('',(34.275641,24.387425,0.)); #522416=CARTESIAN_POINT('',(34.275641,24.387425,0.035)); #522417=CARTESIAN_POINT('',(34.275641,24.387425,0.035)); #522418=CARTESIAN_POINT('',(34.275641,24.387425,0.)); #522419=CARTESIAN_POINT('Origin',(34.511169,24.484984,0.)); #522420=CARTESIAN_POINT('',(34.511169,24.484984,0.)); #522421=CARTESIAN_POINT('',(34.511169,24.484984,0.)); #522422=CARTESIAN_POINT('',(34.511169,24.484984,0.035)); #522423=CARTESIAN_POINT('',(34.511169,24.484984,0.035)); #522424=CARTESIAN_POINT('',(34.511169,24.484984,0.)); #522425=CARTESIAN_POINT('Origin',(34.703819,24.677634,0.)); #522426=CARTESIAN_POINT('',(34.703819,24.677634,0.)); #522427=CARTESIAN_POINT('',(34.703819,24.677634,0.)); #522428=CARTESIAN_POINT('',(34.703819,24.677634,0.035)); #522429=CARTESIAN_POINT('',(34.703819,24.677634,0.035)); #522430=CARTESIAN_POINT('',(34.703819,24.677634,0.)); #522431=CARTESIAN_POINT('Origin',(34.725756,24.692934,0.)); #522432=CARTESIAN_POINT('',(34.725756,24.692934,0.)); #522433=CARTESIAN_POINT('',(34.725756,24.692934,0.)); #522434=CARTESIAN_POINT('',(34.725756,24.692934,0.035)); #522435=CARTESIAN_POINT('',(34.725756,24.692934,0.035)); #522436=CARTESIAN_POINT('',(34.725756,24.692934,0.)); #522437=CARTESIAN_POINT('Origin',(34.755272,24.699916,0.)); #522438=CARTESIAN_POINT('',(34.755272,24.699916,0.)); #522439=CARTESIAN_POINT('',(34.755272,24.699916,0.)); #522440=CARTESIAN_POINT('',(34.755272,24.699916,0.035)); #522441=CARTESIAN_POINT('',(34.755272,24.699916,0.035)); #522442=CARTESIAN_POINT('',(34.755272,24.699916,0.)); #522443=CARTESIAN_POINT('Origin',(34.785169,24.694831,0.)); #522444=CARTESIAN_POINT('',(34.785169,24.694831,0.)); #522445=CARTESIAN_POINT('',(34.785169,24.694831,0.)); #522446=CARTESIAN_POINT('',(34.785169,24.694831,0.035)); #522447=CARTESIAN_POINT('',(34.785169,24.694831,0.035)); #522448=CARTESIAN_POINT('',(34.785169,24.694831,0.)); #522449=CARTESIAN_POINT('Origin',(34.810716,24.678488,0.)); #522450=CARTESIAN_POINT('',(34.810716,24.678488,0.)); #522451=CARTESIAN_POINT('',(34.810716,24.678488,0.)); #522452=CARTESIAN_POINT('',(34.810716,24.678488,0.035)); #522453=CARTESIAN_POINT('',(34.810716,24.678488,0.035)); #522454=CARTESIAN_POINT('',(34.810716,24.678488,0.)); #522455=CARTESIAN_POINT('Origin',(34.827866,24.653475,0.)); #522456=CARTESIAN_POINT('',(34.827866,24.653475,0.)); #522457=CARTESIAN_POINT('',(34.827866,24.653475,0.)); #522458=CARTESIAN_POINT('',(34.827866,24.653475,0.035)); #522459=CARTESIAN_POINT('',(34.827866,24.653475,0.035)); #522460=CARTESIAN_POINT('',(34.827866,24.653475,0.)); #522461=CARTESIAN_POINT('Origin',(34.8339,24.623753,0.)); #522462=CARTESIAN_POINT('',(34.8339,24.623753,0.)); #522463=CARTESIAN_POINT('',(34.8339,24.623753,0.)); #522464=CARTESIAN_POINT('',(34.8339,24.623753,0.035)); #522465=CARTESIAN_POINT('',(34.8339,24.623753,0.035)); #522466=CARTESIAN_POINT('',(34.8339,24.623753,0.)); #522467=CARTESIAN_POINT('Origin',(34.8339,23.438753,0.)); #522468=CARTESIAN_POINT('',(34.8339,23.438753,0.)); #522469=CARTESIAN_POINT('',(34.8339,23.438753,0.)); #522470=CARTESIAN_POINT('',(34.8339,23.438753,0.035)); #522471=CARTESIAN_POINT('',(34.8339,23.438753,0.035)); #522472=CARTESIAN_POINT('',(34.8339,23.438753,0.)); #522473=CARTESIAN_POINT('Origin',(34.828331,23.410156,0.)); #522474=CARTESIAN_POINT('',(34.828331,23.410156,0.)); #522475=CARTESIAN_POINT('',(34.828331,23.410156,0.)); #522476=CARTESIAN_POINT('',(34.828331,23.410156,0.035)); #522477=CARTESIAN_POINT('',(34.828331,23.410156,0.035)); #522478=CARTESIAN_POINT('',(34.828331,23.410156,0.)); #522479=CARTESIAN_POINT('Origin',(34.811581,23.384872,0.)); #522480=CARTESIAN_POINT('',(34.811581,23.384872,0.)); #522481=CARTESIAN_POINT('',(34.811581,23.384872,0.)); #522482=CARTESIAN_POINT('',(34.811581,23.384872,0.035)); #522483=CARTESIAN_POINT('',(34.811581,23.384872,0.035)); #522484=CARTESIAN_POINT('',(34.811581,23.384872,0.)); #522485=CARTESIAN_POINT('Origin',(34.557481,23.130772,0.)); #522486=CARTESIAN_POINT('',(34.557481,23.130772,0.)); #522487=CARTESIAN_POINT('',(34.557481,23.130772,0.)); #522488=CARTESIAN_POINT('',(34.557481,23.130772,0.035)); #522489=CARTESIAN_POINT('',(34.557481,23.130772,0.035)); #522490=CARTESIAN_POINT('',(34.557481,23.130772,0.)); #522491=CARTESIAN_POINT('Origin',(34.535544,23.115472,0.)); #522492=CARTESIAN_POINT('',(34.535544,23.115472,0.)); #522493=CARTESIAN_POINT('',(34.535544,23.115472,0.035)); #522494=CARTESIAN_POINT('Origin',(34.560751013423,23.9136647434973,0.035)); #522495=CARTESIAN_POINT('Origin',(34.560751013423,23.9136647434973,0.)); #522496=CARTESIAN_POINT('Origin',(36.095,31.7493,0.)); #522497=CARTESIAN_POINT('',(36.095,31.7493,0.)); #522498=CARTESIAN_POINT('',(36.124722,31.755334,0.)); #522499=CARTESIAN_POINT('',(36.095,31.7493,0.)); #522500=CARTESIAN_POINT('',(36.124722,31.755334,0.035)); #522501=CARTESIAN_POINT('',(36.124722,31.755334,0.)); #522502=CARTESIAN_POINT('',(36.095,31.7493,0.035)); #522503=CARTESIAN_POINT('',(36.095,31.7493,0.035)); #522504=CARTESIAN_POINT('',(36.095,31.7493,0.)); #522505=CARTESIAN_POINT('Origin',(35.7423,31.7493,0.)); #522506=CARTESIAN_POINT('',(35.7423,31.7493,0.)); #522507=CARTESIAN_POINT('',(35.7423,31.7493,0.)); #522508=CARTESIAN_POINT('',(35.7423,31.7493,0.035)); #522509=CARTESIAN_POINT('',(35.7423,31.7493,0.035)); #522510=CARTESIAN_POINT('',(35.7423,31.7493,0.)); #522511=CARTESIAN_POINT('Origin',(35.714831,31.754422,0.)); #522512=CARTESIAN_POINT('',(35.714831,31.754422,0.)); #522513=CARTESIAN_POINT('',(35.714831,31.754422,0.)); #522514=CARTESIAN_POINT('',(35.714831,31.754422,0.035)); #522515=CARTESIAN_POINT('',(35.714831,31.754422,0.035)); #522516=CARTESIAN_POINT('',(35.714831,31.754422,0.)); #522517=CARTESIAN_POINT('Origin',(35.689284,31.770766,0.)); #522518=CARTESIAN_POINT('',(35.689284,31.770766,0.)); #522519=CARTESIAN_POINT('',(35.689284,31.770766,0.)); #522520=CARTESIAN_POINT('',(35.689284,31.770766,0.035)); #522521=CARTESIAN_POINT('',(35.689284,31.770766,0.035)); #522522=CARTESIAN_POINT('',(35.689284,31.770766,0.)); #522523=CARTESIAN_POINT('Origin',(35.672134,31.795778,0.)); #522524=CARTESIAN_POINT('',(35.672134,31.795778,0.)); #522525=CARTESIAN_POINT('',(35.672134,31.795778,0.)); #522526=CARTESIAN_POINT('',(35.672134,31.795778,0.035)); #522527=CARTESIAN_POINT('',(35.672134,31.795778,0.035)); #522528=CARTESIAN_POINT('',(35.672134,31.795778,0.)); #522529=CARTESIAN_POINT('Origin',(35.6661,31.8255,0.)); #522530=CARTESIAN_POINT('',(35.6661,31.8255,0.)); #522531=CARTESIAN_POINT('',(35.6661,31.8255,0.)); #522532=CARTESIAN_POINT('',(35.6661,31.8255,0.035)); #522533=CARTESIAN_POINT('',(35.6661,31.8255,0.035)); #522534=CARTESIAN_POINT('',(35.6661,31.8255,0.)); #522535=CARTESIAN_POINT('Origin',(35.6661,34.061247,0.)); #522536=CARTESIAN_POINT('',(35.6661,34.061247,0.)); #522537=CARTESIAN_POINT('',(35.6661,34.061247,0.)); #522538=CARTESIAN_POINT('',(35.6661,34.061247,0.035)); #522539=CARTESIAN_POINT('',(35.6661,34.061247,0.035)); #522540=CARTESIAN_POINT('',(35.6661,34.061247,0.)); #522541=CARTESIAN_POINT('Origin',(35.671669,34.089844,0.)); #522542=CARTESIAN_POINT('',(35.671669,34.089844,0.)); #522543=CARTESIAN_POINT('',(35.671669,34.089844,0.)); #522544=CARTESIAN_POINT('',(35.671669,34.089844,0.035)); #522545=CARTESIAN_POINT('',(35.671669,34.089844,0.035)); #522546=CARTESIAN_POINT('',(35.671669,34.089844,0.)); #522547=CARTESIAN_POINT('Origin',(35.688419,34.115128,0.)); #522548=CARTESIAN_POINT('',(35.688419,34.115128,0.)); #522549=CARTESIAN_POINT('',(35.688419,34.115128,0.)); #522550=CARTESIAN_POINT('',(35.688419,34.115128,0.035)); #522551=CARTESIAN_POINT('',(35.688419,34.115128,0.035)); #522552=CARTESIAN_POINT('',(35.688419,34.115128,0.)); #522553=CARTESIAN_POINT('Origin',(36.041119,34.467828,0.)); #522554=CARTESIAN_POINT('',(36.041119,34.467828,0.)); #522555=CARTESIAN_POINT('',(36.041119,34.467828,0.)); #522556=CARTESIAN_POINT('',(36.041119,34.467828,0.035)); #522557=CARTESIAN_POINT('',(36.041119,34.467828,0.035)); #522558=CARTESIAN_POINT('',(36.041119,34.467828,0.)); #522559=CARTESIAN_POINT('Origin',(36.063056,34.483128,0.)); #522560=CARTESIAN_POINT('',(36.063056,34.483128,0.)); #522561=CARTESIAN_POINT('',(36.063056,34.483128,0.)); #522562=CARTESIAN_POINT('',(36.063056,34.483128,0.035)); #522563=CARTESIAN_POINT('',(36.063056,34.483128,0.035)); #522564=CARTESIAN_POINT('',(36.063056,34.483128,0.)); #522565=CARTESIAN_POINT('Origin',(36.092572,34.490109,0.)); #522566=CARTESIAN_POINT('',(36.092572,34.490109,0.)); #522567=CARTESIAN_POINT('',(36.092572,34.490109,0.)); #522568=CARTESIAN_POINT('',(36.092572,34.490109,0.035)); #522569=CARTESIAN_POINT('',(36.092572,34.490109,0.035)); #522570=CARTESIAN_POINT('',(36.092572,34.490109,0.)); #522571=CARTESIAN_POINT('Origin',(36.122469,34.485025,0.)); #522572=CARTESIAN_POINT('',(36.122469,34.485025,0.)); #522573=CARTESIAN_POINT('',(36.122469,34.485025,0.)); #522574=CARTESIAN_POINT('',(36.122469,34.485025,0.035)); #522575=CARTESIAN_POINT('',(36.122469,34.485025,0.035)); #522576=CARTESIAN_POINT('',(36.122469,34.485025,0.)); #522577=CARTESIAN_POINT('Origin',(36.148016,34.468681,0.)); #522578=CARTESIAN_POINT('',(36.148016,34.468681,0.)); #522579=CARTESIAN_POINT('',(36.148016,34.468681,0.)); #522580=CARTESIAN_POINT('',(36.148016,34.468681,0.035)); #522581=CARTESIAN_POINT('',(36.148016,34.468681,0.035)); #522582=CARTESIAN_POINT('',(36.148016,34.468681,0.)); #522583=CARTESIAN_POINT('Origin',(36.165166,34.443669,0.)); #522584=CARTESIAN_POINT('',(36.165166,34.443669,0.)); #522585=CARTESIAN_POINT('',(36.165166,34.443669,0.)); #522586=CARTESIAN_POINT('',(36.165166,34.443669,0.035)); #522587=CARTESIAN_POINT('',(36.165166,34.443669,0.035)); #522588=CARTESIAN_POINT('',(36.165166,34.443669,0.)); #522589=CARTESIAN_POINT('Origin',(36.1712,34.413947,0.)); #522590=CARTESIAN_POINT('',(36.1712,34.413947,0.)); #522591=CARTESIAN_POINT('',(36.1712,34.413947,0.)); #522592=CARTESIAN_POINT('',(36.1712,34.413947,0.035)); #522593=CARTESIAN_POINT('',(36.1712,34.413947,0.035)); #522594=CARTESIAN_POINT('',(36.1712,34.413947,0.)); #522595=CARTESIAN_POINT('Origin',(36.1712,31.8255,0.)); #522596=CARTESIAN_POINT('',(36.1712,31.8255,0.)); #522597=CARTESIAN_POINT('',(36.1712,31.8255,0.)); #522598=CARTESIAN_POINT('',(36.1712,31.8255,0.035)); #522599=CARTESIAN_POINT('',(36.1712,31.8255,0.035)); #522600=CARTESIAN_POINT('',(36.1712,31.8255,0.)); #522601=CARTESIAN_POINT('Origin',(36.166078,31.798031,0.)); #522602=CARTESIAN_POINT('',(36.166078,31.798031,0.)); #522603=CARTESIAN_POINT('',(36.166078,31.798031,0.)); #522604=CARTESIAN_POINT('',(36.166078,31.798031,0.035)); #522605=CARTESIAN_POINT('',(36.166078,31.798031,0.035)); #522606=CARTESIAN_POINT('',(36.166078,31.798031,0.)); #522607=CARTESIAN_POINT('Origin',(36.149734,31.772484,0.)); #522608=CARTESIAN_POINT('',(36.149734,31.772484,0.)); #522609=CARTESIAN_POINT('',(36.149734,31.772484,0.)); #522610=CARTESIAN_POINT('',(36.149734,31.772484,0.035)); #522611=CARTESIAN_POINT('',(36.149734,31.772484,0.035)); #522612=CARTESIAN_POINT('',(36.149734,31.772484,0.)); #522613=CARTESIAN_POINT('Origin',(36.124722,31.755334,0.)); #522614=CARTESIAN_POINT('',(36.124722,31.755334,0.)); #522615=CARTESIAN_POINT('',(36.124722,31.755334,0.035)); #522616=CARTESIAN_POINT('Origin',(35.9321701223949,33.0686561372274,0.035)); #522617=CARTESIAN_POINT('Origin',(35.9321701223949,33.0686561372274,0.)); #522618=CARTESIAN_POINT('Origin',(35.744728,23.286516,0.)); #522619=CARTESIAN_POINT('',(35.744728,23.286516,0.)); #522620=CARTESIAN_POINT('',(35.774244,23.293497,0.)); #522621=CARTESIAN_POINT('',(35.744728,23.286516,0.)); #522622=CARTESIAN_POINT('',(35.774244,23.293497,0.035)); #522623=CARTESIAN_POINT('',(35.774244,23.293497,0.)); #522624=CARTESIAN_POINT('',(35.744728,23.286516,0.035)); #522625=CARTESIAN_POINT('',(35.744728,23.286516,0.035)); #522626=CARTESIAN_POINT('',(35.744728,23.286516,0.)); #522627=CARTESIAN_POINT('Origin',(35.714831,23.2916,0.)); #522628=CARTESIAN_POINT('',(35.714831,23.2916,0.)); #522629=CARTESIAN_POINT('',(35.714831,23.2916,0.)); #522630=CARTESIAN_POINT('',(35.714831,23.2916,0.035)); #522631=CARTESIAN_POINT('',(35.714831,23.2916,0.035)); #522632=CARTESIAN_POINT('',(35.714831,23.2916,0.)); #522633=CARTESIAN_POINT('Origin',(35.689284,23.307944,0.)); #522634=CARTESIAN_POINT('',(35.689284,23.307944,0.)); #522635=CARTESIAN_POINT('',(35.689284,23.307944,0.)); #522636=CARTESIAN_POINT('',(35.689284,23.307944,0.035)); #522637=CARTESIAN_POINT('',(35.689284,23.307944,0.035)); #522638=CARTESIAN_POINT('',(35.689284,23.307944,0.)); #522639=CARTESIAN_POINT('Origin',(35.672134,23.332956,0.)); #522640=CARTESIAN_POINT('',(35.672134,23.332956,0.)); #522641=CARTESIAN_POINT('',(35.672134,23.332956,0.)); #522642=CARTESIAN_POINT('',(35.672134,23.332956,0.035)); #522643=CARTESIAN_POINT('',(35.672134,23.332956,0.035)); #522644=CARTESIAN_POINT('',(35.672134,23.332956,0.)); #522645=CARTESIAN_POINT('Origin',(35.6661,23.362678,0.)); #522646=CARTESIAN_POINT('',(35.6661,23.362678,0.)); #522647=CARTESIAN_POINT('',(35.6661,23.362678,0.)); #522648=CARTESIAN_POINT('',(35.6661,23.362678,0.035)); #522649=CARTESIAN_POINT('',(35.6661,23.362678,0.035)); #522650=CARTESIAN_POINT('',(35.6661,23.362678,0.)); #522651=CARTESIAN_POINT('Origin',(35.6661,24.623753,0.)); #522652=CARTESIAN_POINT('',(35.6661,24.623753,0.)); #522653=CARTESIAN_POINT('',(35.6661,24.623753,0.)); #522654=CARTESIAN_POINT('',(35.6661,24.623753,0.035)); #522655=CARTESIAN_POINT('',(35.6661,24.623753,0.035)); #522656=CARTESIAN_POINT('',(35.6661,24.623753,0.)); #522657=CARTESIAN_POINT('Origin',(35.670794,24.650084,0.)); #522658=CARTESIAN_POINT('',(35.670794,24.650084,0.)); #522659=CARTESIAN_POINT('',(35.670794,24.650084,0.)); #522660=CARTESIAN_POINT('',(35.670794,24.650084,0.035)); #522661=CARTESIAN_POINT('',(35.670794,24.650084,0.035)); #522662=CARTESIAN_POINT('',(35.670794,24.650084,0.)); #522663=CARTESIAN_POINT('Origin',(35.686728,24.675891,0.)); #522664=CARTESIAN_POINT('',(35.686728,24.675891,0.)); #522665=CARTESIAN_POINT('',(35.686728,24.675891,0.)); #522666=CARTESIAN_POINT('',(35.686728,24.675891,0.035)); #522667=CARTESIAN_POINT('',(35.686728,24.675891,0.035)); #522668=CARTESIAN_POINT('',(35.686728,24.675891,0.)); #522669=CARTESIAN_POINT('Origin',(35.711466,24.693434,0.)); #522670=CARTESIAN_POINT('',(35.711466,24.693434,0.)); #522671=CARTESIAN_POINT('',(35.711466,24.693434,0.)); #522672=CARTESIAN_POINT('',(35.711466,24.693434,0.035)); #522673=CARTESIAN_POINT('',(35.711466,24.693434,0.035)); #522674=CARTESIAN_POINT('',(35.711466,24.693434,0.)); #522675=CARTESIAN_POINT('Origin',(35.741084,24.699944,0.)); #522676=CARTESIAN_POINT('',(35.741084,24.699944,0.)); #522677=CARTESIAN_POINT('',(35.741084,24.699944,0.)); #522678=CARTESIAN_POINT('',(35.741084,24.699944,0.035)); #522679=CARTESIAN_POINT('',(35.741084,24.699944,0.035)); #522680=CARTESIAN_POINT('',(35.741084,24.699944,0.)); #522681=CARTESIAN_POINT('Origin',(35.770897,24.694384,0.)); #522682=CARTESIAN_POINT('',(35.770897,24.694384,0.)); #522683=CARTESIAN_POINT('',(35.770897,24.694384,0.)); #522684=CARTESIAN_POINT('',(35.770897,24.694384,0.035)); #522685=CARTESIAN_POINT('',(35.770897,24.694384,0.035)); #522686=CARTESIAN_POINT('',(35.770897,24.694384,0.)); #522687=CARTESIAN_POINT('Origin',(35.796181,24.677634,0.)); #522688=CARTESIAN_POINT('',(35.796181,24.677634,0.)); #522689=CARTESIAN_POINT('',(35.796181,24.677634,0.)); #522690=CARTESIAN_POINT('',(35.796181,24.677634,0.035)); #522691=CARTESIAN_POINT('',(35.796181,24.677634,0.035)); #522692=CARTESIAN_POINT('',(35.796181,24.677634,0.)); #522693=CARTESIAN_POINT('Origin',(35.988831,24.484984,0.)); #522694=CARTESIAN_POINT('',(35.988831,24.484984,0.)); #522695=CARTESIAN_POINT('',(35.988831,24.484984,0.)); #522696=CARTESIAN_POINT('',(35.988831,24.484984,0.035)); #522697=CARTESIAN_POINT('',(35.988831,24.484984,0.035)); #522698=CARTESIAN_POINT('',(35.988831,24.484984,0.)); #522699=CARTESIAN_POINT('Origin',(36.3205,24.3476,0.)); #522700=CARTESIAN_POINT('',(36.3205,24.3476,0.)); #522701=CARTESIAN_POINT('',(36.3205,24.3476,0.)); #522702=CARTESIAN_POINT('',(36.3205,24.3476,0.035)); #522703=CARTESIAN_POINT('',(36.3205,24.3476,0.035)); #522704=CARTESIAN_POINT('',(36.3205,24.3476,0.)); #522705=CARTESIAN_POINT('Origin',(36.651022,24.3476,0.)); #522706=CARTESIAN_POINT('',(36.651022,24.3476,0.)); #522707=CARTESIAN_POINT('',(36.651022,24.3476,0.)); #522708=CARTESIAN_POINT('',(36.651022,24.3476,0.035)); #522709=CARTESIAN_POINT('',(36.651022,24.3476,0.035)); #522710=CARTESIAN_POINT('',(36.651022,24.3476,0.)); #522711=CARTESIAN_POINT('Origin',(36.677353,24.342906,0.)); #522712=CARTESIAN_POINT('',(36.677353,24.342906,0.)); #522713=CARTESIAN_POINT('',(36.677353,24.342906,0.)); #522714=CARTESIAN_POINT('',(36.677353,24.342906,0.035)); #522715=CARTESIAN_POINT('',(36.677353,24.342906,0.035)); #522716=CARTESIAN_POINT('',(36.677353,24.342906,0.)); #522717=CARTESIAN_POINT('Origin',(36.703159,24.326972,0.)); #522718=CARTESIAN_POINT('',(36.703159,24.326972,0.)); #522719=CARTESIAN_POINT('',(36.703159,24.326972,0.)); #522720=CARTESIAN_POINT('',(36.703159,24.326972,0.035)); #522721=CARTESIAN_POINT('',(36.703159,24.326972,0.035)); #522722=CARTESIAN_POINT('',(36.703159,24.326972,0.)); #522723=CARTESIAN_POINT('Origin',(36.720703,24.302234,0.)); #522724=CARTESIAN_POINT('',(36.720703,24.302234,0.)); #522725=CARTESIAN_POINT('',(36.720703,24.302234,0.)); #522726=CARTESIAN_POINT('',(36.720703,24.302234,0.035)); #522727=CARTESIAN_POINT('',(36.720703,24.302234,0.035)); #522728=CARTESIAN_POINT('',(36.720703,24.302234,0.)); #522729=CARTESIAN_POINT('Origin',(36.727213,24.272616,0.)); #522730=CARTESIAN_POINT('',(36.727213,24.272616,0.)); #522731=CARTESIAN_POINT('',(36.727213,24.272616,0.)); #522732=CARTESIAN_POINT('',(36.727213,24.272616,0.035)); #522733=CARTESIAN_POINT('',(36.727213,24.272616,0.035)); #522734=CARTESIAN_POINT('',(36.727213,24.272616,0.)); #522735=CARTESIAN_POINT('Origin',(36.721653,24.242803,0.)); #522736=CARTESIAN_POINT('',(36.721653,24.242803,0.)); #522737=CARTESIAN_POINT('',(36.721653,24.242803,0.)); #522738=CARTESIAN_POINT('',(36.721653,24.242803,0.035)); #522739=CARTESIAN_POINT('',(36.721653,24.242803,0.035)); #522740=CARTESIAN_POINT('',(36.721653,24.242803,0.)); #522741=CARTESIAN_POINT('Origin',(36.704903,24.217519,0.)); #522742=CARTESIAN_POINT('',(36.704903,24.217519,0.)); #522743=CARTESIAN_POINT('',(36.704903,24.217519,0.)); #522744=CARTESIAN_POINT('',(36.704903,24.217519,0.035)); #522745=CARTESIAN_POINT('',(36.704903,24.217519,0.035)); #522746=CARTESIAN_POINT('',(36.704903,24.217519,0.)); #522747=CARTESIAN_POINT('Origin',(35.796181,23.308797,0.)); #522748=CARTESIAN_POINT('',(35.796181,23.308797,0.)); #522749=CARTESIAN_POINT('',(35.796181,23.308797,0.)); #522750=CARTESIAN_POINT('',(35.796181,23.308797,0.035)); #522751=CARTESIAN_POINT('',(35.796181,23.308797,0.035)); #522752=CARTESIAN_POINT('',(35.796181,23.308797,0.)); #522753=CARTESIAN_POINT('Origin',(35.774244,23.293497,0.)); #522754=CARTESIAN_POINT('',(35.774244,23.293497,0.)); #522755=CARTESIAN_POINT('',(35.774244,23.293497,0.035)); #522756=CARTESIAN_POINT('Origin',(36.0280844586774,24.0395230380529,0.035)); #522757=CARTESIAN_POINT('Origin',(36.0280844586774,24.0395230380529,0.)); #522758=CARTESIAN_POINT('Origin',(30.001216,37.592863,0.)); #522759=CARTESIAN_POINT('',(30.001216,37.592863,0.)); #522760=CARTESIAN_POINT('',(30.030834,37.599372,0.)); #522761=CARTESIAN_POINT('',(30.001216,37.592863,0.)); #522762=CARTESIAN_POINT('',(30.030834,37.599372,0.035)); #522763=CARTESIAN_POINT('',(30.030834,37.599372,0.)); #522764=CARTESIAN_POINT('',(30.001216,37.592863,0.035)); #522765=CARTESIAN_POINT('',(30.001216,37.592863,0.035)); #522766=CARTESIAN_POINT('',(30.001216,37.592863,0.)); #522767=CARTESIAN_POINT('Origin',(29.971403,37.598422,0.)); #522768=CARTESIAN_POINT('',(29.971403,37.598422,0.)); #522769=CARTESIAN_POINT('',(29.971403,37.598422,0.)); #522770=CARTESIAN_POINT('',(29.971403,37.598422,0.035)); #522771=CARTESIAN_POINT('',(29.971403,37.598422,0.035)); #522772=CARTESIAN_POINT('',(29.971403,37.598422,0.)); #522773=CARTESIAN_POINT('Origin',(29.946119,37.615172,0.)); #522774=CARTESIAN_POINT('',(29.946119,37.615172,0.)); #522775=CARTESIAN_POINT('',(29.946119,37.615172,0.)); #522776=CARTESIAN_POINT('',(29.946119,37.615172,0.035)); #522777=CARTESIAN_POINT('',(29.946119,37.615172,0.035)); #522778=CARTESIAN_POINT('',(29.946119,37.615172,0.)); #522779=CARTESIAN_POINT('Origin',(29.831819,37.729472,0.)); #522780=CARTESIAN_POINT('',(29.831819,37.729472,0.)); #522781=CARTESIAN_POINT('',(29.831819,37.729472,0.)); #522782=CARTESIAN_POINT('',(29.831819,37.729472,0.035)); #522783=CARTESIAN_POINT('',(29.831819,37.729472,0.035)); #522784=CARTESIAN_POINT('',(29.831819,37.729472,0.)); #522785=CARTESIAN_POINT('Origin',(29.815534,37.753631,0.)); #522786=CARTESIAN_POINT('',(29.815534,37.753631,0.)); #522787=CARTESIAN_POINT('',(29.815534,37.753631,0.)); #522788=CARTESIAN_POINT('',(29.815534,37.753631,0.035)); #522789=CARTESIAN_POINT('',(29.815534,37.753631,0.035)); #522790=CARTESIAN_POINT('',(29.815534,37.753631,0.)); #522791=CARTESIAN_POINT('Origin',(29.8095,37.783353,0.)); #522792=CARTESIAN_POINT('',(29.8095,37.783353,0.)); #522793=CARTESIAN_POINT('',(29.8095,37.783353,0.)); #522794=CARTESIAN_POINT('',(29.8095,37.783353,0.035)); #522795=CARTESIAN_POINT('',(29.8095,37.783353,0.035)); #522796=CARTESIAN_POINT('',(29.8095,37.783353,0.)); #522797=CARTESIAN_POINT('Origin',(29.8095,38.445247,0.)); #522798=CARTESIAN_POINT('',(29.8095,38.445247,0.)); #522799=CARTESIAN_POINT('',(29.8095,38.445247,0.)); #522800=CARTESIAN_POINT('',(29.8095,38.445247,0.035)); #522801=CARTESIAN_POINT('',(29.8095,38.445247,0.035)); #522802=CARTESIAN_POINT('',(29.8095,38.445247,0.)); #522803=CARTESIAN_POINT('Origin',(29.814194,38.471578,0.)); #522804=CARTESIAN_POINT('',(29.814194,38.471578,0.)); #522805=CARTESIAN_POINT('',(29.814194,38.471578,0.)); #522806=CARTESIAN_POINT('',(29.814194,38.471578,0.035)); #522807=CARTESIAN_POINT('',(29.814194,38.471578,0.035)); #522808=CARTESIAN_POINT('',(29.814194,38.471578,0.)); #522809=CARTESIAN_POINT('Origin',(29.830128,38.497384,0.)); #522810=CARTESIAN_POINT('',(29.830128,38.497384,0.)); #522811=CARTESIAN_POINT('',(29.830128,38.497384,0.)); #522812=CARTESIAN_POINT('',(29.830128,38.497384,0.035)); #522813=CARTESIAN_POINT('',(29.830128,38.497384,0.035)); #522814=CARTESIAN_POINT('',(29.830128,38.497384,0.)); #522815=CARTESIAN_POINT('Origin',(29.854866,38.514928,0.)); #522816=CARTESIAN_POINT('',(29.854866,38.514928,0.)); #522817=CARTESIAN_POINT('',(29.854866,38.514928,0.)); #522818=CARTESIAN_POINT('',(29.854866,38.514928,0.035)); #522819=CARTESIAN_POINT('',(29.854866,38.514928,0.035)); #522820=CARTESIAN_POINT('',(29.854866,38.514928,0.)); #522821=CARTESIAN_POINT('Origin',(29.884484,38.521438,0.)); #522822=CARTESIAN_POINT('',(29.884484,38.521438,0.)); #522823=CARTESIAN_POINT('',(29.884484,38.521438,0.)); #522824=CARTESIAN_POINT('',(29.884484,38.521438,0.035)); #522825=CARTESIAN_POINT('',(29.884484,38.521438,0.035)); #522826=CARTESIAN_POINT('',(29.884484,38.521438,0.)); #522827=CARTESIAN_POINT('Origin',(29.914297,38.515878,0.)); #522828=CARTESIAN_POINT('',(29.914297,38.515878,0.)); #522829=CARTESIAN_POINT('',(29.914297,38.515878,0.)); #522830=CARTESIAN_POINT('',(29.914297,38.515878,0.035)); #522831=CARTESIAN_POINT('',(29.914297,38.515878,0.035)); #522832=CARTESIAN_POINT('',(29.914297,38.515878,0.)); #522833=CARTESIAN_POINT('Origin',(29.939581,38.499128,0.)); #522834=CARTESIAN_POINT('',(29.939581,38.499128,0.)); #522835=CARTESIAN_POINT('',(29.939581,38.499128,0.)); #522836=CARTESIAN_POINT('',(29.939581,38.499128,0.035)); #522837=CARTESIAN_POINT('',(29.939581,38.499128,0.035)); #522838=CARTESIAN_POINT('',(29.939581,38.499128,0.)); #522839=CARTESIAN_POINT('Origin',(30.168181,38.270528,0.)); #522840=CARTESIAN_POINT('',(30.168181,38.270528,0.)); #522841=CARTESIAN_POINT('',(30.168181,38.270528,0.)); #522842=CARTESIAN_POINT('',(30.168181,38.270528,0.035)); #522843=CARTESIAN_POINT('',(30.168181,38.270528,0.035)); #522844=CARTESIAN_POINT('',(30.168181,38.270528,0.)); #522845=CARTESIAN_POINT('Origin',(30.184466,38.246369,0.)); #522846=CARTESIAN_POINT('',(30.184466,38.246369,0.)); #522847=CARTESIAN_POINT('',(30.184466,38.246369,0.)); #522848=CARTESIAN_POINT('',(30.184466,38.246369,0.035)); #522849=CARTESIAN_POINT('',(30.184466,38.246369,0.035)); #522850=CARTESIAN_POINT('',(30.184466,38.246369,0.)); #522851=CARTESIAN_POINT('Origin',(30.1905,38.216647,0.)); #522852=CARTESIAN_POINT('',(30.1905,38.216647,0.)); #522853=CARTESIAN_POINT('',(30.1905,38.216647,0.)); #522854=CARTESIAN_POINT('',(30.1905,38.216647,0.035)); #522855=CARTESIAN_POINT('',(30.1905,38.216647,0.035)); #522856=CARTESIAN_POINT('',(30.1905,38.216647,0.)); #522857=CARTESIAN_POINT('Origin',(30.1905,37.783353,0.)); #522858=CARTESIAN_POINT('',(30.1905,37.783353,0.)); #522859=CARTESIAN_POINT('',(30.1905,37.783353,0.)); #522860=CARTESIAN_POINT('',(30.1905,37.783353,0.035)); #522861=CARTESIAN_POINT('',(30.1905,37.783353,0.035)); #522862=CARTESIAN_POINT('',(30.1905,37.783353,0.)); #522863=CARTESIAN_POINT('Origin',(30.184931,37.754756,0.)); #522864=CARTESIAN_POINT('',(30.184931,37.754756,0.)); #522865=CARTESIAN_POINT('',(30.184931,37.754756,0.)); #522866=CARTESIAN_POINT('',(30.184931,37.754756,0.035)); #522867=CARTESIAN_POINT('',(30.184931,37.754756,0.035)); #522868=CARTESIAN_POINT('',(30.184931,37.754756,0.)); #522869=CARTESIAN_POINT('Origin',(30.168181,37.729472,0.)); #522870=CARTESIAN_POINT('',(30.168181,37.729472,0.)); #522871=CARTESIAN_POINT('',(30.168181,37.729472,0.)); #522872=CARTESIAN_POINT('',(30.168181,37.729472,0.035)); #522873=CARTESIAN_POINT('',(30.168181,37.729472,0.035)); #522874=CARTESIAN_POINT('',(30.168181,37.729472,0.)); #522875=CARTESIAN_POINT('Origin',(30.053881,37.615172,0.)); #522876=CARTESIAN_POINT('',(30.053881,37.615172,0.)); #522877=CARTESIAN_POINT('',(30.053881,37.615172,0.)); #522878=CARTESIAN_POINT('',(30.053881,37.615172,0.035)); #522879=CARTESIAN_POINT('',(30.053881,37.615172,0.035)); #522880=CARTESIAN_POINT('',(30.053881,37.615172,0.)); #522881=CARTESIAN_POINT('Origin',(30.030834,37.599372,0.)); #522882=CARTESIAN_POINT('',(30.030834,37.599372,0.)); #522883=CARTESIAN_POINT('',(30.030834,37.599372,0.035)); #522884=CARTESIAN_POINT('Origin',(29.9820859747173,38.0547071087497,0.035)); #522885=CARTESIAN_POINT('Origin',(29.9820859747173,38.0547071087497,0.)); #522886=CARTESIAN_POINT('Origin',(32.258916,22.286487,0.)); #522887=CARTESIAN_POINT('',(32.258916,22.286487,0.)); #522888=CARTESIAN_POINT('',(32.288534,22.292997,0.)); #522889=CARTESIAN_POINT('',(32.258916,22.286487,0.)); #522890=CARTESIAN_POINT('',(32.288534,22.292997,0.035)); #522891=CARTESIAN_POINT('',(32.288534,22.292997,0.)); #522892=CARTESIAN_POINT('',(32.258916,22.286487,0.035)); #522893=CARTESIAN_POINT('',(32.258916,22.286487,0.035)); #522894=CARTESIAN_POINT('',(32.258916,22.286487,0.)); #522895=CARTESIAN_POINT('Origin',(32.229103,22.292047,0.)); #522896=CARTESIAN_POINT('',(32.229103,22.292047,0.)); #522897=CARTESIAN_POINT('',(32.229103,22.292047,0.)); #522898=CARTESIAN_POINT('',(32.229103,22.292047,0.035)); #522899=CARTESIAN_POINT('',(32.229103,22.292047,0.035)); #522900=CARTESIAN_POINT('',(32.229103,22.292047,0.)); #522901=CARTESIAN_POINT('Origin',(32.203819,22.308797,0.)); #522902=CARTESIAN_POINT('',(32.203819,22.308797,0.)); #522903=CARTESIAN_POINT('',(32.203819,22.308797,0.)); #522904=CARTESIAN_POINT('',(32.203819,22.308797,0.035)); #522905=CARTESIAN_POINT('',(32.203819,22.308797,0.035)); #522906=CARTESIAN_POINT('',(32.203819,22.308797,0.)); #522907=CARTESIAN_POINT('Origin',(30.500919,24.011697,0.)); #522908=CARTESIAN_POINT('',(30.500919,24.011697,0.)); #522909=CARTESIAN_POINT('',(30.500919,24.011697,0.)); #522910=CARTESIAN_POINT('',(30.500919,24.011697,0.035)); #522911=CARTESIAN_POINT('',(30.500919,24.011697,0.035)); #522912=CARTESIAN_POINT('',(30.500919,24.011697,0.)); #522913=CARTESIAN_POINT('Origin',(30.484634,24.035856,0.)); #522914=CARTESIAN_POINT('',(30.484634,24.035856,0.)); #522915=CARTESIAN_POINT('',(30.484634,24.035856,0.)); #522916=CARTESIAN_POINT('',(30.484634,24.035856,0.035)); #522917=CARTESIAN_POINT('',(30.484634,24.035856,0.035)); #522918=CARTESIAN_POINT('',(30.484634,24.035856,0.)); #522919=CARTESIAN_POINT('Origin',(30.4786,24.065578,0.)); #522920=CARTESIAN_POINT('',(30.4786,24.065578,0.)); #522921=CARTESIAN_POINT('',(30.4786,24.065578,0.)); #522922=CARTESIAN_POINT('',(30.4786,24.065578,0.035)); #522923=CARTESIAN_POINT('',(30.4786,24.065578,0.035)); #522924=CARTESIAN_POINT('',(30.4786,24.065578,0.)); #522925=CARTESIAN_POINT('Origin',(30.4786,24.974709,0.)); #522926=CARTESIAN_POINT('',(30.4786,24.974709,0.)); #522927=CARTESIAN_POINT('',(30.4786,24.974709,0.)); #522928=CARTESIAN_POINT('',(30.4786,24.974709,0.035)); #522929=CARTESIAN_POINT('',(30.4786,24.974709,0.035)); #522930=CARTESIAN_POINT('',(30.4786,24.974709,0.)); #522931=CARTESIAN_POINT('Origin',(30.483087,25.000472,0.)); #522932=CARTESIAN_POINT('',(30.483087,25.000472,0.)); #522933=CARTESIAN_POINT('',(30.483087,25.000472,0.)); #522934=CARTESIAN_POINT('',(30.483087,25.000472,0.035)); #522935=CARTESIAN_POINT('',(30.483087,25.000472,0.035)); #522936=CARTESIAN_POINT('',(30.483087,25.000472,0.)); #522937=CARTESIAN_POINT('Origin',(30.498816,25.0264,0.)); #522938=CARTESIAN_POINT('',(30.498816,25.0264,0.)); #522939=CARTESIAN_POINT('',(30.498816,25.0264,0.)); #522940=CARTESIAN_POINT('',(30.498816,25.0264,0.035)); #522941=CARTESIAN_POINT('',(30.498816,25.0264,0.035)); #522942=CARTESIAN_POINT('',(30.498816,25.0264,0.)); #522943=CARTESIAN_POINT('Origin',(30.523409,25.044144,0.)); #522944=CARTESIAN_POINT('',(30.523409,25.044144,0.)); #522945=CARTESIAN_POINT('',(30.523409,25.044144,0.)); #522946=CARTESIAN_POINT('',(30.523409,25.044144,0.035)); #522947=CARTESIAN_POINT('',(30.523409,25.044144,0.035)); #522948=CARTESIAN_POINT('',(30.523409,25.044144,0.)); #522949=CARTESIAN_POINT('Origin',(30.552978,25.050888,0.)); #522950=CARTESIAN_POINT('',(30.552978,25.050888,0.)); #522951=CARTESIAN_POINT('',(30.552978,25.050888,0.)); #522952=CARTESIAN_POINT('',(30.552978,25.050888,0.035)); #522953=CARTESIAN_POINT('',(30.552978,25.050888,0.035)); #522954=CARTESIAN_POINT('',(30.552978,25.050888,0.)); #522955=CARTESIAN_POINT('Origin',(30.582834,25.045566,0.)); #522956=CARTESIAN_POINT('',(30.582834,25.045566,0.)); #522957=CARTESIAN_POINT('',(30.582834,25.045566,0.)); #522958=CARTESIAN_POINT('',(30.582834,25.045566,0.035)); #522959=CARTESIAN_POINT('',(30.582834,25.045566,0.035)); #522960=CARTESIAN_POINT('',(30.582834,25.045566,0.)); #522961=CARTESIAN_POINT('Origin',(30.60825,25.029019,0.)); #522962=CARTESIAN_POINT('',(30.60825,25.029019,0.)); #522963=CARTESIAN_POINT('',(30.60825,25.029019,0.)); #522964=CARTESIAN_POINT('',(30.60825,25.029019,0.035)); #522965=CARTESIAN_POINT('',(30.60825,25.029019,0.035)); #522966=CARTESIAN_POINT('',(30.60825,25.029019,0.)); #522967=CARTESIAN_POINT('Origin',(30.6252,25.003869,0.)); #522968=CARTESIAN_POINT('',(30.6252,25.003869,0.)); #522969=CARTESIAN_POINT('',(30.6252,25.003869,0.)); #522970=CARTESIAN_POINT('',(30.6252,25.003869,0.035)); #522971=CARTESIAN_POINT('',(30.6252,25.003869,0.035)); #522972=CARTESIAN_POINT('',(30.6252,25.003869,0.)); #522973=CARTESIAN_POINT('Origin',(30.734984,24.738831,0.)); #522974=CARTESIAN_POINT('',(30.734984,24.738831,0.)); #522975=CARTESIAN_POINT('',(30.734984,24.738831,0.)); #522976=CARTESIAN_POINT('',(30.734984,24.738831,0.035)); #522977=CARTESIAN_POINT('',(30.734984,24.738831,0.035)); #522978=CARTESIAN_POINT('',(30.734984,24.738831,0.)); #522979=CARTESIAN_POINT('Origin',(30.988831,24.484984,0.)); #522980=CARTESIAN_POINT('',(30.988831,24.484984,0.)); #522981=CARTESIAN_POINT('',(30.988831,24.484984,0.)); #522982=CARTESIAN_POINT('',(30.988831,24.484984,0.035)); #522983=CARTESIAN_POINT('',(30.988831,24.484984,0.035)); #522984=CARTESIAN_POINT('',(30.988831,24.484984,0.)); #522985=CARTESIAN_POINT('Origin',(31.3205,24.3476,0.)); #522986=CARTESIAN_POINT('',(31.3205,24.3476,0.)); #522987=CARTESIAN_POINT('',(31.3205,24.3476,0.)); #522988=CARTESIAN_POINT('',(31.3205,24.3476,0.035)); #522989=CARTESIAN_POINT('',(31.3205,24.3476,0.035)); #522990=CARTESIAN_POINT('',(31.3205,24.3476,0.)); #522991=CARTESIAN_POINT('Origin',(31.6795,24.3476,0.)); #522992=CARTESIAN_POINT('',(31.6795,24.3476,0.)); #522993=CARTESIAN_POINT('',(31.6795,24.3476,0.)); #522994=CARTESIAN_POINT('',(31.6795,24.3476,0.035)); #522995=CARTESIAN_POINT('',(31.6795,24.3476,0.035)); #522996=CARTESIAN_POINT('',(31.6795,24.3476,0.)); #522997=CARTESIAN_POINT('Origin',(32.011169,24.484984,0.)); #522998=CARTESIAN_POINT('',(32.011169,24.484984,0.)); #522999=CARTESIAN_POINT('',(32.011169,24.484984,0.)); #523000=CARTESIAN_POINT('',(32.011169,24.484984,0.035)); #523001=CARTESIAN_POINT('',(32.011169,24.484984,0.035)); #523002=CARTESIAN_POINT('',(32.011169,24.484984,0.)); #523003=CARTESIAN_POINT('Origin',(32.203819,24.677634,0.)); #523004=CARTESIAN_POINT('',(32.203819,24.677634,0.)); #523005=CARTESIAN_POINT('',(32.203819,24.677634,0.)); #523006=CARTESIAN_POINT('',(32.203819,24.677634,0.035)); #523007=CARTESIAN_POINT('',(32.203819,24.677634,0.035)); #523008=CARTESIAN_POINT('',(32.203819,24.677634,0.)); #523009=CARTESIAN_POINT('Origin',(32.225756,24.692934,0.)); #523010=CARTESIAN_POINT('',(32.225756,24.692934,0.)); #523011=CARTESIAN_POINT('',(32.225756,24.692934,0.)); #523012=CARTESIAN_POINT('',(32.225756,24.692934,0.035)); #523013=CARTESIAN_POINT('',(32.225756,24.692934,0.035)); #523014=CARTESIAN_POINT('',(32.225756,24.692934,0.)); #523015=CARTESIAN_POINT('Origin',(32.255272,24.699916,0.)); #523016=CARTESIAN_POINT('',(32.255272,24.699916,0.)); #523017=CARTESIAN_POINT('',(32.255272,24.699916,0.)); #523018=CARTESIAN_POINT('',(32.255272,24.699916,0.035)); #523019=CARTESIAN_POINT('',(32.255272,24.699916,0.035)); #523020=CARTESIAN_POINT('',(32.255272,24.699916,0.)); #523021=CARTESIAN_POINT('Origin',(32.285169,24.694831,0.)); #523022=CARTESIAN_POINT('',(32.285169,24.694831,0.)); #523023=CARTESIAN_POINT('',(32.285169,24.694831,0.)); #523024=CARTESIAN_POINT('',(32.285169,24.694831,0.035)); #523025=CARTESIAN_POINT('',(32.285169,24.694831,0.035)); #523026=CARTESIAN_POINT('',(32.285169,24.694831,0.)); #523027=CARTESIAN_POINT('Origin',(32.310716,24.678488,0.)); #523028=CARTESIAN_POINT('',(32.310716,24.678488,0.)); #523029=CARTESIAN_POINT('',(32.310716,24.678488,0.)); #523030=CARTESIAN_POINT('',(32.310716,24.678488,0.035)); #523031=CARTESIAN_POINT('',(32.310716,24.678488,0.035)); #523032=CARTESIAN_POINT('',(32.310716,24.678488,0.)); #523033=CARTESIAN_POINT('Origin',(32.327866,24.653475,0.)); #523034=CARTESIAN_POINT('',(32.327866,24.653475,0.)); #523035=CARTESIAN_POINT('',(32.327866,24.653475,0.)); #523036=CARTESIAN_POINT('',(32.327866,24.653475,0.035)); #523037=CARTESIAN_POINT('',(32.327866,24.653475,0.035)); #523038=CARTESIAN_POINT('',(32.327866,24.653475,0.)); #523039=CARTESIAN_POINT('Origin',(32.3339,24.623753,0.)); #523040=CARTESIAN_POINT('',(32.3339,24.623753,0.)); #523041=CARTESIAN_POINT('',(32.3339,24.623753,0.)); #523042=CARTESIAN_POINT('',(32.3339,24.623753,0.035)); #523043=CARTESIAN_POINT('',(32.3339,24.623753,0.035)); #523044=CARTESIAN_POINT('',(32.3339,24.623753,0.)); #523045=CARTESIAN_POINT('Origin',(32.3339,22.362678,0.)); #523046=CARTESIAN_POINT('',(32.3339,22.362678,0.)); #523047=CARTESIAN_POINT('',(32.3339,22.362678,0.)); #523048=CARTESIAN_POINT('',(32.3339,22.362678,0.035)); #523049=CARTESIAN_POINT('',(32.3339,22.362678,0.035)); #523050=CARTESIAN_POINT('',(32.3339,22.362678,0.)); #523051=CARTESIAN_POINT('Origin',(32.329206,22.336347,0.)); #523052=CARTESIAN_POINT('',(32.329206,22.336347,0.)); #523053=CARTESIAN_POINT('',(32.329206,22.336347,0.)); #523054=CARTESIAN_POINT('',(32.329206,22.336347,0.035)); #523055=CARTESIAN_POINT('',(32.329206,22.336347,0.035)); #523056=CARTESIAN_POINT('',(32.329206,22.336347,0.)); #523057=CARTESIAN_POINT('Origin',(32.313272,22.310541,0.)); #523058=CARTESIAN_POINT('',(32.313272,22.310541,0.)); #523059=CARTESIAN_POINT('',(32.313272,22.310541,0.)); #523060=CARTESIAN_POINT('',(32.313272,22.310541,0.035)); #523061=CARTESIAN_POINT('',(32.313272,22.310541,0.035)); #523062=CARTESIAN_POINT('',(32.313272,22.310541,0.)); #523063=CARTESIAN_POINT('Origin',(32.288534,22.292997,0.)); #523064=CARTESIAN_POINT('',(32.288534,22.292997,0.)); #523065=CARTESIAN_POINT('',(32.288534,22.292997,0.035)); #523066=CARTESIAN_POINT('Origin',(31.5392606678644,23.8038074592601,0.035)); #523067=CARTESIAN_POINT('Origin',(31.5392606678644,23.8038074592601,0.)); #523068=CARTESIAN_POINT('Origin',(32.2577,31.7493,0.)); #523069=CARTESIAN_POINT('',(32.2577,31.7493,0.)); #523070=CARTESIAN_POINT('',(32.287422,31.755334,0.)); #523071=CARTESIAN_POINT('',(32.2577,31.7493,0.)); #523072=CARTESIAN_POINT('',(32.287422,31.755334,0.035)); #523073=CARTESIAN_POINT('',(32.287422,31.755334,0.)); #523074=CARTESIAN_POINT('',(32.2577,31.7493,0.035)); #523075=CARTESIAN_POINT('',(32.2577,31.7493,0.035)); #523076=CARTESIAN_POINT('',(32.2577,31.7493,0.)); #523077=CARTESIAN_POINT('Origin',(31.905,31.7493,0.)); #523078=CARTESIAN_POINT('',(31.905,31.7493,0.)); #523079=CARTESIAN_POINT('',(31.905,31.7493,0.)); #523080=CARTESIAN_POINT('',(31.905,31.7493,0.035)); #523081=CARTESIAN_POINT('',(31.905,31.7493,0.035)); #523082=CARTESIAN_POINT('',(31.905,31.7493,0.)); #523083=CARTESIAN_POINT('Origin',(31.877531,31.754422,0.)); #523084=CARTESIAN_POINT('',(31.877531,31.754422,0.)); #523085=CARTESIAN_POINT('',(31.877531,31.754422,0.)); #523086=CARTESIAN_POINT('',(31.877531,31.754422,0.035)); #523087=CARTESIAN_POINT('',(31.877531,31.754422,0.035)); #523088=CARTESIAN_POINT('',(31.877531,31.754422,0.)); #523089=CARTESIAN_POINT('Origin',(31.851984,31.770766,0.)); #523090=CARTESIAN_POINT('',(31.851984,31.770766,0.)); #523091=CARTESIAN_POINT('',(31.851984,31.770766,0.)); #523092=CARTESIAN_POINT('',(31.851984,31.770766,0.035)); #523093=CARTESIAN_POINT('',(31.851984,31.770766,0.035)); #523094=CARTESIAN_POINT('',(31.851984,31.770766,0.)); #523095=CARTESIAN_POINT('Origin',(31.834834,31.795778,0.)); #523096=CARTESIAN_POINT('',(31.834834,31.795778,0.)); #523097=CARTESIAN_POINT('',(31.834834,31.795778,0.)); #523098=CARTESIAN_POINT('',(31.834834,31.795778,0.035)); #523099=CARTESIAN_POINT('',(31.834834,31.795778,0.035)); #523100=CARTESIAN_POINT('',(31.834834,31.795778,0.)); #523101=CARTESIAN_POINT('Origin',(31.8288,31.8255,0.)); #523102=CARTESIAN_POINT('',(31.8288,31.8255,0.)); #523103=CARTESIAN_POINT('',(31.8288,31.8255,0.)); #523104=CARTESIAN_POINT('',(31.8288,31.8255,0.035)); #523105=CARTESIAN_POINT('',(31.8288,31.8255,0.035)); #523106=CARTESIAN_POINT('',(31.8288,31.8255,0.)); #523107=CARTESIAN_POINT('Origin',(31.8288,34.413947,0.)); #523108=CARTESIAN_POINT('',(31.8288,34.413947,0.)); #523109=CARTESIAN_POINT('',(31.8288,34.413947,0.)); #523110=CARTESIAN_POINT('',(31.8288,34.413947,0.035)); #523111=CARTESIAN_POINT('',(31.8288,34.413947,0.035)); #523112=CARTESIAN_POINT('',(31.8288,34.413947,0.)); #523113=CARTESIAN_POINT('Origin',(31.833494,34.440278,0.)); #523114=CARTESIAN_POINT('',(31.833494,34.440278,0.)); #523115=CARTESIAN_POINT('',(31.833494,34.440278,0.)); #523116=CARTESIAN_POINT('',(31.833494,34.440278,0.035)); #523117=CARTESIAN_POINT('',(31.833494,34.440278,0.035)); #523118=CARTESIAN_POINT('',(31.833494,34.440278,0.)); #523119=CARTESIAN_POINT('Origin',(31.849428,34.466084,0.)); #523120=CARTESIAN_POINT('',(31.849428,34.466084,0.)); #523121=CARTESIAN_POINT('',(31.849428,34.466084,0.)); #523122=CARTESIAN_POINT('',(31.849428,34.466084,0.035)); #523123=CARTESIAN_POINT('',(31.849428,34.466084,0.035)); #523124=CARTESIAN_POINT('',(31.849428,34.466084,0.)); #523125=CARTESIAN_POINT('Origin',(31.874166,34.483628,0.)); #523126=CARTESIAN_POINT('',(31.874166,34.483628,0.)); #523127=CARTESIAN_POINT('',(31.874166,34.483628,0.)); #523128=CARTESIAN_POINT('',(31.874166,34.483628,0.035)); #523129=CARTESIAN_POINT('',(31.874166,34.483628,0.035)); #523130=CARTESIAN_POINT('',(31.874166,34.483628,0.)); #523131=CARTESIAN_POINT('Origin',(31.903784,34.490138,0.)); #523132=CARTESIAN_POINT('',(31.903784,34.490138,0.)); #523133=CARTESIAN_POINT('',(31.903784,34.490138,0.)); #523134=CARTESIAN_POINT('',(31.903784,34.490138,0.035)); #523135=CARTESIAN_POINT('',(31.903784,34.490138,0.035)); #523136=CARTESIAN_POINT('',(31.903784,34.490138,0.)); #523137=CARTESIAN_POINT('Origin',(31.933597,34.484578,0.)); #523138=CARTESIAN_POINT('',(31.933597,34.484578,0.)); #523139=CARTESIAN_POINT('',(31.933597,34.484578,0.)); #523140=CARTESIAN_POINT('',(31.933597,34.484578,0.035)); #523141=CARTESIAN_POINT('',(31.933597,34.484578,0.035)); #523142=CARTESIAN_POINT('',(31.933597,34.484578,0.)); #523143=CARTESIAN_POINT('Origin',(31.958881,34.467828,0.)); #523144=CARTESIAN_POINT('',(31.958881,34.467828,0.)); #523145=CARTESIAN_POINT('',(31.958881,34.467828,0.)); #523146=CARTESIAN_POINT('',(31.958881,34.467828,0.035)); #523147=CARTESIAN_POINT('',(31.958881,34.467828,0.035)); #523148=CARTESIAN_POINT('',(31.958881,34.467828,0.)); #523149=CARTESIAN_POINT('Origin',(32.311581,34.115128,0.)); #523150=CARTESIAN_POINT('',(32.311581,34.115128,0.)); #523151=CARTESIAN_POINT('',(32.311581,34.115128,0.)); #523152=CARTESIAN_POINT('',(32.311581,34.115128,0.035)); #523153=CARTESIAN_POINT('',(32.311581,34.115128,0.035)); #523154=CARTESIAN_POINT('',(32.311581,34.115128,0.)); #523155=CARTESIAN_POINT('Origin',(32.327866,34.090969,0.)); #523156=CARTESIAN_POINT('',(32.327866,34.090969,0.)); #523157=CARTESIAN_POINT('',(32.327866,34.090969,0.)); #523158=CARTESIAN_POINT('',(32.327866,34.090969,0.035)); #523159=CARTESIAN_POINT('',(32.327866,34.090969,0.035)); #523160=CARTESIAN_POINT('',(32.327866,34.090969,0.)); #523161=CARTESIAN_POINT('Origin',(32.3339,34.061247,0.)); #523162=CARTESIAN_POINT('',(32.3339,34.061247,0.)); #523163=CARTESIAN_POINT('',(32.3339,34.061247,0.)); #523164=CARTESIAN_POINT('',(32.3339,34.061247,0.035)); #523165=CARTESIAN_POINT('',(32.3339,34.061247,0.035)); #523166=CARTESIAN_POINT('',(32.3339,34.061247,0.)); #523167=CARTESIAN_POINT('Origin',(32.3339,31.8255,0.)); #523168=CARTESIAN_POINT('',(32.3339,31.8255,0.)); #523169=CARTESIAN_POINT('',(32.3339,31.8255,0.)); #523170=CARTESIAN_POINT('',(32.3339,31.8255,0.035)); #523171=CARTESIAN_POINT('',(32.3339,31.8255,0.035)); #523172=CARTESIAN_POINT('',(32.3339,31.8255,0.)); #523173=CARTESIAN_POINT('Origin',(32.328778,31.798031,0.)); #523174=CARTESIAN_POINT('',(32.328778,31.798031,0.)); #523175=CARTESIAN_POINT('',(32.328778,31.798031,0.)); #523176=CARTESIAN_POINT('',(32.328778,31.798031,0.035)); #523177=CARTESIAN_POINT('',(32.328778,31.798031,0.035)); #523178=CARTESIAN_POINT('',(32.328778,31.798031,0.)); #523179=CARTESIAN_POINT('Origin',(32.312434,31.772484,0.)); #523180=CARTESIAN_POINT('',(32.312434,31.772484,0.)); #523181=CARTESIAN_POINT('',(32.312434,31.772484,0.)); #523182=CARTESIAN_POINT('',(32.312434,31.772484,0.035)); #523183=CARTESIAN_POINT('',(32.312434,31.772484,0.035)); #523184=CARTESIAN_POINT('',(32.312434,31.772484,0.)); #523185=CARTESIAN_POINT('Origin',(32.287422,31.755334,0.)); #523186=CARTESIAN_POINT('',(32.287422,31.755334,0.)); #523187=CARTESIAN_POINT('',(32.287422,31.755334,0.035)); #523188=CARTESIAN_POINT('Origin',(32.0678292538388,33.068655087816,0.035)); #523189=CARTESIAN_POINT('Origin',(32.0678292538388,33.068655087816,0.)); #523190=CARTESIAN_POINT('Origin',(33.501216,22.104862,0.)); #523191=CARTESIAN_POINT('',(33.501216,22.104862,0.)); #523192=CARTESIAN_POINT('',(33.530834,22.111372,0.)); #523193=CARTESIAN_POINT('',(33.501216,22.104862,0.)); #523194=CARTESIAN_POINT('',(33.530834,22.111372,0.035)); #523195=CARTESIAN_POINT('',(33.530834,22.111372,0.)); #523196=CARTESIAN_POINT('',(33.501216,22.104862,0.035)); #523197=CARTESIAN_POINT('',(33.501216,22.104862,0.035)); #523198=CARTESIAN_POINT('',(33.501216,22.104862,0.)); #523199=CARTESIAN_POINT('Origin',(33.471403,22.110422,0.)); #523200=CARTESIAN_POINT('',(33.471403,22.110422,0.)); #523201=CARTESIAN_POINT('',(33.471403,22.110422,0.)); #523202=CARTESIAN_POINT('',(33.471403,22.110422,0.035)); #523203=CARTESIAN_POINT('',(33.471403,22.110422,0.035)); #523204=CARTESIAN_POINT('',(33.471403,22.110422,0.)); #523205=CARTESIAN_POINT('Origin',(33.446119,22.127172,0.)); #523206=CARTESIAN_POINT('',(33.446119,22.127172,0.)); #523207=CARTESIAN_POINT('',(33.446119,22.127172,0.)); #523208=CARTESIAN_POINT('',(33.446119,22.127172,0.035)); #523209=CARTESIAN_POINT('',(33.446119,22.127172,0.035)); #523210=CARTESIAN_POINT('',(33.446119,22.127172,0.)); #523211=CARTESIAN_POINT('Origin',(33.188419,22.384872,0.)); #523212=CARTESIAN_POINT('',(33.188419,22.384872,0.)); #523213=CARTESIAN_POINT('',(33.188419,22.384872,0.)); #523214=CARTESIAN_POINT('',(33.188419,22.384872,0.035)); #523215=CARTESIAN_POINT('',(33.188419,22.384872,0.035)); #523216=CARTESIAN_POINT('',(33.188419,22.384872,0.)); #523217=CARTESIAN_POINT('Origin',(33.172134,22.409031,0.)); #523218=CARTESIAN_POINT('',(33.172134,22.409031,0.)); #523219=CARTESIAN_POINT('',(33.172134,22.409031,0.)); #523220=CARTESIAN_POINT('',(33.172134,22.409031,0.035)); #523221=CARTESIAN_POINT('',(33.172134,22.409031,0.035)); #523222=CARTESIAN_POINT('',(33.172134,22.409031,0.)); #523223=CARTESIAN_POINT('Origin',(33.1661,22.438753,0.)); #523224=CARTESIAN_POINT('',(33.1661,22.438753,0.)); #523225=CARTESIAN_POINT('',(33.1661,22.438753,0.)); #523226=CARTESIAN_POINT('',(33.1661,22.438753,0.035)); #523227=CARTESIAN_POINT('',(33.1661,22.438753,0.035)); #523228=CARTESIAN_POINT('',(33.1661,22.438753,0.)); #523229=CARTESIAN_POINT('Origin',(33.1661,24.623753,0.)); #523230=CARTESIAN_POINT('',(33.1661,24.623753,0.)); #523231=CARTESIAN_POINT('',(33.1661,24.623753,0.)); #523232=CARTESIAN_POINT('',(33.1661,24.623753,0.035)); #523233=CARTESIAN_POINT('',(33.1661,24.623753,0.035)); #523234=CARTESIAN_POINT('',(33.1661,24.623753,0.)); #523235=CARTESIAN_POINT('Origin',(33.170794,24.650084,0.)); #523236=CARTESIAN_POINT('',(33.170794,24.650084,0.)); #523237=CARTESIAN_POINT('',(33.170794,24.650084,0.)); #523238=CARTESIAN_POINT('',(33.170794,24.650084,0.035)); #523239=CARTESIAN_POINT('',(33.170794,24.650084,0.035)); #523240=CARTESIAN_POINT('',(33.170794,24.650084,0.)); #523241=CARTESIAN_POINT('Origin',(33.186728,24.675891,0.)); #523242=CARTESIAN_POINT('',(33.186728,24.675891,0.)); #523243=CARTESIAN_POINT('',(33.186728,24.675891,0.)); #523244=CARTESIAN_POINT('',(33.186728,24.675891,0.035)); #523245=CARTESIAN_POINT('',(33.186728,24.675891,0.035)); #523246=CARTESIAN_POINT('',(33.186728,24.675891,0.)); #523247=CARTESIAN_POINT('Origin',(33.211466,24.693434,0.)); #523248=CARTESIAN_POINT('',(33.211466,24.693434,0.)); #523249=CARTESIAN_POINT('',(33.211466,24.693434,0.)); #523250=CARTESIAN_POINT('',(33.211466,24.693434,0.035)); #523251=CARTESIAN_POINT('',(33.211466,24.693434,0.035)); #523252=CARTESIAN_POINT('',(33.211466,24.693434,0.)); #523253=CARTESIAN_POINT('Origin',(33.241084,24.699944,0.)); #523254=CARTESIAN_POINT('',(33.241084,24.699944,0.)); #523255=CARTESIAN_POINT('',(33.241084,24.699944,0.)); #523256=CARTESIAN_POINT('',(33.241084,24.699944,0.035)); #523257=CARTESIAN_POINT('',(33.241084,24.699944,0.035)); #523258=CARTESIAN_POINT('',(33.241084,24.699944,0.)); #523259=CARTESIAN_POINT('Origin',(33.270897,24.694384,0.)); #523260=CARTESIAN_POINT('',(33.270897,24.694384,0.)); #523261=CARTESIAN_POINT('',(33.270897,24.694384,0.)); #523262=CARTESIAN_POINT('',(33.270897,24.694384,0.035)); #523263=CARTESIAN_POINT('',(33.270897,24.694384,0.035)); #523264=CARTESIAN_POINT('',(33.270897,24.694384,0.)); #523265=CARTESIAN_POINT('Origin',(33.296181,24.677634,0.)); #523266=CARTESIAN_POINT('',(33.296181,24.677634,0.)); #523267=CARTESIAN_POINT('',(33.296181,24.677634,0.)); #523268=CARTESIAN_POINT('',(33.296181,24.677634,0.035)); #523269=CARTESIAN_POINT('',(33.296181,24.677634,0.035)); #523270=CARTESIAN_POINT('',(33.296181,24.677634,0.)); #523271=CARTESIAN_POINT('Origin',(33.488831,24.484984,0.)); #523272=CARTESIAN_POINT('',(33.488831,24.484984,0.)); #523273=CARTESIAN_POINT('',(33.488831,24.484984,0.)); #523274=CARTESIAN_POINT('',(33.488831,24.484984,0.035)); #523275=CARTESIAN_POINT('',(33.488831,24.484984,0.035)); #523276=CARTESIAN_POINT('',(33.488831,24.484984,0.)); #523277=CARTESIAN_POINT('Origin',(33.724359,24.387425,0.)); #523278=CARTESIAN_POINT('',(33.724359,24.387425,0.)); #523279=CARTESIAN_POINT('',(33.724359,24.387425,0.)); #523280=CARTESIAN_POINT('',(33.724359,24.387425,0.035)); #523281=CARTESIAN_POINT('',(33.724359,24.387425,0.035)); #523282=CARTESIAN_POINT('',(33.724359,24.387425,0.)); #523283=CARTESIAN_POINT('Origin',(33.748216,24.371759,0.)); #523284=CARTESIAN_POINT('',(33.748216,24.371759,0.)); #523285=CARTESIAN_POINT('',(33.748216,24.371759,0.)); #523286=CARTESIAN_POINT('',(33.748216,24.371759,0.035)); #523287=CARTESIAN_POINT('',(33.748216,24.371759,0.035)); #523288=CARTESIAN_POINT('',(33.748216,24.371759,0.)); #523289=CARTESIAN_POINT('Origin',(33.765366,24.346747,0.)); #523290=CARTESIAN_POINT('',(33.765366,24.346747,0.)); #523291=CARTESIAN_POINT('',(33.765366,24.346747,0.)); #523292=CARTESIAN_POINT('',(33.765366,24.346747,0.035)); #523293=CARTESIAN_POINT('',(33.765366,24.346747,0.035)); #523294=CARTESIAN_POINT('',(33.765366,24.346747,0.)); #523295=CARTESIAN_POINT('Origin',(33.7714,24.317025,0.)); #523296=CARTESIAN_POINT('',(33.7714,24.317025,0.)); #523297=CARTESIAN_POINT('',(33.7714,24.317025,0.)); #523298=CARTESIAN_POINT('',(33.7714,24.317025,0.035)); #523299=CARTESIAN_POINT('',(33.7714,24.317025,0.035)); #523300=CARTESIAN_POINT('',(33.7714,24.317025,0.)); #523301=CARTESIAN_POINT('Origin',(33.7714,23.532397,0.)); #523302=CARTESIAN_POINT('',(33.7714,23.532397,0.)); #523303=CARTESIAN_POINT('',(33.7714,23.532397,0.)); #523304=CARTESIAN_POINT('',(33.7714,23.532397,0.035)); #523305=CARTESIAN_POINT('',(33.7714,23.532397,0.035)); #523306=CARTESIAN_POINT('',(33.7714,23.532397,0.)); #523307=CARTESIAN_POINT('Origin',(33.765831,23.5038,0.)); #523308=CARTESIAN_POINT('',(33.765831,23.5038,0.)); #523309=CARTESIAN_POINT('',(33.765831,23.5038,0.)); #523310=CARTESIAN_POINT('',(33.765831,23.5038,0.035)); #523311=CARTESIAN_POINT('',(33.765831,23.5038,0.035)); #523312=CARTESIAN_POINT('',(33.765831,23.5038,0.)); #523313=CARTESIAN_POINT('Origin',(33.749081,23.478516,0.)); #523314=CARTESIAN_POINT('',(33.749081,23.478516,0.)); #523315=CARTESIAN_POINT('',(33.749081,23.478516,0.)); #523316=CARTESIAN_POINT('',(33.749081,23.478516,0.035)); #523317=CARTESIAN_POINT('',(33.749081,23.478516,0.035)); #523318=CARTESIAN_POINT('',(33.749081,23.478516,0.)); #523319=CARTESIAN_POINT('Origin',(33.5726,23.302034,0.)); #523320=CARTESIAN_POINT('',(33.5726,23.302034,0.)); #523321=CARTESIAN_POINT('',(33.5726,23.302034,0.)); #523322=CARTESIAN_POINT('',(33.5726,23.302034,0.035)); #523323=CARTESIAN_POINT('',(33.5726,23.302034,0.035)); #523324=CARTESIAN_POINT('',(33.5726,23.302034,0.)); #523325=CARTESIAN_POINT('Origin',(33.5726,22.947966,0.)); #523326=CARTESIAN_POINT('',(33.5726,22.947966,0.)); #523327=CARTESIAN_POINT('',(33.5726,22.947966,0.)); #523328=CARTESIAN_POINT('',(33.5726,22.947966,0.035)); #523329=CARTESIAN_POINT('',(33.5726,22.947966,0.035)); #523330=CARTESIAN_POINT('',(33.5726,22.947966,0.)); #523331=CARTESIAN_POINT('Origin',(33.822966,22.6976,0.)); #523332=CARTESIAN_POINT('',(33.822966,22.6976,0.)); #523333=CARTESIAN_POINT('',(33.822966,22.6976,0.)); #523334=CARTESIAN_POINT('',(33.822966,22.6976,0.035)); #523335=CARTESIAN_POINT('',(33.822966,22.6976,0.035)); #523336=CARTESIAN_POINT('',(33.822966,22.6976,0.)); #523337=CARTESIAN_POINT('Origin',(33.940347,22.6976,0.)); #523338=CARTESIAN_POINT('',(33.940347,22.6976,0.)); #523339=CARTESIAN_POINT('',(33.940347,22.6976,0.)); #523340=CARTESIAN_POINT('',(33.940347,22.6976,0.035)); #523341=CARTESIAN_POINT('',(33.940347,22.6976,0.035)); #523342=CARTESIAN_POINT('',(33.940347,22.6976,0.)); #523343=CARTESIAN_POINT('Origin',(33.966678,22.692906,0.)); #523344=CARTESIAN_POINT('',(33.966678,22.692906,0.)); #523345=CARTESIAN_POINT('',(33.966678,22.692906,0.)); #523346=CARTESIAN_POINT('',(33.966678,22.692906,0.035)); #523347=CARTESIAN_POINT('',(33.966678,22.692906,0.035)); #523348=CARTESIAN_POINT('',(33.966678,22.692906,0.)); #523349=CARTESIAN_POINT('Origin',(33.992484,22.676972,0.)); #523350=CARTESIAN_POINT('',(33.992484,22.676972,0.)); #523351=CARTESIAN_POINT('',(33.992484,22.676972,0.)); #523352=CARTESIAN_POINT('',(33.992484,22.676972,0.035)); #523353=CARTESIAN_POINT('',(33.992484,22.676972,0.035)); #523354=CARTESIAN_POINT('',(33.992484,22.676972,0.)); #523355=CARTESIAN_POINT('Origin',(34.010028,22.652234,0.)); #523356=CARTESIAN_POINT('',(34.010028,22.652234,0.)); #523357=CARTESIAN_POINT('',(34.010028,22.652234,0.)); #523358=CARTESIAN_POINT('',(34.010028,22.652234,0.035)); #523359=CARTESIAN_POINT('',(34.010028,22.652234,0.035)); #523360=CARTESIAN_POINT('',(34.010028,22.652234,0.)); #523361=CARTESIAN_POINT('Origin',(34.016537,22.622616,0.)); #523362=CARTESIAN_POINT('',(34.016537,22.622616,0.)); #523363=CARTESIAN_POINT('',(34.016537,22.622616,0.)); #523364=CARTESIAN_POINT('',(34.016537,22.622616,0.035)); #523365=CARTESIAN_POINT('',(34.016537,22.622616,0.035)); #523366=CARTESIAN_POINT('',(34.016537,22.622616,0.)); #523367=CARTESIAN_POINT('Origin',(34.010978,22.592803,0.)); #523368=CARTESIAN_POINT('',(34.010978,22.592803,0.)); #523369=CARTESIAN_POINT('',(34.010978,22.592803,0.)); #523370=CARTESIAN_POINT('',(34.010978,22.592803,0.035)); #523371=CARTESIAN_POINT('',(34.010978,22.592803,0.035)); #523372=CARTESIAN_POINT('',(34.010978,22.592803,0.)); #523373=CARTESIAN_POINT('Origin',(33.994228,22.567519,0.)); #523374=CARTESIAN_POINT('',(33.994228,22.567519,0.)); #523375=CARTESIAN_POINT('',(33.994228,22.567519,0.)); #523376=CARTESIAN_POINT('',(33.994228,22.567519,0.035)); #523377=CARTESIAN_POINT('',(33.994228,22.567519,0.035)); #523378=CARTESIAN_POINT('',(33.994228,22.567519,0.)); #523379=CARTESIAN_POINT('Origin',(33.553881,22.127172,0.)); #523380=CARTESIAN_POINT('',(33.553881,22.127172,0.)); #523381=CARTESIAN_POINT('',(33.553881,22.127172,0.)); #523382=CARTESIAN_POINT('',(33.553881,22.127172,0.035)); #523383=CARTESIAN_POINT('',(33.553881,22.127172,0.035)); #523384=CARTESIAN_POINT('',(33.553881,22.127172,0.)); #523385=CARTESIAN_POINT('Origin',(33.530834,22.111372,0.)); #523386=CARTESIAN_POINT('',(33.530834,22.111372,0.)); #523387=CARTESIAN_POINT('',(33.530834,22.111372,0.035)); #523388=CARTESIAN_POINT('Origin',(33.4716863855795,23.3676863571478,0.035)); #523389=CARTESIAN_POINT('Origin',(33.4716863855795,23.3676863571478,0.)); #523390=CARTESIAN_POINT('Origin',(28.,38.75,-200.)); #523391=CARTESIAN_POINT('',(27.86,38.75,0.)); #523392=CARTESIAN_POINT('Origin',(28.,38.75,0.)); #523393=CARTESIAN_POINT('',(27.86,38.75,0.0349999999999895)); #523394=CARTESIAN_POINT('',(27.86,38.75,-200.)); #523395=CARTESIAN_POINT('Origin',(28.,38.75,0.0349999999999895)); #523396=CARTESIAN_POINT('Origin',(28.,38.,-200.)); #523397=CARTESIAN_POINT('',(27.86,38.,0.)); #523398=CARTESIAN_POINT('Origin',(28.,38.,0.)); #523399=CARTESIAN_POINT('',(27.86,38.,0.0349999999999895)); #523400=CARTESIAN_POINT('',(27.86,38.,-200.)); #523401=CARTESIAN_POINT('Origin',(28.,38.,0.0349999999999895)); #523402=CARTESIAN_POINT('Origin',(28.,39.5,-200.)); #523403=CARTESIAN_POINT('',(27.86,39.5,0.)); #523404=CARTESIAN_POINT('Origin',(28.,39.5,0.)); #523405=CARTESIAN_POINT('',(27.86,39.5,0.0349999999999895)); #523406=CARTESIAN_POINT('',(27.86,39.5,-200.)); #523407=CARTESIAN_POINT('Origin',(28.,39.5,0.0349999999999895)); #523408=CARTESIAN_POINT('Origin',(28.842603,36.9786,0.)); #523409=CARTESIAN_POINT('',(28.842603,36.9786,0.)); #523410=CARTESIAN_POINT('',(28.872325,36.984634,0.)); #523411=CARTESIAN_POINT('',(28.842603,36.9786,0.)); #523412=CARTESIAN_POINT('',(28.872325,36.984634,0.035)); #523413=CARTESIAN_POINT('',(28.872325,36.984634,0.)); #523414=CARTESIAN_POINT('',(28.842603,36.9786,0.035)); #523415=CARTESIAN_POINT('',(28.842603,36.9786,0.035)); #523416=CARTESIAN_POINT('',(28.842603,36.9786,0.)); #523417=CARTESIAN_POINT('Origin',(27.595916,36.9786,0.)); #523418=CARTESIAN_POINT('',(27.595916,36.9786,0.)); #523419=CARTESIAN_POINT('',(27.595916,36.9786,0.)); #523420=CARTESIAN_POINT('',(27.595916,36.9786,0.035)); #523421=CARTESIAN_POINT('',(27.595916,36.9786,0.035)); #523422=CARTESIAN_POINT('',(27.595916,36.9786,0.)); #523423=CARTESIAN_POINT('Origin',(27.567319,36.984169,0.)); #523424=CARTESIAN_POINT('',(27.567319,36.984169,0.)); #523425=CARTESIAN_POINT('',(27.567319,36.984169,0.)); #523426=CARTESIAN_POINT('',(27.567319,36.984169,0.035)); #523427=CARTESIAN_POINT('',(27.567319,36.984169,0.035)); #523428=CARTESIAN_POINT('',(27.567319,36.984169,0.)); #523429=CARTESIAN_POINT('Origin',(27.542034,37.000919,0.)); #523430=CARTESIAN_POINT('',(27.542034,37.000919,0.)); #523431=CARTESIAN_POINT('',(27.542034,37.000919,0.)); #523432=CARTESIAN_POINT('',(27.542034,37.000919,0.035)); #523433=CARTESIAN_POINT('',(27.542034,37.000919,0.035)); #523434=CARTESIAN_POINT('',(27.542034,37.000919,0.)); #523435=CARTESIAN_POINT('Origin',(24.619219,39.923734,0.)); #523436=CARTESIAN_POINT('',(24.619219,39.923734,0.)); #523437=CARTESIAN_POINT('',(24.619219,39.923734,0.)); #523438=CARTESIAN_POINT('',(24.619219,39.923734,0.035)); #523439=CARTESIAN_POINT('',(24.619219,39.923734,0.035)); #523440=CARTESIAN_POINT('',(24.619219,39.923734,0.)); #523441=CARTESIAN_POINT('Origin',(24.602934,39.947894,0.)); #523442=CARTESIAN_POINT('',(24.602934,39.947894,0.)); #523443=CARTESIAN_POINT('',(24.602934,39.947894,0.)); #523444=CARTESIAN_POINT('',(24.602934,39.947894,0.035)); #523445=CARTESIAN_POINT('',(24.602934,39.947894,0.035)); #523446=CARTESIAN_POINT('',(24.602934,39.947894,0.)); #523447=CARTESIAN_POINT('Origin',(24.5969,39.977616,0.)); #523448=CARTESIAN_POINT('',(24.5969,39.977616,0.)); #523449=CARTESIAN_POINT('',(24.5969,39.977616,0.)); #523450=CARTESIAN_POINT('',(24.5969,39.977616,0.035)); #523451=CARTESIAN_POINT('',(24.5969,39.977616,0.035)); #523452=CARTESIAN_POINT('',(24.5969,39.977616,0.)); #523453=CARTESIAN_POINT('Origin',(24.5969,40.720228,0.)); #523454=CARTESIAN_POINT('',(24.5969,40.720228,0.)); #523455=CARTESIAN_POINT('',(24.5969,40.720228,0.)); #523456=CARTESIAN_POINT('',(24.5969,40.720228,0.035)); #523457=CARTESIAN_POINT('',(24.5969,40.720228,0.035)); #523458=CARTESIAN_POINT('',(24.5969,40.720228,0.)); #523459=CARTESIAN_POINT('Origin',(24.507628,40.8095,0.)); #523460=CARTESIAN_POINT('',(24.507628,40.8095,0.)); #523461=CARTESIAN_POINT('',(24.507628,40.8095,0.)); #523462=CARTESIAN_POINT('',(24.507628,40.8095,0.035)); #523463=CARTESIAN_POINT('',(24.507628,40.8095,0.035)); #523464=CARTESIAN_POINT('',(24.507628,40.8095,0.)); #523465=CARTESIAN_POINT('Origin',(23.492372,40.8095,0.)); #523466=CARTESIAN_POINT('',(23.492372,40.8095,0.)); #523467=CARTESIAN_POINT('',(23.492372,40.8095,0.)); #523468=CARTESIAN_POINT('',(23.492372,40.8095,0.035)); #523469=CARTESIAN_POINT('',(23.492372,40.8095,0.035)); #523470=CARTESIAN_POINT('',(23.492372,40.8095,0.)); #523471=CARTESIAN_POINT('Origin',(23.4031,40.720228,0.)); #523472=CARTESIAN_POINT('',(23.4031,40.720228,0.)); #523473=CARTESIAN_POINT('',(23.4031,40.720228,0.)); #523474=CARTESIAN_POINT('',(23.4031,40.720228,0.035)); #523475=CARTESIAN_POINT('',(23.4031,40.720228,0.035)); #523476=CARTESIAN_POINT('',(23.4031,40.720228,0.)); #523477=CARTESIAN_POINT('Origin',(23.4031,39.7928,0.)); #523478=CARTESIAN_POINT('',(23.4031,39.7928,0.)); #523479=CARTESIAN_POINT('',(23.4031,39.7928,0.)); #523480=CARTESIAN_POINT('',(23.4031,39.7928,0.035)); #523481=CARTESIAN_POINT('',(23.4031,39.7928,0.035)); #523482=CARTESIAN_POINT('',(23.4031,39.7928,0.)); #523483=CARTESIAN_POINT('Origin',(23.397978,39.765331,0.)); #523484=CARTESIAN_POINT('',(23.397978,39.765331,0.)); #523485=CARTESIAN_POINT('',(23.397978,39.765331,0.)); #523486=CARTESIAN_POINT('',(23.397978,39.765331,0.035)); #523487=CARTESIAN_POINT('',(23.397978,39.765331,0.035)); #523488=CARTESIAN_POINT('',(23.397978,39.765331,0.)); #523489=CARTESIAN_POINT('Origin',(23.381634,39.739784,0.)); #523490=CARTESIAN_POINT('',(23.381634,39.739784,0.)); #523491=CARTESIAN_POINT('',(23.381634,39.739784,0.)); #523492=CARTESIAN_POINT('',(23.381634,39.739784,0.035)); #523493=CARTESIAN_POINT('',(23.381634,39.739784,0.035)); #523494=CARTESIAN_POINT('',(23.381634,39.739784,0.)); #523495=CARTESIAN_POINT('Origin',(23.356622,39.722634,0.)); #523496=CARTESIAN_POINT('',(23.356622,39.722634,0.)); #523497=CARTESIAN_POINT('',(23.356622,39.722634,0.)); #523498=CARTESIAN_POINT('',(23.356622,39.722634,0.035)); #523499=CARTESIAN_POINT('',(23.356622,39.722634,0.035)); #523500=CARTESIAN_POINT('',(23.356622,39.722634,0.)); #523501=CARTESIAN_POINT('Origin',(23.3269,39.7166,0.)); #523502=CARTESIAN_POINT('',(23.3269,39.7166,0.)); #523503=CARTESIAN_POINT('',(23.3269,39.7166,0.)); #523504=CARTESIAN_POINT('',(23.3269,39.7166,0.035)); #523505=CARTESIAN_POINT('',(23.3269,39.7166,0.035)); #523506=CARTESIAN_POINT('',(23.3269,39.7166,0.)); #523507=CARTESIAN_POINT('Origin',(20.876253,39.7166,0.)); #523508=CARTESIAN_POINT('',(20.876253,39.7166,0.)); #523509=CARTESIAN_POINT('',(20.876253,39.7166,0.)); #523510=CARTESIAN_POINT('',(20.876253,39.7166,0.035)); #523511=CARTESIAN_POINT('',(20.876253,39.7166,0.035)); #523512=CARTESIAN_POINT('',(20.876253,39.7166,0.)); #523513=CARTESIAN_POINT('Origin',(20.847656,39.722169,0.)); #523514=CARTESIAN_POINT('',(20.847656,39.722169,0.)); #523515=CARTESIAN_POINT('',(20.847656,39.722169,0.)); #523516=CARTESIAN_POINT('',(20.847656,39.722169,0.035)); #523517=CARTESIAN_POINT('',(20.847656,39.722169,0.035)); #523518=CARTESIAN_POINT('',(20.847656,39.722169,0.)); #523519=CARTESIAN_POINT('Origin',(20.822372,39.738919,0.)); #523520=CARTESIAN_POINT('',(20.822372,39.738919,0.)); #523521=CARTESIAN_POINT('',(20.822372,39.738919,0.)); #523522=CARTESIAN_POINT('',(20.822372,39.738919,0.035)); #523523=CARTESIAN_POINT('',(20.822372,39.738919,0.035)); #523524=CARTESIAN_POINT('',(20.822372,39.738919,0.)); #523525=CARTESIAN_POINT('Origin',(20.619219,39.942072,0.)); #523526=CARTESIAN_POINT('',(20.619219,39.942072,0.)); #523527=CARTESIAN_POINT('',(20.619219,39.942072,0.)); #523528=CARTESIAN_POINT('',(20.619219,39.942072,0.035)); #523529=CARTESIAN_POINT('',(20.619219,39.942072,0.035)); #523530=CARTESIAN_POINT('',(20.619219,39.942072,0.)); #523531=CARTESIAN_POINT('Origin',(20.602934,39.966231,0.)); #523532=CARTESIAN_POINT('',(20.602934,39.966231,0.)); #523533=CARTESIAN_POINT('',(20.602934,39.966231,0.)); #523534=CARTESIAN_POINT('',(20.602934,39.966231,0.035)); #523535=CARTESIAN_POINT('',(20.602934,39.966231,0.035)); #523536=CARTESIAN_POINT('',(20.602934,39.966231,0.)); #523537=CARTESIAN_POINT('Origin',(20.5969,39.995953,0.)); #523538=CARTESIAN_POINT('',(20.5969,39.995953,0.)); #523539=CARTESIAN_POINT('',(20.5969,39.995953,0.)); #523540=CARTESIAN_POINT('',(20.5969,39.995953,0.035)); #523541=CARTESIAN_POINT('',(20.5969,39.995953,0.035)); #523542=CARTESIAN_POINT('',(20.5969,39.995953,0.)); #523543=CARTESIAN_POINT('Origin',(20.5969,40.720228,0.)); #523544=CARTESIAN_POINT('',(20.5969,40.720228,0.)); #523545=CARTESIAN_POINT('',(20.5969,40.720228,0.)); #523546=CARTESIAN_POINT('',(20.5969,40.720228,0.035)); #523547=CARTESIAN_POINT('',(20.5969,40.720228,0.035)); #523548=CARTESIAN_POINT('',(20.5969,40.720228,0.)); #523549=CARTESIAN_POINT('Origin',(20.507628,40.8095,0.)); #523550=CARTESIAN_POINT('',(20.507628,40.8095,0.)); #523551=CARTESIAN_POINT('',(20.507628,40.8095,0.)); #523552=CARTESIAN_POINT('',(20.507628,40.8095,0.035)); #523553=CARTESIAN_POINT('',(20.507628,40.8095,0.035)); #523554=CARTESIAN_POINT('',(20.507628,40.8095,0.)); #523555=CARTESIAN_POINT('Origin',(19.5548,40.8095,0.)); #523556=CARTESIAN_POINT('',(19.5548,40.8095,0.)); #523557=CARTESIAN_POINT('',(19.5548,40.8095,0.)); #523558=CARTESIAN_POINT('',(19.5548,40.8095,0.035)); #523559=CARTESIAN_POINT('',(19.5548,40.8095,0.035)); #523560=CARTESIAN_POINT('',(19.5548,40.8095,0.)); #523561=CARTESIAN_POINT('Origin',(19.527331,40.814622,0.)); #523562=CARTESIAN_POINT('',(19.527331,40.814622,0.)); #523563=CARTESIAN_POINT('',(19.527331,40.814622,0.)); #523564=CARTESIAN_POINT('',(19.527331,40.814622,0.035)); #523565=CARTESIAN_POINT('',(19.527331,40.814622,0.035)); #523566=CARTESIAN_POINT('',(19.527331,40.814622,0.)); #523567=CARTESIAN_POINT('Origin',(19.501784,40.830966,0.)); #523568=CARTESIAN_POINT('',(19.501784,40.830966,0.)); #523569=CARTESIAN_POINT('',(19.501784,40.830966,0.)); #523570=CARTESIAN_POINT('',(19.501784,40.830966,0.035)); #523571=CARTESIAN_POINT('',(19.501784,40.830966,0.035)); #523572=CARTESIAN_POINT('',(19.501784,40.830966,0.)); #523573=CARTESIAN_POINT('Origin',(19.484634,40.855978,0.)); #523574=CARTESIAN_POINT('',(19.484634,40.855978,0.)); #523575=CARTESIAN_POINT('',(19.484634,40.855978,0.)); #523576=CARTESIAN_POINT('',(19.484634,40.855978,0.035)); #523577=CARTESIAN_POINT('',(19.484634,40.855978,0.035)); #523578=CARTESIAN_POINT('',(19.484634,40.855978,0.)); #523579=CARTESIAN_POINT('Origin',(19.4786,40.8857,0.)); #523580=CARTESIAN_POINT('',(19.4786,40.8857,0.)); #523581=CARTESIAN_POINT('',(19.4786,40.8857,0.)); #523582=CARTESIAN_POINT('',(19.4786,40.8857,0.035)); #523583=CARTESIAN_POINT('',(19.4786,40.8857,0.035)); #523584=CARTESIAN_POINT('',(19.4786,40.8857,0.)); #523585=CARTESIAN_POINT('Origin',(19.4786,41.1143,0.)); #523586=CARTESIAN_POINT('',(19.4786,41.1143,0.)); #523587=CARTESIAN_POINT('',(19.4786,41.1143,0.)); #523588=CARTESIAN_POINT('',(19.4786,41.1143,0.035)); #523589=CARTESIAN_POINT('',(19.4786,41.1143,0.035)); #523590=CARTESIAN_POINT('',(19.4786,41.1143,0.)); #523591=CARTESIAN_POINT('Origin',(19.483722,41.141769,0.)); #523592=CARTESIAN_POINT('',(19.483722,41.141769,0.)); #523593=CARTESIAN_POINT('',(19.483722,41.141769,0.)); #523594=CARTESIAN_POINT('',(19.483722,41.141769,0.035)); #523595=CARTESIAN_POINT('',(19.483722,41.141769,0.035)); #523596=CARTESIAN_POINT('',(19.483722,41.141769,0.)); #523597=CARTESIAN_POINT('Origin',(19.500066,41.167316,0.)); #523598=CARTESIAN_POINT('',(19.500066,41.167316,0.)); #523599=CARTESIAN_POINT('',(19.500066,41.167316,0.)); #523600=CARTESIAN_POINT('',(19.500066,41.167316,0.035)); #523601=CARTESIAN_POINT('',(19.500066,41.167316,0.035)); #523602=CARTESIAN_POINT('',(19.500066,41.167316,0.)); #523603=CARTESIAN_POINT('Origin',(19.525078,41.184466,0.)); #523604=CARTESIAN_POINT('',(19.525078,41.184466,0.)); #523605=CARTESIAN_POINT('',(19.525078,41.184466,0.)); #523606=CARTESIAN_POINT('',(19.525078,41.184466,0.035)); #523607=CARTESIAN_POINT('',(19.525078,41.184466,0.035)); #523608=CARTESIAN_POINT('',(19.525078,41.184466,0.)); #523609=CARTESIAN_POINT('Origin',(19.5548,41.1905,0.)); #523610=CARTESIAN_POINT('',(19.5548,41.1905,0.)); #523611=CARTESIAN_POINT('',(19.5548,41.1905,0.)); #523612=CARTESIAN_POINT('',(19.5548,41.1905,0.035)); #523613=CARTESIAN_POINT('',(19.5548,41.1905,0.035)); #523614=CARTESIAN_POINT('',(19.5548,41.1905,0.)); #523615=CARTESIAN_POINT('Origin',(20.507628,41.1905,0.)); #523616=CARTESIAN_POINT('',(20.507628,41.1905,0.)); #523617=CARTESIAN_POINT('',(20.507628,41.1905,0.)); #523618=CARTESIAN_POINT('',(20.507628,41.1905,0.035)); #523619=CARTESIAN_POINT('',(20.507628,41.1905,0.035)); #523620=CARTESIAN_POINT('',(20.507628,41.1905,0.)); #523621=CARTESIAN_POINT('Origin',(20.5969,41.279772,0.)); #523622=CARTESIAN_POINT('',(20.5969,41.279772,0.)); #523623=CARTESIAN_POINT('',(20.5969,41.279772,0.)); #523624=CARTESIAN_POINT('',(20.5969,41.279772,0.035)); #523625=CARTESIAN_POINT('',(20.5969,41.279772,0.035)); #523626=CARTESIAN_POINT('',(20.5969,41.279772,0.)); #523627=CARTESIAN_POINT('Origin',(20.5969,41.992047,0.)); #523628=CARTESIAN_POINT('',(20.5969,41.992047,0.)); #523629=CARTESIAN_POINT('',(20.5969,41.992047,0.)); #523630=CARTESIAN_POINT('',(20.5969,41.992047,0.035)); #523631=CARTESIAN_POINT('',(20.5969,41.992047,0.035)); #523632=CARTESIAN_POINT('',(20.5969,41.992047,0.)); #523633=CARTESIAN_POINT('Origin',(20.602469,42.020644,0.)); #523634=CARTESIAN_POINT('',(20.602469,42.020644,0.)); #523635=CARTESIAN_POINT('',(20.602469,42.020644,0.)); #523636=CARTESIAN_POINT('',(20.602469,42.020644,0.035)); #523637=CARTESIAN_POINT('',(20.602469,42.020644,0.035)); #523638=CARTESIAN_POINT('',(20.602469,42.020644,0.)); #523639=CARTESIAN_POINT('Origin',(20.619219,42.045928,0.)); #523640=CARTESIAN_POINT('',(20.619219,42.045928,0.)); #523641=CARTESIAN_POINT('',(20.619219,42.045928,0.)); #523642=CARTESIAN_POINT('',(20.619219,42.045928,0.035)); #523643=CARTESIAN_POINT('',(20.619219,42.045928,0.035)); #523644=CARTESIAN_POINT('',(20.619219,42.045928,0.)); #523645=CARTESIAN_POINT('Origin',(20.822372,42.249081,0.)); #523646=CARTESIAN_POINT('',(20.822372,42.249081,0.)); #523647=CARTESIAN_POINT('',(20.822372,42.249081,0.)); #523648=CARTESIAN_POINT('',(20.822372,42.249081,0.035)); #523649=CARTESIAN_POINT('',(20.822372,42.249081,0.035)); #523650=CARTESIAN_POINT('',(20.822372,42.249081,0.)); #523651=CARTESIAN_POINT('Origin',(20.846531,42.265366,0.)); #523652=CARTESIAN_POINT('',(20.846531,42.265366,0.)); #523653=CARTESIAN_POINT('',(20.846531,42.265366,0.)); #523654=CARTESIAN_POINT('',(20.846531,42.265366,0.035)); #523655=CARTESIAN_POINT('',(20.846531,42.265366,0.035)); #523656=CARTESIAN_POINT('',(20.846531,42.265366,0.)); #523657=CARTESIAN_POINT('Origin',(20.876253,42.2714,0.)); #523658=CARTESIAN_POINT('',(20.876253,42.2714,0.)); #523659=CARTESIAN_POINT('',(20.876253,42.2714,0.)); #523660=CARTESIAN_POINT('',(20.876253,42.2714,0.035)); #523661=CARTESIAN_POINT('',(20.876253,42.2714,0.035)); #523662=CARTESIAN_POINT('',(20.876253,42.2714,0.)); #523663=CARTESIAN_POINT('Origin',(23.3269,42.2714,0.)); #523664=CARTESIAN_POINT('',(23.3269,42.2714,0.)); #523665=CARTESIAN_POINT('',(23.3269,42.2714,0.)); #523666=CARTESIAN_POINT('',(23.3269,42.2714,0.035)); #523667=CARTESIAN_POINT('',(23.3269,42.2714,0.035)); #523668=CARTESIAN_POINT('',(23.3269,42.2714,0.)); #523669=CARTESIAN_POINT('Origin',(23.354369,42.266278,0.)); #523670=CARTESIAN_POINT('',(23.354369,42.266278,0.)); #523671=CARTESIAN_POINT('',(23.354369,42.266278,0.)); #523672=CARTESIAN_POINT('',(23.354369,42.266278,0.035)); #523673=CARTESIAN_POINT('',(23.354369,42.266278,0.035)); #523674=CARTESIAN_POINT('',(23.354369,42.266278,0.)); #523675=CARTESIAN_POINT('Origin',(23.379916,42.249934,0.)); #523676=CARTESIAN_POINT('',(23.379916,42.249934,0.)); #523677=CARTESIAN_POINT('',(23.379916,42.249934,0.)); #523678=CARTESIAN_POINT('',(23.379916,42.249934,0.035)); #523679=CARTESIAN_POINT('',(23.379916,42.249934,0.035)); #523680=CARTESIAN_POINT('',(23.379916,42.249934,0.)); #523681=CARTESIAN_POINT('Origin',(23.397066,42.224922,0.)); #523682=CARTESIAN_POINT('',(23.397066,42.224922,0.)); #523683=CARTESIAN_POINT('',(23.397066,42.224922,0.)); #523684=CARTESIAN_POINT('',(23.397066,42.224922,0.035)); #523685=CARTESIAN_POINT('',(23.397066,42.224922,0.035)); #523686=CARTESIAN_POINT('',(23.397066,42.224922,0.)); #523687=CARTESIAN_POINT('Origin',(23.4031,42.1952,0.)); #523688=CARTESIAN_POINT('',(23.4031,42.1952,0.)); #523689=CARTESIAN_POINT('',(23.4031,42.1952,0.)); #523690=CARTESIAN_POINT('',(23.4031,42.1952,0.035)); #523691=CARTESIAN_POINT('',(23.4031,42.1952,0.035)); #523692=CARTESIAN_POINT('',(23.4031,42.1952,0.)); #523693=CARTESIAN_POINT('Origin',(23.4031,41.279772,0.)); #523694=CARTESIAN_POINT('',(23.4031,41.279772,0.)); #523695=CARTESIAN_POINT('',(23.4031,41.279772,0.)); #523696=CARTESIAN_POINT('',(23.4031,41.279772,0.035)); #523697=CARTESIAN_POINT('',(23.4031,41.279772,0.035)); #523698=CARTESIAN_POINT('',(23.4031,41.279772,0.)); #523699=CARTESIAN_POINT('Origin',(23.492372,41.1905,0.)); #523700=CARTESIAN_POINT('',(23.492372,41.1905,0.)); #523701=CARTESIAN_POINT('',(23.492372,41.1905,0.)); #523702=CARTESIAN_POINT('',(23.492372,41.1905,0.035)); #523703=CARTESIAN_POINT('',(23.492372,41.1905,0.035)); #523704=CARTESIAN_POINT('',(23.492372,41.1905,0.)); #523705=CARTESIAN_POINT('Origin',(24.507628,41.1905,0.)); #523706=CARTESIAN_POINT('',(24.507628,41.1905,0.)); #523707=CARTESIAN_POINT('',(24.507628,41.1905,0.)); #523708=CARTESIAN_POINT('',(24.507628,41.1905,0.035)); #523709=CARTESIAN_POINT('',(24.507628,41.1905,0.035)); #523710=CARTESIAN_POINT('',(24.507628,41.1905,0.)); #523711=CARTESIAN_POINT('Origin',(24.5969,41.279772,0.)); #523712=CARTESIAN_POINT('',(24.5969,41.279772,0.)); #523713=CARTESIAN_POINT('',(24.5969,41.279772,0.)); #523714=CARTESIAN_POINT('',(24.5969,41.279772,0.035)); #523715=CARTESIAN_POINT('',(24.5969,41.279772,0.035)); #523716=CARTESIAN_POINT('',(24.5969,41.279772,0.)); #523717=CARTESIAN_POINT('Origin',(24.5969,41.961709,0.)); #523718=CARTESIAN_POINT('',(24.5969,41.961709,0.)); #523719=CARTESIAN_POINT('',(24.5969,41.961709,0.)); #523720=CARTESIAN_POINT('',(24.5969,41.961709,0.035)); #523721=CARTESIAN_POINT('',(24.5969,41.961709,0.035)); #523722=CARTESIAN_POINT('',(24.5969,41.961709,0.)); #523723=CARTESIAN_POINT('Origin',(24.602469,41.990306,0.)); #523724=CARTESIAN_POINT('',(24.602469,41.990306,0.)); #523725=CARTESIAN_POINT('',(24.602469,41.990306,0.)); #523726=CARTESIAN_POINT('',(24.602469,41.990306,0.035)); #523727=CARTESIAN_POINT('',(24.602469,41.990306,0.035)); #523728=CARTESIAN_POINT('',(24.602469,41.990306,0.)); #523729=CARTESIAN_POINT('Origin',(24.619219,42.015591,0.)); #523730=CARTESIAN_POINT('',(24.619219,42.015591,0.)); #523731=CARTESIAN_POINT('',(24.619219,42.015591,0.)); #523732=CARTESIAN_POINT('',(24.619219,42.015591,0.035)); #523733=CARTESIAN_POINT('',(24.619219,42.015591,0.035)); #523734=CARTESIAN_POINT('',(24.619219,42.015591,0.)); #523735=CARTESIAN_POINT('Origin',(25.467288,42.863659,0.)); #523736=CARTESIAN_POINT('',(25.467288,42.863659,0.)); #523737=CARTESIAN_POINT('',(25.467288,42.863659,0.)); #523738=CARTESIAN_POINT('',(25.467288,42.863659,0.035)); #523739=CARTESIAN_POINT('',(25.467288,42.863659,0.035)); #523740=CARTESIAN_POINT('',(25.467288,42.863659,0.)); #523741=CARTESIAN_POINT('Origin',(25.490334,42.879459,0.)); #523742=CARTESIAN_POINT('',(25.490334,42.879459,0.)); #523743=CARTESIAN_POINT('',(25.490334,42.879459,0.)); #523744=CARTESIAN_POINT('',(25.490334,42.879459,0.035)); #523745=CARTESIAN_POINT('',(25.490334,42.879459,0.035)); #523746=CARTESIAN_POINT('',(25.490334,42.879459,0.)); #523747=CARTESIAN_POINT('Origin',(25.519953,42.885969,0.)); #523748=CARTESIAN_POINT('',(25.519953,42.885969,0.)); #523749=CARTESIAN_POINT('',(25.519953,42.885969,0.)); #523750=CARTESIAN_POINT('',(25.519953,42.885969,0.035)); #523751=CARTESIAN_POINT('',(25.519953,42.885969,0.035)); #523752=CARTESIAN_POINT('',(25.519953,42.885969,0.)); #523753=CARTESIAN_POINT('Origin',(25.549766,42.880409,0.)); #523754=CARTESIAN_POINT('',(25.549766,42.880409,0.)); #523755=CARTESIAN_POINT('',(25.549766,42.880409,0.)); #523756=CARTESIAN_POINT('',(25.549766,42.880409,0.035)); #523757=CARTESIAN_POINT('',(25.549766,42.880409,0.035)); #523758=CARTESIAN_POINT('',(25.549766,42.880409,0.)); #523759=CARTESIAN_POINT('Origin',(25.57505,42.863659,0.)); #523760=CARTESIAN_POINT('',(25.57505,42.863659,0.)); #523761=CARTESIAN_POINT('',(25.57505,42.863659,0.)); #523762=CARTESIAN_POINT('',(25.57505,42.863659,0.035)); #523763=CARTESIAN_POINT('',(25.57505,42.863659,0.035)); #523764=CARTESIAN_POINT('',(25.57505,42.863659,0.)); #523765=CARTESIAN_POINT('Origin',(29.711728,38.726981,0.)); #523766=CARTESIAN_POINT('',(29.711728,38.726981,0.)); #523767=CARTESIAN_POINT('',(29.711728,38.726981,0.)); #523768=CARTESIAN_POINT('',(29.711728,38.726981,0.035)); #523769=CARTESIAN_POINT('',(29.711728,38.726981,0.035)); #523770=CARTESIAN_POINT('',(29.711728,38.726981,0.)); #523771=CARTESIAN_POINT('Origin',(29.727028,38.705044,0.)); #523772=CARTESIAN_POINT('',(29.727028,38.705044,0.)); #523773=CARTESIAN_POINT('',(29.727028,38.705044,0.)); #523774=CARTESIAN_POINT('',(29.727028,38.705044,0.035)); #523775=CARTESIAN_POINT('',(29.727028,38.705044,0.035)); #523776=CARTESIAN_POINT('',(29.727028,38.705044,0.)); #523777=CARTESIAN_POINT('Origin',(29.734009,38.675528,0.)); #523778=CARTESIAN_POINT('',(29.734009,38.675528,0.)); #523779=CARTESIAN_POINT('',(29.734009,38.675528,0.)); #523780=CARTESIAN_POINT('',(29.734009,38.675528,0.035)); #523781=CARTESIAN_POINT('',(29.734009,38.675528,0.035)); #523782=CARTESIAN_POINT('',(29.734009,38.675528,0.)); #523783=CARTESIAN_POINT('Origin',(29.728925,38.645631,0.)); #523784=CARTESIAN_POINT('',(29.728925,38.645631,0.)); #523785=CARTESIAN_POINT('',(29.728925,38.645631,0.)); #523786=CARTESIAN_POINT('',(29.728925,38.645631,0.035)); #523787=CARTESIAN_POINT('',(29.728925,38.645631,0.035)); #523788=CARTESIAN_POINT('',(29.728925,38.645631,0.)); #523789=CARTESIAN_POINT('Origin',(29.712581,38.620084,0.)); #523790=CARTESIAN_POINT('',(29.712581,38.620084,0.)); #523791=CARTESIAN_POINT('',(29.712581,38.620084,0.)); #523792=CARTESIAN_POINT('',(29.712581,38.620084,0.035)); #523793=CARTESIAN_POINT('',(29.712581,38.620084,0.035)); #523794=CARTESIAN_POINT('',(29.712581,38.620084,0.)); #523795=CARTESIAN_POINT('Origin',(29.687569,38.602934,0.)); #523796=CARTESIAN_POINT('',(29.687569,38.602934,0.)); #523797=CARTESIAN_POINT('',(29.687569,38.602934,0.)); #523798=CARTESIAN_POINT('',(29.687569,38.602934,0.035)); #523799=CARTESIAN_POINT('',(29.687569,38.602934,0.035)); #523800=CARTESIAN_POINT('',(29.687569,38.602934,0.)); #523801=CARTESIAN_POINT('Origin',(29.657847,38.5969,0.)); #523802=CARTESIAN_POINT('',(29.657847,38.5969,0.)); #523803=CARTESIAN_POINT('',(29.657847,38.5969,0.)); #523804=CARTESIAN_POINT('',(29.657847,38.5969,0.035)); #523805=CARTESIAN_POINT('',(29.657847,38.5969,0.035)); #523806=CARTESIAN_POINT('',(29.657847,38.5969,0.)); #523807=CARTESIAN_POINT('Origin',(28.755772,38.5969,0.)); #523808=CARTESIAN_POINT('',(28.755772,38.5969,0.)); #523809=CARTESIAN_POINT('',(28.755772,38.5969,0.)); #523810=CARTESIAN_POINT('',(28.755772,38.5969,0.035)); #523811=CARTESIAN_POINT('',(28.755772,38.5969,0.035)); #523812=CARTESIAN_POINT('',(28.755772,38.5969,0.)); #523813=CARTESIAN_POINT('Origin',(28.6665,38.507628,0.)); #523814=CARTESIAN_POINT('',(28.6665,38.507628,0.)); #523815=CARTESIAN_POINT('',(28.6665,38.507628,0.)); #523816=CARTESIAN_POINT('',(28.6665,38.507628,0.035)); #523817=CARTESIAN_POINT('',(28.6665,38.507628,0.035)); #523818=CARTESIAN_POINT('',(28.6665,38.507628,0.)); #523819=CARTESIAN_POINT('Origin',(28.6665,37.492372,0.)); #523820=CARTESIAN_POINT('',(28.6665,37.492372,0.)); #523821=CARTESIAN_POINT('',(28.6665,37.492372,0.)); #523822=CARTESIAN_POINT('',(28.6665,37.492372,0.035)); #523823=CARTESIAN_POINT('',(28.6665,37.492372,0.035)); #523824=CARTESIAN_POINT('',(28.6665,37.492372,0.)); #523825=CARTESIAN_POINT('Origin',(28.755772,37.4031,0.)); #523826=CARTESIAN_POINT('',(28.755772,37.4031,0.)); #523827=CARTESIAN_POINT('',(28.755772,37.4031,0.)); #523828=CARTESIAN_POINT('',(28.755772,37.4031,0.035)); #523829=CARTESIAN_POINT('',(28.755772,37.4031,0.035)); #523830=CARTESIAN_POINT('',(28.755772,37.4031,0.)); #523831=CARTESIAN_POINT('Origin',(29.480047,37.4031,0.)); #523832=CARTESIAN_POINT('',(29.480047,37.4031,0.)); #523833=CARTESIAN_POINT('',(29.480047,37.4031,0.)); #523834=CARTESIAN_POINT('',(29.480047,37.4031,0.035)); #523835=CARTESIAN_POINT('',(29.480047,37.4031,0.035)); #523836=CARTESIAN_POINT('',(29.480047,37.4031,0.)); #523837=CARTESIAN_POINT('Origin',(29.508644,37.397531,0.)); #523838=CARTESIAN_POINT('',(29.508644,37.397531,0.)); #523839=CARTESIAN_POINT('',(29.508644,37.397531,0.)); #523840=CARTESIAN_POINT('',(29.508644,37.397531,0.035)); #523841=CARTESIAN_POINT('',(29.508644,37.397531,0.035)); #523842=CARTESIAN_POINT('',(29.508644,37.397531,0.)); #523843=CARTESIAN_POINT('Origin',(29.533928,37.380781,0.)); #523844=CARTESIAN_POINT('',(29.533928,37.380781,0.)); #523845=CARTESIAN_POINT('',(29.533928,37.380781,0.)); #523846=CARTESIAN_POINT('',(29.533928,37.380781,0.035)); #523847=CARTESIAN_POINT('',(29.533928,37.380781,0.035)); #523848=CARTESIAN_POINT('',(29.533928,37.380781,0.)); #523849=CARTESIAN_POINT('Origin',(29.561581,37.353128,0.)); #523850=CARTESIAN_POINT('',(29.561581,37.353128,0.)); #523851=CARTESIAN_POINT('',(29.561581,37.353128,0.)); #523852=CARTESIAN_POINT('',(29.561581,37.353128,0.035)); #523853=CARTESIAN_POINT('',(29.561581,37.353128,0.035)); #523854=CARTESIAN_POINT('',(29.561581,37.353128,0.)); #523855=CARTESIAN_POINT('Origin',(29.577866,37.328969,0.)); #523856=CARTESIAN_POINT('',(29.577866,37.328969,0.)); #523857=CARTESIAN_POINT('',(29.577866,37.328969,0.)); #523858=CARTESIAN_POINT('',(29.577866,37.328969,0.035)); #523859=CARTESIAN_POINT('',(29.577866,37.328969,0.035)); #523860=CARTESIAN_POINT('',(29.577866,37.328969,0.)); #523861=CARTESIAN_POINT('Origin',(29.5839,37.299247,0.)); #523862=CARTESIAN_POINT('',(29.5839,37.299247,0.)); #523863=CARTESIAN_POINT('',(29.5839,37.299247,0.)); #523864=CARTESIAN_POINT('',(29.5839,37.299247,0.035)); #523865=CARTESIAN_POINT('',(29.5839,37.299247,0.035)); #523866=CARTESIAN_POINT('',(29.5839,37.299247,0.)); #523867=CARTESIAN_POINT('Origin',(29.5839,37.204497,0.)); #523868=CARTESIAN_POINT('',(29.5839,37.204497,0.)); #523869=CARTESIAN_POINT('',(29.5839,37.204497,0.)); #523870=CARTESIAN_POINT('',(29.5839,37.204497,0.035)); #523871=CARTESIAN_POINT('',(29.5839,37.204497,0.035)); #523872=CARTESIAN_POINT('',(29.5839,37.204497,0.)); #523873=CARTESIAN_POINT('Origin',(29.579206,37.178166,0.)); #523874=CARTESIAN_POINT('',(29.579206,37.178166,0.)); #523875=CARTESIAN_POINT('',(29.579206,37.178166,0.)); #523876=CARTESIAN_POINT('',(29.579206,37.178166,0.035)); #523877=CARTESIAN_POINT('',(29.579206,37.178166,0.035)); #523878=CARTESIAN_POINT('',(29.579206,37.178166,0.)); #523879=CARTESIAN_POINT('Origin',(29.563272,37.152359,0.)); #523880=CARTESIAN_POINT('',(29.563272,37.152359,0.)); #523881=CARTESIAN_POINT('',(29.563272,37.152359,0.)); #523882=CARTESIAN_POINT('',(29.563272,37.152359,0.035)); #523883=CARTESIAN_POINT('',(29.563272,37.152359,0.035)); #523884=CARTESIAN_POINT('',(29.563272,37.152359,0.)); #523885=CARTESIAN_POINT('Origin',(29.538534,37.134816,0.)); #523886=CARTESIAN_POINT('',(29.538534,37.134816,0.)); #523887=CARTESIAN_POINT('',(29.538534,37.134816,0.)); #523888=CARTESIAN_POINT('',(29.538534,37.134816,0.035)); #523889=CARTESIAN_POINT('',(29.538534,37.134816,0.035)); #523890=CARTESIAN_POINT('',(29.538534,37.134816,0.)); #523891=CARTESIAN_POINT('Origin',(29.508916,37.128306,0.)); #523892=CARTESIAN_POINT('',(29.508916,37.128306,0.)); #523893=CARTESIAN_POINT('',(29.508916,37.128306,0.)); #523894=CARTESIAN_POINT('',(29.508916,37.128306,0.035)); #523895=CARTESIAN_POINT('',(29.508916,37.128306,0.035)); #523896=CARTESIAN_POINT('',(29.508916,37.128306,0.)); #523897=CARTESIAN_POINT('Origin',(29.479103,37.133866,0.)); #523898=CARTESIAN_POINT('',(29.479103,37.133866,0.)); #523899=CARTESIAN_POINT('',(29.479103,37.133866,0.)); #523900=CARTESIAN_POINT('',(29.479103,37.133866,0.035)); #523901=CARTESIAN_POINT('',(29.479103,37.133866,0.035)); #523902=CARTESIAN_POINT('',(29.479103,37.133866,0.)); #523903=CARTESIAN_POINT('Origin',(29.453819,37.150616,0.)); #523904=CARTESIAN_POINT('',(29.453819,37.150616,0.)); #523905=CARTESIAN_POINT('',(29.453819,37.150616,0.)); #523906=CARTESIAN_POINT('',(29.453819,37.150616,0.035)); #523907=CARTESIAN_POINT('',(29.453819,37.150616,0.035)); #523908=CARTESIAN_POINT('',(29.453819,37.150616,0.)); #523909=CARTESIAN_POINT('Origin',(29.427034,37.1774,0.)); #523910=CARTESIAN_POINT('',(29.427034,37.1774,0.)); #523911=CARTESIAN_POINT('',(29.427034,37.1774,0.)); #523912=CARTESIAN_POINT('',(29.427034,37.1774,0.035)); #523913=CARTESIAN_POINT('',(29.427034,37.1774,0.035)); #523914=CARTESIAN_POINT('',(29.427034,37.1774,0.)); #523915=CARTESIAN_POINT('Origin',(29.072966,37.1774,0.)); #523916=CARTESIAN_POINT('',(29.072966,37.1774,0.)); #523917=CARTESIAN_POINT('',(29.072966,37.1774,0.)); #523918=CARTESIAN_POINT('',(29.072966,37.1774,0.035)); #523919=CARTESIAN_POINT('',(29.072966,37.1774,0.035)); #523920=CARTESIAN_POINT('',(29.072966,37.1774,0.)); #523921=CARTESIAN_POINT('Origin',(28.896484,37.000919,0.)); #523922=CARTESIAN_POINT('',(28.896484,37.000919,0.)); #523923=CARTESIAN_POINT('',(28.896484,37.000919,0.)); #523924=CARTESIAN_POINT('',(28.896484,37.000919,0.035)); #523925=CARTESIAN_POINT('',(28.896484,37.000919,0.035)); #523926=CARTESIAN_POINT('',(28.896484,37.000919,0.)); #523927=CARTESIAN_POINT('Origin',(28.872325,36.984634,0.)); #523928=CARTESIAN_POINT('',(28.872325,36.984634,0.)); #523929=CARTESIAN_POINT('',(28.872325,36.984634,0.035)); #523930=CARTESIAN_POINT('Origin',(24.9295602623365,40.1315188039482,0.035)); #523931=CARTESIAN_POINT('Origin',(24.9295602623365,40.1315188039482,0.)); #523932=CARTESIAN_POINT('Origin',(30.131509,19.9786,0.)); #523933=CARTESIAN_POINT('',(30.131509,19.9786,0.)); #523934=CARTESIAN_POINT('',(30.161231,19.984634,0.)); #523935=CARTESIAN_POINT('',(30.131509,19.9786,0.)); #523936=CARTESIAN_POINT('',(30.161231,19.984634,0.035)); #523937=CARTESIAN_POINT('',(30.161231,19.984634,0.)); #523938=CARTESIAN_POINT('',(30.131509,19.9786,0.035)); #523939=CARTESIAN_POINT('',(30.131509,19.9786,0.035)); #523940=CARTESIAN_POINT('',(30.131509,19.9786,0.)); #523941=CARTESIAN_POINT('Origin',(29.876253,19.9786,0.)); #523942=CARTESIAN_POINT('',(29.876253,19.9786,0.)); #523943=CARTESIAN_POINT('',(29.876253,19.9786,0.)); #523944=CARTESIAN_POINT('',(29.876253,19.9786,0.035)); #523945=CARTESIAN_POINT('',(29.876253,19.9786,0.035)); #523946=CARTESIAN_POINT('',(29.876253,19.9786,0.)); #523947=CARTESIAN_POINT('Origin',(29.847656,19.984169,0.)); #523948=CARTESIAN_POINT('',(29.847656,19.984169,0.)); #523949=CARTESIAN_POINT('',(29.847656,19.984169,0.)); #523950=CARTESIAN_POINT('',(29.847656,19.984169,0.035)); #523951=CARTESIAN_POINT('',(29.847656,19.984169,0.035)); #523952=CARTESIAN_POINT('',(29.847656,19.984169,0.)); #523953=CARTESIAN_POINT('Origin',(29.822372,20.000919,0.)); #523954=CARTESIAN_POINT('',(29.822372,20.000919,0.)); #523955=CARTESIAN_POINT('',(29.822372,20.000919,0.)); #523956=CARTESIAN_POINT('',(29.822372,20.000919,0.035)); #523957=CARTESIAN_POINT('',(29.822372,20.000919,0.035)); #523958=CARTESIAN_POINT('',(29.822372,20.000919,0.)); #523959=CARTESIAN_POINT('Origin',(29.375919,20.447372,0.)); #523960=CARTESIAN_POINT('',(29.375919,20.447372,0.)); #523961=CARTESIAN_POINT('',(29.375919,20.447372,0.)); #523962=CARTESIAN_POINT('',(29.375919,20.447372,0.035)); #523963=CARTESIAN_POINT('',(29.375919,20.447372,0.035)); #523964=CARTESIAN_POINT('',(29.375919,20.447372,0.)); #523965=CARTESIAN_POINT('Origin',(29.359634,20.471531,0.)); #523966=CARTESIAN_POINT('',(29.359634,20.471531,0.)); #523967=CARTESIAN_POINT('',(29.359634,20.471531,0.)); #523968=CARTESIAN_POINT('',(29.359634,20.471531,0.035)); #523969=CARTESIAN_POINT('',(29.359634,20.471531,0.035)); #523970=CARTESIAN_POINT('',(29.359634,20.471531,0.)); #523971=CARTESIAN_POINT('Origin',(29.3536,20.501253,0.)); #523972=CARTESIAN_POINT('',(29.3536,20.501253,0.)); #523973=CARTESIAN_POINT('',(29.3536,20.501253,0.)); #523974=CARTESIAN_POINT('',(29.3536,20.501253,0.035)); #523975=CARTESIAN_POINT('',(29.3536,20.501253,0.035)); #523976=CARTESIAN_POINT('',(29.3536,20.501253,0.)); #523977=CARTESIAN_POINT('Origin',(29.3536,23.267797,0.)); #523978=CARTESIAN_POINT('',(29.3536,23.267797,0.)); #523979=CARTESIAN_POINT('',(29.3536,23.267797,0.)); #523980=CARTESIAN_POINT('',(29.3536,23.267797,0.035)); #523981=CARTESIAN_POINT('',(29.3536,23.267797,0.035)); #523982=CARTESIAN_POINT('',(29.3536,23.267797,0.)); #523983=CARTESIAN_POINT('Origin',(29.358294,23.294128,0.)); #523984=CARTESIAN_POINT('',(29.358294,23.294128,0.)); #523985=CARTESIAN_POINT('',(29.358294,23.294128,0.)); #523986=CARTESIAN_POINT('',(29.358294,23.294128,0.035)); #523987=CARTESIAN_POINT('',(29.358294,23.294128,0.035)); #523988=CARTESIAN_POINT('',(29.358294,23.294128,0.)); #523989=CARTESIAN_POINT('Origin',(29.374228,23.319934,0.)); #523990=CARTESIAN_POINT('',(29.374228,23.319934,0.)); #523991=CARTESIAN_POINT('',(29.374228,23.319934,0.)); #523992=CARTESIAN_POINT('',(29.374228,23.319934,0.035)); #523993=CARTESIAN_POINT('',(29.374228,23.319934,0.035)); #523994=CARTESIAN_POINT('',(29.374228,23.319934,0.)); #523995=CARTESIAN_POINT('Origin',(29.398966,23.337478,0.)); #523996=CARTESIAN_POINT('',(29.398966,23.337478,0.)); #523997=CARTESIAN_POINT('',(29.398966,23.337478,0.)); #523998=CARTESIAN_POINT('',(29.398966,23.337478,0.035)); #523999=CARTESIAN_POINT('',(29.398966,23.337478,0.035)); #524000=CARTESIAN_POINT('',(29.398966,23.337478,0.)); #524001=CARTESIAN_POINT('Origin',(29.428584,23.343988,0.)); #524002=CARTESIAN_POINT('',(29.428584,23.343988,0.)); #524003=CARTESIAN_POINT('',(29.428584,23.343988,0.)); #524004=CARTESIAN_POINT('',(29.428584,23.343988,0.035)); #524005=CARTESIAN_POINT('',(29.428584,23.343988,0.035)); #524006=CARTESIAN_POINT('',(29.428584,23.343988,0.)); #524007=CARTESIAN_POINT('Origin',(29.458397,23.338428,0.)); #524008=CARTESIAN_POINT('',(29.458397,23.338428,0.)); #524009=CARTESIAN_POINT('',(29.458397,23.338428,0.)); #524010=CARTESIAN_POINT('',(29.458397,23.338428,0.035)); #524011=CARTESIAN_POINT('',(29.458397,23.338428,0.035)); #524012=CARTESIAN_POINT('',(29.458397,23.338428,0.)); #524013=CARTESIAN_POINT('Origin',(29.483681,23.321678,0.)); #524014=CARTESIAN_POINT('',(29.483681,23.321678,0.)); #524015=CARTESIAN_POINT('',(29.483681,23.321678,0.)); #524016=CARTESIAN_POINT('',(29.483681,23.321678,0.035)); #524017=CARTESIAN_POINT('',(29.483681,23.321678,0.035)); #524018=CARTESIAN_POINT('',(29.483681,23.321678,0.)); #524019=CARTESIAN_POINT('Origin',(30.999081,21.806278,0.)); #524020=CARTESIAN_POINT('',(30.999081,21.806278,0.)); #524021=CARTESIAN_POINT('',(30.999081,21.806278,0.)); #524022=CARTESIAN_POINT('',(30.999081,21.806278,0.035)); #524023=CARTESIAN_POINT('',(30.999081,21.806278,0.035)); #524024=CARTESIAN_POINT('',(30.999081,21.806278,0.)); #524025=CARTESIAN_POINT('Origin',(31.015366,21.782119,0.)); #524026=CARTESIAN_POINT('',(31.015366,21.782119,0.)); #524027=CARTESIAN_POINT('',(31.015366,21.782119,0.)); #524028=CARTESIAN_POINT('',(31.015366,21.782119,0.035)); #524029=CARTESIAN_POINT('',(31.015366,21.782119,0.035)); #524030=CARTESIAN_POINT('',(31.015366,21.782119,0.)); #524031=CARTESIAN_POINT('Origin',(31.0214,21.752397,0.)); #524032=CARTESIAN_POINT('',(31.0214,21.752397,0.)); #524033=CARTESIAN_POINT('',(31.0214,21.752397,0.)); #524034=CARTESIAN_POINT('',(31.0214,21.752397,0.035)); #524035=CARTESIAN_POINT('',(31.0214,21.752397,0.035)); #524036=CARTESIAN_POINT('',(31.0214,21.752397,0.)); #524037=CARTESIAN_POINT('Origin',(31.0214,20.868491,0.)); #524038=CARTESIAN_POINT('',(31.0214,20.868491,0.)); #524039=CARTESIAN_POINT('',(31.0214,20.868491,0.)); #524040=CARTESIAN_POINT('',(31.0214,20.868491,0.035)); #524041=CARTESIAN_POINT('',(31.0214,20.868491,0.035)); #524042=CARTESIAN_POINT('',(31.0214,20.868491,0.)); #524043=CARTESIAN_POINT('Origin',(31.015831,20.839894,0.)); #524044=CARTESIAN_POINT('',(31.015831,20.839894,0.)); #524045=CARTESIAN_POINT('',(31.015831,20.839894,0.)); #524046=CARTESIAN_POINT('',(31.015831,20.839894,0.035)); #524047=CARTESIAN_POINT('',(31.015831,20.839894,0.035)); #524048=CARTESIAN_POINT('',(31.015831,20.839894,0.)); #524049=CARTESIAN_POINT('Origin',(30.999081,20.814609,0.)); #524050=CARTESIAN_POINT('',(30.999081,20.814609,0.)); #524051=CARTESIAN_POINT('',(30.999081,20.814609,0.)); #524052=CARTESIAN_POINT('',(30.999081,20.814609,0.035)); #524053=CARTESIAN_POINT('',(30.999081,20.814609,0.035)); #524054=CARTESIAN_POINT('',(30.999081,20.814609,0.)); #524055=CARTESIAN_POINT('Origin',(30.9601,20.775628,0.)); #524056=CARTESIAN_POINT('',(30.9601,20.775628,0.)); #524057=CARTESIAN_POINT('',(30.9601,20.775628,0.)); #524058=CARTESIAN_POINT('',(30.9601,20.775628,0.035)); #524059=CARTESIAN_POINT('',(30.9601,20.775628,0.035)); #524060=CARTESIAN_POINT('',(30.9601,20.775628,0.)); #524061=CARTESIAN_POINT('Origin',(30.9601,20.1161,0.)); #524062=CARTESIAN_POINT('',(30.9601,20.1161,0.)); #524063=CARTESIAN_POINT('',(30.9601,20.1161,0.)); #524064=CARTESIAN_POINT('',(30.9601,20.1161,0.035)); #524065=CARTESIAN_POINT('',(30.9601,20.1161,0.035)); #524066=CARTESIAN_POINT('',(30.9601,20.1161,0.)); #524067=CARTESIAN_POINT('Origin',(30.954978,20.088631,0.)); #524068=CARTESIAN_POINT('',(30.954978,20.088631,0.)); #524069=CARTESIAN_POINT('',(30.954978,20.088631,0.)); #524070=CARTESIAN_POINT('',(30.954978,20.088631,0.035)); #524071=CARTESIAN_POINT('',(30.954978,20.088631,0.035)); #524072=CARTESIAN_POINT('',(30.954978,20.088631,0.)); #524073=CARTESIAN_POINT('Origin',(30.938634,20.063084,0.)); #524074=CARTESIAN_POINT('',(30.938634,20.063084,0.)); #524075=CARTESIAN_POINT('',(30.938634,20.063084,0.)); #524076=CARTESIAN_POINT('',(30.938634,20.063084,0.035)); #524077=CARTESIAN_POINT('',(30.938634,20.063084,0.035)); #524078=CARTESIAN_POINT('',(30.938634,20.063084,0.)); #524079=CARTESIAN_POINT('Origin',(30.913622,20.045934,0.)); #524080=CARTESIAN_POINT('',(30.913622,20.045934,0.)); #524081=CARTESIAN_POINT('',(30.913622,20.045934,0.)); #524082=CARTESIAN_POINT('',(30.913622,20.045934,0.035)); #524083=CARTESIAN_POINT('',(30.913622,20.045934,0.035)); #524084=CARTESIAN_POINT('',(30.913622,20.045934,0.)); #524085=CARTESIAN_POINT('Origin',(30.8839,20.0399,0.)); #524086=CARTESIAN_POINT('',(30.8839,20.0399,0.)); #524087=CARTESIAN_POINT('',(30.8839,20.0399,0.)); #524088=CARTESIAN_POINT('',(30.8839,20.0399,0.035)); #524089=CARTESIAN_POINT('',(30.8839,20.0399,0.035)); #524090=CARTESIAN_POINT('',(30.8839,20.0399,0.)); #524091=CARTESIAN_POINT('Origin',(30.224372,20.0399,0.)); #524092=CARTESIAN_POINT('',(30.224372,20.0399,0.)); #524093=CARTESIAN_POINT('',(30.224372,20.0399,0.)); #524094=CARTESIAN_POINT('',(30.224372,20.0399,0.035)); #524095=CARTESIAN_POINT('',(30.224372,20.0399,0.035)); #524096=CARTESIAN_POINT('',(30.224372,20.0399,0.)); #524097=CARTESIAN_POINT('Origin',(30.185391,20.000919,0.)); #524098=CARTESIAN_POINT('',(30.185391,20.000919,0.)); #524099=CARTESIAN_POINT('',(30.185391,20.000919,0.)); #524100=CARTESIAN_POINT('',(30.185391,20.000919,0.035)); #524101=CARTESIAN_POINT('',(30.185391,20.000919,0.035)); #524102=CARTESIAN_POINT('',(30.185391,20.000919,0.)); #524103=CARTESIAN_POINT('Origin',(30.161231,19.984634,0.)); #524104=CARTESIAN_POINT('',(30.161231,19.984634,0.)); #524105=CARTESIAN_POINT('',(30.161231,19.984634,0.035)); #524106=CARTESIAN_POINT('Origin',(30.0610940999889,21.5109371727014,0.035)); #524107=CARTESIAN_POINT('Origin',(30.0610940999889,21.5109371727014,0.)); #524108=CARTESIAN_POINT('Origin',(31.2954,31.7493,0.)); #524109=CARTESIAN_POINT('',(31.2954,31.7493,0.)); #524110=CARTESIAN_POINT('',(31.325122,31.755334,0.)); #524111=CARTESIAN_POINT('',(31.2954,31.7493,0.)); #524112=CARTESIAN_POINT('',(31.325122,31.755334,0.035)); #524113=CARTESIAN_POINT('',(31.325122,31.755334,0.)); #524114=CARTESIAN_POINT('',(31.2954,31.7493,0.035)); #524115=CARTESIAN_POINT('',(31.2954,31.7493,0.035)); #524116=CARTESIAN_POINT('',(31.2954,31.7493,0.)); #524117=CARTESIAN_POINT('Origin',(31.006553,31.7493,0.)); #524118=CARTESIAN_POINT('',(31.006553,31.7493,0.)); #524119=CARTESIAN_POINT('',(31.006553,31.7493,0.)); #524120=CARTESIAN_POINT('',(31.006553,31.7493,0.035)); #524121=CARTESIAN_POINT('',(31.006553,31.7493,0.035)); #524122=CARTESIAN_POINT('',(31.006553,31.7493,0.)); #524123=CARTESIAN_POINT('Origin',(30.980222,31.753994,0.)); #524124=CARTESIAN_POINT('',(30.980222,31.753994,0.)); #524125=CARTESIAN_POINT('',(30.980222,31.753994,0.)); #524126=CARTESIAN_POINT('',(30.980222,31.753994,0.035)); #524127=CARTESIAN_POINT('',(30.980222,31.753994,0.035)); #524128=CARTESIAN_POINT('',(30.980222,31.753994,0.)); #524129=CARTESIAN_POINT('Origin',(30.954416,31.769928,0.)); #524130=CARTESIAN_POINT('',(30.954416,31.769928,0.)); #524131=CARTESIAN_POINT('',(30.954416,31.769928,0.)); #524132=CARTESIAN_POINT('',(30.954416,31.769928,0.035)); #524133=CARTESIAN_POINT('',(30.954416,31.769928,0.035)); #524134=CARTESIAN_POINT('',(30.954416,31.769928,0.)); #524135=CARTESIAN_POINT('Origin',(30.936872,31.794666,0.)); #524136=CARTESIAN_POINT('',(30.936872,31.794666,0.)); #524137=CARTESIAN_POINT('',(30.936872,31.794666,0.)); #524138=CARTESIAN_POINT('',(30.936872,31.794666,0.035)); #524139=CARTESIAN_POINT('',(30.936872,31.794666,0.035)); #524140=CARTESIAN_POINT('',(30.936872,31.794666,0.)); #524141=CARTESIAN_POINT('Origin',(30.930363,31.824284,0.)); #524142=CARTESIAN_POINT('',(30.930363,31.824284,0.)); #524143=CARTESIAN_POINT('',(30.930363,31.824284,0.)); #524144=CARTESIAN_POINT('',(30.930363,31.824284,0.035)); #524145=CARTESIAN_POINT('',(30.930363,31.824284,0.035)); #524146=CARTESIAN_POINT('',(30.930363,31.824284,0.)); #524147=CARTESIAN_POINT('Origin',(30.935922,31.854097,0.)); #524148=CARTESIAN_POINT('',(30.935922,31.854097,0.)); #524149=CARTESIAN_POINT('',(30.935922,31.854097,0.)); #524150=CARTESIAN_POINT('',(30.935922,31.854097,0.035)); #524151=CARTESIAN_POINT('',(30.935922,31.854097,0.035)); #524152=CARTESIAN_POINT('',(30.935922,31.854097,0.)); #524153=CARTESIAN_POINT('Origin',(30.952672,31.879381,0.)); #524154=CARTESIAN_POINT('',(30.952672,31.879381,0.)); #524155=CARTESIAN_POINT('',(30.952672,31.879381,0.)); #524156=CARTESIAN_POINT('',(30.952672,31.879381,0.035)); #524157=CARTESIAN_POINT('',(30.952672,31.879381,0.035)); #524158=CARTESIAN_POINT('',(30.952672,31.879381,0.)); #524159=CARTESIAN_POINT('Origin',(31.241519,32.168228,0.)); #524160=CARTESIAN_POINT('',(31.241519,32.168228,0.)); #524161=CARTESIAN_POINT('',(31.241519,32.168228,0.)); #524162=CARTESIAN_POINT('',(31.241519,32.168228,0.035)); #524163=CARTESIAN_POINT('',(31.241519,32.168228,0.035)); #524164=CARTESIAN_POINT('',(31.241519,32.168228,0.)); #524165=CARTESIAN_POINT('Origin',(31.263456,32.183528,0.)); #524166=CARTESIAN_POINT('',(31.263456,32.183528,0.)); #524167=CARTESIAN_POINT('',(31.263456,32.183528,0.)); #524168=CARTESIAN_POINT('',(31.263456,32.183528,0.035)); #524169=CARTESIAN_POINT('',(31.263456,32.183528,0.035)); #524170=CARTESIAN_POINT('',(31.263456,32.183528,0.)); #524171=CARTESIAN_POINT('Origin',(31.292972,32.190509,0.)); #524172=CARTESIAN_POINT('',(31.292972,32.190509,0.)); #524173=CARTESIAN_POINT('',(31.292972,32.190509,0.)); #524174=CARTESIAN_POINT('',(31.292972,32.190509,0.035)); #524175=CARTESIAN_POINT('',(31.292972,32.190509,0.035)); #524176=CARTESIAN_POINT('',(31.292972,32.190509,0.)); #524177=CARTESIAN_POINT('Origin',(31.322869,32.185425,0.)); #524178=CARTESIAN_POINT('',(31.322869,32.185425,0.)); #524179=CARTESIAN_POINT('',(31.322869,32.185425,0.)); #524180=CARTESIAN_POINT('',(31.322869,32.185425,0.035)); #524181=CARTESIAN_POINT('',(31.322869,32.185425,0.035)); #524182=CARTESIAN_POINT('',(31.322869,32.185425,0.)); #524183=CARTESIAN_POINT('Origin',(31.348416,32.169081,0.)); #524184=CARTESIAN_POINT('',(31.348416,32.169081,0.)); #524185=CARTESIAN_POINT('',(31.348416,32.169081,0.)); #524186=CARTESIAN_POINT('',(31.348416,32.169081,0.035)); #524187=CARTESIAN_POINT('',(31.348416,32.169081,0.035)); #524188=CARTESIAN_POINT('',(31.348416,32.169081,0.)); #524189=CARTESIAN_POINT('Origin',(31.365566,32.144069,0.)); #524190=CARTESIAN_POINT('',(31.365566,32.144069,0.)); #524191=CARTESIAN_POINT('',(31.365566,32.144069,0.)); #524192=CARTESIAN_POINT('',(31.365566,32.144069,0.035)); #524193=CARTESIAN_POINT('',(31.365566,32.144069,0.035)); #524194=CARTESIAN_POINT('',(31.365566,32.144069,0.)); #524195=CARTESIAN_POINT('Origin',(31.3716,32.114347,0.)); #524196=CARTESIAN_POINT('',(31.3716,32.114347,0.)); #524197=CARTESIAN_POINT('',(31.3716,32.114347,0.)); #524198=CARTESIAN_POINT('',(31.3716,32.114347,0.035)); #524199=CARTESIAN_POINT('',(31.3716,32.114347,0.035)); #524200=CARTESIAN_POINT('',(31.3716,32.114347,0.)); #524201=CARTESIAN_POINT('Origin',(31.3716,31.8255,0.)); #524202=CARTESIAN_POINT('',(31.3716,31.8255,0.)); #524203=CARTESIAN_POINT('',(31.3716,31.8255,0.)); #524204=CARTESIAN_POINT('',(31.3716,31.8255,0.035)); #524205=CARTESIAN_POINT('',(31.3716,31.8255,0.035)); #524206=CARTESIAN_POINT('',(31.3716,31.8255,0.)); #524207=CARTESIAN_POINT('Origin',(31.366478,31.798031,0.)); #524208=CARTESIAN_POINT('',(31.366478,31.798031,0.)); #524209=CARTESIAN_POINT('',(31.366478,31.798031,0.)); #524210=CARTESIAN_POINT('',(31.366478,31.798031,0.035)); #524211=CARTESIAN_POINT('',(31.366478,31.798031,0.035)); #524212=CARTESIAN_POINT('',(31.366478,31.798031,0.)); #524213=CARTESIAN_POINT('Origin',(31.350134,31.772484,0.)); #524214=CARTESIAN_POINT('',(31.350134,31.772484,0.)); #524215=CARTESIAN_POINT('',(31.350134,31.772484,0.)); #524216=CARTESIAN_POINT('',(31.350134,31.772484,0.035)); #524217=CARTESIAN_POINT('',(31.350134,31.772484,0.035)); #524218=CARTESIAN_POINT('',(31.350134,31.772484,0.)); #524219=CARTESIAN_POINT('Origin',(31.325122,31.755334,0.)); #524220=CARTESIAN_POINT('',(31.325122,31.755334,0.)); #524221=CARTESIAN_POINT('',(31.325122,31.755334,0.035)); #524222=CARTESIAN_POINT('Origin',(31.1912618601778,31.9296366361734,0.035)); #524223=CARTESIAN_POINT('Origin',(31.1912618601778,31.9296366361734,0.)); #524224=CARTESIAN_POINT('Origin',(32.5,18.5,-200.)); #524225=CARTESIAN_POINT('',(32.36,18.5,0.)); #524226=CARTESIAN_POINT('Origin',(32.5,18.5,0.)); #524227=CARTESIAN_POINT('',(32.36,18.5,0.0349999999999895)); #524228=CARTESIAN_POINT('',(32.36,18.5,-200.)); #524229=CARTESIAN_POINT('Origin',(32.5,18.5,0.0349999999999895)); #524230=CARTESIAN_POINT('Origin',(33.25,18.5,-200.)); #524231=CARTESIAN_POINT('',(33.11,18.5,0.)); #524232=CARTESIAN_POINT('Origin',(33.25,18.5,0.)); #524233=CARTESIAN_POINT('',(33.11,18.5,0.0349999999999895)); #524234=CARTESIAN_POINT('',(33.11,18.5,-200.)); #524235=CARTESIAN_POINT('Origin',(33.25,18.5,0.0349999999999895)); #524236=CARTESIAN_POINT('Origin',(34.75,18.5,-200.)); #524237=CARTESIAN_POINT('',(34.61,18.5,0.)); #524238=CARTESIAN_POINT('Origin',(34.75,18.5,0.)); #524239=CARTESIAN_POINT('',(34.61,18.5,0.0349999999999895)); #524240=CARTESIAN_POINT('',(34.61,18.5,-200.)); #524241=CARTESIAN_POINT('Origin',(34.75,18.5,0.0349999999999895)); #524242=CARTESIAN_POINT('Origin',(34.,18.5,-200.)); #524243=CARTESIAN_POINT('',(33.86,18.5,0.)); #524244=CARTESIAN_POINT('Origin',(34.,18.5,0.)); #524245=CARTESIAN_POINT('',(33.86,18.5,0.0349999999999895)); #524246=CARTESIAN_POINT('',(33.86,18.5,-200.)); #524247=CARTESIAN_POINT('Origin',(34.,18.5,0.0349999999999895)); #524248=CARTESIAN_POINT('Origin',(34.75,16.25,-200.)); #524249=CARTESIAN_POINT('',(34.61,16.25,0.)); #524250=CARTESIAN_POINT('Origin',(34.75,16.25,0.)); #524251=CARTESIAN_POINT('',(34.61,16.25,0.0349999999999895)); #524252=CARTESIAN_POINT('',(34.61,16.25,-200.)); #524253=CARTESIAN_POINT('Origin',(34.75,16.25,0.0349999999999895)); #524254=CARTESIAN_POINT('Origin',(31.75,18.5,-200.)); #524255=CARTESIAN_POINT('',(31.61,18.5,0.)); #524256=CARTESIAN_POINT('Origin',(31.75,18.5,0.)); #524257=CARTESIAN_POINT('',(31.61,18.5,0.0349999999999895)); #524258=CARTESIAN_POINT('',(31.61,18.5,-200.)); #524259=CARTESIAN_POINT('Origin',(31.75,18.5,0.0349999999999895)); #524260=CARTESIAN_POINT('Origin',(33.25,16.25,-200.)); #524261=CARTESIAN_POINT('',(33.11,16.25,0.)); #524262=CARTESIAN_POINT('Origin',(33.25,16.25,0.)); #524263=CARTESIAN_POINT('',(33.11,16.25,0.0349999999999895)); #524264=CARTESIAN_POINT('',(33.11,16.25,-200.)); #524265=CARTESIAN_POINT('Origin',(33.25,16.25,0.0349999999999895)); #524266=CARTESIAN_POINT('Origin',(31.75,19.25,-200.)); #524267=CARTESIAN_POINT('',(31.61,19.25,0.)); #524268=CARTESIAN_POINT('Origin',(31.75,19.25,0.)); #524269=CARTESIAN_POINT('',(31.61,19.25,0.0349999999999895)); #524270=CARTESIAN_POINT('',(31.61,19.25,-200.)); #524271=CARTESIAN_POINT('Origin',(31.75,19.25,0.0349999999999895)); #524272=CARTESIAN_POINT('Origin',(35.5,17.75,-200.)); #524273=CARTESIAN_POINT('',(35.36,17.75,0.)); #524274=CARTESIAN_POINT('Origin',(35.5,17.75,0.)); #524275=CARTESIAN_POINT('',(35.36,17.75,0.0349999999999895)); #524276=CARTESIAN_POINT('',(35.36,17.75,-200.)); #524277=CARTESIAN_POINT('Origin',(35.5,17.75,0.0349999999999895)); #524278=CARTESIAN_POINT('Origin',(35.5,18.5,-200.)); #524279=CARTESIAN_POINT('',(35.36,18.5,0.)); #524280=CARTESIAN_POINT('Origin',(35.5,18.5,0.)); #524281=CARTESIAN_POINT('',(35.36,18.5,0.0349999999999895)); #524282=CARTESIAN_POINT('',(35.36,18.5,-200.)); #524283=CARTESIAN_POINT('Origin',(35.5,18.5,0.0349999999999895)); #524284=CARTESIAN_POINT('Origin',(34.75,14.75,-200.)); #524285=CARTESIAN_POINT('',(34.61,14.75,0.)); #524286=CARTESIAN_POINT('Origin',(34.75,14.75,0.)); #524287=CARTESIAN_POINT('',(34.61,14.75,0.0349999999999895)); #524288=CARTESIAN_POINT('',(34.61,14.75,-200.)); #524289=CARTESIAN_POINT('Origin',(34.75,14.75,0.0349999999999895)); #524290=CARTESIAN_POINT('Origin',(34.75,17.,-200.)); #524291=CARTESIAN_POINT('',(34.61,17.,0.)); #524292=CARTESIAN_POINT('Origin',(34.75,17.,0.)); #524293=CARTESIAN_POINT('',(34.61,17.,0.0349999999999895)); #524294=CARTESIAN_POINT('',(34.61,17.,-200.)); #524295=CARTESIAN_POINT('Origin',(34.75,17.,0.0349999999999895)); #524296=CARTESIAN_POINT('Origin',(35.5,14.75,-200.)); #524297=CARTESIAN_POINT('',(35.36,14.75,0.)); #524298=CARTESIAN_POINT('Origin',(35.5,14.75,0.)); #524299=CARTESIAN_POINT('',(35.36,14.75,0.0349999999999895)); #524300=CARTESIAN_POINT('',(35.36,14.75,-200.)); #524301=CARTESIAN_POINT('Origin',(35.5,14.75,0.0349999999999895)); #524302=CARTESIAN_POINT('Origin',(36.25,15.5,-200.)); #524303=CARTESIAN_POINT('',(36.11,15.5,0.)); #524304=CARTESIAN_POINT('Origin',(36.25,15.5,0.)); #524305=CARTESIAN_POINT('',(36.11,15.5,0.0349999999999895)); #524306=CARTESIAN_POINT('',(36.11,15.5,-200.)); #524307=CARTESIAN_POINT('Origin',(36.25,15.5,0.0349999999999895)); #524308=CARTESIAN_POINT('Origin',(34.,17.75,-200.)); #524309=CARTESIAN_POINT('',(33.86,17.75,0.)); #524310=CARTESIAN_POINT('Origin',(34.,17.75,0.)); #524311=CARTESIAN_POINT('',(33.86,17.75,0.0349999999999895)); #524312=CARTESIAN_POINT('',(33.86,17.75,-200.)); #524313=CARTESIAN_POINT('Origin',(34.,17.75,0.0349999999999895)); #524314=CARTESIAN_POINT('Origin',(36.25,14.75,-200.)); #524315=CARTESIAN_POINT('',(36.11,14.75,0.)); #524316=CARTESIAN_POINT('Origin',(36.25,14.75,0.)); #524317=CARTESIAN_POINT('',(36.11,14.75,0.0349999999999895)); #524318=CARTESIAN_POINT('',(36.11,14.75,-200.)); #524319=CARTESIAN_POINT('Origin',(36.25,14.75,0.0349999999999895)); #524320=CARTESIAN_POINT('Origin',(36.25,17.75,-200.)); #524321=CARTESIAN_POINT('',(36.11,17.75,0.)); #524322=CARTESIAN_POINT('Origin',(36.25,17.75,0.)); #524323=CARTESIAN_POINT('',(36.11,17.75,0.0349999999999895)); #524324=CARTESIAN_POINT('',(36.11,17.75,-200.)); #524325=CARTESIAN_POINT('Origin',(36.25,17.75,0.0349999999999895)); #524326=CARTESIAN_POINT('Origin',(36.25,18.5,-200.)); #524327=CARTESIAN_POINT('',(36.11,18.5,0.)); #524328=CARTESIAN_POINT('Origin',(36.25,18.5,0.)); #524329=CARTESIAN_POINT('',(36.11,18.5,0.0349999999999895)); #524330=CARTESIAN_POINT('',(36.11,18.5,-200.)); #524331=CARTESIAN_POINT('Origin',(36.25,18.5,0.0349999999999895)); #524332=CARTESIAN_POINT('Origin',(36.25,17.,-200.)); #524333=CARTESIAN_POINT('',(36.11,17.,0.)); #524334=CARTESIAN_POINT('Origin',(36.25,17.,0.)); #524335=CARTESIAN_POINT('',(36.11,17.,0.0349999999999895)); #524336=CARTESIAN_POINT('',(36.11,17.,-200.)); #524337=CARTESIAN_POINT('Origin',(36.25,17.,0.0349999999999895)); #524338=CARTESIAN_POINT('Origin',(34.,17.,-200.)); #524339=CARTESIAN_POINT('',(33.86,17.,0.)); #524340=CARTESIAN_POINT('Origin',(34.,17.,0.)); #524341=CARTESIAN_POINT('',(33.86,17.,0.0349999999999895)); #524342=CARTESIAN_POINT('',(33.86,17.,-200.)); #524343=CARTESIAN_POINT('Origin',(34.,17.,0.0349999999999895)); #524344=CARTESIAN_POINT('Origin',(36.25,16.25,-200.)); #524345=CARTESIAN_POINT('',(36.11,16.25,0.)); #524346=CARTESIAN_POINT('Origin',(36.25,16.25,0.)); #524347=CARTESIAN_POINT('',(36.11,16.25,0.0349999999999895)); #524348=CARTESIAN_POINT('',(36.11,16.25,-200.)); #524349=CARTESIAN_POINT('Origin',(36.25,16.25,0.0349999999999895)); #524350=CARTESIAN_POINT('Origin',(31.75,14.75,-200.)); #524351=CARTESIAN_POINT('',(31.61,14.75,0.)); #524352=CARTESIAN_POINT('Origin',(31.75,14.75,0.)); #524353=CARTESIAN_POINT('',(31.61,14.75,0.0349999999999895)); #524354=CARTESIAN_POINT('',(31.61,14.75,-200.)); #524355=CARTESIAN_POINT('Origin',(31.75,14.75,0.0349999999999895)); #524356=CARTESIAN_POINT('Origin',(33.25,17.,-200.)); #524357=CARTESIAN_POINT('',(33.11,17.,0.)); #524358=CARTESIAN_POINT('Origin',(33.25,17.,0.)); #524359=CARTESIAN_POINT('',(33.11,17.,0.0349999999999895)); #524360=CARTESIAN_POINT('',(33.11,17.,-200.)); #524361=CARTESIAN_POINT('Origin',(33.25,17.,0.0349999999999895)); #524362=CARTESIAN_POINT('Origin',(32.5,14.75,-200.)); #524363=CARTESIAN_POINT('',(32.36,14.75,0.)); #524364=CARTESIAN_POINT('Origin',(32.5,14.75,0.)); #524365=CARTESIAN_POINT('',(32.36,14.75,0.0349999999999895)); #524366=CARTESIAN_POINT('',(32.36,14.75,-200.)); #524367=CARTESIAN_POINT('Origin',(32.5,14.75,0.0349999999999895)); #524368=CARTESIAN_POINT('Origin',(34.,14.75,-200.)); #524369=CARTESIAN_POINT('',(33.86,14.75,0.)); #524370=CARTESIAN_POINT('Origin',(34.,14.75,0.)); #524371=CARTESIAN_POINT('',(33.86,14.75,0.0349999999999895)); #524372=CARTESIAN_POINT('',(33.86,14.75,-200.)); #524373=CARTESIAN_POINT('Origin',(34.,14.75,0.0349999999999895)); #524374=CARTESIAN_POINT('Origin',(34.,16.25,-200.)); #524375=CARTESIAN_POINT('',(33.86,16.25,0.)); #524376=CARTESIAN_POINT('Origin',(34.,16.25,0.)); #524377=CARTESIAN_POINT('',(33.86,16.25,0.0349999999999895)); #524378=CARTESIAN_POINT('',(33.86,16.25,-200.)); #524379=CARTESIAN_POINT('Origin',(34.,16.25,0.0349999999999895)); #524380=CARTESIAN_POINT('Origin',(33.25,14.75,-200.)); #524381=CARTESIAN_POINT('',(33.11,14.75,0.)); #524382=CARTESIAN_POINT('Origin',(33.25,14.75,0.)); #524383=CARTESIAN_POINT('',(33.11,14.75,0.0349999999999895)); #524384=CARTESIAN_POINT('',(33.11,14.75,-200.)); #524385=CARTESIAN_POINT('Origin',(33.25,14.75,0.0349999999999895)); #524386=CARTESIAN_POINT('Origin',(31.75,16.25,-200.)); #524387=CARTESIAN_POINT('',(31.61,16.25,0.)); #524388=CARTESIAN_POINT('Origin',(31.75,16.25,0.)); #524389=CARTESIAN_POINT('',(31.61,16.25,0.0349999999999895)); #524390=CARTESIAN_POINT('',(31.61,16.25,-200.)); #524391=CARTESIAN_POINT('Origin',(31.75,16.25,0.0349999999999895)); #524392=CARTESIAN_POINT('Origin',(34.75,17.75,-200.)); #524393=CARTESIAN_POINT('',(34.61,17.75,0.)); #524394=CARTESIAN_POINT('Origin',(34.75,17.75,0.)); #524395=CARTESIAN_POINT('',(34.61,17.75,0.0349999999999895)); #524396=CARTESIAN_POINT('',(34.61,17.75,-200.)); #524397=CARTESIAN_POINT('Origin',(34.75,17.75,0.0349999999999895)); #524398=CARTESIAN_POINT('Origin',(31.75,15.5,-200.)); #524399=CARTESIAN_POINT('',(31.61,15.5,0.)); #524400=CARTESIAN_POINT('Origin',(31.75,15.5,0.)); #524401=CARTESIAN_POINT('',(31.61,15.5,0.0349999999999895)); #524402=CARTESIAN_POINT('',(31.61,15.5,-200.)); #524403=CARTESIAN_POINT('Origin',(31.75,15.5,0.0349999999999895)); #524404=CARTESIAN_POINT('Origin',(31.75,17.,-200.)); #524405=CARTESIAN_POINT('',(31.61,17.,0.)); #524406=CARTESIAN_POINT('Origin',(31.75,17.,0.)); #524407=CARTESIAN_POINT('',(31.61,17.,0.0349999999999895)); #524408=CARTESIAN_POINT('',(31.61,17.,-200.)); #524409=CARTESIAN_POINT('Origin',(31.75,17.,0.0349999999999895)); #524410=CARTESIAN_POINT('Origin',(33.25,17.75,-200.)); #524411=CARTESIAN_POINT('',(33.11,17.75,0.)); #524412=CARTESIAN_POINT('Origin',(33.25,17.75,0.)); #524413=CARTESIAN_POINT('',(33.11,17.75,0.0349999999999895)); #524414=CARTESIAN_POINT('',(33.11,17.75,-200.)); #524415=CARTESIAN_POINT('Origin',(33.25,17.75,0.0349999999999895)); #524416=CARTESIAN_POINT('Origin',(31.75,17.75,-200.)); #524417=CARTESIAN_POINT('',(31.61,17.75,0.)); #524418=CARTESIAN_POINT('Origin',(31.75,17.75,0.)); #524419=CARTESIAN_POINT('',(31.61,17.75,0.0349999999999895)); #524420=CARTESIAN_POINT('',(31.61,17.75,-200.)); #524421=CARTESIAN_POINT('Origin',(31.75,17.75,0.0349999999999895)); #524422=CARTESIAN_POINT('Origin',(35.5,15.5,-200.)); #524423=CARTESIAN_POINT('',(35.36,15.5,0.)); #524424=CARTESIAN_POINT('Origin',(35.5,15.5,0.)); #524425=CARTESIAN_POINT('',(35.36,15.5,0.0349999999999895)); #524426=CARTESIAN_POINT('',(35.36,15.5,-200.)); #524427=CARTESIAN_POINT('Origin',(35.5,15.5,0.0349999999999895)); #524428=CARTESIAN_POINT('Origin',(34.75,15.5,-200.)); #524429=CARTESIAN_POINT('',(34.61,15.5,0.)); #524430=CARTESIAN_POINT('Origin',(34.75,15.5,0.)); #524431=CARTESIAN_POINT('',(34.61,15.5,0.0349999999999895)); #524432=CARTESIAN_POINT('',(34.61,15.5,-200.)); #524433=CARTESIAN_POINT('Origin',(34.75,15.5,0.0349999999999895)); #524434=CARTESIAN_POINT('Origin',(33.25,15.5,-200.)); #524435=CARTESIAN_POINT('',(33.11,15.5,0.)); #524436=CARTESIAN_POINT('Origin',(33.25,15.5,0.)); #524437=CARTESIAN_POINT('',(33.11,15.5,0.0349999999999895)); #524438=CARTESIAN_POINT('',(33.11,15.5,-200.)); #524439=CARTESIAN_POINT('Origin',(33.25,15.5,0.0349999999999895)); #524440=CARTESIAN_POINT('Origin',(34.,15.5,-200.)); #524441=CARTESIAN_POINT('',(33.86,15.5,0.)); #524442=CARTESIAN_POINT('Origin',(34.,15.5,0.)); #524443=CARTESIAN_POINT('',(33.86,15.5,0.0349999999999895)); #524444=CARTESIAN_POINT('',(33.86,15.5,-200.)); #524445=CARTESIAN_POINT('Origin',(34.,15.5,0.0349999999999895)); #524446=CARTESIAN_POINT('Origin',(32.5,17.,-200.)); #524447=CARTESIAN_POINT('',(32.36,17.,0.)); #524448=CARTESIAN_POINT('Origin',(32.5,17.,0.)); #524449=CARTESIAN_POINT('',(32.36,17.,0.0349999999999895)); #524450=CARTESIAN_POINT('',(32.36,17.,-200.)); #524451=CARTESIAN_POINT('Origin',(32.5,17.,0.0349999999999895)); #524452=CARTESIAN_POINT('Origin',(32.5,17.75,-200.)); #524453=CARTESIAN_POINT('',(32.36,17.75,0.)); #524454=CARTESIAN_POINT('Origin',(32.5,17.75,0.)); #524455=CARTESIAN_POINT('',(32.36,17.75,0.0349999999999895)); #524456=CARTESIAN_POINT('',(32.36,17.75,-200.)); #524457=CARTESIAN_POINT('Origin',(32.5,17.75,0.0349999999999895)); #524458=CARTESIAN_POINT('Origin',(32.5,16.25,-200.)); #524459=CARTESIAN_POINT('',(32.36,16.25,0.)); #524460=CARTESIAN_POINT('Origin',(32.5,16.25,0.)); #524461=CARTESIAN_POINT('',(32.36,16.25,0.0349999999999895)); #524462=CARTESIAN_POINT('',(32.36,16.25,-200.)); #524463=CARTESIAN_POINT('Origin',(32.5,16.25,0.0349999999999895)); #524464=CARTESIAN_POINT('Origin',(32.5,15.5,-200.)); #524465=CARTESIAN_POINT('',(32.36,15.5,0.)); #524466=CARTESIAN_POINT('Origin',(32.5,15.5,0.)); #524467=CARTESIAN_POINT('',(32.36,15.5,0.0349999999999895)); #524468=CARTESIAN_POINT('',(32.36,15.5,-200.)); #524469=CARTESIAN_POINT('Origin',(32.5,15.5,0.0349999999999895)); #524470=CARTESIAN_POINT('Origin',(33.25,19.25,-200.)); #524471=CARTESIAN_POINT('',(33.11,19.25,0.)); #524472=CARTESIAN_POINT('Origin',(33.25,19.25,0.)); #524473=CARTESIAN_POINT('',(33.11,19.25,0.0349999999999895)); #524474=CARTESIAN_POINT('',(33.11,19.25,-200.)); #524475=CARTESIAN_POINT('Origin',(33.25,19.25,0.0349999999999895)); #524476=CARTESIAN_POINT('Origin',(32.5,19.25,-200.)); #524477=CARTESIAN_POINT('',(32.36,19.25,0.)); #524478=CARTESIAN_POINT('Origin',(32.5,19.25,0.)); #524479=CARTESIAN_POINT('',(32.36,19.25,0.0349999999999895)); #524480=CARTESIAN_POINT('',(32.36,19.25,-200.)); #524481=CARTESIAN_POINT('Origin',(32.5,19.25,0.0349999999999895)); #524482=CARTESIAN_POINT('Origin',(35.5,16.25,-200.)); #524483=CARTESIAN_POINT('',(35.36,16.25,0.)); #524484=CARTESIAN_POINT('Origin',(35.5,16.25,0.)); #524485=CARTESIAN_POINT('',(35.36,16.25,0.0349999999999895)); #524486=CARTESIAN_POINT('',(35.36,16.25,-200.)); #524487=CARTESIAN_POINT('Origin',(35.5,16.25,0.0349999999999895)); #524488=CARTESIAN_POINT('Origin',(35.5,17.,-200.)); #524489=CARTESIAN_POINT('',(35.36,17.,0.)); #524490=CARTESIAN_POINT('Origin',(35.5,17.,0.)); #524491=CARTESIAN_POINT('',(35.36,17.,0.0349999999999895)); #524492=CARTESIAN_POINT('',(35.36,17.,-200.)); #524493=CARTESIAN_POINT('Origin',(35.5,17.,0.0349999999999895)); #524494=CARTESIAN_POINT('Origin',(34.75,19.25,-200.)); #524495=CARTESIAN_POINT('',(34.61,19.25,0.)); #524496=CARTESIAN_POINT('Origin',(34.75,19.25,0.)); #524497=CARTESIAN_POINT('',(34.61,19.25,0.0349999999999895)); #524498=CARTESIAN_POINT('',(34.61,19.25,-200.)); #524499=CARTESIAN_POINT('Origin',(34.75,19.25,0.0349999999999895)); #524500=CARTESIAN_POINT('Origin',(34.,19.25,-200.)); #524501=CARTESIAN_POINT('',(33.86,19.25,0.)); #524502=CARTESIAN_POINT('Origin',(34.,19.25,0.)); #524503=CARTESIAN_POINT('',(33.86,19.25,0.0349999999999895)); #524504=CARTESIAN_POINT('',(33.86,19.25,-200.)); #524505=CARTESIAN_POINT('Origin',(34.,19.25,0.0349999999999895)); #524506=CARTESIAN_POINT('Origin',(35.5,19.25,-200.)); #524507=CARTESIAN_POINT('',(35.36,19.25,0.)); #524508=CARTESIAN_POINT('Origin',(35.5,19.25,0.)); #524509=CARTESIAN_POINT('',(35.36,19.25,0.0349999999999895)); #524510=CARTESIAN_POINT('',(35.36,19.25,-200.)); #524511=CARTESIAN_POINT('Origin',(35.5,19.25,0.0349999999999895)); #524512=CARTESIAN_POINT('Origin',(36.25,19.25,-200.)); #524513=CARTESIAN_POINT('',(36.11,19.25,0.)); #524514=CARTESIAN_POINT('Origin',(36.25,19.25,0.)); #524515=CARTESIAN_POINT('',(36.11,19.25,0.0349999999999895)); #524516=CARTESIAN_POINT('',(36.11,19.25,-200.)); #524517=CARTESIAN_POINT('Origin',(36.25,19.25,0.0349999999999895)); #524518=CARTESIAN_POINT('Origin',(36.501216,14.0471,0.)); #524519=CARTESIAN_POINT('',(36.501216,14.0471,0.)); #524520=CARTESIAN_POINT('',(36.530834,14.053609,0.)); #524521=CARTESIAN_POINT('',(36.501216,14.0471,0.)); #524522=CARTESIAN_POINT('',(36.530834,14.053609,0.035)); #524523=CARTESIAN_POINT('',(36.530834,14.053609,0.)); #524524=CARTESIAN_POINT('',(36.501216,14.0471,0.035)); #524525=CARTESIAN_POINT('',(36.501216,14.0471,0.035)); #524526=CARTESIAN_POINT('',(36.501216,14.0471,0.)); #524527=CARTESIAN_POINT('Origin',(36.471403,14.052659,0.)); #524528=CARTESIAN_POINT('',(36.471403,14.052659,0.)); #524529=CARTESIAN_POINT('',(36.471403,14.052659,0.)); #524530=CARTESIAN_POINT('',(36.471403,14.052659,0.035)); #524531=CARTESIAN_POINT('',(36.471403,14.052659,0.035)); #524532=CARTESIAN_POINT('',(36.471403,14.052659,0.)); #524533=CARTESIAN_POINT('Origin',(36.452925,14.0649,0.)); #524534=CARTESIAN_POINT('',(36.452925,14.0649,0.)); #524535=CARTESIAN_POINT('',(36.452925,14.0649,0.)); #524536=CARTESIAN_POINT('',(36.452925,14.0649,0.035)); #524537=CARTESIAN_POINT('',(36.452925,14.0649,0.035)); #524538=CARTESIAN_POINT('',(36.452925,14.0649,0.)); #524539=CARTESIAN_POINT('Origin',(36.047303,14.0649,0.)); #524540=CARTESIAN_POINT('',(36.047303,14.0649,0.)); #524541=CARTESIAN_POINT('',(36.047303,14.0649,0.)); #524542=CARTESIAN_POINT('',(36.047303,14.0649,0.035)); #524543=CARTESIAN_POINT('',(36.047303,14.0649,0.035)); #524544=CARTESIAN_POINT('',(36.047303,14.0649,0.)); #524545=CARTESIAN_POINT('Origin',(36.030834,14.053609,0.)); #524546=CARTESIAN_POINT('',(36.030834,14.053609,0.)); #524547=CARTESIAN_POINT('',(36.030834,14.053609,0.)); #524548=CARTESIAN_POINT('',(36.030834,14.053609,0.035)); #524549=CARTESIAN_POINT('',(36.030834,14.053609,0.035)); #524550=CARTESIAN_POINT('',(36.030834,14.053609,0.)); #524551=CARTESIAN_POINT('Origin',(36.001216,14.0471,0.)); #524552=CARTESIAN_POINT('',(36.001216,14.0471,0.)); #524553=CARTESIAN_POINT('',(36.001216,14.0471,0.)); #524554=CARTESIAN_POINT('',(36.001216,14.0471,0.035)); #524555=CARTESIAN_POINT('',(36.001216,14.0471,0.035)); #524556=CARTESIAN_POINT('',(36.001216,14.0471,0.)); #524557=CARTESIAN_POINT('Origin',(35.971403,14.052659,0.)); #524558=CARTESIAN_POINT('',(35.971403,14.052659,0.)); #524559=CARTESIAN_POINT('',(35.971403,14.052659,0.)); #524560=CARTESIAN_POINT('',(35.971403,14.052659,0.035)); #524561=CARTESIAN_POINT('',(35.971403,14.052659,0.035)); #524562=CARTESIAN_POINT('',(35.971403,14.052659,0.)); #524563=CARTESIAN_POINT('Origin',(35.952925,14.0649,0.)); #524564=CARTESIAN_POINT('',(35.952925,14.0649,0.)); #524565=CARTESIAN_POINT('',(35.952925,14.0649,0.)); #524566=CARTESIAN_POINT('',(35.952925,14.0649,0.035)); #524567=CARTESIAN_POINT('',(35.952925,14.0649,0.035)); #524568=CARTESIAN_POINT('',(35.952925,14.0649,0.)); #524569=CARTESIAN_POINT('Origin',(35.547303,14.0649,0.)); #524570=CARTESIAN_POINT('',(35.547303,14.0649,0.)); #524571=CARTESIAN_POINT('',(35.547303,14.0649,0.)); #524572=CARTESIAN_POINT('',(35.547303,14.0649,0.035)); #524573=CARTESIAN_POINT('',(35.547303,14.0649,0.035)); #524574=CARTESIAN_POINT('',(35.547303,14.0649,0.)); #524575=CARTESIAN_POINT('Origin',(35.530834,14.053609,0.)); #524576=CARTESIAN_POINT('',(35.530834,14.053609,0.)); #524577=CARTESIAN_POINT('',(35.530834,14.053609,0.)); #524578=CARTESIAN_POINT('',(35.530834,14.053609,0.035)); #524579=CARTESIAN_POINT('',(35.530834,14.053609,0.035)); #524580=CARTESIAN_POINT('',(35.530834,14.053609,0.)); #524581=CARTESIAN_POINT('Origin',(35.501216,14.0471,0.)); #524582=CARTESIAN_POINT('',(35.501216,14.0471,0.)); #524583=CARTESIAN_POINT('',(35.501216,14.0471,0.)); #524584=CARTESIAN_POINT('',(35.501216,14.0471,0.035)); #524585=CARTESIAN_POINT('',(35.501216,14.0471,0.035)); #524586=CARTESIAN_POINT('',(35.501216,14.0471,0.)); #524587=CARTESIAN_POINT('Origin',(35.471403,14.052659,0.)); #524588=CARTESIAN_POINT('',(35.471403,14.052659,0.)); #524589=CARTESIAN_POINT('',(35.471403,14.052659,0.)); #524590=CARTESIAN_POINT('',(35.471403,14.052659,0.035)); #524591=CARTESIAN_POINT('',(35.471403,14.052659,0.035)); #524592=CARTESIAN_POINT('',(35.471403,14.052659,0.)); #524593=CARTESIAN_POINT('Origin',(35.452925,14.0649,0.)); #524594=CARTESIAN_POINT('',(35.452925,14.0649,0.)); #524595=CARTESIAN_POINT('',(35.452925,14.0649,0.)); #524596=CARTESIAN_POINT('',(35.452925,14.0649,0.035)); #524597=CARTESIAN_POINT('',(35.452925,14.0649,0.035)); #524598=CARTESIAN_POINT('',(35.452925,14.0649,0.)); #524599=CARTESIAN_POINT('Origin',(35.047303,14.0649,0.)); #524600=CARTESIAN_POINT('',(35.047303,14.0649,0.)); #524601=CARTESIAN_POINT('',(35.047303,14.0649,0.)); #524602=CARTESIAN_POINT('',(35.047303,14.0649,0.035)); #524603=CARTESIAN_POINT('',(35.047303,14.0649,0.035)); #524604=CARTESIAN_POINT('',(35.047303,14.0649,0.)); #524605=CARTESIAN_POINT('Origin',(35.030834,14.053609,0.)); #524606=CARTESIAN_POINT('',(35.030834,14.053609,0.)); #524607=CARTESIAN_POINT('',(35.030834,14.053609,0.)); #524608=CARTESIAN_POINT('',(35.030834,14.053609,0.035)); #524609=CARTESIAN_POINT('',(35.030834,14.053609,0.035)); #524610=CARTESIAN_POINT('',(35.030834,14.053609,0.)); #524611=CARTESIAN_POINT('Origin',(35.001216,14.0471,0.)); #524612=CARTESIAN_POINT('',(35.001216,14.0471,0.)); #524613=CARTESIAN_POINT('',(35.001216,14.0471,0.)); #524614=CARTESIAN_POINT('',(35.001216,14.0471,0.035)); #524615=CARTESIAN_POINT('',(35.001216,14.0471,0.035)); #524616=CARTESIAN_POINT('',(35.001216,14.0471,0.)); #524617=CARTESIAN_POINT('Origin',(34.971403,14.052659,0.)); #524618=CARTESIAN_POINT('',(34.971403,14.052659,0.)); #524619=CARTESIAN_POINT('',(34.971403,14.052659,0.)); #524620=CARTESIAN_POINT('',(34.971403,14.052659,0.035)); #524621=CARTESIAN_POINT('',(34.971403,14.052659,0.035)); #524622=CARTESIAN_POINT('',(34.971403,14.052659,0.)); #524623=CARTESIAN_POINT('Origin',(34.952925,14.0649,0.)); #524624=CARTESIAN_POINT('',(34.952925,14.0649,0.)); #524625=CARTESIAN_POINT('',(34.952925,14.0649,0.)); #524626=CARTESIAN_POINT('',(34.952925,14.0649,0.035)); #524627=CARTESIAN_POINT('',(34.952925,14.0649,0.035)); #524628=CARTESIAN_POINT('',(34.952925,14.0649,0.)); #524629=CARTESIAN_POINT('Origin',(34.547303,14.0649,0.)); #524630=CARTESIAN_POINT('',(34.547303,14.0649,0.)); #524631=CARTESIAN_POINT('',(34.547303,14.0649,0.)); #524632=CARTESIAN_POINT('',(34.547303,14.0649,0.035)); #524633=CARTESIAN_POINT('',(34.547303,14.0649,0.035)); #524634=CARTESIAN_POINT('',(34.547303,14.0649,0.)); #524635=CARTESIAN_POINT('Origin',(34.530834,14.053609,0.)); #524636=CARTESIAN_POINT('',(34.530834,14.053609,0.)); #524637=CARTESIAN_POINT('',(34.530834,14.053609,0.)); #524638=CARTESIAN_POINT('',(34.530834,14.053609,0.035)); #524639=CARTESIAN_POINT('',(34.530834,14.053609,0.035)); #524640=CARTESIAN_POINT('',(34.530834,14.053609,0.)); #524641=CARTESIAN_POINT('Origin',(34.501216,14.0471,0.)); #524642=CARTESIAN_POINT('',(34.501216,14.0471,0.)); #524643=CARTESIAN_POINT('',(34.501216,14.0471,0.)); #524644=CARTESIAN_POINT('',(34.501216,14.0471,0.035)); #524645=CARTESIAN_POINT('',(34.501216,14.0471,0.035)); #524646=CARTESIAN_POINT('',(34.501216,14.0471,0.)); #524647=CARTESIAN_POINT('Origin',(34.471403,14.052659,0.)); #524648=CARTESIAN_POINT('',(34.471403,14.052659,0.)); #524649=CARTESIAN_POINT('',(34.471403,14.052659,0.)); #524650=CARTESIAN_POINT('',(34.471403,14.052659,0.035)); #524651=CARTESIAN_POINT('',(34.471403,14.052659,0.035)); #524652=CARTESIAN_POINT('',(34.471403,14.052659,0.)); #524653=CARTESIAN_POINT('Origin',(34.452925,14.0649,0.)); #524654=CARTESIAN_POINT('',(34.452925,14.0649,0.)); #524655=CARTESIAN_POINT('',(34.452925,14.0649,0.)); #524656=CARTESIAN_POINT('',(34.452925,14.0649,0.035)); #524657=CARTESIAN_POINT('',(34.452925,14.0649,0.035)); #524658=CARTESIAN_POINT('',(34.452925,14.0649,0.)); #524659=CARTESIAN_POINT('Origin',(34.047303,14.0649,0.)); #524660=CARTESIAN_POINT('',(34.047303,14.0649,0.)); #524661=CARTESIAN_POINT('',(34.047303,14.0649,0.)); #524662=CARTESIAN_POINT('',(34.047303,14.0649,0.035)); #524663=CARTESIAN_POINT('',(34.047303,14.0649,0.035)); #524664=CARTESIAN_POINT('',(34.047303,14.0649,0.)); #524665=CARTESIAN_POINT('Origin',(34.030834,14.053609,0.)); #524666=CARTESIAN_POINT('',(34.030834,14.053609,0.)); #524667=CARTESIAN_POINT('',(34.030834,14.053609,0.)); #524668=CARTESIAN_POINT('',(34.030834,14.053609,0.035)); #524669=CARTESIAN_POINT('',(34.030834,14.053609,0.035)); #524670=CARTESIAN_POINT('',(34.030834,14.053609,0.)); #524671=CARTESIAN_POINT('Origin',(34.001216,14.0471,0.)); #524672=CARTESIAN_POINT('',(34.001216,14.0471,0.)); #524673=CARTESIAN_POINT('',(34.001216,14.0471,0.)); #524674=CARTESIAN_POINT('',(34.001216,14.0471,0.035)); #524675=CARTESIAN_POINT('',(34.001216,14.0471,0.035)); #524676=CARTESIAN_POINT('',(34.001216,14.0471,0.)); #524677=CARTESIAN_POINT('Origin',(33.971403,14.052659,0.)); #524678=CARTESIAN_POINT('',(33.971403,14.052659,0.)); #524679=CARTESIAN_POINT('',(33.971403,14.052659,0.)); #524680=CARTESIAN_POINT('',(33.971403,14.052659,0.035)); #524681=CARTESIAN_POINT('',(33.971403,14.052659,0.035)); #524682=CARTESIAN_POINT('',(33.971403,14.052659,0.)); #524683=CARTESIAN_POINT('Origin',(33.952925,14.0649,0.)); #524684=CARTESIAN_POINT('',(33.952925,14.0649,0.)); #524685=CARTESIAN_POINT('',(33.952925,14.0649,0.)); #524686=CARTESIAN_POINT('',(33.952925,14.0649,0.035)); #524687=CARTESIAN_POINT('',(33.952925,14.0649,0.035)); #524688=CARTESIAN_POINT('',(33.952925,14.0649,0.)); #524689=CARTESIAN_POINT('Origin',(33.547303,14.0649,0.)); #524690=CARTESIAN_POINT('',(33.547303,14.0649,0.)); #524691=CARTESIAN_POINT('',(33.547303,14.0649,0.)); #524692=CARTESIAN_POINT('',(33.547303,14.0649,0.035)); #524693=CARTESIAN_POINT('',(33.547303,14.0649,0.035)); #524694=CARTESIAN_POINT('',(33.547303,14.0649,0.)); #524695=CARTESIAN_POINT('Origin',(33.530834,14.053609,0.)); #524696=CARTESIAN_POINT('',(33.530834,14.053609,0.)); #524697=CARTESIAN_POINT('',(33.530834,14.053609,0.)); #524698=CARTESIAN_POINT('',(33.530834,14.053609,0.035)); #524699=CARTESIAN_POINT('',(33.530834,14.053609,0.035)); #524700=CARTESIAN_POINT('',(33.530834,14.053609,0.)); #524701=CARTESIAN_POINT('Origin',(33.501216,14.0471,0.)); #524702=CARTESIAN_POINT('',(33.501216,14.0471,0.)); #524703=CARTESIAN_POINT('',(33.501216,14.0471,0.)); #524704=CARTESIAN_POINT('',(33.501216,14.0471,0.035)); #524705=CARTESIAN_POINT('',(33.501216,14.0471,0.035)); #524706=CARTESIAN_POINT('',(33.501216,14.0471,0.)); #524707=CARTESIAN_POINT('Origin',(33.471403,14.052659,0.)); #524708=CARTESIAN_POINT('',(33.471403,14.052659,0.)); #524709=CARTESIAN_POINT('',(33.471403,14.052659,0.)); #524710=CARTESIAN_POINT('',(33.471403,14.052659,0.035)); #524711=CARTESIAN_POINT('',(33.471403,14.052659,0.035)); #524712=CARTESIAN_POINT('',(33.471403,14.052659,0.)); #524713=CARTESIAN_POINT('Origin',(33.452925,14.0649,0.)); #524714=CARTESIAN_POINT('',(33.452925,14.0649,0.)); #524715=CARTESIAN_POINT('',(33.452925,14.0649,0.)); #524716=CARTESIAN_POINT('',(33.452925,14.0649,0.035)); #524717=CARTESIAN_POINT('',(33.452925,14.0649,0.035)); #524718=CARTESIAN_POINT('',(33.452925,14.0649,0.)); #524719=CARTESIAN_POINT('Origin',(33.047303,14.0649,0.)); #524720=CARTESIAN_POINT('',(33.047303,14.0649,0.)); #524721=CARTESIAN_POINT('',(33.047303,14.0649,0.)); #524722=CARTESIAN_POINT('',(33.047303,14.0649,0.035)); #524723=CARTESIAN_POINT('',(33.047303,14.0649,0.035)); #524724=CARTESIAN_POINT('',(33.047303,14.0649,0.)); #524725=CARTESIAN_POINT('Origin',(33.030834,14.053609,0.)); #524726=CARTESIAN_POINT('',(33.030834,14.053609,0.)); #524727=CARTESIAN_POINT('',(33.030834,14.053609,0.)); #524728=CARTESIAN_POINT('',(33.030834,14.053609,0.035)); #524729=CARTESIAN_POINT('',(33.030834,14.053609,0.035)); #524730=CARTESIAN_POINT('',(33.030834,14.053609,0.)); #524731=CARTESIAN_POINT('Origin',(33.001216,14.0471,0.)); #524732=CARTESIAN_POINT('',(33.001216,14.0471,0.)); #524733=CARTESIAN_POINT('',(33.001216,14.0471,0.)); #524734=CARTESIAN_POINT('',(33.001216,14.0471,0.035)); #524735=CARTESIAN_POINT('',(33.001216,14.0471,0.035)); #524736=CARTESIAN_POINT('',(33.001216,14.0471,0.)); #524737=CARTESIAN_POINT('Origin',(32.971403,14.052659,0.)); #524738=CARTESIAN_POINT('',(32.971403,14.052659,0.)); #524739=CARTESIAN_POINT('',(32.971403,14.052659,0.)); #524740=CARTESIAN_POINT('',(32.971403,14.052659,0.035)); #524741=CARTESIAN_POINT('',(32.971403,14.052659,0.035)); #524742=CARTESIAN_POINT('',(32.971403,14.052659,0.)); #524743=CARTESIAN_POINT('Origin',(32.952925,14.0649,0.)); #524744=CARTESIAN_POINT('',(32.952925,14.0649,0.)); #524745=CARTESIAN_POINT('',(32.952925,14.0649,0.)); #524746=CARTESIAN_POINT('',(32.952925,14.0649,0.035)); #524747=CARTESIAN_POINT('',(32.952925,14.0649,0.035)); #524748=CARTESIAN_POINT('',(32.952925,14.0649,0.)); #524749=CARTESIAN_POINT('Origin',(32.547303,14.0649,0.)); #524750=CARTESIAN_POINT('',(32.547303,14.0649,0.)); #524751=CARTESIAN_POINT('',(32.547303,14.0649,0.)); #524752=CARTESIAN_POINT('',(32.547303,14.0649,0.035)); #524753=CARTESIAN_POINT('',(32.547303,14.0649,0.035)); #524754=CARTESIAN_POINT('',(32.547303,14.0649,0.)); #524755=CARTESIAN_POINT('Origin',(32.530834,14.053609,0.)); #524756=CARTESIAN_POINT('',(32.530834,14.053609,0.)); #524757=CARTESIAN_POINT('',(32.530834,14.053609,0.)); #524758=CARTESIAN_POINT('',(32.530834,14.053609,0.035)); #524759=CARTESIAN_POINT('',(32.530834,14.053609,0.035)); #524760=CARTESIAN_POINT('',(32.530834,14.053609,0.)); #524761=CARTESIAN_POINT('Origin',(32.501216,14.0471,0.)); #524762=CARTESIAN_POINT('',(32.501216,14.0471,0.)); #524763=CARTESIAN_POINT('',(32.501216,14.0471,0.)); #524764=CARTESIAN_POINT('',(32.501216,14.0471,0.035)); #524765=CARTESIAN_POINT('',(32.501216,14.0471,0.035)); #524766=CARTESIAN_POINT('',(32.501216,14.0471,0.)); #524767=CARTESIAN_POINT('Origin',(32.471403,14.052659,0.)); #524768=CARTESIAN_POINT('',(32.471403,14.052659,0.)); #524769=CARTESIAN_POINT('',(32.471403,14.052659,0.)); #524770=CARTESIAN_POINT('',(32.471403,14.052659,0.035)); #524771=CARTESIAN_POINT('',(32.471403,14.052659,0.035)); #524772=CARTESIAN_POINT('',(32.471403,14.052659,0.)); #524773=CARTESIAN_POINT('Origin',(32.452925,14.0649,0.)); #524774=CARTESIAN_POINT('',(32.452925,14.0649,0.)); #524775=CARTESIAN_POINT('',(32.452925,14.0649,0.)); #524776=CARTESIAN_POINT('',(32.452925,14.0649,0.035)); #524777=CARTESIAN_POINT('',(32.452925,14.0649,0.035)); #524778=CARTESIAN_POINT('',(32.452925,14.0649,0.)); #524779=CARTESIAN_POINT('Origin',(32.047303,14.0649,0.)); #524780=CARTESIAN_POINT('',(32.047303,14.0649,0.)); #524781=CARTESIAN_POINT('',(32.047303,14.0649,0.)); #524782=CARTESIAN_POINT('',(32.047303,14.0649,0.035)); #524783=CARTESIAN_POINT('',(32.047303,14.0649,0.035)); #524784=CARTESIAN_POINT('',(32.047303,14.0649,0.)); #524785=CARTESIAN_POINT('Origin',(32.030834,14.053609,0.)); #524786=CARTESIAN_POINT('',(32.030834,14.053609,0.)); #524787=CARTESIAN_POINT('',(32.030834,14.053609,0.)); #524788=CARTESIAN_POINT('',(32.030834,14.053609,0.035)); #524789=CARTESIAN_POINT('',(32.030834,14.053609,0.035)); #524790=CARTESIAN_POINT('',(32.030834,14.053609,0.)); #524791=CARTESIAN_POINT('Origin',(32.001216,14.0471,0.)); #524792=CARTESIAN_POINT('',(32.001216,14.0471,0.)); #524793=CARTESIAN_POINT('',(32.001216,14.0471,0.)); #524794=CARTESIAN_POINT('',(32.001216,14.0471,0.035)); #524795=CARTESIAN_POINT('',(32.001216,14.0471,0.035)); #524796=CARTESIAN_POINT('',(32.001216,14.0471,0.)); #524797=CARTESIAN_POINT('Origin',(31.971403,14.052659,0.)); #524798=CARTESIAN_POINT('',(31.971403,14.052659,0.)); #524799=CARTESIAN_POINT('',(31.971403,14.052659,0.)); #524800=CARTESIAN_POINT('',(31.971403,14.052659,0.035)); #524801=CARTESIAN_POINT('',(31.971403,14.052659,0.035)); #524802=CARTESIAN_POINT('',(31.971403,14.052659,0.)); #524803=CARTESIAN_POINT('Origin',(31.952925,14.0649,0.)); #524804=CARTESIAN_POINT('',(31.952925,14.0649,0.)); #524805=CARTESIAN_POINT('',(31.952925,14.0649,0.)); #524806=CARTESIAN_POINT('',(31.952925,14.0649,0.035)); #524807=CARTESIAN_POINT('',(31.952925,14.0649,0.035)); #524808=CARTESIAN_POINT('',(31.952925,14.0649,0.)); #524809=CARTESIAN_POINT('Origin',(31.547303,14.0649,0.)); #524810=CARTESIAN_POINT('',(31.547303,14.0649,0.)); #524811=CARTESIAN_POINT('',(31.547303,14.0649,0.)); #524812=CARTESIAN_POINT('',(31.547303,14.0649,0.035)); #524813=CARTESIAN_POINT('',(31.547303,14.0649,0.035)); #524814=CARTESIAN_POINT('',(31.547303,14.0649,0.)); #524815=CARTESIAN_POINT('Origin',(31.530834,14.053609,0.)); #524816=CARTESIAN_POINT('',(31.530834,14.053609,0.)); #524817=CARTESIAN_POINT('',(31.530834,14.053609,0.)); #524818=CARTESIAN_POINT('',(31.530834,14.053609,0.035)); #524819=CARTESIAN_POINT('',(31.530834,14.053609,0.035)); #524820=CARTESIAN_POINT('',(31.530834,14.053609,0.)); #524821=CARTESIAN_POINT('Origin',(31.501216,14.0471,0.)); #524822=CARTESIAN_POINT('',(31.501216,14.0471,0.)); #524823=CARTESIAN_POINT('',(31.501216,14.0471,0.)); #524824=CARTESIAN_POINT('',(31.501216,14.0471,0.035)); #524825=CARTESIAN_POINT('',(31.501216,14.0471,0.035)); #524826=CARTESIAN_POINT('',(31.501216,14.0471,0.)); #524827=CARTESIAN_POINT('Origin',(31.471403,14.052659,0.)); #524828=CARTESIAN_POINT('',(31.471403,14.052659,0.)); #524829=CARTESIAN_POINT('',(31.471403,14.052659,0.)); #524830=CARTESIAN_POINT('',(31.471403,14.052659,0.035)); #524831=CARTESIAN_POINT('',(31.471403,14.052659,0.035)); #524832=CARTESIAN_POINT('',(31.471403,14.052659,0.)); #524833=CARTESIAN_POINT('Origin',(31.452925,14.0649,0.)); #524834=CARTESIAN_POINT('',(31.452925,14.0649,0.)); #524835=CARTESIAN_POINT('',(31.452925,14.0649,0.)); #524836=CARTESIAN_POINT('',(31.452925,14.0649,0.035)); #524837=CARTESIAN_POINT('',(31.452925,14.0649,0.035)); #524838=CARTESIAN_POINT('',(31.452925,14.0649,0.)); #524839=CARTESIAN_POINT('Origin',(31.1411,14.0649,0.)); #524840=CARTESIAN_POINT('',(31.1411,14.0649,0.)); #524841=CARTESIAN_POINT('',(31.1411,14.0649,0.)); #524842=CARTESIAN_POINT('',(31.1411,14.0649,0.035)); #524843=CARTESIAN_POINT('',(31.1411,14.0649,0.035)); #524844=CARTESIAN_POINT('',(31.1411,14.0649,0.)); #524845=CARTESIAN_POINT('Origin',(31.113631,14.070022,0.)); #524846=CARTESIAN_POINT('',(31.113631,14.070022,0.)); #524847=CARTESIAN_POINT('',(31.113631,14.070022,0.)); #524848=CARTESIAN_POINT('',(31.113631,14.070022,0.035)); #524849=CARTESIAN_POINT('',(31.113631,14.070022,0.035)); #524850=CARTESIAN_POINT('',(31.113631,14.070022,0.)); #524851=CARTESIAN_POINT('Origin',(31.088084,14.086366,0.)); #524852=CARTESIAN_POINT('',(31.088084,14.086366,0.)); #524853=CARTESIAN_POINT('',(31.088084,14.086366,0.)); #524854=CARTESIAN_POINT('',(31.088084,14.086366,0.035)); #524855=CARTESIAN_POINT('',(31.088084,14.086366,0.035)); #524856=CARTESIAN_POINT('',(31.088084,14.086366,0.)); #524857=CARTESIAN_POINT('Origin',(31.070934,14.111378,0.)); #524858=CARTESIAN_POINT('',(31.070934,14.111378,0.)); #524859=CARTESIAN_POINT('',(31.070934,14.111378,0.)); #524860=CARTESIAN_POINT('',(31.070934,14.111378,0.035)); #524861=CARTESIAN_POINT('',(31.070934,14.111378,0.035)); #524862=CARTESIAN_POINT('',(31.070934,14.111378,0.)); #524863=CARTESIAN_POINT('Origin',(31.0649,14.1411,0.)); #524864=CARTESIAN_POINT('',(31.0649,14.1411,0.)); #524865=CARTESIAN_POINT('',(31.0649,14.1411,0.)); #524866=CARTESIAN_POINT('',(31.0649,14.1411,0.035)); #524867=CARTESIAN_POINT('',(31.0649,14.1411,0.035)); #524868=CARTESIAN_POINT('',(31.0649,14.1411,0.)); #524869=CARTESIAN_POINT('Origin',(31.0649,14.452697,0.)); #524870=CARTESIAN_POINT('',(31.0649,14.452697,0.)); #524871=CARTESIAN_POINT('',(31.0649,14.452697,0.)); #524872=CARTESIAN_POINT('',(31.0649,14.452697,0.035)); #524873=CARTESIAN_POINT('',(31.0649,14.452697,0.035)); #524874=CARTESIAN_POINT('',(31.0649,14.452697,0.)); #524875=CARTESIAN_POINT('Origin',(31.053609,14.469166,0.)); #524876=CARTESIAN_POINT('',(31.053609,14.469166,0.)); #524877=CARTESIAN_POINT('',(31.053609,14.469166,0.)); #524878=CARTESIAN_POINT('',(31.053609,14.469166,0.035)); #524879=CARTESIAN_POINT('',(31.053609,14.469166,0.035)); #524880=CARTESIAN_POINT('',(31.053609,14.469166,0.)); #524881=CARTESIAN_POINT('Origin',(31.0471,14.498784,0.)); #524882=CARTESIAN_POINT('',(31.0471,14.498784,0.)); #524883=CARTESIAN_POINT('',(31.0471,14.498784,0.)); #524884=CARTESIAN_POINT('',(31.0471,14.498784,0.035)); #524885=CARTESIAN_POINT('',(31.0471,14.498784,0.035)); #524886=CARTESIAN_POINT('',(31.0471,14.498784,0.)); #524887=CARTESIAN_POINT('Origin',(31.052659,14.528597,0.)); #524888=CARTESIAN_POINT('',(31.052659,14.528597,0.)); #524889=CARTESIAN_POINT('',(31.052659,14.528597,0.)); #524890=CARTESIAN_POINT('',(31.052659,14.528597,0.035)); #524891=CARTESIAN_POINT('',(31.052659,14.528597,0.035)); #524892=CARTESIAN_POINT('',(31.052659,14.528597,0.)); #524893=CARTESIAN_POINT('Origin',(31.0649,14.547075,0.)); #524894=CARTESIAN_POINT('',(31.0649,14.547075,0.)); #524895=CARTESIAN_POINT('',(31.0649,14.547075,0.)); #524896=CARTESIAN_POINT('',(31.0649,14.547075,0.035)); #524897=CARTESIAN_POINT('',(31.0649,14.547075,0.035)); #524898=CARTESIAN_POINT('',(31.0649,14.547075,0.)); #524899=CARTESIAN_POINT('Origin',(31.0649,14.952697,0.)); #524900=CARTESIAN_POINT('',(31.0649,14.952697,0.)); #524901=CARTESIAN_POINT('',(31.0649,14.952697,0.)); #524902=CARTESIAN_POINT('',(31.0649,14.952697,0.035)); #524903=CARTESIAN_POINT('',(31.0649,14.952697,0.035)); #524904=CARTESIAN_POINT('',(31.0649,14.952697,0.)); #524905=CARTESIAN_POINT('Origin',(31.053609,14.969166,0.)); #524906=CARTESIAN_POINT('',(31.053609,14.969166,0.)); #524907=CARTESIAN_POINT('',(31.053609,14.969166,0.)); #524908=CARTESIAN_POINT('',(31.053609,14.969166,0.035)); #524909=CARTESIAN_POINT('',(31.053609,14.969166,0.035)); #524910=CARTESIAN_POINT('',(31.053609,14.969166,0.)); #524911=CARTESIAN_POINT('Origin',(31.0471,14.998784,0.)); #524912=CARTESIAN_POINT('',(31.0471,14.998784,0.)); #524913=CARTESIAN_POINT('',(31.0471,14.998784,0.)); #524914=CARTESIAN_POINT('',(31.0471,14.998784,0.035)); #524915=CARTESIAN_POINT('',(31.0471,14.998784,0.035)); #524916=CARTESIAN_POINT('',(31.0471,14.998784,0.)); #524917=CARTESIAN_POINT('Origin',(31.052659,15.028597,0.)); #524918=CARTESIAN_POINT('',(31.052659,15.028597,0.)); #524919=CARTESIAN_POINT('',(31.052659,15.028597,0.)); #524920=CARTESIAN_POINT('',(31.052659,15.028597,0.035)); #524921=CARTESIAN_POINT('',(31.052659,15.028597,0.035)); #524922=CARTESIAN_POINT('',(31.052659,15.028597,0.)); #524923=CARTESIAN_POINT('Origin',(31.0649,15.047075,0.)); #524924=CARTESIAN_POINT('',(31.0649,15.047075,0.)); #524925=CARTESIAN_POINT('',(31.0649,15.047075,0.)); #524926=CARTESIAN_POINT('',(31.0649,15.047075,0.035)); #524927=CARTESIAN_POINT('',(31.0649,15.047075,0.035)); #524928=CARTESIAN_POINT('',(31.0649,15.047075,0.)); #524929=CARTESIAN_POINT('Origin',(31.0649,15.452697,0.)); #524930=CARTESIAN_POINT('',(31.0649,15.452697,0.)); #524931=CARTESIAN_POINT('',(31.0649,15.452697,0.)); #524932=CARTESIAN_POINT('',(31.0649,15.452697,0.035)); #524933=CARTESIAN_POINT('',(31.0649,15.452697,0.035)); #524934=CARTESIAN_POINT('',(31.0649,15.452697,0.)); #524935=CARTESIAN_POINT('Origin',(31.053609,15.469166,0.)); #524936=CARTESIAN_POINT('',(31.053609,15.469166,0.)); #524937=CARTESIAN_POINT('',(31.053609,15.469166,0.)); #524938=CARTESIAN_POINT('',(31.053609,15.469166,0.035)); #524939=CARTESIAN_POINT('',(31.053609,15.469166,0.035)); #524940=CARTESIAN_POINT('',(31.053609,15.469166,0.)); #524941=CARTESIAN_POINT('Origin',(31.0471,15.498784,0.)); #524942=CARTESIAN_POINT('',(31.0471,15.498784,0.)); #524943=CARTESIAN_POINT('',(31.0471,15.498784,0.)); #524944=CARTESIAN_POINT('',(31.0471,15.498784,0.035)); #524945=CARTESIAN_POINT('',(31.0471,15.498784,0.035)); #524946=CARTESIAN_POINT('',(31.0471,15.498784,0.)); #524947=CARTESIAN_POINT('Origin',(31.052659,15.528597,0.)); #524948=CARTESIAN_POINT('',(31.052659,15.528597,0.)); #524949=CARTESIAN_POINT('',(31.052659,15.528597,0.)); #524950=CARTESIAN_POINT('',(31.052659,15.528597,0.035)); #524951=CARTESIAN_POINT('',(31.052659,15.528597,0.035)); #524952=CARTESIAN_POINT('',(31.052659,15.528597,0.)); #524953=CARTESIAN_POINT('Origin',(31.0649,15.547075,0.)); #524954=CARTESIAN_POINT('',(31.0649,15.547075,0.)); #524955=CARTESIAN_POINT('',(31.0649,15.547075,0.)); #524956=CARTESIAN_POINT('',(31.0649,15.547075,0.035)); #524957=CARTESIAN_POINT('',(31.0649,15.547075,0.035)); #524958=CARTESIAN_POINT('',(31.0649,15.547075,0.)); #524959=CARTESIAN_POINT('Origin',(31.0649,15.952697,0.)); #524960=CARTESIAN_POINT('',(31.0649,15.952697,0.)); #524961=CARTESIAN_POINT('',(31.0649,15.952697,0.)); #524962=CARTESIAN_POINT('',(31.0649,15.952697,0.035)); #524963=CARTESIAN_POINT('',(31.0649,15.952697,0.035)); #524964=CARTESIAN_POINT('',(31.0649,15.952697,0.)); #524965=CARTESIAN_POINT('Origin',(31.053609,15.969166,0.)); #524966=CARTESIAN_POINT('',(31.053609,15.969166,0.)); #524967=CARTESIAN_POINT('',(31.053609,15.969166,0.)); #524968=CARTESIAN_POINT('',(31.053609,15.969166,0.035)); #524969=CARTESIAN_POINT('',(31.053609,15.969166,0.035)); #524970=CARTESIAN_POINT('',(31.053609,15.969166,0.)); #524971=CARTESIAN_POINT('Origin',(31.0471,15.998784,0.)); #524972=CARTESIAN_POINT('',(31.0471,15.998784,0.)); #524973=CARTESIAN_POINT('',(31.0471,15.998784,0.)); #524974=CARTESIAN_POINT('',(31.0471,15.998784,0.035)); #524975=CARTESIAN_POINT('',(31.0471,15.998784,0.035)); #524976=CARTESIAN_POINT('',(31.0471,15.998784,0.)); #524977=CARTESIAN_POINT('Origin',(31.052659,16.028597,0.)); #524978=CARTESIAN_POINT('',(31.052659,16.028597,0.)); #524979=CARTESIAN_POINT('',(31.052659,16.028597,0.)); #524980=CARTESIAN_POINT('',(31.052659,16.028597,0.035)); #524981=CARTESIAN_POINT('',(31.052659,16.028597,0.035)); #524982=CARTESIAN_POINT('',(31.052659,16.028597,0.)); #524983=CARTESIAN_POINT('Origin',(31.0649,16.047075,0.)); #524984=CARTESIAN_POINT('',(31.0649,16.047075,0.)); #524985=CARTESIAN_POINT('',(31.0649,16.047075,0.)); #524986=CARTESIAN_POINT('',(31.0649,16.047075,0.035)); #524987=CARTESIAN_POINT('',(31.0649,16.047075,0.035)); #524988=CARTESIAN_POINT('',(31.0649,16.047075,0.)); #524989=CARTESIAN_POINT('Origin',(31.0649,16.452697,0.)); #524990=CARTESIAN_POINT('',(31.0649,16.452697,0.)); #524991=CARTESIAN_POINT('',(31.0649,16.452697,0.)); #524992=CARTESIAN_POINT('',(31.0649,16.452697,0.035)); #524993=CARTESIAN_POINT('',(31.0649,16.452697,0.035)); #524994=CARTESIAN_POINT('',(31.0649,16.452697,0.)); #524995=CARTESIAN_POINT('Origin',(31.053609,16.469166,0.)); #524996=CARTESIAN_POINT('',(31.053609,16.469166,0.)); #524997=CARTESIAN_POINT('',(31.053609,16.469166,0.)); #524998=CARTESIAN_POINT('',(31.053609,16.469166,0.035)); #524999=CARTESIAN_POINT('',(31.053609,16.469166,0.035)); #525000=CARTESIAN_POINT('',(31.053609,16.469166,0.)); #525001=CARTESIAN_POINT('Origin',(31.0471,16.498784,0.)); #525002=CARTESIAN_POINT('',(31.0471,16.498784,0.)); #525003=CARTESIAN_POINT('',(31.0471,16.498784,0.)); #525004=CARTESIAN_POINT('',(31.0471,16.498784,0.035)); #525005=CARTESIAN_POINT('',(31.0471,16.498784,0.035)); #525006=CARTESIAN_POINT('',(31.0471,16.498784,0.)); #525007=CARTESIAN_POINT('Origin',(31.052659,16.528597,0.)); #525008=CARTESIAN_POINT('',(31.052659,16.528597,0.)); #525009=CARTESIAN_POINT('',(31.052659,16.528597,0.)); #525010=CARTESIAN_POINT('',(31.052659,16.528597,0.035)); #525011=CARTESIAN_POINT('',(31.052659,16.528597,0.035)); #525012=CARTESIAN_POINT('',(31.052659,16.528597,0.)); #525013=CARTESIAN_POINT('Origin',(31.0649,16.547075,0.)); #525014=CARTESIAN_POINT('',(31.0649,16.547075,0.)); #525015=CARTESIAN_POINT('',(31.0649,16.547075,0.)); #525016=CARTESIAN_POINT('',(31.0649,16.547075,0.035)); #525017=CARTESIAN_POINT('',(31.0649,16.547075,0.035)); #525018=CARTESIAN_POINT('',(31.0649,16.547075,0.)); #525019=CARTESIAN_POINT('Origin',(31.0649,16.950628,0.)); #525020=CARTESIAN_POINT('',(31.0649,16.950628,0.)); #525021=CARTESIAN_POINT('',(31.0649,16.950628,0.)); #525022=CARTESIAN_POINT('',(31.0649,16.950628,0.035)); #525023=CARTESIAN_POINT('',(31.0649,16.950628,0.035)); #525024=CARTESIAN_POINT('',(31.0649,16.950628,0.)); #525025=CARTESIAN_POINT('Origin',(30.975628,17.0399,0.)); #525026=CARTESIAN_POINT('',(30.975628,17.0399,0.)); #525027=CARTESIAN_POINT('',(30.975628,17.0399,0.)); #525028=CARTESIAN_POINT('',(30.975628,17.0399,0.035)); #525029=CARTESIAN_POINT('',(30.975628,17.0399,0.035)); #525030=CARTESIAN_POINT('',(30.975628,17.0399,0.)); #525031=CARTESIAN_POINT('Origin',(30.224372,17.0399,0.)); #525032=CARTESIAN_POINT('',(30.224372,17.0399,0.)); #525033=CARTESIAN_POINT('',(30.224372,17.0399,0.)); #525034=CARTESIAN_POINT('',(30.224372,17.0399,0.035)); #525035=CARTESIAN_POINT('',(30.224372,17.0399,0.035)); #525036=CARTESIAN_POINT('',(30.224372,17.0399,0.)); #525037=CARTESIAN_POINT('Origin',(30.1351,16.950628,0.)); #525038=CARTESIAN_POINT('',(30.1351,16.950628,0.)); #525039=CARTESIAN_POINT('',(30.1351,16.950628,0.)); #525040=CARTESIAN_POINT('',(30.1351,16.950628,0.035)); #525041=CARTESIAN_POINT('',(30.1351,16.950628,0.035)); #525042=CARTESIAN_POINT('',(30.1351,16.950628,0.)); #525043=CARTESIAN_POINT('Origin',(30.1351,16.5548,0.)); #525044=CARTESIAN_POINT('',(30.1351,16.5548,0.)); #525045=CARTESIAN_POINT('',(30.1351,16.5548,0.)); #525046=CARTESIAN_POINT('',(30.1351,16.5548,0.035)); #525047=CARTESIAN_POINT('',(30.1351,16.5548,0.035)); #525048=CARTESIAN_POINT('',(30.1351,16.5548,0.)); #525049=CARTESIAN_POINT('Origin',(30.129978,16.527331,0.)); #525050=CARTESIAN_POINT('',(30.129978,16.527331,0.)); #525051=CARTESIAN_POINT('',(30.129978,16.527331,0.)); #525052=CARTESIAN_POINT('',(30.129978,16.527331,0.035)); #525053=CARTESIAN_POINT('',(30.129978,16.527331,0.035)); #525054=CARTESIAN_POINT('',(30.129978,16.527331,0.)); #525055=CARTESIAN_POINT('Origin',(30.113634,16.501784,0.)); #525056=CARTESIAN_POINT('',(30.113634,16.501784,0.)); #525057=CARTESIAN_POINT('',(30.113634,16.501784,0.)); #525058=CARTESIAN_POINT('',(30.113634,16.501784,0.035)); #525059=CARTESIAN_POINT('',(30.113634,16.501784,0.035)); #525060=CARTESIAN_POINT('',(30.113634,16.501784,0.)); #525061=CARTESIAN_POINT('Origin',(30.088622,16.484634,0.)); #525062=CARTESIAN_POINT('',(30.088622,16.484634,0.)); #525063=CARTESIAN_POINT('',(30.088622,16.484634,0.)); #525064=CARTESIAN_POINT('',(30.088622,16.484634,0.035)); #525065=CARTESIAN_POINT('',(30.088622,16.484634,0.035)); #525066=CARTESIAN_POINT('',(30.088622,16.484634,0.)); #525067=CARTESIAN_POINT('Origin',(30.0589,16.4786,0.)); #525068=CARTESIAN_POINT('',(30.0589,16.4786,0.)); #525069=CARTESIAN_POINT('',(30.0589,16.4786,0.)); #525070=CARTESIAN_POINT('',(30.0589,16.4786,0.035)); #525071=CARTESIAN_POINT('',(30.0589,16.4786,0.035)); #525072=CARTESIAN_POINT('',(30.0589,16.4786,0.)); #525073=CARTESIAN_POINT('Origin',(29.251253,16.4786,0.)); #525074=CARTESIAN_POINT('',(29.251253,16.4786,0.)); #525075=CARTESIAN_POINT('',(29.251253,16.4786,0.)); #525076=CARTESIAN_POINT('',(29.251253,16.4786,0.035)); #525077=CARTESIAN_POINT('',(29.251253,16.4786,0.035)); #525078=CARTESIAN_POINT('',(29.251253,16.4786,0.)); #525079=CARTESIAN_POINT('Origin',(29.222656,16.484169,0.)); #525080=CARTESIAN_POINT('',(29.222656,16.484169,0.)); #525081=CARTESIAN_POINT('',(29.222656,16.484169,0.)); #525082=CARTESIAN_POINT('',(29.222656,16.484169,0.035)); #525083=CARTESIAN_POINT('',(29.222656,16.484169,0.035)); #525084=CARTESIAN_POINT('',(29.222656,16.484169,0.)); #525085=CARTESIAN_POINT('Origin',(29.197372,16.500919,0.)); #525086=CARTESIAN_POINT('',(29.197372,16.500919,0.)); #525087=CARTESIAN_POINT('',(29.197372,16.500919,0.)); #525088=CARTESIAN_POINT('',(29.197372,16.500919,0.035)); #525089=CARTESIAN_POINT('',(29.197372,16.500919,0.035)); #525090=CARTESIAN_POINT('',(29.197372,16.500919,0.)); #525091=CARTESIAN_POINT('Origin',(27.500919,18.197372,0.)); #525092=CARTESIAN_POINT('',(27.500919,18.197372,0.)); #525093=CARTESIAN_POINT('',(27.500919,18.197372,0.)); #525094=CARTESIAN_POINT('',(27.500919,18.197372,0.035)); #525095=CARTESIAN_POINT('',(27.500919,18.197372,0.035)); #525096=CARTESIAN_POINT('',(27.500919,18.197372,0.)); #525097=CARTESIAN_POINT('Origin',(27.484634,18.221531,0.)); #525098=CARTESIAN_POINT('',(27.484634,18.221531,0.)); #525099=CARTESIAN_POINT('',(27.484634,18.221531,0.)); #525100=CARTESIAN_POINT('',(27.484634,18.221531,0.035)); #525101=CARTESIAN_POINT('',(27.484634,18.221531,0.035)); #525102=CARTESIAN_POINT('',(27.484634,18.221531,0.)); #525103=CARTESIAN_POINT('Origin',(27.4786,18.251253,0.)); #525104=CARTESIAN_POINT('',(27.4786,18.251253,0.)); #525105=CARTESIAN_POINT('',(27.4786,18.251253,0.)); #525106=CARTESIAN_POINT('',(27.4786,18.251253,0.035)); #525107=CARTESIAN_POINT('',(27.4786,18.251253,0.035)); #525108=CARTESIAN_POINT('',(27.4786,18.251253,0.)); #525109=CARTESIAN_POINT('Origin',(27.4786,18.4964,0.)); #525110=CARTESIAN_POINT('',(27.4786,18.4964,0.)); #525111=CARTESIAN_POINT('',(27.4786,18.4964,0.)); #525112=CARTESIAN_POINT('',(27.4786,18.4964,0.035)); #525113=CARTESIAN_POINT('',(27.4786,18.4964,0.035)); #525114=CARTESIAN_POINT('',(27.4786,18.4964,0.)); #525115=CARTESIAN_POINT('Origin',(27.483722,18.523869,0.)); #525116=CARTESIAN_POINT('',(27.483722,18.523869,0.)); #525117=CARTESIAN_POINT('',(27.483722,18.523869,0.)); #525118=CARTESIAN_POINT('',(27.483722,18.523869,0.035)); #525119=CARTESIAN_POINT('',(27.483722,18.523869,0.035)); #525120=CARTESIAN_POINT('',(27.483722,18.523869,0.)); #525121=CARTESIAN_POINT('Origin',(27.500066,18.549416,0.)); #525122=CARTESIAN_POINT('',(27.500066,18.549416,0.)); #525123=CARTESIAN_POINT('',(27.500066,18.549416,0.)); #525124=CARTESIAN_POINT('',(27.500066,18.549416,0.035)); #525125=CARTESIAN_POINT('',(27.500066,18.549416,0.035)); #525126=CARTESIAN_POINT('',(27.500066,18.549416,0.)); #525127=CARTESIAN_POINT('Origin',(27.525078,18.566566,0.)); #525128=CARTESIAN_POINT('',(27.525078,18.566566,0.)); #525129=CARTESIAN_POINT('',(27.525078,18.566566,0.)); #525130=CARTESIAN_POINT('',(27.525078,18.566566,0.035)); #525131=CARTESIAN_POINT('',(27.525078,18.566566,0.035)); #525132=CARTESIAN_POINT('',(27.525078,18.566566,0.)); #525133=CARTESIAN_POINT('Origin',(27.5548,18.5726,0.)); #525134=CARTESIAN_POINT('',(27.5548,18.5726,0.)); #525135=CARTESIAN_POINT('',(27.5548,18.5726,0.)); #525136=CARTESIAN_POINT('',(27.5548,18.5726,0.035)); #525137=CARTESIAN_POINT('',(27.5548,18.5726,0.035)); #525138=CARTESIAN_POINT('',(27.5548,18.5726,0.)); #525139=CARTESIAN_POINT('Origin',(27.939034,18.5726,0.)); #525140=CARTESIAN_POINT('',(27.939034,18.5726,0.)); #525141=CARTESIAN_POINT('',(27.939034,18.5726,0.)); #525142=CARTESIAN_POINT('',(27.939034,18.5726,0.035)); #525143=CARTESIAN_POINT('',(27.939034,18.5726,0.035)); #525144=CARTESIAN_POINT('',(27.939034,18.5726,0.)); #525145=CARTESIAN_POINT('Origin',(28.1894,18.822966,0.)); #525146=CARTESIAN_POINT('',(28.1894,18.822966,0.)); #525147=CARTESIAN_POINT('',(28.1894,18.822966,0.)); #525148=CARTESIAN_POINT('',(28.1894,18.822966,0.035)); #525149=CARTESIAN_POINT('',(28.1894,18.822966,0.035)); #525150=CARTESIAN_POINT('',(28.1894,18.822966,0.)); #525151=CARTESIAN_POINT('Origin',(28.1894,19.177034,0.)); #525152=CARTESIAN_POINT('',(28.1894,19.177034,0.)); #525153=CARTESIAN_POINT('',(28.1894,19.177034,0.)); #525154=CARTESIAN_POINT('',(28.1894,19.177034,0.035)); #525155=CARTESIAN_POINT('',(28.1894,19.177034,0.035)); #525156=CARTESIAN_POINT('',(28.1894,19.177034,0.)); #525157=CARTESIAN_POINT('Origin',(27.939034,19.4274,0.)); #525158=CARTESIAN_POINT('',(27.939034,19.4274,0.)); #525159=CARTESIAN_POINT('',(27.939034,19.4274,0.)); #525160=CARTESIAN_POINT('',(27.939034,19.4274,0.035)); #525161=CARTESIAN_POINT('',(27.939034,19.4274,0.035)); #525162=CARTESIAN_POINT('',(27.939034,19.4274,0.)); #525163=CARTESIAN_POINT('Origin',(27.5548,19.4274,0.)); #525164=CARTESIAN_POINT('',(27.5548,19.4274,0.)); #525165=CARTESIAN_POINT('',(27.5548,19.4274,0.)); #525166=CARTESIAN_POINT('',(27.5548,19.4274,0.035)); #525167=CARTESIAN_POINT('',(27.5548,19.4274,0.035)); #525168=CARTESIAN_POINT('',(27.5548,19.4274,0.)); #525169=CARTESIAN_POINT('Origin',(27.527331,19.432522,0.)); #525170=CARTESIAN_POINT('',(27.527331,19.432522,0.)); #525171=CARTESIAN_POINT('',(27.527331,19.432522,0.)); #525172=CARTESIAN_POINT('',(27.527331,19.432522,0.035)); #525173=CARTESIAN_POINT('',(27.527331,19.432522,0.035)); #525174=CARTESIAN_POINT('',(27.527331,19.432522,0.)); #525175=CARTESIAN_POINT('Origin',(27.501784,19.448866,0.)); #525176=CARTESIAN_POINT('',(27.501784,19.448866,0.)); #525177=CARTESIAN_POINT('',(27.501784,19.448866,0.)); #525178=CARTESIAN_POINT('',(27.501784,19.448866,0.035)); #525179=CARTESIAN_POINT('',(27.501784,19.448866,0.035)); #525180=CARTESIAN_POINT('',(27.501784,19.448866,0.)); #525181=CARTESIAN_POINT('Origin',(27.484634,19.473878,0.)); #525182=CARTESIAN_POINT('',(27.484634,19.473878,0.)); #525183=CARTESIAN_POINT('',(27.484634,19.473878,0.)); #525184=CARTESIAN_POINT('',(27.484634,19.473878,0.035)); #525185=CARTESIAN_POINT('',(27.484634,19.473878,0.035)); #525186=CARTESIAN_POINT('',(27.484634,19.473878,0.)); #525187=CARTESIAN_POINT('Origin',(27.4786,19.5036,0.)); #525188=CARTESIAN_POINT('',(27.4786,19.5036,0.)); #525189=CARTESIAN_POINT('',(27.4786,19.5036,0.)); #525190=CARTESIAN_POINT('',(27.4786,19.5036,0.035)); #525191=CARTESIAN_POINT('',(27.4786,19.5036,0.035)); #525192=CARTESIAN_POINT('',(27.4786,19.5036,0.)); #525193=CARTESIAN_POINT('Origin',(27.4786,23.967603,0.)); #525194=CARTESIAN_POINT('',(27.4786,23.967603,0.)); #525195=CARTESIAN_POINT('',(27.4786,23.967603,0.)); #525196=CARTESIAN_POINT('',(27.4786,23.967603,0.035)); #525197=CARTESIAN_POINT('',(27.4786,23.967603,0.035)); #525198=CARTESIAN_POINT('',(27.4786,23.967603,0.)); #525199=CARTESIAN_POINT('Origin',(27.484169,23.9962,0.)); #525200=CARTESIAN_POINT('',(27.484169,23.9962,0.)); #525201=CARTESIAN_POINT('',(27.484169,23.9962,0.)); #525202=CARTESIAN_POINT('',(27.484169,23.9962,0.035)); #525203=CARTESIAN_POINT('',(27.484169,23.9962,0.035)); #525204=CARTESIAN_POINT('',(27.484169,23.9962,0.)); #525205=CARTESIAN_POINT('Origin',(27.500919,24.021484,0.)); #525206=CARTESIAN_POINT('',(27.500919,24.021484,0.)); #525207=CARTESIAN_POINT('',(27.500919,24.021484,0.)); #525208=CARTESIAN_POINT('',(27.500919,24.021484,0.035)); #525209=CARTESIAN_POINT('',(27.500919,24.021484,0.035)); #525210=CARTESIAN_POINT('',(27.500919,24.021484,0.)); #525211=CARTESIAN_POINT('Origin',(27.641319,24.161884,0.)); #525212=CARTESIAN_POINT('',(27.641319,24.161884,0.)); #525213=CARTESIAN_POINT('',(27.641319,24.161884,0.)); #525214=CARTESIAN_POINT('',(27.641319,24.161884,0.035)); #525215=CARTESIAN_POINT('',(27.641319,24.161884,0.035)); #525216=CARTESIAN_POINT('',(27.641319,24.161884,0.)); #525217=CARTESIAN_POINT('Origin',(27.663256,24.177184,0.)); #525218=CARTESIAN_POINT('',(27.663256,24.177184,0.)); #525219=CARTESIAN_POINT('',(27.663256,24.177184,0.)); #525220=CARTESIAN_POINT('',(27.663256,24.177184,0.035)); #525221=CARTESIAN_POINT('',(27.663256,24.177184,0.035)); #525222=CARTESIAN_POINT('',(27.663256,24.177184,0.)); #525223=CARTESIAN_POINT('Origin',(27.692772,24.184166,0.)); #525224=CARTESIAN_POINT('',(27.692772,24.184166,0.)); #525225=CARTESIAN_POINT('',(27.692772,24.184166,0.)); #525226=CARTESIAN_POINT('',(27.692772,24.184166,0.035)); #525227=CARTESIAN_POINT('',(27.692772,24.184166,0.035)); #525228=CARTESIAN_POINT('',(27.692772,24.184166,0.)); #525229=CARTESIAN_POINT('Origin',(27.722669,24.179081,0.)); #525230=CARTESIAN_POINT('',(27.722669,24.179081,0.)); #525231=CARTESIAN_POINT('',(27.722669,24.179081,0.)); #525232=CARTESIAN_POINT('',(27.722669,24.179081,0.035)); #525233=CARTESIAN_POINT('',(27.722669,24.179081,0.035)); #525234=CARTESIAN_POINT('',(27.722669,24.179081,0.)); #525235=CARTESIAN_POINT('Origin',(27.748216,24.162738,0.)); #525236=CARTESIAN_POINT('',(27.748216,24.162738,0.)); #525237=CARTESIAN_POINT('',(27.748216,24.162738,0.)); #525238=CARTESIAN_POINT('',(27.748216,24.162738,0.035)); #525239=CARTESIAN_POINT('',(27.748216,24.162738,0.035)); #525240=CARTESIAN_POINT('',(27.748216,24.162738,0.)); #525241=CARTESIAN_POINT('Origin',(27.765366,24.137725,0.)); #525242=CARTESIAN_POINT('',(27.765366,24.137725,0.)); #525243=CARTESIAN_POINT('',(27.765366,24.137725,0.)); #525244=CARTESIAN_POINT('',(27.765366,24.137725,0.035)); #525245=CARTESIAN_POINT('',(27.765366,24.137725,0.035)); #525246=CARTESIAN_POINT('',(27.765366,24.137725,0.)); #525247=CARTESIAN_POINT('Origin',(27.7714,24.108003,0.)); #525248=CARTESIAN_POINT('',(27.7714,24.108003,0.)); #525249=CARTESIAN_POINT('',(27.7714,24.108003,0.)); #525250=CARTESIAN_POINT('',(27.7714,24.108003,0.035)); #525251=CARTESIAN_POINT('',(27.7714,24.108003,0.035)); #525252=CARTESIAN_POINT('',(27.7714,24.108003,0.)); #525253=CARTESIAN_POINT('Origin',(27.7714,19.814297,0.)); #525254=CARTESIAN_POINT('',(27.7714,19.814297,0.)); #525255=CARTESIAN_POINT('',(27.7714,19.814297,0.)); #525256=CARTESIAN_POINT('',(27.7714,19.814297,0.035)); #525257=CARTESIAN_POINT('',(27.7714,19.814297,0.035)); #525258=CARTESIAN_POINT('',(27.7714,19.814297,0.)); #525259=CARTESIAN_POINT('Origin',(30.064297,17.5214,0.)); #525260=CARTESIAN_POINT('',(30.064297,17.5214,0.)); #525261=CARTESIAN_POINT('',(30.064297,17.5214,0.)); #525262=CARTESIAN_POINT('',(30.064297,17.5214,0.035)); #525263=CARTESIAN_POINT('',(30.064297,17.5214,0.035)); #525264=CARTESIAN_POINT('',(30.064297,17.5214,0.)); #525265=CARTESIAN_POINT('Origin',(30.131509,17.5214,0.)); #525266=CARTESIAN_POINT('',(30.131509,17.5214,0.)); #525267=CARTESIAN_POINT('',(30.131509,17.5214,0.)); #525268=CARTESIAN_POINT('',(30.131509,17.5214,0.035)); #525269=CARTESIAN_POINT('',(30.131509,17.5214,0.035)); #525270=CARTESIAN_POINT('',(30.131509,17.5214,0.)); #525271=CARTESIAN_POINT('Origin',(30.160106,17.515831,0.)); #525272=CARTESIAN_POINT('',(30.160106,17.515831,0.)); #525273=CARTESIAN_POINT('',(30.160106,17.515831,0.)); #525274=CARTESIAN_POINT('',(30.160106,17.515831,0.035)); #525275=CARTESIAN_POINT('',(30.160106,17.515831,0.035)); #525276=CARTESIAN_POINT('',(30.160106,17.515831,0.)); #525277=CARTESIAN_POINT('Origin',(30.185391,17.499081,0.)); #525278=CARTESIAN_POINT('',(30.185391,17.499081,0.)); #525279=CARTESIAN_POINT('',(30.185391,17.499081,0.)); #525280=CARTESIAN_POINT('',(30.185391,17.499081,0.035)); #525281=CARTESIAN_POINT('',(30.185391,17.499081,0.035)); #525282=CARTESIAN_POINT('',(30.185391,17.499081,0.)); #525283=CARTESIAN_POINT('Origin',(30.224372,17.4601,0.)); #525284=CARTESIAN_POINT('',(30.224372,17.4601,0.)); #525285=CARTESIAN_POINT('',(30.224372,17.4601,0.)); #525286=CARTESIAN_POINT('',(30.224372,17.4601,0.035)); #525287=CARTESIAN_POINT('',(30.224372,17.4601,0.035)); #525288=CARTESIAN_POINT('',(30.224372,17.4601,0.)); #525289=CARTESIAN_POINT('Origin',(30.975628,17.4601,0.)); #525290=CARTESIAN_POINT('',(30.975628,17.4601,0.)); #525291=CARTESIAN_POINT('',(30.975628,17.4601,0.)); #525292=CARTESIAN_POINT('',(30.975628,17.4601,0.035)); #525293=CARTESIAN_POINT('',(30.975628,17.4601,0.035)); #525294=CARTESIAN_POINT('',(30.975628,17.4601,0.)); #525295=CARTESIAN_POINT('Origin',(31.0649,17.549372,0.)); #525296=CARTESIAN_POINT('',(31.0649,17.549372,0.)); #525297=CARTESIAN_POINT('',(31.0649,17.549372,0.)); #525298=CARTESIAN_POINT('',(31.0649,17.549372,0.035)); #525299=CARTESIAN_POINT('',(31.0649,17.549372,0.035)); #525300=CARTESIAN_POINT('',(31.0649,17.549372,0.)); #525301=CARTESIAN_POINT('Origin',(31.0649,17.952697,0.)); #525302=CARTESIAN_POINT('',(31.0649,17.952697,0.)); #525303=CARTESIAN_POINT('',(31.0649,17.952697,0.)); #525304=CARTESIAN_POINT('',(31.0649,17.952697,0.035)); #525305=CARTESIAN_POINT('',(31.0649,17.952697,0.035)); #525306=CARTESIAN_POINT('',(31.0649,17.952697,0.)); #525307=CARTESIAN_POINT('Origin',(31.053609,17.969166,0.)); #525308=CARTESIAN_POINT('',(31.053609,17.969166,0.)); #525309=CARTESIAN_POINT('',(31.053609,17.969166,0.)); #525310=CARTESIAN_POINT('',(31.053609,17.969166,0.035)); #525311=CARTESIAN_POINT('',(31.053609,17.969166,0.035)); #525312=CARTESIAN_POINT('',(31.053609,17.969166,0.)); #525313=CARTESIAN_POINT('Origin',(31.0471,17.998784,0.)); #525314=CARTESIAN_POINT('',(31.0471,17.998784,0.)); #525315=CARTESIAN_POINT('',(31.0471,17.998784,0.)); #525316=CARTESIAN_POINT('',(31.0471,17.998784,0.035)); #525317=CARTESIAN_POINT('',(31.0471,17.998784,0.035)); #525318=CARTESIAN_POINT('',(31.0471,17.998784,0.)); #525319=CARTESIAN_POINT('Origin',(31.052659,18.028597,0.)); #525320=CARTESIAN_POINT('',(31.052659,18.028597,0.)); #525321=CARTESIAN_POINT('',(31.052659,18.028597,0.)); #525322=CARTESIAN_POINT('',(31.052659,18.028597,0.035)); #525323=CARTESIAN_POINT('',(31.052659,18.028597,0.035)); #525324=CARTESIAN_POINT('',(31.052659,18.028597,0.)); #525325=CARTESIAN_POINT('Origin',(31.0649,18.047075,0.)); #525326=CARTESIAN_POINT('',(31.0649,18.047075,0.)); #525327=CARTESIAN_POINT('',(31.0649,18.047075,0.)); #525328=CARTESIAN_POINT('',(31.0649,18.047075,0.035)); #525329=CARTESIAN_POINT('',(31.0649,18.047075,0.035)); #525330=CARTESIAN_POINT('',(31.0649,18.047075,0.)); #525331=CARTESIAN_POINT('Origin',(31.0649,18.452697,0.)); #525332=CARTESIAN_POINT('',(31.0649,18.452697,0.)); #525333=CARTESIAN_POINT('',(31.0649,18.452697,0.)); #525334=CARTESIAN_POINT('',(31.0649,18.452697,0.035)); #525335=CARTESIAN_POINT('',(31.0649,18.452697,0.035)); #525336=CARTESIAN_POINT('',(31.0649,18.452697,0.)); #525337=CARTESIAN_POINT('Origin',(31.053609,18.469166,0.)); #525338=CARTESIAN_POINT('',(31.053609,18.469166,0.)); #525339=CARTESIAN_POINT('',(31.053609,18.469166,0.)); #525340=CARTESIAN_POINT('',(31.053609,18.469166,0.035)); #525341=CARTESIAN_POINT('',(31.053609,18.469166,0.035)); #525342=CARTESIAN_POINT('',(31.053609,18.469166,0.)); #525343=CARTESIAN_POINT('Origin',(31.0471,18.498784,0.)); #525344=CARTESIAN_POINT('',(31.0471,18.498784,0.)); #525345=CARTESIAN_POINT('',(31.0471,18.498784,0.)); #525346=CARTESIAN_POINT('',(31.0471,18.498784,0.035)); #525347=CARTESIAN_POINT('',(31.0471,18.498784,0.035)); #525348=CARTESIAN_POINT('',(31.0471,18.498784,0.)); #525349=CARTESIAN_POINT('Origin',(31.052659,18.528597,0.)); #525350=CARTESIAN_POINT('',(31.052659,18.528597,0.)); #525351=CARTESIAN_POINT('',(31.052659,18.528597,0.)); #525352=CARTESIAN_POINT('',(31.052659,18.528597,0.035)); #525353=CARTESIAN_POINT('',(31.052659,18.528597,0.035)); #525354=CARTESIAN_POINT('',(31.052659,18.528597,0.)); #525355=CARTESIAN_POINT('Origin',(31.0649,18.547075,0.)); #525356=CARTESIAN_POINT('',(31.0649,18.547075,0.)); #525357=CARTESIAN_POINT('',(31.0649,18.547075,0.)); #525358=CARTESIAN_POINT('',(31.0649,18.547075,0.035)); #525359=CARTESIAN_POINT('',(31.0649,18.547075,0.035)); #525360=CARTESIAN_POINT('',(31.0649,18.547075,0.)); #525361=CARTESIAN_POINT('Origin',(31.0649,18.952697,0.)); #525362=CARTESIAN_POINT('',(31.0649,18.952697,0.)); #525363=CARTESIAN_POINT('',(31.0649,18.952697,0.)); #525364=CARTESIAN_POINT('',(31.0649,18.952697,0.035)); #525365=CARTESIAN_POINT('',(31.0649,18.952697,0.035)); #525366=CARTESIAN_POINT('',(31.0649,18.952697,0.)); #525367=CARTESIAN_POINT('Origin',(31.053609,18.969166,0.)); #525368=CARTESIAN_POINT('',(31.053609,18.969166,0.)); #525369=CARTESIAN_POINT('',(31.053609,18.969166,0.)); #525370=CARTESIAN_POINT('',(31.053609,18.969166,0.035)); #525371=CARTESIAN_POINT('',(31.053609,18.969166,0.035)); #525372=CARTESIAN_POINT('',(31.053609,18.969166,0.)); #525373=CARTESIAN_POINT('Origin',(31.0471,18.998784,0.)); #525374=CARTESIAN_POINT('',(31.0471,18.998784,0.)); #525375=CARTESIAN_POINT('',(31.0471,18.998784,0.)); #525376=CARTESIAN_POINT('',(31.0471,18.998784,0.035)); #525377=CARTESIAN_POINT('',(31.0471,18.998784,0.035)); #525378=CARTESIAN_POINT('',(31.0471,18.998784,0.)); #525379=CARTESIAN_POINT('Origin',(31.052659,19.028597,0.)); #525380=CARTESIAN_POINT('',(31.052659,19.028597,0.)); #525381=CARTESIAN_POINT('',(31.052659,19.028597,0.)); #525382=CARTESIAN_POINT('',(31.052659,19.028597,0.035)); #525383=CARTESIAN_POINT('',(31.052659,19.028597,0.035)); #525384=CARTESIAN_POINT('',(31.052659,19.028597,0.)); #525385=CARTESIAN_POINT('Origin',(31.0649,19.047075,0.)); #525386=CARTESIAN_POINT('',(31.0649,19.047075,0.)); #525387=CARTESIAN_POINT('',(31.0649,19.047075,0.)); #525388=CARTESIAN_POINT('',(31.0649,19.047075,0.035)); #525389=CARTESIAN_POINT('',(31.0649,19.047075,0.035)); #525390=CARTESIAN_POINT('',(31.0649,19.047075,0.)); #525391=CARTESIAN_POINT('Origin',(31.0649,19.452697,0.)); #525392=CARTESIAN_POINT('',(31.0649,19.452697,0.)); #525393=CARTESIAN_POINT('',(31.0649,19.452697,0.)); #525394=CARTESIAN_POINT('',(31.0649,19.452697,0.035)); #525395=CARTESIAN_POINT('',(31.0649,19.452697,0.035)); #525396=CARTESIAN_POINT('',(31.0649,19.452697,0.)); #525397=CARTESIAN_POINT('Origin',(31.053609,19.469166,0.)); #525398=CARTESIAN_POINT('',(31.053609,19.469166,0.)); #525399=CARTESIAN_POINT('',(31.053609,19.469166,0.)); #525400=CARTESIAN_POINT('',(31.053609,19.469166,0.035)); #525401=CARTESIAN_POINT('',(31.053609,19.469166,0.035)); #525402=CARTESIAN_POINT('',(31.053609,19.469166,0.)); #525403=CARTESIAN_POINT('Origin',(31.0471,19.498784,0.)); #525404=CARTESIAN_POINT('',(31.0471,19.498784,0.)); #525405=CARTESIAN_POINT('',(31.0471,19.498784,0.)); #525406=CARTESIAN_POINT('',(31.0471,19.498784,0.035)); #525407=CARTESIAN_POINT('',(31.0471,19.498784,0.035)); #525408=CARTESIAN_POINT('',(31.0471,19.498784,0.)); #525409=CARTESIAN_POINT('Origin',(31.052659,19.528597,0.)); #525410=CARTESIAN_POINT('',(31.052659,19.528597,0.)); #525411=CARTESIAN_POINT('',(31.052659,19.528597,0.)); #525412=CARTESIAN_POINT('',(31.052659,19.528597,0.035)); #525413=CARTESIAN_POINT('',(31.052659,19.528597,0.035)); #525414=CARTESIAN_POINT('',(31.052659,19.528597,0.)); #525415=CARTESIAN_POINT('Origin',(31.0649,19.547075,0.)); #525416=CARTESIAN_POINT('',(31.0649,19.547075,0.)); #525417=CARTESIAN_POINT('',(31.0649,19.547075,0.)); #525418=CARTESIAN_POINT('',(31.0649,19.547075,0.035)); #525419=CARTESIAN_POINT('',(31.0649,19.547075,0.035)); #525420=CARTESIAN_POINT('',(31.0649,19.547075,0.)); #525421=CARTESIAN_POINT('Origin',(31.0649,19.8589,0.)); #525422=CARTESIAN_POINT('',(31.0649,19.8589,0.)); #525423=CARTESIAN_POINT('',(31.0649,19.8589,0.)); #525424=CARTESIAN_POINT('',(31.0649,19.8589,0.035)); #525425=CARTESIAN_POINT('',(31.0649,19.8589,0.035)); #525426=CARTESIAN_POINT('',(31.0649,19.8589,0.)); #525427=CARTESIAN_POINT('Origin',(31.070022,19.886369,0.)); #525428=CARTESIAN_POINT('',(31.070022,19.886369,0.)); #525429=CARTESIAN_POINT('',(31.070022,19.886369,0.)); #525430=CARTESIAN_POINT('',(31.070022,19.886369,0.035)); #525431=CARTESIAN_POINT('',(31.070022,19.886369,0.035)); #525432=CARTESIAN_POINT('',(31.070022,19.886369,0.)); #525433=CARTESIAN_POINT('Origin',(31.086366,19.911916,0.)); #525434=CARTESIAN_POINT('',(31.086366,19.911916,0.)); #525435=CARTESIAN_POINT('',(31.086366,19.911916,0.)); #525436=CARTESIAN_POINT('',(31.086366,19.911916,0.035)); #525437=CARTESIAN_POINT('',(31.086366,19.911916,0.035)); #525438=CARTESIAN_POINT('',(31.086366,19.911916,0.)); #525439=CARTESIAN_POINT('Origin',(31.111378,19.929066,0.)); #525440=CARTESIAN_POINT('',(31.111378,19.929066,0.)); #525441=CARTESIAN_POINT('',(31.111378,19.929066,0.)); #525442=CARTESIAN_POINT('',(31.111378,19.929066,0.035)); #525443=CARTESIAN_POINT('',(31.111378,19.929066,0.035)); #525444=CARTESIAN_POINT('',(31.111378,19.929066,0.)); #525445=CARTESIAN_POINT('Origin',(31.1411,19.9351,0.)); #525446=CARTESIAN_POINT('',(31.1411,19.9351,0.)); #525447=CARTESIAN_POINT('',(31.1411,19.9351,0.)); #525448=CARTESIAN_POINT('',(31.1411,19.9351,0.035)); #525449=CARTESIAN_POINT('',(31.1411,19.9351,0.035)); #525450=CARTESIAN_POINT('',(31.1411,19.9351,0.)); #525451=CARTESIAN_POINT('Origin',(31.452697,19.9351,0.)); #525452=CARTESIAN_POINT('',(31.452697,19.9351,0.)); #525453=CARTESIAN_POINT('',(31.452697,19.9351,0.)); #525454=CARTESIAN_POINT('',(31.452697,19.9351,0.035)); #525455=CARTESIAN_POINT('',(31.452697,19.9351,0.035)); #525456=CARTESIAN_POINT('',(31.452697,19.9351,0.)); #525457=CARTESIAN_POINT('Origin',(31.469166,19.946391,0.)); #525458=CARTESIAN_POINT('',(31.469166,19.946391,0.)); #525459=CARTESIAN_POINT('',(31.469166,19.946391,0.)); #525460=CARTESIAN_POINT('',(31.469166,19.946391,0.035)); #525461=CARTESIAN_POINT('',(31.469166,19.946391,0.035)); #525462=CARTESIAN_POINT('',(31.469166,19.946391,0.)); #525463=CARTESIAN_POINT('Origin',(31.498784,19.9529,0.)); #525464=CARTESIAN_POINT('',(31.498784,19.9529,0.)); #525465=CARTESIAN_POINT('',(31.498784,19.9529,0.)); #525466=CARTESIAN_POINT('',(31.498784,19.9529,0.035)); #525467=CARTESIAN_POINT('',(31.498784,19.9529,0.035)); #525468=CARTESIAN_POINT('',(31.498784,19.9529,0.)); #525469=CARTESIAN_POINT('Origin',(31.528597,19.947341,0.)); #525470=CARTESIAN_POINT('',(31.528597,19.947341,0.)); #525471=CARTESIAN_POINT('',(31.528597,19.947341,0.)); #525472=CARTESIAN_POINT('',(31.528597,19.947341,0.035)); #525473=CARTESIAN_POINT('',(31.528597,19.947341,0.035)); #525474=CARTESIAN_POINT('',(31.528597,19.947341,0.)); #525475=CARTESIAN_POINT('Origin',(31.547075,19.9351,0.)); #525476=CARTESIAN_POINT('',(31.547075,19.9351,0.)); #525477=CARTESIAN_POINT('',(31.547075,19.9351,0.)); #525478=CARTESIAN_POINT('',(31.547075,19.9351,0.035)); #525479=CARTESIAN_POINT('',(31.547075,19.9351,0.035)); #525480=CARTESIAN_POINT('',(31.547075,19.9351,0.)); #525481=CARTESIAN_POINT('Origin',(31.952697,19.9351,0.)); #525482=CARTESIAN_POINT('',(31.952697,19.9351,0.)); #525483=CARTESIAN_POINT('',(31.952697,19.9351,0.)); #525484=CARTESIAN_POINT('',(31.952697,19.9351,0.035)); #525485=CARTESIAN_POINT('',(31.952697,19.9351,0.035)); #525486=CARTESIAN_POINT('',(31.952697,19.9351,0.)); #525487=CARTESIAN_POINT('Origin',(31.969166,19.946391,0.)); #525488=CARTESIAN_POINT('',(31.969166,19.946391,0.)); #525489=CARTESIAN_POINT('',(31.969166,19.946391,0.)); #525490=CARTESIAN_POINT('',(31.969166,19.946391,0.035)); #525491=CARTESIAN_POINT('',(31.969166,19.946391,0.035)); #525492=CARTESIAN_POINT('',(31.969166,19.946391,0.)); #525493=CARTESIAN_POINT('Origin',(31.998784,19.9529,0.)); #525494=CARTESIAN_POINT('',(31.998784,19.9529,0.)); #525495=CARTESIAN_POINT('',(31.998784,19.9529,0.)); #525496=CARTESIAN_POINT('',(31.998784,19.9529,0.035)); #525497=CARTESIAN_POINT('',(31.998784,19.9529,0.035)); #525498=CARTESIAN_POINT('',(31.998784,19.9529,0.)); #525499=CARTESIAN_POINT('Origin',(32.028597,19.947341,0.)); #525500=CARTESIAN_POINT('',(32.028597,19.947341,0.)); #525501=CARTESIAN_POINT('',(32.028597,19.947341,0.)); #525502=CARTESIAN_POINT('',(32.028597,19.947341,0.035)); #525503=CARTESIAN_POINT('',(32.028597,19.947341,0.035)); #525504=CARTESIAN_POINT('',(32.028597,19.947341,0.)); #525505=CARTESIAN_POINT('Origin',(32.047075,19.9351,0.)); #525506=CARTESIAN_POINT('',(32.047075,19.9351,0.)); #525507=CARTESIAN_POINT('',(32.047075,19.9351,0.)); #525508=CARTESIAN_POINT('',(32.047075,19.9351,0.035)); #525509=CARTESIAN_POINT('',(32.047075,19.9351,0.035)); #525510=CARTESIAN_POINT('',(32.047075,19.9351,0.)); #525511=CARTESIAN_POINT('Origin',(32.452697,19.9351,0.)); #525512=CARTESIAN_POINT('',(32.452697,19.9351,0.)); #525513=CARTESIAN_POINT('',(32.452697,19.9351,0.)); #525514=CARTESIAN_POINT('',(32.452697,19.9351,0.035)); #525515=CARTESIAN_POINT('',(32.452697,19.9351,0.035)); #525516=CARTESIAN_POINT('',(32.452697,19.9351,0.)); #525517=CARTESIAN_POINT('Origin',(32.469166,19.946391,0.)); #525518=CARTESIAN_POINT('',(32.469166,19.946391,0.)); #525519=CARTESIAN_POINT('',(32.469166,19.946391,0.)); #525520=CARTESIAN_POINT('',(32.469166,19.946391,0.035)); #525521=CARTESIAN_POINT('',(32.469166,19.946391,0.035)); #525522=CARTESIAN_POINT('',(32.469166,19.946391,0.)); #525523=CARTESIAN_POINT('Origin',(32.498784,19.9529,0.)); #525524=CARTESIAN_POINT('',(32.498784,19.9529,0.)); #525525=CARTESIAN_POINT('',(32.498784,19.9529,0.)); #525526=CARTESIAN_POINT('',(32.498784,19.9529,0.035)); #525527=CARTESIAN_POINT('',(32.498784,19.9529,0.035)); #525528=CARTESIAN_POINT('',(32.498784,19.9529,0.)); #525529=CARTESIAN_POINT('Origin',(32.528597,19.947341,0.)); #525530=CARTESIAN_POINT('',(32.528597,19.947341,0.)); #525531=CARTESIAN_POINT('',(32.528597,19.947341,0.)); #525532=CARTESIAN_POINT('',(32.528597,19.947341,0.035)); #525533=CARTESIAN_POINT('',(32.528597,19.947341,0.035)); #525534=CARTESIAN_POINT('',(32.528597,19.947341,0.)); #525535=CARTESIAN_POINT('Origin',(32.547075,19.9351,0.)); #525536=CARTESIAN_POINT('',(32.547075,19.9351,0.)); #525537=CARTESIAN_POINT('',(32.547075,19.9351,0.)); #525538=CARTESIAN_POINT('',(32.547075,19.9351,0.035)); #525539=CARTESIAN_POINT('',(32.547075,19.9351,0.035)); #525540=CARTESIAN_POINT('',(32.547075,19.9351,0.)); #525541=CARTESIAN_POINT('Origin',(32.952697,19.9351,0.)); #525542=CARTESIAN_POINT('',(32.952697,19.9351,0.)); #525543=CARTESIAN_POINT('',(32.952697,19.9351,0.)); #525544=CARTESIAN_POINT('',(32.952697,19.9351,0.035)); #525545=CARTESIAN_POINT('',(32.952697,19.9351,0.035)); #525546=CARTESIAN_POINT('',(32.952697,19.9351,0.)); #525547=CARTESIAN_POINT('Origin',(32.969166,19.946391,0.)); #525548=CARTESIAN_POINT('',(32.969166,19.946391,0.)); #525549=CARTESIAN_POINT('',(32.969166,19.946391,0.)); #525550=CARTESIAN_POINT('',(32.969166,19.946391,0.035)); #525551=CARTESIAN_POINT('',(32.969166,19.946391,0.035)); #525552=CARTESIAN_POINT('',(32.969166,19.946391,0.)); #525553=CARTESIAN_POINT('Origin',(32.998784,19.9529,0.)); #525554=CARTESIAN_POINT('',(32.998784,19.9529,0.)); #525555=CARTESIAN_POINT('',(32.998784,19.9529,0.)); #525556=CARTESIAN_POINT('',(32.998784,19.9529,0.035)); #525557=CARTESIAN_POINT('',(32.998784,19.9529,0.035)); #525558=CARTESIAN_POINT('',(32.998784,19.9529,0.)); #525559=CARTESIAN_POINT('Origin',(33.028597,19.947341,0.)); #525560=CARTESIAN_POINT('',(33.028597,19.947341,0.)); #525561=CARTESIAN_POINT('',(33.028597,19.947341,0.)); #525562=CARTESIAN_POINT('',(33.028597,19.947341,0.035)); #525563=CARTESIAN_POINT('',(33.028597,19.947341,0.035)); #525564=CARTESIAN_POINT('',(33.028597,19.947341,0.)); #525565=CARTESIAN_POINT('Origin',(33.047075,19.9351,0.)); #525566=CARTESIAN_POINT('',(33.047075,19.9351,0.)); #525567=CARTESIAN_POINT('',(33.047075,19.9351,0.)); #525568=CARTESIAN_POINT('',(33.047075,19.9351,0.035)); #525569=CARTESIAN_POINT('',(33.047075,19.9351,0.035)); #525570=CARTESIAN_POINT('',(33.047075,19.9351,0.)); #525571=CARTESIAN_POINT('Origin',(33.452697,19.9351,0.)); #525572=CARTESIAN_POINT('',(33.452697,19.9351,0.)); #525573=CARTESIAN_POINT('',(33.452697,19.9351,0.)); #525574=CARTESIAN_POINT('',(33.452697,19.9351,0.035)); #525575=CARTESIAN_POINT('',(33.452697,19.9351,0.035)); #525576=CARTESIAN_POINT('',(33.452697,19.9351,0.)); #525577=CARTESIAN_POINT('Origin',(33.469166,19.946391,0.)); #525578=CARTESIAN_POINT('',(33.469166,19.946391,0.)); #525579=CARTESIAN_POINT('',(33.469166,19.946391,0.)); #525580=CARTESIAN_POINT('',(33.469166,19.946391,0.035)); #525581=CARTESIAN_POINT('',(33.469166,19.946391,0.035)); #525582=CARTESIAN_POINT('',(33.469166,19.946391,0.)); #525583=CARTESIAN_POINT('Origin',(33.498784,19.9529,0.)); #525584=CARTESIAN_POINT('',(33.498784,19.9529,0.)); #525585=CARTESIAN_POINT('',(33.498784,19.9529,0.)); #525586=CARTESIAN_POINT('',(33.498784,19.9529,0.035)); #525587=CARTESIAN_POINT('',(33.498784,19.9529,0.035)); #525588=CARTESIAN_POINT('',(33.498784,19.9529,0.)); #525589=CARTESIAN_POINT('Origin',(33.528597,19.947341,0.)); #525590=CARTESIAN_POINT('',(33.528597,19.947341,0.)); #525591=CARTESIAN_POINT('',(33.528597,19.947341,0.)); #525592=CARTESIAN_POINT('',(33.528597,19.947341,0.035)); #525593=CARTESIAN_POINT('',(33.528597,19.947341,0.035)); #525594=CARTESIAN_POINT('',(33.528597,19.947341,0.)); #525595=CARTESIAN_POINT('Origin',(33.547075,19.9351,0.)); #525596=CARTESIAN_POINT('',(33.547075,19.9351,0.)); #525597=CARTESIAN_POINT('',(33.547075,19.9351,0.)); #525598=CARTESIAN_POINT('',(33.547075,19.9351,0.035)); #525599=CARTESIAN_POINT('',(33.547075,19.9351,0.035)); #525600=CARTESIAN_POINT('',(33.547075,19.9351,0.)); #525601=CARTESIAN_POINT('Origin',(33.952697,19.9351,0.)); #525602=CARTESIAN_POINT('',(33.952697,19.9351,0.)); #525603=CARTESIAN_POINT('',(33.952697,19.9351,0.)); #525604=CARTESIAN_POINT('',(33.952697,19.9351,0.035)); #525605=CARTESIAN_POINT('',(33.952697,19.9351,0.035)); #525606=CARTESIAN_POINT('',(33.952697,19.9351,0.)); #525607=CARTESIAN_POINT('Origin',(33.969166,19.946391,0.)); #525608=CARTESIAN_POINT('',(33.969166,19.946391,0.)); #525609=CARTESIAN_POINT('',(33.969166,19.946391,0.)); #525610=CARTESIAN_POINT('',(33.969166,19.946391,0.035)); #525611=CARTESIAN_POINT('',(33.969166,19.946391,0.035)); #525612=CARTESIAN_POINT('',(33.969166,19.946391,0.)); #525613=CARTESIAN_POINT('Origin',(33.998784,19.9529,0.)); #525614=CARTESIAN_POINT('',(33.998784,19.9529,0.)); #525615=CARTESIAN_POINT('',(33.998784,19.9529,0.)); #525616=CARTESIAN_POINT('',(33.998784,19.9529,0.035)); #525617=CARTESIAN_POINT('',(33.998784,19.9529,0.035)); #525618=CARTESIAN_POINT('',(33.998784,19.9529,0.)); #525619=CARTESIAN_POINT('Origin',(34.028597,19.947341,0.)); #525620=CARTESIAN_POINT('',(34.028597,19.947341,0.)); #525621=CARTESIAN_POINT('',(34.028597,19.947341,0.)); #525622=CARTESIAN_POINT('',(34.028597,19.947341,0.035)); #525623=CARTESIAN_POINT('',(34.028597,19.947341,0.035)); #525624=CARTESIAN_POINT('',(34.028597,19.947341,0.)); #525625=CARTESIAN_POINT('Origin',(34.047075,19.9351,0.)); #525626=CARTESIAN_POINT('',(34.047075,19.9351,0.)); #525627=CARTESIAN_POINT('',(34.047075,19.9351,0.)); #525628=CARTESIAN_POINT('',(34.047075,19.9351,0.035)); #525629=CARTESIAN_POINT('',(34.047075,19.9351,0.035)); #525630=CARTESIAN_POINT('',(34.047075,19.9351,0.)); #525631=CARTESIAN_POINT('Origin',(34.452697,19.9351,0.)); #525632=CARTESIAN_POINT('',(34.452697,19.9351,0.)); #525633=CARTESIAN_POINT('',(34.452697,19.9351,0.)); #525634=CARTESIAN_POINT('',(34.452697,19.9351,0.035)); #525635=CARTESIAN_POINT('',(34.452697,19.9351,0.035)); #525636=CARTESIAN_POINT('',(34.452697,19.9351,0.)); #525637=CARTESIAN_POINT('Origin',(34.469166,19.946391,0.)); #525638=CARTESIAN_POINT('',(34.469166,19.946391,0.)); #525639=CARTESIAN_POINT('',(34.469166,19.946391,0.)); #525640=CARTESIAN_POINT('',(34.469166,19.946391,0.035)); #525641=CARTESIAN_POINT('',(34.469166,19.946391,0.035)); #525642=CARTESIAN_POINT('',(34.469166,19.946391,0.)); #525643=CARTESIAN_POINT('Origin',(34.498784,19.9529,0.)); #525644=CARTESIAN_POINT('',(34.498784,19.9529,0.)); #525645=CARTESIAN_POINT('',(34.498784,19.9529,0.)); #525646=CARTESIAN_POINT('',(34.498784,19.9529,0.035)); #525647=CARTESIAN_POINT('',(34.498784,19.9529,0.035)); #525648=CARTESIAN_POINT('',(34.498784,19.9529,0.)); #525649=CARTESIAN_POINT('Origin',(34.528597,19.947341,0.)); #525650=CARTESIAN_POINT('',(34.528597,19.947341,0.)); #525651=CARTESIAN_POINT('',(34.528597,19.947341,0.)); #525652=CARTESIAN_POINT('',(34.528597,19.947341,0.035)); #525653=CARTESIAN_POINT('',(34.528597,19.947341,0.035)); #525654=CARTESIAN_POINT('',(34.528597,19.947341,0.)); #525655=CARTESIAN_POINT('Origin',(34.547075,19.9351,0.)); #525656=CARTESIAN_POINT('',(34.547075,19.9351,0.)); #525657=CARTESIAN_POINT('',(34.547075,19.9351,0.)); #525658=CARTESIAN_POINT('',(34.547075,19.9351,0.035)); #525659=CARTESIAN_POINT('',(34.547075,19.9351,0.035)); #525660=CARTESIAN_POINT('',(34.547075,19.9351,0.)); #525661=CARTESIAN_POINT('Origin',(34.952697,19.9351,0.)); #525662=CARTESIAN_POINT('',(34.952697,19.9351,0.)); #525663=CARTESIAN_POINT('',(34.952697,19.9351,0.)); #525664=CARTESIAN_POINT('',(34.952697,19.9351,0.035)); #525665=CARTESIAN_POINT('',(34.952697,19.9351,0.035)); #525666=CARTESIAN_POINT('',(34.952697,19.9351,0.)); #525667=CARTESIAN_POINT('Origin',(34.969166,19.946391,0.)); #525668=CARTESIAN_POINT('',(34.969166,19.946391,0.)); #525669=CARTESIAN_POINT('',(34.969166,19.946391,0.)); #525670=CARTESIAN_POINT('',(34.969166,19.946391,0.035)); #525671=CARTESIAN_POINT('',(34.969166,19.946391,0.035)); #525672=CARTESIAN_POINT('',(34.969166,19.946391,0.)); #525673=CARTESIAN_POINT('Origin',(34.998784,19.9529,0.)); #525674=CARTESIAN_POINT('',(34.998784,19.9529,0.)); #525675=CARTESIAN_POINT('',(34.998784,19.9529,0.)); #525676=CARTESIAN_POINT('',(34.998784,19.9529,0.035)); #525677=CARTESIAN_POINT('',(34.998784,19.9529,0.035)); #525678=CARTESIAN_POINT('',(34.998784,19.9529,0.)); #525679=CARTESIAN_POINT('Origin',(35.028597,19.947341,0.)); #525680=CARTESIAN_POINT('',(35.028597,19.947341,0.)); #525681=CARTESIAN_POINT('',(35.028597,19.947341,0.)); #525682=CARTESIAN_POINT('',(35.028597,19.947341,0.035)); #525683=CARTESIAN_POINT('',(35.028597,19.947341,0.035)); #525684=CARTESIAN_POINT('',(35.028597,19.947341,0.)); #525685=CARTESIAN_POINT('Origin',(35.047075,19.9351,0.)); #525686=CARTESIAN_POINT('',(35.047075,19.9351,0.)); #525687=CARTESIAN_POINT('',(35.047075,19.9351,0.)); #525688=CARTESIAN_POINT('',(35.047075,19.9351,0.035)); #525689=CARTESIAN_POINT('',(35.047075,19.9351,0.035)); #525690=CARTESIAN_POINT('',(35.047075,19.9351,0.)); #525691=CARTESIAN_POINT('Origin',(35.452697,19.9351,0.)); #525692=CARTESIAN_POINT('',(35.452697,19.9351,0.)); #525693=CARTESIAN_POINT('',(35.452697,19.9351,0.)); #525694=CARTESIAN_POINT('',(35.452697,19.9351,0.035)); #525695=CARTESIAN_POINT('',(35.452697,19.9351,0.035)); #525696=CARTESIAN_POINT('',(35.452697,19.9351,0.)); #525697=CARTESIAN_POINT('Origin',(35.469166,19.946391,0.)); #525698=CARTESIAN_POINT('',(35.469166,19.946391,0.)); #525699=CARTESIAN_POINT('',(35.469166,19.946391,0.)); #525700=CARTESIAN_POINT('',(35.469166,19.946391,0.035)); #525701=CARTESIAN_POINT('',(35.469166,19.946391,0.035)); #525702=CARTESIAN_POINT('',(35.469166,19.946391,0.)); #525703=CARTESIAN_POINT('Origin',(35.498784,19.9529,0.)); #525704=CARTESIAN_POINT('',(35.498784,19.9529,0.)); #525705=CARTESIAN_POINT('',(35.498784,19.9529,0.)); #525706=CARTESIAN_POINT('',(35.498784,19.9529,0.035)); #525707=CARTESIAN_POINT('',(35.498784,19.9529,0.035)); #525708=CARTESIAN_POINT('',(35.498784,19.9529,0.)); #525709=CARTESIAN_POINT('Origin',(35.528597,19.947341,0.)); #525710=CARTESIAN_POINT('',(35.528597,19.947341,0.)); #525711=CARTESIAN_POINT('',(35.528597,19.947341,0.)); #525712=CARTESIAN_POINT('',(35.528597,19.947341,0.035)); #525713=CARTESIAN_POINT('',(35.528597,19.947341,0.035)); #525714=CARTESIAN_POINT('',(35.528597,19.947341,0.)); #525715=CARTESIAN_POINT('Origin',(35.547075,19.9351,0.)); #525716=CARTESIAN_POINT('',(35.547075,19.9351,0.)); #525717=CARTESIAN_POINT('',(35.547075,19.9351,0.)); #525718=CARTESIAN_POINT('',(35.547075,19.9351,0.035)); #525719=CARTESIAN_POINT('',(35.547075,19.9351,0.035)); #525720=CARTESIAN_POINT('',(35.547075,19.9351,0.)); #525721=CARTESIAN_POINT('Origin',(35.952697,19.9351,0.)); #525722=CARTESIAN_POINT('',(35.952697,19.9351,0.)); #525723=CARTESIAN_POINT('',(35.952697,19.9351,0.)); #525724=CARTESIAN_POINT('',(35.952697,19.9351,0.035)); #525725=CARTESIAN_POINT('',(35.952697,19.9351,0.035)); #525726=CARTESIAN_POINT('',(35.952697,19.9351,0.)); #525727=CARTESIAN_POINT('Origin',(35.969166,19.946391,0.)); #525728=CARTESIAN_POINT('',(35.969166,19.946391,0.)); #525729=CARTESIAN_POINT('',(35.969166,19.946391,0.)); #525730=CARTESIAN_POINT('',(35.969166,19.946391,0.035)); #525731=CARTESIAN_POINT('',(35.969166,19.946391,0.035)); #525732=CARTESIAN_POINT('',(35.969166,19.946391,0.)); #525733=CARTESIAN_POINT('Origin',(35.998784,19.9529,0.)); #525734=CARTESIAN_POINT('',(35.998784,19.9529,0.)); #525735=CARTESIAN_POINT('',(35.998784,19.9529,0.)); #525736=CARTESIAN_POINT('',(35.998784,19.9529,0.035)); #525737=CARTESIAN_POINT('',(35.998784,19.9529,0.035)); #525738=CARTESIAN_POINT('',(35.998784,19.9529,0.)); #525739=CARTESIAN_POINT('Origin',(36.028597,19.947341,0.)); #525740=CARTESIAN_POINT('',(36.028597,19.947341,0.)); #525741=CARTESIAN_POINT('',(36.028597,19.947341,0.)); #525742=CARTESIAN_POINT('',(36.028597,19.947341,0.035)); #525743=CARTESIAN_POINT('',(36.028597,19.947341,0.035)); #525744=CARTESIAN_POINT('',(36.028597,19.947341,0.)); #525745=CARTESIAN_POINT('Origin',(36.047075,19.9351,0.)); #525746=CARTESIAN_POINT('',(36.047075,19.9351,0.)); #525747=CARTESIAN_POINT('',(36.047075,19.9351,0.)); #525748=CARTESIAN_POINT('',(36.047075,19.9351,0.035)); #525749=CARTESIAN_POINT('',(36.047075,19.9351,0.035)); #525750=CARTESIAN_POINT('',(36.047075,19.9351,0.)); #525751=CARTESIAN_POINT('Origin',(36.452697,19.9351,0.)); #525752=CARTESIAN_POINT('',(36.452697,19.9351,0.)); #525753=CARTESIAN_POINT('',(36.452697,19.9351,0.)); #525754=CARTESIAN_POINT('',(36.452697,19.9351,0.035)); #525755=CARTESIAN_POINT('',(36.452697,19.9351,0.035)); #525756=CARTESIAN_POINT('',(36.452697,19.9351,0.)); #525757=CARTESIAN_POINT('Origin',(36.469166,19.946391,0.)); #525758=CARTESIAN_POINT('',(36.469166,19.946391,0.)); #525759=CARTESIAN_POINT('',(36.469166,19.946391,0.)); #525760=CARTESIAN_POINT('',(36.469166,19.946391,0.035)); #525761=CARTESIAN_POINT('',(36.469166,19.946391,0.035)); #525762=CARTESIAN_POINT('',(36.469166,19.946391,0.)); #525763=CARTESIAN_POINT('Origin',(36.498784,19.9529,0.)); #525764=CARTESIAN_POINT('',(36.498784,19.9529,0.)); #525765=CARTESIAN_POINT('',(36.498784,19.9529,0.)); #525766=CARTESIAN_POINT('',(36.498784,19.9529,0.035)); #525767=CARTESIAN_POINT('',(36.498784,19.9529,0.035)); #525768=CARTESIAN_POINT('',(36.498784,19.9529,0.)); #525769=CARTESIAN_POINT('Origin',(36.528597,19.947341,0.)); #525770=CARTESIAN_POINT('',(36.528597,19.947341,0.)); #525771=CARTESIAN_POINT('',(36.528597,19.947341,0.)); #525772=CARTESIAN_POINT('',(36.528597,19.947341,0.035)); #525773=CARTESIAN_POINT('',(36.528597,19.947341,0.035)); #525774=CARTESIAN_POINT('',(36.528597,19.947341,0.)); #525775=CARTESIAN_POINT('Origin',(36.547075,19.9351,0.)); #525776=CARTESIAN_POINT('',(36.547075,19.9351,0.)); #525777=CARTESIAN_POINT('',(36.547075,19.9351,0.)); #525778=CARTESIAN_POINT('',(36.547075,19.9351,0.035)); #525779=CARTESIAN_POINT('',(36.547075,19.9351,0.035)); #525780=CARTESIAN_POINT('',(36.547075,19.9351,0.)); #525781=CARTESIAN_POINT('Origin',(36.8589,19.9351,0.)); #525782=CARTESIAN_POINT('',(36.8589,19.9351,0.)); #525783=CARTESIAN_POINT('',(36.8589,19.9351,0.)); #525784=CARTESIAN_POINT('',(36.8589,19.9351,0.035)); #525785=CARTESIAN_POINT('',(36.8589,19.9351,0.035)); #525786=CARTESIAN_POINT('',(36.8589,19.9351,0.)); #525787=CARTESIAN_POINT('Origin',(36.886369,19.929978,0.)); #525788=CARTESIAN_POINT('',(36.886369,19.929978,0.)); #525789=CARTESIAN_POINT('',(36.886369,19.929978,0.)); #525790=CARTESIAN_POINT('',(36.886369,19.929978,0.035)); #525791=CARTESIAN_POINT('',(36.886369,19.929978,0.035)); #525792=CARTESIAN_POINT('',(36.886369,19.929978,0.)); #525793=CARTESIAN_POINT('Origin',(36.911916,19.913634,0.)); #525794=CARTESIAN_POINT('',(36.911916,19.913634,0.)); #525795=CARTESIAN_POINT('',(36.911916,19.913634,0.)); #525796=CARTESIAN_POINT('',(36.911916,19.913634,0.035)); #525797=CARTESIAN_POINT('',(36.911916,19.913634,0.035)); #525798=CARTESIAN_POINT('',(36.911916,19.913634,0.)); #525799=CARTESIAN_POINT('Origin',(36.929066,19.888622,0.)); #525800=CARTESIAN_POINT('',(36.929066,19.888622,0.)); #525801=CARTESIAN_POINT('',(36.929066,19.888622,0.)); #525802=CARTESIAN_POINT('',(36.929066,19.888622,0.035)); #525803=CARTESIAN_POINT('',(36.929066,19.888622,0.035)); #525804=CARTESIAN_POINT('',(36.929066,19.888622,0.)); #525805=CARTESIAN_POINT('Origin',(36.9351,19.8589,0.)); #525806=CARTESIAN_POINT('',(36.9351,19.8589,0.)); #525807=CARTESIAN_POINT('',(36.9351,19.8589,0.)); #525808=CARTESIAN_POINT('',(36.9351,19.8589,0.035)); #525809=CARTESIAN_POINT('',(36.9351,19.8589,0.035)); #525810=CARTESIAN_POINT('',(36.9351,19.8589,0.)); #525811=CARTESIAN_POINT('Origin',(36.9351,19.549372,0.)); #525812=CARTESIAN_POINT('',(36.9351,19.549372,0.)); #525813=CARTESIAN_POINT('',(36.9351,19.549372,0.)); #525814=CARTESIAN_POINT('',(36.9351,19.549372,0.035)); #525815=CARTESIAN_POINT('',(36.9351,19.549372,0.035)); #525816=CARTESIAN_POINT('',(36.9351,19.549372,0.)); #525817=CARTESIAN_POINT('Origin',(37.024372,19.4601,0.)); #525818=CARTESIAN_POINT('',(37.024372,19.4601,0.)); #525819=CARTESIAN_POINT('',(37.024372,19.4601,0.)); #525820=CARTESIAN_POINT('',(37.024372,19.4601,0.035)); #525821=CARTESIAN_POINT('',(37.024372,19.4601,0.035)); #525822=CARTESIAN_POINT('',(37.024372,19.4601,0.)); #525823=CARTESIAN_POINT('Origin',(37.775628,19.4601,0.)); #525824=CARTESIAN_POINT('',(37.775628,19.4601,0.)); #525825=CARTESIAN_POINT('',(37.775628,19.4601,0.)); #525826=CARTESIAN_POINT('',(37.775628,19.4601,0.035)); #525827=CARTESIAN_POINT('',(37.775628,19.4601,0.035)); #525828=CARTESIAN_POINT('',(37.775628,19.4601,0.)); #525829=CARTESIAN_POINT('Origin',(37.814609,19.499081,0.)); #525830=CARTESIAN_POINT('',(37.814609,19.499081,0.)); #525831=CARTESIAN_POINT('',(37.814609,19.499081,0.)); #525832=CARTESIAN_POINT('',(37.814609,19.499081,0.035)); #525833=CARTESIAN_POINT('',(37.814609,19.499081,0.035)); #525834=CARTESIAN_POINT('',(37.814609,19.499081,0.)); #525835=CARTESIAN_POINT('Origin',(37.838769,19.515366,0.)); #525836=CARTESIAN_POINT('',(37.838769,19.515366,0.)); #525837=CARTESIAN_POINT('',(37.838769,19.515366,0.)); #525838=CARTESIAN_POINT('',(37.838769,19.515366,0.035)); #525839=CARTESIAN_POINT('',(37.838769,19.515366,0.035)); #525840=CARTESIAN_POINT('',(37.838769,19.515366,0.)); #525841=CARTESIAN_POINT('Origin',(37.868491,19.5214,0.)); #525842=CARTESIAN_POINT('',(37.868491,19.5214,0.)); #525843=CARTESIAN_POINT('',(37.868491,19.5214,0.)); #525844=CARTESIAN_POINT('',(37.868491,19.5214,0.035)); #525845=CARTESIAN_POINT('',(37.868491,19.5214,0.035)); #525846=CARTESIAN_POINT('',(37.868491,19.5214,0.)); #525847=CARTESIAN_POINT('Origin',(38.983003,19.5214,0.)); #525848=CARTESIAN_POINT('',(38.983003,19.5214,0.)); #525849=CARTESIAN_POINT('',(38.983003,19.5214,0.)); #525850=CARTESIAN_POINT('',(38.983003,19.5214,0.035)); #525851=CARTESIAN_POINT('',(38.983003,19.5214,0.035)); #525852=CARTESIAN_POINT('',(38.983003,19.5214,0.)); #525853=CARTESIAN_POINT('Origin',(39.009334,19.516706,0.)); #525854=CARTESIAN_POINT('',(39.009334,19.516706,0.)); #525855=CARTESIAN_POINT('',(39.009334,19.516706,0.)); #525856=CARTESIAN_POINT('',(39.009334,19.516706,0.035)); #525857=CARTESIAN_POINT('',(39.009334,19.516706,0.035)); #525858=CARTESIAN_POINT('',(39.009334,19.516706,0.)); #525859=CARTESIAN_POINT('Origin',(39.035141,19.500772,0.)); #525860=CARTESIAN_POINT('',(39.035141,19.500772,0.)); #525861=CARTESIAN_POINT('',(39.035141,19.500772,0.)); #525862=CARTESIAN_POINT('',(39.035141,19.500772,0.035)); #525863=CARTESIAN_POINT('',(39.035141,19.500772,0.035)); #525864=CARTESIAN_POINT('',(39.035141,19.500772,0.)); #525865=CARTESIAN_POINT('Origin',(39.052684,19.476034,0.)); #525866=CARTESIAN_POINT('',(39.052684,19.476034,0.)); #525867=CARTESIAN_POINT('',(39.052684,19.476034,0.)); #525868=CARTESIAN_POINT('',(39.052684,19.476034,0.035)); #525869=CARTESIAN_POINT('',(39.052684,19.476034,0.035)); #525870=CARTESIAN_POINT('',(39.052684,19.476034,0.)); #525871=CARTESIAN_POINT('Origin',(39.059194,19.446416,0.)); #525872=CARTESIAN_POINT('',(39.059194,19.446416,0.)); #525873=CARTESIAN_POINT('',(39.059194,19.446416,0.)); #525874=CARTESIAN_POINT('',(39.059194,19.446416,0.035)); #525875=CARTESIAN_POINT('',(39.059194,19.446416,0.035)); #525876=CARTESIAN_POINT('',(39.059194,19.446416,0.)); #525877=CARTESIAN_POINT('Origin',(39.053634,19.416603,0.)); #525878=CARTESIAN_POINT('',(39.053634,19.416603,0.)); #525879=CARTESIAN_POINT('',(39.053634,19.416603,0.)); #525880=CARTESIAN_POINT('',(39.053634,19.416603,0.035)); #525881=CARTESIAN_POINT('',(39.053634,19.416603,0.035)); #525882=CARTESIAN_POINT('',(39.053634,19.416603,0.)); #525883=CARTESIAN_POINT('Origin',(39.036884,19.391319,0.)); #525884=CARTESIAN_POINT('',(39.036884,19.391319,0.)); #525885=CARTESIAN_POINT('',(39.036884,19.391319,0.)); #525886=CARTESIAN_POINT('',(39.036884,19.391319,0.035)); #525887=CARTESIAN_POINT('',(39.036884,19.391319,0.035)); #525888=CARTESIAN_POINT('',(39.036884,19.391319,0.)); #525889=CARTESIAN_POINT('Origin',(38.896484,19.250919,0.)); #525890=CARTESIAN_POINT('',(38.896484,19.250919,0.)); #525891=CARTESIAN_POINT('',(38.896484,19.250919,0.)); #525892=CARTESIAN_POINT('',(38.896484,19.250919,0.035)); #525893=CARTESIAN_POINT('',(38.896484,19.250919,0.035)); #525894=CARTESIAN_POINT('',(38.896484,19.250919,0.)); #525895=CARTESIAN_POINT('Origin',(38.872325,19.234634,0.)); #525896=CARTESIAN_POINT('',(38.872325,19.234634,0.)); #525897=CARTESIAN_POINT('',(38.872325,19.234634,0.)); #525898=CARTESIAN_POINT('',(38.872325,19.234634,0.035)); #525899=CARTESIAN_POINT('',(38.872325,19.234634,0.035)); #525900=CARTESIAN_POINT('',(38.872325,19.234634,0.)); #525901=CARTESIAN_POINT('Origin',(38.842603,19.2286,0.)); #525902=CARTESIAN_POINT('',(38.842603,19.2286,0.)); #525903=CARTESIAN_POINT('',(38.842603,19.2286,0.)); #525904=CARTESIAN_POINT('',(38.842603,19.2286,0.035)); #525905=CARTESIAN_POINT('',(38.842603,19.2286,0.035)); #525906=CARTESIAN_POINT('',(38.842603,19.2286,0.)); #525907=CARTESIAN_POINT('Origin',(38.405309,19.2286,0.)); #525908=CARTESIAN_POINT('',(38.405309,19.2286,0.)); #525909=CARTESIAN_POINT('',(38.405309,19.2286,0.)); #525910=CARTESIAN_POINT('',(38.405309,19.2286,0.035)); #525911=CARTESIAN_POINT('',(38.405309,19.2286,0.035)); #525912=CARTESIAN_POINT('',(38.405309,19.2286,0.)); #525913=CARTESIAN_POINT('Origin',(38.177628,19.000919,0.)); #525914=CARTESIAN_POINT('',(38.177628,19.000919,0.)); #525915=CARTESIAN_POINT('',(38.177628,19.000919,0.)); #525916=CARTESIAN_POINT('',(38.177628,19.000919,0.035)); #525917=CARTESIAN_POINT('',(38.177628,19.000919,0.035)); #525918=CARTESIAN_POINT('',(38.177628,19.000919,0.)); #525919=CARTESIAN_POINT('Origin',(38.153469,18.984634,0.)); #525920=CARTESIAN_POINT('',(38.153469,18.984634,0.)); #525921=CARTESIAN_POINT('',(38.153469,18.984634,0.)); #525922=CARTESIAN_POINT('',(38.153469,18.984634,0.035)); #525923=CARTESIAN_POINT('',(38.153469,18.984634,0.035)); #525924=CARTESIAN_POINT('',(38.153469,18.984634,0.)); #525925=CARTESIAN_POINT('Origin',(38.123747,18.9786,0.)); #525926=CARTESIAN_POINT('',(38.123747,18.9786,0.)); #525927=CARTESIAN_POINT('',(38.123747,18.9786,0.)); #525928=CARTESIAN_POINT('',(38.123747,18.9786,0.035)); #525929=CARTESIAN_POINT('',(38.123747,18.9786,0.035)); #525930=CARTESIAN_POINT('',(38.123747,18.9786,0.)); #525931=CARTESIAN_POINT('Origin',(37.868491,18.9786,0.)); #525932=CARTESIAN_POINT('',(37.868491,18.9786,0.)); #525933=CARTESIAN_POINT('',(37.868491,18.9786,0.)); #525934=CARTESIAN_POINT('',(37.868491,18.9786,0.035)); #525935=CARTESIAN_POINT('',(37.868491,18.9786,0.035)); #525936=CARTESIAN_POINT('',(37.868491,18.9786,0.)); #525937=CARTESIAN_POINT('Origin',(37.839894,18.984169,0.)); #525938=CARTESIAN_POINT('',(37.839894,18.984169,0.)); #525939=CARTESIAN_POINT('',(37.839894,18.984169,0.)); #525940=CARTESIAN_POINT('',(37.839894,18.984169,0.035)); #525941=CARTESIAN_POINT('',(37.839894,18.984169,0.035)); #525942=CARTESIAN_POINT('',(37.839894,18.984169,0.)); #525943=CARTESIAN_POINT('Origin',(37.814609,19.000919,0.)); #525944=CARTESIAN_POINT('',(37.814609,19.000919,0.)); #525945=CARTESIAN_POINT('',(37.814609,19.000919,0.)); #525946=CARTESIAN_POINT('',(37.814609,19.000919,0.035)); #525947=CARTESIAN_POINT('',(37.814609,19.000919,0.035)); #525948=CARTESIAN_POINT('',(37.814609,19.000919,0.)); #525949=CARTESIAN_POINT('Origin',(37.775628,19.0399,0.)); #525950=CARTESIAN_POINT('',(37.775628,19.0399,0.)); #525951=CARTESIAN_POINT('',(37.775628,19.0399,0.)); #525952=CARTESIAN_POINT('',(37.775628,19.0399,0.035)); #525953=CARTESIAN_POINT('',(37.775628,19.0399,0.035)); #525954=CARTESIAN_POINT('',(37.775628,19.0399,0.)); #525955=CARTESIAN_POINT('Origin',(37.024372,19.0399,0.)); #525956=CARTESIAN_POINT('',(37.024372,19.0399,0.)); #525957=CARTESIAN_POINT('',(37.024372,19.0399,0.)); #525958=CARTESIAN_POINT('',(37.024372,19.0399,0.035)); #525959=CARTESIAN_POINT('',(37.024372,19.0399,0.035)); #525960=CARTESIAN_POINT('',(37.024372,19.0399,0.)); #525961=CARTESIAN_POINT('Origin',(36.9351,18.950628,0.)); #525962=CARTESIAN_POINT('',(36.9351,18.950628,0.)); #525963=CARTESIAN_POINT('',(36.9351,18.950628,0.)); #525964=CARTESIAN_POINT('',(36.9351,18.950628,0.035)); #525965=CARTESIAN_POINT('',(36.9351,18.950628,0.035)); #525966=CARTESIAN_POINT('',(36.9351,18.950628,0.)); #525967=CARTESIAN_POINT('Origin',(36.9351,18.547303,0.)); #525968=CARTESIAN_POINT('',(36.9351,18.547303,0.)); #525969=CARTESIAN_POINT('',(36.9351,18.547303,0.)); #525970=CARTESIAN_POINT('',(36.9351,18.547303,0.035)); #525971=CARTESIAN_POINT('',(36.9351,18.547303,0.035)); #525972=CARTESIAN_POINT('',(36.9351,18.547303,0.)); #525973=CARTESIAN_POINT('Origin',(36.946391,18.530834,0.)); #525974=CARTESIAN_POINT('',(36.946391,18.530834,0.)); #525975=CARTESIAN_POINT('',(36.946391,18.530834,0.)); #525976=CARTESIAN_POINT('',(36.946391,18.530834,0.035)); #525977=CARTESIAN_POINT('',(36.946391,18.530834,0.035)); #525978=CARTESIAN_POINT('',(36.946391,18.530834,0.)); #525979=CARTESIAN_POINT('Origin',(36.9529,18.501216,0.)); #525980=CARTESIAN_POINT('',(36.9529,18.501216,0.)); #525981=CARTESIAN_POINT('',(36.9529,18.501216,0.)); #525982=CARTESIAN_POINT('',(36.9529,18.501216,0.035)); #525983=CARTESIAN_POINT('',(36.9529,18.501216,0.035)); #525984=CARTESIAN_POINT('',(36.9529,18.501216,0.)); #525985=CARTESIAN_POINT('Origin',(36.947341,18.471403,0.)); #525986=CARTESIAN_POINT('',(36.947341,18.471403,0.)); #525987=CARTESIAN_POINT('',(36.947341,18.471403,0.)); #525988=CARTESIAN_POINT('',(36.947341,18.471403,0.035)); #525989=CARTESIAN_POINT('',(36.947341,18.471403,0.035)); #525990=CARTESIAN_POINT('',(36.947341,18.471403,0.)); #525991=CARTESIAN_POINT('Origin',(36.9351,18.452925,0.)); #525992=CARTESIAN_POINT('',(36.9351,18.452925,0.)); #525993=CARTESIAN_POINT('',(36.9351,18.452925,0.)); #525994=CARTESIAN_POINT('',(36.9351,18.452925,0.035)); #525995=CARTESIAN_POINT('',(36.9351,18.452925,0.035)); #525996=CARTESIAN_POINT('',(36.9351,18.452925,0.)); #525997=CARTESIAN_POINT('Origin',(36.9351,18.047303,0.)); #525998=CARTESIAN_POINT('',(36.9351,18.047303,0.)); #525999=CARTESIAN_POINT('',(36.9351,18.047303,0.)); #526000=CARTESIAN_POINT('',(36.9351,18.047303,0.035)); #526001=CARTESIAN_POINT('',(36.9351,18.047303,0.035)); #526002=CARTESIAN_POINT('',(36.9351,18.047303,0.)); #526003=CARTESIAN_POINT('Origin',(36.946391,18.030834,0.)); #526004=CARTESIAN_POINT('',(36.946391,18.030834,0.)); #526005=CARTESIAN_POINT('',(36.946391,18.030834,0.)); #526006=CARTESIAN_POINT('',(36.946391,18.030834,0.035)); #526007=CARTESIAN_POINT('',(36.946391,18.030834,0.035)); #526008=CARTESIAN_POINT('',(36.946391,18.030834,0.)); #526009=CARTESIAN_POINT('Origin',(36.9529,18.001216,0.)); #526010=CARTESIAN_POINT('',(36.9529,18.001216,0.)); #526011=CARTESIAN_POINT('',(36.9529,18.001216,0.)); #526012=CARTESIAN_POINT('',(36.9529,18.001216,0.035)); #526013=CARTESIAN_POINT('',(36.9529,18.001216,0.035)); #526014=CARTESIAN_POINT('',(36.9529,18.001216,0.)); #526015=CARTESIAN_POINT('Origin',(36.947341,17.971403,0.)); #526016=CARTESIAN_POINT('',(36.947341,17.971403,0.)); #526017=CARTESIAN_POINT('',(36.947341,17.971403,0.)); #526018=CARTESIAN_POINT('',(36.947341,17.971403,0.035)); #526019=CARTESIAN_POINT('',(36.947341,17.971403,0.035)); #526020=CARTESIAN_POINT('',(36.947341,17.971403,0.)); #526021=CARTESIAN_POINT('Origin',(36.9351,17.952925,0.)); #526022=CARTESIAN_POINT('',(36.9351,17.952925,0.)); #526023=CARTESIAN_POINT('',(36.9351,17.952925,0.)); #526024=CARTESIAN_POINT('',(36.9351,17.952925,0.035)); #526025=CARTESIAN_POINT('',(36.9351,17.952925,0.035)); #526026=CARTESIAN_POINT('',(36.9351,17.952925,0.)); #526027=CARTESIAN_POINT('Origin',(36.9351,17.547303,0.)); #526028=CARTESIAN_POINT('',(36.9351,17.547303,0.)); #526029=CARTESIAN_POINT('',(36.9351,17.547303,0.)); #526030=CARTESIAN_POINT('',(36.9351,17.547303,0.035)); #526031=CARTESIAN_POINT('',(36.9351,17.547303,0.035)); #526032=CARTESIAN_POINT('',(36.9351,17.547303,0.)); #526033=CARTESIAN_POINT('Origin',(36.946391,17.530834,0.)); #526034=CARTESIAN_POINT('',(36.946391,17.530834,0.)); #526035=CARTESIAN_POINT('',(36.946391,17.530834,0.)); #526036=CARTESIAN_POINT('',(36.946391,17.530834,0.035)); #526037=CARTESIAN_POINT('',(36.946391,17.530834,0.035)); #526038=CARTESIAN_POINT('',(36.946391,17.530834,0.)); #526039=CARTESIAN_POINT('Origin',(36.9529,17.501216,0.)); #526040=CARTESIAN_POINT('',(36.9529,17.501216,0.)); #526041=CARTESIAN_POINT('',(36.9529,17.501216,0.)); #526042=CARTESIAN_POINT('',(36.9529,17.501216,0.035)); #526043=CARTESIAN_POINT('',(36.9529,17.501216,0.035)); #526044=CARTESIAN_POINT('',(36.9529,17.501216,0.)); #526045=CARTESIAN_POINT('Origin',(36.947341,17.471403,0.)); #526046=CARTESIAN_POINT('',(36.947341,17.471403,0.)); #526047=CARTESIAN_POINT('',(36.947341,17.471403,0.)); #526048=CARTESIAN_POINT('',(36.947341,17.471403,0.035)); #526049=CARTESIAN_POINT('',(36.947341,17.471403,0.035)); #526050=CARTESIAN_POINT('',(36.947341,17.471403,0.)); #526051=CARTESIAN_POINT('Origin',(36.9351,17.452925,0.)); #526052=CARTESIAN_POINT('',(36.9351,17.452925,0.)); #526053=CARTESIAN_POINT('',(36.9351,17.452925,0.)); #526054=CARTESIAN_POINT('',(36.9351,17.452925,0.035)); #526055=CARTESIAN_POINT('',(36.9351,17.452925,0.035)); #526056=CARTESIAN_POINT('',(36.9351,17.452925,0.)); #526057=CARTESIAN_POINT('Origin',(36.9351,17.047303,0.)); #526058=CARTESIAN_POINT('',(36.9351,17.047303,0.)); #526059=CARTESIAN_POINT('',(36.9351,17.047303,0.)); #526060=CARTESIAN_POINT('',(36.9351,17.047303,0.035)); #526061=CARTESIAN_POINT('',(36.9351,17.047303,0.035)); #526062=CARTESIAN_POINT('',(36.9351,17.047303,0.)); #526063=CARTESIAN_POINT('Origin',(36.946391,17.030834,0.)); #526064=CARTESIAN_POINT('',(36.946391,17.030834,0.)); #526065=CARTESIAN_POINT('',(36.946391,17.030834,0.)); #526066=CARTESIAN_POINT('',(36.946391,17.030834,0.035)); #526067=CARTESIAN_POINT('',(36.946391,17.030834,0.035)); #526068=CARTESIAN_POINT('',(36.946391,17.030834,0.)); #526069=CARTESIAN_POINT('Origin',(36.9529,17.001216,0.)); #526070=CARTESIAN_POINT('',(36.9529,17.001216,0.)); #526071=CARTESIAN_POINT('',(36.9529,17.001216,0.)); #526072=CARTESIAN_POINT('',(36.9529,17.001216,0.035)); #526073=CARTESIAN_POINT('',(36.9529,17.001216,0.035)); #526074=CARTESIAN_POINT('',(36.9529,17.001216,0.)); #526075=CARTESIAN_POINT('Origin',(36.947341,16.971403,0.)); #526076=CARTESIAN_POINT('',(36.947341,16.971403,0.)); #526077=CARTESIAN_POINT('',(36.947341,16.971403,0.)); #526078=CARTESIAN_POINT('',(36.947341,16.971403,0.035)); #526079=CARTESIAN_POINT('',(36.947341,16.971403,0.035)); #526080=CARTESIAN_POINT('',(36.947341,16.971403,0.)); #526081=CARTESIAN_POINT('Origin',(36.9351,16.952925,0.)); #526082=CARTESIAN_POINT('',(36.9351,16.952925,0.)); #526083=CARTESIAN_POINT('',(36.9351,16.952925,0.)); #526084=CARTESIAN_POINT('',(36.9351,16.952925,0.035)); #526085=CARTESIAN_POINT('',(36.9351,16.952925,0.035)); #526086=CARTESIAN_POINT('',(36.9351,16.952925,0.)); #526087=CARTESIAN_POINT('Origin',(36.9351,16.547303,0.)); #526088=CARTESIAN_POINT('',(36.9351,16.547303,0.)); #526089=CARTESIAN_POINT('',(36.9351,16.547303,0.)); #526090=CARTESIAN_POINT('',(36.9351,16.547303,0.035)); #526091=CARTESIAN_POINT('',(36.9351,16.547303,0.035)); #526092=CARTESIAN_POINT('',(36.9351,16.547303,0.)); #526093=CARTESIAN_POINT('Origin',(36.946391,16.530834,0.)); #526094=CARTESIAN_POINT('',(36.946391,16.530834,0.)); #526095=CARTESIAN_POINT('',(36.946391,16.530834,0.)); #526096=CARTESIAN_POINT('',(36.946391,16.530834,0.035)); #526097=CARTESIAN_POINT('',(36.946391,16.530834,0.035)); #526098=CARTESIAN_POINT('',(36.946391,16.530834,0.)); #526099=CARTESIAN_POINT('Origin',(36.9529,16.501216,0.)); #526100=CARTESIAN_POINT('',(36.9529,16.501216,0.)); #526101=CARTESIAN_POINT('',(36.9529,16.501216,0.)); #526102=CARTESIAN_POINT('',(36.9529,16.501216,0.035)); #526103=CARTESIAN_POINT('',(36.9529,16.501216,0.035)); #526104=CARTESIAN_POINT('',(36.9529,16.501216,0.)); #526105=CARTESIAN_POINT('Origin',(36.947341,16.471403,0.)); #526106=CARTESIAN_POINT('',(36.947341,16.471403,0.)); #526107=CARTESIAN_POINT('',(36.947341,16.471403,0.)); #526108=CARTESIAN_POINT('',(36.947341,16.471403,0.035)); #526109=CARTESIAN_POINT('',(36.947341,16.471403,0.035)); #526110=CARTESIAN_POINT('',(36.947341,16.471403,0.)); #526111=CARTESIAN_POINT('Origin',(36.9351,16.452925,0.)); #526112=CARTESIAN_POINT('',(36.9351,16.452925,0.)); #526113=CARTESIAN_POINT('',(36.9351,16.452925,0.)); #526114=CARTESIAN_POINT('',(36.9351,16.452925,0.035)); #526115=CARTESIAN_POINT('',(36.9351,16.452925,0.035)); #526116=CARTESIAN_POINT('',(36.9351,16.452925,0.)); #526117=CARTESIAN_POINT('Origin',(36.9351,16.047303,0.)); #526118=CARTESIAN_POINT('',(36.9351,16.047303,0.)); #526119=CARTESIAN_POINT('',(36.9351,16.047303,0.)); #526120=CARTESIAN_POINT('',(36.9351,16.047303,0.035)); #526121=CARTESIAN_POINT('',(36.9351,16.047303,0.035)); #526122=CARTESIAN_POINT('',(36.9351,16.047303,0.)); #526123=CARTESIAN_POINT('Origin',(36.946391,16.030834,0.)); #526124=CARTESIAN_POINT('',(36.946391,16.030834,0.)); #526125=CARTESIAN_POINT('',(36.946391,16.030834,0.)); #526126=CARTESIAN_POINT('',(36.946391,16.030834,0.035)); #526127=CARTESIAN_POINT('',(36.946391,16.030834,0.035)); #526128=CARTESIAN_POINT('',(36.946391,16.030834,0.)); #526129=CARTESIAN_POINT('Origin',(36.9529,16.001216,0.)); #526130=CARTESIAN_POINT('',(36.9529,16.001216,0.)); #526131=CARTESIAN_POINT('',(36.9529,16.001216,0.)); #526132=CARTESIAN_POINT('',(36.9529,16.001216,0.035)); #526133=CARTESIAN_POINT('',(36.9529,16.001216,0.035)); #526134=CARTESIAN_POINT('',(36.9529,16.001216,0.)); #526135=CARTESIAN_POINT('Origin',(36.947341,15.971403,0.)); #526136=CARTESIAN_POINT('',(36.947341,15.971403,0.)); #526137=CARTESIAN_POINT('',(36.947341,15.971403,0.)); #526138=CARTESIAN_POINT('',(36.947341,15.971403,0.035)); #526139=CARTESIAN_POINT('',(36.947341,15.971403,0.035)); #526140=CARTESIAN_POINT('',(36.947341,15.971403,0.)); #526141=CARTESIAN_POINT('Origin',(36.9351,15.952925,0.)); #526142=CARTESIAN_POINT('',(36.9351,15.952925,0.)); #526143=CARTESIAN_POINT('',(36.9351,15.952925,0.)); #526144=CARTESIAN_POINT('',(36.9351,15.952925,0.035)); #526145=CARTESIAN_POINT('',(36.9351,15.952925,0.035)); #526146=CARTESIAN_POINT('',(36.9351,15.952925,0.)); #526147=CARTESIAN_POINT('Origin',(36.9351,15.547303,0.)); #526148=CARTESIAN_POINT('',(36.9351,15.547303,0.)); #526149=CARTESIAN_POINT('',(36.9351,15.547303,0.)); #526150=CARTESIAN_POINT('',(36.9351,15.547303,0.035)); #526151=CARTESIAN_POINT('',(36.9351,15.547303,0.035)); #526152=CARTESIAN_POINT('',(36.9351,15.547303,0.)); #526153=CARTESIAN_POINT('Origin',(36.946391,15.530834,0.)); #526154=CARTESIAN_POINT('',(36.946391,15.530834,0.)); #526155=CARTESIAN_POINT('',(36.946391,15.530834,0.)); #526156=CARTESIAN_POINT('',(36.946391,15.530834,0.035)); #526157=CARTESIAN_POINT('',(36.946391,15.530834,0.035)); #526158=CARTESIAN_POINT('',(36.946391,15.530834,0.)); #526159=CARTESIAN_POINT('Origin',(36.9529,15.501216,0.)); #526160=CARTESIAN_POINT('',(36.9529,15.501216,0.)); #526161=CARTESIAN_POINT('',(36.9529,15.501216,0.)); #526162=CARTESIAN_POINT('',(36.9529,15.501216,0.035)); #526163=CARTESIAN_POINT('',(36.9529,15.501216,0.035)); #526164=CARTESIAN_POINT('',(36.9529,15.501216,0.)); #526165=CARTESIAN_POINT('Origin',(36.947341,15.471403,0.)); #526166=CARTESIAN_POINT('',(36.947341,15.471403,0.)); #526167=CARTESIAN_POINT('',(36.947341,15.471403,0.)); #526168=CARTESIAN_POINT('',(36.947341,15.471403,0.035)); #526169=CARTESIAN_POINT('',(36.947341,15.471403,0.035)); #526170=CARTESIAN_POINT('',(36.947341,15.471403,0.)); #526171=CARTESIAN_POINT('Origin',(36.9351,15.452925,0.)); #526172=CARTESIAN_POINT('',(36.9351,15.452925,0.)); #526173=CARTESIAN_POINT('',(36.9351,15.452925,0.)); #526174=CARTESIAN_POINT('',(36.9351,15.452925,0.035)); #526175=CARTESIAN_POINT('',(36.9351,15.452925,0.035)); #526176=CARTESIAN_POINT('',(36.9351,15.452925,0.)); #526177=CARTESIAN_POINT('Origin',(36.9351,15.047303,0.)); #526178=CARTESIAN_POINT('',(36.9351,15.047303,0.)); #526179=CARTESIAN_POINT('',(36.9351,15.047303,0.)); #526180=CARTESIAN_POINT('',(36.9351,15.047303,0.035)); #526181=CARTESIAN_POINT('',(36.9351,15.047303,0.035)); #526182=CARTESIAN_POINT('',(36.9351,15.047303,0.)); #526183=CARTESIAN_POINT('Origin',(36.946391,15.030834,0.)); #526184=CARTESIAN_POINT('',(36.946391,15.030834,0.)); #526185=CARTESIAN_POINT('',(36.946391,15.030834,0.)); #526186=CARTESIAN_POINT('',(36.946391,15.030834,0.035)); #526187=CARTESIAN_POINT('',(36.946391,15.030834,0.035)); #526188=CARTESIAN_POINT('',(36.946391,15.030834,0.)); #526189=CARTESIAN_POINT('Origin',(36.9529,15.001216,0.)); #526190=CARTESIAN_POINT('',(36.9529,15.001216,0.)); #526191=CARTESIAN_POINT('',(36.9529,15.001216,0.)); #526192=CARTESIAN_POINT('',(36.9529,15.001216,0.035)); #526193=CARTESIAN_POINT('',(36.9529,15.001216,0.035)); #526194=CARTESIAN_POINT('',(36.9529,15.001216,0.)); #526195=CARTESIAN_POINT('Origin',(36.947341,14.971403,0.)); #526196=CARTESIAN_POINT('',(36.947341,14.971403,0.)); #526197=CARTESIAN_POINT('',(36.947341,14.971403,0.)); #526198=CARTESIAN_POINT('',(36.947341,14.971403,0.035)); #526199=CARTESIAN_POINT('',(36.947341,14.971403,0.035)); #526200=CARTESIAN_POINT('',(36.947341,14.971403,0.)); #526201=CARTESIAN_POINT('Origin',(36.9351,14.952925,0.)); #526202=CARTESIAN_POINT('',(36.9351,14.952925,0.)); #526203=CARTESIAN_POINT('',(36.9351,14.952925,0.)); #526204=CARTESIAN_POINT('',(36.9351,14.952925,0.035)); #526205=CARTESIAN_POINT('',(36.9351,14.952925,0.035)); #526206=CARTESIAN_POINT('',(36.9351,14.952925,0.)); #526207=CARTESIAN_POINT('Origin',(36.9351,14.547303,0.)); #526208=CARTESIAN_POINT('',(36.9351,14.547303,0.)); #526209=CARTESIAN_POINT('',(36.9351,14.547303,0.)); #526210=CARTESIAN_POINT('',(36.9351,14.547303,0.035)); #526211=CARTESIAN_POINT('',(36.9351,14.547303,0.035)); #526212=CARTESIAN_POINT('',(36.9351,14.547303,0.)); #526213=CARTESIAN_POINT('Origin',(36.946391,14.530834,0.)); #526214=CARTESIAN_POINT('',(36.946391,14.530834,0.)); #526215=CARTESIAN_POINT('',(36.946391,14.530834,0.)); #526216=CARTESIAN_POINT('',(36.946391,14.530834,0.035)); #526217=CARTESIAN_POINT('',(36.946391,14.530834,0.035)); #526218=CARTESIAN_POINT('',(36.946391,14.530834,0.)); #526219=CARTESIAN_POINT('Origin',(36.9529,14.501216,0.)); #526220=CARTESIAN_POINT('',(36.9529,14.501216,0.)); #526221=CARTESIAN_POINT('',(36.9529,14.501216,0.)); #526222=CARTESIAN_POINT('',(36.9529,14.501216,0.035)); #526223=CARTESIAN_POINT('',(36.9529,14.501216,0.035)); #526224=CARTESIAN_POINT('',(36.9529,14.501216,0.)); #526225=CARTESIAN_POINT('Origin',(36.947341,14.471403,0.)); #526226=CARTESIAN_POINT('',(36.947341,14.471403,0.)); #526227=CARTESIAN_POINT('',(36.947341,14.471403,0.)); #526228=CARTESIAN_POINT('',(36.947341,14.471403,0.035)); #526229=CARTESIAN_POINT('',(36.947341,14.471403,0.035)); #526230=CARTESIAN_POINT('',(36.947341,14.471403,0.)); #526231=CARTESIAN_POINT('Origin',(36.9351,14.452925,0.)); #526232=CARTESIAN_POINT('',(36.9351,14.452925,0.)); #526233=CARTESIAN_POINT('',(36.9351,14.452925,0.)); #526234=CARTESIAN_POINT('',(36.9351,14.452925,0.035)); #526235=CARTESIAN_POINT('',(36.9351,14.452925,0.035)); #526236=CARTESIAN_POINT('',(36.9351,14.452925,0.)); #526237=CARTESIAN_POINT('Origin',(36.9351,14.169181,0.)); #526238=CARTESIAN_POINT('',(36.9351,14.169181,0.)); #526239=CARTESIAN_POINT('',(36.9351,14.169181,0.)); #526240=CARTESIAN_POINT('',(36.9351,14.169181,0.035)); #526241=CARTESIAN_POINT('',(36.9351,14.169181,0.035)); #526242=CARTESIAN_POINT('',(36.9351,14.169181,0.)); #526243=CARTESIAN_POINT('Origin',(36.933344,14.152916,0.)); #526244=CARTESIAN_POINT('',(36.933344,14.152916,0.)); #526245=CARTESIAN_POINT('',(36.933344,14.152916,0.)); #526246=CARTESIAN_POINT('',(36.933344,14.152916,0.035)); #526247=CARTESIAN_POINT('',(36.933344,14.152916,0.035)); #526248=CARTESIAN_POINT('',(36.933344,14.152916,0.)); #526249=CARTESIAN_POINT('Origin',(36.921103,14.125169,0.)); #526250=CARTESIAN_POINT('',(36.921103,14.125169,0.)); #526251=CARTESIAN_POINT('',(36.921103,14.125169,0.)); #526252=CARTESIAN_POINT('',(36.921103,14.125169,0.035)); #526253=CARTESIAN_POINT('',(36.921103,14.125169,0.035)); #526254=CARTESIAN_POINT('',(36.921103,14.125169,0.)); #526255=CARTESIAN_POINT('Origin',(36.911691,14.111866,0.)); #526256=CARTESIAN_POINT('',(36.911691,14.111866,0.)); #526257=CARTESIAN_POINT('',(36.911691,14.111866,0.)); #526258=CARTESIAN_POINT('',(36.911691,14.111866,0.035)); #526259=CARTESIAN_POINT('',(36.911691,14.111866,0.035)); #526260=CARTESIAN_POINT('',(36.911691,14.111866,0.)); #526261=CARTESIAN_POINT('Origin',(36.911397,14.111178,0.)); #526262=CARTESIAN_POINT('',(36.911397,14.111178,0.)); #526263=CARTESIAN_POINT('',(36.911397,14.111178,0.)); #526264=CARTESIAN_POINT('',(36.911397,14.111178,0.035)); #526265=CARTESIAN_POINT('',(36.911397,14.111178,0.035)); #526266=CARTESIAN_POINT('',(36.911397,14.111178,0.)); #526267=CARTESIAN_POINT('Origin',(36.896053,14.088084,0.)); #526268=CARTESIAN_POINT('',(36.896053,14.088084,0.)); #526269=CARTESIAN_POINT('',(36.896053,14.088084,0.)); #526270=CARTESIAN_POINT('',(36.896053,14.088084,0.035)); #526271=CARTESIAN_POINT('',(36.896053,14.088084,0.035)); #526272=CARTESIAN_POINT('',(36.896053,14.088084,0.)); #526273=CARTESIAN_POINT('Origin',(36.871041,14.070934,0.)); #526274=CARTESIAN_POINT('',(36.871041,14.070934,0.)); #526275=CARTESIAN_POINT('',(36.871041,14.070934,0.)); #526276=CARTESIAN_POINT('',(36.871041,14.070934,0.035)); #526277=CARTESIAN_POINT('',(36.871041,14.070934,0.035)); #526278=CARTESIAN_POINT('',(36.871041,14.070934,0.)); #526279=CARTESIAN_POINT('Origin',(36.841319,14.0649,0.)); #526280=CARTESIAN_POINT('',(36.841319,14.0649,0.)); #526281=CARTESIAN_POINT('',(36.841319,14.0649,0.)); #526282=CARTESIAN_POINT('',(36.841319,14.0649,0.035)); #526283=CARTESIAN_POINT('',(36.841319,14.0649,0.035)); #526284=CARTESIAN_POINT('',(36.841319,14.0649,0.)); #526285=CARTESIAN_POINT('Origin',(36.547303,14.0649,0.)); #526286=CARTESIAN_POINT('',(36.547303,14.0649,0.)); #526287=CARTESIAN_POINT('',(36.547303,14.0649,0.)); #526288=CARTESIAN_POINT('',(36.547303,14.0649,0.035)); #526289=CARTESIAN_POINT('',(36.547303,14.0649,0.035)); #526290=CARTESIAN_POINT('',(36.547303,14.0649,0.)); #526291=CARTESIAN_POINT('Origin',(36.530834,14.053609,0.)); #526292=CARTESIAN_POINT('',(36.530834,14.053609,0.)); #526293=CARTESIAN_POINT('',(36.530834,14.053609,0.035)); #526294=CARTESIAN_POINT('Origin',(31.9548991680522,18.3688358045886,0.035)); #526295=CARTESIAN_POINT('Origin',(31.9548991680522,18.3688358045886,0.)); #526296=CARTESIAN_POINT('Origin',(38.001216,37.592863,0.)); #526297=CARTESIAN_POINT('',(38.001216,37.592863,0.)); #526298=CARTESIAN_POINT('',(38.030834,37.599372,0.)); #526299=CARTESIAN_POINT('',(38.001216,37.592863,0.)); #526300=CARTESIAN_POINT('',(38.030834,37.599372,0.035)); #526301=CARTESIAN_POINT('',(38.030834,37.599372,0.)); #526302=CARTESIAN_POINT('',(38.001216,37.592863,0.035)); #526303=CARTESIAN_POINT('',(38.001216,37.592863,0.035)); #526304=CARTESIAN_POINT('',(38.001216,37.592863,0.)); #526305=CARTESIAN_POINT('Origin',(37.971403,37.598422,0.)); #526306=CARTESIAN_POINT('',(37.971403,37.598422,0.)); #526307=CARTESIAN_POINT('',(37.971403,37.598422,0.)); #526308=CARTESIAN_POINT('',(37.971403,37.598422,0.035)); #526309=CARTESIAN_POINT('',(37.971403,37.598422,0.035)); #526310=CARTESIAN_POINT('',(37.971403,37.598422,0.)); #526311=CARTESIAN_POINT('Origin',(37.946119,37.615172,0.)); #526312=CARTESIAN_POINT('',(37.946119,37.615172,0.)); #526313=CARTESIAN_POINT('',(37.946119,37.615172,0.)); #526314=CARTESIAN_POINT('',(37.946119,37.615172,0.035)); #526315=CARTESIAN_POINT('',(37.946119,37.615172,0.035)); #526316=CARTESIAN_POINT('',(37.946119,37.615172,0.)); #526317=CARTESIAN_POINT('Origin',(37.831819,37.729472,0.)); #526318=CARTESIAN_POINT('',(37.831819,37.729472,0.)); #526319=CARTESIAN_POINT('',(37.831819,37.729472,0.)); #526320=CARTESIAN_POINT('',(37.831819,37.729472,0.035)); #526321=CARTESIAN_POINT('',(37.831819,37.729472,0.035)); #526322=CARTESIAN_POINT('',(37.831819,37.729472,0.)); #526323=CARTESIAN_POINT('Origin',(37.815534,37.753631,0.)); #526324=CARTESIAN_POINT('',(37.815534,37.753631,0.)); #526325=CARTESIAN_POINT('',(37.815534,37.753631,0.)); #526326=CARTESIAN_POINT('',(37.815534,37.753631,0.035)); #526327=CARTESIAN_POINT('',(37.815534,37.753631,0.035)); #526328=CARTESIAN_POINT('',(37.815534,37.753631,0.)); #526329=CARTESIAN_POINT('Origin',(37.8095,37.783353,0.)); #526330=CARTESIAN_POINT('',(37.8095,37.783353,0.)); #526331=CARTESIAN_POINT('',(37.8095,37.783353,0.)); #526332=CARTESIAN_POINT('',(37.8095,37.783353,0.035)); #526333=CARTESIAN_POINT('',(37.8095,37.783353,0.035)); #526334=CARTESIAN_POINT('',(37.8095,37.783353,0.)); #526335=CARTESIAN_POINT('Origin',(37.8095,38.216647,0.)); #526336=CARTESIAN_POINT('',(37.8095,38.216647,0.)); #526337=CARTESIAN_POINT('',(37.8095,38.216647,0.)); #526338=CARTESIAN_POINT('',(37.8095,38.216647,0.035)); #526339=CARTESIAN_POINT('',(37.8095,38.216647,0.035)); #526340=CARTESIAN_POINT('',(37.8095,38.216647,0.)); #526341=CARTESIAN_POINT('Origin',(37.815069,38.245244,0.)); #526342=CARTESIAN_POINT('',(37.815069,38.245244,0.)); #526343=CARTESIAN_POINT('',(37.815069,38.245244,0.)); #526344=CARTESIAN_POINT('',(37.815069,38.245244,0.035)); #526345=CARTESIAN_POINT('',(37.815069,38.245244,0.035)); #526346=CARTESIAN_POINT('',(37.815069,38.245244,0.)); #526347=CARTESIAN_POINT('Origin',(37.831819,38.270528,0.)); #526348=CARTESIAN_POINT('',(37.831819,38.270528,0.)); #526349=CARTESIAN_POINT('',(37.831819,38.270528,0.)); #526350=CARTESIAN_POINT('',(37.831819,38.270528,0.035)); #526351=CARTESIAN_POINT('',(37.831819,38.270528,0.035)); #526352=CARTESIAN_POINT('',(37.831819,38.270528,0.)); #526353=CARTESIAN_POINT('Origin',(38.060419,38.499128,0.)); #526354=CARTESIAN_POINT('',(38.060419,38.499128,0.)); #526355=CARTESIAN_POINT('',(38.060419,38.499128,0.)); #526356=CARTESIAN_POINT('',(38.060419,38.499128,0.035)); #526357=CARTESIAN_POINT('',(38.060419,38.499128,0.035)); #526358=CARTESIAN_POINT('',(38.060419,38.499128,0.)); #526359=CARTESIAN_POINT('Origin',(38.082356,38.514428,0.)); #526360=CARTESIAN_POINT('',(38.082356,38.514428,0.)); #526361=CARTESIAN_POINT('',(38.082356,38.514428,0.)); #526362=CARTESIAN_POINT('',(38.082356,38.514428,0.035)); #526363=CARTESIAN_POINT('',(38.082356,38.514428,0.035)); #526364=CARTESIAN_POINT('',(38.082356,38.514428,0.)); #526365=CARTESIAN_POINT('Origin',(38.111872,38.521409,0.)); #526366=CARTESIAN_POINT('',(38.111872,38.521409,0.)); #526367=CARTESIAN_POINT('',(38.111872,38.521409,0.)); #526368=CARTESIAN_POINT('',(38.111872,38.521409,0.035)); #526369=CARTESIAN_POINT('',(38.111872,38.521409,0.035)); #526370=CARTESIAN_POINT('',(38.111872,38.521409,0.)); #526371=CARTESIAN_POINT('Origin',(38.141769,38.516325,0.)); #526372=CARTESIAN_POINT('',(38.141769,38.516325,0.)); #526373=CARTESIAN_POINT('',(38.141769,38.516325,0.)); #526374=CARTESIAN_POINT('',(38.141769,38.516325,0.035)); #526375=CARTESIAN_POINT('',(38.141769,38.516325,0.035)); #526376=CARTESIAN_POINT('',(38.141769,38.516325,0.)); #526377=CARTESIAN_POINT('Origin',(38.167316,38.499981,0.)); #526378=CARTESIAN_POINT('',(38.167316,38.499981,0.)); #526379=CARTESIAN_POINT('',(38.167316,38.499981,0.)); #526380=CARTESIAN_POINT('',(38.167316,38.499981,0.035)); #526381=CARTESIAN_POINT('',(38.167316,38.499981,0.035)); #526382=CARTESIAN_POINT('',(38.167316,38.499981,0.)); #526383=CARTESIAN_POINT('Origin',(38.184466,38.474969,0.)); #526384=CARTESIAN_POINT('',(38.184466,38.474969,0.)); #526385=CARTESIAN_POINT('',(38.184466,38.474969,0.)); #526386=CARTESIAN_POINT('',(38.184466,38.474969,0.035)); #526387=CARTESIAN_POINT('',(38.184466,38.474969,0.035)); #526388=CARTESIAN_POINT('',(38.184466,38.474969,0.)); #526389=CARTESIAN_POINT('Origin',(38.1905,38.445247,0.)); #526390=CARTESIAN_POINT('',(38.1905,38.445247,0.)); #526391=CARTESIAN_POINT('',(38.1905,38.445247,0.)); #526392=CARTESIAN_POINT('',(38.1905,38.445247,0.035)); #526393=CARTESIAN_POINT('',(38.1905,38.445247,0.035)); #526394=CARTESIAN_POINT('',(38.1905,38.445247,0.)); #526395=CARTESIAN_POINT('Origin',(38.1905,37.783353,0.)); #526396=CARTESIAN_POINT('',(38.1905,37.783353,0.)); #526397=CARTESIAN_POINT('',(38.1905,37.783353,0.)); #526398=CARTESIAN_POINT('',(38.1905,37.783353,0.035)); #526399=CARTESIAN_POINT('',(38.1905,37.783353,0.035)); #526400=CARTESIAN_POINT('',(38.1905,37.783353,0.)); #526401=CARTESIAN_POINT('Origin',(38.184931,37.754756,0.)); #526402=CARTESIAN_POINT('',(38.184931,37.754756,0.)); #526403=CARTESIAN_POINT('',(38.184931,37.754756,0.)); #526404=CARTESIAN_POINT('',(38.184931,37.754756,0.035)); #526405=CARTESIAN_POINT('',(38.184931,37.754756,0.035)); #526406=CARTESIAN_POINT('',(38.184931,37.754756,0.)); #526407=CARTESIAN_POINT('Origin',(38.168181,37.729472,0.)); #526408=CARTESIAN_POINT('',(38.168181,37.729472,0.)); #526409=CARTESIAN_POINT('',(38.168181,37.729472,0.)); #526410=CARTESIAN_POINT('',(38.168181,37.729472,0.035)); #526411=CARTESIAN_POINT('',(38.168181,37.729472,0.035)); #526412=CARTESIAN_POINT('',(38.168181,37.729472,0.)); #526413=CARTESIAN_POINT('Origin',(38.053881,37.615172,0.)); #526414=CARTESIAN_POINT('',(38.053881,37.615172,0.)); #526415=CARTESIAN_POINT('',(38.053881,37.615172,0.)); #526416=CARTESIAN_POINT('',(38.053881,37.615172,0.035)); #526417=CARTESIAN_POINT('',(38.053881,37.615172,0.035)); #526418=CARTESIAN_POINT('',(38.053881,37.615172,0.)); #526419=CARTESIAN_POINT('Origin',(38.030834,37.599372,0.)); #526420=CARTESIAN_POINT('',(38.030834,37.599372,0.)); #526421=CARTESIAN_POINT('',(38.030834,37.599372,0.035)); #526422=CARTESIAN_POINT('Origin',(38.017911222425,38.0547094957694,0.035)); #526423=CARTESIAN_POINT('Origin',(38.017911222425,38.0547094957694,0.)); #526424=CARTESIAN_POINT('Origin',(38.842603,18.4786,0.)); #526425=CARTESIAN_POINT('',(38.842603,18.4786,0.)); #526426=CARTESIAN_POINT('',(38.872325,18.484634,0.)); #526427=CARTESIAN_POINT('',(38.842603,18.4786,0.)); #526428=CARTESIAN_POINT('',(38.872325,18.484634,0.035)); #526429=CARTESIAN_POINT('',(38.872325,18.484634,0.)); #526430=CARTESIAN_POINT('',(38.842603,18.4786,0.035)); #526431=CARTESIAN_POINT('',(38.842603,18.4786,0.035)); #526432=CARTESIAN_POINT('',(38.842603,18.4786,0.)); #526433=CARTESIAN_POINT('Origin',(38.485853,18.4786,0.)); #526434=CARTESIAN_POINT('',(38.485853,18.4786,0.)); #526435=CARTESIAN_POINT('',(38.485853,18.4786,0.)); #526436=CARTESIAN_POINT('',(38.485853,18.4786,0.035)); #526437=CARTESIAN_POINT('',(38.485853,18.4786,0.035)); #526438=CARTESIAN_POINT('',(38.485853,18.4786,0.)); #526439=CARTESIAN_POINT('Origin',(38.459522,18.483294,0.)); #526440=CARTESIAN_POINT('',(38.459522,18.483294,0.)); #526441=CARTESIAN_POINT('',(38.459522,18.483294,0.)); #526442=CARTESIAN_POINT('',(38.459522,18.483294,0.035)); #526443=CARTESIAN_POINT('',(38.459522,18.483294,0.035)); #526444=CARTESIAN_POINT('',(38.459522,18.483294,0.)); #526445=CARTESIAN_POINT('Origin',(38.433716,18.499228,0.)); #526446=CARTESIAN_POINT('',(38.433716,18.499228,0.)); #526447=CARTESIAN_POINT('',(38.433716,18.499228,0.)); #526448=CARTESIAN_POINT('',(38.433716,18.499228,0.035)); #526449=CARTESIAN_POINT('',(38.433716,18.499228,0.035)); #526450=CARTESIAN_POINT('',(38.433716,18.499228,0.)); #526451=CARTESIAN_POINT('Origin',(38.416172,18.523966,0.)); #526452=CARTESIAN_POINT('',(38.416172,18.523966,0.)); #526453=CARTESIAN_POINT('',(38.416172,18.523966,0.)); #526454=CARTESIAN_POINT('',(38.416172,18.523966,0.035)); #526455=CARTESIAN_POINT('',(38.416172,18.523966,0.035)); #526456=CARTESIAN_POINT('',(38.416172,18.523966,0.)); #526457=CARTESIAN_POINT('Origin',(38.409663,18.553584,0.)); #526458=CARTESIAN_POINT('',(38.409663,18.553584,0.)); #526459=CARTESIAN_POINT('',(38.409663,18.553584,0.)); #526460=CARTESIAN_POINT('',(38.409663,18.553584,0.035)); #526461=CARTESIAN_POINT('',(38.409663,18.553584,0.035)); #526462=CARTESIAN_POINT('',(38.409663,18.553584,0.)); #526463=CARTESIAN_POINT('Origin',(38.415222,18.583397,0.)); #526464=CARTESIAN_POINT('',(38.415222,18.583397,0.)); #526465=CARTESIAN_POINT('',(38.415222,18.583397,0.)); #526466=CARTESIAN_POINT('',(38.415222,18.583397,0.035)); #526467=CARTESIAN_POINT('',(38.415222,18.583397,0.035)); #526468=CARTESIAN_POINT('',(38.415222,18.583397,0.)); #526469=CARTESIAN_POINT('Origin',(38.431972,18.608681,0.)); #526470=CARTESIAN_POINT('',(38.431972,18.608681,0.)); #526471=CARTESIAN_POINT('',(38.431972,18.608681,0.)); #526472=CARTESIAN_POINT('',(38.431972,18.608681,0.035)); #526473=CARTESIAN_POINT('',(38.431972,18.608681,0.035)); #526474=CARTESIAN_POINT('',(38.431972,18.608681,0.)); #526475=CARTESIAN_POINT('Origin',(38.572372,18.749081,0.)); #526476=CARTESIAN_POINT('',(38.572372,18.749081,0.)); #526477=CARTESIAN_POINT('',(38.572372,18.749081,0.)); #526478=CARTESIAN_POINT('',(38.572372,18.749081,0.035)); #526479=CARTESIAN_POINT('',(38.572372,18.749081,0.035)); #526480=CARTESIAN_POINT('',(38.572372,18.749081,0.)); #526481=CARTESIAN_POINT('Origin',(38.596531,18.765366,0.)); #526482=CARTESIAN_POINT('',(38.596531,18.765366,0.)); #526483=CARTESIAN_POINT('',(38.596531,18.765366,0.)); #526484=CARTESIAN_POINT('',(38.596531,18.765366,0.035)); #526485=CARTESIAN_POINT('',(38.596531,18.765366,0.035)); #526486=CARTESIAN_POINT('',(38.596531,18.765366,0.)); #526487=CARTESIAN_POINT('Origin',(38.626253,18.7714,0.)); #526488=CARTESIAN_POINT('',(38.626253,18.7714,0.)); #526489=CARTESIAN_POINT('',(38.626253,18.7714,0.)); #526490=CARTESIAN_POINT('',(38.626253,18.7714,0.035)); #526491=CARTESIAN_POINT('',(38.626253,18.7714,0.035)); #526492=CARTESIAN_POINT('',(38.626253,18.7714,0.)); #526493=CARTESIAN_POINT('Origin',(38.842603,18.7714,0.)); #526494=CARTESIAN_POINT('',(38.842603,18.7714,0.)); #526495=CARTESIAN_POINT('',(38.842603,18.7714,0.)); #526496=CARTESIAN_POINT('',(38.842603,18.7714,0.035)); #526497=CARTESIAN_POINT('',(38.842603,18.7714,0.035)); #526498=CARTESIAN_POINT('',(38.842603,18.7714,0.)); #526499=CARTESIAN_POINT('Origin',(38.8712,18.765831,0.)); #526500=CARTESIAN_POINT('',(38.8712,18.765831,0.)); #526501=CARTESIAN_POINT('',(38.8712,18.765831,0.)); #526502=CARTESIAN_POINT('',(38.8712,18.765831,0.035)); #526503=CARTESIAN_POINT('',(38.8712,18.765831,0.035)); #526504=CARTESIAN_POINT('',(38.8712,18.765831,0.)); #526505=CARTESIAN_POINT('Origin',(38.896484,18.749081,0.)); #526506=CARTESIAN_POINT('',(38.896484,18.749081,0.)); #526507=CARTESIAN_POINT('',(38.896484,18.749081,0.)); #526508=CARTESIAN_POINT('',(38.896484,18.749081,0.035)); #526509=CARTESIAN_POINT('',(38.896484,18.749081,0.035)); #526510=CARTESIAN_POINT('',(38.896484,18.749081,0.)); #526511=CARTESIAN_POINT('Origin',(38.966684,18.678881,0.)); #526512=CARTESIAN_POINT('',(38.966684,18.678881,0.)); #526513=CARTESIAN_POINT('',(38.966684,18.678881,0.)); #526514=CARTESIAN_POINT('',(38.966684,18.678881,0.035)); #526515=CARTESIAN_POINT('',(38.966684,18.678881,0.035)); #526516=CARTESIAN_POINT('',(38.966684,18.678881,0.)); #526517=CARTESIAN_POINT('Origin',(38.982484,18.655834,0.)); #526518=CARTESIAN_POINT('',(38.982484,18.655834,0.)); #526519=CARTESIAN_POINT('',(38.982484,18.655834,0.)); #526520=CARTESIAN_POINT('',(38.982484,18.655834,0.035)); #526521=CARTESIAN_POINT('',(38.982484,18.655834,0.035)); #526522=CARTESIAN_POINT('',(38.982484,18.655834,0.)); #526523=CARTESIAN_POINT('Origin',(38.988994,18.626216,0.)); #526524=CARTESIAN_POINT('',(38.988994,18.626216,0.)); #526525=CARTESIAN_POINT('',(38.988994,18.626216,0.)); #526526=CARTESIAN_POINT('',(38.988994,18.626216,0.035)); #526527=CARTESIAN_POINT('',(38.988994,18.626216,0.035)); #526528=CARTESIAN_POINT('',(38.988994,18.626216,0.)); #526529=CARTESIAN_POINT('Origin',(38.983434,18.596403,0.)); #526530=CARTESIAN_POINT('',(38.983434,18.596403,0.)); #526531=CARTESIAN_POINT('',(38.983434,18.596403,0.)); #526532=CARTESIAN_POINT('',(38.983434,18.596403,0.035)); #526533=CARTESIAN_POINT('',(38.983434,18.596403,0.035)); #526534=CARTESIAN_POINT('',(38.983434,18.596403,0.)); #526535=CARTESIAN_POINT('Origin',(38.966684,18.571119,0.)); #526536=CARTESIAN_POINT('',(38.966684,18.571119,0.)); #526537=CARTESIAN_POINT('',(38.966684,18.571119,0.)); #526538=CARTESIAN_POINT('',(38.966684,18.571119,0.035)); #526539=CARTESIAN_POINT('',(38.966684,18.571119,0.035)); #526540=CARTESIAN_POINT('',(38.966684,18.571119,0.)); #526541=CARTESIAN_POINT('Origin',(38.896484,18.500919,0.)); #526542=CARTESIAN_POINT('',(38.896484,18.500919,0.)); #526543=CARTESIAN_POINT('',(38.896484,18.500919,0.)); #526544=CARTESIAN_POINT('',(38.896484,18.500919,0.035)); #526545=CARTESIAN_POINT('',(38.896484,18.500919,0.035)); #526546=CARTESIAN_POINT('',(38.896484,18.500919,0.)); #526547=CARTESIAN_POINT('Origin',(38.872325,18.484634,0.)); #526548=CARTESIAN_POINT('',(38.872325,18.484634,0.)); #526549=CARTESIAN_POINT('',(38.872325,18.484634,0.035)); #526550=CARTESIAN_POINT('Origin',(38.7007434002236,18.6124083912077,0.035)); #526551=CARTESIAN_POINT('Origin',(38.7007434002236,18.6124083912077,0.)); #526552=CARTESIAN_POINT('Origin',(36.732178,31.7493,0.)); #526553=CARTESIAN_POINT('',(36.732178,31.7493,0.)); #526554=CARTESIAN_POINT('',(36.7619,31.755334,0.)); #526555=CARTESIAN_POINT('',(36.732178,31.7493,0.)); #526556=CARTESIAN_POINT('',(36.7619,31.755334,0.035)); #526557=CARTESIAN_POINT('',(36.7619,31.755334,0.)); #526558=CARTESIAN_POINT('',(36.732178,31.7493,0.035)); #526559=CARTESIAN_POINT('',(36.732178,31.7493,0.035)); #526560=CARTESIAN_POINT('',(36.732178,31.7493,0.)); #526561=CARTESIAN_POINT('Origin',(36.7046,31.7493,0.)); #526562=CARTESIAN_POINT('',(36.7046,31.7493,0.)); #526563=CARTESIAN_POINT('',(36.7046,31.7493,0.)); #526564=CARTESIAN_POINT('',(36.7046,31.7493,0.035)); #526565=CARTESIAN_POINT('',(36.7046,31.7493,0.035)); #526566=CARTESIAN_POINT('',(36.7046,31.7493,0.)); #526567=CARTESIAN_POINT('Origin',(36.677131,31.754422,0.)); #526568=CARTESIAN_POINT('',(36.677131,31.754422,0.)); #526569=CARTESIAN_POINT('',(36.677131,31.754422,0.)); #526570=CARTESIAN_POINT('',(36.677131,31.754422,0.035)); #526571=CARTESIAN_POINT('',(36.677131,31.754422,0.035)); #526572=CARTESIAN_POINT('',(36.677131,31.754422,0.)); #526573=CARTESIAN_POINT('Origin',(36.651584,31.770766,0.)); #526574=CARTESIAN_POINT('',(36.651584,31.770766,0.)); #526575=CARTESIAN_POINT('',(36.651584,31.770766,0.)); #526576=CARTESIAN_POINT('',(36.651584,31.770766,0.035)); #526577=CARTESIAN_POINT('',(36.651584,31.770766,0.035)); #526578=CARTESIAN_POINT('',(36.651584,31.770766,0.)); #526579=CARTESIAN_POINT('Origin',(36.634434,31.795778,0.)); #526580=CARTESIAN_POINT('',(36.634434,31.795778,0.)); #526581=CARTESIAN_POINT('',(36.634434,31.795778,0.)); #526582=CARTESIAN_POINT('',(36.634434,31.795778,0.035)); #526583=CARTESIAN_POINT('',(36.634434,31.795778,0.035)); #526584=CARTESIAN_POINT('',(36.634434,31.795778,0.)); #526585=CARTESIAN_POINT('Origin',(36.6284,31.8255,0.)); #526586=CARTESIAN_POINT('',(36.6284,31.8255,0.)); #526587=CARTESIAN_POINT('',(36.6284,31.8255,0.)); #526588=CARTESIAN_POINT('',(36.6284,31.8255,0.035)); #526589=CARTESIAN_POINT('',(36.6284,31.8255,0.035)); #526590=CARTESIAN_POINT('',(36.6284,31.8255,0.)); #526591=CARTESIAN_POINT('Origin',(36.6284,31.853078,0.)); #526592=CARTESIAN_POINT('',(36.6284,31.853078,0.)); #526593=CARTESIAN_POINT('',(36.6284,31.853078,0.)); #526594=CARTESIAN_POINT('',(36.6284,31.853078,0.035)); #526595=CARTESIAN_POINT('',(36.6284,31.853078,0.035)); #526596=CARTESIAN_POINT('',(36.6284,31.853078,0.)); #526597=CARTESIAN_POINT('Origin',(36.633094,31.879409,0.)); #526598=CARTESIAN_POINT('',(36.633094,31.879409,0.)); #526599=CARTESIAN_POINT('',(36.633094,31.879409,0.)); #526600=CARTESIAN_POINT('',(36.633094,31.879409,0.035)); #526601=CARTESIAN_POINT('',(36.633094,31.879409,0.035)); #526602=CARTESIAN_POINT('',(36.633094,31.879409,0.)); #526603=CARTESIAN_POINT('Origin',(36.649028,31.905216,0.)); #526604=CARTESIAN_POINT('',(36.649028,31.905216,0.)); #526605=CARTESIAN_POINT('',(36.649028,31.905216,0.)); #526606=CARTESIAN_POINT('',(36.649028,31.905216,0.035)); #526607=CARTESIAN_POINT('',(36.649028,31.905216,0.035)); #526608=CARTESIAN_POINT('',(36.649028,31.905216,0.)); #526609=CARTESIAN_POINT('Origin',(36.673766,31.922759,0.)); #526610=CARTESIAN_POINT('',(36.673766,31.922759,0.)); #526611=CARTESIAN_POINT('',(36.673766,31.922759,0.)); #526612=CARTESIAN_POINT('',(36.673766,31.922759,0.035)); #526613=CARTESIAN_POINT('',(36.673766,31.922759,0.035)); #526614=CARTESIAN_POINT('',(36.673766,31.922759,0.)); #526615=CARTESIAN_POINT('Origin',(36.703384,31.929269,0.)); #526616=CARTESIAN_POINT('',(36.703384,31.929269,0.)); #526617=CARTESIAN_POINT('',(36.703384,31.929269,0.)); #526618=CARTESIAN_POINT('',(36.703384,31.929269,0.035)); #526619=CARTESIAN_POINT('',(36.703384,31.929269,0.035)); #526620=CARTESIAN_POINT('',(36.703384,31.929269,0.)); #526621=CARTESIAN_POINT('Origin',(36.733197,31.923709,0.)); #526622=CARTESIAN_POINT('',(36.733197,31.923709,0.)); #526623=CARTESIAN_POINT('',(36.733197,31.923709,0.)); #526624=CARTESIAN_POINT('',(36.733197,31.923709,0.035)); #526625=CARTESIAN_POINT('',(36.733197,31.923709,0.035)); #526626=CARTESIAN_POINT('',(36.733197,31.923709,0.)); #526627=CARTESIAN_POINT('Origin',(36.758481,31.906959,0.)); #526628=CARTESIAN_POINT('',(36.758481,31.906959,0.)); #526629=CARTESIAN_POINT('',(36.758481,31.906959,0.)); #526630=CARTESIAN_POINT('',(36.758481,31.906959,0.035)); #526631=CARTESIAN_POINT('',(36.758481,31.906959,0.035)); #526632=CARTESIAN_POINT('',(36.758481,31.906959,0.)); #526633=CARTESIAN_POINT('Origin',(36.786059,31.879381,0.)); #526634=CARTESIAN_POINT('',(36.786059,31.879381,0.)); #526635=CARTESIAN_POINT('',(36.786059,31.879381,0.)); #526636=CARTESIAN_POINT('',(36.786059,31.879381,0.035)); #526637=CARTESIAN_POINT('',(36.786059,31.879381,0.035)); #526638=CARTESIAN_POINT('',(36.786059,31.879381,0.)); #526639=CARTESIAN_POINT('Origin',(36.801359,31.857444,0.)); #526640=CARTESIAN_POINT('',(36.801359,31.857444,0.)); #526641=CARTESIAN_POINT('',(36.801359,31.857444,0.)); #526642=CARTESIAN_POINT('',(36.801359,31.857444,0.035)); #526643=CARTESIAN_POINT('',(36.801359,31.857444,0.035)); #526644=CARTESIAN_POINT('',(36.801359,31.857444,0.)); #526645=CARTESIAN_POINT('Origin',(36.808341,31.827928,0.)); #526646=CARTESIAN_POINT('',(36.808341,31.827928,0.)); #526647=CARTESIAN_POINT('',(36.808341,31.827928,0.)); #526648=CARTESIAN_POINT('',(36.808341,31.827928,0.035)); #526649=CARTESIAN_POINT('',(36.808341,31.827928,0.035)); #526650=CARTESIAN_POINT('',(36.808341,31.827928,0.)); #526651=CARTESIAN_POINT('Origin',(36.803256,31.798031,0.)); #526652=CARTESIAN_POINT('',(36.803256,31.798031,0.)); #526653=CARTESIAN_POINT('',(36.803256,31.798031,0.)); #526654=CARTESIAN_POINT('',(36.803256,31.798031,0.035)); #526655=CARTESIAN_POINT('',(36.803256,31.798031,0.035)); #526656=CARTESIAN_POINT('',(36.803256,31.798031,0.)); #526657=CARTESIAN_POINT('Origin',(36.786912,31.772484,0.)); #526658=CARTESIAN_POINT('',(36.786912,31.772484,0.)); #526659=CARTESIAN_POINT('',(36.786912,31.772484,0.)); #526660=CARTESIAN_POINT('',(36.786912,31.772484,0.035)); #526661=CARTESIAN_POINT('',(36.786912,31.772484,0.035)); #526662=CARTESIAN_POINT('',(36.786912,31.772484,0.)); #526663=CARTESIAN_POINT('Origin',(36.7619,31.755334,0.)); #526664=CARTESIAN_POINT('',(36.7619,31.755334,0.)); #526665=CARTESIAN_POINT('',(36.7619,31.755334,0.035)); #526666=CARTESIAN_POINT('Origin',(36.7148393850671,31.8357442463984,0.035)); #526667=CARTESIAN_POINT('Origin',(36.7148393850671,31.8357442463984,0.)); #526668=CARTESIAN_POINT('Origin',(30.060116,18.20495,0.)); #526669=CARTESIAN_POINT('',(30.060116,18.20495,0.)); #526670=CARTESIAN_POINT('',(30.089734,18.211459,0.)); #526671=CARTESIAN_POINT('',(30.060116,18.20495,0.)); #526672=CARTESIAN_POINT('',(30.089734,18.211459,0.035)); #526673=CARTESIAN_POINT('',(30.089734,18.211459,0.)); #526674=CARTESIAN_POINT('',(30.060116,18.20495,0.035)); #526675=CARTESIAN_POINT('',(30.060116,18.20495,0.035)); #526676=CARTESIAN_POINT('',(30.060116,18.20495,0.)); #526677=CARTESIAN_POINT('Origin',(30.030303,18.210509,0.)); #526678=CARTESIAN_POINT('',(30.030303,18.210509,0.)); #526679=CARTESIAN_POINT('',(30.030303,18.210509,0.)); #526680=CARTESIAN_POINT('',(30.030303,18.210509,0.035)); #526681=CARTESIAN_POINT('',(30.030303,18.210509,0.035)); #526682=CARTESIAN_POINT('',(30.030303,18.210509,0.)); #526683=CARTESIAN_POINT('Origin',(30.005019,18.227259,0.)); #526684=CARTESIAN_POINT('',(30.005019,18.227259,0.)); #526685=CARTESIAN_POINT('',(30.005019,18.227259,0.)); #526686=CARTESIAN_POINT('',(30.005019,18.227259,0.035)); #526687=CARTESIAN_POINT('',(30.005019,18.227259,0.035)); #526688=CARTESIAN_POINT('',(30.005019,18.227259,0.)); #526689=CARTESIAN_POINT('Origin',(29.840959,18.391319,0.)); #526690=CARTESIAN_POINT('',(29.840959,18.391319,0.)); #526691=CARTESIAN_POINT('',(29.840959,18.391319,0.)); #526692=CARTESIAN_POINT('',(29.840959,18.391319,0.035)); #526693=CARTESIAN_POINT('',(29.840959,18.391319,0.035)); #526694=CARTESIAN_POINT('',(29.840959,18.391319,0.)); #526695=CARTESIAN_POINT('Origin',(29.825659,18.413256,0.)); #526696=CARTESIAN_POINT('',(29.825659,18.413256,0.)); #526697=CARTESIAN_POINT('',(29.825659,18.413256,0.)); #526698=CARTESIAN_POINT('',(29.825659,18.413256,0.035)); #526699=CARTESIAN_POINT('',(29.825659,18.413256,0.035)); #526700=CARTESIAN_POINT('',(29.825659,18.413256,0.)); #526701=CARTESIAN_POINT('Origin',(29.818678,18.442772,0.)); #526702=CARTESIAN_POINT('',(29.818678,18.442772,0.)); #526703=CARTESIAN_POINT('',(29.818678,18.442772,0.)); #526704=CARTESIAN_POINT('',(29.818678,18.442772,0.035)); #526705=CARTESIAN_POINT('',(29.818678,18.442772,0.035)); #526706=CARTESIAN_POINT('',(29.818678,18.442772,0.)); #526707=CARTESIAN_POINT('Origin',(29.823763,18.472669,0.)); #526708=CARTESIAN_POINT('',(29.823763,18.472669,0.)); #526709=CARTESIAN_POINT('',(29.823763,18.472669,0.)); #526710=CARTESIAN_POINT('',(29.823763,18.472669,0.035)); #526711=CARTESIAN_POINT('',(29.823763,18.472669,0.035)); #526712=CARTESIAN_POINT('',(29.823763,18.472669,0.)); #526713=CARTESIAN_POINT('Origin',(29.840106,18.498216,0.)); #526714=CARTESIAN_POINT('',(29.840106,18.498216,0.)); #526715=CARTESIAN_POINT('',(29.840106,18.498216,0.)); #526716=CARTESIAN_POINT('',(29.840106,18.498216,0.035)); #526717=CARTESIAN_POINT('',(29.840106,18.498216,0.035)); #526718=CARTESIAN_POINT('',(29.840106,18.498216,0.)); #526719=CARTESIAN_POINT('Origin',(29.865119,18.515366,0.)); #526720=CARTESIAN_POINT('',(29.865119,18.515366,0.)); #526721=CARTESIAN_POINT('',(29.865119,18.515366,0.)); #526722=CARTESIAN_POINT('',(29.865119,18.515366,0.035)); #526723=CARTESIAN_POINT('',(29.865119,18.515366,0.035)); #526724=CARTESIAN_POINT('',(29.865119,18.515366,0.)); #526725=CARTESIAN_POINT('Origin',(29.894841,18.5214,0.)); #526726=CARTESIAN_POINT('',(29.894841,18.5214,0.)); #526727=CARTESIAN_POINT('',(29.894841,18.5214,0.)); #526728=CARTESIAN_POINT('',(29.894841,18.5214,0.035)); #526729=CARTESIAN_POINT('',(29.894841,18.5214,0.035)); #526730=CARTESIAN_POINT('',(29.894841,18.5214,0.)); #526731=CARTESIAN_POINT('Origin',(30.0589,18.5214,0.)); #526732=CARTESIAN_POINT('',(30.0589,18.5214,0.)); #526733=CARTESIAN_POINT('',(30.0589,18.5214,0.)); #526734=CARTESIAN_POINT('',(30.0589,18.5214,0.035)); #526735=CARTESIAN_POINT('',(30.0589,18.5214,0.035)); #526736=CARTESIAN_POINT('',(30.0589,18.5214,0.)); #526737=CARTESIAN_POINT('Origin',(30.086369,18.516278,0.)); #526738=CARTESIAN_POINT('',(30.086369,18.516278,0.)); #526739=CARTESIAN_POINT('',(30.086369,18.516278,0.)); #526740=CARTESIAN_POINT('',(30.086369,18.516278,0.035)); #526741=CARTESIAN_POINT('',(30.086369,18.516278,0.035)); #526742=CARTESIAN_POINT('',(30.086369,18.516278,0.)); #526743=CARTESIAN_POINT('Origin',(30.111916,18.499934,0.)); #526744=CARTESIAN_POINT('',(30.111916,18.499934,0.)); #526745=CARTESIAN_POINT('',(30.111916,18.499934,0.)); #526746=CARTESIAN_POINT('',(30.111916,18.499934,0.035)); #526747=CARTESIAN_POINT('',(30.111916,18.499934,0.035)); #526748=CARTESIAN_POINT('',(30.111916,18.499934,0.)); #526749=CARTESIAN_POINT('Origin',(30.129066,18.474922,0.)); #526750=CARTESIAN_POINT('',(30.129066,18.474922,0.)); #526751=CARTESIAN_POINT('',(30.129066,18.474922,0.)); #526752=CARTESIAN_POINT('',(30.129066,18.474922,0.035)); #526753=CARTESIAN_POINT('',(30.129066,18.474922,0.035)); #526754=CARTESIAN_POINT('',(30.129066,18.474922,0.)); #526755=CARTESIAN_POINT('Origin',(30.1351,18.4452,0.)); #526756=CARTESIAN_POINT('',(30.1351,18.4452,0.)); #526757=CARTESIAN_POINT('',(30.1351,18.4452,0.)); #526758=CARTESIAN_POINT('',(30.1351,18.4452,0.035)); #526759=CARTESIAN_POINT('',(30.1351,18.4452,0.035)); #526760=CARTESIAN_POINT('',(30.1351,18.4452,0.)); #526761=CARTESIAN_POINT('Origin',(30.1351,18.281141,0.)); #526762=CARTESIAN_POINT('',(30.1351,18.281141,0.)); #526763=CARTESIAN_POINT('',(30.1351,18.281141,0.)); #526764=CARTESIAN_POINT('',(30.1351,18.281141,0.035)); #526765=CARTESIAN_POINT('',(30.1351,18.281141,0.035)); #526766=CARTESIAN_POINT('',(30.1351,18.281141,0.)); #526767=CARTESIAN_POINT('Origin',(30.130406,18.254809,0.)); #526768=CARTESIAN_POINT('',(30.130406,18.254809,0.)); #526769=CARTESIAN_POINT('',(30.130406,18.254809,0.)); #526770=CARTESIAN_POINT('',(30.130406,18.254809,0.035)); #526771=CARTESIAN_POINT('',(30.130406,18.254809,0.035)); #526772=CARTESIAN_POINT('',(30.130406,18.254809,0.)); #526773=CARTESIAN_POINT('Origin',(30.114472,18.229003,0.)); #526774=CARTESIAN_POINT('',(30.114472,18.229003,0.)); #526775=CARTESIAN_POINT('',(30.114472,18.229003,0.)); #526776=CARTESIAN_POINT('',(30.114472,18.229003,0.035)); #526777=CARTESIAN_POINT('',(30.114472,18.229003,0.035)); #526778=CARTESIAN_POINT('',(30.114472,18.229003,0.)); #526779=CARTESIAN_POINT('Origin',(30.089734,18.211459,0.)); #526780=CARTESIAN_POINT('',(30.089734,18.211459,0.)); #526781=CARTESIAN_POINT('',(30.089734,18.211459,0.035)); #526782=CARTESIAN_POINT('Origin',(29.9992829839461,18.3855804451983,0.035)); #526783=CARTESIAN_POINT('Origin',(29.9992829839461,18.3855804451983,0.)); #526784=CARTESIAN_POINT('Origin',(34.307228,58.909691,0.)); #526785=CARTESIAN_POINT('',(34.307228,58.909691,0.)); #526786=CARTESIAN_POINT('',(34.336744,58.916672,0.)); #526787=CARTESIAN_POINT('',(34.307228,58.909691,0.)); #526788=CARTESIAN_POINT('',(34.336744,58.916672,0.035)); #526789=CARTESIAN_POINT('',(34.336744,58.916672,0.)); #526790=CARTESIAN_POINT('',(34.307228,58.909691,0.035)); #526791=CARTESIAN_POINT('',(34.307228,58.909691,0.035)); #526792=CARTESIAN_POINT('',(34.307228,58.909691,0.)); #526793=CARTESIAN_POINT('Origin',(34.277331,58.914775,0.)); #526794=CARTESIAN_POINT('',(34.277331,58.914775,0.)); #526795=CARTESIAN_POINT('',(34.277331,58.914775,0.)); #526796=CARTESIAN_POINT('',(34.277331,58.914775,0.035)); #526797=CARTESIAN_POINT('',(34.277331,58.914775,0.035)); #526798=CARTESIAN_POINT('',(34.277331,58.914775,0.)); #526799=CARTESIAN_POINT('Origin',(34.251784,58.931119,0.)); #526800=CARTESIAN_POINT('',(34.251784,58.931119,0.)); #526801=CARTESIAN_POINT('',(34.251784,58.931119,0.)); #526802=CARTESIAN_POINT('',(34.251784,58.931119,0.035)); #526803=CARTESIAN_POINT('',(34.251784,58.931119,0.035)); #526804=CARTESIAN_POINT('',(34.251784,58.931119,0.)); #526805=CARTESIAN_POINT('Origin',(34.234634,58.956131,0.)); #526806=CARTESIAN_POINT('',(34.234634,58.956131,0.)); #526807=CARTESIAN_POINT('',(34.234634,58.956131,0.)); #526808=CARTESIAN_POINT('',(34.234634,58.956131,0.035)); #526809=CARTESIAN_POINT('',(34.234634,58.956131,0.035)); #526810=CARTESIAN_POINT('',(34.234634,58.956131,0.)); #526811=CARTESIAN_POINT('Origin',(34.2286,58.985853,0.)); #526812=CARTESIAN_POINT('',(34.2286,58.985853,0.)); #526813=CARTESIAN_POINT('',(34.2286,58.985853,0.)); #526814=CARTESIAN_POINT('',(34.2286,58.985853,0.035)); #526815=CARTESIAN_POINT('',(34.2286,58.985853,0.035)); #526816=CARTESIAN_POINT('',(34.2286,58.985853,0.)); #526817=CARTESIAN_POINT('Origin',(34.2286,59.5769,0.)); #526818=CARTESIAN_POINT('',(34.2286,59.5769,0.)); #526819=CARTESIAN_POINT('',(34.2286,59.5769,0.)); #526820=CARTESIAN_POINT('',(34.2286,59.5769,0.035)); #526821=CARTESIAN_POINT('',(34.2286,59.5769,0.035)); #526822=CARTESIAN_POINT('',(34.2286,59.5769,0.)); #526823=CARTESIAN_POINT('Origin',(34.233722,59.604369,0.)); #526824=CARTESIAN_POINT('',(34.233722,59.604369,0.)); #526825=CARTESIAN_POINT('',(34.233722,59.604369,0.)); #526826=CARTESIAN_POINT('',(34.233722,59.604369,0.035)); #526827=CARTESIAN_POINT('',(34.233722,59.604369,0.035)); #526828=CARTESIAN_POINT('',(34.233722,59.604369,0.)); #526829=CARTESIAN_POINT('Origin',(34.250066,59.629916,0.)); #526830=CARTESIAN_POINT('',(34.250066,59.629916,0.)); #526831=CARTESIAN_POINT('',(34.250066,59.629916,0.)); #526832=CARTESIAN_POINT('',(34.250066,59.629916,0.035)); #526833=CARTESIAN_POINT('',(34.250066,59.629916,0.035)); #526834=CARTESIAN_POINT('',(34.250066,59.629916,0.)); #526835=CARTESIAN_POINT('Origin',(34.275078,59.647066,0.)); #526836=CARTESIAN_POINT('',(34.275078,59.647066,0.)); #526837=CARTESIAN_POINT('',(34.275078,59.647066,0.)); #526838=CARTESIAN_POINT('',(34.275078,59.647066,0.035)); #526839=CARTESIAN_POINT('',(34.275078,59.647066,0.035)); #526840=CARTESIAN_POINT('',(34.275078,59.647066,0.)); #526841=CARTESIAN_POINT('Origin',(34.3048,59.6531,0.)); #526842=CARTESIAN_POINT('',(34.3048,59.6531,0.)); #526843=CARTESIAN_POINT('',(34.3048,59.6531,0.)); #526844=CARTESIAN_POINT('',(34.3048,59.6531,0.035)); #526845=CARTESIAN_POINT('',(34.3048,59.6531,0.035)); #526846=CARTESIAN_POINT('',(34.3048,59.6531,0.)); #526847=CARTESIAN_POINT('Origin',(34.895847,59.6531,0.)); #526848=CARTESIAN_POINT('',(34.895847,59.6531,0.)); #526849=CARTESIAN_POINT('',(34.895847,59.6531,0.)); #526850=CARTESIAN_POINT('',(34.895847,59.6531,0.035)); #526851=CARTESIAN_POINT('',(34.895847,59.6531,0.035)); #526852=CARTESIAN_POINT('',(34.895847,59.6531,0.)); #526853=CARTESIAN_POINT('Origin',(34.922178,59.648406,0.)); #526854=CARTESIAN_POINT('',(34.922178,59.648406,0.)); #526855=CARTESIAN_POINT('',(34.922178,59.648406,0.)); #526856=CARTESIAN_POINT('',(34.922178,59.648406,0.035)); #526857=CARTESIAN_POINT('',(34.922178,59.648406,0.035)); #526858=CARTESIAN_POINT('',(34.922178,59.648406,0.)); #526859=CARTESIAN_POINT('Origin',(34.947984,59.632472,0.)); #526860=CARTESIAN_POINT('',(34.947984,59.632472,0.)); #526861=CARTESIAN_POINT('',(34.947984,59.632472,0.)); #526862=CARTESIAN_POINT('',(34.947984,59.632472,0.035)); #526863=CARTESIAN_POINT('',(34.947984,59.632472,0.035)); #526864=CARTESIAN_POINT('',(34.947984,59.632472,0.)); #526865=CARTESIAN_POINT('Origin',(34.965528,59.607734,0.)); #526866=CARTESIAN_POINT('',(34.965528,59.607734,0.)); #526867=CARTESIAN_POINT('',(34.965528,59.607734,0.)); #526868=CARTESIAN_POINT('',(34.965528,59.607734,0.035)); #526869=CARTESIAN_POINT('',(34.965528,59.607734,0.035)); #526870=CARTESIAN_POINT('',(34.965528,59.607734,0.)); #526871=CARTESIAN_POINT('Origin',(34.972037,59.578116,0.)); #526872=CARTESIAN_POINT('',(34.972037,59.578116,0.)); #526873=CARTESIAN_POINT('',(34.972037,59.578116,0.)); #526874=CARTESIAN_POINT('',(34.972037,59.578116,0.035)); #526875=CARTESIAN_POINT('',(34.972037,59.578116,0.035)); #526876=CARTESIAN_POINT('',(34.972037,59.578116,0.)); #526877=CARTESIAN_POINT('Origin',(34.966478,59.548303,0.)); #526878=CARTESIAN_POINT('',(34.966478,59.548303,0.)); #526879=CARTESIAN_POINT('',(34.966478,59.548303,0.)); #526880=CARTESIAN_POINT('',(34.966478,59.548303,0.035)); #526881=CARTESIAN_POINT('',(34.966478,59.548303,0.035)); #526882=CARTESIAN_POINT('',(34.966478,59.548303,0.)); #526883=CARTESIAN_POINT('Origin',(34.949728,59.523019,0.)); #526884=CARTESIAN_POINT('',(34.949728,59.523019,0.)); #526885=CARTESIAN_POINT('',(34.949728,59.523019,0.)); #526886=CARTESIAN_POINT('',(34.949728,59.523019,0.035)); #526887=CARTESIAN_POINT('',(34.949728,59.523019,0.035)); #526888=CARTESIAN_POINT('',(34.949728,59.523019,0.)); #526889=CARTESIAN_POINT('Origin',(34.358681,58.931972,0.)); #526890=CARTESIAN_POINT('',(34.358681,58.931972,0.)); #526891=CARTESIAN_POINT('',(34.358681,58.931972,0.)); #526892=CARTESIAN_POINT('',(34.358681,58.931972,0.035)); #526893=CARTESIAN_POINT('',(34.358681,58.931972,0.035)); #526894=CARTESIAN_POINT('',(34.358681,58.931972,0.)); #526895=CARTESIAN_POINT('Origin',(34.336744,58.916672,0.)); #526896=CARTESIAN_POINT('',(34.336744,58.916672,0.)); #526897=CARTESIAN_POINT('',(34.336744,58.916672,0.035)); #526898=CARTESIAN_POINT('Origin',(34.5161841449181,59.3655165027143,0.035)); #526899=CARTESIAN_POINT('Origin',(34.5161841449181,59.3655165027143,0.)); #526900=CARTESIAN_POINT('Origin',(28.446416,25.19,0.)); #526901=CARTESIAN_POINT('',(28.446416,25.19,0.)); #526902=CARTESIAN_POINT('',(28.476034,25.196509,0.)); #526903=CARTESIAN_POINT('',(28.446416,25.19,0.)); #526904=CARTESIAN_POINT('',(28.476034,25.196509,0.035)); #526905=CARTESIAN_POINT('',(28.476034,25.196509,0.)); #526906=CARTESIAN_POINT('',(28.446416,25.19,0.035)); #526907=CARTESIAN_POINT('',(28.446416,25.19,0.035)); #526908=CARTESIAN_POINT('',(28.446416,25.19,0.)); #526909=CARTESIAN_POINT('Origin',(28.416603,25.195559,0.)); #526910=CARTESIAN_POINT('',(28.416603,25.195559,0.)); #526911=CARTESIAN_POINT('',(28.416603,25.195559,0.)); #526912=CARTESIAN_POINT('',(28.416603,25.195559,0.035)); #526913=CARTESIAN_POINT('',(28.416603,25.195559,0.035)); #526914=CARTESIAN_POINT('',(28.416603,25.195559,0.)); #526915=CARTESIAN_POINT('Origin',(28.391319,25.212309,0.)); #526916=CARTESIAN_POINT('',(28.391319,25.212309,0.)); #526917=CARTESIAN_POINT('',(28.391319,25.212309,0.)); #526918=CARTESIAN_POINT('',(28.391319,25.212309,0.035)); #526919=CARTESIAN_POINT('',(28.391319,25.212309,0.035)); #526920=CARTESIAN_POINT('',(28.391319,25.212309,0.)); #526921=CARTESIAN_POINT('Origin',(27.375028,26.2286,0.)); #526922=CARTESIAN_POINT('',(27.375028,26.2286,0.)); #526923=CARTESIAN_POINT('',(27.375028,26.2286,0.)); #526924=CARTESIAN_POINT('',(27.375028,26.2286,0.035)); #526925=CARTESIAN_POINT('',(27.375028,26.2286,0.035)); #526926=CARTESIAN_POINT('',(27.375028,26.2286,0.)); #526927=CARTESIAN_POINT('Origin',(25.391997,26.2286,0.)); #526928=CARTESIAN_POINT('',(25.391997,26.2286,0.)); #526929=CARTESIAN_POINT('',(25.391997,26.2286,0.)); #526930=CARTESIAN_POINT('',(25.391997,26.2286,0.035)); #526931=CARTESIAN_POINT('',(25.391997,26.2286,0.035)); #526932=CARTESIAN_POINT('',(25.391997,26.2286,0.)); #526933=CARTESIAN_POINT('Origin',(25.365666,26.233294,0.)); #526934=CARTESIAN_POINT('',(25.365666,26.233294,0.)); #526935=CARTESIAN_POINT('',(25.365666,26.233294,0.)); #526936=CARTESIAN_POINT('',(25.365666,26.233294,0.035)); #526937=CARTESIAN_POINT('',(25.365666,26.233294,0.035)); #526938=CARTESIAN_POINT('',(25.365666,26.233294,0.)); #526939=CARTESIAN_POINT('Origin',(25.339859,26.249228,0.)); #526940=CARTESIAN_POINT('',(25.339859,26.249228,0.)); #526941=CARTESIAN_POINT('',(25.339859,26.249228,0.)); #526942=CARTESIAN_POINT('',(25.339859,26.249228,0.035)); #526943=CARTESIAN_POINT('',(25.339859,26.249228,0.035)); #526944=CARTESIAN_POINT('',(25.339859,26.249228,0.)); #526945=CARTESIAN_POINT('Origin',(25.322316,26.273966,0.)); #526946=CARTESIAN_POINT('',(25.322316,26.273966,0.)); #526947=CARTESIAN_POINT('',(25.322316,26.273966,0.)); #526948=CARTESIAN_POINT('',(25.322316,26.273966,0.035)); #526949=CARTESIAN_POINT('',(25.322316,26.273966,0.035)); #526950=CARTESIAN_POINT('',(25.322316,26.273966,0.)); #526951=CARTESIAN_POINT('Origin',(25.315806,26.303584,0.)); #526952=CARTESIAN_POINT('',(25.315806,26.303584,0.)); #526953=CARTESIAN_POINT('',(25.315806,26.303584,0.)); #526954=CARTESIAN_POINT('',(25.315806,26.303584,0.035)); #526955=CARTESIAN_POINT('',(25.315806,26.303584,0.035)); #526956=CARTESIAN_POINT('',(25.315806,26.303584,0.)); #526957=CARTESIAN_POINT('Origin',(25.321366,26.333397,0.)); #526958=CARTESIAN_POINT('',(25.321366,26.333397,0.)); #526959=CARTESIAN_POINT('',(25.321366,26.333397,0.)); #526960=CARTESIAN_POINT('',(25.321366,26.333397,0.035)); #526961=CARTESIAN_POINT('',(25.321366,26.333397,0.035)); #526962=CARTESIAN_POINT('',(25.321366,26.333397,0.)); #526963=CARTESIAN_POINT('Origin',(25.338116,26.358681,0.)); #526964=CARTESIAN_POINT('',(25.338116,26.358681,0.)); #526965=CARTESIAN_POINT('',(25.338116,26.358681,0.)); #526966=CARTESIAN_POINT('',(25.338116,26.358681,0.035)); #526967=CARTESIAN_POINT('',(25.338116,26.358681,0.035)); #526968=CARTESIAN_POINT('',(25.338116,26.358681,0.)); #526969=CARTESIAN_POINT('Origin',(25.4274,26.447966,0.)); #526970=CARTESIAN_POINT('',(25.4274,26.447966,0.)); #526971=CARTESIAN_POINT('',(25.4274,26.447966,0.)); #526972=CARTESIAN_POINT('',(25.4274,26.447966,0.035)); #526973=CARTESIAN_POINT('',(25.4274,26.447966,0.035)); #526974=CARTESIAN_POINT('',(25.4274,26.447966,0.)); #526975=CARTESIAN_POINT('Origin',(25.4274,26.802034,0.)); #526976=CARTESIAN_POINT('',(25.4274,26.802034,0.)); #526977=CARTESIAN_POINT('',(25.4274,26.802034,0.)); #526978=CARTESIAN_POINT('',(25.4274,26.802034,0.035)); #526979=CARTESIAN_POINT('',(25.4274,26.802034,0.035)); #526980=CARTESIAN_POINT('',(25.4274,26.802034,0.)); #526981=CARTESIAN_POINT('Origin',(25.250919,26.978516,0.)); #526982=CARTESIAN_POINT('',(25.250919,26.978516,0.)); #526983=CARTESIAN_POINT('',(25.250919,26.978516,0.)); #526984=CARTESIAN_POINT('',(25.250919,26.978516,0.035)); #526985=CARTESIAN_POINT('',(25.250919,26.978516,0.035)); #526986=CARTESIAN_POINT('',(25.250919,26.978516,0.)); #526987=CARTESIAN_POINT('Origin',(25.234634,27.002675,0.)); #526988=CARTESIAN_POINT('',(25.234634,27.002675,0.)); #526989=CARTESIAN_POINT('',(25.234634,27.002675,0.)); #526990=CARTESIAN_POINT('',(25.234634,27.002675,0.035)); #526991=CARTESIAN_POINT('',(25.234634,27.002675,0.035)); #526992=CARTESIAN_POINT('',(25.234634,27.002675,0.)); #526993=CARTESIAN_POINT('Origin',(25.2286,27.032397,0.)); #526994=CARTESIAN_POINT('',(25.2286,27.032397,0.)); #526995=CARTESIAN_POINT('',(25.2286,27.032397,0.)); #526996=CARTESIAN_POINT('',(25.2286,27.032397,0.035)); #526997=CARTESIAN_POINT('',(25.2286,27.032397,0.035)); #526998=CARTESIAN_POINT('',(25.2286,27.032397,0.)); #526999=CARTESIAN_POINT('Origin',(25.2286,27.5903,0.)); #527000=CARTESIAN_POINT('',(25.2286,27.5903,0.)); #527001=CARTESIAN_POINT('',(25.2286,27.5903,0.)); #527002=CARTESIAN_POINT('',(25.2286,27.5903,0.035)); #527003=CARTESIAN_POINT('',(25.2286,27.5903,0.035)); #527004=CARTESIAN_POINT('',(25.2286,27.5903,0.)); #527005=CARTESIAN_POINT('Origin',(25.233722,27.617769,0.)); #527006=CARTESIAN_POINT('',(25.233722,27.617769,0.)); #527007=CARTESIAN_POINT('',(25.233722,27.617769,0.)); #527008=CARTESIAN_POINT('',(25.233722,27.617769,0.035)); #527009=CARTESIAN_POINT('',(25.233722,27.617769,0.035)); #527010=CARTESIAN_POINT('',(25.233722,27.617769,0.)); #527011=CARTESIAN_POINT('Origin',(25.250066,27.643316,0.)); #527012=CARTESIAN_POINT('',(25.250066,27.643316,0.)); #527013=CARTESIAN_POINT('',(25.250066,27.643316,0.)); #527014=CARTESIAN_POINT('',(25.250066,27.643316,0.035)); #527015=CARTESIAN_POINT('',(25.250066,27.643316,0.035)); #527016=CARTESIAN_POINT('',(25.250066,27.643316,0.)); #527017=CARTESIAN_POINT('Origin',(25.275078,27.660466,0.)); #527018=CARTESIAN_POINT('',(25.275078,27.660466,0.)); #527019=CARTESIAN_POINT('',(25.275078,27.660466,0.)); #527020=CARTESIAN_POINT('',(25.275078,27.660466,0.035)); #527021=CARTESIAN_POINT('',(25.275078,27.660466,0.035)); #527022=CARTESIAN_POINT('',(25.275078,27.660466,0.)); #527023=CARTESIAN_POINT('Origin',(25.3048,27.6665,0.)); #527024=CARTESIAN_POINT('',(25.3048,27.6665,0.)); #527025=CARTESIAN_POINT('',(25.3048,27.6665,0.)); #527026=CARTESIAN_POINT('',(25.3048,27.6665,0.035)); #527027=CARTESIAN_POINT('',(25.3048,27.6665,0.035)); #527028=CARTESIAN_POINT('',(25.3048,27.6665,0.)); #527029=CARTESIAN_POINT('Origin',(25.507628,27.6665,0.)); #527030=CARTESIAN_POINT('',(25.507628,27.6665,0.)); #527031=CARTESIAN_POINT('',(25.507628,27.6665,0.)); #527032=CARTESIAN_POINT('',(25.507628,27.6665,0.035)); #527033=CARTESIAN_POINT('',(25.507628,27.6665,0.035)); #527034=CARTESIAN_POINT('',(25.507628,27.6665,0.)); #527035=CARTESIAN_POINT('Origin',(25.5969,27.755772,0.)); #527036=CARTESIAN_POINT('',(25.5969,27.755772,0.)); #527037=CARTESIAN_POINT('',(25.5969,27.755772,0.)); #527038=CARTESIAN_POINT('',(25.5969,27.755772,0.035)); #527039=CARTESIAN_POINT('',(25.5969,27.755772,0.035)); #527040=CARTESIAN_POINT('',(25.5969,27.755772,0.)); #527041=CARTESIAN_POINT('Origin',(25.5969,28.645847,0.)); #527042=CARTESIAN_POINT('',(25.5969,28.645847,0.)); #527043=CARTESIAN_POINT('',(25.5969,28.645847,0.)); #527044=CARTESIAN_POINT('',(25.5969,28.645847,0.035)); #527045=CARTESIAN_POINT('',(25.5969,28.645847,0.035)); #527046=CARTESIAN_POINT('',(25.5969,28.645847,0.)); #527047=CARTESIAN_POINT('Origin',(25.601594,28.672178,0.)); #527048=CARTESIAN_POINT('',(25.601594,28.672178,0.)); #527049=CARTESIAN_POINT('',(25.601594,28.672178,0.)); #527050=CARTESIAN_POINT('',(25.601594,28.672178,0.035)); #527051=CARTESIAN_POINT('',(25.601594,28.672178,0.035)); #527052=CARTESIAN_POINT('',(25.601594,28.672178,0.)); #527053=CARTESIAN_POINT('Origin',(25.617528,28.697984,0.)); #527054=CARTESIAN_POINT('',(25.617528,28.697984,0.)); #527055=CARTESIAN_POINT('',(25.617528,28.697984,0.)); #527056=CARTESIAN_POINT('',(25.617528,28.697984,0.035)); #527057=CARTESIAN_POINT('',(25.617528,28.697984,0.035)); #527058=CARTESIAN_POINT('',(25.617528,28.697984,0.)); #527059=CARTESIAN_POINT('Origin',(25.642266,28.715528,0.)); #527060=CARTESIAN_POINT('',(25.642266,28.715528,0.)); #527061=CARTESIAN_POINT('',(25.642266,28.715528,0.)); #527062=CARTESIAN_POINT('',(25.642266,28.715528,0.035)); #527063=CARTESIAN_POINT('',(25.642266,28.715528,0.035)); #527064=CARTESIAN_POINT('',(25.642266,28.715528,0.)); #527065=CARTESIAN_POINT('Origin',(25.671884,28.722038,0.)); #527066=CARTESIAN_POINT('',(25.671884,28.722038,0.)); #527067=CARTESIAN_POINT('',(25.671884,28.722038,0.)); #527068=CARTESIAN_POINT('',(25.671884,28.722038,0.035)); #527069=CARTESIAN_POINT('',(25.671884,28.722038,0.035)); #527070=CARTESIAN_POINT('',(25.671884,28.722038,0.)); #527071=CARTESIAN_POINT('Origin',(25.701697,28.716478,0.)); #527072=CARTESIAN_POINT('',(25.701697,28.716478,0.)); #527073=CARTESIAN_POINT('',(25.701697,28.716478,0.)); #527074=CARTESIAN_POINT('',(25.701697,28.716478,0.035)); #527075=CARTESIAN_POINT('',(25.701697,28.716478,0.035)); #527076=CARTESIAN_POINT('',(25.701697,28.716478,0.)); #527077=CARTESIAN_POINT('Origin',(25.726981,28.699728,0.)); #527078=CARTESIAN_POINT('',(25.726981,28.699728,0.)); #527079=CARTESIAN_POINT('',(25.726981,28.699728,0.)); #527080=CARTESIAN_POINT('',(25.726981,28.699728,0.035)); #527081=CARTESIAN_POINT('',(25.726981,28.699728,0.035)); #527082=CARTESIAN_POINT('',(25.726981,28.699728,0.)); #527083=CARTESIAN_POINT('Origin',(28.499081,25.927628,0.)); #527084=CARTESIAN_POINT('',(28.499081,25.927628,0.)); #527085=CARTESIAN_POINT('',(28.499081,25.927628,0.)); #527086=CARTESIAN_POINT('',(28.499081,25.927628,0.035)); #527087=CARTESIAN_POINT('',(28.499081,25.927628,0.035)); #527088=CARTESIAN_POINT('',(28.499081,25.927628,0.)); #527089=CARTESIAN_POINT('Origin',(28.515366,25.903469,0.)); #527090=CARTESIAN_POINT('',(28.515366,25.903469,0.)); #527091=CARTESIAN_POINT('',(28.515366,25.903469,0.)); #527092=CARTESIAN_POINT('',(28.515366,25.903469,0.035)); #527093=CARTESIAN_POINT('',(28.515366,25.903469,0.035)); #527094=CARTESIAN_POINT('',(28.515366,25.903469,0.)); #527095=CARTESIAN_POINT('Origin',(28.5214,25.873747,0.)); #527096=CARTESIAN_POINT('',(28.5214,25.873747,0.)); #527097=CARTESIAN_POINT('',(28.5214,25.873747,0.)); #527098=CARTESIAN_POINT('',(28.5214,25.873747,0.035)); #527099=CARTESIAN_POINT('',(28.5214,25.873747,0.035)); #527100=CARTESIAN_POINT('',(28.5214,25.873747,0.)); #527101=CARTESIAN_POINT('Origin',(28.5214,25.266191,0.)); #527102=CARTESIAN_POINT('',(28.5214,25.266191,0.)); #527103=CARTESIAN_POINT('',(28.5214,25.266191,0.)); #527104=CARTESIAN_POINT('',(28.5214,25.266191,0.035)); #527105=CARTESIAN_POINT('',(28.5214,25.266191,0.035)); #527106=CARTESIAN_POINT('',(28.5214,25.266191,0.)); #527107=CARTESIAN_POINT('Origin',(28.516706,25.239859,0.)); #527108=CARTESIAN_POINT('',(28.516706,25.239859,0.)); #527109=CARTESIAN_POINT('',(28.516706,25.239859,0.)); #527110=CARTESIAN_POINT('',(28.516706,25.239859,0.035)); #527111=CARTESIAN_POINT('',(28.516706,25.239859,0.035)); #527112=CARTESIAN_POINT('',(28.516706,25.239859,0.)); #527113=CARTESIAN_POINT('Origin',(28.500772,25.214053,0.)); #527114=CARTESIAN_POINT('',(28.500772,25.214053,0.)); #527115=CARTESIAN_POINT('',(28.500772,25.214053,0.)); #527116=CARTESIAN_POINT('',(28.500772,25.214053,0.035)); #527117=CARTESIAN_POINT('',(28.500772,25.214053,0.035)); #527118=CARTESIAN_POINT('',(28.500772,25.214053,0.)); #527119=CARTESIAN_POINT('Origin',(28.476034,25.196509,0.)); #527120=CARTESIAN_POINT('',(28.476034,25.196509,0.)); #527121=CARTESIAN_POINT('',(28.476034,25.196509,0.035)); #527122=CARTESIAN_POINT('Origin',(26.7326332122928,26.8300032122268,0.035)); #527123=CARTESIAN_POINT('Origin',(26.7326332122928,26.8300032122268,0.)); #527124=CARTESIAN_POINT('Origin',(26.376216,36.261006,0.)); #527125=CARTESIAN_POINT('',(26.376216,36.261006,0.)); #527126=CARTESIAN_POINT('',(26.405834,36.267516,0.)); #527127=CARTESIAN_POINT('',(26.376216,36.261006,0.)); #527128=CARTESIAN_POINT('',(26.405834,36.267516,0.035)); #527129=CARTESIAN_POINT('',(26.405834,36.267516,0.)); #527130=CARTESIAN_POINT('',(26.376216,36.261006,0.035)); #527131=CARTESIAN_POINT('',(26.376216,36.261006,0.035)); #527132=CARTESIAN_POINT('',(26.376216,36.261006,0.)); #527133=CARTESIAN_POINT('Origin',(26.346403,36.266566,0.)); #527134=CARTESIAN_POINT('',(26.346403,36.266566,0.)); #527135=CARTESIAN_POINT('',(26.346403,36.266566,0.)); #527136=CARTESIAN_POINT('',(26.346403,36.266566,0.035)); #527137=CARTESIAN_POINT('',(26.346403,36.266566,0.035)); #527138=CARTESIAN_POINT('',(26.346403,36.266566,0.)); #527139=CARTESIAN_POINT('Origin',(26.321119,36.283316,0.)); #527140=CARTESIAN_POINT('',(26.321119,36.283316,0.)); #527141=CARTESIAN_POINT('',(26.321119,36.283316,0.)); #527142=CARTESIAN_POINT('',(26.321119,36.283316,0.035)); #527143=CARTESIAN_POINT('',(26.321119,36.283316,0.035)); #527144=CARTESIAN_POINT('',(26.321119,36.283316,0.)); #527145=CARTESIAN_POINT('Origin',(26.250919,36.353516,0.)); #527146=CARTESIAN_POINT('',(26.250919,36.353516,0.)); #527147=CARTESIAN_POINT('',(26.250919,36.353516,0.)); #527148=CARTESIAN_POINT('',(26.250919,36.353516,0.035)); #527149=CARTESIAN_POINT('',(26.250919,36.353516,0.035)); #527150=CARTESIAN_POINT('',(26.250919,36.353516,0.)); #527151=CARTESIAN_POINT('Origin',(26.234634,36.377675,0.)); #527152=CARTESIAN_POINT('',(26.234634,36.377675,0.)); #527153=CARTESIAN_POINT('',(26.234634,36.377675,0.)); #527154=CARTESIAN_POINT('',(26.234634,36.377675,0.035)); #527155=CARTESIAN_POINT('',(26.234634,36.377675,0.035)); #527156=CARTESIAN_POINT('',(26.234634,36.377675,0.)); #527157=CARTESIAN_POINT('Origin',(26.2286,36.407397,0.)); #527158=CARTESIAN_POINT('',(26.2286,36.407397,0.)); #527159=CARTESIAN_POINT('',(26.2286,36.407397,0.)); #527160=CARTESIAN_POINT('',(26.2286,36.407397,0.035)); #527161=CARTESIAN_POINT('',(26.2286,36.407397,0.035)); #527162=CARTESIAN_POINT('',(26.2286,36.407397,0.)); #527163=CARTESIAN_POINT('Origin',(26.2286,36.423134,0.)); #527164=CARTESIAN_POINT('',(26.2286,36.423134,0.)); #527165=CARTESIAN_POINT('',(26.2286,36.423134,0.)); #527166=CARTESIAN_POINT('',(26.2286,36.423134,0.035)); #527167=CARTESIAN_POINT('',(26.2286,36.423134,0.035)); #527168=CARTESIAN_POINT('',(26.2286,36.423134,0.)); #527169=CARTESIAN_POINT('Origin',(26.233294,36.449466,0.)); #527170=CARTESIAN_POINT('',(26.233294,36.449466,0.)); #527171=CARTESIAN_POINT('',(26.233294,36.449466,0.)); #527172=CARTESIAN_POINT('',(26.233294,36.449466,0.035)); #527173=CARTESIAN_POINT('',(26.233294,36.449466,0.035)); #527174=CARTESIAN_POINT('',(26.233294,36.449466,0.)); #527175=CARTESIAN_POINT('Origin',(26.249228,36.475272,0.)); #527176=CARTESIAN_POINT('',(26.249228,36.475272,0.)); #527177=CARTESIAN_POINT('',(26.249228,36.475272,0.)); #527178=CARTESIAN_POINT('',(26.249228,36.475272,0.035)); #527179=CARTESIAN_POINT('',(26.249228,36.475272,0.035)); #527180=CARTESIAN_POINT('',(26.249228,36.475272,0.)); #527181=CARTESIAN_POINT('Origin',(26.273966,36.492816,0.)); #527182=CARTESIAN_POINT('',(26.273966,36.492816,0.)); #527183=CARTESIAN_POINT('',(26.273966,36.492816,0.)); #527184=CARTESIAN_POINT('',(26.273966,36.492816,0.035)); #527185=CARTESIAN_POINT('',(26.273966,36.492816,0.035)); #527186=CARTESIAN_POINT('',(26.273966,36.492816,0.)); #527187=CARTESIAN_POINT('Origin',(26.303584,36.499325,0.)); #527188=CARTESIAN_POINT('',(26.303584,36.499325,0.)); #527189=CARTESIAN_POINT('',(26.303584,36.499325,0.)); #527190=CARTESIAN_POINT('',(26.303584,36.499325,0.035)); #527191=CARTESIAN_POINT('',(26.303584,36.499325,0.035)); #527192=CARTESIAN_POINT('',(26.303584,36.499325,0.)); #527193=CARTESIAN_POINT('Origin',(26.333397,36.493766,0.)); #527194=CARTESIAN_POINT('',(26.333397,36.493766,0.)); #527195=CARTESIAN_POINT('',(26.333397,36.493766,0.)); #527196=CARTESIAN_POINT('',(26.333397,36.493766,0.035)); #527197=CARTESIAN_POINT('',(26.333397,36.493766,0.035)); #527198=CARTESIAN_POINT('',(26.333397,36.493766,0.)); #527199=CARTESIAN_POINT('Origin',(26.358681,36.477016,0.)); #527200=CARTESIAN_POINT('',(26.358681,36.477016,0.)); #527201=CARTESIAN_POINT('',(26.358681,36.477016,0.)); #527202=CARTESIAN_POINT('',(26.358681,36.477016,0.035)); #527203=CARTESIAN_POINT('',(26.358681,36.477016,0.035)); #527204=CARTESIAN_POINT('',(26.358681,36.477016,0.)); #527205=CARTESIAN_POINT('Origin',(26.43675,36.398947,0.)); #527206=CARTESIAN_POINT('',(26.43675,36.398947,0.)); #527207=CARTESIAN_POINT('',(26.43675,36.398947,0.)); #527208=CARTESIAN_POINT('',(26.43675,36.398947,0.035)); #527209=CARTESIAN_POINT('',(26.43675,36.398947,0.035)); #527210=CARTESIAN_POINT('',(26.43675,36.398947,0.)); #527211=CARTESIAN_POINT('Origin',(26.45255,36.3759,0.)); #527212=CARTESIAN_POINT('',(26.45255,36.3759,0.)); #527213=CARTESIAN_POINT('',(26.45255,36.3759,0.)); #527214=CARTESIAN_POINT('',(26.45255,36.3759,0.035)); #527215=CARTESIAN_POINT('',(26.45255,36.3759,0.035)); #527216=CARTESIAN_POINT('',(26.45255,36.3759,0.)); #527217=CARTESIAN_POINT('Origin',(26.459059,36.346281,0.)); #527218=CARTESIAN_POINT('',(26.459059,36.346281,0.)); #527219=CARTESIAN_POINT('',(26.459059,36.346281,0.)); #527220=CARTESIAN_POINT('',(26.459059,36.346281,0.035)); #527221=CARTESIAN_POINT('',(26.459059,36.346281,0.035)); #527222=CARTESIAN_POINT('',(26.459059,36.346281,0.)); #527223=CARTESIAN_POINT('Origin',(26.4535,36.316469,0.)); #527224=CARTESIAN_POINT('',(26.4535,36.316469,0.)); #527225=CARTESIAN_POINT('',(26.4535,36.316469,0.)); #527226=CARTESIAN_POINT('',(26.4535,36.316469,0.035)); #527227=CARTESIAN_POINT('',(26.4535,36.316469,0.035)); #527228=CARTESIAN_POINT('',(26.4535,36.316469,0.)); #527229=CARTESIAN_POINT('Origin',(26.43675,36.291184,0.)); #527230=CARTESIAN_POINT('',(26.43675,36.291184,0.)); #527231=CARTESIAN_POINT('',(26.43675,36.291184,0.)); #527232=CARTESIAN_POINT('',(26.43675,36.291184,0.035)); #527233=CARTESIAN_POINT('',(26.43675,36.291184,0.035)); #527234=CARTESIAN_POINT('',(26.43675,36.291184,0.)); #527235=CARTESIAN_POINT('Origin',(26.428881,36.283316,0.)); #527236=CARTESIAN_POINT('',(26.428881,36.283316,0.)); #527237=CARTESIAN_POINT('',(26.428881,36.283316,0.)); #527238=CARTESIAN_POINT('',(26.428881,36.283316,0.035)); #527239=CARTESIAN_POINT('',(26.428881,36.283316,0.035)); #527240=CARTESIAN_POINT('',(26.428881,36.283316,0.)); #527241=CARTESIAN_POINT('Origin',(26.405834,36.267516,0.)); #527242=CARTESIAN_POINT('',(26.405834,36.267516,0.)); #527243=CARTESIAN_POINT('',(26.405834,36.267516,0.035)); #527244=CARTESIAN_POINT('Origin',(26.3416538963641,36.379841676012,0.035)); #527245=CARTESIAN_POINT('Origin',(26.3416538963641,36.379841676012,0.)); #527246=CARTESIAN_POINT('Origin',(24.608003,26.2286,0.)); #527247=CARTESIAN_POINT('',(24.608003,26.2286,0.)); #527248=CARTESIAN_POINT('',(24.637725,26.234634,0.)); #527249=CARTESIAN_POINT('',(24.608003,26.2286,0.)); #527250=CARTESIAN_POINT('',(24.637725,26.234634,0.035)); #527251=CARTESIAN_POINT('',(24.637725,26.234634,0.)); #527252=CARTESIAN_POINT('',(24.608003,26.2286,0.035)); #527253=CARTESIAN_POINT('',(24.608003,26.2286,0.035)); #527254=CARTESIAN_POINT('',(24.608003,26.2286,0.)); #527255=CARTESIAN_POINT('Origin',(24.4097,26.2286,0.)); #527256=CARTESIAN_POINT('',(24.4097,26.2286,0.)); #527257=CARTESIAN_POINT('',(24.4097,26.2286,0.)); #527258=CARTESIAN_POINT('',(24.4097,26.2286,0.035)); #527259=CARTESIAN_POINT('',(24.4097,26.2286,0.035)); #527260=CARTESIAN_POINT('',(24.4097,26.2286,0.)); #527261=CARTESIAN_POINT('Origin',(24.382231,26.233722,0.)); #527262=CARTESIAN_POINT('',(24.382231,26.233722,0.)); #527263=CARTESIAN_POINT('',(24.382231,26.233722,0.)); #527264=CARTESIAN_POINT('',(24.382231,26.233722,0.035)); #527265=CARTESIAN_POINT('',(24.382231,26.233722,0.035)); #527266=CARTESIAN_POINT('',(24.382231,26.233722,0.)); #527267=CARTESIAN_POINT('Origin',(24.356684,26.250066,0.)); #527268=CARTESIAN_POINT('',(24.356684,26.250066,0.)); #527269=CARTESIAN_POINT('',(24.356684,26.250066,0.)); #527270=CARTESIAN_POINT('',(24.356684,26.250066,0.035)); #527271=CARTESIAN_POINT('',(24.356684,26.250066,0.035)); #527272=CARTESIAN_POINT('',(24.356684,26.250066,0.)); #527273=CARTESIAN_POINT('Origin',(24.339534,26.275078,0.)); #527274=CARTESIAN_POINT('',(24.339534,26.275078,0.)); #527275=CARTESIAN_POINT('',(24.339534,26.275078,0.)); #527276=CARTESIAN_POINT('',(24.339534,26.275078,0.035)); #527277=CARTESIAN_POINT('',(24.339534,26.275078,0.035)); #527278=CARTESIAN_POINT('',(24.339534,26.275078,0.)); #527279=CARTESIAN_POINT('Origin',(24.3335,26.3048,0.)); #527280=CARTESIAN_POINT('',(24.3335,26.3048,0.)); #527281=CARTESIAN_POINT('',(24.3335,26.3048,0.)); #527282=CARTESIAN_POINT('',(24.3335,26.3048,0.035)); #527283=CARTESIAN_POINT('',(24.3335,26.3048,0.035)); #527284=CARTESIAN_POINT('',(24.3335,26.3048,0.)); #527285=CARTESIAN_POINT('Origin',(24.3335,26.507628,0.)); #527286=CARTESIAN_POINT('',(24.3335,26.507628,0.)); #527287=CARTESIAN_POINT('',(24.3335,26.507628,0.)); #527288=CARTESIAN_POINT('',(24.3335,26.507628,0.035)); #527289=CARTESIAN_POINT('',(24.3335,26.507628,0.035)); #527290=CARTESIAN_POINT('',(24.3335,26.507628,0.)); #527291=CARTESIAN_POINT('Origin',(24.244228,26.5969,0.)); #527292=CARTESIAN_POINT('',(24.244228,26.5969,0.)); #527293=CARTESIAN_POINT('',(24.244228,26.5969,0.)); #527294=CARTESIAN_POINT('',(24.244228,26.5969,0.035)); #527295=CARTESIAN_POINT('',(24.244228,26.5969,0.035)); #527296=CARTESIAN_POINT('',(24.244228,26.5969,0.)); #527297=CARTESIAN_POINT('Origin',(23.3048,26.5969,0.)); #527298=CARTESIAN_POINT('',(23.3048,26.5969,0.)); #527299=CARTESIAN_POINT('',(23.3048,26.5969,0.)); #527300=CARTESIAN_POINT('',(23.3048,26.5969,0.035)); #527301=CARTESIAN_POINT('',(23.3048,26.5969,0.035)); #527302=CARTESIAN_POINT('',(23.3048,26.5969,0.)); #527303=CARTESIAN_POINT('Origin',(23.277331,26.602022,0.)); #527304=CARTESIAN_POINT('',(23.277331,26.602022,0.)); #527305=CARTESIAN_POINT('',(23.277331,26.602022,0.)); #527306=CARTESIAN_POINT('',(23.277331,26.602022,0.035)); #527307=CARTESIAN_POINT('',(23.277331,26.602022,0.035)); #527308=CARTESIAN_POINT('',(23.277331,26.602022,0.)); #527309=CARTESIAN_POINT('Origin',(23.251784,26.618366,0.)); #527310=CARTESIAN_POINT('',(23.251784,26.618366,0.)); #527311=CARTESIAN_POINT('',(23.251784,26.618366,0.)); #527312=CARTESIAN_POINT('',(23.251784,26.618366,0.035)); #527313=CARTESIAN_POINT('',(23.251784,26.618366,0.035)); #527314=CARTESIAN_POINT('',(23.251784,26.618366,0.)); #527315=CARTESIAN_POINT('Origin',(23.234634,26.643378,0.)); #527316=CARTESIAN_POINT('',(23.234634,26.643378,0.)); #527317=CARTESIAN_POINT('',(23.234634,26.643378,0.)); #527318=CARTESIAN_POINT('',(23.234634,26.643378,0.035)); #527319=CARTESIAN_POINT('',(23.234634,26.643378,0.035)); #527320=CARTESIAN_POINT('',(23.234634,26.643378,0.)); #527321=CARTESIAN_POINT('Origin',(23.2286,26.6731,0.)); #527322=CARTESIAN_POINT('',(23.2286,26.6731,0.)); #527323=CARTESIAN_POINT('',(23.2286,26.6731,0.)); #527324=CARTESIAN_POINT('',(23.2286,26.6731,0.035)); #527325=CARTESIAN_POINT('',(23.2286,26.6731,0.035)); #527326=CARTESIAN_POINT('',(23.2286,26.6731,0.)); #527327=CARTESIAN_POINT('Origin',(23.2286,27.594691,0.)); #527328=CARTESIAN_POINT('',(23.2286,27.594691,0.)); #527329=CARTESIAN_POINT('',(23.2286,27.594691,0.)); #527330=CARTESIAN_POINT('',(23.2286,27.594691,0.035)); #527331=CARTESIAN_POINT('',(23.2286,27.594691,0.035)); #527332=CARTESIAN_POINT('',(23.2286,27.594691,0.)); #527333=CARTESIAN_POINT('Origin',(22.356691,28.4666,0.)); #527334=CARTESIAN_POINT('',(22.356691,28.4666,0.)); #527335=CARTESIAN_POINT('',(22.356691,28.4666,0.)); #527336=CARTESIAN_POINT('',(22.356691,28.4666,0.035)); #527337=CARTESIAN_POINT('',(22.356691,28.4666,0.035)); #527338=CARTESIAN_POINT('',(22.356691,28.4666,0.)); #527339=CARTESIAN_POINT('Origin',(21.6731,28.4666,0.)); #527340=CARTESIAN_POINT('',(21.6731,28.4666,0.)); #527341=CARTESIAN_POINT('',(21.6731,28.4666,0.)); #527342=CARTESIAN_POINT('',(21.6731,28.4666,0.035)); #527343=CARTESIAN_POINT('',(21.6731,28.4666,0.035)); #527344=CARTESIAN_POINT('',(21.6731,28.4666,0.)); #527345=CARTESIAN_POINT('Origin',(21.645631,28.471722,0.)); #527346=CARTESIAN_POINT('',(21.645631,28.471722,0.)); #527347=CARTESIAN_POINT('',(21.645631,28.471722,0.)); #527348=CARTESIAN_POINT('',(21.645631,28.471722,0.035)); #527349=CARTESIAN_POINT('',(21.645631,28.471722,0.035)); #527350=CARTESIAN_POINT('',(21.645631,28.471722,0.)); #527351=CARTESIAN_POINT('Origin',(21.620084,28.488066,0.)); #527352=CARTESIAN_POINT('',(21.620084,28.488066,0.)); #527353=CARTESIAN_POINT('',(21.620084,28.488066,0.)); #527354=CARTESIAN_POINT('',(21.620084,28.488066,0.035)); #527355=CARTESIAN_POINT('',(21.620084,28.488066,0.035)); #527356=CARTESIAN_POINT('',(21.620084,28.488066,0.)); #527357=CARTESIAN_POINT('Origin',(21.602934,28.513078,0.)); #527358=CARTESIAN_POINT('',(21.602934,28.513078,0.)); #527359=CARTESIAN_POINT('',(21.602934,28.513078,0.)); #527360=CARTESIAN_POINT('',(21.602934,28.513078,0.035)); #527361=CARTESIAN_POINT('',(21.602934,28.513078,0.035)); #527362=CARTESIAN_POINT('',(21.602934,28.513078,0.)); #527363=CARTESIAN_POINT('Origin',(21.5969,28.5428,0.)); #527364=CARTESIAN_POINT('',(21.5969,28.5428,0.)); #527365=CARTESIAN_POINT('',(21.5969,28.5428,0.)); #527366=CARTESIAN_POINT('',(21.5969,28.5428,0.035)); #527367=CARTESIAN_POINT('',(21.5969,28.5428,0.035)); #527368=CARTESIAN_POINT('',(21.5969,28.5428,0.)); #527369=CARTESIAN_POINT('Origin',(21.5969,28.6952,0.)); #527370=CARTESIAN_POINT('',(21.5969,28.6952,0.)); #527371=CARTESIAN_POINT('',(21.5969,28.6952,0.)); #527372=CARTESIAN_POINT('',(21.5969,28.6952,0.035)); #527373=CARTESIAN_POINT('',(21.5969,28.6952,0.035)); #527374=CARTESIAN_POINT('',(21.5969,28.6952,0.)); #527375=CARTESIAN_POINT('Origin',(21.602022,28.722669,0.)); #527376=CARTESIAN_POINT('',(21.602022,28.722669,0.)); #527377=CARTESIAN_POINT('',(21.602022,28.722669,0.)); #527378=CARTESIAN_POINT('',(21.602022,28.722669,0.035)); #527379=CARTESIAN_POINT('',(21.602022,28.722669,0.035)); #527380=CARTESIAN_POINT('',(21.602022,28.722669,0.)); #527381=CARTESIAN_POINT('Origin',(21.618366,28.748216,0.)); #527382=CARTESIAN_POINT('',(21.618366,28.748216,0.)); #527383=CARTESIAN_POINT('',(21.618366,28.748216,0.)); #527384=CARTESIAN_POINT('',(21.618366,28.748216,0.035)); #527385=CARTESIAN_POINT('',(21.618366,28.748216,0.035)); #527386=CARTESIAN_POINT('',(21.618366,28.748216,0.)); #527387=CARTESIAN_POINT('Origin',(21.643378,28.765366,0.)); #527388=CARTESIAN_POINT('',(21.643378,28.765366,0.)); #527389=CARTESIAN_POINT('',(21.643378,28.765366,0.)); #527390=CARTESIAN_POINT('',(21.643378,28.765366,0.035)); #527391=CARTESIAN_POINT('',(21.643378,28.765366,0.035)); #527392=CARTESIAN_POINT('',(21.643378,28.765366,0.)); #527393=CARTESIAN_POINT('Origin',(21.6731,28.7714,0.)); #527394=CARTESIAN_POINT('',(21.6731,28.7714,0.)); #527395=CARTESIAN_POINT('',(21.6731,28.7714,0.)); #527396=CARTESIAN_POINT('',(21.6731,28.7714,0.035)); #527397=CARTESIAN_POINT('',(21.6731,28.7714,0.035)); #527398=CARTESIAN_POINT('',(21.6731,28.7714,0.)); #527399=CARTESIAN_POINT('Origin',(24.3269,28.7714,0.)); #527400=CARTESIAN_POINT('',(24.3269,28.7714,0.)); #527401=CARTESIAN_POINT('',(24.3269,28.7714,0.)); #527402=CARTESIAN_POINT('',(24.3269,28.7714,0.035)); #527403=CARTESIAN_POINT('',(24.3269,28.7714,0.035)); #527404=CARTESIAN_POINT('',(24.3269,28.7714,0.)); #527405=CARTESIAN_POINT('Origin',(24.354369,28.766278,0.)); #527406=CARTESIAN_POINT('',(24.354369,28.766278,0.)); #527407=CARTESIAN_POINT('',(24.354369,28.766278,0.)); #527408=CARTESIAN_POINT('',(24.354369,28.766278,0.035)); #527409=CARTESIAN_POINT('',(24.354369,28.766278,0.035)); #527410=CARTESIAN_POINT('',(24.354369,28.766278,0.)); #527411=CARTESIAN_POINT('Origin',(24.379916,28.749934,0.)); #527412=CARTESIAN_POINT('',(24.379916,28.749934,0.)); #527413=CARTESIAN_POINT('',(24.379916,28.749934,0.)); #527414=CARTESIAN_POINT('',(24.379916,28.749934,0.035)); #527415=CARTESIAN_POINT('',(24.379916,28.749934,0.035)); #527416=CARTESIAN_POINT('',(24.379916,28.749934,0.)); #527417=CARTESIAN_POINT('Origin',(24.397066,28.724922,0.)); #527418=CARTESIAN_POINT('',(24.397066,28.724922,0.)); #527419=CARTESIAN_POINT('',(24.397066,28.724922,0.)); #527420=CARTESIAN_POINT('',(24.397066,28.724922,0.035)); #527421=CARTESIAN_POINT('',(24.397066,28.724922,0.035)); #527422=CARTESIAN_POINT('',(24.397066,28.724922,0.)); #527423=CARTESIAN_POINT('Origin',(24.4031,28.6952,0.)); #527424=CARTESIAN_POINT('',(24.4031,28.6952,0.)); #527425=CARTESIAN_POINT('',(24.4031,28.6952,0.)); #527426=CARTESIAN_POINT('',(24.4031,28.6952,0.035)); #527427=CARTESIAN_POINT('',(24.4031,28.6952,0.035)); #527428=CARTESIAN_POINT('',(24.4031,28.6952,0.)); #527429=CARTESIAN_POINT('Origin',(24.4031,27.755772,0.)); #527430=CARTESIAN_POINT('',(24.4031,27.755772,0.)); #527431=CARTESIAN_POINT('',(24.4031,27.755772,0.)); #527432=CARTESIAN_POINT('',(24.4031,27.755772,0.035)); #527433=CARTESIAN_POINT('',(24.4031,27.755772,0.035)); #527434=CARTESIAN_POINT('',(24.4031,27.755772,0.)); #527435=CARTESIAN_POINT('Origin',(24.492372,27.6665,0.)); #527436=CARTESIAN_POINT('',(24.492372,27.6665,0.)); #527437=CARTESIAN_POINT('',(24.492372,27.6665,0.)); #527438=CARTESIAN_POINT('',(24.492372,27.6665,0.035)); #527439=CARTESIAN_POINT('',(24.492372,27.6665,0.035)); #527440=CARTESIAN_POINT('',(24.492372,27.6665,0.)); #527441=CARTESIAN_POINT('Origin',(24.6952,27.6665,0.)); #527442=CARTESIAN_POINT('',(24.6952,27.6665,0.)); #527443=CARTESIAN_POINT('',(24.6952,27.6665,0.)); #527444=CARTESIAN_POINT('',(24.6952,27.6665,0.035)); #527445=CARTESIAN_POINT('',(24.6952,27.6665,0.035)); #527446=CARTESIAN_POINT('',(24.6952,27.6665,0.)); #527447=CARTESIAN_POINT('Origin',(24.722669,27.661378,0.)); #527448=CARTESIAN_POINT('',(24.722669,27.661378,0.)); #527449=CARTESIAN_POINT('',(24.722669,27.661378,0.)); #527450=CARTESIAN_POINT('',(24.722669,27.661378,0.035)); #527451=CARTESIAN_POINT('',(24.722669,27.661378,0.035)); #527452=CARTESIAN_POINT('',(24.722669,27.661378,0.)); #527453=CARTESIAN_POINT('Origin',(24.748216,27.645034,0.)); #527454=CARTESIAN_POINT('',(24.748216,27.645034,0.)); #527455=CARTESIAN_POINT('',(24.748216,27.645034,0.)); #527456=CARTESIAN_POINT('',(24.748216,27.645034,0.035)); #527457=CARTESIAN_POINT('',(24.748216,27.645034,0.035)); #527458=CARTESIAN_POINT('',(24.748216,27.645034,0.)); #527459=CARTESIAN_POINT('Origin',(24.765366,27.620022,0.)); #527460=CARTESIAN_POINT('',(24.765366,27.620022,0.)); #527461=CARTESIAN_POINT('',(24.765366,27.620022,0.)); #527462=CARTESIAN_POINT('',(24.765366,27.620022,0.035)); #527463=CARTESIAN_POINT('',(24.765366,27.620022,0.035)); #527464=CARTESIAN_POINT('',(24.765366,27.620022,0.)); #527465=CARTESIAN_POINT('Origin',(24.7714,27.5903,0.)); #527466=CARTESIAN_POINT('',(24.7714,27.5903,0.)); #527467=CARTESIAN_POINT('',(24.7714,27.5903,0.)); #527468=CARTESIAN_POINT('',(24.7714,27.5903,0.035)); #527469=CARTESIAN_POINT('',(24.7714,27.5903,0.035)); #527470=CARTESIAN_POINT('',(24.7714,27.5903,0.)); #527471=CARTESIAN_POINT('Origin',(24.7714,27.032397,0.)); #527472=CARTESIAN_POINT('',(24.7714,27.032397,0.)); #527473=CARTESIAN_POINT('',(24.7714,27.032397,0.)); #527474=CARTESIAN_POINT('',(24.7714,27.032397,0.035)); #527475=CARTESIAN_POINT('',(24.7714,27.032397,0.035)); #527476=CARTESIAN_POINT('',(24.7714,27.032397,0.)); #527477=CARTESIAN_POINT('Origin',(24.765831,27.0038,0.)); #527478=CARTESIAN_POINT('',(24.765831,27.0038,0.)); #527479=CARTESIAN_POINT('',(24.765831,27.0038,0.)); #527480=CARTESIAN_POINT('',(24.765831,27.0038,0.035)); #527481=CARTESIAN_POINT('',(24.765831,27.0038,0.035)); #527482=CARTESIAN_POINT('',(24.765831,27.0038,0.)); #527483=CARTESIAN_POINT('Origin',(24.749081,26.978516,0.)); #527484=CARTESIAN_POINT('',(24.749081,26.978516,0.)); #527485=CARTESIAN_POINT('',(24.749081,26.978516,0.)); #527486=CARTESIAN_POINT('',(24.749081,26.978516,0.035)); #527487=CARTESIAN_POINT('',(24.749081,26.978516,0.035)); #527488=CARTESIAN_POINT('',(24.749081,26.978516,0.)); #527489=CARTESIAN_POINT('Origin',(24.5726,26.802034,0.)); #527490=CARTESIAN_POINT('',(24.5726,26.802034,0.)); #527491=CARTESIAN_POINT('',(24.5726,26.802034,0.)); #527492=CARTESIAN_POINT('',(24.5726,26.802034,0.035)); #527493=CARTESIAN_POINT('',(24.5726,26.802034,0.035)); #527494=CARTESIAN_POINT('',(24.5726,26.802034,0.)); #527495=CARTESIAN_POINT('Origin',(24.5726,26.447966,0.)); #527496=CARTESIAN_POINT('',(24.5726,26.447966,0.)); #527497=CARTESIAN_POINT('',(24.5726,26.447966,0.)); #527498=CARTESIAN_POINT('',(24.5726,26.447966,0.035)); #527499=CARTESIAN_POINT('',(24.5726,26.447966,0.035)); #527500=CARTESIAN_POINT('',(24.5726,26.447966,0.)); #527501=CARTESIAN_POINT('Origin',(24.661884,26.358681,0.)); #527502=CARTESIAN_POINT('',(24.661884,26.358681,0.)); #527503=CARTESIAN_POINT('',(24.661884,26.358681,0.)); #527504=CARTESIAN_POINT('',(24.661884,26.358681,0.035)); #527505=CARTESIAN_POINT('',(24.661884,26.358681,0.035)); #527506=CARTESIAN_POINT('',(24.661884,26.358681,0.)); #527507=CARTESIAN_POINT('Origin',(24.677184,26.336744,0.)); #527508=CARTESIAN_POINT('',(24.677184,26.336744,0.)); #527509=CARTESIAN_POINT('',(24.677184,26.336744,0.)); #527510=CARTESIAN_POINT('',(24.677184,26.336744,0.035)); #527511=CARTESIAN_POINT('',(24.677184,26.336744,0.035)); #527512=CARTESIAN_POINT('',(24.677184,26.336744,0.)); #527513=CARTESIAN_POINT('Origin',(24.684166,26.307228,0.)); #527514=CARTESIAN_POINT('',(24.684166,26.307228,0.)); #527515=CARTESIAN_POINT('',(24.684166,26.307228,0.)); #527516=CARTESIAN_POINT('',(24.684166,26.307228,0.035)); #527517=CARTESIAN_POINT('',(24.684166,26.307228,0.035)); #527518=CARTESIAN_POINT('',(24.684166,26.307228,0.)); #527519=CARTESIAN_POINT('Origin',(24.679081,26.277331,0.)); #527520=CARTESIAN_POINT('',(24.679081,26.277331,0.)); #527521=CARTESIAN_POINT('',(24.679081,26.277331,0.)); #527522=CARTESIAN_POINT('',(24.679081,26.277331,0.035)); #527523=CARTESIAN_POINT('',(24.679081,26.277331,0.035)); #527524=CARTESIAN_POINT('',(24.679081,26.277331,0.)); #527525=CARTESIAN_POINT('Origin',(24.662738,26.251784,0.)); #527526=CARTESIAN_POINT('',(24.662738,26.251784,0.)); #527527=CARTESIAN_POINT('',(24.662738,26.251784,0.)); #527528=CARTESIAN_POINT('',(24.662738,26.251784,0.035)); #527529=CARTESIAN_POINT('',(24.662738,26.251784,0.035)); #527530=CARTESIAN_POINT('',(24.662738,26.251784,0.)); #527531=CARTESIAN_POINT('Origin',(24.637725,26.234634,0.)); #527532=CARTESIAN_POINT('',(24.637725,26.234634,0.)); #527533=CARTESIAN_POINT('',(24.637725,26.234634,0.035)); #527534=CARTESIAN_POINT('Origin',(23.4853014053421,27.7870657666569,0.035)); #527535=CARTESIAN_POINT('Origin',(23.4853014053421,27.7870657666569,0.)); #527536=CARTESIAN_POINT('Origin',(20.377147,39.3536,0.)); #527537=CARTESIAN_POINT('',(20.377147,39.3536,0.)); #527538=CARTESIAN_POINT('',(20.406869,39.359634,0.)); #527539=CARTESIAN_POINT('',(20.377147,39.3536,0.)); #527540=CARTESIAN_POINT('',(20.406869,39.359634,0.035)); #527541=CARTESIAN_POINT('',(20.406869,39.359634,0.)); #527542=CARTESIAN_POINT('',(20.377147,39.3536,0.035)); #527543=CARTESIAN_POINT('',(20.377147,39.3536,0.035)); #527544=CARTESIAN_POINT('',(20.377147,39.3536,0.)); #527545=CARTESIAN_POINT('Origin',(19.751253,39.3536,0.)); #527546=CARTESIAN_POINT('',(19.751253,39.3536,0.)); #527547=CARTESIAN_POINT('',(19.751253,39.3536,0.)); #527548=CARTESIAN_POINT('',(19.751253,39.3536,0.035)); #527549=CARTESIAN_POINT('',(19.751253,39.3536,0.035)); #527550=CARTESIAN_POINT('',(19.751253,39.3536,0.)); #527551=CARTESIAN_POINT('Origin',(19.722656,39.359169,0.)); #527552=CARTESIAN_POINT('',(19.722656,39.359169,0.)); #527553=CARTESIAN_POINT('',(19.722656,39.359169,0.)); #527554=CARTESIAN_POINT('',(19.722656,39.359169,0.035)); #527555=CARTESIAN_POINT('',(19.722656,39.359169,0.035)); #527556=CARTESIAN_POINT('',(19.722656,39.359169,0.)); #527557=CARTESIAN_POINT('Origin',(19.697372,39.375919,0.)); #527558=CARTESIAN_POINT('',(19.697372,39.375919,0.)); #527559=CARTESIAN_POINT('',(19.697372,39.375919,0.)); #527560=CARTESIAN_POINT('',(19.697372,39.375919,0.035)); #527561=CARTESIAN_POINT('',(19.697372,39.375919,0.035)); #527562=CARTESIAN_POINT('',(19.697372,39.375919,0.)); #527563=CARTESIAN_POINT('Origin',(19.536872,39.536419,0.)); #527564=CARTESIAN_POINT('',(19.536872,39.536419,0.)); #527565=CARTESIAN_POINT('',(19.536872,39.536419,0.)); #527566=CARTESIAN_POINT('',(19.536872,39.536419,0.035)); #527567=CARTESIAN_POINT('',(19.536872,39.536419,0.035)); #527568=CARTESIAN_POINT('',(19.536872,39.536419,0.)); #527569=CARTESIAN_POINT('Origin',(19.521572,39.558356,0.)); #527570=CARTESIAN_POINT('',(19.521572,39.558356,0.)); #527571=CARTESIAN_POINT('',(19.521572,39.558356,0.)); #527572=CARTESIAN_POINT('',(19.521572,39.558356,0.035)); #527573=CARTESIAN_POINT('',(19.521572,39.558356,0.035)); #527574=CARTESIAN_POINT('',(19.521572,39.558356,0.)); #527575=CARTESIAN_POINT('Origin',(19.514591,39.587872,0.)); #527576=CARTESIAN_POINT('',(19.514591,39.587872,0.)); #527577=CARTESIAN_POINT('',(19.514591,39.587872,0.)); #527578=CARTESIAN_POINT('',(19.514591,39.587872,0.035)); #527579=CARTESIAN_POINT('',(19.514591,39.587872,0.035)); #527580=CARTESIAN_POINT('',(19.514591,39.587872,0.)); #527581=CARTESIAN_POINT('Origin',(19.519675,39.617769,0.)); #527582=CARTESIAN_POINT('',(19.519675,39.617769,0.)); #527583=CARTESIAN_POINT('',(19.519675,39.617769,0.)); #527584=CARTESIAN_POINT('',(19.519675,39.617769,0.035)); #527585=CARTESIAN_POINT('',(19.519675,39.617769,0.035)); #527586=CARTESIAN_POINT('',(19.519675,39.617769,0.)); #527587=CARTESIAN_POINT('Origin',(19.536019,39.643316,0.)); #527588=CARTESIAN_POINT('',(19.536019,39.643316,0.)); #527589=CARTESIAN_POINT('',(19.536019,39.643316,0.)); #527590=CARTESIAN_POINT('',(19.536019,39.643316,0.035)); #527591=CARTESIAN_POINT('',(19.536019,39.643316,0.035)); #527592=CARTESIAN_POINT('',(19.536019,39.643316,0.)); #527593=CARTESIAN_POINT('Origin',(19.561031,39.660466,0.)); #527594=CARTESIAN_POINT('',(19.561031,39.660466,0.)); #527595=CARTESIAN_POINT('',(19.561031,39.660466,0.)); #527596=CARTESIAN_POINT('',(19.561031,39.660466,0.035)); #527597=CARTESIAN_POINT('',(19.561031,39.660466,0.035)); #527598=CARTESIAN_POINT('',(19.561031,39.660466,0.)); #527599=CARTESIAN_POINT('Origin',(19.590753,39.6665,0.)); #527600=CARTESIAN_POINT('',(19.590753,39.6665,0.)); #527601=CARTESIAN_POINT('',(19.590753,39.6665,0.)); #527602=CARTESIAN_POINT('',(19.590753,39.6665,0.035)); #527603=CARTESIAN_POINT('',(19.590753,39.6665,0.035)); #527604=CARTESIAN_POINT('',(19.590753,39.6665,0.)); #527605=CARTESIAN_POINT('Origin',(20.216647,39.6665,0.)); #527606=CARTESIAN_POINT('',(20.216647,39.6665,0.)); #527607=CARTESIAN_POINT('',(20.216647,39.6665,0.)); #527608=CARTESIAN_POINT('',(20.216647,39.6665,0.035)); #527609=CARTESIAN_POINT('',(20.216647,39.6665,0.035)); #527610=CARTESIAN_POINT('',(20.216647,39.6665,0.)); #527611=CARTESIAN_POINT('Origin',(20.245244,39.660931,0.)); #527612=CARTESIAN_POINT('',(20.245244,39.660931,0.)); #527613=CARTESIAN_POINT('',(20.245244,39.660931,0.)); #527614=CARTESIAN_POINT('',(20.245244,39.660931,0.035)); #527615=CARTESIAN_POINT('',(20.245244,39.660931,0.035)); #527616=CARTESIAN_POINT('',(20.245244,39.660931,0.)); #527617=CARTESIAN_POINT('Origin',(20.270528,39.644181,0.)); #527618=CARTESIAN_POINT('',(20.270528,39.644181,0.)); #527619=CARTESIAN_POINT('',(20.270528,39.644181,0.)); #527620=CARTESIAN_POINT('',(20.270528,39.644181,0.035)); #527621=CARTESIAN_POINT('',(20.270528,39.644181,0.035)); #527622=CARTESIAN_POINT('',(20.270528,39.644181,0.)); #527623=CARTESIAN_POINT('Origin',(20.431028,39.483681,0.)); #527624=CARTESIAN_POINT('',(20.431028,39.483681,0.)); #527625=CARTESIAN_POINT('',(20.431028,39.483681,0.)); #527626=CARTESIAN_POINT('',(20.431028,39.483681,0.035)); #527627=CARTESIAN_POINT('',(20.431028,39.483681,0.035)); #527628=CARTESIAN_POINT('',(20.431028,39.483681,0.)); #527629=CARTESIAN_POINT('Origin',(20.446328,39.461744,0.)); #527630=CARTESIAN_POINT('',(20.446328,39.461744,0.)); #527631=CARTESIAN_POINT('',(20.446328,39.461744,0.)); #527632=CARTESIAN_POINT('',(20.446328,39.461744,0.035)); #527633=CARTESIAN_POINT('',(20.446328,39.461744,0.035)); #527634=CARTESIAN_POINT('',(20.446328,39.461744,0.)); #527635=CARTESIAN_POINT('Origin',(20.453309,39.432228,0.)); #527636=CARTESIAN_POINT('',(20.453309,39.432228,0.)); #527637=CARTESIAN_POINT('',(20.453309,39.432228,0.)); #527638=CARTESIAN_POINT('',(20.453309,39.432228,0.035)); #527639=CARTESIAN_POINT('',(20.453309,39.432228,0.035)); #527640=CARTESIAN_POINT('',(20.453309,39.432228,0.)); #527641=CARTESIAN_POINT('Origin',(20.448225,39.402331,0.)); #527642=CARTESIAN_POINT('',(20.448225,39.402331,0.)); #527643=CARTESIAN_POINT('',(20.448225,39.402331,0.)); #527644=CARTESIAN_POINT('',(20.448225,39.402331,0.035)); #527645=CARTESIAN_POINT('',(20.448225,39.402331,0.035)); #527646=CARTESIAN_POINT('',(20.448225,39.402331,0.)); #527647=CARTESIAN_POINT('Origin',(20.431881,39.376784,0.)); #527648=CARTESIAN_POINT('',(20.431881,39.376784,0.)); #527649=CARTESIAN_POINT('',(20.431881,39.376784,0.)); #527650=CARTESIAN_POINT('',(20.431881,39.376784,0.035)); #527651=CARTESIAN_POINT('',(20.431881,39.376784,0.035)); #527652=CARTESIAN_POINT('',(20.431881,39.376784,0.)); #527653=CARTESIAN_POINT('Origin',(20.406869,39.359634,0.)); #527654=CARTESIAN_POINT('',(20.406869,39.359634,0.)); #527655=CARTESIAN_POINT('',(20.406869,39.359634,0.035)); #527656=CARTESIAN_POINT('Origin',(19.98395,39.51005,0.035)); #527657=CARTESIAN_POINT('Origin',(19.98395,39.51005,0.)); #527658=CARTESIAN_POINT('Origin',(37.447022,27.949113,0.)); #527659=CARTESIAN_POINT('',(37.447022,27.949113,0.)); #527660=CARTESIAN_POINT('',(37.476591,27.955856,0.)); #527661=CARTESIAN_POINT('',(37.447022,27.949113,0.)); #527662=CARTESIAN_POINT('',(37.476591,27.955856,0.035)); #527663=CARTESIAN_POINT('',(37.476591,27.955856,0.)); #527664=CARTESIAN_POINT('',(37.447022,27.949113,0.035)); #527665=CARTESIAN_POINT('',(37.447022,27.949113,0.035)); #527666=CARTESIAN_POINT('',(37.447022,27.949113,0.)); #527667=CARTESIAN_POINT('Origin',(37.417166,27.954434,0.)); #527668=CARTESIAN_POINT('',(37.417166,27.954434,0.)); #527669=CARTESIAN_POINT('',(37.417166,27.954434,0.)); #527670=CARTESIAN_POINT('',(37.417166,27.954434,0.035)); #527671=CARTESIAN_POINT('',(37.417166,27.954434,0.035)); #527672=CARTESIAN_POINT('',(37.417166,27.954434,0.)); #527673=CARTESIAN_POINT('Origin',(37.39175,27.970981,0.)); #527674=CARTESIAN_POINT('',(37.39175,27.970981,0.)); #527675=CARTESIAN_POINT('',(37.39175,27.970981,0.)); #527676=CARTESIAN_POINT('',(37.39175,27.970981,0.035)); #527677=CARTESIAN_POINT('',(37.39175,27.970981,0.035)); #527678=CARTESIAN_POINT('',(37.39175,27.970981,0.)); #527679=CARTESIAN_POINT('Origin',(37.3748,27.996131,0.)); #527680=CARTESIAN_POINT('',(37.3748,27.996131,0.)); #527681=CARTESIAN_POINT('',(37.3748,27.996131,0.)); #527682=CARTESIAN_POINT('',(37.3748,27.996131,0.035)); #527683=CARTESIAN_POINT('',(37.3748,27.996131,0.035)); #527684=CARTESIAN_POINT('',(37.3748,27.996131,0.)); #527685=CARTESIAN_POINT('Origin',(37.265016,28.261169,0.)); #527686=CARTESIAN_POINT('',(37.265016,28.261169,0.)); #527687=CARTESIAN_POINT('',(37.265016,28.261169,0.)); #527688=CARTESIAN_POINT('',(37.265016,28.261169,0.035)); #527689=CARTESIAN_POINT('',(37.265016,28.261169,0.035)); #527690=CARTESIAN_POINT('',(37.265016,28.261169,0.)); #527691=CARTESIAN_POINT('Origin',(37.011169,28.515016,0.)); #527692=CARTESIAN_POINT('',(37.011169,28.515016,0.)); #527693=CARTESIAN_POINT('',(37.011169,28.515016,0.)); #527694=CARTESIAN_POINT('',(37.011169,28.515016,0.035)); #527695=CARTESIAN_POINT('',(37.011169,28.515016,0.035)); #527696=CARTESIAN_POINT('',(37.011169,28.515016,0.)); #527697=CARTESIAN_POINT('Origin',(36.6795,28.6524,0.)); #527698=CARTESIAN_POINT('',(36.6795,28.6524,0.)); #527699=CARTESIAN_POINT('',(36.6795,28.6524,0.)); #527700=CARTESIAN_POINT('',(36.6795,28.6524,0.035)); #527701=CARTESIAN_POINT('',(36.6795,28.6524,0.035)); #527702=CARTESIAN_POINT('',(36.6795,28.6524,0.)); #527703=CARTESIAN_POINT('Origin',(36.3205,28.6524,0.)); #527704=CARTESIAN_POINT('',(36.3205,28.6524,0.)); #527705=CARTESIAN_POINT('',(36.3205,28.6524,0.)); #527706=CARTESIAN_POINT('',(36.3205,28.6524,0.035)); #527707=CARTESIAN_POINT('',(36.3205,28.6524,0.035)); #527708=CARTESIAN_POINT('',(36.3205,28.6524,0.)); #527709=CARTESIAN_POINT('Origin',(35.988831,28.515016,0.)); #527710=CARTESIAN_POINT('',(35.988831,28.515016,0.)); #527711=CARTESIAN_POINT('',(35.988831,28.515016,0.)); #527712=CARTESIAN_POINT('',(35.988831,28.515016,0.035)); #527713=CARTESIAN_POINT('',(35.988831,28.515016,0.035)); #527714=CARTESIAN_POINT('',(35.988831,28.515016,0.)); #527715=CARTESIAN_POINT('Origin',(35.796181,28.322366,0.)); #527716=CARTESIAN_POINT('',(35.796181,28.322366,0.)); #527717=CARTESIAN_POINT('',(35.796181,28.322366,0.)); #527718=CARTESIAN_POINT('',(35.796181,28.322366,0.035)); #527719=CARTESIAN_POINT('',(35.796181,28.322366,0.035)); #527720=CARTESIAN_POINT('',(35.796181,28.322366,0.)); #527721=CARTESIAN_POINT('Origin',(35.774244,28.307066,0.)); #527722=CARTESIAN_POINT('',(35.774244,28.307066,0.)); #527723=CARTESIAN_POINT('',(35.774244,28.307066,0.)); #527724=CARTESIAN_POINT('',(35.774244,28.307066,0.035)); #527725=CARTESIAN_POINT('',(35.774244,28.307066,0.035)); #527726=CARTESIAN_POINT('',(35.774244,28.307066,0.)); #527727=CARTESIAN_POINT('Origin',(35.744728,28.300084,0.)); #527728=CARTESIAN_POINT('',(35.744728,28.300084,0.)); #527729=CARTESIAN_POINT('',(35.744728,28.300084,0.)); #527730=CARTESIAN_POINT('',(35.744728,28.300084,0.035)); #527731=CARTESIAN_POINT('',(35.744728,28.300084,0.035)); #527732=CARTESIAN_POINT('',(35.744728,28.300084,0.)); #527733=CARTESIAN_POINT('Origin',(35.714831,28.305169,0.)); #527734=CARTESIAN_POINT('',(35.714831,28.305169,0.)); #527735=CARTESIAN_POINT('',(35.714831,28.305169,0.)); #527736=CARTESIAN_POINT('',(35.714831,28.305169,0.035)); #527737=CARTESIAN_POINT('',(35.714831,28.305169,0.035)); #527738=CARTESIAN_POINT('',(35.714831,28.305169,0.)); #527739=CARTESIAN_POINT('Origin',(35.689284,28.321513,0.)); #527740=CARTESIAN_POINT('',(35.689284,28.321513,0.)); #527741=CARTESIAN_POINT('',(35.689284,28.321513,0.)); #527742=CARTESIAN_POINT('',(35.689284,28.321513,0.035)); #527743=CARTESIAN_POINT('',(35.689284,28.321513,0.035)); #527744=CARTESIAN_POINT('',(35.689284,28.321513,0.)); #527745=CARTESIAN_POINT('Origin',(35.672134,28.346525,0.)); #527746=CARTESIAN_POINT('',(35.672134,28.346525,0.)); #527747=CARTESIAN_POINT('',(35.672134,28.346525,0.)); #527748=CARTESIAN_POINT('',(35.672134,28.346525,0.035)); #527749=CARTESIAN_POINT('',(35.672134,28.346525,0.035)); #527750=CARTESIAN_POINT('',(35.672134,28.346525,0.)); #527751=CARTESIAN_POINT('Origin',(35.6661,28.376247,0.)); #527752=CARTESIAN_POINT('',(35.6661,28.376247,0.)); #527753=CARTESIAN_POINT('',(35.6661,28.376247,0.)); #527754=CARTESIAN_POINT('',(35.6661,28.376247,0.035)); #527755=CARTESIAN_POINT('',(35.6661,28.376247,0.035)); #527756=CARTESIAN_POINT('',(35.6661,28.376247,0.)); #527757=CARTESIAN_POINT('Origin',(35.6661,30.1745,0.)); #527758=CARTESIAN_POINT('',(35.6661,30.1745,0.)); #527759=CARTESIAN_POINT('',(35.6661,30.1745,0.)); #527760=CARTESIAN_POINT('',(35.6661,30.1745,0.035)); #527761=CARTESIAN_POINT('',(35.6661,30.1745,0.035)); #527762=CARTESIAN_POINT('',(35.6661,30.1745,0.)); #527763=CARTESIAN_POINT('Origin',(35.671222,30.201969,0.)); #527764=CARTESIAN_POINT('',(35.671222,30.201969,0.)); #527765=CARTESIAN_POINT('',(35.671222,30.201969,0.)); #527766=CARTESIAN_POINT('',(35.671222,30.201969,0.035)); #527767=CARTESIAN_POINT('',(35.671222,30.201969,0.035)); #527768=CARTESIAN_POINT('',(35.671222,30.201969,0.)); #527769=CARTESIAN_POINT('Origin',(35.687566,30.227516,0.)); #527770=CARTESIAN_POINT('',(35.687566,30.227516,0.)); #527771=CARTESIAN_POINT('',(35.687566,30.227516,0.)); #527772=CARTESIAN_POINT('',(35.687566,30.227516,0.035)); #527773=CARTESIAN_POINT('',(35.687566,30.227516,0.035)); #527774=CARTESIAN_POINT('',(35.687566,30.227516,0.)); #527775=CARTESIAN_POINT('Origin',(35.712578,30.244666,0.)); #527776=CARTESIAN_POINT('',(35.712578,30.244666,0.)); #527777=CARTESIAN_POINT('',(35.712578,30.244666,0.)); #527778=CARTESIAN_POINT('',(35.712578,30.244666,0.035)); #527779=CARTESIAN_POINT('',(35.712578,30.244666,0.035)); #527780=CARTESIAN_POINT('',(35.712578,30.244666,0.)); #527781=CARTESIAN_POINT('Origin',(35.7423,30.2507,0.)); #527782=CARTESIAN_POINT('',(35.7423,30.2507,0.)); #527783=CARTESIAN_POINT('',(35.7423,30.2507,0.)); #527784=CARTESIAN_POINT('',(35.7423,30.2507,0.035)); #527785=CARTESIAN_POINT('',(35.7423,30.2507,0.035)); #527786=CARTESIAN_POINT('',(35.7423,30.2507,0.)); #527787=CARTESIAN_POINT('Origin',(36.794247,30.2507,0.)); #527788=CARTESIAN_POINT('',(36.794247,30.2507,0.)); #527789=CARTESIAN_POINT('',(36.794247,30.2507,0.)); #527790=CARTESIAN_POINT('',(36.794247,30.2507,0.035)); #527791=CARTESIAN_POINT('',(36.794247,30.2507,0.035)); #527792=CARTESIAN_POINT('',(36.794247,30.2507,0.)); #527793=CARTESIAN_POINT('Origin',(36.822844,30.245131,0.)); #527794=CARTESIAN_POINT('',(36.822844,30.245131,0.)); #527795=CARTESIAN_POINT('',(36.822844,30.245131,0.)); #527796=CARTESIAN_POINT('',(36.822844,30.245131,0.035)); #527797=CARTESIAN_POINT('',(36.822844,30.245131,0.035)); #527798=CARTESIAN_POINT('',(36.822844,30.245131,0.)); #527799=CARTESIAN_POINT('Origin',(36.848128,30.228381,0.)); #527800=CARTESIAN_POINT('',(36.848128,30.228381,0.)); #527801=CARTESIAN_POINT('',(36.848128,30.228381,0.)); #527802=CARTESIAN_POINT('',(36.848128,30.228381,0.035)); #527803=CARTESIAN_POINT('',(36.848128,30.228381,0.035)); #527804=CARTESIAN_POINT('',(36.848128,30.228381,0.)); #527805=CARTESIAN_POINT('Origin',(37.499081,29.577428,0.)); #527806=CARTESIAN_POINT('',(37.499081,29.577428,0.)); #527807=CARTESIAN_POINT('',(37.499081,29.577428,0.)); #527808=CARTESIAN_POINT('',(37.499081,29.577428,0.035)); #527809=CARTESIAN_POINT('',(37.499081,29.577428,0.035)); #527810=CARTESIAN_POINT('',(37.499081,29.577428,0.)); #527811=CARTESIAN_POINT('Origin',(37.515366,29.553269,0.)); #527812=CARTESIAN_POINT('',(37.515366,29.553269,0.)); #527813=CARTESIAN_POINT('',(37.515366,29.553269,0.)); #527814=CARTESIAN_POINT('',(37.515366,29.553269,0.035)); #527815=CARTESIAN_POINT('',(37.515366,29.553269,0.035)); #527816=CARTESIAN_POINT('',(37.515366,29.553269,0.)); #527817=CARTESIAN_POINT('Origin',(37.5214,29.523547,0.)); #527818=CARTESIAN_POINT('',(37.5214,29.523547,0.)); #527819=CARTESIAN_POINT('',(37.5214,29.523547,0.)); #527820=CARTESIAN_POINT('',(37.5214,29.523547,0.035)); #527821=CARTESIAN_POINT('',(37.5214,29.523547,0.035)); #527822=CARTESIAN_POINT('',(37.5214,29.523547,0.)); #527823=CARTESIAN_POINT('Origin',(37.5214,28.025291,0.)); #527824=CARTESIAN_POINT('',(37.5214,28.025291,0.)); #527825=CARTESIAN_POINT('',(37.5214,28.025291,0.)); #527826=CARTESIAN_POINT('',(37.5214,28.025291,0.035)); #527827=CARTESIAN_POINT('',(37.5214,28.025291,0.035)); #527828=CARTESIAN_POINT('',(37.5214,28.025291,0.)); #527829=CARTESIAN_POINT('Origin',(37.516913,27.999528,0.)); #527830=CARTESIAN_POINT('',(37.516913,27.999528,0.)); #527831=CARTESIAN_POINT('',(37.516913,27.999528,0.)); #527832=CARTESIAN_POINT('',(37.516913,27.999528,0.035)); #527833=CARTESIAN_POINT('',(37.516913,27.999528,0.035)); #527834=CARTESIAN_POINT('',(37.516913,27.999528,0.)); #527835=CARTESIAN_POINT('Origin',(37.501184,27.9736,0.)); #527836=CARTESIAN_POINT('',(37.501184,27.9736,0.)); #527837=CARTESIAN_POINT('',(37.501184,27.9736,0.)); #527838=CARTESIAN_POINT('',(37.501184,27.9736,0.035)); #527839=CARTESIAN_POINT('',(37.501184,27.9736,0.035)); #527840=CARTESIAN_POINT('',(37.501184,27.9736,0.)); #527841=CARTESIAN_POINT('Origin',(37.476591,27.955856,0.)); #527842=CARTESIAN_POINT('',(37.476591,27.955856,0.)); #527843=CARTESIAN_POINT('',(37.476591,27.955856,0.035)); #527844=CARTESIAN_POINT('Origin',(36.5949686283418,29.1482666267782,0.035)); #527845=CARTESIAN_POINT('Origin',(36.5949686283418,29.1482666267782,0.)); #527846=CARTESIAN_POINT('Origin',(40.184422,36.2286,0.)); #527847=CARTESIAN_POINT('',(40.184422,36.2286,0.)); #527848=CARTESIAN_POINT('',(40.214144,36.234634,0.)); #527849=CARTESIAN_POINT('',(40.184422,36.2286,0.)); #527850=CARTESIAN_POINT('',(40.214144,36.234634,0.035)); #527851=CARTESIAN_POINT('',(40.214144,36.234634,0.)); #527852=CARTESIAN_POINT('',(40.184422,36.2286,0.035)); #527853=CARTESIAN_POINT('',(40.184422,36.2286,0.035)); #527854=CARTESIAN_POINT('',(40.184422,36.2286,0.)); #527855=CARTESIAN_POINT('Origin',(39.016997,36.2286,0.)); #527856=CARTESIAN_POINT('',(39.016997,36.2286,0.)); #527857=CARTESIAN_POINT('',(39.016997,36.2286,0.)); #527858=CARTESIAN_POINT('',(39.016997,36.2286,0.035)); #527859=CARTESIAN_POINT('',(39.016997,36.2286,0.035)); #527860=CARTESIAN_POINT('',(39.016997,36.2286,0.)); #527861=CARTESIAN_POINT('Origin',(38.990666,36.233294,0.)); #527862=CARTESIAN_POINT('',(38.990666,36.233294,0.)); #527863=CARTESIAN_POINT('',(38.990666,36.233294,0.)); #527864=CARTESIAN_POINT('',(38.990666,36.233294,0.035)); #527865=CARTESIAN_POINT('',(38.990666,36.233294,0.035)); #527866=CARTESIAN_POINT('',(38.990666,36.233294,0.)); #527867=CARTESIAN_POINT('Origin',(38.964859,36.249228,0.)); #527868=CARTESIAN_POINT('',(38.964859,36.249228,0.)); #527869=CARTESIAN_POINT('',(38.964859,36.249228,0.)); #527870=CARTESIAN_POINT('',(38.964859,36.249228,0.035)); #527871=CARTESIAN_POINT('',(38.964859,36.249228,0.035)); #527872=CARTESIAN_POINT('',(38.964859,36.249228,0.)); #527873=CARTESIAN_POINT('Origin',(38.947316,36.273966,0.)); #527874=CARTESIAN_POINT('',(38.947316,36.273966,0.)); #527875=CARTESIAN_POINT('',(38.947316,36.273966,0.)); #527876=CARTESIAN_POINT('',(38.947316,36.273966,0.035)); #527877=CARTESIAN_POINT('',(38.947316,36.273966,0.035)); #527878=CARTESIAN_POINT('',(38.947316,36.273966,0.)); #527879=CARTESIAN_POINT('Origin',(38.940806,36.303584,0.)); #527880=CARTESIAN_POINT('',(38.940806,36.303584,0.)); #527881=CARTESIAN_POINT('',(38.940806,36.303584,0.)); #527882=CARTESIAN_POINT('',(38.940806,36.303584,0.035)); #527883=CARTESIAN_POINT('',(38.940806,36.303584,0.035)); #527884=CARTESIAN_POINT('',(38.940806,36.303584,0.)); #527885=CARTESIAN_POINT('Origin',(38.946366,36.333397,0.)); #527886=CARTESIAN_POINT('',(38.946366,36.333397,0.)); #527887=CARTESIAN_POINT('',(38.946366,36.333397,0.)); #527888=CARTESIAN_POINT('',(38.946366,36.333397,0.035)); #527889=CARTESIAN_POINT('',(38.946366,36.333397,0.035)); #527890=CARTESIAN_POINT('',(38.946366,36.333397,0.)); #527891=CARTESIAN_POINT('Origin',(38.963116,36.358681,0.)); #527892=CARTESIAN_POINT('',(38.963116,36.358681,0.)); #527893=CARTESIAN_POINT('',(38.963116,36.358681,0.)); #527894=CARTESIAN_POINT('',(38.963116,36.358681,0.035)); #527895=CARTESIAN_POINT('',(38.963116,36.358681,0.035)); #527896=CARTESIAN_POINT('',(38.963116,36.358681,0.)); #527897=CARTESIAN_POINT('Origin',(39.103516,36.499081,0.)); #527898=CARTESIAN_POINT('',(39.103516,36.499081,0.)); #527899=CARTESIAN_POINT('',(39.103516,36.499081,0.)); #527900=CARTESIAN_POINT('',(39.103516,36.499081,0.035)); #527901=CARTESIAN_POINT('',(39.103516,36.499081,0.035)); #527902=CARTESIAN_POINT('',(39.103516,36.499081,0.)); #527903=CARTESIAN_POINT('Origin',(39.127675,36.515366,0.)); #527904=CARTESIAN_POINT('',(39.127675,36.515366,0.)); #527905=CARTESIAN_POINT('',(39.127675,36.515366,0.)); #527906=CARTESIAN_POINT('',(39.127675,36.515366,0.035)); #527907=CARTESIAN_POINT('',(39.127675,36.515366,0.035)); #527908=CARTESIAN_POINT('',(39.127675,36.515366,0.)); #527909=CARTESIAN_POINT('Origin',(39.157397,36.5214,0.)); #527910=CARTESIAN_POINT('',(39.157397,36.5214,0.)); #527911=CARTESIAN_POINT('',(39.157397,36.5214,0.)); #527912=CARTESIAN_POINT('',(39.157397,36.5214,0.035)); #527913=CARTESIAN_POINT('',(39.157397,36.5214,0.035)); #527914=CARTESIAN_POINT('',(39.157397,36.5214,0.)); #527915=CARTESIAN_POINT('Origin',(40.324822,36.5214,0.)); #527916=CARTESIAN_POINT('',(40.324822,36.5214,0.)); #527917=CARTESIAN_POINT('',(40.324822,36.5214,0.)); #527918=CARTESIAN_POINT('',(40.324822,36.5214,0.035)); #527919=CARTESIAN_POINT('',(40.324822,36.5214,0.035)); #527920=CARTESIAN_POINT('',(40.324822,36.5214,0.)); #527921=CARTESIAN_POINT('Origin',(40.351153,36.516706,0.)); #527922=CARTESIAN_POINT('',(40.351153,36.516706,0.)); #527923=CARTESIAN_POINT('',(40.351153,36.516706,0.)); #527924=CARTESIAN_POINT('',(40.351153,36.516706,0.035)); #527925=CARTESIAN_POINT('',(40.351153,36.516706,0.035)); #527926=CARTESIAN_POINT('',(40.351153,36.516706,0.)); #527927=CARTESIAN_POINT('Origin',(40.376959,36.500772,0.)); #527928=CARTESIAN_POINT('',(40.376959,36.500772,0.)); #527929=CARTESIAN_POINT('',(40.376959,36.500772,0.)); #527930=CARTESIAN_POINT('',(40.376959,36.500772,0.035)); #527931=CARTESIAN_POINT('',(40.376959,36.500772,0.035)); #527932=CARTESIAN_POINT('',(40.376959,36.500772,0.)); #527933=CARTESIAN_POINT('Origin',(40.394503,36.476034,0.)); #527934=CARTESIAN_POINT('',(40.394503,36.476034,0.)); #527935=CARTESIAN_POINT('',(40.394503,36.476034,0.)); #527936=CARTESIAN_POINT('',(40.394503,36.476034,0.035)); #527937=CARTESIAN_POINT('',(40.394503,36.476034,0.035)); #527938=CARTESIAN_POINT('',(40.394503,36.476034,0.)); #527939=CARTESIAN_POINT('Origin',(40.401013,36.446416,0.)); #527940=CARTESIAN_POINT('',(40.401013,36.446416,0.)); #527941=CARTESIAN_POINT('',(40.401013,36.446416,0.)); #527942=CARTESIAN_POINT('',(40.401013,36.446416,0.035)); #527943=CARTESIAN_POINT('',(40.401013,36.446416,0.035)); #527944=CARTESIAN_POINT('',(40.401013,36.446416,0.)); #527945=CARTESIAN_POINT('Origin',(40.395453,36.416603,0.)); #527946=CARTESIAN_POINT('',(40.395453,36.416603,0.)); #527947=CARTESIAN_POINT('',(40.395453,36.416603,0.)); #527948=CARTESIAN_POINT('',(40.395453,36.416603,0.035)); #527949=CARTESIAN_POINT('',(40.395453,36.416603,0.035)); #527950=CARTESIAN_POINT('',(40.395453,36.416603,0.)); #527951=CARTESIAN_POINT('Origin',(40.378703,36.391319,0.)); #527952=CARTESIAN_POINT('',(40.378703,36.391319,0.)); #527953=CARTESIAN_POINT('',(40.378703,36.391319,0.)); #527954=CARTESIAN_POINT('',(40.378703,36.391319,0.035)); #527955=CARTESIAN_POINT('',(40.378703,36.391319,0.035)); #527956=CARTESIAN_POINT('',(40.378703,36.391319,0.)); #527957=CARTESIAN_POINT('Origin',(40.238303,36.250919,0.)); #527958=CARTESIAN_POINT('',(40.238303,36.250919,0.)); #527959=CARTESIAN_POINT('',(40.238303,36.250919,0.)); #527960=CARTESIAN_POINT('',(40.238303,36.250919,0.035)); #527961=CARTESIAN_POINT('',(40.238303,36.250919,0.035)); #527962=CARTESIAN_POINT('',(40.238303,36.250919,0.)); #527963=CARTESIAN_POINT('Origin',(40.214144,36.234634,0.)); #527964=CARTESIAN_POINT('',(40.214144,36.234634,0.)); #527965=CARTESIAN_POINT('',(40.214144,36.234634,0.035)); #527966=CARTESIAN_POINT('Origin',(39.6709094355899,36.3749999849751,0.035)); #527967=CARTESIAN_POINT('Origin',(39.6709094355899,36.3749999849751,0.)); #527968=CARTESIAN_POINT('Origin',(30.557228,27.949128,0.)); #527969=CARTESIAN_POINT('',(30.557228,27.949128,0.)); #527970=CARTESIAN_POINT('',(30.586744,27.956109,0.)); #527971=CARTESIAN_POINT('',(30.557228,27.949128,0.)); #527972=CARTESIAN_POINT('',(30.586744,27.956109,0.035)); #527973=CARTESIAN_POINT('',(30.586744,27.956109,0.)); #527974=CARTESIAN_POINT('',(30.557228,27.949128,0.035)); #527975=CARTESIAN_POINT('',(30.557228,27.949128,0.035)); #527976=CARTESIAN_POINT('',(30.557228,27.949128,0.)); #527977=CARTESIAN_POINT('Origin',(30.527331,27.954213,0.)); #527978=CARTESIAN_POINT('',(30.527331,27.954213,0.)); #527979=CARTESIAN_POINT('',(30.527331,27.954213,0.)); #527980=CARTESIAN_POINT('',(30.527331,27.954213,0.035)); #527981=CARTESIAN_POINT('',(30.527331,27.954213,0.035)); #527982=CARTESIAN_POINT('',(30.527331,27.954213,0.)); #527983=CARTESIAN_POINT('Origin',(30.501784,27.970556,0.)); #527984=CARTESIAN_POINT('',(30.501784,27.970556,0.)); #527985=CARTESIAN_POINT('',(30.501784,27.970556,0.)); #527986=CARTESIAN_POINT('',(30.501784,27.970556,0.035)); #527987=CARTESIAN_POINT('',(30.501784,27.970556,0.035)); #527988=CARTESIAN_POINT('',(30.501784,27.970556,0.)); #527989=CARTESIAN_POINT('Origin',(30.484634,27.995569,0.)); #527990=CARTESIAN_POINT('',(30.484634,27.995569,0.)); #527991=CARTESIAN_POINT('',(30.484634,27.995569,0.)); #527992=CARTESIAN_POINT('',(30.484634,27.995569,0.035)); #527993=CARTESIAN_POINT('',(30.484634,27.995569,0.035)); #527994=CARTESIAN_POINT('',(30.484634,27.995569,0.)); #527995=CARTESIAN_POINT('Origin',(30.4786,28.025291,0.)); #527996=CARTESIAN_POINT('',(30.4786,28.025291,0.)); #527997=CARTESIAN_POINT('',(30.4786,28.025291,0.)); #527998=CARTESIAN_POINT('',(30.4786,28.025291,0.035)); #527999=CARTESIAN_POINT('',(30.4786,28.025291,0.035)); #528000=CARTESIAN_POINT('',(30.4786,28.025291,0.)); #528001=CARTESIAN_POINT('Origin',(30.4786,29.523547,0.)); #528002=CARTESIAN_POINT('',(30.4786,29.523547,0.)); #528003=CARTESIAN_POINT('',(30.4786,29.523547,0.)); #528004=CARTESIAN_POINT('',(30.4786,29.523547,0.035)); #528005=CARTESIAN_POINT('',(30.4786,29.523547,0.035)); #528006=CARTESIAN_POINT('',(30.4786,29.523547,0.)); #528007=CARTESIAN_POINT('Origin',(30.484169,29.552144,0.)); #528008=CARTESIAN_POINT('',(30.484169,29.552144,0.)); #528009=CARTESIAN_POINT('',(30.484169,29.552144,0.)); #528010=CARTESIAN_POINT('',(30.484169,29.552144,0.035)); #528011=CARTESIAN_POINT('',(30.484169,29.552144,0.035)); #528012=CARTESIAN_POINT('',(30.484169,29.552144,0.)); #528013=CARTESIAN_POINT('Origin',(30.500919,29.577428,0.)); #528014=CARTESIAN_POINT('',(30.500919,29.577428,0.)); #528015=CARTESIAN_POINT('',(30.500919,29.577428,0.)); #528016=CARTESIAN_POINT('',(30.500919,29.577428,0.035)); #528017=CARTESIAN_POINT('',(30.500919,29.577428,0.035)); #528018=CARTESIAN_POINT('',(30.500919,29.577428,0.)); #528019=CARTESIAN_POINT('Origin',(31.151872,30.228381,0.)); #528020=CARTESIAN_POINT('',(31.151872,30.228381,0.)); #528021=CARTESIAN_POINT('',(31.151872,30.228381,0.)); #528022=CARTESIAN_POINT('',(31.151872,30.228381,0.035)); #528023=CARTESIAN_POINT('',(31.151872,30.228381,0.035)); #528024=CARTESIAN_POINT('',(31.151872,30.228381,0.)); #528025=CARTESIAN_POINT('Origin',(31.176031,30.244666,0.)); #528026=CARTESIAN_POINT('',(31.176031,30.244666,0.)); #528027=CARTESIAN_POINT('',(31.176031,30.244666,0.)); #528028=CARTESIAN_POINT('',(31.176031,30.244666,0.035)); #528029=CARTESIAN_POINT('',(31.176031,30.244666,0.035)); #528030=CARTESIAN_POINT('',(31.176031,30.244666,0.)); #528031=CARTESIAN_POINT('Origin',(31.205753,30.2507,0.)); #528032=CARTESIAN_POINT('',(31.205753,30.2507,0.)); #528033=CARTESIAN_POINT('',(31.205753,30.2507,0.)); #528034=CARTESIAN_POINT('',(31.205753,30.2507,0.035)); #528035=CARTESIAN_POINT('',(31.205753,30.2507,0.035)); #528036=CARTESIAN_POINT('',(31.205753,30.2507,0.)); #528037=CARTESIAN_POINT('Origin',(32.2577,30.2507,0.)); #528038=CARTESIAN_POINT('',(32.2577,30.2507,0.)); #528039=CARTESIAN_POINT('',(32.2577,30.2507,0.)); #528040=CARTESIAN_POINT('',(32.2577,30.2507,0.035)); #528041=CARTESIAN_POINT('',(32.2577,30.2507,0.035)); #528042=CARTESIAN_POINT('',(32.2577,30.2507,0.)); #528043=CARTESIAN_POINT('Origin',(32.285169,30.245578,0.)); #528044=CARTESIAN_POINT('',(32.285169,30.245578,0.)); #528045=CARTESIAN_POINT('',(32.285169,30.245578,0.)); #528046=CARTESIAN_POINT('',(32.285169,30.245578,0.035)); #528047=CARTESIAN_POINT('',(32.285169,30.245578,0.035)); #528048=CARTESIAN_POINT('',(32.285169,30.245578,0.)); #528049=CARTESIAN_POINT('Origin',(32.310716,30.229234,0.)); #528050=CARTESIAN_POINT('',(32.310716,30.229234,0.)); #528051=CARTESIAN_POINT('',(32.310716,30.229234,0.)); #528052=CARTESIAN_POINT('',(32.310716,30.229234,0.035)); #528053=CARTESIAN_POINT('',(32.310716,30.229234,0.035)); #528054=CARTESIAN_POINT('',(32.310716,30.229234,0.)); #528055=CARTESIAN_POINT('Origin',(32.327866,30.204222,0.)); #528056=CARTESIAN_POINT('',(32.327866,30.204222,0.)); #528057=CARTESIAN_POINT('',(32.327866,30.204222,0.)); #528058=CARTESIAN_POINT('',(32.327866,30.204222,0.035)); #528059=CARTESIAN_POINT('',(32.327866,30.204222,0.035)); #528060=CARTESIAN_POINT('',(32.327866,30.204222,0.)); #528061=CARTESIAN_POINT('Origin',(32.3339,30.1745,0.)); #528062=CARTESIAN_POINT('',(32.3339,30.1745,0.)); #528063=CARTESIAN_POINT('',(32.3339,30.1745,0.)); #528064=CARTESIAN_POINT('',(32.3339,30.1745,0.035)); #528065=CARTESIAN_POINT('',(32.3339,30.1745,0.035)); #528066=CARTESIAN_POINT('',(32.3339,30.1745,0.)); #528067=CARTESIAN_POINT('Origin',(32.3339,28.376247,0.)); #528068=CARTESIAN_POINT('',(32.3339,28.376247,0.)); #528069=CARTESIAN_POINT('',(32.3339,28.376247,0.)); #528070=CARTESIAN_POINT('',(32.3339,28.376247,0.035)); #528071=CARTESIAN_POINT('',(32.3339,28.376247,0.035)); #528072=CARTESIAN_POINT('',(32.3339,28.376247,0.)); #528073=CARTESIAN_POINT('Origin',(32.329206,28.349916,0.)); #528074=CARTESIAN_POINT('',(32.329206,28.349916,0.)); #528075=CARTESIAN_POINT('',(32.329206,28.349916,0.)); #528076=CARTESIAN_POINT('',(32.329206,28.349916,0.035)); #528077=CARTESIAN_POINT('',(32.329206,28.349916,0.035)); #528078=CARTESIAN_POINT('',(32.329206,28.349916,0.)); #528079=CARTESIAN_POINT('Origin',(32.313272,28.324109,0.)); #528080=CARTESIAN_POINT('',(32.313272,28.324109,0.)); #528081=CARTESIAN_POINT('',(32.313272,28.324109,0.)); #528082=CARTESIAN_POINT('',(32.313272,28.324109,0.035)); #528083=CARTESIAN_POINT('',(32.313272,28.324109,0.035)); #528084=CARTESIAN_POINT('',(32.313272,28.324109,0.)); #528085=CARTESIAN_POINT('Origin',(32.288534,28.306566,0.)); #528086=CARTESIAN_POINT('',(32.288534,28.306566,0.)); #528087=CARTESIAN_POINT('',(32.288534,28.306566,0.)); #528088=CARTESIAN_POINT('',(32.288534,28.306566,0.035)); #528089=CARTESIAN_POINT('',(32.288534,28.306566,0.035)); #528090=CARTESIAN_POINT('',(32.288534,28.306566,0.)); #528091=CARTESIAN_POINT('Origin',(32.258916,28.300056,0.)); #528092=CARTESIAN_POINT('',(32.258916,28.300056,0.)); #528093=CARTESIAN_POINT('',(32.258916,28.300056,0.)); #528094=CARTESIAN_POINT('',(32.258916,28.300056,0.035)); #528095=CARTESIAN_POINT('',(32.258916,28.300056,0.035)); #528096=CARTESIAN_POINT('',(32.258916,28.300056,0.)); #528097=CARTESIAN_POINT('Origin',(32.229103,28.305616,0.)); #528098=CARTESIAN_POINT('',(32.229103,28.305616,0.)); #528099=CARTESIAN_POINT('',(32.229103,28.305616,0.)); #528100=CARTESIAN_POINT('',(32.229103,28.305616,0.035)); #528101=CARTESIAN_POINT('',(32.229103,28.305616,0.035)); #528102=CARTESIAN_POINT('',(32.229103,28.305616,0.)); #528103=CARTESIAN_POINT('Origin',(32.203819,28.322366,0.)); #528104=CARTESIAN_POINT('',(32.203819,28.322366,0.)); #528105=CARTESIAN_POINT('',(32.203819,28.322366,0.)); #528106=CARTESIAN_POINT('',(32.203819,28.322366,0.035)); #528107=CARTESIAN_POINT('',(32.203819,28.322366,0.035)); #528108=CARTESIAN_POINT('',(32.203819,28.322366,0.)); #528109=CARTESIAN_POINT('Origin',(32.011169,28.515016,0.)); #528110=CARTESIAN_POINT('',(32.011169,28.515016,0.)); #528111=CARTESIAN_POINT('',(32.011169,28.515016,0.)); #528112=CARTESIAN_POINT('',(32.011169,28.515016,0.035)); #528113=CARTESIAN_POINT('',(32.011169,28.515016,0.035)); #528114=CARTESIAN_POINT('',(32.011169,28.515016,0.)); #528115=CARTESIAN_POINT('Origin',(31.6795,28.6524,0.)); #528116=CARTESIAN_POINT('',(31.6795,28.6524,0.)); #528117=CARTESIAN_POINT('',(31.6795,28.6524,0.)); #528118=CARTESIAN_POINT('',(31.6795,28.6524,0.035)); #528119=CARTESIAN_POINT('',(31.6795,28.6524,0.035)); #528120=CARTESIAN_POINT('',(31.6795,28.6524,0.)); #528121=CARTESIAN_POINT('Origin',(31.3205,28.6524,0.)); #528122=CARTESIAN_POINT('',(31.3205,28.6524,0.)); #528123=CARTESIAN_POINT('',(31.3205,28.6524,0.)); #528124=CARTESIAN_POINT('',(31.3205,28.6524,0.035)); #528125=CARTESIAN_POINT('',(31.3205,28.6524,0.035)); #528126=CARTESIAN_POINT('',(31.3205,28.6524,0.)); #528127=CARTESIAN_POINT('Origin',(30.988831,28.515016,0.)); #528128=CARTESIAN_POINT('',(30.988831,28.515016,0.)); #528129=CARTESIAN_POINT('',(30.988831,28.515016,0.)); #528130=CARTESIAN_POINT('',(30.988831,28.515016,0.035)); #528131=CARTESIAN_POINT('',(30.988831,28.515016,0.035)); #528132=CARTESIAN_POINT('',(30.988831,28.515016,0.)); #528133=CARTESIAN_POINT('Origin',(30.734984,28.261169,0.)); #528134=CARTESIAN_POINT('',(30.734984,28.261169,0.)); #528135=CARTESIAN_POINT('',(30.734984,28.261169,0.)); #528136=CARTESIAN_POINT('',(30.734984,28.261169,0.035)); #528137=CARTESIAN_POINT('',(30.734984,28.261169,0.035)); #528138=CARTESIAN_POINT('',(30.734984,28.261169,0.)); #528139=CARTESIAN_POINT('Origin',(30.6252,27.996131,0.)); #528140=CARTESIAN_POINT('',(30.6252,27.996131,0.)); #528141=CARTESIAN_POINT('',(30.6252,27.996131,0.)); #528142=CARTESIAN_POINT('',(30.6252,27.996131,0.035)); #528143=CARTESIAN_POINT('',(30.6252,27.996131,0.035)); #528144=CARTESIAN_POINT('',(30.6252,27.996131,0.)); #528145=CARTESIAN_POINT('Origin',(30.611197,27.974047,0.)); #528146=CARTESIAN_POINT('',(30.611197,27.974047,0.)); #528147=CARTESIAN_POINT('',(30.611197,27.974047,0.)); #528148=CARTESIAN_POINT('',(30.611197,27.974047,0.035)); #528149=CARTESIAN_POINT('',(30.611197,27.974047,0.035)); #528150=CARTESIAN_POINT('',(30.611197,27.974047,0.)); #528151=CARTESIAN_POINT('Origin',(30.586744,27.956109,0.)); #528152=CARTESIAN_POINT('',(30.586744,27.956109,0.)); #528153=CARTESIAN_POINT('',(30.586744,27.956109,0.035)); #528154=CARTESIAN_POINT('Origin',(31.4050342237119,29.1482671560429,0.035)); #528155=CARTESIAN_POINT('Origin',(31.4050342237119,29.1482671560429,0.)); #528156=CARTESIAN_POINT('Origin',(33.626216,55.261006,0.)); #528157=CARTESIAN_POINT('',(33.626216,55.261006,0.)); #528158=CARTESIAN_POINT('',(33.655834,55.267516,0.)); #528159=CARTESIAN_POINT('',(33.626216,55.261006,0.)); #528160=CARTESIAN_POINT('',(33.655834,55.267516,0.035)); #528161=CARTESIAN_POINT('',(33.655834,55.267516,0.)); #528162=CARTESIAN_POINT('',(33.626216,55.261006,0.035)); #528163=CARTESIAN_POINT('',(33.626216,55.261006,0.035)); #528164=CARTESIAN_POINT('',(33.626216,55.261006,0.)); #528165=CARTESIAN_POINT('Origin',(33.596403,55.266566,0.)); #528166=CARTESIAN_POINT('',(33.596403,55.266566,0.)); #528167=CARTESIAN_POINT('',(33.596403,55.266566,0.)); #528168=CARTESIAN_POINT('',(33.596403,55.266566,0.035)); #528169=CARTESIAN_POINT('',(33.596403,55.266566,0.035)); #528170=CARTESIAN_POINT('',(33.596403,55.266566,0.)); #528171=CARTESIAN_POINT('Origin',(33.571119,55.283316,0.)); #528172=CARTESIAN_POINT('',(33.571119,55.283316,0.)); #528173=CARTESIAN_POINT('',(33.571119,55.283316,0.)); #528174=CARTESIAN_POINT('',(33.571119,55.283316,0.035)); #528175=CARTESIAN_POINT('',(33.571119,55.283316,0.035)); #528176=CARTESIAN_POINT('',(33.571119,55.283316,0.)); #528177=CARTESIAN_POINT('Origin',(33.500919,55.353516,0.)); #528178=CARTESIAN_POINT('',(33.500919,55.353516,0.)); #528179=CARTESIAN_POINT('',(33.500919,55.353516,0.)); #528180=CARTESIAN_POINT('',(33.500919,55.353516,0.035)); #528181=CARTESIAN_POINT('',(33.500919,55.353516,0.035)); #528182=CARTESIAN_POINT('',(33.500919,55.353516,0.)); #528183=CARTESIAN_POINT('Origin',(33.484634,55.377675,0.)); #528184=CARTESIAN_POINT('',(33.484634,55.377675,0.)); #528185=CARTESIAN_POINT('',(33.484634,55.377675,0.)); #528186=CARTESIAN_POINT('',(33.484634,55.377675,0.035)); #528187=CARTESIAN_POINT('',(33.484634,55.377675,0.035)); #528188=CARTESIAN_POINT('',(33.484634,55.377675,0.)); #528189=CARTESIAN_POINT('Origin',(33.4786,55.407397,0.)); #528190=CARTESIAN_POINT('',(33.4786,55.407397,0.)); #528191=CARTESIAN_POINT('',(33.4786,55.407397,0.)); #528192=CARTESIAN_POINT('',(33.4786,55.407397,0.035)); #528193=CARTESIAN_POINT('',(33.4786,55.407397,0.035)); #528194=CARTESIAN_POINT('',(33.4786,55.407397,0.)); #528195=CARTESIAN_POINT('Origin',(33.4786,55.498747,0.)); #528196=CARTESIAN_POINT('',(33.4786,55.498747,0.)); #528197=CARTESIAN_POINT('',(33.4786,55.498747,0.)); #528198=CARTESIAN_POINT('',(33.4786,55.498747,0.035)); #528199=CARTESIAN_POINT('',(33.4786,55.498747,0.035)); #528200=CARTESIAN_POINT('',(33.4786,55.498747,0.)); #528201=CARTESIAN_POINT('Origin',(33.484169,55.527344,0.)); #528202=CARTESIAN_POINT('',(33.484169,55.527344,0.)); #528203=CARTESIAN_POINT('',(33.484169,55.527344,0.)); #528204=CARTESIAN_POINT('',(33.484169,55.527344,0.035)); #528205=CARTESIAN_POINT('',(33.484169,55.527344,0.035)); #528206=CARTESIAN_POINT('',(33.484169,55.527344,0.)); #528207=CARTESIAN_POINT('Origin',(33.500919,55.552628,0.)); #528208=CARTESIAN_POINT('',(33.500919,55.552628,0.)); #528209=CARTESIAN_POINT('',(33.500919,55.552628,0.)); #528210=CARTESIAN_POINT('',(33.500919,55.552628,0.035)); #528211=CARTESIAN_POINT('',(33.500919,55.552628,0.035)); #528212=CARTESIAN_POINT('',(33.500919,55.552628,0.)); #528213=CARTESIAN_POINT('Origin',(33.641319,55.693028,0.)); #528214=CARTESIAN_POINT('',(33.641319,55.693028,0.)); #528215=CARTESIAN_POINT('',(33.641319,55.693028,0.)); #528216=CARTESIAN_POINT('',(33.641319,55.693028,0.035)); #528217=CARTESIAN_POINT('',(33.641319,55.693028,0.035)); #528218=CARTESIAN_POINT('',(33.641319,55.693028,0.)); #528219=CARTESIAN_POINT('Origin',(33.663256,55.708328,0.)); #528220=CARTESIAN_POINT('',(33.663256,55.708328,0.)); #528221=CARTESIAN_POINT('',(33.663256,55.708328,0.)); #528222=CARTESIAN_POINT('',(33.663256,55.708328,0.035)); #528223=CARTESIAN_POINT('',(33.663256,55.708328,0.035)); #528224=CARTESIAN_POINT('',(33.663256,55.708328,0.)); #528225=CARTESIAN_POINT('Origin',(33.692772,55.715309,0.)); #528226=CARTESIAN_POINT('',(33.692772,55.715309,0.)); #528227=CARTESIAN_POINT('',(33.692772,55.715309,0.)); #528228=CARTESIAN_POINT('',(33.692772,55.715309,0.035)); #528229=CARTESIAN_POINT('',(33.692772,55.715309,0.035)); #528230=CARTESIAN_POINT('',(33.692772,55.715309,0.)); #528231=CARTESIAN_POINT('Origin',(33.722669,55.710225,0.)); #528232=CARTESIAN_POINT('',(33.722669,55.710225,0.)); #528233=CARTESIAN_POINT('',(33.722669,55.710225,0.)); #528234=CARTESIAN_POINT('',(33.722669,55.710225,0.035)); #528235=CARTESIAN_POINT('',(33.722669,55.710225,0.035)); #528236=CARTESIAN_POINT('',(33.722669,55.710225,0.)); #528237=CARTESIAN_POINT('Origin',(33.748216,55.693881,0.)); #528238=CARTESIAN_POINT('',(33.748216,55.693881,0.)); #528239=CARTESIAN_POINT('',(33.748216,55.693881,0.)); #528240=CARTESIAN_POINT('',(33.748216,55.693881,0.035)); #528241=CARTESIAN_POINT('',(33.748216,55.693881,0.035)); #528242=CARTESIAN_POINT('',(33.748216,55.693881,0.)); #528243=CARTESIAN_POINT('Origin',(33.765366,55.668869,0.)); #528244=CARTESIAN_POINT('',(33.765366,55.668869,0.)); #528245=CARTESIAN_POINT('',(33.765366,55.668869,0.)); #528246=CARTESIAN_POINT('',(33.765366,55.668869,0.035)); #528247=CARTESIAN_POINT('',(33.765366,55.668869,0.035)); #528248=CARTESIAN_POINT('',(33.765366,55.668869,0.)); #528249=CARTESIAN_POINT('Origin',(33.7714,55.639147,0.)); #528250=CARTESIAN_POINT('',(33.7714,55.639147,0.)); #528251=CARTESIAN_POINT('',(33.7714,55.639147,0.)); #528252=CARTESIAN_POINT('',(33.7714,55.639147,0.035)); #528253=CARTESIAN_POINT('',(33.7714,55.639147,0.035)); #528254=CARTESIAN_POINT('',(33.7714,55.639147,0.)); #528255=CARTESIAN_POINT('Origin',(33.7714,55.407397,0.)); #528256=CARTESIAN_POINT('',(33.7714,55.407397,0.)); #528257=CARTESIAN_POINT('',(33.7714,55.407397,0.)); #528258=CARTESIAN_POINT('',(33.7714,55.407397,0.035)); #528259=CARTESIAN_POINT('',(33.7714,55.407397,0.035)); #528260=CARTESIAN_POINT('',(33.7714,55.407397,0.)); #528261=CARTESIAN_POINT('Origin',(33.765831,55.3788,0.)); #528262=CARTESIAN_POINT('',(33.765831,55.3788,0.)); #528263=CARTESIAN_POINT('',(33.765831,55.3788,0.)); #528264=CARTESIAN_POINT('',(33.765831,55.3788,0.035)); #528265=CARTESIAN_POINT('',(33.765831,55.3788,0.035)); #528266=CARTESIAN_POINT('',(33.765831,55.3788,0.)); #528267=CARTESIAN_POINT('Origin',(33.749081,55.353516,0.)); #528268=CARTESIAN_POINT('',(33.749081,55.353516,0.)); #528269=CARTESIAN_POINT('',(33.749081,55.353516,0.)); #528270=CARTESIAN_POINT('',(33.749081,55.353516,0.035)); #528271=CARTESIAN_POINT('',(33.749081,55.353516,0.035)); #528272=CARTESIAN_POINT('',(33.749081,55.353516,0.)); #528273=CARTESIAN_POINT('Origin',(33.678881,55.283316,0.)); #528274=CARTESIAN_POINT('',(33.678881,55.283316,0.)); #528275=CARTESIAN_POINT('',(33.678881,55.283316,0.)); #528276=CARTESIAN_POINT('',(33.678881,55.283316,0.035)); #528277=CARTESIAN_POINT('',(33.678881,55.283316,0.035)); #528278=CARTESIAN_POINT('',(33.678881,55.283316,0.)); #528279=CARTESIAN_POINT('Origin',(33.655834,55.267516,0.)); #528280=CARTESIAN_POINT('',(33.655834,55.267516,0.)); #528281=CARTESIAN_POINT('',(33.655834,55.267516,0.035)); #528282=CARTESIAN_POINT('Origin',(33.6402186190606,55.4864651826395,0.035)); #528283=CARTESIAN_POINT('Origin',(33.6402186190606,55.4864651826395,0.)); #528284=CARTESIAN_POINT('Origin',(30.557228,25.449128,0.)); #528285=CARTESIAN_POINT('',(30.557228,25.449128,0.)); #528286=CARTESIAN_POINT('',(30.586744,25.456109,0.)); #528287=CARTESIAN_POINT('',(30.557228,25.449128,0.)); #528288=CARTESIAN_POINT('',(30.586744,25.456109,0.035)); #528289=CARTESIAN_POINT('',(30.586744,25.456109,0.)); #528290=CARTESIAN_POINT('',(30.557228,25.449128,0.035)); #528291=CARTESIAN_POINT('',(30.557228,25.449128,0.035)); #528292=CARTESIAN_POINT('',(30.557228,25.449128,0.)); #528293=CARTESIAN_POINT('Origin',(30.527331,25.454213,0.)); #528294=CARTESIAN_POINT('',(30.527331,25.454213,0.)); #528295=CARTESIAN_POINT('',(30.527331,25.454213,0.)); #528296=CARTESIAN_POINT('',(30.527331,25.454213,0.035)); #528297=CARTESIAN_POINT('',(30.527331,25.454213,0.035)); #528298=CARTESIAN_POINT('',(30.527331,25.454213,0.)); #528299=CARTESIAN_POINT('Origin',(30.501784,25.470556,0.)); #528300=CARTESIAN_POINT('',(30.501784,25.470556,0.)); #528301=CARTESIAN_POINT('',(30.501784,25.470556,0.)); #528302=CARTESIAN_POINT('',(30.501784,25.470556,0.035)); #528303=CARTESIAN_POINT('',(30.501784,25.470556,0.035)); #528304=CARTESIAN_POINT('',(30.501784,25.470556,0.)); #528305=CARTESIAN_POINT('Origin',(30.484634,25.495569,0.)); #528306=CARTESIAN_POINT('',(30.484634,25.495569,0.)); #528307=CARTESIAN_POINT('',(30.484634,25.495569,0.)); #528308=CARTESIAN_POINT('',(30.484634,25.495569,0.035)); #528309=CARTESIAN_POINT('',(30.484634,25.495569,0.035)); #528310=CARTESIAN_POINT('',(30.484634,25.495569,0.)); #528311=CARTESIAN_POINT('Origin',(30.4786,25.525291,0.)); #528312=CARTESIAN_POINT('',(30.4786,25.525291,0.)); #528313=CARTESIAN_POINT('',(30.4786,25.525291,0.)); #528314=CARTESIAN_POINT('',(30.4786,25.525291,0.035)); #528315=CARTESIAN_POINT('',(30.4786,25.525291,0.035)); #528316=CARTESIAN_POINT('',(30.4786,25.525291,0.)); #528317=CARTESIAN_POINT('Origin',(30.4786,27.474709,0.)); #528318=CARTESIAN_POINT('',(30.4786,27.474709,0.)); #528319=CARTESIAN_POINT('',(30.4786,27.474709,0.)); #528320=CARTESIAN_POINT('',(30.4786,27.474709,0.035)); #528321=CARTESIAN_POINT('',(30.4786,27.474709,0.035)); #528322=CARTESIAN_POINT('',(30.4786,27.474709,0.)); #528323=CARTESIAN_POINT('Origin',(30.483087,27.500472,0.)); #528324=CARTESIAN_POINT('',(30.483087,27.500472,0.)); #528325=CARTESIAN_POINT('',(30.483087,27.500472,0.)); #528326=CARTESIAN_POINT('',(30.483087,27.500472,0.035)); #528327=CARTESIAN_POINT('',(30.483087,27.500472,0.035)); #528328=CARTESIAN_POINT('',(30.483087,27.500472,0.)); #528329=CARTESIAN_POINT('Origin',(30.498816,27.5264,0.)); #528330=CARTESIAN_POINT('',(30.498816,27.5264,0.)); #528331=CARTESIAN_POINT('',(30.498816,27.5264,0.)); #528332=CARTESIAN_POINT('',(30.498816,27.5264,0.035)); #528333=CARTESIAN_POINT('',(30.498816,27.5264,0.035)); #528334=CARTESIAN_POINT('',(30.498816,27.5264,0.)); #528335=CARTESIAN_POINT('Origin',(30.523409,27.544144,0.)); #528336=CARTESIAN_POINT('',(30.523409,27.544144,0.)); #528337=CARTESIAN_POINT('',(30.523409,27.544144,0.)); #528338=CARTESIAN_POINT('',(30.523409,27.544144,0.035)); #528339=CARTESIAN_POINT('',(30.523409,27.544144,0.035)); #528340=CARTESIAN_POINT('',(30.523409,27.544144,0.)); #528341=CARTESIAN_POINT('Origin',(30.552978,27.550888,0.)); #528342=CARTESIAN_POINT('',(30.552978,27.550888,0.)); #528343=CARTESIAN_POINT('',(30.552978,27.550888,0.)); #528344=CARTESIAN_POINT('',(30.552978,27.550888,0.035)); #528345=CARTESIAN_POINT('',(30.552978,27.550888,0.035)); #528346=CARTESIAN_POINT('',(30.552978,27.550888,0.)); #528347=CARTESIAN_POINT('Origin',(30.582834,27.545566,0.)); #528348=CARTESIAN_POINT('',(30.582834,27.545566,0.)); #528349=CARTESIAN_POINT('',(30.582834,27.545566,0.)); #528350=CARTESIAN_POINT('',(30.582834,27.545566,0.035)); #528351=CARTESIAN_POINT('',(30.582834,27.545566,0.035)); #528352=CARTESIAN_POINT('',(30.582834,27.545566,0.)); #528353=CARTESIAN_POINT('Origin',(30.60825,27.529019,0.)); #528354=CARTESIAN_POINT('',(30.60825,27.529019,0.)); #528355=CARTESIAN_POINT('',(30.60825,27.529019,0.)); #528356=CARTESIAN_POINT('',(30.60825,27.529019,0.035)); #528357=CARTESIAN_POINT('',(30.60825,27.529019,0.035)); #528358=CARTESIAN_POINT('',(30.60825,27.529019,0.)); #528359=CARTESIAN_POINT('Origin',(30.6252,27.503869,0.)); #528360=CARTESIAN_POINT('',(30.6252,27.503869,0.)); #528361=CARTESIAN_POINT('',(30.6252,27.503869,0.)); #528362=CARTESIAN_POINT('',(30.6252,27.503869,0.035)); #528363=CARTESIAN_POINT('',(30.6252,27.503869,0.035)); #528364=CARTESIAN_POINT('',(30.6252,27.503869,0.)); #528365=CARTESIAN_POINT('Origin',(30.734984,27.238831,0.)); #528366=CARTESIAN_POINT('',(30.734984,27.238831,0.)); #528367=CARTESIAN_POINT('',(30.734984,27.238831,0.)); #528368=CARTESIAN_POINT('',(30.734984,27.238831,0.035)); #528369=CARTESIAN_POINT('',(30.734984,27.238831,0.035)); #528370=CARTESIAN_POINT('',(30.734984,27.238831,0.)); #528371=CARTESIAN_POINT('Origin',(30.988831,26.984984,0.)); #528372=CARTESIAN_POINT('',(30.988831,26.984984,0.)); #528373=CARTESIAN_POINT('',(30.988831,26.984984,0.)); #528374=CARTESIAN_POINT('',(30.988831,26.984984,0.035)); #528375=CARTESIAN_POINT('',(30.988831,26.984984,0.035)); #528376=CARTESIAN_POINT('',(30.988831,26.984984,0.)); #528377=CARTESIAN_POINT('Origin',(31.3205,26.8476,0.)); #528378=CARTESIAN_POINT('',(31.3205,26.8476,0.)); #528379=CARTESIAN_POINT('',(31.3205,26.8476,0.)); #528380=CARTESIAN_POINT('',(31.3205,26.8476,0.035)); #528381=CARTESIAN_POINT('',(31.3205,26.8476,0.035)); #528382=CARTESIAN_POINT('',(31.3205,26.8476,0.)); #528383=CARTESIAN_POINT('Origin',(31.6795,26.8476,0.)); #528384=CARTESIAN_POINT('',(31.6795,26.8476,0.)); #528385=CARTESIAN_POINT('',(31.6795,26.8476,0.)); #528386=CARTESIAN_POINT('',(31.6795,26.8476,0.035)); #528387=CARTESIAN_POINT('',(31.6795,26.8476,0.035)); #528388=CARTESIAN_POINT('',(31.6795,26.8476,0.)); #528389=CARTESIAN_POINT('Origin',(32.011169,26.984984,0.)); #528390=CARTESIAN_POINT('',(32.011169,26.984984,0.)); #528391=CARTESIAN_POINT('',(32.011169,26.984984,0.)); #528392=CARTESIAN_POINT('',(32.011169,26.984984,0.035)); #528393=CARTESIAN_POINT('',(32.011169,26.984984,0.035)); #528394=CARTESIAN_POINT('',(32.011169,26.984984,0.)); #528395=CARTESIAN_POINT('Origin',(32.203819,27.177634,0.)); #528396=CARTESIAN_POINT('',(32.203819,27.177634,0.)); #528397=CARTESIAN_POINT('',(32.203819,27.177634,0.)); #528398=CARTESIAN_POINT('',(32.203819,27.177634,0.035)); #528399=CARTESIAN_POINT('',(32.203819,27.177634,0.035)); #528400=CARTESIAN_POINT('',(32.203819,27.177634,0.)); #528401=CARTESIAN_POINT('Origin',(32.225756,27.192934,0.)); #528402=CARTESIAN_POINT('',(32.225756,27.192934,0.)); #528403=CARTESIAN_POINT('',(32.225756,27.192934,0.)); #528404=CARTESIAN_POINT('',(32.225756,27.192934,0.035)); #528405=CARTESIAN_POINT('',(32.225756,27.192934,0.035)); #528406=CARTESIAN_POINT('',(32.225756,27.192934,0.)); #528407=CARTESIAN_POINT('Origin',(32.255272,27.199916,0.)); #528408=CARTESIAN_POINT('',(32.255272,27.199916,0.)); #528409=CARTESIAN_POINT('',(32.255272,27.199916,0.)); #528410=CARTESIAN_POINT('',(32.255272,27.199916,0.035)); #528411=CARTESIAN_POINT('',(32.255272,27.199916,0.035)); #528412=CARTESIAN_POINT('',(32.255272,27.199916,0.)); #528413=CARTESIAN_POINT('Origin',(32.285169,27.194831,0.)); #528414=CARTESIAN_POINT('',(32.285169,27.194831,0.)); #528415=CARTESIAN_POINT('',(32.285169,27.194831,0.)); #528416=CARTESIAN_POINT('',(32.285169,27.194831,0.035)); #528417=CARTESIAN_POINT('',(32.285169,27.194831,0.035)); #528418=CARTESIAN_POINT('',(32.285169,27.194831,0.)); #528419=CARTESIAN_POINT('Origin',(32.310716,27.178488,0.)); #528420=CARTESIAN_POINT('',(32.310716,27.178488,0.)); #528421=CARTESIAN_POINT('',(32.310716,27.178488,0.)); #528422=CARTESIAN_POINT('',(32.310716,27.178488,0.035)); #528423=CARTESIAN_POINT('',(32.310716,27.178488,0.035)); #528424=CARTESIAN_POINT('',(32.310716,27.178488,0.)); #528425=CARTESIAN_POINT('Origin',(32.327866,27.153475,0.)); #528426=CARTESIAN_POINT('',(32.327866,27.153475,0.)); #528427=CARTESIAN_POINT('',(32.327866,27.153475,0.)); #528428=CARTESIAN_POINT('',(32.327866,27.153475,0.035)); #528429=CARTESIAN_POINT('',(32.327866,27.153475,0.035)); #528430=CARTESIAN_POINT('',(32.327866,27.153475,0.)); #528431=CARTESIAN_POINT('Origin',(32.3339,27.123753,0.)); #528432=CARTESIAN_POINT('',(32.3339,27.123753,0.)); #528433=CARTESIAN_POINT('',(32.3339,27.123753,0.)); #528434=CARTESIAN_POINT('',(32.3339,27.123753,0.035)); #528435=CARTESIAN_POINT('',(32.3339,27.123753,0.035)); #528436=CARTESIAN_POINT('',(32.3339,27.123753,0.)); #528437=CARTESIAN_POINT('Origin',(32.3339,25.876247,0.)); #528438=CARTESIAN_POINT('',(32.3339,25.876247,0.)); #528439=CARTESIAN_POINT('',(32.3339,25.876247,0.)); #528440=CARTESIAN_POINT('',(32.3339,25.876247,0.035)); #528441=CARTESIAN_POINT('',(32.3339,25.876247,0.035)); #528442=CARTESIAN_POINT('',(32.3339,25.876247,0.)); #528443=CARTESIAN_POINT('Origin',(32.329206,25.849916,0.)); #528444=CARTESIAN_POINT('',(32.329206,25.849916,0.)); #528445=CARTESIAN_POINT('',(32.329206,25.849916,0.)); #528446=CARTESIAN_POINT('',(32.329206,25.849916,0.035)); #528447=CARTESIAN_POINT('',(32.329206,25.849916,0.035)); #528448=CARTESIAN_POINT('',(32.329206,25.849916,0.)); #528449=CARTESIAN_POINT('Origin',(32.313272,25.824109,0.)); #528450=CARTESIAN_POINT('',(32.313272,25.824109,0.)); #528451=CARTESIAN_POINT('',(32.313272,25.824109,0.)); #528452=CARTESIAN_POINT('',(32.313272,25.824109,0.035)); #528453=CARTESIAN_POINT('',(32.313272,25.824109,0.035)); #528454=CARTESIAN_POINT('',(32.313272,25.824109,0.)); #528455=CARTESIAN_POINT('Origin',(32.288534,25.806566,0.)); #528456=CARTESIAN_POINT('',(32.288534,25.806566,0.)); #528457=CARTESIAN_POINT('',(32.288534,25.806566,0.)); #528458=CARTESIAN_POINT('',(32.288534,25.806566,0.035)); #528459=CARTESIAN_POINT('',(32.288534,25.806566,0.035)); #528460=CARTESIAN_POINT('',(32.288534,25.806566,0.)); #528461=CARTESIAN_POINT('Origin',(32.258916,25.800056,0.)); #528462=CARTESIAN_POINT('',(32.258916,25.800056,0.)); #528463=CARTESIAN_POINT('',(32.258916,25.800056,0.)); #528464=CARTESIAN_POINT('',(32.258916,25.800056,0.035)); #528465=CARTESIAN_POINT('',(32.258916,25.800056,0.035)); #528466=CARTESIAN_POINT('',(32.258916,25.800056,0.)); #528467=CARTESIAN_POINT('Origin',(32.229103,25.805616,0.)); #528468=CARTESIAN_POINT('',(32.229103,25.805616,0.)); #528469=CARTESIAN_POINT('',(32.229103,25.805616,0.)); #528470=CARTESIAN_POINT('',(32.229103,25.805616,0.035)); #528471=CARTESIAN_POINT('',(32.229103,25.805616,0.035)); #528472=CARTESIAN_POINT('',(32.229103,25.805616,0.)); #528473=CARTESIAN_POINT('Origin',(32.203819,25.822366,0.)); #528474=CARTESIAN_POINT('',(32.203819,25.822366,0.)); #528475=CARTESIAN_POINT('',(32.203819,25.822366,0.)); #528476=CARTESIAN_POINT('',(32.203819,25.822366,0.035)); #528477=CARTESIAN_POINT('',(32.203819,25.822366,0.035)); #528478=CARTESIAN_POINT('',(32.203819,25.822366,0.)); #528479=CARTESIAN_POINT('Origin',(32.011169,26.015016,0.)); #528480=CARTESIAN_POINT('',(32.011169,26.015016,0.)); #528481=CARTESIAN_POINT('',(32.011169,26.015016,0.)); #528482=CARTESIAN_POINT('',(32.011169,26.015016,0.035)); #528483=CARTESIAN_POINT('',(32.011169,26.015016,0.035)); #528484=CARTESIAN_POINT('',(32.011169,26.015016,0.)); #528485=CARTESIAN_POINT('Origin',(31.6795,26.1524,0.)); #528486=CARTESIAN_POINT('',(31.6795,26.1524,0.)); #528487=CARTESIAN_POINT('',(31.6795,26.1524,0.)); #528488=CARTESIAN_POINT('',(31.6795,26.1524,0.035)); #528489=CARTESIAN_POINT('',(31.6795,26.1524,0.035)); #528490=CARTESIAN_POINT('',(31.6795,26.1524,0.)); #528491=CARTESIAN_POINT('Origin',(31.3205,26.1524,0.)); #528492=CARTESIAN_POINT('',(31.3205,26.1524,0.)); #528493=CARTESIAN_POINT('',(31.3205,26.1524,0.)); #528494=CARTESIAN_POINT('',(31.3205,26.1524,0.035)); #528495=CARTESIAN_POINT('',(31.3205,26.1524,0.035)); #528496=CARTESIAN_POINT('',(31.3205,26.1524,0.)); #528497=CARTESIAN_POINT('Origin',(30.988831,26.015016,0.)); #528498=CARTESIAN_POINT('',(30.988831,26.015016,0.)); #528499=CARTESIAN_POINT('',(30.988831,26.015016,0.)); #528500=CARTESIAN_POINT('',(30.988831,26.015016,0.035)); #528501=CARTESIAN_POINT('',(30.988831,26.015016,0.035)); #528502=CARTESIAN_POINT('',(30.988831,26.015016,0.)); #528503=CARTESIAN_POINT('Origin',(30.734984,25.761169,0.)); #528504=CARTESIAN_POINT('',(30.734984,25.761169,0.)); #528505=CARTESIAN_POINT('',(30.734984,25.761169,0.)); #528506=CARTESIAN_POINT('',(30.734984,25.761169,0.035)); #528507=CARTESIAN_POINT('',(30.734984,25.761169,0.035)); #528508=CARTESIAN_POINT('',(30.734984,25.761169,0.)); #528509=CARTESIAN_POINT('Origin',(30.6252,25.496131,0.)); #528510=CARTESIAN_POINT('',(30.6252,25.496131,0.)); #528511=CARTESIAN_POINT('',(30.6252,25.496131,0.)); #528512=CARTESIAN_POINT('',(30.6252,25.496131,0.035)); #528513=CARTESIAN_POINT('',(30.6252,25.496131,0.035)); #528514=CARTESIAN_POINT('',(30.6252,25.496131,0.)); #528515=CARTESIAN_POINT('Origin',(30.611197,25.474047,0.)); #528516=CARTESIAN_POINT('',(30.611197,25.474047,0.)); #528517=CARTESIAN_POINT('',(30.611197,25.474047,0.)); #528518=CARTESIAN_POINT('',(30.611197,25.474047,0.035)); #528519=CARTESIAN_POINT('',(30.611197,25.474047,0.035)); #528520=CARTESIAN_POINT('',(30.611197,25.474047,0.)); #528521=CARTESIAN_POINT('Origin',(30.586744,25.456109,0.)); #528522=CARTESIAN_POINT('',(30.586744,25.456109,0.)); #528523=CARTESIAN_POINT('',(30.586744,25.456109,0.035)); #528524=CARTESIAN_POINT('Origin',(31.2904934242719,26.5000001643406,0.035)); #528525=CARTESIAN_POINT('Origin',(31.2904934242719,26.5000001643406,0.)); #528526=CARTESIAN_POINT('Origin',(41.626216,36.261006,0.)); #528527=CARTESIAN_POINT('',(41.626216,36.261006,0.)); #528528=CARTESIAN_POINT('',(41.655834,36.267516,0.)); #528529=CARTESIAN_POINT('',(41.626216,36.261006,0.)); #528530=CARTESIAN_POINT('',(41.655834,36.267516,0.035)); #528531=CARTESIAN_POINT('',(41.655834,36.267516,0.)); #528532=CARTESIAN_POINT('',(41.626216,36.261006,0.035)); #528533=CARTESIAN_POINT('',(41.626216,36.261006,0.035)); #528534=CARTESIAN_POINT('',(41.626216,36.261006,0.)); #528535=CARTESIAN_POINT('Origin',(41.596403,36.266566,0.)); #528536=CARTESIAN_POINT('',(41.596403,36.266566,0.)); #528537=CARTESIAN_POINT('',(41.596403,36.266566,0.)); #528538=CARTESIAN_POINT('',(41.596403,36.266566,0.035)); #528539=CARTESIAN_POINT('',(41.596403,36.266566,0.035)); #528540=CARTESIAN_POINT('',(41.596403,36.266566,0.)); #528541=CARTESIAN_POINT('Origin',(41.571119,36.283316,0.)); #528542=CARTESIAN_POINT('',(41.571119,36.283316,0.)); #528543=CARTESIAN_POINT('',(41.571119,36.283316,0.)); #528544=CARTESIAN_POINT('',(41.571119,36.283316,0.035)); #528545=CARTESIAN_POINT('',(41.571119,36.283316,0.035)); #528546=CARTESIAN_POINT('',(41.571119,36.283316,0.)); #528547=CARTESIAN_POINT('Origin',(41.56325,36.291184,0.)); #528548=CARTESIAN_POINT('',(41.56325,36.291184,0.)); #528549=CARTESIAN_POINT('',(41.56325,36.291184,0.)); #528550=CARTESIAN_POINT('',(41.56325,36.291184,0.035)); #528551=CARTESIAN_POINT('',(41.56325,36.291184,0.035)); #528552=CARTESIAN_POINT('',(41.56325,36.291184,0.)); #528553=CARTESIAN_POINT('Origin',(41.54745,36.314231,0.)); #528554=CARTESIAN_POINT('',(41.54745,36.314231,0.)); #528555=CARTESIAN_POINT('',(41.54745,36.314231,0.)); #528556=CARTESIAN_POINT('',(41.54745,36.314231,0.035)); #528557=CARTESIAN_POINT('',(41.54745,36.314231,0.035)); #528558=CARTESIAN_POINT('',(41.54745,36.314231,0.)); #528559=CARTESIAN_POINT('Origin',(41.540941,36.34385,0.)); #528560=CARTESIAN_POINT('',(41.540941,36.34385,0.)); #528561=CARTESIAN_POINT('',(41.540941,36.34385,0.)); #528562=CARTESIAN_POINT('',(41.540941,36.34385,0.035)); #528563=CARTESIAN_POINT('',(41.540941,36.34385,0.035)); #528564=CARTESIAN_POINT('',(41.540941,36.34385,0.)); #528565=CARTESIAN_POINT('Origin',(41.5465,36.373663,0.)); #528566=CARTESIAN_POINT('',(41.5465,36.373663,0.)); #528567=CARTESIAN_POINT('',(41.5465,36.373663,0.)); #528568=CARTESIAN_POINT('',(41.5465,36.373663,0.035)); #528569=CARTESIAN_POINT('',(41.5465,36.373663,0.035)); #528570=CARTESIAN_POINT('',(41.5465,36.373663,0.)); #528571=CARTESIAN_POINT('Origin',(41.56325,36.398947,0.)); #528572=CARTESIAN_POINT('',(41.56325,36.398947,0.)); #528573=CARTESIAN_POINT('',(41.56325,36.398947,0.)); #528574=CARTESIAN_POINT('',(41.56325,36.398947,0.035)); #528575=CARTESIAN_POINT('',(41.56325,36.398947,0.035)); #528576=CARTESIAN_POINT('',(41.56325,36.398947,0.)); #528577=CARTESIAN_POINT('Origin',(41.641319,36.477016,0.)); #528578=CARTESIAN_POINT('',(41.641319,36.477016,0.)); #528579=CARTESIAN_POINT('',(41.641319,36.477016,0.)); #528580=CARTESIAN_POINT('',(41.641319,36.477016,0.035)); #528581=CARTESIAN_POINT('',(41.641319,36.477016,0.035)); #528582=CARTESIAN_POINT('',(41.641319,36.477016,0.)); #528583=CARTESIAN_POINT('Origin',(41.663256,36.492316,0.)); #528584=CARTESIAN_POINT('',(41.663256,36.492316,0.)); #528585=CARTESIAN_POINT('',(41.663256,36.492316,0.)); #528586=CARTESIAN_POINT('',(41.663256,36.492316,0.035)); #528587=CARTESIAN_POINT('',(41.663256,36.492316,0.035)); #528588=CARTESIAN_POINT('',(41.663256,36.492316,0.)); #528589=CARTESIAN_POINT('Origin',(41.692772,36.499297,0.)); #528590=CARTESIAN_POINT('',(41.692772,36.499297,0.)); #528591=CARTESIAN_POINT('',(41.692772,36.499297,0.)); #528592=CARTESIAN_POINT('',(41.692772,36.499297,0.035)); #528593=CARTESIAN_POINT('',(41.692772,36.499297,0.035)); #528594=CARTESIAN_POINT('',(41.692772,36.499297,0.)); #528595=CARTESIAN_POINT('Origin',(41.722669,36.494213,0.)); #528596=CARTESIAN_POINT('',(41.722669,36.494213,0.)); #528597=CARTESIAN_POINT('',(41.722669,36.494213,0.)); #528598=CARTESIAN_POINT('',(41.722669,36.494213,0.035)); #528599=CARTESIAN_POINT('',(41.722669,36.494213,0.035)); #528600=CARTESIAN_POINT('',(41.722669,36.494213,0.)); #528601=CARTESIAN_POINT('Origin',(41.748216,36.477869,0.)); #528602=CARTESIAN_POINT('',(41.748216,36.477869,0.)); #528603=CARTESIAN_POINT('',(41.748216,36.477869,0.)); #528604=CARTESIAN_POINT('',(41.748216,36.477869,0.035)); #528605=CARTESIAN_POINT('',(41.748216,36.477869,0.035)); #528606=CARTESIAN_POINT('',(41.748216,36.477869,0.)); #528607=CARTESIAN_POINT('Origin',(41.765366,36.452856,0.)); #528608=CARTESIAN_POINT('',(41.765366,36.452856,0.)); #528609=CARTESIAN_POINT('',(41.765366,36.452856,0.)); #528610=CARTESIAN_POINT('',(41.765366,36.452856,0.035)); #528611=CARTESIAN_POINT('',(41.765366,36.452856,0.035)); #528612=CARTESIAN_POINT('',(41.765366,36.452856,0.)); #528613=CARTESIAN_POINT('Origin',(41.7714,36.423134,0.)); #528614=CARTESIAN_POINT('',(41.7714,36.423134,0.)); #528615=CARTESIAN_POINT('',(41.7714,36.423134,0.)); #528616=CARTESIAN_POINT('',(41.7714,36.423134,0.035)); #528617=CARTESIAN_POINT('',(41.7714,36.423134,0.035)); #528618=CARTESIAN_POINT('',(41.7714,36.423134,0.)); #528619=CARTESIAN_POINT('Origin',(41.7714,36.407397,0.)); #528620=CARTESIAN_POINT('',(41.7714,36.407397,0.)); #528621=CARTESIAN_POINT('',(41.7714,36.407397,0.)); #528622=CARTESIAN_POINT('',(41.7714,36.407397,0.035)); #528623=CARTESIAN_POINT('',(41.7714,36.407397,0.035)); #528624=CARTESIAN_POINT('',(41.7714,36.407397,0.)); #528625=CARTESIAN_POINT('Origin',(41.765831,36.3788,0.)); #528626=CARTESIAN_POINT('',(41.765831,36.3788,0.)); #528627=CARTESIAN_POINT('',(41.765831,36.3788,0.)); #528628=CARTESIAN_POINT('',(41.765831,36.3788,0.035)); #528629=CARTESIAN_POINT('',(41.765831,36.3788,0.035)); #528630=CARTESIAN_POINT('',(41.765831,36.3788,0.)); #528631=CARTESIAN_POINT('Origin',(41.749081,36.353516,0.)); #528632=CARTESIAN_POINT('',(41.749081,36.353516,0.)); #528633=CARTESIAN_POINT('',(41.749081,36.353516,0.)); #528634=CARTESIAN_POINT('',(41.749081,36.353516,0.035)); #528635=CARTESIAN_POINT('',(41.749081,36.353516,0.035)); #528636=CARTESIAN_POINT('',(41.749081,36.353516,0.)); #528637=CARTESIAN_POINT('Origin',(41.678881,36.283316,0.)); #528638=CARTESIAN_POINT('',(41.678881,36.283316,0.)); #528639=CARTESIAN_POINT('',(41.678881,36.283316,0.)); #528640=CARTESIAN_POINT('',(41.678881,36.283316,0.035)); #528641=CARTESIAN_POINT('',(41.678881,36.283316,0.035)); #528642=CARTESIAN_POINT('',(41.678881,36.283316,0.)); #528643=CARTESIAN_POINT('Origin',(41.655834,36.267516,0.)); #528644=CARTESIAN_POINT('',(41.655834,36.267516,0.)); #528645=CARTESIAN_POINT('',(41.655834,36.267516,0.035)); #528646=CARTESIAN_POINT('Origin',(41.6583372279531,36.3798419574889,0.035)); #528647=CARTESIAN_POINT('Origin',(41.6583372279531,36.3798419574889,0.)); #528648=CARTESIAN_POINT('Origin',(40.822547,27.4274,0.)); #528649=CARTESIAN_POINT('',(40.822547,27.4274,0.)); #528650=CARTESIAN_POINT('',(40.852269,27.433434,0.)); #528651=CARTESIAN_POINT('',(40.822547,27.4274,0.)); #528652=CARTESIAN_POINT('',(40.852269,27.433434,0.035)); #528653=CARTESIAN_POINT('',(40.852269,27.433434,0.)); #528654=CARTESIAN_POINT('',(40.822547,27.4274,0.035)); #528655=CARTESIAN_POINT('',(40.822547,27.4274,0.035)); #528656=CARTESIAN_POINT('',(40.822547,27.4274,0.)); #528657=CARTESIAN_POINT('Origin',(40.654316,27.4274,0.)); #528658=CARTESIAN_POINT('',(40.654316,27.4274,0.)); #528659=CARTESIAN_POINT('',(40.654316,27.4274,0.)); #528660=CARTESIAN_POINT('',(40.654316,27.4274,0.035)); #528661=CARTESIAN_POINT('',(40.654316,27.4274,0.035)); #528662=CARTESIAN_POINT('',(40.654316,27.4274,0.)); #528663=CARTESIAN_POINT('Origin',(40.627984,27.432094,0.)); #528664=CARTESIAN_POINT('',(40.627984,27.432094,0.)); #528665=CARTESIAN_POINT('',(40.627984,27.432094,0.)); #528666=CARTESIAN_POINT('',(40.627984,27.432094,0.035)); #528667=CARTESIAN_POINT('',(40.627984,27.432094,0.035)); #528668=CARTESIAN_POINT('',(40.627984,27.432094,0.)); #528669=CARTESIAN_POINT('Origin',(40.602178,27.448028,0.)); #528670=CARTESIAN_POINT('',(40.602178,27.448028,0.)); #528671=CARTESIAN_POINT('',(40.602178,27.448028,0.)); #528672=CARTESIAN_POINT('',(40.602178,27.448028,0.035)); #528673=CARTESIAN_POINT('',(40.602178,27.448028,0.035)); #528674=CARTESIAN_POINT('',(40.602178,27.448028,0.)); #528675=CARTESIAN_POINT('Origin',(40.584634,27.472766,0.)); #528676=CARTESIAN_POINT('',(40.584634,27.472766,0.)); #528677=CARTESIAN_POINT('',(40.584634,27.472766,0.)); #528678=CARTESIAN_POINT('',(40.584634,27.472766,0.035)); #528679=CARTESIAN_POINT('',(40.584634,27.472766,0.035)); #528680=CARTESIAN_POINT('',(40.584634,27.472766,0.)); #528681=CARTESIAN_POINT('Origin',(40.578125,27.502384,0.)); #528682=CARTESIAN_POINT('',(40.578125,27.502384,0.)); #528683=CARTESIAN_POINT('',(40.578125,27.502384,0.)); #528684=CARTESIAN_POINT('',(40.578125,27.502384,0.035)); #528685=CARTESIAN_POINT('',(40.578125,27.502384,0.035)); #528686=CARTESIAN_POINT('',(40.578125,27.502384,0.)); #528687=CARTESIAN_POINT('Origin',(40.583684,27.532197,0.)); #528688=CARTESIAN_POINT('',(40.583684,27.532197,0.)); #528689=CARTESIAN_POINT('',(40.583684,27.532197,0.)); #528690=CARTESIAN_POINT('',(40.583684,27.532197,0.035)); #528691=CARTESIAN_POINT('',(40.583684,27.532197,0.035)); #528692=CARTESIAN_POINT('',(40.583684,27.532197,0.)); #528693=CARTESIAN_POINT('Origin',(40.600434,27.557481,0.)); #528694=CARTESIAN_POINT('',(40.600434,27.557481,0.)); #528695=CARTESIAN_POINT('',(40.600434,27.557481,0.)); #528696=CARTESIAN_POINT('',(40.600434,27.557481,0.035)); #528697=CARTESIAN_POINT('',(40.600434,27.557481,0.035)); #528698=CARTESIAN_POINT('',(40.600434,27.557481,0.)); #528699=CARTESIAN_POINT('Origin',(41.692519,28.649566,0.)); #528700=CARTESIAN_POINT('',(41.692519,28.649566,0.)); #528701=CARTESIAN_POINT('',(41.692519,28.649566,0.)); #528702=CARTESIAN_POINT('',(41.692519,28.649566,0.035)); #528703=CARTESIAN_POINT('',(41.692519,28.649566,0.035)); #528704=CARTESIAN_POINT('',(41.692519,28.649566,0.)); #528705=CARTESIAN_POINT('Origin',(41.714456,28.664866,0.)); #528706=CARTESIAN_POINT('',(41.714456,28.664866,0.)); #528707=CARTESIAN_POINT('',(41.714456,28.664866,0.)); #528708=CARTESIAN_POINT('',(41.714456,28.664866,0.035)); #528709=CARTESIAN_POINT('',(41.714456,28.664866,0.035)); #528710=CARTESIAN_POINT('',(41.714456,28.664866,0.)); #528711=CARTESIAN_POINT('Origin',(41.743972,28.671847,0.)); #528712=CARTESIAN_POINT('',(41.743972,28.671847,0.)); #528713=CARTESIAN_POINT('',(41.743972,28.671847,0.)); #528714=CARTESIAN_POINT('',(41.743972,28.671847,0.035)); #528715=CARTESIAN_POINT('',(41.743972,28.671847,0.035)); #528716=CARTESIAN_POINT('',(41.743972,28.671847,0.)); #528717=CARTESIAN_POINT('Origin',(41.773869,28.666763,0.)); #528718=CARTESIAN_POINT('',(41.773869,28.666763,0.)); #528719=CARTESIAN_POINT('',(41.773869,28.666763,0.)); #528720=CARTESIAN_POINT('',(41.773869,28.666763,0.035)); #528721=CARTESIAN_POINT('',(41.773869,28.666763,0.035)); #528722=CARTESIAN_POINT('',(41.773869,28.666763,0.)); #528723=CARTESIAN_POINT('Origin',(41.799416,28.650419,0.)); #528724=CARTESIAN_POINT('',(41.799416,28.650419,0.)); #528725=CARTESIAN_POINT('',(41.799416,28.650419,0.)); #528726=CARTESIAN_POINT('',(41.799416,28.650419,0.035)); #528727=CARTESIAN_POINT('',(41.799416,28.650419,0.035)); #528728=CARTESIAN_POINT('',(41.799416,28.650419,0.)); #528729=CARTESIAN_POINT('Origin',(41.816566,28.625406,0.)); #528730=CARTESIAN_POINT('',(41.816566,28.625406,0.)); #528731=CARTESIAN_POINT('',(41.816566,28.625406,0.)); #528732=CARTESIAN_POINT('',(41.816566,28.625406,0.035)); #528733=CARTESIAN_POINT('',(41.816566,28.625406,0.035)); #528734=CARTESIAN_POINT('',(41.816566,28.625406,0.)); #528735=CARTESIAN_POINT('Origin',(41.8226,28.595684,0.)); #528736=CARTESIAN_POINT('',(41.8226,28.595684,0.)); #528737=CARTESIAN_POINT('',(41.8226,28.595684,0.)); #528738=CARTESIAN_POINT('',(41.8226,28.595684,0.035)); #528739=CARTESIAN_POINT('',(41.8226,28.595684,0.035)); #528740=CARTESIAN_POINT('',(41.8226,28.595684,0.)); #528741=CARTESIAN_POINT('Origin',(41.8226,28.427453,0.)); #528742=CARTESIAN_POINT('',(41.8226,28.427453,0.)); #528743=CARTESIAN_POINT('',(41.8226,28.427453,0.)); #528744=CARTESIAN_POINT('',(41.8226,28.427453,0.035)); #528745=CARTESIAN_POINT('',(41.8226,28.427453,0.035)); #528746=CARTESIAN_POINT('',(41.8226,28.427453,0.)); #528747=CARTESIAN_POINT('Origin',(41.817031,28.398856,0.)); #528748=CARTESIAN_POINT('',(41.817031,28.398856,0.)); #528749=CARTESIAN_POINT('',(41.817031,28.398856,0.)); #528750=CARTESIAN_POINT('',(41.817031,28.398856,0.035)); #528751=CARTESIAN_POINT('',(41.817031,28.398856,0.035)); #528752=CARTESIAN_POINT('',(41.817031,28.398856,0.)); #528753=CARTESIAN_POINT('Origin',(41.800281,28.373572,0.)); #528754=CARTESIAN_POINT('',(41.800281,28.373572,0.)); #528755=CARTESIAN_POINT('',(41.800281,28.373572,0.)); #528756=CARTESIAN_POINT('',(41.800281,28.373572,0.035)); #528757=CARTESIAN_POINT('',(41.800281,28.373572,0.035)); #528758=CARTESIAN_POINT('',(41.800281,28.373572,0.)); #528759=CARTESIAN_POINT('Origin',(40.876428,27.449719,0.)); #528760=CARTESIAN_POINT('',(40.876428,27.449719,0.)); #528761=CARTESIAN_POINT('',(40.876428,27.449719,0.)); #528762=CARTESIAN_POINT('',(40.876428,27.449719,0.035)); #528763=CARTESIAN_POINT('',(40.876428,27.449719,0.035)); #528764=CARTESIAN_POINT('',(40.876428,27.449719,0.)); #528765=CARTESIAN_POINT('Origin',(40.852269,27.433434,0.)); #528766=CARTESIAN_POINT('',(40.852269,27.433434,0.)); #528767=CARTESIAN_POINT('',(40.852269,27.433434,0.035)); #528768=CARTESIAN_POINT('Origin',(41.2369530188224,28.0130457246143,0.035)); #528769=CARTESIAN_POINT('Origin',(41.2369530188224,28.0130457246143,0.)); #528770=CARTESIAN_POINT('Origin',(48.248747,39.3536,0.)); #528771=CARTESIAN_POINT('',(48.248747,39.3536,0.)); #528772=CARTESIAN_POINT('',(48.278469,39.359634,0.)); #528773=CARTESIAN_POINT('',(48.248747,39.3536,0.)); #528774=CARTESIAN_POINT('',(48.278469,39.359634,0.035)); #528775=CARTESIAN_POINT('',(48.278469,39.359634,0.)); #528776=CARTESIAN_POINT('',(48.248747,39.3536,0.035)); #528777=CARTESIAN_POINT('',(48.248747,39.3536,0.035)); #528778=CARTESIAN_POINT('',(48.248747,39.3536,0.)); #528779=CARTESIAN_POINT('Origin',(47.622853,39.3536,0.)); #528780=CARTESIAN_POINT('',(47.622853,39.3536,0.)); #528781=CARTESIAN_POINT('',(47.622853,39.3536,0.)); #528782=CARTESIAN_POINT('',(47.622853,39.3536,0.035)); #528783=CARTESIAN_POINT('',(47.622853,39.3536,0.035)); #528784=CARTESIAN_POINT('',(47.622853,39.3536,0.)); #528785=CARTESIAN_POINT('Origin',(47.596522,39.358294,0.)); #528786=CARTESIAN_POINT('',(47.596522,39.358294,0.)); #528787=CARTESIAN_POINT('',(47.596522,39.358294,0.)); #528788=CARTESIAN_POINT('',(47.596522,39.358294,0.035)); #528789=CARTESIAN_POINT('',(47.596522,39.358294,0.035)); #528790=CARTESIAN_POINT('',(47.596522,39.358294,0.)); #528791=CARTESIAN_POINT('Origin',(47.570716,39.374228,0.)); #528792=CARTESIAN_POINT('',(47.570716,39.374228,0.)); #528793=CARTESIAN_POINT('',(47.570716,39.374228,0.)); #528794=CARTESIAN_POINT('',(47.570716,39.374228,0.035)); #528795=CARTESIAN_POINT('',(47.570716,39.374228,0.035)); #528796=CARTESIAN_POINT('',(47.570716,39.374228,0.)); #528797=CARTESIAN_POINT('Origin',(47.553172,39.398966,0.)); #528798=CARTESIAN_POINT('',(47.553172,39.398966,0.)); #528799=CARTESIAN_POINT('',(47.553172,39.398966,0.)); #528800=CARTESIAN_POINT('',(47.553172,39.398966,0.035)); #528801=CARTESIAN_POINT('',(47.553172,39.398966,0.035)); #528802=CARTESIAN_POINT('',(47.553172,39.398966,0.)); #528803=CARTESIAN_POINT('Origin',(47.546663,39.428584,0.)); #528804=CARTESIAN_POINT('',(47.546663,39.428584,0.)); #528805=CARTESIAN_POINT('',(47.546663,39.428584,0.)); #528806=CARTESIAN_POINT('',(47.546663,39.428584,0.035)); #528807=CARTESIAN_POINT('',(47.546663,39.428584,0.035)); #528808=CARTESIAN_POINT('',(47.546663,39.428584,0.)); #528809=CARTESIAN_POINT('Origin',(47.552222,39.458397,0.)); #528810=CARTESIAN_POINT('',(47.552222,39.458397,0.)); #528811=CARTESIAN_POINT('',(47.552222,39.458397,0.)); #528812=CARTESIAN_POINT('',(47.552222,39.458397,0.035)); #528813=CARTESIAN_POINT('',(47.552222,39.458397,0.035)); #528814=CARTESIAN_POINT('',(47.552222,39.458397,0.)); #528815=CARTESIAN_POINT('Origin',(47.568972,39.483681,0.)); #528816=CARTESIAN_POINT('',(47.568972,39.483681,0.)); #528817=CARTESIAN_POINT('',(47.568972,39.483681,0.)); #528818=CARTESIAN_POINT('',(47.568972,39.483681,0.035)); #528819=CARTESIAN_POINT('',(47.568972,39.483681,0.035)); #528820=CARTESIAN_POINT('',(47.568972,39.483681,0.)); #528821=CARTESIAN_POINT('Origin',(47.729472,39.644181,0.)); #528822=CARTESIAN_POINT('',(47.729472,39.644181,0.)); #528823=CARTESIAN_POINT('',(47.729472,39.644181,0.)); #528824=CARTESIAN_POINT('',(47.729472,39.644181,0.035)); #528825=CARTESIAN_POINT('',(47.729472,39.644181,0.035)); #528826=CARTESIAN_POINT('',(47.729472,39.644181,0.)); #528827=CARTESIAN_POINT('Origin',(47.753631,39.660466,0.)); #528828=CARTESIAN_POINT('',(47.753631,39.660466,0.)); #528829=CARTESIAN_POINT('',(47.753631,39.660466,0.)); #528830=CARTESIAN_POINT('',(47.753631,39.660466,0.035)); #528831=CARTESIAN_POINT('',(47.753631,39.660466,0.035)); #528832=CARTESIAN_POINT('',(47.753631,39.660466,0.)); #528833=CARTESIAN_POINT('Origin',(47.783353,39.6665,0.)); #528834=CARTESIAN_POINT('',(47.783353,39.6665,0.)); #528835=CARTESIAN_POINT('',(47.783353,39.6665,0.)); #528836=CARTESIAN_POINT('',(47.783353,39.6665,0.035)); #528837=CARTESIAN_POINT('',(47.783353,39.6665,0.035)); #528838=CARTESIAN_POINT('',(47.783353,39.6665,0.)); #528839=CARTESIAN_POINT('Origin',(48.409247,39.6665,0.)); #528840=CARTESIAN_POINT('',(48.409247,39.6665,0.)); #528841=CARTESIAN_POINT('',(48.409247,39.6665,0.)); #528842=CARTESIAN_POINT('',(48.409247,39.6665,0.035)); #528843=CARTESIAN_POINT('',(48.409247,39.6665,0.035)); #528844=CARTESIAN_POINT('',(48.409247,39.6665,0.)); #528845=CARTESIAN_POINT('Origin',(48.435578,39.661806,0.)); #528846=CARTESIAN_POINT('',(48.435578,39.661806,0.)); #528847=CARTESIAN_POINT('',(48.435578,39.661806,0.)); #528848=CARTESIAN_POINT('',(48.435578,39.661806,0.035)); #528849=CARTESIAN_POINT('',(48.435578,39.661806,0.035)); #528850=CARTESIAN_POINT('',(48.435578,39.661806,0.)); #528851=CARTESIAN_POINT('Origin',(48.461384,39.645872,0.)); #528852=CARTESIAN_POINT('',(48.461384,39.645872,0.)); #528853=CARTESIAN_POINT('',(48.461384,39.645872,0.)); #528854=CARTESIAN_POINT('',(48.461384,39.645872,0.035)); #528855=CARTESIAN_POINT('',(48.461384,39.645872,0.035)); #528856=CARTESIAN_POINT('',(48.461384,39.645872,0.)); #528857=CARTESIAN_POINT('Origin',(48.478928,39.621134,0.)); #528858=CARTESIAN_POINT('',(48.478928,39.621134,0.)); #528859=CARTESIAN_POINT('',(48.478928,39.621134,0.)); #528860=CARTESIAN_POINT('',(48.478928,39.621134,0.035)); #528861=CARTESIAN_POINT('',(48.478928,39.621134,0.035)); #528862=CARTESIAN_POINT('',(48.478928,39.621134,0.)); #528863=CARTESIAN_POINT('Origin',(48.485438,39.591516,0.)); #528864=CARTESIAN_POINT('',(48.485438,39.591516,0.)); #528865=CARTESIAN_POINT('',(48.485438,39.591516,0.)); #528866=CARTESIAN_POINT('',(48.485438,39.591516,0.035)); #528867=CARTESIAN_POINT('',(48.485438,39.591516,0.035)); #528868=CARTESIAN_POINT('',(48.485438,39.591516,0.)); #528869=CARTESIAN_POINT('Origin',(48.479878,39.561703,0.)); #528870=CARTESIAN_POINT('',(48.479878,39.561703,0.)); #528871=CARTESIAN_POINT('',(48.479878,39.561703,0.)); #528872=CARTESIAN_POINT('',(48.479878,39.561703,0.035)); #528873=CARTESIAN_POINT('',(48.479878,39.561703,0.035)); #528874=CARTESIAN_POINT('',(48.479878,39.561703,0.)); #528875=CARTESIAN_POINT('Origin',(48.463128,39.536419,0.)); #528876=CARTESIAN_POINT('',(48.463128,39.536419,0.)); #528877=CARTESIAN_POINT('',(48.463128,39.536419,0.)); #528878=CARTESIAN_POINT('',(48.463128,39.536419,0.035)); #528879=CARTESIAN_POINT('',(48.463128,39.536419,0.035)); #528880=CARTESIAN_POINT('',(48.463128,39.536419,0.)); #528881=CARTESIAN_POINT('Origin',(48.302628,39.375919,0.)); #528882=CARTESIAN_POINT('',(48.302628,39.375919,0.)); #528883=CARTESIAN_POINT('',(48.302628,39.375919,0.)); #528884=CARTESIAN_POINT('',(48.302628,39.375919,0.035)); #528885=CARTESIAN_POINT('',(48.302628,39.375919,0.035)); #528886=CARTESIAN_POINT('',(48.302628,39.375919,0.)); #528887=CARTESIAN_POINT('Origin',(48.278469,39.359634,0.)); #528888=CARTESIAN_POINT('',(48.278469,39.359634,0.)); #528889=CARTESIAN_POINT('',(48.278469,39.359634,0.035)); #528890=CARTESIAN_POINT('Origin',(48.0160500989818,39.510050015067,0.035)); #528891=CARTESIAN_POINT('Origin',(48.0160500989818,39.510050015067,0.)); #528892=CARTESIAN_POINT('Origin',(37.447022,25.449113,0.)); #528893=CARTESIAN_POINT('',(37.447022,25.449113,0.)); #528894=CARTESIAN_POINT('',(37.476591,25.455856,0.)); #528895=CARTESIAN_POINT('',(37.447022,25.449113,0.)); #528896=CARTESIAN_POINT('',(37.476591,25.455856,0.035)); #528897=CARTESIAN_POINT('',(37.476591,25.455856,0.)); #528898=CARTESIAN_POINT('',(37.447022,25.449113,0.035)); #528899=CARTESIAN_POINT('',(37.447022,25.449113,0.035)); #528900=CARTESIAN_POINT('',(37.447022,25.449113,0.)); #528901=CARTESIAN_POINT('Origin',(37.417166,25.454434,0.)); #528902=CARTESIAN_POINT('',(37.417166,25.454434,0.)); #528903=CARTESIAN_POINT('',(37.417166,25.454434,0.)); #528904=CARTESIAN_POINT('',(37.417166,25.454434,0.035)); #528905=CARTESIAN_POINT('',(37.417166,25.454434,0.035)); #528906=CARTESIAN_POINT('',(37.417166,25.454434,0.)); #528907=CARTESIAN_POINT('Origin',(37.39175,25.470981,0.)); #528908=CARTESIAN_POINT('',(37.39175,25.470981,0.)); #528909=CARTESIAN_POINT('',(37.39175,25.470981,0.)); #528910=CARTESIAN_POINT('',(37.39175,25.470981,0.035)); #528911=CARTESIAN_POINT('',(37.39175,25.470981,0.035)); #528912=CARTESIAN_POINT('',(37.39175,25.470981,0.)); #528913=CARTESIAN_POINT('Origin',(37.3748,25.496131,0.)); #528914=CARTESIAN_POINT('',(37.3748,25.496131,0.)); #528915=CARTESIAN_POINT('',(37.3748,25.496131,0.)); #528916=CARTESIAN_POINT('',(37.3748,25.496131,0.035)); #528917=CARTESIAN_POINT('',(37.3748,25.496131,0.035)); #528918=CARTESIAN_POINT('',(37.3748,25.496131,0.)); #528919=CARTESIAN_POINT('Origin',(37.265016,25.761169,0.)); #528920=CARTESIAN_POINT('',(37.265016,25.761169,0.)); #528921=CARTESIAN_POINT('',(37.265016,25.761169,0.)); #528922=CARTESIAN_POINT('',(37.265016,25.761169,0.035)); #528923=CARTESIAN_POINT('',(37.265016,25.761169,0.035)); #528924=CARTESIAN_POINT('',(37.265016,25.761169,0.)); #528925=CARTESIAN_POINT('Origin',(37.011169,26.015016,0.)); #528926=CARTESIAN_POINT('',(37.011169,26.015016,0.)); #528927=CARTESIAN_POINT('',(37.011169,26.015016,0.)); #528928=CARTESIAN_POINT('',(37.011169,26.015016,0.035)); #528929=CARTESIAN_POINT('',(37.011169,26.015016,0.035)); #528930=CARTESIAN_POINT('',(37.011169,26.015016,0.)); #528931=CARTESIAN_POINT('Origin',(36.6795,26.1524,0.)); #528932=CARTESIAN_POINT('',(36.6795,26.1524,0.)); #528933=CARTESIAN_POINT('',(36.6795,26.1524,0.)); #528934=CARTESIAN_POINT('',(36.6795,26.1524,0.035)); #528935=CARTESIAN_POINT('',(36.6795,26.1524,0.035)); #528936=CARTESIAN_POINT('',(36.6795,26.1524,0.)); #528937=CARTESIAN_POINT('Origin',(36.3205,26.1524,0.)); #528938=CARTESIAN_POINT('',(36.3205,26.1524,0.)); #528939=CARTESIAN_POINT('',(36.3205,26.1524,0.)); #528940=CARTESIAN_POINT('',(36.3205,26.1524,0.035)); #528941=CARTESIAN_POINT('',(36.3205,26.1524,0.035)); #528942=CARTESIAN_POINT('',(36.3205,26.1524,0.)); #528943=CARTESIAN_POINT('Origin',(35.988831,26.015016,0.)); #528944=CARTESIAN_POINT('',(35.988831,26.015016,0.)); #528945=CARTESIAN_POINT('',(35.988831,26.015016,0.)); #528946=CARTESIAN_POINT('',(35.988831,26.015016,0.035)); #528947=CARTESIAN_POINT('',(35.988831,26.015016,0.035)); #528948=CARTESIAN_POINT('',(35.988831,26.015016,0.)); #528949=CARTESIAN_POINT('Origin',(35.796181,25.822366,0.)); #528950=CARTESIAN_POINT('',(35.796181,25.822366,0.)); #528951=CARTESIAN_POINT('',(35.796181,25.822366,0.)); #528952=CARTESIAN_POINT('',(35.796181,25.822366,0.035)); #528953=CARTESIAN_POINT('',(35.796181,25.822366,0.035)); #528954=CARTESIAN_POINT('',(35.796181,25.822366,0.)); #528955=CARTESIAN_POINT('Origin',(35.774244,25.807066,0.)); #528956=CARTESIAN_POINT('',(35.774244,25.807066,0.)); #528957=CARTESIAN_POINT('',(35.774244,25.807066,0.)); #528958=CARTESIAN_POINT('',(35.774244,25.807066,0.035)); #528959=CARTESIAN_POINT('',(35.774244,25.807066,0.035)); #528960=CARTESIAN_POINT('',(35.774244,25.807066,0.)); #528961=CARTESIAN_POINT('Origin',(35.744728,25.800084,0.)); #528962=CARTESIAN_POINT('',(35.744728,25.800084,0.)); #528963=CARTESIAN_POINT('',(35.744728,25.800084,0.)); #528964=CARTESIAN_POINT('',(35.744728,25.800084,0.035)); #528965=CARTESIAN_POINT('',(35.744728,25.800084,0.035)); #528966=CARTESIAN_POINT('',(35.744728,25.800084,0.)); #528967=CARTESIAN_POINT('Origin',(35.714831,25.805169,0.)); #528968=CARTESIAN_POINT('',(35.714831,25.805169,0.)); #528969=CARTESIAN_POINT('',(35.714831,25.805169,0.)); #528970=CARTESIAN_POINT('',(35.714831,25.805169,0.035)); #528971=CARTESIAN_POINT('',(35.714831,25.805169,0.035)); #528972=CARTESIAN_POINT('',(35.714831,25.805169,0.)); #528973=CARTESIAN_POINT('Origin',(35.689284,25.821513,0.)); #528974=CARTESIAN_POINT('',(35.689284,25.821513,0.)); #528975=CARTESIAN_POINT('',(35.689284,25.821513,0.)); #528976=CARTESIAN_POINT('',(35.689284,25.821513,0.035)); #528977=CARTESIAN_POINT('',(35.689284,25.821513,0.035)); #528978=CARTESIAN_POINT('',(35.689284,25.821513,0.)); #528979=CARTESIAN_POINT('Origin',(35.672134,25.846525,0.)); #528980=CARTESIAN_POINT('',(35.672134,25.846525,0.)); #528981=CARTESIAN_POINT('',(35.672134,25.846525,0.)); #528982=CARTESIAN_POINT('',(35.672134,25.846525,0.035)); #528983=CARTESIAN_POINT('',(35.672134,25.846525,0.035)); #528984=CARTESIAN_POINT('',(35.672134,25.846525,0.)); #528985=CARTESIAN_POINT('Origin',(35.6661,25.876247,0.)); #528986=CARTESIAN_POINT('',(35.6661,25.876247,0.)); #528987=CARTESIAN_POINT('',(35.6661,25.876247,0.)); #528988=CARTESIAN_POINT('',(35.6661,25.876247,0.035)); #528989=CARTESIAN_POINT('',(35.6661,25.876247,0.035)); #528990=CARTESIAN_POINT('',(35.6661,25.876247,0.)); #528991=CARTESIAN_POINT('Origin',(35.6661,27.123753,0.)); #528992=CARTESIAN_POINT('',(35.6661,27.123753,0.)); #528993=CARTESIAN_POINT('',(35.6661,27.123753,0.)); #528994=CARTESIAN_POINT('',(35.6661,27.123753,0.035)); #528995=CARTESIAN_POINT('',(35.6661,27.123753,0.035)); #528996=CARTESIAN_POINT('',(35.6661,27.123753,0.)); #528997=CARTESIAN_POINT('Origin',(35.670794,27.150084,0.)); #528998=CARTESIAN_POINT('',(35.670794,27.150084,0.)); #528999=CARTESIAN_POINT('',(35.670794,27.150084,0.)); #529000=CARTESIAN_POINT('',(35.670794,27.150084,0.035)); #529001=CARTESIAN_POINT('',(35.670794,27.150084,0.035)); #529002=CARTESIAN_POINT('',(35.670794,27.150084,0.)); #529003=CARTESIAN_POINT('Origin',(35.686728,27.175891,0.)); #529004=CARTESIAN_POINT('',(35.686728,27.175891,0.)); #529005=CARTESIAN_POINT('',(35.686728,27.175891,0.)); #529006=CARTESIAN_POINT('',(35.686728,27.175891,0.035)); #529007=CARTESIAN_POINT('',(35.686728,27.175891,0.035)); #529008=CARTESIAN_POINT('',(35.686728,27.175891,0.)); #529009=CARTESIAN_POINT('Origin',(35.711466,27.193434,0.)); #529010=CARTESIAN_POINT('',(35.711466,27.193434,0.)); #529011=CARTESIAN_POINT('',(35.711466,27.193434,0.)); #529012=CARTESIAN_POINT('',(35.711466,27.193434,0.035)); #529013=CARTESIAN_POINT('',(35.711466,27.193434,0.035)); #529014=CARTESIAN_POINT('',(35.711466,27.193434,0.)); #529015=CARTESIAN_POINT('Origin',(35.741084,27.199944,0.)); #529016=CARTESIAN_POINT('',(35.741084,27.199944,0.)); #529017=CARTESIAN_POINT('',(35.741084,27.199944,0.)); #529018=CARTESIAN_POINT('',(35.741084,27.199944,0.035)); #529019=CARTESIAN_POINT('',(35.741084,27.199944,0.035)); #529020=CARTESIAN_POINT('',(35.741084,27.199944,0.)); #529021=CARTESIAN_POINT('Origin',(35.770897,27.194384,0.)); #529022=CARTESIAN_POINT('',(35.770897,27.194384,0.)); #529023=CARTESIAN_POINT('',(35.770897,27.194384,0.)); #529024=CARTESIAN_POINT('',(35.770897,27.194384,0.035)); #529025=CARTESIAN_POINT('',(35.770897,27.194384,0.035)); #529026=CARTESIAN_POINT('',(35.770897,27.194384,0.)); #529027=CARTESIAN_POINT('Origin',(35.796181,27.177634,0.)); #529028=CARTESIAN_POINT('',(35.796181,27.177634,0.)); #529029=CARTESIAN_POINT('',(35.796181,27.177634,0.)); #529030=CARTESIAN_POINT('',(35.796181,27.177634,0.035)); #529031=CARTESIAN_POINT('',(35.796181,27.177634,0.035)); #529032=CARTESIAN_POINT('',(35.796181,27.177634,0.)); #529033=CARTESIAN_POINT('Origin',(35.988831,26.984984,0.)); #529034=CARTESIAN_POINT('',(35.988831,26.984984,0.)); #529035=CARTESIAN_POINT('',(35.988831,26.984984,0.)); #529036=CARTESIAN_POINT('',(35.988831,26.984984,0.035)); #529037=CARTESIAN_POINT('',(35.988831,26.984984,0.035)); #529038=CARTESIAN_POINT('',(35.988831,26.984984,0.)); #529039=CARTESIAN_POINT('Origin',(36.3205,26.8476,0.)); #529040=CARTESIAN_POINT('',(36.3205,26.8476,0.)); #529041=CARTESIAN_POINT('',(36.3205,26.8476,0.)); #529042=CARTESIAN_POINT('',(36.3205,26.8476,0.035)); #529043=CARTESIAN_POINT('',(36.3205,26.8476,0.035)); #529044=CARTESIAN_POINT('',(36.3205,26.8476,0.)); #529045=CARTESIAN_POINT('Origin',(36.6795,26.8476,0.)); #529046=CARTESIAN_POINT('',(36.6795,26.8476,0.)); #529047=CARTESIAN_POINT('',(36.6795,26.8476,0.)); #529048=CARTESIAN_POINT('',(36.6795,26.8476,0.035)); #529049=CARTESIAN_POINT('',(36.6795,26.8476,0.035)); #529050=CARTESIAN_POINT('',(36.6795,26.8476,0.)); #529051=CARTESIAN_POINT('Origin',(37.011169,26.984984,0.)); #529052=CARTESIAN_POINT('',(37.011169,26.984984,0.)); #529053=CARTESIAN_POINT('',(37.011169,26.984984,0.)); #529054=CARTESIAN_POINT('',(37.011169,26.984984,0.035)); #529055=CARTESIAN_POINT('',(37.011169,26.984984,0.035)); #529056=CARTESIAN_POINT('',(37.011169,26.984984,0.)); #529057=CARTESIAN_POINT('Origin',(37.265016,27.238831,0.)); #529058=CARTESIAN_POINT('',(37.265016,27.238831,0.)); #529059=CARTESIAN_POINT('',(37.265016,27.238831,0.)); #529060=CARTESIAN_POINT('',(37.265016,27.238831,0.035)); #529061=CARTESIAN_POINT('',(37.265016,27.238831,0.035)); #529062=CARTESIAN_POINT('',(37.265016,27.238831,0.)); #529063=CARTESIAN_POINT('Origin',(37.3748,27.503869,0.)); #529064=CARTESIAN_POINT('',(37.3748,27.503869,0.)); #529065=CARTESIAN_POINT('',(37.3748,27.503869,0.)); #529066=CARTESIAN_POINT('',(37.3748,27.503869,0.035)); #529067=CARTESIAN_POINT('',(37.3748,27.503869,0.035)); #529068=CARTESIAN_POINT('',(37.3748,27.503869,0.)); #529069=CARTESIAN_POINT('Origin',(37.388803,27.525953,0.)); #529070=CARTESIAN_POINT('',(37.388803,27.525953,0.)); #529071=CARTESIAN_POINT('',(37.388803,27.525953,0.)); #529072=CARTESIAN_POINT('',(37.388803,27.525953,0.035)); #529073=CARTESIAN_POINT('',(37.388803,27.525953,0.035)); #529074=CARTESIAN_POINT('',(37.388803,27.525953,0.)); #529075=CARTESIAN_POINT('Origin',(37.413256,27.543891,0.)); #529076=CARTESIAN_POINT('',(37.413256,27.543891,0.)); #529077=CARTESIAN_POINT('',(37.413256,27.543891,0.)); #529078=CARTESIAN_POINT('',(37.413256,27.543891,0.035)); #529079=CARTESIAN_POINT('',(37.413256,27.543891,0.035)); #529080=CARTESIAN_POINT('',(37.413256,27.543891,0.)); #529081=CARTESIAN_POINT('Origin',(37.442772,27.550872,0.)); #529082=CARTESIAN_POINT('',(37.442772,27.550872,0.)); #529083=CARTESIAN_POINT('',(37.442772,27.550872,0.)); #529084=CARTESIAN_POINT('',(37.442772,27.550872,0.035)); #529085=CARTESIAN_POINT('',(37.442772,27.550872,0.035)); #529086=CARTESIAN_POINT('',(37.442772,27.550872,0.)); #529087=CARTESIAN_POINT('Origin',(37.472669,27.545788,0.)); #529088=CARTESIAN_POINT('',(37.472669,27.545788,0.)); #529089=CARTESIAN_POINT('',(37.472669,27.545788,0.)); #529090=CARTESIAN_POINT('',(37.472669,27.545788,0.035)); #529091=CARTESIAN_POINT('',(37.472669,27.545788,0.035)); #529092=CARTESIAN_POINT('',(37.472669,27.545788,0.)); #529093=CARTESIAN_POINT('Origin',(37.498216,27.529444,0.)); #529094=CARTESIAN_POINT('',(37.498216,27.529444,0.)); #529095=CARTESIAN_POINT('',(37.498216,27.529444,0.)); #529096=CARTESIAN_POINT('',(37.498216,27.529444,0.035)); #529097=CARTESIAN_POINT('',(37.498216,27.529444,0.035)); #529098=CARTESIAN_POINT('',(37.498216,27.529444,0.)); #529099=CARTESIAN_POINT('Origin',(37.515366,27.504431,0.)); #529100=CARTESIAN_POINT('',(37.515366,27.504431,0.)); #529101=CARTESIAN_POINT('',(37.515366,27.504431,0.)); #529102=CARTESIAN_POINT('',(37.515366,27.504431,0.035)); #529103=CARTESIAN_POINT('',(37.515366,27.504431,0.035)); #529104=CARTESIAN_POINT('',(37.515366,27.504431,0.)); #529105=CARTESIAN_POINT('Origin',(37.5214,27.474709,0.)); #529106=CARTESIAN_POINT('',(37.5214,27.474709,0.)); #529107=CARTESIAN_POINT('',(37.5214,27.474709,0.)); #529108=CARTESIAN_POINT('',(37.5214,27.474709,0.035)); #529109=CARTESIAN_POINT('',(37.5214,27.474709,0.035)); #529110=CARTESIAN_POINT('',(37.5214,27.474709,0.)); #529111=CARTESIAN_POINT('Origin',(37.5214,25.525291,0.)); #529112=CARTESIAN_POINT('',(37.5214,25.525291,0.)); #529113=CARTESIAN_POINT('',(37.5214,25.525291,0.)); #529114=CARTESIAN_POINT('',(37.5214,25.525291,0.035)); #529115=CARTESIAN_POINT('',(37.5214,25.525291,0.035)); #529116=CARTESIAN_POINT('',(37.5214,25.525291,0.)); #529117=CARTESIAN_POINT('Origin',(37.516913,25.499528,0.)); #529118=CARTESIAN_POINT('',(37.516913,25.499528,0.)); #529119=CARTESIAN_POINT('',(37.516913,25.499528,0.)); #529120=CARTESIAN_POINT('',(37.516913,25.499528,0.035)); #529121=CARTESIAN_POINT('',(37.516913,25.499528,0.035)); #529122=CARTESIAN_POINT('',(37.516913,25.499528,0.)); #529123=CARTESIAN_POINT('Origin',(37.501184,25.4736,0.)); #529124=CARTESIAN_POINT('',(37.501184,25.4736,0.)); #529125=CARTESIAN_POINT('',(37.501184,25.4736,0.)); #529126=CARTESIAN_POINT('',(37.501184,25.4736,0.035)); #529127=CARTESIAN_POINT('',(37.501184,25.4736,0.035)); #529128=CARTESIAN_POINT('',(37.501184,25.4736,0.)); #529129=CARTESIAN_POINT('Origin',(37.476591,25.455856,0.)); #529130=CARTESIAN_POINT('',(37.476591,25.455856,0.)); #529131=CARTESIAN_POINT('',(37.476591,25.455856,0.035)); #529132=CARTESIAN_POINT('Origin',(36.7095066139446,26.4999999701313,0.035)); #529133=CARTESIAN_POINT('Origin',(36.7095066139446,26.4999999701313,0.)); #529134=CARTESIAN_POINT('Origin',(24.25,34.,-200.)); #529135=CARTESIAN_POINT('',(23.735,34.,0.)); #529136=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #529137=CARTESIAN_POINT('',(23.735,34.,0.0349999999999895)); #529138=CARTESIAN_POINT('',(23.735,34.,-200.)); #529139=CARTESIAN_POINT('Origin',(24.25,34.,0.0349999999999895)); #529140=CARTESIAN_POINT('Origin',(29.4500000454174,34.565,-200.)); #529141=CARTESIAN_POINT('',(28.8500000151391,34.565,0.0349999999999895)); #529142=CARTESIAN_POINT('',(29.4500000454174,34.565,0.0349999999999895)); #529143=CARTESIAN_POINT('',(27.8709143749371,34.565,0.0350000000000072)); #529144=CARTESIAN_POINT('',(28.8500000151391,34.565,0.)); #529145=CARTESIAN_POINT('',(28.8500000151391,34.565,-200.)); #529146=CARTESIAN_POINT('',(29.4500000454174,34.565,0.)); #529147=CARTESIAN_POINT('',(27.8709143749371,34.565,0.)); #529148=CARTESIAN_POINT('',(29.4500000454174,34.565,-200.)); #529149=CARTESIAN_POINT('Origin',(29.45,34.,-200.)); #529150=CARTESIAN_POINT('',(29.4499999848609,33.435,0.0349999999999895)); #529151=CARTESIAN_POINT('Origin',(29.45,34.,0.0349999999999895)); #529152=CARTESIAN_POINT('',(29.4499999848609,33.435,0.)); #529153=CARTESIAN_POINT('Origin',(29.45,34.,0.)); #529154=CARTESIAN_POINT('',(29.4499999848609,33.435,-200.)); #529155=CARTESIAN_POINT('Origin',(28.8499999545827,33.435,-200.)); #529156=CARTESIAN_POINT('',(28.8499999545827,33.435,0.0349999999999895)); #529157=CARTESIAN_POINT('',(27.5709143295198,33.435,0.0350000000000072)); #529158=CARTESIAN_POINT('',(28.8499999545827,33.435,0.)); #529159=CARTESIAN_POINT('',(27.5709143295198,33.435,0.)); #529160=CARTESIAN_POINT('',(28.8499999545827,33.435,-200.)); #529161=CARTESIAN_POINT('Origin',(28.85,34.,-200.)); #529162=CARTESIAN_POINT('Origin',(28.85,34.,0.0349999999999895)); #529163=CARTESIAN_POINT('Origin',(28.85,34.,0.)); #529164=CARTESIAN_POINT('Origin',(29.571416,26.595338,0.)); #529165=CARTESIAN_POINT('',(29.571416,26.595338,0.)); #529166=CARTESIAN_POINT('',(29.601034,26.601847,0.)); #529167=CARTESIAN_POINT('',(29.571416,26.595338,0.)); #529168=CARTESIAN_POINT('',(29.601034,26.601847,0.035)); #529169=CARTESIAN_POINT('',(29.601034,26.601847,0.)); #529170=CARTESIAN_POINT('',(29.571416,26.595338,0.035)); #529171=CARTESIAN_POINT('',(29.571416,26.595338,0.035)); #529172=CARTESIAN_POINT('',(29.571416,26.595338,0.)); #529173=CARTESIAN_POINT('Origin',(29.541603,26.600897,0.)); #529174=CARTESIAN_POINT('',(29.541603,26.600897,0.)); #529175=CARTESIAN_POINT('',(29.541603,26.600897,0.)); #529176=CARTESIAN_POINT('',(29.541603,26.600897,0.035)); #529177=CARTESIAN_POINT('',(29.541603,26.600897,0.035)); #529178=CARTESIAN_POINT('',(29.541603,26.600897,0.)); #529179=CARTESIAN_POINT('Origin',(29.516319,26.617647,0.)); #529180=CARTESIAN_POINT('',(29.516319,26.617647,0.)); #529181=CARTESIAN_POINT('',(29.516319,26.617647,0.)); #529182=CARTESIAN_POINT('',(29.516319,26.617647,0.035)); #529183=CARTESIAN_POINT('',(29.516319,26.617647,0.035)); #529184=CARTESIAN_POINT('',(29.516319,26.617647,0.)); #529185=CARTESIAN_POINT('Origin',(27.000919,29.133047,0.)); #529186=CARTESIAN_POINT('',(27.000919,29.133047,0.)); #529187=CARTESIAN_POINT('',(27.000919,29.133047,0.)); #529188=CARTESIAN_POINT('',(27.000919,29.133047,0.035)); #529189=CARTESIAN_POINT('',(27.000919,29.133047,0.035)); #529190=CARTESIAN_POINT('',(27.000919,29.133047,0.)); #529191=CARTESIAN_POINT('Origin',(26.984634,29.157206,0.)); #529192=CARTESIAN_POINT('',(26.984634,29.157206,0.)); #529193=CARTESIAN_POINT('',(26.984634,29.157206,0.)); #529194=CARTESIAN_POINT('',(26.984634,29.157206,0.035)); #529195=CARTESIAN_POINT('',(26.984634,29.157206,0.035)); #529196=CARTESIAN_POINT('',(26.984634,29.157206,0.)); #529197=CARTESIAN_POINT('Origin',(26.9786,29.186928,0.)); #529198=CARTESIAN_POINT('',(26.9786,29.186928,0.)); #529199=CARTESIAN_POINT('',(26.9786,29.186928,0.)); #529200=CARTESIAN_POINT('',(26.9786,29.186928,0.035)); #529201=CARTESIAN_POINT('',(26.9786,29.186928,0.035)); #529202=CARTESIAN_POINT('',(26.9786,29.186928,0.)); #529203=CARTESIAN_POINT('Origin',(26.9786,30.592603,0.)); #529204=CARTESIAN_POINT('',(26.9786,30.592603,0.)); #529205=CARTESIAN_POINT('',(26.9786,30.592603,0.)); #529206=CARTESIAN_POINT('',(26.9786,30.592603,0.035)); #529207=CARTESIAN_POINT('',(26.9786,30.592603,0.035)); #529208=CARTESIAN_POINT('',(26.9786,30.592603,0.)); #529209=CARTESIAN_POINT('Origin',(26.984169,30.6212,0.)); #529210=CARTESIAN_POINT('',(26.984169,30.6212,0.)); #529211=CARTESIAN_POINT('',(26.984169,30.6212,0.)); #529212=CARTESIAN_POINT('',(26.984169,30.6212,0.035)); #529213=CARTESIAN_POINT('',(26.984169,30.6212,0.035)); #529214=CARTESIAN_POINT('',(26.984169,30.6212,0.)); #529215=CARTESIAN_POINT('Origin',(27.000919,30.646484,0.)); #529216=CARTESIAN_POINT('',(27.000919,30.646484,0.)); #529217=CARTESIAN_POINT('',(27.000919,30.646484,0.)); #529218=CARTESIAN_POINT('',(27.000919,30.646484,0.035)); #529219=CARTESIAN_POINT('',(27.000919,30.646484,0.035)); #529220=CARTESIAN_POINT('',(27.000919,30.646484,0.)); #529221=CARTESIAN_POINT('Origin',(27.1774,30.822966,0.)); #529222=CARTESIAN_POINT('',(27.1774,30.822966,0.)); #529223=CARTESIAN_POINT('',(27.1774,30.822966,0.)); #529224=CARTESIAN_POINT('',(27.1774,30.822966,0.035)); #529225=CARTESIAN_POINT('',(27.1774,30.822966,0.035)); #529226=CARTESIAN_POINT('',(27.1774,30.822966,0.)); #529227=CARTESIAN_POINT('Origin',(27.1774,31.177034,0.)); #529228=CARTESIAN_POINT('',(27.1774,31.177034,0.)); #529229=CARTESIAN_POINT('',(27.1774,31.177034,0.)); #529230=CARTESIAN_POINT('',(27.1774,31.177034,0.035)); #529231=CARTESIAN_POINT('',(27.1774,31.177034,0.035)); #529232=CARTESIAN_POINT('',(27.1774,31.177034,0.)); #529233=CARTESIAN_POINT('Origin',(26.927034,31.4274,0.)); #529234=CARTESIAN_POINT('',(26.927034,31.4274,0.)); #529235=CARTESIAN_POINT('',(26.927034,31.4274,0.)); #529236=CARTESIAN_POINT('',(26.927034,31.4274,0.035)); #529237=CARTESIAN_POINT('',(26.927034,31.4274,0.035)); #529238=CARTESIAN_POINT('',(26.927034,31.4274,0.)); #529239=CARTESIAN_POINT('Origin',(26.572966,31.4274,0.)); #529240=CARTESIAN_POINT('',(26.572966,31.4274,0.)); #529241=CARTESIAN_POINT('',(26.572966,31.4274,0.)); #529242=CARTESIAN_POINT('',(26.572966,31.4274,0.035)); #529243=CARTESIAN_POINT('',(26.572966,31.4274,0.035)); #529244=CARTESIAN_POINT('',(26.572966,31.4274,0.)); #529245=CARTESIAN_POINT('Origin',(26.428881,31.283316,0.)); #529246=CARTESIAN_POINT('',(26.428881,31.283316,0.)); #529247=CARTESIAN_POINT('',(26.428881,31.283316,0.)); #529248=CARTESIAN_POINT('',(26.428881,31.283316,0.035)); #529249=CARTESIAN_POINT('',(26.428881,31.283316,0.035)); #529250=CARTESIAN_POINT('',(26.428881,31.283316,0.)); #529251=CARTESIAN_POINT('Origin',(26.405834,31.267516,0.)); #529252=CARTESIAN_POINT('',(26.405834,31.267516,0.)); #529253=CARTESIAN_POINT('',(26.405834,31.267516,0.)); #529254=CARTESIAN_POINT('',(26.405834,31.267516,0.035)); #529255=CARTESIAN_POINT('',(26.405834,31.267516,0.035)); #529256=CARTESIAN_POINT('',(26.405834,31.267516,0.)); #529257=CARTESIAN_POINT('Origin',(26.376216,31.261006,0.)); #529258=CARTESIAN_POINT('',(26.376216,31.261006,0.)); #529259=CARTESIAN_POINT('',(26.376216,31.261006,0.)); #529260=CARTESIAN_POINT('',(26.376216,31.261006,0.035)); #529261=CARTESIAN_POINT('',(26.376216,31.261006,0.035)); #529262=CARTESIAN_POINT('',(26.376216,31.261006,0.)); #529263=CARTESIAN_POINT('Origin',(26.346403,31.266566,0.)); #529264=CARTESIAN_POINT('',(26.346403,31.266566,0.)); #529265=CARTESIAN_POINT('',(26.346403,31.266566,0.)); #529266=CARTESIAN_POINT('',(26.346403,31.266566,0.035)); #529267=CARTESIAN_POINT('',(26.346403,31.266566,0.035)); #529268=CARTESIAN_POINT('',(26.346403,31.266566,0.)); #529269=CARTESIAN_POINT('Origin',(26.321119,31.283316,0.)); #529270=CARTESIAN_POINT('',(26.321119,31.283316,0.)); #529271=CARTESIAN_POINT('',(26.321119,31.283316,0.)); #529272=CARTESIAN_POINT('',(26.321119,31.283316,0.035)); #529273=CARTESIAN_POINT('',(26.321119,31.283316,0.035)); #529274=CARTESIAN_POINT('',(26.321119,31.283316,0.)); #529275=CARTESIAN_POINT('Origin',(26.177034,31.4274,0.)); #529276=CARTESIAN_POINT('',(26.177034,31.4274,0.)); #529277=CARTESIAN_POINT('',(26.177034,31.4274,0.)); #529278=CARTESIAN_POINT('',(26.177034,31.4274,0.035)); #529279=CARTESIAN_POINT('',(26.177034,31.4274,0.035)); #529280=CARTESIAN_POINT('',(26.177034,31.4274,0.)); #529281=CARTESIAN_POINT('Origin',(25.822966,31.4274,0.)); #529282=CARTESIAN_POINT('',(25.822966,31.4274,0.)); #529283=CARTESIAN_POINT('',(25.822966,31.4274,0.)); #529284=CARTESIAN_POINT('',(25.822966,31.4274,0.035)); #529285=CARTESIAN_POINT('',(25.822966,31.4274,0.035)); #529286=CARTESIAN_POINT('',(25.822966,31.4274,0.)); #529287=CARTESIAN_POINT('Origin',(25.5726,31.177034,0.)); #529288=CARTESIAN_POINT('',(25.5726,31.177034,0.)); #529289=CARTESIAN_POINT('',(25.5726,31.177034,0.)); #529290=CARTESIAN_POINT('',(25.5726,31.177034,0.035)); #529291=CARTESIAN_POINT('',(25.5726,31.177034,0.035)); #529292=CARTESIAN_POINT('',(25.5726,31.177034,0.)); #529293=CARTESIAN_POINT('Origin',(25.5726,30.822966,0.)); #529294=CARTESIAN_POINT('',(25.5726,30.822966,0.)); #529295=CARTESIAN_POINT('',(25.5726,30.822966,0.)); #529296=CARTESIAN_POINT('',(25.5726,30.822966,0.035)); #529297=CARTESIAN_POINT('',(25.5726,30.822966,0.035)); #529298=CARTESIAN_POINT('',(25.5726,30.822966,0.)); #529299=CARTESIAN_POINT('Origin',(25.749081,30.646484,0.)); #529300=CARTESIAN_POINT('',(25.749081,30.646484,0.)); #529301=CARTESIAN_POINT('',(25.749081,30.646484,0.)); #529302=CARTESIAN_POINT('',(25.749081,30.646484,0.035)); #529303=CARTESIAN_POINT('',(25.749081,30.646484,0.035)); #529304=CARTESIAN_POINT('',(25.749081,30.646484,0.)); #529305=CARTESIAN_POINT('Origin',(25.765366,30.622325,0.)); #529306=CARTESIAN_POINT('',(25.765366,30.622325,0.)); #529307=CARTESIAN_POINT('',(25.765366,30.622325,0.)); #529308=CARTESIAN_POINT('',(25.765366,30.622325,0.035)); #529309=CARTESIAN_POINT('',(25.765366,30.622325,0.035)); #529310=CARTESIAN_POINT('',(25.765366,30.622325,0.)); #529311=CARTESIAN_POINT('Origin',(25.7714,30.592603,0.)); #529312=CARTESIAN_POINT('',(25.7714,30.592603,0.)); #529313=CARTESIAN_POINT('',(25.7714,30.592603,0.)); #529314=CARTESIAN_POINT('',(25.7714,30.592603,0.035)); #529315=CARTESIAN_POINT('',(25.7714,30.592603,0.035)); #529316=CARTESIAN_POINT('',(25.7714,30.592603,0.)); #529317=CARTESIAN_POINT('Origin',(25.7714,29.3048,0.)); #529318=CARTESIAN_POINT('',(25.7714,29.3048,0.)); #529319=CARTESIAN_POINT('',(25.7714,29.3048,0.)); #529320=CARTESIAN_POINT('',(25.7714,29.3048,0.035)); #529321=CARTESIAN_POINT('',(25.7714,29.3048,0.035)); #529322=CARTESIAN_POINT('',(25.7714,29.3048,0.)); #529323=CARTESIAN_POINT('Origin',(25.766278,29.277331,0.)); #529324=CARTESIAN_POINT('',(25.766278,29.277331,0.)); #529325=CARTESIAN_POINT('',(25.766278,29.277331,0.)); #529326=CARTESIAN_POINT('',(25.766278,29.277331,0.035)); #529327=CARTESIAN_POINT('',(25.766278,29.277331,0.035)); #529328=CARTESIAN_POINT('',(25.766278,29.277331,0.)); #529329=CARTESIAN_POINT('Origin',(25.749934,29.251784,0.)); #529330=CARTESIAN_POINT('',(25.749934,29.251784,0.)); #529331=CARTESIAN_POINT('',(25.749934,29.251784,0.)); #529332=CARTESIAN_POINT('',(25.749934,29.251784,0.035)); #529333=CARTESIAN_POINT('',(25.749934,29.251784,0.035)); #529334=CARTESIAN_POINT('',(25.749934,29.251784,0.)); #529335=CARTESIAN_POINT('Origin',(25.724922,29.234634,0.)); #529336=CARTESIAN_POINT('',(25.724922,29.234634,0.)); #529337=CARTESIAN_POINT('',(25.724922,29.234634,0.)); #529338=CARTESIAN_POINT('',(25.724922,29.234634,0.035)); #529339=CARTESIAN_POINT('',(25.724922,29.234634,0.035)); #529340=CARTESIAN_POINT('',(25.724922,29.234634,0.)); #529341=CARTESIAN_POINT('Origin',(25.6952,29.2286,0.)); #529342=CARTESIAN_POINT('',(25.6952,29.2286,0.)); #529343=CARTESIAN_POINT('',(25.6952,29.2286,0.)); #529344=CARTESIAN_POINT('',(25.6952,29.2286,0.035)); #529345=CARTESIAN_POINT('',(25.6952,29.2286,0.035)); #529346=CARTESIAN_POINT('',(25.6952,29.2286,0.)); #529347=CARTESIAN_POINT('Origin',(20.5528,29.2286,0.)); #529348=CARTESIAN_POINT('',(20.5528,29.2286,0.)); #529349=CARTESIAN_POINT('',(20.5528,29.2286,0.)); #529350=CARTESIAN_POINT('',(20.5528,29.2286,0.035)); #529351=CARTESIAN_POINT('',(20.5528,29.2286,0.035)); #529352=CARTESIAN_POINT('',(20.5528,29.2286,0.)); #529353=CARTESIAN_POINT('Origin',(20.525331,29.233722,0.)); #529354=CARTESIAN_POINT('',(20.525331,29.233722,0.)); #529355=CARTESIAN_POINT('',(20.525331,29.233722,0.)); #529356=CARTESIAN_POINT('',(20.525331,29.233722,0.035)); #529357=CARTESIAN_POINT('',(20.525331,29.233722,0.035)); #529358=CARTESIAN_POINT('',(20.525331,29.233722,0.)); #529359=CARTESIAN_POINT('Origin',(20.499784,29.250066,0.)); #529360=CARTESIAN_POINT('',(20.499784,29.250066,0.)); #529361=CARTESIAN_POINT('',(20.499784,29.250066,0.)); #529362=CARTESIAN_POINT('',(20.499784,29.250066,0.035)); #529363=CARTESIAN_POINT('',(20.499784,29.250066,0.035)); #529364=CARTESIAN_POINT('',(20.499784,29.250066,0.)); #529365=CARTESIAN_POINT('Origin',(20.482634,29.275078,0.)); #529366=CARTESIAN_POINT('',(20.482634,29.275078,0.)); #529367=CARTESIAN_POINT('',(20.482634,29.275078,0.)); #529368=CARTESIAN_POINT('',(20.482634,29.275078,0.035)); #529369=CARTESIAN_POINT('',(20.482634,29.275078,0.035)); #529370=CARTESIAN_POINT('',(20.482634,29.275078,0.)); #529371=CARTESIAN_POINT('Origin',(20.4766,29.3048,0.)); #529372=CARTESIAN_POINT('',(20.4766,29.3048,0.)); #529373=CARTESIAN_POINT('',(20.4766,29.3048,0.)); #529374=CARTESIAN_POINT('',(20.4766,29.3048,0.035)); #529375=CARTESIAN_POINT('',(20.4766,29.3048,0.035)); #529376=CARTESIAN_POINT('',(20.4766,29.3048,0.)); #529377=CARTESIAN_POINT('Origin',(20.4766,30.1972,0.)); #529378=CARTESIAN_POINT('',(20.4766,30.1972,0.)); #529379=CARTESIAN_POINT('',(20.4766,30.1972,0.)); #529380=CARTESIAN_POINT('',(20.4766,30.1972,0.035)); #529381=CARTESIAN_POINT('',(20.4766,30.1972,0.035)); #529382=CARTESIAN_POINT('',(20.4766,30.1972,0.)); #529383=CARTESIAN_POINT('Origin',(20.481722,30.224669,0.)); #529384=CARTESIAN_POINT('',(20.481722,30.224669,0.)); #529385=CARTESIAN_POINT('',(20.481722,30.224669,0.)); #529386=CARTESIAN_POINT('',(20.481722,30.224669,0.035)); #529387=CARTESIAN_POINT('',(20.481722,30.224669,0.035)); #529388=CARTESIAN_POINT('',(20.481722,30.224669,0.)); #529389=CARTESIAN_POINT('Origin',(20.498066,30.250216,0.)); #529390=CARTESIAN_POINT('',(20.498066,30.250216,0.)); #529391=CARTESIAN_POINT('',(20.498066,30.250216,0.)); #529392=CARTESIAN_POINT('',(20.498066,30.250216,0.035)); #529393=CARTESIAN_POINT('',(20.498066,30.250216,0.035)); #529394=CARTESIAN_POINT('',(20.498066,30.250216,0.)); #529395=CARTESIAN_POINT('Origin',(20.523078,30.267366,0.)); #529396=CARTESIAN_POINT('',(20.523078,30.267366,0.)); #529397=CARTESIAN_POINT('',(20.523078,30.267366,0.)); #529398=CARTESIAN_POINT('',(20.523078,30.267366,0.035)); #529399=CARTESIAN_POINT('',(20.523078,30.267366,0.035)); #529400=CARTESIAN_POINT('',(20.523078,30.267366,0.)); #529401=CARTESIAN_POINT('Origin',(20.5528,30.2734,0.)); #529402=CARTESIAN_POINT('',(20.5528,30.2734,0.)); #529403=CARTESIAN_POINT('',(20.5528,30.2734,0.)); #529404=CARTESIAN_POINT('',(20.5528,30.2734,0.035)); #529405=CARTESIAN_POINT('',(20.5528,30.2734,0.035)); #529406=CARTESIAN_POINT('',(20.5528,30.2734,0.)); #529407=CARTESIAN_POINT('Origin',(21.620953,30.2734,0.)); #529408=CARTESIAN_POINT('',(21.620953,30.2734,0.)); #529409=CARTESIAN_POINT('',(21.620953,30.2734,0.)); #529410=CARTESIAN_POINT('',(21.620953,30.2734,0.035)); #529411=CARTESIAN_POINT('',(21.620953,30.2734,0.035)); #529412=CARTESIAN_POINT('',(21.620953,30.2734,0.)); #529413=CARTESIAN_POINT('Origin',(21.646309,30.2761,0.)); #529414=CARTESIAN_POINT('',(21.646309,30.2761,0.)); #529415=CARTESIAN_POINT('',(21.646309,30.2761,0.)); #529416=CARTESIAN_POINT('',(21.646309,30.2761,0.035)); #529417=CARTESIAN_POINT('',(21.646309,30.2761,0.035)); #529418=CARTESIAN_POINT('',(21.646309,30.2761,0.)); #529419=CARTESIAN_POINT('Origin',(21.666663,30.282806,0.)); #529420=CARTESIAN_POINT('',(21.666663,30.282806,0.)); #529421=CARTESIAN_POINT('',(21.666663,30.282806,0.)); #529422=CARTESIAN_POINT('',(21.666663,30.282806,0.035)); #529423=CARTESIAN_POINT('',(21.666663,30.282806,0.035)); #529424=CARTESIAN_POINT('',(21.666663,30.282806,0.)); #529425=CARTESIAN_POINT('Origin',(21.686197,30.294269,0.)); #529426=CARTESIAN_POINT('',(21.686197,30.294269,0.)); #529427=CARTESIAN_POINT('',(21.686197,30.294269,0.)); #529428=CARTESIAN_POINT('',(21.686197,30.294269,0.035)); #529429=CARTESIAN_POINT('',(21.686197,30.294269,0.035)); #529430=CARTESIAN_POINT('',(21.686197,30.294269,0.)); #529431=CARTESIAN_POINT('Origin',(21.698866,30.305178,0.)); #529432=CARTESIAN_POINT('',(21.698866,30.305178,0.)); #529433=CARTESIAN_POINT('',(21.698866,30.305178,0.)); #529434=CARTESIAN_POINT('',(21.698866,30.305178,0.035)); #529435=CARTESIAN_POINT('',(21.698866,30.305178,0.035)); #529436=CARTESIAN_POINT('',(21.698866,30.305178,0.)); #529437=CARTESIAN_POINT('Origin',(23.068978,31.675291,0.)); #529438=CARTESIAN_POINT('',(23.068978,31.675291,0.)); #529439=CARTESIAN_POINT('',(23.068978,31.675291,0.)); #529440=CARTESIAN_POINT('',(23.068978,31.675291,0.035)); #529441=CARTESIAN_POINT('',(23.068978,31.675291,0.035)); #529442=CARTESIAN_POINT('',(23.068978,31.675291,0.)); #529443=CARTESIAN_POINT('Origin',(23.084997,31.695131,0.)); #529444=CARTESIAN_POINT('',(23.084997,31.695131,0.)); #529445=CARTESIAN_POINT('',(23.084997,31.695131,0.)); #529446=CARTESIAN_POINT('',(23.084997,31.695131,0.035)); #529447=CARTESIAN_POINT('',(23.084997,31.695131,0.035)); #529448=CARTESIAN_POINT('',(23.084997,31.695131,0.)); #529449=CARTESIAN_POINT('Origin',(23.094647,31.714272,0.)); #529450=CARTESIAN_POINT('',(23.094647,31.714272,0.)); #529451=CARTESIAN_POINT('',(23.094647,31.714272,0.)); #529452=CARTESIAN_POINT('',(23.094647,31.714272,0.035)); #529453=CARTESIAN_POINT('',(23.094647,31.714272,0.035)); #529454=CARTESIAN_POINT('',(23.094647,31.714272,0.)); #529455=CARTESIAN_POINT('Origin',(23.100359,31.736184,0.)); #529456=CARTESIAN_POINT('',(23.100359,31.736184,0.)); #529457=CARTESIAN_POINT('',(23.100359,31.736184,0.)); #529458=CARTESIAN_POINT('',(23.100359,31.736184,0.035)); #529459=CARTESIAN_POINT('',(23.100359,31.736184,0.035)); #529460=CARTESIAN_POINT('',(23.100359,31.736184,0.)); #529461=CARTESIAN_POINT('Origin',(23.1016,31.752847,0.)); #529462=CARTESIAN_POINT('',(23.1016,31.752847,0.)); #529463=CARTESIAN_POINT('',(23.1016,31.752847,0.)); #529464=CARTESIAN_POINT('',(23.1016,31.752847,0.035)); #529465=CARTESIAN_POINT('',(23.1016,31.752847,0.035)); #529466=CARTESIAN_POINT('',(23.1016,31.752847,0.)); #529467=CARTESIAN_POINT('Origin',(23.1016,34.92635,0.)); #529468=CARTESIAN_POINT('',(23.1016,34.92635,0.)); #529469=CARTESIAN_POINT('',(23.1016,34.92635,0.)); #529470=CARTESIAN_POINT('',(23.1016,34.92635,0.035)); #529471=CARTESIAN_POINT('',(23.1016,34.92635,0.035)); #529472=CARTESIAN_POINT('',(23.1016,34.92635,0.)); #529473=CARTESIAN_POINT('Origin',(23.107169,34.954947,0.)); #529474=CARTESIAN_POINT('',(23.107169,34.954947,0.)); #529475=CARTESIAN_POINT('',(23.107169,34.954947,0.)); #529476=CARTESIAN_POINT('',(23.107169,34.954947,0.035)); #529477=CARTESIAN_POINT('',(23.107169,34.954947,0.035)); #529478=CARTESIAN_POINT('',(23.107169,34.954947,0.)); #529479=CARTESIAN_POINT('Origin',(23.123919,34.980231,0.)); #529480=CARTESIAN_POINT('',(23.123919,34.980231,0.)); #529481=CARTESIAN_POINT('',(23.123919,34.980231,0.)); #529482=CARTESIAN_POINT('',(23.123919,34.980231,0.035)); #529483=CARTESIAN_POINT('',(23.123919,34.980231,0.035)); #529484=CARTESIAN_POINT('',(23.123919,34.980231,0.)); #529485=CARTESIAN_POINT('Origin',(24.019769,35.876081,0.)); #529486=CARTESIAN_POINT('',(24.019769,35.876081,0.)); #529487=CARTESIAN_POINT('',(24.019769,35.876081,0.)); #529488=CARTESIAN_POINT('',(24.019769,35.876081,0.035)); #529489=CARTESIAN_POINT('',(24.019769,35.876081,0.035)); #529490=CARTESIAN_POINT('',(24.019769,35.876081,0.)); #529491=CARTESIAN_POINT('Origin',(24.043928,35.892366,0.)); #529492=CARTESIAN_POINT('',(24.043928,35.892366,0.)); #529493=CARTESIAN_POINT('',(24.043928,35.892366,0.)); #529494=CARTESIAN_POINT('',(24.043928,35.892366,0.035)); #529495=CARTESIAN_POINT('',(24.043928,35.892366,0.035)); #529496=CARTESIAN_POINT('',(24.043928,35.892366,0.)); #529497=CARTESIAN_POINT('Origin',(24.07365,35.8984,0.)); #529498=CARTESIAN_POINT('',(24.07365,35.8984,0.)); #529499=CARTESIAN_POINT('',(24.07365,35.8984,0.)); #529500=CARTESIAN_POINT('',(24.07365,35.8984,0.035)); #529501=CARTESIAN_POINT('',(24.07365,35.8984,0.035)); #529502=CARTESIAN_POINT('',(24.07365,35.8984,0.)); #529503=CARTESIAN_POINT('Origin',(25.4964,35.8984,0.)); #529504=CARTESIAN_POINT('',(25.4964,35.8984,0.)); #529505=CARTESIAN_POINT('',(25.4964,35.8984,0.)); #529506=CARTESIAN_POINT('',(25.4964,35.8984,0.035)); #529507=CARTESIAN_POINT('',(25.4964,35.8984,0.035)); #529508=CARTESIAN_POINT('',(25.4964,35.8984,0.)); #529509=CARTESIAN_POINT('Origin',(25.523869,35.893278,0.)); #529510=CARTESIAN_POINT('',(25.523869,35.893278,0.)); #529511=CARTESIAN_POINT('',(25.523869,35.893278,0.)); #529512=CARTESIAN_POINT('',(25.523869,35.893278,0.035)); #529513=CARTESIAN_POINT('',(25.523869,35.893278,0.035)); #529514=CARTESIAN_POINT('',(25.523869,35.893278,0.)); #529515=CARTESIAN_POINT('Origin',(25.549416,35.876934,0.)); #529516=CARTESIAN_POINT('',(25.549416,35.876934,0.)); #529517=CARTESIAN_POINT('',(25.549416,35.876934,0.)); #529518=CARTESIAN_POINT('',(25.549416,35.876934,0.035)); #529519=CARTESIAN_POINT('',(25.549416,35.876934,0.035)); #529520=CARTESIAN_POINT('',(25.549416,35.876934,0.)); #529521=CARTESIAN_POINT('Origin',(25.566566,35.851922,0.)); #529522=CARTESIAN_POINT('',(25.566566,35.851922,0.)); #529523=CARTESIAN_POINT('',(25.566566,35.851922,0.)); #529524=CARTESIAN_POINT('',(25.566566,35.851922,0.035)); #529525=CARTESIAN_POINT('',(25.566566,35.851922,0.035)); #529526=CARTESIAN_POINT('',(25.566566,35.851922,0.)); #529527=CARTESIAN_POINT('Origin',(25.572406,35.823159,0.)); #529528=CARTESIAN_POINT('',(25.572406,35.823159,0.)); #529529=CARTESIAN_POINT('',(25.572406,35.823159,0.)); #529530=CARTESIAN_POINT('',(25.572406,35.823159,0.035)); #529531=CARTESIAN_POINT('',(25.572406,35.823159,0.035)); #529532=CARTESIAN_POINT('',(25.572406,35.823159,0.)); #529533=CARTESIAN_POINT('Origin',(25.822966,35.5726,0.)); #529534=CARTESIAN_POINT('',(25.822966,35.5726,0.)); #529535=CARTESIAN_POINT('',(25.822966,35.5726,0.)); #529536=CARTESIAN_POINT('',(25.822966,35.5726,0.035)); #529537=CARTESIAN_POINT('',(25.822966,35.5726,0.035)); #529538=CARTESIAN_POINT('',(25.822966,35.5726,0.)); #529539=CARTESIAN_POINT('Origin',(26.177034,35.5726,0.)); #529540=CARTESIAN_POINT('',(26.177034,35.5726,0.)); #529541=CARTESIAN_POINT('',(26.177034,35.5726,0.)); #529542=CARTESIAN_POINT('',(26.177034,35.5726,0.035)); #529543=CARTESIAN_POINT('',(26.177034,35.5726,0.035)); #529544=CARTESIAN_POINT('',(26.177034,35.5726,0.)); #529545=CARTESIAN_POINT('Origin',(26.321119,35.716684,0.)); #529546=CARTESIAN_POINT('',(26.321119,35.716684,0.)); #529547=CARTESIAN_POINT('',(26.321119,35.716684,0.)); #529548=CARTESIAN_POINT('',(26.321119,35.716684,0.035)); #529549=CARTESIAN_POINT('',(26.321119,35.716684,0.035)); #529550=CARTESIAN_POINT('',(26.321119,35.716684,0.)); #529551=CARTESIAN_POINT('Origin',(26.344166,35.732484,0.)); #529552=CARTESIAN_POINT('',(26.344166,35.732484,0.)); #529553=CARTESIAN_POINT('',(26.344166,35.732484,0.)); #529554=CARTESIAN_POINT('',(26.344166,35.732484,0.035)); #529555=CARTESIAN_POINT('',(26.344166,35.732484,0.035)); #529556=CARTESIAN_POINT('',(26.344166,35.732484,0.)); #529557=CARTESIAN_POINT('Origin',(26.373784,35.738994,0.)); #529558=CARTESIAN_POINT('',(26.373784,35.738994,0.)); #529559=CARTESIAN_POINT('',(26.373784,35.738994,0.)); #529560=CARTESIAN_POINT('',(26.373784,35.738994,0.035)); #529561=CARTESIAN_POINT('',(26.373784,35.738994,0.035)); #529562=CARTESIAN_POINT('',(26.373784,35.738994,0.)); #529563=CARTESIAN_POINT('Origin',(26.403597,35.733434,0.)); #529564=CARTESIAN_POINT('',(26.403597,35.733434,0.)); #529565=CARTESIAN_POINT('',(26.403597,35.733434,0.)); #529566=CARTESIAN_POINT('',(26.403597,35.733434,0.035)); #529567=CARTESIAN_POINT('',(26.403597,35.733434,0.035)); #529568=CARTESIAN_POINT('',(26.403597,35.733434,0.)); #529569=CARTESIAN_POINT('Origin',(26.428881,35.716684,0.)); #529570=CARTESIAN_POINT('',(26.428881,35.716684,0.)); #529571=CARTESIAN_POINT('',(26.428881,35.716684,0.)); #529572=CARTESIAN_POINT('',(26.428881,35.716684,0.035)); #529573=CARTESIAN_POINT('',(26.428881,35.716684,0.035)); #529574=CARTESIAN_POINT('',(26.428881,35.716684,0.)); #529575=CARTESIAN_POINT('Origin',(26.572966,35.5726,0.)); #529576=CARTESIAN_POINT('',(26.572966,35.5726,0.)); #529577=CARTESIAN_POINT('',(26.572966,35.5726,0.)); #529578=CARTESIAN_POINT('',(26.572966,35.5726,0.035)); #529579=CARTESIAN_POINT('',(26.572966,35.5726,0.035)); #529580=CARTESIAN_POINT('',(26.572966,35.5726,0.)); #529581=CARTESIAN_POINT('Origin',(26.927034,35.5726,0.)); #529582=CARTESIAN_POINT('',(26.927034,35.5726,0.)); #529583=CARTESIAN_POINT('',(26.927034,35.5726,0.)); #529584=CARTESIAN_POINT('',(26.927034,35.5726,0.035)); #529585=CARTESIAN_POINT('',(26.927034,35.5726,0.035)); #529586=CARTESIAN_POINT('',(26.927034,35.5726,0.)); #529587=CARTESIAN_POINT('Origin',(27.1774,35.822966,0.)); #529588=CARTESIAN_POINT('',(27.1774,35.822966,0.)); #529589=CARTESIAN_POINT('',(27.1774,35.822966,0.)); #529590=CARTESIAN_POINT('',(27.1774,35.822966,0.035)); #529591=CARTESIAN_POINT('',(27.1774,35.822966,0.035)); #529592=CARTESIAN_POINT('',(27.1774,35.822966,0.)); #529593=CARTESIAN_POINT('Origin',(27.1774,36.004672,0.)); #529594=CARTESIAN_POINT('',(27.1774,36.004672,0.)); #529595=CARTESIAN_POINT('',(27.1774,36.004672,0.)); #529596=CARTESIAN_POINT('',(27.1774,36.004672,0.035)); #529597=CARTESIAN_POINT('',(27.1774,36.004672,0.035)); #529598=CARTESIAN_POINT('',(27.1774,36.004672,0.)); #529599=CARTESIAN_POINT('Origin',(27.182094,36.031003,0.)); #529600=CARTESIAN_POINT('',(27.182094,36.031003,0.)); #529601=CARTESIAN_POINT('',(27.182094,36.031003,0.)); #529602=CARTESIAN_POINT('',(27.182094,36.031003,0.035)); #529603=CARTESIAN_POINT('',(27.182094,36.031003,0.035)); #529604=CARTESIAN_POINT('',(27.182094,36.031003,0.)); #529605=CARTESIAN_POINT('Origin',(27.198028,36.056809,0.)); #529606=CARTESIAN_POINT('',(27.198028,36.056809,0.)); #529607=CARTESIAN_POINT('',(27.198028,36.056809,0.)); #529608=CARTESIAN_POINT('',(27.198028,36.056809,0.035)); #529609=CARTESIAN_POINT('',(27.198028,36.056809,0.035)); #529610=CARTESIAN_POINT('',(27.198028,36.056809,0.)); #529611=CARTESIAN_POINT('Origin',(27.222766,36.074353,0.)); #529612=CARTESIAN_POINT('',(27.222766,36.074353,0.)); #529613=CARTESIAN_POINT('',(27.222766,36.074353,0.)); #529614=CARTESIAN_POINT('',(27.222766,36.074353,0.035)); #529615=CARTESIAN_POINT('',(27.222766,36.074353,0.035)); #529616=CARTESIAN_POINT('',(27.222766,36.074353,0.)); #529617=CARTESIAN_POINT('Origin',(27.252384,36.080863,0.)); #529618=CARTESIAN_POINT('',(27.252384,36.080863,0.)); #529619=CARTESIAN_POINT('',(27.252384,36.080863,0.)); #529620=CARTESIAN_POINT('',(27.252384,36.080863,0.035)); #529621=CARTESIAN_POINT('',(27.252384,36.080863,0.035)); #529622=CARTESIAN_POINT('',(27.252384,36.080863,0.)); #529623=CARTESIAN_POINT('Origin',(27.282197,36.075303,0.)); #529624=CARTESIAN_POINT('',(27.282197,36.075303,0.)); #529625=CARTESIAN_POINT('',(27.282197,36.075303,0.)); #529626=CARTESIAN_POINT('',(27.282197,36.075303,0.035)); #529627=CARTESIAN_POINT('',(27.282197,36.075303,0.035)); #529628=CARTESIAN_POINT('',(27.282197,36.075303,0.)); #529629=CARTESIAN_POINT('Origin',(27.307481,36.058553,0.)); #529630=CARTESIAN_POINT('',(27.307481,36.058553,0.)); #529631=CARTESIAN_POINT('',(27.307481,36.058553,0.)); #529632=CARTESIAN_POINT('',(27.307481,36.058553,0.035)); #529633=CARTESIAN_POINT('',(27.307481,36.058553,0.035)); #529634=CARTESIAN_POINT('',(27.307481,36.058553,0.)); #529635=CARTESIAN_POINT('Origin',(27.594634,35.7714,0.)); #529636=CARTESIAN_POINT('',(27.594634,35.7714,0.)); #529637=CARTESIAN_POINT('',(27.594634,35.7714,0.)); #529638=CARTESIAN_POINT('',(27.594634,35.7714,0.035)); #529639=CARTESIAN_POINT('',(27.594634,35.7714,0.035)); #529640=CARTESIAN_POINT('',(27.594634,35.7714,0.)); #529641=CARTESIAN_POINT('Origin',(29.563072,35.7714,0.)); #529642=CARTESIAN_POINT('',(29.563072,35.7714,0.)); #529643=CARTESIAN_POINT('',(29.563072,35.7714,0.)); #529644=CARTESIAN_POINT('',(29.563072,35.7714,0.035)); #529645=CARTESIAN_POINT('',(29.563072,35.7714,0.035)); #529646=CARTESIAN_POINT('',(29.563072,35.7714,0.)); #529647=CARTESIAN_POINT('Origin',(29.591669,35.765831,0.)); #529648=CARTESIAN_POINT('',(29.591669,35.765831,0.)); #529649=CARTESIAN_POINT('',(29.591669,35.765831,0.)); #529650=CARTESIAN_POINT('',(29.591669,35.765831,0.035)); #529651=CARTESIAN_POINT('',(29.591669,35.765831,0.035)); #529652=CARTESIAN_POINT('',(29.591669,35.765831,0.)); #529653=CARTESIAN_POINT('Origin',(29.616953,35.749081,0.)); #529654=CARTESIAN_POINT('',(29.616953,35.749081,0.)); #529655=CARTESIAN_POINT('',(29.616953,35.749081,0.)); #529656=CARTESIAN_POINT('',(29.616953,35.749081,0.035)); #529657=CARTESIAN_POINT('',(29.616953,35.749081,0.035)); #529658=CARTESIAN_POINT('',(29.616953,35.749081,0.)); #529659=CARTESIAN_POINT('Origin',(30.936581,34.429453,0.)); #529660=CARTESIAN_POINT('',(30.936581,34.429453,0.)); #529661=CARTESIAN_POINT('',(30.936581,34.429453,0.)); #529662=CARTESIAN_POINT('',(30.936581,34.429453,0.035)); #529663=CARTESIAN_POINT('',(30.936581,34.429453,0.035)); #529664=CARTESIAN_POINT('',(30.936581,34.429453,0.)); #529665=CARTESIAN_POINT('Origin',(30.952866,34.405294,0.)); #529666=CARTESIAN_POINT('',(30.952866,34.405294,0.)); #529667=CARTESIAN_POINT('',(30.952866,34.405294,0.)); #529668=CARTESIAN_POINT('',(30.952866,34.405294,0.035)); #529669=CARTESIAN_POINT('',(30.952866,34.405294,0.035)); #529670=CARTESIAN_POINT('',(30.952866,34.405294,0.)); #529671=CARTESIAN_POINT('Origin',(30.9589,34.375572,0.)); #529672=CARTESIAN_POINT('',(30.9589,34.375572,0.)); #529673=CARTESIAN_POINT('',(30.9589,34.375572,0.)); #529674=CARTESIAN_POINT('',(30.9589,34.375572,0.035)); #529675=CARTESIAN_POINT('',(30.9589,34.375572,0.035)); #529676=CARTESIAN_POINT('',(30.9589,34.375572,0.)); #529677=CARTESIAN_POINT('Origin',(30.9589,32.563753,0.)); #529678=CARTESIAN_POINT('',(30.9589,32.563753,0.)); #529679=CARTESIAN_POINT('',(30.9589,32.563753,0.)); #529680=CARTESIAN_POINT('',(30.9589,32.563753,0.035)); #529681=CARTESIAN_POINT('',(30.9589,32.563753,0.035)); #529682=CARTESIAN_POINT('',(30.9589,32.563753,0.)); #529683=CARTESIAN_POINT('Origin',(30.953331,32.535156,0.)); #529684=CARTESIAN_POINT('',(30.953331,32.535156,0.)); #529685=CARTESIAN_POINT('',(30.953331,32.535156,0.)); #529686=CARTESIAN_POINT('',(30.953331,32.535156,0.035)); #529687=CARTESIAN_POINT('',(30.953331,32.535156,0.035)); #529688=CARTESIAN_POINT('',(30.953331,32.535156,0.)); #529689=CARTESIAN_POINT('Origin',(30.936581,32.509872,0.)); #529690=CARTESIAN_POINT('',(30.936581,32.509872,0.)); #529691=CARTESIAN_POINT('',(30.936581,32.509872,0.)); #529692=CARTESIAN_POINT('',(30.936581,32.509872,0.035)); #529693=CARTESIAN_POINT('',(30.936581,32.509872,0.035)); #529694=CARTESIAN_POINT('',(30.936581,32.509872,0.)); #529695=CARTESIAN_POINT('Origin',(29.6464,31.219691,0.)); #529696=CARTESIAN_POINT('',(29.6464,31.219691,0.)); #529697=CARTESIAN_POINT('',(29.6464,31.219691,0.)); #529698=CARTESIAN_POINT('',(29.6464,31.219691,0.035)); #529699=CARTESIAN_POINT('',(29.6464,31.219691,0.035)); #529700=CARTESIAN_POINT('',(29.6464,31.219691,0.)); #529701=CARTESIAN_POINT('Origin',(29.6464,26.671528,0.)); #529702=CARTESIAN_POINT('',(29.6464,26.671528,0.)); #529703=CARTESIAN_POINT('',(29.6464,26.671528,0.)); #529704=CARTESIAN_POINT('',(29.6464,26.671528,0.035)); #529705=CARTESIAN_POINT('',(29.6464,26.671528,0.035)); #529706=CARTESIAN_POINT('',(29.6464,26.671528,0.)); #529707=CARTESIAN_POINT('Origin',(29.641706,26.645197,0.)); #529708=CARTESIAN_POINT('',(29.641706,26.645197,0.)); #529709=CARTESIAN_POINT('',(29.641706,26.645197,0.)); #529710=CARTESIAN_POINT('',(29.641706,26.645197,0.035)); #529711=CARTESIAN_POINT('',(29.641706,26.645197,0.035)); #529712=CARTESIAN_POINT('',(29.641706,26.645197,0.)); #529713=CARTESIAN_POINT('Origin',(29.625772,26.619391,0.)); #529714=CARTESIAN_POINT('',(29.625772,26.619391,0.)); #529715=CARTESIAN_POINT('',(29.625772,26.619391,0.)); #529716=CARTESIAN_POINT('',(29.625772,26.619391,0.035)); #529717=CARTESIAN_POINT('',(29.625772,26.619391,0.035)); #529718=CARTESIAN_POINT('',(29.625772,26.619391,0.)); #529719=CARTESIAN_POINT('Origin',(29.601034,26.601847,0.)); #529720=CARTESIAN_POINT('',(29.601034,26.601847,0.)); #529721=CARTESIAN_POINT('',(29.601034,26.601847,0.035)); #529722=CARTESIAN_POINT('Origin',(26.2918287044569,31.5528236724846,0.035)); #529723=CARTESIAN_POINT('Origin',(26.2918287044569,31.5528236724846,0.)); #529724=CARTESIAN_POINT('Origin',(27.294484,25.4786,0.)); #529725=CARTESIAN_POINT('',(27.294484,25.4786,0.)); #529726=CARTESIAN_POINT('',(27.324206,25.484634,0.)); #529727=CARTESIAN_POINT('',(27.294484,25.4786,0.)); #529728=CARTESIAN_POINT('',(27.324206,25.484634,0.035)); #529729=CARTESIAN_POINT('',(27.324206,25.484634,0.)); #529730=CARTESIAN_POINT('',(27.294484,25.4786,0.035)); #529731=CARTESIAN_POINT('',(27.294484,25.4786,0.035)); #529732=CARTESIAN_POINT('',(27.294484,25.4786,0.)); #529733=CARTESIAN_POINT('Origin',(24.4097,25.4786,0.)); #529734=CARTESIAN_POINT('',(24.4097,25.4786,0.)); #529735=CARTESIAN_POINT('',(24.4097,25.4786,0.)); #529736=CARTESIAN_POINT('',(24.4097,25.4786,0.035)); #529737=CARTESIAN_POINT('',(24.4097,25.4786,0.035)); #529738=CARTESIAN_POINT('',(24.4097,25.4786,0.)); #529739=CARTESIAN_POINT('Origin',(24.382231,25.483722,0.)); #529740=CARTESIAN_POINT('',(24.382231,25.483722,0.)); #529741=CARTESIAN_POINT('',(24.382231,25.483722,0.)); #529742=CARTESIAN_POINT('',(24.382231,25.483722,0.035)); #529743=CARTESIAN_POINT('',(24.382231,25.483722,0.035)); #529744=CARTESIAN_POINT('',(24.382231,25.483722,0.)); #529745=CARTESIAN_POINT('Origin',(24.356684,25.500066,0.)); #529746=CARTESIAN_POINT('',(24.356684,25.500066,0.)); #529747=CARTESIAN_POINT('',(24.356684,25.500066,0.)); #529748=CARTESIAN_POINT('',(24.356684,25.500066,0.035)); #529749=CARTESIAN_POINT('',(24.356684,25.500066,0.035)); #529750=CARTESIAN_POINT('',(24.356684,25.500066,0.)); #529751=CARTESIAN_POINT('Origin',(24.339534,25.525078,0.)); #529752=CARTESIAN_POINT('',(24.339534,25.525078,0.)); #529753=CARTESIAN_POINT('',(24.339534,25.525078,0.)); #529754=CARTESIAN_POINT('',(24.339534,25.525078,0.035)); #529755=CARTESIAN_POINT('',(24.339534,25.525078,0.035)); #529756=CARTESIAN_POINT('',(24.339534,25.525078,0.)); #529757=CARTESIAN_POINT('Origin',(24.3335,25.5548,0.)); #529758=CARTESIAN_POINT('',(24.3335,25.5548,0.)); #529759=CARTESIAN_POINT('',(24.3335,25.5548,0.)); #529760=CARTESIAN_POINT('',(24.3335,25.5548,0.035)); #529761=CARTESIAN_POINT('',(24.3335,25.5548,0.035)); #529762=CARTESIAN_POINT('',(24.3335,25.5548,0.)); #529763=CARTESIAN_POINT('Origin',(24.3335,25.6952,0.)); #529764=CARTESIAN_POINT('',(24.3335,25.6952,0.)); #529765=CARTESIAN_POINT('',(24.3335,25.6952,0.)); #529766=CARTESIAN_POINT('',(24.3335,25.6952,0.035)); #529767=CARTESIAN_POINT('',(24.3335,25.6952,0.035)); #529768=CARTESIAN_POINT('',(24.3335,25.6952,0.)); #529769=CARTESIAN_POINT('Origin',(24.338622,25.722669,0.)); #529770=CARTESIAN_POINT('',(24.338622,25.722669,0.)); #529771=CARTESIAN_POINT('',(24.338622,25.722669,0.)); #529772=CARTESIAN_POINT('',(24.338622,25.722669,0.035)); #529773=CARTESIAN_POINT('',(24.338622,25.722669,0.035)); #529774=CARTESIAN_POINT('',(24.338622,25.722669,0.)); #529775=CARTESIAN_POINT('Origin',(24.354966,25.748216,0.)); #529776=CARTESIAN_POINT('',(24.354966,25.748216,0.)); #529777=CARTESIAN_POINT('',(24.354966,25.748216,0.)); #529778=CARTESIAN_POINT('',(24.354966,25.748216,0.035)); #529779=CARTESIAN_POINT('',(24.354966,25.748216,0.035)); #529780=CARTESIAN_POINT('',(24.354966,25.748216,0.)); #529781=CARTESIAN_POINT('Origin',(24.379978,25.765366,0.)); #529782=CARTESIAN_POINT('',(24.379978,25.765366,0.)); #529783=CARTESIAN_POINT('',(24.379978,25.765366,0.)); #529784=CARTESIAN_POINT('',(24.379978,25.765366,0.035)); #529785=CARTESIAN_POINT('',(24.379978,25.765366,0.035)); #529786=CARTESIAN_POINT('',(24.379978,25.765366,0.)); #529787=CARTESIAN_POINT('Origin',(24.4097,25.7714,0.)); #529788=CARTESIAN_POINT('',(24.4097,25.7714,0.)); #529789=CARTESIAN_POINT('',(24.4097,25.7714,0.)); #529790=CARTESIAN_POINT('',(24.4097,25.7714,0.035)); #529791=CARTESIAN_POINT('',(24.4097,25.7714,0.035)); #529792=CARTESIAN_POINT('',(24.4097,25.7714,0.)); #529793=CARTESIAN_POINT('Origin',(27.154084,25.7714,0.)); #529794=CARTESIAN_POINT('',(27.154084,25.7714,0.)); #529795=CARTESIAN_POINT('',(27.154084,25.7714,0.)); #529796=CARTESIAN_POINT('',(27.154084,25.7714,0.035)); #529797=CARTESIAN_POINT('',(27.154084,25.7714,0.035)); #529798=CARTESIAN_POINT('',(27.154084,25.7714,0.)); #529799=CARTESIAN_POINT('Origin',(27.182681,25.765831,0.)); #529800=CARTESIAN_POINT('',(27.182681,25.765831,0.)); #529801=CARTESIAN_POINT('',(27.182681,25.765831,0.)); #529802=CARTESIAN_POINT('',(27.182681,25.765831,0.035)); #529803=CARTESIAN_POINT('',(27.182681,25.765831,0.035)); #529804=CARTESIAN_POINT('',(27.182681,25.765831,0.)); #529805=CARTESIAN_POINT('Origin',(27.207966,25.749081,0.)); #529806=CARTESIAN_POINT('',(27.207966,25.749081,0.)); #529807=CARTESIAN_POINT('',(27.207966,25.749081,0.)); #529808=CARTESIAN_POINT('',(27.207966,25.749081,0.035)); #529809=CARTESIAN_POINT('',(27.207966,25.749081,0.035)); #529810=CARTESIAN_POINT('',(27.207966,25.749081,0.)); #529811=CARTESIAN_POINT('Origin',(27.348366,25.608681,0.)); #529812=CARTESIAN_POINT('',(27.348366,25.608681,0.)); #529813=CARTESIAN_POINT('',(27.348366,25.608681,0.)); #529814=CARTESIAN_POINT('',(27.348366,25.608681,0.035)); #529815=CARTESIAN_POINT('',(27.348366,25.608681,0.035)); #529816=CARTESIAN_POINT('',(27.348366,25.608681,0.)); #529817=CARTESIAN_POINT('Origin',(27.363666,25.586744,0.)); #529818=CARTESIAN_POINT('',(27.363666,25.586744,0.)); #529819=CARTESIAN_POINT('',(27.363666,25.586744,0.)); #529820=CARTESIAN_POINT('',(27.363666,25.586744,0.035)); #529821=CARTESIAN_POINT('',(27.363666,25.586744,0.035)); #529822=CARTESIAN_POINT('',(27.363666,25.586744,0.)); #529823=CARTESIAN_POINT('Origin',(27.370647,25.557228,0.)); #529824=CARTESIAN_POINT('',(27.370647,25.557228,0.)); #529825=CARTESIAN_POINT('',(27.370647,25.557228,0.)); #529826=CARTESIAN_POINT('',(27.370647,25.557228,0.035)); #529827=CARTESIAN_POINT('',(27.370647,25.557228,0.035)); #529828=CARTESIAN_POINT('',(27.370647,25.557228,0.)); #529829=CARTESIAN_POINT('Origin',(27.365563,25.527331,0.)); #529830=CARTESIAN_POINT('',(27.365563,25.527331,0.)); #529831=CARTESIAN_POINT('',(27.365563,25.527331,0.)); #529832=CARTESIAN_POINT('',(27.365563,25.527331,0.035)); #529833=CARTESIAN_POINT('',(27.365563,25.527331,0.035)); #529834=CARTESIAN_POINT('',(27.365563,25.527331,0.)); #529835=CARTESIAN_POINT('Origin',(27.349219,25.501784,0.)); #529836=CARTESIAN_POINT('',(27.349219,25.501784,0.)); #529837=CARTESIAN_POINT('',(27.349219,25.501784,0.)); #529838=CARTESIAN_POINT('',(27.349219,25.501784,0.035)); #529839=CARTESIAN_POINT('',(27.349219,25.501784,0.035)); #529840=CARTESIAN_POINT('',(27.349219,25.501784,0.)); #529841=CARTESIAN_POINT('Origin',(27.324206,25.484634,0.)); #529842=CARTESIAN_POINT('',(27.324206,25.484634,0.)); #529843=CARTESIAN_POINT('',(27.324206,25.484634,0.035)); #529844=CARTESIAN_POINT('Origin',(25.8317530176669,25.6221754033764,0.035)); #529845=CARTESIAN_POINT('Origin',(25.8317530176669,25.6221754033764,0.)); #529846=CARTESIAN_POINT('Origin',(45.125,56.75,-200.)); #529847=CARTESIAN_POINT('',(44.985,56.75,0.)); #529848=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #529849=CARTESIAN_POINT('',(44.985,56.75,0.0349999999999895)); #529850=CARTESIAN_POINT('',(44.985,56.75,-200.)); #529851=CARTESIAN_POINT('Origin',(45.125,56.75,0.0349999999999895)); #529852=CARTESIAN_POINT('Origin',(47.75,56.75,-200.)); #529853=CARTESIAN_POINT('',(47.61,56.75,0.)); #529854=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #529855=CARTESIAN_POINT('',(47.61,56.75,0.0349999999999895)); #529856=CARTESIAN_POINT('',(47.61,56.75,-200.)); #529857=CARTESIAN_POINT('Origin',(47.75,56.75,0.0349999999999895)); #529858=CARTESIAN_POINT('Origin',(47.75,55.25,-200.)); #529859=CARTESIAN_POINT('',(47.61,55.25,0.)); #529860=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #529861=CARTESIAN_POINT('',(47.61,55.25,0.0349999999999895)); #529862=CARTESIAN_POINT('',(47.61,55.25,-200.)); #529863=CARTESIAN_POINT('Origin',(47.75,55.25,0.0349999999999895)); #529864=CARTESIAN_POINT('Origin',(47.75,56.,-200.)); #529865=CARTESIAN_POINT('',(47.61,56.,0.)); #529866=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #529867=CARTESIAN_POINT('',(47.61,56.,0.0349999999999895)); #529868=CARTESIAN_POINT('',(47.61,56.,-200.)); #529869=CARTESIAN_POINT('Origin',(47.75,56.,0.0349999999999895)); #529870=CARTESIAN_POINT('Origin',(46.,56.,-200.)); #529871=CARTESIAN_POINT('',(45.86,56.,0.)); #529872=CARTESIAN_POINT('Origin',(46.,56.,0.)); #529873=CARTESIAN_POINT('',(45.86,56.,0.0349999999999895)); #529874=CARTESIAN_POINT('',(45.86,56.,-200.)); #529875=CARTESIAN_POINT('Origin',(46.,56.,0.0349999999999895)); #529876=CARTESIAN_POINT('Origin',(44.25,56.,-200.)); #529877=CARTESIAN_POINT('',(44.11,56.,0.)); #529878=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #529879=CARTESIAN_POINT('',(44.11,56.,0.0349999999999895)); #529880=CARTESIAN_POINT('',(44.11,56.,-200.)); #529881=CARTESIAN_POINT('Origin',(44.25,56.,0.0349999999999895)); #529882=CARTESIAN_POINT('Origin',(46.,56.75,-200.)); #529883=CARTESIAN_POINT('',(45.86,56.75,0.)); #529884=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #529885=CARTESIAN_POINT('',(45.86,56.75,0.0349999999999895)); #529886=CARTESIAN_POINT('',(45.86,56.75,-200.)); #529887=CARTESIAN_POINT('Origin',(46.,56.75,0.0349999999999895)); #529888=CARTESIAN_POINT('Origin',(46.,55.25,-200.)); #529889=CARTESIAN_POINT('',(45.86,55.25,0.)); #529890=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #529891=CARTESIAN_POINT('',(45.86,55.25,0.0349999999999895)); #529892=CARTESIAN_POINT('',(45.86,55.25,-200.)); #529893=CARTESIAN_POINT('Origin',(46.,55.25,0.0349999999999895)); #529894=CARTESIAN_POINT('Origin',(44.25,56.75,-200.)); #529895=CARTESIAN_POINT('',(44.11,56.75,0.)); #529896=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #529897=CARTESIAN_POINT('',(44.11,56.75,0.0349999999999895)); #529898=CARTESIAN_POINT('',(44.11,56.75,-200.)); #529899=CARTESIAN_POINT('Origin',(44.25,56.75,0.0349999999999895)); #529900=CARTESIAN_POINT('Origin',(44.25,55.25,-200.)); #529901=CARTESIAN_POINT('',(44.11,55.25,0.)); #529902=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #529903=CARTESIAN_POINT('',(44.11,55.25,0.0349999999999895)); #529904=CARTESIAN_POINT('',(44.11,55.25,-200.)); #529905=CARTESIAN_POINT('Origin',(44.25,55.25,0.0349999999999895)); #529906=CARTESIAN_POINT('Origin',(46.875,56.,-200.)); #529907=CARTESIAN_POINT('',(46.735,56.,0.)); #529908=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #529909=CARTESIAN_POINT('',(46.735,56.,0.0349999999999895)); #529910=CARTESIAN_POINT('',(46.735,56.,-200.)); #529911=CARTESIAN_POINT('Origin',(46.875,56.,0.0349999999999895)); #529912=CARTESIAN_POINT('Origin',(46.875,55.25,-200.)); #529913=CARTESIAN_POINT('',(46.735,55.25,0.)); #529914=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #529915=CARTESIAN_POINT('',(46.735,55.25,0.0349999999999895)); #529916=CARTESIAN_POINT('',(46.735,55.25,-200.)); #529917=CARTESIAN_POINT('Origin',(46.875,55.25,0.0349999999999895)); #529918=CARTESIAN_POINT('Origin',(45.125,56.,-200.)); #529919=CARTESIAN_POINT('',(44.985,56.,0.)); #529920=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #529921=CARTESIAN_POINT('',(44.985,56.,0.0349999999999895)); #529922=CARTESIAN_POINT('',(44.985,56.,-200.)); #529923=CARTESIAN_POINT('Origin',(45.125,56.,0.0349999999999895)); #529924=CARTESIAN_POINT('Origin',(45.125,55.25,-200.)); #529925=CARTESIAN_POINT('',(44.985,55.25,0.)); #529926=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #529927=CARTESIAN_POINT('',(44.985,55.25,0.0349999999999895)); #529928=CARTESIAN_POINT('',(44.985,55.25,-200.)); #529929=CARTESIAN_POINT('Origin',(45.125,55.25,0.0349999999999895)); #529930=CARTESIAN_POINT('Origin',(46.875,56.75,-200.)); #529931=CARTESIAN_POINT('',(46.735,56.75,0.)); #529932=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #529933=CARTESIAN_POINT('',(46.735,56.75,0.0349999999999895)); #529934=CARTESIAN_POINT('',(46.735,56.75,-200.)); #529935=CARTESIAN_POINT('Origin',(46.875,56.75,0.0349999999999895)); #529936=CARTESIAN_POINT('Origin',(42.984647,49.606559,0.)); #529937=CARTESIAN_POINT('',(42.984647,49.606559,0.)); #529938=CARTESIAN_POINT('',(43.033838,49.618191,0.)); #529939=CARTESIAN_POINT('',(42.984647,49.606559,0.)); #529940=CARTESIAN_POINT('',(43.033838,49.618191,0.035)); #529941=CARTESIAN_POINT('',(43.033838,49.618191,0.)); #529942=CARTESIAN_POINT('',(42.984647,49.606559,0.035)); #529943=CARTESIAN_POINT('',(42.984647,49.606559,0.035)); #529944=CARTESIAN_POINT('',(42.984647,49.606559,0.)); #529945=CARTESIAN_POINT('Origin',(42.934819,49.615031,0.)); #529946=CARTESIAN_POINT('',(42.934819,49.615031,0.)); #529947=CARTESIAN_POINT('',(42.934819,49.615031,0.)); #529948=CARTESIAN_POINT('',(42.934819,49.615031,0.035)); #529949=CARTESIAN_POINT('',(42.934819,49.615031,0.035)); #529950=CARTESIAN_POINT('',(42.934819,49.615031,0.)); #529951=CARTESIAN_POINT('Origin',(42.892241,49.642272,0.)); #529952=CARTESIAN_POINT('',(42.892241,49.642272,0.)); #529953=CARTESIAN_POINT('',(42.892241,49.642272,0.)); #529954=CARTESIAN_POINT('',(42.892241,49.642272,0.035)); #529955=CARTESIAN_POINT('',(42.892241,49.642272,0.035)); #529956=CARTESIAN_POINT('',(42.892241,49.642272,0.)); #529957=CARTESIAN_POINT('Origin',(42.863659,49.683959,0.)); #529958=CARTESIAN_POINT('',(42.863659,49.683959,0.)); #529959=CARTESIAN_POINT('',(42.863659,49.683959,0.)); #529960=CARTESIAN_POINT('',(42.863659,49.683959,0.035)); #529961=CARTESIAN_POINT('',(42.863659,49.683959,0.035)); #529962=CARTESIAN_POINT('',(42.863659,49.683959,0.)); #529963=CARTESIAN_POINT('Origin',(42.8536,49.733494,0.)); #529964=CARTESIAN_POINT('',(42.8536,49.733494,0.)); #529965=CARTESIAN_POINT('',(42.8536,49.733494,0.)); #529966=CARTESIAN_POINT('',(42.8536,49.733494,0.035)); #529967=CARTESIAN_POINT('',(42.8536,49.733494,0.035)); #529968=CARTESIAN_POINT('',(42.8536,49.733494,0.)); #529969=CARTESIAN_POINT('Origin',(42.8536,57.727706,0.)); #529970=CARTESIAN_POINT('',(42.8536,57.727706,0.)); #529971=CARTESIAN_POINT('',(42.8536,57.727706,0.)); #529972=CARTESIAN_POINT('',(42.8536,57.727706,0.035)); #529973=CARTESIAN_POINT('',(42.8536,57.727706,0.035)); #529974=CARTESIAN_POINT('',(42.8536,57.727706,0.)); #529975=CARTESIAN_POINT('Origin',(42.862884,57.775369,0.)); #529976=CARTESIAN_POINT('',(42.862884,57.775369,0.)); #529977=CARTESIAN_POINT('',(42.862884,57.775369,0.)); #529978=CARTESIAN_POINT('',(42.862884,57.775369,0.035)); #529979=CARTESIAN_POINT('',(42.862884,57.775369,0.035)); #529980=CARTESIAN_POINT('',(42.862884,57.775369,0.)); #529981=CARTESIAN_POINT('Origin',(42.890797,57.817509,0.)); #529982=CARTESIAN_POINT('',(42.890797,57.817509,0.)); #529983=CARTESIAN_POINT('',(42.890797,57.817509,0.)); #529984=CARTESIAN_POINT('',(42.890797,57.817509,0.035)); #529985=CARTESIAN_POINT('',(42.890797,57.817509,0.035)); #529986=CARTESIAN_POINT('',(42.890797,57.817509,0.)); #529987=CARTESIAN_POINT('Origin',(43.557491,58.484203,0.)); #529988=CARTESIAN_POINT('',(43.557491,58.484203,0.)); #529989=CARTESIAN_POINT('',(43.557491,58.484203,0.)); #529990=CARTESIAN_POINT('',(43.557491,58.484203,0.035)); #529991=CARTESIAN_POINT('',(43.557491,58.484203,0.035)); #529992=CARTESIAN_POINT('',(43.557491,58.484203,0.)); #529993=CARTESIAN_POINT('Origin',(43.597759,58.511341,0.)); #529994=CARTESIAN_POINT('',(43.597759,58.511341,0.)); #529995=CARTESIAN_POINT('',(43.597759,58.511341,0.)); #529996=CARTESIAN_POINT('',(43.597759,58.511341,0.035)); #529997=CARTESIAN_POINT('',(43.597759,58.511341,0.035)); #529998=CARTESIAN_POINT('',(43.597759,58.511341,0.)); #529999=CARTESIAN_POINT('Origin',(43.647294,58.5214,0.)); #530000=CARTESIAN_POINT('',(43.647294,58.5214,0.)); #530001=CARTESIAN_POINT('',(43.647294,58.5214,0.)); #530002=CARTESIAN_POINT('',(43.647294,58.5214,0.035)); #530003=CARTESIAN_POINT('',(43.647294,58.5214,0.035)); #530004=CARTESIAN_POINT('',(43.647294,58.5214,0.)); #530005=CARTESIAN_POINT('Origin',(47.476559,58.5214,0.)); #530006=CARTESIAN_POINT('',(47.476559,58.5214,0.)); #530007=CARTESIAN_POINT('',(47.476559,58.5214,0.)); #530008=CARTESIAN_POINT('',(47.476559,58.5214,0.035)); #530009=CARTESIAN_POINT('',(47.476559,58.5214,0.035)); #530010=CARTESIAN_POINT('',(47.476559,58.5214,0.)); #530011=CARTESIAN_POINT('Origin',(47.524222,58.512116,0.)); #530012=CARTESIAN_POINT('',(47.524222,58.512116,0.)); #530013=CARTESIAN_POINT('',(47.524222,58.512116,0.)); #530014=CARTESIAN_POINT('',(47.524222,58.512116,0.035)); #530015=CARTESIAN_POINT('',(47.524222,58.512116,0.035)); #530016=CARTESIAN_POINT('',(47.524222,58.512116,0.)); #530017=CARTESIAN_POINT('Origin',(47.566363,58.484203,0.)); #530018=CARTESIAN_POINT('',(47.566363,58.484203,0.)); #530019=CARTESIAN_POINT('',(47.566363,58.484203,0.)); #530020=CARTESIAN_POINT('',(47.566363,58.484203,0.035)); #530021=CARTESIAN_POINT('',(47.566363,58.484203,0.035)); #530022=CARTESIAN_POINT('',(47.566363,58.484203,0.)); #530023=CARTESIAN_POINT('Origin',(47.727966,58.3226,0.)); #530024=CARTESIAN_POINT('',(47.727966,58.3226,0.)); #530025=CARTESIAN_POINT('',(47.727966,58.3226,0.)); #530026=CARTESIAN_POINT('',(47.727966,58.3226,0.035)); #530027=CARTESIAN_POINT('',(47.727966,58.3226,0.035)); #530028=CARTESIAN_POINT('',(47.727966,58.3226,0.)); #530029=CARTESIAN_POINT('Origin',(48.082034,58.3226,0.)); #530030=CARTESIAN_POINT('',(48.082034,58.3226,0.)); #530031=CARTESIAN_POINT('',(48.082034,58.3226,0.)); #530032=CARTESIAN_POINT('',(48.082034,58.3226,0.035)); #530033=CARTESIAN_POINT('',(48.082034,58.3226,0.035)); #530034=CARTESIAN_POINT('',(48.082034,58.3226,0.)); #530035=CARTESIAN_POINT('Origin',(48.102416,58.342981,0.)); #530036=CARTESIAN_POINT('',(48.102416,58.342981,0.)); #530037=CARTESIAN_POINT('',(48.102416,58.342981,0.)); #530038=CARTESIAN_POINT('',(48.102416,58.342981,0.035)); #530039=CARTESIAN_POINT('',(48.102416,58.342981,0.035)); #530040=CARTESIAN_POINT('',(48.102416,58.342981,0.)); #530041=CARTESIAN_POINT('Origin',(48.140828,58.369316,0.)); #530042=CARTESIAN_POINT('',(48.140828,58.369316,0.)); #530043=CARTESIAN_POINT('',(48.140828,58.369316,0.)); #530044=CARTESIAN_POINT('',(48.140828,58.369316,0.035)); #530045=CARTESIAN_POINT('',(48.140828,58.369316,0.035)); #530046=CARTESIAN_POINT('',(48.140828,58.369316,0.)); #530047=CARTESIAN_POINT('Origin',(48.190194,58.380163,0.)); #530048=CARTESIAN_POINT('',(48.190194,58.380163,0.)); #530049=CARTESIAN_POINT('',(48.190194,58.380163,0.)); #530050=CARTESIAN_POINT('',(48.190194,58.380163,0.035)); #530051=CARTESIAN_POINT('',(48.190194,58.380163,0.035)); #530052=CARTESIAN_POINT('',(48.190194,58.380163,0.)); #530053=CARTESIAN_POINT('Origin',(48.239884,58.370894,0.)); #530054=CARTESIAN_POINT('',(48.239884,58.370894,0.)); #530055=CARTESIAN_POINT('',(48.239884,58.370894,0.)); #530056=CARTESIAN_POINT('',(48.239884,58.370894,0.035)); #530057=CARTESIAN_POINT('',(48.239884,58.370894,0.035)); #530058=CARTESIAN_POINT('',(48.239884,58.370894,0.)); #530059=CARTESIAN_POINT('Origin',(48.282022,58.342981,0.)); #530060=CARTESIAN_POINT('',(48.282022,58.342981,0.)); #530061=CARTESIAN_POINT('',(48.282022,58.342981,0.)); #530062=CARTESIAN_POINT('',(48.282022,58.342981,0.035)); #530063=CARTESIAN_POINT('',(48.282022,58.342981,0.035)); #530064=CARTESIAN_POINT('',(48.282022,58.342981,0.)); #530065=CARTESIAN_POINT('Origin',(49.587803,57.037197,0.)); #530066=CARTESIAN_POINT('',(49.587803,57.037197,0.)); #530067=CARTESIAN_POINT('',(49.587803,57.037197,0.)); #530068=CARTESIAN_POINT('',(49.587803,57.037197,0.035)); #530069=CARTESIAN_POINT('',(49.587803,57.037197,0.035)); #530070=CARTESIAN_POINT('',(49.587803,57.037197,0.)); #530071=CARTESIAN_POINT('Origin',(49.614941,56.996928,0.)); #530072=CARTESIAN_POINT('',(49.614941,56.996928,0.)); #530073=CARTESIAN_POINT('',(49.614941,56.996928,0.)); #530074=CARTESIAN_POINT('',(49.614941,56.996928,0.035)); #530075=CARTESIAN_POINT('',(49.614941,56.996928,0.035)); #530076=CARTESIAN_POINT('',(49.614941,56.996928,0.)); #530077=CARTESIAN_POINT('Origin',(49.625,56.947394,0.)); #530078=CARTESIAN_POINT('',(49.625,56.947394,0.)); #530079=CARTESIAN_POINT('',(49.625,56.947394,0.)); #530080=CARTESIAN_POINT('',(49.625,56.947394,0.035)); #530081=CARTESIAN_POINT('',(49.625,56.947394,0.035)); #530082=CARTESIAN_POINT('',(49.625,56.947394,0.)); #530083=CARTESIAN_POINT('Origin',(49.625,51.189284,0.)); #530084=CARTESIAN_POINT('',(49.625,51.189284,0.)); #530085=CARTESIAN_POINT('',(49.625,51.189284,0.)); #530086=CARTESIAN_POINT('',(49.625,51.189284,0.035)); #530087=CARTESIAN_POINT('',(49.625,51.189284,0.035)); #530088=CARTESIAN_POINT('',(49.625,51.189284,0.)); #530089=CARTESIAN_POINT('Origin',(49.609653,51.128766,0.)); #530090=CARTESIAN_POINT('',(49.609653,51.128766,0.)); #530091=CARTESIAN_POINT('',(49.609653,51.128766,0.)); #530092=CARTESIAN_POINT('',(49.609653,51.128766,0.035)); #530093=CARTESIAN_POINT('',(49.609653,51.128766,0.035)); #530094=CARTESIAN_POINT('',(49.609653,51.128766,0.)); #530095=CARTESIAN_POINT('Origin',(49.577206,51.090009,0.)); #530096=CARTESIAN_POINT('',(49.577206,51.090009,0.)); #530097=CARTESIAN_POINT('',(49.577206,51.090009,0.)); #530098=CARTESIAN_POINT('',(49.577206,51.090009,0.035)); #530099=CARTESIAN_POINT('',(49.577206,51.090009,0.035)); #530100=CARTESIAN_POINT('',(49.577206,51.090009,0.)); #530101=CARTESIAN_POINT('Origin',(49.548128,51.066809,0.)); #530102=CARTESIAN_POINT('',(49.548128,51.066809,0.)); #530103=CARTESIAN_POINT('',(49.548128,51.066809,0.)); #530104=CARTESIAN_POINT('',(49.548128,51.066809,0.035)); #530105=CARTESIAN_POINT('',(49.548128,51.066809,0.035)); #530106=CARTESIAN_POINT('',(49.548128,51.066809,0.)); #530107=CARTESIAN_POINT('Origin',(48.460181,49.978863,0.)); #530108=CARTESIAN_POINT('',(48.460181,49.978863,0.)); #530109=CARTESIAN_POINT('',(48.460181,49.978863,0.)); #530110=CARTESIAN_POINT('',(48.460181,49.978863,0.035)); #530111=CARTESIAN_POINT('',(48.460181,49.978863,0.035)); #530112=CARTESIAN_POINT('',(48.460181,49.978863,0.)); #530113=CARTESIAN_POINT('Origin',(48.421772,49.952528,0.)); #530114=CARTESIAN_POINT('',(48.421772,49.952528,0.)); #530115=CARTESIAN_POINT('',(48.421772,49.952528,0.)); #530116=CARTESIAN_POINT('',(48.421772,49.952528,0.035)); #530117=CARTESIAN_POINT('',(48.421772,49.952528,0.035)); #530118=CARTESIAN_POINT('',(48.421772,49.952528,0.)); #530119=CARTESIAN_POINT('Origin',(48.372403,49.941681,0.)); #530120=CARTESIAN_POINT('',(48.372403,49.941681,0.)); #530121=CARTESIAN_POINT('',(48.372403,49.941681,0.)); #530122=CARTESIAN_POINT('',(48.372403,49.941681,0.035)); #530123=CARTESIAN_POINT('',(48.372403,49.941681,0.035)); #530124=CARTESIAN_POINT('',(48.372403,49.941681,0.)); #530125=CARTESIAN_POINT('Origin',(48.322716,49.95095,0.)); #530126=CARTESIAN_POINT('',(48.322716,49.95095,0.)); #530127=CARTESIAN_POINT('',(48.322716,49.95095,0.)); #530128=CARTESIAN_POINT('',(48.322716,49.95095,0.035)); #530129=CARTESIAN_POINT('',(48.322716,49.95095,0.035)); #530130=CARTESIAN_POINT('',(48.322716,49.95095,0.)); #530131=CARTESIAN_POINT('Origin',(48.280575,49.978863,0.)); #530132=CARTESIAN_POINT('',(48.280575,49.978863,0.)); #530133=CARTESIAN_POINT('',(48.280575,49.978863,0.)); #530134=CARTESIAN_POINT('',(48.280575,49.978863,0.035)); #530135=CARTESIAN_POINT('',(48.280575,49.978863,0.035)); #530136=CARTESIAN_POINT('',(48.280575,49.978863,0.)); #530137=CARTESIAN_POINT('Origin',(48.082034,50.1774,0.)); #530138=CARTESIAN_POINT('',(48.082034,50.1774,0.)); #530139=CARTESIAN_POINT('',(48.082034,50.1774,0.)); #530140=CARTESIAN_POINT('',(48.082034,50.1774,0.035)); #530141=CARTESIAN_POINT('',(48.082034,50.1774,0.035)); #530142=CARTESIAN_POINT('',(48.082034,50.1774,0.)); #530143=CARTESIAN_POINT('Origin',(47.727966,50.1774,0.)); #530144=CARTESIAN_POINT('',(47.727966,50.1774,0.)); #530145=CARTESIAN_POINT('',(47.727966,50.1774,0.)); #530146=CARTESIAN_POINT('',(47.727966,50.1774,0.035)); #530147=CARTESIAN_POINT('',(47.727966,50.1774,0.035)); #530148=CARTESIAN_POINT('',(47.727966,50.1774,0.)); #530149=CARTESIAN_POINT('Origin',(47.566363,50.015797,0.)); #530150=CARTESIAN_POINT('',(47.566363,50.015797,0.)); #530151=CARTESIAN_POINT('',(47.566363,50.015797,0.)); #530152=CARTESIAN_POINT('',(47.566363,50.015797,0.035)); #530153=CARTESIAN_POINT('',(47.566363,50.015797,0.035)); #530154=CARTESIAN_POINT('',(47.566363,50.015797,0.)); #530155=CARTESIAN_POINT('Origin',(47.526094,49.988659,0.)); #530156=CARTESIAN_POINT('',(47.526094,49.988659,0.)); #530157=CARTESIAN_POINT('',(47.526094,49.988659,0.)); #530158=CARTESIAN_POINT('',(47.526094,49.988659,0.035)); #530159=CARTESIAN_POINT('',(47.526094,49.988659,0.035)); #530160=CARTESIAN_POINT('',(47.526094,49.988659,0.)); #530161=CARTESIAN_POINT('Origin',(47.476559,49.9786,0.)); #530162=CARTESIAN_POINT('',(47.476559,49.9786,0.)); #530163=CARTESIAN_POINT('',(47.476559,49.9786,0.)); #530164=CARTESIAN_POINT('',(47.476559,49.9786,0.035)); #530165=CARTESIAN_POINT('',(47.476559,49.9786,0.035)); #530166=CARTESIAN_POINT('',(47.476559,49.9786,0.)); #530167=CARTESIAN_POINT('Origin',(43.405313,49.9786,0.)); #530168=CARTESIAN_POINT('',(43.405313,49.9786,0.)); #530169=CARTESIAN_POINT('',(43.405313,49.9786,0.)); #530170=CARTESIAN_POINT('',(43.405313,49.9786,0.035)); #530171=CARTESIAN_POINT('',(43.405313,49.9786,0.035)); #530172=CARTESIAN_POINT('',(43.405313,49.9786,0.)); #530173=CARTESIAN_POINT('Origin',(43.070403,49.643691,0.)); #530174=CARTESIAN_POINT('',(43.070403,49.643691,0.)); #530175=CARTESIAN_POINT('',(43.070403,49.643691,0.)); #530176=CARTESIAN_POINT('',(43.070403,49.643691,0.035)); #530177=CARTESIAN_POINT('',(43.070403,49.643691,0.035)); #530178=CARTESIAN_POINT('',(43.070403,49.643691,0.)); #530179=CARTESIAN_POINT('Origin',(43.033838,49.618191,0.)); #530180=CARTESIAN_POINT('',(43.033838,49.618191,0.)); #530181=CARTESIAN_POINT('',(43.033838,49.618191,0.035)); #530182=CARTESIAN_POINT('Origin',(46.0445789129981,54.0476162558765,0.035)); #530183=CARTESIAN_POINT('Origin',(46.0445789129981,54.0476162558765,0.)); #530184=CARTESIAN_POINT('Origin',(38.512,53.,-200.)); #530185=CARTESIAN_POINT('',(38.372,53.,0.)); #530186=CARTESIAN_POINT('Origin',(38.512,53.,0.)); #530187=CARTESIAN_POINT('',(38.372,53.,0.0349999999999895)); #530188=CARTESIAN_POINT('',(38.372,53.,-200.)); #530189=CARTESIAN_POINT('Origin',(38.512,53.,0.0349999999999895)); #530190=CARTESIAN_POINT('Origin',(34.3875,20.0875,0.)); #530191=CARTESIAN_POINT('',(34.3875,20.0875,0.)); #530192=CARTESIAN_POINT('',(34.3875,20.7125,0.)); #530193=CARTESIAN_POINT('',(34.3875,20.0875,0.)); #530194=CARTESIAN_POINT('',(34.3875,20.7125,0.035)); #530195=CARTESIAN_POINT('',(34.3875,20.7125,0.)); #530196=CARTESIAN_POINT('',(34.3875,20.0875,0.035)); #530197=CARTESIAN_POINT('',(34.3875,20.0875,0.035)); #530198=CARTESIAN_POINT('',(34.3875,20.0875,0.)); #530199=CARTESIAN_POINT('Origin',(34.1125,20.0875,0.)); #530200=CARTESIAN_POINT('',(34.1125,20.0875,0.)); #530201=CARTESIAN_POINT('',(34.1125,20.0875,0.)); #530202=CARTESIAN_POINT('',(34.1125,20.0875,0.035)); #530203=CARTESIAN_POINT('',(34.1125,20.0875,0.035)); #530204=CARTESIAN_POINT('',(34.1125,20.0875,0.)); #530205=CARTESIAN_POINT('Origin',(34.1125,20.7125,0.)); #530206=CARTESIAN_POINT('',(34.1125,20.7125,0.)); #530207=CARTESIAN_POINT('',(34.1125,20.7125,0.)); #530208=CARTESIAN_POINT('',(34.1125,20.7125,0.035)); #530209=CARTESIAN_POINT('',(34.1125,20.7125,0.035)); #530210=CARTESIAN_POINT('',(34.1125,20.7125,0.)); #530211=CARTESIAN_POINT('Origin',(34.123,20.7125,0.)); #530212=CARTESIAN_POINT('',(34.123,20.7125,0.)); #530213=CARTESIAN_POINT('',(34.123,20.7125,0.)); #530214=CARTESIAN_POINT('',(34.123,20.7125,0.035)); #530215=CARTESIAN_POINT('',(34.123,20.7125,0.035)); #530216=CARTESIAN_POINT('',(34.123,20.7125,0.)); #530217=CARTESIAN_POINT('Origin',(34.123,21.969663,0.)); #530218=CARTESIAN_POINT('',(34.123,21.969663,0.)); #530219=CARTESIAN_POINT('',(34.123,21.969663,0.)); #530220=CARTESIAN_POINT('',(34.123,21.969663,0.035)); #530221=CARTESIAN_POINT('',(34.123,21.969663,0.035)); #530222=CARTESIAN_POINT('',(34.123,21.969663,0.)); #530223=CARTESIAN_POINT('Origin',(34.2500003087252,21.9696637497004,0.)); #530224=CARTESIAN_POINT('',(34.160197,22.059466,0.)); #530225=CARTESIAN_POINT('Origin',(34.2500003087252,21.9696637497004,0.)); #530226=CARTESIAN_POINT('',(34.160197,22.059466,0.035)); #530227=CARTESIAN_POINT('Origin',(34.2500003087252,21.9696637497004,0.035)); #530228=CARTESIAN_POINT('',(34.160197,22.059466,0.)); #530229=CARTESIAN_POINT('Origin',(35.3105,23.209769,0.)); #530230=CARTESIAN_POINT('',(35.3105,23.209769,0.)); #530231=CARTESIAN_POINT('',(35.3105,23.209769,0.)); #530232=CARTESIAN_POINT('',(35.3105,23.209769,0.035)); #530233=CARTESIAN_POINT('',(35.3105,23.209769,0.035)); #530234=CARTESIAN_POINT('',(35.3105,23.209769,0.)); #530235=CARTESIAN_POINT('Origin',(35.3105,34.1875,0.)); #530236=CARTESIAN_POINT('',(35.3105,34.1875,0.)); #530237=CARTESIAN_POINT('',(35.3105,34.1875,0.)); #530238=CARTESIAN_POINT('',(35.3105,34.1875,0.035)); #530239=CARTESIAN_POINT('',(35.3105,34.1875,0.035)); #530240=CARTESIAN_POINT('',(35.3105,34.1875,0.)); #530241=CARTESIAN_POINT('Origin',(35.4375003087252,34.1875007497004,0.)); #530242=CARTESIAN_POINT('',(35.347697,34.277303,0.)); #530243=CARTESIAN_POINT('Origin',(35.4375003087252,34.1875007497004,0.)); #530244=CARTESIAN_POINT('',(35.347697,34.277303,0.035)); #530245=CARTESIAN_POINT('Origin',(35.4375003087252,34.1875007497004,0.035)); #530246=CARTESIAN_POINT('',(35.347697,34.277303,0.)); #530247=CARTESIAN_POINT('Origin',(37.6735,36.603106,0.)); #530248=CARTESIAN_POINT('',(37.6735,36.603106,0.)); #530249=CARTESIAN_POINT('',(37.6735,36.603106,0.)); #530250=CARTESIAN_POINT('',(37.6735,36.603106,0.035)); #530251=CARTESIAN_POINT('',(37.6735,36.603106,0.035)); #530252=CARTESIAN_POINT('',(37.6735,36.603106,0.)); #530253=CARTESIAN_POINT('Origin',(37.6735,37.384894,0.)); #530254=CARTESIAN_POINT('',(37.6735,37.384894,0.)); #530255=CARTESIAN_POINT('',(37.6735,37.384894,0.)); #530256=CARTESIAN_POINT('',(37.6735,37.384894,0.035)); #530257=CARTESIAN_POINT('',(37.6735,37.384894,0.035)); #530258=CARTESIAN_POINT('',(37.6735,37.384894,0.)); #530259=CARTESIAN_POINT('Origin',(37.502894,37.5555,0.)); #530260=CARTESIAN_POINT('',(37.502894,37.5555,0.)); #530261=CARTESIAN_POINT('',(37.502894,37.5555,0.)); #530262=CARTESIAN_POINT('',(37.502894,37.5555,0.035)); #530263=CARTESIAN_POINT('',(37.502894,37.5555,0.035)); #530264=CARTESIAN_POINT('',(37.502894,37.5555,0.)); #530265=CARTESIAN_POINT('Origin',(36.8189,37.5555,0.)); #530266=CARTESIAN_POINT('',(36.8189,37.5555,0.)); #530267=CARTESIAN_POINT('',(36.8189,37.5555,0.)); #530268=CARTESIAN_POINT('',(36.8189,37.5555,0.035)); #530269=CARTESIAN_POINT('',(36.8189,37.5555,0.035)); #530270=CARTESIAN_POINT('',(36.8189,37.5555,0.)); #530271=CARTESIAN_POINT('Origin',(36.8189,38.4445,0.)); #530272=CARTESIAN_POINT('',(36.8189,38.4445,0.)); #530273=CARTESIAN_POINT('',(36.8189,38.4445,0.)); #530274=CARTESIAN_POINT('',(36.8189,38.4445,0.035)); #530275=CARTESIAN_POINT('',(36.8189,38.4445,0.035)); #530276=CARTESIAN_POINT('',(36.8189,38.4445,0.)); #530277=CARTESIAN_POINT('Origin',(37.502894,38.4445,0.)); #530278=CARTESIAN_POINT('',(37.502894,38.4445,0.)); #530279=CARTESIAN_POINT('',(37.502894,38.4445,0.)); #530280=CARTESIAN_POINT('',(37.502894,38.4445,0.035)); #530281=CARTESIAN_POINT('',(37.502894,38.4445,0.035)); #530282=CARTESIAN_POINT('',(37.502894,38.4445,0.)); #530283=CARTESIAN_POINT('Origin',(42.123,43.064606,0.)); #530284=CARTESIAN_POINT('',(42.123,43.064606,0.)); #530285=CARTESIAN_POINT('',(42.123,43.064606,0.)); #530286=CARTESIAN_POINT('',(42.123,43.064606,0.035)); #530287=CARTESIAN_POINT('',(42.123,43.064606,0.035)); #530288=CARTESIAN_POINT('',(42.123,43.064606,0.)); #530289=CARTESIAN_POINT('Origin',(42.123,51.697394,0.)); #530290=CARTESIAN_POINT('',(42.123,51.697394,0.)); #530291=CARTESIAN_POINT('',(42.123,51.697394,0.)); #530292=CARTESIAN_POINT('',(42.123,51.697394,0.035)); #530293=CARTESIAN_POINT('',(42.123,51.697394,0.035)); #530294=CARTESIAN_POINT('',(42.123,51.697394,0.)); #530295=CARTESIAN_POINT('Origin',(40.947394,52.873,0.)); #530296=CARTESIAN_POINT('',(40.947394,52.873,0.)); #530297=CARTESIAN_POINT('',(40.947394,52.873,0.)); #530298=CARTESIAN_POINT('',(40.947394,52.873,0.035)); #530299=CARTESIAN_POINT('',(40.947394,52.873,0.035)); #530300=CARTESIAN_POINT('',(40.947394,52.873,0.)); #530301=CARTESIAN_POINT('Origin',(38.9311,52.873,0.)); #530302=CARTESIAN_POINT('',(38.9311,52.873,0.)); #530303=CARTESIAN_POINT('',(38.9311,52.873,0.)); #530304=CARTESIAN_POINT('',(38.9311,52.873,0.035)); #530305=CARTESIAN_POINT('',(38.9311,52.873,0.035)); #530306=CARTESIAN_POINT('',(38.9311,52.873,0.)); #530307=CARTESIAN_POINT('Origin',(38.9311,52.5555,0.)); #530308=CARTESIAN_POINT('',(38.9311,52.5555,0.)); #530309=CARTESIAN_POINT('',(38.9311,52.5555,0.)); #530310=CARTESIAN_POINT('',(38.9311,52.5555,0.035)); #530311=CARTESIAN_POINT('',(38.9311,52.5555,0.035)); #530312=CARTESIAN_POINT('',(38.9311,52.5555,0.)); #530313=CARTESIAN_POINT('Origin',(38.0929,52.5555,0.)); #530314=CARTESIAN_POINT('',(38.0929,52.5555,0.)); #530315=CARTESIAN_POINT('',(38.0929,52.5555,0.)); #530316=CARTESIAN_POINT('',(38.0929,52.5555,0.035)); #530317=CARTESIAN_POINT('',(38.0929,52.5555,0.035)); #530318=CARTESIAN_POINT('',(38.0929,52.5555,0.)); #530319=CARTESIAN_POINT('Origin',(38.0929,53.4445,0.)); #530320=CARTESIAN_POINT('',(38.0929,53.4445,0.)); #530321=CARTESIAN_POINT('',(38.0929,53.4445,0.)); #530322=CARTESIAN_POINT('',(38.0929,53.4445,0.035)); #530323=CARTESIAN_POINT('',(38.0929,53.4445,0.035)); #530324=CARTESIAN_POINT('',(38.0929,53.4445,0.)); #530325=CARTESIAN_POINT('Origin',(38.9311,53.4445,0.)); #530326=CARTESIAN_POINT('',(38.9311,53.4445,0.)); #530327=CARTESIAN_POINT('',(38.9311,53.4445,0.)); #530328=CARTESIAN_POINT('',(38.9311,53.4445,0.035)); #530329=CARTESIAN_POINT('',(38.9311,53.4445,0.035)); #530330=CARTESIAN_POINT('',(38.9311,53.4445,0.)); #530331=CARTESIAN_POINT('Origin',(38.9311,53.127,0.)); #530332=CARTESIAN_POINT('',(38.9311,53.127,0.)); #530333=CARTESIAN_POINT('',(38.9311,53.127,0.)); #530334=CARTESIAN_POINT('',(38.9311,53.127,0.035)); #530335=CARTESIAN_POINT('',(38.9311,53.127,0.035)); #530336=CARTESIAN_POINT('',(38.9311,53.127,0.)); #530337=CARTESIAN_POINT('Origin',(41.,53.127,0.)); #530338=CARTESIAN_POINT('',(41.,53.127,0.)); #530339=CARTESIAN_POINT('',(41.,53.127,0.)); #530340=CARTESIAN_POINT('',(41.,53.127,0.035)); #530341=CARTESIAN_POINT('',(41.,53.127,0.035)); #530342=CARTESIAN_POINT('',(41.,53.127,0.)); #530343=CARTESIAN_POINT('Origin',(41.0000007497004,52.9999996912748,0.)); #530344=CARTESIAN_POINT('',(41.089803,53.089803,0.)); #530345=CARTESIAN_POINT('Origin',(41.0000007497004,52.9999996912748,0.)); #530346=CARTESIAN_POINT('',(41.089803,53.089803,0.035)); #530347=CARTESIAN_POINT('Origin',(41.0000007497004,52.9999996912748,0.035)); #530348=CARTESIAN_POINT('',(41.089803,53.089803,0.)); #530349=CARTESIAN_POINT('Origin',(42.339803,51.839803,0.)); #530350=CARTESIAN_POINT('',(42.339803,51.839803,0.)); #530351=CARTESIAN_POINT('',(42.339803,51.839803,0.)); #530352=CARTESIAN_POINT('',(42.339803,51.839803,0.035)); #530353=CARTESIAN_POINT('',(42.339803,51.839803,0.035)); #530354=CARTESIAN_POINT('',(42.339803,51.839803,0.)); #530355=CARTESIAN_POINT('Origin',(42.2499996912748,51.7500007497004,0.)); #530356=CARTESIAN_POINT('',(42.377,51.75,0.)); #530357=CARTESIAN_POINT('Origin',(42.2499996912748,51.7500007497004,0.)); #530358=CARTESIAN_POINT('',(42.377,51.75,0.035)); #530359=CARTESIAN_POINT('Origin',(42.2499996912748,51.7500007497004,0.035)); #530360=CARTESIAN_POINT('',(42.377,51.75,0.)); #530361=CARTESIAN_POINT('Origin',(42.377,43.,0.)); #530362=CARTESIAN_POINT('',(42.377,43.,0.)); #530363=CARTESIAN_POINT('',(42.377,43.,0.)); #530364=CARTESIAN_POINT('',(42.377,43.,0.035)); #530365=CARTESIAN_POINT('',(42.377,43.,0.035)); #530366=CARTESIAN_POINT('',(42.377,43.,0.)); #530367=CARTESIAN_POINT('Origin',(42.2500482816203,43.0000736876987,0.)); #530368=CARTESIAN_POINT('',(42.301719,42.884113,0.)); #530369=CARTESIAN_POINT('Origin',(42.2500482816203,43.0000736876987,0.)); #530370=CARTESIAN_POINT('',(42.301719,42.884113,0.035)); #530371=CARTESIAN_POINT('Origin',(42.2500482816203,43.0000736876987,0.035)); #530372=CARTESIAN_POINT('',(42.301719,42.884113,0.)); #530373=CARTESIAN_POINT('Origin',(37.6571,38.239494,0.)); #530374=CARTESIAN_POINT('',(37.6571,38.239494,0.)); #530375=CARTESIAN_POINT('',(37.6571,38.239494,0.)); #530376=CARTESIAN_POINT('',(37.6571,38.239494,0.035)); #530377=CARTESIAN_POINT('',(37.6571,38.239494,0.035)); #530378=CARTESIAN_POINT('',(37.6571,38.239494,0.)); #530379=CARTESIAN_POINT('Origin',(37.6571,37.760506,0.)); #530380=CARTESIAN_POINT('',(37.6571,37.760506,0.)); #530381=CARTESIAN_POINT('',(37.6571,37.760506,0.)); #530382=CARTESIAN_POINT('',(37.6571,37.760506,0.035)); #530383=CARTESIAN_POINT('',(37.6571,37.760506,0.035)); #530384=CARTESIAN_POINT('',(37.6571,37.760506,0.)); #530385=CARTESIAN_POINT('Origin',(37.890303,37.527303,0.)); #530386=CARTESIAN_POINT('',(37.890303,37.527303,0.)); #530387=CARTESIAN_POINT('',(37.890303,37.527303,0.)); #530388=CARTESIAN_POINT('',(37.890303,37.527303,0.035)); #530389=CARTESIAN_POINT('',(37.890303,37.527303,0.035)); #530390=CARTESIAN_POINT('',(37.890303,37.527303,0.)); #530391=CARTESIAN_POINT('Origin',(37.8004996912748,37.4375007497004,0.)); #530392=CARTESIAN_POINT('',(37.9275,37.4375,0.)); #530393=CARTESIAN_POINT('Origin',(37.8004996912748,37.4375007497004,0.)); #530394=CARTESIAN_POINT('',(37.9275,37.4375,0.035)); #530395=CARTESIAN_POINT('Origin',(37.8004996912748,37.4375007497004,0.035)); #530396=CARTESIAN_POINT('',(37.9275,37.4375,0.)); #530397=CARTESIAN_POINT('Origin',(37.9275,36.5505,0.)); #530398=CARTESIAN_POINT('',(37.9275,36.5505,0.)); #530399=CARTESIAN_POINT('',(37.9275,36.5505,0.)); #530400=CARTESIAN_POINT('',(37.9275,36.5505,0.035)); #530401=CARTESIAN_POINT('',(37.9275,36.5505,0.035)); #530402=CARTESIAN_POINT('',(37.9275,36.5505,0.)); #530403=CARTESIAN_POINT('Origin',(37.8004996912748,36.5504992502996,0.)); #530404=CARTESIAN_POINT('',(37.890303,36.460697,0.)); #530405=CARTESIAN_POINT('Origin',(37.8004996912748,36.5504992502996,0.)); #530406=CARTESIAN_POINT('',(37.890303,36.460697,0.035)); #530407=CARTESIAN_POINT('Origin',(37.8004996912748,36.5504992502996,0.035)); #530408=CARTESIAN_POINT('',(37.890303,36.460697,0.)); #530409=CARTESIAN_POINT('Origin',(35.5645,34.134894,0.)); #530410=CARTESIAN_POINT('',(35.5645,34.134894,0.)); #530411=CARTESIAN_POINT('',(35.5645,34.134894,0.)); #530412=CARTESIAN_POINT('',(35.5645,34.134894,0.035)); #530413=CARTESIAN_POINT('',(35.5645,34.134894,0.035)); #530414=CARTESIAN_POINT('',(35.5645,34.134894,0.)); #530415=CARTESIAN_POINT('Origin',(35.5645,23.157163,0.)); #530416=CARTESIAN_POINT('',(35.5645,23.157163,0.)); #530417=CARTESIAN_POINT('',(35.5645,23.157163,0.)); #530418=CARTESIAN_POINT('',(35.5645,23.157163,0.035)); #530419=CARTESIAN_POINT('',(35.5645,23.157163,0.035)); #530420=CARTESIAN_POINT('',(35.5645,23.157163,0.)); #530421=CARTESIAN_POINT('Origin',(35.437498484168,23.1571617502996,0.)); #530422=CARTESIAN_POINT('',(35.527303,23.067359,0.)); #530423=CARTESIAN_POINT('Origin',(35.437498484168,23.1571617502996,0.)); #530424=CARTESIAN_POINT('',(35.527303,23.067359,0.035)); #530425=CARTESIAN_POINT('Origin',(35.437498484168,23.1571617502996,0.035)); #530426=CARTESIAN_POINT('',(35.527303,23.067359,0.)); #530427=CARTESIAN_POINT('Origin',(34.377,21.917056,0.)); #530428=CARTESIAN_POINT('',(34.377,21.917056,0.)); #530429=CARTESIAN_POINT('',(34.377,21.917056,0.)); #530430=CARTESIAN_POINT('',(34.377,21.917056,0.035)); #530431=CARTESIAN_POINT('',(34.377,21.917056,0.035)); #530432=CARTESIAN_POINT('',(34.377,21.917056,0.)); #530433=CARTESIAN_POINT('Origin',(34.377,20.7125,0.)); #530434=CARTESIAN_POINT('',(34.377,20.7125,0.)); #530435=CARTESIAN_POINT('',(34.377,20.7125,0.)); #530436=CARTESIAN_POINT('',(34.377,20.7125,0.035)); #530437=CARTESIAN_POINT('',(34.377,20.7125,0.035)); #530438=CARTESIAN_POINT('',(34.377,20.7125,0.)); #530439=CARTESIAN_POINT('Origin',(34.3875,20.7125,0.)); #530440=CARTESIAN_POINT('',(34.3875,20.7125,0.)); #530441=CARTESIAN_POINT('',(34.3875,20.7125,0.035)); #530442=CARTESIAN_POINT('Origin',(38.3338383077531,38.1668940391581,0.035)); #530443=CARTESIAN_POINT('Origin',(38.3338383077531,38.1668940391581,0.)); #530444=CARTESIAN_POINT('Origin',(23.75,56.,-200.)); #530445=CARTESIAN_POINT('',(23.61,56.,0.)); #530446=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #530447=CARTESIAN_POINT('',(23.61,56.,0.0349999999999895)); #530448=CARTESIAN_POINT('',(23.61,56.,-200.)); #530449=CARTESIAN_POINT('Origin',(23.75,56.,0.0349999999999895)); #530450=CARTESIAN_POINT('Origin',(23.75,55.25,-200.)); #530451=CARTESIAN_POINT('',(23.61,55.25,0.)); #530452=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #530453=CARTESIAN_POINT('',(23.61,55.25,0.0349999999999895)); #530454=CARTESIAN_POINT('',(23.61,55.25,-200.)); #530455=CARTESIAN_POINT('Origin',(23.75,55.25,0.0349999999999895)); #530456=CARTESIAN_POINT('Origin',(22.,56.75,-200.)); #530457=CARTESIAN_POINT('',(21.86,56.75,0.)); #530458=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #530459=CARTESIAN_POINT('',(21.86,56.75,0.0349999999999895)); #530460=CARTESIAN_POINT('',(21.86,56.75,-200.)); #530461=CARTESIAN_POINT('Origin',(22.,56.75,0.0349999999999895)); #530462=CARTESIAN_POINT('Origin',(22.,55.25,-200.)); #530463=CARTESIAN_POINT('',(21.86,55.25,0.)); #530464=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #530465=CARTESIAN_POINT('',(21.86,55.25,0.0349999999999895)); #530466=CARTESIAN_POINT('',(21.86,55.25,-200.)); #530467=CARTESIAN_POINT('Origin',(22.,55.25,0.0349999999999895)); #530468=CARTESIAN_POINT('Origin',(20.25,55.25,-200.)); #530469=CARTESIAN_POINT('',(20.11,55.25,0.)); #530470=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #530471=CARTESIAN_POINT('',(20.11,55.25,0.0349999999999895)); #530472=CARTESIAN_POINT('',(20.11,55.25,-200.)); #530473=CARTESIAN_POINT('Origin',(20.25,55.25,0.0349999999999895)); #530474=CARTESIAN_POINT('Origin',(20.25,56.75,-200.)); #530475=CARTESIAN_POINT('',(20.11,56.75,0.)); #530476=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #530477=CARTESIAN_POINT('',(20.11,56.75,0.0349999999999895)); #530478=CARTESIAN_POINT('',(20.11,56.75,-200.)); #530479=CARTESIAN_POINT('Origin',(20.25,56.75,0.0349999999999895)); #530480=CARTESIAN_POINT('Origin',(20.25,56.,-200.)); #530481=CARTESIAN_POINT('',(20.11,56.,0.)); #530482=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #530483=CARTESIAN_POINT('',(20.11,56.,0.0349999999999895)); #530484=CARTESIAN_POINT('',(20.11,56.,-200.)); #530485=CARTESIAN_POINT('Origin',(20.25,56.,0.0349999999999895)); #530486=CARTESIAN_POINT('Origin',(22.,56.,-200.)); #530487=CARTESIAN_POINT('',(21.86,56.,0.)); #530488=CARTESIAN_POINT('Origin',(22.,56.,0.)); #530489=CARTESIAN_POINT('',(21.86,56.,0.0349999999999895)); #530490=CARTESIAN_POINT('',(21.86,56.,-200.)); #530491=CARTESIAN_POINT('Origin',(22.,56.,0.0349999999999895)); #530492=CARTESIAN_POINT('Origin',(21.125,56.75,-200.)); #530493=CARTESIAN_POINT('',(20.985,56.75,0.)); #530494=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #530495=CARTESIAN_POINT('',(20.985,56.75,0.0349999999999895)); #530496=CARTESIAN_POINT('',(20.985,56.75,-200.)); #530497=CARTESIAN_POINT('Origin',(21.125,56.75,0.0349999999999895)); #530498=CARTESIAN_POINT('Origin',(21.125,55.25,-200.)); #530499=CARTESIAN_POINT('',(20.985,55.25,0.)); #530500=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #530501=CARTESIAN_POINT('',(20.985,55.25,0.0349999999999895)); #530502=CARTESIAN_POINT('',(20.985,55.25,-200.)); #530503=CARTESIAN_POINT('Origin',(21.125,55.25,0.0349999999999895)); #530504=CARTESIAN_POINT('Origin',(21.125,56.,-200.)); #530505=CARTESIAN_POINT('',(20.985,56.,0.)); #530506=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #530507=CARTESIAN_POINT('',(20.985,56.,0.0349999999999895)); #530508=CARTESIAN_POINT('',(20.985,56.,-200.)); #530509=CARTESIAN_POINT('Origin',(21.125,56.,0.0349999999999895)); #530510=CARTESIAN_POINT('Origin',(22.875,56.75,-200.)); #530511=CARTESIAN_POINT('',(22.735,56.75,0.)); #530512=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #530513=CARTESIAN_POINT('',(22.735,56.75,0.0349999999999895)); #530514=CARTESIAN_POINT('',(22.735,56.75,-200.)); #530515=CARTESIAN_POINT('Origin',(22.875,56.75,0.0349999999999895)); #530516=CARTESIAN_POINT('Origin',(23.75,56.75,-200.)); #530517=CARTESIAN_POINT('',(23.61,56.75,0.)); #530518=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #530519=CARTESIAN_POINT('',(23.61,56.75,0.0349999999999895)); #530520=CARTESIAN_POINT('',(23.61,56.75,-200.)); #530521=CARTESIAN_POINT('Origin',(23.75,56.75,0.0349999999999895)); #530522=CARTESIAN_POINT('Origin',(22.875,56.,-200.)); #530523=CARTESIAN_POINT('',(22.735,56.,0.)); #530524=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #530525=CARTESIAN_POINT('',(22.735,56.,0.0349999999999895)); #530526=CARTESIAN_POINT('',(22.735,56.,-200.)); #530527=CARTESIAN_POINT('Origin',(22.875,56.,0.0349999999999895)); #530528=CARTESIAN_POINT('Origin',(22.875,55.25,-200.)); #530529=CARTESIAN_POINT('',(22.735,55.25,0.)); #530530=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #530531=CARTESIAN_POINT('',(22.735,55.25,0.0349999999999895)); #530532=CARTESIAN_POINT('',(22.735,55.25,-200.)); #530533=CARTESIAN_POINT('Origin',(22.875,55.25,0.0349999999999895)); #530534=CARTESIAN_POINT('Origin',(25.021425,49.606509,0.)); #530535=CARTESIAN_POINT('',(25.021425,49.606509,0.)); #530536=CARTESIAN_POINT('',(25.070794,49.617356,0.)); #530537=CARTESIAN_POINT('',(25.021425,49.606509,0.)); #530538=CARTESIAN_POINT('',(25.070794,49.617356,0.035)); #530539=CARTESIAN_POINT('',(25.070794,49.617356,0.)); #530540=CARTESIAN_POINT('',(25.021425,49.606509,0.035)); #530541=CARTESIAN_POINT('',(25.021425,49.606509,0.035)); #530542=CARTESIAN_POINT('',(25.021425,49.606509,0.)); #530543=CARTESIAN_POINT('Origin',(24.971737,49.615778,0.)); #530544=CARTESIAN_POINT('',(24.971737,49.615778,0.)); #530545=CARTESIAN_POINT('',(24.971737,49.615778,0.)); #530546=CARTESIAN_POINT('',(24.971737,49.615778,0.035)); #530547=CARTESIAN_POINT('',(24.971737,49.615778,0.035)); #530548=CARTESIAN_POINT('',(24.971737,49.615778,0.)); #530549=CARTESIAN_POINT('Origin',(24.929597,49.643691,0.)); #530550=CARTESIAN_POINT('',(24.929597,49.643691,0.)); #530551=CARTESIAN_POINT('',(24.929597,49.643691,0.)); #530552=CARTESIAN_POINT('',(24.929597,49.643691,0.035)); #530553=CARTESIAN_POINT('',(24.929597,49.643691,0.035)); #530554=CARTESIAN_POINT('',(24.929597,49.643691,0.)); #530555=CARTESIAN_POINT('Origin',(24.594688,49.9786,0.)); #530556=CARTESIAN_POINT('',(24.594688,49.9786,0.)); #530557=CARTESIAN_POINT('',(24.594688,49.9786,0.)); #530558=CARTESIAN_POINT('',(24.594688,49.9786,0.035)); #530559=CARTESIAN_POINT('',(24.594688,49.9786,0.035)); #530560=CARTESIAN_POINT('',(24.594688,49.9786,0.)); #530561=CARTESIAN_POINT('Origin',(24.333441,49.9786,0.)); #530562=CARTESIAN_POINT('',(24.333441,49.9786,0.)); #530563=CARTESIAN_POINT('',(24.333441,49.9786,0.)); #530564=CARTESIAN_POINT('',(24.333441,49.9786,0.035)); #530565=CARTESIAN_POINT('',(24.333441,49.9786,0.035)); #530566=CARTESIAN_POINT('',(24.333441,49.9786,0.)); #530567=CARTESIAN_POINT('Origin',(24.285778,49.987884,0.)); #530568=CARTESIAN_POINT('',(24.285778,49.987884,0.)); #530569=CARTESIAN_POINT('',(24.285778,49.987884,0.)); #530570=CARTESIAN_POINT('',(24.285778,49.987884,0.035)); #530571=CARTESIAN_POINT('',(24.285778,49.987884,0.035)); #530572=CARTESIAN_POINT('',(24.285778,49.987884,0.)); #530573=CARTESIAN_POINT('Origin',(24.243638,50.015797,0.)); #530574=CARTESIAN_POINT('',(24.243638,50.015797,0.)); #530575=CARTESIAN_POINT('',(24.243638,50.015797,0.)); #530576=CARTESIAN_POINT('',(24.243638,50.015797,0.035)); #530577=CARTESIAN_POINT('',(24.243638,50.015797,0.035)); #530578=CARTESIAN_POINT('',(24.243638,50.015797,0.)); #530579=CARTESIAN_POINT('Origin',(24.082034,50.1774,0.)); #530580=CARTESIAN_POINT('',(24.082034,50.1774,0.)); #530581=CARTESIAN_POINT('',(24.082034,50.1774,0.)); #530582=CARTESIAN_POINT('',(24.082034,50.1774,0.035)); #530583=CARTESIAN_POINT('',(24.082034,50.1774,0.035)); #530584=CARTESIAN_POINT('',(24.082034,50.1774,0.)); #530585=CARTESIAN_POINT('Origin',(23.727966,50.1774,0.)); #530586=CARTESIAN_POINT('',(23.727966,50.1774,0.)); #530587=CARTESIAN_POINT('',(23.727966,50.1774,0.)); #530588=CARTESIAN_POINT('',(23.727966,50.1774,0.035)); #530589=CARTESIAN_POINT('',(23.727966,50.1774,0.035)); #530590=CARTESIAN_POINT('',(23.727966,50.1774,0.)); #530591=CARTESIAN_POINT('Origin',(23.443625,49.893059,0.)); #530592=CARTESIAN_POINT('',(23.443625,49.893059,0.)); #530593=CARTESIAN_POINT('',(23.443625,49.893059,0.)); #530594=CARTESIAN_POINT('',(23.443625,49.893059,0.035)); #530595=CARTESIAN_POINT('',(23.443625,49.893059,0.035)); #530596=CARTESIAN_POINT('',(23.443625,49.893059,0.)); #530597=CARTESIAN_POINT('Origin',(23.441822,49.890241,0.)); #530598=CARTESIAN_POINT('',(23.441822,49.890241,0.)); #530599=CARTESIAN_POINT('',(23.441822,49.890241,0.)); #530600=CARTESIAN_POINT('',(23.441822,49.890241,0.035)); #530601=CARTESIAN_POINT('',(23.441822,49.890241,0.035)); #530602=CARTESIAN_POINT('',(23.441822,49.890241,0.)); #530603=CARTESIAN_POINT('Origin',(23.400134,49.861659,0.)); #530604=CARTESIAN_POINT('',(23.400134,49.861659,0.)); #530605=CARTESIAN_POINT('',(23.400134,49.861659,0.)); #530606=CARTESIAN_POINT('',(23.400134,49.861659,0.035)); #530607=CARTESIAN_POINT('',(23.400134,49.861659,0.035)); #530608=CARTESIAN_POINT('',(23.400134,49.861659,0.)); #530609=CARTESIAN_POINT('Origin',(23.3506,49.8516,0.)); #530610=CARTESIAN_POINT('',(23.3506,49.8516,0.)); #530611=CARTESIAN_POINT('',(23.3506,49.8516,0.)); #530612=CARTESIAN_POINT('',(23.3506,49.8516,0.035)); #530613=CARTESIAN_POINT('',(23.3506,49.8516,0.035)); #530614=CARTESIAN_POINT('',(23.3506,49.8516,0.)); #530615=CARTESIAN_POINT('Origin',(19.719688,49.8516,0.)); #530616=CARTESIAN_POINT('',(19.719688,49.8516,0.)); #530617=CARTESIAN_POINT('',(19.719688,49.8516,0.)); #530618=CARTESIAN_POINT('',(19.719688,49.8516,0.035)); #530619=CARTESIAN_POINT('',(19.719688,49.8516,0.035)); #530620=CARTESIAN_POINT('',(19.719688,49.8516,0.)); #530621=CARTESIAN_POINT('Origin',(19.672025,49.860884,0.)); #530622=CARTESIAN_POINT('',(19.672025,49.860884,0.)); #530623=CARTESIAN_POINT('',(19.672025,49.860884,0.)); #530624=CARTESIAN_POINT('',(19.672025,49.860884,0.035)); #530625=CARTESIAN_POINT('',(19.672025,49.860884,0.035)); #530626=CARTESIAN_POINT('',(19.672025,49.860884,0.)); #530627=CARTESIAN_POINT('Origin',(19.629884,49.888797,0.)); #530628=CARTESIAN_POINT('',(19.629884,49.888797,0.)); #530629=CARTESIAN_POINT('',(19.629884,49.888797,0.)); #530630=CARTESIAN_POINT('',(19.629884,49.888797,0.035)); #530631=CARTESIAN_POINT('',(19.629884,49.888797,0.035)); #530632=CARTESIAN_POINT('',(19.629884,49.888797,0.)); #530633=CARTESIAN_POINT('Origin',(18.451025,51.067656,0.)); #530634=CARTESIAN_POINT('',(18.451025,51.067656,0.)); #530635=CARTESIAN_POINT('',(18.451025,51.067656,0.)); #530636=CARTESIAN_POINT('',(18.451025,51.067656,0.035)); #530637=CARTESIAN_POINT('',(18.451025,51.067656,0.035)); #530638=CARTESIAN_POINT('',(18.451025,51.067656,0.)); #530639=CARTESIAN_POINT('Origin',(18.422725,51.091103,0.)); #530640=CARTESIAN_POINT('',(18.422725,51.091103,0.)); #530641=CARTESIAN_POINT('',(18.422725,51.091103,0.)); #530642=CARTESIAN_POINT('',(18.422725,51.091103,0.035)); #530643=CARTESIAN_POINT('',(18.422725,51.091103,0.035)); #530644=CARTESIAN_POINT('',(18.422725,51.091103,0.)); #530645=CARTESIAN_POINT('Origin',(18.423144,51.091609,0.)); #530646=CARTESIAN_POINT('',(18.423144,51.091609,0.)); #530647=CARTESIAN_POINT('',(18.423144,51.091609,0.)); #530648=CARTESIAN_POINT('',(18.423144,51.091609,0.035)); #530649=CARTESIAN_POINT('',(18.423144,51.091609,0.035)); #530650=CARTESIAN_POINT('',(18.423144,51.091609,0.)); #530651=CARTESIAN_POINT('Origin',(18.413641,51.098641,0.)); #530652=CARTESIAN_POINT('',(18.413641,51.098641,0.)); #530653=CARTESIAN_POINT('',(18.413641,51.098641,0.)); #530654=CARTESIAN_POINT('',(18.413641,51.098641,0.035)); #530655=CARTESIAN_POINT('',(18.413641,51.098641,0.035)); #530656=CARTESIAN_POINT('',(18.413641,51.098641,0.)); #530657=CARTESIAN_POINT('Origin',(18.385059,51.140328,0.)); #530658=CARTESIAN_POINT('',(18.385059,51.140328,0.)); #530659=CARTESIAN_POINT('',(18.385059,51.140328,0.)); #530660=CARTESIAN_POINT('',(18.385059,51.140328,0.035)); #530661=CARTESIAN_POINT('',(18.385059,51.140328,0.035)); #530662=CARTESIAN_POINT('',(18.385059,51.140328,0.)); #530663=CARTESIAN_POINT('Origin',(18.375,51.189863,0.)); #530664=CARTESIAN_POINT('',(18.375,51.189863,0.)); #530665=CARTESIAN_POINT('',(18.375,51.189863,0.)); #530666=CARTESIAN_POINT('',(18.375,51.189863,0.035)); #530667=CARTESIAN_POINT('',(18.375,51.189863,0.035)); #530668=CARTESIAN_POINT('',(18.375,51.189863,0.)); #530669=CARTESIAN_POINT('Origin',(18.375,56.947394,0.)); #530670=CARTESIAN_POINT('',(18.375,56.947394,0.)); #530671=CARTESIAN_POINT('',(18.375,56.947394,0.)); #530672=CARTESIAN_POINT('',(18.375,56.947394,0.035)); #530673=CARTESIAN_POINT('',(18.375,56.947394,0.035)); #530674=CARTESIAN_POINT('',(18.375,56.947394,0.)); #530675=CARTESIAN_POINT('Origin',(18.384284,56.995056,0.)); #530676=CARTESIAN_POINT('',(18.384284,56.995056,0.)); #530677=CARTESIAN_POINT('',(18.384284,56.995056,0.)); #530678=CARTESIAN_POINT('',(18.384284,56.995056,0.035)); #530679=CARTESIAN_POINT('',(18.384284,56.995056,0.035)); #530680=CARTESIAN_POINT('',(18.384284,56.995056,0.)); #530681=CARTESIAN_POINT('Origin',(18.412197,57.037197,0.)); #530682=CARTESIAN_POINT('',(18.412197,57.037197,0.)); #530683=CARTESIAN_POINT('',(18.412197,57.037197,0.)); #530684=CARTESIAN_POINT('',(18.412197,57.037197,0.035)); #530685=CARTESIAN_POINT('',(18.412197,57.037197,0.035)); #530686=CARTESIAN_POINT('',(18.412197,57.037197,0.)); #530687=CARTESIAN_POINT('Origin',(20.087803,58.712803,0.)); #530688=CARTESIAN_POINT('',(20.087803,58.712803,0.)); #530689=CARTESIAN_POINT('',(20.087803,58.712803,0.)); #530690=CARTESIAN_POINT('',(20.087803,58.712803,0.035)); #530691=CARTESIAN_POINT('',(20.087803,58.712803,0.035)); #530692=CARTESIAN_POINT('',(20.087803,58.712803,0.)); #530693=CARTESIAN_POINT('Origin',(20.128072,58.739941,0.)); #530694=CARTESIAN_POINT('',(20.128072,58.739941,0.)); #530695=CARTESIAN_POINT('',(20.128072,58.739941,0.)); #530696=CARTESIAN_POINT('',(20.128072,58.739941,0.035)); #530697=CARTESIAN_POINT('',(20.128072,58.739941,0.035)); #530698=CARTESIAN_POINT('',(20.128072,58.739941,0.)); #530699=CARTESIAN_POINT('Origin',(20.177606,58.75,0.)); #530700=CARTESIAN_POINT('',(20.177606,58.75,0.)); #530701=CARTESIAN_POINT('',(20.177606,58.75,0.)); #530702=CARTESIAN_POINT('',(20.177606,58.75,0.035)); #530703=CARTESIAN_POINT('',(20.177606,58.75,0.035)); #530704=CARTESIAN_POINT('',(20.177606,58.75,0.)); #530705=CARTESIAN_POINT('Origin',(23.3506,58.75,0.)); #530706=CARTESIAN_POINT('',(23.3506,58.75,0.)); #530707=CARTESIAN_POINT('',(23.3506,58.75,0.)); #530708=CARTESIAN_POINT('',(23.3506,58.75,0.035)); #530709=CARTESIAN_POINT('',(23.3506,58.75,0.035)); #530710=CARTESIAN_POINT('',(23.3506,58.75,0.)); #530711=CARTESIAN_POINT('Origin',(23.396381,58.741463,0.)); #530712=CARTESIAN_POINT('',(23.396381,58.741463,0.)); #530713=CARTESIAN_POINT('',(23.396381,58.741463,0.)); #530714=CARTESIAN_POINT('',(23.396381,58.741463,0.035)); #530715=CARTESIAN_POINT('',(23.396381,58.741463,0.035)); #530716=CARTESIAN_POINT('',(23.396381,58.741463,0.)); #530717=CARTESIAN_POINT('Origin',(23.438959,58.714222,0.)); #530718=CARTESIAN_POINT('',(23.438959,58.714222,0.)); #530719=CARTESIAN_POINT('',(23.438959,58.714222,0.)); #530720=CARTESIAN_POINT('',(23.438959,58.714222,0.035)); #530721=CARTESIAN_POINT('',(23.438959,58.714222,0.035)); #530722=CARTESIAN_POINT('',(23.438959,58.714222,0.)); #530723=CARTESIAN_POINT('Origin',(23.467541,58.672534,0.)); #530724=CARTESIAN_POINT('',(23.467541,58.672534,0.)); #530725=CARTESIAN_POINT('',(23.467541,58.672534,0.)); #530726=CARTESIAN_POINT('',(23.467541,58.672534,0.035)); #530727=CARTESIAN_POINT('',(23.467541,58.672534,0.035)); #530728=CARTESIAN_POINT('',(23.467541,58.672534,0.)); #530729=CARTESIAN_POINT('Origin',(23.4776,58.623,0.)); #530730=CARTESIAN_POINT('',(23.4776,58.623,0.)); #530731=CARTESIAN_POINT('',(23.4776,58.623,0.)); #530732=CARTESIAN_POINT('',(23.4776,58.623,0.035)); #530733=CARTESIAN_POINT('',(23.4776,58.623,0.035)); #530734=CARTESIAN_POINT('',(23.4776,58.623,0.)); #530735=CARTESIAN_POINT('Origin',(23.4776,58.572966,0.)); #530736=CARTESIAN_POINT('',(23.4776,58.572966,0.)); #530737=CARTESIAN_POINT('',(23.4776,58.572966,0.)); #530738=CARTESIAN_POINT('',(23.4776,58.572966,0.035)); #530739=CARTESIAN_POINT('',(23.4776,58.572966,0.035)); #530740=CARTESIAN_POINT('',(23.4776,58.572966,0.)); #530741=CARTESIAN_POINT('Origin',(23.727966,58.3226,0.)); #530742=CARTESIAN_POINT('',(23.727966,58.3226,0.)); #530743=CARTESIAN_POINT('',(23.727966,58.3226,0.)); #530744=CARTESIAN_POINT('',(23.727966,58.3226,0.035)); #530745=CARTESIAN_POINT('',(23.727966,58.3226,0.035)); #530746=CARTESIAN_POINT('',(23.727966,58.3226,0.)); #530747=CARTESIAN_POINT('Origin',(24.082034,58.3226,0.)); #530748=CARTESIAN_POINT('',(24.082034,58.3226,0.)); #530749=CARTESIAN_POINT('',(24.082034,58.3226,0.)); #530750=CARTESIAN_POINT('',(24.082034,58.3226,0.035)); #530751=CARTESIAN_POINT('',(24.082034,58.3226,0.035)); #530752=CARTESIAN_POINT('',(24.082034,58.3226,0.)); #530753=CARTESIAN_POINT('Origin',(24.243638,58.484203,0.)); #530754=CARTESIAN_POINT('',(24.243638,58.484203,0.)); #530755=CARTESIAN_POINT('',(24.243638,58.484203,0.)); #530756=CARTESIAN_POINT('',(24.243638,58.484203,0.035)); #530757=CARTESIAN_POINT('',(24.243638,58.484203,0.035)); #530758=CARTESIAN_POINT('',(24.243638,58.484203,0.)); #530759=CARTESIAN_POINT('Origin',(24.283906,58.511341,0.)); #530760=CARTESIAN_POINT('',(24.283906,58.511341,0.)); #530761=CARTESIAN_POINT('',(24.283906,58.511341,0.)); #530762=CARTESIAN_POINT('',(24.283906,58.511341,0.035)); #530763=CARTESIAN_POINT('',(24.283906,58.511341,0.035)); #530764=CARTESIAN_POINT('',(24.283906,58.511341,0.)); #530765=CARTESIAN_POINT('Origin',(24.333441,58.5214,0.)); #530766=CARTESIAN_POINT('',(24.333441,58.5214,0.)); #530767=CARTESIAN_POINT('',(24.333441,58.5214,0.)); #530768=CARTESIAN_POINT('',(24.333441,58.5214,0.035)); #530769=CARTESIAN_POINT('',(24.333441,58.5214,0.035)); #530770=CARTESIAN_POINT('',(24.333441,58.5214,0.)); #530771=CARTESIAN_POINT('Origin',(24.352706,58.5214,0.)); #530772=CARTESIAN_POINT('',(24.352706,58.5214,0.)); #530773=CARTESIAN_POINT('',(24.352706,58.5214,0.)); #530774=CARTESIAN_POINT('',(24.352706,58.5214,0.035)); #530775=CARTESIAN_POINT('',(24.352706,58.5214,0.035)); #530776=CARTESIAN_POINT('',(24.352706,58.5214,0.)); #530777=CARTESIAN_POINT('Origin',(24.400369,58.512116,0.)); #530778=CARTESIAN_POINT('',(24.400369,58.512116,0.)); #530779=CARTESIAN_POINT('',(24.400369,58.512116,0.)); #530780=CARTESIAN_POINT('',(24.400369,58.512116,0.035)); #530781=CARTESIAN_POINT('',(24.400369,58.512116,0.035)); #530782=CARTESIAN_POINT('',(24.400369,58.512116,0.)); #530783=CARTESIAN_POINT('Origin',(24.442509,58.484203,0.)); #530784=CARTESIAN_POINT('',(24.442509,58.484203,0.)); #530785=CARTESIAN_POINT('',(24.442509,58.484203,0.)); #530786=CARTESIAN_POINT('',(24.442509,58.484203,0.035)); #530787=CARTESIAN_POINT('',(24.442509,58.484203,0.035)); #530788=CARTESIAN_POINT('',(24.442509,58.484203,0.)); #530789=CARTESIAN_POINT('Origin',(25.109203,57.817509,0.)); #530790=CARTESIAN_POINT('',(25.109203,57.817509,0.)); #530791=CARTESIAN_POINT('',(25.109203,57.817509,0.)); #530792=CARTESIAN_POINT('',(25.109203,57.817509,0.035)); #530793=CARTESIAN_POINT('',(25.109203,57.817509,0.035)); #530794=CARTESIAN_POINT('',(25.109203,57.817509,0.)); #530795=CARTESIAN_POINT('Origin',(25.136341,57.777241,0.)); #530796=CARTESIAN_POINT('',(25.136341,57.777241,0.)); #530797=CARTESIAN_POINT('',(25.136341,57.777241,0.)); #530798=CARTESIAN_POINT('',(25.136341,57.777241,0.035)); #530799=CARTESIAN_POINT('',(25.136341,57.777241,0.035)); #530800=CARTESIAN_POINT('',(25.136341,57.777241,0.)); #530801=CARTESIAN_POINT('Origin',(25.1464,57.727706,0.)); #530802=CARTESIAN_POINT('',(25.1464,57.727706,0.)); #530803=CARTESIAN_POINT('',(25.1464,57.727706,0.)); #530804=CARTESIAN_POINT('',(25.1464,57.727706,0.035)); #530805=CARTESIAN_POINT('',(25.1464,57.727706,0.035)); #530806=CARTESIAN_POINT('',(25.1464,57.727706,0.)); #530807=CARTESIAN_POINT('Origin',(25.1464,49.733494,0.)); #530808=CARTESIAN_POINT('',(25.1464,49.733494,0.)); #530809=CARTESIAN_POINT('',(25.1464,49.733494,0.)); #530810=CARTESIAN_POINT('',(25.1464,49.733494,0.035)); #530811=CARTESIAN_POINT('',(25.1464,49.733494,0.035)); #530812=CARTESIAN_POINT('',(25.1464,49.733494,0.)); #530813=CARTESIAN_POINT('Origin',(25.138575,49.689606,0.)); #530814=CARTESIAN_POINT('',(25.138575,49.689606,0.)); #530815=CARTESIAN_POINT('',(25.138575,49.689606,0.)); #530816=CARTESIAN_POINT('',(25.138575,49.689606,0.035)); #530817=CARTESIAN_POINT('',(25.138575,49.689606,0.035)); #530818=CARTESIAN_POINT('',(25.138575,49.689606,0.)); #530819=CARTESIAN_POINT('Origin',(25.112019,49.6466,0.)); #530820=CARTESIAN_POINT('',(25.112019,49.6466,0.)); #530821=CARTESIAN_POINT('',(25.112019,49.6466,0.)); #530822=CARTESIAN_POINT('',(25.112019,49.6466,0.035)); #530823=CARTESIAN_POINT('',(25.112019,49.6466,0.035)); #530824=CARTESIAN_POINT('',(25.112019,49.6466,0.)); #530825=CARTESIAN_POINT('Origin',(25.070794,49.617356,0.)); #530826=CARTESIAN_POINT('',(25.070794,49.617356,0.)); #530827=CARTESIAN_POINT('',(25.070794,49.617356,0.035)); #530828=CARTESIAN_POINT('Origin',(21.988274724459,54.1019743691861,0.035)); #530829=CARTESIAN_POINT('Origin',(21.988274724459,54.1019743691861,0.)); #530830=CARTESIAN_POINT('Origin',(29.488,53.,-200.)); #530831=CARTESIAN_POINT('',(29.348,53.,0.)); #530832=CARTESIAN_POINT('Origin',(29.488,53.,0.)); #530833=CARTESIAN_POINT('',(29.348,53.,0.0349999999999895)); #530834=CARTESIAN_POINT('',(29.348,53.,-200.)); #530835=CARTESIAN_POINT('Origin',(29.488,53.,0.0349999999999895)); #530836=CARTESIAN_POINT('Origin',(32.8875,20.0875,0.)); #530837=CARTESIAN_POINT('',(32.8875,20.0875,0.)); #530838=CARTESIAN_POINT('',(32.8875,20.7125,0.)); #530839=CARTESIAN_POINT('',(32.8875,20.0875,0.)); #530840=CARTESIAN_POINT('',(32.8875,20.7125,0.035)); #530841=CARTESIAN_POINT('',(32.8875,20.7125,0.)); #530842=CARTESIAN_POINT('',(32.8875,20.0875,0.035)); #530843=CARTESIAN_POINT('',(32.8875,20.0875,0.035)); #530844=CARTESIAN_POINT('',(32.8875,20.0875,0.)); #530845=CARTESIAN_POINT('Origin',(32.6125,20.0875,0.)); #530846=CARTESIAN_POINT('',(32.6125,20.0875,0.)); #530847=CARTESIAN_POINT('',(32.6125,20.0875,0.)); #530848=CARTESIAN_POINT('',(32.6125,20.0875,0.035)); #530849=CARTESIAN_POINT('',(32.6125,20.0875,0.035)); #530850=CARTESIAN_POINT('',(32.6125,20.0875,0.)); #530851=CARTESIAN_POINT('Origin',(32.6125,20.7125,0.)); #530852=CARTESIAN_POINT('',(32.6125,20.7125,0.)); #530853=CARTESIAN_POINT('',(32.6125,20.7125,0.)); #530854=CARTESIAN_POINT('',(32.6125,20.7125,0.035)); #530855=CARTESIAN_POINT('',(32.6125,20.7125,0.035)); #530856=CARTESIAN_POINT('',(32.6125,20.7125,0.)); #530857=CARTESIAN_POINT('Origin',(32.623,20.7125,0.)); #530858=CARTESIAN_POINT('',(32.623,20.7125,0.)); #530859=CARTESIAN_POINT('',(32.623,20.7125,0.)); #530860=CARTESIAN_POINT('',(32.623,20.7125,0.035)); #530861=CARTESIAN_POINT('',(32.623,20.7125,0.035)); #530862=CARTESIAN_POINT('',(32.623,20.7125,0.)); #530863=CARTESIAN_POINT('Origin',(32.623,21.917056,0.)); #530864=CARTESIAN_POINT('',(32.623,21.917056,0.)); #530865=CARTESIAN_POINT('',(32.623,21.917056,0.)); #530866=CARTESIAN_POINT('',(32.623,21.917056,0.035)); #530867=CARTESIAN_POINT('',(32.623,21.917056,0.035)); #530868=CARTESIAN_POINT('',(32.623,21.917056,0.)); #530869=CARTESIAN_POINT('Origin',(32.472697,22.067359,0.)); #530870=CARTESIAN_POINT('',(32.472697,22.067359,0.)); #530871=CARTESIAN_POINT('',(32.472697,22.067359,0.)); #530872=CARTESIAN_POINT('',(32.472697,22.067359,0.035)); #530873=CARTESIAN_POINT('',(32.472697,22.067359,0.035)); #530874=CARTESIAN_POINT('',(32.472697,22.067359,0.)); #530875=CARTESIAN_POINT('Origin',(32.562501515832,22.1571617502996,0.)); #530876=CARTESIAN_POINT('',(32.4355,22.157163,0.)); #530877=CARTESIAN_POINT('Origin',(32.562501515832,22.1571617502996,0.)); #530878=CARTESIAN_POINT('',(32.4355,22.157163,0.035)); #530879=CARTESIAN_POINT('Origin',(32.562501515832,22.1571617502996,0.035)); #530880=CARTESIAN_POINT('',(32.4355,22.157163,0.)); #530881=CARTESIAN_POINT('Origin',(32.4355,34.134894,0.)); #530882=CARTESIAN_POINT('',(32.4355,34.134894,0.)); #530883=CARTESIAN_POINT('',(32.4355,34.134894,0.)); #530884=CARTESIAN_POINT('',(32.4355,34.134894,0.035)); #530885=CARTESIAN_POINT('',(32.4355,34.134894,0.035)); #530886=CARTESIAN_POINT('',(32.4355,34.134894,0.)); #530887=CARTESIAN_POINT('Origin',(30.109697,36.460697,0.)); #530888=CARTESIAN_POINT('',(30.109697,36.460697,0.)); #530889=CARTESIAN_POINT('',(30.109697,36.460697,0.)); #530890=CARTESIAN_POINT('',(30.109697,36.460697,0.035)); #530891=CARTESIAN_POINT('',(30.109697,36.460697,0.035)); #530892=CARTESIAN_POINT('',(30.109697,36.460697,0.)); #530893=CARTESIAN_POINT('Origin',(30.1995003087252,36.5504992502996,0.)); #530894=CARTESIAN_POINT('',(30.0725,36.5505,0.)); #530895=CARTESIAN_POINT('Origin',(30.1995003087252,36.5504992502996,0.)); #530896=CARTESIAN_POINT('',(30.0725,36.5505,0.035)); #530897=CARTESIAN_POINT('Origin',(30.1995003087252,36.5504992502996,0.035)); #530898=CARTESIAN_POINT('',(30.0725,36.5505,0.)); #530899=CARTESIAN_POINT('Origin',(30.0725,37.4375,0.)); #530900=CARTESIAN_POINT('',(30.0725,37.4375,0.)); #530901=CARTESIAN_POINT('',(30.0725,37.4375,0.)); #530902=CARTESIAN_POINT('',(30.0725,37.4375,0.035)); #530903=CARTESIAN_POINT('',(30.0725,37.4375,0.035)); #530904=CARTESIAN_POINT('',(30.0725,37.4375,0.)); #530905=CARTESIAN_POINT('Origin',(30.1995003087252,37.4375007497004,0.)); #530906=CARTESIAN_POINT('',(30.109697,37.527303,0.)); #530907=CARTESIAN_POINT('Origin',(30.1995003087252,37.4375007497004,0.)); #530908=CARTESIAN_POINT('',(30.109697,37.527303,0.035)); #530909=CARTESIAN_POINT('Origin',(30.1995003087252,37.4375007497004,0.035)); #530910=CARTESIAN_POINT('',(30.109697,37.527303,0.)); #530911=CARTESIAN_POINT('Origin',(30.3429,37.760506,0.)); #530912=CARTESIAN_POINT('',(30.3429,37.760506,0.)); #530913=CARTESIAN_POINT('',(30.3429,37.760506,0.)); #530914=CARTESIAN_POINT('',(30.3429,37.760506,0.035)); #530915=CARTESIAN_POINT('',(30.3429,37.760506,0.035)); #530916=CARTESIAN_POINT('',(30.3429,37.760506,0.)); #530917=CARTESIAN_POINT('Origin',(30.3429,38.239494,0.)); #530918=CARTESIAN_POINT('',(30.3429,38.239494,0.)); #530919=CARTESIAN_POINT('',(30.3429,38.239494,0.)); #530920=CARTESIAN_POINT('',(30.3429,38.239494,0.035)); #530921=CARTESIAN_POINT('',(30.3429,38.239494,0.035)); #530922=CARTESIAN_POINT('',(30.3429,38.239494,0.)); #530923=CARTESIAN_POINT('Origin',(25.660197,42.922197,0.)); #530924=CARTESIAN_POINT('',(25.660197,42.922197,0.)); #530925=CARTESIAN_POINT('',(25.660197,42.922197,0.)); #530926=CARTESIAN_POINT('',(25.660197,42.922197,0.035)); #530927=CARTESIAN_POINT('',(25.660197,42.922197,0.035)); #530928=CARTESIAN_POINT('',(25.660197,42.922197,0.)); #530929=CARTESIAN_POINT('Origin',(25.7500003087252,43.0119992502996,0.)); #530930=CARTESIAN_POINT('',(25.623,43.012,0.)); #530931=CARTESIAN_POINT('Origin',(25.7500003087252,43.0119992502996,0.)); #530932=CARTESIAN_POINT('',(25.623,43.012,0.035)); #530933=CARTESIAN_POINT('Origin',(25.7500003087252,43.0119992502996,0.035)); #530934=CARTESIAN_POINT('',(25.623,43.012,0.)); #530935=CARTESIAN_POINT('Origin',(25.623,51.75,0.)); #530936=CARTESIAN_POINT('',(25.623,51.75,0.)); #530937=CARTESIAN_POINT('',(25.623,51.75,0.)); #530938=CARTESIAN_POINT('',(25.623,51.75,0.035)); #530939=CARTESIAN_POINT('',(25.623,51.75,0.035)); #530940=CARTESIAN_POINT('',(25.623,51.75,0.)); #530941=CARTESIAN_POINT('Origin',(25.7500003087252,51.7500007497004,0.)); #530942=CARTESIAN_POINT('',(25.660197,51.839803,0.)); #530943=CARTESIAN_POINT('Origin',(25.7500003087252,51.7500007497004,0.)); #530944=CARTESIAN_POINT('',(25.660197,51.839803,0.035)); #530945=CARTESIAN_POINT('Origin',(25.7500003087252,51.7500007497004,0.035)); #530946=CARTESIAN_POINT('',(25.660197,51.839803,0.)); #530947=CARTESIAN_POINT('Origin',(26.910197,53.089803,0.)); #530948=CARTESIAN_POINT('',(26.910197,53.089803,0.)); #530949=CARTESIAN_POINT('',(26.910197,53.089803,0.)); #530950=CARTESIAN_POINT('',(26.910197,53.089803,0.035)); #530951=CARTESIAN_POINT('',(26.910197,53.089803,0.035)); #530952=CARTESIAN_POINT('',(26.910197,53.089803,0.)); #530953=CARTESIAN_POINT('Origin',(26.9999992502996,52.9999996912748,0.)); #530954=CARTESIAN_POINT('',(27.,53.127,0.)); #530955=CARTESIAN_POINT('Origin',(26.9999992502996,52.9999996912748,0.)); #530956=CARTESIAN_POINT('',(27.,53.127,0.035)); #530957=CARTESIAN_POINT('Origin',(26.9999992502996,52.9999996912748,0.035)); #530958=CARTESIAN_POINT('',(27.,53.127,0.)); #530959=CARTESIAN_POINT('Origin',(29.0689,53.127,0.)); #530960=CARTESIAN_POINT('',(29.0689,53.127,0.)); #530961=CARTESIAN_POINT('',(29.0689,53.127,0.)); #530962=CARTESIAN_POINT('',(29.0689,53.127,0.035)); #530963=CARTESIAN_POINT('',(29.0689,53.127,0.035)); #530964=CARTESIAN_POINT('',(29.0689,53.127,0.)); #530965=CARTESIAN_POINT('Origin',(29.0689,53.4445,0.)); #530966=CARTESIAN_POINT('',(29.0689,53.4445,0.)); #530967=CARTESIAN_POINT('',(29.0689,53.4445,0.)); #530968=CARTESIAN_POINT('',(29.0689,53.4445,0.035)); #530969=CARTESIAN_POINT('',(29.0689,53.4445,0.035)); #530970=CARTESIAN_POINT('',(29.0689,53.4445,0.)); #530971=CARTESIAN_POINT('Origin',(29.9071,53.4445,0.)); #530972=CARTESIAN_POINT('',(29.9071,53.4445,0.)); #530973=CARTESIAN_POINT('',(29.9071,53.4445,0.)); #530974=CARTESIAN_POINT('',(29.9071,53.4445,0.035)); #530975=CARTESIAN_POINT('',(29.9071,53.4445,0.035)); #530976=CARTESIAN_POINT('',(29.9071,53.4445,0.)); #530977=CARTESIAN_POINT('Origin',(29.9071,52.5555,0.)); #530978=CARTESIAN_POINT('',(29.9071,52.5555,0.)); #530979=CARTESIAN_POINT('',(29.9071,52.5555,0.)); #530980=CARTESIAN_POINT('',(29.9071,52.5555,0.035)); #530981=CARTESIAN_POINT('',(29.9071,52.5555,0.035)); #530982=CARTESIAN_POINT('',(29.9071,52.5555,0.)); #530983=CARTESIAN_POINT('Origin',(29.0689,52.5555,0.)); #530984=CARTESIAN_POINT('',(29.0689,52.5555,0.)); #530985=CARTESIAN_POINT('',(29.0689,52.5555,0.)); #530986=CARTESIAN_POINT('',(29.0689,52.5555,0.035)); #530987=CARTESIAN_POINT('',(29.0689,52.5555,0.035)); #530988=CARTESIAN_POINT('',(29.0689,52.5555,0.)); #530989=CARTESIAN_POINT('Origin',(29.0689,52.873,0.)); #530990=CARTESIAN_POINT('',(29.0689,52.873,0.)); #530991=CARTESIAN_POINT('',(29.0689,52.873,0.)); #530992=CARTESIAN_POINT('',(29.0689,52.873,0.035)); #530993=CARTESIAN_POINT('',(29.0689,52.873,0.035)); #530994=CARTESIAN_POINT('',(29.0689,52.873,0.)); #530995=CARTESIAN_POINT('Origin',(27.052606,52.873,0.)); #530996=CARTESIAN_POINT('',(27.052606,52.873,0.)); #530997=CARTESIAN_POINT('',(27.052606,52.873,0.)); #530998=CARTESIAN_POINT('',(27.052606,52.873,0.035)); #530999=CARTESIAN_POINT('',(27.052606,52.873,0.035)); #531000=CARTESIAN_POINT('',(27.052606,52.873,0.)); #531001=CARTESIAN_POINT('Origin',(25.877,51.697394,0.)); #531002=CARTESIAN_POINT('',(25.877,51.697394,0.)); #531003=CARTESIAN_POINT('',(25.877,51.697394,0.)); #531004=CARTESIAN_POINT('',(25.877,51.697394,0.035)); #531005=CARTESIAN_POINT('',(25.877,51.697394,0.035)); #531006=CARTESIAN_POINT('',(25.877,51.697394,0.)); #531007=CARTESIAN_POINT('Origin',(25.877,43.064606,0.)); #531008=CARTESIAN_POINT('',(25.877,43.064606,0.)); #531009=CARTESIAN_POINT('',(25.877,43.064606,0.)); #531010=CARTESIAN_POINT('',(25.877,43.064606,0.035)); #531011=CARTESIAN_POINT('',(25.877,43.064606,0.035)); #531012=CARTESIAN_POINT('',(25.877,43.064606,0.)); #531013=CARTESIAN_POINT('Origin',(30.497106,38.4445,0.)); #531014=CARTESIAN_POINT('',(30.497106,38.4445,0.)); #531015=CARTESIAN_POINT('',(30.497106,38.4445,0.)); #531016=CARTESIAN_POINT('',(30.497106,38.4445,0.035)); #531017=CARTESIAN_POINT('',(30.497106,38.4445,0.035)); #531018=CARTESIAN_POINT('',(30.497106,38.4445,0.)); #531019=CARTESIAN_POINT('Origin',(31.1811,38.4445,0.)); #531020=CARTESIAN_POINT('',(31.1811,38.4445,0.)); #531021=CARTESIAN_POINT('',(31.1811,38.4445,0.)); #531022=CARTESIAN_POINT('',(31.1811,38.4445,0.035)); #531023=CARTESIAN_POINT('',(31.1811,38.4445,0.035)); #531024=CARTESIAN_POINT('',(31.1811,38.4445,0.)); #531025=CARTESIAN_POINT('Origin',(31.1811,37.5555,0.)); #531026=CARTESIAN_POINT('',(31.1811,37.5555,0.)); #531027=CARTESIAN_POINT('',(31.1811,37.5555,0.)); #531028=CARTESIAN_POINT('',(31.1811,37.5555,0.035)); #531029=CARTESIAN_POINT('',(31.1811,37.5555,0.035)); #531030=CARTESIAN_POINT('',(31.1811,37.5555,0.)); #531031=CARTESIAN_POINT('Origin',(30.497106,37.5555,0.)); #531032=CARTESIAN_POINT('',(30.497106,37.5555,0.)); #531033=CARTESIAN_POINT('',(30.497106,37.5555,0.)); #531034=CARTESIAN_POINT('',(30.497106,37.5555,0.035)); #531035=CARTESIAN_POINT('',(30.497106,37.5555,0.035)); #531036=CARTESIAN_POINT('',(30.497106,37.5555,0.)); #531037=CARTESIAN_POINT('Origin',(30.3265,37.384894,0.)); #531038=CARTESIAN_POINT('',(30.3265,37.384894,0.)); #531039=CARTESIAN_POINT('',(30.3265,37.384894,0.)); #531040=CARTESIAN_POINT('',(30.3265,37.384894,0.035)); #531041=CARTESIAN_POINT('',(30.3265,37.384894,0.035)); #531042=CARTESIAN_POINT('',(30.3265,37.384894,0.)); #531043=CARTESIAN_POINT('Origin',(30.3265,36.603106,0.)); #531044=CARTESIAN_POINT('',(30.3265,36.603106,0.)); #531045=CARTESIAN_POINT('',(30.3265,36.603106,0.)); #531046=CARTESIAN_POINT('',(30.3265,36.603106,0.035)); #531047=CARTESIAN_POINT('',(30.3265,36.603106,0.035)); #531048=CARTESIAN_POINT('',(30.3265,36.603106,0.)); #531049=CARTESIAN_POINT('Origin',(32.652303,34.277303,0.)); #531050=CARTESIAN_POINT('',(32.652303,34.277303,0.)); #531051=CARTESIAN_POINT('',(32.652303,34.277303,0.)); #531052=CARTESIAN_POINT('',(32.652303,34.277303,0.035)); #531053=CARTESIAN_POINT('',(32.652303,34.277303,0.035)); #531054=CARTESIAN_POINT('',(32.652303,34.277303,0.)); #531055=CARTESIAN_POINT('Origin',(32.5624996912748,34.1875007497004,0.)); #531056=CARTESIAN_POINT('',(32.6895,34.1875,0.)); #531057=CARTESIAN_POINT('Origin',(32.5624996912748,34.1875007497004,0.)); #531058=CARTESIAN_POINT('',(32.6895,34.1875,0.035)); #531059=CARTESIAN_POINT('Origin',(32.5624996912748,34.1875007497004,0.035)); #531060=CARTESIAN_POINT('',(32.6895,34.1875,0.)); #531061=CARTESIAN_POINT('Origin',(32.6895,22.209769,0.)); #531062=CARTESIAN_POINT('',(32.6895,22.209769,0.)); #531063=CARTESIAN_POINT('',(32.6895,22.209769,0.)); #531064=CARTESIAN_POINT('',(32.6895,22.209769,0.035)); #531065=CARTESIAN_POINT('',(32.6895,22.209769,0.035)); #531066=CARTESIAN_POINT('',(32.6895,22.209769,0.)); #531067=CARTESIAN_POINT('Origin',(32.839803,22.059466,0.)); #531068=CARTESIAN_POINT('',(32.839803,22.059466,0.)); #531069=CARTESIAN_POINT('',(32.839803,22.059466,0.)); #531070=CARTESIAN_POINT('',(32.839803,22.059466,0.035)); #531071=CARTESIAN_POINT('',(32.839803,22.059466,0.035)); #531072=CARTESIAN_POINT('',(32.839803,22.059466,0.)); #531073=CARTESIAN_POINT('Origin',(32.7499996912748,21.9696637497004,0.)); #531074=CARTESIAN_POINT('',(32.877,21.969663,0.)); #531075=CARTESIAN_POINT('Origin',(32.7499996912748,21.9696637497004,0.)); #531076=CARTESIAN_POINT('',(32.877,21.969663,0.035)); #531077=CARTESIAN_POINT('Origin',(32.7499996912748,21.9696637497004,0.035)); #531078=CARTESIAN_POINT('',(32.877,21.969663,0.)); #531079=CARTESIAN_POINT('Origin',(32.877,20.7125,0.)); #531080=CARTESIAN_POINT('',(32.877,20.7125,0.)); #531081=CARTESIAN_POINT('',(32.877,20.7125,0.)); #531082=CARTESIAN_POINT('',(32.877,20.7125,0.035)); #531083=CARTESIAN_POINT('',(32.877,20.7125,0.035)); #531084=CARTESIAN_POINT('',(32.877,20.7125,0.)); #531085=CARTESIAN_POINT('Origin',(32.8875,20.7125,0.)); #531086=CARTESIAN_POINT('',(32.8875,20.7125,0.)); #531087=CARTESIAN_POINT('',(32.8875,20.7125,0.035)); #531088=CARTESIAN_POINT('Origin',(29.5639435750555,38.3234251498672,0.035)); #531089=CARTESIAN_POINT('Origin',(29.5639435750555,38.3234251498672,0.)); #531090=CARTESIAN_POINT('Origin',(26.75,36.,-200.)); #531091=CARTESIAN_POINT('',(26.61,36.,0.)); #531092=CARTESIAN_POINT('Origin',(26.75,36.,0.)); #531093=CARTESIAN_POINT('',(26.61,36.,0.0349999999999895)); #531094=CARTESIAN_POINT('',(26.61,36.,-200.)); #531095=CARTESIAN_POINT('Origin',(26.75,36.,0.0349999999999895)); #531096=CARTESIAN_POINT('Origin',(16.625,47.75,-200.)); #531097=CARTESIAN_POINT('',(16.485,47.75,0.)); #531098=CARTESIAN_POINT('Origin',(16.625,47.75,0.)); #531099=CARTESIAN_POINT('',(16.485,47.75,0.0349999999999895)); #531100=CARTESIAN_POINT('',(16.485,47.75,-200.)); #531101=CARTESIAN_POINT('Origin',(16.625,47.75,0.0349999999999895)); #531102=CARTESIAN_POINT('Origin',(15.75,47.75,-200.)); #531103=CARTESIAN_POINT('',(15.61,47.75,0.)); #531104=CARTESIAN_POINT('Origin',(15.75,47.75,0.)); #531105=CARTESIAN_POINT('',(15.61,47.75,0.0349999999999895)); #531106=CARTESIAN_POINT('',(15.61,47.75,-200.)); #531107=CARTESIAN_POINT('Origin',(15.75,47.75,0.0349999999999895)); #531108=CARTESIAN_POINT('Origin',(16.625,47.,-200.)); #531109=CARTESIAN_POINT('',(16.485,47.,0.)); #531110=CARTESIAN_POINT('Origin',(16.625,47.,0.)); #531111=CARTESIAN_POINT('',(16.485,47.,0.0349999999999895)); #531112=CARTESIAN_POINT('',(16.485,47.,-200.)); #531113=CARTESIAN_POINT('Origin',(16.625,47.,0.0349999999999895)); #531114=CARTESIAN_POINT('Origin',(16.625,46.25,-200.)); #531115=CARTESIAN_POINT('',(16.485,46.25,0.)); #531116=CARTESIAN_POINT('Origin',(16.625,46.25,0.)); #531117=CARTESIAN_POINT('',(16.485,46.25,0.0349999999999895)); #531118=CARTESIAN_POINT('',(16.485,46.25,-200.)); #531119=CARTESIAN_POINT('Origin',(16.625,46.25,0.0349999999999895)); #531120=CARTESIAN_POINT('Origin',(17.5,47.75,-200.)); #531121=CARTESIAN_POINT('',(17.36,47.75,0.)); #531122=CARTESIAN_POINT('Origin',(17.5,47.75,0.)); #531123=CARTESIAN_POINT('',(17.36,47.75,0.0349999999999895)); #531124=CARTESIAN_POINT('',(17.36,47.75,-200.)); #531125=CARTESIAN_POINT('Origin',(17.5,47.75,0.0349999999999895)); #531126=CARTESIAN_POINT('Origin',(19.375,46.25,-200.)); #531127=CARTESIAN_POINT('',(19.235,46.25,0.)); #531128=CARTESIAN_POINT('Origin',(19.375,46.25,0.)); #531129=CARTESIAN_POINT('',(19.235,46.25,0.0349999999999895)); #531130=CARTESIAN_POINT('',(19.235,46.25,-200.)); #531131=CARTESIAN_POINT('Origin',(19.375,46.25,0.0349999999999895)); #531132=CARTESIAN_POINT('Origin',(19.375,47.75,-200.)); #531133=CARTESIAN_POINT('',(19.235,47.75,0.)); #531134=CARTESIAN_POINT('Origin',(19.375,47.75,0.)); #531135=CARTESIAN_POINT('',(19.235,47.75,0.0349999999999895)); #531136=CARTESIAN_POINT('',(19.235,47.75,-200.)); #531137=CARTESIAN_POINT('Origin',(19.375,47.75,0.0349999999999895)); #531138=CARTESIAN_POINT('Origin',(19.375,47.,-200.)); #531139=CARTESIAN_POINT('',(19.235,47.,0.)); #531140=CARTESIAN_POINT('Origin',(19.375,47.,0.)); #531141=CARTESIAN_POINT('',(19.235,47.,0.0349999999999895)); #531142=CARTESIAN_POINT('',(19.235,47.,-200.)); #531143=CARTESIAN_POINT('Origin',(19.375,47.,0.0349999999999895)); #531144=CARTESIAN_POINT('Origin',(17.5,46.25,-200.)); #531145=CARTESIAN_POINT('',(17.36,46.25,0.)); #531146=CARTESIAN_POINT('Origin',(17.5,46.25,0.)); #531147=CARTESIAN_POINT('',(17.36,46.25,0.0349999999999895)); #531148=CARTESIAN_POINT('',(17.36,46.25,-200.)); #531149=CARTESIAN_POINT('Origin',(17.5,46.25,0.0349999999999895)); #531150=CARTESIAN_POINT('Origin',(17.5,47.,-200.)); #531151=CARTESIAN_POINT('',(17.36,47.,0.)); #531152=CARTESIAN_POINT('Origin',(17.5,47.,0.)); #531153=CARTESIAN_POINT('',(17.36,47.,0.0349999999999895)); #531154=CARTESIAN_POINT('',(17.36,47.,-200.)); #531155=CARTESIAN_POINT('Origin',(17.5,47.,0.0349999999999895)); #531156=CARTESIAN_POINT('Origin',(15.75,46.25,-200.)); #531157=CARTESIAN_POINT('',(15.61,46.25,0.)); #531158=CARTESIAN_POINT('Origin',(15.75,46.25,0.)); #531159=CARTESIAN_POINT('',(15.61,46.25,0.0349999999999895)); #531160=CARTESIAN_POINT('',(15.61,46.25,-200.)); #531161=CARTESIAN_POINT('Origin',(15.75,46.25,0.0349999999999895)); #531162=CARTESIAN_POINT('Origin',(15.75,47.,-200.)); #531163=CARTESIAN_POINT('',(15.61,47.,0.)); #531164=CARTESIAN_POINT('Origin',(15.75,47.,0.)); #531165=CARTESIAN_POINT('',(15.61,47.,0.0349999999999895)); #531166=CARTESIAN_POINT('',(15.61,47.,-200.)); #531167=CARTESIAN_POINT('Origin',(15.75,47.,0.0349999999999895)); #531168=CARTESIAN_POINT('Origin',(23.75,47.,-200.)); #531169=CARTESIAN_POINT('',(23.61,47.,0.)); #531170=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #531171=CARTESIAN_POINT('',(23.61,47.,0.0349999999999895)); #531172=CARTESIAN_POINT('',(23.61,47.,-200.)); #531173=CARTESIAN_POINT('Origin',(23.75,47.,0.0349999999999895)); #531174=CARTESIAN_POINT('Origin',(20.25,47.,-200.)); #531175=CARTESIAN_POINT('',(20.11,47.,0.)); #531176=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #531177=CARTESIAN_POINT('',(20.11,47.,0.0349999999999895)); #531178=CARTESIAN_POINT('',(20.11,47.,-200.)); #531179=CARTESIAN_POINT('Origin',(20.25,47.,0.0349999999999895)); #531180=CARTESIAN_POINT('Origin',(23.75,46.25,-200.)); #531181=CARTESIAN_POINT('',(23.61,46.25,0.)); #531182=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #531183=CARTESIAN_POINT('',(23.61,46.25,0.0349999999999895)); #531184=CARTESIAN_POINT('',(23.61,46.25,-200.)); #531185=CARTESIAN_POINT('Origin',(23.75,46.25,0.0349999999999895)); #531186=CARTESIAN_POINT('Origin',(22.,46.25,-200.)); #531187=CARTESIAN_POINT('',(21.86,46.25,0.)); #531188=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #531189=CARTESIAN_POINT('',(21.86,46.25,0.0349999999999895)); #531190=CARTESIAN_POINT('',(21.86,46.25,-200.)); #531191=CARTESIAN_POINT('Origin',(22.,46.25,0.0349999999999895)); #531192=CARTESIAN_POINT('Origin',(20.25,46.25,-200.)); #531193=CARTESIAN_POINT('',(20.11,46.25,0.)); #531194=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #531195=CARTESIAN_POINT('',(20.11,46.25,0.0349999999999895)); #531196=CARTESIAN_POINT('',(20.11,46.25,-200.)); #531197=CARTESIAN_POINT('Origin',(20.25,46.25,0.0349999999999895)); #531198=CARTESIAN_POINT('Origin',(18.5,47.75,-200.)); #531199=CARTESIAN_POINT('',(18.36,47.75,0.)); #531200=CARTESIAN_POINT('Origin',(18.5,47.75,0.)); #531201=CARTESIAN_POINT('',(18.36,47.75,0.0349999999999895)); #531202=CARTESIAN_POINT('',(18.36,47.75,-200.)); #531203=CARTESIAN_POINT('Origin',(18.5,47.75,0.0349999999999895)); #531204=CARTESIAN_POINT('Origin',(20.25,47.75,-200.)); #531205=CARTESIAN_POINT('',(20.11,47.75,0.)); #531206=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #531207=CARTESIAN_POINT('',(20.11,47.75,0.0349999999999895)); #531208=CARTESIAN_POINT('',(20.11,47.75,-200.)); #531209=CARTESIAN_POINT('Origin',(20.25,47.75,0.0349999999999895)); #531210=CARTESIAN_POINT('Origin',(23.75,47.75,-200.)); #531211=CARTESIAN_POINT('',(23.61,47.75,0.)); #531212=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #531213=CARTESIAN_POINT('',(23.61,47.75,0.0349999999999895)); #531214=CARTESIAN_POINT('',(23.61,47.75,-200.)); #531215=CARTESIAN_POINT('Origin',(23.75,47.75,0.0349999999999895)); #531216=CARTESIAN_POINT('Origin',(21.125,47.,-200.)); #531217=CARTESIAN_POINT('',(20.985,47.,0.)); #531218=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #531219=CARTESIAN_POINT('',(20.985,47.,0.0349999999999895)); #531220=CARTESIAN_POINT('',(20.985,47.,-200.)); #531221=CARTESIAN_POINT('Origin',(21.125,47.,0.0349999999999895)); #531222=CARTESIAN_POINT('Origin',(21.125,47.75,-200.)); #531223=CARTESIAN_POINT('',(20.985,47.75,0.)); #531224=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #531225=CARTESIAN_POINT('',(20.985,47.75,0.0349999999999895)); #531226=CARTESIAN_POINT('',(20.985,47.75,-200.)); #531227=CARTESIAN_POINT('Origin',(21.125,47.75,0.0349999999999895)); #531228=CARTESIAN_POINT('Origin',(22.,47.,-200.)); #531229=CARTESIAN_POINT('',(21.86,47.,0.)); #531230=CARTESIAN_POINT('Origin',(22.,47.,0.)); #531231=CARTESIAN_POINT('',(21.86,47.,0.0349999999999895)); #531232=CARTESIAN_POINT('',(21.86,47.,-200.)); #531233=CARTESIAN_POINT('Origin',(22.,47.,0.0349999999999895)); #531234=CARTESIAN_POINT('Origin',(22.,47.75,-200.)); #531235=CARTESIAN_POINT('',(21.86,47.75,0.)); #531236=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #531237=CARTESIAN_POINT('',(21.86,47.75,0.0349999999999895)); #531238=CARTESIAN_POINT('',(21.86,47.75,-200.)); #531239=CARTESIAN_POINT('Origin',(22.,47.75,0.0349999999999895)); #531240=CARTESIAN_POINT('Origin',(22.875,46.25,-200.)); #531241=CARTESIAN_POINT('',(22.735,46.25,0.)); #531242=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #531243=CARTESIAN_POINT('',(22.735,46.25,0.0349999999999895)); #531244=CARTESIAN_POINT('',(22.735,46.25,-200.)); #531245=CARTESIAN_POINT('Origin',(22.875,46.25,0.0349999999999895)); #531246=CARTESIAN_POINT('Origin',(21.125,46.25,-200.)); #531247=CARTESIAN_POINT('',(20.985,46.25,0.)); #531248=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #531249=CARTESIAN_POINT('',(20.985,46.25,0.0349999999999895)); #531250=CARTESIAN_POINT('',(20.985,46.25,-200.)); #531251=CARTESIAN_POINT('Origin',(21.125,46.25,0.0349999999999895)); #531252=CARTESIAN_POINT('Origin',(22.875,47.,-200.)); #531253=CARTESIAN_POINT('',(22.735,47.,0.)); #531254=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #531255=CARTESIAN_POINT('',(22.735,47.,0.0349999999999895)); #531256=CARTESIAN_POINT('',(22.735,47.,-200.)); #531257=CARTESIAN_POINT('Origin',(22.875,47.,0.0349999999999895)); #531258=CARTESIAN_POINT('Origin',(22.875,47.75,-200.)); #531259=CARTESIAN_POINT('',(22.735,47.75,0.)); #531260=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #531261=CARTESIAN_POINT('',(22.735,47.75,0.0349999999999895)); #531262=CARTESIAN_POINT('',(22.735,47.75,-200.)); #531263=CARTESIAN_POINT('Origin',(22.875,47.75,0.0349999999999895)); #531264=CARTESIAN_POINT('Origin',(18.5,47.,-200.)); #531265=CARTESIAN_POINT('',(18.36,47.,0.)); #531266=CARTESIAN_POINT('Origin',(18.5,47.,0.)); #531267=CARTESIAN_POINT('',(18.36,47.,0.0349999999999895)); #531268=CARTESIAN_POINT('',(18.36,47.,-200.)); #531269=CARTESIAN_POINT('Origin',(18.5,47.,0.0349999999999895)); #531270=CARTESIAN_POINT('Origin',(18.5,46.25,-200.)); #531271=CARTESIAN_POINT('',(18.36,46.25,0.)); #531272=CARTESIAN_POINT('Origin',(18.5,46.25,0.)); #531273=CARTESIAN_POINT('',(18.36,46.25,0.0349999999999895)); #531274=CARTESIAN_POINT('',(18.36,46.25,-200.)); #531275=CARTESIAN_POINT('Origin',(18.5,46.25,0.0349999999999895)); #531276=CARTESIAN_POINT('Origin',(26.771644,35.725,0.)); #531277=CARTESIAN_POINT('',(26.771644,35.725,0.)); #531278=CARTESIAN_POINT('',(26.814397,35.731772,0.)); #531279=CARTESIAN_POINT('',(26.771644,35.725,0.)); #531280=CARTESIAN_POINT('',(26.814397,35.731772,0.035)); #531281=CARTESIAN_POINT('',(26.814397,35.731772,0.)); #531282=CARTESIAN_POINT('',(26.771644,35.725,0.035)); #531283=CARTESIAN_POINT('',(26.771644,35.725,0.035)); #531284=CARTESIAN_POINT('',(26.771644,35.725,0.)); #531285=CARTESIAN_POINT('Origin',(26.750118471131,35.9995872515008,0.)); #531286=CARTESIAN_POINT('',(26.623,36.243928,0.)); #531287=CARTESIAN_POINT('Origin',(26.750118471131,35.9995872515008,0.)); #531288=CARTESIAN_POINT('',(26.623,36.243928,0.035)); #531289=CARTESIAN_POINT('Origin',(26.750118471131,35.9995872515008,0.035)); #531290=CARTESIAN_POINT('',(26.623,36.243928,0.)); #531291=CARTESIAN_POINT('Origin',(26.623,36.356381,0.)); #531292=CARTESIAN_POINT('',(26.623,36.356381,0.)); #531293=CARTESIAN_POINT('',(26.623,36.356381,0.)); #531294=CARTESIAN_POINT('',(26.623,36.356381,0.035)); #531295=CARTESIAN_POINT('',(26.623,36.356381,0.035)); #531296=CARTESIAN_POINT('',(26.623,36.356381,0.)); #531297=CARTESIAN_POINT('Origin',(23.981381,38.998,0.)); #531298=CARTESIAN_POINT('',(23.981381,38.998,0.)); #531299=CARTESIAN_POINT('',(23.981381,38.998,0.)); #531300=CARTESIAN_POINT('',(23.981381,38.998,0.035)); #531301=CARTESIAN_POINT('',(23.981381,38.998,0.035)); #531302=CARTESIAN_POINT('',(23.981381,38.998,0.)); #531303=CARTESIAN_POINT('Origin',(19.625,38.998,0.)); #531304=CARTESIAN_POINT('',(19.625,38.998,0.)); #531305=CARTESIAN_POINT('',(19.625,38.998,0.)); #531306=CARTESIAN_POINT('',(19.625,38.998,0.035)); #531307=CARTESIAN_POINT('',(19.625,38.998,0.035)); #531308=CARTESIAN_POINT('',(19.625,38.998,0.)); #531309=CARTESIAN_POINT('Origin',(19.6249992502996,39.1250003087252,0.)); #531310=CARTESIAN_POINT('',(19.535197,39.035197,0.)); #531311=CARTESIAN_POINT('Origin',(19.6249992502996,39.1250003087252,0.)); #531312=CARTESIAN_POINT('',(19.535197,39.035197,0.035)); #531313=CARTESIAN_POINT('Origin',(19.6249992502996,39.1250003087252,0.035)); #531314=CARTESIAN_POINT('',(19.535197,39.035197,0.)); #531315=CARTESIAN_POINT('Origin',(19.160197,39.410197,0.)); #531316=CARTESIAN_POINT('',(19.160197,39.410197,0.)); #531317=CARTESIAN_POINT('',(19.160197,39.410197,0.)); #531318=CARTESIAN_POINT('',(19.160197,39.410197,0.035)); #531319=CARTESIAN_POINT('',(19.160197,39.410197,0.035)); #531320=CARTESIAN_POINT('',(19.160197,39.410197,0.)); #531321=CARTESIAN_POINT('Origin',(19.2500003087252,39.4999992502996,0.)); #531322=CARTESIAN_POINT('',(19.123,39.5,0.)); #531323=CARTESIAN_POINT('Origin',(19.2500003087252,39.4999992502996,0.)); #531324=CARTESIAN_POINT('',(19.123,39.5,0.035)); #531325=CARTESIAN_POINT('Origin',(19.2500003087252,39.4999992502996,0.035)); #531326=CARTESIAN_POINT('',(19.123,39.5,0.)); #531327=CARTESIAN_POINT('Origin',(19.123,44.385613,0.)); #531328=CARTESIAN_POINT('',(19.123,44.385613,0.)); #531329=CARTESIAN_POINT('',(19.123,44.385613,0.)); #531330=CARTESIAN_POINT('',(19.123,44.385613,0.035)); #531331=CARTESIAN_POINT('',(19.123,44.385613,0.035)); #531332=CARTESIAN_POINT('',(19.123,44.385613,0.)); #531333=CARTESIAN_POINT('Origin',(19.113659,44.399472,0.)); #531334=CARTESIAN_POINT('',(19.113659,44.399472,0.)); #531335=CARTESIAN_POINT('',(19.113659,44.399472,0.)); #531336=CARTESIAN_POINT('',(19.113659,44.399472,0.035)); #531337=CARTESIAN_POINT('',(19.113659,44.399472,0.035)); #531338=CARTESIAN_POINT('',(19.113659,44.399472,0.)); #531339=CARTESIAN_POINT('Origin',(19.1036,44.449006,0.)); #531340=CARTESIAN_POINT('',(19.1036,44.449006,0.)); #531341=CARTESIAN_POINT('',(19.1036,44.449006,0.)); #531342=CARTESIAN_POINT('',(19.1036,44.449006,0.035)); #531343=CARTESIAN_POINT('',(19.1036,44.449006,0.035)); #531344=CARTESIAN_POINT('',(19.1036,44.449006,0.)); #531345=CARTESIAN_POINT('Origin',(19.1036,44.719687,0.)); #531346=CARTESIAN_POINT('',(19.1036,44.719687,0.)); #531347=CARTESIAN_POINT('',(19.1036,44.719687,0.)); #531348=CARTESIAN_POINT('',(19.1036,44.719687,0.035)); #531349=CARTESIAN_POINT('',(19.1036,44.719687,0.035)); #531350=CARTESIAN_POINT('',(19.1036,44.719687,0.)); #531351=CARTESIAN_POINT('Origin',(18.094687,45.7286,0.)); #531352=CARTESIAN_POINT('',(18.094687,45.7286,0.)); #531353=CARTESIAN_POINT('',(18.094687,45.7286,0.)); #531354=CARTESIAN_POINT('',(18.094687,45.7286,0.035)); #531355=CARTESIAN_POINT('',(18.094687,45.7286,0.035)); #531356=CARTESIAN_POINT('',(18.094687,45.7286,0.)); #531357=CARTESIAN_POINT('Origin',(15.647294,45.7286,0.)); #531358=CARTESIAN_POINT('',(15.647294,45.7286,0.)); #531359=CARTESIAN_POINT('',(15.647294,45.7286,0.)); #531360=CARTESIAN_POINT('',(15.647294,45.7286,0.035)); #531361=CARTESIAN_POINT('',(15.647294,45.7286,0.035)); #531362=CARTESIAN_POINT('',(15.647294,45.7286,0.)); #531363=CARTESIAN_POINT('Origin',(15.599631,45.737884,0.)); #531364=CARTESIAN_POINT('',(15.599631,45.737884,0.)); #531365=CARTESIAN_POINT('',(15.599631,45.737884,0.)); #531366=CARTESIAN_POINT('',(15.599631,45.737884,0.035)); #531367=CARTESIAN_POINT('',(15.599631,45.737884,0.035)); #531368=CARTESIAN_POINT('',(15.599631,45.737884,0.)); #531369=CARTESIAN_POINT('Origin',(15.557491,45.765797,0.)); #531370=CARTESIAN_POINT('',(15.557491,45.765797,0.)); #531371=CARTESIAN_POINT('',(15.557491,45.765797,0.)); #531372=CARTESIAN_POINT('',(15.557491,45.765797,0.035)); #531373=CARTESIAN_POINT('',(15.557491,45.765797,0.035)); #531374=CARTESIAN_POINT('',(15.557491,45.765797,0.)); #531375=CARTESIAN_POINT('Origin',(15.265797,46.057491,0.)); #531376=CARTESIAN_POINT('',(15.265797,46.057491,0.)); #531377=CARTESIAN_POINT('',(15.265797,46.057491,0.)); #531378=CARTESIAN_POINT('',(15.265797,46.057491,0.035)); #531379=CARTESIAN_POINT('',(15.265797,46.057491,0.035)); #531380=CARTESIAN_POINT('',(15.265797,46.057491,0.)); #531381=CARTESIAN_POINT('Origin',(15.238659,46.097759,0.)); #531382=CARTESIAN_POINT('',(15.238659,46.097759,0.)); #531383=CARTESIAN_POINT('',(15.238659,46.097759,0.)); #531384=CARTESIAN_POINT('',(15.238659,46.097759,0.035)); #531385=CARTESIAN_POINT('',(15.238659,46.097759,0.035)); #531386=CARTESIAN_POINT('',(15.238659,46.097759,0.)); #531387=CARTESIAN_POINT('Origin',(15.2286,46.147294,0.)); #531388=CARTESIAN_POINT('',(15.2286,46.147294,0.)); #531389=CARTESIAN_POINT('',(15.2286,46.147294,0.)); #531390=CARTESIAN_POINT('',(15.2286,46.147294,0.035)); #531391=CARTESIAN_POINT('',(15.2286,46.147294,0.035)); #531392=CARTESIAN_POINT('',(15.2286,46.147294,0.)); #531393=CARTESIAN_POINT('Origin',(15.2286,50.102706,0.)); #531394=CARTESIAN_POINT('',(15.2286,50.102706,0.)); #531395=CARTESIAN_POINT('',(15.2286,50.102706,0.)); #531396=CARTESIAN_POINT('',(15.2286,50.102706,0.035)); #531397=CARTESIAN_POINT('',(15.2286,50.102706,0.035)); #531398=CARTESIAN_POINT('',(15.2286,50.102706,0.)); #531399=CARTESIAN_POINT('Origin',(15.237884,50.150369,0.)); #531400=CARTESIAN_POINT('',(15.237884,50.150369,0.)); #531401=CARTESIAN_POINT('',(15.237884,50.150369,0.)); #531402=CARTESIAN_POINT('',(15.237884,50.150369,0.035)); #531403=CARTESIAN_POINT('',(15.237884,50.150369,0.035)); #531404=CARTESIAN_POINT('',(15.237884,50.150369,0.)); #531405=CARTESIAN_POINT('Origin',(15.265797,50.192509,0.)); #531406=CARTESIAN_POINT('',(15.265797,50.192509,0.)); #531407=CARTESIAN_POINT('',(15.265797,50.192509,0.)); #531408=CARTESIAN_POINT('',(15.265797,50.192509,0.035)); #531409=CARTESIAN_POINT('',(15.265797,50.192509,0.035)); #531410=CARTESIAN_POINT('',(15.265797,50.192509,0.)); #531411=CARTESIAN_POINT('Origin',(15.307491,50.234203,0.)); #531412=CARTESIAN_POINT('',(15.307491,50.234203,0.)); #531413=CARTESIAN_POINT('',(15.307491,50.234203,0.)); #531414=CARTESIAN_POINT('',(15.307491,50.234203,0.035)); #531415=CARTESIAN_POINT('',(15.307491,50.234203,0.035)); #531416=CARTESIAN_POINT('',(15.307491,50.234203,0.)); #531417=CARTESIAN_POINT('Origin',(15.347759,50.261341,0.)); #531418=CARTESIAN_POINT('',(15.347759,50.261341,0.)); #531419=CARTESIAN_POINT('',(15.347759,50.261341,0.)); #531420=CARTESIAN_POINT('',(15.347759,50.261341,0.035)); #531421=CARTESIAN_POINT('',(15.347759,50.261341,0.035)); #531422=CARTESIAN_POINT('',(15.347759,50.261341,0.)); #531423=CARTESIAN_POINT('Origin',(15.397294,50.2714,0.)); #531424=CARTESIAN_POINT('',(15.397294,50.2714,0.)); #531425=CARTESIAN_POINT('',(15.397294,50.2714,0.)); #531426=CARTESIAN_POINT('',(15.397294,50.2714,0.035)); #531427=CARTESIAN_POINT('',(15.397294,50.2714,0.035)); #531428=CARTESIAN_POINT('',(15.397294,50.2714,0.)); #531429=CARTESIAN_POINT('Origin',(15.5395,50.2714,0.)); #531430=CARTESIAN_POINT('',(15.5395,50.2714,0.)); #531431=CARTESIAN_POINT('',(15.5395,50.2714,0.)); #531432=CARTESIAN_POINT('',(15.5395,50.2714,0.035)); #531433=CARTESIAN_POINT('',(15.5395,50.2714,0.035)); #531434=CARTESIAN_POINT('',(15.5395,50.2714,0.)); #531435=CARTESIAN_POINT('Origin',(15.585281,50.262863,0.)); #531436=CARTESIAN_POINT('',(15.585281,50.262863,0.)); #531437=CARTESIAN_POINT('',(15.585281,50.262863,0.)); #531438=CARTESIAN_POINT('',(15.585281,50.262863,0.035)); #531439=CARTESIAN_POINT('',(15.585281,50.262863,0.035)); #531440=CARTESIAN_POINT('',(15.585281,50.262863,0.)); #531441=CARTESIAN_POINT('Origin',(15.627859,50.235622,0.)); #531442=CARTESIAN_POINT('',(15.627859,50.235622,0.)); #531443=CARTESIAN_POINT('',(15.627859,50.235622,0.)); #531444=CARTESIAN_POINT('',(15.627859,50.235622,0.035)); #531445=CARTESIAN_POINT('',(15.627859,50.235622,0.035)); #531446=CARTESIAN_POINT('',(15.627859,50.235622,0.)); #531447=CARTESIAN_POINT('Origin',(15.656441,50.193934,0.)); #531448=CARTESIAN_POINT('',(15.656441,50.193934,0.)); #531449=CARTESIAN_POINT('',(15.656441,50.193934,0.)); #531450=CARTESIAN_POINT('',(15.656441,50.193934,0.035)); #531451=CARTESIAN_POINT('',(15.656441,50.193934,0.035)); #531452=CARTESIAN_POINT('',(15.656441,50.193934,0.)); #531453=CARTESIAN_POINT('Origin',(15.6665,50.1444,0.)); #531454=CARTESIAN_POINT('',(15.6665,50.1444,0.)); #531455=CARTESIAN_POINT('',(15.6665,50.1444,0.)); #531456=CARTESIAN_POINT('',(15.6665,50.1444,0.035)); #531457=CARTESIAN_POINT('',(15.6665,50.1444,0.035)); #531458=CARTESIAN_POINT('',(15.6665,50.1444,0.)); #531459=CARTESIAN_POINT('Origin',(15.6665,49.992375,0.)); #531460=CARTESIAN_POINT('',(15.6665,49.992375,0.)); #531461=CARTESIAN_POINT('',(15.6665,49.992375,0.)); #531462=CARTESIAN_POINT('',(15.6665,49.992375,0.035)); #531463=CARTESIAN_POINT('',(15.6665,49.992375,0.035)); #531464=CARTESIAN_POINT('',(15.6665,49.992375,0.)); #531465=CARTESIAN_POINT('Origin',(15.755775,49.9031,0.)); #531466=CARTESIAN_POINT('',(15.755775,49.9031,0.)); #531467=CARTESIAN_POINT('',(15.755775,49.9031,0.)); #531468=CARTESIAN_POINT('',(15.755775,49.9031,0.035)); #531469=CARTESIAN_POINT('',(15.755775,49.9031,0.035)); #531470=CARTESIAN_POINT('',(15.755775,49.9031,0.)); #531471=CARTESIAN_POINT('Origin',(16.720225,49.9031,0.)); #531472=CARTESIAN_POINT('',(16.720225,49.9031,0.)); #531473=CARTESIAN_POINT('',(16.720225,49.9031,0.)); #531474=CARTESIAN_POINT('',(16.720225,49.9031,0.035)); #531475=CARTESIAN_POINT('',(16.720225,49.9031,0.035)); #531476=CARTESIAN_POINT('',(16.720225,49.9031,0.)); #531477=CARTESIAN_POINT('Origin',(16.8095,49.992375,0.)); #531478=CARTESIAN_POINT('',(16.8095,49.992375,0.)); #531479=CARTESIAN_POINT('',(16.8095,49.992375,0.)); #531480=CARTESIAN_POINT('',(16.8095,49.992375,0.035)); #531481=CARTESIAN_POINT('',(16.8095,49.992375,0.035)); #531482=CARTESIAN_POINT('',(16.8095,49.992375,0.)); #531483=CARTESIAN_POINT('Origin',(16.8095,50.873,0.)); #531484=CARTESIAN_POINT('',(16.8095,50.873,0.)); #531485=CARTESIAN_POINT('',(16.8095,50.873,0.)); #531486=CARTESIAN_POINT('',(16.8095,50.873,0.035)); #531487=CARTESIAN_POINT('',(16.8095,50.873,0.035)); #531488=CARTESIAN_POINT('',(16.8095,50.873,0.)); #531489=CARTESIAN_POINT('Origin',(16.818037,50.918781,0.)); #531490=CARTESIAN_POINT('',(16.818037,50.918781,0.)); #531491=CARTESIAN_POINT('',(16.818037,50.918781,0.)); #531492=CARTESIAN_POINT('',(16.818037,50.918781,0.035)); #531493=CARTESIAN_POINT('',(16.818037,50.918781,0.035)); #531494=CARTESIAN_POINT('',(16.818037,50.918781,0.)); #531495=CARTESIAN_POINT('Origin',(16.845278,50.961359,0.)); #531496=CARTESIAN_POINT('',(16.845278,50.961359,0.)); #531497=CARTESIAN_POINT('',(16.845278,50.961359,0.)); #531498=CARTESIAN_POINT('',(16.845278,50.961359,0.035)); #531499=CARTESIAN_POINT('',(16.845278,50.961359,0.035)); #531500=CARTESIAN_POINT('',(16.845278,50.961359,0.)); #531501=CARTESIAN_POINT('Origin',(16.886966,50.989941,0.)); #531502=CARTESIAN_POINT('',(16.886966,50.989941,0.)); #531503=CARTESIAN_POINT('',(16.886966,50.989941,0.)); #531504=CARTESIAN_POINT('',(16.886966,50.989941,0.035)); #531505=CARTESIAN_POINT('',(16.886966,50.989941,0.035)); #531506=CARTESIAN_POINT('',(16.886966,50.989941,0.)); #531507=CARTESIAN_POINT('Origin',(16.9365,51.,0.)); #531508=CARTESIAN_POINT('',(16.9365,51.,0.)); #531509=CARTESIAN_POINT('',(16.9365,51.,0.)); #531510=CARTESIAN_POINT('',(16.9365,51.,0.035)); #531511=CARTESIAN_POINT('',(16.9365,51.,0.035)); #531512=CARTESIAN_POINT('',(16.9365,51.,0.)); #531513=CARTESIAN_POINT('Origin',(18.322394,51.,0.)); #531514=CARTESIAN_POINT('',(18.322394,51.,0.)); #531515=CARTESIAN_POINT('',(18.322394,51.,0.)); #531516=CARTESIAN_POINT('',(18.322394,51.,0.035)); #531517=CARTESIAN_POINT('',(18.322394,51.,0.035)); #531518=CARTESIAN_POINT('',(18.322394,51.,0.)); #531519=CARTESIAN_POINT('Origin',(18.370056,50.990716,0.)); #531520=CARTESIAN_POINT('',(18.370056,50.990716,0.)); #531521=CARTESIAN_POINT('',(18.370056,50.990716,0.)); #531522=CARTESIAN_POINT('',(18.370056,50.990716,0.035)); #531523=CARTESIAN_POINT('',(18.370056,50.990716,0.035)); #531524=CARTESIAN_POINT('',(18.370056,50.990716,0.)); #531525=CARTESIAN_POINT('Origin',(18.412197,50.962803,0.)); #531526=CARTESIAN_POINT('',(18.412197,50.962803,0.)); #531527=CARTESIAN_POINT('',(18.412197,50.962803,0.)); #531528=CARTESIAN_POINT('',(18.412197,50.962803,0.035)); #531529=CARTESIAN_POINT('',(18.412197,50.962803,0.035)); #531530=CARTESIAN_POINT('',(18.412197,50.962803,0.)); #531531=CARTESIAN_POINT('Origin',(19.618634,49.756366,0.)); #531532=CARTESIAN_POINT('',(19.618634,49.756366,0.)); #531533=CARTESIAN_POINT('',(19.618634,49.756366,0.)); #531534=CARTESIAN_POINT('',(19.618634,49.756366,0.035)); #531535=CARTESIAN_POINT('',(19.618634,49.756366,0.035)); #531536=CARTESIAN_POINT('',(19.618634,49.756366,0.)); #531537=CARTESIAN_POINT('Origin',(19.625928,49.750891,0.)); #531538=CARTESIAN_POINT('',(19.625928,49.750891,0.)); #531539=CARTESIAN_POINT('',(19.625928,49.750891,0.)); #531540=CARTESIAN_POINT('',(19.625928,49.750891,0.035)); #531541=CARTESIAN_POINT('',(19.625928,49.750891,0.035)); #531542=CARTESIAN_POINT('',(19.625928,49.750891,0.)); #531543=CARTESIAN_POINT('Origin',(19.632984,49.75,0.)); #531544=CARTESIAN_POINT('',(19.632984,49.75,0.)); #531545=CARTESIAN_POINT('',(19.632984,49.75,0.)); #531546=CARTESIAN_POINT('',(19.632984,49.75,0.035)); #531547=CARTESIAN_POINT('',(19.632984,49.75,0.035)); #531548=CARTESIAN_POINT('',(19.632984,49.75,0.)); #531549=CARTESIAN_POINT('Origin',(23.3506,49.75,0.)); #531550=CARTESIAN_POINT('',(23.3506,49.75,0.)); #531551=CARTESIAN_POINT('',(23.3506,49.75,0.)); #531552=CARTESIAN_POINT('',(23.3506,49.75,0.035)); #531553=CARTESIAN_POINT('',(23.3506,49.75,0.035)); #531554=CARTESIAN_POINT('',(23.3506,49.75,0.)); #531555=CARTESIAN_POINT('Origin',(23.396381,49.741463,0.)); #531556=CARTESIAN_POINT('',(23.396381,49.741463,0.)); #531557=CARTESIAN_POINT('',(23.396381,49.741463,0.)); #531558=CARTESIAN_POINT('',(23.396381,49.741463,0.035)); #531559=CARTESIAN_POINT('',(23.396381,49.741463,0.035)); #531560=CARTESIAN_POINT('',(23.396381,49.741463,0.)); #531561=CARTESIAN_POINT('Origin',(23.438959,49.714222,0.)); #531562=CARTESIAN_POINT('',(23.438959,49.714222,0.)); #531563=CARTESIAN_POINT('',(23.438959,49.714222,0.)); #531564=CARTESIAN_POINT('',(23.438959,49.714222,0.035)); #531565=CARTESIAN_POINT('',(23.438959,49.714222,0.035)); #531566=CARTESIAN_POINT('',(23.438959,49.714222,0.)); #531567=CARTESIAN_POINT('Origin',(23.467541,49.672534,0.)); #531568=CARTESIAN_POINT('',(23.467541,49.672534,0.)); #531569=CARTESIAN_POINT('',(23.467541,49.672534,0.)); #531570=CARTESIAN_POINT('',(23.467541,49.672534,0.035)); #531571=CARTESIAN_POINT('',(23.467541,49.672534,0.035)); #531572=CARTESIAN_POINT('',(23.467541,49.672534,0.)); #531573=CARTESIAN_POINT('Origin',(23.4776,49.623,0.)); #531574=CARTESIAN_POINT('',(23.4776,49.623,0.)); #531575=CARTESIAN_POINT('',(23.4776,49.623,0.)); #531576=CARTESIAN_POINT('',(23.4776,49.623,0.035)); #531577=CARTESIAN_POINT('',(23.4776,49.623,0.035)); #531578=CARTESIAN_POINT('',(23.4776,49.623,0.)); #531579=CARTESIAN_POINT('Origin',(23.4776,49.572966,0.)); #531580=CARTESIAN_POINT('',(23.4776,49.572966,0.)); #531581=CARTESIAN_POINT('',(23.4776,49.572966,0.)); #531582=CARTESIAN_POINT('',(23.4776,49.572966,0.035)); #531583=CARTESIAN_POINT('',(23.4776,49.572966,0.035)); #531584=CARTESIAN_POINT('',(23.4776,49.572966,0.)); #531585=CARTESIAN_POINT('Origin',(23.727966,49.3226,0.)); #531586=CARTESIAN_POINT('',(23.727966,49.3226,0.)); #531587=CARTESIAN_POINT('',(23.727966,49.3226,0.)); #531588=CARTESIAN_POINT('',(23.727966,49.3226,0.035)); #531589=CARTESIAN_POINT('',(23.727966,49.3226,0.035)); #531590=CARTESIAN_POINT('',(23.727966,49.3226,0.)); #531591=CARTESIAN_POINT('Origin',(24.082034,49.3226,0.)); #531592=CARTESIAN_POINT('',(24.082034,49.3226,0.)); #531593=CARTESIAN_POINT('',(24.082034,49.3226,0.)); #531594=CARTESIAN_POINT('',(24.082034,49.3226,0.035)); #531595=CARTESIAN_POINT('',(24.082034,49.3226,0.035)); #531596=CARTESIAN_POINT('',(24.082034,49.3226,0.)); #531597=CARTESIAN_POINT('Origin',(24.243638,49.484203,0.)); #531598=CARTESIAN_POINT('',(24.243638,49.484203,0.)); #531599=CARTESIAN_POINT('',(24.243638,49.484203,0.)); #531600=CARTESIAN_POINT('',(24.243638,49.484203,0.035)); #531601=CARTESIAN_POINT('',(24.243638,49.484203,0.035)); #531602=CARTESIAN_POINT('',(24.243638,49.484203,0.)); #531603=CARTESIAN_POINT('Origin',(24.283906,49.511341,0.)); #531604=CARTESIAN_POINT('',(24.283906,49.511341,0.)); #531605=CARTESIAN_POINT('',(24.283906,49.511341,0.)); #531606=CARTESIAN_POINT('',(24.283906,49.511341,0.035)); #531607=CARTESIAN_POINT('',(24.283906,49.511341,0.035)); #531608=CARTESIAN_POINT('',(24.283906,49.511341,0.)); #531609=CARTESIAN_POINT('Origin',(24.333441,49.5214,0.)); #531610=CARTESIAN_POINT('',(24.333441,49.5214,0.)); #531611=CARTESIAN_POINT('',(24.333441,49.5214,0.)); #531612=CARTESIAN_POINT('',(24.333441,49.5214,0.035)); #531613=CARTESIAN_POINT('',(24.333441,49.5214,0.035)); #531614=CARTESIAN_POINT('',(24.333441,49.5214,0.)); #531615=CARTESIAN_POINT('Origin',(24.352706,49.5214,0.)); #531616=CARTESIAN_POINT('',(24.352706,49.5214,0.)); #531617=CARTESIAN_POINT('',(24.352706,49.5214,0.)); #531618=CARTESIAN_POINT('',(24.352706,49.5214,0.035)); #531619=CARTESIAN_POINT('',(24.352706,49.5214,0.035)); #531620=CARTESIAN_POINT('',(24.352706,49.5214,0.)); #531621=CARTESIAN_POINT('Origin',(24.400369,49.512116,0.)); #531622=CARTESIAN_POINT('',(24.400369,49.512116,0.)); #531623=CARTESIAN_POINT('',(24.400369,49.512116,0.)); #531624=CARTESIAN_POINT('',(24.400369,49.512116,0.035)); #531625=CARTESIAN_POINT('',(24.400369,49.512116,0.035)); #531626=CARTESIAN_POINT('',(24.400369,49.512116,0.)); #531627=CARTESIAN_POINT('Origin',(24.442509,49.484203,0.)); #531628=CARTESIAN_POINT('',(24.442509,49.484203,0.)); #531629=CARTESIAN_POINT('',(24.442509,49.484203,0.)); #531630=CARTESIAN_POINT('',(24.442509,49.484203,0.035)); #531631=CARTESIAN_POINT('',(24.442509,49.484203,0.035)); #531632=CARTESIAN_POINT('',(24.442509,49.484203,0.)); #531633=CARTESIAN_POINT('Origin',(24.734203,49.192509,0.)); #531634=CARTESIAN_POINT('',(24.734203,49.192509,0.)); #531635=CARTESIAN_POINT('',(24.734203,49.192509,0.)); #531636=CARTESIAN_POINT('',(24.734203,49.192509,0.035)); #531637=CARTESIAN_POINT('',(24.734203,49.192509,0.035)); #531638=CARTESIAN_POINT('',(24.734203,49.192509,0.)); #531639=CARTESIAN_POINT('Origin',(24.761341,49.152241,0.)); #531640=CARTESIAN_POINT('',(24.761341,49.152241,0.)); #531641=CARTESIAN_POINT('',(24.761341,49.152241,0.)); #531642=CARTESIAN_POINT('',(24.761341,49.152241,0.035)); #531643=CARTESIAN_POINT('',(24.761341,49.152241,0.035)); #531644=CARTESIAN_POINT('',(24.761341,49.152241,0.)); #531645=CARTESIAN_POINT('Origin',(24.7714,49.102706,0.)); #531646=CARTESIAN_POINT('',(24.7714,49.102706,0.)); #531647=CARTESIAN_POINT('',(24.7714,49.102706,0.)); #531648=CARTESIAN_POINT('',(24.7714,49.102706,0.035)); #531649=CARTESIAN_POINT('',(24.7714,49.102706,0.035)); #531650=CARTESIAN_POINT('',(24.7714,49.102706,0.)); #531651=CARTESIAN_POINT('Origin',(24.7714,43.397294,0.)); #531652=CARTESIAN_POINT('',(24.7714,43.397294,0.)); #531653=CARTESIAN_POINT('',(24.7714,43.397294,0.)); #531654=CARTESIAN_POINT('',(24.7714,43.397294,0.035)); #531655=CARTESIAN_POINT('',(24.7714,43.397294,0.035)); #531656=CARTESIAN_POINT('',(24.7714,43.397294,0.)); #531657=CARTESIAN_POINT('Origin',(24.762116,43.349631,0.)); #531658=CARTESIAN_POINT('',(24.762116,43.349631,0.)); #531659=CARTESIAN_POINT('',(24.762116,43.349631,0.)); #531660=CARTESIAN_POINT('',(24.762116,43.349631,0.035)); #531661=CARTESIAN_POINT('',(24.762116,43.349631,0.035)); #531662=CARTESIAN_POINT('',(24.762116,43.349631,0.)); #531663=CARTESIAN_POINT('Origin',(24.734203,43.307491,0.)); #531664=CARTESIAN_POINT('',(24.734203,43.307491,0.)); #531665=CARTESIAN_POINT('',(24.734203,43.307491,0.)); #531666=CARTESIAN_POINT('',(24.734203,43.307491,0.035)); #531667=CARTESIAN_POINT('',(24.734203,43.307491,0.035)); #531668=CARTESIAN_POINT('',(24.734203,43.307491,0.)); #531669=CARTESIAN_POINT('Origin',(24.192509,42.765797,0.)); #531670=CARTESIAN_POINT('',(24.192509,42.765797,0.)); #531671=CARTESIAN_POINT('',(24.192509,42.765797,0.)); #531672=CARTESIAN_POINT('',(24.192509,42.765797,0.035)); #531673=CARTESIAN_POINT('',(24.192509,42.765797,0.035)); #531674=CARTESIAN_POINT('',(24.192509,42.765797,0.)); #531675=CARTESIAN_POINT('Origin',(24.152241,42.738659,0.)); #531676=CARTESIAN_POINT('',(24.152241,42.738659,0.)); #531677=CARTESIAN_POINT('',(24.152241,42.738659,0.)); #531678=CARTESIAN_POINT('',(24.152241,42.738659,0.035)); #531679=CARTESIAN_POINT('',(24.152241,42.738659,0.035)); #531680=CARTESIAN_POINT('',(24.152241,42.738659,0.)); #531681=CARTESIAN_POINT('Origin',(24.102706,42.7286,0.)); #531682=CARTESIAN_POINT('',(24.102706,42.7286,0.)); #531683=CARTESIAN_POINT('',(24.102706,42.7286,0.)); #531684=CARTESIAN_POINT('',(24.102706,42.7286,0.035)); #531685=CARTESIAN_POINT('',(24.102706,42.7286,0.035)); #531686=CARTESIAN_POINT('',(24.102706,42.7286,0.)); #531687=CARTESIAN_POINT('Origin',(20.655313,42.7286,0.)); #531688=CARTESIAN_POINT('',(20.655313,42.7286,0.)); #531689=CARTESIAN_POINT('',(20.655313,42.7286,0.)); #531690=CARTESIAN_POINT('',(20.655313,42.7286,0.035)); #531691=CARTESIAN_POINT('',(20.655313,42.7286,0.035)); #531692=CARTESIAN_POINT('',(20.655313,42.7286,0.)); #531693=CARTESIAN_POINT('Origin',(20.297409,42.370697,0.)); #531694=CARTESIAN_POINT('',(20.297409,42.370697,0.)); #531695=CARTESIAN_POINT('',(20.297409,42.370697,0.)); #531696=CARTESIAN_POINT('',(20.297409,42.370697,0.035)); #531697=CARTESIAN_POINT('',(20.297409,42.370697,0.035)); #531698=CARTESIAN_POINT('',(20.297409,42.370697,0.)); #531699=CARTESIAN_POINT('Origin',(20.257141,42.343559,0.)); #531700=CARTESIAN_POINT('',(20.257141,42.343559,0.)); #531701=CARTESIAN_POINT('',(20.257141,42.343559,0.)); #531702=CARTESIAN_POINT('',(20.257141,42.343559,0.035)); #531703=CARTESIAN_POINT('',(20.257141,42.343559,0.035)); #531704=CARTESIAN_POINT('',(20.257141,42.343559,0.)); #531705=CARTESIAN_POINT('Origin',(20.207606,42.3335,0.)); #531706=CARTESIAN_POINT('',(20.207606,42.3335,0.)); #531707=CARTESIAN_POINT('',(20.207606,42.3335,0.)); #531708=CARTESIAN_POINT('',(20.207606,42.3335,0.035)); #531709=CARTESIAN_POINT('',(20.207606,42.3335,0.035)); #531710=CARTESIAN_POINT('',(20.207606,42.3335,0.)); #531711=CARTESIAN_POINT('Origin',(19.492375,42.3335,0.)); #531712=CARTESIAN_POINT('',(19.492375,42.3335,0.)); #531713=CARTESIAN_POINT('',(19.492375,42.3335,0.)); #531714=CARTESIAN_POINT('',(19.492375,42.3335,0.035)); #531715=CARTESIAN_POINT('',(19.492375,42.3335,0.035)); #531716=CARTESIAN_POINT('',(19.492375,42.3335,0.)); #531717=CARTESIAN_POINT('Origin',(19.466803,42.307928,0.)); #531718=CARTESIAN_POINT('',(19.466803,42.307928,0.)); #531719=CARTESIAN_POINT('',(19.466803,42.307928,0.)); #531720=CARTESIAN_POINT('',(19.466803,42.307928,0.035)); #531721=CARTESIAN_POINT('',(19.466803,42.307928,0.035)); #531722=CARTESIAN_POINT('',(19.466803,42.307928,0.)); #531723=CARTESIAN_POINT('Origin',(19.430238,42.282428,0.)); #531724=CARTESIAN_POINT('',(19.430238,42.282428,0.)); #531725=CARTESIAN_POINT('',(19.430238,42.282428,0.)); #531726=CARTESIAN_POINT('',(19.430238,42.282428,0.035)); #531727=CARTESIAN_POINT('',(19.430238,42.282428,0.035)); #531728=CARTESIAN_POINT('',(19.430238,42.282428,0.)); #531729=CARTESIAN_POINT('Origin',(19.381047,42.270797,0.)); #531730=CARTESIAN_POINT('',(19.381047,42.270797,0.)); #531731=CARTESIAN_POINT('',(19.381047,42.270797,0.)); #531732=CARTESIAN_POINT('',(19.381047,42.270797,0.035)); #531733=CARTESIAN_POINT('',(19.381047,42.270797,0.035)); #531734=CARTESIAN_POINT('',(19.381047,42.270797,0.)); #531735=CARTESIAN_POINT('Origin',(19.377,42.271484,0.)); #531736=CARTESIAN_POINT('',(19.377,42.271484,0.)); #531737=CARTESIAN_POINT('',(19.377,42.271484,0.)); #531738=CARTESIAN_POINT('',(19.377,42.271484,0.035)); #531739=CARTESIAN_POINT('',(19.377,42.271484,0.035)); #531740=CARTESIAN_POINT('',(19.377,42.271484,0.)); #531741=CARTESIAN_POINT('Origin',(19.377,39.552606,0.)); #531742=CARTESIAN_POINT('',(19.377,39.552606,0.)); #531743=CARTESIAN_POINT('',(19.377,39.552606,0.)); #531744=CARTESIAN_POINT('',(19.377,39.552606,0.035)); #531745=CARTESIAN_POINT('',(19.377,39.552606,0.035)); #531746=CARTESIAN_POINT('',(19.377,39.552606,0.)); #531747=CARTESIAN_POINT('Origin',(19.677606,39.252,0.)); #531748=CARTESIAN_POINT('',(19.677606,39.252,0.)); #531749=CARTESIAN_POINT('',(19.677606,39.252,0.)); #531750=CARTESIAN_POINT('',(19.677606,39.252,0.035)); #531751=CARTESIAN_POINT('',(19.677606,39.252,0.035)); #531752=CARTESIAN_POINT('',(19.677606,39.252,0.)); #531753=CARTESIAN_POINT('Origin',(24.033988,39.252,0.)); #531754=CARTESIAN_POINT('',(24.033988,39.252,0.)); #531755=CARTESIAN_POINT('',(24.033988,39.252,0.)); #531756=CARTESIAN_POINT('',(24.033988,39.252,0.035)); #531757=CARTESIAN_POINT('',(24.033988,39.252,0.035)); #531758=CARTESIAN_POINT('',(24.033988,39.252,0.)); #531759=CARTESIAN_POINT('Origin',(24.0339887497004,39.1249996912748,0.)); #531760=CARTESIAN_POINT('',(24.123791,39.214803,0.)); #531761=CARTESIAN_POINT('Origin',(24.0339887497004,39.1249996912748,0.)); #531762=CARTESIAN_POINT('',(24.123791,39.214803,0.035)); #531763=CARTESIAN_POINT('Origin',(24.0339887497004,39.1249996912748,0.035)); #531764=CARTESIAN_POINT('',(24.123791,39.214803,0.)); #531765=CARTESIAN_POINT('Origin',(26.839803,36.498791,0.)); #531766=CARTESIAN_POINT('',(26.839803,36.498791,0.)); #531767=CARTESIAN_POINT('',(26.839803,36.498791,0.)); #531768=CARTESIAN_POINT('',(26.839803,36.498791,0.035)); #531769=CARTESIAN_POINT('',(26.839803,36.498791,0.035)); #531770=CARTESIAN_POINT('',(26.839803,36.498791,0.)); #531771=CARTESIAN_POINT('Origin',(26.7499996912748,36.4089887497004,0.)); #531772=CARTESIAN_POINT('',(26.877,36.408988,0.)); #531773=CARTESIAN_POINT('Origin',(26.7499996912748,36.4089887497004,0.)); #531774=CARTESIAN_POINT('',(26.877,36.408988,0.035)); #531775=CARTESIAN_POINT('Origin',(26.7499996912748,36.4089887497004,0.035)); #531776=CARTESIAN_POINT('',(26.877,36.408988,0.)); #531777=CARTESIAN_POINT('Origin',(26.877,36.243928,0.)); #531778=CARTESIAN_POINT('',(26.877,36.243928,0.)); #531779=CARTESIAN_POINT('',(26.877,36.243928,0.)); #531780=CARTESIAN_POINT('',(26.877,36.243928,0.035)); #531781=CARTESIAN_POINT('',(26.877,36.243928,0.035)); #531782=CARTESIAN_POINT('',(26.877,36.243928,0.)); #531783=CARTESIAN_POINT('Origin',(26.7499453752955,35.999554310534,0.)); #531784=CARTESIAN_POINT('Origin',(26.7499453752955,35.999554310534,0.)); #531785=CARTESIAN_POINT('Origin',(26.7499453752955,35.999554310534,0.035)); #531786=CARTESIAN_POINT('Origin',(21.1479476777499,43.5287186366358,0.035)); #531787=CARTESIAN_POINT('Origin',(21.1479476777499,43.5287186366358,0.)); #531788=CARTESIAN_POINT('Origin',(26.75,31.,-200.)); #531789=CARTESIAN_POINT('',(26.61,31.,0.)); #531790=CARTESIAN_POINT('Origin',(26.75,31.,0.)); #531791=CARTESIAN_POINT('',(26.61,31.,0.0349999999999895)); #531792=CARTESIAN_POINT('',(26.61,31.,-200.)); #531793=CARTESIAN_POINT('Origin',(26.75,31.,0.0349999999999895)); #531794=CARTESIAN_POINT('Origin',(31.3875,20.0875,0.)); #531795=CARTESIAN_POINT('',(31.3875,20.0875,0.)); #531796=CARTESIAN_POINT('',(31.3875,20.7125,0.)); #531797=CARTESIAN_POINT('',(31.3875,20.0875,0.)); #531798=CARTESIAN_POINT('',(31.3875,20.7125,0.035)); #531799=CARTESIAN_POINT('',(31.3875,20.7125,0.)); #531800=CARTESIAN_POINT('',(31.3875,20.0875,0.035)); #531801=CARTESIAN_POINT('',(31.3875,20.0875,0.035)); #531802=CARTESIAN_POINT('',(31.3875,20.0875,0.)); #531803=CARTESIAN_POINT('Origin',(31.1125,20.0875,0.)); #531804=CARTESIAN_POINT('',(31.1125,20.0875,0.)); #531805=CARTESIAN_POINT('',(31.1125,20.0875,0.)); #531806=CARTESIAN_POINT('',(31.1125,20.0875,0.035)); #531807=CARTESIAN_POINT('',(31.1125,20.0875,0.035)); #531808=CARTESIAN_POINT('',(31.1125,20.0875,0.)); #531809=CARTESIAN_POINT('Origin',(31.1125,20.7125,0.)); #531810=CARTESIAN_POINT('',(31.1125,20.7125,0.)); #531811=CARTESIAN_POINT('',(31.1125,20.7125,0.)); #531812=CARTESIAN_POINT('',(31.1125,20.7125,0.035)); #531813=CARTESIAN_POINT('',(31.1125,20.7125,0.035)); #531814=CARTESIAN_POINT('',(31.1125,20.7125,0.)); #531815=CARTESIAN_POINT('Origin',(31.123,20.7125,0.)); #531816=CARTESIAN_POINT('',(31.123,20.7125,0.)); #531817=CARTESIAN_POINT('',(31.123,20.7125,0.)); #531818=CARTESIAN_POINT('',(31.123,20.7125,0.035)); #531819=CARTESIAN_POINT('',(31.123,20.7125,0.035)); #531820=CARTESIAN_POINT('',(31.123,20.7125,0.)); #531821=CARTESIAN_POINT('Origin',(31.123,21.826044,0.)); #531822=CARTESIAN_POINT('',(31.123,21.826044,0.)); #531823=CARTESIAN_POINT('',(31.123,21.826044,0.)); #531824=CARTESIAN_POINT('',(31.123,21.826044,0.035)); #531825=CARTESIAN_POINT('',(31.123,21.826044,0.035)); #531826=CARTESIAN_POINT('',(31.123,21.826044,0.)); #531827=CARTESIAN_POINT('Origin',(29.410197,23.538847,0.)); #531828=CARTESIAN_POINT('',(29.410197,23.538847,0.)); #531829=CARTESIAN_POINT('',(29.410197,23.538847,0.)); #531830=CARTESIAN_POINT('',(29.410197,23.538847,0.035)); #531831=CARTESIAN_POINT('',(29.410197,23.538847,0.035)); #531832=CARTESIAN_POINT('',(29.410197,23.538847,0.)); #531833=CARTESIAN_POINT('Origin',(29.5000003087252,23.6286492502996,0.)); #531834=CARTESIAN_POINT('',(29.373,23.62865,0.)); #531835=CARTESIAN_POINT('Origin',(29.5000003087252,23.6286492502996,0.)); #531836=CARTESIAN_POINT('',(29.373,23.62865,0.035)); #531837=CARTESIAN_POINT('Origin',(29.5000003087252,23.6286492502996,0.035)); #531838=CARTESIAN_POINT('',(29.373,23.62865,0.)); #531839=CARTESIAN_POINT('Origin',(29.373,26.258069,0.)); #531840=CARTESIAN_POINT('',(29.373,26.258069,0.)); #531841=CARTESIAN_POINT('',(29.373,26.258069,0.)); #531842=CARTESIAN_POINT('',(29.373,26.258069,0.035)); #531843=CARTESIAN_POINT('',(29.373,26.258069,0.035)); #531844=CARTESIAN_POINT('',(29.373,26.258069,0.)); #531845=CARTESIAN_POINT('Origin',(26.660197,28.970872,0.)); #531846=CARTESIAN_POINT('',(26.660197,28.970872,0.)); #531847=CARTESIAN_POINT('',(26.660197,28.970872,0.)); #531848=CARTESIAN_POINT('',(26.660197,28.970872,0.035)); #531849=CARTESIAN_POINT('',(26.660197,28.970872,0.035)); #531850=CARTESIAN_POINT('',(26.660197,28.970872,0.)); #531851=CARTESIAN_POINT('Origin',(26.7500003087252,29.0606742502996,0.)); #531852=CARTESIAN_POINT('',(26.623,29.060675,0.)); #531853=CARTESIAN_POINT('Origin',(26.7500003087252,29.0606742502996,0.)); #531854=CARTESIAN_POINT('',(26.623,29.060675,0.035)); #531855=CARTESIAN_POINT('Origin',(26.7500003087252,29.0606742502996,0.035)); #531856=CARTESIAN_POINT('',(26.623,29.060675,0.)); #531857=CARTESIAN_POINT('Origin',(26.623,30.756072,0.)); #531858=CARTESIAN_POINT('',(26.623,30.756072,0.)); #531859=CARTESIAN_POINT('',(26.623,30.756072,0.)); #531860=CARTESIAN_POINT('',(26.623,30.756072,0.035)); #531861=CARTESIAN_POINT('',(26.623,30.756072,0.035)); #531862=CARTESIAN_POINT('',(26.623,30.756072,0.)); #531863=CARTESIAN_POINT('Origin',(26.7500204601791,31.0004637716938,0.)); #531864=CARTESIAN_POINT('',(26.644438,31.254853,0.)); #531865=CARTESIAN_POINT('Origin',(26.7500204601791,31.0004637716938,0.)); #531866=CARTESIAN_POINT('',(26.644438,31.254853,0.035)); #531867=CARTESIAN_POINT('Origin',(26.7500204601791,31.0004637716938,0.035)); #531868=CARTESIAN_POINT('',(26.644438,31.254853,0.)); #531869=CARTESIAN_POINT('Origin',(26.7498222285952,31.000381763261,0.)); #531870=CARTESIAN_POINT('',(26.877,30.756072,0.)); #531871=CARTESIAN_POINT('Origin',(26.7498222285952,31.000381763261,0.)); #531872=CARTESIAN_POINT('',(26.877,30.756072,0.035)); #531873=CARTESIAN_POINT('Origin',(26.7498222285952,31.000381763261,0.035)); #531874=CARTESIAN_POINT('',(26.877,30.756072,0.)); #531875=CARTESIAN_POINT('Origin',(26.877,29.113281,0.)); #531876=CARTESIAN_POINT('',(26.877,29.113281,0.)); #531877=CARTESIAN_POINT('',(26.877,29.113281,0.)); #531878=CARTESIAN_POINT('',(26.877,29.113281,0.035)); #531879=CARTESIAN_POINT('',(26.877,29.113281,0.035)); #531880=CARTESIAN_POINT('',(26.877,29.113281,0.)); #531881=CARTESIAN_POINT('Origin',(29.589803,26.400478,0.)); #531882=CARTESIAN_POINT('',(29.589803,26.400478,0.)); #531883=CARTESIAN_POINT('',(29.589803,26.400478,0.)); #531884=CARTESIAN_POINT('',(29.589803,26.400478,0.035)); #531885=CARTESIAN_POINT('',(29.589803,26.400478,0.035)); #531886=CARTESIAN_POINT('',(29.589803,26.400478,0.)); #531887=CARTESIAN_POINT('Origin',(29.4999996912748,26.3106757497004,0.)); #531888=CARTESIAN_POINT('',(29.627,26.310675,0.)); #531889=CARTESIAN_POINT('Origin',(29.4999996912748,26.3106757497004,0.)); #531890=CARTESIAN_POINT('',(29.627,26.310675,0.035)); #531891=CARTESIAN_POINT('Origin',(29.4999996912748,26.3106757497004,0.035)); #531892=CARTESIAN_POINT('',(29.627,26.310675,0.)); #531893=CARTESIAN_POINT('Origin',(29.627,23.681256,0.)); #531894=CARTESIAN_POINT('',(29.627,23.681256,0.)); #531895=CARTESIAN_POINT('',(29.627,23.681256,0.)); #531896=CARTESIAN_POINT('',(29.627,23.681256,0.035)); #531897=CARTESIAN_POINT('',(29.627,23.681256,0.035)); #531898=CARTESIAN_POINT('',(29.627,23.681256,0.)); #531899=CARTESIAN_POINT('Origin',(31.339803,21.968453,0.)); #531900=CARTESIAN_POINT('',(31.339803,21.968453,0.)); #531901=CARTESIAN_POINT('',(31.339803,21.968453,0.)); #531902=CARTESIAN_POINT('',(31.339803,21.968453,0.035)); #531903=CARTESIAN_POINT('',(31.339803,21.968453,0.035)); #531904=CARTESIAN_POINT('',(31.339803,21.968453,0.)); #531905=CARTESIAN_POINT('Origin',(31.2499996912748,21.8786507497004,0.)); #531906=CARTESIAN_POINT('',(31.377,21.87865,0.)); #531907=CARTESIAN_POINT('Origin',(31.2499996912748,21.8786507497004,0.)); #531908=CARTESIAN_POINT('',(31.377,21.87865,0.035)); #531909=CARTESIAN_POINT('Origin',(31.2499996912748,21.8786507497004,0.035)); #531910=CARTESIAN_POINT('',(31.377,21.87865,0.)); #531911=CARTESIAN_POINT('Origin',(31.377,20.7125,0.)); #531912=CARTESIAN_POINT('',(31.377,20.7125,0.)); #531913=CARTESIAN_POINT('',(31.377,20.7125,0.)); #531914=CARTESIAN_POINT('',(31.377,20.7125,0.035)); #531915=CARTESIAN_POINT('',(31.377,20.7125,0.035)); #531916=CARTESIAN_POINT('',(31.377,20.7125,0.)); #531917=CARTESIAN_POINT('Origin',(31.3875,20.7125,0.)); #531918=CARTESIAN_POINT('',(31.3875,20.7125,0.)); #531919=CARTESIAN_POINT('',(31.3875,20.7125,0.035)); #531920=CARTESIAN_POINT('Origin',(29.017469224125,25.7191482094355,0.035)); #531921=CARTESIAN_POINT('Origin',(29.017469224125,25.7191482094355,0.)); #531922=CARTESIAN_POINT('Origin',(53.5,20.25,-200.)); #531923=CARTESIAN_POINT('',(53.36,20.25,0.)); #531924=CARTESIAN_POINT('Origin',(53.5,20.25,0.)); #531925=CARTESIAN_POINT('',(53.36,20.25,0.0349999999999895)); #531926=CARTESIAN_POINT('',(53.36,20.25,-200.)); #531927=CARTESIAN_POINT('Origin',(53.5,20.25,0.0349999999999895)); #531928=CARTESIAN_POINT('Origin',(52.75,20.25,-200.)); #531929=CARTESIAN_POINT('',(52.61,20.25,0.)); #531930=CARTESIAN_POINT('Origin',(52.75,20.25,0.)); #531931=CARTESIAN_POINT('',(52.61,20.25,0.0349999999999895)); #531932=CARTESIAN_POINT('',(52.61,20.25,-200.)); #531933=CARTESIAN_POINT('Origin',(52.75,20.25,0.0349999999999895)); #531934=CARTESIAN_POINT('Origin',(52.,21.75,-200.)); #531935=CARTESIAN_POINT('',(51.86,21.75,0.)); #531936=CARTESIAN_POINT('Origin',(52.,21.75,0.)); #531937=CARTESIAN_POINT('',(51.86,21.75,0.0349999999999895)); #531938=CARTESIAN_POINT('',(51.86,21.75,-200.)); #531939=CARTESIAN_POINT('Origin',(52.,21.75,0.0349999999999895)); #531940=CARTESIAN_POINT('Origin',(52.,20.25,-200.)); #531941=CARTESIAN_POINT('',(51.86,20.25,0.)); #531942=CARTESIAN_POINT('Origin',(52.,20.25,0.)); #531943=CARTESIAN_POINT('',(51.86,20.25,0.0349999999999895)); #531944=CARTESIAN_POINT('',(51.86,20.25,-200.)); #531945=CARTESIAN_POINT('Origin',(52.,20.25,0.0349999999999895)); #531946=CARTESIAN_POINT('Origin',(52.75,21.,-200.)); #531947=CARTESIAN_POINT('',(52.61,21.,0.)); #531948=CARTESIAN_POINT('Origin',(52.75,21.,0.)); #531949=CARTESIAN_POINT('',(52.61,21.,0.0349999999999895)); #531950=CARTESIAN_POINT('',(52.61,21.,-200.)); #531951=CARTESIAN_POINT('Origin',(52.75,21.,0.0349999999999895)); #531952=CARTESIAN_POINT('Origin',(39.25,19.,-200.)); #531953=CARTESIAN_POINT('',(39.11,19.,0.)); #531954=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #531955=CARTESIAN_POINT('',(39.11,19.,0.0349999999999895)); #531956=CARTESIAN_POINT('',(39.11,19.,-200.)); #531957=CARTESIAN_POINT('Origin',(39.25,19.,0.0349999999999895)); #531958=CARTESIAN_POINT('Origin',(53.5,21.,-200.)); #531959=CARTESIAN_POINT('',(53.36,21.,0.)); #531960=CARTESIAN_POINT('Origin',(53.5,21.,0.)); #531961=CARTESIAN_POINT('',(53.36,21.,0.0349999999999895)); #531962=CARTESIAN_POINT('',(53.36,21.,-200.)); #531963=CARTESIAN_POINT('Origin',(53.5,21.,0.0349999999999895)); #531964=CARTESIAN_POINT('Origin',(52.,21.,-200.)); #531965=CARTESIAN_POINT('',(51.86,21.,0.)); #531966=CARTESIAN_POINT('Origin',(52.,21.,0.)); #531967=CARTESIAN_POINT('',(51.86,21.,0.0349999999999895)); #531968=CARTESIAN_POINT('',(51.86,21.,-200.)); #531969=CARTESIAN_POINT('Origin',(52.,21.,0.0349999999999895)); #531970=CARTESIAN_POINT('Origin',(53.5,21.75,-200.)); #531971=CARTESIAN_POINT('',(53.36,21.75,0.)); #531972=CARTESIAN_POINT('Origin',(53.5,21.75,0.)); #531973=CARTESIAN_POINT('',(53.36,21.75,0.0349999999999895)); #531974=CARTESIAN_POINT('',(53.36,21.75,-200.)); #531975=CARTESIAN_POINT('Origin',(53.5,21.75,0.0349999999999895)); #531976=CARTESIAN_POINT('Origin',(52.75,21.75,-200.)); #531977=CARTESIAN_POINT('',(52.61,21.75,0.)); #531978=CARTESIAN_POINT('Origin',(52.75,21.75,0.)); #531979=CARTESIAN_POINT('',(52.61,21.75,0.0349999999999895)); #531980=CARTESIAN_POINT('',(52.61,21.75,-200.)); #531981=CARTESIAN_POINT('Origin',(52.75,21.75,0.0349999999999895)); #531982=CARTESIAN_POINT('Origin',(52.25,34.,-200.)); #531983=CARTESIAN_POINT('',(51.785,34.,0.)); #531984=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #531985=CARTESIAN_POINT('',(51.785,34.,0.0349999999999895)); #531986=CARTESIAN_POINT('',(51.785,34.,-200.)); #531987=CARTESIAN_POINT('Origin',(52.25,34.,0.0349999999999895)); #531988=CARTESIAN_POINT('Origin',(49.947394,15.5,0.)); #531989=CARTESIAN_POINT('',(49.947394,15.5,0.)); #531990=CARTESIAN_POINT('',(49.996928,15.510059,0.)); #531991=CARTESIAN_POINT('',(49.947394,15.5,0.)); #531992=CARTESIAN_POINT('',(49.996928,15.510059,0.035)); #531993=CARTESIAN_POINT('',(49.996928,15.510059,0.)); #531994=CARTESIAN_POINT('',(49.947394,15.5,0.035)); #531995=CARTESIAN_POINT('',(49.947394,15.5,0.035)); #531996=CARTESIAN_POINT('',(49.947394,15.5,0.)); #531997=CARTESIAN_POINT('Origin',(44.3536,15.5,0.)); #531998=CARTESIAN_POINT('',(44.3536,15.5,0.)); #531999=CARTESIAN_POINT('',(44.3536,15.5,0.)); #532000=CARTESIAN_POINT('',(44.3536,15.5,0.035)); #532001=CARTESIAN_POINT('',(44.3536,15.5,0.035)); #532002=CARTESIAN_POINT('',(44.3536,15.5,0.)); #532003=CARTESIAN_POINT('Origin',(44.307819,15.508538,0.)); #532004=CARTESIAN_POINT('',(44.307819,15.508538,0.)); #532005=CARTESIAN_POINT('',(44.307819,15.508538,0.)); #532006=CARTESIAN_POINT('',(44.307819,15.508538,0.035)); #532007=CARTESIAN_POINT('',(44.307819,15.508538,0.035)); #532008=CARTESIAN_POINT('',(44.307819,15.508538,0.)); #532009=CARTESIAN_POINT('Origin',(44.265241,15.535778,0.)); #532010=CARTESIAN_POINT('',(44.265241,15.535778,0.)); #532011=CARTESIAN_POINT('',(44.265241,15.535778,0.)); #532012=CARTESIAN_POINT('',(44.265241,15.535778,0.035)); #532013=CARTESIAN_POINT('',(44.265241,15.535778,0.035)); #532014=CARTESIAN_POINT('',(44.265241,15.535778,0.)); #532015=CARTESIAN_POINT('Origin',(44.236659,15.577466,0.)); #532016=CARTESIAN_POINT('',(44.236659,15.577466,0.)); #532017=CARTESIAN_POINT('',(44.236659,15.577466,0.)); #532018=CARTESIAN_POINT('',(44.236659,15.577466,0.035)); #532019=CARTESIAN_POINT('',(44.236659,15.577466,0.035)); #532020=CARTESIAN_POINT('',(44.236659,15.577466,0.)); #532021=CARTESIAN_POINT('Origin',(44.2266,15.627,0.)); #532022=CARTESIAN_POINT('',(44.2266,15.627,0.)); #532023=CARTESIAN_POINT('',(44.2266,15.627,0.)); #532024=CARTESIAN_POINT('',(44.2266,15.627,0.035)); #532025=CARTESIAN_POINT('',(44.2266,15.627,0.035)); #532026=CARTESIAN_POINT('',(44.2266,15.627,0.)); #532027=CARTESIAN_POINT('Origin',(44.2266,18.244066,0.)); #532028=CARTESIAN_POINT('',(44.2266,18.244066,0.)); #532029=CARTESIAN_POINT('',(44.2266,18.244066,0.)); #532030=CARTESIAN_POINT('',(44.2266,18.244066,0.035)); #532031=CARTESIAN_POINT('',(44.2266,18.244066,0.035)); #532032=CARTESIAN_POINT('',(44.2266,18.244066,0.)); #532033=CARTESIAN_POINT('Origin',(44.224278,18.268797,0.)); #532034=CARTESIAN_POINT('',(44.224278,18.268797,0.)); #532035=CARTESIAN_POINT('',(44.224278,18.268797,0.)); #532036=CARTESIAN_POINT('',(44.224278,18.268797,0.035)); #532037=CARTESIAN_POINT('',(44.224278,18.268797,0.035)); #532038=CARTESIAN_POINT('',(44.224278,18.268797,0.)); #532039=CARTESIAN_POINT('Origin',(44.219047,18.286941,0.)); #532040=CARTESIAN_POINT('',(44.219047,18.286941,0.)); #532041=CARTESIAN_POINT('',(44.219047,18.286941,0.)); #532042=CARTESIAN_POINT('',(44.219047,18.286941,0.035)); #532043=CARTESIAN_POINT('',(44.219047,18.286941,0.035)); #532044=CARTESIAN_POINT('',(44.219047,18.286941,0.)); #532045=CARTESIAN_POINT('Origin',(44.210538,18.303781,0.)); #532046=CARTESIAN_POINT('',(44.210538,18.303781,0.)); #532047=CARTESIAN_POINT('',(44.210538,18.303781,0.)); #532048=CARTESIAN_POINT('',(44.210538,18.303781,0.035)); #532049=CARTESIAN_POINT('',(44.210538,18.303781,0.035)); #532050=CARTESIAN_POINT('',(44.210538,18.303781,0.)); #532051=CARTESIAN_POINT('Origin',(44.199025,18.318772,0.)); #532052=CARTESIAN_POINT('',(44.199025,18.318772,0.)); #532053=CARTESIAN_POINT('',(44.199025,18.318772,0.)); #532054=CARTESIAN_POINT('',(44.199025,18.318772,0.035)); #532055=CARTESIAN_POINT('',(44.199025,18.318772,0.035)); #532056=CARTESIAN_POINT('',(44.199025,18.318772,0.)); #532057=CARTESIAN_POINT('Origin',(44.184944,18.331341,0.)); #532058=CARTESIAN_POINT('',(44.184944,18.331341,0.)); #532059=CARTESIAN_POINT('',(44.184944,18.331341,0.)); #532060=CARTESIAN_POINT('',(44.184944,18.331341,0.035)); #532061=CARTESIAN_POINT('',(44.184944,18.331341,0.035)); #532062=CARTESIAN_POINT('',(44.184944,18.331341,0.)); #532063=CARTESIAN_POINT('Origin',(44.168762,18.341072,0.)); #532064=CARTESIAN_POINT('',(44.168762,18.341072,0.)); #532065=CARTESIAN_POINT('',(44.168762,18.341072,0.)); #532066=CARTESIAN_POINT('',(44.168762,18.341072,0.035)); #532067=CARTESIAN_POINT('',(44.168762,18.341072,0.035)); #532068=CARTESIAN_POINT('',(44.168762,18.341072,0.)); #532069=CARTESIAN_POINT('Origin',(44.153275,18.346803,0.)); #532070=CARTESIAN_POINT('',(44.153275,18.346803,0.)); #532071=CARTESIAN_POINT('',(44.153275,18.346803,0.)); #532072=CARTESIAN_POINT('',(44.153275,18.346803,0.035)); #532073=CARTESIAN_POINT('',(44.153275,18.346803,0.035)); #532074=CARTESIAN_POINT('',(44.153275,18.346803,0.)); #532075=CARTESIAN_POINT('Origin',(44.116706,18.3516,0.)); #532076=CARTESIAN_POINT('',(44.116706,18.3516,0.)); #532077=CARTESIAN_POINT('',(44.116706,18.3516,0.)); #532078=CARTESIAN_POINT('',(44.116706,18.3516,0.035)); #532079=CARTESIAN_POINT('',(44.116706,18.3516,0.035)); #532080=CARTESIAN_POINT('',(44.116706,18.3516,0.)); #532081=CARTESIAN_POINT('Origin',(39.8044,18.3516,0.)); #532082=CARTESIAN_POINT('',(39.8044,18.3516,0.)); #532083=CARTESIAN_POINT('',(39.8044,18.3516,0.)); #532084=CARTESIAN_POINT('',(39.8044,18.3516,0.035)); #532085=CARTESIAN_POINT('',(39.8044,18.3516,0.035)); #532086=CARTESIAN_POINT('',(39.8044,18.3516,0.)); #532087=CARTESIAN_POINT('Origin',(39.758619,18.360138,0.)); #532088=CARTESIAN_POINT('',(39.758619,18.360138,0.)); #532089=CARTESIAN_POINT('',(39.758619,18.360138,0.)); #532090=CARTESIAN_POINT('',(39.758619,18.360138,0.035)); #532091=CARTESIAN_POINT('',(39.758619,18.360138,0.035)); #532092=CARTESIAN_POINT('',(39.758619,18.360138,0.)); #532093=CARTESIAN_POINT('Origin',(39.716041,18.387378,0.)); #532094=CARTESIAN_POINT('',(39.716041,18.387378,0.)); #532095=CARTESIAN_POINT('',(39.716041,18.387378,0.)); #532096=CARTESIAN_POINT('',(39.716041,18.387378,0.035)); #532097=CARTESIAN_POINT('',(39.716041,18.387378,0.035)); #532098=CARTESIAN_POINT('',(39.716041,18.387378,0.)); #532099=CARTESIAN_POINT('Origin',(39.713825,18.390609,0.)); #532100=CARTESIAN_POINT('',(39.713825,18.390609,0.)); #532101=CARTESIAN_POINT('',(39.713825,18.390609,0.)); #532102=CARTESIAN_POINT('',(39.713825,18.390609,0.035)); #532103=CARTESIAN_POINT('',(39.713825,18.390609,0.035)); #532104=CARTESIAN_POINT('',(39.713825,18.390609,0.)); #532105=CARTESIAN_POINT('Origin',(39.427034,18.6774,0.)); #532106=CARTESIAN_POINT('',(39.427034,18.6774,0.)); #532107=CARTESIAN_POINT('',(39.427034,18.6774,0.)); #532108=CARTESIAN_POINT('',(39.427034,18.6774,0.035)); #532109=CARTESIAN_POINT('',(39.427034,18.6774,0.035)); #532110=CARTESIAN_POINT('',(39.427034,18.6774,0.)); #532111=CARTESIAN_POINT('Origin',(39.377,18.6774,0.)); #532112=CARTESIAN_POINT('',(39.377,18.6774,0.)); #532113=CARTESIAN_POINT('',(39.377,18.6774,0.)); #532114=CARTESIAN_POINT('',(39.377,18.6774,0.035)); #532115=CARTESIAN_POINT('',(39.377,18.6774,0.035)); #532116=CARTESIAN_POINT('',(39.377,18.6774,0.)); #532117=CARTESIAN_POINT('Origin',(39.331219,18.685938,0.)); #532118=CARTESIAN_POINT('',(39.331219,18.685938,0.)); #532119=CARTESIAN_POINT('',(39.331219,18.685938,0.)); #532120=CARTESIAN_POINT('',(39.331219,18.685938,0.035)); #532121=CARTESIAN_POINT('',(39.331219,18.685938,0.035)); #532122=CARTESIAN_POINT('',(39.331219,18.685938,0.)); #532123=CARTESIAN_POINT('Origin',(39.288641,18.713178,0.)); #532124=CARTESIAN_POINT('',(39.288641,18.713178,0.)); #532125=CARTESIAN_POINT('',(39.288641,18.713178,0.)); #532126=CARTESIAN_POINT('',(39.288641,18.713178,0.035)); #532127=CARTESIAN_POINT('',(39.288641,18.713178,0.035)); #532128=CARTESIAN_POINT('',(39.288641,18.713178,0.)); #532129=CARTESIAN_POINT('Origin',(39.279666,18.726272,0.)); #532130=CARTESIAN_POINT('',(39.279666,18.726272,0.)); #532131=CARTESIAN_POINT('',(39.279666,18.726272,0.)); #532132=CARTESIAN_POINT('',(39.279666,18.726272,0.035)); #532133=CARTESIAN_POINT('',(39.279666,18.726272,0.035)); #532134=CARTESIAN_POINT('',(39.279666,18.726272,0.)); #532135=CARTESIAN_POINT('Origin',(39.2502517833023,18.9998655759146,0.)); #532136=CARTESIAN_POINT('',(39.006072,18.873,0.)); #532137=CARTESIAN_POINT('Origin',(39.2502517833023,18.9998655759146,0.)); #532138=CARTESIAN_POINT('',(39.006072,18.873,0.035)); #532139=CARTESIAN_POINT('Origin',(39.2502517833023,18.9998655759146,0.035)); #532140=CARTESIAN_POINT('',(39.006072,18.873,0.)); #532141=CARTESIAN_POINT('Origin',(38.552606,18.873,0.)); #532142=CARTESIAN_POINT('',(38.552606,18.873,0.)); #532143=CARTESIAN_POINT('',(38.552606,18.873,0.)); #532144=CARTESIAN_POINT('',(38.552606,18.873,0.035)); #532145=CARTESIAN_POINT('',(38.552606,18.873,0.035)); #532146=CARTESIAN_POINT('',(38.552606,18.873,0.)); #532147=CARTESIAN_POINT('Origin',(38.339803,18.660197,0.)); #532148=CARTESIAN_POINT('',(38.339803,18.660197,0.)); #532149=CARTESIAN_POINT('',(38.339803,18.660197,0.)); #532150=CARTESIAN_POINT('',(38.339803,18.660197,0.035)); #532151=CARTESIAN_POINT('',(38.339803,18.660197,0.035)); #532152=CARTESIAN_POINT('',(38.339803,18.660197,0.)); #532153=CARTESIAN_POINT('Origin',(38.2500007497004,18.7500003087252,0.)); #532154=CARTESIAN_POINT('',(38.25,18.623,0.)); #532155=CARTESIAN_POINT('Origin',(38.2500007497004,18.7500003087252,0.)); #532156=CARTESIAN_POINT('',(38.25,18.623,0.035)); #532157=CARTESIAN_POINT('Origin',(38.2500007497004,18.7500003087252,0.035)); #532158=CARTESIAN_POINT('',(38.25,18.623,0.)); #532159=CARTESIAN_POINT('Origin',(37.7125,18.623,0.)); #532160=CARTESIAN_POINT('',(37.7125,18.623,0.)); #532161=CARTESIAN_POINT('',(37.7125,18.623,0.)); #532162=CARTESIAN_POINT('',(37.7125,18.623,0.035)); #532163=CARTESIAN_POINT('',(37.7125,18.623,0.035)); #532164=CARTESIAN_POINT('',(37.7125,18.623,0.)); #532165=CARTESIAN_POINT('Origin',(37.7125,18.6125,0.)); #532166=CARTESIAN_POINT('',(37.7125,18.6125,0.)); #532167=CARTESIAN_POINT('',(37.7125,18.6125,0.)); #532168=CARTESIAN_POINT('',(37.7125,18.6125,0.035)); #532169=CARTESIAN_POINT('',(37.7125,18.6125,0.035)); #532170=CARTESIAN_POINT('',(37.7125,18.6125,0.)); #532171=CARTESIAN_POINT('Origin',(37.0875,18.6125,0.)); #532172=CARTESIAN_POINT('',(37.0875,18.6125,0.)); #532173=CARTESIAN_POINT('',(37.0875,18.6125,0.)); #532174=CARTESIAN_POINT('',(37.0875,18.6125,0.035)); #532175=CARTESIAN_POINT('',(37.0875,18.6125,0.035)); #532176=CARTESIAN_POINT('',(37.0875,18.6125,0.)); #532177=CARTESIAN_POINT('Origin',(37.0875,18.8875,0.)); #532178=CARTESIAN_POINT('',(37.0875,18.8875,0.)); #532179=CARTESIAN_POINT('',(37.0875,18.8875,0.)); #532180=CARTESIAN_POINT('',(37.0875,18.8875,0.035)); #532181=CARTESIAN_POINT('',(37.0875,18.8875,0.035)); #532182=CARTESIAN_POINT('',(37.0875,18.8875,0.)); #532183=CARTESIAN_POINT('Origin',(37.7125,18.8875,0.)); #532184=CARTESIAN_POINT('',(37.7125,18.8875,0.)); #532185=CARTESIAN_POINT('',(37.7125,18.8875,0.)); #532186=CARTESIAN_POINT('',(37.7125,18.8875,0.035)); #532187=CARTESIAN_POINT('',(37.7125,18.8875,0.035)); #532188=CARTESIAN_POINT('',(37.7125,18.8875,0.)); #532189=CARTESIAN_POINT('Origin',(37.7125,18.877,0.)); #532190=CARTESIAN_POINT('',(37.7125,18.877,0.)); #532191=CARTESIAN_POINT('',(37.7125,18.877,0.)); #532192=CARTESIAN_POINT('',(37.7125,18.877,0.035)); #532193=CARTESIAN_POINT('',(37.7125,18.877,0.035)); #532194=CARTESIAN_POINT('',(37.7125,18.877,0.)); #532195=CARTESIAN_POINT('Origin',(38.197394,18.877,0.)); #532196=CARTESIAN_POINT('',(38.197394,18.877,0.)); #532197=CARTESIAN_POINT('',(38.197394,18.877,0.)); #532198=CARTESIAN_POINT('',(38.197394,18.877,0.035)); #532199=CARTESIAN_POINT('',(38.197394,18.877,0.035)); #532200=CARTESIAN_POINT('',(38.197394,18.877,0.)); #532201=CARTESIAN_POINT('Origin',(38.410197,19.089803,0.)); #532202=CARTESIAN_POINT('',(38.410197,19.089803,0.)); #532203=CARTESIAN_POINT('',(38.410197,19.089803,0.)); #532204=CARTESIAN_POINT('',(38.410197,19.089803,0.035)); #532205=CARTESIAN_POINT('',(38.410197,19.089803,0.035)); #532206=CARTESIAN_POINT('',(38.410197,19.089803,0.)); #532207=CARTESIAN_POINT('Origin',(38.4999992502996,18.9999996912748,0.)); #532208=CARTESIAN_POINT('',(38.5,19.127,0.)); #532209=CARTESIAN_POINT('Origin',(38.4999992502996,18.9999996912748,0.)); #532210=CARTESIAN_POINT('',(38.5,19.127,0.035)); #532211=CARTESIAN_POINT('Origin',(38.4999992502996,18.9999996912748,0.035)); #532212=CARTESIAN_POINT('',(38.5,19.127,0.)); #532213=CARTESIAN_POINT('Origin',(39.006072,19.127,0.)); #532214=CARTESIAN_POINT('',(39.006072,19.127,0.)); #532215=CARTESIAN_POINT('',(39.006072,19.127,0.)); #532216=CARTESIAN_POINT('',(39.006072,19.127,0.035)); #532217=CARTESIAN_POINT('',(39.006072,19.127,0.035)); #532218=CARTESIAN_POINT('',(39.006072,19.127,0.)); #532219=CARTESIAN_POINT('Origin',(39.249992678009,18.9999956178826,0.)); #532220=CARTESIAN_POINT('',(39.25,19.275,0.)); #532221=CARTESIAN_POINT('Origin',(39.249992678009,18.9999956178826,0.)); #532222=CARTESIAN_POINT('',(39.25,19.275,0.035)); #532223=CARTESIAN_POINT('Origin',(39.249992678009,18.9999956178826,0.035)); #532224=CARTESIAN_POINT('',(39.25,19.275,0.)); #532225=CARTESIAN_POINT('Origin',(39.25,19.623,0.)); #532226=CARTESIAN_POINT('',(39.25,19.623,0.)); #532227=CARTESIAN_POINT('',(39.25,19.623,0.)); #532228=CARTESIAN_POINT('',(39.25,19.623,0.035)); #532229=CARTESIAN_POINT('',(39.25,19.623,0.035)); #532230=CARTESIAN_POINT('',(39.25,19.623,0.)); #532231=CARTESIAN_POINT('Origin',(37.7125,19.623,0.)); #532232=CARTESIAN_POINT('',(37.7125,19.623,0.)); #532233=CARTESIAN_POINT('',(37.7125,19.623,0.)); #532234=CARTESIAN_POINT('',(37.7125,19.623,0.035)); #532235=CARTESIAN_POINT('',(37.7125,19.623,0.035)); #532236=CARTESIAN_POINT('',(37.7125,19.623,0.)); #532237=CARTESIAN_POINT('Origin',(37.7125,19.6125,0.)); #532238=CARTESIAN_POINT('',(37.7125,19.6125,0.)); #532239=CARTESIAN_POINT('',(37.7125,19.6125,0.)); #532240=CARTESIAN_POINT('',(37.7125,19.6125,0.035)); #532241=CARTESIAN_POINT('',(37.7125,19.6125,0.035)); #532242=CARTESIAN_POINT('',(37.7125,19.6125,0.)); #532243=CARTESIAN_POINT('Origin',(37.0875,19.6125,0.)); #532244=CARTESIAN_POINT('',(37.0875,19.6125,0.)); #532245=CARTESIAN_POINT('',(37.0875,19.6125,0.)); #532246=CARTESIAN_POINT('',(37.0875,19.6125,0.035)); #532247=CARTESIAN_POINT('',(37.0875,19.6125,0.035)); #532248=CARTESIAN_POINT('',(37.0875,19.6125,0.)); #532249=CARTESIAN_POINT('Origin',(37.0875,19.8875,0.)); #532250=CARTESIAN_POINT('',(37.0875,19.8875,0.)); #532251=CARTESIAN_POINT('',(37.0875,19.8875,0.)); #532252=CARTESIAN_POINT('',(37.0875,19.8875,0.035)); #532253=CARTESIAN_POINT('',(37.0875,19.8875,0.035)); #532254=CARTESIAN_POINT('',(37.0875,19.8875,0.)); #532255=CARTESIAN_POINT('Origin',(37.273,19.8875,0.)); #532256=CARTESIAN_POINT('',(37.273,19.8875,0.)); #532257=CARTESIAN_POINT('',(37.273,19.8875,0.)); #532258=CARTESIAN_POINT('',(37.273,19.8875,0.035)); #532259=CARTESIAN_POINT('',(37.273,19.8875,0.035)); #532260=CARTESIAN_POINT('',(37.273,19.8875,0.)); #532261=CARTESIAN_POINT('Origin',(37.273,20.072394,0.)); #532262=CARTESIAN_POINT('',(37.273,20.072394,0.)); #532263=CARTESIAN_POINT('',(37.273,20.072394,0.)); #532264=CARTESIAN_POINT('',(37.273,20.072394,0.035)); #532265=CARTESIAN_POINT('',(37.273,20.072394,0.035)); #532266=CARTESIAN_POINT('',(37.273,20.072394,0.)); #532267=CARTESIAN_POINT('Origin',(37.072394,20.273,0.)); #532268=CARTESIAN_POINT('',(37.072394,20.273,0.)); #532269=CARTESIAN_POINT('',(37.072394,20.273,0.)); #532270=CARTESIAN_POINT('',(37.072394,20.273,0.035)); #532271=CARTESIAN_POINT('',(37.072394,20.273,0.035)); #532272=CARTESIAN_POINT('',(37.072394,20.273,0.)); #532273=CARTESIAN_POINT('Origin',(36.8875,20.273,0.)); #532274=CARTESIAN_POINT('',(36.8875,20.273,0.)); #532275=CARTESIAN_POINT('',(36.8875,20.273,0.)); #532276=CARTESIAN_POINT('',(36.8875,20.273,0.035)); #532277=CARTESIAN_POINT('',(36.8875,20.273,0.035)); #532278=CARTESIAN_POINT('',(36.8875,20.273,0.)); #532279=CARTESIAN_POINT('Origin',(36.8875,20.0875,0.)); #532280=CARTESIAN_POINT('',(36.8875,20.0875,0.)); #532281=CARTESIAN_POINT('',(36.8875,20.0875,0.)); #532282=CARTESIAN_POINT('',(36.8875,20.0875,0.035)); #532283=CARTESIAN_POINT('',(36.8875,20.0875,0.035)); #532284=CARTESIAN_POINT('',(36.8875,20.0875,0.)); #532285=CARTESIAN_POINT('Origin',(36.6125,20.0875,0.)); #532286=CARTESIAN_POINT('',(36.6125,20.0875,0.)); #532287=CARTESIAN_POINT('',(36.6125,20.0875,0.)); #532288=CARTESIAN_POINT('',(36.6125,20.0875,0.035)); #532289=CARTESIAN_POINT('',(36.6125,20.0875,0.035)); #532290=CARTESIAN_POINT('',(36.6125,20.0875,0.)); #532291=CARTESIAN_POINT('Origin',(36.6125,20.7125,0.)); #532292=CARTESIAN_POINT('',(36.6125,20.7125,0.)); #532293=CARTESIAN_POINT('',(36.6125,20.7125,0.)); #532294=CARTESIAN_POINT('',(36.6125,20.7125,0.035)); #532295=CARTESIAN_POINT('',(36.6125,20.7125,0.035)); #532296=CARTESIAN_POINT('',(36.6125,20.7125,0.)); #532297=CARTESIAN_POINT('Origin',(36.8875,20.7125,0.)); #532298=CARTESIAN_POINT('',(36.8875,20.7125,0.)); #532299=CARTESIAN_POINT('',(36.8875,20.7125,0.)); #532300=CARTESIAN_POINT('',(36.8875,20.7125,0.035)); #532301=CARTESIAN_POINT('',(36.8875,20.7125,0.035)); #532302=CARTESIAN_POINT('',(36.8875,20.7125,0.)); #532303=CARTESIAN_POINT('Origin',(36.8875,20.527,0.)); #532304=CARTESIAN_POINT('',(36.8875,20.527,0.)); #532305=CARTESIAN_POINT('',(36.8875,20.527,0.)); #532306=CARTESIAN_POINT('',(36.8875,20.527,0.035)); #532307=CARTESIAN_POINT('',(36.8875,20.527,0.035)); #532308=CARTESIAN_POINT('',(36.8875,20.527,0.)); #532309=CARTESIAN_POINT('Origin',(37.125,20.527,0.)); #532310=CARTESIAN_POINT('',(37.125,20.527,0.)); #532311=CARTESIAN_POINT('',(37.125,20.527,0.)); #532312=CARTESIAN_POINT('',(37.125,20.527,0.035)); #532313=CARTESIAN_POINT('',(37.125,20.527,0.035)); #532314=CARTESIAN_POINT('',(37.125,20.527,0.)); #532315=CARTESIAN_POINT('Origin',(37.1250007497004,20.3999996912748,0.)); #532316=CARTESIAN_POINT('',(37.214803,20.489803,0.)); #532317=CARTESIAN_POINT('Origin',(37.1250007497004,20.3999996912748,0.)); #532318=CARTESIAN_POINT('',(37.214803,20.489803,0.035)); #532319=CARTESIAN_POINT('Origin',(37.1250007497004,20.3999996912748,0.035)); #532320=CARTESIAN_POINT('',(37.214803,20.489803,0.)); #532321=CARTESIAN_POINT('Origin',(37.489803,20.214803,0.)); #532322=CARTESIAN_POINT('',(37.489803,20.214803,0.)); #532323=CARTESIAN_POINT('',(37.489803,20.214803,0.)); #532324=CARTESIAN_POINT('',(37.489803,20.214803,0.035)); #532325=CARTESIAN_POINT('',(37.489803,20.214803,0.035)); #532326=CARTESIAN_POINT('',(37.489803,20.214803,0.)); #532327=CARTESIAN_POINT('Origin',(37.3999996912748,20.1250007497004,0.)); #532328=CARTESIAN_POINT('',(37.527,20.125,0.)); #532329=CARTESIAN_POINT('Origin',(37.3999996912748,20.1250007497004,0.)); #532330=CARTESIAN_POINT('',(37.527,20.125,0.035)); #532331=CARTESIAN_POINT('Origin',(37.3999996912748,20.1250007497004,0.035)); #532332=CARTESIAN_POINT('',(37.527,20.125,0.)); #532333=CARTESIAN_POINT('Origin',(37.527,19.8875,0.)); #532334=CARTESIAN_POINT('',(37.527,19.8875,0.)); #532335=CARTESIAN_POINT('',(37.527,19.8875,0.)); #532336=CARTESIAN_POINT('',(37.527,19.8875,0.035)); #532337=CARTESIAN_POINT('',(37.527,19.8875,0.035)); #532338=CARTESIAN_POINT('',(37.527,19.8875,0.)); #532339=CARTESIAN_POINT('Origin',(37.7125,19.8875,0.)); #532340=CARTESIAN_POINT('',(37.7125,19.8875,0.)); #532341=CARTESIAN_POINT('',(37.7125,19.8875,0.)); #532342=CARTESIAN_POINT('',(37.7125,19.8875,0.035)); #532343=CARTESIAN_POINT('',(37.7125,19.8875,0.035)); #532344=CARTESIAN_POINT('',(37.7125,19.8875,0.)); #532345=CARTESIAN_POINT('Origin',(37.7125,19.877,0.)); #532346=CARTESIAN_POINT('',(37.7125,19.877,0.)); #532347=CARTESIAN_POINT('',(37.7125,19.877,0.)); #532348=CARTESIAN_POINT('',(37.7125,19.877,0.035)); #532349=CARTESIAN_POINT('',(37.7125,19.877,0.035)); #532350=CARTESIAN_POINT('',(37.7125,19.877,0.)); #532351=CARTESIAN_POINT('Origin',(39.25,19.877,0.)); #532352=CARTESIAN_POINT('',(39.25,19.877,0.)); #532353=CARTESIAN_POINT('',(39.25,19.877,0.)); #532354=CARTESIAN_POINT('',(39.25,19.877,0.035)); #532355=CARTESIAN_POINT('',(39.25,19.877,0.035)); #532356=CARTESIAN_POINT('',(39.25,19.877,0.)); #532357=CARTESIAN_POINT('Origin',(39.25,20.947394,0.)); #532358=CARTESIAN_POINT('',(39.25,20.947394,0.)); #532359=CARTESIAN_POINT('',(39.25,20.947394,0.)); #532360=CARTESIAN_POINT('',(39.25,20.947394,0.035)); #532361=CARTESIAN_POINT('',(39.25,20.947394,0.035)); #532362=CARTESIAN_POINT('',(39.25,20.947394,0.)); #532363=CARTESIAN_POINT('Origin',(39.259284,20.995056,0.)); #532364=CARTESIAN_POINT('',(39.259284,20.995056,0.)); #532365=CARTESIAN_POINT('',(39.259284,20.995056,0.)); #532366=CARTESIAN_POINT('',(39.259284,20.995056,0.035)); #532367=CARTESIAN_POINT('',(39.259284,20.995056,0.035)); #532368=CARTESIAN_POINT('',(39.259284,20.995056,0.)); #532369=CARTESIAN_POINT('Origin',(39.287197,21.037197,0.)); #532370=CARTESIAN_POINT('',(39.287197,21.037197,0.)); #532371=CARTESIAN_POINT('',(39.287197,21.037197,0.)); #532372=CARTESIAN_POINT('',(39.287197,21.037197,0.035)); #532373=CARTESIAN_POINT('',(39.287197,21.037197,0.035)); #532374=CARTESIAN_POINT('',(39.287197,21.037197,0.)); #532375=CARTESIAN_POINT('Origin',(40.462803,22.212803,0.)); #532376=CARTESIAN_POINT('',(40.462803,22.212803,0.)); #532377=CARTESIAN_POINT('',(40.462803,22.212803,0.)); #532378=CARTESIAN_POINT('',(40.462803,22.212803,0.035)); #532379=CARTESIAN_POINT('',(40.462803,22.212803,0.035)); #532380=CARTESIAN_POINT('',(40.462803,22.212803,0.)); #532381=CARTESIAN_POINT('Origin',(40.503072,22.239941,0.)); #532382=CARTESIAN_POINT('',(40.503072,22.239941,0.)); #532383=CARTESIAN_POINT('',(40.503072,22.239941,0.)); #532384=CARTESIAN_POINT('',(40.503072,22.239941,0.035)); #532385=CARTESIAN_POINT('',(40.503072,22.239941,0.035)); #532386=CARTESIAN_POINT('',(40.503072,22.239941,0.)); #532387=CARTESIAN_POINT('Origin',(40.552606,22.25,0.)); #532388=CARTESIAN_POINT('',(40.552606,22.25,0.)); #532389=CARTESIAN_POINT('',(40.552606,22.25,0.)); #532390=CARTESIAN_POINT('',(40.552606,22.25,0.035)); #532391=CARTESIAN_POINT('',(40.552606,22.25,0.035)); #532392=CARTESIAN_POINT('',(40.552606,22.25,0.)); #532393=CARTESIAN_POINT('Origin',(47.241006,22.25,0.)); #532394=CARTESIAN_POINT('',(47.241006,22.25,0.)); #532395=CARTESIAN_POINT('',(47.241006,22.25,0.)); #532396=CARTESIAN_POINT('',(47.241006,22.25,0.035)); #532397=CARTESIAN_POINT('',(47.241006,22.25,0.035)); #532398=CARTESIAN_POINT('',(47.241006,22.25,0.)); #532399=CARTESIAN_POINT('Origin',(47.250025,22.251284,0.)); #532400=CARTESIAN_POINT('',(47.250025,22.251284,0.)); #532401=CARTESIAN_POINT('',(47.250025,22.251284,0.)); #532402=CARTESIAN_POINT('',(47.250025,22.251284,0.035)); #532403=CARTESIAN_POINT('',(47.250025,22.251284,0.035)); #532404=CARTESIAN_POINT('',(47.250025,22.251284,0.)); #532405=CARTESIAN_POINT('Origin',(47.255653,22.255653,0.)); #532406=CARTESIAN_POINT('',(47.255653,22.255653,0.)); #532407=CARTESIAN_POINT('',(47.255653,22.255653,0.)); #532408=CARTESIAN_POINT('',(47.255653,22.255653,0.035)); #532409=CARTESIAN_POINT('',(47.255653,22.255653,0.035)); #532410=CARTESIAN_POINT('',(47.255653,22.255653,0.)); #532411=CARTESIAN_POINT('Origin',(48.493634,23.493634,0.)); #532412=CARTESIAN_POINT('',(48.493634,23.493634,0.)); #532413=CARTESIAN_POINT('',(48.493634,23.493634,0.)); #532414=CARTESIAN_POINT('',(48.493634,23.493634,0.035)); #532415=CARTESIAN_POINT('',(48.493634,23.493634,0.035)); #532416=CARTESIAN_POINT('',(48.493634,23.493634,0.)); #532417=CARTESIAN_POINT('Origin',(48.499109,23.500928,0.)); #532418=CARTESIAN_POINT('',(48.499109,23.500928,0.)); #532419=CARTESIAN_POINT('',(48.499109,23.500928,0.)); #532420=CARTESIAN_POINT('',(48.499109,23.500928,0.035)); #532421=CARTESIAN_POINT('',(48.499109,23.500928,0.035)); #532422=CARTESIAN_POINT('',(48.499109,23.500928,0.)); #532423=CARTESIAN_POINT('Origin',(48.5,23.507984,0.)); #532424=CARTESIAN_POINT('',(48.5,23.507984,0.)); #532425=CARTESIAN_POINT('',(48.5,23.507984,0.)); #532426=CARTESIAN_POINT('',(48.5,23.507984,0.035)); #532427=CARTESIAN_POINT('',(48.5,23.507984,0.035)); #532428=CARTESIAN_POINT('',(48.5,23.507984,0.)); #532429=CARTESIAN_POINT('Origin',(48.5,38.3944,0.)); #532430=CARTESIAN_POINT('',(48.5,38.3944,0.)); #532431=CARTESIAN_POINT('',(48.5,38.3944,0.)); #532432=CARTESIAN_POINT('',(48.5,38.3944,0.035)); #532433=CARTESIAN_POINT('',(48.5,38.3944,0.035)); #532434=CARTESIAN_POINT('',(48.5,38.3944,0.)); #532435=CARTESIAN_POINT('Origin',(48.508538,38.440181,0.)); #532436=CARTESIAN_POINT('',(48.508538,38.440181,0.)); #532437=CARTESIAN_POINT('',(48.508538,38.440181,0.)); #532438=CARTESIAN_POINT('',(48.508538,38.440181,0.035)); #532439=CARTESIAN_POINT('',(48.508538,38.440181,0.035)); #532440=CARTESIAN_POINT('',(48.508538,38.440181,0.)); #532441=CARTESIAN_POINT('Origin',(48.535778,38.482759,0.)); #532442=CARTESIAN_POINT('',(48.535778,38.482759,0.)); #532443=CARTESIAN_POINT('',(48.535778,38.482759,0.)); #532444=CARTESIAN_POINT('',(48.535778,38.482759,0.035)); #532445=CARTESIAN_POINT('',(48.535778,38.482759,0.035)); #532446=CARTESIAN_POINT('',(48.535778,38.482759,0.)); #532447=CARTESIAN_POINT('Origin',(48.577466,38.511341,0.)); #532448=CARTESIAN_POINT('',(48.577466,38.511341,0.)); #532449=CARTESIAN_POINT('',(48.577466,38.511341,0.)); #532450=CARTESIAN_POINT('',(48.577466,38.511341,0.035)); #532451=CARTESIAN_POINT('',(48.577466,38.511341,0.035)); #532452=CARTESIAN_POINT('',(48.577466,38.511341,0.)); #532453=CARTESIAN_POINT('Origin',(48.624522,38.520897,0.)); #532454=CARTESIAN_POINT('',(48.624522,38.520897,0.)); #532455=CARTESIAN_POINT('',(48.624522,38.520897,0.)); #532456=CARTESIAN_POINT('',(48.624522,38.520897,0.035)); #532457=CARTESIAN_POINT('',(48.624522,38.520897,0.035)); #532458=CARTESIAN_POINT('',(48.624522,38.520897,0.)); #532459=CARTESIAN_POINT('Origin',(49.3536,39.249975,0.)); #532460=CARTESIAN_POINT('',(49.3536,39.249975,0.)); #532461=CARTESIAN_POINT('',(49.3536,39.249975,0.)); #532462=CARTESIAN_POINT('',(49.3536,39.249975,0.035)); #532463=CARTESIAN_POINT('',(49.3536,39.249975,0.035)); #532464=CARTESIAN_POINT('',(49.3536,39.249975,0.)); #532465=CARTESIAN_POINT('Origin',(49.3536,44.477706,0.)); #532466=CARTESIAN_POINT('',(49.3536,44.477706,0.)); #532467=CARTESIAN_POINT('',(49.3536,44.477706,0.)); #532468=CARTESIAN_POINT('',(49.3536,44.477706,0.035)); #532469=CARTESIAN_POINT('',(49.3536,44.477706,0.035)); #532470=CARTESIAN_POINT('',(49.3536,44.477706,0.)); #532471=CARTESIAN_POINT('Origin',(49.362884,44.525369,0.)); #532472=CARTESIAN_POINT('',(49.362884,44.525369,0.)); #532473=CARTESIAN_POINT('',(49.362884,44.525369,0.)); #532474=CARTESIAN_POINT('',(49.362884,44.525369,0.035)); #532475=CARTESIAN_POINT('',(49.362884,44.525369,0.035)); #532476=CARTESIAN_POINT('',(49.362884,44.525369,0.)); #532477=CARTESIAN_POINT('Origin',(49.390797,44.567509,0.)); #532478=CARTESIAN_POINT('',(49.390797,44.567509,0.)); #532479=CARTESIAN_POINT('',(49.390797,44.567509,0.)); #532480=CARTESIAN_POINT('',(49.390797,44.567509,0.035)); #532481=CARTESIAN_POINT('',(49.390797,44.567509,0.035)); #532482=CARTESIAN_POINT('',(49.390797,44.567509,0.)); #532483=CARTESIAN_POINT('Origin',(50.057491,45.234203,0.)); #532484=CARTESIAN_POINT('',(50.057491,45.234203,0.)); #532485=CARTESIAN_POINT('',(50.057491,45.234203,0.)); #532486=CARTESIAN_POINT('',(50.057491,45.234203,0.035)); #532487=CARTESIAN_POINT('',(50.057491,45.234203,0.035)); #532488=CARTESIAN_POINT('',(50.057491,45.234203,0.)); #532489=CARTESIAN_POINT('Origin',(50.097759,45.261341,0.)); #532490=CARTESIAN_POINT('',(50.097759,45.261341,0.)); #532491=CARTESIAN_POINT('',(50.097759,45.261341,0.)); #532492=CARTESIAN_POINT('',(50.097759,45.261341,0.035)); #532493=CARTESIAN_POINT('',(50.097759,45.261341,0.035)); #532494=CARTESIAN_POINT('',(50.097759,45.261341,0.)); #532495=CARTESIAN_POINT('Origin',(50.147294,45.2714,0.)); #532496=CARTESIAN_POINT('',(50.147294,45.2714,0.)); #532497=CARTESIAN_POINT('',(50.147294,45.2714,0.)); #532498=CARTESIAN_POINT('',(50.147294,45.2714,0.035)); #532499=CARTESIAN_POINT('',(50.147294,45.2714,0.035)); #532500=CARTESIAN_POINT('',(50.147294,45.2714,0.)); #532501=CARTESIAN_POINT('Origin',(52.594687,45.2714,0.)); #532502=CARTESIAN_POINT('',(52.594687,45.2714,0.)); #532503=CARTESIAN_POINT('',(52.594687,45.2714,0.)); #532504=CARTESIAN_POINT('',(52.594687,45.2714,0.035)); #532505=CARTESIAN_POINT('',(52.594687,45.2714,0.035)); #532506=CARTESIAN_POINT('',(52.594687,45.2714,0.)); #532507=CARTESIAN_POINT('Origin',(53.2286,45.905313,0.)); #532508=CARTESIAN_POINT('',(53.2286,45.905313,0.)); #532509=CARTESIAN_POINT('',(53.2286,45.905313,0.)); #532510=CARTESIAN_POINT('',(53.2286,45.905313,0.035)); #532511=CARTESIAN_POINT('',(53.2286,45.905313,0.035)); #532512=CARTESIAN_POINT('',(53.2286,45.905313,0.)); #532513=CARTESIAN_POINT('Origin',(53.2286,50.102706,0.)); #532514=CARTESIAN_POINT('',(53.2286,50.102706,0.)); #532515=CARTESIAN_POINT('',(53.2286,50.102706,0.)); #532516=CARTESIAN_POINT('',(53.2286,50.102706,0.035)); #532517=CARTESIAN_POINT('',(53.2286,50.102706,0.035)); #532518=CARTESIAN_POINT('',(53.2286,50.102706,0.)); #532519=CARTESIAN_POINT('Origin',(53.237884,50.150369,0.)); #532520=CARTESIAN_POINT('',(53.237884,50.150369,0.)); #532521=CARTESIAN_POINT('',(53.237884,50.150369,0.)); #532522=CARTESIAN_POINT('',(53.237884,50.150369,0.035)); #532523=CARTESIAN_POINT('',(53.237884,50.150369,0.035)); #532524=CARTESIAN_POINT('',(53.237884,50.150369,0.)); #532525=CARTESIAN_POINT('Origin',(53.265797,50.192509,0.)); #532526=CARTESIAN_POINT('',(53.265797,50.192509,0.)); #532527=CARTESIAN_POINT('',(53.265797,50.192509,0.)); #532528=CARTESIAN_POINT('',(53.265797,50.192509,0.035)); #532529=CARTESIAN_POINT('',(53.265797,50.192509,0.035)); #532530=CARTESIAN_POINT('',(53.265797,50.192509,0.)); #532531=CARTESIAN_POINT('Origin',(53.307491,50.234203,0.)); #532532=CARTESIAN_POINT('',(53.307491,50.234203,0.)); #532533=CARTESIAN_POINT('',(53.307491,50.234203,0.)); #532534=CARTESIAN_POINT('',(53.307491,50.234203,0.035)); #532535=CARTESIAN_POINT('',(53.307491,50.234203,0.035)); #532536=CARTESIAN_POINT('',(53.307491,50.234203,0.)); #532537=CARTESIAN_POINT('Origin',(53.347759,50.261341,0.)); #532538=CARTESIAN_POINT('',(53.347759,50.261341,0.)); #532539=CARTESIAN_POINT('',(53.347759,50.261341,0.)); #532540=CARTESIAN_POINT('',(53.347759,50.261341,0.035)); #532541=CARTESIAN_POINT('',(53.347759,50.261341,0.035)); #532542=CARTESIAN_POINT('',(53.347759,50.261341,0.)); #532543=CARTESIAN_POINT('Origin',(53.397294,50.2714,0.)); #532544=CARTESIAN_POINT('',(53.397294,50.2714,0.)); #532545=CARTESIAN_POINT('',(53.397294,50.2714,0.)); #532546=CARTESIAN_POINT('',(53.397294,50.2714,0.035)); #532547=CARTESIAN_POINT('',(53.397294,50.2714,0.035)); #532548=CARTESIAN_POINT('',(53.397294,50.2714,0.)); #532549=CARTESIAN_POINT('Origin',(53.5395,50.2714,0.)); #532550=CARTESIAN_POINT('',(53.5395,50.2714,0.)); #532551=CARTESIAN_POINT('',(53.5395,50.2714,0.)); #532552=CARTESIAN_POINT('',(53.5395,50.2714,0.035)); #532553=CARTESIAN_POINT('',(53.5395,50.2714,0.035)); #532554=CARTESIAN_POINT('',(53.5395,50.2714,0.)); #532555=CARTESIAN_POINT('Origin',(53.585281,50.262863,0.)); #532556=CARTESIAN_POINT('',(53.585281,50.262863,0.)); #532557=CARTESIAN_POINT('',(53.585281,50.262863,0.)); #532558=CARTESIAN_POINT('',(53.585281,50.262863,0.035)); #532559=CARTESIAN_POINT('',(53.585281,50.262863,0.035)); #532560=CARTESIAN_POINT('',(53.585281,50.262863,0.)); #532561=CARTESIAN_POINT('Origin',(53.627859,50.235622,0.)); #532562=CARTESIAN_POINT('',(53.627859,50.235622,0.)); #532563=CARTESIAN_POINT('',(53.627859,50.235622,0.)); #532564=CARTESIAN_POINT('',(53.627859,50.235622,0.035)); #532565=CARTESIAN_POINT('',(53.627859,50.235622,0.035)); #532566=CARTESIAN_POINT('',(53.627859,50.235622,0.)); #532567=CARTESIAN_POINT('Origin',(53.656441,50.193934,0.)); #532568=CARTESIAN_POINT('',(53.656441,50.193934,0.)); #532569=CARTESIAN_POINT('',(53.656441,50.193934,0.)); #532570=CARTESIAN_POINT('',(53.656441,50.193934,0.035)); #532571=CARTESIAN_POINT('',(53.656441,50.193934,0.035)); #532572=CARTESIAN_POINT('',(53.656441,50.193934,0.)); #532573=CARTESIAN_POINT('Origin',(53.6665,50.1444,0.)); #532574=CARTESIAN_POINT('',(53.6665,50.1444,0.)); #532575=CARTESIAN_POINT('',(53.6665,50.1444,0.)); #532576=CARTESIAN_POINT('',(53.6665,50.1444,0.035)); #532577=CARTESIAN_POINT('',(53.6665,50.1444,0.035)); #532578=CARTESIAN_POINT('',(53.6665,50.1444,0.)); #532579=CARTESIAN_POINT('Origin',(53.6665,49.992375,0.)); #532580=CARTESIAN_POINT('',(53.6665,49.992375,0.)); #532581=CARTESIAN_POINT('',(53.6665,49.992375,0.)); #532582=CARTESIAN_POINT('',(53.6665,49.992375,0.035)); #532583=CARTESIAN_POINT('',(53.6665,49.992375,0.035)); #532584=CARTESIAN_POINT('',(53.6665,49.992375,0.)); #532585=CARTESIAN_POINT('Origin',(53.755775,49.9031,0.)); #532586=CARTESIAN_POINT('',(53.755775,49.9031,0.)); #532587=CARTESIAN_POINT('',(53.755775,49.9031,0.)); #532588=CARTESIAN_POINT('',(53.755775,49.9031,0.035)); #532589=CARTESIAN_POINT('',(53.755775,49.9031,0.035)); #532590=CARTESIAN_POINT('',(53.755775,49.9031,0.)); #532591=CARTESIAN_POINT('Origin',(54.720225,49.9031,0.)); #532592=CARTESIAN_POINT('',(54.720225,49.9031,0.)); #532593=CARTESIAN_POINT('',(54.720225,49.9031,0.)); #532594=CARTESIAN_POINT('',(54.720225,49.9031,0.035)); #532595=CARTESIAN_POINT('',(54.720225,49.9031,0.035)); #532596=CARTESIAN_POINT('',(54.720225,49.9031,0.)); #532597=CARTESIAN_POINT('Origin',(54.8095,49.992375,0.)); #532598=CARTESIAN_POINT('',(54.8095,49.992375,0.)); #532599=CARTESIAN_POINT('',(54.8095,49.992375,0.)); #532600=CARTESIAN_POINT('',(54.8095,49.992375,0.035)); #532601=CARTESIAN_POINT('',(54.8095,49.992375,0.035)); #532602=CARTESIAN_POINT('',(54.8095,49.992375,0.)); #532603=CARTESIAN_POINT('Origin',(54.8095,50.873,0.)); #532604=CARTESIAN_POINT('',(54.8095,50.873,0.)); #532605=CARTESIAN_POINT('',(54.8095,50.873,0.)); #532606=CARTESIAN_POINT('',(54.8095,50.873,0.035)); #532607=CARTESIAN_POINT('',(54.8095,50.873,0.035)); #532608=CARTESIAN_POINT('',(54.8095,50.873,0.)); #532609=CARTESIAN_POINT('Origin',(54.818038,50.918781,0.)); #532610=CARTESIAN_POINT('',(54.818038,50.918781,0.)); #532611=CARTESIAN_POINT('',(54.818038,50.918781,0.)); #532612=CARTESIAN_POINT('',(54.818038,50.918781,0.035)); #532613=CARTESIAN_POINT('',(54.818038,50.918781,0.035)); #532614=CARTESIAN_POINT('',(54.818038,50.918781,0.)); #532615=CARTESIAN_POINT('Origin',(54.845278,50.961359,0.)); #532616=CARTESIAN_POINT('',(54.845278,50.961359,0.)); #532617=CARTESIAN_POINT('',(54.845278,50.961359,0.)); #532618=CARTESIAN_POINT('',(54.845278,50.961359,0.035)); #532619=CARTESIAN_POINT('',(54.845278,50.961359,0.035)); #532620=CARTESIAN_POINT('',(54.845278,50.961359,0.)); #532621=CARTESIAN_POINT('Origin',(54.886966,50.989941,0.)); #532622=CARTESIAN_POINT('',(54.886966,50.989941,0.)); #532623=CARTESIAN_POINT('',(54.886966,50.989941,0.)); #532624=CARTESIAN_POINT('',(54.886966,50.989941,0.035)); #532625=CARTESIAN_POINT('',(54.886966,50.989941,0.035)); #532626=CARTESIAN_POINT('',(54.886966,50.989941,0.)); #532627=CARTESIAN_POINT('Origin',(54.9365,51.,0.)); #532628=CARTESIAN_POINT('',(54.9365,51.,0.)); #532629=CARTESIAN_POINT('',(54.9365,51.,0.)); #532630=CARTESIAN_POINT('',(54.9365,51.,0.035)); #532631=CARTESIAN_POINT('',(54.9365,51.,0.035)); #532632=CARTESIAN_POINT('',(54.9365,51.,0.)); #532633=CARTESIAN_POINT('Origin',(56.343441,51.,0.)); #532634=CARTESIAN_POINT('',(56.343441,51.,0.)); #532635=CARTESIAN_POINT('',(56.343441,51.,0.)); #532636=CARTESIAN_POINT('',(56.343441,51.,0.035)); #532637=CARTESIAN_POINT('',(56.343441,51.,0.035)); #532638=CARTESIAN_POINT('',(56.343441,51.,0.)); #532639=CARTESIAN_POINT('Origin',(56.397225,50.98805,0.)); #532640=CARTESIAN_POINT('',(56.397225,50.98805,0.)); #532641=CARTESIAN_POINT('',(56.397225,50.98805,0.)); #532642=CARTESIAN_POINT('',(56.397225,50.98805,0.035)); #532643=CARTESIAN_POINT('',(56.397225,50.98805,0.035)); #532644=CARTESIAN_POINT('',(56.397225,50.98805,0.)); #532645=CARTESIAN_POINT('Origin',(56.437838,50.957959,0.)); #532646=CARTESIAN_POINT('',(56.437838,50.957959,0.)); #532647=CARTESIAN_POINT('',(56.437838,50.957959,0.)); #532648=CARTESIAN_POINT('',(56.437838,50.957959,0.035)); #532649=CARTESIAN_POINT('',(56.437838,50.957959,0.035)); #532650=CARTESIAN_POINT('',(56.437838,50.957959,0.)); #532651=CARTESIAN_POINT('Origin',(57.717397,49.536225,0.)); #532652=CARTESIAN_POINT('',(57.717397,49.536225,0.)); #532653=CARTESIAN_POINT('',(57.717397,49.536225,0.)); #532654=CARTESIAN_POINT('',(57.717397,49.536225,0.035)); #532655=CARTESIAN_POINT('',(57.717397,49.536225,0.035)); #532656=CARTESIAN_POINT('',(57.717397,49.536225,0.)); #532657=CARTESIAN_POINT('Origin',(57.739941,49.5008,0.)); #532658=CARTESIAN_POINT('',(57.739941,49.5008,0.)); #532659=CARTESIAN_POINT('',(57.739941,49.5008,0.)); #532660=CARTESIAN_POINT('',(57.739941,49.5008,0.035)); #532661=CARTESIAN_POINT('',(57.739941,49.5008,0.035)); #532662=CARTESIAN_POINT('',(57.739941,49.5008,0.)); #532663=CARTESIAN_POINT('Origin',(57.75,49.451266,0.)); #532664=CARTESIAN_POINT('',(57.75,49.451266,0.)); #532665=CARTESIAN_POINT('',(57.75,49.451266,0.)); #532666=CARTESIAN_POINT('',(57.75,49.451266,0.035)); #532667=CARTESIAN_POINT('',(57.75,49.451266,0.035)); #532668=CARTESIAN_POINT('',(57.75,49.451266,0.)); #532669=CARTESIAN_POINT('Origin',(57.75,41.802606,0.)); #532670=CARTESIAN_POINT('',(57.75,41.802606,0.)); #532671=CARTESIAN_POINT('',(57.75,41.802606,0.)); #532672=CARTESIAN_POINT('',(57.75,41.802606,0.035)); #532673=CARTESIAN_POINT('',(57.75,41.802606,0.035)); #532674=CARTESIAN_POINT('',(57.75,41.802606,0.)); #532675=CARTESIAN_POINT('Origin',(57.740716,41.754944,0.)); #532676=CARTESIAN_POINT('',(57.740716,41.754944,0.)); #532677=CARTESIAN_POINT('',(57.740716,41.754944,0.)); #532678=CARTESIAN_POINT('',(57.740716,41.754944,0.035)); #532679=CARTESIAN_POINT('',(57.740716,41.754944,0.035)); #532680=CARTESIAN_POINT('',(57.740716,41.754944,0.)); #532681=CARTESIAN_POINT('Origin',(57.712803,41.712803,0.)); #532682=CARTESIAN_POINT('',(57.712803,41.712803,0.)); #532683=CARTESIAN_POINT('',(57.712803,41.712803,0.)); #532684=CARTESIAN_POINT('',(57.712803,41.712803,0.035)); #532685=CARTESIAN_POINT('',(57.712803,41.712803,0.035)); #532686=CARTESIAN_POINT('',(57.712803,41.712803,0.)); #532687=CARTESIAN_POINT('Origin',(54.006366,38.006366,0.)); #532688=CARTESIAN_POINT('',(54.006366,38.006366,0.)); #532689=CARTESIAN_POINT('',(54.006366,38.006366,0.)); #532690=CARTESIAN_POINT('',(54.006366,38.006366,0.035)); #532691=CARTESIAN_POINT('',(54.006366,38.006366,0.035)); #532692=CARTESIAN_POINT('',(54.006366,38.006366,0.)); #532693=CARTESIAN_POINT('Origin',(54.000891,37.999072,0.)); #532694=CARTESIAN_POINT('',(54.000891,37.999072,0.)); #532695=CARTESIAN_POINT('',(54.000891,37.999072,0.)); #532696=CARTESIAN_POINT('',(54.000891,37.999072,0.035)); #532697=CARTESIAN_POINT('',(54.000891,37.999072,0.035)); #532698=CARTESIAN_POINT('',(54.000891,37.999072,0.)); #532699=CARTESIAN_POINT('Origin',(54.,37.992016,0.)); #532700=CARTESIAN_POINT('',(54.,37.992016,0.)); #532701=CARTESIAN_POINT('',(54.,37.992016,0.)); #532702=CARTESIAN_POINT('',(54.,37.992016,0.035)); #532703=CARTESIAN_POINT('',(54.,37.992016,0.035)); #532704=CARTESIAN_POINT('',(54.,37.992016,0.)); #532705=CARTESIAN_POINT('Origin',(54.,19.552606,0.)); #532706=CARTESIAN_POINT('',(54.,19.552606,0.)); #532707=CARTESIAN_POINT('',(54.,19.552606,0.)); #532708=CARTESIAN_POINT('',(54.,19.552606,0.035)); #532709=CARTESIAN_POINT('',(54.,19.552606,0.035)); #532710=CARTESIAN_POINT('',(54.,19.552606,0.)); #532711=CARTESIAN_POINT('Origin',(53.990716,19.504944,0.)); #532712=CARTESIAN_POINT('',(53.990716,19.504944,0.)); #532713=CARTESIAN_POINT('',(53.990716,19.504944,0.)); #532714=CARTESIAN_POINT('',(53.990716,19.504944,0.035)); #532715=CARTESIAN_POINT('',(53.990716,19.504944,0.035)); #532716=CARTESIAN_POINT('',(53.990716,19.504944,0.)); #532717=CARTESIAN_POINT('Origin',(53.962803,19.462803,0.)); #532718=CARTESIAN_POINT('',(53.962803,19.462803,0.)); #532719=CARTESIAN_POINT('',(53.962803,19.462803,0.)); #532720=CARTESIAN_POINT('',(53.962803,19.462803,0.035)); #532721=CARTESIAN_POINT('',(53.962803,19.462803,0.035)); #532722=CARTESIAN_POINT('',(53.962803,19.462803,0.)); #532723=CARTESIAN_POINT('Origin',(50.037197,15.537197,0.)); #532724=CARTESIAN_POINT('',(50.037197,15.537197,0.)); #532725=CARTESIAN_POINT('',(50.037197,15.537197,0.)); #532726=CARTESIAN_POINT('',(50.037197,15.537197,0.035)); #532727=CARTESIAN_POINT('',(50.037197,15.537197,0.035)); #532728=CARTESIAN_POINT('',(50.037197,15.537197,0.)); #532729=CARTESIAN_POINT('Origin',(49.996928,15.510059,0.)); #532730=CARTESIAN_POINT('',(49.996928,15.510059,0.)); #532731=CARTESIAN_POINT('',(49.996928,15.510059,0.035)); #532732=CARTESIAN_POINT('Origin',(49.0825219885062,30.3482896673943,0.035)); #532733=CARTESIAN_POINT('Origin',(49.0825219885062,30.3482896673943,0.)); #532734=CARTESIAN_POINT('Origin',(45.125,47.75,-200.)); #532735=CARTESIAN_POINT('',(44.985,47.75,0.)); #532736=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #532737=CARTESIAN_POINT('',(44.985,47.75,0.0349999999999895)); #532738=CARTESIAN_POINT('',(44.985,47.75,-200.)); #532739=CARTESIAN_POINT('Origin',(45.125,47.75,0.0349999999999895)); #532740=CARTESIAN_POINT('Origin',(46.,47.,-200.)); #532741=CARTESIAN_POINT('',(45.86,47.,0.)); #532742=CARTESIAN_POINT('Origin',(46.,47.,0.)); #532743=CARTESIAN_POINT('',(45.86,47.,0.0349999999999895)); #532744=CARTESIAN_POINT('',(45.86,47.,-200.)); #532745=CARTESIAN_POINT('Origin',(46.,47.,0.0349999999999895)); #532746=CARTESIAN_POINT('Origin',(46.,47.75,-200.)); #532747=CARTESIAN_POINT('',(45.86,47.75,0.)); #532748=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #532749=CARTESIAN_POINT('',(45.86,47.75,0.0349999999999895)); #532750=CARTESIAN_POINT('',(45.86,47.75,-200.)); #532751=CARTESIAN_POINT('Origin',(46.,47.75,0.0349999999999895)); #532752=CARTESIAN_POINT('Origin',(47.75,47.75,-200.)); #532753=CARTESIAN_POINT('',(47.61,47.75,0.)); #532754=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #532755=CARTESIAN_POINT('',(47.61,47.75,0.0349999999999895)); #532756=CARTESIAN_POINT('',(47.61,47.75,-200.)); #532757=CARTESIAN_POINT('Origin',(47.75,47.75,0.0349999999999895)); #532758=CARTESIAN_POINT('Origin',(47.75,46.25,-200.)); #532759=CARTESIAN_POINT('',(47.61,46.25,0.)); #532760=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #532761=CARTESIAN_POINT('',(47.61,46.25,0.0349999999999895)); #532762=CARTESIAN_POINT('',(47.61,46.25,-200.)); #532763=CARTESIAN_POINT('Origin',(47.75,46.25,0.0349999999999895)); #532764=CARTESIAN_POINT('Origin',(46.,46.25,-200.)); #532765=CARTESIAN_POINT('',(45.86,46.25,0.)); #532766=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #532767=CARTESIAN_POINT('',(45.86,46.25,0.0349999999999895)); #532768=CARTESIAN_POINT('',(45.86,46.25,-200.)); #532769=CARTESIAN_POINT('Origin',(46.,46.25,0.0349999999999895)); #532770=CARTESIAN_POINT('Origin',(47.75,47.,-200.)); #532771=CARTESIAN_POINT('',(47.61,47.,0.)); #532772=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #532773=CARTESIAN_POINT('',(47.61,47.,0.0349999999999895)); #532774=CARTESIAN_POINT('',(47.61,47.,-200.)); #532775=CARTESIAN_POINT('Origin',(47.75,47.,0.0349999999999895)); #532776=CARTESIAN_POINT('Origin',(44.25,47.,-200.)); #532777=CARTESIAN_POINT('',(44.11,47.,0.)); #532778=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #532779=CARTESIAN_POINT('',(44.11,47.,0.0349999999999895)); #532780=CARTESIAN_POINT('',(44.11,47.,-200.)); #532781=CARTESIAN_POINT('Origin',(44.25,47.,0.0349999999999895)); #532782=CARTESIAN_POINT('Origin',(44.25,47.75,-200.)); #532783=CARTESIAN_POINT('',(44.11,47.75,0.)); #532784=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #532785=CARTESIAN_POINT('',(44.11,47.75,0.0349999999999895)); #532786=CARTESIAN_POINT('',(44.11,47.75,-200.)); #532787=CARTESIAN_POINT('Origin',(44.25,47.75,0.0349999999999895)); #532788=CARTESIAN_POINT('Origin',(44.25,46.25,-200.)); #532789=CARTESIAN_POINT('',(44.11,46.25,0.)); #532790=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #532791=CARTESIAN_POINT('',(44.11,46.25,0.0349999999999895)); #532792=CARTESIAN_POINT('',(44.11,46.25,-200.)); #532793=CARTESIAN_POINT('Origin',(44.25,46.25,0.0349999999999895)); #532794=CARTESIAN_POINT('Origin',(52.125,47.75,-200.)); #532795=CARTESIAN_POINT('',(51.985,47.75,0.)); #532796=CARTESIAN_POINT('Origin',(52.125,47.75,0.)); #532797=CARTESIAN_POINT('',(51.985,47.75,0.0349999999999895)); #532798=CARTESIAN_POINT('',(51.985,47.75,-200.)); #532799=CARTESIAN_POINT('Origin',(52.125,47.75,0.0349999999999895)); #532800=CARTESIAN_POINT('Origin',(52.125,47.,-200.)); #532801=CARTESIAN_POINT('',(51.985,47.,0.)); #532802=CARTESIAN_POINT('Origin',(52.125,47.,0.)); #532803=CARTESIAN_POINT('',(51.985,47.,0.0349999999999895)); #532804=CARTESIAN_POINT('',(51.985,47.,-200.)); #532805=CARTESIAN_POINT('Origin',(52.125,47.,0.0349999999999895)); #532806=CARTESIAN_POINT('Origin',(51.25,46.25,-200.)); #532807=CARTESIAN_POINT('',(51.11,46.25,0.)); #532808=CARTESIAN_POINT('Origin',(51.25,46.25,0.)); #532809=CARTESIAN_POINT('',(51.11,46.25,0.0349999999999895)); #532810=CARTESIAN_POINT('',(51.11,46.25,-200.)); #532811=CARTESIAN_POINT('Origin',(51.25,46.25,0.0349999999999895)); #532812=CARTESIAN_POINT('Origin',(52.125,46.25,-200.)); #532813=CARTESIAN_POINT('',(51.985,46.25,0.)); #532814=CARTESIAN_POINT('Origin',(52.125,46.25,0.)); #532815=CARTESIAN_POINT('',(51.985,46.25,0.0349999999999895)); #532816=CARTESIAN_POINT('',(51.985,46.25,-200.)); #532817=CARTESIAN_POINT('Origin',(52.125,46.25,0.0349999999999895)); #532818=CARTESIAN_POINT('Origin',(41.25,36.,-200.)); #532819=CARTESIAN_POINT('',(41.11,36.,0.)); #532820=CARTESIAN_POINT('Origin',(41.25,36.,0.)); #532821=CARTESIAN_POINT('',(41.11,36.,0.0349999999999895)); #532822=CARTESIAN_POINT('',(41.11,36.,-200.)); #532823=CARTESIAN_POINT('Origin',(41.25,36.,0.0349999999999895)); #532824=CARTESIAN_POINT('Origin',(48.625,47.75,-200.)); #532825=CARTESIAN_POINT('',(48.485,47.75,0.)); #532826=CARTESIAN_POINT('Origin',(48.625,47.75,0.)); #532827=CARTESIAN_POINT('',(48.485,47.75,0.0349999999999895)); #532828=CARTESIAN_POINT('',(48.485,47.75,-200.)); #532829=CARTESIAN_POINT('Origin',(48.625,47.75,0.0349999999999895)); #532830=CARTESIAN_POINT('Origin',(48.625,47.,-200.)); #532831=CARTESIAN_POINT('',(48.485,47.,0.)); #532832=CARTESIAN_POINT('Origin',(48.625,47.,0.)); #532833=CARTESIAN_POINT('',(48.485,47.,0.0349999999999895)); #532834=CARTESIAN_POINT('',(48.485,47.,-200.)); #532835=CARTESIAN_POINT('Origin',(48.625,47.,0.0349999999999895)); #532836=CARTESIAN_POINT('Origin',(46.875,47.75,-200.)); #532837=CARTESIAN_POINT('',(46.735,47.75,0.)); #532838=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #532839=CARTESIAN_POINT('',(46.735,47.75,0.0349999999999895)); #532840=CARTESIAN_POINT('',(46.735,47.75,-200.)); #532841=CARTESIAN_POINT('Origin',(46.875,47.75,0.0349999999999895)); #532842=CARTESIAN_POINT('Origin',(48.625,46.25,-200.)); #532843=CARTESIAN_POINT('',(48.485,46.25,0.)); #532844=CARTESIAN_POINT('Origin',(48.625,46.25,0.)); #532845=CARTESIAN_POINT('',(48.485,46.25,0.0349999999999895)); #532846=CARTESIAN_POINT('',(48.485,46.25,-200.)); #532847=CARTESIAN_POINT('Origin',(48.625,46.25,0.0349999999999895)); #532848=CARTESIAN_POINT('Origin',(45.125,46.25,-200.)); #532849=CARTESIAN_POINT('',(44.985,46.25,0.)); #532850=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #532851=CARTESIAN_POINT('',(44.985,46.25,0.0349999999999895)); #532852=CARTESIAN_POINT('',(44.985,46.25,-200.)); #532853=CARTESIAN_POINT('Origin',(45.125,46.25,0.0349999999999895)); #532854=CARTESIAN_POINT('Origin',(45.125,47.,-200.)); #532855=CARTESIAN_POINT('',(44.985,47.,0.)); #532856=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #532857=CARTESIAN_POINT('',(44.985,47.,0.0349999999999895)); #532858=CARTESIAN_POINT('',(44.985,47.,-200.)); #532859=CARTESIAN_POINT('Origin',(45.125,47.,0.0349999999999895)); #532860=CARTESIAN_POINT('Origin',(46.875,46.25,-200.)); #532861=CARTESIAN_POINT('',(46.735,46.25,0.)); #532862=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #532863=CARTESIAN_POINT('',(46.735,46.25,0.0349999999999895)); #532864=CARTESIAN_POINT('',(46.735,46.25,-200.)); #532865=CARTESIAN_POINT('Origin',(46.875,46.25,0.0349999999999895)); #532866=CARTESIAN_POINT('Origin',(46.875,47.,-200.)); #532867=CARTESIAN_POINT('',(46.735,47.,0.)); #532868=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #532869=CARTESIAN_POINT('',(46.735,47.,0.0349999999999895)); #532870=CARTESIAN_POINT('',(46.735,47.,-200.)); #532871=CARTESIAN_POINT('Origin',(46.875,47.,0.0349999999999895)); #532872=CARTESIAN_POINT('Origin',(50.375,46.25,-200.)); #532873=CARTESIAN_POINT('',(50.235,46.25,0.)); #532874=CARTESIAN_POINT('Origin',(50.375,46.25,0.)); #532875=CARTESIAN_POINT('',(50.235,46.25,0.0349999999999895)); #532876=CARTESIAN_POINT('',(50.235,46.25,-200.)); #532877=CARTESIAN_POINT('Origin',(50.375,46.25,0.0349999999999895)); #532878=CARTESIAN_POINT('Origin',(49.5,46.25,-200.)); #532879=CARTESIAN_POINT('',(49.36,46.25,0.)); #532880=CARTESIAN_POINT('Origin',(49.5,46.25,0.)); #532881=CARTESIAN_POINT('',(49.36,46.25,0.0349999999999895)); #532882=CARTESIAN_POINT('',(49.36,46.25,-200.)); #532883=CARTESIAN_POINT('Origin',(49.5,46.25,0.0349999999999895)); #532884=CARTESIAN_POINT('Origin',(49.5,47.75,-200.)); #532885=CARTESIAN_POINT('',(49.36,47.75,0.)); #532886=CARTESIAN_POINT('Origin',(49.5,47.75,0.)); #532887=CARTESIAN_POINT('',(49.36,47.75,0.0349999999999895)); #532888=CARTESIAN_POINT('',(49.36,47.75,-200.)); #532889=CARTESIAN_POINT('Origin',(49.5,47.75,0.0349999999999895)); #532890=CARTESIAN_POINT('Origin',(49.5,47.,-200.)); #532891=CARTESIAN_POINT('',(49.36,47.,0.)); #532892=CARTESIAN_POINT('Origin',(49.5,47.,0.)); #532893=CARTESIAN_POINT('',(49.36,47.,0.0349999999999895)); #532894=CARTESIAN_POINT('',(49.36,47.,-200.)); #532895=CARTESIAN_POINT('Origin',(49.5,47.,0.0349999999999895)); #532896=CARTESIAN_POINT('Origin',(50.375,47.75,-200.)); #532897=CARTESIAN_POINT('',(50.235,47.75,0.)); #532898=CARTESIAN_POINT('Origin',(50.375,47.75,0.)); #532899=CARTESIAN_POINT('',(50.235,47.75,0.0349999999999895)); #532900=CARTESIAN_POINT('',(50.235,47.75,-200.)); #532901=CARTESIAN_POINT('Origin',(50.375,47.75,0.0349999999999895)); #532902=CARTESIAN_POINT('Origin',(50.375,47.,-200.)); #532903=CARTESIAN_POINT('',(50.235,47.,0.)); #532904=CARTESIAN_POINT('Origin',(50.375,47.,0.)); #532905=CARTESIAN_POINT('',(50.235,47.,0.0349999999999895)); #532906=CARTESIAN_POINT('',(50.235,47.,-200.)); #532907=CARTESIAN_POINT('Origin',(50.375,47.,0.0349999999999895)); #532908=CARTESIAN_POINT('Origin',(51.25,47.75,-200.)); #532909=CARTESIAN_POINT('',(51.11,47.75,0.)); #532910=CARTESIAN_POINT('Origin',(51.25,47.75,0.)); #532911=CARTESIAN_POINT('',(51.11,47.75,0.0349999999999895)); #532912=CARTESIAN_POINT('',(51.11,47.75,-200.)); #532913=CARTESIAN_POINT('Origin',(51.25,47.75,0.0349999999999895)); #532914=CARTESIAN_POINT('Origin',(51.25,47.,-200.)); #532915=CARTESIAN_POINT('',(51.11,47.,0.)); #532916=CARTESIAN_POINT('Origin',(51.25,47.,0.)); #532917=CARTESIAN_POINT('',(51.11,47.,0.0349999999999895)); #532918=CARTESIAN_POINT('',(51.11,47.,-200.)); #532919=CARTESIAN_POINT('Origin',(51.25,47.,0.0349999999999895)); #532920=CARTESIAN_POINT('Origin',(41.271644,35.725,0.)); #532921=CARTESIAN_POINT('',(41.271644,35.725,0.)); #532922=CARTESIAN_POINT('',(41.314397,35.731772,0.)); #532923=CARTESIAN_POINT('',(41.271644,35.725,0.)); #532924=CARTESIAN_POINT('',(41.314397,35.731772,0.035)); #532925=CARTESIAN_POINT('',(41.314397,35.731772,0.)); #532926=CARTESIAN_POINT('',(41.271644,35.725,0.035)); #532927=CARTESIAN_POINT('',(41.271644,35.725,0.035)); #532928=CARTESIAN_POINT('',(41.271644,35.725,0.)); #532929=CARTESIAN_POINT('Origin',(41.250118471131,35.9995872515008,0.)); #532930=CARTESIAN_POINT('',(41.123,36.243928,0.)); #532931=CARTESIAN_POINT('Origin',(41.250118471131,35.9995872515008,0.)); #532932=CARTESIAN_POINT('',(41.123,36.243928,0.035)); #532933=CARTESIAN_POINT('Origin',(41.250118471131,35.9995872515008,0.035)); #532934=CARTESIAN_POINT('',(41.123,36.243928,0.)); #532935=CARTESIAN_POINT('Origin',(41.123,36.408988,0.)); #532936=CARTESIAN_POINT('',(41.123,36.408988,0.)); #532937=CARTESIAN_POINT('',(41.123,36.408988,0.)); #532938=CARTESIAN_POINT('',(41.123,36.408988,0.035)); #532939=CARTESIAN_POINT('',(41.123,36.408988,0.035)); #532940=CARTESIAN_POINT('',(41.123,36.408988,0.)); #532941=CARTESIAN_POINT('Origin',(41.2500003087252,36.4089887497004,0.)); #532942=CARTESIAN_POINT('',(41.160197,36.498791,0.)); #532943=CARTESIAN_POINT('Origin',(41.2500003087252,36.4089887497004,0.)); #532944=CARTESIAN_POINT('',(41.160197,36.498791,0.035)); #532945=CARTESIAN_POINT('Origin',(41.2500003087252,36.4089887497004,0.035)); #532946=CARTESIAN_POINT('',(41.160197,36.498791,0.)); #532947=CARTESIAN_POINT('Origin',(43.876209,39.214803,0.)); #532948=CARTESIAN_POINT('',(43.876209,39.214803,0.)); #532949=CARTESIAN_POINT('',(43.876209,39.214803,0.)); #532950=CARTESIAN_POINT('',(43.876209,39.214803,0.035)); #532951=CARTESIAN_POINT('',(43.876209,39.214803,0.035)); #532952=CARTESIAN_POINT('',(43.876209,39.214803,0.)); #532953=CARTESIAN_POINT('Origin',(43.9660117502996,39.124998484168,0.)); #532954=CARTESIAN_POINT('',(43.966013,39.252,0.)); #532955=CARTESIAN_POINT('Origin',(43.9660117502996,39.124998484168,0.)); #532956=CARTESIAN_POINT('',(43.966013,39.252,0.035)); #532957=CARTESIAN_POINT('Origin',(43.9660117502996,39.124998484168,0.035)); #532958=CARTESIAN_POINT('',(43.966013,39.252,0.)); #532959=CARTESIAN_POINT('Origin',(48.322394,39.252,0.)); #532960=CARTESIAN_POINT('',(48.322394,39.252,0.)); #532961=CARTESIAN_POINT('',(48.322394,39.252,0.)); #532962=CARTESIAN_POINT('',(48.322394,39.252,0.035)); #532963=CARTESIAN_POINT('',(48.322394,39.252,0.035)); #532964=CARTESIAN_POINT('',(48.322394,39.252,0.)); #532965=CARTESIAN_POINT('Origin',(48.623,39.552606,0.)); #532966=CARTESIAN_POINT('',(48.623,39.552606,0.)); #532967=CARTESIAN_POINT('',(48.623,39.552606,0.)); #532968=CARTESIAN_POINT('',(48.623,39.552606,0.035)); #532969=CARTESIAN_POINT('',(48.623,39.552606,0.035)); #532970=CARTESIAN_POINT('',(48.623,39.552606,0.)); #532971=CARTESIAN_POINT('Origin',(48.623,42.271125,0.)); #532972=CARTESIAN_POINT('',(48.623,42.271125,0.)); #532973=CARTESIAN_POINT('',(48.623,42.271125,0.)); #532974=CARTESIAN_POINT('',(48.623,42.271125,0.035)); #532975=CARTESIAN_POINT('',(48.623,42.271125,0.035)); #532976=CARTESIAN_POINT('',(48.623,42.271125,0.)); #532977=CARTESIAN_POINT('Origin',(48.575338,42.280016,0.)); #532978=CARTESIAN_POINT('',(48.575338,42.280016,0.)); #532979=CARTESIAN_POINT('',(48.575338,42.280016,0.)); #532980=CARTESIAN_POINT('',(48.575338,42.280016,0.035)); #532981=CARTESIAN_POINT('',(48.575338,42.280016,0.035)); #532982=CARTESIAN_POINT('',(48.575338,42.280016,0.)); #532983=CARTESIAN_POINT('Origin',(48.533197,42.307928,0.)); #532984=CARTESIAN_POINT('',(48.533197,42.307928,0.)); #532985=CARTESIAN_POINT('',(48.533197,42.307928,0.)); #532986=CARTESIAN_POINT('',(48.533197,42.307928,0.035)); #532987=CARTESIAN_POINT('',(48.533197,42.307928,0.035)); #532988=CARTESIAN_POINT('',(48.533197,42.307928,0.)); #532989=CARTESIAN_POINT('Origin',(48.507625,42.3335,0.)); #532990=CARTESIAN_POINT('',(48.507625,42.3335,0.)); #532991=CARTESIAN_POINT('',(48.507625,42.3335,0.)); #532992=CARTESIAN_POINT('',(48.507625,42.3335,0.035)); #532993=CARTESIAN_POINT('',(48.507625,42.3335,0.035)); #532994=CARTESIAN_POINT('',(48.507625,42.3335,0.)); #532995=CARTESIAN_POINT('Origin',(47.804394,42.3335,0.)); #532996=CARTESIAN_POINT('',(47.804394,42.3335,0.)); #532997=CARTESIAN_POINT('',(47.804394,42.3335,0.)); #532998=CARTESIAN_POINT('',(47.804394,42.3335,0.035)); #532999=CARTESIAN_POINT('',(47.804394,42.3335,0.035)); #533000=CARTESIAN_POINT('',(47.804394,42.3335,0.)); #533001=CARTESIAN_POINT('Origin',(47.756731,42.342784,0.)); #533002=CARTESIAN_POINT('',(47.756731,42.342784,0.)); #533003=CARTESIAN_POINT('',(47.756731,42.342784,0.)); #533004=CARTESIAN_POINT('',(47.756731,42.342784,0.035)); #533005=CARTESIAN_POINT('',(47.756731,42.342784,0.035)); #533006=CARTESIAN_POINT('',(47.756731,42.342784,0.)); #533007=CARTESIAN_POINT('Origin',(47.714591,42.370697,0.)); #533008=CARTESIAN_POINT('',(47.714591,42.370697,0.)); #533009=CARTESIAN_POINT('',(47.714591,42.370697,0.)); #533010=CARTESIAN_POINT('',(47.714591,42.370697,0.035)); #533011=CARTESIAN_POINT('',(47.714591,42.370697,0.035)); #533012=CARTESIAN_POINT('',(47.714591,42.370697,0.)); #533013=CARTESIAN_POINT('Origin',(47.356687,42.7286,0.)); #533014=CARTESIAN_POINT('',(47.356687,42.7286,0.)); #533015=CARTESIAN_POINT('',(47.356687,42.7286,0.)); #533016=CARTESIAN_POINT('',(47.356687,42.7286,0.035)); #533017=CARTESIAN_POINT('',(47.356687,42.7286,0.035)); #533018=CARTESIAN_POINT('',(47.356687,42.7286,0.)); #533019=CARTESIAN_POINT('Origin',(43.897294,42.7286,0.)); #533020=CARTESIAN_POINT('',(43.897294,42.7286,0.)); #533021=CARTESIAN_POINT('',(43.897294,42.7286,0.)); #533022=CARTESIAN_POINT('',(43.897294,42.7286,0.035)); #533023=CARTESIAN_POINT('',(43.897294,42.7286,0.035)); #533024=CARTESIAN_POINT('',(43.897294,42.7286,0.)); #533025=CARTESIAN_POINT('Origin',(43.849631,42.737884,0.)); #533026=CARTESIAN_POINT('',(43.849631,42.737884,0.)); #533027=CARTESIAN_POINT('',(43.849631,42.737884,0.)); #533028=CARTESIAN_POINT('',(43.849631,42.737884,0.035)); #533029=CARTESIAN_POINT('',(43.849631,42.737884,0.035)); #533030=CARTESIAN_POINT('',(43.849631,42.737884,0.)); #533031=CARTESIAN_POINT('Origin',(43.807491,42.765797,0.)); #533032=CARTESIAN_POINT('',(43.807491,42.765797,0.)); #533033=CARTESIAN_POINT('',(43.807491,42.765797,0.)); #533034=CARTESIAN_POINT('',(43.807491,42.765797,0.035)); #533035=CARTESIAN_POINT('',(43.807491,42.765797,0.035)); #533036=CARTESIAN_POINT('',(43.807491,42.765797,0.)); #533037=CARTESIAN_POINT('Origin',(43.265797,43.307491,0.)); #533038=CARTESIAN_POINT('',(43.265797,43.307491,0.)); #533039=CARTESIAN_POINT('',(43.265797,43.307491,0.)); #533040=CARTESIAN_POINT('',(43.265797,43.307491,0.035)); #533041=CARTESIAN_POINT('',(43.265797,43.307491,0.035)); #533042=CARTESIAN_POINT('',(43.265797,43.307491,0.)); #533043=CARTESIAN_POINT('Origin',(43.238659,43.347759,0.)); #533044=CARTESIAN_POINT('',(43.238659,43.347759,0.)); #533045=CARTESIAN_POINT('',(43.238659,43.347759,0.)); #533046=CARTESIAN_POINT('',(43.238659,43.347759,0.035)); #533047=CARTESIAN_POINT('',(43.238659,43.347759,0.035)); #533048=CARTESIAN_POINT('',(43.238659,43.347759,0.)); #533049=CARTESIAN_POINT('Origin',(43.2286,43.397294,0.)); #533050=CARTESIAN_POINT('',(43.2286,43.397294,0.)); #533051=CARTESIAN_POINT('',(43.2286,43.397294,0.)); #533052=CARTESIAN_POINT('',(43.2286,43.397294,0.035)); #533053=CARTESIAN_POINT('',(43.2286,43.397294,0.035)); #533054=CARTESIAN_POINT('',(43.2286,43.397294,0.)); #533055=CARTESIAN_POINT('Origin',(43.2286,49.102706,0.)); #533056=CARTESIAN_POINT('',(43.2286,49.102706,0.)); #533057=CARTESIAN_POINT('',(43.2286,49.102706,0.)); #533058=CARTESIAN_POINT('',(43.2286,49.102706,0.035)); #533059=CARTESIAN_POINT('',(43.2286,49.102706,0.035)); #533060=CARTESIAN_POINT('',(43.2286,49.102706,0.)); #533061=CARTESIAN_POINT('Origin',(43.237884,49.150369,0.)); #533062=CARTESIAN_POINT('',(43.237884,49.150369,0.)); #533063=CARTESIAN_POINT('',(43.237884,49.150369,0.)); #533064=CARTESIAN_POINT('',(43.237884,49.150369,0.035)); #533065=CARTESIAN_POINT('',(43.237884,49.150369,0.035)); #533066=CARTESIAN_POINT('',(43.237884,49.150369,0.)); #533067=CARTESIAN_POINT('Origin',(43.265797,49.192509,0.)); #533068=CARTESIAN_POINT('',(43.265797,49.192509,0.)); #533069=CARTESIAN_POINT('',(43.265797,49.192509,0.)); #533070=CARTESIAN_POINT('',(43.265797,49.192509,0.035)); #533071=CARTESIAN_POINT('',(43.265797,49.192509,0.035)); #533072=CARTESIAN_POINT('',(43.265797,49.192509,0.)); #533073=CARTESIAN_POINT('Origin',(43.557491,49.484203,0.)); #533074=CARTESIAN_POINT('',(43.557491,49.484203,0.)); #533075=CARTESIAN_POINT('',(43.557491,49.484203,0.)); #533076=CARTESIAN_POINT('',(43.557491,49.484203,0.035)); #533077=CARTESIAN_POINT('',(43.557491,49.484203,0.035)); #533078=CARTESIAN_POINT('',(43.557491,49.484203,0.)); #533079=CARTESIAN_POINT('Origin',(43.597759,49.511341,0.)); #533080=CARTESIAN_POINT('',(43.597759,49.511341,0.)); #533081=CARTESIAN_POINT('',(43.597759,49.511341,0.)); #533082=CARTESIAN_POINT('',(43.597759,49.511341,0.035)); #533083=CARTESIAN_POINT('',(43.597759,49.511341,0.035)); #533084=CARTESIAN_POINT('',(43.597759,49.511341,0.)); #533085=CARTESIAN_POINT('Origin',(43.647294,49.5214,0.)); #533086=CARTESIAN_POINT('',(43.647294,49.5214,0.)); #533087=CARTESIAN_POINT('',(43.647294,49.5214,0.)); #533088=CARTESIAN_POINT('',(43.647294,49.5214,0.035)); #533089=CARTESIAN_POINT('',(43.647294,49.5214,0.035)); #533090=CARTESIAN_POINT('',(43.647294,49.5214,0.)); #533091=CARTESIAN_POINT('Origin',(47.476559,49.5214,0.)); #533092=CARTESIAN_POINT('',(47.476559,49.5214,0.)); #533093=CARTESIAN_POINT('',(47.476559,49.5214,0.)); #533094=CARTESIAN_POINT('',(47.476559,49.5214,0.035)); #533095=CARTESIAN_POINT('',(47.476559,49.5214,0.035)); #533096=CARTESIAN_POINT('',(47.476559,49.5214,0.)); #533097=CARTESIAN_POINT('Origin',(47.524222,49.512116,0.)); #533098=CARTESIAN_POINT('',(47.524222,49.512116,0.)); #533099=CARTESIAN_POINT('',(47.524222,49.512116,0.)); #533100=CARTESIAN_POINT('',(47.524222,49.512116,0.035)); #533101=CARTESIAN_POINT('',(47.524222,49.512116,0.035)); #533102=CARTESIAN_POINT('',(47.524222,49.512116,0.)); #533103=CARTESIAN_POINT('Origin',(47.566363,49.484203,0.)); #533104=CARTESIAN_POINT('',(47.566363,49.484203,0.)); #533105=CARTESIAN_POINT('',(47.566363,49.484203,0.)); #533106=CARTESIAN_POINT('',(47.566363,49.484203,0.035)); #533107=CARTESIAN_POINT('',(47.566363,49.484203,0.035)); #533108=CARTESIAN_POINT('',(47.566363,49.484203,0.)); #533109=CARTESIAN_POINT('Origin',(47.727966,49.3226,0.)); #533110=CARTESIAN_POINT('',(47.727966,49.3226,0.)); #533111=CARTESIAN_POINT('',(47.727966,49.3226,0.)); #533112=CARTESIAN_POINT('',(47.727966,49.3226,0.035)); #533113=CARTESIAN_POINT('',(47.727966,49.3226,0.035)); #533114=CARTESIAN_POINT('',(47.727966,49.3226,0.)); #533115=CARTESIAN_POINT('Origin',(48.082034,49.3226,0.)); #533116=CARTESIAN_POINT('',(48.082034,49.3226,0.)); #533117=CARTESIAN_POINT('',(48.082034,49.3226,0.)); #533118=CARTESIAN_POINT('',(48.082034,49.3226,0.035)); #533119=CARTESIAN_POINT('',(48.082034,49.3226,0.035)); #533120=CARTESIAN_POINT('',(48.082034,49.3226,0.)); #533121=CARTESIAN_POINT('Origin',(48.3324,49.572966,0.)); #533122=CARTESIAN_POINT('',(48.3324,49.572966,0.)); #533123=CARTESIAN_POINT('',(48.3324,49.572966,0.)); #533124=CARTESIAN_POINT('',(48.3324,49.572966,0.035)); #533125=CARTESIAN_POINT('',(48.3324,49.572966,0.035)); #533126=CARTESIAN_POINT('',(48.3324,49.572966,0.)); #533127=CARTESIAN_POINT('Origin',(48.3324,49.656006,0.)); #533128=CARTESIAN_POINT('',(48.3324,49.656006,0.)); #533129=CARTESIAN_POINT('',(48.3324,49.656006,0.)); #533130=CARTESIAN_POINT('',(48.3324,49.656006,0.035)); #533131=CARTESIAN_POINT('',(48.3324,49.656006,0.035)); #533132=CARTESIAN_POINT('',(48.3324,49.656006,0.)); #533133=CARTESIAN_POINT('Origin',(48.348591,49.718053,0.)); #533134=CARTESIAN_POINT('',(48.348591,49.718053,0.)); #533135=CARTESIAN_POINT('',(48.348591,49.718053,0.)); #533136=CARTESIAN_POINT('',(48.348591,49.718053,0.035)); #533137=CARTESIAN_POINT('',(48.348591,49.718053,0.035)); #533138=CARTESIAN_POINT('',(48.348591,49.718053,0.)); #533139=CARTESIAN_POINT('Origin',(48.375588,49.749413,0.)); #533140=CARTESIAN_POINT('',(48.375588,49.749413,0.)); #533141=CARTESIAN_POINT('',(48.375588,49.749413,0.)); #533142=CARTESIAN_POINT('',(48.375588,49.749413,0.035)); #533143=CARTESIAN_POINT('',(48.375588,49.749413,0.035)); #533144=CARTESIAN_POINT('',(48.375588,49.749413,0.)); #533145=CARTESIAN_POINT('Origin',(48.375,49.75,0.)); #533146=CARTESIAN_POINT('',(48.375,49.75,0.)); #533147=CARTESIAN_POINT('',(48.375,49.75,0.)); #533148=CARTESIAN_POINT('',(48.375,49.75,0.035)); #533149=CARTESIAN_POINT('',(48.375,49.75,0.035)); #533150=CARTESIAN_POINT('',(48.375,49.75,0.)); #533151=CARTESIAN_POINT('Origin',(49.587803,50.962803,0.)); #533152=CARTESIAN_POINT('',(49.587803,50.962803,0.)); #533153=CARTESIAN_POINT('',(49.587803,50.962803,0.)); #533154=CARTESIAN_POINT('',(49.587803,50.962803,0.035)); #533155=CARTESIAN_POINT('',(49.587803,50.962803,0.035)); #533156=CARTESIAN_POINT('',(49.587803,50.962803,0.)); #533157=CARTESIAN_POINT('Origin',(49.628072,50.989941,0.)); #533158=CARTESIAN_POINT('',(49.628072,50.989941,0.)); #533159=CARTESIAN_POINT('',(49.628072,50.989941,0.)); #533160=CARTESIAN_POINT('',(49.628072,50.989941,0.035)); #533161=CARTESIAN_POINT('',(49.628072,50.989941,0.035)); #533162=CARTESIAN_POINT('',(49.628072,50.989941,0.)); #533163=CARTESIAN_POINT('Origin',(49.677606,51.,0.)); #533164=CARTESIAN_POINT('',(49.677606,51.,0.)); #533165=CARTESIAN_POINT('',(49.677606,51.,0.)); #533166=CARTESIAN_POINT('',(49.677606,51.,0.035)); #533167=CARTESIAN_POINT('',(49.677606,51.,0.035)); #533168=CARTESIAN_POINT('',(49.677606,51.,0.)); #533169=CARTESIAN_POINT('Origin',(51.0635,51.,0.)); #533170=CARTESIAN_POINT('',(51.0635,51.,0.)); #533171=CARTESIAN_POINT('',(51.0635,51.,0.)); #533172=CARTESIAN_POINT('',(51.0635,51.,0.035)); #533173=CARTESIAN_POINT('',(51.0635,51.,0.035)); #533174=CARTESIAN_POINT('',(51.0635,51.,0.)); #533175=CARTESIAN_POINT('Origin',(51.109281,50.991463,0.)); #533176=CARTESIAN_POINT('',(51.109281,50.991463,0.)); #533177=CARTESIAN_POINT('',(51.109281,50.991463,0.)); #533178=CARTESIAN_POINT('',(51.109281,50.991463,0.035)); #533179=CARTESIAN_POINT('',(51.109281,50.991463,0.035)); #533180=CARTESIAN_POINT('',(51.109281,50.991463,0.)); #533181=CARTESIAN_POINT('Origin',(51.151859,50.964222,0.)); #533182=CARTESIAN_POINT('',(51.151859,50.964222,0.)); #533183=CARTESIAN_POINT('',(51.151859,50.964222,0.)); #533184=CARTESIAN_POINT('',(51.151859,50.964222,0.035)); #533185=CARTESIAN_POINT('',(51.151859,50.964222,0.035)); #533186=CARTESIAN_POINT('',(51.151859,50.964222,0.)); #533187=CARTESIAN_POINT('Origin',(51.180441,50.922534,0.)); #533188=CARTESIAN_POINT('',(51.180441,50.922534,0.)); #533189=CARTESIAN_POINT('',(51.180441,50.922534,0.)); #533190=CARTESIAN_POINT('',(51.180441,50.922534,0.035)); #533191=CARTESIAN_POINT('',(51.180441,50.922534,0.035)); #533192=CARTESIAN_POINT('',(51.180441,50.922534,0.)); #533193=CARTESIAN_POINT('Origin',(51.1905,50.873,0.)); #533194=CARTESIAN_POINT('',(51.1905,50.873,0.)); #533195=CARTESIAN_POINT('',(51.1905,50.873,0.)); #533196=CARTESIAN_POINT('',(51.1905,50.873,0.035)); #533197=CARTESIAN_POINT('',(51.1905,50.873,0.035)); #533198=CARTESIAN_POINT('',(51.1905,50.873,0.)); #533199=CARTESIAN_POINT('Origin',(51.1905,49.992375,0.)); #533200=CARTESIAN_POINT('',(51.1905,49.992375,0.)); #533201=CARTESIAN_POINT('',(51.1905,49.992375,0.)); #533202=CARTESIAN_POINT('',(51.1905,49.992375,0.035)); #533203=CARTESIAN_POINT('',(51.1905,49.992375,0.035)); #533204=CARTESIAN_POINT('',(51.1905,49.992375,0.)); #533205=CARTESIAN_POINT('Origin',(51.279775,49.9031,0.)); #533206=CARTESIAN_POINT('',(51.279775,49.9031,0.)); #533207=CARTESIAN_POINT('',(51.279775,49.9031,0.)); #533208=CARTESIAN_POINT('',(51.279775,49.9031,0.035)); #533209=CARTESIAN_POINT('',(51.279775,49.9031,0.035)); #533210=CARTESIAN_POINT('',(51.279775,49.9031,0.)); #533211=CARTESIAN_POINT('Origin',(52.244225,49.9031,0.)); #533212=CARTESIAN_POINT('',(52.244225,49.9031,0.)); #533213=CARTESIAN_POINT('',(52.244225,49.9031,0.)); #533214=CARTESIAN_POINT('',(52.244225,49.9031,0.035)); #533215=CARTESIAN_POINT('',(52.244225,49.9031,0.035)); #533216=CARTESIAN_POINT('',(52.244225,49.9031,0.)); #533217=CARTESIAN_POINT('Origin',(52.3335,49.992375,0.)); #533218=CARTESIAN_POINT('',(52.3335,49.992375,0.)); #533219=CARTESIAN_POINT('',(52.3335,49.992375,0.)); #533220=CARTESIAN_POINT('',(52.3335,49.992375,0.035)); #533221=CARTESIAN_POINT('',(52.3335,49.992375,0.035)); #533222=CARTESIAN_POINT('',(52.3335,49.992375,0.)); #533223=CARTESIAN_POINT('Origin',(52.3335,50.1444,0.)); #533224=CARTESIAN_POINT('',(52.3335,50.1444,0.)); #533225=CARTESIAN_POINT('',(52.3335,50.1444,0.)); #533226=CARTESIAN_POINT('',(52.3335,50.1444,0.035)); #533227=CARTESIAN_POINT('',(52.3335,50.1444,0.035)); #533228=CARTESIAN_POINT('',(52.3335,50.1444,0.)); #533229=CARTESIAN_POINT('Origin',(52.342038,50.190181,0.)); #533230=CARTESIAN_POINT('',(52.342038,50.190181,0.)); #533231=CARTESIAN_POINT('',(52.342038,50.190181,0.)); #533232=CARTESIAN_POINT('',(52.342038,50.190181,0.035)); #533233=CARTESIAN_POINT('',(52.342038,50.190181,0.035)); #533234=CARTESIAN_POINT('',(52.342038,50.190181,0.)); #533235=CARTESIAN_POINT('Origin',(52.369278,50.232759,0.)); #533236=CARTESIAN_POINT('',(52.369278,50.232759,0.)); #533237=CARTESIAN_POINT('',(52.369278,50.232759,0.)); #533238=CARTESIAN_POINT('',(52.369278,50.232759,0.035)); #533239=CARTESIAN_POINT('',(52.369278,50.232759,0.035)); #533240=CARTESIAN_POINT('',(52.369278,50.232759,0.)); #533241=CARTESIAN_POINT('Origin',(52.410966,50.261341,0.)); #533242=CARTESIAN_POINT('',(52.410966,50.261341,0.)); #533243=CARTESIAN_POINT('',(52.410966,50.261341,0.)); #533244=CARTESIAN_POINT('',(52.410966,50.261341,0.035)); #533245=CARTESIAN_POINT('',(52.410966,50.261341,0.035)); #533246=CARTESIAN_POINT('',(52.410966,50.261341,0.)); #533247=CARTESIAN_POINT('Origin',(52.4605,50.2714,0.)); #533248=CARTESIAN_POINT('',(52.4605,50.2714,0.)); #533249=CARTESIAN_POINT('',(52.4605,50.2714,0.)); #533250=CARTESIAN_POINT('',(52.4605,50.2714,0.035)); #533251=CARTESIAN_POINT('',(52.4605,50.2714,0.035)); #533252=CARTESIAN_POINT('',(52.4605,50.2714,0.)); #533253=CARTESIAN_POINT('Origin',(52.602706,50.2714,0.)); #533254=CARTESIAN_POINT('',(52.602706,50.2714,0.)); #533255=CARTESIAN_POINT('',(52.602706,50.2714,0.)); #533256=CARTESIAN_POINT('',(52.602706,50.2714,0.035)); #533257=CARTESIAN_POINT('',(52.602706,50.2714,0.035)); #533258=CARTESIAN_POINT('',(52.602706,50.2714,0.)); #533259=CARTESIAN_POINT('Origin',(52.650369,50.262116,0.)); #533260=CARTESIAN_POINT('',(52.650369,50.262116,0.)); #533261=CARTESIAN_POINT('',(52.650369,50.262116,0.)); #533262=CARTESIAN_POINT('',(52.650369,50.262116,0.035)); #533263=CARTESIAN_POINT('',(52.650369,50.262116,0.035)); #533264=CARTESIAN_POINT('',(52.650369,50.262116,0.)); #533265=CARTESIAN_POINT('Origin',(52.692509,50.234203,0.)); #533266=CARTESIAN_POINT('',(52.692509,50.234203,0.)); #533267=CARTESIAN_POINT('',(52.692509,50.234203,0.)); #533268=CARTESIAN_POINT('',(52.692509,50.234203,0.035)); #533269=CARTESIAN_POINT('',(52.692509,50.234203,0.035)); #533270=CARTESIAN_POINT('',(52.692509,50.234203,0.)); #533271=CARTESIAN_POINT('Origin',(52.734203,50.192509,0.)); #533272=CARTESIAN_POINT('',(52.734203,50.192509,0.)); #533273=CARTESIAN_POINT('',(52.734203,50.192509,0.)); #533274=CARTESIAN_POINT('',(52.734203,50.192509,0.035)); #533275=CARTESIAN_POINT('',(52.734203,50.192509,0.035)); #533276=CARTESIAN_POINT('',(52.734203,50.192509,0.)); #533277=CARTESIAN_POINT('Origin',(52.761341,50.152241,0.)); #533278=CARTESIAN_POINT('',(52.761341,50.152241,0.)); #533279=CARTESIAN_POINT('',(52.761341,50.152241,0.)); #533280=CARTESIAN_POINT('',(52.761341,50.152241,0.035)); #533281=CARTESIAN_POINT('',(52.761341,50.152241,0.035)); #533282=CARTESIAN_POINT('',(52.761341,50.152241,0.)); #533283=CARTESIAN_POINT('Origin',(52.7714,50.102706,0.)); #533284=CARTESIAN_POINT('',(52.7714,50.102706,0.)); #533285=CARTESIAN_POINT('',(52.7714,50.102706,0.)); #533286=CARTESIAN_POINT('',(52.7714,50.102706,0.035)); #533287=CARTESIAN_POINT('',(52.7714,50.102706,0.035)); #533288=CARTESIAN_POINT('',(52.7714,50.102706,0.)); #533289=CARTESIAN_POINT('Origin',(52.7714,46.147294,0.)); #533290=CARTESIAN_POINT('',(52.7714,46.147294,0.)); #533291=CARTESIAN_POINT('',(52.7714,46.147294,0.)); #533292=CARTESIAN_POINT('',(52.7714,46.147294,0.035)); #533293=CARTESIAN_POINT('',(52.7714,46.147294,0.035)); #533294=CARTESIAN_POINT('',(52.7714,46.147294,0.)); #533295=CARTESIAN_POINT('Origin',(52.762116,46.099631,0.)); #533296=CARTESIAN_POINT('',(52.762116,46.099631,0.)); #533297=CARTESIAN_POINT('',(52.762116,46.099631,0.)); #533298=CARTESIAN_POINT('',(52.762116,46.099631,0.035)); #533299=CARTESIAN_POINT('',(52.762116,46.099631,0.035)); #533300=CARTESIAN_POINT('',(52.762116,46.099631,0.)); #533301=CARTESIAN_POINT('Origin',(52.734203,46.057491,0.)); #533302=CARTESIAN_POINT('',(52.734203,46.057491,0.)); #533303=CARTESIAN_POINT('',(52.734203,46.057491,0.)); #533304=CARTESIAN_POINT('',(52.734203,46.057491,0.035)); #533305=CARTESIAN_POINT('',(52.734203,46.057491,0.035)); #533306=CARTESIAN_POINT('',(52.734203,46.057491,0.)); #533307=CARTESIAN_POINT('Origin',(52.442509,45.765797,0.)); #533308=CARTESIAN_POINT('',(52.442509,45.765797,0.)); #533309=CARTESIAN_POINT('',(52.442509,45.765797,0.)); #533310=CARTESIAN_POINT('',(52.442509,45.765797,0.035)); #533311=CARTESIAN_POINT('',(52.442509,45.765797,0.035)); #533312=CARTESIAN_POINT('',(52.442509,45.765797,0.)); #533313=CARTESIAN_POINT('Origin',(52.402241,45.738659,0.)); #533314=CARTESIAN_POINT('',(52.402241,45.738659,0.)); #533315=CARTESIAN_POINT('',(52.402241,45.738659,0.)); #533316=CARTESIAN_POINT('',(52.402241,45.738659,0.035)); #533317=CARTESIAN_POINT('',(52.402241,45.738659,0.035)); #533318=CARTESIAN_POINT('',(52.402241,45.738659,0.)); #533319=CARTESIAN_POINT('Origin',(52.352706,45.7286,0.)); #533320=CARTESIAN_POINT('',(52.352706,45.7286,0.)); #533321=CARTESIAN_POINT('',(52.352706,45.7286,0.)); #533322=CARTESIAN_POINT('',(52.352706,45.7286,0.035)); #533323=CARTESIAN_POINT('',(52.352706,45.7286,0.035)); #533324=CARTESIAN_POINT('',(52.352706,45.7286,0.)); #533325=CARTESIAN_POINT('Origin',(49.905313,45.7286,0.)); #533326=CARTESIAN_POINT('',(49.905313,45.7286,0.)); #533327=CARTESIAN_POINT('',(49.905313,45.7286,0.)); #533328=CARTESIAN_POINT('',(49.905313,45.7286,0.035)); #533329=CARTESIAN_POINT('',(49.905313,45.7286,0.035)); #533330=CARTESIAN_POINT('',(49.905313,45.7286,0.)); #533331=CARTESIAN_POINT('Origin',(48.8964,44.719687,0.)); #533332=CARTESIAN_POINT('',(48.8964,44.719687,0.)); #533333=CARTESIAN_POINT('',(48.8964,44.719687,0.)); #533334=CARTESIAN_POINT('',(48.8964,44.719687,0.035)); #533335=CARTESIAN_POINT('',(48.8964,44.719687,0.035)); #533336=CARTESIAN_POINT('',(48.8964,44.719687,0.)); #533337=CARTESIAN_POINT('Origin',(48.8964,44.449006,0.)); #533338=CARTESIAN_POINT('',(48.8964,44.449006,0.)); #533339=CARTESIAN_POINT('',(48.8964,44.449006,0.)); #533340=CARTESIAN_POINT('',(48.8964,44.449006,0.035)); #533341=CARTESIAN_POINT('',(48.8964,44.449006,0.035)); #533342=CARTESIAN_POINT('',(48.8964,44.449006,0.)); #533343=CARTESIAN_POINT('Origin',(48.887116,44.401344,0.)); #533344=CARTESIAN_POINT('',(48.887116,44.401344,0.)); #533345=CARTESIAN_POINT('',(48.887116,44.401344,0.)); #533346=CARTESIAN_POINT('',(48.887116,44.401344,0.035)); #533347=CARTESIAN_POINT('',(48.887116,44.401344,0.035)); #533348=CARTESIAN_POINT('',(48.887116,44.401344,0.)); #533349=CARTESIAN_POINT('Origin',(48.877,44.386072,0.)); #533350=CARTESIAN_POINT('',(48.877,44.386072,0.)); #533351=CARTESIAN_POINT('',(48.877,44.386072,0.)); #533352=CARTESIAN_POINT('',(48.877,44.386072,0.035)); #533353=CARTESIAN_POINT('',(48.877,44.386072,0.035)); #533354=CARTESIAN_POINT('',(48.877,44.386072,0.)); #533355=CARTESIAN_POINT('Origin',(48.877,39.5,0.)); #533356=CARTESIAN_POINT('',(48.877,39.5,0.)); #533357=CARTESIAN_POINT('',(48.877,39.5,0.)); #533358=CARTESIAN_POINT('',(48.877,39.5,0.035)); #533359=CARTESIAN_POINT('',(48.877,39.5,0.035)); #533360=CARTESIAN_POINT('',(48.877,39.5,0.)); #533361=CARTESIAN_POINT('Origin',(48.7499996912748,39.4999992502996,0.)); #533362=CARTESIAN_POINT('',(48.839803,39.410197,0.)); #533363=CARTESIAN_POINT('Origin',(48.7499996912748,39.4999992502996,0.)); #533364=CARTESIAN_POINT('',(48.839803,39.410197,0.035)); #533365=CARTESIAN_POINT('Origin',(48.7499996912748,39.4999992502996,0.035)); #533366=CARTESIAN_POINT('',(48.839803,39.410197,0.)); #533367=CARTESIAN_POINT('Origin',(48.464803,39.035197,0.)); #533368=CARTESIAN_POINT('',(48.464803,39.035197,0.)); #533369=CARTESIAN_POINT('',(48.464803,39.035197,0.)); #533370=CARTESIAN_POINT('',(48.464803,39.035197,0.035)); #533371=CARTESIAN_POINT('',(48.464803,39.035197,0.035)); #533372=CARTESIAN_POINT('',(48.464803,39.035197,0.)); #533373=CARTESIAN_POINT('Origin',(48.3750007497004,39.1250003087252,0.)); #533374=CARTESIAN_POINT('',(48.375,38.998,0.)); #533375=CARTESIAN_POINT('Origin',(48.3750007497004,39.1250003087252,0.)); #533376=CARTESIAN_POINT('',(48.375,38.998,0.035)); #533377=CARTESIAN_POINT('Origin',(48.3750007497004,39.1250003087252,0.035)); #533378=CARTESIAN_POINT('',(48.375,38.998,0.)); #533379=CARTESIAN_POINT('Origin',(44.018619,38.998,0.)); #533380=CARTESIAN_POINT('',(44.018619,38.998,0.)); #533381=CARTESIAN_POINT('',(44.018619,38.998,0.)); #533382=CARTESIAN_POINT('',(44.018619,38.998,0.035)); #533383=CARTESIAN_POINT('',(44.018619,38.998,0.035)); #533384=CARTESIAN_POINT('',(44.018619,38.998,0.)); #533385=CARTESIAN_POINT('Origin',(41.377,36.356381,0.)); #533386=CARTESIAN_POINT('',(41.377,36.356381,0.)); #533387=CARTESIAN_POINT('',(41.377,36.356381,0.)); #533388=CARTESIAN_POINT('',(41.377,36.356381,0.035)); #533389=CARTESIAN_POINT('',(41.377,36.356381,0.035)); #533390=CARTESIAN_POINT('',(41.377,36.356381,0.)); #533391=CARTESIAN_POINT('Origin',(41.377,36.243928,0.)); #533392=CARTESIAN_POINT('',(41.377,36.243928,0.)); #533393=CARTESIAN_POINT('',(41.377,36.243928,0.)); #533394=CARTESIAN_POINT('',(41.377,36.243928,0.035)); #533395=CARTESIAN_POINT('',(41.377,36.243928,0.035)); #533396=CARTESIAN_POINT('',(41.377,36.243928,0.)); #533397=CARTESIAN_POINT('Origin',(41.2499453752955,35.999554310534,0.)); #533398=CARTESIAN_POINT('Origin',(41.2499453752955,35.999554310534,0.)); #533399=CARTESIAN_POINT('Origin',(41.2499453752955,35.999554310534,0.035)); #533400=CARTESIAN_POINT('Origin',(46.8721740485171,43.5168897953292,0.035)); #533401=CARTESIAN_POINT('Origin',(46.8721740485171,43.5168897953292,0.)); #533402=CARTESIAN_POINT('Origin',(41.25,31.,-200.)); #533403=CARTESIAN_POINT('',(41.11,31.,0.)); #533404=CARTESIAN_POINT('Origin',(41.25,31.,0.)); #533405=CARTESIAN_POINT('',(41.11,31.,0.0349999999999895)); #533406=CARTESIAN_POINT('',(41.11,31.,-200.)); #533407=CARTESIAN_POINT('Origin',(41.25,31.,0.0349999999999895)); #533408=CARTESIAN_POINT('Origin',(35.8875,20.0875,0.)); #533409=CARTESIAN_POINT('',(35.8875,20.0875,0.)); #533410=CARTESIAN_POINT('',(35.8875,20.7125,0.)); #533411=CARTESIAN_POINT('',(35.8875,20.0875,0.)); #533412=CARTESIAN_POINT('',(35.8875,20.7125,0.035)); #533413=CARTESIAN_POINT('',(35.8875,20.7125,0.)); #533414=CARTESIAN_POINT('',(35.8875,20.0875,0.035)); #533415=CARTESIAN_POINT('',(35.8875,20.0875,0.035)); #533416=CARTESIAN_POINT('',(35.8875,20.0875,0.)); #533417=CARTESIAN_POINT('Origin',(35.6125,20.0875,0.)); #533418=CARTESIAN_POINT('',(35.6125,20.0875,0.)); #533419=CARTESIAN_POINT('',(35.6125,20.0875,0.)); #533420=CARTESIAN_POINT('',(35.6125,20.0875,0.035)); #533421=CARTESIAN_POINT('',(35.6125,20.0875,0.035)); #533422=CARTESIAN_POINT('',(35.6125,20.0875,0.)); #533423=CARTESIAN_POINT('Origin',(35.6125,20.7125,0.)); #533424=CARTESIAN_POINT('',(35.6125,20.7125,0.)); #533425=CARTESIAN_POINT('',(35.6125,20.7125,0.)); #533426=CARTESIAN_POINT('',(35.6125,20.7125,0.035)); #533427=CARTESIAN_POINT('',(35.6125,20.7125,0.035)); #533428=CARTESIAN_POINT('',(35.6125,20.7125,0.)); #533429=CARTESIAN_POINT('Origin',(35.623,20.7125,0.)); #533430=CARTESIAN_POINT('',(35.623,20.7125,0.)); #533431=CARTESIAN_POINT('',(35.623,20.7125,0.)); #533432=CARTESIAN_POINT('',(35.623,20.7125,0.035)); #533433=CARTESIAN_POINT('',(35.623,20.7125,0.035)); #533434=CARTESIAN_POINT('',(35.623,20.7125,0.)); #533435=CARTESIAN_POINT('Origin',(35.623,21.87865,0.)); #533436=CARTESIAN_POINT('',(35.623,21.87865,0.)); #533437=CARTESIAN_POINT('',(35.623,21.87865,0.)); #533438=CARTESIAN_POINT('',(35.623,21.87865,0.035)); #533439=CARTESIAN_POINT('',(35.623,21.87865,0.035)); #533440=CARTESIAN_POINT('',(35.623,21.87865,0.)); #533441=CARTESIAN_POINT('Origin',(35.7500003087252,21.8786507497004,0.)); #533442=CARTESIAN_POINT('',(35.660197,21.968453,0.)); #533443=CARTESIAN_POINT('Origin',(35.7500003087252,21.8786507497004,0.)); #533444=CARTESIAN_POINT('',(35.660197,21.968453,0.035)); #533445=CARTESIAN_POINT('Origin',(35.7500003087252,21.8786507497004,0.035)); #533446=CARTESIAN_POINT('',(35.660197,21.968453,0.)); #533447=CARTESIAN_POINT('Origin',(38.373,24.681256,0.)); #533448=CARTESIAN_POINT('',(38.373,24.681256,0.)); #533449=CARTESIAN_POINT('',(38.373,24.681256,0.)); #533450=CARTESIAN_POINT('',(38.373,24.681256,0.035)); #533451=CARTESIAN_POINT('',(38.373,24.681256,0.035)); #533452=CARTESIAN_POINT('',(38.373,24.681256,0.)); #533453=CARTESIAN_POINT('Origin',(38.373,26.310675,0.)); #533454=CARTESIAN_POINT('',(38.373,26.310675,0.)); #533455=CARTESIAN_POINT('',(38.373,26.310675,0.)); #533456=CARTESIAN_POINT('',(38.373,26.310675,0.035)); #533457=CARTESIAN_POINT('',(38.373,26.310675,0.035)); #533458=CARTESIAN_POINT('',(38.373,26.310675,0.)); #533459=CARTESIAN_POINT('Origin',(38.5000003087252,26.3106757497004,0.)); #533460=CARTESIAN_POINT('',(38.410197,26.400478,0.)); #533461=CARTESIAN_POINT('Origin',(38.5000003087252,26.3106757497004,0.)); #533462=CARTESIAN_POINT('',(38.410197,26.400478,0.035)); #533463=CARTESIAN_POINT('Origin',(38.5000003087252,26.3106757497004,0.035)); #533464=CARTESIAN_POINT('',(38.410197,26.400478,0.)); #533465=CARTESIAN_POINT('Origin',(41.123,29.113281,0.)); #533466=CARTESIAN_POINT('',(41.123,29.113281,0.)); #533467=CARTESIAN_POINT('',(41.123,29.113281,0.)); #533468=CARTESIAN_POINT('',(41.123,29.113281,0.035)); #533469=CARTESIAN_POINT('',(41.123,29.113281,0.035)); #533470=CARTESIAN_POINT('',(41.123,29.113281,0.)); #533471=CARTESIAN_POINT('Origin',(41.123,30.756072,0.)); #533472=CARTESIAN_POINT('',(41.123,30.756072,0.)); #533473=CARTESIAN_POINT('',(41.123,30.756072,0.)); #533474=CARTESIAN_POINT('',(41.123,30.756072,0.035)); #533475=CARTESIAN_POINT('',(41.123,30.756072,0.035)); #533476=CARTESIAN_POINT('',(41.123,30.756072,0.)); #533477=CARTESIAN_POINT('Origin',(41.2500204601791,31.0004637716938,0.)); #533478=CARTESIAN_POINT('',(41.144438,31.254853,0.)); #533479=CARTESIAN_POINT('Origin',(41.2500204601791,31.0004637716938,0.)); #533480=CARTESIAN_POINT('',(41.144438,31.254853,0.035)); #533481=CARTESIAN_POINT('Origin',(41.2500204601791,31.0004637716938,0.035)); #533482=CARTESIAN_POINT('',(41.144438,31.254853,0.)); #533483=CARTESIAN_POINT('Origin',(41.2498222285952,31.000381763261,0.)); #533484=CARTESIAN_POINT('',(41.377,30.756072,0.)); #533485=CARTESIAN_POINT('Origin',(41.2498222285952,31.000381763261,0.)); #533486=CARTESIAN_POINT('',(41.377,30.756072,0.035)); #533487=CARTESIAN_POINT('Origin',(41.2498222285952,31.000381763261,0.035)); #533488=CARTESIAN_POINT('',(41.377,30.756072,0.)); #533489=CARTESIAN_POINT('Origin',(41.377,29.060675,0.)); #533490=CARTESIAN_POINT('',(41.377,29.060675,0.)); #533491=CARTESIAN_POINT('',(41.377,29.060675,0.)); #533492=CARTESIAN_POINT('',(41.377,29.060675,0.035)); #533493=CARTESIAN_POINT('',(41.377,29.060675,0.035)); #533494=CARTESIAN_POINT('',(41.377,29.060675,0.)); #533495=CARTESIAN_POINT('Origin',(41.2499996912748,29.0606742502996,0.)); #533496=CARTESIAN_POINT('',(41.339803,28.970872,0.)); #533497=CARTESIAN_POINT('Origin',(41.2499996912748,29.0606742502996,0.)); #533498=CARTESIAN_POINT('',(41.339803,28.970872,0.035)); #533499=CARTESIAN_POINT('Origin',(41.2499996912748,29.0606742502996,0.035)); #533500=CARTESIAN_POINT('',(41.339803,28.970872,0.)); #533501=CARTESIAN_POINT('Origin',(38.627,26.258069,0.)); #533502=CARTESIAN_POINT('',(38.627,26.258069,0.)); #533503=CARTESIAN_POINT('',(38.627,26.258069,0.)); #533504=CARTESIAN_POINT('',(38.627,26.258069,0.035)); #533505=CARTESIAN_POINT('',(38.627,26.258069,0.035)); #533506=CARTESIAN_POINT('',(38.627,26.258069,0.)); #533507=CARTESIAN_POINT('Origin',(38.627,24.62865,0.)); #533508=CARTESIAN_POINT('',(38.627,24.62865,0.)); #533509=CARTESIAN_POINT('',(38.627,24.62865,0.)); #533510=CARTESIAN_POINT('',(38.627,24.62865,0.035)); #533511=CARTESIAN_POINT('',(38.627,24.62865,0.035)); #533512=CARTESIAN_POINT('',(38.627,24.62865,0.)); #533513=CARTESIAN_POINT('Origin',(38.4999996912748,24.6286492502996,0.)); #533514=CARTESIAN_POINT('',(38.589803,24.538847,0.)); #533515=CARTESIAN_POINT('Origin',(38.4999996912748,24.6286492502996,0.)); #533516=CARTESIAN_POINT('',(38.589803,24.538847,0.035)); #533517=CARTESIAN_POINT('Origin',(38.4999996912748,24.6286492502996,0.035)); #533518=CARTESIAN_POINT('',(38.589803,24.538847,0.)); #533519=CARTESIAN_POINT('Origin',(35.877,21.826044,0.)); #533520=CARTESIAN_POINT('',(35.877,21.826044,0.)); #533521=CARTESIAN_POINT('',(35.877,21.826044,0.)); #533522=CARTESIAN_POINT('',(35.877,21.826044,0.035)); #533523=CARTESIAN_POINT('',(35.877,21.826044,0.035)); #533524=CARTESIAN_POINT('',(35.877,21.826044,0.)); #533525=CARTESIAN_POINT('Origin',(35.877,20.7125,0.)); #533526=CARTESIAN_POINT('',(35.877,20.7125,0.)); #533527=CARTESIAN_POINT('',(35.877,20.7125,0.)); #533528=CARTESIAN_POINT('',(35.877,20.7125,0.035)); #533529=CARTESIAN_POINT('',(35.877,20.7125,0.035)); #533530=CARTESIAN_POINT('',(35.877,20.7125,0.)); #533531=CARTESIAN_POINT('Origin',(35.8875,20.7125,0.)); #533532=CARTESIAN_POINT('',(35.8875,20.7125,0.)); #533533=CARTESIAN_POINT('',(35.8875,20.7125,0.035)); #533534=CARTESIAN_POINT('Origin',(38.5971607495462,25.6712692573498,0.035)); #533535=CARTESIAN_POINT('Origin',(38.5971607495462,25.6712692573498,0.)); #533536=CARTESIAN_POINT('Origin',(33.25,5.5,-200.)); #533537=CARTESIAN_POINT('',(33.11,5.5,0.)); #533538=CARTESIAN_POINT('Origin',(33.25,5.5,0.)); #533539=CARTESIAN_POINT('',(33.11,5.5,0.0349999999999895)); #533540=CARTESIAN_POINT('',(33.11,5.5,-200.)); #533541=CARTESIAN_POINT('Origin',(33.25,5.5,0.0349999999999895)); #533542=CARTESIAN_POINT('Origin',(34.,5.5,-200.)); #533543=CARTESIAN_POINT('',(33.86,5.5,0.)); #533544=CARTESIAN_POINT('Origin',(34.,5.5,0.)); #533545=CARTESIAN_POINT('',(33.86,5.5,0.0349999999999895)); #533546=CARTESIAN_POINT('',(33.86,5.5,-200.)); #533547=CARTESIAN_POINT('Origin',(34.,5.5,0.0349999999999895)); #533548=CARTESIAN_POINT('Origin',(39.25,17.5,-200.)); #533549=CARTESIAN_POINT('',(39.11,17.5,0.)); #533550=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #533551=CARTESIAN_POINT('',(39.11,17.5,0.0349999999999895)); #533552=CARTESIAN_POINT('',(39.11,17.5,-200.)); #533553=CARTESIAN_POINT('Origin',(39.25,17.5,0.0349999999999895)); #533554=CARTESIAN_POINT('Origin',(37.25,8.75,-200.)); #533555=CARTESIAN_POINT('',(37.11,8.75,0.)); #533556=CARTESIAN_POINT('Origin',(37.25,8.75,0.)); #533557=CARTESIAN_POINT('',(37.11,8.75,0.0349999999999895)); #533558=CARTESIAN_POINT('',(37.11,8.75,-200.)); #533559=CARTESIAN_POINT('Origin',(37.25,8.75,0.0349999999999895)); #533560=CARTESIAN_POINT('Origin',(31.6,7.6,-200.)); #533561=CARTESIAN_POINT('',(31.485,7.6,0.)); #533562=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #533563=CARTESIAN_POINT('',(31.485,7.6,0.0349999999999895)); #533564=CARTESIAN_POINT('',(31.485,7.6,-200.)); #533565=CARTESIAN_POINT('Origin',(31.6,7.6,0.0349999999999895)); #533566=CARTESIAN_POINT('Origin',(36.4,7.1,-200.)); #533567=CARTESIAN_POINT('',(36.285,7.1,0.)); #533568=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #533569=CARTESIAN_POINT('',(36.285,7.1,0.0349999999999895)); #533570=CARTESIAN_POINT('',(36.285,7.1,-200.)); #533571=CARTESIAN_POINT('Origin',(36.4,7.1,0.0349999999999895)); #533572=CARTESIAN_POINT('Origin',(36.4,7.6,-200.)); #533573=CARTESIAN_POINT('',(36.285,7.6,0.)); #533574=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #533575=CARTESIAN_POINT('',(36.285,7.6,0.0349999999999895)); #533576=CARTESIAN_POINT('',(36.285,7.6,-200.)); #533577=CARTESIAN_POINT('Origin',(36.4,7.6,0.0349999999999895)); #533578=CARTESIAN_POINT('Origin',(31.6,7.1,-200.)); #533579=CARTESIAN_POINT('',(31.485,7.1,0.)); #533580=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #533581=CARTESIAN_POINT('',(31.485,7.1,0.0349999999999895)); #533582=CARTESIAN_POINT('',(31.485,7.1,-200.)); #533583=CARTESIAN_POINT('Origin',(31.6,7.1,0.0349999999999895)); #533584=CARTESIAN_POINT('Origin',(30.75,9.5,-200.)); #533585=CARTESIAN_POINT('',(30.61,9.5,0.)); #533586=CARTESIAN_POINT('Origin',(30.75,9.5,0.)); #533587=CARTESIAN_POINT('',(30.61,9.5,0.0349999999999895)); #533588=CARTESIAN_POINT('',(30.61,9.5,-200.)); #533589=CARTESIAN_POINT('Origin',(30.75,9.5,0.0349999999999895)); #533590=CARTESIAN_POINT('Origin',(30.75,8.75,-200.)); #533591=CARTESIAN_POINT('',(30.61,8.75,0.)); #533592=CARTESIAN_POINT('Origin',(30.75,8.75,0.)); #533593=CARTESIAN_POINT('',(30.61,8.75,0.0349999999999895)); #533594=CARTESIAN_POINT('',(30.61,8.75,-200.)); #533595=CARTESIAN_POINT('Origin',(30.75,8.75,0.0349999999999895)); #533596=CARTESIAN_POINT('Origin',(34.75,5.5,-200.)); #533597=CARTESIAN_POINT('',(34.61,5.5,0.)); #533598=CARTESIAN_POINT('Origin',(34.75,5.5,0.)); #533599=CARTESIAN_POINT('',(34.61,5.5,0.0349999999999895)); #533600=CARTESIAN_POINT('',(34.61,5.5,-200.)); #533601=CARTESIAN_POINT('Origin',(34.75,5.5,0.0349999999999895)); #533602=CARTESIAN_POINT('Origin',(31.5,8.75,-200.)); #533603=CARTESIAN_POINT('',(31.36,8.75,0.)); #533604=CARTESIAN_POINT('Origin',(31.5,8.75,0.)); #533605=CARTESIAN_POINT('',(31.36,8.75,0.0349999999999895)); #533606=CARTESIAN_POINT('',(31.36,8.75,-200.)); #533607=CARTESIAN_POINT('Origin',(31.5,8.75,0.0349999999999895)); #533608=CARTESIAN_POINT('Origin',(36.5,8.75,-200.)); #533609=CARTESIAN_POINT('',(36.36,8.75,0.)); #533610=CARTESIAN_POINT('Origin',(36.5,8.75,0.)); #533611=CARTESIAN_POINT('',(36.36,8.75,0.0349999999999895)); #533612=CARTESIAN_POINT('',(36.36,8.75,-200.)); #533613=CARTESIAN_POINT('Origin',(36.5,8.75,0.0349999999999895)); #533614=CARTESIAN_POINT('Origin',(31.5,9.5,-200.)); #533615=CARTESIAN_POINT('',(31.36,9.5,0.)); #533616=CARTESIAN_POINT('Origin',(31.5,9.5,0.)); #533617=CARTESIAN_POINT('',(31.36,9.5,0.0349999999999895)); #533618=CARTESIAN_POINT('',(31.36,9.5,-200.)); #533619=CARTESIAN_POINT('Origin',(31.5,9.5,0.0349999999999895)); #533620=CARTESIAN_POINT('Origin',(36.5,9.5,-200.)); #533621=CARTESIAN_POINT('',(36.36,9.5,0.)); #533622=CARTESIAN_POINT('Origin',(36.5,9.5,0.)); #533623=CARTESIAN_POINT('',(36.36,9.5,0.0349999999999895)); #533624=CARTESIAN_POINT('',(36.36,9.5,-200.)); #533625=CARTESIAN_POINT('Origin',(36.5,9.5,0.0349999999999895)); #533626=CARTESIAN_POINT('Origin',(37.25,9.5,-200.)); #533627=CARTESIAN_POINT('',(37.11,9.5,0.)); #533628=CARTESIAN_POINT('Origin',(37.25,9.5,0.)); #533629=CARTESIAN_POINT('',(37.11,9.5,0.0349999999999895)); #533630=CARTESIAN_POINT('',(37.11,9.5,-200.)); #533631=CARTESIAN_POINT('Origin',(37.25,9.5,0.0349999999999895)); #533632=CARTESIAN_POINT('Origin',(41.427034,12.5755,0.)); #533633=CARTESIAN_POINT('',(41.427034,12.5755,0.)); #533634=CARTESIAN_POINT('',(41.072966,12.5755,0.)); #533635=CARTESIAN_POINT('',(41.427034,12.5755,0.)); #533636=CARTESIAN_POINT('',(41.072966,12.5755,0.035)); #533637=CARTESIAN_POINT('',(41.072966,12.5755,0.)); #533638=CARTESIAN_POINT('',(41.427034,12.5755,0.035)); #533639=CARTESIAN_POINT('',(41.427034,12.5755,0.035)); #533640=CARTESIAN_POINT('',(41.427034,12.5755,0.)); #533641=CARTESIAN_POINT('Origin',(41.6774,12.825866,0.)); #533642=CARTESIAN_POINT('',(41.6774,12.825866,0.)); #533643=CARTESIAN_POINT('',(41.6774,12.825866,0.)); #533644=CARTESIAN_POINT('',(41.6774,12.825866,0.035)); #533645=CARTESIAN_POINT('',(41.6774,12.825866,0.035)); #533646=CARTESIAN_POINT('',(41.6774,12.825866,0.)); #533647=CARTESIAN_POINT('Origin',(41.6774,13.054406,0.)); #533648=CARTESIAN_POINT('',(41.6774,13.054406,0.)); #533649=CARTESIAN_POINT('',(41.6774,13.054406,0.)); #533650=CARTESIAN_POINT('',(41.6774,13.054406,0.035)); #533651=CARTESIAN_POINT('',(41.6774,13.054406,0.035)); #533652=CARTESIAN_POINT('',(41.6774,13.054406,0.)); #533653=CARTESIAN_POINT('Origin',(41.686684,13.102069,0.)); #533654=CARTESIAN_POINT('',(41.686684,13.102069,0.)); #533655=CARTESIAN_POINT('',(41.686684,13.102069,0.)); #533656=CARTESIAN_POINT('',(41.686684,13.102069,0.035)); #533657=CARTESIAN_POINT('',(41.686684,13.102069,0.035)); #533658=CARTESIAN_POINT('',(41.686684,13.102069,0.)); #533659=CARTESIAN_POINT('Origin',(41.714597,13.144209,0.)); #533660=CARTESIAN_POINT('',(41.714597,13.144209,0.)); #533661=CARTESIAN_POINT('',(41.714597,13.144209,0.)); #533662=CARTESIAN_POINT('',(41.714597,13.144209,0.035)); #533663=CARTESIAN_POINT('',(41.714597,13.144209,0.035)); #533664=CARTESIAN_POINT('',(41.714597,13.144209,0.)); #533665=CARTESIAN_POINT('Origin',(42.355791,13.785403,0.)); #533666=CARTESIAN_POINT('',(42.355791,13.785403,0.)); #533667=CARTESIAN_POINT('',(42.355791,13.785403,0.)); #533668=CARTESIAN_POINT('',(42.355791,13.785403,0.035)); #533669=CARTESIAN_POINT('',(42.355791,13.785403,0.035)); #533670=CARTESIAN_POINT('',(42.355791,13.785403,0.)); #533671=CARTESIAN_POINT('Origin',(42.396059,13.812541,0.)); #533672=CARTESIAN_POINT('',(42.396059,13.812541,0.)); #533673=CARTESIAN_POINT('',(42.396059,13.812541,0.)); #533674=CARTESIAN_POINT('',(42.396059,13.812541,0.035)); #533675=CARTESIAN_POINT('',(42.396059,13.812541,0.035)); #533676=CARTESIAN_POINT('',(42.396059,13.812541,0.)); #533677=CARTESIAN_POINT('Origin',(42.445594,13.8226,0.)); #533678=CARTESIAN_POINT('',(42.445594,13.8226,0.)); #533679=CARTESIAN_POINT('',(42.445594,13.8226,0.)); #533680=CARTESIAN_POINT('',(42.445594,13.8226,0.035)); #533681=CARTESIAN_POINT('',(42.445594,13.8226,0.035)); #533682=CARTESIAN_POINT('',(42.445594,13.8226,0.)); #533683=CARTESIAN_POINT('Origin',(42.677034,13.8226,0.)); #533684=CARTESIAN_POINT('',(42.677034,13.8226,0.)); #533685=CARTESIAN_POINT('',(42.677034,13.8226,0.)); #533686=CARTESIAN_POINT('',(42.677034,13.8226,0.035)); #533687=CARTESIAN_POINT('',(42.677034,13.8226,0.035)); #533688=CARTESIAN_POINT('',(42.677034,13.8226,0.)); #533689=CARTESIAN_POINT('Origin',(42.9274,14.072966,0.)); #533690=CARTESIAN_POINT('',(42.9274,14.072966,0.)); #533691=CARTESIAN_POINT('',(42.9274,14.072966,0.)); #533692=CARTESIAN_POINT('',(42.9274,14.072966,0.035)); #533693=CARTESIAN_POINT('',(42.9274,14.072966,0.035)); #533694=CARTESIAN_POINT('',(42.9274,14.072966,0.)); #533695=CARTESIAN_POINT('Origin',(42.9274,14.427034,0.)); #533696=CARTESIAN_POINT('',(42.9274,14.427034,0.)); #533697=CARTESIAN_POINT('',(42.9274,14.427034,0.)); #533698=CARTESIAN_POINT('',(42.9274,14.427034,0.035)); #533699=CARTESIAN_POINT('',(42.9274,14.427034,0.035)); #533700=CARTESIAN_POINT('',(42.9274,14.427034,0.)); #533701=CARTESIAN_POINT('Origin',(42.677034,14.6774,0.)); #533702=CARTESIAN_POINT('',(42.677034,14.6774,0.)); #533703=CARTESIAN_POINT('',(42.677034,14.6774,0.)); #533704=CARTESIAN_POINT('',(42.677034,14.6774,0.035)); #533705=CARTESIAN_POINT('',(42.677034,14.6774,0.035)); #533706=CARTESIAN_POINT('',(42.677034,14.6774,0.)); #533707=CARTESIAN_POINT('Origin',(42.322966,14.6774,0.)); #533708=CARTESIAN_POINT('',(42.322966,14.6774,0.)); #533709=CARTESIAN_POINT('',(42.322966,14.6774,0.)); #533710=CARTESIAN_POINT('',(42.322966,14.6774,0.035)); #533711=CARTESIAN_POINT('',(42.322966,14.6774,0.035)); #533712=CARTESIAN_POINT('',(42.322966,14.6774,0.)); #533713=CARTESIAN_POINT('Origin',(42.0726,14.427034,0.)); #533714=CARTESIAN_POINT('',(42.0726,14.427034,0.)); #533715=CARTESIAN_POINT('',(42.0726,14.427034,0.)); #533716=CARTESIAN_POINT('',(42.0726,14.427034,0.035)); #533717=CARTESIAN_POINT('',(42.0726,14.427034,0.035)); #533718=CARTESIAN_POINT('',(42.0726,14.427034,0.)); #533719=CARTESIAN_POINT('Origin',(42.0726,14.201394,0.)); #533720=CARTESIAN_POINT('',(42.0726,14.201394,0.)); #533721=CARTESIAN_POINT('',(42.0726,14.201394,0.)); #533722=CARTESIAN_POINT('',(42.0726,14.201394,0.035)); #533723=CARTESIAN_POINT('',(42.0726,14.201394,0.035)); #533724=CARTESIAN_POINT('',(42.0726,14.201394,0.)); #533725=CARTESIAN_POINT('Origin',(42.063316,14.153731,0.)); #533726=CARTESIAN_POINT('',(42.063316,14.153731,0.)); #533727=CARTESIAN_POINT('',(42.063316,14.153731,0.)); #533728=CARTESIAN_POINT('',(42.063316,14.153731,0.035)); #533729=CARTESIAN_POINT('',(42.063316,14.153731,0.035)); #533730=CARTESIAN_POINT('',(42.063316,14.153731,0.)); #533731=CARTESIAN_POINT('Origin',(42.035403,14.111591,0.)); #533732=CARTESIAN_POINT('',(42.035403,14.111591,0.)); #533733=CARTESIAN_POINT('',(42.035403,14.111591,0.)); #533734=CARTESIAN_POINT('',(42.035403,14.111591,0.035)); #533735=CARTESIAN_POINT('',(42.035403,14.111591,0.035)); #533736=CARTESIAN_POINT('',(42.035403,14.111591,0.)); #533737=CARTESIAN_POINT('Origin',(41.391309,13.467497,0.)); #533738=CARTESIAN_POINT('',(41.391309,13.467497,0.)); #533739=CARTESIAN_POINT('',(41.391309,13.467497,0.)); #533740=CARTESIAN_POINT('',(41.391309,13.467497,0.035)); #533741=CARTESIAN_POINT('',(41.391309,13.467497,0.035)); #533742=CARTESIAN_POINT('',(41.391309,13.467497,0.)); #533743=CARTESIAN_POINT('Origin',(41.351041,13.440359,0.)); #533744=CARTESIAN_POINT('',(41.351041,13.440359,0.)); #533745=CARTESIAN_POINT('',(41.351041,13.440359,0.)); #533746=CARTESIAN_POINT('',(41.351041,13.440359,0.035)); #533747=CARTESIAN_POINT('',(41.351041,13.440359,0.035)); #533748=CARTESIAN_POINT('',(41.351041,13.440359,0.)); #533749=CARTESIAN_POINT('Origin',(41.301506,13.4303,0.)); #533750=CARTESIAN_POINT('',(41.301506,13.4303,0.)); #533751=CARTESIAN_POINT('',(41.301506,13.4303,0.)); #533752=CARTESIAN_POINT('',(41.301506,13.4303,0.035)); #533753=CARTESIAN_POINT('',(41.301506,13.4303,0.035)); #533754=CARTESIAN_POINT('',(41.301506,13.4303,0.)); #533755=CARTESIAN_POINT('Origin',(41.072966,13.4303,0.)); #533756=CARTESIAN_POINT('',(41.072966,13.4303,0.)); #533757=CARTESIAN_POINT('',(41.072966,13.4303,0.)); #533758=CARTESIAN_POINT('',(41.072966,13.4303,0.035)); #533759=CARTESIAN_POINT('',(41.072966,13.4303,0.035)); #533760=CARTESIAN_POINT('',(41.072966,13.4303,0.)); #533761=CARTESIAN_POINT('Origin',(40.8226,13.179934,0.)); #533762=CARTESIAN_POINT('',(40.8226,13.179934,0.)); #533763=CARTESIAN_POINT('',(40.8226,13.179934,0.)); #533764=CARTESIAN_POINT('',(40.8226,13.179934,0.035)); #533765=CARTESIAN_POINT('',(40.8226,13.179934,0.035)); #533766=CARTESIAN_POINT('',(40.8226,13.179934,0.)); #533767=CARTESIAN_POINT('Origin',(40.8226,12.825866,0.)); #533768=CARTESIAN_POINT('',(40.8226,12.825866,0.)); #533769=CARTESIAN_POINT('',(40.8226,12.825866,0.)); #533770=CARTESIAN_POINT('',(40.8226,12.825866,0.035)); #533771=CARTESIAN_POINT('',(40.8226,12.825866,0.035)); #533772=CARTESIAN_POINT('',(40.8226,12.825866,0.)); #533773=CARTESIAN_POINT('Origin',(41.072966,12.5755,0.)); #533774=CARTESIAN_POINT('',(41.072966,12.5755,0.)); #533775=CARTESIAN_POINT('',(41.072966,12.5755,0.035)); #533776=CARTESIAN_POINT('Origin',(35.697394,5.,0.)); #533777=CARTESIAN_POINT('',(35.697394,5.,0.)); #533778=CARTESIAN_POINT('',(35.746928,5.0100594,0.)); #533779=CARTESIAN_POINT('',(35.697394,5.,0.)); #533780=CARTESIAN_POINT('',(35.746928,5.0100594,0.035)); #533781=CARTESIAN_POINT('',(35.746928,5.0100594,0.)); #533782=CARTESIAN_POINT('',(35.697394,5.,0.035)); #533783=CARTESIAN_POINT('',(35.697394,5.,0.035)); #533784=CARTESIAN_POINT('',(35.697394,5.,0.)); #533785=CARTESIAN_POINT('Origin',(32.302606,5.,0.)); #533786=CARTESIAN_POINT('',(32.302606,5.,0.)); #533787=CARTESIAN_POINT('',(32.302606,5.,0.)); #533788=CARTESIAN_POINT('',(32.302606,5.,0.035)); #533789=CARTESIAN_POINT('',(32.302606,5.,0.035)); #533790=CARTESIAN_POINT('',(32.302606,5.,0.)); #533791=CARTESIAN_POINT('Origin',(32.254941,5.0092844,0.)); #533792=CARTESIAN_POINT('',(32.254941,5.0092844,0.)); #533793=CARTESIAN_POINT('',(32.254941,5.0092844,0.)); #533794=CARTESIAN_POINT('',(32.254941,5.0092844,0.035)); #533795=CARTESIAN_POINT('',(32.254941,5.0092844,0.035)); #533796=CARTESIAN_POINT('',(32.254941,5.0092844,0.)); #533797=CARTESIAN_POINT('Origin',(32.212803,5.0371969,0.)); #533798=CARTESIAN_POINT('',(32.212803,5.0371969,0.)); #533799=CARTESIAN_POINT('',(32.212803,5.0371969,0.)); #533800=CARTESIAN_POINT('',(32.212803,5.0371969,0.035)); #533801=CARTESIAN_POINT('',(32.212803,5.0371969,0.035)); #533802=CARTESIAN_POINT('',(32.212803,5.0371969,0.)); #533803=CARTESIAN_POINT('Origin',(31.621747,5.6282563,0.)); #533804=CARTESIAN_POINT('',(31.621747,5.6282563,0.)); #533805=CARTESIAN_POINT('',(31.621747,5.6282563,0.)); #533806=CARTESIAN_POINT('',(31.621747,5.6282563,0.035)); #533807=CARTESIAN_POINT('',(31.621747,5.6282563,0.035)); #533808=CARTESIAN_POINT('',(31.621747,5.6282563,0.)); #533809=CARTESIAN_POINT('Origin',(31.595413,5.6666656,0.)); #533810=CARTESIAN_POINT('',(31.595413,5.6666656,0.)); #533811=CARTESIAN_POINT('',(31.595413,5.6666656,0.)); #533812=CARTESIAN_POINT('',(31.595413,5.6666656,0.035)); #533813=CARTESIAN_POINT('',(31.595413,5.6666656,0.035)); #533814=CARTESIAN_POINT('',(31.595413,5.6666656,0.)); #533815=CARTESIAN_POINT('Origin',(31.584566,5.7160344,0.)); #533816=CARTESIAN_POINT('',(31.584566,5.7160344,0.)); #533817=CARTESIAN_POINT('',(31.584566,5.7160344,0.)); #533818=CARTESIAN_POINT('',(31.584566,5.7160344,0.035)); #533819=CARTESIAN_POINT('',(31.584566,5.7160344,0.035)); #533820=CARTESIAN_POINT('',(31.584566,5.7160344,0.)); #533821=CARTESIAN_POINT('Origin',(31.593834,5.7657219,0.)); #533822=CARTESIAN_POINT('',(31.593834,5.7657219,0.)); #533823=CARTESIAN_POINT('',(31.593834,5.7657219,0.)); #533824=CARTESIAN_POINT('',(31.593834,5.7657219,0.035)); #533825=CARTESIAN_POINT('',(31.593834,5.7657219,0.035)); #533826=CARTESIAN_POINT('',(31.593834,5.7657219,0.)); #533827=CARTESIAN_POINT('Origin',(31.621747,5.8078625,0.)); #533828=CARTESIAN_POINT('',(31.621747,5.8078625,0.)); #533829=CARTESIAN_POINT('',(31.621747,5.8078625,0.)); #533830=CARTESIAN_POINT('',(31.621747,5.8078625,0.035)); #533831=CARTESIAN_POINT('',(31.621747,5.8078625,0.035)); #533832=CARTESIAN_POINT('',(31.621747,5.8078625,0.)); #533833=CARTESIAN_POINT('Origin',(31.63985,5.8259656,0.)); #533834=CARTESIAN_POINT('',(31.63985,5.8259656,0.)); #533835=CARTESIAN_POINT('',(31.63985,5.8259656,0.)); #533836=CARTESIAN_POINT('',(31.63985,5.8259656,0.035)); #533837=CARTESIAN_POINT('',(31.63985,5.8259656,0.035)); #533838=CARTESIAN_POINT('',(31.63985,5.8259656,0.)); #533839=CARTESIAN_POINT('Origin',(31.735,6.0556844,0.)); #533840=CARTESIAN_POINT('',(31.735,6.0556844,0.)); #533841=CARTESIAN_POINT('',(31.735,6.0556844,0.)); #533842=CARTESIAN_POINT('',(31.735,6.0556844,0.035)); #533843=CARTESIAN_POINT('',(31.735,6.0556844,0.035)); #533844=CARTESIAN_POINT('',(31.735,6.0556844,0.)); #533845=CARTESIAN_POINT('Origin',(31.735,6.3043156,0.)); #533846=CARTESIAN_POINT('',(31.735,6.3043156,0.)); #533847=CARTESIAN_POINT('',(31.735,6.3043156,0.)); #533848=CARTESIAN_POINT('',(31.735,6.3043156,0.035)); #533849=CARTESIAN_POINT('',(31.735,6.3043156,0.035)); #533850=CARTESIAN_POINT('',(31.735,6.3043156,0.)); #533851=CARTESIAN_POINT('Origin',(31.63985,6.5340344,0.)); #533852=CARTESIAN_POINT('',(31.63985,6.5340344,0.)); #533853=CARTESIAN_POINT('',(31.63985,6.5340344,0.)); #533854=CARTESIAN_POINT('',(31.63985,6.5340344,0.035)); #533855=CARTESIAN_POINT('',(31.63985,6.5340344,0.035)); #533856=CARTESIAN_POINT('',(31.63985,6.5340344,0.)); #533857=CARTESIAN_POINT('Origin',(31.464031,6.7098531,0.)); #533858=CARTESIAN_POINT('',(31.464031,6.7098531,0.)); #533859=CARTESIAN_POINT('',(31.464031,6.7098531,0.)); #533860=CARTESIAN_POINT('',(31.464031,6.7098531,0.035)); #533861=CARTESIAN_POINT('',(31.464031,6.7098531,0.035)); #533862=CARTESIAN_POINT('',(31.464031,6.7098531,0.)); #533863=CARTESIAN_POINT('Origin',(31.3284,6.7660344,0.)); #533864=CARTESIAN_POINT('',(31.3284,6.7660344,0.)); #533865=CARTESIAN_POINT('',(31.3284,6.7660344,0.)); #533866=CARTESIAN_POINT('',(31.3284,6.7660344,0.035)); #533867=CARTESIAN_POINT('',(31.3284,6.7660344,0.035)); #533868=CARTESIAN_POINT('',(31.3284,6.7660344,0.)); #533869=CARTESIAN_POINT('Origin',(31.288641,6.7921438,0.)); #533870=CARTESIAN_POINT('',(31.288641,6.7921438,0.)); #533871=CARTESIAN_POINT('',(31.288641,6.7921438,0.)); #533872=CARTESIAN_POINT('',(31.288641,6.7921438,0.035)); #533873=CARTESIAN_POINT('',(31.288641,6.7921438,0.035)); #533874=CARTESIAN_POINT('',(31.288641,6.7921438,0.)); #533875=CARTESIAN_POINT('Origin',(31.260059,6.8338313,0.)); #533876=CARTESIAN_POINT('',(31.260059,6.8338313,0.)); #533877=CARTESIAN_POINT('',(31.260059,6.8338313,0.)); #533878=CARTESIAN_POINT('',(31.260059,6.8338313,0.035)); #533879=CARTESIAN_POINT('',(31.260059,6.8338313,0.035)); #533880=CARTESIAN_POINT('',(31.260059,6.8338313,0.)); #533881=CARTESIAN_POINT('Origin',(31.25,6.8833656,0.)); #533882=CARTESIAN_POINT('',(31.25,6.8833656,0.)); #533883=CARTESIAN_POINT('',(31.25,6.8833656,0.)); #533884=CARTESIAN_POINT('',(31.25,6.8833656,0.035)); #533885=CARTESIAN_POINT('',(31.25,6.8833656,0.035)); #533886=CARTESIAN_POINT('',(31.25,6.8833656,0.)); #533887=CARTESIAN_POINT('Origin',(31.25,7.9899781,0.)); #533888=CARTESIAN_POINT('',(31.25,7.9899781,0.)); #533889=CARTESIAN_POINT('',(31.25,7.9899781,0.)); #533890=CARTESIAN_POINT('',(31.25,7.9899781,0.035)); #533891=CARTESIAN_POINT('',(31.25,7.9899781,0.035)); #533892=CARTESIAN_POINT('',(31.25,7.9899781,0.)); #533893=CARTESIAN_POINT('Origin',(31.248541,7.999175,0.)); #533894=CARTESIAN_POINT('',(31.248541,7.999175,0.)); #533895=CARTESIAN_POINT('',(31.248541,7.999175,0.)); #533896=CARTESIAN_POINT('',(31.248541,7.999175,0.035)); #533897=CARTESIAN_POINT('',(31.248541,7.999175,0.035)); #533898=CARTESIAN_POINT('',(31.248541,7.999175,0.)); #533899=CARTESIAN_POINT('Origin',(31.242016,8.,0.)); #533900=CARTESIAN_POINT('',(31.242016,8.,0.)); #533901=CARTESIAN_POINT('',(31.242016,8.,0.)); #533902=CARTESIAN_POINT('',(31.242016,8.,0.035)); #533903=CARTESIAN_POINT('',(31.242016,8.,0.035)); #533904=CARTESIAN_POINT('',(31.242016,8.,0.)); #533905=CARTESIAN_POINT('Origin',(31.021684,8.,0.)); #533906=CARTESIAN_POINT('',(31.021684,8.,0.)); #533907=CARTESIAN_POINT('',(31.021684,8.,0.)); #533908=CARTESIAN_POINT('',(31.021684,8.,0.035)); #533909=CARTESIAN_POINT('',(31.021684,8.,0.035)); #533910=CARTESIAN_POINT('',(31.021684,8.,0.)); #533911=CARTESIAN_POINT('Origin',(31.009363,8.0024,0.)); #533912=CARTESIAN_POINT('',(31.009363,8.0024,0.)); #533913=CARTESIAN_POINT('',(31.009363,8.0024,0.)); #533914=CARTESIAN_POINT('',(31.009363,8.0024,0.035)); #533915=CARTESIAN_POINT('',(31.009363,8.0024,0.035)); #533916=CARTESIAN_POINT('',(31.009363,8.0024,0.)); #533917=CARTESIAN_POINT('Origin',(30.800206,8.0024,0.)); #533918=CARTESIAN_POINT('',(30.800206,8.0024,0.)); #533919=CARTESIAN_POINT('',(30.800206,8.0024,0.)); #533920=CARTESIAN_POINT('',(30.800206,8.0024,0.035)); #533921=CARTESIAN_POINT('',(30.800206,8.0024,0.035)); #533922=CARTESIAN_POINT('',(30.800206,8.0024,0.)); #533923=CARTESIAN_POINT('Origin',(30.752544,8.0116844,0.)); #533924=CARTESIAN_POINT('',(30.752544,8.0116844,0.)); #533925=CARTESIAN_POINT('',(30.752544,8.0116844,0.)); #533926=CARTESIAN_POINT('',(30.752544,8.0116844,0.035)); #533927=CARTESIAN_POINT('',(30.752544,8.0116844,0.035)); #533928=CARTESIAN_POINT('',(30.752544,8.0116844,0.)); #533929=CARTESIAN_POINT('Origin',(30.710403,8.0395969,0.)); #533930=CARTESIAN_POINT('',(30.710403,8.0395969,0.)); #533931=CARTESIAN_POINT('',(30.710403,8.0395969,0.)); #533932=CARTESIAN_POINT('',(30.710403,8.0395969,0.035)); #533933=CARTESIAN_POINT('',(30.710403,8.0395969,0.035)); #533934=CARTESIAN_POINT('',(30.710403,8.0395969,0.)); #533935=CARTESIAN_POINT('Origin',(30.287197,8.4628031,0.)); #533936=CARTESIAN_POINT('',(30.287197,8.4628031,0.)); #533937=CARTESIAN_POINT('',(30.287197,8.4628031,0.)); #533938=CARTESIAN_POINT('',(30.287197,8.4628031,0.035)); #533939=CARTESIAN_POINT('',(30.287197,8.4628031,0.035)); #533940=CARTESIAN_POINT('',(30.287197,8.4628031,0.)); #533941=CARTESIAN_POINT('Origin',(30.260059,8.5030719,0.)); #533942=CARTESIAN_POINT('',(30.260059,8.5030719,0.)); #533943=CARTESIAN_POINT('',(30.260059,8.5030719,0.)); #533944=CARTESIAN_POINT('',(30.260059,8.5030719,0.035)); #533945=CARTESIAN_POINT('',(30.260059,8.5030719,0.035)); #533946=CARTESIAN_POINT('',(30.260059,8.5030719,0.)); #533947=CARTESIAN_POINT('Origin',(30.25,8.5526063,0.)); #533948=CARTESIAN_POINT('',(30.25,8.5526063,0.)); #533949=CARTESIAN_POINT('',(30.25,8.5526063,0.)); #533950=CARTESIAN_POINT('',(30.25,8.5526063,0.035)); #533951=CARTESIAN_POINT('',(30.25,8.5526063,0.035)); #533952=CARTESIAN_POINT('',(30.25,8.5526063,0.)); #533953=CARTESIAN_POINT('Origin',(30.25,9.9456,0.)); #533954=CARTESIAN_POINT('',(30.25,9.9456,0.)); #533955=CARTESIAN_POINT('',(30.25,9.9456,0.)); #533956=CARTESIAN_POINT('',(30.25,9.9456,0.035)); #533957=CARTESIAN_POINT('',(30.25,9.9456,0.035)); #533958=CARTESIAN_POINT('',(30.25,9.9456,0.)); #533959=CARTESIAN_POINT('Origin',(30.258538,9.9913812,0.)); #533960=CARTESIAN_POINT('',(30.258538,9.9913812,0.)); #533961=CARTESIAN_POINT('',(30.258538,9.9913812,0.)); #533962=CARTESIAN_POINT('',(30.258538,9.9913812,0.035)); #533963=CARTESIAN_POINT('',(30.258538,9.9913812,0.035)); #533964=CARTESIAN_POINT('',(30.258538,9.9913812,0.)); #533965=CARTESIAN_POINT('Origin',(30.285778,10.033959,0.)); #533966=CARTESIAN_POINT('',(30.285778,10.033959,0.)); #533967=CARTESIAN_POINT('',(30.285778,10.033959,0.)); #533968=CARTESIAN_POINT('',(30.285778,10.033959,0.035)); #533969=CARTESIAN_POINT('',(30.285778,10.033959,0.035)); #533970=CARTESIAN_POINT('',(30.285778,10.033959,0.)); #533971=CARTESIAN_POINT('Origin',(30.327466,10.062541,0.)); #533972=CARTESIAN_POINT('',(30.327466,10.062541,0.)); #533973=CARTESIAN_POINT('',(30.327466,10.062541,0.)); #533974=CARTESIAN_POINT('',(30.327466,10.062541,0.035)); #533975=CARTESIAN_POINT('',(30.327466,10.062541,0.035)); #533976=CARTESIAN_POINT('',(30.327466,10.062541,0.)); #533977=CARTESIAN_POINT('Origin',(30.377,10.0726,0.)); #533978=CARTESIAN_POINT('',(30.377,10.0726,0.)); #533979=CARTESIAN_POINT('',(30.377,10.0726,0.)); #533980=CARTESIAN_POINT('',(30.377,10.0726,0.035)); #533981=CARTESIAN_POINT('',(30.377,10.0726,0.035)); #533982=CARTESIAN_POINT('',(30.377,10.0726,0.)); #533983=CARTESIAN_POINT('Origin',(30.677034,10.0726,0.)); #533984=CARTESIAN_POINT('',(30.677034,10.0726,0.)); #533985=CARTESIAN_POINT('',(30.677034,10.0726,0.)); #533986=CARTESIAN_POINT('',(30.677034,10.0726,0.035)); #533987=CARTESIAN_POINT('',(30.677034,10.0726,0.035)); #533988=CARTESIAN_POINT('',(30.677034,10.0726,0.)); #533989=CARTESIAN_POINT('Origin',(30.9274,10.322966,0.)); #533990=CARTESIAN_POINT('',(30.9274,10.322966,0.)); #533991=CARTESIAN_POINT('',(30.9274,10.322966,0.)); #533992=CARTESIAN_POINT('',(30.9274,10.322966,0.035)); #533993=CARTESIAN_POINT('',(30.9274,10.322966,0.035)); #533994=CARTESIAN_POINT('',(30.9274,10.322966,0.)); #533995=CARTESIAN_POINT('Origin',(30.9274,10.677034,0.)); #533996=CARTESIAN_POINT('',(30.9274,10.677034,0.)); #533997=CARTESIAN_POINT('',(30.9274,10.677034,0.)); #533998=CARTESIAN_POINT('',(30.9274,10.677034,0.035)); #533999=CARTESIAN_POINT('',(30.9274,10.677034,0.035)); #534000=CARTESIAN_POINT('',(30.9274,10.677034,0.)); #534001=CARTESIAN_POINT('Origin',(30.819237,10.785197,0.)); #534002=CARTESIAN_POINT('',(30.819237,10.785197,0.)); #534003=CARTESIAN_POINT('',(30.819237,10.785197,0.)); #534004=CARTESIAN_POINT('',(30.819237,10.785197,0.035)); #534005=CARTESIAN_POINT('',(30.819237,10.785197,0.035)); #534006=CARTESIAN_POINT('',(30.819237,10.785197,0.)); #534007=CARTESIAN_POINT('Origin',(30.792903,10.823606,0.)); #534008=CARTESIAN_POINT('',(30.792903,10.823606,0.)); #534009=CARTESIAN_POINT('',(30.792903,10.823606,0.)); #534010=CARTESIAN_POINT('',(30.792903,10.823606,0.035)); #534011=CARTESIAN_POINT('',(30.792903,10.823606,0.035)); #534012=CARTESIAN_POINT('',(30.792903,10.823606,0.)); #534013=CARTESIAN_POINT('Origin',(30.782056,10.872975,0.)); #534014=CARTESIAN_POINT('',(30.782056,10.872975,0.)); #534015=CARTESIAN_POINT('',(30.782056,10.872975,0.)); #534016=CARTESIAN_POINT('',(30.782056,10.872975,0.035)); #534017=CARTESIAN_POINT('',(30.782056,10.872975,0.035)); #534018=CARTESIAN_POINT('',(30.782056,10.872975,0.)); #534019=CARTESIAN_POINT('Origin',(30.791325,10.922663,0.)); #534020=CARTESIAN_POINT('',(30.791325,10.922663,0.)); #534021=CARTESIAN_POINT('',(30.791325,10.922663,0.)); #534022=CARTESIAN_POINT('',(30.791325,10.922663,0.035)); #534023=CARTESIAN_POINT('',(30.791325,10.922663,0.035)); #534024=CARTESIAN_POINT('',(30.791325,10.922663,0.)); #534025=CARTESIAN_POINT('Origin',(30.819237,10.964803,0.)); #534026=CARTESIAN_POINT('',(30.819237,10.964803,0.)); #534027=CARTESIAN_POINT('',(30.819237,10.964803,0.)); #534028=CARTESIAN_POINT('',(30.819237,10.964803,0.035)); #534029=CARTESIAN_POINT('',(30.819237,10.964803,0.035)); #534030=CARTESIAN_POINT('',(30.819237,10.964803,0.)); #534031=CARTESIAN_POINT('Origin',(30.9274,11.072966,0.)); #534032=CARTESIAN_POINT('',(30.9274,11.072966,0.)); #534033=CARTESIAN_POINT('',(30.9274,11.072966,0.)); #534034=CARTESIAN_POINT('',(30.9274,11.072966,0.035)); #534035=CARTESIAN_POINT('',(30.9274,11.072966,0.035)); #534036=CARTESIAN_POINT('',(30.9274,11.072966,0.)); #534037=CARTESIAN_POINT('Origin',(30.9274,11.123,0.)); #534038=CARTESIAN_POINT('',(30.9274,11.123,0.)); #534039=CARTESIAN_POINT('',(30.9274,11.123,0.)); #534040=CARTESIAN_POINT('',(30.9274,11.123,0.035)); #534041=CARTESIAN_POINT('',(30.9274,11.123,0.035)); #534042=CARTESIAN_POINT('',(30.9274,11.123,0.)); #534043=CARTESIAN_POINT('Origin',(30.935938,11.168781,0.)); #534044=CARTESIAN_POINT('',(30.935938,11.168781,0.)); #534045=CARTESIAN_POINT('',(30.935938,11.168781,0.)); #534046=CARTESIAN_POINT('',(30.935938,11.168781,0.035)); #534047=CARTESIAN_POINT('',(30.935938,11.168781,0.035)); #534048=CARTESIAN_POINT('',(30.935938,11.168781,0.)); #534049=CARTESIAN_POINT('Origin',(30.963178,11.211359,0.)); #534050=CARTESIAN_POINT('',(30.963178,11.211359,0.)); #534051=CARTESIAN_POINT('',(30.963178,11.211359,0.)); #534052=CARTESIAN_POINT('',(30.963178,11.211359,0.035)); #534053=CARTESIAN_POINT('',(30.963178,11.211359,0.035)); #534054=CARTESIAN_POINT('',(30.963178,11.211359,0.)); #534055=CARTESIAN_POINT('Origin',(31.004866,11.239941,0.)); #534056=CARTESIAN_POINT('',(31.004866,11.239941,0.)); #534057=CARTESIAN_POINT('',(31.004866,11.239941,0.)); #534058=CARTESIAN_POINT('',(31.004866,11.239941,0.035)); #534059=CARTESIAN_POINT('',(31.004866,11.239941,0.035)); #534060=CARTESIAN_POINT('',(31.004866,11.239941,0.)); #534061=CARTESIAN_POINT('Origin',(31.0544,11.25,0.)); #534062=CARTESIAN_POINT('',(31.0544,11.25,0.)); #534063=CARTESIAN_POINT('',(31.0544,11.25,0.)); #534064=CARTESIAN_POINT('',(31.0544,11.25,0.035)); #534065=CARTESIAN_POINT('',(31.0544,11.25,0.035)); #534066=CARTESIAN_POINT('',(31.0544,11.25,0.)); #534067=CARTESIAN_POINT('Origin',(31.502769,11.25,0.)); #534068=CARTESIAN_POINT('',(31.502769,11.25,0.)); #534069=CARTESIAN_POINT('',(31.502769,11.25,0.)); #534070=CARTESIAN_POINT('',(31.502769,11.25,0.035)); #534071=CARTESIAN_POINT('',(31.502769,11.25,0.035)); #534072=CARTESIAN_POINT('',(31.502769,11.25,0.)); #534073=CARTESIAN_POINT('Origin',(31.550431,11.240716,0.)); #534074=CARTESIAN_POINT('',(31.550431,11.240716,0.)); #534075=CARTESIAN_POINT('',(31.550431,11.240716,0.)); #534076=CARTESIAN_POINT('',(31.550431,11.240716,0.035)); #534077=CARTESIAN_POINT('',(31.550431,11.240716,0.035)); #534078=CARTESIAN_POINT('',(31.550431,11.240716,0.)); #534079=CARTESIAN_POINT('Origin',(31.592572,11.212803,0.)); #534080=CARTESIAN_POINT('',(31.592572,11.212803,0.)); #534081=CARTESIAN_POINT('',(31.592572,11.212803,0.)); #534082=CARTESIAN_POINT('',(31.592572,11.212803,0.035)); #534083=CARTESIAN_POINT('',(31.592572,11.212803,0.035)); #534084=CARTESIAN_POINT('',(31.592572,11.212803,0.)); #534085=CARTESIAN_POINT('Origin',(32.484203,10.321172,0.)); #534086=CARTESIAN_POINT('',(32.484203,10.321172,0.)); #534087=CARTESIAN_POINT('',(32.484203,10.321172,0.)); #534088=CARTESIAN_POINT('',(32.484203,10.321172,0.035)); #534089=CARTESIAN_POINT('',(32.484203,10.321172,0.035)); #534090=CARTESIAN_POINT('',(32.484203,10.321172,0.)); #534091=CARTESIAN_POINT('Origin',(32.511341,10.280903,0.)); #534092=CARTESIAN_POINT('',(32.511341,10.280903,0.)); #534093=CARTESIAN_POINT('',(32.511341,10.280903,0.)); #534094=CARTESIAN_POINT('',(32.511341,10.280903,0.035)); #534095=CARTESIAN_POINT('',(32.511341,10.280903,0.035)); #534096=CARTESIAN_POINT('',(32.511341,10.280903,0.)); #534097=CARTESIAN_POINT('Origin',(32.5214,10.231369,0.)); #534098=CARTESIAN_POINT('',(32.5214,10.231369,0.)); #534099=CARTESIAN_POINT('',(32.5214,10.231369,0.)); #534100=CARTESIAN_POINT('',(32.5214,10.231369,0.035)); #534101=CARTESIAN_POINT('',(32.5214,10.231369,0.035)); #534102=CARTESIAN_POINT('',(32.5214,10.231369,0.)); #534103=CARTESIAN_POINT('Origin',(32.5214,8.6784406,0.)); #534104=CARTESIAN_POINT('',(32.5214,8.6784406,0.)); #534105=CARTESIAN_POINT('',(32.5214,8.6784406,0.)); #534106=CARTESIAN_POINT('',(32.5214,8.6784406,0.035)); #534107=CARTESIAN_POINT('',(32.5214,8.6784406,0.035)); #534108=CARTESIAN_POINT('',(32.5214,8.6784406,0.)); #534109=CARTESIAN_POINT('Origin',(32.512116,8.6307781,0.)); #534110=CARTESIAN_POINT('',(32.512116,8.6307781,0.)); #534111=CARTESIAN_POINT('',(32.512116,8.6307781,0.)); #534112=CARTESIAN_POINT('',(32.512116,8.6307781,0.035)); #534113=CARTESIAN_POINT('',(32.512116,8.6307781,0.035)); #534114=CARTESIAN_POINT('',(32.512116,8.6307781,0.)); #534115=CARTESIAN_POINT('Origin',(32.484203,8.5886375,0.)); #534116=CARTESIAN_POINT('',(32.484203,8.5886375,0.)); #534117=CARTESIAN_POINT('',(32.484203,8.5886375,0.)); #534118=CARTESIAN_POINT('',(32.484203,8.5886375,0.035)); #534119=CARTESIAN_POINT('',(32.484203,8.5886375,0.035)); #534120=CARTESIAN_POINT('',(32.484203,8.5886375,0.)); #534121=CARTESIAN_POINT('Origin',(32.3226,8.4270344,0.)); #534122=CARTESIAN_POINT('',(32.3226,8.4270344,0.)); #534123=CARTESIAN_POINT('',(32.3226,8.4270344,0.)); #534124=CARTESIAN_POINT('',(32.3226,8.4270344,0.035)); #534125=CARTESIAN_POINT('',(32.3226,8.4270344,0.035)); #534126=CARTESIAN_POINT('',(32.3226,8.4270344,0.)); #534127=CARTESIAN_POINT('Origin',(32.3226,8.0729656,0.)); #534128=CARTESIAN_POINT('',(32.3226,8.0729656,0.)); #534129=CARTESIAN_POINT('',(32.3226,8.0729656,0.)); #534130=CARTESIAN_POINT('',(32.3226,8.0729656,0.035)); #534131=CARTESIAN_POINT('',(32.3226,8.0729656,0.035)); #534132=CARTESIAN_POINT('',(32.3226,8.0729656,0.)); #534133=CARTESIAN_POINT('Origin',(32.572966,7.8226,0.)); #534134=CARTESIAN_POINT('',(32.572966,7.8226,0.)); #534135=CARTESIAN_POINT('',(32.572966,7.8226,0.)); #534136=CARTESIAN_POINT('',(32.572966,7.8226,0.035)); #534137=CARTESIAN_POINT('',(32.572966,7.8226,0.035)); #534138=CARTESIAN_POINT('',(32.572966,7.8226,0.)); #534139=CARTESIAN_POINT('Origin',(32.927034,7.8226,0.)); #534140=CARTESIAN_POINT('',(32.927034,7.8226,0.)); #534141=CARTESIAN_POINT('',(32.927034,7.8226,0.)); #534142=CARTESIAN_POINT('',(32.927034,7.8226,0.035)); #534143=CARTESIAN_POINT('',(32.927034,7.8226,0.035)); #534144=CARTESIAN_POINT('',(32.927034,7.8226,0.)); #534145=CARTESIAN_POINT('Origin',(33.054597,7.9501625,0.)); #534146=CARTESIAN_POINT('',(33.054597,7.9501625,0.)); #534147=CARTESIAN_POINT('',(33.054597,7.9501625,0.)); #534148=CARTESIAN_POINT('',(33.054597,7.9501625,0.035)); #534149=CARTESIAN_POINT('',(33.054597,7.9501625,0.035)); #534150=CARTESIAN_POINT('',(33.054597,7.9501625,0.)); #534151=CARTESIAN_POINT('Origin',(33.091163,7.9756625,0.)); #534152=CARTESIAN_POINT('',(33.091163,7.9756625,0.)); #534153=CARTESIAN_POINT('',(33.091163,7.9756625,0.)); #534154=CARTESIAN_POINT('',(33.091163,7.9756625,0.035)); #534155=CARTESIAN_POINT('',(33.091163,7.9756625,0.035)); #534156=CARTESIAN_POINT('',(33.091163,7.9756625,0.)); #534157=CARTESIAN_POINT('Origin',(33.140353,7.9872938,0.)); #534158=CARTESIAN_POINT('',(33.140353,7.9872938,0.)); #534159=CARTESIAN_POINT('',(33.140353,7.9872938,0.)); #534160=CARTESIAN_POINT('',(33.140353,7.9872938,0.035)); #534161=CARTESIAN_POINT('',(33.140353,7.9872938,0.035)); #534162=CARTESIAN_POINT('',(33.140353,7.9872938,0.)); #534163=CARTESIAN_POINT('Origin',(33.190181,7.9788219,0.)); #534164=CARTESIAN_POINT('',(33.190181,7.9788219,0.)); #534165=CARTESIAN_POINT('',(33.190181,7.9788219,0.)); #534166=CARTESIAN_POINT('',(33.190181,7.9788219,0.035)); #534167=CARTESIAN_POINT('',(33.190181,7.9788219,0.035)); #534168=CARTESIAN_POINT('',(33.190181,7.9788219,0.)); #534169=CARTESIAN_POINT('Origin',(33.232759,7.9515813,0.)); #534170=CARTESIAN_POINT('',(33.232759,7.9515813,0.)); #534171=CARTESIAN_POINT('',(33.232759,7.9515813,0.)); #534172=CARTESIAN_POINT('',(33.232759,7.9515813,0.035)); #534173=CARTESIAN_POINT('',(33.232759,7.9515813,0.035)); #534174=CARTESIAN_POINT('',(33.232759,7.9515813,0.)); #534175=CARTESIAN_POINT('Origin',(33.261341,7.9098938,0.)); #534176=CARTESIAN_POINT('',(33.261341,7.9098938,0.)); #534177=CARTESIAN_POINT('',(33.261341,7.9098938,0.)); #534178=CARTESIAN_POINT('',(33.261341,7.9098938,0.035)); #534179=CARTESIAN_POINT('',(33.261341,7.9098938,0.035)); #534180=CARTESIAN_POINT('',(33.261341,7.9098938,0.)); #534181=CARTESIAN_POINT('Origin',(33.261831,7.9074813,0.)); #534182=CARTESIAN_POINT('',(33.261831,7.9074813,0.)); #534183=CARTESIAN_POINT('',(33.261831,7.9074813,0.)); #534184=CARTESIAN_POINT('',(33.261831,7.9074813,0.035)); #534185=CARTESIAN_POINT('',(33.261831,7.9074813,0.035)); #534186=CARTESIAN_POINT('',(33.261831,7.9074813,0.)); #534187=CARTESIAN_POINT('Origin',(33.484203,7.6851094,0.)); #534188=CARTESIAN_POINT('',(33.484203,7.6851094,0.)); #534189=CARTESIAN_POINT('',(33.484203,7.6851094,0.)); #534190=CARTESIAN_POINT('',(33.484203,7.6851094,0.035)); #534191=CARTESIAN_POINT('',(33.484203,7.6851094,0.035)); #534192=CARTESIAN_POINT('',(33.484203,7.6851094,0.)); #534193=CARTESIAN_POINT('Origin',(33.511341,7.6448406,0.)); #534194=CARTESIAN_POINT('',(33.511341,7.6448406,0.)); #534195=CARTESIAN_POINT('',(33.511341,7.6448406,0.)); #534196=CARTESIAN_POINT('',(33.511341,7.6448406,0.035)); #534197=CARTESIAN_POINT('',(33.511341,7.6448406,0.035)); #534198=CARTESIAN_POINT('',(33.511341,7.6448406,0.)); #534199=CARTESIAN_POINT('Origin',(33.5214,7.5953063,0.)); #534200=CARTESIAN_POINT('',(33.5214,7.5953063,0.)); #534201=CARTESIAN_POINT('',(33.5214,7.5953063,0.)); #534202=CARTESIAN_POINT('',(33.5214,7.5953063,0.035)); #534203=CARTESIAN_POINT('',(33.5214,7.5953063,0.035)); #534204=CARTESIAN_POINT('',(33.5214,7.5953063,0.)); #534205=CARTESIAN_POINT('Origin',(33.5214,6.6710406,0.)); #534206=CARTESIAN_POINT('',(33.5214,6.6710406,0.)); #534207=CARTESIAN_POINT('',(33.5214,6.6710406,0.)); #534208=CARTESIAN_POINT('',(33.5214,6.6710406,0.035)); #534209=CARTESIAN_POINT('',(33.5214,6.6710406,0.035)); #534210=CARTESIAN_POINT('',(33.5214,6.6710406,0.)); #534211=CARTESIAN_POINT('Origin',(33.512116,6.6233781,0.)); #534212=CARTESIAN_POINT('',(33.512116,6.6233781,0.)); #534213=CARTESIAN_POINT('',(33.512116,6.6233781,0.)); #534214=CARTESIAN_POINT('',(33.512116,6.6233781,0.035)); #534215=CARTESIAN_POINT('',(33.512116,6.6233781,0.035)); #534216=CARTESIAN_POINT('',(33.512116,6.6233781,0.)); #534217=CARTESIAN_POINT('Origin',(33.484203,6.5812375,0.)); #534218=CARTESIAN_POINT('',(33.484203,6.5812375,0.)); #534219=CARTESIAN_POINT('',(33.484203,6.5812375,0.)); #534220=CARTESIAN_POINT('',(33.484203,6.5812375,0.035)); #534221=CARTESIAN_POINT('',(33.484203,6.5812375,0.035)); #534222=CARTESIAN_POINT('',(33.484203,6.5812375,0.)); #534223=CARTESIAN_POINT('Origin',(33.3226,6.4196344,0.)); #534224=CARTESIAN_POINT('',(33.3226,6.4196344,0.)); #534225=CARTESIAN_POINT('',(33.3226,6.4196344,0.)); #534226=CARTESIAN_POINT('',(33.3226,6.4196344,0.035)); #534227=CARTESIAN_POINT('',(33.3226,6.4196344,0.035)); #534228=CARTESIAN_POINT('',(33.3226,6.4196344,0.)); #534229=CARTESIAN_POINT('Origin',(33.3226,6.0655656,0.)); #534230=CARTESIAN_POINT('',(33.3226,6.0655656,0.)); #534231=CARTESIAN_POINT('',(33.3226,6.0655656,0.)); #534232=CARTESIAN_POINT('',(33.3226,6.0655656,0.035)); #534233=CARTESIAN_POINT('',(33.3226,6.0655656,0.035)); #534234=CARTESIAN_POINT('',(33.3226,6.0655656,0.)); #534235=CARTESIAN_POINT('Origin',(33.572966,5.8152,0.)); #534236=CARTESIAN_POINT('',(33.572966,5.8152,0.)); #534237=CARTESIAN_POINT('',(33.572966,5.8152,0.)); #534238=CARTESIAN_POINT('',(33.572966,5.8152,0.035)); #534239=CARTESIAN_POINT('',(33.572966,5.8152,0.035)); #534240=CARTESIAN_POINT('',(33.572966,5.8152,0.)); #534241=CARTESIAN_POINT('Origin',(33.927034,5.8152,0.)); #534242=CARTESIAN_POINT('',(33.927034,5.8152,0.)); #534243=CARTESIAN_POINT('',(33.927034,5.8152,0.)); #534244=CARTESIAN_POINT('',(33.927034,5.8152,0.035)); #534245=CARTESIAN_POINT('',(33.927034,5.8152,0.035)); #534246=CARTESIAN_POINT('',(33.927034,5.8152,0.)); #534247=CARTESIAN_POINT('Origin',(34.1774,6.0655656,0.)); #534248=CARTESIAN_POINT('',(34.1774,6.0655656,0.)); #534249=CARTESIAN_POINT('',(34.1774,6.0655656,0.)); #534250=CARTESIAN_POINT('',(34.1774,6.0655656,0.035)); #534251=CARTESIAN_POINT('',(34.1774,6.0655656,0.035)); #534252=CARTESIAN_POINT('',(34.1774,6.0655656,0.)); #534253=CARTESIAN_POINT('Origin',(34.1774,6.4196344,0.)); #534254=CARTESIAN_POINT('',(34.1774,6.4196344,0.)); #534255=CARTESIAN_POINT('',(34.1774,6.4196344,0.)); #534256=CARTESIAN_POINT('',(34.1774,6.4196344,0.035)); #534257=CARTESIAN_POINT('',(34.1774,6.4196344,0.035)); #534258=CARTESIAN_POINT('',(34.1774,6.4196344,0.)); #534259=CARTESIAN_POINT('Origin',(34.015797,6.5812375,0.)); #534260=CARTESIAN_POINT('',(34.015797,6.5812375,0.)); #534261=CARTESIAN_POINT('',(34.015797,6.5812375,0.)); #534262=CARTESIAN_POINT('',(34.015797,6.5812375,0.035)); #534263=CARTESIAN_POINT('',(34.015797,6.5812375,0.035)); #534264=CARTESIAN_POINT('',(34.015797,6.5812375,0.)); #534265=CARTESIAN_POINT('Origin',(33.988659,6.6215063,0.)); #534266=CARTESIAN_POINT('',(33.988659,6.6215063,0.)); #534267=CARTESIAN_POINT('',(33.988659,6.6215063,0.)); #534268=CARTESIAN_POINT('',(33.988659,6.6215063,0.035)); #534269=CARTESIAN_POINT('',(33.988659,6.6215063,0.035)); #534270=CARTESIAN_POINT('',(33.988659,6.6215063,0.)); #534271=CARTESIAN_POINT('Origin',(33.9786,6.6710406,0.)); #534272=CARTESIAN_POINT('',(33.9786,6.6710406,0.)); #534273=CARTESIAN_POINT('',(33.9786,6.6710406,0.)); #534274=CARTESIAN_POINT('',(33.9786,6.6710406,0.035)); #534275=CARTESIAN_POINT('',(33.9786,6.6710406,0.035)); #534276=CARTESIAN_POINT('',(33.9786,6.6710406,0.)); #534277=CARTESIAN_POINT('Origin',(33.9786,7.6956,0.)); #534278=CARTESIAN_POINT('',(33.9786,7.6956,0.)); #534279=CARTESIAN_POINT('',(33.9786,7.6956,0.)); #534280=CARTESIAN_POINT('',(33.9786,7.6956,0.035)); #534281=CARTESIAN_POINT('',(33.9786,7.6956,0.035)); #534282=CARTESIAN_POINT('',(33.9786,7.6956,0.)); #534283=CARTESIAN_POINT('Origin',(33.987138,7.7413813,0.)); #534284=CARTESIAN_POINT('',(33.987138,7.7413813,0.)); #534285=CARTESIAN_POINT('',(33.987138,7.7413813,0.)); #534286=CARTESIAN_POINT('',(33.987138,7.7413813,0.035)); #534287=CARTESIAN_POINT('',(33.987138,7.7413813,0.035)); #534288=CARTESIAN_POINT('',(33.987138,7.7413813,0.)); #534289=CARTESIAN_POINT('Origin',(34.014378,7.7839594,0.)); #534290=CARTESIAN_POINT('',(34.014378,7.7839594,0.)); #534291=CARTESIAN_POINT('',(34.014378,7.7839594,0.)); #534292=CARTESIAN_POINT('',(34.014378,7.7839594,0.035)); #534293=CARTESIAN_POINT('',(34.014378,7.7839594,0.035)); #534294=CARTESIAN_POINT('',(34.014378,7.7839594,0.)); #534295=CARTESIAN_POINT('Origin',(34.056066,7.8125406,0.)); #534296=CARTESIAN_POINT('',(34.056066,7.8125406,0.)); #534297=CARTESIAN_POINT('',(34.056066,7.8125406,0.)); #534298=CARTESIAN_POINT('',(34.056066,7.8125406,0.035)); #534299=CARTESIAN_POINT('',(34.056066,7.8125406,0.035)); #534300=CARTESIAN_POINT('',(34.056066,7.8125406,0.)); #534301=CARTESIAN_POINT('Origin',(34.1056,7.8226,0.)); #534302=CARTESIAN_POINT('',(34.1056,7.8226,0.)); #534303=CARTESIAN_POINT('',(34.1056,7.8226,0.)); #534304=CARTESIAN_POINT('',(34.1056,7.8226,0.035)); #534305=CARTESIAN_POINT('',(34.1056,7.8226,0.035)); #534306=CARTESIAN_POINT('',(34.1056,7.8226,0.)); #534307=CARTESIAN_POINT('Origin',(34.427034,7.8226,0.)); #534308=CARTESIAN_POINT('',(34.427034,7.8226,0.)); #534309=CARTESIAN_POINT('',(34.427034,7.8226,0.)); #534310=CARTESIAN_POINT('',(34.427034,7.8226,0.035)); #534311=CARTESIAN_POINT('',(34.427034,7.8226,0.035)); #534312=CARTESIAN_POINT('',(34.427034,7.8226,0.)); #534313=CARTESIAN_POINT('Origin',(34.554597,7.9501625,0.)); #534314=CARTESIAN_POINT('',(34.554597,7.9501625,0.)); #534315=CARTESIAN_POINT('',(34.554597,7.9501625,0.)); #534316=CARTESIAN_POINT('',(34.554597,7.9501625,0.035)); #534317=CARTESIAN_POINT('',(34.554597,7.9501625,0.035)); #534318=CARTESIAN_POINT('',(34.554597,7.9501625,0.)); #534319=CARTESIAN_POINT('Origin',(34.591163,7.9756625,0.)); #534320=CARTESIAN_POINT('',(34.591163,7.9756625,0.)); #534321=CARTESIAN_POINT('',(34.591163,7.9756625,0.)); #534322=CARTESIAN_POINT('',(34.591163,7.9756625,0.035)); #534323=CARTESIAN_POINT('',(34.591163,7.9756625,0.035)); #534324=CARTESIAN_POINT('',(34.591163,7.9756625,0.)); #534325=CARTESIAN_POINT('Origin',(34.640353,7.9872938,0.)); #534326=CARTESIAN_POINT('',(34.640353,7.9872938,0.)); #534327=CARTESIAN_POINT('',(34.640353,7.9872938,0.)); #534328=CARTESIAN_POINT('',(34.640353,7.9872938,0.035)); #534329=CARTESIAN_POINT('',(34.640353,7.9872938,0.035)); #534330=CARTESIAN_POINT('',(34.640353,7.9872938,0.)); #534331=CARTESIAN_POINT('Origin',(34.690181,7.9788219,0.)); #534332=CARTESIAN_POINT('',(34.690181,7.9788219,0.)); #534333=CARTESIAN_POINT('',(34.690181,7.9788219,0.)); #534334=CARTESIAN_POINT('',(34.690181,7.9788219,0.035)); #534335=CARTESIAN_POINT('',(34.690181,7.9788219,0.035)); #534336=CARTESIAN_POINT('',(34.690181,7.9788219,0.)); #534337=CARTESIAN_POINT('Origin',(34.732759,7.9515813,0.)); #534338=CARTESIAN_POINT('',(34.732759,7.9515813,0.)); #534339=CARTESIAN_POINT('',(34.732759,7.9515813,0.)); #534340=CARTESIAN_POINT('',(34.732759,7.9515813,0.035)); #534341=CARTESIAN_POINT('',(34.732759,7.9515813,0.035)); #534342=CARTESIAN_POINT('',(34.732759,7.9515813,0.)); #534343=CARTESIAN_POINT('Origin',(34.749394,7.9273188,0.)); #534344=CARTESIAN_POINT('',(34.749394,7.9273188,0.)); #534345=CARTESIAN_POINT('',(34.749394,7.9273188,0.)); #534346=CARTESIAN_POINT('',(34.749394,7.9273188,0.035)); #534347=CARTESIAN_POINT('',(34.749394,7.9273188,0.035)); #534348=CARTESIAN_POINT('',(34.749394,7.9273188,0.)); #534349=CARTESIAN_POINT('Origin',(34.984203,7.6925094,0.)); #534350=CARTESIAN_POINT('',(34.984203,7.6925094,0.)); #534351=CARTESIAN_POINT('',(34.984203,7.6925094,0.)); #534352=CARTESIAN_POINT('',(34.984203,7.6925094,0.035)); #534353=CARTESIAN_POINT('',(34.984203,7.6925094,0.035)); #534354=CARTESIAN_POINT('',(34.984203,7.6925094,0.)); #534355=CARTESIAN_POINT('Origin',(35.011341,7.6522406,0.)); #534356=CARTESIAN_POINT('',(35.011341,7.6522406,0.)); #534357=CARTESIAN_POINT('',(35.011341,7.6522406,0.)); #534358=CARTESIAN_POINT('',(35.011341,7.6522406,0.035)); #534359=CARTESIAN_POINT('',(35.011341,7.6522406,0.035)); #534360=CARTESIAN_POINT('',(35.011341,7.6522406,0.)); #534361=CARTESIAN_POINT('Origin',(35.0214,7.6027063,0.)); #534362=CARTESIAN_POINT('',(35.0214,7.6027063,0.)); #534363=CARTESIAN_POINT('',(35.0214,7.6027063,0.)); #534364=CARTESIAN_POINT('',(35.0214,7.6027063,0.035)); #534365=CARTESIAN_POINT('',(35.0214,7.6027063,0.035)); #534366=CARTESIAN_POINT('',(35.0214,7.6027063,0.)); #534367=CARTESIAN_POINT('Origin',(35.0214,6.6784406,0.)); #534368=CARTESIAN_POINT('',(35.0214,6.6784406,0.)); #534369=CARTESIAN_POINT('',(35.0214,6.6784406,0.)); #534370=CARTESIAN_POINT('',(35.0214,6.6784406,0.035)); #534371=CARTESIAN_POINT('',(35.0214,6.6784406,0.035)); #534372=CARTESIAN_POINT('',(35.0214,6.6784406,0.)); #534373=CARTESIAN_POINT('Origin',(35.012116,6.6307781,0.)); #534374=CARTESIAN_POINT('',(35.012116,6.6307781,0.)); #534375=CARTESIAN_POINT('',(35.012116,6.6307781,0.)); #534376=CARTESIAN_POINT('',(35.012116,6.6307781,0.035)); #534377=CARTESIAN_POINT('',(35.012116,6.6307781,0.035)); #534378=CARTESIAN_POINT('',(35.012116,6.6307781,0.)); #534379=CARTESIAN_POINT('Origin',(34.984203,6.5886375,0.)); #534380=CARTESIAN_POINT('',(34.984203,6.5886375,0.)); #534381=CARTESIAN_POINT('',(34.984203,6.5886375,0.)); #534382=CARTESIAN_POINT('',(34.984203,6.5886375,0.035)); #534383=CARTESIAN_POINT('',(34.984203,6.5886375,0.035)); #534384=CARTESIAN_POINT('',(34.984203,6.5886375,0.)); #534385=CARTESIAN_POINT('Origin',(34.8226,6.4270344,0.)); #534386=CARTESIAN_POINT('',(34.8226,6.4270344,0.)); #534387=CARTESIAN_POINT('',(34.8226,6.4270344,0.)); #534388=CARTESIAN_POINT('',(34.8226,6.4270344,0.035)); #534389=CARTESIAN_POINT('',(34.8226,6.4270344,0.035)); #534390=CARTESIAN_POINT('',(34.8226,6.4270344,0.)); #534391=CARTESIAN_POINT('Origin',(34.8226,6.0729656,0.)); #534392=CARTESIAN_POINT('',(34.8226,6.0729656,0.)); #534393=CARTESIAN_POINT('',(34.8226,6.0729656,0.)); #534394=CARTESIAN_POINT('',(34.8226,6.0729656,0.035)); #534395=CARTESIAN_POINT('',(34.8226,6.0729656,0.035)); #534396=CARTESIAN_POINT('',(34.8226,6.0729656,0.)); #534397=CARTESIAN_POINT('Origin',(35.072966,5.8226,0.)); #534398=CARTESIAN_POINT('',(35.072966,5.8226,0.)); #534399=CARTESIAN_POINT('',(35.072966,5.8226,0.)); #534400=CARTESIAN_POINT('',(35.072966,5.8226,0.035)); #534401=CARTESIAN_POINT('',(35.072966,5.8226,0.035)); #534402=CARTESIAN_POINT('',(35.072966,5.8226,0.)); #534403=CARTESIAN_POINT('Origin',(35.427034,5.8226,0.)); #534404=CARTESIAN_POINT('',(35.427034,5.8226,0.)); #534405=CARTESIAN_POINT('',(35.427034,5.8226,0.)); #534406=CARTESIAN_POINT('',(35.427034,5.8226,0.035)); #534407=CARTESIAN_POINT('',(35.427034,5.8226,0.035)); #534408=CARTESIAN_POINT('',(35.427034,5.8226,0.)); #534409=CARTESIAN_POINT('Origin',(35.6774,6.0729656,0.)); #534410=CARTESIAN_POINT('',(35.6774,6.0729656,0.)); #534411=CARTESIAN_POINT('',(35.6774,6.0729656,0.)); #534412=CARTESIAN_POINT('',(35.6774,6.0729656,0.035)); #534413=CARTESIAN_POINT('',(35.6774,6.0729656,0.035)); #534414=CARTESIAN_POINT('',(35.6774,6.0729656,0.)); #534415=CARTESIAN_POINT('Origin',(35.6774,6.4270344,0.)); #534416=CARTESIAN_POINT('',(35.6774,6.4270344,0.)); #534417=CARTESIAN_POINT('',(35.6774,6.4270344,0.)); #534418=CARTESIAN_POINT('',(35.6774,6.4270344,0.035)); #534419=CARTESIAN_POINT('',(35.6774,6.4270344,0.035)); #534420=CARTESIAN_POINT('',(35.6774,6.4270344,0.)); #534421=CARTESIAN_POINT('Origin',(35.515797,6.5886375,0.)); #534422=CARTESIAN_POINT('',(35.515797,6.5886375,0.)); #534423=CARTESIAN_POINT('',(35.515797,6.5886375,0.)); #534424=CARTESIAN_POINT('',(35.515797,6.5886375,0.035)); #534425=CARTESIAN_POINT('',(35.515797,6.5886375,0.035)); #534426=CARTESIAN_POINT('',(35.515797,6.5886375,0.)); #534427=CARTESIAN_POINT('Origin',(35.488659,6.6289063,0.)); #534428=CARTESIAN_POINT('',(35.488659,6.6289063,0.)); #534429=CARTESIAN_POINT('',(35.488659,6.6289063,0.)); #534430=CARTESIAN_POINT('',(35.488659,6.6289063,0.035)); #534431=CARTESIAN_POINT('',(35.488659,6.6289063,0.035)); #534432=CARTESIAN_POINT('',(35.488659,6.6289063,0.)); #534433=CARTESIAN_POINT('Origin',(35.4786,6.6784406,0.)); #534434=CARTESIAN_POINT('',(35.4786,6.6784406,0.)); #534435=CARTESIAN_POINT('',(35.4786,6.6784406,0.)); #534436=CARTESIAN_POINT('',(35.4786,6.6784406,0.035)); #534437=CARTESIAN_POINT('',(35.4786,6.6784406,0.035)); #534438=CARTESIAN_POINT('',(35.4786,6.6784406,0.)); #534439=CARTESIAN_POINT('Origin',(35.4786,7.8446875,0.)); #534440=CARTESIAN_POINT('',(35.4786,7.8446875,0.)); #534441=CARTESIAN_POINT('',(35.4786,7.8446875,0.)); #534442=CARTESIAN_POINT('',(35.4786,7.8446875,0.035)); #534443=CARTESIAN_POINT('',(35.4786,7.8446875,0.035)); #534444=CARTESIAN_POINT('',(35.4786,7.8446875,0.)); #534445=CARTESIAN_POINT('Origin',(35.265797,8.0574906,0.)); #534446=CARTESIAN_POINT('',(35.265797,8.0574906,0.)); #534447=CARTESIAN_POINT('',(35.265797,8.0574906,0.)); #534448=CARTESIAN_POINT('',(35.265797,8.0574906,0.035)); #534449=CARTESIAN_POINT('',(35.265797,8.0574906,0.035)); #534450=CARTESIAN_POINT('',(35.265797,8.0574906,0.)); #534451=CARTESIAN_POINT('Origin',(35.238659,8.0977594,0.)); #534452=CARTESIAN_POINT('',(35.238659,8.0977594,0.)); #534453=CARTESIAN_POINT('',(35.238659,8.0977594,0.)); #534454=CARTESIAN_POINT('',(35.238659,8.0977594,0.035)); #534455=CARTESIAN_POINT('',(35.238659,8.0977594,0.035)); #534456=CARTESIAN_POINT('',(35.238659,8.0977594,0.)); #534457=CARTESIAN_POINT('Origin',(35.2286,8.1472938,0.)); #534458=CARTESIAN_POINT('',(35.2286,8.1472938,0.)); #534459=CARTESIAN_POINT('',(35.2286,8.1472938,0.)); #534460=CARTESIAN_POINT('',(35.2286,8.1472938,0.035)); #534461=CARTESIAN_POINT('',(35.2286,8.1472938,0.035)); #534462=CARTESIAN_POINT('',(35.2286,8.1472938,0.)); #534463=CARTESIAN_POINT('Origin',(35.2286,10.344688,0.)); #534464=CARTESIAN_POINT('',(35.2286,10.344688,0.)); #534465=CARTESIAN_POINT('',(35.2286,10.344688,0.)); #534466=CARTESIAN_POINT('',(35.2286,10.344688,0.035)); #534467=CARTESIAN_POINT('',(35.2286,10.344688,0.035)); #534468=CARTESIAN_POINT('',(35.2286,10.344688,0.)); #534469=CARTESIAN_POINT('Origin',(33.967491,11.605797,0.)); #534470=CARTESIAN_POINT('',(33.967491,11.605797,0.)); #534471=CARTESIAN_POINT('',(33.967491,11.605797,0.)); #534472=CARTESIAN_POINT('',(33.967491,11.605797,0.035)); #534473=CARTESIAN_POINT('',(33.967491,11.605797,0.035)); #534474=CARTESIAN_POINT('',(33.967491,11.605797,0.)); #534475=CARTESIAN_POINT('Origin',(33.941991,11.642363,0.)); #534476=CARTESIAN_POINT('',(33.941991,11.642363,0.)); #534477=CARTESIAN_POINT('',(33.941991,11.642363,0.)); #534478=CARTESIAN_POINT('',(33.941991,11.642363,0.035)); #534479=CARTESIAN_POINT('',(33.941991,11.642363,0.035)); #534480=CARTESIAN_POINT('',(33.941991,11.642363,0.)); #534481=CARTESIAN_POINT('Origin',(33.930359,11.691553,0.)); #534482=CARTESIAN_POINT('',(33.930359,11.691553,0.)); #534483=CARTESIAN_POINT('',(33.930359,11.691553,0.)); #534484=CARTESIAN_POINT('',(33.930359,11.691553,0.035)); #534485=CARTESIAN_POINT('',(33.930359,11.691553,0.035)); #534486=CARTESIAN_POINT('',(33.930359,11.691553,0.)); #534487=CARTESIAN_POINT('Origin',(33.938831,11.741381,0.)); #534488=CARTESIAN_POINT('',(33.938831,11.741381,0.)); #534489=CARTESIAN_POINT('',(33.938831,11.741381,0.)); #534490=CARTESIAN_POINT('',(33.938831,11.741381,0.035)); #534491=CARTESIAN_POINT('',(33.938831,11.741381,0.035)); #534492=CARTESIAN_POINT('',(33.938831,11.741381,0.)); #534493=CARTESIAN_POINT('Origin',(33.966072,11.783959,0.)); #534494=CARTESIAN_POINT('',(33.966072,11.783959,0.)); #534495=CARTESIAN_POINT('',(33.966072,11.783959,0.)); #534496=CARTESIAN_POINT('',(33.966072,11.783959,0.035)); #534497=CARTESIAN_POINT('',(33.966072,11.783959,0.035)); #534498=CARTESIAN_POINT('',(33.966072,11.783959,0.)); #534499=CARTESIAN_POINT('Origin',(34.007759,11.812541,0.)); #534500=CARTESIAN_POINT('',(34.007759,11.812541,0.)); #534501=CARTESIAN_POINT('',(34.007759,11.812541,0.)); #534502=CARTESIAN_POINT('',(34.007759,11.812541,0.035)); #534503=CARTESIAN_POINT('',(34.007759,11.812541,0.035)); #534504=CARTESIAN_POINT('',(34.007759,11.812541,0.)); #534505=CARTESIAN_POINT('Origin',(34.057294,11.8226,0.)); #534506=CARTESIAN_POINT('',(34.057294,11.8226,0.)); #534507=CARTESIAN_POINT('',(34.057294,11.8226,0.)); #534508=CARTESIAN_POINT('',(34.057294,11.8226,0.035)); #534509=CARTESIAN_POINT('',(34.057294,11.8226,0.035)); #534510=CARTESIAN_POINT('',(34.057294,11.8226,0.)); #534511=CARTESIAN_POINT('Origin',(34.239534,11.8226,0.)); #534512=CARTESIAN_POINT('',(34.239534,11.8226,0.)); #534513=CARTESIAN_POINT('',(34.239534,11.8226,0.)); #534514=CARTESIAN_POINT('',(34.239534,11.8226,0.035)); #534515=CARTESIAN_POINT('',(34.239534,11.8226,0.035)); #534516=CARTESIAN_POINT('',(34.239534,11.8226,0.)); #534517=CARTESIAN_POINT('Origin',(34.410197,11.993263,0.)); #534518=CARTESIAN_POINT('',(34.410197,11.993263,0.)); #534519=CARTESIAN_POINT('',(34.410197,11.993263,0.)); #534520=CARTESIAN_POINT('',(34.410197,11.993263,0.035)); #534521=CARTESIAN_POINT('',(34.410197,11.993263,0.035)); #534522=CARTESIAN_POINT('',(34.410197,11.993263,0.)); #534523=CARTESIAN_POINT('Origin',(34.448606,12.019597,0.)); #534524=CARTESIAN_POINT('',(34.448606,12.019597,0.)); #534525=CARTESIAN_POINT('',(34.448606,12.019597,0.)); #534526=CARTESIAN_POINT('',(34.448606,12.019597,0.035)); #534527=CARTESIAN_POINT('',(34.448606,12.019597,0.035)); #534528=CARTESIAN_POINT('',(34.448606,12.019597,0.)); #534529=CARTESIAN_POINT('Origin',(34.497975,12.030444,0.)); #534530=CARTESIAN_POINT('',(34.497975,12.030444,0.)); #534531=CARTESIAN_POINT('',(34.497975,12.030444,0.)); #534532=CARTESIAN_POINT('',(34.497975,12.030444,0.035)); #534533=CARTESIAN_POINT('',(34.497975,12.030444,0.035)); #534534=CARTESIAN_POINT('',(34.497975,12.030444,0.)); #534535=CARTESIAN_POINT('Origin',(34.547663,12.021175,0.)); #534536=CARTESIAN_POINT('',(34.547663,12.021175,0.)); #534537=CARTESIAN_POINT('',(34.547663,12.021175,0.)); #534538=CARTESIAN_POINT('',(34.547663,12.021175,0.035)); #534539=CARTESIAN_POINT('',(34.547663,12.021175,0.035)); #534540=CARTESIAN_POINT('',(34.547663,12.021175,0.)); #534541=CARTESIAN_POINT('Origin',(34.589803,11.993263,0.)); #534542=CARTESIAN_POINT('',(34.589803,11.993263,0.)); #534543=CARTESIAN_POINT('',(34.589803,11.993263,0.)); #534544=CARTESIAN_POINT('',(34.589803,11.993263,0.035)); #534545=CARTESIAN_POINT('',(34.589803,11.993263,0.035)); #534546=CARTESIAN_POINT('',(34.589803,11.993263,0.)); #534547=CARTESIAN_POINT('Origin',(34.760466,11.8226,0.)); #534548=CARTESIAN_POINT('',(34.760466,11.8226,0.)); #534549=CARTESIAN_POINT('',(34.760466,11.8226,0.)); #534550=CARTESIAN_POINT('',(34.760466,11.8226,0.035)); #534551=CARTESIAN_POINT('',(34.760466,11.8226,0.035)); #534552=CARTESIAN_POINT('',(34.760466,11.8226,0.)); #534553=CARTESIAN_POINT('Origin',(35.114534,11.8226,0.)); #534554=CARTESIAN_POINT('',(35.114534,11.8226,0.)); #534555=CARTESIAN_POINT('',(35.114534,11.8226,0.)); #534556=CARTESIAN_POINT('',(35.114534,11.8226,0.035)); #534557=CARTESIAN_POINT('',(35.114534,11.8226,0.035)); #534558=CARTESIAN_POINT('',(35.114534,11.8226,0.)); #534559=CARTESIAN_POINT('Origin',(35.304597,12.012663,0.)); #534560=CARTESIAN_POINT('',(35.304597,12.012663,0.)); #534561=CARTESIAN_POINT('',(35.304597,12.012663,0.)); #534562=CARTESIAN_POINT('',(35.304597,12.012663,0.035)); #534563=CARTESIAN_POINT('',(35.304597,12.012663,0.035)); #534564=CARTESIAN_POINT('',(35.304597,12.012663,0.)); #534565=CARTESIAN_POINT('Origin',(35.341163,12.038163,0.)); #534566=CARTESIAN_POINT('',(35.341163,12.038163,0.)); #534567=CARTESIAN_POINT('',(35.341163,12.038163,0.)); #534568=CARTESIAN_POINT('',(35.341163,12.038163,0.035)); #534569=CARTESIAN_POINT('',(35.341163,12.038163,0.035)); #534570=CARTESIAN_POINT('',(35.341163,12.038163,0.)); #534571=CARTESIAN_POINT('Origin',(35.390353,12.049794,0.)); #534572=CARTESIAN_POINT('',(35.390353,12.049794,0.)); #534573=CARTESIAN_POINT('',(35.390353,12.049794,0.)); #534574=CARTESIAN_POINT('',(35.390353,12.049794,0.035)); #534575=CARTESIAN_POINT('',(35.390353,12.049794,0.035)); #534576=CARTESIAN_POINT('',(35.390353,12.049794,0.)); #534577=CARTESIAN_POINT('Origin',(35.440181,12.041322,0.)); #534578=CARTESIAN_POINT('',(35.440181,12.041322,0.)); #534579=CARTESIAN_POINT('',(35.440181,12.041322,0.)); #534580=CARTESIAN_POINT('',(35.440181,12.041322,0.035)); #534581=CARTESIAN_POINT('',(35.440181,12.041322,0.035)); #534582=CARTESIAN_POINT('',(35.440181,12.041322,0.)); #534583=CARTESIAN_POINT('Origin',(35.482759,12.014081,0.)); #534584=CARTESIAN_POINT('',(35.482759,12.014081,0.)); #534585=CARTESIAN_POINT('',(35.482759,12.014081,0.)); #534586=CARTESIAN_POINT('',(35.482759,12.014081,0.035)); #534587=CARTESIAN_POINT('',(35.482759,12.014081,0.035)); #534588=CARTESIAN_POINT('',(35.482759,12.014081,0.)); #534589=CARTESIAN_POINT('Origin',(35.511341,11.972394,0.)); #534590=CARTESIAN_POINT('',(35.511341,11.972394,0.)); #534591=CARTESIAN_POINT('',(35.511341,11.972394,0.)); #534592=CARTESIAN_POINT('',(35.511341,11.972394,0.035)); #534593=CARTESIAN_POINT('',(35.511341,11.972394,0.035)); #534594=CARTESIAN_POINT('',(35.511341,11.972394,0.)); #534595=CARTESIAN_POINT('Origin',(35.5214,11.922859,0.)); #534596=CARTESIAN_POINT('',(35.5214,11.922859,0.)); #534597=CARTESIAN_POINT('',(35.5214,11.922859,0.)); #534598=CARTESIAN_POINT('',(35.5214,11.922859,0.035)); #534599=CARTESIAN_POINT('',(35.5214,11.922859,0.035)); #534600=CARTESIAN_POINT('',(35.5214,11.922859,0.)); #534601=CARTESIAN_POINT('Origin',(35.5214,11.678441,0.)); #534602=CARTESIAN_POINT('',(35.5214,11.678441,0.)); #534603=CARTESIAN_POINT('',(35.5214,11.678441,0.)); #534604=CARTESIAN_POINT('',(35.5214,11.678441,0.035)); #534605=CARTESIAN_POINT('',(35.5214,11.678441,0.035)); #534606=CARTESIAN_POINT('',(35.5214,11.678441,0.)); #534607=CARTESIAN_POINT('Origin',(35.512116,11.630778,0.)); #534608=CARTESIAN_POINT('',(35.512116,11.630778,0.)); #534609=CARTESIAN_POINT('',(35.512116,11.630778,0.)); #534610=CARTESIAN_POINT('',(35.512116,11.630778,0.035)); #534611=CARTESIAN_POINT('',(35.512116,11.630778,0.035)); #534612=CARTESIAN_POINT('',(35.512116,11.630778,0.)); #534613=CARTESIAN_POINT('Origin',(35.484203,11.588638,0.)); #534614=CARTESIAN_POINT('',(35.484203,11.588638,0.)); #534615=CARTESIAN_POINT('',(35.484203,11.588638,0.)); #534616=CARTESIAN_POINT('',(35.484203,11.588638,0.035)); #534617=CARTESIAN_POINT('',(35.484203,11.588638,0.035)); #534618=CARTESIAN_POINT('',(35.484203,11.588638,0.)); #534619=CARTESIAN_POINT('Origin',(35.3226,11.427034,0.)); #534620=CARTESIAN_POINT('',(35.3226,11.427034,0.)); #534621=CARTESIAN_POINT('',(35.3226,11.427034,0.)); #534622=CARTESIAN_POINT('',(35.3226,11.427034,0.035)); #534623=CARTESIAN_POINT('',(35.3226,11.427034,0.035)); #534624=CARTESIAN_POINT('',(35.3226,11.427034,0.)); #534625=CARTESIAN_POINT('Origin',(35.3226,11.072966,0.)); #534626=CARTESIAN_POINT('',(35.3226,11.072966,0.)); #534627=CARTESIAN_POINT('',(35.3226,11.072966,0.)); #534628=CARTESIAN_POINT('',(35.3226,11.072966,0.035)); #534629=CARTESIAN_POINT('',(35.3226,11.072966,0.035)); #534630=CARTESIAN_POINT('',(35.3226,11.072966,0.)); #534631=CARTESIAN_POINT('Origin',(35.572966,10.8226,0.)); #534632=CARTESIAN_POINT('',(35.572966,10.8226,0.)); #534633=CARTESIAN_POINT('',(35.572966,10.8226,0.)); #534634=CARTESIAN_POINT('',(35.572966,10.8226,0.035)); #534635=CARTESIAN_POINT('',(35.572966,10.8226,0.035)); #534636=CARTESIAN_POINT('',(35.572966,10.8226,0.)); #534637=CARTESIAN_POINT('Origin',(35.9456,10.8226,0.)); #534638=CARTESIAN_POINT('',(35.9456,10.8226,0.)); #534639=CARTESIAN_POINT('',(35.9456,10.8226,0.)); #534640=CARTESIAN_POINT('',(35.9456,10.8226,0.035)); #534641=CARTESIAN_POINT('',(35.9456,10.8226,0.035)); #534642=CARTESIAN_POINT('',(35.9456,10.8226,0.)); #534643=CARTESIAN_POINT('Origin',(35.991381,10.814063,0.)); #534644=CARTESIAN_POINT('',(35.991381,10.814063,0.)); #534645=CARTESIAN_POINT('',(35.991381,10.814063,0.)); #534646=CARTESIAN_POINT('',(35.991381,10.814063,0.035)); #534647=CARTESIAN_POINT('',(35.991381,10.814063,0.035)); #534648=CARTESIAN_POINT('',(35.991381,10.814063,0.)); #534649=CARTESIAN_POINT('Origin',(36.033959,10.786822,0.)); #534650=CARTESIAN_POINT('',(36.033959,10.786822,0.)); #534651=CARTESIAN_POINT('',(36.033959,10.786822,0.)); #534652=CARTESIAN_POINT('',(36.033959,10.786822,0.035)); #534653=CARTESIAN_POINT('',(36.033959,10.786822,0.035)); #534654=CARTESIAN_POINT('',(36.033959,10.786822,0.)); #534655=CARTESIAN_POINT('Origin',(36.062541,10.745134,0.)); #534656=CARTESIAN_POINT('',(36.062541,10.745134,0.)); #534657=CARTESIAN_POINT('',(36.062541,10.745134,0.)); #534658=CARTESIAN_POINT('',(36.062541,10.745134,0.035)); #534659=CARTESIAN_POINT('',(36.062541,10.745134,0.035)); #534660=CARTESIAN_POINT('',(36.062541,10.745134,0.)); #534661=CARTESIAN_POINT('Origin',(36.0726,10.6956,0.)); #534662=CARTESIAN_POINT('',(36.0726,10.6956,0.)); #534663=CARTESIAN_POINT('',(36.0726,10.6956,0.)); #534664=CARTESIAN_POINT('',(36.0726,10.6956,0.035)); #534665=CARTESIAN_POINT('',(36.0726,10.6956,0.035)); #534666=CARTESIAN_POINT('',(36.0726,10.6956,0.)); #534667=CARTESIAN_POINT('Origin',(36.0726,10.322966,0.)); #534668=CARTESIAN_POINT('',(36.0726,10.322966,0.)); #534669=CARTESIAN_POINT('',(36.0726,10.322966,0.)); #534670=CARTESIAN_POINT('',(36.0726,10.322966,0.035)); #534671=CARTESIAN_POINT('',(36.0726,10.322966,0.035)); #534672=CARTESIAN_POINT('',(36.0726,10.322966,0.)); #534673=CARTESIAN_POINT('Origin',(36.322966,10.0726,0.)); #534674=CARTESIAN_POINT('',(36.322966,10.0726,0.)); #534675=CARTESIAN_POINT('',(36.322966,10.0726,0.)); #534676=CARTESIAN_POINT('',(36.322966,10.0726,0.035)); #534677=CARTESIAN_POINT('',(36.322966,10.0726,0.035)); #534678=CARTESIAN_POINT('',(36.322966,10.0726,0.)); #534679=CARTESIAN_POINT('Origin',(36.677034,10.0726,0.)); #534680=CARTESIAN_POINT('',(36.677034,10.0726,0.)); #534681=CARTESIAN_POINT('',(36.677034,10.0726,0.)); #534682=CARTESIAN_POINT('',(36.677034,10.0726,0.035)); #534683=CARTESIAN_POINT('',(36.677034,10.0726,0.035)); #534684=CARTESIAN_POINT('',(36.677034,10.0726,0.)); #534685=CARTESIAN_POINT('Origin',(36.9274,10.322966,0.)); #534686=CARTESIAN_POINT('',(36.9274,10.322966,0.)); #534687=CARTESIAN_POINT('',(36.9274,10.322966,0.)); #534688=CARTESIAN_POINT('',(36.9274,10.322966,0.035)); #534689=CARTESIAN_POINT('',(36.9274,10.322966,0.035)); #534690=CARTESIAN_POINT('',(36.9274,10.322966,0.)); #534691=CARTESIAN_POINT('Origin',(36.9274,10.677034,0.)); #534692=CARTESIAN_POINT('',(36.9274,10.677034,0.)); #534693=CARTESIAN_POINT('',(36.9274,10.677034,0.)); #534694=CARTESIAN_POINT('',(36.9274,10.677034,0.035)); #534695=CARTESIAN_POINT('',(36.9274,10.677034,0.035)); #534696=CARTESIAN_POINT('',(36.9274,10.677034,0.)); #534697=CARTESIAN_POINT('Origin',(36.765797,10.838638,0.)); #534698=CARTESIAN_POINT('',(36.765797,10.838638,0.)); #534699=CARTESIAN_POINT('',(36.765797,10.838638,0.)); #534700=CARTESIAN_POINT('',(36.765797,10.838638,0.035)); #534701=CARTESIAN_POINT('',(36.765797,10.838638,0.035)); #534702=CARTESIAN_POINT('',(36.765797,10.838638,0.)); #534703=CARTESIAN_POINT('Origin',(36.738659,10.878906,0.)); #534704=CARTESIAN_POINT('',(36.738659,10.878906,0.)); #534705=CARTESIAN_POINT('',(36.738659,10.878906,0.)); #534706=CARTESIAN_POINT('',(36.738659,10.878906,0.035)); #534707=CARTESIAN_POINT('',(36.738659,10.878906,0.035)); #534708=CARTESIAN_POINT('',(36.738659,10.878906,0.)); #534709=CARTESIAN_POINT('Origin',(36.7286,10.928441,0.)); #534710=CARTESIAN_POINT('',(36.7286,10.928441,0.)); #534711=CARTESIAN_POINT('',(36.7286,10.928441,0.)); #534712=CARTESIAN_POINT('',(36.7286,10.928441,0.035)); #534713=CARTESIAN_POINT('',(36.7286,10.928441,0.035)); #534714=CARTESIAN_POINT('',(36.7286,10.928441,0.)); #534715=CARTESIAN_POINT('Origin',(36.7286,12.844688,0.)); #534716=CARTESIAN_POINT('',(36.7286,12.844688,0.)); #534717=CARTESIAN_POINT('',(36.7286,12.844688,0.)); #534718=CARTESIAN_POINT('',(36.7286,12.844688,0.035)); #534719=CARTESIAN_POINT('',(36.7286,12.844688,0.035)); #534720=CARTESIAN_POINT('',(36.7286,12.844688,0.)); #534721=CARTESIAN_POINT('Origin',(36.654991,12.918297,0.)); #534722=CARTESIAN_POINT('',(36.654991,12.918297,0.)); #534723=CARTESIAN_POINT('',(36.654991,12.918297,0.)); #534724=CARTESIAN_POINT('',(36.654991,12.918297,0.035)); #534725=CARTESIAN_POINT('',(36.654991,12.918297,0.035)); #534726=CARTESIAN_POINT('',(36.654991,12.918297,0.)); #534727=CARTESIAN_POINT('Origin',(36.629491,12.954863,0.)); #534728=CARTESIAN_POINT('',(36.629491,12.954863,0.)); #534729=CARTESIAN_POINT('',(36.629491,12.954863,0.)); #534730=CARTESIAN_POINT('',(36.629491,12.954863,0.035)); #534731=CARTESIAN_POINT('',(36.629491,12.954863,0.035)); #534732=CARTESIAN_POINT('',(36.629491,12.954863,0.)); #534733=CARTESIAN_POINT('Origin',(36.617859,13.004053,0.)); #534734=CARTESIAN_POINT('',(36.617859,13.004053,0.)); #534735=CARTESIAN_POINT('',(36.617859,13.004053,0.)); #534736=CARTESIAN_POINT('',(36.617859,13.004053,0.035)); #534737=CARTESIAN_POINT('',(36.617859,13.004053,0.035)); #534738=CARTESIAN_POINT('',(36.617859,13.004053,0.)); #534739=CARTESIAN_POINT('Origin',(36.626331,13.053881,0.)); #534740=CARTESIAN_POINT('',(36.626331,13.053881,0.)); #534741=CARTESIAN_POINT('',(36.626331,13.053881,0.)); #534742=CARTESIAN_POINT('',(36.626331,13.053881,0.035)); #534743=CARTESIAN_POINT('',(36.626331,13.053881,0.035)); #534744=CARTESIAN_POINT('',(36.626331,13.053881,0.)); #534745=CARTESIAN_POINT('Origin',(36.653572,13.096459,0.)); #534746=CARTESIAN_POINT('',(36.653572,13.096459,0.)); #534747=CARTESIAN_POINT('',(36.653572,13.096459,0.)); #534748=CARTESIAN_POINT('',(36.653572,13.096459,0.035)); #534749=CARTESIAN_POINT('',(36.653572,13.096459,0.035)); #534750=CARTESIAN_POINT('',(36.653572,13.096459,0.)); #534751=CARTESIAN_POINT('Origin',(36.695259,13.125041,0.)); #534752=CARTESIAN_POINT('',(36.695259,13.125041,0.)); #534753=CARTESIAN_POINT('',(36.695259,13.125041,0.)); #534754=CARTESIAN_POINT('',(36.695259,13.125041,0.035)); #534755=CARTESIAN_POINT('',(36.695259,13.125041,0.035)); #534756=CARTESIAN_POINT('',(36.695259,13.125041,0.)); #534757=CARTESIAN_POINT('Origin',(36.744794,13.1351,0.)); #534758=CARTESIAN_POINT('',(36.744794,13.1351,0.)); #534759=CARTESIAN_POINT('',(36.744794,13.1351,0.)); #534760=CARTESIAN_POINT('',(36.744794,13.1351,0.035)); #534761=CARTESIAN_POINT('',(36.744794,13.1351,0.035)); #534762=CARTESIAN_POINT('',(36.744794,13.1351,0.)); #534763=CARTESIAN_POINT('Origin',(36.950625,13.1351,0.)); #534764=CARTESIAN_POINT('',(36.950625,13.1351,0.)); #534765=CARTESIAN_POINT('',(36.950625,13.1351,0.)); #534766=CARTESIAN_POINT('',(36.950625,13.1351,0.035)); #534767=CARTESIAN_POINT('',(36.950625,13.1351,0.035)); #534768=CARTESIAN_POINT('',(36.950625,13.1351,0.)); #534769=CARTESIAN_POINT('Origin',(37.0399,13.224375,0.)); #534770=CARTESIAN_POINT('',(37.0399,13.224375,0.)); #534771=CARTESIAN_POINT('',(37.0399,13.224375,0.)); #534772=CARTESIAN_POINT('',(37.0399,13.224375,0.035)); #534773=CARTESIAN_POINT('',(37.0399,13.224375,0.035)); #534774=CARTESIAN_POINT('',(37.0399,13.224375,0.)); #534775=CARTESIAN_POINT('Origin',(37.0399,13.8331,0.)); #534776=CARTESIAN_POINT('',(37.0399,13.8331,0.)); #534777=CARTESIAN_POINT('',(37.0399,13.8331,0.)); #534778=CARTESIAN_POINT('',(37.0399,13.8331,0.035)); #534779=CARTESIAN_POINT('',(37.0399,13.8331,0.035)); #534780=CARTESIAN_POINT('',(37.0399,13.8331,0.)); #534781=CARTESIAN_POINT('Origin',(37.048437,13.878881,0.)); #534782=CARTESIAN_POINT('',(37.048437,13.878881,0.)); #534783=CARTESIAN_POINT('',(37.048437,13.878881,0.)); #534784=CARTESIAN_POINT('',(37.048437,13.878881,0.035)); #534785=CARTESIAN_POINT('',(37.048437,13.878881,0.035)); #534786=CARTESIAN_POINT('',(37.048437,13.878881,0.)); #534787=CARTESIAN_POINT('Origin',(37.075678,13.921459,0.)); #534788=CARTESIAN_POINT('',(37.075678,13.921459,0.)); #534789=CARTESIAN_POINT('',(37.075678,13.921459,0.)); #534790=CARTESIAN_POINT('',(37.075678,13.921459,0.035)); #534791=CARTESIAN_POINT('',(37.075678,13.921459,0.035)); #534792=CARTESIAN_POINT('',(37.075678,13.921459,0.)); #534793=CARTESIAN_POINT('Origin',(37.117366,13.950041,0.)); #534794=CARTESIAN_POINT('',(37.117366,13.950041,0.)); #534795=CARTESIAN_POINT('',(37.117366,13.950041,0.)); #534796=CARTESIAN_POINT('',(37.117366,13.950041,0.035)); #534797=CARTESIAN_POINT('',(37.117366,13.950041,0.035)); #534798=CARTESIAN_POINT('',(37.117366,13.950041,0.)); #534799=CARTESIAN_POINT('Origin',(37.1669,13.9601,0.)); #534800=CARTESIAN_POINT('',(37.1669,13.9601,0.)); #534801=CARTESIAN_POINT('',(37.1669,13.9601,0.)); #534802=CARTESIAN_POINT('',(37.1669,13.9601,0.035)); #534803=CARTESIAN_POINT('',(37.1669,13.9601,0.035)); #534804=CARTESIAN_POINT('',(37.1669,13.9601,0.)); #534805=CARTESIAN_POINT('Origin',(37.775625,13.9601,0.)); #534806=CARTESIAN_POINT('',(37.775625,13.9601,0.)); #534807=CARTESIAN_POINT('',(37.775625,13.9601,0.)); #534808=CARTESIAN_POINT('',(37.775625,13.9601,0.035)); #534809=CARTESIAN_POINT('',(37.775625,13.9601,0.035)); #534810=CARTESIAN_POINT('',(37.775625,13.9601,0.)); #534811=CARTESIAN_POINT('Origin',(37.8649,14.049375,0.)); #534812=CARTESIAN_POINT('',(37.8649,14.049375,0.)); #534813=CARTESIAN_POINT('',(37.8649,14.049375,0.)); #534814=CARTESIAN_POINT('',(37.8649,14.049375,0.035)); #534815=CARTESIAN_POINT('',(37.8649,14.049375,0.035)); #534816=CARTESIAN_POINT('',(37.8649,14.049375,0.)); #534817=CARTESIAN_POINT('Origin',(37.8649,15.3944,0.)); #534818=CARTESIAN_POINT('',(37.8649,15.3944,0.)); #534819=CARTESIAN_POINT('',(37.8649,15.3944,0.)); #534820=CARTESIAN_POINT('',(37.8649,15.3944,0.035)); #534821=CARTESIAN_POINT('',(37.8649,15.3944,0.035)); #534822=CARTESIAN_POINT('',(37.8649,15.3944,0.)); #534823=CARTESIAN_POINT('Origin',(37.873438,15.440181,0.)); #534824=CARTESIAN_POINT('',(37.873438,15.440181,0.)); #534825=CARTESIAN_POINT('',(37.873438,15.440181,0.)); #534826=CARTESIAN_POINT('',(37.873438,15.440181,0.035)); #534827=CARTESIAN_POINT('',(37.873438,15.440181,0.035)); #534828=CARTESIAN_POINT('',(37.873438,15.440181,0.)); #534829=CARTESIAN_POINT('Origin',(37.900678,15.482759,0.)); #534830=CARTESIAN_POINT('',(37.900678,15.482759,0.)); #534831=CARTESIAN_POINT('',(37.900678,15.482759,0.)); #534832=CARTESIAN_POINT('',(37.900678,15.482759,0.035)); #534833=CARTESIAN_POINT('',(37.900678,15.482759,0.035)); #534834=CARTESIAN_POINT('',(37.900678,15.482759,0.)); #534835=CARTESIAN_POINT('Origin',(37.942366,15.511341,0.)); #534836=CARTESIAN_POINT('',(37.942366,15.511341,0.)); #534837=CARTESIAN_POINT('',(37.942366,15.511341,0.)); #534838=CARTESIAN_POINT('',(37.942366,15.511341,0.035)); #534839=CARTESIAN_POINT('',(37.942366,15.511341,0.035)); #534840=CARTESIAN_POINT('',(37.942366,15.511341,0.)); #534841=CARTESIAN_POINT('Origin',(37.9919,15.5214,0.)); #534842=CARTESIAN_POINT('',(37.9919,15.5214,0.)); #534843=CARTESIAN_POINT('',(37.9919,15.5214,0.)); #534844=CARTESIAN_POINT('',(37.9919,15.5214,0.035)); #534845=CARTESIAN_POINT('',(37.9919,15.5214,0.035)); #534846=CARTESIAN_POINT('',(37.9919,15.5214,0.)); #534847=CARTESIAN_POINT('Origin',(38.821559,15.5214,0.)); #534848=CARTESIAN_POINT('',(38.821559,15.5214,0.)); #534849=CARTESIAN_POINT('',(38.821559,15.5214,0.)); #534850=CARTESIAN_POINT('',(38.821559,15.5214,0.035)); #534851=CARTESIAN_POINT('',(38.821559,15.5214,0.035)); #534852=CARTESIAN_POINT('',(38.821559,15.5214,0.)); #534853=CARTESIAN_POINT('Origin',(38.869222,15.512116,0.)); #534854=CARTESIAN_POINT('',(38.869222,15.512116,0.)); #534855=CARTESIAN_POINT('',(38.869222,15.512116,0.)); #534856=CARTESIAN_POINT('',(38.869222,15.512116,0.035)); #534857=CARTESIAN_POINT('',(38.869222,15.512116,0.035)); #534858=CARTESIAN_POINT('',(38.869222,15.512116,0.)); #534859=CARTESIAN_POINT('Origin',(38.911363,15.484203,0.)); #534860=CARTESIAN_POINT('',(38.911363,15.484203,0.)); #534861=CARTESIAN_POINT('',(38.911363,15.484203,0.)); #534862=CARTESIAN_POINT('',(38.911363,15.484203,0.035)); #534863=CARTESIAN_POINT('',(38.911363,15.484203,0.035)); #534864=CARTESIAN_POINT('',(38.911363,15.484203,0.)); #534865=CARTESIAN_POINT('Origin',(39.072966,15.3226,0.)); #534866=CARTESIAN_POINT('',(39.072966,15.3226,0.)); #534867=CARTESIAN_POINT('',(39.072966,15.3226,0.)); #534868=CARTESIAN_POINT('',(39.072966,15.3226,0.035)); #534869=CARTESIAN_POINT('',(39.072966,15.3226,0.035)); #534870=CARTESIAN_POINT('',(39.072966,15.3226,0.)); #534871=CARTESIAN_POINT('Origin',(39.427034,15.3226,0.)); #534872=CARTESIAN_POINT('',(39.427034,15.3226,0.)); #534873=CARTESIAN_POINT('',(39.427034,15.3226,0.)); #534874=CARTESIAN_POINT('',(39.427034,15.3226,0.035)); #534875=CARTESIAN_POINT('',(39.427034,15.3226,0.035)); #534876=CARTESIAN_POINT('',(39.427034,15.3226,0.)); #534877=CARTESIAN_POINT('Origin',(39.6774,15.572966,0.)); #534878=CARTESIAN_POINT('',(39.6774,15.572966,0.)); #534879=CARTESIAN_POINT('',(39.6774,15.572966,0.)); #534880=CARTESIAN_POINT('',(39.6774,15.572966,0.035)); #534881=CARTESIAN_POINT('',(39.6774,15.572966,0.035)); #534882=CARTESIAN_POINT('',(39.6774,15.572966,0.)); #534883=CARTESIAN_POINT('Origin',(39.6774,15.927034,0.)); #534884=CARTESIAN_POINT('',(39.6774,15.927034,0.)); #534885=CARTESIAN_POINT('',(39.6774,15.927034,0.)); #534886=CARTESIAN_POINT('',(39.6774,15.927034,0.035)); #534887=CARTESIAN_POINT('',(39.6774,15.927034,0.035)); #534888=CARTESIAN_POINT('',(39.6774,15.927034,0.)); #534889=CARTESIAN_POINT('Origin',(39.569237,16.035197,0.)); #534890=CARTESIAN_POINT('',(39.569237,16.035197,0.)); #534891=CARTESIAN_POINT('',(39.569237,16.035197,0.)); #534892=CARTESIAN_POINT('',(39.569237,16.035197,0.035)); #534893=CARTESIAN_POINT('',(39.569237,16.035197,0.035)); #534894=CARTESIAN_POINT('',(39.569237,16.035197,0.)); #534895=CARTESIAN_POINT('Origin',(39.542903,16.073606,0.)); #534896=CARTESIAN_POINT('',(39.542903,16.073606,0.)); #534897=CARTESIAN_POINT('',(39.542903,16.073606,0.)); #534898=CARTESIAN_POINT('',(39.542903,16.073606,0.035)); #534899=CARTESIAN_POINT('',(39.542903,16.073606,0.035)); #534900=CARTESIAN_POINT('',(39.542903,16.073606,0.)); #534901=CARTESIAN_POINT('Origin',(39.532056,16.122975,0.)); #534902=CARTESIAN_POINT('',(39.532056,16.122975,0.)); #534903=CARTESIAN_POINT('',(39.532056,16.122975,0.)); #534904=CARTESIAN_POINT('',(39.532056,16.122975,0.035)); #534905=CARTESIAN_POINT('',(39.532056,16.122975,0.035)); #534906=CARTESIAN_POINT('',(39.532056,16.122975,0.)); #534907=CARTESIAN_POINT('Origin',(39.541325,16.172663,0.)); #534908=CARTESIAN_POINT('',(39.541325,16.172663,0.)); #534909=CARTESIAN_POINT('',(39.541325,16.172663,0.)); #534910=CARTESIAN_POINT('',(39.541325,16.172663,0.035)); #534911=CARTESIAN_POINT('',(39.541325,16.172663,0.035)); #534912=CARTESIAN_POINT('',(39.541325,16.172663,0.)); #534913=CARTESIAN_POINT('Origin',(39.569237,16.214803,0.)); #534914=CARTESIAN_POINT('',(39.569237,16.214803,0.)); #534915=CARTESIAN_POINT('',(39.569237,16.214803,0.)); #534916=CARTESIAN_POINT('',(39.569237,16.214803,0.035)); #534917=CARTESIAN_POINT('',(39.569237,16.214803,0.035)); #534918=CARTESIAN_POINT('',(39.569237,16.214803,0.)); #534919=CARTESIAN_POINT('Origin',(39.6774,16.322966,0.)); #534920=CARTESIAN_POINT('',(39.6774,16.322966,0.)); #534921=CARTESIAN_POINT('',(39.6774,16.322966,0.)); #534922=CARTESIAN_POINT('',(39.6774,16.322966,0.035)); #534923=CARTESIAN_POINT('',(39.6774,16.322966,0.035)); #534924=CARTESIAN_POINT('',(39.6774,16.322966,0.)); #534925=CARTESIAN_POINT('Origin',(39.6774,16.677034,0.)); #534926=CARTESIAN_POINT('',(39.6774,16.677034,0.)); #534927=CARTESIAN_POINT('',(39.6774,16.677034,0.)); #534928=CARTESIAN_POINT('',(39.6774,16.677034,0.035)); #534929=CARTESIAN_POINT('',(39.6774,16.677034,0.035)); #534930=CARTESIAN_POINT('',(39.6774,16.677034,0.)); #534931=CARTESIAN_POINT('Origin',(39.427034,16.9274,0.)); #534932=CARTESIAN_POINT('',(39.427034,16.9274,0.)); #534933=CARTESIAN_POINT('',(39.427034,16.9274,0.)); #534934=CARTESIAN_POINT('',(39.427034,16.9274,0.035)); #534935=CARTESIAN_POINT('',(39.427034,16.9274,0.035)); #534936=CARTESIAN_POINT('',(39.427034,16.9274,0.)); #534937=CARTESIAN_POINT('Origin',(39.377,16.9274,0.)); #534938=CARTESIAN_POINT('',(39.377,16.9274,0.)); #534939=CARTESIAN_POINT('',(39.377,16.9274,0.)); #534940=CARTESIAN_POINT('',(39.377,16.9274,0.035)); #534941=CARTESIAN_POINT('',(39.377,16.9274,0.035)); #534942=CARTESIAN_POINT('',(39.377,16.9274,0.)); #534943=CARTESIAN_POINT('Origin',(39.331219,16.935938,0.)); #534944=CARTESIAN_POINT('',(39.331219,16.935938,0.)); #534945=CARTESIAN_POINT('',(39.331219,16.935938,0.)); #534946=CARTESIAN_POINT('',(39.331219,16.935938,0.035)); #534947=CARTESIAN_POINT('',(39.331219,16.935938,0.035)); #534948=CARTESIAN_POINT('',(39.331219,16.935938,0.)); #534949=CARTESIAN_POINT('Origin',(39.288641,16.963178,0.)); #534950=CARTESIAN_POINT('',(39.288641,16.963178,0.)); #534951=CARTESIAN_POINT('',(39.288641,16.963178,0.)); #534952=CARTESIAN_POINT('',(39.288641,16.963178,0.035)); #534953=CARTESIAN_POINT('',(39.288641,16.963178,0.035)); #534954=CARTESIAN_POINT('',(39.288641,16.963178,0.)); #534955=CARTESIAN_POINT('Origin',(39.260059,17.004866,0.)); #534956=CARTESIAN_POINT('',(39.260059,17.004866,0.)); #534957=CARTESIAN_POINT('',(39.260059,17.004866,0.)); #534958=CARTESIAN_POINT('',(39.260059,17.004866,0.035)); #534959=CARTESIAN_POINT('',(39.260059,17.004866,0.035)); #534960=CARTESIAN_POINT('',(39.260059,17.004866,0.)); #534961=CARTESIAN_POINT('Origin',(39.25,17.0544,0.)); #534962=CARTESIAN_POINT('',(39.25,17.0544,0.)); #534963=CARTESIAN_POINT('',(39.25,17.0544,0.)); #534964=CARTESIAN_POINT('',(39.25,17.0544,0.035)); #534965=CARTESIAN_POINT('',(39.25,17.0544,0.035)); #534966=CARTESIAN_POINT('',(39.25,17.0544,0.)); #534967=CARTESIAN_POINT('Origin',(39.25,17.225,0.)); #534968=CARTESIAN_POINT('',(39.25,17.225,0.)); #534969=CARTESIAN_POINT('',(39.25,17.225,0.)); #534970=CARTESIAN_POINT('',(39.25,17.225,0.035)); #534971=CARTESIAN_POINT('',(39.25,17.225,0.035)); #534972=CARTESIAN_POINT('',(39.25,17.225,0.)); #534973=CARTESIAN_POINT('Origin',(39.249992678009,17.5000043821174,0.)); #534974=CARTESIAN_POINT('',(39.006072,17.373,0.)); #534975=CARTESIAN_POINT('Origin',(39.249992678009,17.5000043821174,0.)); #534976=CARTESIAN_POINT('',(39.006072,17.373,0.035)); #534977=CARTESIAN_POINT('Origin',(39.249992678009,17.5000043821174,0.035)); #534978=CARTESIAN_POINT('',(39.006072,17.373,0.)); #534979=CARTESIAN_POINT('Origin',(38.5,17.373,0.)); #534980=CARTESIAN_POINT('',(38.5,17.373,0.)); #534981=CARTESIAN_POINT('',(38.5,17.373,0.)); #534982=CARTESIAN_POINT('',(38.5,17.373,0.035)); #534983=CARTESIAN_POINT('',(38.5,17.373,0.035)); #534984=CARTESIAN_POINT('',(38.5,17.373,0.)); #534985=CARTESIAN_POINT('Origin',(38.4999992502996,17.5000003087252,0.)); #534986=CARTESIAN_POINT('',(38.410197,17.410197,0.)); #534987=CARTESIAN_POINT('Origin',(38.4999992502996,17.5000003087252,0.)); #534988=CARTESIAN_POINT('',(38.410197,17.410197,0.035)); #534989=CARTESIAN_POINT('Origin',(38.4999992502996,17.5000003087252,0.035)); #534990=CARTESIAN_POINT('',(38.410197,17.410197,0.)); #534991=CARTESIAN_POINT('Origin',(38.197394,17.623,0.)); #534992=CARTESIAN_POINT('',(38.197394,17.623,0.)); #534993=CARTESIAN_POINT('',(38.197394,17.623,0.)); #534994=CARTESIAN_POINT('',(38.197394,17.623,0.035)); #534995=CARTESIAN_POINT('',(38.197394,17.623,0.035)); #534996=CARTESIAN_POINT('',(38.197394,17.623,0.)); #534997=CARTESIAN_POINT('Origin',(37.7125,17.623,0.)); #534998=CARTESIAN_POINT('',(37.7125,17.623,0.)); #534999=CARTESIAN_POINT('',(37.7125,17.623,0.)); #535000=CARTESIAN_POINT('',(37.7125,17.623,0.035)); #535001=CARTESIAN_POINT('',(37.7125,17.623,0.035)); #535002=CARTESIAN_POINT('',(37.7125,17.623,0.)); #535003=CARTESIAN_POINT('Origin',(37.7125,17.6125,0.)); #535004=CARTESIAN_POINT('',(37.7125,17.6125,0.)); #535005=CARTESIAN_POINT('',(37.7125,17.6125,0.)); #535006=CARTESIAN_POINT('',(37.7125,17.6125,0.035)); #535007=CARTESIAN_POINT('',(37.7125,17.6125,0.035)); #535008=CARTESIAN_POINT('',(37.7125,17.6125,0.)); #535009=CARTESIAN_POINT('Origin',(37.0875,17.6125,0.)); #535010=CARTESIAN_POINT('',(37.0875,17.6125,0.)); #535011=CARTESIAN_POINT('',(37.0875,17.6125,0.)); #535012=CARTESIAN_POINT('',(37.0875,17.6125,0.035)); #535013=CARTESIAN_POINT('',(37.0875,17.6125,0.035)); #535014=CARTESIAN_POINT('',(37.0875,17.6125,0.)); #535015=CARTESIAN_POINT('Origin',(37.0875,17.8875,0.)); #535016=CARTESIAN_POINT('',(37.0875,17.8875,0.)); #535017=CARTESIAN_POINT('',(37.0875,17.8875,0.)); #535018=CARTESIAN_POINT('',(37.0875,17.8875,0.035)); #535019=CARTESIAN_POINT('',(37.0875,17.8875,0.035)); #535020=CARTESIAN_POINT('',(37.0875,17.8875,0.)); #535021=CARTESIAN_POINT('Origin',(37.7125,17.8875,0.)); #535022=CARTESIAN_POINT('',(37.7125,17.8875,0.)); #535023=CARTESIAN_POINT('',(37.7125,17.8875,0.)); #535024=CARTESIAN_POINT('',(37.7125,17.8875,0.035)); #535025=CARTESIAN_POINT('',(37.7125,17.8875,0.035)); #535026=CARTESIAN_POINT('',(37.7125,17.8875,0.)); #535027=CARTESIAN_POINT('Origin',(37.7125,17.877,0.)); #535028=CARTESIAN_POINT('',(37.7125,17.877,0.)); #535029=CARTESIAN_POINT('',(37.7125,17.877,0.)); #535030=CARTESIAN_POINT('',(37.7125,17.877,0.035)); #535031=CARTESIAN_POINT('',(37.7125,17.877,0.035)); #535032=CARTESIAN_POINT('',(37.7125,17.877,0.)); #535033=CARTESIAN_POINT('Origin',(38.25,17.877,0.)); #535034=CARTESIAN_POINT('',(38.25,17.877,0.)); #535035=CARTESIAN_POINT('',(38.25,17.877,0.)); #535036=CARTESIAN_POINT('',(38.25,17.877,0.035)); #535037=CARTESIAN_POINT('',(38.25,17.877,0.035)); #535038=CARTESIAN_POINT('',(38.25,17.877,0.)); #535039=CARTESIAN_POINT('Origin',(38.2500007497004,17.7499996912748,0.)); #535040=CARTESIAN_POINT('',(38.339803,17.839803,0.)); #535041=CARTESIAN_POINT('Origin',(38.2500007497004,17.7499996912748,0.)); #535042=CARTESIAN_POINT('',(38.339803,17.839803,0.035)); #535043=CARTESIAN_POINT('Origin',(38.2500007497004,17.7499996912748,0.035)); #535044=CARTESIAN_POINT('',(38.339803,17.839803,0.)); #535045=CARTESIAN_POINT('Origin',(38.552606,17.627,0.)); #535046=CARTESIAN_POINT('',(38.552606,17.627,0.)); #535047=CARTESIAN_POINT('',(38.552606,17.627,0.)); #535048=CARTESIAN_POINT('',(38.552606,17.627,0.035)); #535049=CARTESIAN_POINT('',(38.552606,17.627,0.035)); #535050=CARTESIAN_POINT('',(38.552606,17.627,0.)); #535051=CARTESIAN_POINT('Origin',(39.006072,17.627,0.)); #535052=CARTESIAN_POINT('',(39.006072,17.627,0.)); #535053=CARTESIAN_POINT('',(39.006072,17.627,0.)); #535054=CARTESIAN_POINT('',(39.006072,17.627,0.035)); #535055=CARTESIAN_POINT('',(39.006072,17.627,0.035)); #535056=CARTESIAN_POINT('',(39.006072,17.627,0.)); #535057=CARTESIAN_POINT('Origin',(39.2502678314863,17.5001435692817,0.)); #535058=CARTESIAN_POINT('',(39.279272,17.773791,0.)); #535059=CARTESIAN_POINT('Origin',(39.2502678314863,17.5001435692817,0.)); #535060=CARTESIAN_POINT('',(39.279272,17.773791,0.035)); #535061=CARTESIAN_POINT('Origin',(39.2502678314863,17.5001435692817,0.035)); #535062=CARTESIAN_POINT('',(39.279272,17.773791,0.)); #535063=CARTESIAN_POINT('Origin',(39.285778,17.783959,0.)); #535064=CARTESIAN_POINT('',(39.285778,17.783959,0.)); #535065=CARTESIAN_POINT('',(39.285778,17.783959,0.)); #535066=CARTESIAN_POINT('',(39.285778,17.783959,0.035)); #535067=CARTESIAN_POINT('',(39.285778,17.783959,0.035)); #535068=CARTESIAN_POINT('',(39.285778,17.783959,0.)); #535069=CARTESIAN_POINT('Origin',(39.327466,17.812541,0.)); #535070=CARTESIAN_POINT('',(39.327466,17.812541,0.)); #535071=CARTESIAN_POINT('',(39.327466,17.812541,0.)); #535072=CARTESIAN_POINT('',(39.327466,17.812541,0.035)); #535073=CARTESIAN_POINT('',(39.327466,17.812541,0.035)); #535074=CARTESIAN_POINT('',(39.327466,17.812541,0.)); #535075=CARTESIAN_POINT('Origin',(39.377,17.8226,0.)); #535076=CARTESIAN_POINT('',(39.377,17.8226,0.)); #535077=CARTESIAN_POINT('',(39.377,17.8226,0.)); #535078=CARTESIAN_POINT('',(39.377,17.8226,0.035)); #535079=CARTESIAN_POINT('',(39.377,17.8226,0.035)); #535080=CARTESIAN_POINT('',(39.377,17.8226,0.)); #535081=CARTESIAN_POINT('Origin',(39.427034,17.8226,0.)); #535082=CARTESIAN_POINT('',(39.427034,17.8226,0.)); #535083=CARTESIAN_POINT('',(39.427034,17.8226,0.)); #535084=CARTESIAN_POINT('',(39.427034,17.8226,0.035)); #535085=CARTESIAN_POINT('',(39.427034,17.8226,0.035)); #535086=CARTESIAN_POINT('',(39.427034,17.8226,0.)); #535087=CARTESIAN_POINT('Origin',(39.6774,18.072966,0.)); #535088=CARTESIAN_POINT('',(39.6774,18.072966,0.)); #535089=CARTESIAN_POINT('',(39.6774,18.072966,0.)); #535090=CARTESIAN_POINT('',(39.6774,18.072966,0.035)); #535091=CARTESIAN_POINT('',(39.6774,18.072966,0.035)); #535092=CARTESIAN_POINT('',(39.6774,18.072966,0.)); #535093=CARTESIAN_POINT('Origin',(39.6774,18.123,0.)); #535094=CARTESIAN_POINT('',(39.6774,18.123,0.)); #535095=CARTESIAN_POINT('',(39.6774,18.123,0.)); #535096=CARTESIAN_POINT('',(39.6774,18.123,0.035)); #535097=CARTESIAN_POINT('',(39.6774,18.123,0.035)); #535098=CARTESIAN_POINT('',(39.6774,18.123,0.)); #535099=CARTESIAN_POINT('Origin',(39.685938,18.168781,0.)); #535100=CARTESIAN_POINT('',(39.685938,18.168781,0.)); #535101=CARTESIAN_POINT('',(39.685938,18.168781,0.)); #535102=CARTESIAN_POINT('',(39.685938,18.168781,0.035)); #535103=CARTESIAN_POINT('',(39.685938,18.168781,0.035)); #535104=CARTESIAN_POINT('',(39.685938,18.168781,0.)); #535105=CARTESIAN_POINT('Origin',(39.713178,18.211359,0.)); #535106=CARTESIAN_POINT('',(39.713178,18.211359,0.)); #535107=CARTESIAN_POINT('',(39.713178,18.211359,0.)); #535108=CARTESIAN_POINT('',(39.713178,18.211359,0.035)); #535109=CARTESIAN_POINT('',(39.713178,18.211359,0.035)); #535110=CARTESIAN_POINT('',(39.713178,18.211359,0.)); #535111=CARTESIAN_POINT('Origin',(39.754866,18.239941,0.)); #535112=CARTESIAN_POINT('',(39.754866,18.239941,0.)); #535113=CARTESIAN_POINT('',(39.754866,18.239941,0.)); #535114=CARTESIAN_POINT('',(39.754866,18.239941,0.035)); #535115=CARTESIAN_POINT('',(39.754866,18.239941,0.035)); #535116=CARTESIAN_POINT('',(39.754866,18.239941,0.)); #535117=CARTESIAN_POINT('Origin',(39.8044,18.25,0.)); #535118=CARTESIAN_POINT('',(39.8044,18.25,0.)); #535119=CARTESIAN_POINT('',(39.8044,18.25,0.)); #535120=CARTESIAN_POINT('',(39.8044,18.25,0.035)); #535121=CARTESIAN_POINT('',(39.8044,18.25,0.035)); #535122=CARTESIAN_POINT('',(39.8044,18.25,0.)); #535123=CARTESIAN_POINT('Origin',(43.998,18.25,0.)); #535124=CARTESIAN_POINT('',(43.998,18.25,0.)); #535125=CARTESIAN_POINT('',(43.998,18.25,0.)); #535126=CARTESIAN_POINT('',(43.998,18.25,0.035)); #535127=CARTESIAN_POINT('',(43.998,18.25,0.035)); #535128=CARTESIAN_POINT('',(43.998,18.25,0.)); #535129=CARTESIAN_POINT('Origin',(44.043781,18.241463,0.)); #535130=CARTESIAN_POINT('',(44.043781,18.241463,0.)); #535131=CARTESIAN_POINT('',(44.043781,18.241463,0.)); #535132=CARTESIAN_POINT('',(44.043781,18.241463,0.035)); #535133=CARTESIAN_POINT('',(44.043781,18.241463,0.035)); #535134=CARTESIAN_POINT('',(44.043781,18.241463,0.)); #535135=CARTESIAN_POINT('Origin',(44.086359,18.214222,0.)); #535136=CARTESIAN_POINT('',(44.086359,18.214222,0.)); #535137=CARTESIAN_POINT('',(44.086359,18.214222,0.)); #535138=CARTESIAN_POINT('',(44.086359,18.214222,0.035)); #535139=CARTESIAN_POINT('',(44.086359,18.214222,0.035)); #535140=CARTESIAN_POINT('',(44.086359,18.214222,0.)); #535141=CARTESIAN_POINT('Origin',(44.114941,18.172534,0.)); #535142=CARTESIAN_POINT('',(44.114941,18.172534,0.)); #535143=CARTESIAN_POINT('',(44.114941,18.172534,0.)); #535144=CARTESIAN_POINT('',(44.114941,18.172534,0.035)); #535145=CARTESIAN_POINT('',(44.114941,18.172534,0.035)); #535146=CARTESIAN_POINT('',(44.114941,18.172534,0.)); #535147=CARTESIAN_POINT('Origin',(44.125,18.123,0.)); #535148=CARTESIAN_POINT('',(44.125,18.123,0.)); #535149=CARTESIAN_POINT('',(44.125,18.123,0.)); #535150=CARTESIAN_POINT('',(44.125,18.123,0.035)); #535151=CARTESIAN_POINT('',(44.125,18.123,0.035)); #535152=CARTESIAN_POINT('',(44.125,18.123,0.)); #535153=CARTESIAN_POINT('Origin',(44.125,16.5044,0.)); #535154=CARTESIAN_POINT('',(44.125,16.5044,0.)); #535155=CARTESIAN_POINT('',(44.125,16.5044,0.)); #535156=CARTESIAN_POINT('',(44.125,16.5044,0.035)); #535157=CARTESIAN_POINT('',(44.125,16.5044,0.035)); #535158=CARTESIAN_POINT('',(44.125,16.5044,0.)); #535159=CARTESIAN_POINT('Origin',(44.116463,16.458619,0.)); #535160=CARTESIAN_POINT('',(44.116463,16.458619,0.)); #535161=CARTESIAN_POINT('',(44.116463,16.458619,0.)); #535162=CARTESIAN_POINT('',(44.116463,16.458619,0.035)); #535163=CARTESIAN_POINT('',(44.116463,16.458619,0.035)); #535164=CARTESIAN_POINT('',(44.116463,16.458619,0.)); #535165=CARTESIAN_POINT('Origin',(44.089222,16.416041,0.)); #535166=CARTESIAN_POINT('',(44.089222,16.416041,0.)); #535167=CARTESIAN_POINT('',(44.089222,16.416041,0.)); #535168=CARTESIAN_POINT('',(44.089222,16.416041,0.035)); #535169=CARTESIAN_POINT('',(44.089222,16.416041,0.035)); #535170=CARTESIAN_POINT('',(44.089222,16.416041,0.)); #535171=CARTESIAN_POINT('Origin',(44.047534,16.387459,0.)); #535172=CARTESIAN_POINT('',(44.047534,16.387459,0.)); #535173=CARTESIAN_POINT('',(44.047534,16.387459,0.)); #535174=CARTESIAN_POINT('',(44.047534,16.387459,0.035)); #535175=CARTESIAN_POINT('',(44.047534,16.387459,0.035)); #535176=CARTESIAN_POINT('',(44.047534,16.387459,0.)); #535177=CARTESIAN_POINT('Origin',(43.998,16.3774,0.)); #535178=CARTESIAN_POINT('',(43.998,16.3774,0.)); #535179=CARTESIAN_POINT('',(43.998,16.3774,0.)); #535180=CARTESIAN_POINT('',(43.998,16.3774,0.035)); #535181=CARTESIAN_POINT('',(43.998,16.3774,0.035)); #535182=CARTESIAN_POINT('',(43.998,16.3774,0.)); #535183=CARTESIAN_POINT('Origin',(43.036875,16.3774,0.)); #535184=CARTESIAN_POINT('',(43.036875,16.3774,0.)); #535185=CARTESIAN_POINT('',(43.036875,16.3774,0.)); #535186=CARTESIAN_POINT('',(43.036875,16.3774,0.035)); #535187=CARTESIAN_POINT('',(43.036875,16.3774,0.035)); #535188=CARTESIAN_POINT('',(43.036875,16.3774,0.)); #535189=CARTESIAN_POINT('Origin',(42.971759,16.312284,0.)); #535190=CARTESIAN_POINT('',(42.971759,16.312284,0.)); #535191=CARTESIAN_POINT('',(42.971759,16.312284,0.)); #535192=CARTESIAN_POINT('',(42.971759,16.312284,0.035)); #535193=CARTESIAN_POINT('',(42.971759,16.312284,0.035)); #535194=CARTESIAN_POINT('',(42.971759,16.312284,0.)); #535195=CARTESIAN_POINT('Origin',(42.93335,16.28595,0.)); #535196=CARTESIAN_POINT('',(42.93335,16.28595,0.)); #535197=CARTESIAN_POINT('',(42.93335,16.28595,0.)); #535198=CARTESIAN_POINT('',(42.93335,16.28595,0.035)); #535199=CARTESIAN_POINT('',(42.93335,16.28595,0.035)); #535200=CARTESIAN_POINT('',(42.93335,16.28595,0.)); #535201=CARTESIAN_POINT('Origin',(42.883981,16.275103,0.)); #535202=CARTESIAN_POINT('',(42.883981,16.275103,0.)); #535203=CARTESIAN_POINT('',(42.883981,16.275103,0.)); #535204=CARTESIAN_POINT('',(42.883981,16.275103,0.035)); #535205=CARTESIAN_POINT('',(42.883981,16.275103,0.035)); #535206=CARTESIAN_POINT('',(42.883981,16.275103,0.)); #535207=CARTESIAN_POINT('Origin',(42.834294,16.284372,0.)); #535208=CARTESIAN_POINT('',(42.834294,16.284372,0.)); #535209=CARTESIAN_POINT('',(42.834294,16.284372,0.)); #535210=CARTESIAN_POINT('',(42.834294,16.284372,0.035)); #535211=CARTESIAN_POINT('',(42.834294,16.284372,0.035)); #535212=CARTESIAN_POINT('',(42.834294,16.284372,0.)); #535213=CARTESIAN_POINT('Origin',(42.792153,16.312284,0.)); #535214=CARTESIAN_POINT('',(42.792153,16.312284,0.)); #535215=CARTESIAN_POINT('',(42.792153,16.312284,0.)); #535216=CARTESIAN_POINT('',(42.792153,16.312284,0.035)); #535217=CARTESIAN_POINT('',(42.792153,16.312284,0.035)); #535218=CARTESIAN_POINT('',(42.792153,16.312284,0.)); #535219=CARTESIAN_POINT('Origin',(42.677034,16.4274,0.)); #535220=CARTESIAN_POINT('',(42.677034,16.4274,0.)); #535221=CARTESIAN_POINT('',(42.677034,16.4274,0.)); #535222=CARTESIAN_POINT('',(42.677034,16.4274,0.035)); #535223=CARTESIAN_POINT('',(42.677034,16.4274,0.035)); #535224=CARTESIAN_POINT('',(42.677034,16.4274,0.)); #535225=CARTESIAN_POINT('Origin',(42.322966,16.4274,0.)); #535226=CARTESIAN_POINT('',(42.322966,16.4274,0.)); #535227=CARTESIAN_POINT('',(42.322966,16.4274,0.)); #535228=CARTESIAN_POINT('',(42.322966,16.4274,0.035)); #535229=CARTESIAN_POINT('',(42.322966,16.4274,0.035)); #535230=CARTESIAN_POINT('',(42.322966,16.4274,0.)); #535231=CARTESIAN_POINT('Origin',(42.0726,16.177034,0.)); #535232=CARTESIAN_POINT('',(42.0726,16.177034,0.)); #535233=CARTESIAN_POINT('',(42.0726,16.177034,0.)); #535234=CARTESIAN_POINT('',(42.0726,16.177034,0.035)); #535235=CARTESIAN_POINT('',(42.0726,16.177034,0.035)); #535236=CARTESIAN_POINT('',(42.0726,16.177034,0.)); #535237=CARTESIAN_POINT('Origin',(42.0726,15.822966,0.)); #535238=CARTESIAN_POINT('',(42.0726,15.822966,0.)); #535239=CARTESIAN_POINT('',(42.0726,15.822966,0.)); #535240=CARTESIAN_POINT('',(42.0726,15.822966,0.035)); #535241=CARTESIAN_POINT('',(42.0726,15.822966,0.035)); #535242=CARTESIAN_POINT('',(42.0726,15.822966,0.)); #535243=CARTESIAN_POINT('Origin',(42.322966,15.5726,0.)); #535244=CARTESIAN_POINT('',(42.322966,15.5726,0.)); #535245=CARTESIAN_POINT('',(42.322966,15.5726,0.)); #535246=CARTESIAN_POINT('',(42.322966,15.5726,0.035)); #535247=CARTESIAN_POINT('',(42.322966,15.5726,0.035)); #535248=CARTESIAN_POINT('',(42.322966,15.5726,0.)); #535249=CARTESIAN_POINT('Origin',(42.677034,15.5726,0.)); #535250=CARTESIAN_POINT('',(42.677034,15.5726,0.)); #535251=CARTESIAN_POINT('',(42.677034,15.5726,0.)); #535252=CARTESIAN_POINT('',(42.677034,15.5726,0.035)); #535253=CARTESIAN_POINT('',(42.677034,15.5726,0.035)); #535254=CARTESIAN_POINT('',(42.677034,15.5726,0.)); #535255=CARTESIAN_POINT('Origin',(42.817153,15.712719,0.)); #535256=CARTESIAN_POINT('',(42.817153,15.712719,0.)); #535257=CARTESIAN_POINT('',(42.817153,15.712719,0.)); #535258=CARTESIAN_POINT('',(42.817153,15.712719,0.035)); #535259=CARTESIAN_POINT('',(42.817153,15.712719,0.035)); #535260=CARTESIAN_POINT('',(42.817153,15.712719,0.)); #535261=CARTESIAN_POINT('Origin',(42.855566,15.739053,0.)); #535262=CARTESIAN_POINT('',(42.855566,15.739053,0.)); #535263=CARTESIAN_POINT('',(42.855566,15.739053,0.)); #535264=CARTESIAN_POINT('',(42.855566,15.739053,0.035)); #535265=CARTESIAN_POINT('',(42.855566,15.739053,0.035)); #535266=CARTESIAN_POINT('',(42.855566,15.739053,0.)); #535267=CARTESIAN_POINT('Origin',(42.904934,15.7499,0.)); #535268=CARTESIAN_POINT('',(42.904934,15.7499,0.)); #535269=CARTESIAN_POINT('',(42.904934,15.7499,0.)); #535270=CARTESIAN_POINT('',(42.904934,15.7499,0.035)); #535271=CARTESIAN_POINT('',(42.904934,15.7499,0.035)); #535272=CARTESIAN_POINT('',(42.904934,15.7499,0.)); #535273=CARTESIAN_POINT('Origin',(42.954622,15.740631,0.)); #535274=CARTESIAN_POINT('',(42.954622,15.740631,0.)); #535275=CARTESIAN_POINT('',(42.954622,15.740631,0.)); #535276=CARTESIAN_POINT('',(42.954622,15.740631,0.035)); #535277=CARTESIAN_POINT('',(42.954622,15.740631,0.035)); #535278=CARTESIAN_POINT('',(42.954622,15.740631,0.)); #535279=CARTESIAN_POINT('Origin',(42.996759,15.712716,0.)); #535280=CARTESIAN_POINT('',(42.996759,15.712716,0.)); #535281=CARTESIAN_POINT('',(42.996759,15.712716,0.)); #535282=CARTESIAN_POINT('',(42.996759,15.712716,0.035)); #535283=CARTESIAN_POINT('',(42.996759,15.712716,0.035)); #535284=CARTESIAN_POINT('',(42.996759,15.712716,0.)); #535285=CARTESIAN_POINT('Origin',(43.036872,15.6726,0.)); #535286=CARTESIAN_POINT('',(43.036872,15.6726,0.)); #535287=CARTESIAN_POINT('',(43.036872,15.6726,0.)); #535288=CARTESIAN_POINT('',(43.036872,15.6726,0.035)); #535289=CARTESIAN_POINT('',(43.036872,15.6726,0.035)); #535290=CARTESIAN_POINT('',(43.036872,15.6726,0.)); #535291=CARTESIAN_POINT('Origin',(43.998,15.6726,0.)); #535292=CARTESIAN_POINT('',(43.998,15.6726,0.)); #535293=CARTESIAN_POINT('',(43.998,15.6726,0.)); #535294=CARTESIAN_POINT('',(43.998,15.6726,0.035)); #535295=CARTESIAN_POINT('',(43.998,15.6726,0.035)); #535296=CARTESIAN_POINT('',(43.998,15.6726,0.)); #535297=CARTESIAN_POINT('Origin',(44.043781,15.664063,0.)); #535298=CARTESIAN_POINT('',(44.043781,15.664063,0.)); #535299=CARTESIAN_POINT('',(44.043781,15.664063,0.)); #535300=CARTESIAN_POINT('',(44.043781,15.664063,0.035)); #535301=CARTESIAN_POINT('',(44.043781,15.664063,0.035)); #535302=CARTESIAN_POINT('',(44.043781,15.664063,0.)); #535303=CARTESIAN_POINT('Origin',(44.086359,15.636822,0.)); #535304=CARTESIAN_POINT('',(44.086359,15.636822,0.)); #535305=CARTESIAN_POINT('',(44.086359,15.636822,0.)); #535306=CARTESIAN_POINT('',(44.086359,15.636822,0.035)); #535307=CARTESIAN_POINT('',(44.086359,15.636822,0.035)); #535308=CARTESIAN_POINT('',(44.086359,15.636822,0.)); #535309=CARTESIAN_POINT('Origin',(44.114941,15.595134,0.)); #535310=CARTESIAN_POINT('',(44.114941,15.595134,0.)); #535311=CARTESIAN_POINT('',(44.114941,15.595134,0.)); #535312=CARTESIAN_POINT('',(44.114941,15.595134,0.035)); #535313=CARTESIAN_POINT('',(44.114941,15.595134,0.035)); #535314=CARTESIAN_POINT('',(44.114941,15.595134,0.)); #535315=CARTESIAN_POINT('Origin',(44.125,15.5456,0.)); #535316=CARTESIAN_POINT('',(44.125,15.5456,0.)); #535317=CARTESIAN_POINT('',(44.125,15.5456,0.)); #535318=CARTESIAN_POINT('',(44.125,15.5456,0.035)); #535319=CARTESIAN_POINT('',(44.125,15.5456,0.035)); #535320=CARTESIAN_POINT('',(44.125,15.5456,0.)); #535321=CARTESIAN_POINT('Origin',(44.125,15.385022,0.)); #535322=CARTESIAN_POINT('',(44.125,15.385022,0.)); #535323=CARTESIAN_POINT('',(44.125,15.385022,0.)); #535324=CARTESIAN_POINT('',(44.125,15.385022,0.035)); #535325=CARTESIAN_POINT('',(44.125,15.385022,0.035)); #535326=CARTESIAN_POINT('',(44.125,15.385022,0.)); #535327=CARTESIAN_POINT('Origin',(44.126459,15.375825,0.)); #535328=CARTESIAN_POINT('',(44.126459,15.375825,0.)); #535329=CARTESIAN_POINT('',(44.126459,15.375825,0.)); #535330=CARTESIAN_POINT('',(44.126459,15.375825,0.035)); #535331=CARTESIAN_POINT('',(44.126459,15.375825,0.035)); #535332=CARTESIAN_POINT('',(44.126459,15.375825,0.)); #535333=CARTESIAN_POINT('Origin',(44.132984,15.375,0.)); #535334=CARTESIAN_POINT('',(44.132984,15.375,0.)); #535335=CARTESIAN_POINT('',(44.132984,15.375,0.)); #535336=CARTESIAN_POINT('',(44.132984,15.375,0.035)); #535337=CARTESIAN_POINT('',(44.132984,15.375,0.035)); #535338=CARTESIAN_POINT('',(44.132984,15.375,0.)); #535339=CARTESIAN_POINT('Origin',(44.873,15.375,0.)); #535340=CARTESIAN_POINT('',(44.873,15.375,0.)); #535341=CARTESIAN_POINT('',(44.873,15.375,0.)); #535342=CARTESIAN_POINT('',(44.873,15.375,0.035)); #535343=CARTESIAN_POINT('',(44.873,15.375,0.035)); #535344=CARTESIAN_POINT('',(44.873,15.375,0.)); #535345=CARTESIAN_POINT('Origin',(44.918781,15.366463,0.)); #535346=CARTESIAN_POINT('',(44.918781,15.366463,0.)); #535347=CARTESIAN_POINT('',(44.918781,15.366463,0.)); #535348=CARTESIAN_POINT('',(44.918781,15.366463,0.035)); #535349=CARTESIAN_POINT('',(44.918781,15.366463,0.035)); #535350=CARTESIAN_POINT('',(44.918781,15.366463,0.)); #535351=CARTESIAN_POINT('Origin',(44.961359,15.339222,0.)); #535352=CARTESIAN_POINT('',(44.961359,15.339222,0.)); #535353=CARTESIAN_POINT('',(44.961359,15.339222,0.)); #535354=CARTESIAN_POINT('',(44.961359,15.339222,0.035)); #535355=CARTESIAN_POINT('',(44.961359,15.339222,0.035)); #535356=CARTESIAN_POINT('',(44.961359,15.339222,0.)); #535357=CARTESIAN_POINT('Origin',(44.989941,15.297534,0.)); #535358=CARTESIAN_POINT('',(44.989941,15.297534,0.)); #535359=CARTESIAN_POINT('',(44.989941,15.297534,0.)); #535360=CARTESIAN_POINT('',(44.989941,15.297534,0.035)); #535361=CARTESIAN_POINT('',(44.989941,15.297534,0.035)); #535362=CARTESIAN_POINT('',(44.989941,15.297534,0.)); #535363=CARTESIAN_POINT('Origin',(45.,15.248,0.)); #535364=CARTESIAN_POINT('',(45.,15.248,0.)); #535365=CARTESIAN_POINT('',(45.,15.248,0.)); #535366=CARTESIAN_POINT('',(45.,15.248,0.035)); #535367=CARTESIAN_POINT('',(45.,15.248,0.035)); #535368=CARTESIAN_POINT('',(45.,15.248,0.)); #535369=CARTESIAN_POINT('Origin',(45.,10.802606,0.)); #535370=CARTESIAN_POINT('',(45.,10.802606,0.)); #535371=CARTESIAN_POINT('',(45.,10.802606,0.)); #535372=CARTESIAN_POINT('',(45.,10.802606,0.035)); #535373=CARTESIAN_POINT('',(45.,10.802606,0.035)); #535374=CARTESIAN_POINT('',(45.,10.802606,0.)); #535375=CARTESIAN_POINT('Origin',(44.990716,10.754944,0.)); #535376=CARTESIAN_POINT('',(44.990716,10.754944,0.)); #535377=CARTESIAN_POINT('',(44.990716,10.754944,0.)); #535378=CARTESIAN_POINT('',(44.990716,10.754944,0.035)); #535379=CARTESIAN_POINT('',(44.990716,10.754944,0.035)); #535380=CARTESIAN_POINT('',(44.990716,10.754944,0.)); #535381=CARTESIAN_POINT('Origin',(44.962803,10.712803,0.)); #535382=CARTESIAN_POINT('',(44.962803,10.712803,0.)); #535383=CARTESIAN_POINT('',(44.962803,10.712803,0.)); #535384=CARTESIAN_POINT('',(44.962803,10.712803,0.035)); #535385=CARTESIAN_POINT('',(44.962803,10.712803,0.035)); #535386=CARTESIAN_POINT('',(44.962803,10.712803,0.)); #535387=CARTESIAN_POINT('Origin',(42.787197,8.5371969,0.)); #535388=CARTESIAN_POINT('',(42.787197,8.5371969,0.)); #535389=CARTESIAN_POINT('',(42.787197,8.5371969,0.)); #535390=CARTESIAN_POINT('',(42.787197,8.5371969,0.035)); #535391=CARTESIAN_POINT('',(42.787197,8.5371969,0.035)); #535392=CARTESIAN_POINT('',(42.787197,8.5371969,0.)); #535393=CARTESIAN_POINT('Origin',(42.746928,8.5100594,0.)); #535394=CARTESIAN_POINT('',(42.746928,8.5100594,0.)); #535395=CARTESIAN_POINT('',(42.746928,8.5100594,0.)); #535396=CARTESIAN_POINT('',(42.746928,8.5100594,0.035)); #535397=CARTESIAN_POINT('',(42.746928,8.5100594,0.035)); #535398=CARTESIAN_POINT('',(42.746928,8.5100594,0.)); #535399=CARTESIAN_POINT('Origin',(42.697394,8.5,0.)); #535400=CARTESIAN_POINT('',(42.697394,8.5,0.)); #535401=CARTESIAN_POINT('',(42.697394,8.5,0.)); #535402=CARTESIAN_POINT('',(42.697394,8.5,0.035)); #535403=CARTESIAN_POINT('',(42.697394,8.5,0.035)); #535404=CARTESIAN_POINT('',(42.697394,8.5,0.)); #535405=CARTESIAN_POINT('Origin',(37.758994,8.5,0.)); #535406=CARTESIAN_POINT('',(37.758994,8.5,0.)); #535407=CARTESIAN_POINT('',(37.758994,8.5,0.)); #535408=CARTESIAN_POINT('',(37.758994,8.5,0.035)); #535409=CARTESIAN_POINT('',(37.758994,8.5,0.035)); #535410=CARTESIAN_POINT('',(37.758994,8.5,0.)); #535411=CARTESIAN_POINT('Origin',(37.749975,8.4987156,0.)); #535412=CARTESIAN_POINT('',(37.749975,8.4987156,0.)); #535413=CARTESIAN_POINT('',(37.749975,8.4987156,0.)); #535414=CARTESIAN_POINT('',(37.749975,8.4987156,0.035)); #535415=CARTESIAN_POINT('',(37.749975,8.4987156,0.035)); #535416=CARTESIAN_POINT('',(37.749975,8.4987156,0.)); #535417=CARTESIAN_POINT('Origin',(37.744347,8.4943469,0.)); #535418=CARTESIAN_POINT('',(37.744347,8.4943469,0.)); #535419=CARTESIAN_POINT('',(37.744347,8.4943469,0.)); #535420=CARTESIAN_POINT('',(37.744347,8.4943469,0.035)); #535421=CARTESIAN_POINT('',(37.744347,8.4943469,0.035)); #535422=CARTESIAN_POINT('',(37.744347,8.4943469,0.)); #535423=CARTESIAN_POINT('Origin',(37.289597,8.0395969,0.)); #535424=CARTESIAN_POINT('',(37.289597,8.0395969,0.)); #535425=CARTESIAN_POINT('',(37.289597,8.0395969,0.)); #535426=CARTESIAN_POINT('',(37.289597,8.0395969,0.035)); #535427=CARTESIAN_POINT('',(37.289597,8.0395969,0.035)); #535428=CARTESIAN_POINT('',(37.289597,8.0395969,0.)); #535429=CARTESIAN_POINT('Origin',(37.249328,8.0124594,0.)); #535430=CARTESIAN_POINT('',(37.249328,8.0124594,0.)); #535431=CARTESIAN_POINT('',(37.249328,8.0124594,0.)); #535432=CARTESIAN_POINT('',(37.249328,8.0124594,0.035)); #535433=CARTESIAN_POINT('',(37.249328,8.0124594,0.035)); #535434=CARTESIAN_POINT('',(37.249328,8.0124594,0.)); #535435=CARTESIAN_POINT('Origin',(37.199794,8.0024,0.)); #535436=CARTESIAN_POINT('',(37.199794,8.0024,0.)); #535437=CARTESIAN_POINT('',(37.199794,8.0024,0.)); #535438=CARTESIAN_POINT('',(37.199794,8.0024,0.035)); #535439=CARTESIAN_POINT('',(37.199794,8.0024,0.035)); #535440=CARTESIAN_POINT('',(37.199794,8.0024,0.)); #535441=CARTESIAN_POINT('Origin',(36.990134,8.0024,0.)); #535442=CARTESIAN_POINT('',(36.990134,8.0024,0.)); #535443=CARTESIAN_POINT('',(36.990134,8.0024,0.)); #535444=CARTESIAN_POINT('',(36.990134,8.0024,0.035)); #535445=CARTESIAN_POINT('',(36.990134,8.0024,0.035)); #535446=CARTESIAN_POINT('',(36.990134,8.0024,0.)); #535447=CARTESIAN_POINT('Origin',(36.978316,8.,0.)); #535448=CARTESIAN_POINT('',(36.978316,8.,0.)); #535449=CARTESIAN_POINT('',(36.978316,8.,0.)); #535450=CARTESIAN_POINT('',(36.978316,8.,0.035)); #535451=CARTESIAN_POINT('',(36.978316,8.,0.035)); #535452=CARTESIAN_POINT('',(36.978316,8.,0.)); #535453=CARTESIAN_POINT('Origin',(36.760022,8.,0.)); #535454=CARTESIAN_POINT('',(36.760022,8.,0.)); #535455=CARTESIAN_POINT('',(36.760022,8.,0.)); #535456=CARTESIAN_POINT('',(36.760022,8.,0.035)); #535457=CARTESIAN_POINT('',(36.760022,8.,0.035)); #535458=CARTESIAN_POINT('',(36.760022,8.,0.)); #535459=CARTESIAN_POINT('Origin',(36.750825,7.9985406,0.)); #535460=CARTESIAN_POINT('',(36.750825,7.9985406,0.)); #535461=CARTESIAN_POINT('',(36.750825,7.9985406,0.)); #535462=CARTESIAN_POINT('',(36.750825,7.9985406,0.035)); #535463=CARTESIAN_POINT('',(36.750825,7.9985406,0.035)); #535464=CARTESIAN_POINT('',(36.750825,7.9985406,0.)); #535465=CARTESIAN_POINT('Origin',(36.75,7.9920156,0.)); #535466=CARTESIAN_POINT('',(36.75,7.9920156,0.)); #535467=CARTESIAN_POINT('',(36.75,7.9920156,0.)); #535468=CARTESIAN_POINT('',(36.75,7.9920156,0.035)); #535469=CARTESIAN_POINT('',(36.75,7.9920156,0.035)); #535470=CARTESIAN_POINT('',(36.75,7.9920156,0.)); #535471=CARTESIAN_POINT('Origin',(36.75,6.8833656,0.)); #535472=CARTESIAN_POINT('',(36.75,6.8833656,0.)); #535473=CARTESIAN_POINT('',(36.75,6.8833656,0.)); #535474=CARTESIAN_POINT('',(36.75,6.8833656,0.035)); #535475=CARTESIAN_POINT('',(36.75,6.8833656,0.035)); #535476=CARTESIAN_POINT('',(36.75,6.8833656,0.)); #535477=CARTESIAN_POINT('Origin',(36.741094,6.8366406,0.)); #535478=CARTESIAN_POINT('',(36.741094,6.8366406,0.)); #535479=CARTESIAN_POINT('',(36.741094,6.8366406,0.)); #535480=CARTESIAN_POINT('',(36.741094,6.8366406,0.035)); #535481=CARTESIAN_POINT('',(36.741094,6.8366406,0.035)); #535482=CARTESIAN_POINT('',(36.741094,6.8366406,0.)); #535483=CARTESIAN_POINT('Origin',(36.713516,6.7942813,0.)); #535484=CARTESIAN_POINT('',(36.713516,6.7942813,0.)); #535485=CARTESIAN_POINT('',(36.713516,6.7942813,0.)); #535486=CARTESIAN_POINT('',(36.713516,6.7942813,0.035)); #535487=CARTESIAN_POINT('',(36.713516,6.7942813,0.035)); #535488=CARTESIAN_POINT('',(36.713516,6.7942813,0.)); #535489=CARTESIAN_POINT('Origin',(36.6716,6.7660344,0.)); #535490=CARTESIAN_POINT('',(36.6716,6.7660344,0.)); #535491=CARTESIAN_POINT('',(36.6716,6.7660344,0.)); #535492=CARTESIAN_POINT('',(36.6716,6.7660344,0.035)); #535493=CARTESIAN_POINT('',(36.6716,6.7660344,0.035)); #535494=CARTESIAN_POINT('',(36.6716,6.7660344,0.)); #535495=CARTESIAN_POINT('Origin',(36.535969,6.7098531,0.)); #535496=CARTESIAN_POINT('',(36.535969,6.7098531,0.)); #535497=CARTESIAN_POINT('',(36.535969,6.7098531,0.)); #535498=CARTESIAN_POINT('',(36.535969,6.7098531,0.035)); #535499=CARTESIAN_POINT('',(36.535969,6.7098531,0.035)); #535500=CARTESIAN_POINT('',(36.535969,6.7098531,0.)); #535501=CARTESIAN_POINT('Origin',(36.36015,6.5340344,0.)); #535502=CARTESIAN_POINT('',(36.36015,6.5340344,0.)); #535503=CARTESIAN_POINT('',(36.36015,6.5340344,0.)); #535504=CARTESIAN_POINT('',(36.36015,6.5340344,0.035)); #535505=CARTESIAN_POINT('',(36.36015,6.5340344,0.035)); #535506=CARTESIAN_POINT('',(36.36015,6.5340344,0.)); #535507=CARTESIAN_POINT('Origin',(36.265,6.3043156,0.)); #535508=CARTESIAN_POINT('',(36.265,6.3043156,0.)); #535509=CARTESIAN_POINT('',(36.265,6.3043156,0.)); #535510=CARTESIAN_POINT('',(36.265,6.3043156,0.035)); #535511=CARTESIAN_POINT('',(36.265,6.3043156,0.035)); #535512=CARTESIAN_POINT('',(36.265,6.3043156,0.)); #535513=CARTESIAN_POINT('Origin',(36.265,6.0556844,0.)); #535514=CARTESIAN_POINT('',(36.265,6.0556844,0.)); #535515=CARTESIAN_POINT('',(36.265,6.0556844,0.)); #535516=CARTESIAN_POINT('',(36.265,6.0556844,0.035)); #535517=CARTESIAN_POINT('',(36.265,6.0556844,0.035)); #535518=CARTESIAN_POINT('',(36.265,6.0556844,0.)); #535519=CARTESIAN_POINT('Origin',(36.36015,5.8259656,0.)); #535520=CARTESIAN_POINT('',(36.36015,5.8259656,0.)); #535521=CARTESIAN_POINT('',(36.36015,5.8259656,0.)); #535522=CARTESIAN_POINT('',(36.36015,5.8259656,0.035)); #535523=CARTESIAN_POINT('',(36.36015,5.8259656,0.035)); #535524=CARTESIAN_POINT('',(36.36015,5.8259656,0.)); #535525=CARTESIAN_POINT('Origin',(36.378253,5.8078656,0.)); #535526=CARTESIAN_POINT('',(36.378253,5.8078656,0.)); #535527=CARTESIAN_POINT('',(36.378253,5.8078656,0.)); #535528=CARTESIAN_POINT('',(36.378253,5.8078656,0.035)); #535529=CARTESIAN_POINT('',(36.378253,5.8078656,0.035)); #535530=CARTESIAN_POINT('',(36.378253,5.8078656,0.)); #535531=CARTESIAN_POINT('Origin',(36.404591,5.7694531,0.)); #535532=CARTESIAN_POINT('',(36.404591,5.7694531,0.)); #535533=CARTESIAN_POINT('',(36.404591,5.7694531,0.)); #535534=CARTESIAN_POINT('',(36.404591,5.7694531,0.035)); #535535=CARTESIAN_POINT('',(36.404591,5.7694531,0.035)); #535536=CARTESIAN_POINT('',(36.404591,5.7694531,0.)); #535537=CARTESIAN_POINT('Origin',(36.415438,5.7200844,0.)); #535538=CARTESIAN_POINT('',(36.415438,5.7200844,0.)); #535539=CARTESIAN_POINT('',(36.415438,5.7200844,0.)); #535540=CARTESIAN_POINT('',(36.415438,5.7200844,0.035)); #535541=CARTESIAN_POINT('',(36.415438,5.7200844,0.035)); #535542=CARTESIAN_POINT('',(36.415438,5.7200844,0.)); #535543=CARTESIAN_POINT('Origin',(36.406169,5.6703969,0.)); #535544=CARTESIAN_POINT('',(36.406169,5.6703969,0.)); #535545=CARTESIAN_POINT('',(36.406169,5.6703969,0.)); #535546=CARTESIAN_POINT('',(36.406169,5.6703969,0.035)); #535547=CARTESIAN_POINT('',(36.406169,5.6703969,0.035)); #535548=CARTESIAN_POINT('',(36.406169,5.6703969,0.)); #535549=CARTESIAN_POINT('Origin',(36.378256,5.6282563,0.)); #535550=CARTESIAN_POINT('',(36.378256,5.6282563,0.)); #535551=CARTESIAN_POINT('',(36.378256,5.6282563,0.)); #535552=CARTESIAN_POINT('',(36.378256,5.6282563,0.035)); #535553=CARTESIAN_POINT('',(36.378256,5.6282563,0.035)); #535554=CARTESIAN_POINT('',(36.378256,5.6282563,0.)); #535555=CARTESIAN_POINT('Origin',(35.787197,5.0371969,0.)); #535556=CARTESIAN_POINT('',(35.787197,5.0371969,0.)); #535557=CARTESIAN_POINT('',(35.787197,5.0371969,0.)); #535558=CARTESIAN_POINT('',(35.787197,5.0371969,0.035)); #535559=CARTESIAN_POINT('',(35.787197,5.0371969,0.035)); #535560=CARTESIAN_POINT('',(35.787197,5.0371969,0.)); #535561=CARTESIAN_POINT('Origin',(35.746928,5.0100594,0.)); #535562=CARTESIAN_POINT('',(35.746928,5.0100594,0.)); #535563=CARTESIAN_POINT('',(35.746928,5.0100594,0.035)); #535564=CARTESIAN_POINT('Origin',(37.4061396491429,11.1786906973281,0.035)); #535565=CARTESIAN_POINT('Origin',(37.4061396491429,11.1786906973281,0.)); #535566=CARTESIAN_POINT('Origin',(33.859647,8.5127063,0.)); #535567=CARTESIAN_POINT('',(33.859647,8.5127063,0.)); #535568=CARTESIAN_POINT('',(33.908838,8.5243375,0.)); #535569=CARTESIAN_POINT('',(33.859647,8.5127063,0.)); #535570=CARTESIAN_POINT('',(33.908838,8.5243375,0.035)); #535571=CARTESIAN_POINT('',(33.908838,8.5243375,0.)); #535572=CARTESIAN_POINT('',(33.859647,8.5127063,0.035)); #535573=CARTESIAN_POINT('',(33.859647,8.5127063,0.035)); #535574=CARTESIAN_POINT('',(33.859647,8.5127063,0.)); #535575=CARTESIAN_POINT('Origin',(33.809819,8.5211781,0.)); #535576=CARTESIAN_POINT('',(33.809819,8.5211781,0.)); #535577=CARTESIAN_POINT('',(33.809819,8.5211781,0.)); #535578=CARTESIAN_POINT('',(33.809819,8.5211781,0.035)); #535579=CARTESIAN_POINT('',(33.809819,8.5211781,0.035)); #535580=CARTESIAN_POINT('',(33.809819,8.5211781,0.)); #535581=CARTESIAN_POINT('Origin',(33.767241,8.5484187,0.)); #535582=CARTESIAN_POINT('',(33.767241,8.5484187,0.)); #535583=CARTESIAN_POINT('',(33.767241,8.5484187,0.)); #535584=CARTESIAN_POINT('',(33.767241,8.5484187,0.035)); #535585=CARTESIAN_POINT('',(33.767241,8.5484187,0.035)); #535586=CARTESIAN_POINT('',(33.767241,8.5484187,0.)); #535587=CARTESIAN_POINT('Origin',(33.738659,8.5901062,0.)); #535588=CARTESIAN_POINT('',(33.738659,8.5901062,0.)); #535589=CARTESIAN_POINT('',(33.738659,8.5901062,0.)); #535590=CARTESIAN_POINT('',(33.738659,8.5901062,0.035)); #535591=CARTESIAN_POINT('',(33.738659,8.5901062,0.035)); #535592=CARTESIAN_POINT('',(33.738659,8.5901062,0.)); #535593=CARTESIAN_POINT('Origin',(33.7286,8.6396406,0.)); #535594=CARTESIAN_POINT('',(33.7286,8.6396406,0.)); #535595=CARTESIAN_POINT('',(33.7286,8.6396406,0.)); #535596=CARTESIAN_POINT('',(33.7286,8.6396406,0.035)); #535597=CARTESIAN_POINT('',(33.7286,8.6396406,0.035)); #535598=CARTESIAN_POINT('',(33.7286,8.6396406,0.)); #535599=CARTESIAN_POINT('Origin',(33.7286,10.184394,0.)); #535600=CARTESIAN_POINT('',(33.7286,10.184394,0.)); #535601=CARTESIAN_POINT('',(33.7286,10.184394,0.)); #535602=CARTESIAN_POINT('',(33.7286,10.184394,0.035)); #535603=CARTESIAN_POINT('',(33.7286,10.184394,0.035)); #535604=CARTESIAN_POINT('',(33.7286,10.184394,0.)); #535605=CARTESIAN_POINT('Origin',(33.736425,10.228281,0.)); #535606=CARTESIAN_POINT('',(33.736425,10.228281,0.)); #535607=CARTESIAN_POINT('',(33.736425,10.228281,0.)); #535608=CARTESIAN_POINT('',(33.736425,10.228281,0.035)); #535609=CARTESIAN_POINT('',(33.736425,10.228281,0.035)); #535610=CARTESIAN_POINT('',(33.736425,10.228281,0.)); #535611=CARTESIAN_POINT('Origin',(33.762981,10.271287,0.)); #535612=CARTESIAN_POINT('',(33.762981,10.271287,0.)); #535613=CARTESIAN_POINT('',(33.762981,10.271287,0.)); #535614=CARTESIAN_POINT('',(33.762981,10.271287,0.035)); #535615=CARTESIAN_POINT('',(33.762981,10.271287,0.035)); #535616=CARTESIAN_POINT('',(33.762981,10.271287,0.)); #535617=CARTESIAN_POINT('Origin',(33.804206,10.300531,0.)); #535618=CARTESIAN_POINT('',(33.804206,10.300531,0.)); #535619=CARTESIAN_POINT('',(33.804206,10.300531,0.)); #535620=CARTESIAN_POINT('',(33.804206,10.300531,0.035)); #535621=CARTESIAN_POINT('',(33.804206,10.300531,0.035)); #535622=CARTESIAN_POINT('',(33.804206,10.300531,0.)); #535623=CARTESIAN_POINT('Origin',(33.853575,10.311378,0.)); #535624=CARTESIAN_POINT('',(33.853575,10.311378,0.)); #535625=CARTESIAN_POINT('',(33.853575,10.311378,0.)); #535626=CARTESIAN_POINT('',(33.853575,10.311378,0.035)); #535627=CARTESIAN_POINT('',(33.853575,10.311378,0.035)); #535628=CARTESIAN_POINT('',(33.853575,10.311378,0.)); #535629=CARTESIAN_POINT('Origin',(33.903263,10.302109,0.)); #535630=CARTESIAN_POINT('',(33.903263,10.302109,0.)); #535631=CARTESIAN_POINT('',(33.903263,10.302109,0.)); #535632=CARTESIAN_POINT('',(33.903263,10.302109,0.035)); #535633=CARTESIAN_POINT('',(33.903263,10.302109,0.035)); #535634=CARTESIAN_POINT('',(33.903263,10.302109,0.)); #535635=CARTESIAN_POINT('Origin',(33.945403,10.274197,0.)); #535636=CARTESIAN_POINT('',(33.945403,10.274197,0.)); #535637=CARTESIAN_POINT('',(33.945403,10.274197,0.)); #535638=CARTESIAN_POINT('',(33.945403,10.274197,0.035)); #535639=CARTESIAN_POINT('',(33.945403,10.274197,0.035)); #535640=CARTESIAN_POINT('',(33.945403,10.274197,0.)); #535641=CARTESIAN_POINT('Origin',(33.984203,10.235397,0.)); #535642=CARTESIAN_POINT('',(33.984203,10.235397,0.)); #535643=CARTESIAN_POINT('',(33.984203,10.235397,0.)); #535644=CARTESIAN_POINT('',(33.984203,10.235397,0.035)); #535645=CARTESIAN_POINT('',(33.984203,10.235397,0.035)); #535646=CARTESIAN_POINT('',(33.984203,10.235397,0.)); #535647=CARTESIAN_POINT('Origin',(34.011341,10.195128,0.)); #535648=CARTESIAN_POINT('',(34.011341,10.195128,0.)); #535649=CARTESIAN_POINT('',(34.011341,10.195128,0.)); #535650=CARTESIAN_POINT('',(34.011341,10.195128,0.035)); #535651=CARTESIAN_POINT('',(34.011341,10.195128,0.035)); #535652=CARTESIAN_POINT('',(34.011341,10.195128,0.)); #535653=CARTESIAN_POINT('Origin',(34.0214,10.145594,0.)); #535654=CARTESIAN_POINT('',(34.0214,10.145594,0.)); #535655=CARTESIAN_POINT('',(34.0214,10.145594,0.)); #535656=CARTESIAN_POINT('',(34.0214,10.145594,0.035)); #535657=CARTESIAN_POINT('',(34.0214,10.145594,0.035)); #535658=CARTESIAN_POINT('',(34.0214,10.145594,0.)); #535659=CARTESIAN_POINT('Origin',(34.0214,8.6784406,0.)); #535660=CARTESIAN_POINT('',(34.0214,8.6784406,0.)); #535661=CARTESIAN_POINT('',(34.0214,8.6784406,0.)); #535662=CARTESIAN_POINT('',(34.0214,8.6784406,0.035)); #535663=CARTESIAN_POINT('',(34.0214,8.6784406,0.035)); #535664=CARTESIAN_POINT('',(34.0214,8.6784406,0.)); #535665=CARTESIAN_POINT('Origin',(34.012116,8.6307781,0.)); #535666=CARTESIAN_POINT('',(34.012116,8.6307781,0.)); #535667=CARTESIAN_POINT('',(34.012116,8.6307781,0.)); #535668=CARTESIAN_POINT('',(34.012116,8.6307781,0.035)); #535669=CARTESIAN_POINT('',(34.012116,8.6307781,0.035)); #535670=CARTESIAN_POINT('',(34.012116,8.6307781,0.)); #535671=CARTESIAN_POINT('Origin',(33.984203,8.5886375,0.)); #535672=CARTESIAN_POINT('',(33.984203,8.5886375,0.)); #535673=CARTESIAN_POINT('',(33.984203,8.5886375,0.)); #535674=CARTESIAN_POINT('',(33.984203,8.5886375,0.035)); #535675=CARTESIAN_POINT('',(33.984203,8.5886375,0.035)); #535676=CARTESIAN_POINT('',(33.984203,8.5886375,0.)); #535677=CARTESIAN_POINT('Origin',(33.945403,8.5498375,0.)); #535678=CARTESIAN_POINT('',(33.945403,8.5498375,0.)); #535679=CARTESIAN_POINT('',(33.945403,8.5498375,0.)); #535680=CARTESIAN_POINT('',(33.945403,8.5498375,0.035)); #535681=CARTESIAN_POINT('',(33.945403,8.5498375,0.035)); #535682=CARTESIAN_POINT('',(33.945403,8.5498375,0.)); #535683=CARTESIAN_POINT('Origin',(33.908838,8.5243375,0.)); #535684=CARTESIAN_POINT('',(33.908838,8.5243375,0.)); #535685=CARTESIAN_POINT('',(33.908838,8.5243375,0.035)); #535686=CARTESIAN_POINT('Origin',(33.8726526750844,9.41201386953242,0.035)); #535687=CARTESIAN_POINT('Origin',(33.8726526750844,9.41201386953242,0.)); #535688=CARTESIAN_POINT('Origin',(36.146425,11.512656,0.)); #535689=CARTESIAN_POINT('',(36.146425,11.512656,0.)); #535690=CARTESIAN_POINT('',(36.195794,11.523503,0.)); #535691=CARTESIAN_POINT('',(36.146425,11.512656,0.)); #535692=CARTESIAN_POINT('',(36.195794,11.523503,0.035)); #535693=CARTESIAN_POINT('',(36.195794,11.523503,0.)); #535694=CARTESIAN_POINT('',(36.146425,11.512656,0.035)); #535695=CARTESIAN_POINT('',(36.146425,11.512656,0.035)); #535696=CARTESIAN_POINT('',(36.146425,11.512656,0.)); #535697=CARTESIAN_POINT('Origin',(36.096738,11.521925,0.)); #535698=CARTESIAN_POINT('',(36.096738,11.521925,0.)); #535699=CARTESIAN_POINT('',(36.096738,11.521925,0.)); #535700=CARTESIAN_POINT('',(36.096738,11.521925,0.035)); #535701=CARTESIAN_POINT('',(36.096738,11.521925,0.035)); #535702=CARTESIAN_POINT('',(36.096738,11.521925,0.)); #535703=CARTESIAN_POINT('Origin',(36.054597,11.549838,0.)); #535704=CARTESIAN_POINT('',(36.054597,11.549838,0.)); #535705=CARTESIAN_POINT('',(36.054597,11.549838,0.)); #535706=CARTESIAN_POINT('',(36.054597,11.549838,0.035)); #535707=CARTESIAN_POINT('',(36.054597,11.549838,0.035)); #535708=CARTESIAN_POINT('',(36.054597,11.549838,0.)); #535709=CARTESIAN_POINT('Origin',(36.015797,11.588638,0.)); #535710=CARTESIAN_POINT('',(36.015797,11.588638,0.)); #535711=CARTESIAN_POINT('',(36.015797,11.588638,0.)); #535712=CARTESIAN_POINT('',(36.015797,11.588638,0.035)); #535713=CARTESIAN_POINT('',(36.015797,11.588638,0.035)); #535714=CARTESIAN_POINT('',(36.015797,11.588638,0.)); #535715=CARTESIAN_POINT('Origin',(35.988659,11.628906,0.)); #535716=CARTESIAN_POINT('',(35.988659,11.628906,0.)); #535717=CARTESIAN_POINT('',(35.988659,11.628906,0.)); #535718=CARTESIAN_POINT('',(35.988659,11.628906,0.035)); #535719=CARTESIAN_POINT('',(35.988659,11.628906,0.035)); #535720=CARTESIAN_POINT('',(35.988659,11.628906,0.)); #535721=CARTESIAN_POINT('Origin',(35.9786,11.678441,0.)); #535722=CARTESIAN_POINT('',(35.9786,11.678441,0.)); #535723=CARTESIAN_POINT('',(35.9786,11.678441,0.)); #535724=CARTESIAN_POINT('',(35.9786,11.678441,0.035)); #535725=CARTESIAN_POINT('',(35.9786,11.678441,0.035)); #535726=CARTESIAN_POINT('',(35.9786,11.678441,0.)); #535727=CARTESIAN_POINT('Origin',(35.9786,12.641506,0.)); #535728=CARTESIAN_POINT('',(35.9786,12.641506,0.)); #535729=CARTESIAN_POINT('',(35.9786,12.641506,0.)); #535730=CARTESIAN_POINT('',(35.9786,12.641506,0.035)); #535731=CARTESIAN_POINT('',(35.9786,12.641506,0.035)); #535732=CARTESIAN_POINT('',(35.9786,12.641506,0.)); #535733=CARTESIAN_POINT('Origin',(35.986425,12.685394,0.)); #535734=CARTESIAN_POINT('',(35.986425,12.685394,0.)); #535735=CARTESIAN_POINT('',(35.986425,12.685394,0.)); #535736=CARTESIAN_POINT('',(35.986425,12.685394,0.035)); #535737=CARTESIAN_POINT('',(35.986425,12.685394,0.035)); #535738=CARTESIAN_POINT('',(35.986425,12.685394,0.)); #535739=CARTESIAN_POINT('Origin',(36.012981,12.7284,0.)); #535740=CARTESIAN_POINT('',(36.012981,12.7284,0.)); #535741=CARTESIAN_POINT('',(36.012981,12.7284,0.)); #535742=CARTESIAN_POINT('',(36.012981,12.7284,0.035)); #535743=CARTESIAN_POINT('',(36.012981,12.7284,0.035)); #535744=CARTESIAN_POINT('',(36.012981,12.7284,0.)); #535745=CARTESIAN_POINT('Origin',(36.054206,12.757644,0.)); #535746=CARTESIAN_POINT('',(36.054206,12.757644,0.)); #535747=CARTESIAN_POINT('',(36.054206,12.757644,0.)); #535748=CARTESIAN_POINT('',(36.054206,12.757644,0.035)); #535749=CARTESIAN_POINT('',(36.054206,12.757644,0.035)); #535750=CARTESIAN_POINT('',(36.054206,12.757644,0.)); #535751=CARTESIAN_POINT('Origin',(36.103575,12.768491,0.)); #535752=CARTESIAN_POINT('',(36.103575,12.768491,0.)); #535753=CARTESIAN_POINT('',(36.103575,12.768491,0.)); #535754=CARTESIAN_POINT('',(36.103575,12.768491,0.035)); #535755=CARTESIAN_POINT('',(36.103575,12.768491,0.035)); #535756=CARTESIAN_POINT('',(36.103575,12.768491,0.)); #535757=CARTESIAN_POINT('Origin',(36.153263,12.759222,0.)); #535758=CARTESIAN_POINT('',(36.153263,12.759222,0.)); #535759=CARTESIAN_POINT('',(36.153263,12.759222,0.)); #535760=CARTESIAN_POINT('',(36.153263,12.759222,0.035)); #535761=CARTESIAN_POINT('',(36.153263,12.759222,0.035)); #535762=CARTESIAN_POINT('',(36.153263,12.759222,0.)); #535763=CARTESIAN_POINT('Origin',(36.195403,12.731309,0.)); #535764=CARTESIAN_POINT('',(36.195403,12.731309,0.)); #535765=CARTESIAN_POINT('',(36.195403,12.731309,0.)); #535766=CARTESIAN_POINT('',(36.195403,12.731309,0.035)); #535767=CARTESIAN_POINT('',(36.195403,12.731309,0.035)); #535768=CARTESIAN_POINT('',(36.195403,12.731309,0.)); #535769=CARTESIAN_POINT('Origin',(36.234203,12.692509,0.)); #535770=CARTESIAN_POINT('',(36.234203,12.692509,0.)); #535771=CARTESIAN_POINT('',(36.234203,12.692509,0.)); #535772=CARTESIAN_POINT('',(36.234203,12.692509,0.035)); #535773=CARTESIAN_POINT('',(36.234203,12.692509,0.035)); #535774=CARTESIAN_POINT('',(36.234203,12.692509,0.)); #535775=CARTESIAN_POINT('Origin',(36.261341,12.652241,0.)); #535776=CARTESIAN_POINT('',(36.261341,12.652241,0.)); #535777=CARTESIAN_POINT('',(36.261341,12.652241,0.)); #535778=CARTESIAN_POINT('',(36.261341,12.652241,0.035)); #535779=CARTESIAN_POINT('',(36.261341,12.652241,0.035)); #535780=CARTESIAN_POINT('',(36.261341,12.652241,0.)); #535781=CARTESIAN_POINT('Origin',(36.2714,12.602706,0.)); #535782=CARTESIAN_POINT('',(36.2714,12.602706,0.)); #535783=CARTESIAN_POINT('',(36.2714,12.602706,0.)); #535784=CARTESIAN_POINT('',(36.2714,12.602706,0.035)); #535785=CARTESIAN_POINT('',(36.2714,12.602706,0.035)); #535786=CARTESIAN_POINT('',(36.2714,12.602706,0.)); #535787=CARTESIAN_POINT('Origin',(36.2714,11.639641,0.)); #535788=CARTESIAN_POINT('',(36.2714,11.639641,0.)); #535789=CARTESIAN_POINT('',(36.2714,11.639641,0.)); #535790=CARTESIAN_POINT('',(36.2714,11.639641,0.035)); #535791=CARTESIAN_POINT('',(36.2714,11.639641,0.035)); #535792=CARTESIAN_POINT('',(36.2714,11.639641,0.)); #535793=CARTESIAN_POINT('Origin',(36.263575,11.595753,0.)); #535794=CARTESIAN_POINT('',(36.263575,11.595753,0.)); #535795=CARTESIAN_POINT('',(36.263575,11.595753,0.)); #535796=CARTESIAN_POINT('',(36.263575,11.595753,0.035)); #535797=CARTESIAN_POINT('',(36.263575,11.595753,0.035)); #535798=CARTESIAN_POINT('',(36.263575,11.595753,0.)); #535799=CARTESIAN_POINT('Origin',(36.237019,11.552747,0.)); #535800=CARTESIAN_POINT('',(36.237019,11.552747,0.)); #535801=CARTESIAN_POINT('',(36.237019,11.552747,0.)); #535802=CARTESIAN_POINT('',(36.237019,11.552747,0.035)); #535803=CARTESIAN_POINT('',(36.237019,11.552747,0.035)); #535804=CARTESIAN_POINT('',(36.237019,11.552747,0.)); #535805=CARTESIAN_POINT('Origin',(36.195794,11.523503,0.)); #535806=CARTESIAN_POINT('',(36.195794,11.523503,0.)); #535807=CARTESIAN_POINT('',(36.195794,11.523503,0.035)); #535808=CARTESIAN_POINT('Origin',(36.1250000045172,12.1405735383865,0.035)); #535809=CARTESIAN_POINT('Origin',(36.1250000045172,12.1405735383865,0.)); #535810=CARTESIAN_POINT('Origin',(33.146425,8.5126563,0.)); #535811=CARTESIAN_POINT('',(33.146425,8.5126563,0.)); #535812=CARTESIAN_POINT('',(33.195794,8.5235031,0.)); #535813=CARTESIAN_POINT('',(33.146425,8.5126563,0.)); #535814=CARTESIAN_POINT('',(33.195794,8.5235031,0.035)); #535815=CARTESIAN_POINT('',(33.195794,8.5235031,0.)); #535816=CARTESIAN_POINT('',(33.146425,8.5126563,0.035)); #535817=CARTESIAN_POINT('',(33.146425,8.5126563,0.035)); #535818=CARTESIAN_POINT('',(33.146425,8.5126563,0.)); #535819=CARTESIAN_POINT('Origin',(33.096738,8.521925,0.)); #535820=CARTESIAN_POINT('',(33.096738,8.521925,0.)); #535821=CARTESIAN_POINT('',(33.096738,8.521925,0.)); #535822=CARTESIAN_POINT('',(33.096738,8.521925,0.035)); #535823=CARTESIAN_POINT('',(33.096738,8.521925,0.035)); #535824=CARTESIAN_POINT('',(33.096738,8.521925,0.)); #535825=CARTESIAN_POINT('Origin',(33.054597,8.5498375,0.)); #535826=CARTESIAN_POINT('',(33.054597,8.5498375,0.)); #535827=CARTESIAN_POINT('',(33.054597,8.5498375,0.)); #535828=CARTESIAN_POINT('',(33.054597,8.5498375,0.035)); #535829=CARTESIAN_POINT('',(33.054597,8.5498375,0.035)); #535830=CARTESIAN_POINT('',(33.054597,8.5498375,0.)); #535831=CARTESIAN_POINT('Origin',(33.015797,8.5886375,0.)); #535832=CARTESIAN_POINT('',(33.015797,8.5886375,0.)); #535833=CARTESIAN_POINT('',(33.015797,8.5886375,0.)); #535834=CARTESIAN_POINT('',(33.015797,8.5886375,0.035)); #535835=CARTESIAN_POINT('',(33.015797,8.5886375,0.035)); #535836=CARTESIAN_POINT('',(33.015797,8.5886375,0.)); #535837=CARTESIAN_POINT('Origin',(32.988659,8.6289063,0.)); #535838=CARTESIAN_POINT('',(32.988659,8.6289063,0.)); #535839=CARTESIAN_POINT('',(32.988659,8.6289063,0.)); #535840=CARTESIAN_POINT('',(32.988659,8.6289063,0.035)); #535841=CARTESIAN_POINT('',(32.988659,8.6289063,0.035)); #535842=CARTESIAN_POINT('',(32.988659,8.6289063,0.)); #535843=CARTESIAN_POINT('Origin',(32.9786,8.6784406,0.)); #535844=CARTESIAN_POINT('',(32.9786,8.6784406,0.)); #535845=CARTESIAN_POINT('',(32.9786,8.6784406,0.)); #535846=CARTESIAN_POINT('',(32.9786,8.6784406,0.035)); #535847=CARTESIAN_POINT('',(32.9786,8.6784406,0.035)); #535848=CARTESIAN_POINT('',(32.9786,8.6784406,0.)); #535849=CARTESIAN_POINT('Origin',(32.9786,10.227281,0.)); #535850=CARTESIAN_POINT('',(32.9786,10.227281,0.)); #535851=CARTESIAN_POINT('',(32.9786,10.227281,0.)); #535852=CARTESIAN_POINT('',(32.9786,10.227281,0.035)); #535853=CARTESIAN_POINT('',(32.9786,10.227281,0.035)); #535854=CARTESIAN_POINT('',(32.9786,10.227281,0.)); #535855=CARTESIAN_POINT('Origin',(32.986425,10.271169,0.)); #535856=CARTESIAN_POINT('',(32.986425,10.271169,0.)); #535857=CARTESIAN_POINT('',(32.986425,10.271169,0.)); #535858=CARTESIAN_POINT('',(32.986425,10.271169,0.035)); #535859=CARTESIAN_POINT('',(32.986425,10.271169,0.035)); #535860=CARTESIAN_POINT('',(32.986425,10.271169,0.)); #535861=CARTESIAN_POINT('Origin',(33.012981,10.314175,0.)); #535862=CARTESIAN_POINT('',(33.012981,10.314175,0.)); #535863=CARTESIAN_POINT('',(33.012981,10.314175,0.)); #535864=CARTESIAN_POINT('',(33.012981,10.314175,0.035)); #535865=CARTESIAN_POINT('',(33.012981,10.314175,0.035)); #535866=CARTESIAN_POINT('',(33.012981,10.314175,0.)); #535867=CARTESIAN_POINT('Origin',(33.054206,10.343419,0.)); #535868=CARTESIAN_POINT('',(33.054206,10.343419,0.)); #535869=CARTESIAN_POINT('',(33.054206,10.343419,0.)); #535870=CARTESIAN_POINT('',(33.054206,10.343419,0.035)); #535871=CARTESIAN_POINT('',(33.054206,10.343419,0.035)); #535872=CARTESIAN_POINT('',(33.054206,10.343419,0.)); #535873=CARTESIAN_POINT('Origin',(33.103575,10.354266,0.)); #535874=CARTESIAN_POINT('',(33.103575,10.354266,0.)); #535875=CARTESIAN_POINT('',(33.103575,10.354266,0.)); #535876=CARTESIAN_POINT('',(33.103575,10.354266,0.035)); #535877=CARTESIAN_POINT('',(33.103575,10.354266,0.035)); #535878=CARTESIAN_POINT('',(33.103575,10.354266,0.)); #535879=CARTESIAN_POINT('Origin',(33.153263,10.344997,0.)); #535880=CARTESIAN_POINT('',(33.153263,10.344997,0.)); #535881=CARTESIAN_POINT('',(33.153263,10.344997,0.)); #535882=CARTESIAN_POINT('',(33.153263,10.344997,0.035)); #535883=CARTESIAN_POINT('',(33.153263,10.344997,0.035)); #535884=CARTESIAN_POINT('',(33.153263,10.344997,0.)); #535885=CARTESIAN_POINT('Origin',(33.195403,10.317084,0.)); #535886=CARTESIAN_POINT('',(33.195403,10.317084,0.)); #535887=CARTESIAN_POINT('',(33.195403,10.317084,0.)); #535888=CARTESIAN_POINT('',(33.195403,10.317084,0.035)); #535889=CARTESIAN_POINT('',(33.195403,10.317084,0.035)); #535890=CARTESIAN_POINT('',(33.195403,10.317084,0.)); #535891=CARTESIAN_POINT('Origin',(33.234203,10.278284,0.)); #535892=CARTESIAN_POINT('',(33.234203,10.278284,0.)); #535893=CARTESIAN_POINT('',(33.234203,10.278284,0.)); #535894=CARTESIAN_POINT('',(33.234203,10.278284,0.035)); #535895=CARTESIAN_POINT('',(33.234203,10.278284,0.035)); #535896=CARTESIAN_POINT('',(33.234203,10.278284,0.)); #535897=CARTESIAN_POINT('Origin',(33.261341,10.238016,0.)); #535898=CARTESIAN_POINT('',(33.261341,10.238016,0.)); #535899=CARTESIAN_POINT('',(33.261341,10.238016,0.)); #535900=CARTESIAN_POINT('',(33.261341,10.238016,0.035)); #535901=CARTESIAN_POINT('',(33.261341,10.238016,0.035)); #535902=CARTESIAN_POINT('',(33.261341,10.238016,0.)); #535903=CARTESIAN_POINT('Origin',(33.2714,10.188481,0.)); #535904=CARTESIAN_POINT('',(33.2714,10.188481,0.)); #535905=CARTESIAN_POINT('',(33.2714,10.188481,0.)); #535906=CARTESIAN_POINT('',(33.2714,10.188481,0.035)); #535907=CARTESIAN_POINT('',(33.2714,10.188481,0.035)); #535908=CARTESIAN_POINT('',(33.2714,10.188481,0.)); #535909=CARTESIAN_POINT('Origin',(33.2714,8.6396406,0.)); #535910=CARTESIAN_POINT('',(33.2714,8.6396406,0.)); #535911=CARTESIAN_POINT('',(33.2714,8.6396406,0.)); #535912=CARTESIAN_POINT('',(33.2714,8.6396406,0.035)); #535913=CARTESIAN_POINT('',(33.2714,8.6396406,0.035)); #535914=CARTESIAN_POINT('',(33.2714,8.6396406,0.)); #535915=CARTESIAN_POINT('Origin',(33.263575,8.5957531,0.)); #535916=CARTESIAN_POINT('',(33.263575,8.5957531,0.)); #535917=CARTESIAN_POINT('',(33.263575,8.5957531,0.)); #535918=CARTESIAN_POINT('',(33.263575,8.5957531,0.035)); #535919=CARTESIAN_POINT('',(33.263575,8.5957531,0.035)); #535920=CARTESIAN_POINT('',(33.263575,8.5957531,0.)); #535921=CARTESIAN_POINT('Origin',(33.237019,8.5527469,0.)); #535922=CARTESIAN_POINT('',(33.237019,8.5527469,0.)); #535923=CARTESIAN_POINT('',(33.237019,8.5527469,0.)); #535924=CARTESIAN_POINT('',(33.237019,8.5527469,0.035)); #535925=CARTESIAN_POINT('',(33.237019,8.5527469,0.035)); #535926=CARTESIAN_POINT('',(33.237019,8.5527469,0.)); #535927=CARTESIAN_POINT('Origin',(33.195794,8.5235031,0.)); #535928=CARTESIAN_POINT('',(33.195794,8.5235031,0.)); #535929=CARTESIAN_POINT('',(33.195794,8.5235031,0.035)); #535930=CARTESIAN_POINT('Origin',(33.1249999951871,9.43346104515319,0.035)); #535931=CARTESIAN_POINT('Origin',(33.1249999951871,9.43346104515319,0.)); #535932=CARTESIAN_POINT('Origin',(35.396425,12.450156,0.)); #535933=CARTESIAN_POINT('',(35.396425,12.450156,0.)); #535934=CARTESIAN_POINT('',(35.445794,12.461003,0.)); #535935=CARTESIAN_POINT('',(35.396425,12.450156,0.)); #535936=CARTESIAN_POINT('',(35.445794,12.461003,0.035)); #535937=CARTESIAN_POINT('',(35.445794,12.461003,0.)); #535938=CARTESIAN_POINT('',(35.396425,12.450156,0.035)); #535939=CARTESIAN_POINT('',(35.396425,12.450156,0.035)); #535940=CARTESIAN_POINT('',(35.396425,12.450156,0.)); #535941=CARTESIAN_POINT('Origin',(35.346738,12.459425,0.)); #535942=CARTESIAN_POINT('',(35.346738,12.459425,0.)); #535943=CARTESIAN_POINT('',(35.346738,12.459425,0.)); #535944=CARTESIAN_POINT('',(35.346738,12.459425,0.035)); #535945=CARTESIAN_POINT('',(35.346738,12.459425,0.035)); #535946=CARTESIAN_POINT('',(35.346738,12.459425,0.)); #535947=CARTESIAN_POINT('Origin',(35.304597,12.487338,0.)); #535948=CARTESIAN_POINT('',(35.304597,12.487338,0.)); #535949=CARTESIAN_POINT('',(35.304597,12.487338,0.)); #535950=CARTESIAN_POINT('',(35.304597,12.487338,0.035)); #535951=CARTESIAN_POINT('',(35.304597,12.487338,0.035)); #535952=CARTESIAN_POINT('',(35.304597,12.487338,0.)); #535953=CARTESIAN_POINT('Origin',(35.114534,12.6774,0.)); #535954=CARTESIAN_POINT('',(35.114534,12.6774,0.)); #535955=CARTESIAN_POINT('',(35.114534,12.6774,0.)); #535956=CARTESIAN_POINT('',(35.114534,12.6774,0.035)); #535957=CARTESIAN_POINT('',(35.114534,12.6774,0.035)); #535958=CARTESIAN_POINT('',(35.114534,12.6774,0.)); #535959=CARTESIAN_POINT('Origin',(34.885994,12.6774,0.)); #535960=CARTESIAN_POINT('',(34.885994,12.6774,0.)); #535961=CARTESIAN_POINT('',(34.885994,12.6774,0.)); #535962=CARTESIAN_POINT('',(34.885994,12.6774,0.035)); #535963=CARTESIAN_POINT('',(34.885994,12.6774,0.035)); #535964=CARTESIAN_POINT('',(34.885994,12.6774,0.)); #535965=CARTESIAN_POINT('Origin',(34.838331,12.686684,0.)); #535966=CARTESIAN_POINT('',(34.838331,12.686684,0.)); #535967=CARTESIAN_POINT('',(34.838331,12.686684,0.)); #535968=CARTESIAN_POINT('',(34.838331,12.686684,0.035)); #535969=CARTESIAN_POINT('',(34.838331,12.686684,0.035)); #535970=CARTESIAN_POINT('',(34.838331,12.686684,0.)); #535971=CARTESIAN_POINT('Origin',(34.796191,12.714597,0.)); #535972=CARTESIAN_POINT('',(34.796191,12.714597,0.)); #535973=CARTESIAN_POINT('',(34.796191,12.714597,0.)); #535974=CARTESIAN_POINT('',(34.796191,12.714597,0.035)); #535975=CARTESIAN_POINT('',(34.796191,12.714597,0.035)); #535976=CARTESIAN_POINT('',(34.796191,12.714597,0.)); #535977=CARTESIAN_POINT('Origin',(34.592491,12.918297,0.)); #535978=CARTESIAN_POINT('',(34.592491,12.918297,0.)); #535979=CARTESIAN_POINT('',(34.592491,12.918297,0.)); #535980=CARTESIAN_POINT('',(34.592491,12.918297,0.035)); #535981=CARTESIAN_POINT('',(34.592491,12.918297,0.035)); #535982=CARTESIAN_POINT('',(34.592491,12.918297,0.)); #535983=CARTESIAN_POINT('Origin',(34.566991,12.954863,0.)); #535984=CARTESIAN_POINT('',(34.566991,12.954863,0.)); #535985=CARTESIAN_POINT('',(34.566991,12.954863,0.)); #535986=CARTESIAN_POINT('',(34.566991,12.954863,0.035)); #535987=CARTESIAN_POINT('',(34.566991,12.954863,0.035)); #535988=CARTESIAN_POINT('',(34.566991,12.954863,0.)); #535989=CARTESIAN_POINT('Origin',(34.555359,13.004053,0.)); #535990=CARTESIAN_POINT('',(34.555359,13.004053,0.)); #535991=CARTESIAN_POINT('',(34.555359,13.004053,0.)); #535992=CARTESIAN_POINT('',(34.555359,13.004053,0.035)); #535993=CARTESIAN_POINT('',(34.555359,13.004053,0.035)); #535994=CARTESIAN_POINT('',(34.555359,13.004053,0.)); #535995=CARTESIAN_POINT('Origin',(34.563831,13.053881,0.)); #535996=CARTESIAN_POINT('',(34.563831,13.053881,0.)); #535997=CARTESIAN_POINT('',(34.563831,13.053881,0.)); #535998=CARTESIAN_POINT('',(34.563831,13.053881,0.035)); #535999=CARTESIAN_POINT('',(34.563831,13.053881,0.035)); #536000=CARTESIAN_POINT('',(34.563831,13.053881,0.)); #536001=CARTESIAN_POINT('Origin',(34.591072,13.096459,0.)); #536002=CARTESIAN_POINT('',(34.591072,13.096459,0.)); #536003=CARTESIAN_POINT('',(34.591072,13.096459,0.)); #536004=CARTESIAN_POINT('',(34.591072,13.096459,0.035)); #536005=CARTESIAN_POINT('',(34.591072,13.096459,0.035)); #536006=CARTESIAN_POINT('',(34.591072,13.096459,0.)); #536007=CARTESIAN_POINT('Origin',(34.632759,13.125041,0.)); #536008=CARTESIAN_POINT('',(34.632759,13.125041,0.)); #536009=CARTESIAN_POINT('',(34.632759,13.125041,0.)); #536010=CARTESIAN_POINT('',(34.632759,13.125041,0.035)); #536011=CARTESIAN_POINT('',(34.632759,13.125041,0.035)); #536012=CARTESIAN_POINT('',(34.632759,13.125041,0.)); #536013=CARTESIAN_POINT('Origin',(34.682294,13.1351,0.)); #536014=CARTESIAN_POINT('',(34.682294,13.1351,0.)); #536015=CARTESIAN_POINT('',(34.682294,13.1351,0.)); #536016=CARTESIAN_POINT('',(34.682294,13.1351,0.035)); #536017=CARTESIAN_POINT('',(34.682294,13.1351,0.035)); #536018=CARTESIAN_POINT('',(34.682294,13.1351,0.)); #536019=CARTESIAN_POINT('Origin',(35.3944,13.1351,0.)); #536020=CARTESIAN_POINT('',(35.3944,13.1351,0.)); #536021=CARTESIAN_POINT('',(35.3944,13.1351,0.)); #536022=CARTESIAN_POINT('',(35.3944,13.1351,0.035)); #536023=CARTESIAN_POINT('',(35.3944,13.1351,0.035)); #536024=CARTESIAN_POINT('',(35.3944,13.1351,0.)); #536025=CARTESIAN_POINT('Origin',(35.440181,13.126563,0.)); #536026=CARTESIAN_POINT('',(35.440181,13.126563,0.)); #536027=CARTESIAN_POINT('',(35.440181,13.126563,0.)); #536028=CARTESIAN_POINT('',(35.440181,13.126563,0.035)); #536029=CARTESIAN_POINT('',(35.440181,13.126563,0.035)); #536030=CARTESIAN_POINT('',(35.440181,13.126563,0.)); #536031=CARTESIAN_POINT('Origin',(35.482759,13.099322,0.)); #536032=CARTESIAN_POINT('',(35.482759,13.099322,0.)); #536033=CARTESIAN_POINT('',(35.482759,13.099322,0.)); #536034=CARTESIAN_POINT('',(35.482759,13.099322,0.035)); #536035=CARTESIAN_POINT('',(35.482759,13.099322,0.035)); #536036=CARTESIAN_POINT('',(35.482759,13.099322,0.)); #536037=CARTESIAN_POINT('Origin',(35.511341,13.057634,0.)); #536038=CARTESIAN_POINT('',(35.511341,13.057634,0.)); #536039=CARTESIAN_POINT('',(35.511341,13.057634,0.)); #536040=CARTESIAN_POINT('',(35.511341,13.057634,0.035)); #536041=CARTESIAN_POINT('',(35.511341,13.057634,0.035)); #536042=CARTESIAN_POINT('',(35.511341,13.057634,0.)); #536043=CARTESIAN_POINT('Origin',(35.5214,13.0081,0.)); #536044=CARTESIAN_POINT('',(35.5214,13.0081,0.)); #536045=CARTESIAN_POINT('',(35.5214,13.0081,0.)); #536046=CARTESIAN_POINT('',(35.5214,13.0081,0.035)); #536047=CARTESIAN_POINT('',(35.5214,13.0081,0.035)); #536048=CARTESIAN_POINT('',(35.5214,13.0081,0.)); #536049=CARTESIAN_POINT('Origin',(35.5214,12.577141,0.)); #536050=CARTESIAN_POINT('',(35.5214,12.577141,0.)); #536051=CARTESIAN_POINT('',(35.5214,12.577141,0.)); #536052=CARTESIAN_POINT('',(35.5214,12.577141,0.035)); #536053=CARTESIAN_POINT('',(35.5214,12.577141,0.035)); #536054=CARTESIAN_POINT('',(35.5214,12.577141,0.)); #536055=CARTESIAN_POINT('Origin',(35.513575,12.533253,0.)); #536056=CARTESIAN_POINT('',(35.513575,12.533253,0.)); #536057=CARTESIAN_POINT('',(35.513575,12.533253,0.)); #536058=CARTESIAN_POINT('',(35.513575,12.533253,0.035)); #536059=CARTESIAN_POINT('',(35.513575,12.533253,0.035)); #536060=CARTESIAN_POINT('',(35.513575,12.533253,0.)); #536061=CARTESIAN_POINT('Origin',(35.487019,12.490247,0.)); #536062=CARTESIAN_POINT('',(35.487019,12.490247,0.)); #536063=CARTESIAN_POINT('',(35.487019,12.490247,0.)); #536064=CARTESIAN_POINT('',(35.487019,12.490247,0.035)); #536065=CARTESIAN_POINT('',(35.487019,12.490247,0.035)); #536066=CARTESIAN_POINT('',(35.487019,12.490247,0.)); #536067=CARTESIAN_POINT('Origin',(35.445794,12.461003,0.)); #536068=CARTESIAN_POINT('',(35.445794,12.461003,0.)); #536069=CARTESIAN_POINT('',(35.445794,12.461003,0.035)); #536070=CARTESIAN_POINT('Origin',(35.108281874105,12.8637414527452,0.035)); #536071=CARTESIAN_POINT('Origin',(35.108281874105,12.8637414527452,0.)); #536072=CARTESIAN_POINT('Origin',(34.646425,8.5126563,0.)); #536073=CARTESIAN_POINT('',(34.646425,8.5126563,0.)); #536074=CARTESIAN_POINT('',(34.695794,8.5235031,0.)); #536075=CARTESIAN_POINT('',(34.646425,8.5126563,0.)); #536076=CARTESIAN_POINT('',(34.695794,8.5235031,0.035)); #536077=CARTESIAN_POINT('',(34.695794,8.5235031,0.)); #536078=CARTESIAN_POINT('',(34.646425,8.5126563,0.035)); #536079=CARTESIAN_POINT('',(34.646425,8.5126563,0.035)); #536080=CARTESIAN_POINT('',(34.646425,8.5126563,0.)); #536081=CARTESIAN_POINT('Origin',(34.596738,8.521925,0.)); #536082=CARTESIAN_POINT('',(34.596738,8.521925,0.)); #536083=CARTESIAN_POINT('',(34.596738,8.521925,0.)); #536084=CARTESIAN_POINT('',(34.596738,8.521925,0.035)); #536085=CARTESIAN_POINT('',(34.596738,8.521925,0.035)); #536086=CARTESIAN_POINT('',(34.596738,8.521925,0.)); #536087=CARTESIAN_POINT('Origin',(34.554597,8.5498375,0.)); #536088=CARTESIAN_POINT('',(34.554597,8.5498375,0.)); #536089=CARTESIAN_POINT('',(34.554597,8.5498375,0.)); #536090=CARTESIAN_POINT('',(34.554597,8.5498375,0.035)); #536091=CARTESIAN_POINT('',(34.554597,8.5498375,0.035)); #536092=CARTESIAN_POINT('',(34.554597,8.5498375,0.)); #536093=CARTESIAN_POINT('Origin',(34.515797,8.5886375,0.)); #536094=CARTESIAN_POINT('',(34.515797,8.5886375,0.)); #536095=CARTESIAN_POINT('',(34.515797,8.5886375,0.)); #536096=CARTESIAN_POINT('',(34.515797,8.5886375,0.035)); #536097=CARTESIAN_POINT('',(34.515797,8.5886375,0.035)); #536098=CARTESIAN_POINT('',(34.515797,8.5886375,0.)); #536099=CARTESIAN_POINT('Origin',(34.488659,8.6289063,0.)); #536100=CARTESIAN_POINT('',(34.488659,8.6289063,0.)); #536101=CARTESIAN_POINT('',(34.488659,8.6289063,0.)); #536102=CARTESIAN_POINT('',(34.488659,8.6289063,0.035)); #536103=CARTESIAN_POINT('',(34.488659,8.6289063,0.035)); #536104=CARTESIAN_POINT('',(34.488659,8.6289063,0.)); #536105=CARTESIAN_POINT('Origin',(34.4786,8.6784406,0.)); #536106=CARTESIAN_POINT('',(34.4786,8.6784406,0.)); #536107=CARTESIAN_POINT('',(34.4786,8.6784406,0.)); #536108=CARTESIAN_POINT('',(34.4786,8.6784406,0.035)); #536109=CARTESIAN_POINT('',(34.4786,8.6784406,0.035)); #536110=CARTESIAN_POINT('',(34.4786,8.6784406,0.)); #536111=CARTESIAN_POINT('Origin',(34.4786,10.141506,0.)); #536112=CARTESIAN_POINT('',(34.4786,10.141506,0.)); #536113=CARTESIAN_POINT('',(34.4786,10.141506,0.)); #536114=CARTESIAN_POINT('',(34.4786,10.141506,0.035)); #536115=CARTESIAN_POINT('',(34.4786,10.141506,0.035)); #536116=CARTESIAN_POINT('',(34.4786,10.141506,0.)); #536117=CARTESIAN_POINT('Origin',(34.486425,10.185394,0.)); #536118=CARTESIAN_POINT('',(34.486425,10.185394,0.)); #536119=CARTESIAN_POINT('',(34.486425,10.185394,0.)); #536120=CARTESIAN_POINT('',(34.486425,10.185394,0.035)); #536121=CARTESIAN_POINT('',(34.486425,10.185394,0.035)); #536122=CARTESIAN_POINT('',(34.486425,10.185394,0.)); #536123=CARTESIAN_POINT('Origin',(34.512981,10.2284,0.)); #536124=CARTESIAN_POINT('',(34.512981,10.2284,0.)); #536125=CARTESIAN_POINT('',(34.512981,10.2284,0.)); #536126=CARTESIAN_POINT('',(34.512981,10.2284,0.035)); #536127=CARTESIAN_POINT('',(34.512981,10.2284,0.035)); #536128=CARTESIAN_POINT('',(34.512981,10.2284,0.)); #536129=CARTESIAN_POINT('Origin',(34.554206,10.257644,0.)); #536130=CARTESIAN_POINT('',(34.554206,10.257644,0.)); #536131=CARTESIAN_POINT('',(34.554206,10.257644,0.)); #536132=CARTESIAN_POINT('',(34.554206,10.257644,0.035)); #536133=CARTESIAN_POINT('',(34.554206,10.257644,0.035)); #536134=CARTESIAN_POINT('',(34.554206,10.257644,0.)); #536135=CARTESIAN_POINT('Origin',(34.603575,10.268491,0.)); #536136=CARTESIAN_POINT('',(34.603575,10.268491,0.)); #536137=CARTESIAN_POINT('',(34.603575,10.268491,0.)); #536138=CARTESIAN_POINT('',(34.603575,10.268491,0.035)); #536139=CARTESIAN_POINT('',(34.603575,10.268491,0.035)); #536140=CARTESIAN_POINT('',(34.603575,10.268491,0.)); #536141=CARTESIAN_POINT('Origin',(34.653263,10.259222,0.)); #536142=CARTESIAN_POINT('',(34.653263,10.259222,0.)); #536143=CARTESIAN_POINT('',(34.653263,10.259222,0.)); #536144=CARTESIAN_POINT('',(34.653263,10.259222,0.035)); #536145=CARTESIAN_POINT('',(34.653263,10.259222,0.035)); #536146=CARTESIAN_POINT('',(34.653263,10.259222,0.)); #536147=CARTESIAN_POINT('Origin',(34.695403,10.231309,0.)); #536148=CARTESIAN_POINT('',(34.695403,10.231309,0.)); #536149=CARTESIAN_POINT('',(34.695403,10.231309,0.)); #536150=CARTESIAN_POINT('',(34.695403,10.231309,0.035)); #536151=CARTESIAN_POINT('',(34.695403,10.231309,0.035)); #536152=CARTESIAN_POINT('',(34.695403,10.231309,0.)); #536153=CARTESIAN_POINT('Origin',(34.734203,10.192509,0.)); #536154=CARTESIAN_POINT('',(34.734203,10.192509,0.)); #536155=CARTESIAN_POINT('',(34.734203,10.192509,0.)); #536156=CARTESIAN_POINT('',(34.734203,10.192509,0.035)); #536157=CARTESIAN_POINT('',(34.734203,10.192509,0.035)); #536158=CARTESIAN_POINT('',(34.734203,10.192509,0.)); #536159=CARTESIAN_POINT('Origin',(34.761341,10.152241,0.)); #536160=CARTESIAN_POINT('',(34.761341,10.152241,0.)); #536161=CARTESIAN_POINT('',(34.761341,10.152241,0.)); #536162=CARTESIAN_POINT('',(34.761341,10.152241,0.035)); #536163=CARTESIAN_POINT('',(34.761341,10.152241,0.035)); #536164=CARTESIAN_POINT('',(34.761341,10.152241,0.)); #536165=CARTESIAN_POINT('Origin',(34.7714,10.102706,0.)); #536166=CARTESIAN_POINT('',(34.7714,10.102706,0.)); #536167=CARTESIAN_POINT('',(34.7714,10.102706,0.)); #536168=CARTESIAN_POINT('',(34.7714,10.102706,0.035)); #536169=CARTESIAN_POINT('',(34.7714,10.102706,0.035)); #536170=CARTESIAN_POINT('',(34.7714,10.102706,0.)); #536171=CARTESIAN_POINT('Origin',(34.7714,8.6396406,0.)); #536172=CARTESIAN_POINT('',(34.7714,8.6396406,0.)); #536173=CARTESIAN_POINT('',(34.7714,8.6396406,0.)); #536174=CARTESIAN_POINT('',(34.7714,8.6396406,0.035)); #536175=CARTESIAN_POINT('',(34.7714,8.6396406,0.035)); #536176=CARTESIAN_POINT('',(34.7714,8.6396406,0.)); #536177=CARTESIAN_POINT('Origin',(34.763575,8.5957531,0.)); #536178=CARTESIAN_POINT('',(34.763575,8.5957531,0.)); #536179=CARTESIAN_POINT('',(34.763575,8.5957531,0.)); #536180=CARTESIAN_POINT('',(34.763575,8.5957531,0.035)); #536181=CARTESIAN_POINT('',(34.763575,8.5957531,0.035)); #536182=CARTESIAN_POINT('',(34.763575,8.5957531,0.)); #536183=CARTESIAN_POINT('Origin',(34.737019,8.5527469,0.)); #536184=CARTESIAN_POINT('',(34.737019,8.5527469,0.)); #536185=CARTESIAN_POINT('',(34.737019,8.5527469,0.)); #536186=CARTESIAN_POINT('',(34.737019,8.5527469,0.035)); #536187=CARTESIAN_POINT('',(34.737019,8.5527469,0.035)); #536188=CARTESIAN_POINT('',(34.737019,8.5527469,0.)); #536189=CARTESIAN_POINT('Origin',(34.695794,8.5235031,0.)); #536190=CARTESIAN_POINT('',(34.695794,8.5235031,0.)); #536191=CARTESIAN_POINT('',(34.695794,8.5235031,0.035)); #536192=CARTESIAN_POINT('Origin',(34.6249999949714,9.39057354511795,0.035)); #536193=CARTESIAN_POINT('Origin',(34.6249999949714,9.39057354511795,0.)); #536194=CARTESIAN_POINT('Origin',(30.071559,10.7286,0.)); #536195=CARTESIAN_POINT('',(30.071559,10.7286,0.)); #536196=CARTESIAN_POINT('',(30.121094,10.738659,0.)); #536197=CARTESIAN_POINT('',(30.071559,10.7286,0.)); #536198=CARTESIAN_POINT('',(30.121094,10.738659,0.035)); #536199=CARTESIAN_POINT('',(30.121094,10.738659,0.)); #536200=CARTESIAN_POINT('',(30.071559,10.7286,0.035)); #536201=CARTESIAN_POINT('',(30.071559,10.7286,0.035)); #536202=CARTESIAN_POINT('',(30.071559,10.7286,0.)); #536203=CARTESIAN_POINT('Origin',(29.897294,10.7286,0.)); #536204=CARTESIAN_POINT('',(29.897294,10.7286,0.)); #536205=CARTESIAN_POINT('',(29.897294,10.7286,0.)); #536206=CARTESIAN_POINT('',(29.897294,10.7286,0.035)); #536207=CARTESIAN_POINT('',(29.897294,10.7286,0.035)); #536208=CARTESIAN_POINT('',(29.897294,10.7286,0.)); #536209=CARTESIAN_POINT('Origin',(29.849631,10.737884,0.)); #536210=CARTESIAN_POINT('',(29.849631,10.737884,0.)); #536211=CARTESIAN_POINT('',(29.849631,10.737884,0.)); #536212=CARTESIAN_POINT('',(29.849631,10.737884,0.035)); #536213=CARTESIAN_POINT('',(29.849631,10.737884,0.035)); #536214=CARTESIAN_POINT('',(29.849631,10.737884,0.)); #536215=CARTESIAN_POINT('Origin',(29.807491,10.765797,0.)); #536216=CARTESIAN_POINT('',(29.807491,10.765797,0.)); #536217=CARTESIAN_POINT('',(29.807491,10.765797,0.)); #536218=CARTESIAN_POINT('',(29.807491,10.765797,0.035)); #536219=CARTESIAN_POINT('',(29.807491,10.765797,0.035)); #536220=CARTESIAN_POINT('',(29.807491,10.765797,0.)); #536221=CARTESIAN_POINT('Origin',(29.768691,10.804597,0.)); #536222=CARTESIAN_POINT('',(29.768691,10.804597,0.)); #536223=CARTESIAN_POINT('',(29.768691,10.804597,0.)); #536224=CARTESIAN_POINT('',(29.768691,10.804597,0.035)); #536225=CARTESIAN_POINT('',(29.768691,10.804597,0.035)); #536226=CARTESIAN_POINT('',(29.768691,10.804597,0.)); #536227=CARTESIAN_POINT('Origin',(29.743191,10.841163,0.)); #536228=CARTESIAN_POINT('',(29.743191,10.841163,0.)); #536229=CARTESIAN_POINT('',(29.743191,10.841163,0.)); #536230=CARTESIAN_POINT('',(29.743191,10.841163,0.035)); #536231=CARTESIAN_POINT('',(29.743191,10.841163,0.035)); #536232=CARTESIAN_POINT('',(29.743191,10.841163,0.)); #536233=CARTESIAN_POINT('Origin',(29.731559,10.890353,0.)); #536234=CARTESIAN_POINT('',(29.731559,10.890353,0.)); #536235=CARTESIAN_POINT('',(29.731559,10.890353,0.)); #536236=CARTESIAN_POINT('',(29.731559,10.890353,0.035)); #536237=CARTESIAN_POINT('',(29.731559,10.890353,0.035)); #536238=CARTESIAN_POINT('',(29.731559,10.890353,0.)); #536239=CARTESIAN_POINT('Origin',(29.740031,10.940181,0.)); #536240=CARTESIAN_POINT('',(29.740031,10.940181,0.)); #536241=CARTESIAN_POINT('',(29.740031,10.940181,0.)); #536242=CARTESIAN_POINT('',(29.740031,10.940181,0.035)); #536243=CARTESIAN_POINT('',(29.740031,10.940181,0.035)); #536244=CARTESIAN_POINT('',(29.740031,10.940181,0.)); #536245=CARTESIAN_POINT('Origin',(29.767272,10.982759,0.)); #536246=CARTESIAN_POINT('',(29.767272,10.982759,0.)); #536247=CARTESIAN_POINT('',(29.767272,10.982759,0.)); #536248=CARTESIAN_POINT('',(29.767272,10.982759,0.035)); #536249=CARTESIAN_POINT('',(29.767272,10.982759,0.035)); #536250=CARTESIAN_POINT('',(29.767272,10.982759,0.)); #536251=CARTESIAN_POINT('Origin',(29.808959,11.011341,0.)); #536252=CARTESIAN_POINT('',(29.808959,11.011341,0.)); #536253=CARTESIAN_POINT('',(29.808959,11.011341,0.)); #536254=CARTESIAN_POINT('',(29.808959,11.011341,0.035)); #536255=CARTESIAN_POINT('',(29.808959,11.011341,0.035)); #536256=CARTESIAN_POINT('',(29.808959,11.011341,0.)); #536257=CARTESIAN_POINT('Origin',(29.858494,11.0214,0.)); #536258=CARTESIAN_POINT('',(29.858494,11.0214,0.)); #536259=CARTESIAN_POINT('',(29.858494,11.0214,0.)); #536260=CARTESIAN_POINT('',(29.858494,11.0214,0.035)); #536261=CARTESIAN_POINT('',(29.858494,11.0214,0.035)); #536262=CARTESIAN_POINT('',(29.858494,11.0214,0.)); #536263=CARTESIAN_POINT('Origin',(30.071559,11.0214,0.)); #536264=CARTESIAN_POINT('',(30.071559,11.0214,0.)); #536265=CARTESIAN_POINT('',(30.071559,11.0214,0.)); #536266=CARTESIAN_POINT('',(30.071559,11.0214,0.035)); #536267=CARTESIAN_POINT('',(30.071559,11.0214,0.035)); #536268=CARTESIAN_POINT('',(30.071559,11.0214,0.)); #536269=CARTESIAN_POINT('Origin',(30.119222,11.012116,0.)); #536270=CARTESIAN_POINT('',(30.119222,11.012116,0.)); #536271=CARTESIAN_POINT('',(30.119222,11.012116,0.)); #536272=CARTESIAN_POINT('',(30.119222,11.012116,0.035)); #536273=CARTESIAN_POINT('',(30.119222,11.012116,0.035)); #536274=CARTESIAN_POINT('',(30.119222,11.012116,0.)); #536275=CARTESIAN_POINT('Origin',(30.161363,10.984203,0.)); #536276=CARTESIAN_POINT('',(30.161363,10.984203,0.)); #536277=CARTESIAN_POINT('',(30.161363,10.984203,0.)); #536278=CARTESIAN_POINT('',(30.161363,10.984203,0.035)); #536279=CARTESIAN_POINT('',(30.161363,10.984203,0.035)); #536280=CARTESIAN_POINT('',(30.161363,10.984203,0.)); #536281=CARTESIAN_POINT('Origin',(30.180763,10.964803,0.)); #536282=CARTESIAN_POINT('',(30.180763,10.964803,0.)); #536283=CARTESIAN_POINT('',(30.180763,10.964803,0.)); #536284=CARTESIAN_POINT('',(30.180763,10.964803,0.035)); #536285=CARTESIAN_POINT('',(30.180763,10.964803,0.035)); #536286=CARTESIAN_POINT('',(30.180763,10.964803,0.)); #536287=CARTESIAN_POINT('Origin',(30.207097,10.926394,0.)); #536288=CARTESIAN_POINT('',(30.207097,10.926394,0.)); #536289=CARTESIAN_POINT('',(30.207097,10.926394,0.)); #536290=CARTESIAN_POINT('',(30.207097,10.926394,0.035)); #536291=CARTESIAN_POINT('',(30.207097,10.926394,0.035)); #536292=CARTESIAN_POINT('',(30.207097,10.926394,0.)); #536293=CARTESIAN_POINT('Origin',(30.217944,10.877025,0.)); #536294=CARTESIAN_POINT('',(30.217944,10.877025,0.)); #536295=CARTESIAN_POINT('',(30.217944,10.877025,0.)); #536296=CARTESIAN_POINT('',(30.217944,10.877025,0.035)); #536297=CARTESIAN_POINT('',(30.217944,10.877025,0.035)); #536298=CARTESIAN_POINT('',(30.217944,10.877025,0.)); #536299=CARTESIAN_POINT('Origin',(30.208675,10.827338,0.)); #536300=CARTESIAN_POINT('',(30.208675,10.827338,0.)); #536301=CARTESIAN_POINT('',(30.208675,10.827338,0.)); #536302=CARTESIAN_POINT('',(30.208675,10.827338,0.035)); #536303=CARTESIAN_POINT('',(30.208675,10.827338,0.035)); #536304=CARTESIAN_POINT('',(30.208675,10.827338,0.)); #536305=CARTESIAN_POINT('Origin',(30.180763,10.785197,0.)); #536306=CARTESIAN_POINT('',(30.180763,10.785197,0.)); #536307=CARTESIAN_POINT('',(30.180763,10.785197,0.)); #536308=CARTESIAN_POINT('',(30.180763,10.785197,0.035)); #536309=CARTESIAN_POINT('',(30.180763,10.785197,0.035)); #536310=CARTESIAN_POINT('',(30.180763,10.785197,0.)); #536311=CARTESIAN_POINT('Origin',(30.161363,10.765797,0.)); #536312=CARTESIAN_POINT('',(30.161363,10.765797,0.)); #536313=CARTESIAN_POINT('',(30.161363,10.765797,0.)); #536314=CARTESIAN_POINT('',(30.161363,10.765797,0.035)); #536315=CARTESIAN_POINT('',(30.161363,10.765797,0.035)); #536316=CARTESIAN_POINT('',(30.161363,10.765797,0.)); #536317=CARTESIAN_POINT('Origin',(30.121094,10.738659,0.)); #536318=CARTESIAN_POINT('',(30.121094,10.738659,0.)); #536319=CARTESIAN_POINT('',(30.121094,10.738659,0.035)); #536320=CARTESIAN_POINT('Origin',(29.9748478148828,10.8785549931505,0.035)); #536321=CARTESIAN_POINT('Origin',(29.9748478148828,10.8785549931505,0.)); #536322=CARTESIAN_POINT('Origin',(32.73,45.,-200.)); #536323=CARTESIAN_POINT('',(32.165,45.,0.0349999999999895)); #536324=CARTESIAN_POINT('Origin',(32.73,45.,0.0349999999999895)); #536325=CARTESIAN_POINT('',(32.165,45.,0.0700000000000145)); #536326=CARTESIAN_POINT('',(32.165,45.,-200.)); #536327=CARTESIAN_POINT('Origin',(32.73,45.,0.0700000000000145)); #536328=CARTESIAN_POINT('Origin',(32.769294,44.1,0.035)); #536329=CARTESIAN_POINT('',(32.769294,44.1,0.035)); #536330=CARTESIAN_POINT('',(32.847584,44.10685,0.035)); #536331=CARTESIAN_POINT('',(32.769294,44.1,0.035)); #536332=CARTESIAN_POINT('',(32.847584,44.10685,0.07)); #536333=CARTESIAN_POINT('',(32.847584,44.10685,0.035)); #536334=CARTESIAN_POINT('',(32.769294,44.1,0.07)); #536335=CARTESIAN_POINT('',(32.769294,44.1,0.07)); #536336=CARTESIAN_POINT('',(32.769294,44.1,0.035)); #536337=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,0.035)); #536338=CARTESIAN_POINT('',(32.612416,45.89315,0.035)); #536339=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,0.035)); #536340=CARTESIAN_POINT('',(32.612416,45.89315,0.07)); #536341=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,0.07)); #536342=CARTESIAN_POINT('',(32.612416,45.89315,0.035)); #536343=CARTESIAN_POINT('Origin',(32.73,45.,0.035)); #536344=CARTESIAN_POINT('Origin',(32.73,45.,0.035)); #536345=CARTESIAN_POINT('Origin',(32.73,45.,0.07)); #536346=CARTESIAN_POINT('Origin',(32.7282590237178,44.9986240251875,0.07)); #536347=CARTESIAN_POINT('Origin',(32.7282590237178,44.9986240251875,0.035)); #536348=CARTESIAN_POINT('Origin',(35.27,45.,-200.)); #536349=CARTESIAN_POINT('',(34.705,45.,0.0349999999999895)); #536350=CARTESIAN_POINT('Origin',(35.27,45.,0.0349999999999895)); #536351=CARTESIAN_POINT('',(34.705,45.,0.0700000000000145)); #536352=CARTESIAN_POINT('',(34.705,45.,-200.)); #536353=CARTESIAN_POINT('Origin',(35.27,45.,0.0700000000000145)); #536354=CARTESIAN_POINT('Origin',(35.309294,44.1,0.035)); #536355=CARTESIAN_POINT('',(35.309294,44.1,0.035)); #536356=CARTESIAN_POINT('',(35.387584,44.10685,0.035)); #536357=CARTESIAN_POINT('',(35.309294,44.1,0.035)); #536358=CARTESIAN_POINT('',(35.387584,44.10685,0.07)); #536359=CARTESIAN_POINT('',(35.387584,44.10685,0.035)); #536360=CARTESIAN_POINT('',(35.309294,44.1,0.07)); #536361=CARTESIAN_POINT('',(35.309294,44.1,0.07)); #536362=CARTESIAN_POINT('',(35.309294,44.1,0.035)); #536363=CARTESIAN_POINT('Origin',(35.270000340126,44.9999997233462,0.035)); #536364=CARTESIAN_POINT('',(35.152416,45.89315,0.035)); #536365=CARTESIAN_POINT('Origin',(35.270000340126,44.9999997233462,0.035)); #536366=CARTESIAN_POINT('',(35.152416,45.89315,0.07)); #536367=CARTESIAN_POINT('Origin',(35.270000340126,44.9999997233462,0.07)); #536368=CARTESIAN_POINT('',(35.152416,45.89315,0.035)); #536369=CARTESIAN_POINT('Origin',(35.27,45.,0.035)); #536370=CARTESIAN_POINT('Origin',(35.27,45.,0.035)); #536371=CARTESIAN_POINT('Origin',(35.27,45.,0.07)); #536372=CARTESIAN_POINT('Origin',(35.2682590237178,44.9986240251875,0.07)); #536373=CARTESIAN_POINT('Origin',(35.2682590237178,44.9986240251875,0.035)); #536374=CARTESIAN_POINT('Origin',(36.5,27.75,-200.)); #536375=CARTESIAN_POINT('',(36.035,27.75,0.0349999999999895)); #536376=CARTESIAN_POINT('Origin',(36.5,27.75,0.0349999999999895)); #536377=CARTESIAN_POINT('',(36.035,27.75,0.0700000000000145)); #536378=CARTESIAN_POINT('',(36.035,27.75,-200.)); #536379=CARTESIAN_POINT('Origin',(36.5,27.75,0.0700000000000145)); #536380=CARTESIAN_POINT('Origin',(36.536844,27.,0.035)); #536381=CARTESIAN_POINT('',(36.536844,27.,0.035)); #536382=CARTESIAN_POINT('',(36.610181,27.007222,0.035)); #536383=CARTESIAN_POINT('',(36.536844,27.,0.035)); #536384=CARTESIAN_POINT('',(36.610181,27.007222,0.07)); #536385=CARTESIAN_POINT('',(36.610181,27.007222,0.035)); #536386=CARTESIAN_POINT('',(36.536844,27.,0.07)); #536387=CARTESIAN_POINT('',(36.536844,27.,0.07)); #536388=CARTESIAN_POINT('',(36.536844,27.,0.035)); #536389=CARTESIAN_POINT('Origin',(36.5000001874156,27.7500005308286,0.035)); #536390=CARTESIAN_POINT('',(36.389819,28.492778,0.035)); #536391=CARTESIAN_POINT('Origin',(36.5000001874156,27.7500005308286,0.035)); #536392=CARTESIAN_POINT('',(36.389819,28.492778,0.07)); #536393=CARTESIAN_POINT('Origin',(36.5000001874156,27.7500005308286,0.07)); #536394=CARTESIAN_POINT('',(36.389819,28.492778,0.035)); #536395=CARTESIAN_POINT('Origin',(36.5,27.75,0.035)); #536396=CARTESIAN_POINT('Origin',(36.5,27.75,0.035)); #536397=CARTESIAN_POINT('Origin',(36.5,27.75,0.07)); #536398=CARTESIAN_POINT('Origin',(36.4983877860344,27.7486960162912,0.07)); #536399=CARTESIAN_POINT('Origin',(36.4983877860344,27.7486960162912,0.035)); #536400=CARTESIAN_POINT('Origin',(46.25,34.,-200.)); #536401=CARTESIAN_POINT('',(45.735,34.,0.0349999999999895)); #536402=CARTESIAN_POINT('Origin',(46.25,34.,0.0349999999999895)); #536403=CARTESIAN_POINT('',(45.735,34.,0.0700000000000145)); #536404=CARTESIAN_POINT('',(45.735,34.,-200.)); #536405=CARTESIAN_POINT('Origin',(46.25,34.,0.0700000000000145)); #536406=CARTESIAN_POINT('Origin',(46.290528,33.175,0.035)); #536407=CARTESIAN_POINT('',(46.290528,33.175,0.035)); #536408=CARTESIAN_POINT('',(46.3712,33.182944,0.035)); #536409=CARTESIAN_POINT('',(46.290528,33.175,0.035)); #536410=CARTESIAN_POINT('',(46.3712,33.182944,0.07)); #536411=CARTESIAN_POINT('',(46.3712,33.182944,0.035)); #536412=CARTESIAN_POINT('',(46.290528,33.175,0.07)); #536413=CARTESIAN_POINT('',(46.290528,33.175,0.07)); #536414=CARTESIAN_POINT('',(46.290528,33.175,0.035)); #536415=CARTESIAN_POINT('Origin',(46.24999984599,34.0000007242556,0.035)); #536416=CARTESIAN_POINT('',(46.1288,34.817056,0.035)); #536417=CARTESIAN_POINT('Origin',(46.24999984599,34.0000007242556,0.035)); #536418=CARTESIAN_POINT('',(46.1288,34.817056,0.07)); #536419=CARTESIAN_POINT('Origin',(46.24999984599,34.0000007242556,0.07)); #536420=CARTESIAN_POINT('',(46.1288,34.817056,0.035)); #536421=CARTESIAN_POINT('Origin',(46.25,34.,0.035)); #536422=CARTESIAN_POINT('Origin',(46.25,34.,0.035)); #536423=CARTESIAN_POINT('Origin',(46.25,34.,0.07)); #536424=CARTESIAN_POINT('Origin',(46.24822648407,33.9985656780324,0.07)); #536425=CARTESIAN_POINT('Origin',(46.24822648407,33.9985656780324,0.035)); #536426=CARTESIAN_POINT('Origin',(21.75,34.,-200.)); #536427=CARTESIAN_POINT('',(21.235,34.,0.0349999999999895)); #536428=CARTESIAN_POINT('Origin',(21.75,34.,0.0349999999999895)); #536429=CARTESIAN_POINT('',(21.235,34.,0.0700000000000145)); #536430=CARTESIAN_POINT('',(21.235,34.,-200.)); #536431=CARTESIAN_POINT('Origin',(21.75,34.,0.0700000000000145)); #536432=CARTESIAN_POINT('Origin',(22.575,33.175,0.035)); #536433=CARTESIAN_POINT('',(22.575,33.175,0.035)); #536434=CARTESIAN_POINT('',(22.575,34.825,0.035)); #536435=CARTESIAN_POINT('',(22.575,33.175,0.035)); #536436=CARTESIAN_POINT('',(22.575,34.825,0.07)); #536437=CARTESIAN_POINT('',(22.575,34.825,0.035)); #536438=CARTESIAN_POINT('',(22.575,33.175,0.07)); #536439=CARTESIAN_POINT('',(22.575,33.175,0.07)); #536440=CARTESIAN_POINT('',(22.575,33.175,0.035)); #536441=CARTESIAN_POINT('Origin',(20.925,33.175,0.035)); #536442=CARTESIAN_POINT('',(20.925,33.175,0.035)); #536443=CARTESIAN_POINT('',(20.925,33.175,0.035)); #536444=CARTESIAN_POINT('',(20.925,33.175,0.07)); #536445=CARTESIAN_POINT('',(20.925,33.175,0.07)); #536446=CARTESIAN_POINT('',(20.925,33.175,0.035)); #536447=CARTESIAN_POINT('Origin',(20.925,34.825,0.035)); #536448=CARTESIAN_POINT('',(20.925,34.825,0.035)); #536449=CARTESIAN_POINT('',(20.925,34.825,0.035)); #536450=CARTESIAN_POINT('',(20.925,34.825,0.07)); #536451=CARTESIAN_POINT('',(20.925,34.825,0.07)); #536452=CARTESIAN_POINT('',(20.925,34.825,0.035)); #536453=CARTESIAN_POINT('Origin',(22.575,34.825,0.035)); #536454=CARTESIAN_POINT('',(22.575,34.825,0.035)); #536455=CARTESIAN_POINT('',(22.575,34.825,0.07)); #536456=CARTESIAN_POINT('Origin',(21.75,34.,0.07)); #536457=CARTESIAN_POINT('Origin',(21.75,34.,0.035)); #536458=CARTESIAN_POINT('Origin',(31.5,27.75,-200.)); #536459=CARTESIAN_POINT('',(31.035,27.75,0.0349999999999895)); #536460=CARTESIAN_POINT('Origin',(31.5,27.75,0.0349999999999895)); #536461=CARTESIAN_POINT('',(31.035,27.75,0.0700000000000145)); #536462=CARTESIAN_POINT('',(31.035,27.75,-200.)); #536463=CARTESIAN_POINT('Origin',(31.5,27.75,0.0700000000000145)); #536464=CARTESIAN_POINT('Origin',(31.536844,27.,0.035)); #536465=CARTESIAN_POINT('',(31.536844,27.,0.035)); #536466=CARTESIAN_POINT('',(31.610181,27.007222,0.035)); #536467=CARTESIAN_POINT('',(31.536844,27.,0.035)); #536468=CARTESIAN_POINT('',(31.610181,27.007222,0.07)); #536469=CARTESIAN_POINT('',(31.610181,27.007222,0.035)); #536470=CARTESIAN_POINT('',(31.536844,27.,0.07)); #536471=CARTESIAN_POINT('',(31.536844,27.,0.07)); #536472=CARTESIAN_POINT('',(31.536844,27.,0.035)); #536473=CARTESIAN_POINT('Origin',(31.5000001874156,27.7500005308286,0.035)); #536474=CARTESIAN_POINT('',(31.389819,28.492778,0.035)); #536475=CARTESIAN_POINT('Origin',(31.5000001874156,27.7500005308286,0.035)); #536476=CARTESIAN_POINT('',(31.389819,28.492778,0.07)); #536477=CARTESIAN_POINT('Origin',(31.5000001874156,27.7500005308286,0.07)); #536478=CARTESIAN_POINT('',(31.389819,28.492778,0.035)); #536479=CARTESIAN_POINT('Origin',(31.5,27.75,0.035)); #536480=CARTESIAN_POINT('Origin',(31.5,27.75,0.035)); #536481=CARTESIAN_POINT('Origin',(31.5,27.75,0.07)); #536482=CARTESIAN_POINT('Origin',(31.4983877860344,27.7486960162912,0.07)); #536483=CARTESIAN_POINT('Origin',(31.4983877860344,27.7486960162912,0.035)); #536484=CARTESIAN_POINT('Origin',(15.75,34.,-200.)); #536485=CARTESIAN_POINT('',(15.285,34.,0.0349999999999895)); #536486=CARTESIAN_POINT('Origin',(15.75,34.,0.0349999999999895)); #536487=CARTESIAN_POINT('',(15.285,34.,0.0700000000000145)); #536488=CARTESIAN_POINT('',(15.285,34.,-200.)); #536489=CARTESIAN_POINT('Origin',(15.75,34.,0.0700000000000145)); #536490=CARTESIAN_POINT('Origin',(15.786844,33.25,0.035)); #536491=CARTESIAN_POINT('',(15.786844,33.25,0.035)); #536492=CARTESIAN_POINT('',(15.860181,33.257222,0.035)); #536493=CARTESIAN_POINT('',(15.786844,33.25,0.035)); #536494=CARTESIAN_POINT('',(15.860181,33.257222,0.07)); #536495=CARTESIAN_POINT('',(15.860181,33.257222,0.035)); #536496=CARTESIAN_POINT('',(15.786844,33.25,0.07)); #536497=CARTESIAN_POINT('',(15.786844,33.25,0.07)); #536498=CARTESIAN_POINT('',(15.786844,33.25,0.035)); #536499=CARTESIAN_POINT('Origin',(15.7500001874156,34.0000005308286,0.035)); #536500=CARTESIAN_POINT('',(15.639819,34.742778,0.035)); #536501=CARTESIAN_POINT('Origin',(15.7500001874156,34.0000005308286,0.035)); #536502=CARTESIAN_POINT('',(15.639819,34.742778,0.07)); #536503=CARTESIAN_POINT('Origin',(15.7500001874156,34.0000005308286,0.07)); #536504=CARTESIAN_POINT('',(15.639819,34.742778,0.035)); #536505=CARTESIAN_POINT('Origin',(15.75,34.,0.035)); #536506=CARTESIAN_POINT('Origin',(15.75,34.,0.035)); #536507=CARTESIAN_POINT('Origin',(15.75,34.,0.07)); #536508=CARTESIAN_POINT('Origin',(15.7483877860344,33.9986960162912,0.07)); #536509=CARTESIAN_POINT('Origin',(15.7483877860344,33.9986960162912,0.035)); #536510=CARTESIAN_POINT('Origin',(37.81,45.,-200.)); #536511=CARTESIAN_POINT('',(37.245,45.,0.0349999999999895)); #536512=CARTESIAN_POINT('Origin',(37.81,45.,0.0349999999999895)); #536513=CARTESIAN_POINT('',(37.245,45.,0.0700000000000145)); #536514=CARTESIAN_POINT('',(37.245,45.,-200.)); #536515=CARTESIAN_POINT('Origin',(37.81,45.,0.0700000000000145)); #536516=CARTESIAN_POINT('Origin',(37.849294,44.1,0.035)); #536517=CARTESIAN_POINT('',(37.849294,44.1,0.035)); #536518=CARTESIAN_POINT('',(37.927584,44.10685,0.035)); #536519=CARTESIAN_POINT('',(37.849294,44.1,0.035)); #536520=CARTESIAN_POINT('',(37.927584,44.10685,0.07)); #536521=CARTESIAN_POINT('',(37.927584,44.10685,0.035)); #536522=CARTESIAN_POINT('',(37.849294,44.1,0.07)); #536523=CARTESIAN_POINT('',(37.849294,44.1,0.07)); #536524=CARTESIAN_POINT('',(37.849294,44.1,0.035)); #536525=CARTESIAN_POINT('Origin',(37.810000340126,44.9999997233462,0.035)); #536526=CARTESIAN_POINT('',(37.692416,45.89315,0.035)); #536527=CARTESIAN_POINT('Origin',(37.810000340126,44.9999997233462,0.035)); #536528=CARTESIAN_POINT('',(37.692416,45.89315,0.07)); #536529=CARTESIAN_POINT('Origin',(37.810000340126,44.9999997233462,0.07)); #536530=CARTESIAN_POINT('',(37.692416,45.89315,0.035)); #536531=CARTESIAN_POINT('Origin',(37.81,45.,0.035)); #536532=CARTESIAN_POINT('Origin',(37.81,45.,0.035)); #536533=CARTESIAN_POINT('Origin',(37.81,45.,0.07)); #536534=CARTESIAN_POINT('Origin',(37.8082590237178,44.9986240251875,0.07)); #536535=CARTESIAN_POINT('Origin',(37.8082590237178,44.9986240251875,0.035)); #536536=CARTESIAN_POINT('Origin',(55.75,34.,-200.)); #536537=CARTESIAN_POINT('',(55.285,34.,0.0349999999999895)); #536538=CARTESIAN_POINT('Origin',(55.75,34.,0.0349999999999895)); #536539=CARTESIAN_POINT('',(55.285,34.,0.0700000000000145)); #536540=CARTESIAN_POINT('',(55.285,34.,-200.)); #536541=CARTESIAN_POINT('Origin',(55.75,34.,0.0700000000000145)); #536542=CARTESIAN_POINT('Origin',(55.786844,33.25,0.035)); #536543=CARTESIAN_POINT('',(55.786844,33.25,0.035)); #536544=CARTESIAN_POINT('',(55.860181,33.257222,0.035)); #536545=CARTESIAN_POINT('',(55.786844,33.25,0.035)); #536546=CARTESIAN_POINT('',(55.860181,33.257222,0.07)); #536547=CARTESIAN_POINT('',(55.860181,33.257222,0.035)); #536548=CARTESIAN_POINT('',(55.786844,33.25,0.07)); #536549=CARTESIAN_POINT('',(55.786844,33.25,0.07)); #536550=CARTESIAN_POINT('',(55.786844,33.25,0.035)); #536551=CARTESIAN_POINT('Origin',(55.7500001874156,34.0000005308286,0.035)); #536552=CARTESIAN_POINT('',(55.639819,34.742778,0.035)); #536553=CARTESIAN_POINT('Origin',(55.7500001874156,34.0000005308286,0.035)); #536554=CARTESIAN_POINT('',(55.639819,34.742778,0.07)); #536555=CARTESIAN_POINT('Origin',(55.7500001874156,34.0000005308286,0.07)); #536556=CARTESIAN_POINT('',(55.639819,34.742778,0.035)); #536557=CARTESIAN_POINT('Origin',(55.75,34.,0.035)); #536558=CARTESIAN_POINT('Origin',(55.75,34.,0.035)); #536559=CARTESIAN_POINT('Origin',(55.75,34.,0.07)); #536560=CARTESIAN_POINT('Origin',(55.7483877860344,33.9986960162912,0.07)); #536561=CARTESIAN_POINT('Origin',(55.7483877860344,33.9986960162912,0.035)); #536562=CARTESIAN_POINT('Origin',(38.635,2.09999997467882,-200.)); #536563=CARTESIAN_POINT('',(38.635,2.89999999155961,0.0700000000000145)); #536564=CARTESIAN_POINT('',(38.635,2.09999997467882,0.0700000000000145)); #536565=CARTESIAN_POINT('',(38.635,2.29999998733941,0.0699999999999967)); #536566=CARTESIAN_POINT('',(38.635,2.89999999155961,0.0349999999999895)); #536567=CARTESIAN_POINT('',(38.635,2.89999999155961,-200.)); #536568=CARTESIAN_POINT('',(38.635,2.09999997467882,0.0349999999999895)); #536569=CARTESIAN_POINT('',(38.635,2.29999998733941,0.0350000000000072)); #536570=CARTESIAN_POINT('',(38.635,2.09999997467882,-200.)); #536571=CARTESIAN_POINT('Origin',(38.32,2.1,-200.)); #536572=CARTESIAN_POINT('',(38.005,2.10000000844039,0.0700000000000145)); #536573=CARTESIAN_POINT('Origin',(38.32,2.1,0.0700000000000145)); #536574=CARTESIAN_POINT('',(38.005,2.10000000844039,0.0349999999999895)); #536575=CARTESIAN_POINT('Origin',(38.32,2.1,0.0349999999999895)); #536576=CARTESIAN_POINT('',(38.005,2.10000000844039,-200.)); #536577=CARTESIAN_POINT('Origin',(38.005,2.90000002532118,-200.)); #536578=CARTESIAN_POINT('',(38.005,2.90000002532118,0.0700000000000145)); #536579=CARTESIAN_POINT('',(38.005,2.70000001266059,0.0699999999999967)); #536580=CARTESIAN_POINT('',(38.005,2.90000002532118,0.0349999999999895)); #536581=CARTESIAN_POINT('',(38.005,2.70000001266059,0.0350000000000072)); #536582=CARTESIAN_POINT('',(38.005,2.90000002532118,-200.)); #536583=CARTESIAN_POINT('Origin',(38.32,2.9,-200.)); #536584=CARTESIAN_POINT('Origin',(38.32,2.9,0.0700000000000145)); #536585=CARTESIAN_POINT('Origin',(38.32,2.9,0.0349999999999895)); #536586=CARTESIAN_POINT('Origin',(38.350887,1.55,0.035)); #536587=CARTESIAN_POINT('',(38.350887,1.55,0.035)); #536588=CARTESIAN_POINT('',(38.412275,1.5569156,0.035)); #536589=CARTESIAN_POINT('',(38.350887,1.55,0.035)); #536590=CARTESIAN_POINT('',(38.412275,1.5569156,0.07)); #536591=CARTESIAN_POINT('',(38.412275,1.5569156,0.035)); #536592=CARTESIAN_POINT('',(38.350887,1.55,0.07)); #536593=CARTESIAN_POINT('',(38.350887,1.55,0.07)); #536594=CARTESIAN_POINT('',(38.350887,1.55,0.035)); #536595=CARTESIAN_POINT('Origin',(38.289113,1.55,0.035)); #536596=CARTESIAN_POINT('',(38.289113,1.55,0.035)); #536597=CARTESIAN_POINT('',(38.289113,1.55,0.035)); #536598=CARTESIAN_POINT('',(38.289113,1.55,0.07)); #536599=CARTESIAN_POINT('',(38.289113,1.55,0.07)); #536600=CARTESIAN_POINT('',(38.289113,1.55,0.035)); #536601=CARTESIAN_POINT('Origin',(38.227725,1.5569156,0.035)); #536602=CARTESIAN_POINT('',(38.227725,1.5569156,0.035)); #536603=CARTESIAN_POINT('',(38.227725,1.5569156,0.035)); #536604=CARTESIAN_POINT('',(38.227725,1.5569156,0.07)); #536605=CARTESIAN_POINT('',(38.227725,1.5569156,0.07)); #536606=CARTESIAN_POINT('',(38.227725,1.5569156,0.035)); #536607=CARTESIAN_POINT('Origin',(38.1675,1.5706625,0.035)); #536608=CARTESIAN_POINT('',(38.1675,1.5706625,0.035)); #536609=CARTESIAN_POINT('',(38.1675,1.5706625,0.035)); #536610=CARTESIAN_POINT('',(38.1675,1.5706625,0.07)); #536611=CARTESIAN_POINT('',(38.1675,1.5706625,0.07)); #536612=CARTESIAN_POINT('',(38.1675,1.5706625,0.035)); #536613=CARTESIAN_POINT('Origin',(38.109194,1.5910656,0.035)); #536614=CARTESIAN_POINT('',(38.109194,1.5910656,0.035)); #536615=CARTESIAN_POINT('',(38.109194,1.5910656,0.035)); #536616=CARTESIAN_POINT('',(38.109194,1.5910656,0.07)); #536617=CARTESIAN_POINT('',(38.109194,1.5910656,0.07)); #536618=CARTESIAN_POINT('',(38.109194,1.5910656,0.035)); #536619=CARTESIAN_POINT('Origin',(38.053534,1.6178687,0.035)); #536620=CARTESIAN_POINT('',(38.053534,1.6178687,0.035)); #536621=CARTESIAN_POINT('',(38.053534,1.6178687,0.035)); #536622=CARTESIAN_POINT('',(38.053534,1.6178687,0.07)); #536623=CARTESIAN_POINT('',(38.053534,1.6178687,0.07)); #536624=CARTESIAN_POINT('',(38.053534,1.6178687,0.035)); #536625=CARTESIAN_POINT('Origin',(38.001228,1.6507344,0.035)); #536626=CARTESIAN_POINT('',(38.001228,1.6507344,0.035)); #536627=CARTESIAN_POINT('',(38.001228,1.6507344,0.035)); #536628=CARTESIAN_POINT('',(38.001228,1.6507344,0.07)); #536629=CARTESIAN_POINT('',(38.001228,1.6507344,0.07)); #536630=CARTESIAN_POINT('',(38.001228,1.6507344,0.035)); #536631=CARTESIAN_POINT('Origin',(37.952931,1.68925,0.035)); #536632=CARTESIAN_POINT('',(37.952931,1.68925,0.035)); #536633=CARTESIAN_POINT('',(37.952931,1.68925,0.035)); #536634=CARTESIAN_POINT('',(37.952931,1.68925,0.07)); #536635=CARTESIAN_POINT('',(37.952931,1.68925,0.07)); #536636=CARTESIAN_POINT('',(37.952931,1.68925,0.035)); #536637=CARTESIAN_POINT('Origin',(37.90925,1.7329313,0.035)); #536638=CARTESIAN_POINT('',(37.90925,1.7329313,0.035)); #536639=CARTESIAN_POINT('',(37.90925,1.7329313,0.035)); #536640=CARTESIAN_POINT('',(37.90925,1.7329313,0.07)); #536641=CARTESIAN_POINT('',(37.90925,1.7329313,0.07)); #536642=CARTESIAN_POINT('',(37.90925,1.7329313,0.035)); #536643=CARTESIAN_POINT('Origin',(37.870734,1.7812281,0.035)); #536644=CARTESIAN_POINT('',(37.870734,1.7812281,0.035)); #536645=CARTESIAN_POINT('',(37.870734,1.7812281,0.035)); #536646=CARTESIAN_POINT('',(37.870734,1.7812281,0.07)); #536647=CARTESIAN_POINT('',(37.870734,1.7812281,0.07)); #536648=CARTESIAN_POINT('',(37.870734,1.7812281,0.035)); #536649=CARTESIAN_POINT('Origin',(37.837869,1.8335344,0.035)); #536650=CARTESIAN_POINT('',(37.837869,1.8335344,0.035)); #536651=CARTESIAN_POINT('',(37.837869,1.8335344,0.035)); #536652=CARTESIAN_POINT('',(37.837869,1.8335344,0.07)); #536653=CARTESIAN_POINT('',(37.837869,1.8335344,0.07)); #536654=CARTESIAN_POINT('',(37.837869,1.8335344,0.035)); #536655=CARTESIAN_POINT('Origin',(37.811066,1.8891938,0.035)); #536656=CARTESIAN_POINT('',(37.811066,1.8891938,0.035)); #536657=CARTESIAN_POINT('',(37.811066,1.8891938,0.035)); #536658=CARTESIAN_POINT('',(37.811066,1.8891938,0.07)); #536659=CARTESIAN_POINT('',(37.811066,1.8891938,0.07)); #536660=CARTESIAN_POINT('',(37.811066,1.8891938,0.035)); #536661=CARTESIAN_POINT('Origin',(37.790663,1.9475,0.035)); #536662=CARTESIAN_POINT('',(37.790663,1.9475,0.035)); #536663=CARTESIAN_POINT('',(37.790663,1.9475,0.035)); #536664=CARTESIAN_POINT('',(37.790663,1.9475,0.07)); #536665=CARTESIAN_POINT('',(37.790663,1.9475,0.07)); #536666=CARTESIAN_POINT('',(37.790663,1.9475,0.035)); #536667=CARTESIAN_POINT('Origin',(37.776916,2.007725,0.035)); #536668=CARTESIAN_POINT('',(37.776916,2.007725,0.035)); #536669=CARTESIAN_POINT('',(37.776916,2.007725,0.035)); #536670=CARTESIAN_POINT('',(37.776916,2.007725,0.07)); #536671=CARTESIAN_POINT('',(37.776916,2.007725,0.07)); #536672=CARTESIAN_POINT('',(37.776916,2.007725,0.035)); #536673=CARTESIAN_POINT('Origin',(37.77,2.0691125,0.035)); #536674=CARTESIAN_POINT('',(37.77,2.0691125,0.035)); #536675=CARTESIAN_POINT('',(37.77,2.0691125,0.035)); #536676=CARTESIAN_POINT('',(37.77,2.0691125,0.07)); #536677=CARTESIAN_POINT('',(37.77,2.0691125,0.07)); #536678=CARTESIAN_POINT('',(37.77,2.0691125,0.035)); #536679=CARTESIAN_POINT('Origin',(37.77,2.9308875,0.035)); #536680=CARTESIAN_POINT('',(37.77,2.9308875,0.035)); #536681=CARTESIAN_POINT('',(37.77,2.9308875,0.035)); #536682=CARTESIAN_POINT('',(37.77,2.9308875,0.07)); #536683=CARTESIAN_POINT('',(37.77,2.9308875,0.07)); #536684=CARTESIAN_POINT('',(37.77,2.9308875,0.035)); #536685=CARTESIAN_POINT('Origin',(37.776916,2.992275,0.035)); #536686=CARTESIAN_POINT('',(37.776916,2.992275,0.035)); #536687=CARTESIAN_POINT('',(37.776916,2.992275,0.035)); #536688=CARTESIAN_POINT('',(37.776916,2.992275,0.07)); #536689=CARTESIAN_POINT('',(37.776916,2.992275,0.07)); #536690=CARTESIAN_POINT('',(37.776916,2.992275,0.035)); #536691=CARTESIAN_POINT('Origin',(37.790663,3.0525,0.035)); #536692=CARTESIAN_POINT('',(37.790663,3.0525,0.035)); #536693=CARTESIAN_POINT('',(37.790663,3.0525,0.035)); #536694=CARTESIAN_POINT('',(37.790663,3.0525,0.07)); #536695=CARTESIAN_POINT('',(37.790663,3.0525,0.07)); #536696=CARTESIAN_POINT('',(37.790663,3.0525,0.035)); #536697=CARTESIAN_POINT('Origin',(37.811066,3.1108062,0.035)); #536698=CARTESIAN_POINT('',(37.811066,3.1108062,0.035)); #536699=CARTESIAN_POINT('',(37.811066,3.1108062,0.035)); #536700=CARTESIAN_POINT('',(37.811066,3.1108062,0.07)); #536701=CARTESIAN_POINT('',(37.811066,3.1108062,0.07)); #536702=CARTESIAN_POINT('',(37.811066,3.1108062,0.035)); #536703=CARTESIAN_POINT('Origin',(37.837869,3.1664656,0.035)); #536704=CARTESIAN_POINT('',(37.837869,3.1664656,0.035)); #536705=CARTESIAN_POINT('',(37.837869,3.1664656,0.035)); #536706=CARTESIAN_POINT('',(37.837869,3.1664656,0.07)); #536707=CARTESIAN_POINT('',(37.837869,3.1664656,0.07)); #536708=CARTESIAN_POINT('',(37.837869,3.1664656,0.035)); #536709=CARTESIAN_POINT('Origin',(37.870734,3.2187719,0.035)); #536710=CARTESIAN_POINT('',(37.870734,3.2187719,0.035)); #536711=CARTESIAN_POINT('',(37.870734,3.2187719,0.035)); #536712=CARTESIAN_POINT('',(37.870734,3.2187719,0.07)); #536713=CARTESIAN_POINT('',(37.870734,3.2187719,0.07)); #536714=CARTESIAN_POINT('',(37.870734,3.2187719,0.035)); #536715=CARTESIAN_POINT('Origin',(37.90925,3.2670687,0.035)); #536716=CARTESIAN_POINT('',(37.90925,3.2670687,0.035)); #536717=CARTESIAN_POINT('',(37.90925,3.2670687,0.035)); #536718=CARTESIAN_POINT('',(37.90925,3.2670687,0.07)); #536719=CARTESIAN_POINT('',(37.90925,3.2670687,0.07)); #536720=CARTESIAN_POINT('',(37.90925,3.2670687,0.035)); #536721=CARTESIAN_POINT('Origin',(37.952931,3.31075,0.035)); #536722=CARTESIAN_POINT('',(37.952931,3.31075,0.035)); #536723=CARTESIAN_POINT('',(37.952931,3.31075,0.035)); #536724=CARTESIAN_POINT('',(37.952931,3.31075,0.07)); #536725=CARTESIAN_POINT('',(37.952931,3.31075,0.07)); #536726=CARTESIAN_POINT('',(37.952931,3.31075,0.035)); #536727=CARTESIAN_POINT('Origin',(38.001228,3.3492656,0.035)); #536728=CARTESIAN_POINT('',(38.001228,3.3492656,0.035)); #536729=CARTESIAN_POINT('',(38.001228,3.3492656,0.035)); #536730=CARTESIAN_POINT('',(38.001228,3.3492656,0.07)); #536731=CARTESIAN_POINT('',(38.001228,3.3492656,0.07)); #536732=CARTESIAN_POINT('',(38.001228,3.3492656,0.035)); #536733=CARTESIAN_POINT('Origin',(38.053534,3.3821313,0.035)); #536734=CARTESIAN_POINT('',(38.053534,3.3821313,0.035)); #536735=CARTESIAN_POINT('',(38.053534,3.3821313,0.035)); #536736=CARTESIAN_POINT('',(38.053534,3.3821313,0.07)); #536737=CARTESIAN_POINT('',(38.053534,3.3821313,0.07)); #536738=CARTESIAN_POINT('',(38.053534,3.3821313,0.035)); #536739=CARTESIAN_POINT('Origin',(38.109194,3.4089344,0.035)); #536740=CARTESIAN_POINT('',(38.109194,3.4089344,0.035)); #536741=CARTESIAN_POINT('',(38.109194,3.4089344,0.035)); #536742=CARTESIAN_POINT('',(38.109194,3.4089344,0.07)); #536743=CARTESIAN_POINT('',(38.109194,3.4089344,0.07)); #536744=CARTESIAN_POINT('',(38.109194,3.4089344,0.035)); #536745=CARTESIAN_POINT('Origin',(38.1675,3.4293375,0.035)); #536746=CARTESIAN_POINT('',(38.1675,3.4293375,0.035)); #536747=CARTESIAN_POINT('',(38.1675,3.4293375,0.035)); #536748=CARTESIAN_POINT('',(38.1675,3.4293375,0.07)); #536749=CARTESIAN_POINT('',(38.1675,3.4293375,0.07)); #536750=CARTESIAN_POINT('',(38.1675,3.4293375,0.035)); #536751=CARTESIAN_POINT('Origin',(38.227725,3.4430844,0.035)); #536752=CARTESIAN_POINT('',(38.227725,3.4430844,0.035)); #536753=CARTESIAN_POINT('',(38.227725,3.4430844,0.035)); #536754=CARTESIAN_POINT('',(38.227725,3.4430844,0.07)); #536755=CARTESIAN_POINT('',(38.227725,3.4430844,0.07)); #536756=CARTESIAN_POINT('',(38.227725,3.4430844,0.035)); #536757=CARTESIAN_POINT('Origin',(38.289113,3.45,0.035)); #536758=CARTESIAN_POINT('',(38.289113,3.45,0.035)); #536759=CARTESIAN_POINT('',(38.289113,3.45,0.035)); #536760=CARTESIAN_POINT('',(38.289113,3.45,0.07)); #536761=CARTESIAN_POINT('',(38.289113,3.45,0.07)); #536762=CARTESIAN_POINT('',(38.289113,3.45,0.035)); #536763=CARTESIAN_POINT('Origin',(38.350887,3.45,0.035)); #536764=CARTESIAN_POINT('',(38.350887,3.45,0.035)); #536765=CARTESIAN_POINT('',(38.350887,3.45,0.035)); #536766=CARTESIAN_POINT('',(38.350887,3.45,0.07)); #536767=CARTESIAN_POINT('',(38.350887,3.45,0.07)); #536768=CARTESIAN_POINT('',(38.350887,3.45,0.035)); #536769=CARTESIAN_POINT('Origin',(38.412275,3.4430844,0.035)); #536770=CARTESIAN_POINT('',(38.412275,3.4430844,0.035)); #536771=CARTESIAN_POINT('',(38.412275,3.4430844,0.035)); #536772=CARTESIAN_POINT('',(38.412275,3.4430844,0.07)); #536773=CARTESIAN_POINT('',(38.412275,3.4430844,0.07)); #536774=CARTESIAN_POINT('',(38.412275,3.4430844,0.035)); #536775=CARTESIAN_POINT('Origin',(38.4725,3.4293375,0.035)); #536776=CARTESIAN_POINT('',(38.4725,3.4293375,0.035)); #536777=CARTESIAN_POINT('',(38.4725,3.4293375,0.035)); #536778=CARTESIAN_POINT('',(38.4725,3.4293375,0.07)); #536779=CARTESIAN_POINT('',(38.4725,3.4293375,0.07)); #536780=CARTESIAN_POINT('',(38.4725,3.4293375,0.035)); #536781=CARTESIAN_POINT('Origin',(38.530806,3.4089344,0.035)); #536782=CARTESIAN_POINT('',(38.530806,3.4089344,0.035)); #536783=CARTESIAN_POINT('',(38.530806,3.4089344,0.035)); #536784=CARTESIAN_POINT('',(38.530806,3.4089344,0.07)); #536785=CARTESIAN_POINT('',(38.530806,3.4089344,0.07)); #536786=CARTESIAN_POINT('',(38.530806,3.4089344,0.035)); #536787=CARTESIAN_POINT('Origin',(38.586466,3.3821313,0.035)); #536788=CARTESIAN_POINT('',(38.586466,3.3821313,0.035)); #536789=CARTESIAN_POINT('',(38.586466,3.3821313,0.035)); #536790=CARTESIAN_POINT('',(38.586466,3.3821313,0.07)); #536791=CARTESIAN_POINT('',(38.586466,3.3821313,0.07)); #536792=CARTESIAN_POINT('',(38.586466,3.3821313,0.035)); #536793=CARTESIAN_POINT('Origin',(38.638772,3.3492656,0.035)); #536794=CARTESIAN_POINT('',(38.638772,3.3492656,0.035)); #536795=CARTESIAN_POINT('',(38.638772,3.3492656,0.035)); #536796=CARTESIAN_POINT('',(38.638772,3.3492656,0.07)); #536797=CARTESIAN_POINT('',(38.638772,3.3492656,0.07)); #536798=CARTESIAN_POINT('',(38.638772,3.3492656,0.035)); #536799=CARTESIAN_POINT('Origin',(38.687069,3.31075,0.035)); #536800=CARTESIAN_POINT('',(38.687069,3.31075,0.035)); #536801=CARTESIAN_POINT('',(38.687069,3.31075,0.035)); #536802=CARTESIAN_POINT('',(38.687069,3.31075,0.07)); #536803=CARTESIAN_POINT('',(38.687069,3.31075,0.07)); #536804=CARTESIAN_POINT('',(38.687069,3.31075,0.035)); #536805=CARTESIAN_POINT('Origin',(38.73075,3.2670687,0.035)); #536806=CARTESIAN_POINT('',(38.73075,3.2670687,0.035)); #536807=CARTESIAN_POINT('',(38.73075,3.2670687,0.035)); #536808=CARTESIAN_POINT('',(38.73075,3.2670687,0.07)); #536809=CARTESIAN_POINT('',(38.73075,3.2670687,0.07)); #536810=CARTESIAN_POINT('',(38.73075,3.2670687,0.035)); #536811=CARTESIAN_POINT('Origin',(38.769266,3.2187719,0.035)); #536812=CARTESIAN_POINT('',(38.769266,3.2187719,0.035)); #536813=CARTESIAN_POINT('',(38.769266,3.2187719,0.035)); #536814=CARTESIAN_POINT('',(38.769266,3.2187719,0.07)); #536815=CARTESIAN_POINT('',(38.769266,3.2187719,0.07)); #536816=CARTESIAN_POINT('',(38.769266,3.2187719,0.035)); #536817=CARTESIAN_POINT('Origin',(38.802131,3.1664656,0.035)); #536818=CARTESIAN_POINT('',(38.802131,3.1664656,0.035)); #536819=CARTESIAN_POINT('',(38.802131,3.1664656,0.035)); #536820=CARTESIAN_POINT('',(38.802131,3.1664656,0.07)); #536821=CARTESIAN_POINT('',(38.802131,3.1664656,0.07)); #536822=CARTESIAN_POINT('',(38.802131,3.1664656,0.035)); #536823=CARTESIAN_POINT('Origin',(38.828934,3.1108062,0.035)); #536824=CARTESIAN_POINT('',(38.828934,3.1108062,0.035)); #536825=CARTESIAN_POINT('',(38.828934,3.1108062,0.035)); #536826=CARTESIAN_POINT('',(38.828934,3.1108062,0.07)); #536827=CARTESIAN_POINT('',(38.828934,3.1108062,0.07)); #536828=CARTESIAN_POINT('',(38.828934,3.1108062,0.035)); #536829=CARTESIAN_POINT('Origin',(38.849338,3.0525,0.035)); #536830=CARTESIAN_POINT('',(38.849338,3.0525,0.035)); #536831=CARTESIAN_POINT('',(38.849338,3.0525,0.035)); #536832=CARTESIAN_POINT('',(38.849338,3.0525,0.07)); #536833=CARTESIAN_POINT('',(38.849338,3.0525,0.07)); #536834=CARTESIAN_POINT('',(38.849338,3.0525,0.035)); #536835=CARTESIAN_POINT('Origin',(38.863084,2.992275,0.035)); #536836=CARTESIAN_POINT('',(38.863084,2.992275,0.035)); #536837=CARTESIAN_POINT('',(38.863084,2.992275,0.035)); #536838=CARTESIAN_POINT('',(38.863084,2.992275,0.07)); #536839=CARTESIAN_POINT('',(38.863084,2.992275,0.07)); #536840=CARTESIAN_POINT('',(38.863084,2.992275,0.035)); #536841=CARTESIAN_POINT('Origin',(38.87,2.9308875,0.035)); #536842=CARTESIAN_POINT('',(38.87,2.9308875,0.035)); #536843=CARTESIAN_POINT('',(38.87,2.9308875,0.035)); #536844=CARTESIAN_POINT('',(38.87,2.9308875,0.07)); #536845=CARTESIAN_POINT('',(38.87,2.9308875,0.07)); #536846=CARTESIAN_POINT('',(38.87,2.9308875,0.035)); #536847=CARTESIAN_POINT('Origin',(38.87,2.0691125,0.035)); #536848=CARTESIAN_POINT('',(38.87,2.0691125,0.035)); #536849=CARTESIAN_POINT('',(38.87,2.0691125,0.035)); #536850=CARTESIAN_POINT('',(38.87,2.0691125,0.07)); #536851=CARTESIAN_POINT('',(38.87,2.0691125,0.07)); #536852=CARTESIAN_POINT('',(38.87,2.0691125,0.035)); #536853=CARTESIAN_POINT('Origin',(38.863084,2.007725,0.035)); #536854=CARTESIAN_POINT('',(38.863084,2.007725,0.035)); #536855=CARTESIAN_POINT('',(38.863084,2.007725,0.035)); #536856=CARTESIAN_POINT('',(38.863084,2.007725,0.07)); #536857=CARTESIAN_POINT('',(38.863084,2.007725,0.07)); #536858=CARTESIAN_POINT('',(38.863084,2.007725,0.035)); #536859=CARTESIAN_POINT('Origin',(38.849338,1.9475,0.035)); #536860=CARTESIAN_POINT('',(38.849338,1.9475,0.035)); #536861=CARTESIAN_POINT('',(38.849338,1.9475,0.035)); #536862=CARTESIAN_POINT('',(38.849338,1.9475,0.07)); #536863=CARTESIAN_POINT('',(38.849338,1.9475,0.07)); #536864=CARTESIAN_POINT('',(38.849338,1.9475,0.035)); #536865=CARTESIAN_POINT('Origin',(38.828934,1.8891938,0.035)); #536866=CARTESIAN_POINT('',(38.828934,1.8891938,0.035)); #536867=CARTESIAN_POINT('',(38.828934,1.8891938,0.035)); #536868=CARTESIAN_POINT('',(38.828934,1.8891938,0.07)); #536869=CARTESIAN_POINT('',(38.828934,1.8891938,0.07)); #536870=CARTESIAN_POINT('',(38.828934,1.8891938,0.035)); #536871=CARTESIAN_POINT('Origin',(38.802131,1.8335344,0.035)); #536872=CARTESIAN_POINT('',(38.802131,1.8335344,0.035)); #536873=CARTESIAN_POINT('',(38.802131,1.8335344,0.035)); #536874=CARTESIAN_POINT('',(38.802131,1.8335344,0.07)); #536875=CARTESIAN_POINT('',(38.802131,1.8335344,0.07)); #536876=CARTESIAN_POINT('',(38.802131,1.8335344,0.035)); #536877=CARTESIAN_POINT('Origin',(38.769266,1.7812281,0.035)); #536878=CARTESIAN_POINT('',(38.769266,1.7812281,0.035)); #536879=CARTESIAN_POINT('',(38.769266,1.7812281,0.035)); #536880=CARTESIAN_POINT('',(38.769266,1.7812281,0.07)); #536881=CARTESIAN_POINT('',(38.769266,1.7812281,0.07)); #536882=CARTESIAN_POINT('',(38.769266,1.7812281,0.035)); #536883=CARTESIAN_POINT('Origin',(38.73075,1.7329313,0.035)); #536884=CARTESIAN_POINT('',(38.73075,1.7329313,0.035)); #536885=CARTESIAN_POINT('',(38.73075,1.7329313,0.035)); #536886=CARTESIAN_POINT('',(38.73075,1.7329313,0.07)); #536887=CARTESIAN_POINT('',(38.73075,1.7329313,0.07)); #536888=CARTESIAN_POINT('',(38.73075,1.7329313,0.035)); #536889=CARTESIAN_POINT('Origin',(38.687069,1.68925,0.035)); #536890=CARTESIAN_POINT('',(38.687069,1.68925,0.035)); #536891=CARTESIAN_POINT('',(38.687069,1.68925,0.035)); #536892=CARTESIAN_POINT('',(38.687069,1.68925,0.07)); #536893=CARTESIAN_POINT('',(38.687069,1.68925,0.07)); #536894=CARTESIAN_POINT('',(38.687069,1.68925,0.035)); #536895=CARTESIAN_POINT('Origin',(38.638772,1.6507344,0.035)); #536896=CARTESIAN_POINT('',(38.638772,1.6507344,0.035)); #536897=CARTESIAN_POINT('',(38.638772,1.6507344,0.035)); #536898=CARTESIAN_POINT('',(38.638772,1.6507344,0.07)); #536899=CARTESIAN_POINT('',(38.638772,1.6507344,0.07)); #536900=CARTESIAN_POINT('',(38.638772,1.6507344,0.035)); #536901=CARTESIAN_POINT('Origin',(38.586466,1.6178687,0.035)); #536902=CARTESIAN_POINT('',(38.586466,1.6178687,0.035)); #536903=CARTESIAN_POINT('',(38.586466,1.6178687,0.035)); #536904=CARTESIAN_POINT('',(38.586466,1.6178687,0.07)); #536905=CARTESIAN_POINT('',(38.586466,1.6178687,0.07)); #536906=CARTESIAN_POINT('',(38.586466,1.6178687,0.035)); #536907=CARTESIAN_POINT('Origin',(38.530806,1.5910656,0.035)); #536908=CARTESIAN_POINT('',(38.530806,1.5910656,0.035)); #536909=CARTESIAN_POINT('',(38.530806,1.5910656,0.035)); #536910=CARTESIAN_POINT('',(38.530806,1.5910656,0.07)); #536911=CARTESIAN_POINT('',(38.530806,1.5910656,0.07)); #536912=CARTESIAN_POINT('',(38.530806,1.5910656,0.035)); #536913=CARTESIAN_POINT('Origin',(38.4725,1.5706625,0.035)); #536914=CARTESIAN_POINT('',(38.4725,1.5706625,0.035)); #536915=CARTESIAN_POINT('',(38.4725,1.5706625,0.035)); #536916=CARTESIAN_POINT('',(38.4725,1.5706625,0.07)); #536917=CARTESIAN_POINT('',(38.4725,1.5706625,0.07)); #536918=CARTESIAN_POINT('',(38.4725,1.5706625,0.035)); #536919=CARTESIAN_POINT('Origin',(38.412275,1.5569156,0.035)); #536920=CARTESIAN_POINT('',(38.412275,1.5569156,0.035)); #536921=CARTESIAN_POINT('',(38.412275,1.5569156,0.07)); #536922=CARTESIAN_POINT('Origin',(38.3200000450332,2.5,0.07)); #536923=CARTESIAN_POINT('Origin',(38.3200000450332,2.5,0.035)); #536924=CARTESIAN_POINT('Origin',(29.4500000454174,34.565,-200.)); #536925=CARTESIAN_POINT('',(28.8500000151391,34.565,0.0700000000000145)); #536926=CARTESIAN_POINT('',(29.4500000454174,34.565,0.0700000000000145)); #536927=CARTESIAN_POINT('',(29.3000000471749,34.565,0.0699999999999967)); #536928=CARTESIAN_POINT('',(28.8500000151391,34.565,0.0349999999999895)); #536929=CARTESIAN_POINT('',(28.8500000151391,34.565,-200.)); #536930=CARTESIAN_POINT('',(29.4500000454174,34.565,0.0349999999999895)); #536931=CARTESIAN_POINT('',(29.3000000471749,34.565,0.0350000000000072)); #536932=CARTESIAN_POINT('',(29.4500000454174,34.565,-200.)); #536933=CARTESIAN_POINT('Origin',(29.45,34.,-200.)); #536934=CARTESIAN_POINT('',(29.4499999848609,33.435,0.0700000000000145)); #536935=CARTESIAN_POINT('Origin',(29.45,34.,0.0700000000000145)); #536936=CARTESIAN_POINT('',(29.4499999848609,33.435,0.0349999999999895)); #536937=CARTESIAN_POINT('Origin',(29.45,34.,0.0349999999999895)); #536938=CARTESIAN_POINT('',(29.4499999848609,33.435,-200.)); #536939=CARTESIAN_POINT('Origin',(28.8499999545827,33.435,-200.)); #536940=CARTESIAN_POINT('',(28.8499999545827,33.435,0.0700000000000145)); #536941=CARTESIAN_POINT('',(29.0000000017575,33.435,0.0699999999999967)); #536942=CARTESIAN_POINT('',(28.8499999545827,33.435,0.0349999999999895)); #536943=CARTESIAN_POINT('',(29.0000000017575,33.435,0.0350000000000072)); #536944=CARTESIAN_POINT('',(28.8499999545827,33.435,-200.)); #536945=CARTESIAN_POINT('Origin',(28.85,34.,-200.)); #536946=CARTESIAN_POINT('Origin',(28.85,34.,0.0700000000000145)); #536947=CARTESIAN_POINT('Origin',(28.85,34.,0.0349999999999895)); #536948=CARTESIAN_POINT('Origin',(29.489294,33.1,0.035)); #536949=CARTESIAN_POINT('',(29.489294,33.1,0.035)); #536950=CARTESIAN_POINT('',(29.567584,33.10685,0.035)); #536951=CARTESIAN_POINT('',(29.489294,33.1,0.035)); #536952=CARTESIAN_POINT('',(29.567584,33.10685,0.07)); #536953=CARTESIAN_POINT('',(29.567584,33.10685,0.035)); #536954=CARTESIAN_POINT('',(29.489294,33.1,0.07)); #536955=CARTESIAN_POINT('',(29.489294,33.1,0.07)); #536956=CARTESIAN_POINT('',(29.489294,33.1,0.035)); #536957=CARTESIAN_POINT('Origin',(28.810706,33.1,0.035)); #536958=CARTESIAN_POINT('',(28.810706,33.1,0.035)); #536959=CARTESIAN_POINT('',(28.810706,33.1,0.035)); #536960=CARTESIAN_POINT('',(28.810706,33.1,0.07)); #536961=CARTESIAN_POINT('',(28.810706,33.1,0.07)); #536962=CARTESIAN_POINT('',(28.810706,33.1,0.035)); #536963=CARTESIAN_POINT('Origin',(28.732416,33.10685,0.035)); #536964=CARTESIAN_POINT('',(28.732416,33.10685,0.035)); #536965=CARTESIAN_POINT('',(28.732416,33.10685,0.035)); #536966=CARTESIAN_POINT('',(28.732416,33.10685,0.07)); #536967=CARTESIAN_POINT('',(28.732416,33.10685,0.07)); #536968=CARTESIAN_POINT('',(28.732416,33.10685,0.035)); #536969=CARTESIAN_POINT('Origin',(28.655019,33.120497,0.035)); #536970=CARTESIAN_POINT('',(28.655019,33.120497,0.035)); #536971=CARTESIAN_POINT('',(28.655019,33.120497,0.035)); #536972=CARTESIAN_POINT('',(28.655019,33.120497,0.07)); #536973=CARTESIAN_POINT('',(28.655019,33.120497,0.07)); #536974=CARTESIAN_POINT('',(28.655019,33.120497,0.035)); #536975=CARTESIAN_POINT('Origin',(28.579106,33.140838,0.035)); #536976=CARTESIAN_POINT('',(28.579106,33.140838,0.035)); #536977=CARTESIAN_POINT('',(28.579106,33.140838,0.035)); #536978=CARTESIAN_POINT('',(28.579106,33.140838,0.07)); #536979=CARTESIAN_POINT('',(28.579106,33.140838,0.07)); #536980=CARTESIAN_POINT('',(28.579106,33.140838,0.035)); #536981=CARTESIAN_POINT('Origin',(28.505256,33.167716,0.035)); #536982=CARTESIAN_POINT('',(28.505256,33.167716,0.035)); #536983=CARTESIAN_POINT('',(28.505256,33.167716,0.035)); #536984=CARTESIAN_POINT('',(28.505256,33.167716,0.07)); #536985=CARTESIAN_POINT('',(28.505256,33.167716,0.07)); #536986=CARTESIAN_POINT('',(28.505256,33.167716,0.035)); #536987=CARTESIAN_POINT('Origin',(28.434031,33.200931,0.035)); #536988=CARTESIAN_POINT('',(28.434031,33.200931,0.035)); #536989=CARTESIAN_POINT('',(28.434031,33.200931,0.035)); #536990=CARTESIAN_POINT('',(28.434031,33.200931,0.07)); #536991=CARTESIAN_POINT('',(28.434031,33.200931,0.07)); #536992=CARTESIAN_POINT('',(28.434031,33.200931,0.035)); #536993=CARTESIAN_POINT('Origin',(28.365969,33.240225,0.035)); #536994=CARTESIAN_POINT('',(28.365969,33.240225,0.035)); #536995=CARTESIAN_POINT('',(28.365969,33.240225,0.035)); #536996=CARTESIAN_POINT('',(28.365969,33.240225,0.07)); #536997=CARTESIAN_POINT('',(28.365969,33.240225,0.07)); #536998=CARTESIAN_POINT('',(28.365969,33.240225,0.035)); #536999=CARTESIAN_POINT('Origin',(28.301594,33.285303,0.035)); #537000=CARTESIAN_POINT('',(28.301594,33.285303,0.035)); #537001=CARTESIAN_POINT('',(28.301594,33.285303,0.035)); #537002=CARTESIAN_POINT('',(28.301594,33.285303,0.07)); #537003=CARTESIAN_POINT('',(28.301594,33.285303,0.07)); #537004=CARTESIAN_POINT('',(28.301594,33.285303,0.035)); #537005=CARTESIAN_POINT('Origin',(28.241391,33.335819,0.035)); #537006=CARTESIAN_POINT('',(28.241391,33.335819,0.035)); #537007=CARTESIAN_POINT('',(28.241391,33.335819,0.035)); #537008=CARTESIAN_POINT('',(28.241391,33.335819,0.07)); #537009=CARTESIAN_POINT('',(28.241391,33.335819,0.07)); #537010=CARTESIAN_POINT('',(28.241391,33.335819,0.035)); #537011=CARTESIAN_POINT('Origin',(28.185819,33.391391,0.035)); #537012=CARTESIAN_POINT('',(28.185819,33.391391,0.035)); #537013=CARTESIAN_POINT('',(28.185819,33.391391,0.035)); #537014=CARTESIAN_POINT('',(28.185819,33.391391,0.07)); #537015=CARTESIAN_POINT('',(28.185819,33.391391,0.07)); #537016=CARTESIAN_POINT('',(28.185819,33.391391,0.035)); #537017=CARTESIAN_POINT('Origin',(28.135303,33.451594,0.035)); #537018=CARTESIAN_POINT('',(28.135303,33.451594,0.035)); #537019=CARTESIAN_POINT('',(28.135303,33.451594,0.035)); #537020=CARTESIAN_POINT('',(28.135303,33.451594,0.07)); #537021=CARTESIAN_POINT('',(28.135303,33.451594,0.07)); #537022=CARTESIAN_POINT('',(28.135303,33.451594,0.035)); #537023=CARTESIAN_POINT('Origin',(28.090225,33.515969,0.035)); #537024=CARTESIAN_POINT('',(28.090225,33.515969,0.035)); #537025=CARTESIAN_POINT('',(28.090225,33.515969,0.035)); #537026=CARTESIAN_POINT('',(28.090225,33.515969,0.07)); #537027=CARTESIAN_POINT('',(28.090225,33.515969,0.07)); #537028=CARTESIAN_POINT('',(28.090225,33.515969,0.035)); #537029=CARTESIAN_POINT('Origin',(28.050931,33.584031,0.035)); #537030=CARTESIAN_POINT('',(28.050931,33.584031,0.035)); #537031=CARTESIAN_POINT('',(28.050931,33.584031,0.035)); #537032=CARTESIAN_POINT('',(28.050931,33.584031,0.07)); #537033=CARTESIAN_POINT('',(28.050931,33.584031,0.07)); #537034=CARTESIAN_POINT('',(28.050931,33.584031,0.035)); #537035=CARTESIAN_POINT('Origin',(28.017716,33.655256,0.035)); #537036=CARTESIAN_POINT('',(28.017716,33.655256,0.035)); #537037=CARTESIAN_POINT('',(28.017716,33.655256,0.035)); #537038=CARTESIAN_POINT('',(28.017716,33.655256,0.07)); #537039=CARTESIAN_POINT('',(28.017716,33.655256,0.07)); #537040=CARTESIAN_POINT('',(28.017716,33.655256,0.035)); #537041=CARTESIAN_POINT('Origin',(27.990838,33.729106,0.035)); #537042=CARTESIAN_POINT('',(27.990838,33.729106,0.035)); #537043=CARTESIAN_POINT('',(27.990838,33.729106,0.035)); #537044=CARTESIAN_POINT('',(27.990838,33.729106,0.07)); #537045=CARTESIAN_POINT('',(27.990838,33.729106,0.07)); #537046=CARTESIAN_POINT('',(27.990838,33.729106,0.035)); #537047=CARTESIAN_POINT('Origin',(27.970497,33.805019,0.035)); #537048=CARTESIAN_POINT('',(27.970497,33.805019,0.035)); #537049=CARTESIAN_POINT('',(27.970497,33.805019,0.035)); #537050=CARTESIAN_POINT('',(27.970497,33.805019,0.07)); #537051=CARTESIAN_POINT('',(27.970497,33.805019,0.07)); #537052=CARTESIAN_POINT('',(27.970497,33.805019,0.035)); #537053=CARTESIAN_POINT('Origin',(27.95685,33.882416,0.035)); #537054=CARTESIAN_POINT('',(27.95685,33.882416,0.035)); #537055=CARTESIAN_POINT('',(27.95685,33.882416,0.035)); #537056=CARTESIAN_POINT('',(27.95685,33.882416,0.07)); #537057=CARTESIAN_POINT('',(27.95685,33.882416,0.07)); #537058=CARTESIAN_POINT('',(27.95685,33.882416,0.035)); #537059=CARTESIAN_POINT('Origin',(27.95,33.960706,0.035)); #537060=CARTESIAN_POINT('',(27.95,33.960706,0.035)); #537061=CARTESIAN_POINT('',(27.95,33.960706,0.035)); #537062=CARTESIAN_POINT('',(27.95,33.960706,0.07)); #537063=CARTESIAN_POINT('',(27.95,33.960706,0.07)); #537064=CARTESIAN_POINT('',(27.95,33.960706,0.035)); #537065=CARTESIAN_POINT('Origin',(27.95,34.039294,0.035)); #537066=CARTESIAN_POINT('',(27.95,34.039294,0.035)); #537067=CARTESIAN_POINT('',(27.95,34.039294,0.035)); #537068=CARTESIAN_POINT('',(27.95,34.039294,0.07)); #537069=CARTESIAN_POINT('',(27.95,34.039294,0.07)); #537070=CARTESIAN_POINT('',(27.95,34.039294,0.035)); #537071=CARTESIAN_POINT('Origin',(27.95685,34.117584,0.035)); #537072=CARTESIAN_POINT('',(27.95685,34.117584,0.035)); #537073=CARTESIAN_POINT('',(27.95685,34.117584,0.035)); #537074=CARTESIAN_POINT('',(27.95685,34.117584,0.07)); #537075=CARTESIAN_POINT('',(27.95685,34.117584,0.07)); #537076=CARTESIAN_POINT('',(27.95685,34.117584,0.035)); #537077=CARTESIAN_POINT('Origin',(27.970497,34.194981,0.035)); #537078=CARTESIAN_POINT('',(27.970497,34.194981,0.035)); #537079=CARTESIAN_POINT('',(27.970497,34.194981,0.035)); #537080=CARTESIAN_POINT('',(27.970497,34.194981,0.07)); #537081=CARTESIAN_POINT('',(27.970497,34.194981,0.07)); #537082=CARTESIAN_POINT('',(27.970497,34.194981,0.035)); #537083=CARTESIAN_POINT('Origin',(27.990838,34.270894,0.035)); #537084=CARTESIAN_POINT('',(27.990838,34.270894,0.035)); #537085=CARTESIAN_POINT('',(27.990838,34.270894,0.035)); #537086=CARTESIAN_POINT('',(27.990838,34.270894,0.07)); #537087=CARTESIAN_POINT('',(27.990838,34.270894,0.07)); #537088=CARTESIAN_POINT('',(27.990838,34.270894,0.035)); #537089=CARTESIAN_POINT('Origin',(28.017716,34.344744,0.035)); #537090=CARTESIAN_POINT('',(28.017716,34.344744,0.035)); #537091=CARTESIAN_POINT('',(28.017716,34.344744,0.035)); #537092=CARTESIAN_POINT('',(28.017716,34.344744,0.07)); #537093=CARTESIAN_POINT('',(28.017716,34.344744,0.07)); #537094=CARTESIAN_POINT('',(28.017716,34.344744,0.035)); #537095=CARTESIAN_POINT('Origin',(28.050931,34.415969,0.035)); #537096=CARTESIAN_POINT('',(28.050931,34.415969,0.035)); #537097=CARTESIAN_POINT('',(28.050931,34.415969,0.035)); #537098=CARTESIAN_POINT('',(28.050931,34.415969,0.07)); #537099=CARTESIAN_POINT('',(28.050931,34.415969,0.07)); #537100=CARTESIAN_POINT('',(28.050931,34.415969,0.035)); #537101=CARTESIAN_POINT('Origin',(28.090225,34.484031,0.035)); #537102=CARTESIAN_POINT('',(28.090225,34.484031,0.035)); #537103=CARTESIAN_POINT('',(28.090225,34.484031,0.035)); #537104=CARTESIAN_POINT('',(28.090225,34.484031,0.07)); #537105=CARTESIAN_POINT('',(28.090225,34.484031,0.07)); #537106=CARTESIAN_POINT('',(28.090225,34.484031,0.035)); #537107=CARTESIAN_POINT('Origin',(28.135303,34.548406,0.035)); #537108=CARTESIAN_POINT('',(28.135303,34.548406,0.035)); #537109=CARTESIAN_POINT('',(28.135303,34.548406,0.035)); #537110=CARTESIAN_POINT('',(28.135303,34.548406,0.07)); #537111=CARTESIAN_POINT('',(28.135303,34.548406,0.07)); #537112=CARTESIAN_POINT('',(28.135303,34.548406,0.035)); #537113=CARTESIAN_POINT('Origin',(28.185819,34.608609,0.035)); #537114=CARTESIAN_POINT('',(28.185819,34.608609,0.035)); #537115=CARTESIAN_POINT('',(28.185819,34.608609,0.035)); #537116=CARTESIAN_POINT('',(28.185819,34.608609,0.07)); #537117=CARTESIAN_POINT('',(28.185819,34.608609,0.07)); #537118=CARTESIAN_POINT('',(28.185819,34.608609,0.035)); #537119=CARTESIAN_POINT('Origin',(28.241391,34.664181,0.035)); #537120=CARTESIAN_POINT('',(28.241391,34.664181,0.035)); #537121=CARTESIAN_POINT('',(28.241391,34.664181,0.035)); #537122=CARTESIAN_POINT('',(28.241391,34.664181,0.07)); #537123=CARTESIAN_POINT('',(28.241391,34.664181,0.07)); #537124=CARTESIAN_POINT('',(28.241391,34.664181,0.035)); #537125=CARTESIAN_POINT('Origin',(28.301594,34.714697,0.035)); #537126=CARTESIAN_POINT('',(28.301594,34.714697,0.035)); #537127=CARTESIAN_POINT('',(28.301594,34.714697,0.035)); #537128=CARTESIAN_POINT('',(28.301594,34.714697,0.07)); #537129=CARTESIAN_POINT('',(28.301594,34.714697,0.07)); #537130=CARTESIAN_POINT('',(28.301594,34.714697,0.035)); #537131=CARTESIAN_POINT('Origin',(28.365969,34.759775,0.035)); #537132=CARTESIAN_POINT('',(28.365969,34.759775,0.035)); #537133=CARTESIAN_POINT('',(28.365969,34.759775,0.035)); #537134=CARTESIAN_POINT('',(28.365969,34.759775,0.07)); #537135=CARTESIAN_POINT('',(28.365969,34.759775,0.07)); #537136=CARTESIAN_POINT('',(28.365969,34.759775,0.035)); #537137=CARTESIAN_POINT('Origin',(28.434031,34.799069,0.035)); #537138=CARTESIAN_POINT('',(28.434031,34.799069,0.035)); #537139=CARTESIAN_POINT('',(28.434031,34.799069,0.035)); #537140=CARTESIAN_POINT('',(28.434031,34.799069,0.07)); #537141=CARTESIAN_POINT('',(28.434031,34.799069,0.07)); #537142=CARTESIAN_POINT('',(28.434031,34.799069,0.035)); #537143=CARTESIAN_POINT('Origin',(28.505256,34.832284,0.035)); #537144=CARTESIAN_POINT('',(28.505256,34.832284,0.035)); #537145=CARTESIAN_POINT('',(28.505256,34.832284,0.035)); #537146=CARTESIAN_POINT('',(28.505256,34.832284,0.07)); #537147=CARTESIAN_POINT('',(28.505256,34.832284,0.07)); #537148=CARTESIAN_POINT('',(28.505256,34.832284,0.035)); #537149=CARTESIAN_POINT('Origin',(28.579106,34.859163,0.035)); #537150=CARTESIAN_POINT('',(28.579106,34.859163,0.035)); #537151=CARTESIAN_POINT('',(28.579106,34.859163,0.035)); #537152=CARTESIAN_POINT('',(28.579106,34.859163,0.07)); #537153=CARTESIAN_POINT('',(28.579106,34.859163,0.07)); #537154=CARTESIAN_POINT('',(28.579106,34.859163,0.035)); #537155=CARTESIAN_POINT('Origin',(28.655019,34.879503,0.035)); #537156=CARTESIAN_POINT('',(28.655019,34.879503,0.035)); #537157=CARTESIAN_POINT('',(28.655019,34.879503,0.035)); #537158=CARTESIAN_POINT('',(28.655019,34.879503,0.07)); #537159=CARTESIAN_POINT('',(28.655019,34.879503,0.07)); #537160=CARTESIAN_POINT('',(28.655019,34.879503,0.035)); #537161=CARTESIAN_POINT('Origin',(28.732416,34.89315,0.035)); #537162=CARTESIAN_POINT('',(28.732416,34.89315,0.035)); #537163=CARTESIAN_POINT('',(28.732416,34.89315,0.035)); #537164=CARTESIAN_POINT('',(28.732416,34.89315,0.07)); #537165=CARTESIAN_POINT('',(28.732416,34.89315,0.07)); #537166=CARTESIAN_POINT('',(28.732416,34.89315,0.035)); #537167=CARTESIAN_POINT('Origin',(28.810706,34.9,0.035)); #537168=CARTESIAN_POINT('',(28.810706,34.9,0.035)); #537169=CARTESIAN_POINT('',(28.810706,34.9,0.035)); #537170=CARTESIAN_POINT('',(28.810706,34.9,0.07)); #537171=CARTESIAN_POINT('',(28.810706,34.9,0.07)); #537172=CARTESIAN_POINT('',(28.810706,34.9,0.035)); #537173=CARTESIAN_POINT('Origin',(29.489294,34.9,0.035)); #537174=CARTESIAN_POINT('',(29.489294,34.9,0.035)); #537175=CARTESIAN_POINT('',(29.489294,34.9,0.035)); #537176=CARTESIAN_POINT('',(29.489294,34.9,0.07)); #537177=CARTESIAN_POINT('',(29.489294,34.9,0.07)); #537178=CARTESIAN_POINT('',(29.489294,34.9,0.035)); #537179=CARTESIAN_POINT('Origin',(29.567584,34.89315,0.035)); #537180=CARTESIAN_POINT('',(29.567584,34.89315,0.035)); #537181=CARTESIAN_POINT('',(29.567584,34.89315,0.035)); #537182=CARTESIAN_POINT('',(29.567584,34.89315,0.07)); #537183=CARTESIAN_POINT('',(29.567584,34.89315,0.07)); #537184=CARTESIAN_POINT('',(29.567584,34.89315,0.035)); #537185=CARTESIAN_POINT('Origin',(29.644981,34.879503,0.035)); #537186=CARTESIAN_POINT('',(29.644981,34.879503,0.035)); #537187=CARTESIAN_POINT('',(29.644981,34.879503,0.035)); #537188=CARTESIAN_POINT('',(29.644981,34.879503,0.07)); #537189=CARTESIAN_POINT('',(29.644981,34.879503,0.07)); #537190=CARTESIAN_POINT('',(29.644981,34.879503,0.035)); #537191=CARTESIAN_POINT('Origin',(29.720894,34.859163,0.035)); #537192=CARTESIAN_POINT('',(29.720894,34.859163,0.035)); #537193=CARTESIAN_POINT('',(29.720894,34.859163,0.035)); #537194=CARTESIAN_POINT('',(29.720894,34.859163,0.07)); #537195=CARTESIAN_POINT('',(29.720894,34.859163,0.07)); #537196=CARTESIAN_POINT('',(29.720894,34.859163,0.035)); #537197=CARTESIAN_POINT('Origin',(29.794744,34.832284,0.035)); #537198=CARTESIAN_POINT('',(29.794744,34.832284,0.035)); #537199=CARTESIAN_POINT('',(29.794744,34.832284,0.035)); #537200=CARTESIAN_POINT('',(29.794744,34.832284,0.07)); #537201=CARTESIAN_POINT('',(29.794744,34.832284,0.07)); #537202=CARTESIAN_POINT('',(29.794744,34.832284,0.035)); #537203=CARTESIAN_POINT('Origin',(29.865969,34.799069,0.035)); #537204=CARTESIAN_POINT('',(29.865969,34.799069,0.035)); #537205=CARTESIAN_POINT('',(29.865969,34.799069,0.035)); #537206=CARTESIAN_POINT('',(29.865969,34.799069,0.07)); #537207=CARTESIAN_POINT('',(29.865969,34.799069,0.07)); #537208=CARTESIAN_POINT('',(29.865969,34.799069,0.035)); #537209=CARTESIAN_POINT('Origin',(29.934031,34.759775,0.035)); #537210=CARTESIAN_POINT('',(29.934031,34.759775,0.035)); #537211=CARTESIAN_POINT('',(29.934031,34.759775,0.035)); #537212=CARTESIAN_POINT('',(29.934031,34.759775,0.07)); #537213=CARTESIAN_POINT('',(29.934031,34.759775,0.07)); #537214=CARTESIAN_POINT('',(29.934031,34.759775,0.035)); #537215=CARTESIAN_POINT('Origin',(29.998406,34.714697,0.035)); #537216=CARTESIAN_POINT('',(29.998406,34.714697,0.035)); #537217=CARTESIAN_POINT('',(29.998406,34.714697,0.035)); #537218=CARTESIAN_POINT('',(29.998406,34.714697,0.07)); #537219=CARTESIAN_POINT('',(29.998406,34.714697,0.07)); #537220=CARTESIAN_POINT('',(29.998406,34.714697,0.035)); #537221=CARTESIAN_POINT('Origin',(30.058609,34.664181,0.035)); #537222=CARTESIAN_POINT('',(30.058609,34.664181,0.035)); #537223=CARTESIAN_POINT('',(30.058609,34.664181,0.035)); #537224=CARTESIAN_POINT('',(30.058609,34.664181,0.07)); #537225=CARTESIAN_POINT('',(30.058609,34.664181,0.07)); #537226=CARTESIAN_POINT('',(30.058609,34.664181,0.035)); #537227=CARTESIAN_POINT('Origin',(30.114181,34.608609,0.035)); #537228=CARTESIAN_POINT('',(30.114181,34.608609,0.035)); #537229=CARTESIAN_POINT('',(30.114181,34.608609,0.035)); #537230=CARTESIAN_POINT('',(30.114181,34.608609,0.07)); #537231=CARTESIAN_POINT('',(30.114181,34.608609,0.07)); #537232=CARTESIAN_POINT('',(30.114181,34.608609,0.035)); #537233=CARTESIAN_POINT('Origin',(30.164697,34.548406,0.035)); #537234=CARTESIAN_POINT('',(30.164697,34.548406,0.035)); #537235=CARTESIAN_POINT('',(30.164697,34.548406,0.035)); #537236=CARTESIAN_POINT('',(30.164697,34.548406,0.07)); #537237=CARTESIAN_POINT('',(30.164697,34.548406,0.07)); #537238=CARTESIAN_POINT('',(30.164697,34.548406,0.035)); #537239=CARTESIAN_POINT('Origin',(30.209775,34.484031,0.035)); #537240=CARTESIAN_POINT('',(30.209775,34.484031,0.035)); #537241=CARTESIAN_POINT('',(30.209775,34.484031,0.035)); #537242=CARTESIAN_POINT('',(30.209775,34.484031,0.07)); #537243=CARTESIAN_POINT('',(30.209775,34.484031,0.07)); #537244=CARTESIAN_POINT('',(30.209775,34.484031,0.035)); #537245=CARTESIAN_POINT('Origin',(30.249069,34.415969,0.035)); #537246=CARTESIAN_POINT('',(30.249069,34.415969,0.035)); #537247=CARTESIAN_POINT('',(30.249069,34.415969,0.035)); #537248=CARTESIAN_POINT('',(30.249069,34.415969,0.07)); #537249=CARTESIAN_POINT('',(30.249069,34.415969,0.07)); #537250=CARTESIAN_POINT('',(30.249069,34.415969,0.035)); #537251=CARTESIAN_POINT('Origin',(30.282284,34.344744,0.035)); #537252=CARTESIAN_POINT('',(30.282284,34.344744,0.035)); #537253=CARTESIAN_POINT('',(30.282284,34.344744,0.035)); #537254=CARTESIAN_POINT('',(30.282284,34.344744,0.07)); #537255=CARTESIAN_POINT('',(30.282284,34.344744,0.07)); #537256=CARTESIAN_POINT('',(30.282284,34.344744,0.035)); #537257=CARTESIAN_POINT('Origin',(30.309163,34.270894,0.035)); #537258=CARTESIAN_POINT('',(30.309163,34.270894,0.035)); #537259=CARTESIAN_POINT('',(30.309163,34.270894,0.035)); #537260=CARTESIAN_POINT('',(30.309163,34.270894,0.07)); #537261=CARTESIAN_POINT('',(30.309163,34.270894,0.07)); #537262=CARTESIAN_POINT('',(30.309163,34.270894,0.035)); #537263=CARTESIAN_POINT('Origin',(30.329503,34.194981,0.035)); #537264=CARTESIAN_POINT('',(30.329503,34.194981,0.035)); #537265=CARTESIAN_POINT('',(30.329503,34.194981,0.035)); #537266=CARTESIAN_POINT('',(30.329503,34.194981,0.07)); #537267=CARTESIAN_POINT('',(30.329503,34.194981,0.07)); #537268=CARTESIAN_POINT('',(30.329503,34.194981,0.035)); #537269=CARTESIAN_POINT('Origin',(30.34315,34.117584,0.035)); #537270=CARTESIAN_POINT('',(30.34315,34.117584,0.035)); #537271=CARTESIAN_POINT('',(30.34315,34.117584,0.035)); #537272=CARTESIAN_POINT('',(30.34315,34.117584,0.07)); #537273=CARTESIAN_POINT('',(30.34315,34.117584,0.07)); #537274=CARTESIAN_POINT('',(30.34315,34.117584,0.035)); #537275=CARTESIAN_POINT('Origin',(30.35,34.039294,0.035)); #537276=CARTESIAN_POINT('',(30.35,34.039294,0.035)); #537277=CARTESIAN_POINT('',(30.35,34.039294,0.035)); #537278=CARTESIAN_POINT('',(30.35,34.039294,0.07)); #537279=CARTESIAN_POINT('',(30.35,34.039294,0.07)); #537280=CARTESIAN_POINT('',(30.35,34.039294,0.035)); #537281=CARTESIAN_POINT('Origin',(30.35,33.960706,0.035)); #537282=CARTESIAN_POINT('',(30.35,33.960706,0.035)); #537283=CARTESIAN_POINT('',(30.35,33.960706,0.035)); #537284=CARTESIAN_POINT('',(30.35,33.960706,0.07)); #537285=CARTESIAN_POINT('',(30.35,33.960706,0.07)); #537286=CARTESIAN_POINT('',(30.35,33.960706,0.035)); #537287=CARTESIAN_POINT('Origin',(30.34315,33.882416,0.035)); #537288=CARTESIAN_POINT('',(30.34315,33.882416,0.035)); #537289=CARTESIAN_POINT('',(30.34315,33.882416,0.035)); #537290=CARTESIAN_POINT('',(30.34315,33.882416,0.07)); #537291=CARTESIAN_POINT('',(30.34315,33.882416,0.07)); #537292=CARTESIAN_POINT('',(30.34315,33.882416,0.035)); #537293=CARTESIAN_POINT('Origin',(30.329503,33.805019,0.035)); #537294=CARTESIAN_POINT('',(30.329503,33.805019,0.035)); #537295=CARTESIAN_POINT('',(30.329503,33.805019,0.035)); #537296=CARTESIAN_POINT('',(30.329503,33.805019,0.07)); #537297=CARTESIAN_POINT('',(30.329503,33.805019,0.07)); #537298=CARTESIAN_POINT('',(30.329503,33.805019,0.035)); #537299=CARTESIAN_POINT('Origin',(30.309163,33.729106,0.035)); #537300=CARTESIAN_POINT('',(30.309163,33.729106,0.035)); #537301=CARTESIAN_POINT('',(30.309163,33.729106,0.035)); #537302=CARTESIAN_POINT('',(30.309163,33.729106,0.07)); #537303=CARTESIAN_POINT('',(30.309163,33.729106,0.07)); #537304=CARTESIAN_POINT('',(30.309163,33.729106,0.035)); #537305=CARTESIAN_POINT('Origin',(30.282284,33.655256,0.035)); #537306=CARTESIAN_POINT('',(30.282284,33.655256,0.035)); #537307=CARTESIAN_POINT('',(30.282284,33.655256,0.035)); #537308=CARTESIAN_POINT('',(30.282284,33.655256,0.07)); #537309=CARTESIAN_POINT('',(30.282284,33.655256,0.07)); #537310=CARTESIAN_POINT('',(30.282284,33.655256,0.035)); #537311=CARTESIAN_POINT('Origin',(30.249069,33.584031,0.035)); #537312=CARTESIAN_POINT('',(30.249069,33.584031,0.035)); #537313=CARTESIAN_POINT('',(30.249069,33.584031,0.035)); #537314=CARTESIAN_POINT('',(30.249069,33.584031,0.07)); #537315=CARTESIAN_POINT('',(30.249069,33.584031,0.07)); #537316=CARTESIAN_POINT('',(30.249069,33.584031,0.035)); #537317=CARTESIAN_POINT('Origin',(30.209775,33.515969,0.035)); #537318=CARTESIAN_POINT('',(30.209775,33.515969,0.035)); #537319=CARTESIAN_POINT('',(30.209775,33.515969,0.035)); #537320=CARTESIAN_POINT('',(30.209775,33.515969,0.07)); #537321=CARTESIAN_POINT('',(30.209775,33.515969,0.07)); #537322=CARTESIAN_POINT('',(30.209775,33.515969,0.035)); #537323=CARTESIAN_POINT('Origin',(30.164697,33.451594,0.035)); #537324=CARTESIAN_POINT('',(30.164697,33.451594,0.035)); #537325=CARTESIAN_POINT('',(30.164697,33.451594,0.035)); #537326=CARTESIAN_POINT('',(30.164697,33.451594,0.07)); #537327=CARTESIAN_POINT('',(30.164697,33.451594,0.07)); #537328=CARTESIAN_POINT('',(30.164697,33.451594,0.035)); #537329=CARTESIAN_POINT('Origin',(30.114181,33.391391,0.035)); #537330=CARTESIAN_POINT('',(30.114181,33.391391,0.035)); #537331=CARTESIAN_POINT('',(30.114181,33.391391,0.035)); #537332=CARTESIAN_POINT('',(30.114181,33.391391,0.07)); #537333=CARTESIAN_POINT('',(30.114181,33.391391,0.07)); #537334=CARTESIAN_POINT('',(30.114181,33.391391,0.035)); #537335=CARTESIAN_POINT('Origin',(30.058609,33.335819,0.035)); #537336=CARTESIAN_POINT('',(30.058609,33.335819,0.035)); #537337=CARTESIAN_POINT('',(30.058609,33.335819,0.035)); #537338=CARTESIAN_POINT('',(30.058609,33.335819,0.07)); #537339=CARTESIAN_POINT('',(30.058609,33.335819,0.07)); #537340=CARTESIAN_POINT('',(30.058609,33.335819,0.035)); #537341=CARTESIAN_POINT('Origin',(29.998406,33.285303,0.035)); #537342=CARTESIAN_POINT('',(29.998406,33.285303,0.035)); #537343=CARTESIAN_POINT('',(29.998406,33.285303,0.035)); #537344=CARTESIAN_POINT('',(29.998406,33.285303,0.07)); #537345=CARTESIAN_POINT('',(29.998406,33.285303,0.07)); #537346=CARTESIAN_POINT('',(29.998406,33.285303,0.035)); #537347=CARTESIAN_POINT('Origin',(29.934031,33.240225,0.035)); #537348=CARTESIAN_POINT('',(29.934031,33.240225,0.035)); #537349=CARTESIAN_POINT('',(29.934031,33.240225,0.035)); #537350=CARTESIAN_POINT('',(29.934031,33.240225,0.07)); #537351=CARTESIAN_POINT('',(29.934031,33.240225,0.07)); #537352=CARTESIAN_POINT('',(29.934031,33.240225,0.035)); #537353=CARTESIAN_POINT('Origin',(29.865969,33.200931,0.035)); #537354=CARTESIAN_POINT('',(29.865969,33.200931,0.035)); #537355=CARTESIAN_POINT('',(29.865969,33.200931,0.035)); #537356=CARTESIAN_POINT('',(29.865969,33.200931,0.07)); #537357=CARTESIAN_POINT('',(29.865969,33.200931,0.07)); #537358=CARTESIAN_POINT('',(29.865969,33.200931,0.035)); #537359=CARTESIAN_POINT('Origin',(29.794744,33.167716,0.035)); #537360=CARTESIAN_POINT('',(29.794744,33.167716,0.035)); #537361=CARTESIAN_POINT('',(29.794744,33.167716,0.035)); #537362=CARTESIAN_POINT('',(29.794744,33.167716,0.07)); #537363=CARTESIAN_POINT('',(29.794744,33.167716,0.07)); #537364=CARTESIAN_POINT('',(29.794744,33.167716,0.035)); #537365=CARTESIAN_POINT('Origin',(29.720894,33.140838,0.035)); #537366=CARTESIAN_POINT('',(29.720894,33.140838,0.035)); #537367=CARTESIAN_POINT('',(29.720894,33.140838,0.035)); #537368=CARTESIAN_POINT('',(29.720894,33.140838,0.07)); #537369=CARTESIAN_POINT('',(29.720894,33.140838,0.07)); #537370=CARTESIAN_POINT('',(29.720894,33.140838,0.035)); #537371=CARTESIAN_POINT('Origin',(29.644981,33.120497,0.035)); #537372=CARTESIAN_POINT('',(29.644981,33.120497,0.035)); #537373=CARTESIAN_POINT('',(29.644981,33.120497,0.035)); #537374=CARTESIAN_POINT('',(29.644981,33.120497,0.07)); #537375=CARTESIAN_POINT('',(29.644981,33.120497,0.07)); #537376=CARTESIAN_POINT('',(29.644981,33.120497,0.035)); #537377=CARTESIAN_POINT('Origin',(29.567584,33.10685,0.035)); #537378=CARTESIAN_POINT('',(29.567584,33.10685,0.035)); #537379=CARTESIAN_POINT('',(29.567584,33.10685,0.07)); #537380=CARTESIAN_POINT('Origin',(29.1500000489324,34.000000041654,0.07)); #537381=CARTESIAN_POINT('Origin',(29.1500000489324,34.000000041654,0.035)); #537382=CARTESIAN_POINT('Origin',(29.995,6.27999997467882,-200.)); #537383=CARTESIAN_POINT('',(29.995,7.07999999155961,0.0700000000000145)); #537384=CARTESIAN_POINT('',(29.995,6.27999997467882,0.0700000000000145)); #537385=CARTESIAN_POINT('',(29.995,6.47999999235904,0.0699999999999967)); #537386=CARTESIAN_POINT('',(29.995,7.07999999155961,0.0349999999999895)); #537387=CARTESIAN_POINT('',(29.995,7.07999999155961,-200.)); #537388=CARTESIAN_POINT('',(29.995,6.27999997467882,0.0349999999999895)); #537389=CARTESIAN_POINT('',(29.995,6.47999999235904,0.0350000000000072)); #537390=CARTESIAN_POINT('',(29.995,6.27999997467882,-200.)); #537391=CARTESIAN_POINT('Origin',(29.68,6.28,-200.)); #537392=CARTESIAN_POINT('',(29.365,6.28000000844039,0.0700000000000145)); #537393=CARTESIAN_POINT('Origin',(29.68,6.28,0.0700000000000145)); #537394=CARTESIAN_POINT('',(29.365,6.28000000844039,0.0349999999999895)); #537395=CARTESIAN_POINT('Origin',(29.68,6.28,0.0349999999999895)); #537396=CARTESIAN_POINT('',(29.365,6.28000000844039,-200.)); #537397=CARTESIAN_POINT('Origin',(29.365,7.08000002532118,-200.)); #537398=CARTESIAN_POINT('',(29.365,7.08000002532118,0.0700000000000145)); #537399=CARTESIAN_POINT('',(29.365,6.88000001768022,0.0699999999999967)); #537400=CARTESIAN_POINT('',(29.365,7.08000002532118,0.0349999999999895)); #537401=CARTESIAN_POINT('',(29.365,6.88000001768022,0.0350000000000072)); #537402=CARTESIAN_POINT('',(29.365,7.08000002532118,-200.)); #537403=CARTESIAN_POINT('Origin',(29.68,7.08,-200.)); #537404=CARTESIAN_POINT('Origin',(29.68,7.08,0.0700000000000145)); #537405=CARTESIAN_POINT('Origin',(29.68,7.08,0.0349999999999895)); #537406=CARTESIAN_POINT('Origin',(29.710888,5.73,0.035)); #537407=CARTESIAN_POINT('',(29.710888,5.73,0.035)); #537408=CARTESIAN_POINT('',(29.772275,5.7369156,0.035)); #537409=CARTESIAN_POINT('',(29.710888,5.73,0.035)); #537410=CARTESIAN_POINT('',(29.772275,5.7369156,0.07)); #537411=CARTESIAN_POINT('',(29.772275,5.7369156,0.035)); #537412=CARTESIAN_POINT('',(29.710888,5.73,0.07)); #537413=CARTESIAN_POINT('',(29.710888,5.73,0.07)); #537414=CARTESIAN_POINT('',(29.710888,5.73,0.035)); #537415=CARTESIAN_POINT('Origin',(29.649113,5.73,0.035)); #537416=CARTESIAN_POINT('',(29.649113,5.73,0.035)); #537417=CARTESIAN_POINT('',(29.649113,5.73,0.035)); #537418=CARTESIAN_POINT('',(29.649113,5.73,0.07)); #537419=CARTESIAN_POINT('',(29.649113,5.73,0.07)); #537420=CARTESIAN_POINT('',(29.649113,5.73,0.035)); #537421=CARTESIAN_POINT('Origin',(29.587725,5.7369156,0.035)); #537422=CARTESIAN_POINT('',(29.587725,5.7369156,0.035)); #537423=CARTESIAN_POINT('',(29.587725,5.7369156,0.035)); #537424=CARTESIAN_POINT('',(29.587725,5.7369156,0.07)); #537425=CARTESIAN_POINT('',(29.587725,5.7369156,0.07)); #537426=CARTESIAN_POINT('',(29.587725,5.7369156,0.035)); #537427=CARTESIAN_POINT('Origin',(29.5275,5.7506625,0.035)); #537428=CARTESIAN_POINT('',(29.5275,5.7506625,0.035)); #537429=CARTESIAN_POINT('',(29.5275,5.7506625,0.035)); #537430=CARTESIAN_POINT('',(29.5275,5.7506625,0.07)); #537431=CARTESIAN_POINT('',(29.5275,5.7506625,0.07)); #537432=CARTESIAN_POINT('',(29.5275,5.7506625,0.035)); #537433=CARTESIAN_POINT('Origin',(29.469194,5.7710656,0.035)); #537434=CARTESIAN_POINT('',(29.469194,5.7710656,0.035)); #537435=CARTESIAN_POINT('',(29.469194,5.7710656,0.035)); #537436=CARTESIAN_POINT('',(29.469194,5.7710656,0.07)); #537437=CARTESIAN_POINT('',(29.469194,5.7710656,0.07)); #537438=CARTESIAN_POINT('',(29.469194,5.7710656,0.035)); #537439=CARTESIAN_POINT('Origin',(29.413534,5.7978688,0.035)); #537440=CARTESIAN_POINT('',(29.413534,5.7978688,0.035)); #537441=CARTESIAN_POINT('',(29.413534,5.7978688,0.035)); #537442=CARTESIAN_POINT('',(29.413534,5.7978688,0.07)); #537443=CARTESIAN_POINT('',(29.413534,5.7978688,0.07)); #537444=CARTESIAN_POINT('',(29.413534,5.7978688,0.035)); #537445=CARTESIAN_POINT('Origin',(29.361228,5.8307344,0.035)); #537446=CARTESIAN_POINT('',(29.361228,5.8307344,0.035)); #537447=CARTESIAN_POINT('',(29.361228,5.8307344,0.035)); #537448=CARTESIAN_POINT('',(29.361228,5.8307344,0.07)); #537449=CARTESIAN_POINT('',(29.361228,5.8307344,0.07)); #537450=CARTESIAN_POINT('',(29.361228,5.8307344,0.035)); #537451=CARTESIAN_POINT('Origin',(29.312931,5.86925,0.035)); #537452=CARTESIAN_POINT('',(29.312931,5.86925,0.035)); #537453=CARTESIAN_POINT('',(29.312931,5.86925,0.035)); #537454=CARTESIAN_POINT('',(29.312931,5.86925,0.07)); #537455=CARTESIAN_POINT('',(29.312931,5.86925,0.07)); #537456=CARTESIAN_POINT('',(29.312931,5.86925,0.035)); #537457=CARTESIAN_POINT('Origin',(29.26925,5.9129313,0.035)); #537458=CARTESIAN_POINT('',(29.26925,5.9129313,0.035)); #537459=CARTESIAN_POINT('',(29.26925,5.9129313,0.035)); #537460=CARTESIAN_POINT('',(29.26925,5.9129313,0.07)); #537461=CARTESIAN_POINT('',(29.26925,5.9129313,0.07)); #537462=CARTESIAN_POINT('',(29.26925,5.9129313,0.035)); #537463=CARTESIAN_POINT('Origin',(29.230734,5.9612281,0.035)); #537464=CARTESIAN_POINT('',(29.230734,5.9612281,0.035)); #537465=CARTESIAN_POINT('',(29.230734,5.9612281,0.035)); #537466=CARTESIAN_POINT('',(29.230734,5.9612281,0.07)); #537467=CARTESIAN_POINT('',(29.230734,5.9612281,0.07)); #537468=CARTESIAN_POINT('',(29.230734,5.9612281,0.035)); #537469=CARTESIAN_POINT('Origin',(29.197869,6.0135344,0.035)); #537470=CARTESIAN_POINT('',(29.197869,6.0135344,0.035)); #537471=CARTESIAN_POINT('',(29.197869,6.0135344,0.035)); #537472=CARTESIAN_POINT('',(29.197869,6.0135344,0.07)); #537473=CARTESIAN_POINT('',(29.197869,6.0135344,0.07)); #537474=CARTESIAN_POINT('',(29.197869,6.0135344,0.035)); #537475=CARTESIAN_POINT('Origin',(29.171066,6.0691938,0.035)); #537476=CARTESIAN_POINT('',(29.171066,6.0691938,0.035)); #537477=CARTESIAN_POINT('',(29.171066,6.0691938,0.035)); #537478=CARTESIAN_POINT('',(29.171066,6.0691938,0.07)); #537479=CARTESIAN_POINT('',(29.171066,6.0691938,0.07)); #537480=CARTESIAN_POINT('',(29.171066,6.0691938,0.035)); #537481=CARTESIAN_POINT('Origin',(29.150663,6.1275,0.035)); #537482=CARTESIAN_POINT('',(29.150663,6.1275,0.035)); #537483=CARTESIAN_POINT('',(29.150663,6.1275,0.035)); #537484=CARTESIAN_POINT('',(29.150663,6.1275,0.07)); #537485=CARTESIAN_POINT('',(29.150663,6.1275,0.07)); #537486=CARTESIAN_POINT('',(29.150663,6.1275,0.035)); #537487=CARTESIAN_POINT('Origin',(29.136916,6.187725,0.035)); #537488=CARTESIAN_POINT('',(29.136916,6.187725,0.035)); #537489=CARTESIAN_POINT('',(29.136916,6.187725,0.035)); #537490=CARTESIAN_POINT('',(29.136916,6.187725,0.07)); #537491=CARTESIAN_POINT('',(29.136916,6.187725,0.07)); #537492=CARTESIAN_POINT('',(29.136916,6.187725,0.035)); #537493=CARTESIAN_POINT('Origin',(29.13,6.2491125,0.035)); #537494=CARTESIAN_POINT('',(29.13,6.2491125,0.035)); #537495=CARTESIAN_POINT('',(29.13,6.2491125,0.035)); #537496=CARTESIAN_POINT('',(29.13,6.2491125,0.07)); #537497=CARTESIAN_POINT('',(29.13,6.2491125,0.07)); #537498=CARTESIAN_POINT('',(29.13,6.2491125,0.035)); #537499=CARTESIAN_POINT('Origin',(29.13,7.1108875,0.035)); #537500=CARTESIAN_POINT('',(29.13,7.1108875,0.035)); #537501=CARTESIAN_POINT('',(29.13,7.1108875,0.035)); #537502=CARTESIAN_POINT('',(29.13,7.1108875,0.07)); #537503=CARTESIAN_POINT('',(29.13,7.1108875,0.07)); #537504=CARTESIAN_POINT('',(29.13,7.1108875,0.035)); #537505=CARTESIAN_POINT('Origin',(29.136916,7.172275,0.035)); #537506=CARTESIAN_POINT('',(29.136916,7.172275,0.035)); #537507=CARTESIAN_POINT('',(29.136916,7.172275,0.035)); #537508=CARTESIAN_POINT('',(29.136916,7.172275,0.07)); #537509=CARTESIAN_POINT('',(29.136916,7.172275,0.07)); #537510=CARTESIAN_POINT('',(29.136916,7.172275,0.035)); #537511=CARTESIAN_POINT('Origin',(29.150663,7.2325,0.035)); #537512=CARTESIAN_POINT('',(29.150663,7.2325,0.035)); #537513=CARTESIAN_POINT('',(29.150663,7.2325,0.035)); #537514=CARTESIAN_POINT('',(29.150663,7.2325,0.07)); #537515=CARTESIAN_POINT('',(29.150663,7.2325,0.07)); #537516=CARTESIAN_POINT('',(29.150663,7.2325,0.035)); #537517=CARTESIAN_POINT('Origin',(29.171066,7.2908063,0.035)); #537518=CARTESIAN_POINT('',(29.171066,7.2908063,0.035)); #537519=CARTESIAN_POINT('',(29.171066,7.2908063,0.035)); #537520=CARTESIAN_POINT('',(29.171066,7.2908063,0.07)); #537521=CARTESIAN_POINT('',(29.171066,7.2908063,0.07)); #537522=CARTESIAN_POINT('',(29.171066,7.2908063,0.035)); #537523=CARTESIAN_POINT('Origin',(29.197869,7.3464656,0.035)); #537524=CARTESIAN_POINT('',(29.197869,7.3464656,0.035)); #537525=CARTESIAN_POINT('',(29.197869,7.3464656,0.035)); #537526=CARTESIAN_POINT('',(29.197869,7.3464656,0.07)); #537527=CARTESIAN_POINT('',(29.197869,7.3464656,0.07)); #537528=CARTESIAN_POINT('',(29.197869,7.3464656,0.035)); #537529=CARTESIAN_POINT('Origin',(29.230734,7.3987719,0.035)); #537530=CARTESIAN_POINT('',(29.230734,7.3987719,0.035)); #537531=CARTESIAN_POINT('',(29.230734,7.3987719,0.035)); #537532=CARTESIAN_POINT('',(29.230734,7.3987719,0.07)); #537533=CARTESIAN_POINT('',(29.230734,7.3987719,0.07)); #537534=CARTESIAN_POINT('',(29.230734,7.3987719,0.035)); #537535=CARTESIAN_POINT('Origin',(29.26925,7.4470688,0.035)); #537536=CARTESIAN_POINT('',(29.26925,7.4470688,0.035)); #537537=CARTESIAN_POINT('',(29.26925,7.4470688,0.035)); #537538=CARTESIAN_POINT('',(29.26925,7.4470688,0.07)); #537539=CARTESIAN_POINT('',(29.26925,7.4470688,0.07)); #537540=CARTESIAN_POINT('',(29.26925,7.4470688,0.035)); #537541=CARTESIAN_POINT('Origin',(29.312931,7.49075,0.035)); #537542=CARTESIAN_POINT('',(29.312931,7.49075,0.035)); #537543=CARTESIAN_POINT('',(29.312931,7.49075,0.035)); #537544=CARTESIAN_POINT('',(29.312931,7.49075,0.07)); #537545=CARTESIAN_POINT('',(29.312931,7.49075,0.07)); #537546=CARTESIAN_POINT('',(29.312931,7.49075,0.035)); #537547=CARTESIAN_POINT('Origin',(29.361228,7.5292656,0.035)); #537548=CARTESIAN_POINT('',(29.361228,7.5292656,0.035)); #537549=CARTESIAN_POINT('',(29.361228,7.5292656,0.035)); #537550=CARTESIAN_POINT('',(29.361228,7.5292656,0.07)); #537551=CARTESIAN_POINT('',(29.361228,7.5292656,0.07)); #537552=CARTESIAN_POINT('',(29.361228,7.5292656,0.035)); #537553=CARTESIAN_POINT('Origin',(29.413534,7.5621313,0.035)); #537554=CARTESIAN_POINT('',(29.413534,7.5621313,0.035)); #537555=CARTESIAN_POINT('',(29.413534,7.5621313,0.035)); #537556=CARTESIAN_POINT('',(29.413534,7.5621313,0.07)); #537557=CARTESIAN_POINT('',(29.413534,7.5621313,0.07)); #537558=CARTESIAN_POINT('',(29.413534,7.5621313,0.035)); #537559=CARTESIAN_POINT('Origin',(29.469194,7.5889344,0.035)); #537560=CARTESIAN_POINT('',(29.469194,7.5889344,0.035)); #537561=CARTESIAN_POINT('',(29.469194,7.5889344,0.035)); #537562=CARTESIAN_POINT('',(29.469194,7.5889344,0.07)); #537563=CARTESIAN_POINT('',(29.469194,7.5889344,0.07)); #537564=CARTESIAN_POINT('',(29.469194,7.5889344,0.035)); #537565=CARTESIAN_POINT('Origin',(29.5275,7.6093375,0.035)); #537566=CARTESIAN_POINT('',(29.5275,7.6093375,0.035)); #537567=CARTESIAN_POINT('',(29.5275,7.6093375,0.035)); #537568=CARTESIAN_POINT('',(29.5275,7.6093375,0.07)); #537569=CARTESIAN_POINT('',(29.5275,7.6093375,0.07)); #537570=CARTESIAN_POINT('',(29.5275,7.6093375,0.035)); #537571=CARTESIAN_POINT('Origin',(29.587725,7.6230844,0.035)); #537572=CARTESIAN_POINT('',(29.587725,7.6230844,0.035)); #537573=CARTESIAN_POINT('',(29.587725,7.6230844,0.035)); #537574=CARTESIAN_POINT('',(29.587725,7.6230844,0.07)); #537575=CARTESIAN_POINT('',(29.587725,7.6230844,0.07)); #537576=CARTESIAN_POINT('',(29.587725,7.6230844,0.035)); #537577=CARTESIAN_POINT('Origin',(29.649113,7.63,0.035)); #537578=CARTESIAN_POINT('',(29.649113,7.63,0.035)); #537579=CARTESIAN_POINT('',(29.649113,7.63,0.035)); #537580=CARTESIAN_POINT('',(29.649113,7.63,0.07)); #537581=CARTESIAN_POINT('',(29.649113,7.63,0.07)); #537582=CARTESIAN_POINT('',(29.649113,7.63,0.035)); #537583=CARTESIAN_POINT('Origin',(29.710888,7.63,0.035)); #537584=CARTESIAN_POINT('',(29.710888,7.63,0.035)); #537585=CARTESIAN_POINT('',(29.710888,7.63,0.035)); #537586=CARTESIAN_POINT('',(29.710888,7.63,0.07)); #537587=CARTESIAN_POINT('',(29.710888,7.63,0.07)); #537588=CARTESIAN_POINT('',(29.710888,7.63,0.035)); #537589=CARTESIAN_POINT('Origin',(29.772275,7.6230844,0.035)); #537590=CARTESIAN_POINT('',(29.772275,7.6230844,0.035)); #537591=CARTESIAN_POINT('',(29.772275,7.6230844,0.035)); #537592=CARTESIAN_POINT('',(29.772275,7.6230844,0.07)); #537593=CARTESIAN_POINT('',(29.772275,7.6230844,0.07)); #537594=CARTESIAN_POINT('',(29.772275,7.6230844,0.035)); #537595=CARTESIAN_POINT('Origin',(29.8325,7.6093375,0.035)); #537596=CARTESIAN_POINT('',(29.8325,7.6093375,0.035)); #537597=CARTESIAN_POINT('',(29.8325,7.6093375,0.035)); #537598=CARTESIAN_POINT('',(29.8325,7.6093375,0.07)); #537599=CARTESIAN_POINT('',(29.8325,7.6093375,0.07)); #537600=CARTESIAN_POINT('',(29.8325,7.6093375,0.035)); #537601=CARTESIAN_POINT('Origin',(29.890806,7.5889344,0.035)); #537602=CARTESIAN_POINT('',(29.890806,7.5889344,0.035)); #537603=CARTESIAN_POINT('',(29.890806,7.5889344,0.035)); #537604=CARTESIAN_POINT('',(29.890806,7.5889344,0.07)); #537605=CARTESIAN_POINT('',(29.890806,7.5889344,0.07)); #537606=CARTESIAN_POINT('',(29.890806,7.5889344,0.035)); #537607=CARTESIAN_POINT('Origin',(29.946466,7.5621313,0.035)); #537608=CARTESIAN_POINT('',(29.946466,7.5621313,0.035)); #537609=CARTESIAN_POINT('',(29.946466,7.5621313,0.035)); #537610=CARTESIAN_POINT('',(29.946466,7.5621313,0.07)); #537611=CARTESIAN_POINT('',(29.946466,7.5621313,0.07)); #537612=CARTESIAN_POINT('',(29.946466,7.5621313,0.035)); #537613=CARTESIAN_POINT('Origin',(29.998772,7.5292656,0.035)); #537614=CARTESIAN_POINT('',(29.998772,7.5292656,0.035)); #537615=CARTESIAN_POINT('',(29.998772,7.5292656,0.035)); #537616=CARTESIAN_POINT('',(29.998772,7.5292656,0.07)); #537617=CARTESIAN_POINT('',(29.998772,7.5292656,0.07)); #537618=CARTESIAN_POINT('',(29.998772,7.5292656,0.035)); #537619=CARTESIAN_POINT('Origin',(30.047069,7.49075,0.035)); #537620=CARTESIAN_POINT('',(30.047069,7.49075,0.035)); #537621=CARTESIAN_POINT('',(30.047069,7.49075,0.035)); #537622=CARTESIAN_POINT('',(30.047069,7.49075,0.07)); #537623=CARTESIAN_POINT('',(30.047069,7.49075,0.07)); #537624=CARTESIAN_POINT('',(30.047069,7.49075,0.035)); #537625=CARTESIAN_POINT('Origin',(30.09075,7.4470688,0.035)); #537626=CARTESIAN_POINT('',(30.09075,7.4470688,0.035)); #537627=CARTESIAN_POINT('',(30.09075,7.4470688,0.035)); #537628=CARTESIAN_POINT('',(30.09075,7.4470688,0.07)); #537629=CARTESIAN_POINT('',(30.09075,7.4470688,0.07)); #537630=CARTESIAN_POINT('',(30.09075,7.4470688,0.035)); #537631=CARTESIAN_POINT('Origin',(30.129266,7.3987719,0.035)); #537632=CARTESIAN_POINT('',(30.129266,7.3987719,0.035)); #537633=CARTESIAN_POINT('',(30.129266,7.3987719,0.035)); #537634=CARTESIAN_POINT('',(30.129266,7.3987719,0.07)); #537635=CARTESIAN_POINT('',(30.129266,7.3987719,0.07)); #537636=CARTESIAN_POINT('',(30.129266,7.3987719,0.035)); #537637=CARTESIAN_POINT('Origin',(30.162131,7.3464656,0.035)); #537638=CARTESIAN_POINT('',(30.162131,7.3464656,0.035)); #537639=CARTESIAN_POINT('',(30.162131,7.3464656,0.035)); #537640=CARTESIAN_POINT('',(30.162131,7.3464656,0.07)); #537641=CARTESIAN_POINT('',(30.162131,7.3464656,0.07)); #537642=CARTESIAN_POINT('',(30.162131,7.3464656,0.035)); #537643=CARTESIAN_POINT('Origin',(30.188934,7.2908063,0.035)); #537644=CARTESIAN_POINT('',(30.188934,7.2908063,0.035)); #537645=CARTESIAN_POINT('',(30.188934,7.2908063,0.035)); #537646=CARTESIAN_POINT('',(30.188934,7.2908063,0.07)); #537647=CARTESIAN_POINT('',(30.188934,7.2908063,0.07)); #537648=CARTESIAN_POINT('',(30.188934,7.2908063,0.035)); #537649=CARTESIAN_POINT('Origin',(30.209338,7.2325,0.035)); #537650=CARTESIAN_POINT('',(30.209338,7.2325,0.035)); #537651=CARTESIAN_POINT('',(30.209338,7.2325,0.035)); #537652=CARTESIAN_POINT('',(30.209338,7.2325,0.07)); #537653=CARTESIAN_POINT('',(30.209338,7.2325,0.07)); #537654=CARTESIAN_POINT('',(30.209338,7.2325,0.035)); #537655=CARTESIAN_POINT('Origin',(30.223084,7.172275,0.035)); #537656=CARTESIAN_POINT('',(30.223084,7.172275,0.035)); #537657=CARTESIAN_POINT('',(30.223084,7.172275,0.035)); #537658=CARTESIAN_POINT('',(30.223084,7.172275,0.07)); #537659=CARTESIAN_POINT('',(30.223084,7.172275,0.07)); #537660=CARTESIAN_POINT('',(30.223084,7.172275,0.035)); #537661=CARTESIAN_POINT('Origin',(30.23,7.1108875,0.035)); #537662=CARTESIAN_POINT('',(30.23,7.1108875,0.035)); #537663=CARTESIAN_POINT('',(30.23,7.1108875,0.035)); #537664=CARTESIAN_POINT('',(30.23,7.1108875,0.07)); #537665=CARTESIAN_POINT('',(30.23,7.1108875,0.07)); #537666=CARTESIAN_POINT('',(30.23,7.1108875,0.035)); #537667=CARTESIAN_POINT('Origin',(30.23,6.2491125,0.035)); #537668=CARTESIAN_POINT('',(30.23,6.2491125,0.035)); #537669=CARTESIAN_POINT('',(30.23,6.2491125,0.035)); #537670=CARTESIAN_POINT('',(30.23,6.2491125,0.07)); #537671=CARTESIAN_POINT('',(30.23,6.2491125,0.07)); #537672=CARTESIAN_POINT('',(30.23,6.2491125,0.035)); #537673=CARTESIAN_POINT('Origin',(30.223084,6.187725,0.035)); #537674=CARTESIAN_POINT('',(30.223084,6.187725,0.035)); #537675=CARTESIAN_POINT('',(30.223084,6.187725,0.035)); #537676=CARTESIAN_POINT('',(30.223084,6.187725,0.07)); #537677=CARTESIAN_POINT('',(30.223084,6.187725,0.07)); #537678=CARTESIAN_POINT('',(30.223084,6.187725,0.035)); #537679=CARTESIAN_POINT('Origin',(30.209338,6.1275,0.035)); #537680=CARTESIAN_POINT('',(30.209338,6.1275,0.035)); #537681=CARTESIAN_POINT('',(30.209338,6.1275,0.035)); #537682=CARTESIAN_POINT('',(30.209338,6.1275,0.07)); #537683=CARTESIAN_POINT('',(30.209338,6.1275,0.07)); #537684=CARTESIAN_POINT('',(30.209338,6.1275,0.035)); #537685=CARTESIAN_POINT('Origin',(30.188934,6.0691938,0.035)); #537686=CARTESIAN_POINT('',(30.188934,6.0691938,0.035)); #537687=CARTESIAN_POINT('',(30.188934,6.0691938,0.035)); #537688=CARTESIAN_POINT('',(30.188934,6.0691938,0.07)); #537689=CARTESIAN_POINT('',(30.188934,6.0691938,0.07)); #537690=CARTESIAN_POINT('',(30.188934,6.0691938,0.035)); #537691=CARTESIAN_POINT('Origin',(30.162131,6.0135344,0.035)); #537692=CARTESIAN_POINT('',(30.162131,6.0135344,0.035)); #537693=CARTESIAN_POINT('',(30.162131,6.0135344,0.035)); #537694=CARTESIAN_POINT('',(30.162131,6.0135344,0.07)); #537695=CARTESIAN_POINT('',(30.162131,6.0135344,0.07)); #537696=CARTESIAN_POINT('',(30.162131,6.0135344,0.035)); #537697=CARTESIAN_POINT('Origin',(30.129266,5.9612281,0.035)); #537698=CARTESIAN_POINT('',(30.129266,5.9612281,0.035)); #537699=CARTESIAN_POINT('',(30.129266,5.9612281,0.035)); #537700=CARTESIAN_POINT('',(30.129266,5.9612281,0.07)); #537701=CARTESIAN_POINT('',(30.129266,5.9612281,0.07)); #537702=CARTESIAN_POINT('',(30.129266,5.9612281,0.035)); #537703=CARTESIAN_POINT('Origin',(30.09075,5.9129313,0.035)); #537704=CARTESIAN_POINT('',(30.09075,5.9129313,0.035)); #537705=CARTESIAN_POINT('',(30.09075,5.9129313,0.035)); #537706=CARTESIAN_POINT('',(30.09075,5.9129313,0.07)); #537707=CARTESIAN_POINT('',(30.09075,5.9129313,0.07)); #537708=CARTESIAN_POINT('',(30.09075,5.9129313,0.035)); #537709=CARTESIAN_POINT('Origin',(30.047069,5.86925,0.035)); #537710=CARTESIAN_POINT('',(30.047069,5.86925,0.035)); #537711=CARTESIAN_POINT('',(30.047069,5.86925,0.035)); #537712=CARTESIAN_POINT('',(30.047069,5.86925,0.07)); #537713=CARTESIAN_POINT('',(30.047069,5.86925,0.07)); #537714=CARTESIAN_POINT('',(30.047069,5.86925,0.035)); #537715=CARTESIAN_POINT('Origin',(29.998772,5.8307344,0.035)); #537716=CARTESIAN_POINT('',(29.998772,5.8307344,0.035)); #537717=CARTESIAN_POINT('',(29.998772,5.8307344,0.035)); #537718=CARTESIAN_POINT('',(29.998772,5.8307344,0.07)); #537719=CARTESIAN_POINT('',(29.998772,5.8307344,0.07)); #537720=CARTESIAN_POINT('',(29.998772,5.8307344,0.035)); #537721=CARTESIAN_POINT('Origin',(29.946466,5.7978688,0.035)); #537722=CARTESIAN_POINT('',(29.946466,5.7978688,0.035)); #537723=CARTESIAN_POINT('',(29.946466,5.7978688,0.035)); #537724=CARTESIAN_POINT('',(29.946466,5.7978688,0.07)); #537725=CARTESIAN_POINT('',(29.946466,5.7978688,0.07)); #537726=CARTESIAN_POINT('',(29.946466,5.7978688,0.035)); #537727=CARTESIAN_POINT('Origin',(29.890806,5.7710656,0.035)); #537728=CARTESIAN_POINT('',(29.890806,5.7710656,0.035)); #537729=CARTESIAN_POINT('',(29.890806,5.7710656,0.035)); #537730=CARTESIAN_POINT('',(29.890806,5.7710656,0.07)); #537731=CARTESIAN_POINT('',(29.890806,5.7710656,0.07)); #537732=CARTESIAN_POINT('',(29.890806,5.7710656,0.035)); #537733=CARTESIAN_POINT('Origin',(29.8325,5.7506625,0.035)); #537734=CARTESIAN_POINT('',(29.8325,5.7506625,0.035)); #537735=CARTESIAN_POINT('',(29.8325,5.7506625,0.035)); #537736=CARTESIAN_POINT('',(29.8325,5.7506625,0.07)); #537737=CARTESIAN_POINT('',(29.8325,5.7506625,0.07)); #537738=CARTESIAN_POINT('',(29.8325,5.7506625,0.035)); #537739=CARTESIAN_POINT('Origin',(29.772275,5.7369156,0.035)); #537740=CARTESIAN_POINT('',(29.772275,5.7369156,0.035)); #537741=CARTESIAN_POINT('',(29.772275,5.7369156,0.07)); #537742=CARTESIAN_POINT('Origin',(29.680000045263,6.68000001003927,0.07)); #537743=CARTESIAN_POINT('Origin',(29.680000045263,6.68000001003927,0.035)); #537744=CARTESIAN_POINT('Origin',(43.75,34.,-200.)); #537745=CARTESIAN_POINT('',(43.235,34.,0.0349999999999895)); #537746=CARTESIAN_POINT('Origin',(43.75,34.,0.0349999999999895)); #537747=CARTESIAN_POINT('',(43.235,34.,0.0700000000000145)); #537748=CARTESIAN_POINT('',(43.235,34.,-200.)); #537749=CARTESIAN_POINT('Origin',(43.75,34.,0.0700000000000145)); #537750=CARTESIAN_POINT('Origin',(44.575,33.175,0.035)); #537751=CARTESIAN_POINT('',(44.575,33.175,0.035)); #537752=CARTESIAN_POINT('',(44.575,34.825,0.035)); #537753=CARTESIAN_POINT('',(44.575,33.175,0.035)); #537754=CARTESIAN_POINT('',(44.575,34.825,0.07)); #537755=CARTESIAN_POINT('',(44.575,34.825,0.035)); #537756=CARTESIAN_POINT('',(44.575,33.175,0.07)); #537757=CARTESIAN_POINT('',(44.575,33.175,0.07)); #537758=CARTESIAN_POINT('',(44.575,33.175,0.035)); #537759=CARTESIAN_POINT('Origin',(42.925,33.175,0.035)); #537760=CARTESIAN_POINT('',(42.925,33.175,0.035)); #537761=CARTESIAN_POINT('',(42.925,33.175,0.035)); #537762=CARTESIAN_POINT('',(42.925,33.175,0.07)); #537763=CARTESIAN_POINT('',(42.925,33.175,0.07)); #537764=CARTESIAN_POINT('',(42.925,33.175,0.035)); #537765=CARTESIAN_POINT('Origin',(42.925,34.825,0.035)); #537766=CARTESIAN_POINT('',(42.925,34.825,0.035)); #537767=CARTESIAN_POINT('',(42.925,34.825,0.035)); #537768=CARTESIAN_POINT('',(42.925,34.825,0.07)); #537769=CARTESIAN_POINT('',(42.925,34.825,0.07)); #537770=CARTESIAN_POINT('',(42.925,34.825,0.035)); #537771=CARTESIAN_POINT('Origin',(44.575,34.825,0.035)); #537772=CARTESIAN_POINT('',(44.575,34.825,0.035)); #537773=CARTESIAN_POINT('',(44.575,34.825,0.07)); #537774=CARTESIAN_POINT('Origin',(43.75,34.,0.07)); #537775=CARTESIAN_POINT('Origin',(43.75,34.,0.035)); #537776=CARTESIAN_POINT('Origin',(29.995,2.09999997467882,-200.)); #537777=CARTESIAN_POINT('',(29.995,2.89999999155961,0.0700000000000145)); #537778=CARTESIAN_POINT('',(29.995,2.09999997467882,0.0700000000000145)); #537779=CARTESIAN_POINT('',(29.995,2.29999998733941,0.0699999999999967)); #537780=CARTESIAN_POINT('',(29.995,2.89999999155961,0.0349999999999895)); #537781=CARTESIAN_POINT('',(29.995,2.89999999155961,-200.)); #537782=CARTESIAN_POINT('',(29.995,2.09999997467882,0.0349999999999895)); #537783=CARTESIAN_POINT('',(29.995,2.29999998733941,0.0350000000000072)); #537784=CARTESIAN_POINT('',(29.995,2.09999997467882,-200.)); #537785=CARTESIAN_POINT('Origin',(29.68,2.1,-200.)); #537786=CARTESIAN_POINT('',(29.365,2.10000000844039,0.0700000000000145)); #537787=CARTESIAN_POINT('Origin',(29.68,2.1,0.0700000000000145)); #537788=CARTESIAN_POINT('',(29.365,2.10000000844039,0.0349999999999895)); #537789=CARTESIAN_POINT('Origin',(29.68,2.1,0.0349999999999895)); #537790=CARTESIAN_POINT('',(29.365,2.10000000844039,-200.)); #537791=CARTESIAN_POINT('Origin',(29.365,2.90000002532118,-200.)); #537792=CARTESIAN_POINT('',(29.365,2.90000002532118,0.0700000000000145)); #537793=CARTESIAN_POINT('',(29.365,2.70000001266059,0.0699999999999967)); #537794=CARTESIAN_POINT('',(29.365,2.90000002532118,0.0349999999999895)); #537795=CARTESIAN_POINT('',(29.365,2.70000001266059,0.0350000000000072)); #537796=CARTESIAN_POINT('',(29.365,2.90000002532118,-200.)); #537797=CARTESIAN_POINT('Origin',(29.68,2.9,-200.)); #537798=CARTESIAN_POINT('Origin',(29.68,2.9,0.0700000000000145)); #537799=CARTESIAN_POINT('Origin',(29.68,2.9,0.0349999999999895)); #537800=CARTESIAN_POINT('Origin',(29.710888,1.55,0.035)); #537801=CARTESIAN_POINT('',(29.710888,1.55,0.035)); #537802=CARTESIAN_POINT('',(29.772275,1.5569156,0.035)); #537803=CARTESIAN_POINT('',(29.710888,1.55,0.035)); #537804=CARTESIAN_POINT('',(29.772275,1.5569156,0.07)); #537805=CARTESIAN_POINT('',(29.772275,1.5569156,0.035)); #537806=CARTESIAN_POINT('',(29.710888,1.55,0.07)); #537807=CARTESIAN_POINT('',(29.710888,1.55,0.07)); #537808=CARTESIAN_POINT('',(29.710888,1.55,0.035)); #537809=CARTESIAN_POINT('Origin',(29.649113,1.55,0.035)); #537810=CARTESIAN_POINT('',(29.649113,1.55,0.035)); #537811=CARTESIAN_POINT('',(29.649113,1.55,0.035)); #537812=CARTESIAN_POINT('',(29.649113,1.55,0.07)); #537813=CARTESIAN_POINT('',(29.649113,1.55,0.07)); #537814=CARTESIAN_POINT('',(29.649113,1.55,0.035)); #537815=CARTESIAN_POINT('Origin',(29.587725,1.5569156,0.035)); #537816=CARTESIAN_POINT('',(29.587725,1.5569156,0.035)); #537817=CARTESIAN_POINT('',(29.587725,1.5569156,0.035)); #537818=CARTESIAN_POINT('',(29.587725,1.5569156,0.07)); #537819=CARTESIAN_POINT('',(29.587725,1.5569156,0.07)); #537820=CARTESIAN_POINT('',(29.587725,1.5569156,0.035)); #537821=CARTESIAN_POINT('Origin',(29.5275,1.5706625,0.035)); #537822=CARTESIAN_POINT('',(29.5275,1.5706625,0.035)); #537823=CARTESIAN_POINT('',(29.5275,1.5706625,0.035)); #537824=CARTESIAN_POINT('',(29.5275,1.5706625,0.07)); #537825=CARTESIAN_POINT('',(29.5275,1.5706625,0.07)); #537826=CARTESIAN_POINT('',(29.5275,1.5706625,0.035)); #537827=CARTESIAN_POINT('Origin',(29.469194,1.5910656,0.035)); #537828=CARTESIAN_POINT('',(29.469194,1.5910656,0.035)); #537829=CARTESIAN_POINT('',(29.469194,1.5910656,0.035)); #537830=CARTESIAN_POINT('',(29.469194,1.5910656,0.07)); #537831=CARTESIAN_POINT('',(29.469194,1.5910656,0.07)); #537832=CARTESIAN_POINT('',(29.469194,1.5910656,0.035)); #537833=CARTESIAN_POINT('Origin',(29.413534,1.6178687,0.035)); #537834=CARTESIAN_POINT('',(29.413534,1.6178687,0.035)); #537835=CARTESIAN_POINT('',(29.413534,1.6178687,0.035)); #537836=CARTESIAN_POINT('',(29.413534,1.6178687,0.07)); #537837=CARTESIAN_POINT('',(29.413534,1.6178687,0.07)); #537838=CARTESIAN_POINT('',(29.413534,1.6178687,0.035)); #537839=CARTESIAN_POINT('Origin',(29.361228,1.6507344,0.035)); #537840=CARTESIAN_POINT('',(29.361228,1.6507344,0.035)); #537841=CARTESIAN_POINT('',(29.361228,1.6507344,0.035)); #537842=CARTESIAN_POINT('',(29.361228,1.6507344,0.07)); #537843=CARTESIAN_POINT('',(29.361228,1.6507344,0.07)); #537844=CARTESIAN_POINT('',(29.361228,1.6507344,0.035)); #537845=CARTESIAN_POINT('Origin',(29.312931,1.68925,0.035)); #537846=CARTESIAN_POINT('',(29.312931,1.68925,0.035)); #537847=CARTESIAN_POINT('',(29.312931,1.68925,0.035)); #537848=CARTESIAN_POINT('',(29.312931,1.68925,0.07)); #537849=CARTESIAN_POINT('',(29.312931,1.68925,0.07)); #537850=CARTESIAN_POINT('',(29.312931,1.68925,0.035)); #537851=CARTESIAN_POINT('Origin',(29.26925,1.7329313,0.035)); #537852=CARTESIAN_POINT('',(29.26925,1.7329313,0.035)); #537853=CARTESIAN_POINT('',(29.26925,1.7329313,0.035)); #537854=CARTESIAN_POINT('',(29.26925,1.7329313,0.07)); #537855=CARTESIAN_POINT('',(29.26925,1.7329313,0.07)); #537856=CARTESIAN_POINT('',(29.26925,1.7329313,0.035)); #537857=CARTESIAN_POINT('Origin',(29.230734,1.7812281,0.035)); #537858=CARTESIAN_POINT('',(29.230734,1.7812281,0.035)); #537859=CARTESIAN_POINT('',(29.230734,1.7812281,0.035)); #537860=CARTESIAN_POINT('',(29.230734,1.7812281,0.07)); #537861=CARTESIAN_POINT('',(29.230734,1.7812281,0.07)); #537862=CARTESIAN_POINT('',(29.230734,1.7812281,0.035)); #537863=CARTESIAN_POINT('Origin',(29.197869,1.8335344,0.035)); #537864=CARTESIAN_POINT('',(29.197869,1.8335344,0.035)); #537865=CARTESIAN_POINT('',(29.197869,1.8335344,0.035)); #537866=CARTESIAN_POINT('',(29.197869,1.8335344,0.07)); #537867=CARTESIAN_POINT('',(29.197869,1.8335344,0.07)); #537868=CARTESIAN_POINT('',(29.197869,1.8335344,0.035)); #537869=CARTESIAN_POINT('Origin',(29.171066,1.8891938,0.035)); #537870=CARTESIAN_POINT('',(29.171066,1.8891938,0.035)); #537871=CARTESIAN_POINT('',(29.171066,1.8891938,0.035)); #537872=CARTESIAN_POINT('',(29.171066,1.8891938,0.07)); #537873=CARTESIAN_POINT('',(29.171066,1.8891938,0.07)); #537874=CARTESIAN_POINT('',(29.171066,1.8891938,0.035)); #537875=CARTESIAN_POINT('Origin',(29.150663,1.9475,0.035)); #537876=CARTESIAN_POINT('',(29.150663,1.9475,0.035)); #537877=CARTESIAN_POINT('',(29.150663,1.9475,0.035)); #537878=CARTESIAN_POINT('',(29.150663,1.9475,0.07)); #537879=CARTESIAN_POINT('',(29.150663,1.9475,0.07)); #537880=CARTESIAN_POINT('',(29.150663,1.9475,0.035)); #537881=CARTESIAN_POINT('Origin',(29.136916,2.007725,0.035)); #537882=CARTESIAN_POINT('',(29.136916,2.007725,0.035)); #537883=CARTESIAN_POINT('',(29.136916,2.007725,0.035)); #537884=CARTESIAN_POINT('',(29.136916,2.007725,0.07)); #537885=CARTESIAN_POINT('',(29.136916,2.007725,0.07)); #537886=CARTESIAN_POINT('',(29.136916,2.007725,0.035)); #537887=CARTESIAN_POINT('Origin',(29.13,2.0691125,0.035)); #537888=CARTESIAN_POINT('',(29.13,2.0691125,0.035)); #537889=CARTESIAN_POINT('',(29.13,2.0691125,0.035)); #537890=CARTESIAN_POINT('',(29.13,2.0691125,0.07)); #537891=CARTESIAN_POINT('',(29.13,2.0691125,0.07)); #537892=CARTESIAN_POINT('',(29.13,2.0691125,0.035)); #537893=CARTESIAN_POINT('Origin',(29.13,2.9308875,0.035)); #537894=CARTESIAN_POINT('',(29.13,2.9308875,0.035)); #537895=CARTESIAN_POINT('',(29.13,2.9308875,0.035)); #537896=CARTESIAN_POINT('',(29.13,2.9308875,0.07)); #537897=CARTESIAN_POINT('',(29.13,2.9308875,0.07)); #537898=CARTESIAN_POINT('',(29.13,2.9308875,0.035)); #537899=CARTESIAN_POINT('Origin',(29.136916,2.992275,0.035)); #537900=CARTESIAN_POINT('',(29.136916,2.992275,0.035)); #537901=CARTESIAN_POINT('',(29.136916,2.992275,0.035)); #537902=CARTESIAN_POINT('',(29.136916,2.992275,0.07)); #537903=CARTESIAN_POINT('',(29.136916,2.992275,0.07)); #537904=CARTESIAN_POINT('',(29.136916,2.992275,0.035)); #537905=CARTESIAN_POINT('Origin',(29.150663,3.0525,0.035)); #537906=CARTESIAN_POINT('',(29.150663,3.0525,0.035)); #537907=CARTESIAN_POINT('',(29.150663,3.0525,0.035)); #537908=CARTESIAN_POINT('',(29.150663,3.0525,0.07)); #537909=CARTESIAN_POINT('',(29.150663,3.0525,0.07)); #537910=CARTESIAN_POINT('',(29.150663,3.0525,0.035)); #537911=CARTESIAN_POINT('Origin',(29.171066,3.1108062,0.035)); #537912=CARTESIAN_POINT('',(29.171066,3.1108062,0.035)); #537913=CARTESIAN_POINT('',(29.171066,3.1108062,0.035)); #537914=CARTESIAN_POINT('',(29.171066,3.1108062,0.07)); #537915=CARTESIAN_POINT('',(29.171066,3.1108062,0.07)); #537916=CARTESIAN_POINT('',(29.171066,3.1108062,0.035)); #537917=CARTESIAN_POINT('Origin',(29.197869,3.1664656,0.035)); #537918=CARTESIAN_POINT('',(29.197869,3.1664656,0.035)); #537919=CARTESIAN_POINT('',(29.197869,3.1664656,0.035)); #537920=CARTESIAN_POINT('',(29.197869,3.1664656,0.07)); #537921=CARTESIAN_POINT('',(29.197869,3.1664656,0.07)); #537922=CARTESIAN_POINT('',(29.197869,3.1664656,0.035)); #537923=CARTESIAN_POINT('Origin',(29.230734,3.2187719,0.035)); #537924=CARTESIAN_POINT('',(29.230734,3.2187719,0.035)); #537925=CARTESIAN_POINT('',(29.230734,3.2187719,0.035)); #537926=CARTESIAN_POINT('',(29.230734,3.2187719,0.07)); #537927=CARTESIAN_POINT('',(29.230734,3.2187719,0.07)); #537928=CARTESIAN_POINT('',(29.230734,3.2187719,0.035)); #537929=CARTESIAN_POINT('Origin',(29.26925,3.2670687,0.035)); #537930=CARTESIAN_POINT('',(29.26925,3.2670687,0.035)); #537931=CARTESIAN_POINT('',(29.26925,3.2670687,0.035)); #537932=CARTESIAN_POINT('',(29.26925,3.2670687,0.07)); #537933=CARTESIAN_POINT('',(29.26925,3.2670687,0.07)); #537934=CARTESIAN_POINT('',(29.26925,3.2670687,0.035)); #537935=CARTESIAN_POINT('Origin',(29.312931,3.31075,0.035)); #537936=CARTESIAN_POINT('',(29.312931,3.31075,0.035)); #537937=CARTESIAN_POINT('',(29.312931,3.31075,0.035)); #537938=CARTESIAN_POINT('',(29.312931,3.31075,0.07)); #537939=CARTESIAN_POINT('',(29.312931,3.31075,0.07)); #537940=CARTESIAN_POINT('',(29.312931,3.31075,0.035)); #537941=CARTESIAN_POINT('Origin',(29.361228,3.3492656,0.035)); #537942=CARTESIAN_POINT('',(29.361228,3.3492656,0.035)); #537943=CARTESIAN_POINT('',(29.361228,3.3492656,0.035)); #537944=CARTESIAN_POINT('',(29.361228,3.3492656,0.07)); #537945=CARTESIAN_POINT('',(29.361228,3.3492656,0.07)); #537946=CARTESIAN_POINT('',(29.361228,3.3492656,0.035)); #537947=CARTESIAN_POINT('Origin',(29.413534,3.3821313,0.035)); #537948=CARTESIAN_POINT('',(29.413534,3.3821313,0.035)); #537949=CARTESIAN_POINT('',(29.413534,3.3821313,0.035)); #537950=CARTESIAN_POINT('',(29.413534,3.3821313,0.07)); #537951=CARTESIAN_POINT('',(29.413534,3.3821313,0.07)); #537952=CARTESIAN_POINT('',(29.413534,3.3821313,0.035)); #537953=CARTESIAN_POINT('Origin',(29.469194,3.4089344,0.035)); #537954=CARTESIAN_POINT('',(29.469194,3.4089344,0.035)); #537955=CARTESIAN_POINT('',(29.469194,3.4089344,0.035)); #537956=CARTESIAN_POINT('',(29.469194,3.4089344,0.07)); #537957=CARTESIAN_POINT('',(29.469194,3.4089344,0.07)); #537958=CARTESIAN_POINT('',(29.469194,3.4089344,0.035)); #537959=CARTESIAN_POINT('Origin',(29.5275,3.4293375,0.035)); #537960=CARTESIAN_POINT('',(29.5275,3.4293375,0.035)); #537961=CARTESIAN_POINT('',(29.5275,3.4293375,0.035)); #537962=CARTESIAN_POINT('',(29.5275,3.4293375,0.07)); #537963=CARTESIAN_POINT('',(29.5275,3.4293375,0.07)); #537964=CARTESIAN_POINT('',(29.5275,3.4293375,0.035)); #537965=CARTESIAN_POINT('Origin',(29.587725,3.4430844,0.035)); #537966=CARTESIAN_POINT('',(29.587725,3.4430844,0.035)); #537967=CARTESIAN_POINT('',(29.587725,3.4430844,0.035)); #537968=CARTESIAN_POINT('',(29.587725,3.4430844,0.07)); #537969=CARTESIAN_POINT('',(29.587725,3.4430844,0.07)); #537970=CARTESIAN_POINT('',(29.587725,3.4430844,0.035)); #537971=CARTESIAN_POINT('Origin',(29.649113,3.45,0.035)); #537972=CARTESIAN_POINT('',(29.649113,3.45,0.035)); #537973=CARTESIAN_POINT('',(29.649113,3.45,0.035)); #537974=CARTESIAN_POINT('',(29.649113,3.45,0.07)); #537975=CARTESIAN_POINT('',(29.649113,3.45,0.07)); #537976=CARTESIAN_POINT('',(29.649113,3.45,0.035)); #537977=CARTESIAN_POINT('Origin',(29.710888,3.45,0.035)); #537978=CARTESIAN_POINT('',(29.710888,3.45,0.035)); #537979=CARTESIAN_POINT('',(29.710888,3.45,0.035)); #537980=CARTESIAN_POINT('',(29.710888,3.45,0.07)); #537981=CARTESIAN_POINT('',(29.710888,3.45,0.07)); #537982=CARTESIAN_POINT('',(29.710888,3.45,0.035)); #537983=CARTESIAN_POINT('Origin',(29.772275,3.4430844,0.035)); #537984=CARTESIAN_POINT('',(29.772275,3.4430844,0.035)); #537985=CARTESIAN_POINT('',(29.772275,3.4430844,0.035)); #537986=CARTESIAN_POINT('',(29.772275,3.4430844,0.07)); #537987=CARTESIAN_POINT('',(29.772275,3.4430844,0.07)); #537988=CARTESIAN_POINT('',(29.772275,3.4430844,0.035)); #537989=CARTESIAN_POINT('Origin',(29.8325,3.4293375,0.035)); #537990=CARTESIAN_POINT('',(29.8325,3.4293375,0.035)); #537991=CARTESIAN_POINT('',(29.8325,3.4293375,0.035)); #537992=CARTESIAN_POINT('',(29.8325,3.4293375,0.07)); #537993=CARTESIAN_POINT('',(29.8325,3.4293375,0.07)); #537994=CARTESIAN_POINT('',(29.8325,3.4293375,0.035)); #537995=CARTESIAN_POINT('Origin',(29.890806,3.4089344,0.035)); #537996=CARTESIAN_POINT('',(29.890806,3.4089344,0.035)); #537997=CARTESIAN_POINT('',(29.890806,3.4089344,0.035)); #537998=CARTESIAN_POINT('',(29.890806,3.4089344,0.07)); #537999=CARTESIAN_POINT('',(29.890806,3.4089344,0.07)); #538000=CARTESIAN_POINT('',(29.890806,3.4089344,0.035)); #538001=CARTESIAN_POINT('Origin',(29.946466,3.3821313,0.035)); #538002=CARTESIAN_POINT('',(29.946466,3.3821313,0.035)); #538003=CARTESIAN_POINT('',(29.946466,3.3821313,0.035)); #538004=CARTESIAN_POINT('',(29.946466,3.3821313,0.07)); #538005=CARTESIAN_POINT('',(29.946466,3.3821313,0.07)); #538006=CARTESIAN_POINT('',(29.946466,3.3821313,0.035)); #538007=CARTESIAN_POINT('Origin',(29.998772,3.3492656,0.035)); #538008=CARTESIAN_POINT('',(29.998772,3.3492656,0.035)); #538009=CARTESIAN_POINT('',(29.998772,3.3492656,0.035)); #538010=CARTESIAN_POINT('',(29.998772,3.3492656,0.07)); #538011=CARTESIAN_POINT('',(29.998772,3.3492656,0.07)); #538012=CARTESIAN_POINT('',(29.998772,3.3492656,0.035)); #538013=CARTESIAN_POINT('Origin',(30.047069,3.31075,0.035)); #538014=CARTESIAN_POINT('',(30.047069,3.31075,0.035)); #538015=CARTESIAN_POINT('',(30.047069,3.31075,0.035)); #538016=CARTESIAN_POINT('',(30.047069,3.31075,0.07)); #538017=CARTESIAN_POINT('',(30.047069,3.31075,0.07)); #538018=CARTESIAN_POINT('',(30.047069,3.31075,0.035)); #538019=CARTESIAN_POINT('Origin',(30.09075,3.2670687,0.035)); #538020=CARTESIAN_POINT('',(30.09075,3.2670687,0.035)); #538021=CARTESIAN_POINT('',(30.09075,3.2670687,0.035)); #538022=CARTESIAN_POINT('',(30.09075,3.2670687,0.07)); #538023=CARTESIAN_POINT('',(30.09075,3.2670687,0.07)); #538024=CARTESIAN_POINT('',(30.09075,3.2670687,0.035)); #538025=CARTESIAN_POINT('Origin',(30.129266,3.2187719,0.035)); #538026=CARTESIAN_POINT('',(30.129266,3.2187719,0.035)); #538027=CARTESIAN_POINT('',(30.129266,3.2187719,0.035)); #538028=CARTESIAN_POINT('',(30.129266,3.2187719,0.07)); #538029=CARTESIAN_POINT('',(30.129266,3.2187719,0.07)); #538030=CARTESIAN_POINT('',(30.129266,3.2187719,0.035)); #538031=CARTESIAN_POINT('Origin',(30.162131,3.1664656,0.035)); #538032=CARTESIAN_POINT('',(30.162131,3.1664656,0.035)); #538033=CARTESIAN_POINT('',(30.162131,3.1664656,0.035)); #538034=CARTESIAN_POINT('',(30.162131,3.1664656,0.07)); #538035=CARTESIAN_POINT('',(30.162131,3.1664656,0.07)); #538036=CARTESIAN_POINT('',(30.162131,3.1664656,0.035)); #538037=CARTESIAN_POINT('Origin',(30.188934,3.1108062,0.035)); #538038=CARTESIAN_POINT('',(30.188934,3.1108062,0.035)); #538039=CARTESIAN_POINT('',(30.188934,3.1108062,0.035)); #538040=CARTESIAN_POINT('',(30.188934,3.1108062,0.07)); #538041=CARTESIAN_POINT('',(30.188934,3.1108062,0.07)); #538042=CARTESIAN_POINT('',(30.188934,3.1108062,0.035)); #538043=CARTESIAN_POINT('Origin',(30.209338,3.0525,0.035)); #538044=CARTESIAN_POINT('',(30.209338,3.0525,0.035)); #538045=CARTESIAN_POINT('',(30.209338,3.0525,0.035)); #538046=CARTESIAN_POINT('',(30.209338,3.0525,0.07)); #538047=CARTESIAN_POINT('',(30.209338,3.0525,0.07)); #538048=CARTESIAN_POINT('',(30.209338,3.0525,0.035)); #538049=CARTESIAN_POINT('Origin',(30.223084,2.992275,0.035)); #538050=CARTESIAN_POINT('',(30.223084,2.992275,0.035)); #538051=CARTESIAN_POINT('',(30.223084,2.992275,0.035)); #538052=CARTESIAN_POINT('',(30.223084,2.992275,0.07)); #538053=CARTESIAN_POINT('',(30.223084,2.992275,0.07)); #538054=CARTESIAN_POINT('',(30.223084,2.992275,0.035)); #538055=CARTESIAN_POINT('Origin',(30.23,2.9308875,0.035)); #538056=CARTESIAN_POINT('',(30.23,2.9308875,0.035)); #538057=CARTESIAN_POINT('',(30.23,2.9308875,0.035)); #538058=CARTESIAN_POINT('',(30.23,2.9308875,0.07)); #538059=CARTESIAN_POINT('',(30.23,2.9308875,0.07)); #538060=CARTESIAN_POINT('',(30.23,2.9308875,0.035)); #538061=CARTESIAN_POINT('Origin',(30.23,2.0691125,0.035)); #538062=CARTESIAN_POINT('',(30.23,2.0691125,0.035)); #538063=CARTESIAN_POINT('',(30.23,2.0691125,0.035)); #538064=CARTESIAN_POINT('',(30.23,2.0691125,0.07)); #538065=CARTESIAN_POINT('',(30.23,2.0691125,0.07)); #538066=CARTESIAN_POINT('',(30.23,2.0691125,0.035)); #538067=CARTESIAN_POINT('Origin',(30.223084,2.007725,0.035)); #538068=CARTESIAN_POINT('',(30.223084,2.007725,0.035)); #538069=CARTESIAN_POINT('',(30.223084,2.007725,0.035)); #538070=CARTESIAN_POINT('',(30.223084,2.007725,0.07)); #538071=CARTESIAN_POINT('',(30.223084,2.007725,0.07)); #538072=CARTESIAN_POINT('',(30.223084,2.007725,0.035)); #538073=CARTESIAN_POINT('Origin',(30.209338,1.9475,0.035)); #538074=CARTESIAN_POINT('',(30.209338,1.9475,0.035)); #538075=CARTESIAN_POINT('',(30.209338,1.9475,0.035)); #538076=CARTESIAN_POINT('',(30.209338,1.9475,0.07)); #538077=CARTESIAN_POINT('',(30.209338,1.9475,0.07)); #538078=CARTESIAN_POINT('',(30.209338,1.9475,0.035)); #538079=CARTESIAN_POINT('Origin',(30.188934,1.8891938,0.035)); #538080=CARTESIAN_POINT('',(30.188934,1.8891938,0.035)); #538081=CARTESIAN_POINT('',(30.188934,1.8891938,0.035)); #538082=CARTESIAN_POINT('',(30.188934,1.8891938,0.07)); #538083=CARTESIAN_POINT('',(30.188934,1.8891938,0.07)); #538084=CARTESIAN_POINT('',(30.188934,1.8891938,0.035)); #538085=CARTESIAN_POINT('Origin',(30.162131,1.8335344,0.035)); #538086=CARTESIAN_POINT('',(30.162131,1.8335344,0.035)); #538087=CARTESIAN_POINT('',(30.162131,1.8335344,0.035)); #538088=CARTESIAN_POINT('',(30.162131,1.8335344,0.07)); #538089=CARTESIAN_POINT('',(30.162131,1.8335344,0.07)); #538090=CARTESIAN_POINT('',(30.162131,1.8335344,0.035)); #538091=CARTESIAN_POINT('Origin',(30.129266,1.7812281,0.035)); #538092=CARTESIAN_POINT('',(30.129266,1.7812281,0.035)); #538093=CARTESIAN_POINT('',(30.129266,1.7812281,0.035)); #538094=CARTESIAN_POINT('',(30.129266,1.7812281,0.07)); #538095=CARTESIAN_POINT('',(30.129266,1.7812281,0.07)); #538096=CARTESIAN_POINT('',(30.129266,1.7812281,0.035)); #538097=CARTESIAN_POINT('Origin',(30.09075,1.7329313,0.035)); #538098=CARTESIAN_POINT('',(30.09075,1.7329313,0.035)); #538099=CARTESIAN_POINT('',(30.09075,1.7329313,0.035)); #538100=CARTESIAN_POINT('',(30.09075,1.7329313,0.07)); #538101=CARTESIAN_POINT('',(30.09075,1.7329313,0.07)); #538102=CARTESIAN_POINT('',(30.09075,1.7329313,0.035)); #538103=CARTESIAN_POINT('Origin',(30.047069,1.68925,0.035)); #538104=CARTESIAN_POINT('',(30.047069,1.68925,0.035)); #538105=CARTESIAN_POINT('',(30.047069,1.68925,0.035)); #538106=CARTESIAN_POINT('',(30.047069,1.68925,0.07)); #538107=CARTESIAN_POINT('',(30.047069,1.68925,0.07)); #538108=CARTESIAN_POINT('',(30.047069,1.68925,0.035)); #538109=CARTESIAN_POINT('Origin',(29.998772,1.6507344,0.035)); #538110=CARTESIAN_POINT('',(29.998772,1.6507344,0.035)); #538111=CARTESIAN_POINT('',(29.998772,1.6507344,0.035)); #538112=CARTESIAN_POINT('',(29.998772,1.6507344,0.07)); #538113=CARTESIAN_POINT('',(29.998772,1.6507344,0.07)); #538114=CARTESIAN_POINT('',(29.998772,1.6507344,0.035)); #538115=CARTESIAN_POINT('Origin',(29.946466,1.6178687,0.035)); #538116=CARTESIAN_POINT('',(29.946466,1.6178687,0.035)); #538117=CARTESIAN_POINT('',(29.946466,1.6178687,0.035)); #538118=CARTESIAN_POINT('',(29.946466,1.6178687,0.07)); #538119=CARTESIAN_POINT('',(29.946466,1.6178687,0.07)); #538120=CARTESIAN_POINT('',(29.946466,1.6178687,0.035)); #538121=CARTESIAN_POINT('Origin',(29.890806,1.5910656,0.035)); #538122=CARTESIAN_POINT('',(29.890806,1.5910656,0.035)); #538123=CARTESIAN_POINT('',(29.890806,1.5910656,0.035)); #538124=CARTESIAN_POINT('',(29.890806,1.5910656,0.07)); #538125=CARTESIAN_POINT('',(29.890806,1.5910656,0.07)); #538126=CARTESIAN_POINT('',(29.890806,1.5910656,0.035)); #538127=CARTESIAN_POINT('Origin',(29.8325,1.5706625,0.035)); #538128=CARTESIAN_POINT('',(29.8325,1.5706625,0.035)); #538129=CARTESIAN_POINT('',(29.8325,1.5706625,0.035)); #538130=CARTESIAN_POINT('',(29.8325,1.5706625,0.07)); #538131=CARTESIAN_POINT('',(29.8325,1.5706625,0.07)); #538132=CARTESIAN_POINT('',(29.8325,1.5706625,0.035)); #538133=CARTESIAN_POINT('Origin',(29.772275,1.5569156,0.035)); #538134=CARTESIAN_POINT('',(29.772275,1.5569156,0.035)); #538135=CARTESIAN_POINT('',(29.772275,1.5569156,0.07)); #538136=CARTESIAN_POINT('Origin',(29.680000045263,2.5,0.07)); #538137=CARTESIAN_POINT('Origin',(29.680000045263,2.5,0.035)); #538138=CARTESIAN_POINT('Origin',(39.1500000454174,34.565,-200.)); #538139=CARTESIAN_POINT('',(38.5500000151391,34.565,0.0700000000000145)); #538140=CARTESIAN_POINT('',(39.1500000454174,34.565,0.0700000000000145)); #538141=CARTESIAN_POINT('',(39.0000000471749,34.565,0.0699999999999967)); #538142=CARTESIAN_POINT('',(38.5500000151391,34.565,0.0349999999999895)); #538143=CARTESIAN_POINT('',(38.5500000151391,34.565,-200.)); #538144=CARTESIAN_POINT('',(39.1500000454174,34.565,0.0349999999999895)); #538145=CARTESIAN_POINT('',(39.0000000471749,34.565,0.0350000000000072)); #538146=CARTESIAN_POINT('',(39.1500000454174,34.565,-200.)); #538147=CARTESIAN_POINT('Origin',(39.15,34.,-200.)); #538148=CARTESIAN_POINT('',(39.1499999848609,33.435,0.0700000000000145)); #538149=CARTESIAN_POINT('Origin',(39.15,34.,0.0700000000000145)); #538150=CARTESIAN_POINT('',(39.1499999848609,33.435,0.0349999999999895)); #538151=CARTESIAN_POINT('Origin',(39.15,34.,0.0349999999999895)); #538152=CARTESIAN_POINT('',(39.1499999848609,33.435,-200.)); #538153=CARTESIAN_POINT('Origin',(38.5499999545827,33.435,-200.)); #538154=CARTESIAN_POINT('',(38.5499999545827,33.435,0.0700000000000145)); #538155=CARTESIAN_POINT('',(38.7000000017575,33.435,0.0699999999999967)); #538156=CARTESIAN_POINT('',(38.5499999545827,33.435,0.0349999999999895)); #538157=CARTESIAN_POINT('',(38.7000000017575,33.435,0.0350000000000072)); #538158=CARTESIAN_POINT('',(38.5499999545827,33.435,-200.)); #538159=CARTESIAN_POINT('Origin',(38.55,34.,-200.)); #538160=CARTESIAN_POINT('Origin',(38.55,34.,0.0700000000000145)); #538161=CARTESIAN_POINT('Origin',(38.55,34.,0.0349999999999895)); #538162=CARTESIAN_POINT('Origin',(39.189294,33.1,0.035)); #538163=CARTESIAN_POINT('',(39.189294,33.1,0.035)); #538164=CARTESIAN_POINT('',(39.267584,33.10685,0.035)); #538165=CARTESIAN_POINT('',(39.189294,33.1,0.035)); #538166=CARTESIAN_POINT('',(39.267584,33.10685,0.07)); #538167=CARTESIAN_POINT('',(39.267584,33.10685,0.035)); #538168=CARTESIAN_POINT('',(39.189294,33.1,0.07)); #538169=CARTESIAN_POINT('',(39.189294,33.1,0.07)); #538170=CARTESIAN_POINT('',(39.189294,33.1,0.035)); #538171=CARTESIAN_POINT('Origin',(38.510706,33.1,0.035)); #538172=CARTESIAN_POINT('',(38.510706,33.1,0.035)); #538173=CARTESIAN_POINT('',(38.510706,33.1,0.035)); #538174=CARTESIAN_POINT('',(38.510706,33.1,0.07)); #538175=CARTESIAN_POINT('',(38.510706,33.1,0.07)); #538176=CARTESIAN_POINT('',(38.510706,33.1,0.035)); #538177=CARTESIAN_POINT('Origin',(38.432416,33.10685,0.035)); #538178=CARTESIAN_POINT('',(38.432416,33.10685,0.035)); #538179=CARTESIAN_POINT('',(38.432416,33.10685,0.035)); #538180=CARTESIAN_POINT('',(38.432416,33.10685,0.07)); #538181=CARTESIAN_POINT('',(38.432416,33.10685,0.07)); #538182=CARTESIAN_POINT('',(38.432416,33.10685,0.035)); #538183=CARTESIAN_POINT('Origin',(38.355019,33.120497,0.035)); #538184=CARTESIAN_POINT('',(38.355019,33.120497,0.035)); #538185=CARTESIAN_POINT('',(38.355019,33.120497,0.035)); #538186=CARTESIAN_POINT('',(38.355019,33.120497,0.07)); #538187=CARTESIAN_POINT('',(38.355019,33.120497,0.07)); #538188=CARTESIAN_POINT('',(38.355019,33.120497,0.035)); #538189=CARTESIAN_POINT('Origin',(38.279106,33.140838,0.035)); #538190=CARTESIAN_POINT('',(38.279106,33.140838,0.035)); #538191=CARTESIAN_POINT('',(38.279106,33.140838,0.035)); #538192=CARTESIAN_POINT('',(38.279106,33.140838,0.07)); #538193=CARTESIAN_POINT('',(38.279106,33.140838,0.07)); #538194=CARTESIAN_POINT('',(38.279106,33.140838,0.035)); #538195=CARTESIAN_POINT('Origin',(38.205256,33.167716,0.035)); #538196=CARTESIAN_POINT('',(38.205256,33.167716,0.035)); #538197=CARTESIAN_POINT('',(38.205256,33.167716,0.035)); #538198=CARTESIAN_POINT('',(38.205256,33.167716,0.07)); #538199=CARTESIAN_POINT('',(38.205256,33.167716,0.07)); #538200=CARTESIAN_POINT('',(38.205256,33.167716,0.035)); #538201=CARTESIAN_POINT('Origin',(38.134031,33.200931,0.035)); #538202=CARTESIAN_POINT('',(38.134031,33.200931,0.035)); #538203=CARTESIAN_POINT('',(38.134031,33.200931,0.035)); #538204=CARTESIAN_POINT('',(38.134031,33.200931,0.07)); #538205=CARTESIAN_POINT('',(38.134031,33.200931,0.07)); #538206=CARTESIAN_POINT('',(38.134031,33.200931,0.035)); #538207=CARTESIAN_POINT('Origin',(38.065969,33.240225,0.035)); #538208=CARTESIAN_POINT('',(38.065969,33.240225,0.035)); #538209=CARTESIAN_POINT('',(38.065969,33.240225,0.035)); #538210=CARTESIAN_POINT('',(38.065969,33.240225,0.07)); #538211=CARTESIAN_POINT('',(38.065969,33.240225,0.07)); #538212=CARTESIAN_POINT('',(38.065969,33.240225,0.035)); #538213=CARTESIAN_POINT('Origin',(38.001594,33.285303,0.035)); #538214=CARTESIAN_POINT('',(38.001594,33.285303,0.035)); #538215=CARTESIAN_POINT('',(38.001594,33.285303,0.035)); #538216=CARTESIAN_POINT('',(38.001594,33.285303,0.07)); #538217=CARTESIAN_POINT('',(38.001594,33.285303,0.07)); #538218=CARTESIAN_POINT('',(38.001594,33.285303,0.035)); #538219=CARTESIAN_POINT('Origin',(37.941391,33.335819,0.035)); #538220=CARTESIAN_POINT('',(37.941391,33.335819,0.035)); #538221=CARTESIAN_POINT('',(37.941391,33.335819,0.035)); #538222=CARTESIAN_POINT('',(37.941391,33.335819,0.07)); #538223=CARTESIAN_POINT('',(37.941391,33.335819,0.07)); #538224=CARTESIAN_POINT('',(37.941391,33.335819,0.035)); #538225=CARTESIAN_POINT('Origin',(37.885819,33.391391,0.035)); #538226=CARTESIAN_POINT('',(37.885819,33.391391,0.035)); #538227=CARTESIAN_POINT('',(37.885819,33.391391,0.035)); #538228=CARTESIAN_POINT('',(37.885819,33.391391,0.07)); #538229=CARTESIAN_POINT('',(37.885819,33.391391,0.07)); #538230=CARTESIAN_POINT('',(37.885819,33.391391,0.035)); #538231=CARTESIAN_POINT('Origin',(37.835303,33.451594,0.035)); #538232=CARTESIAN_POINT('',(37.835303,33.451594,0.035)); #538233=CARTESIAN_POINT('',(37.835303,33.451594,0.035)); #538234=CARTESIAN_POINT('',(37.835303,33.451594,0.07)); #538235=CARTESIAN_POINT('',(37.835303,33.451594,0.07)); #538236=CARTESIAN_POINT('',(37.835303,33.451594,0.035)); #538237=CARTESIAN_POINT('Origin',(37.790225,33.515969,0.035)); #538238=CARTESIAN_POINT('',(37.790225,33.515969,0.035)); #538239=CARTESIAN_POINT('',(37.790225,33.515969,0.035)); #538240=CARTESIAN_POINT('',(37.790225,33.515969,0.07)); #538241=CARTESIAN_POINT('',(37.790225,33.515969,0.07)); #538242=CARTESIAN_POINT('',(37.790225,33.515969,0.035)); #538243=CARTESIAN_POINT('Origin',(37.750931,33.584031,0.035)); #538244=CARTESIAN_POINT('',(37.750931,33.584031,0.035)); #538245=CARTESIAN_POINT('',(37.750931,33.584031,0.035)); #538246=CARTESIAN_POINT('',(37.750931,33.584031,0.07)); #538247=CARTESIAN_POINT('',(37.750931,33.584031,0.07)); #538248=CARTESIAN_POINT('',(37.750931,33.584031,0.035)); #538249=CARTESIAN_POINT('Origin',(37.717716,33.655256,0.035)); #538250=CARTESIAN_POINT('',(37.717716,33.655256,0.035)); #538251=CARTESIAN_POINT('',(37.717716,33.655256,0.035)); #538252=CARTESIAN_POINT('',(37.717716,33.655256,0.07)); #538253=CARTESIAN_POINT('',(37.717716,33.655256,0.07)); #538254=CARTESIAN_POINT('',(37.717716,33.655256,0.035)); #538255=CARTESIAN_POINT('Origin',(37.690838,33.729106,0.035)); #538256=CARTESIAN_POINT('',(37.690838,33.729106,0.035)); #538257=CARTESIAN_POINT('',(37.690838,33.729106,0.035)); #538258=CARTESIAN_POINT('',(37.690838,33.729106,0.07)); #538259=CARTESIAN_POINT('',(37.690838,33.729106,0.07)); #538260=CARTESIAN_POINT('',(37.690838,33.729106,0.035)); #538261=CARTESIAN_POINT('Origin',(37.670497,33.805019,0.035)); #538262=CARTESIAN_POINT('',(37.670497,33.805019,0.035)); #538263=CARTESIAN_POINT('',(37.670497,33.805019,0.035)); #538264=CARTESIAN_POINT('',(37.670497,33.805019,0.07)); #538265=CARTESIAN_POINT('',(37.670497,33.805019,0.07)); #538266=CARTESIAN_POINT('',(37.670497,33.805019,0.035)); #538267=CARTESIAN_POINT('Origin',(37.65685,33.882416,0.035)); #538268=CARTESIAN_POINT('',(37.65685,33.882416,0.035)); #538269=CARTESIAN_POINT('',(37.65685,33.882416,0.035)); #538270=CARTESIAN_POINT('',(37.65685,33.882416,0.07)); #538271=CARTESIAN_POINT('',(37.65685,33.882416,0.07)); #538272=CARTESIAN_POINT('',(37.65685,33.882416,0.035)); #538273=CARTESIAN_POINT('Origin',(37.65,33.960706,0.035)); #538274=CARTESIAN_POINT('',(37.65,33.960706,0.035)); #538275=CARTESIAN_POINT('',(37.65,33.960706,0.035)); #538276=CARTESIAN_POINT('',(37.65,33.960706,0.07)); #538277=CARTESIAN_POINT('',(37.65,33.960706,0.07)); #538278=CARTESIAN_POINT('',(37.65,33.960706,0.035)); #538279=CARTESIAN_POINT('Origin',(37.65,34.039294,0.035)); #538280=CARTESIAN_POINT('',(37.65,34.039294,0.035)); #538281=CARTESIAN_POINT('',(37.65,34.039294,0.035)); #538282=CARTESIAN_POINT('',(37.65,34.039294,0.07)); #538283=CARTESIAN_POINT('',(37.65,34.039294,0.07)); #538284=CARTESIAN_POINT('',(37.65,34.039294,0.035)); #538285=CARTESIAN_POINT('Origin',(37.65685,34.117584,0.035)); #538286=CARTESIAN_POINT('',(37.65685,34.117584,0.035)); #538287=CARTESIAN_POINT('',(37.65685,34.117584,0.035)); #538288=CARTESIAN_POINT('',(37.65685,34.117584,0.07)); #538289=CARTESIAN_POINT('',(37.65685,34.117584,0.07)); #538290=CARTESIAN_POINT('',(37.65685,34.117584,0.035)); #538291=CARTESIAN_POINT('Origin',(37.670497,34.194981,0.035)); #538292=CARTESIAN_POINT('',(37.670497,34.194981,0.035)); #538293=CARTESIAN_POINT('',(37.670497,34.194981,0.035)); #538294=CARTESIAN_POINT('',(37.670497,34.194981,0.07)); #538295=CARTESIAN_POINT('',(37.670497,34.194981,0.07)); #538296=CARTESIAN_POINT('',(37.670497,34.194981,0.035)); #538297=CARTESIAN_POINT('Origin',(37.690838,34.270894,0.035)); #538298=CARTESIAN_POINT('',(37.690838,34.270894,0.035)); #538299=CARTESIAN_POINT('',(37.690838,34.270894,0.035)); #538300=CARTESIAN_POINT('',(37.690838,34.270894,0.07)); #538301=CARTESIAN_POINT('',(37.690838,34.270894,0.07)); #538302=CARTESIAN_POINT('',(37.690838,34.270894,0.035)); #538303=CARTESIAN_POINT('Origin',(37.717716,34.344744,0.035)); #538304=CARTESIAN_POINT('',(37.717716,34.344744,0.035)); #538305=CARTESIAN_POINT('',(37.717716,34.344744,0.035)); #538306=CARTESIAN_POINT('',(37.717716,34.344744,0.07)); #538307=CARTESIAN_POINT('',(37.717716,34.344744,0.07)); #538308=CARTESIAN_POINT('',(37.717716,34.344744,0.035)); #538309=CARTESIAN_POINT('Origin',(37.750931,34.415969,0.035)); #538310=CARTESIAN_POINT('',(37.750931,34.415969,0.035)); #538311=CARTESIAN_POINT('',(37.750931,34.415969,0.035)); #538312=CARTESIAN_POINT('',(37.750931,34.415969,0.07)); #538313=CARTESIAN_POINT('',(37.750931,34.415969,0.07)); #538314=CARTESIAN_POINT('',(37.750931,34.415969,0.035)); #538315=CARTESIAN_POINT('Origin',(37.790225,34.484031,0.035)); #538316=CARTESIAN_POINT('',(37.790225,34.484031,0.035)); #538317=CARTESIAN_POINT('',(37.790225,34.484031,0.035)); #538318=CARTESIAN_POINT('',(37.790225,34.484031,0.07)); #538319=CARTESIAN_POINT('',(37.790225,34.484031,0.07)); #538320=CARTESIAN_POINT('',(37.790225,34.484031,0.035)); #538321=CARTESIAN_POINT('Origin',(37.835303,34.548406,0.035)); #538322=CARTESIAN_POINT('',(37.835303,34.548406,0.035)); #538323=CARTESIAN_POINT('',(37.835303,34.548406,0.035)); #538324=CARTESIAN_POINT('',(37.835303,34.548406,0.07)); #538325=CARTESIAN_POINT('',(37.835303,34.548406,0.07)); #538326=CARTESIAN_POINT('',(37.835303,34.548406,0.035)); #538327=CARTESIAN_POINT('Origin',(37.885819,34.608609,0.035)); #538328=CARTESIAN_POINT('',(37.885819,34.608609,0.035)); #538329=CARTESIAN_POINT('',(37.885819,34.608609,0.035)); #538330=CARTESIAN_POINT('',(37.885819,34.608609,0.07)); #538331=CARTESIAN_POINT('',(37.885819,34.608609,0.07)); #538332=CARTESIAN_POINT('',(37.885819,34.608609,0.035)); #538333=CARTESIAN_POINT('Origin',(37.941391,34.664181,0.035)); #538334=CARTESIAN_POINT('',(37.941391,34.664181,0.035)); #538335=CARTESIAN_POINT('',(37.941391,34.664181,0.035)); #538336=CARTESIAN_POINT('',(37.941391,34.664181,0.07)); #538337=CARTESIAN_POINT('',(37.941391,34.664181,0.07)); #538338=CARTESIAN_POINT('',(37.941391,34.664181,0.035)); #538339=CARTESIAN_POINT('Origin',(38.001594,34.714697,0.035)); #538340=CARTESIAN_POINT('',(38.001594,34.714697,0.035)); #538341=CARTESIAN_POINT('',(38.001594,34.714697,0.035)); #538342=CARTESIAN_POINT('',(38.001594,34.714697,0.07)); #538343=CARTESIAN_POINT('',(38.001594,34.714697,0.07)); #538344=CARTESIAN_POINT('',(38.001594,34.714697,0.035)); #538345=CARTESIAN_POINT('Origin',(38.065969,34.759775,0.035)); #538346=CARTESIAN_POINT('',(38.065969,34.759775,0.035)); #538347=CARTESIAN_POINT('',(38.065969,34.759775,0.035)); #538348=CARTESIAN_POINT('',(38.065969,34.759775,0.07)); #538349=CARTESIAN_POINT('',(38.065969,34.759775,0.07)); #538350=CARTESIAN_POINT('',(38.065969,34.759775,0.035)); #538351=CARTESIAN_POINT('Origin',(38.134031,34.799069,0.035)); #538352=CARTESIAN_POINT('',(38.134031,34.799069,0.035)); #538353=CARTESIAN_POINT('',(38.134031,34.799069,0.035)); #538354=CARTESIAN_POINT('',(38.134031,34.799069,0.07)); #538355=CARTESIAN_POINT('',(38.134031,34.799069,0.07)); #538356=CARTESIAN_POINT('',(38.134031,34.799069,0.035)); #538357=CARTESIAN_POINT('Origin',(38.205256,34.832284,0.035)); #538358=CARTESIAN_POINT('',(38.205256,34.832284,0.035)); #538359=CARTESIAN_POINT('',(38.205256,34.832284,0.035)); #538360=CARTESIAN_POINT('',(38.205256,34.832284,0.07)); #538361=CARTESIAN_POINT('',(38.205256,34.832284,0.07)); #538362=CARTESIAN_POINT('',(38.205256,34.832284,0.035)); #538363=CARTESIAN_POINT('Origin',(38.279106,34.859163,0.035)); #538364=CARTESIAN_POINT('',(38.279106,34.859163,0.035)); #538365=CARTESIAN_POINT('',(38.279106,34.859163,0.035)); #538366=CARTESIAN_POINT('',(38.279106,34.859163,0.07)); #538367=CARTESIAN_POINT('',(38.279106,34.859163,0.07)); #538368=CARTESIAN_POINT('',(38.279106,34.859163,0.035)); #538369=CARTESIAN_POINT('Origin',(38.355019,34.879503,0.035)); #538370=CARTESIAN_POINT('',(38.355019,34.879503,0.035)); #538371=CARTESIAN_POINT('',(38.355019,34.879503,0.035)); #538372=CARTESIAN_POINT('',(38.355019,34.879503,0.07)); #538373=CARTESIAN_POINT('',(38.355019,34.879503,0.07)); #538374=CARTESIAN_POINT('',(38.355019,34.879503,0.035)); #538375=CARTESIAN_POINT('Origin',(38.432416,34.89315,0.035)); #538376=CARTESIAN_POINT('',(38.432416,34.89315,0.035)); #538377=CARTESIAN_POINT('',(38.432416,34.89315,0.035)); #538378=CARTESIAN_POINT('',(38.432416,34.89315,0.07)); #538379=CARTESIAN_POINT('',(38.432416,34.89315,0.07)); #538380=CARTESIAN_POINT('',(38.432416,34.89315,0.035)); #538381=CARTESIAN_POINT('Origin',(38.510706,34.9,0.035)); #538382=CARTESIAN_POINT('',(38.510706,34.9,0.035)); #538383=CARTESIAN_POINT('',(38.510706,34.9,0.035)); #538384=CARTESIAN_POINT('',(38.510706,34.9,0.07)); #538385=CARTESIAN_POINT('',(38.510706,34.9,0.07)); #538386=CARTESIAN_POINT('',(38.510706,34.9,0.035)); #538387=CARTESIAN_POINT('Origin',(39.189294,34.9,0.035)); #538388=CARTESIAN_POINT('',(39.189294,34.9,0.035)); #538389=CARTESIAN_POINT('',(39.189294,34.9,0.035)); #538390=CARTESIAN_POINT('',(39.189294,34.9,0.07)); #538391=CARTESIAN_POINT('',(39.189294,34.9,0.07)); #538392=CARTESIAN_POINT('',(39.189294,34.9,0.035)); #538393=CARTESIAN_POINT('Origin',(39.267584,34.89315,0.035)); #538394=CARTESIAN_POINT('',(39.267584,34.89315,0.035)); #538395=CARTESIAN_POINT('',(39.267584,34.89315,0.035)); #538396=CARTESIAN_POINT('',(39.267584,34.89315,0.07)); #538397=CARTESIAN_POINT('',(39.267584,34.89315,0.07)); #538398=CARTESIAN_POINT('',(39.267584,34.89315,0.035)); #538399=CARTESIAN_POINT('Origin',(39.344981,34.879503,0.035)); #538400=CARTESIAN_POINT('',(39.344981,34.879503,0.035)); #538401=CARTESIAN_POINT('',(39.344981,34.879503,0.035)); #538402=CARTESIAN_POINT('',(39.344981,34.879503,0.07)); #538403=CARTESIAN_POINT('',(39.344981,34.879503,0.07)); #538404=CARTESIAN_POINT('',(39.344981,34.879503,0.035)); #538405=CARTESIAN_POINT('Origin',(39.420894,34.859163,0.035)); #538406=CARTESIAN_POINT('',(39.420894,34.859163,0.035)); #538407=CARTESIAN_POINT('',(39.420894,34.859163,0.035)); #538408=CARTESIAN_POINT('',(39.420894,34.859163,0.07)); #538409=CARTESIAN_POINT('',(39.420894,34.859163,0.07)); #538410=CARTESIAN_POINT('',(39.420894,34.859163,0.035)); #538411=CARTESIAN_POINT('Origin',(39.494744,34.832284,0.035)); #538412=CARTESIAN_POINT('',(39.494744,34.832284,0.035)); #538413=CARTESIAN_POINT('',(39.494744,34.832284,0.035)); #538414=CARTESIAN_POINT('',(39.494744,34.832284,0.07)); #538415=CARTESIAN_POINT('',(39.494744,34.832284,0.07)); #538416=CARTESIAN_POINT('',(39.494744,34.832284,0.035)); #538417=CARTESIAN_POINT('Origin',(39.565969,34.799069,0.035)); #538418=CARTESIAN_POINT('',(39.565969,34.799069,0.035)); #538419=CARTESIAN_POINT('',(39.565969,34.799069,0.035)); #538420=CARTESIAN_POINT('',(39.565969,34.799069,0.07)); #538421=CARTESIAN_POINT('',(39.565969,34.799069,0.07)); #538422=CARTESIAN_POINT('',(39.565969,34.799069,0.035)); #538423=CARTESIAN_POINT('Origin',(39.634031,34.759775,0.035)); #538424=CARTESIAN_POINT('',(39.634031,34.759775,0.035)); #538425=CARTESIAN_POINT('',(39.634031,34.759775,0.035)); #538426=CARTESIAN_POINT('',(39.634031,34.759775,0.07)); #538427=CARTESIAN_POINT('',(39.634031,34.759775,0.07)); #538428=CARTESIAN_POINT('',(39.634031,34.759775,0.035)); #538429=CARTESIAN_POINT('Origin',(39.698406,34.714697,0.035)); #538430=CARTESIAN_POINT('',(39.698406,34.714697,0.035)); #538431=CARTESIAN_POINT('',(39.698406,34.714697,0.035)); #538432=CARTESIAN_POINT('',(39.698406,34.714697,0.07)); #538433=CARTESIAN_POINT('',(39.698406,34.714697,0.07)); #538434=CARTESIAN_POINT('',(39.698406,34.714697,0.035)); #538435=CARTESIAN_POINT('Origin',(39.758609,34.664181,0.035)); #538436=CARTESIAN_POINT('',(39.758609,34.664181,0.035)); #538437=CARTESIAN_POINT('',(39.758609,34.664181,0.035)); #538438=CARTESIAN_POINT('',(39.758609,34.664181,0.07)); #538439=CARTESIAN_POINT('',(39.758609,34.664181,0.07)); #538440=CARTESIAN_POINT('',(39.758609,34.664181,0.035)); #538441=CARTESIAN_POINT('Origin',(39.814181,34.608609,0.035)); #538442=CARTESIAN_POINT('',(39.814181,34.608609,0.035)); #538443=CARTESIAN_POINT('',(39.814181,34.608609,0.035)); #538444=CARTESIAN_POINT('',(39.814181,34.608609,0.07)); #538445=CARTESIAN_POINT('',(39.814181,34.608609,0.07)); #538446=CARTESIAN_POINT('',(39.814181,34.608609,0.035)); #538447=CARTESIAN_POINT('Origin',(39.864697,34.548406,0.035)); #538448=CARTESIAN_POINT('',(39.864697,34.548406,0.035)); #538449=CARTESIAN_POINT('',(39.864697,34.548406,0.035)); #538450=CARTESIAN_POINT('',(39.864697,34.548406,0.07)); #538451=CARTESIAN_POINT('',(39.864697,34.548406,0.07)); #538452=CARTESIAN_POINT('',(39.864697,34.548406,0.035)); #538453=CARTESIAN_POINT('Origin',(39.909775,34.484031,0.035)); #538454=CARTESIAN_POINT('',(39.909775,34.484031,0.035)); #538455=CARTESIAN_POINT('',(39.909775,34.484031,0.035)); #538456=CARTESIAN_POINT('',(39.909775,34.484031,0.07)); #538457=CARTESIAN_POINT('',(39.909775,34.484031,0.07)); #538458=CARTESIAN_POINT('',(39.909775,34.484031,0.035)); #538459=CARTESIAN_POINT('Origin',(39.949069,34.415969,0.035)); #538460=CARTESIAN_POINT('',(39.949069,34.415969,0.035)); #538461=CARTESIAN_POINT('',(39.949069,34.415969,0.035)); #538462=CARTESIAN_POINT('',(39.949069,34.415969,0.07)); #538463=CARTESIAN_POINT('',(39.949069,34.415969,0.07)); #538464=CARTESIAN_POINT('',(39.949069,34.415969,0.035)); #538465=CARTESIAN_POINT('Origin',(39.982284,34.344744,0.035)); #538466=CARTESIAN_POINT('',(39.982284,34.344744,0.035)); #538467=CARTESIAN_POINT('',(39.982284,34.344744,0.035)); #538468=CARTESIAN_POINT('',(39.982284,34.344744,0.07)); #538469=CARTESIAN_POINT('',(39.982284,34.344744,0.07)); #538470=CARTESIAN_POINT('',(39.982284,34.344744,0.035)); #538471=CARTESIAN_POINT('Origin',(40.009163,34.270894,0.035)); #538472=CARTESIAN_POINT('',(40.009163,34.270894,0.035)); #538473=CARTESIAN_POINT('',(40.009163,34.270894,0.035)); #538474=CARTESIAN_POINT('',(40.009163,34.270894,0.07)); #538475=CARTESIAN_POINT('',(40.009163,34.270894,0.07)); #538476=CARTESIAN_POINT('',(40.009163,34.270894,0.035)); #538477=CARTESIAN_POINT('Origin',(40.029503,34.194981,0.035)); #538478=CARTESIAN_POINT('',(40.029503,34.194981,0.035)); #538479=CARTESIAN_POINT('',(40.029503,34.194981,0.035)); #538480=CARTESIAN_POINT('',(40.029503,34.194981,0.07)); #538481=CARTESIAN_POINT('',(40.029503,34.194981,0.07)); #538482=CARTESIAN_POINT('',(40.029503,34.194981,0.035)); #538483=CARTESIAN_POINT('Origin',(40.04315,34.117584,0.035)); #538484=CARTESIAN_POINT('',(40.04315,34.117584,0.035)); #538485=CARTESIAN_POINT('',(40.04315,34.117584,0.035)); #538486=CARTESIAN_POINT('',(40.04315,34.117584,0.07)); #538487=CARTESIAN_POINT('',(40.04315,34.117584,0.07)); #538488=CARTESIAN_POINT('',(40.04315,34.117584,0.035)); #538489=CARTESIAN_POINT('Origin',(40.05,34.039294,0.035)); #538490=CARTESIAN_POINT('',(40.05,34.039294,0.035)); #538491=CARTESIAN_POINT('',(40.05,34.039294,0.035)); #538492=CARTESIAN_POINT('',(40.05,34.039294,0.07)); #538493=CARTESIAN_POINT('',(40.05,34.039294,0.07)); #538494=CARTESIAN_POINT('',(40.05,34.039294,0.035)); #538495=CARTESIAN_POINT('Origin',(40.05,33.960706,0.035)); #538496=CARTESIAN_POINT('',(40.05,33.960706,0.035)); #538497=CARTESIAN_POINT('',(40.05,33.960706,0.035)); #538498=CARTESIAN_POINT('',(40.05,33.960706,0.07)); #538499=CARTESIAN_POINT('',(40.05,33.960706,0.07)); #538500=CARTESIAN_POINT('',(40.05,33.960706,0.035)); #538501=CARTESIAN_POINT('Origin',(40.04315,33.882416,0.035)); #538502=CARTESIAN_POINT('',(40.04315,33.882416,0.035)); #538503=CARTESIAN_POINT('',(40.04315,33.882416,0.035)); #538504=CARTESIAN_POINT('',(40.04315,33.882416,0.07)); #538505=CARTESIAN_POINT('',(40.04315,33.882416,0.07)); #538506=CARTESIAN_POINT('',(40.04315,33.882416,0.035)); #538507=CARTESIAN_POINT('Origin',(40.029503,33.805019,0.035)); #538508=CARTESIAN_POINT('',(40.029503,33.805019,0.035)); #538509=CARTESIAN_POINT('',(40.029503,33.805019,0.035)); #538510=CARTESIAN_POINT('',(40.029503,33.805019,0.07)); #538511=CARTESIAN_POINT('',(40.029503,33.805019,0.07)); #538512=CARTESIAN_POINT('',(40.029503,33.805019,0.035)); #538513=CARTESIAN_POINT('Origin',(40.009163,33.729106,0.035)); #538514=CARTESIAN_POINT('',(40.009163,33.729106,0.035)); #538515=CARTESIAN_POINT('',(40.009163,33.729106,0.035)); #538516=CARTESIAN_POINT('',(40.009163,33.729106,0.07)); #538517=CARTESIAN_POINT('',(40.009163,33.729106,0.07)); #538518=CARTESIAN_POINT('',(40.009163,33.729106,0.035)); #538519=CARTESIAN_POINT('Origin',(39.982284,33.655256,0.035)); #538520=CARTESIAN_POINT('',(39.982284,33.655256,0.035)); #538521=CARTESIAN_POINT('',(39.982284,33.655256,0.035)); #538522=CARTESIAN_POINT('',(39.982284,33.655256,0.07)); #538523=CARTESIAN_POINT('',(39.982284,33.655256,0.07)); #538524=CARTESIAN_POINT('',(39.982284,33.655256,0.035)); #538525=CARTESIAN_POINT('Origin',(39.949069,33.584031,0.035)); #538526=CARTESIAN_POINT('',(39.949069,33.584031,0.035)); #538527=CARTESIAN_POINT('',(39.949069,33.584031,0.035)); #538528=CARTESIAN_POINT('',(39.949069,33.584031,0.07)); #538529=CARTESIAN_POINT('',(39.949069,33.584031,0.07)); #538530=CARTESIAN_POINT('',(39.949069,33.584031,0.035)); #538531=CARTESIAN_POINT('Origin',(39.909775,33.515969,0.035)); #538532=CARTESIAN_POINT('',(39.909775,33.515969,0.035)); #538533=CARTESIAN_POINT('',(39.909775,33.515969,0.035)); #538534=CARTESIAN_POINT('',(39.909775,33.515969,0.07)); #538535=CARTESIAN_POINT('',(39.909775,33.515969,0.07)); #538536=CARTESIAN_POINT('',(39.909775,33.515969,0.035)); #538537=CARTESIAN_POINT('Origin',(39.864697,33.451594,0.035)); #538538=CARTESIAN_POINT('',(39.864697,33.451594,0.035)); #538539=CARTESIAN_POINT('',(39.864697,33.451594,0.035)); #538540=CARTESIAN_POINT('',(39.864697,33.451594,0.07)); #538541=CARTESIAN_POINT('',(39.864697,33.451594,0.07)); #538542=CARTESIAN_POINT('',(39.864697,33.451594,0.035)); #538543=CARTESIAN_POINT('Origin',(39.814181,33.391391,0.035)); #538544=CARTESIAN_POINT('',(39.814181,33.391391,0.035)); #538545=CARTESIAN_POINT('',(39.814181,33.391391,0.035)); #538546=CARTESIAN_POINT('',(39.814181,33.391391,0.07)); #538547=CARTESIAN_POINT('',(39.814181,33.391391,0.07)); #538548=CARTESIAN_POINT('',(39.814181,33.391391,0.035)); #538549=CARTESIAN_POINT('Origin',(39.758609,33.335819,0.035)); #538550=CARTESIAN_POINT('',(39.758609,33.335819,0.035)); #538551=CARTESIAN_POINT('',(39.758609,33.335819,0.035)); #538552=CARTESIAN_POINT('',(39.758609,33.335819,0.07)); #538553=CARTESIAN_POINT('',(39.758609,33.335819,0.07)); #538554=CARTESIAN_POINT('',(39.758609,33.335819,0.035)); #538555=CARTESIAN_POINT('Origin',(39.698406,33.285303,0.035)); #538556=CARTESIAN_POINT('',(39.698406,33.285303,0.035)); #538557=CARTESIAN_POINT('',(39.698406,33.285303,0.035)); #538558=CARTESIAN_POINT('',(39.698406,33.285303,0.07)); #538559=CARTESIAN_POINT('',(39.698406,33.285303,0.07)); #538560=CARTESIAN_POINT('',(39.698406,33.285303,0.035)); #538561=CARTESIAN_POINT('Origin',(39.634031,33.240225,0.035)); #538562=CARTESIAN_POINT('',(39.634031,33.240225,0.035)); #538563=CARTESIAN_POINT('',(39.634031,33.240225,0.035)); #538564=CARTESIAN_POINT('',(39.634031,33.240225,0.07)); #538565=CARTESIAN_POINT('',(39.634031,33.240225,0.07)); #538566=CARTESIAN_POINT('',(39.634031,33.240225,0.035)); #538567=CARTESIAN_POINT('Origin',(39.565969,33.200931,0.035)); #538568=CARTESIAN_POINT('',(39.565969,33.200931,0.035)); #538569=CARTESIAN_POINT('',(39.565969,33.200931,0.035)); #538570=CARTESIAN_POINT('',(39.565969,33.200931,0.07)); #538571=CARTESIAN_POINT('',(39.565969,33.200931,0.07)); #538572=CARTESIAN_POINT('',(39.565969,33.200931,0.035)); #538573=CARTESIAN_POINT('Origin',(39.494744,33.167716,0.035)); #538574=CARTESIAN_POINT('',(39.494744,33.167716,0.035)); #538575=CARTESIAN_POINT('',(39.494744,33.167716,0.035)); #538576=CARTESIAN_POINT('',(39.494744,33.167716,0.07)); #538577=CARTESIAN_POINT('',(39.494744,33.167716,0.07)); #538578=CARTESIAN_POINT('',(39.494744,33.167716,0.035)); #538579=CARTESIAN_POINT('Origin',(39.420894,33.140838,0.035)); #538580=CARTESIAN_POINT('',(39.420894,33.140838,0.035)); #538581=CARTESIAN_POINT('',(39.420894,33.140838,0.035)); #538582=CARTESIAN_POINT('',(39.420894,33.140838,0.07)); #538583=CARTESIAN_POINT('',(39.420894,33.140838,0.07)); #538584=CARTESIAN_POINT('',(39.420894,33.140838,0.035)); #538585=CARTESIAN_POINT('Origin',(39.344981,33.120497,0.035)); #538586=CARTESIAN_POINT('',(39.344981,33.120497,0.035)); #538587=CARTESIAN_POINT('',(39.344981,33.120497,0.035)); #538588=CARTESIAN_POINT('',(39.344981,33.120497,0.07)); #538589=CARTESIAN_POINT('',(39.344981,33.120497,0.07)); #538590=CARTESIAN_POINT('',(39.344981,33.120497,0.035)); #538591=CARTESIAN_POINT('Origin',(39.267584,33.10685,0.035)); #538592=CARTESIAN_POINT('',(39.267584,33.10685,0.035)); #538593=CARTESIAN_POINT('',(39.267584,33.10685,0.07)); #538594=CARTESIAN_POINT('Origin',(38.8500000489324,34.0000000416541,0.07)); #538595=CARTESIAN_POINT('Origin',(38.8500000489324,34.0000000416541,0.035)); #538596=CARTESIAN_POINT('Origin',(12.25,34.,-200.)); #538597=CARTESIAN_POINT('',(11.785,34.,0.0349999999999895)); #538598=CARTESIAN_POINT('Origin',(12.25,34.,0.0349999999999895)); #538599=CARTESIAN_POINT('',(11.785,34.,0.0700000000000145)); #538600=CARTESIAN_POINT('',(11.785,34.,-200.)); #538601=CARTESIAN_POINT('Origin',(12.25,34.,0.0700000000000145)); #538602=CARTESIAN_POINT('Origin',(12.286844,33.25,0.035)); #538603=CARTESIAN_POINT('',(12.286844,33.25,0.035)); #538604=CARTESIAN_POINT('',(12.360181,33.257222,0.035)); #538605=CARTESIAN_POINT('',(12.286844,33.25,0.035)); #538606=CARTESIAN_POINT('',(12.360181,33.257222,0.07)); #538607=CARTESIAN_POINT('',(12.360181,33.257222,0.035)); #538608=CARTESIAN_POINT('',(12.286844,33.25,0.07)); #538609=CARTESIAN_POINT('',(12.286844,33.25,0.07)); #538610=CARTESIAN_POINT('',(12.286844,33.25,0.035)); #538611=CARTESIAN_POINT('Origin',(12.2500001874156,34.0000005308286,0.035)); #538612=CARTESIAN_POINT('',(12.139819,34.742778,0.035)); #538613=CARTESIAN_POINT('Origin',(12.2500001874156,34.0000005308286,0.035)); #538614=CARTESIAN_POINT('',(12.139819,34.742778,0.07)); #538615=CARTESIAN_POINT('Origin',(12.2500001874156,34.0000005308286,0.07)); #538616=CARTESIAN_POINT('',(12.139819,34.742778,0.035)); #538617=CARTESIAN_POINT('Origin',(12.25,34.,0.035)); #538618=CARTESIAN_POINT('Origin',(12.25,34.,0.035)); #538619=CARTESIAN_POINT('Origin',(12.25,34.,0.07)); #538620=CARTESIAN_POINT('Origin',(12.2483877860344,33.9986960162912,0.07)); #538621=CARTESIAN_POINT('Origin',(12.2483877860344,33.9986960162912,0.035)); #538622=CARTESIAN_POINT('Origin',(24.25,34.,-200.)); #538623=CARTESIAN_POINT('',(23.735,34.,0.0349999999999895)); #538624=CARTESIAN_POINT('Origin',(24.25,34.,0.0349999999999895)); #538625=CARTESIAN_POINT('',(23.735,34.,0.0700000000000145)); #538626=CARTESIAN_POINT('',(23.735,34.,-200.)); #538627=CARTESIAN_POINT('Origin',(24.25,34.,0.0700000000000145)); #538628=CARTESIAN_POINT('Origin',(24.290528,33.175,0.035)); #538629=CARTESIAN_POINT('',(24.290528,33.175,0.035)); #538630=CARTESIAN_POINT('',(24.3712,33.182944,0.035)); #538631=CARTESIAN_POINT('',(24.290528,33.175,0.035)); #538632=CARTESIAN_POINT('',(24.3712,33.182944,0.07)); #538633=CARTESIAN_POINT('',(24.3712,33.182944,0.035)); #538634=CARTESIAN_POINT('',(24.290528,33.175,0.07)); #538635=CARTESIAN_POINT('',(24.290528,33.175,0.07)); #538636=CARTESIAN_POINT('',(24.290528,33.175,0.035)); #538637=CARTESIAN_POINT('Origin',(24.24999984599,34.0000007242556,0.035)); #538638=CARTESIAN_POINT('',(24.1288,34.817056,0.035)); #538639=CARTESIAN_POINT('Origin',(24.24999984599,34.0000007242556,0.035)); #538640=CARTESIAN_POINT('',(24.1288,34.817056,0.07)); #538641=CARTESIAN_POINT('Origin',(24.24999984599,34.0000007242556,0.07)); #538642=CARTESIAN_POINT('',(24.1288,34.817056,0.035)); #538643=CARTESIAN_POINT('Origin',(24.25,34.,0.035)); #538644=CARTESIAN_POINT('Origin',(24.25,34.,0.035)); #538645=CARTESIAN_POINT('Origin',(24.25,34.,0.07)); #538646=CARTESIAN_POINT('Origin',(24.24822648407,33.9985656780324,0.07)); #538647=CARTESIAN_POINT('Origin',(24.24822648407,33.9985656780324,0.035)); #538648=CARTESIAN_POINT('Origin',(38.635,6.27999997467882,-200.)); #538649=CARTESIAN_POINT('',(38.635,7.07999999155961,0.0700000000000145)); #538650=CARTESIAN_POINT('',(38.635,6.27999997467882,0.0700000000000145)); #538651=CARTESIAN_POINT('',(38.635,6.47999999235904,0.0699999999999967)); #538652=CARTESIAN_POINT('',(38.635,7.07999999155961,0.0349999999999895)); #538653=CARTESIAN_POINT('',(38.635,7.07999999155961,-200.)); #538654=CARTESIAN_POINT('',(38.635,6.27999997467882,0.0349999999999895)); #538655=CARTESIAN_POINT('',(38.635,6.47999999235904,0.0350000000000072)); #538656=CARTESIAN_POINT('',(38.635,6.27999997467882,-200.)); #538657=CARTESIAN_POINT('Origin',(38.32,6.28,-200.)); #538658=CARTESIAN_POINT('',(38.005,6.28000000844039,0.0700000000000145)); #538659=CARTESIAN_POINT('Origin',(38.32,6.28,0.0700000000000145)); #538660=CARTESIAN_POINT('',(38.005,6.28000000844039,0.0349999999999895)); #538661=CARTESIAN_POINT('Origin',(38.32,6.28,0.0349999999999895)); #538662=CARTESIAN_POINT('',(38.005,6.28000000844039,-200.)); #538663=CARTESIAN_POINT('Origin',(38.005,7.08000002532118,-200.)); #538664=CARTESIAN_POINT('',(38.005,7.08000002532118,0.0700000000000145)); #538665=CARTESIAN_POINT('',(38.005,6.88000001768022,0.0699999999999967)); #538666=CARTESIAN_POINT('',(38.005,7.08000002532118,0.0349999999999895)); #538667=CARTESIAN_POINT('',(38.005,6.88000001768022,0.0350000000000072)); #538668=CARTESIAN_POINT('',(38.005,7.08000002532118,-200.)); #538669=CARTESIAN_POINT('Origin',(38.32,7.08,-200.)); #538670=CARTESIAN_POINT('Origin',(38.32,7.08,0.0700000000000145)); #538671=CARTESIAN_POINT('Origin',(38.32,7.08,0.0349999999999895)); #538672=CARTESIAN_POINT('Origin',(38.350887,5.73,0.035)); #538673=CARTESIAN_POINT('',(38.350887,5.73,0.035)); #538674=CARTESIAN_POINT('',(38.412275,5.7369156,0.035)); #538675=CARTESIAN_POINT('',(38.350887,5.73,0.035)); #538676=CARTESIAN_POINT('',(38.412275,5.7369156,0.07)); #538677=CARTESIAN_POINT('',(38.412275,5.7369156,0.035)); #538678=CARTESIAN_POINT('',(38.350887,5.73,0.07)); #538679=CARTESIAN_POINT('',(38.350887,5.73,0.07)); #538680=CARTESIAN_POINT('',(38.350887,5.73,0.035)); #538681=CARTESIAN_POINT('Origin',(38.289113,5.73,0.035)); #538682=CARTESIAN_POINT('',(38.289113,5.73,0.035)); #538683=CARTESIAN_POINT('',(38.289113,5.73,0.035)); #538684=CARTESIAN_POINT('',(38.289113,5.73,0.07)); #538685=CARTESIAN_POINT('',(38.289113,5.73,0.07)); #538686=CARTESIAN_POINT('',(38.289113,5.73,0.035)); #538687=CARTESIAN_POINT('Origin',(38.227725,5.7369156,0.035)); #538688=CARTESIAN_POINT('',(38.227725,5.7369156,0.035)); #538689=CARTESIAN_POINT('',(38.227725,5.7369156,0.035)); #538690=CARTESIAN_POINT('',(38.227725,5.7369156,0.07)); #538691=CARTESIAN_POINT('',(38.227725,5.7369156,0.07)); #538692=CARTESIAN_POINT('',(38.227725,5.7369156,0.035)); #538693=CARTESIAN_POINT('Origin',(38.1675,5.7506625,0.035)); #538694=CARTESIAN_POINT('',(38.1675,5.7506625,0.035)); #538695=CARTESIAN_POINT('',(38.1675,5.7506625,0.035)); #538696=CARTESIAN_POINT('',(38.1675,5.7506625,0.07)); #538697=CARTESIAN_POINT('',(38.1675,5.7506625,0.07)); #538698=CARTESIAN_POINT('',(38.1675,5.7506625,0.035)); #538699=CARTESIAN_POINT('Origin',(38.109194,5.7710656,0.035)); #538700=CARTESIAN_POINT('',(38.109194,5.7710656,0.035)); #538701=CARTESIAN_POINT('',(38.109194,5.7710656,0.035)); #538702=CARTESIAN_POINT('',(38.109194,5.7710656,0.07)); #538703=CARTESIAN_POINT('',(38.109194,5.7710656,0.07)); #538704=CARTESIAN_POINT('',(38.109194,5.7710656,0.035)); #538705=CARTESIAN_POINT('Origin',(38.053534,5.7978688,0.035)); #538706=CARTESIAN_POINT('',(38.053534,5.7978688,0.035)); #538707=CARTESIAN_POINT('',(38.053534,5.7978688,0.035)); #538708=CARTESIAN_POINT('',(38.053534,5.7978688,0.07)); #538709=CARTESIAN_POINT('',(38.053534,5.7978688,0.07)); #538710=CARTESIAN_POINT('',(38.053534,5.7978688,0.035)); #538711=CARTESIAN_POINT('Origin',(38.001228,5.8307344,0.035)); #538712=CARTESIAN_POINT('',(38.001228,5.8307344,0.035)); #538713=CARTESIAN_POINT('',(38.001228,5.8307344,0.035)); #538714=CARTESIAN_POINT('',(38.001228,5.8307344,0.07)); #538715=CARTESIAN_POINT('',(38.001228,5.8307344,0.07)); #538716=CARTESIAN_POINT('',(38.001228,5.8307344,0.035)); #538717=CARTESIAN_POINT('Origin',(37.952931,5.86925,0.035)); #538718=CARTESIAN_POINT('',(37.952931,5.86925,0.035)); #538719=CARTESIAN_POINT('',(37.952931,5.86925,0.035)); #538720=CARTESIAN_POINT('',(37.952931,5.86925,0.07)); #538721=CARTESIAN_POINT('',(37.952931,5.86925,0.07)); #538722=CARTESIAN_POINT('',(37.952931,5.86925,0.035)); #538723=CARTESIAN_POINT('Origin',(37.90925,5.9129313,0.035)); #538724=CARTESIAN_POINT('',(37.90925,5.9129313,0.035)); #538725=CARTESIAN_POINT('',(37.90925,5.9129313,0.035)); #538726=CARTESIAN_POINT('',(37.90925,5.9129313,0.07)); #538727=CARTESIAN_POINT('',(37.90925,5.9129313,0.07)); #538728=CARTESIAN_POINT('',(37.90925,5.9129313,0.035)); #538729=CARTESIAN_POINT('Origin',(37.870734,5.9612281,0.035)); #538730=CARTESIAN_POINT('',(37.870734,5.9612281,0.035)); #538731=CARTESIAN_POINT('',(37.870734,5.9612281,0.035)); #538732=CARTESIAN_POINT('',(37.870734,5.9612281,0.07)); #538733=CARTESIAN_POINT('',(37.870734,5.9612281,0.07)); #538734=CARTESIAN_POINT('',(37.870734,5.9612281,0.035)); #538735=CARTESIAN_POINT('Origin',(37.837869,6.0135344,0.035)); #538736=CARTESIAN_POINT('',(37.837869,6.0135344,0.035)); #538737=CARTESIAN_POINT('',(37.837869,6.0135344,0.035)); #538738=CARTESIAN_POINT('',(37.837869,6.0135344,0.07)); #538739=CARTESIAN_POINT('',(37.837869,6.0135344,0.07)); #538740=CARTESIAN_POINT('',(37.837869,6.0135344,0.035)); #538741=CARTESIAN_POINT('Origin',(37.811066,6.0691938,0.035)); #538742=CARTESIAN_POINT('',(37.811066,6.0691938,0.035)); #538743=CARTESIAN_POINT('',(37.811066,6.0691938,0.035)); #538744=CARTESIAN_POINT('',(37.811066,6.0691938,0.07)); #538745=CARTESIAN_POINT('',(37.811066,6.0691938,0.07)); #538746=CARTESIAN_POINT('',(37.811066,6.0691938,0.035)); #538747=CARTESIAN_POINT('Origin',(37.790663,6.1275,0.035)); #538748=CARTESIAN_POINT('',(37.790663,6.1275,0.035)); #538749=CARTESIAN_POINT('',(37.790663,6.1275,0.035)); #538750=CARTESIAN_POINT('',(37.790663,6.1275,0.07)); #538751=CARTESIAN_POINT('',(37.790663,6.1275,0.07)); #538752=CARTESIAN_POINT('',(37.790663,6.1275,0.035)); #538753=CARTESIAN_POINT('Origin',(37.776916,6.187725,0.035)); #538754=CARTESIAN_POINT('',(37.776916,6.187725,0.035)); #538755=CARTESIAN_POINT('',(37.776916,6.187725,0.035)); #538756=CARTESIAN_POINT('',(37.776916,6.187725,0.07)); #538757=CARTESIAN_POINT('',(37.776916,6.187725,0.07)); #538758=CARTESIAN_POINT('',(37.776916,6.187725,0.035)); #538759=CARTESIAN_POINT('Origin',(37.77,6.2491125,0.035)); #538760=CARTESIAN_POINT('',(37.77,6.2491125,0.035)); #538761=CARTESIAN_POINT('',(37.77,6.2491125,0.035)); #538762=CARTESIAN_POINT('',(37.77,6.2491125,0.07)); #538763=CARTESIAN_POINT('',(37.77,6.2491125,0.07)); #538764=CARTESIAN_POINT('',(37.77,6.2491125,0.035)); #538765=CARTESIAN_POINT('Origin',(37.77,7.1108875,0.035)); #538766=CARTESIAN_POINT('',(37.77,7.1108875,0.035)); #538767=CARTESIAN_POINT('',(37.77,7.1108875,0.035)); #538768=CARTESIAN_POINT('',(37.77,7.1108875,0.07)); #538769=CARTESIAN_POINT('',(37.77,7.1108875,0.07)); #538770=CARTESIAN_POINT('',(37.77,7.1108875,0.035)); #538771=CARTESIAN_POINT('Origin',(37.776916,7.172275,0.035)); #538772=CARTESIAN_POINT('',(37.776916,7.172275,0.035)); #538773=CARTESIAN_POINT('',(37.776916,7.172275,0.035)); #538774=CARTESIAN_POINT('',(37.776916,7.172275,0.07)); #538775=CARTESIAN_POINT('',(37.776916,7.172275,0.07)); #538776=CARTESIAN_POINT('',(37.776916,7.172275,0.035)); #538777=CARTESIAN_POINT('Origin',(37.790663,7.2325,0.035)); #538778=CARTESIAN_POINT('',(37.790663,7.2325,0.035)); #538779=CARTESIAN_POINT('',(37.790663,7.2325,0.035)); #538780=CARTESIAN_POINT('',(37.790663,7.2325,0.07)); #538781=CARTESIAN_POINT('',(37.790663,7.2325,0.07)); #538782=CARTESIAN_POINT('',(37.790663,7.2325,0.035)); #538783=CARTESIAN_POINT('Origin',(37.811066,7.2908063,0.035)); #538784=CARTESIAN_POINT('',(37.811066,7.2908063,0.035)); #538785=CARTESIAN_POINT('',(37.811066,7.2908063,0.035)); #538786=CARTESIAN_POINT('',(37.811066,7.2908063,0.07)); #538787=CARTESIAN_POINT('',(37.811066,7.2908063,0.07)); #538788=CARTESIAN_POINT('',(37.811066,7.2908063,0.035)); #538789=CARTESIAN_POINT('Origin',(37.837869,7.3464656,0.035)); #538790=CARTESIAN_POINT('',(37.837869,7.3464656,0.035)); #538791=CARTESIAN_POINT('',(37.837869,7.3464656,0.035)); #538792=CARTESIAN_POINT('',(37.837869,7.3464656,0.07)); #538793=CARTESIAN_POINT('',(37.837869,7.3464656,0.07)); #538794=CARTESIAN_POINT('',(37.837869,7.3464656,0.035)); #538795=CARTESIAN_POINT('Origin',(37.870734,7.3987719,0.035)); #538796=CARTESIAN_POINT('',(37.870734,7.3987719,0.035)); #538797=CARTESIAN_POINT('',(37.870734,7.3987719,0.035)); #538798=CARTESIAN_POINT('',(37.870734,7.3987719,0.07)); #538799=CARTESIAN_POINT('',(37.870734,7.3987719,0.07)); #538800=CARTESIAN_POINT('',(37.870734,7.3987719,0.035)); #538801=CARTESIAN_POINT('Origin',(37.90925,7.4470688,0.035)); #538802=CARTESIAN_POINT('',(37.90925,7.4470688,0.035)); #538803=CARTESIAN_POINT('',(37.90925,7.4470688,0.035)); #538804=CARTESIAN_POINT('',(37.90925,7.4470688,0.07)); #538805=CARTESIAN_POINT('',(37.90925,7.4470688,0.07)); #538806=CARTESIAN_POINT('',(37.90925,7.4470688,0.035)); #538807=CARTESIAN_POINT('Origin',(37.952931,7.49075,0.035)); #538808=CARTESIAN_POINT('',(37.952931,7.49075,0.035)); #538809=CARTESIAN_POINT('',(37.952931,7.49075,0.035)); #538810=CARTESIAN_POINT('',(37.952931,7.49075,0.07)); #538811=CARTESIAN_POINT('',(37.952931,7.49075,0.07)); #538812=CARTESIAN_POINT('',(37.952931,7.49075,0.035)); #538813=CARTESIAN_POINT('Origin',(38.001228,7.5292656,0.035)); #538814=CARTESIAN_POINT('',(38.001228,7.5292656,0.035)); #538815=CARTESIAN_POINT('',(38.001228,7.5292656,0.035)); #538816=CARTESIAN_POINT('',(38.001228,7.5292656,0.07)); #538817=CARTESIAN_POINT('',(38.001228,7.5292656,0.07)); #538818=CARTESIAN_POINT('',(38.001228,7.5292656,0.035)); #538819=CARTESIAN_POINT('Origin',(38.053534,7.5621313,0.035)); #538820=CARTESIAN_POINT('',(38.053534,7.5621313,0.035)); #538821=CARTESIAN_POINT('',(38.053534,7.5621313,0.035)); #538822=CARTESIAN_POINT('',(38.053534,7.5621313,0.07)); #538823=CARTESIAN_POINT('',(38.053534,7.5621313,0.07)); #538824=CARTESIAN_POINT('',(38.053534,7.5621313,0.035)); #538825=CARTESIAN_POINT('Origin',(38.109194,7.5889344,0.035)); #538826=CARTESIAN_POINT('',(38.109194,7.5889344,0.035)); #538827=CARTESIAN_POINT('',(38.109194,7.5889344,0.035)); #538828=CARTESIAN_POINT('',(38.109194,7.5889344,0.07)); #538829=CARTESIAN_POINT('',(38.109194,7.5889344,0.07)); #538830=CARTESIAN_POINT('',(38.109194,7.5889344,0.035)); #538831=CARTESIAN_POINT('Origin',(38.1675,7.6093375,0.035)); #538832=CARTESIAN_POINT('',(38.1675,7.6093375,0.035)); #538833=CARTESIAN_POINT('',(38.1675,7.6093375,0.035)); #538834=CARTESIAN_POINT('',(38.1675,7.6093375,0.07)); #538835=CARTESIAN_POINT('',(38.1675,7.6093375,0.07)); #538836=CARTESIAN_POINT('',(38.1675,7.6093375,0.035)); #538837=CARTESIAN_POINT('Origin',(38.227725,7.6230844,0.035)); #538838=CARTESIAN_POINT('',(38.227725,7.6230844,0.035)); #538839=CARTESIAN_POINT('',(38.227725,7.6230844,0.035)); #538840=CARTESIAN_POINT('',(38.227725,7.6230844,0.07)); #538841=CARTESIAN_POINT('',(38.227725,7.6230844,0.07)); #538842=CARTESIAN_POINT('',(38.227725,7.6230844,0.035)); #538843=CARTESIAN_POINT('Origin',(38.289113,7.63,0.035)); #538844=CARTESIAN_POINT('',(38.289113,7.63,0.035)); #538845=CARTESIAN_POINT('',(38.289113,7.63,0.035)); #538846=CARTESIAN_POINT('',(38.289113,7.63,0.07)); #538847=CARTESIAN_POINT('',(38.289113,7.63,0.07)); #538848=CARTESIAN_POINT('',(38.289113,7.63,0.035)); #538849=CARTESIAN_POINT('Origin',(38.350887,7.63,0.035)); #538850=CARTESIAN_POINT('',(38.350887,7.63,0.035)); #538851=CARTESIAN_POINT('',(38.350887,7.63,0.035)); #538852=CARTESIAN_POINT('',(38.350887,7.63,0.07)); #538853=CARTESIAN_POINT('',(38.350887,7.63,0.07)); #538854=CARTESIAN_POINT('',(38.350887,7.63,0.035)); #538855=CARTESIAN_POINT('Origin',(38.412275,7.6230844,0.035)); #538856=CARTESIAN_POINT('',(38.412275,7.6230844,0.035)); #538857=CARTESIAN_POINT('',(38.412275,7.6230844,0.035)); #538858=CARTESIAN_POINT('',(38.412275,7.6230844,0.07)); #538859=CARTESIAN_POINT('',(38.412275,7.6230844,0.07)); #538860=CARTESIAN_POINT('',(38.412275,7.6230844,0.035)); #538861=CARTESIAN_POINT('Origin',(38.4725,7.6093375,0.035)); #538862=CARTESIAN_POINT('',(38.4725,7.6093375,0.035)); #538863=CARTESIAN_POINT('',(38.4725,7.6093375,0.035)); #538864=CARTESIAN_POINT('',(38.4725,7.6093375,0.07)); #538865=CARTESIAN_POINT('',(38.4725,7.6093375,0.07)); #538866=CARTESIAN_POINT('',(38.4725,7.6093375,0.035)); #538867=CARTESIAN_POINT('Origin',(38.530806,7.5889344,0.035)); #538868=CARTESIAN_POINT('',(38.530806,7.5889344,0.035)); #538869=CARTESIAN_POINT('',(38.530806,7.5889344,0.035)); #538870=CARTESIAN_POINT('',(38.530806,7.5889344,0.07)); #538871=CARTESIAN_POINT('',(38.530806,7.5889344,0.07)); #538872=CARTESIAN_POINT('',(38.530806,7.5889344,0.035)); #538873=CARTESIAN_POINT('Origin',(38.586466,7.5621313,0.035)); #538874=CARTESIAN_POINT('',(38.586466,7.5621313,0.035)); #538875=CARTESIAN_POINT('',(38.586466,7.5621313,0.035)); #538876=CARTESIAN_POINT('',(38.586466,7.5621313,0.07)); #538877=CARTESIAN_POINT('',(38.586466,7.5621313,0.07)); #538878=CARTESIAN_POINT('',(38.586466,7.5621313,0.035)); #538879=CARTESIAN_POINT('Origin',(38.638772,7.5292656,0.035)); #538880=CARTESIAN_POINT('',(38.638772,7.5292656,0.035)); #538881=CARTESIAN_POINT('',(38.638772,7.5292656,0.035)); #538882=CARTESIAN_POINT('',(38.638772,7.5292656,0.07)); #538883=CARTESIAN_POINT('',(38.638772,7.5292656,0.07)); #538884=CARTESIAN_POINT('',(38.638772,7.5292656,0.035)); #538885=CARTESIAN_POINT('Origin',(38.687069,7.49075,0.035)); #538886=CARTESIAN_POINT('',(38.687069,7.49075,0.035)); #538887=CARTESIAN_POINT('',(38.687069,7.49075,0.035)); #538888=CARTESIAN_POINT('',(38.687069,7.49075,0.07)); #538889=CARTESIAN_POINT('',(38.687069,7.49075,0.07)); #538890=CARTESIAN_POINT('',(38.687069,7.49075,0.035)); #538891=CARTESIAN_POINT('Origin',(38.73075,7.4470688,0.035)); #538892=CARTESIAN_POINT('',(38.73075,7.4470688,0.035)); #538893=CARTESIAN_POINT('',(38.73075,7.4470688,0.035)); #538894=CARTESIAN_POINT('',(38.73075,7.4470688,0.07)); #538895=CARTESIAN_POINT('',(38.73075,7.4470688,0.07)); #538896=CARTESIAN_POINT('',(38.73075,7.4470688,0.035)); #538897=CARTESIAN_POINT('Origin',(38.769266,7.3987719,0.035)); #538898=CARTESIAN_POINT('',(38.769266,7.3987719,0.035)); #538899=CARTESIAN_POINT('',(38.769266,7.3987719,0.035)); #538900=CARTESIAN_POINT('',(38.769266,7.3987719,0.07)); #538901=CARTESIAN_POINT('',(38.769266,7.3987719,0.07)); #538902=CARTESIAN_POINT('',(38.769266,7.3987719,0.035)); #538903=CARTESIAN_POINT('Origin',(38.802131,7.3464656,0.035)); #538904=CARTESIAN_POINT('',(38.802131,7.3464656,0.035)); #538905=CARTESIAN_POINT('',(38.802131,7.3464656,0.035)); #538906=CARTESIAN_POINT('',(38.802131,7.3464656,0.07)); #538907=CARTESIAN_POINT('',(38.802131,7.3464656,0.07)); #538908=CARTESIAN_POINT('',(38.802131,7.3464656,0.035)); #538909=CARTESIAN_POINT('Origin',(38.828934,7.2908063,0.035)); #538910=CARTESIAN_POINT('',(38.828934,7.2908063,0.035)); #538911=CARTESIAN_POINT('',(38.828934,7.2908063,0.035)); #538912=CARTESIAN_POINT('',(38.828934,7.2908063,0.07)); #538913=CARTESIAN_POINT('',(38.828934,7.2908063,0.07)); #538914=CARTESIAN_POINT('',(38.828934,7.2908063,0.035)); #538915=CARTESIAN_POINT('Origin',(38.849338,7.2325,0.035)); #538916=CARTESIAN_POINT('',(38.849338,7.2325,0.035)); #538917=CARTESIAN_POINT('',(38.849338,7.2325,0.035)); #538918=CARTESIAN_POINT('',(38.849338,7.2325,0.07)); #538919=CARTESIAN_POINT('',(38.849338,7.2325,0.07)); #538920=CARTESIAN_POINT('',(38.849338,7.2325,0.035)); #538921=CARTESIAN_POINT('Origin',(38.863084,7.172275,0.035)); #538922=CARTESIAN_POINT('',(38.863084,7.172275,0.035)); #538923=CARTESIAN_POINT('',(38.863084,7.172275,0.035)); #538924=CARTESIAN_POINT('',(38.863084,7.172275,0.07)); #538925=CARTESIAN_POINT('',(38.863084,7.172275,0.07)); #538926=CARTESIAN_POINT('',(38.863084,7.172275,0.035)); #538927=CARTESIAN_POINT('Origin',(38.87,7.1108875,0.035)); #538928=CARTESIAN_POINT('',(38.87,7.1108875,0.035)); #538929=CARTESIAN_POINT('',(38.87,7.1108875,0.035)); #538930=CARTESIAN_POINT('',(38.87,7.1108875,0.07)); #538931=CARTESIAN_POINT('',(38.87,7.1108875,0.07)); #538932=CARTESIAN_POINT('',(38.87,7.1108875,0.035)); #538933=CARTESIAN_POINT('Origin',(38.87,6.2491125,0.035)); #538934=CARTESIAN_POINT('',(38.87,6.2491125,0.035)); #538935=CARTESIAN_POINT('',(38.87,6.2491125,0.035)); #538936=CARTESIAN_POINT('',(38.87,6.2491125,0.07)); #538937=CARTESIAN_POINT('',(38.87,6.2491125,0.07)); #538938=CARTESIAN_POINT('',(38.87,6.2491125,0.035)); #538939=CARTESIAN_POINT('Origin',(38.863084,6.187725,0.035)); #538940=CARTESIAN_POINT('',(38.863084,6.187725,0.035)); #538941=CARTESIAN_POINT('',(38.863084,6.187725,0.035)); #538942=CARTESIAN_POINT('',(38.863084,6.187725,0.07)); #538943=CARTESIAN_POINT('',(38.863084,6.187725,0.07)); #538944=CARTESIAN_POINT('',(38.863084,6.187725,0.035)); #538945=CARTESIAN_POINT('Origin',(38.849338,6.1275,0.035)); #538946=CARTESIAN_POINT('',(38.849338,6.1275,0.035)); #538947=CARTESIAN_POINT('',(38.849338,6.1275,0.035)); #538948=CARTESIAN_POINT('',(38.849338,6.1275,0.07)); #538949=CARTESIAN_POINT('',(38.849338,6.1275,0.07)); #538950=CARTESIAN_POINT('',(38.849338,6.1275,0.035)); #538951=CARTESIAN_POINT('Origin',(38.828934,6.0691938,0.035)); #538952=CARTESIAN_POINT('',(38.828934,6.0691938,0.035)); #538953=CARTESIAN_POINT('',(38.828934,6.0691938,0.035)); #538954=CARTESIAN_POINT('',(38.828934,6.0691938,0.07)); #538955=CARTESIAN_POINT('',(38.828934,6.0691938,0.07)); #538956=CARTESIAN_POINT('',(38.828934,6.0691938,0.035)); #538957=CARTESIAN_POINT('Origin',(38.802131,6.0135344,0.035)); #538958=CARTESIAN_POINT('',(38.802131,6.0135344,0.035)); #538959=CARTESIAN_POINT('',(38.802131,6.0135344,0.035)); #538960=CARTESIAN_POINT('',(38.802131,6.0135344,0.07)); #538961=CARTESIAN_POINT('',(38.802131,6.0135344,0.07)); #538962=CARTESIAN_POINT('',(38.802131,6.0135344,0.035)); #538963=CARTESIAN_POINT('Origin',(38.769266,5.9612281,0.035)); #538964=CARTESIAN_POINT('',(38.769266,5.9612281,0.035)); #538965=CARTESIAN_POINT('',(38.769266,5.9612281,0.035)); #538966=CARTESIAN_POINT('',(38.769266,5.9612281,0.07)); #538967=CARTESIAN_POINT('',(38.769266,5.9612281,0.07)); #538968=CARTESIAN_POINT('',(38.769266,5.9612281,0.035)); #538969=CARTESIAN_POINT('Origin',(38.73075,5.9129313,0.035)); #538970=CARTESIAN_POINT('',(38.73075,5.9129313,0.035)); #538971=CARTESIAN_POINT('',(38.73075,5.9129313,0.035)); #538972=CARTESIAN_POINT('',(38.73075,5.9129313,0.07)); #538973=CARTESIAN_POINT('',(38.73075,5.9129313,0.07)); #538974=CARTESIAN_POINT('',(38.73075,5.9129313,0.035)); #538975=CARTESIAN_POINT('Origin',(38.687069,5.86925,0.035)); #538976=CARTESIAN_POINT('',(38.687069,5.86925,0.035)); #538977=CARTESIAN_POINT('',(38.687069,5.86925,0.035)); #538978=CARTESIAN_POINT('',(38.687069,5.86925,0.07)); #538979=CARTESIAN_POINT('',(38.687069,5.86925,0.07)); #538980=CARTESIAN_POINT('',(38.687069,5.86925,0.035)); #538981=CARTESIAN_POINT('Origin',(38.638772,5.8307344,0.035)); #538982=CARTESIAN_POINT('',(38.638772,5.8307344,0.035)); #538983=CARTESIAN_POINT('',(38.638772,5.8307344,0.035)); #538984=CARTESIAN_POINT('',(38.638772,5.8307344,0.07)); #538985=CARTESIAN_POINT('',(38.638772,5.8307344,0.07)); #538986=CARTESIAN_POINT('',(38.638772,5.8307344,0.035)); #538987=CARTESIAN_POINT('Origin',(38.586466,5.7978688,0.035)); #538988=CARTESIAN_POINT('',(38.586466,5.7978688,0.035)); #538989=CARTESIAN_POINT('',(38.586466,5.7978688,0.035)); #538990=CARTESIAN_POINT('',(38.586466,5.7978688,0.07)); #538991=CARTESIAN_POINT('',(38.586466,5.7978688,0.07)); #538992=CARTESIAN_POINT('',(38.586466,5.7978688,0.035)); #538993=CARTESIAN_POINT('Origin',(38.530806,5.7710656,0.035)); #538994=CARTESIAN_POINT('',(38.530806,5.7710656,0.035)); #538995=CARTESIAN_POINT('',(38.530806,5.7710656,0.035)); #538996=CARTESIAN_POINT('',(38.530806,5.7710656,0.07)); #538997=CARTESIAN_POINT('',(38.530806,5.7710656,0.07)); #538998=CARTESIAN_POINT('',(38.530806,5.7710656,0.035)); #538999=CARTESIAN_POINT('Origin',(38.4725,5.7506625,0.035)); #539000=CARTESIAN_POINT('',(38.4725,5.7506625,0.035)); #539001=CARTESIAN_POINT('',(38.4725,5.7506625,0.035)); #539002=CARTESIAN_POINT('',(38.4725,5.7506625,0.07)); #539003=CARTESIAN_POINT('',(38.4725,5.7506625,0.07)); #539004=CARTESIAN_POINT('',(38.4725,5.7506625,0.035)); #539005=CARTESIAN_POINT('Origin',(38.412275,5.7369156,0.035)); #539006=CARTESIAN_POINT('',(38.412275,5.7369156,0.035)); #539007=CARTESIAN_POINT('',(38.412275,5.7369156,0.07)); #539008=CARTESIAN_POINT('Origin',(38.3200000450332,6.68000001003927,0.07)); #539009=CARTESIAN_POINT('Origin',(38.3200000450332,6.68000001003927,0.035)); #539010=CARTESIAN_POINT('Origin',(31.5,25.25,-200.)); #539011=CARTESIAN_POINT('',(31.035,25.25,0.0349999999999895)); #539012=CARTESIAN_POINT('Origin',(31.5,25.25,0.0349999999999895)); #539013=CARTESIAN_POINT('',(31.035,25.25,0.0700000000000145)); #539014=CARTESIAN_POINT('',(31.035,25.25,-200.)); #539015=CARTESIAN_POINT('Origin',(31.5,25.25,0.0700000000000145)); #539016=CARTESIAN_POINT('Origin',(31.536844,24.5,0.035)); #539017=CARTESIAN_POINT('',(31.536844,24.5,0.035)); #539018=CARTESIAN_POINT('',(31.610181,24.507222,0.035)); #539019=CARTESIAN_POINT('',(31.536844,24.5,0.035)); #539020=CARTESIAN_POINT('',(31.610181,24.507222,0.07)); #539021=CARTESIAN_POINT('',(31.610181,24.507222,0.035)); #539022=CARTESIAN_POINT('',(31.536844,24.5,0.07)); #539023=CARTESIAN_POINT('',(31.536844,24.5,0.07)); #539024=CARTESIAN_POINT('',(31.536844,24.5,0.035)); #539025=CARTESIAN_POINT('Origin',(31.5000001874156,25.2500005308286,0.035)); #539026=CARTESIAN_POINT('',(31.389819,25.992778,0.035)); #539027=CARTESIAN_POINT('Origin',(31.5000001874156,25.2500005308286,0.035)); #539028=CARTESIAN_POINT('',(31.389819,25.992778,0.07)); #539029=CARTESIAN_POINT('Origin',(31.5000001874156,25.2500005308286,0.07)); #539030=CARTESIAN_POINT('',(31.389819,25.992778,0.035)); #539031=CARTESIAN_POINT('Origin',(31.5,25.25,0.035)); #539032=CARTESIAN_POINT('Origin',(31.5,25.25,0.035)); #539033=CARTESIAN_POINT('Origin',(31.5,25.25,0.07)); #539034=CARTESIAN_POINT('Origin',(31.4983877860344,25.2486960162912,0.07)); #539035=CARTESIAN_POINT('Origin',(31.4983877860344,25.2486960162912,0.035)); #539036=CARTESIAN_POINT('Origin',(34.,25.25,-200.)); #539037=CARTESIAN_POINT('',(33.535,25.25,0.0349999999999895)); #539038=CARTESIAN_POINT('Origin',(34.,25.25,0.0349999999999895)); #539039=CARTESIAN_POINT('',(33.535,25.25,0.0700000000000145)); #539040=CARTESIAN_POINT('',(33.535,25.25,-200.)); #539041=CARTESIAN_POINT('Origin',(34.,25.25,0.0700000000000145)); #539042=CARTESIAN_POINT('Origin',(34.036844,24.5,0.035)); #539043=CARTESIAN_POINT('',(34.036844,24.5,0.035)); #539044=CARTESIAN_POINT('',(34.110181,24.507222,0.035)); #539045=CARTESIAN_POINT('',(34.036844,24.5,0.035)); #539046=CARTESIAN_POINT('',(34.110181,24.507222,0.07)); #539047=CARTESIAN_POINT('',(34.110181,24.507222,0.035)); #539048=CARTESIAN_POINT('',(34.036844,24.5,0.07)); #539049=CARTESIAN_POINT('',(34.036844,24.5,0.07)); #539050=CARTESIAN_POINT('',(34.036844,24.5,0.035)); #539051=CARTESIAN_POINT('Origin',(34.0000001874156,25.2500005308286,0.035)); #539052=CARTESIAN_POINT('',(33.889819,25.992778,0.035)); #539053=CARTESIAN_POINT('Origin',(34.0000001874156,25.2500005308286,0.035)); #539054=CARTESIAN_POINT('',(33.889819,25.992778,0.07)); #539055=CARTESIAN_POINT('Origin',(34.0000001874156,25.2500005308286,0.07)); #539056=CARTESIAN_POINT('',(33.889819,25.992778,0.035)); #539057=CARTESIAN_POINT('Origin',(34.,25.25,0.035)); #539058=CARTESIAN_POINT('Origin',(34.,25.25,0.035)); #539059=CARTESIAN_POINT('Origin',(34.,25.25,0.07)); #539060=CARTESIAN_POINT('Origin',(33.9983877860344,25.2486960162912,0.07)); #539061=CARTESIAN_POINT('Origin',(33.9983877860344,25.2486960162912,0.035)); #539062=CARTESIAN_POINT('Origin',(36.5,25.25,-200.)); #539063=CARTESIAN_POINT('',(36.035,25.25,0.0349999999999895)); #539064=CARTESIAN_POINT('Origin',(36.5,25.25,0.0349999999999895)); #539065=CARTESIAN_POINT('',(36.035,25.25,0.0700000000000145)); #539066=CARTESIAN_POINT('',(36.035,25.25,-200.)); #539067=CARTESIAN_POINT('Origin',(36.5,25.25,0.0700000000000145)); #539068=CARTESIAN_POINT('Origin',(36.536844,24.5,0.035)); #539069=CARTESIAN_POINT('',(36.536844,24.5,0.035)); #539070=CARTESIAN_POINT('',(36.610181,24.507222,0.035)); #539071=CARTESIAN_POINT('',(36.536844,24.5,0.035)); #539072=CARTESIAN_POINT('',(36.610181,24.507222,0.07)); #539073=CARTESIAN_POINT('',(36.610181,24.507222,0.035)); #539074=CARTESIAN_POINT('',(36.536844,24.5,0.07)); #539075=CARTESIAN_POINT('',(36.536844,24.5,0.07)); #539076=CARTESIAN_POINT('',(36.536844,24.5,0.035)); #539077=CARTESIAN_POINT('Origin',(36.5000001874156,25.2500005308286,0.035)); #539078=CARTESIAN_POINT('',(36.389819,25.992778,0.035)); #539079=CARTESIAN_POINT('Origin',(36.5000001874156,25.2500005308286,0.035)); #539080=CARTESIAN_POINT('',(36.389819,25.992778,0.07)); #539081=CARTESIAN_POINT('Origin',(36.5000001874156,25.2500005308286,0.07)); #539082=CARTESIAN_POINT('',(36.389819,25.992778,0.035)); #539083=CARTESIAN_POINT('Origin',(36.5,25.25,0.035)); #539084=CARTESIAN_POINT('Origin',(36.5,25.25,0.035)); #539085=CARTESIAN_POINT('Origin',(36.5,25.25,0.07)); #539086=CARTESIAN_POINT('Origin',(36.4983877860344,25.2486960162912,0.07)); #539087=CARTESIAN_POINT('Origin',(36.4983877860344,25.2486960162912,0.035)); #539088=CARTESIAN_POINT('Origin',(30.19,45.,-200.)); #539089=CARTESIAN_POINT('',(29.625,45.,0.0349999999999895)); #539090=CARTESIAN_POINT('Origin',(30.19,45.,0.0349999999999895)); #539091=CARTESIAN_POINT('',(29.625,45.,0.0700000000000145)); #539092=CARTESIAN_POINT('',(29.625,45.,-200.)); #539093=CARTESIAN_POINT('Origin',(30.19,45.,0.0700000000000145)); #539094=CARTESIAN_POINT('Origin',(31.09,44.1,0.035)); #539095=CARTESIAN_POINT('',(31.09,44.1,0.035)); #539096=CARTESIAN_POINT('',(31.09,45.9,0.035)); #539097=CARTESIAN_POINT('',(31.09,44.1,0.035)); #539098=CARTESIAN_POINT('',(31.09,45.9,0.07)); #539099=CARTESIAN_POINT('',(31.09,45.9,0.035)); #539100=CARTESIAN_POINT('',(31.09,44.1,0.07)); #539101=CARTESIAN_POINT('',(31.09,44.1,0.07)); #539102=CARTESIAN_POINT('',(31.09,44.1,0.035)); #539103=CARTESIAN_POINT('Origin',(29.29,44.1,0.035)); #539104=CARTESIAN_POINT('',(29.29,44.1,0.035)); #539105=CARTESIAN_POINT('',(29.29,44.1,0.035)); #539106=CARTESIAN_POINT('',(29.29,44.1,0.07)); #539107=CARTESIAN_POINT('',(29.29,44.1,0.07)); #539108=CARTESIAN_POINT('',(29.29,44.1,0.035)); #539109=CARTESIAN_POINT('Origin',(29.29,45.9,0.035)); #539110=CARTESIAN_POINT('',(29.29,45.9,0.035)); #539111=CARTESIAN_POINT('',(29.29,45.9,0.035)); #539112=CARTESIAN_POINT('',(29.29,45.9,0.07)); #539113=CARTESIAN_POINT('',(29.29,45.9,0.07)); #539114=CARTESIAN_POINT('',(29.29,45.9,0.035)); #539115=CARTESIAN_POINT('Origin',(31.09,45.9,0.035)); #539116=CARTESIAN_POINT('',(31.09,45.9,0.035)); #539117=CARTESIAN_POINT('',(31.09,45.9,0.07)); #539118=CARTESIAN_POINT('Origin',(30.19,45.,0.07)); #539119=CARTESIAN_POINT('Origin',(30.19,45.,0.035)); #539120=CARTESIAN_POINT('Origin',(52.25,34.,-200.)); #539121=CARTESIAN_POINT('',(51.785,34.,0.0349999999999895)); #539122=CARTESIAN_POINT('Origin',(52.25,34.,0.0349999999999895)); #539123=CARTESIAN_POINT('',(51.785,34.,0.0700000000000145)); #539124=CARTESIAN_POINT('',(51.785,34.,-200.)); #539125=CARTESIAN_POINT('Origin',(52.25,34.,0.0700000000000145)); #539126=CARTESIAN_POINT('Origin',(52.286844,33.25,0.035)); #539127=CARTESIAN_POINT('',(52.286844,33.25,0.035)); #539128=CARTESIAN_POINT('',(52.360181,33.257222,0.035)); #539129=CARTESIAN_POINT('',(52.286844,33.25,0.035)); #539130=CARTESIAN_POINT('',(52.360181,33.257222,0.07)); #539131=CARTESIAN_POINT('',(52.360181,33.257222,0.035)); #539132=CARTESIAN_POINT('',(52.286844,33.25,0.07)); #539133=CARTESIAN_POINT('',(52.286844,33.25,0.07)); #539134=CARTESIAN_POINT('',(52.286844,33.25,0.035)); #539135=CARTESIAN_POINT('Origin',(52.2500001874156,34.0000005308286,0.035)); #539136=CARTESIAN_POINT('',(52.139819,34.742778,0.035)); #539137=CARTESIAN_POINT('Origin',(52.2500001874156,34.0000005308286,0.035)); #539138=CARTESIAN_POINT('',(52.139819,34.742778,0.07)); #539139=CARTESIAN_POINT('Origin',(52.2500001874156,34.0000005308286,0.07)); #539140=CARTESIAN_POINT('',(52.139819,34.742778,0.035)); #539141=CARTESIAN_POINT('Origin',(52.25,34.,0.035)); #539142=CARTESIAN_POINT('Origin',(52.25,34.,0.035)); #539143=CARTESIAN_POINT('Origin',(52.25,34.,0.07)); #539144=CARTESIAN_POINT('Origin',(52.2483877860344,33.9986960162912,0.07)); #539145=CARTESIAN_POINT('Origin',(52.2483877860344,33.9986960162912,0.035)); #539146=CARTESIAN_POINT('Origin',(30.9125,18.1125,0.035)); #539147=CARTESIAN_POINT('',(30.9125,18.1125,0.035)); #539148=CARTESIAN_POINT('',(30.9125,18.3875,0.035)); #539149=CARTESIAN_POINT('',(30.9125,18.1125,0.035)); #539150=CARTESIAN_POINT('',(30.9125,18.3875,0.07)); #539151=CARTESIAN_POINT('',(30.9125,18.3875,0.035)); #539152=CARTESIAN_POINT('',(30.9125,18.1125,0.07)); #539153=CARTESIAN_POINT('',(30.9125,18.1125,0.07)); #539154=CARTESIAN_POINT('',(30.9125,18.1125,0.035)); #539155=CARTESIAN_POINT('Origin',(30.2875,18.1125,0.035)); #539156=CARTESIAN_POINT('',(30.2875,18.1125,0.035)); #539157=CARTESIAN_POINT('',(30.2875,18.1125,0.035)); #539158=CARTESIAN_POINT('',(30.2875,18.1125,0.07)); #539159=CARTESIAN_POINT('',(30.2875,18.1125,0.07)); #539160=CARTESIAN_POINT('',(30.2875,18.1125,0.035)); #539161=CARTESIAN_POINT('Origin',(30.2875,18.3875,0.035)); #539162=CARTESIAN_POINT('',(30.2875,18.3875,0.035)); #539163=CARTESIAN_POINT('',(30.2875,18.3875,0.035)); #539164=CARTESIAN_POINT('',(30.2875,18.3875,0.07)); #539165=CARTESIAN_POINT('',(30.2875,18.3875,0.07)); #539166=CARTESIAN_POINT('',(30.2875,18.3875,0.035)); #539167=CARTESIAN_POINT('Origin',(30.9125,18.3875,0.035)); #539168=CARTESIAN_POINT('',(30.9125,18.3875,0.035)); #539169=CARTESIAN_POINT('',(30.9125,18.3875,0.07)); #539170=CARTESIAN_POINT('Origin',(30.6,18.25,0.07)); #539171=CARTESIAN_POINT('Origin',(30.6,18.25,0.035)); #539172=CARTESIAN_POINT('Origin',(37.7125,14.6125,0.035)); #539173=CARTESIAN_POINT('',(37.7125,14.6125,0.035)); #539174=CARTESIAN_POINT('',(37.7125,14.8875,0.035)); #539175=CARTESIAN_POINT('',(37.7125,14.6125,0.035)); #539176=CARTESIAN_POINT('',(37.7125,14.8875,0.07)); #539177=CARTESIAN_POINT('',(37.7125,14.8875,0.035)); #539178=CARTESIAN_POINT('',(37.7125,14.6125,0.07)); #539179=CARTESIAN_POINT('',(37.7125,14.6125,0.07)); #539180=CARTESIAN_POINT('',(37.7125,14.6125,0.035)); #539181=CARTESIAN_POINT('Origin',(37.0875,14.6125,0.035)); #539182=CARTESIAN_POINT('',(37.0875,14.6125,0.035)); #539183=CARTESIAN_POINT('',(37.0875,14.6125,0.035)); #539184=CARTESIAN_POINT('',(37.0875,14.6125,0.07)); #539185=CARTESIAN_POINT('',(37.0875,14.6125,0.07)); #539186=CARTESIAN_POINT('',(37.0875,14.6125,0.035)); #539187=CARTESIAN_POINT('Origin',(37.0875,14.8875,0.035)); #539188=CARTESIAN_POINT('',(37.0875,14.8875,0.035)); #539189=CARTESIAN_POINT('',(37.0875,14.8875,0.035)); #539190=CARTESIAN_POINT('',(37.0875,14.8875,0.07)); #539191=CARTESIAN_POINT('',(37.0875,14.8875,0.07)); #539192=CARTESIAN_POINT('',(37.0875,14.8875,0.035)); #539193=CARTESIAN_POINT('Origin',(37.7125,14.8875,0.035)); #539194=CARTESIAN_POINT('',(37.7125,14.8875,0.035)); #539195=CARTESIAN_POINT('',(37.7125,14.8875,0.07)); #539196=CARTESIAN_POINT('Origin',(37.4,14.75,0.07)); #539197=CARTESIAN_POINT('Origin',(37.4,14.75,0.035)); #539198=CARTESIAN_POINT('Origin',(37.7125,16.6125,0.035)); #539199=CARTESIAN_POINT('',(37.7125,16.6125,0.035)); #539200=CARTESIAN_POINT('',(37.7125,16.8875,0.035)); #539201=CARTESIAN_POINT('',(37.7125,16.6125,0.035)); #539202=CARTESIAN_POINT('',(37.7125,16.8875,0.07)); #539203=CARTESIAN_POINT('',(37.7125,16.8875,0.035)); #539204=CARTESIAN_POINT('',(37.7125,16.6125,0.07)); #539205=CARTESIAN_POINT('',(37.7125,16.6125,0.07)); #539206=CARTESIAN_POINT('',(37.7125,16.6125,0.035)); #539207=CARTESIAN_POINT('Origin',(37.0875,16.6125,0.035)); #539208=CARTESIAN_POINT('',(37.0875,16.6125,0.035)); #539209=CARTESIAN_POINT('',(37.0875,16.6125,0.035)); #539210=CARTESIAN_POINT('',(37.0875,16.6125,0.07)); #539211=CARTESIAN_POINT('',(37.0875,16.6125,0.07)); #539212=CARTESIAN_POINT('',(37.0875,16.6125,0.035)); #539213=CARTESIAN_POINT('Origin',(37.0875,16.8875,0.035)); #539214=CARTESIAN_POINT('',(37.0875,16.8875,0.035)); #539215=CARTESIAN_POINT('',(37.0875,16.8875,0.035)); #539216=CARTESIAN_POINT('',(37.0875,16.8875,0.07)); #539217=CARTESIAN_POINT('',(37.0875,16.8875,0.07)); #539218=CARTESIAN_POINT('',(37.0875,16.8875,0.035)); #539219=CARTESIAN_POINT('Origin',(37.7125,16.8875,0.035)); #539220=CARTESIAN_POINT('',(37.7125,16.8875,0.035)); #539221=CARTESIAN_POINT('',(37.7125,16.8875,0.07)); #539222=CARTESIAN_POINT('Origin',(37.4,16.75,0.07)); #539223=CARTESIAN_POINT('Origin',(37.4,16.75,0.035)); #539224=CARTESIAN_POINT('Origin',(35.3875,13.2875,0.035)); #539225=CARTESIAN_POINT('',(35.3875,13.2875,0.035)); #539226=CARTESIAN_POINT('',(35.3875,13.9125,0.035)); #539227=CARTESIAN_POINT('',(35.3875,13.2875,0.035)); #539228=CARTESIAN_POINT('',(35.3875,13.9125,0.07)); #539229=CARTESIAN_POINT('',(35.3875,13.9125,0.035)); #539230=CARTESIAN_POINT('',(35.3875,13.2875,0.07)); #539231=CARTESIAN_POINT('',(35.3875,13.2875,0.07)); #539232=CARTESIAN_POINT('',(35.3875,13.2875,0.035)); #539233=CARTESIAN_POINT('Origin',(35.1125,13.2875,0.035)); #539234=CARTESIAN_POINT('',(35.1125,13.2875,0.035)); #539235=CARTESIAN_POINT('',(35.1125,13.2875,0.035)); #539236=CARTESIAN_POINT('',(35.1125,13.2875,0.07)); #539237=CARTESIAN_POINT('',(35.1125,13.2875,0.07)); #539238=CARTESIAN_POINT('',(35.1125,13.2875,0.035)); #539239=CARTESIAN_POINT('Origin',(35.1125,13.9125,0.035)); #539240=CARTESIAN_POINT('',(35.1125,13.9125,0.035)); #539241=CARTESIAN_POINT('',(35.1125,13.9125,0.035)); #539242=CARTESIAN_POINT('',(35.1125,13.9125,0.07)); #539243=CARTESIAN_POINT('',(35.1125,13.9125,0.07)); #539244=CARTESIAN_POINT('',(35.1125,13.9125,0.035)); #539245=CARTESIAN_POINT('Origin',(35.3875,13.9125,0.035)); #539246=CARTESIAN_POINT('',(35.3875,13.9125,0.035)); #539247=CARTESIAN_POINT('',(35.3875,13.9125,0.07)); #539248=CARTESIAN_POINT('Origin',(35.25,13.6,0.07)); #539249=CARTESIAN_POINT('Origin',(35.25,13.6,0.035)); #539250=CARTESIAN_POINT('Origin',(37.7125,15.1125,0.035)); #539251=CARTESIAN_POINT('',(37.7125,15.1125,0.035)); #539252=CARTESIAN_POINT('',(37.7125,15.3875,0.035)); #539253=CARTESIAN_POINT('',(37.7125,15.1125,0.035)); #539254=CARTESIAN_POINT('',(37.7125,15.3875,0.07)); #539255=CARTESIAN_POINT('',(37.7125,15.3875,0.035)); #539256=CARTESIAN_POINT('',(37.7125,15.1125,0.07)); #539257=CARTESIAN_POINT('',(37.7125,15.1125,0.07)); #539258=CARTESIAN_POINT('',(37.7125,15.1125,0.035)); #539259=CARTESIAN_POINT('Origin',(37.0875,15.1125,0.035)); #539260=CARTESIAN_POINT('',(37.0875,15.1125,0.035)); #539261=CARTESIAN_POINT('',(37.0875,15.1125,0.035)); #539262=CARTESIAN_POINT('',(37.0875,15.1125,0.07)); #539263=CARTESIAN_POINT('',(37.0875,15.1125,0.07)); #539264=CARTESIAN_POINT('',(37.0875,15.1125,0.035)); #539265=CARTESIAN_POINT('Origin',(37.0875,15.3875,0.035)); #539266=CARTESIAN_POINT('',(37.0875,15.3875,0.035)); #539267=CARTESIAN_POINT('',(37.0875,15.3875,0.035)); #539268=CARTESIAN_POINT('',(37.0875,15.3875,0.07)); #539269=CARTESIAN_POINT('',(37.0875,15.3875,0.07)); #539270=CARTESIAN_POINT('',(37.0875,15.3875,0.035)); #539271=CARTESIAN_POINT('Origin',(37.7125,15.3875,0.035)); #539272=CARTESIAN_POINT('',(37.7125,15.3875,0.035)); #539273=CARTESIAN_POINT('',(37.7125,15.3875,0.07)); #539274=CARTESIAN_POINT('Origin',(37.4,15.25,0.07)); #539275=CARTESIAN_POINT('Origin',(37.4,15.25,0.035)); #539276=CARTESIAN_POINT('Origin',(36.8875,13.2875,0.035)); #539277=CARTESIAN_POINT('',(36.8875,13.2875,0.035)); #539278=CARTESIAN_POINT('',(36.8875,13.9125,0.035)); #539279=CARTESIAN_POINT('',(36.8875,13.2875,0.035)); #539280=CARTESIAN_POINT('',(36.8875,13.9125,0.07)); #539281=CARTESIAN_POINT('',(36.8875,13.9125,0.035)); #539282=CARTESIAN_POINT('',(36.8875,13.2875,0.07)); #539283=CARTESIAN_POINT('',(36.8875,13.2875,0.07)); #539284=CARTESIAN_POINT('',(36.8875,13.2875,0.035)); #539285=CARTESIAN_POINT('Origin',(36.6125,13.2875,0.035)); #539286=CARTESIAN_POINT('',(36.6125,13.2875,0.035)); #539287=CARTESIAN_POINT('',(36.6125,13.2875,0.035)); #539288=CARTESIAN_POINT('',(36.6125,13.2875,0.07)); #539289=CARTESIAN_POINT('',(36.6125,13.2875,0.07)); #539290=CARTESIAN_POINT('',(36.6125,13.2875,0.035)); #539291=CARTESIAN_POINT('Origin',(36.6125,13.9125,0.035)); #539292=CARTESIAN_POINT('',(36.6125,13.9125,0.035)); #539293=CARTESIAN_POINT('',(36.6125,13.9125,0.035)); #539294=CARTESIAN_POINT('',(36.6125,13.9125,0.07)); #539295=CARTESIAN_POINT('',(36.6125,13.9125,0.07)); #539296=CARTESIAN_POINT('',(36.6125,13.9125,0.035)); #539297=CARTESIAN_POINT('Origin',(36.8875,13.9125,0.035)); #539298=CARTESIAN_POINT('',(36.8875,13.9125,0.035)); #539299=CARTESIAN_POINT('',(36.8875,13.9125,0.07)); #539300=CARTESIAN_POINT('Origin',(36.75,13.6,0.07)); #539301=CARTESIAN_POINT('Origin',(36.75,13.6,0.035)); #539302=CARTESIAN_POINT('Origin',(37.7125,17.1125,0.035)); #539303=CARTESIAN_POINT('',(37.7125,17.1125,0.035)); #539304=CARTESIAN_POINT('',(37.7125,17.3875,0.035)); #539305=CARTESIAN_POINT('',(37.7125,17.1125,0.035)); #539306=CARTESIAN_POINT('',(37.7125,17.3875,0.07)); #539307=CARTESIAN_POINT('',(37.7125,17.3875,0.035)); #539308=CARTESIAN_POINT('',(37.7125,17.1125,0.07)); #539309=CARTESIAN_POINT('',(37.7125,17.1125,0.07)); #539310=CARTESIAN_POINT('',(37.7125,17.1125,0.035)); #539311=CARTESIAN_POINT('Origin',(37.0875,17.1125,0.035)); #539312=CARTESIAN_POINT('',(37.0875,17.1125,0.035)); #539313=CARTESIAN_POINT('',(37.0875,17.1125,0.035)); #539314=CARTESIAN_POINT('',(37.0875,17.1125,0.07)); #539315=CARTESIAN_POINT('',(37.0875,17.1125,0.07)); #539316=CARTESIAN_POINT('',(37.0875,17.1125,0.035)); #539317=CARTESIAN_POINT('Origin',(37.0875,17.3875,0.035)); #539318=CARTESIAN_POINT('',(37.0875,17.3875,0.035)); #539319=CARTESIAN_POINT('',(37.0875,17.3875,0.035)); #539320=CARTESIAN_POINT('',(37.0875,17.3875,0.07)); #539321=CARTESIAN_POINT('',(37.0875,17.3875,0.07)); #539322=CARTESIAN_POINT('',(37.0875,17.3875,0.035)); #539323=CARTESIAN_POINT('Origin',(37.7125,17.3875,0.035)); #539324=CARTESIAN_POINT('',(37.7125,17.3875,0.035)); #539325=CARTESIAN_POINT('',(37.7125,17.3875,0.07)); #539326=CARTESIAN_POINT('Origin',(37.4,17.25,0.07)); #539327=CARTESIAN_POINT('Origin',(37.4,17.25,0.035)); #539328=CARTESIAN_POINT('Origin',(37.7125,14.1125,0.035)); #539329=CARTESIAN_POINT('',(37.7125,14.1125,0.035)); #539330=CARTESIAN_POINT('',(37.7125,14.3875,0.035)); #539331=CARTESIAN_POINT('',(37.7125,14.1125,0.035)); #539332=CARTESIAN_POINT('',(37.7125,14.3875,0.07)); #539333=CARTESIAN_POINT('',(37.7125,14.3875,0.035)); #539334=CARTESIAN_POINT('',(37.7125,14.1125,0.07)); #539335=CARTESIAN_POINT('',(37.7125,14.1125,0.07)); #539336=CARTESIAN_POINT('',(37.7125,14.1125,0.035)); #539337=CARTESIAN_POINT('Origin',(37.0875,14.1125,0.035)); #539338=CARTESIAN_POINT('',(37.0875,14.1125,0.035)); #539339=CARTESIAN_POINT('',(37.0875,14.1125,0.035)); #539340=CARTESIAN_POINT('',(37.0875,14.1125,0.07)); #539341=CARTESIAN_POINT('',(37.0875,14.1125,0.07)); #539342=CARTESIAN_POINT('',(37.0875,14.1125,0.035)); #539343=CARTESIAN_POINT('Origin',(37.0875,14.3875,0.035)); #539344=CARTESIAN_POINT('',(37.0875,14.3875,0.035)); #539345=CARTESIAN_POINT('',(37.0875,14.3875,0.035)); #539346=CARTESIAN_POINT('',(37.0875,14.3875,0.07)); #539347=CARTESIAN_POINT('',(37.0875,14.3875,0.07)); #539348=CARTESIAN_POINT('',(37.0875,14.3875,0.035)); #539349=CARTESIAN_POINT('Origin',(37.7125,14.3875,0.035)); #539350=CARTESIAN_POINT('',(37.7125,14.3875,0.035)); #539351=CARTESIAN_POINT('',(37.7125,14.3875,0.07)); #539352=CARTESIAN_POINT('Origin',(37.4,14.25,0.07)); #539353=CARTESIAN_POINT('Origin',(37.4,14.25,0.035)); #539354=CARTESIAN_POINT('Origin',(30.9125,16.6125,0.035)); #539355=CARTESIAN_POINT('',(30.9125,16.6125,0.035)); #539356=CARTESIAN_POINT('',(30.9125,16.8875,0.035)); #539357=CARTESIAN_POINT('',(30.9125,16.6125,0.035)); #539358=CARTESIAN_POINT('',(30.9125,16.8875,0.07)); #539359=CARTESIAN_POINT('',(30.9125,16.8875,0.035)); #539360=CARTESIAN_POINT('',(30.9125,16.6125,0.07)); #539361=CARTESIAN_POINT('',(30.9125,16.6125,0.07)); #539362=CARTESIAN_POINT('',(30.9125,16.6125,0.035)); #539363=CARTESIAN_POINT('Origin',(30.2875,16.6125,0.035)); #539364=CARTESIAN_POINT('',(30.2875,16.6125,0.035)); #539365=CARTESIAN_POINT('',(30.2875,16.6125,0.035)); #539366=CARTESIAN_POINT('',(30.2875,16.6125,0.07)); #539367=CARTESIAN_POINT('',(30.2875,16.6125,0.07)); #539368=CARTESIAN_POINT('',(30.2875,16.6125,0.035)); #539369=CARTESIAN_POINT('Origin',(30.2875,16.8875,0.035)); #539370=CARTESIAN_POINT('',(30.2875,16.8875,0.035)); #539371=CARTESIAN_POINT('',(30.2875,16.8875,0.035)); #539372=CARTESIAN_POINT('',(30.2875,16.8875,0.07)); #539373=CARTESIAN_POINT('',(30.2875,16.8875,0.07)); #539374=CARTESIAN_POINT('',(30.2875,16.8875,0.035)); #539375=CARTESIAN_POINT('Origin',(30.9125,16.8875,0.035)); #539376=CARTESIAN_POINT('',(30.9125,16.8875,0.035)); #539377=CARTESIAN_POINT('',(30.9125,16.8875,0.07)); #539378=CARTESIAN_POINT('Origin',(30.6,16.75,0.07)); #539379=CARTESIAN_POINT('Origin',(30.6,16.75,0.035)); #539380=CARTESIAN_POINT('Origin',(34.8875,13.2875,0.035)); #539381=CARTESIAN_POINT('',(34.8875,13.2875,0.035)); #539382=CARTESIAN_POINT('',(34.8875,13.9125,0.035)); #539383=CARTESIAN_POINT('',(34.8875,13.2875,0.035)); #539384=CARTESIAN_POINT('',(34.8875,13.9125,0.07)); #539385=CARTESIAN_POINT('',(34.8875,13.9125,0.035)); #539386=CARTESIAN_POINT('',(34.8875,13.2875,0.07)); #539387=CARTESIAN_POINT('',(34.8875,13.2875,0.07)); #539388=CARTESIAN_POINT('',(34.8875,13.2875,0.035)); #539389=CARTESIAN_POINT('Origin',(34.6125,13.2875,0.035)); #539390=CARTESIAN_POINT('',(34.6125,13.2875,0.035)); #539391=CARTESIAN_POINT('',(34.6125,13.2875,0.035)); #539392=CARTESIAN_POINT('',(34.6125,13.2875,0.07)); #539393=CARTESIAN_POINT('',(34.6125,13.2875,0.07)); #539394=CARTESIAN_POINT('',(34.6125,13.2875,0.035)); #539395=CARTESIAN_POINT('Origin',(34.6125,13.9125,0.035)); #539396=CARTESIAN_POINT('',(34.6125,13.9125,0.035)); #539397=CARTESIAN_POINT('',(34.6125,13.9125,0.035)); #539398=CARTESIAN_POINT('',(34.6125,13.9125,0.07)); #539399=CARTESIAN_POINT('',(34.6125,13.9125,0.07)); #539400=CARTESIAN_POINT('',(34.6125,13.9125,0.035)); #539401=CARTESIAN_POINT('Origin',(34.8875,13.9125,0.035)); #539402=CARTESIAN_POINT('',(34.8875,13.9125,0.035)); #539403=CARTESIAN_POINT('',(34.8875,13.9125,0.07)); #539404=CARTESIAN_POINT('Origin',(34.75,13.6,0.07)); #539405=CARTESIAN_POINT('Origin',(34.75,13.6,0.035)); #539406=CARTESIAN_POINT('Origin',(12.6571,50.0555,0.035)); #539407=CARTESIAN_POINT('',(12.6571,50.0555,0.035)); #539408=CARTESIAN_POINT('',(12.6571,50.9445,0.035)); #539409=CARTESIAN_POINT('',(12.6571,50.0555,0.035)); #539410=CARTESIAN_POINT('',(12.6571,50.9445,0.07)); #539411=CARTESIAN_POINT('',(12.6571,50.9445,0.035)); #539412=CARTESIAN_POINT('',(12.6571,50.0555,0.07)); #539413=CARTESIAN_POINT('',(12.6571,50.0555,0.07)); #539414=CARTESIAN_POINT('',(12.6571,50.0555,0.035)); #539415=CARTESIAN_POINT('Origin',(11.8189,50.0555,0.035)); #539416=CARTESIAN_POINT('',(11.8189,50.0555,0.035)); #539417=CARTESIAN_POINT('',(11.8189,50.0555,0.035)); #539418=CARTESIAN_POINT('',(11.8189,50.0555,0.07)); #539419=CARTESIAN_POINT('',(11.8189,50.0555,0.07)); #539420=CARTESIAN_POINT('',(11.8189,50.0555,0.035)); #539421=CARTESIAN_POINT('Origin',(11.8189,50.9445,0.035)); #539422=CARTESIAN_POINT('',(11.8189,50.9445,0.035)); #539423=CARTESIAN_POINT('',(11.8189,50.9445,0.035)); #539424=CARTESIAN_POINT('',(11.8189,50.9445,0.07)); #539425=CARTESIAN_POINT('',(11.8189,50.9445,0.07)); #539426=CARTESIAN_POINT('',(11.8189,50.9445,0.035)); #539427=CARTESIAN_POINT('Origin',(12.6571,50.9445,0.035)); #539428=CARTESIAN_POINT('',(12.6571,50.9445,0.035)); #539429=CARTESIAN_POINT('',(12.6571,50.9445,0.07)); #539430=CARTESIAN_POINT('Origin',(12.238,50.5,0.07)); #539431=CARTESIAN_POINT('Origin',(12.238,50.5,0.035)); #539432=CARTESIAN_POINT('Origin',(30.9125,19.1125,0.035)); #539433=CARTESIAN_POINT('',(30.9125,19.1125,0.035)); #539434=CARTESIAN_POINT('',(30.9125,19.3875,0.035)); #539435=CARTESIAN_POINT('',(30.9125,19.1125,0.035)); #539436=CARTESIAN_POINT('',(30.9125,19.3875,0.07)); #539437=CARTESIAN_POINT('',(30.9125,19.3875,0.035)); #539438=CARTESIAN_POINT('',(30.9125,19.1125,0.07)); #539439=CARTESIAN_POINT('',(30.9125,19.1125,0.07)); #539440=CARTESIAN_POINT('',(30.9125,19.1125,0.035)); #539441=CARTESIAN_POINT('Origin',(30.2875,19.1125,0.035)); #539442=CARTESIAN_POINT('',(30.2875,19.1125,0.035)); #539443=CARTESIAN_POINT('',(30.2875,19.1125,0.035)); #539444=CARTESIAN_POINT('',(30.2875,19.1125,0.07)); #539445=CARTESIAN_POINT('',(30.2875,19.1125,0.07)); #539446=CARTESIAN_POINT('',(30.2875,19.1125,0.035)); #539447=CARTESIAN_POINT('Origin',(30.2875,19.3875,0.035)); #539448=CARTESIAN_POINT('',(30.2875,19.3875,0.035)); #539449=CARTESIAN_POINT('',(30.2875,19.3875,0.035)); #539450=CARTESIAN_POINT('',(30.2875,19.3875,0.07)); #539451=CARTESIAN_POINT('',(30.2875,19.3875,0.07)); #539452=CARTESIAN_POINT('',(30.2875,19.3875,0.035)); #539453=CARTESIAN_POINT('Origin',(30.9125,19.3875,0.035)); #539454=CARTESIAN_POINT('',(30.9125,19.3875,0.035)); #539455=CARTESIAN_POINT('',(30.9125,19.3875,0.07)); #539456=CARTESIAN_POINT('Origin',(30.6,19.25,0.07)); #539457=CARTESIAN_POINT('Origin',(30.6,19.25,0.035)); #539458=CARTESIAN_POINT('Origin',(16.3622,24.6665,0.035)); #539459=CARTESIAN_POINT('',(16.3622,24.6665,0.035)); #539460=CARTESIAN_POINT('',(16.3622,27.3335,0.035)); #539461=CARTESIAN_POINT('',(16.3622,24.6665,0.035)); #539462=CARTESIAN_POINT('',(16.3622,27.3335,0.07)); #539463=CARTESIAN_POINT('',(16.3622,27.3335,0.035)); #539464=CARTESIAN_POINT('',(16.3622,24.6665,0.07)); #539465=CARTESIAN_POINT('',(16.3622,24.6665,0.07)); #539466=CARTESIAN_POINT('',(16.3622,24.6665,0.035)); #539467=CARTESIAN_POINT('Origin',(14.6858,24.6665,0.035)); #539468=CARTESIAN_POINT('',(14.6858,24.6665,0.035)); #539469=CARTESIAN_POINT('',(14.6858,24.6665,0.035)); #539470=CARTESIAN_POINT('',(14.6858,24.6665,0.07)); #539471=CARTESIAN_POINT('',(14.6858,24.6665,0.07)); #539472=CARTESIAN_POINT('',(14.6858,24.6665,0.035)); #539473=CARTESIAN_POINT('Origin',(14.6858,27.3335,0.035)); #539474=CARTESIAN_POINT('',(14.6858,27.3335,0.035)); #539475=CARTESIAN_POINT('',(14.6858,27.3335,0.035)); #539476=CARTESIAN_POINT('',(14.6858,27.3335,0.07)); #539477=CARTESIAN_POINT('',(14.6858,27.3335,0.07)); #539478=CARTESIAN_POINT('',(14.6858,27.3335,0.035)); #539479=CARTESIAN_POINT('Origin',(16.3622,27.3335,0.035)); #539480=CARTESIAN_POINT('',(16.3622,27.3335,0.035)); #539481=CARTESIAN_POINT('',(16.3622,27.3335,0.07)); #539482=CARTESIAN_POINT('Origin',(15.524,26.,0.07)); #539483=CARTESIAN_POINT('Origin',(15.524,26.,0.035)); #539484=CARTESIAN_POINT('Origin',(16.,20.25,-200.)); #539485=CARTESIAN_POINT('',(15.86,20.25,0.0349999999999895)); #539486=CARTESIAN_POINT('Origin',(16.,20.25,0.0349999999999895)); #539487=CARTESIAN_POINT('',(15.86,20.25,0.0700000000000145)); #539488=CARTESIAN_POINT('',(15.86,20.25,-200.)); #539489=CARTESIAN_POINT('Origin',(16.,20.25,0.0700000000000145)); #539490=CARTESIAN_POINT('Origin',(15.25,20.25,-200.)); #539491=CARTESIAN_POINT('',(15.11,20.25,0.0349999999999895)); #539492=CARTESIAN_POINT('Origin',(15.25,20.25,0.0349999999999895)); #539493=CARTESIAN_POINT('',(15.11,20.25,0.0700000000000145)); #539494=CARTESIAN_POINT('',(15.11,20.25,-200.)); #539495=CARTESIAN_POINT('Origin',(15.25,20.25,0.0700000000000145)); #539496=CARTESIAN_POINT('Origin',(15.25,21.75,-200.)); #539497=CARTESIAN_POINT('',(15.11,21.75,0.0349999999999895)); #539498=CARTESIAN_POINT('Origin',(15.25,21.75,0.0349999999999895)); #539499=CARTESIAN_POINT('',(15.11,21.75,0.0700000000000145)); #539500=CARTESIAN_POINT('',(15.11,21.75,-200.)); #539501=CARTESIAN_POINT('Origin',(15.25,21.75,0.0700000000000145)); #539502=CARTESIAN_POINT('Origin',(16.,21.75,-200.)); #539503=CARTESIAN_POINT('',(15.86,21.75,0.0349999999999895)); #539504=CARTESIAN_POINT('Origin',(16.,21.75,0.0349999999999895)); #539505=CARTESIAN_POINT('',(15.86,21.75,0.0700000000000145)); #539506=CARTESIAN_POINT('',(15.86,21.75,-200.)); #539507=CARTESIAN_POINT('Origin',(16.,21.75,0.0700000000000145)); #539508=CARTESIAN_POINT('Origin',(16.,21.,-200.)); #539509=CARTESIAN_POINT('',(15.86,21.,0.0349999999999895)); #539510=CARTESIAN_POINT('Origin',(16.,21.,0.0349999999999895)); #539511=CARTESIAN_POINT('',(15.86,21.,0.0700000000000145)); #539512=CARTESIAN_POINT('',(15.86,21.,-200.)); #539513=CARTESIAN_POINT('Origin',(16.,21.,0.0700000000000145)); #539514=CARTESIAN_POINT('Origin',(15.25,21.,-200.)); #539515=CARTESIAN_POINT('',(15.11,21.,0.0349999999999895)); #539516=CARTESIAN_POINT('Origin',(15.25,21.,0.0349999999999895)); #539517=CARTESIAN_POINT('',(15.11,21.,0.0700000000000145)); #539518=CARTESIAN_POINT('',(15.11,21.,-200.)); #539519=CARTESIAN_POINT('Origin',(15.25,21.,0.0700000000000145)); #539520=CARTESIAN_POINT('Origin',(16.3622,19.6665,0.035)); #539521=CARTESIAN_POINT('',(16.3622,19.6665,0.035)); #539522=CARTESIAN_POINT('',(16.3622,22.3335,0.035)); #539523=CARTESIAN_POINT('',(16.3622,19.6665,0.035)); #539524=CARTESIAN_POINT('',(16.3622,22.3335,0.07)); #539525=CARTESIAN_POINT('',(16.3622,22.3335,0.035)); #539526=CARTESIAN_POINT('',(16.3622,19.6665,0.07)); #539527=CARTESIAN_POINT('',(16.3622,19.6665,0.07)); #539528=CARTESIAN_POINT('',(16.3622,19.6665,0.035)); #539529=CARTESIAN_POINT('Origin',(14.6858,19.6665,0.035)); #539530=CARTESIAN_POINT('',(14.6858,19.6665,0.035)); #539531=CARTESIAN_POINT('',(14.6858,19.6665,0.035)); #539532=CARTESIAN_POINT('',(14.6858,19.6665,0.07)); #539533=CARTESIAN_POINT('',(14.6858,19.6665,0.07)); #539534=CARTESIAN_POINT('',(14.6858,19.6665,0.035)); #539535=CARTESIAN_POINT('Origin',(14.6858,22.3335,0.035)); #539536=CARTESIAN_POINT('',(14.6858,22.3335,0.035)); #539537=CARTESIAN_POINT('',(14.6858,22.3335,0.035)); #539538=CARTESIAN_POINT('',(14.6858,22.3335,0.07)); #539539=CARTESIAN_POINT('',(14.6858,22.3335,0.07)); #539540=CARTESIAN_POINT('',(14.6858,22.3335,0.035)); #539541=CARTESIAN_POINT('Origin',(16.3622,22.3335,0.035)); #539542=CARTESIAN_POINT('',(16.3622,22.3335,0.035)); #539543=CARTESIAN_POINT('',(16.3622,22.3335,0.07)); #539544=CARTESIAN_POINT('Origin',(15.524,21.,0.07)); #539545=CARTESIAN_POINT('Origin',(15.524,21.,0.035)); #539546=CARTESIAN_POINT('Origin',(14.3142,46.1745,0.035)); #539547=CARTESIAN_POINT('',(14.3142,46.1745,0.035)); #539548=CARTESIAN_POINT('',(14.3142,47.8255,0.035)); #539549=CARTESIAN_POINT('',(14.3142,46.1745,0.035)); #539550=CARTESIAN_POINT('',(14.3142,47.8255,0.07)); #539551=CARTESIAN_POINT('',(14.3142,47.8255,0.035)); #539552=CARTESIAN_POINT('',(14.3142,46.1745,0.07)); #539553=CARTESIAN_POINT('',(14.3142,46.1745,0.07)); #539554=CARTESIAN_POINT('',(14.3142,46.1745,0.035)); #539555=CARTESIAN_POINT('Origin',(12.6378,46.1745,0.035)); #539556=CARTESIAN_POINT('',(12.6378,46.1745,0.035)); #539557=CARTESIAN_POINT('',(12.6378,46.1745,0.035)); #539558=CARTESIAN_POINT('',(12.6378,46.1745,0.07)); #539559=CARTESIAN_POINT('',(12.6378,46.1745,0.07)); #539560=CARTESIAN_POINT('',(12.6378,46.1745,0.035)); #539561=CARTESIAN_POINT('Origin',(12.6378,47.8255,0.035)); #539562=CARTESIAN_POINT('',(12.6378,47.8255,0.035)); #539563=CARTESIAN_POINT('',(12.6378,47.8255,0.035)); #539564=CARTESIAN_POINT('',(12.6378,47.8255,0.07)); #539565=CARTESIAN_POINT('',(12.6378,47.8255,0.07)); #539566=CARTESIAN_POINT('',(12.6378,47.8255,0.035)); #539567=CARTESIAN_POINT('Origin',(14.3142,47.8255,0.035)); #539568=CARTESIAN_POINT('',(14.3142,47.8255,0.035)); #539569=CARTESIAN_POINT('',(14.3142,47.8255,0.07)); #539570=CARTESIAN_POINT('Origin',(13.476,47.,0.07)); #539571=CARTESIAN_POINT('Origin',(13.476,47.,0.035)); #539572=CARTESIAN_POINT('Origin',(22.6571,25.5555,0.035)); #539573=CARTESIAN_POINT('',(22.6571,25.5555,0.035)); #539574=CARTESIAN_POINT('',(22.6571,26.4445,0.035)); #539575=CARTESIAN_POINT('',(22.6571,25.5555,0.035)); #539576=CARTESIAN_POINT('',(22.6571,26.4445,0.07)); #539577=CARTESIAN_POINT('',(22.6571,26.4445,0.035)); #539578=CARTESIAN_POINT('',(22.6571,25.5555,0.07)); #539579=CARTESIAN_POINT('',(22.6571,25.5555,0.07)); #539580=CARTESIAN_POINT('',(22.6571,25.5555,0.035)); #539581=CARTESIAN_POINT('Origin',(21.8189,25.5555,0.035)); #539582=CARTESIAN_POINT('',(21.8189,25.5555,0.035)); #539583=CARTESIAN_POINT('',(21.8189,25.5555,0.035)); #539584=CARTESIAN_POINT('',(21.8189,25.5555,0.07)); #539585=CARTESIAN_POINT('',(21.8189,25.5555,0.07)); #539586=CARTESIAN_POINT('',(21.8189,25.5555,0.035)); #539587=CARTESIAN_POINT('Origin',(21.8189,26.4445,0.035)); #539588=CARTESIAN_POINT('',(21.8189,26.4445,0.035)); #539589=CARTESIAN_POINT('',(21.8189,26.4445,0.035)); #539590=CARTESIAN_POINT('',(21.8189,26.4445,0.07)); #539591=CARTESIAN_POINT('',(21.8189,26.4445,0.07)); #539592=CARTESIAN_POINT('',(21.8189,26.4445,0.035)); #539593=CARTESIAN_POINT('Origin',(22.6571,26.4445,0.035)); #539594=CARTESIAN_POINT('',(22.6571,26.4445,0.035)); #539595=CARTESIAN_POINT('',(22.6571,26.4445,0.07)); #539596=CARTESIAN_POINT('Origin',(22.238,26.,0.07)); #539597=CARTESIAN_POINT('Origin',(22.238,26.,0.035)); #539598=CARTESIAN_POINT('Origin',(26.1811,14.5555,0.035)); #539599=CARTESIAN_POINT('',(26.1811,14.5555,0.035)); #539600=CARTESIAN_POINT('',(26.1811,15.4445,0.035)); #539601=CARTESIAN_POINT('',(26.1811,14.5555,0.035)); #539602=CARTESIAN_POINT('',(26.1811,15.4445,0.07)); #539603=CARTESIAN_POINT('',(26.1811,15.4445,0.035)); #539604=CARTESIAN_POINT('',(26.1811,14.5555,0.07)); #539605=CARTESIAN_POINT('',(26.1811,14.5555,0.07)); #539606=CARTESIAN_POINT('',(26.1811,14.5555,0.035)); #539607=CARTESIAN_POINT('Origin',(25.3429,14.5555,0.035)); #539608=CARTESIAN_POINT('',(25.3429,14.5555,0.035)); #539609=CARTESIAN_POINT('',(25.3429,14.5555,0.035)); #539610=CARTESIAN_POINT('',(25.3429,14.5555,0.07)); #539611=CARTESIAN_POINT('',(25.3429,14.5555,0.07)); #539612=CARTESIAN_POINT('',(25.3429,14.5555,0.035)); #539613=CARTESIAN_POINT('Origin',(25.3429,15.4445,0.035)); #539614=CARTESIAN_POINT('',(25.3429,15.4445,0.035)); #539615=CARTESIAN_POINT('',(25.3429,15.4445,0.035)); #539616=CARTESIAN_POINT('',(25.3429,15.4445,0.07)); #539617=CARTESIAN_POINT('',(25.3429,15.4445,0.07)); #539618=CARTESIAN_POINT('',(25.3429,15.4445,0.035)); #539619=CARTESIAN_POINT('Origin',(26.1811,15.4445,0.035)); #539620=CARTESIAN_POINT('',(26.1811,15.4445,0.035)); #539621=CARTESIAN_POINT('',(26.1811,15.4445,0.07)); #539622=CARTESIAN_POINT('Origin',(25.762,15.,0.07)); #539623=CARTESIAN_POINT('Origin',(25.762,15.,0.035)); #539624=CARTESIAN_POINT('Origin',(35.8875,13.2875,0.035)); #539625=CARTESIAN_POINT('',(35.8875,13.2875,0.035)); #539626=CARTESIAN_POINT('',(35.8875,13.9125,0.035)); #539627=CARTESIAN_POINT('',(35.8875,13.2875,0.035)); #539628=CARTESIAN_POINT('',(35.8875,13.9125,0.07)); #539629=CARTESIAN_POINT('',(35.8875,13.9125,0.035)); #539630=CARTESIAN_POINT('',(35.8875,13.2875,0.07)); #539631=CARTESIAN_POINT('',(35.8875,13.2875,0.07)); #539632=CARTESIAN_POINT('',(35.8875,13.2875,0.035)); #539633=CARTESIAN_POINT('Origin',(35.6125,13.2875,0.035)); #539634=CARTESIAN_POINT('',(35.6125,13.2875,0.035)); #539635=CARTESIAN_POINT('',(35.6125,13.2875,0.035)); #539636=CARTESIAN_POINT('',(35.6125,13.2875,0.07)); #539637=CARTESIAN_POINT('',(35.6125,13.2875,0.07)); #539638=CARTESIAN_POINT('',(35.6125,13.2875,0.035)); #539639=CARTESIAN_POINT('Origin',(35.6125,13.9125,0.035)); #539640=CARTESIAN_POINT('',(35.6125,13.9125,0.035)); #539641=CARTESIAN_POINT('',(35.6125,13.9125,0.035)); #539642=CARTESIAN_POINT('',(35.6125,13.9125,0.07)); #539643=CARTESIAN_POINT('',(35.6125,13.9125,0.07)); #539644=CARTESIAN_POINT('',(35.6125,13.9125,0.035)); #539645=CARTESIAN_POINT('Origin',(35.8875,13.9125,0.035)); #539646=CARTESIAN_POINT('',(35.8875,13.9125,0.035)); #539647=CARTESIAN_POINT('',(35.8875,13.9125,0.07)); #539648=CARTESIAN_POINT('Origin',(35.75,13.6,0.07)); #539649=CARTESIAN_POINT('Origin',(35.75,13.6,0.035)); #539650=CARTESIAN_POINT('Origin',(26.1811,20.5555,0.035)); #539651=CARTESIAN_POINT('',(26.1811,20.5555,0.035)); #539652=CARTESIAN_POINT('',(26.1811,21.4445,0.035)); #539653=CARTESIAN_POINT('',(26.1811,20.5555,0.035)); #539654=CARTESIAN_POINT('',(26.1811,21.4445,0.07)); #539655=CARTESIAN_POINT('',(26.1811,21.4445,0.035)); #539656=CARTESIAN_POINT('',(26.1811,20.5555,0.07)); #539657=CARTESIAN_POINT('',(26.1811,20.5555,0.07)); #539658=CARTESIAN_POINT('',(26.1811,20.5555,0.035)); #539659=CARTESIAN_POINT('Origin',(25.3429,20.5555,0.035)); #539660=CARTESIAN_POINT('',(25.3429,20.5555,0.035)); #539661=CARTESIAN_POINT('',(25.3429,20.5555,0.035)); #539662=CARTESIAN_POINT('',(25.3429,20.5555,0.07)); #539663=CARTESIAN_POINT('',(25.3429,20.5555,0.07)); #539664=CARTESIAN_POINT('',(25.3429,20.5555,0.035)); #539665=CARTESIAN_POINT('Origin',(25.3429,21.4445,0.035)); #539666=CARTESIAN_POINT('',(25.3429,21.4445,0.035)); #539667=CARTESIAN_POINT('',(25.3429,21.4445,0.035)); #539668=CARTESIAN_POINT('',(25.3429,21.4445,0.07)); #539669=CARTESIAN_POINT('',(25.3429,21.4445,0.07)); #539670=CARTESIAN_POINT('',(25.3429,21.4445,0.035)); #539671=CARTESIAN_POINT('Origin',(26.1811,21.4445,0.035)); #539672=CARTESIAN_POINT('',(26.1811,21.4445,0.035)); #539673=CARTESIAN_POINT('',(26.1811,21.4445,0.07)); #539674=CARTESIAN_POINT('Origin',(25.762,21.,0.07)); #539675=CARTESIAN_POINT('Origin',(25.762,21.,0.035)); #539676=CARTESIAN_POINT('Origin',(30.9125,15.6125,0.035)); #539677=CARTESIAN_POINT('',(30.9125,15.6125,0.035)); #539678=CARTESIAN_POINT('',(30.9125,15.8875,0.035)); #539679=CARTESIAN_POINT('',(30.9125,15.6125,0.035)); #539680=CARTESIAN_POINT('',(30.9125,15.8875,0.07)); #539681=CARTESIAN_POINT('',(30.9125,15.8875,0.035)); #539682=CARTESIAN_POINT('',(30.9125,15.6125,0.07)); #539683=CARTESIAN_POINT('',(30.9125,15.6125,0.07)); #539684=CARTESIAN_POINT('',(30.9125,15.6125,0.035)); #539685=CARTESIAN_POINT('Origin',(30.2875,15.6125,0.035)); #539686=CARTESIAN_POINT('',(30.2875,15.6125,0.035)); #539687=CARTESIAN_POINT('',(30.2875,15.6125,0.035)); #539688=CARTESIAN_POINT('',(30.2875,15.6125,0.07)); #539689=CARTESIAN_POINT('',(30.2875,15.6125,0.07)); #539690=CARTESIAN_POINT('',(30.2875,15.6125,0.035)); #539691=CARTESIAN_POINT('Origin',(30.2875,15.8875,0.035)); #539692=CARTESIAN_POINT('',(30.2875,15.8875,0.035)); #539693=CARTESIAN_POINT('',(30.2875,15.8875,0.035)); #539694=CARTESIAN_POINT('',(30.2875,15.8875,0.07)); #539695=CARTESIAN_POINT('',(30.2875,15.8875,0.07)); #539696=CARTESIAN_POINT('',(30.2875,15.8875,0.035)); #539697=CARTESIAN_POINT('Origin',(30.9125,15.8875,0.035)); #539698=CARTESIAN_POINT('',(30.9125,15.8875,0.035)); #539699=CARTESIAN_POINT('',(30.9125,15.8875,0.07)); #539700=CARTESIAN_POINT('Origin',(30.6,15.75,0.07)); #539701=CARTESIAN_POINT('Origin',(30.6,15.75,0.035)); #539702=CARTESIAN_POINT('Origin',(39.1811,37.5555,0.035)); #539703=CARTESIAN_POINT('',(39.1811,37.5555,0.035)); #539704=CARTESIAN_POINT('',(39.1811,38.4445,0.035)); #539705=CARTESIAN_POINT('',(39.1811,37.5555,0.035)); #539706=CARTESIAN_POINT('',(39.1811,38.4445,0.07)); #539707=CARTESIAN_POINT('',(39.1811,38.4445,0.035)); #539708=CARTESIAN_POINT('',(39.1811,37.5555,0.07)); #539709=CARTESIAN_POINT('',(39.1811,37.5555,0.07)); #539710=CARTESIAN_POINT('',(39.1811,37.5555,0.035)); #539711=CARTESIAN_POINT('Origin',(38.3429,37.5555,0.035)); #539712=CARTESIAN_POINT('',(38.3429,37.5555,0.035)); #539713=CARTESIAN_POINT('',(38.3429,37.5555,0.035)); #539714=CARTESIAN_POINT('',(38.3429,37.5555,0.07)); #539715=CARTESIAN_POINT('',(38.3429,37.5555,0.07)); #539716=CARTESIAN_POINT('',(38.3429,37.5555,0.035)); #539717=CARTESIAN_POINT('Origin',(38.3429,38.4445,0.035)); #539718=CARTESIAN_POINT('',(38.3429,38.4445,0.035)); #539719=CARTESIAN_POINT('',(38.3429,38.4445,0.035)); #539720=CARTESIAN_POINT('',(38.3429,38.4445,0.07)); #539721=CARTESIAN_POINT('',(38.3429,38.4445,0.07)); #539722=CARTESIAN_POINT('',(38.3429,38.4445,0.035)); #539723=CARTESIAN_POINT('Origin',(39.1811,38.4445,0.035)); #539724=CARTESIAN_POINT('',(39.1811,38.4445,0.035)); #539725=CARTESIAN_POINT('',(39.1811,38.4445,0.07)); #539726=CARTESIAN_POINT('Origin',(38.762,38.,0.07)); #539727=CARTESIAN_POINT('Origin',(38.762,38.,0.035)); #539728=CARTESIAN_POINT('Origin',(34.8875,20.0875,0.035)); #539729=CARTESIAN_POINT('',(34.8875,20.0875,0.035)); #539730=CARTESIAN_POINT('',(34.8875,20.7125,0.035)); #539731=CARTESIAN_POINT('',(34.8875,20.0875,0.035)); #539732=CARTESIAN_POINT('',(34.8875,20.7125,0.07)); #539733=CARTESIAN_POINT('',(34.8875,20.7125,0.035)); #539734=CARTESIAN_POINT('',(34.8875,20.0875,0.07)); #539735=CARTESIAN_POINT('',(34.8875,20.0875,0.07)); #539736=CARTESIAN_POINT('',(34.8875,20.0875,0.035)); #539737=CARTESIAN_POINT('Origin',(34.6125,20.0875,0.035)); #539738=CARTESIAN_POINT('',(34.6125,20.0875,0.035)); #539739=CARTESIAN_POINT('',(34.6125,20.0875,0.035)); #539740=CARTESIAN_POINT('',(34.6125,20.0875,0.07)); #539741=CARTESIAN_POINT('',(34.6125,20.0875,0.07)); #539742=CARTESIAN_POINT('',(34.6125,20.0875,0.035)); #539743=CARTESIAN_POINT('Origin',(34.6125,20.7125,0.035)); #539744=CARTESIAN_POINT('',(34.6125,20.7125,0.035)); #539745=CARTESIAN_POINT('',(34.6125,20.7125,0.035)); #539746=CARTESIAN_POINT('',(34.6125,20.7125,0.07)); #539747=CARTESIAN_POINT('',(34.6125,20.7125,0.07)); #539748=CARTESIAN_POINT('',(34.6125,20.7125,0.035)); #539749=CARTESIAN_POINT('Origin',(34.8875,20.7125,0.035)); #539750=CARTESIAN_POINT('',(34.8875,20.7125,0.035)); #539751=CARTESIAN_POINT('',(34.8875,20.7125,0.07)); #539752=CARTESIAN_POINT('Origin',(34.75,20.4,0.07)); #539753=CARTESIAN_POINT('Origin',(34.75,20.4,0.035)); #539754=CARTESIAN_POINT('Origin',(36.9967,30.4031,0.035)); #539755=CARTESIAN_POINT('',(36.9967,30.4031,0.035)); #539756=CARTESIAN_POINT('',(36.9967,31.5969,0.035)); #539757=CARTESIAN_POINT('',(36.9967,30.4031,0.035)); #539758=CARTESIAN_POINT('',(36.9967,31.5969,0.07)); #539759=CARTESIAN_POINT('',(36.9967,31.5969,0.035)); #539760=CARTESIAN_POINT('',(36.9967,30.4031,0.07)); #539761=CARTESIAN_POINT('',(36.9967,30.4031,0.07)); #539762=CARTESIAN_POINT('',(36.9967,30.4031,0.035)); #539763=CARTESIAN_POINT('Origin',(35.8029,30.4031,0.035)); #539764=CARTESIAN_POINT('',(35.8029,30.4031,0.035)); #539765=CARTESIAN_POINT('',(35.8029,30.4031,0.035)); #539766=CARTESIAN_POINT('',(35.8029,30.4031,0.07)); #539767=CARTESIAN_POINT('',(35.8029,30.4031,0.07)); #539768=CARTESIAN_POINT('',(35.8029,30.4031,0.035)); #539769=CARTESIAN_POINT('Origin',(35.8029,31.5969,0.035)); #539770=CARTESIAN_POINT('',(35.8029,31.5969,0.035)); #539771=CARTESIAN_POINT('',(35.8029,31.5969,0.035)); #539772=CARTESIAN_POINT('',(35.8029,31.5969,0.07)); #539773=CARTESIAN_POINT('',(35.8029,31.5969,0.07)); #539774=CARTESIAN_POINT('',(35.8029,31.5969,0.035)); #539775=CARTESIAN_POINT('Origin',(36.9967,31.5969,0.035)); #539776=CARTESIAN_POINT('',(36.9967,31.5969,0.035)); #539777=CARTESIAN_POINT('',(36.9967,31.5969,0.07)); #539778=CARTESIAN_POINT('Origin',(36.3998,31.,0.07)); #539779=CARTESIAN_POINT('Origin',(36.3998,31.,0.035)); #539780=CARTESIAN_POINT('Origin',(29.6571,37.5555,0.035)); #539781=CARTESIAN_POINT('',(29.6571,37.5555,0.035)); #539782=CARTESIAN_POINT('',(29.6571,38.4445,0.035)); #539783=CARTESIAN_POINT('',(29.6571,37.5555,0.035)); #539784=CARTESIAN_POINT('',(29.6571,38.4445,0.07)); #539785=CARTESIAN_POINT('',(29.6571,38.4445,0.035)); #539786=CARTESIAN_POINT('',(29.6571,37.5555,0.07)); #539787=CARTESIAN_POINT('',(29.6571,37.5555,0.07)); #539788=CARTESIAN_POINT('',(29.6571,37.5555,0.035)); #539789=CARTESIAN_POINT('Origin',(28.8189,37.5555,0.035)); #539790=CARTESIAN_POINT('',(28.8189,37.5555,0.035)); #539791=CARTESIAN_POINT('',(28.8189,37.5555,0.035)); #539792=CARTESIAN_POINT('',(28.8189,37.5555,0.07)); #539793=CARTESIAN_POINT('',(28.8189,37.5555,0.07)); #539794=CARTESIAN_POINT('',(28.8189,37.5555,0.035)); #539795=CARTESIAN_POINT('Origin',(28.8189,38.4445,0.035)); #539796=CARTESIAN_POINT('',(28.8189,38.4445,0.035)); #539797=CARTESIAN_POINT('',(28.8189,38.4445,0.035)); #539798=CARTESIAN_POINT('',(28.8189,38.4445,0.07)); #539799=CARTESIAN_POINT('',(28.8189,38.4445,0.07)); #539800=CARTESIAN_POINT('',(28.8189,38.4445,0.035)); #539801=CARTESIAN_POINT('Origin',(29.6571,38.4445,0.035)); #539802=CARTESIAN_POINT('',(29.6571,38.4445,0.035)); #539803=CARTESIAN_POINT('',(29.6571,38.4445,0.07)); #539804=CARTESIAN_POINT('Origin',(29.238,38.,0.07)); #539805=CARTESIAN_POINT('Origin',(29.238,38.,0.035)); #539806=CARTESIAN_POINT('Origin',(32.3875,20.0875,0.035)); #539807=CARTESIAN_POINT('',(32.3875,20.0875,0.035)); #539808=CARTESIAN_POINT('',(32.3875,20.7125,0.035)); #539809=CARTESIAN_POINT('',(32.3875,20.0875,0.035)); #539810=CARTESIAN_POINT('',(32.3875,20.7125,0.07)); #539811=CARTESIAN_POINT('',(32.3875,20.7125,0.035)); #539812=CARTESIAN_POINT('',(32.3875,20.0875,0.07)); #539813=CARTESIAN_POINT('',(32.3875,20.0875,0.07)); #539814=CARTESIAN_POINT('',(32.3875,20.0875,0.035)); #539815=CARTESIAN_POINT('Origin',(32.1125,20.0875,0.035)); #539816=CARTESIAN_POINT('',(32.1125,20.0875,0.035)); #539817=CARTESIAN_POINT('',(32.1125,20.0875,0.035)); #539818=CARTESIAN_POINT('',(32.1125,20.0875,0.07)); #539819=CARTESIAN_POINT('',(32.1125,20.0875,0.07)); #539820=CARTESIAN_POINT('',(32.1125,20.0875,0.035)); #539821=CARTESIAN_POINT('Origin',(32.1125,20.7125,0.035)); #539822=CARTESIAN_POINT('',(32.1125,20.7125,0.035)); #539823=CARTESIAN_POINT('',(32.1125,20.7125,0.035)); #539824=CARTESIAN_POINT('',(32.1125,20.7125,0.07)); #539825=CARTESIAN_POINT('',(32.1125,20.7125,0.07)); #539826=CARTESIAN_POINT('',(32.1125,20.7125,0.035)); #539827=CARTESIAN_POINT('Origin',(32.3875,20.7125,0.035)); #539828=CARTESIAN_POINT('',(32.3875,20.7125,0.035)); #539829=CARTESIAN_POINT('',(32.3875,20.7125,0.07)); #539830=CARTESIAN_POINT('Origin',(32.25,20.4,0.07)); #539831=CARTESIAN_POINT('Origin',(32.25,20.4,0.035)); #539832=CARTESIAN_POINT('Origin',(32.1971,30.4031,0.035)); #539833=CARTESIAN_POINT('',(32.1971,30.4031,0.035)); #539834=CARTESIAN_POINT('',(32.1971,31.5969,0.035)); #539835=CARTESIAN_POINT('',(32.1971,30.4031,0.035)); #539836=CARTESIAN_POINT('',(32.1971,31.5969,0.07)); #539837=CARTESIAN_POINT('',(32.1971,31.5969,0.035)); #539838=CARTESIAN_POINT('',(32.1971,30.4031,0.07)); #539839=CARTESIAN_POINT('',(32.1971,30.4031,0.07)); #539840=CARTESIAN_POINT('',(32.1971,30.4031,0.035)); #539841=CARTESIAN_POINT('Origin',(31.0033,30.4031,0.035)); #539842=CARTESIAN_POINT('',(31.0033,30.4031,0.035)); #539843=CARTESIAN_POINT('',(31.0033,30.4031,0.035)); #539844=CARTESIAN_POINT('',(31.0033,30.4031,0.07)); #539845=CARTESIAN_POINT('',(31.0033,30.4031,0.07)); #539846=CARTESIAN_POINT('',(31.0033,30.4031,0.035)); #539847=CARTESIAN_POINT('Origin',(31.0033,31.5969,0.035)); #539848=CARTESIAN_POINT('',(31.0033,31.5969,0.035)); #539849=CARTESIAN_POINT('',(31.0033,31.5969,0.035)); #539850=CARTESIAN_POINT('',(31.0033,31.5969,0.07)); #539851=CARTESIAN_POINT('',(31.0033,31.5969,0.07)); #539852=CARTESIAN_POINT('',(31.0033,31.5969,0.035)); #539853=CARTESIAN_POINT('Origin',(32.1971,31.5969,0.035)); #539854=CARTESIAN_POINT('',(32.1971,31.5969,0.035)); #539855=CARTESIAN_POINT('',(32.1971,31.5969,0.07)); #539856=CARTESIAN_POINT('Origin',(31.6002,31.,0.07)); #539857=CARTESIAN_POINT('Origin',(31.6002,31.,0.035)); #539858=CARTESIAN_POINT('Origin',(33.3875,13.2875,0.035)); #539859=CARTESIAN_POINT('',(33.3875,13.2875,0.035)); #539860=CARTESIAN_POINT('',(33.3875,13.9125,0.035)); #539861=CARTESIAN_POINT('',(33.3875,13.2875,0.035)); #539862=CARTESIAN_POINT('',(33.3875,13.9125,0.07)); #539863=CARTESIAN_POINT('',(33.3875,13.9125,0.035)); #539864=CARTESIAN_POINT('',(33.3875,13.2875,0.07)); #539865=CARTESIAN_POINT('',(33.3875,13.2875,0.07)); #539866=CARTESIAN_POINT('',(33.3875,13.2875,0.035)); #539867=CARTESIAN_POINT('Origin',(33.1125,13.2875,0.035)); #539868=CARTESIAN_POINT('',(33.1125,13.2875,0.035)); #539869=CARTESIAN_POINT('',(33.1125,13.2875,0.035)); #539870=CARTESIAN_POINT('',(33.1125,13.2875,0.07)); #539871=CARTESIAN_POINT('',(33.1125,13.2875,0.07)); #539872=CARTESIAN_POINT('',(33.1125,13.2875,0.035)); #539873=CARTESIAN_POINT('Origin',(33.1125,13.9125,0.035)); #539874=CARTESIAN_POINT('',(33.1125,13.9125,0.035)); #539875=CARTESIAN_POINT('',(33.1125,13.9125,0.035)); #539876=CARTESIAN_POINT('',(33.1125,13.9125,0.07)); #539877=CARTESIAN_POINT('',(33.1125,13.9125,0.07)); #539878=CARTESIAN_POINT('',(33.1125,13.9125,0.035)); #539879=CARTESIAN_POINT('Origin',(33.3875,13.9125,0.035)); #539880=CARTESIAN_POINT('',(33.3875,13.9125,0.035)); #539881=CARTESIAN_POINT('',(33.3875,13.9125,0.07)); #539882=CARTESIAN_POINT('Origin',(33.25,13.6,0.07)); #539883=CARTESIAN_POINT('Origin',(33.25,13.6,0.035)); #539884=CARTESIAN_POINT('Origin',(31.8875,13.2875,0.035)); #539885=CARTESIAN_POINT('',(31.8875,13.2875,0.035)); #539886=CARTESIAN_POINT('',(31.8875,13.9125,0.035)); #539887=CARTESIAN_POINT('',(31.8875,13.2875,0.035)); #539888=CARTESIAN_POINT('',(31.8875,13.9125,0.07)); #539889=CARTESIAN_POINT('',(31.8875,13.9125,0.035)); #539890=CARTESIAN_POINT('',(31.8875,13.2875,0.07)); #539891=CARTESIAN_POINT('',(31.8875,13.2875,0.07)); #539892=CARTESIAN_POINT('',(31.8875,13.2875,0.035)); #539893=CARTESIAN_POINT('Origin',(31.6125,13.2875,0.035)); #539894=CARTESIAN_POINT('',(31.6125,13.2875,0.035)); #539895=CARTESIAN_POINT('',(31.6125,13.2875,0.035)); #539896=CARTESIAN_POINT('',(31.6125,13.2875,0.07)); #539897=CARTESIAN_POINT('',(31.6125,13.2875,0.07)); #539898=CARTESIAN_POINT('',(31.6125,13.2875,0.035)); #539899=CARTESIAN_POINT('Origin',(31.6125,13.9125,0.035)); #539900=CARTESIAN_POINT('',(31.6125,13.9125,0.035)); #539901=CARTESIAN_POINT('',(31.6125,13.9125,0.035)); #539902=CARTESIAN_POINT('',(31.6125,13.9125,0.07)); #539903=CARTESIAN_POINT('',(31.6125,13.9125,0.07)); #539904=CARTESIAN_POINT('',(31.6125,13.9125,0.035)); #539905=CARTESIAN_POINT('Origin',(31.8875,13.9125,0.035)); #539906=CARTESIAN_POINT('',(31.8875,13.9125,0.035)); #539907=CARTESIAN_POINT('',(31.8875,13.9125,0.07)); #539908=CARTESIAN_POINT('Origin',(31.75,13.6,0.07)); #539909=CARTESIAN_POINT('Origin',(31.75,13.6,0.035)); #539910=CARTESIAN_POINT('Origin',(33.6571,59.8055,0.035)); #539911=CARTESIAN_POINT('',(33.6571,59.8055,0.035)); #539912=CARTESIAN_POINT('',(33.6571,60.6945,0.035)); #539913=CARTESIAN_POINT('',(33.6571,59.8055,0.035)); #539914=CARTESIAN_POINT('',(33.6571,60.6945,0.07)); #539915=CARTESIAN_POINT('',(33.6571,60.6945,0.035)); #539916=CARTESIAN_POINT('',(33.6571,59.8055,0.07)); #539917=CARTESIAN_POINT('',(33.6571,59.8055,0.07)); #539918=CARTESIAN_POINT('',(33.6571,59.8055,0.035)); #539919=CARTESIAN_POINT('Origin',(32.8189,59.8055,0.035)); #539920=CARTESIAN_POINT('',(32.8189,59.8055,0.035)); #539921=CARTESIAN_POINT('',(32.8189,59.8055,0.035)); #539922=CARTESIAN_POINT('',(32.8189,59.8055,0.07)); #539923=CARTESIAN_POINT('',(32.8189,59.8055,0.07)); #539924=CARTESIAN_POINT('',(32.8189,59.8055,0.035)); #539925=CARTESIAN_POINT('Origin',(32.8189,60.6945,0.035)); #539926=CARTESIAN_POINT('',(32.8189,60.6945,0.035)); #539927=CARTESIAN_POINT('',(32.8189,60.6945,0.035)); #539928=CARTESIAN_POINT('',(32.8189,60.6945,0.07)); #539929=CARTESIAN_POINT('',(32.8189,60.6945,0.07)); #539930=CARTESIAN_POINT('',(32.8189,60.6945,0.035)); #539931=CARTESIAN_POINT('Origin',(33.6571,60.6945,0.035)); #539932=CARTESIAN_POINT('',(33.6571,60.6945,0.035)); #539933=CARTESIAN_POINT('',(33.6571,60.6945,0.07)); #539934=CARTESIAN_POINT('Origin',(33.238,60.25,0.07)); #539935=CARTESIAN_POINT('Origin',(33.238,60.25,0.035)); #539936=CARTESIAN_POINT('Origin',(34.3875,13.2875,0.035)); #539937=CARTESIAN_POINT('',(34.3875,13.2875,0.035)); #539938=CARTESIAN_POINT('',(34.3875,13.9125,0.035)); #539939=CARTESIAN_POINT('',(34.3875,13.2875,0.035)); #539940=CARTESIAN_POINT('',(34.3875,13.9125,0.07)); #539941=CARTESIAN_POINT('',(34.3875,13.9125,0.035)); #539942=CARTESIAN_POINT('',(34.3875,13.2875,0.07)); #539943=CARTESIAN_POINT('',(34.3875,13.2875,0.07)); #539944=CARTESIAN_POINT('',(34.3875,13.2875,0.035)); #539945=CARTESIAN_POINT('Origin',(34.1125,13.2875,0.035)); #539946=CARTESIAN_POINT('',(34.1125,13.2875,0.035)); #539947=CARTESIAN_POINT('',(34.1125,13.2875,0.035)); #539948=CARTESIAN_POINT('',(34.1125,13.2875,0.07)); #539949=CARTESIAN_POINT('',(34.1125,13.2875,0.07)); #539950=CARTESIAN_POINT('',(34.1125,13.2875,0.035)); #539951=CARTESIAN_POINT('Origin',(34.1125,13.9125,0.035)); #539952=CARTESIAN_POINT('',(34.1125,13.9125,0.035)); #539953=CARTESIAN_POINT('',(34.1125,13.9125,0.035)); #539954=CARTESIAN_POINT('',(34.1125,13.9125,0.07)); #539955=CARTESIAN_POINT('',(34.1125,13.9125,0.07)); #539956=CARTESIAN_POINT('',(34.1125,13.9125,0.035)); #539957=CARTESIAN_POINT('Origin',(34.3875,13.9125,0.035)); #539958=CARTESIAN_POINT('',(34.3875,13.9125,0.035)); #539959=CARTESIAN_POINT('',(34.3875,13.9125,0.07)); #539960=CARTESIAN_POINT('Origin',(34.25,13.6,0.07)); #539961=CARTESIAN_POINT('Origin',(34.25,13.6,0.035)); #539962=CARTESIAN_POINT('Origin',(32.8875,13.2875,0.035)); #539963=CARTESIAN_POINT('',(32.8875,13.2875,0.035)); #539964=CARTESIAN_POINT('',(32.8875,13.9125,0.035)); #539965=CARTESIAN_POINT('',(32.8875,13.2875,0.035)); #539966=CARTESIAN_POINT('',(32.8875,13.9125,0.07)); #539967=CARTESIAN_POINT('',(32.8875,13.9125,0.035)); #539968=CARTESIAN_POINT('',(32.8875,13.2875,0.07)); #539969=CARTESIAN_POINT('',(32.8875,13.2875,0.07)); #539970=CARTESIAN_POINT('',(32.8875,13.2875,0.035)); #539971=CARTESIAN_POINT('Origin',(32.6125,13.2875,0.035)); #539972=CARTESIAN_POINT('',(32.6125,13.2875,0.035)); #539973=CARTESIAN_POINT('',(32.6125,13.2875,0.035)); #539974=CARTESIAN_POINT('',(32.6125,13.2875,0.07)); #539975=CARTESIAN_POINT('',(32.6125,13.2875,0.07)); #539976=CARTESIAN_POINT('',(32.6125,13.2875,0.035)); #539977=CARTESIAN_POINT('Origin',(32.6125,13.9125,0.035)); #539978=CARTESIAN_POINT('',(32.6125,13.9125,0.035)); #539979=CARTESIAN_POINT('',(32.6125,13.9125,0.035)); #539980=CARTESIAN_POINT('',(32.6125,13.9125,0.07)); #539981=CARTESIAN_POINT('',(32.6125,13.9125,0.07)); #539982=CARTESIAN_POINT('',(32.6125,13.9125,0.035)); #539983=CARTESIAN_POINT('Origin',(32.8875,13.9125,0.035)); #539984=CARTESIAN_POINT('',(32.8875,13.9125,0.035)); #539985=CARTESIAN_POINT('',(32.8875,13.9125,0.07)); #539986=CARTESIAN_POINT('Origin',(32.75,13.6,0.07)); #539987=CARTESIAN_POINT('Origin',(32.75,13.6,0.035)); #539988=CARTESIAN_POINT('Origin',(32.3875,13.2875,0.035)); #539989=CARTESIAN_POINT('',(32.3875,13.2875,0.035)); #539990=CARTESIAN_POINT('',(32.3875,13.9125,0.035)); #539991=CARTESIAN_POINT('',(32.3875,13.2875,0.035)); #539992=CARTESIAN_POINT('',(32.3875,13.9125,0.07)); #539993=CARTESIAN_POINT('',(32.3875,13.9125,0.035)); #539994=CARTESIAN_POINT('',(32.3875,13.2875,0.07)); #539995=CARTESIAN_POINT('',(32.3875,13.2875,0.07)); #539996=CARTESIAN_POINT('',(32.3875,13.2875,0.035)); #539997=CARTESIAN_POINT('Origin',(32.1125,13.2875,0.035)); #539998=CARTESIAN_POINT('',(32.1125,13.2875,0.035)); #539999=CARTESIAN_POINT('',(32.1125,13.2875,0.035)); #540000=CARTESIAN_POINT('',(32.1125,13.2875,0.07)); #540001=CARTESIAN_POINT('',(32.1125,13.2875,0.07)); #540002=CARTESIAN_POINT('',(32.1125,13.2875,0.035)); #540003=CARTESIAN_POINT('Origin',(32.1125,13.9125,0.035)); #540004=CARTESIAN_POINT('',(32.1125,13.9125,0.035)); #540005=CARTESIAN_POINT('',(32.1125,13.9125,0.035)); #540006=CARTESIAN_POINT('',(32.1125,13.9125,0.07)); #540007=CARTESIAN_POINT('',(32.1125,13.9125,0.07)); #540008=CARTESIAN_POINT('',(32.1125,13.9125,0.035)); #540009=CARTESIAN_POINT('Origin',(32.3875,13.9125,0.035)); #540010=CARTESIAN_POINT('',(32.3875,13.9125,0.035)); #540011=CARTESIAN_POINT('',(32.3875,13.9125,0.07)); #540012=CARTESIAN_POINT('Origin',(32.25,13.6,0.07)); #540013=CARTESIAN_POINT('Origin',(32.25,13.6,0.035)); #540014=CARTESIAN_POINT('Origin',(22.1811,20.5555,0.035)); #540015=CARTESIAN_POINT('',(22.1811,20.5555,0.035)); #540016=CARTESIAN_POINT('',(22.1811,21.4445,0.035)); #540017=CARTESIAN_POINT('',(22.1811,20.5555,0.035)); #540018=CARTESIAN_POINT('',(22.1811,21.4445,0.07)); #540019=CARTESIAN_POINT('',(22.1811,21.4445,0.035)); #540020=CARTESIAN_POINT('',(22.1811,20.5555,0.07)); #540021=CARTESIAN_POINT('',(22.1811,20.5555,0.07)); #540022=CARTESIAN_POINT('',(22.1811,20.5555,0.035)); #540023=CARTESIAN_POINT('Origin',(21.3429,20.5555,0.035)); #540024=CARTESIAN_POINT('',(21.3429,20.5555,0.035)); #540025=CARTESIAN_POINT('',(21.3429,20.5555,0.035)); #540026=CARTESIAN_POINT('',(21.3429,20.5555,0.07)); #540027=CARTESIAN_POINT('',(21.3429,20.5555,0.07)); #540028=CARTESIAN_POINT('',(21.3429,20.5555,0.035)); #540029=CARTESIAN_POINT('Origin',(21.3429,21.4445,0.035)); #540030=CARTESIAN_POINT('',(21.3429,21.4445,0.035)); #540031=CARTESIAN_POINT('',(21.3429,21.4445,0.035)); #540032=CARTESIAN_POINT('',(21.3429,21.4445,0.07)); #540033=CARTESIAN_POINT('',(21.3429,21.4445,0.07)); #540034=CARTESIAN_POINT('',(21.3429,21.4445,0.035)); #540035=CARTESIAN_POINT('Origin',(22.1811,21.4445,0.035)); #540036=CARTESIAN_POINT('',(22.1811,21.4445,0.035)); #540037=CARTESIAN_POINT('',(22.1811,21.4445,0.07)); #540038=CARTESIAN_POINT('Origin',(21.762,21.,0.07)); #540039=CARTESIAN_POINT('Origin',(21.762,21.,0.035)); #540040=CARTESIAN_POINT('Origin',(30.9125,15.1125,0.035)); #540041=CARTESIAN_POINT('',(30.9125,15.1125,0.035)); #540042=CARTESIAN_POINT('',(30.9125,15.3875,0.035)); #540043=CARTESIAN_POINT('',(30.9125,15.1125,0.035)); #540044=CARTESIAN_POINT('',(30.9125,15.3875,0.07)); #540045=CARTESIAN_POINT('',(30.9125,15.3875,0.035)); #540046=CARTESIAN_POINT('',(30.9125,15.1125,0.07)); #540047=CARTESIAN_POINT('',(30.9125,15.1125,0.07)); #540048=CARTESIAN_POINT('',(30.9125,15.1125,0.035)); #540049=CARTESIAN_POINT('Origin',(30.2875,15.1125,0.035)); #540050=CARTESIAN_POINT('',(30.2875,15.1125,0.035)); #540051=CARTESIAN_POINT('',(30.2875,15.1125,0.035)); #540052=CARTESIAN_POINT('',(30.2875,15.1125,0.07)); #540053=CARTESIAN_POINT('',(30.2875,15.1125,0.07)); #540054=CARTESIAN_POINT('',(30.2875,15.1125,0.035)); #540055=CARTESIAN_POINT('Origin',(30.2875,15.3875,0.035)); #540056=CARTESIAN_POINT('',(30.2875,15.3875,0.035)); #540057=CARTESIAN_POINT('',(30.2875,15.3875,0.035)); #540058=CARTESIAN_POINT('',(30.2875,15.3875,0.07)); #540059=CARTESIAN_POINT('',(30.2875,15.3875,0.07)); #540060=CARTESIAN_POINT('',(30.2875,15.3875,0.035)); #540061=CARTESIAN_POINT('Origin',(30.9125,15.3875,0.035)); #540062=CARTESIAN_POINT('',(30.9125,15.3875,0.035)); #540063=CARTESIAN_POINT('',(30.9125,15.3875,0.07)); #540064=CARTESIAN_POINT('Origin',(30.6,15.25,0.07)); #540065=CARTESIAN_POINT('Origin',(30.6,15.25,0.035)); #540066=CARTESIAN_POINT('Origin',(37.6571,59.8055,0.035)); #540067=CARTESIAN_POINT('',(37.6571,59.8055,0.035)); #540068=CARTESIAN_POINT('',(37.6571,60.6945,0.035)); #540069=CARTESIAN_POINT('',(37.6571,59.8055,0.035)); #540070=CARTESIAN_POINT('',(37.6571,60.6945,0.07)); #540071=CARTESIAN_POINT('',(37.6571,60.6945,0.035)); #540072=CARTESIAN_POINT('',(37.6571,59.8055,0.07)); #540073=CARTESIAN_POINT('',(37.6571,59.8055,0.07)); #540074=CARTESIAN_POINT('',(37.6571,59.8055,0.035)); #540075=CARTESIAN_POINT('Origin',(36.8189,59.8055,0.035)); #540076=CARTESIAN_POINT('',(36.8189,59.8055,0.035)); #540077=CARTESIAN_POINT('',(36.8189,59.8055,0.035)); #540078=CARTESIAN_POINT('',(36.8189,59.8055,0.07)); #540079=CARTESIAN_POINT('',(36.8189,59.8055,0.07)); #540080=CARTESIAN_POINT('',(36.8189,59.8055,0.035)); #540081=CARTESIAN_POINT('Origin',(36.8189,60.6945,0.035)); #540082=CARTESIAN_POINT('',(36.8189,60.6945,0.035)); #540083=CARTESIAN_POINT('',(36.8189,60.6945,0.035)); #540084=CARTESIAN_POINT('',(36.8189,60.6945,0.07)); #540085=CARTESIAN_POINT('',(36.8189,60.6945,0.07)); #540086=CARTESIAN_POINT('',(36.8189,60.6945,0.035)); #540087=CARTESIAN_POINT('Origin',(37.6571,60.6945,0.035)); #540088=CARTESIAN_POINT('',(37.6571,60.6945,0.035)); #540089=CARTESIAN_POINT('',(37.6571,60.6945,0.07)); #540090=CARTESIAN_POINT('Origin',(37.238,60.25,0.07)); #540091=CARTESIAN_POINT('Origin',(37.238,60.25,0.035)); #540092=CARTESIAN_POINT('Origin',(37.7125,15.6125,0.035)); #540093=CARTESIAN_POINT('',(37.7125,15.6125,0.035)); #540094=CARTESIAN_POINT('',(37.7125,15.8875,0.035)); #540095=CARTESIAN_POINT('',(37.7125,15.6125,0.035)); #540096=CARTESIAN_POINT('',(37.7125,15.8875,0.07)); #540097=CARTESIAN_POINT('',(37.7125,15.8875,0.035)); #540098=CARTESIAN_POINT('',(37.7125,15.6125,0.07)); #540099=CARTESIAN_POINT('',(37.7125,15.6125,0.07)); #540100=CARTESIAN_POINT('',(37.7125,15.6125,0.035)); #540101=CARTESIAN_POINT('Origin',(37.0875,15.6125,0.035)); #540102=CARTESIAN_POINT('',(37.0875,15.6125,0.035)); #540103=CARTESIAN_POINT('',(37.0875,15.6125,0.035)); #540104=CARTESIAN_POINT('',(37.0875,15.6125,0.07)); #540105=CARTESIAN_POINT('',(37.0875,15.6125,0.07)); #540106=CARTESIAN_POINT('',(37.0875,15.6125,0.035)); #540107=CARTESIAN_POINT('Origin',(37.0875,15.8875,0.035)); #540108=CARTESIAN_POINT('',(37.0875,15.8875,0.035)); #540109=CARTESIAN_POINT('',(37.0875,15.8875,0.035)); #540110=CARTESIAN_POINT('',(37.0875,15.8875,0.07)); #540111=CARTESIAN_POINT('',(37.0875,15.8875,0.07)); #540112=CARTESIAN_POINT('',(37.0875,15.8875,0.035)); #540113=CARTESIAN_POINT('Origin',(37.7125,15.8875,0.035)); #540114=CARTESIAN_POINT('',(37.7125,15.8875,0.035)); #540115=CARTESIAN_POINT('',(37.7125,15.8875,0.07)); #540116=CARTESIAN_POINT('Origin',(37.4,15.75,0.07)); #540117=CARTESIAN_POINT('Origin',(37.4,15.75,0.035)); #540118=CARTESIAN_POINT('Origin',(38.9311,56.5555,0.035)); #540119=CARTESIAN_POINT('',(38.9311,56.5555,0.035)); #540120=CARTESIAN_POINT('',(38.9311,57.4445,0.035)); #540121=CARTESIAN_POINT('',(38.9311,56.5555,0.035)); #540122=CARTESIAN_POINT('',(38.9311,57.4445,0.07)); #540123=CARTESIAN_POINT('',(38.9311,57.4445,0.035)); #540124=CARTESIAN_POINT('',(38.9311,56.5555,0.07)); #540125=CARTESIAN_POINT('',(38.9311,56.5555,0.07)); #540126=CARTESIAN_POINT('',(38.9311,56.5555,0.035)); #540127=CARTESIAN_POINT('Origin',(38.0929,56.5555,0.035)); #540128=CARTESIAN_POINT('',(38.0929,56.5555,0.035)); #540129=CARTESIAN_POINT('',(38.0929,56.5555,0.035)); #540130=CARTESIAN_POINT('',(38.0929,56.5555,0.07)); #540131=CARTESIAN_POINT('',(38.0929,56.5555,0.07)); #540132=CARTESIAN_POINT('',(38.0929,56.5555,0.035)); #540133=CARTESIAN_POINT('Origin',(38.0929,57.4445,0.035)); #540134=CARTESIAN_POINT('',(38.0929,57.4445,0.035)); #540135=CARTESIAN_POINT('',(38.0929,57.4445,0.035)); #540136=CARTESIAN_POINT('',(38.0929,57.4445,0.07)); #540137=CARTESIAN_POINT('',(38.0929,57.4445,0.07)); #540138=CARTESIAN_POINT('',(38.0929,57.4445,0.035)); #540139=CARTESIAN_POINT('Origin',(38.9311,57.4445,0.035)); #540140=CARTESIAN_POINT('',(38.9311,57.4445,0.035)); #540141=CARTESIAN_POINT('',(38.9311,57.4445,0.07)); #540142=CARTESIAN_POINT('Origin',(38.512,57.,0.07)); #540143=CARTESIAN_POINT('Origin',(38.512,57.,0.035)); #540144=CARTESIAN_POINT('Origin',(20.6571,20.5555,0.035)); #540145=CARTESIAN_POINT('',(20.6571,20.5555,0.035)); #540146=CARTESIAN_POINT('',(20.6571,21.4445,0.035)); #540147=CARTESIAN_POINT('',(20.6571,20.5555,0.035)); #540148=CARTESIAN_POINT('',(20.6571,21.4445,0.07)); #540149=CARTESIAN_POINT('',(20.6571,21.4445,0.035)); #540150=CARTESIAN_POINT('',(20.6571,20.5555,0.07)); #540151=CARTESIAN_POINT('',(20.6571,20.5555,0.07)); #540152=CARTESIAN_POINT('',(20.6571,20.5555,0.035)); #540153=CARTESIAN_POINT('Origin',(19.8189,20.5555,0.035)); #540154=CARTESIAN_POINT('',(19.8189,20.5555,0.035)); #540155=CARTESIAN_POINT('',(19.8189,20.5555,0.035)); #540156=CARTESIAN_POINT('',(19.8189,20.5555,0.07)); #540157=CARTESIAN_POINT('',(19.8189,20.5555,0.07)); #540158=CARTESIAN_POINT('',(19.8189,20.5555,0.035)); #540159=CARTESIAN_POINT('Origin',(19.8189,21.4445,0.035)); #540160=CARTESIAN_POINT('',(19.8189,21.4445,0.035)); #540161=CARTESIAN_POINT('',(19.8189,21.4445,0.035)); #540162=CARTESIAN_POINT('',(19.8189,21.4445,0.07)); #540163=CARTESIAN_POINT('',(19.8189,21.4445,0.07)); #540164=CARTESIAN_POINT('',(19.8189,21.4445,0.035)); #540165=CARTESIAN_POINT('Origin',(20.6571,21.4445,0.035)); #540166=CARTESIAN_POINT('',(20.6571,21.4445,0.035)); #540167=CARTESIAN_POINT('',(20.6571,21.4445,0.07)); #540168=CARTESIAN_POINT('Origin',(20.238,21.,0.07)); #540169=CARTESIAN_POINT('Origin',(20.238,21.,0.035)); #540170=CARTESIAN_POINT('Origin',(25.4445,29.3429,0.035)); #540171=CARTESIAN_POINT('',(25.4445,29.3429,0.035)); #540172=CARTESIAN_POINT('',(25.4445,30.1811,0.035)); #540173=CARTESIAN_POINT('',(25.4445,29.3429,0.035)); #540174=CARTESIAN_POINT('',(25.4445,30.1811,0.07)); #540175=CARTESIAN_POINT('',(25.4445,30.1811,0.035)); #540176=CARTESIAN_POINT('',(25.4445,29.3429,0.07)); #540177=CARTESIAN_POINT('',(25.4445,29.3429,0.07)); #540178=CARTESIAN_POINT('',(25.4445,29.3429,0.035)); #540179=CARTESIAN_POINT('Origin',(24.5555,29.3429,0.035)); #540180=CARTESIAN_POINT('',(24.5555,29.3429,0.035)); #540181=CARTESIAN_POINT('',(24.5555,29.3429,0.035)); #540182=CARTESIAN_POINT('',(24.5555,29.3429,0.07)); #540183=CARTESIAN_POINT('',(24.5555,29.3429,0.07)); #540184=CARTESIAN_POINT('',(24.5555,29.3429,0.035)); #540185=CARTESIAN_POINT('Origin',(24.5555,30.1811,0.035)); #540186=CARTESIAN_POINT('',(24.5555,30.1811,0.035)); #540187=CARTESIAN_POINT('',(24.5555,30.1811,0.035)); #540188=CARTESIAN_POINT('',(24.5555,30.1811,0.07)); #540189=CARTESIAN_POINT('',(24.5555,30.1811,0.07)); #540190=CARTESIAN_POINT('',(24.5555,30.1811,0.035)); #540191=CARTESIAN_POINT('Origin',(25.4445,30.1811,0.035)); #540192=CARTESIAN_POINT('',(25.4445,30.1811,0.035)); #540193=CARTESIAN_POINT('',(25.4445,30.1811,0.07)); #540194=CARTESIAN_POINT('Origin',(25.,29.762,0.07)); #540195=CARTESIAN_POINT('Origin',(25.,29.762,0.035)); #540196=CARTESIAN_POINT('Origin',(47.3622,11.4245,0.035)); #540197=CARTESIAN_POINT('',(47.3622,11.4245,0.035)); #540198=CARTESIAN_POINT('',(47.3622,13.0755,0.035)); #540199=CARTESIAN_POINT('',(47.3622,11.4245,0.035)); #540200=CARTESIAN_POINT('',(47.3622,13.0755,0.07)); #540201=CARTESIAN_POINT('',(47.3622,13.0755,0.035)); #540202=CARTESIAN_POINT('',(47.3622,11.4245,0.07)); #540203=CARTESIAN_POINT('',(47.3622,11.4245,0.07)); #540204=CARTESIAN_POINT('',(47.3622,11.4245,0.035)); #540205=CARTESIAN_POINT('Origin',(45.6858,11.4245,0.035)); #540206=CARTESIAN_POINT('',(45.6858,11.4245,0.035)); #540207=CARTESIAN_POINT('',(45.6858,11.4245,0.035)); #540208=CARTESIAN_POINT('',(45.6858,11.4245,0.07)); #540209=CARTESIAN_POINT('',(45.6858,11.4245,0.07)); #540210=CARTESIAN_POINT('',(45.6858,11.4245,0.035)); #540211=CARTESIAN_POINT('Origin',(45.6858,13.0755,0.035)); #540212=CARTESIAN_POINT('',(45.6858,13.0755,0.035)); #540213=CARTESIAN_POINT('',(45.6858,13.0755,0.035)); #540214=CARTESIAN_POINT('',(45.6858,13.0755,0.07)); #540215=CARTESIAN_POINT('',(45.6858,13.0755,0.07)); #540216=CARTESIAN_POINT('',(45.6858,13.0755,0.035)); #540217=CARTESIAN_POINT('Origin',(47.3622,13.0755,0.035)); #540218=CARTESIAN_POINT('',(47.3622,13.0755,0.035)); #540219=CARTESIAN_POINT('',(47.3622,13.0755,0.07)); #540220=CARTESIAN_POINT('Origin',(46.524,12.25,0.07)); #540221=CARTESIAN_POINT('Origin',(46.524,12.25,0.035)); #540222=CARTESIAN_POINT('Origin',(12.75,21.,-200.)); #540223=CARTESIAN_POINT('',(12.61,21.,0.0349999999999895)); #540224=CARTESIAN_POINT('Origin',(12.75,21.,0.0349999999999895)); #540225=CARTESIAN_POINT('',(12.61,21.,0.0700000000000145)); #540226=CARTESIAN_POINT('',(12.61,21.,-200.)); #540227=CARTESIAN_POINT('Origin',(12.75,21.,0.0700000000000145)); #540228=CARTESIAN_POINT('Origin',(12.,21.,-200.)); #540229=CARTESIAN_POINT('',(11.86,21.,0.0349999999999895)); #540230=CARTESIAN_POINT('Origin',(12.,21.,0.0349999999999895)); #540231=CARTESIAN_POINT('',(11.86,21.,0.0700000000000145)); #540232=CARTESIAN_POINT('',(11.86,21.,-200.)); #540233=CARTESIAN_POINT('Origin',(12.,21.,0.0700000000000145)); #540234=CARTESIAN_POINT('Origin',(12.,20.25,-200.)); #540235=CARTESIAN_POINT('',(11.86,20.25,0.0349999999999895)); #540236=CARTESIAN_POINT('Origin',(12.,20.25,0.0349999999999895)); #540237=CARTESIAN_POINT('',(11.86,20.25,0.0700000000000145)); #540238=CARTESIAN_POINT('',(11.86,20.25,-200.)); #540239=CARTESIAN_POINT('Origin',(12.,20.25,0.0700000000000145)); #540240=CARTESIAN_POINT('Origin',(12.75,21.75,-200.)); #540241=CARTESIAN_POINT('',(12.61,21.75,0.0349999999999895)); #540242=CARTESIAN_POINT('Origin',(12.75,21.75,0.0349999999999895)); #540243=CARTESIAN_POINT('',(12.61,21.75,0.0700000000000145)); #540244=CARTESIAN_POINT('',(12.61,21.75,-200.)); #540245=CARTESIAN_POINT('Origin',(12.75,21.75,0.0700000000000145)); #540246=CARTESIAN_POINT('Origin',(12.75,20.25,-200.)); #540247=CARTESIAN_POINT('',(12.61,20.25,0.0349999999999895)); #540248=CARTESIAN_POINT('Origin',(12.75,20.25,0.0349999999999895)); #540249=CARTESIAN_POINT('',(12.61,20.25,0.0700000000000145)); #540250=CARTESIAN_POINT('',(12.61,20.25,-200.)); #540251=CARTESIAN_POINT('Origin',(12.75,20.25,0.0700000000000145)); #540252=CARTESIAN_POINT('Origin',(12.,21.75,-200.)); #540253=CARTESIAN_POINT('',(11.86,21.75,0.0349999999999895)); #540254=CARTESIAN_POINT('Origin',(12.,21.75,0.0349999999999895)); #540255=CARTESIAN_POINT('',(11.86,21.75,0.0700000000000145)); #540256=CARTESIAN_POINT('',(11.86,21.75,-200.)); #540257=CARTESIAN_POINT('Origin',(12.,21.75,0.0700000000000145)); #540258=CARTESIAN_POINT('Origin',(13.3142,19.6665,0.035)); #540259=CARTESIAN_POINT('',(13.3142,19.6665,0.035)); #540260=CARTESIAN_POINT('',(13.3142,22.3335,0.035)); #540261=CARTESIAN_POINT('',(13.3142,19.6665,0.035)); #540262=CARTESIAN_POINT('',(13.3142,22.3335,0.07)); #540263=CARTESIAN_POINT('',(13.3142,22.3335,0.035)); #540264=CARTESIAN_POINT('',(13.3142,19.6665,0.07)); #540265=CARTESIAN_POINT('',(13.3142,19.6665,0.07)); #540266=CARTESIAN_POINT('',(13.3142,19.6665,0.035)); #540267=CARTESIAN_POINT('Origin',(11.6378,19.6665,0.035)); #540268=CARTESIAN_POINT('',(11.6378,19.6665,0.035)); #540269=CARTESIAN_POINT('',(11.6378,19.6665,0.035)); #540270=CARTESIAN_POINT('',(11.6378,19.6665,0.07)); #540271=CARTESIAN_POINT('',(11.6378,19.6665,0.07)); #540272=CARTESIAN_POINT('',(11.6378,19.6665,0.035)); #540273=CARTESIAN_POINT('Origin',(11.6378,22.3335,0.035)); #540274=CARTESIAN_POINT('',(11.6378,22.3335,0.035)); #540275=CARTESIAN_POINT('',(11.6378,22.3335,0.035)); #540276=CARTESIAN_POINT('',(11.6378,22.3335,0.07)); #540277=CARTESIAN_POINT('',(11.6378,22.3335,0.07)); #540278=CARTESIAN_POINT('',(11.6378,22.3335,0.035)); #540279=CARTESIAN_POINT('Origin',(13.3142,22.3335,0.035)); #540280=CARTESIAN_POINT('',(13.3142,22.3335,0.035)); #540281=CARTESIAN_POINT('',(13.3142,22.3335,0.07)); #540282=CARTESIAN_POINT('Origin',(12.476,21.,0.07)); #540283=CARTESIAN_POINT('Origin',(12.476,21.,0.035)); #540284=CARTESIAN_POINT('Origin',(40.1971,30.4031,0.035)); #540285=CARTESIAN_POINT('',(40.1971,30.4031,0.035)); #540286=CARTESIAN_POINT('',(40.1971,31.5969,0.035)); #540287=CARTESIAN_POINT('',(40.1971,30.4031,0.035)); #540288=CARTESIAN_POINT('',(40.1971,31.5969,0.07)); #540289=CARTESIAN_POINT('',(40.1971,31.5969,0.035)); #540290=CARTESIAN_POINT('',(40.1971,30.4031,0.07)); #540291=CARTESIAN_POINT('',(40.1971,30.4031,0.07)); #540292=CARTESIAN_POINT('',(40.1971,30.4031,0.035)); #540293=CARTESIAN_POINT('Origin',(39.0033,30.4031,0.035)); #540294=CARTESIAN_POINT('',(39.0033,30.4031,0.035)); #540295=CARTESIAN_POINT('',(39.0033,30.4031,0.035)); #540296=CARTESIAN_POINT('',(39.0033,30.4031,0.07)); #540297=CARTESIAN_POINT('',(39.0033,30.4031,0.07)); #540298=CARTESIAN_POINT('',(39.0033,30.4031,0.035)); #540299=CARTESIAN_POINT('Origin',(39.0033,31.5969,0.035)); #540300=CARTESIAN_POINT('',(39.0033,31.5969,0.035)); #540301=CARTESIAN_POINT('',(39.0033,31.5969,0.035)); #540302=CARTESIAN_POINT('',(39.0033,31.5969,0.07)); #540303=CARTESIAN_POINT('',(39.0033,31.5969,0.07)); #540304=CARTESIAN_POINT('',(39.0033,31.5969,0.035)); #540305=CARTESIAN_POINT('Origin',(40.1971,31.5969,0.035)); #540306=CARTESIAN_POINT('',(40.1971,31.5969,0.035)); #540307=CARTESIAN_POINT('',(40.1971,31.5969,0.07)); #540308=CARTESIAN_POINT('Origin',(39.6002,31.,0.07)); #540309=CARTESIAN_POINT('Origin',(39.6002,31.,0.035)); #540310=CARTESIAN_POINT('Origin',(30.9125,17.1125,0.035)); #540311=CARTESIAN_POINT('',(30.9125,17.1125,0.035)); #540312=CARTESIAN_POINT('',(30.9125,17.3875,0.035)); #540313=CARTESIAN_POINT('',(30.9125,17.1125,0.035)); #540314=CARTESIAN_POINT('',(30.9125,17.3875,0.07)); #540315=CARTESIAN_POINT('',(30.9125,17.3875,0.035)); #540316=CARTESIAN_POINT('',(30.9125,17.1125,0.07)); #540317=CARTESIAN_POINT('',(30.9125,17.1125,0.07)); #540318=CARTESIAN_POINT('',(30.9125,17.1125,0.035)); #540319=CARTESIAN_POINT('Origin',(30.2875,17.1125,0.035)); #540320=CARTESIAN_POINT('',(30.2875,17.1125,0.035)); #540321=CARTESIAN_POINT('',(30.2875,17.1125,0.035)); #540322=CARTESIAN_POINT('',(30.2875,17.1125,0.07)); #540323=CARTESIAN_POINT('',(30.2875,17.1125,0.07)); #540324=CARTESIAN_POINT('',(30.2875,17.1125,0.035)); #540325=CARTESIAN_POINT('Origin',(30.2875,17.3875,0.035)); #540326=CARTESIAN_POINT('',(30.2875,17.3875,0.035)); #540327=CARTESIAN_POINT('',(30.2875,17.3875,0.035)); #540328=CARTESIAN_POINT('',(30.2875,17.3875,0.07)); #540329=CARTESIAN_POINT('',(30.2875,17.3875,0.07)); #540330=CARTESIAN_POINT('',(30.2875,17.3875,0.035)); #540331=CARTESIAN_POINT('Origin',(30.9125,17.3875,0.035)); #540332=CARTESIAN_POINT('',(30.9125,17.3875,0.035)); #540333=CARTESIAN_POINT('',(30.9125,17.3875,0.07)); #540334=CARTESIAN_POINT('Origin',(30.6,17.25,0.07)); #540335=CARTESIAN_POINT('Origin',(30.6,17.25,0.035)); #540336=CARTESIAN_POINT('Origin',(44.6571,25.5555,0.035)); #540337=CARTESIAN_POINT('',(44.6571,25.5555,0.035)); #540338=CARTESIAN_POINT('',(44.6571,26.4445,0.035)); #540339=CARTESIAN_POINT('',(44.6571,25.5555,0.035)); #540340=CARTESIAN_POINT('',(44.6571,26.4445,0.07)); #540341=CARTESIAN_POINT('',(44.6571,26.4445,0.035)); #540342=CARTESIAN_POINT('',(44.6571,25.5555,0.07)); #540343=CARTESIAN_POINT('',(44.6571,25.5555,0.07)); #540344=CARTESIAN_POINT('',(44.6571,25.5555,0.035)); #540345=CARTESIAN_POINT('Origin',(43.8189,25.5555,0.035)); #540346=CARTESIAN_POINT('',(43.8189,25.5555,0.035)); #540347=CARTESIAN_POINT('',(43.8189,25.5555,0.035)); #540348=CARTESIAN_POINT('',(43.8189,25.5555,0.07)); #540349=CARTESIAN_POINT('',(43.8189,25.5555,0.07)); #540350=CARTESIAN_POINT('',(43.8189,25.5555,0.035)); #540351=CARTESIAN_POINT('Origin',(43.8189,26.4445,0.035)); #540352=CARTESIAN_POINT('',(43.8189,26.4445,0.035)); #540353=CARTESIAN_POINT('',(43.8189,26.4445,0.035)); #540354=CARTESIAN_POINT('',(43.8189,26.4445,0.07)); #540355=CARTESIAN_POINT('',(43.8189,26.4445,0.07)); #540356=CARTESIAN_POINT('',(43.8189,26.4445,0.035)); #540357=CARTESIAN_POINT('Origin',(44.6571,26.4445,0.035)); #540358=CARTESIAN_POINT('',(44.6571,26.4445,0.035)); #540359=CARTESIAN_POINT('',(44.6571,26.4445,0.07)); #540360=CARTESIAN_POINT('Origin',(44.238,26.,0.07)); #540361=CARTESIAN_POINT('Origin',(44.238,26.,0.035)); #540362=CARTESIAN_POINT('Origin',(24.6571,17.5555,0.035)); #540363=CARTESIAN_POINT('',(24.6571,17.5555,0.035)); #540364=CARTESIAN_POINT('',(24.6571,18.4445,0.035)); #540365=CARTESIAN_POINT('',(24.6571,17.5555,0.035)); #540366=CARTESIAN_POINT('',(24.6571,18.4445,0.07)); #540367=CARTESIAN_POINT('',(24.6571,18.4445,0.035)); #540368=CARTESIAN_POINT('',(24.6571,17.5555,0.07)); #540369=CARTESIAN_POINT('',(24.6571,17.5555,0.07)); #540370=CARTESIAN_POINT('',(24.6571,17.5555,0.035)); #540371=CARTESIAN_POINT('Origin',(23.8189,17.5555,0.035)); #540372=CARTESIAN_POINT('',(23.8189,17.5555,0.035)); #540373=CARTESIAN_POINT('',(23.8189,17.5555,0.035)); #540374=CARTESIAN_POINT('',(23.8189,17.5555,0.07)); #540375=CARTESIAN_POINT('',(23.8189,17.5555,0.07)); #540376=CARTESIAN_POINT('',(23.8189,17.5555,0.035)); #540377=CARTESIAN_POINT('Origin',(23.8189,18.4445,0.035)); #540378=CARTESIAN_POINT('',(23.8189,18.4445,0.035)); #540379=CARTESIAN_POINT('',(23.8189,18.4445,0.035)); #540380=CARTESIAN_POINT('',(23.8189,18.4445,0.07)); #540381=CARTESIAN_POINT('',(23.8189,18.4445,0.07)); #540382=CARTESIAN_POINT('',(23.8189,18.4445,0.035)); #540383=CARTESIAN_POINT('Origin',(24.6571,18.4445,0.035)); #540384=CARTESIAN_POINT('',(24.6571,18.4445,0.035)); #540385=CARTESIAN_POINT('',(24.6571,18.4445,0.07)); #540386=CARTESIAN_POINT('Origin',(24.238,18.,0.07)); #540387=CARTESIAN_POINT('Origin',(24.238,18.,0.035)); #540388=CARTESIAN_POINT('Origin',(21.4445,29.3429,0.035)); #540389=CARTESIAN_POINT('',(21.4445,29.3429,0.035)); #540390=CARTESIAN_POINT('',(21.4445,30.1811,0.035)); #540391=CARTESIAN_POINT('',(21.4445,29.3429,0.035)); #540392=CARTESIAN_POINT('',(21.4445,30.1811,0.07)); #540393=CARTESIAN_POINT('',(21.4445,30.1811,0.035)); #540394=CARTESIAN_POINT('',(21.4445,29.3429,0.07)); #540395=CARTESIAN_POINT('',(21.4445,29.3429,0.07)); #540396=CARTESIAN_POINT('',(21.4445,29.3429,0.035)); #540397=CARTESIAN_POINT('Origin',(20.5555,29.3429,0.035)); #540398=CARTESIAN_POINT('',(20.5555,29.3429,0.035)); #540399=CARTESIAN_POINT('',(20.5555,29.3429,0.035)); #540400=CARTESIAN_POINT('',(20.5555,29.3429,0.07)); #540401=CARTESIAN_POINT('',(20.5555,29.3429,0.07)); #540402=CARTESIAN_POINT('',(20.5555,29.3429,0.035)); #540403=CARTESIAN_POINT('Origin',(20.5555,30.1811,0.035)); #540404=CARTESIAN_POINT('',(20.5555,30.1811,0.035)); #540405=CARTESIAN_POINT('',(20.5555,30.1811,0.035)); #540406=CARTESIAN_POINT('',(20.5555,30.1811,0.07)); #540407=CARTESIAN_POINT('',(20.5555,30.1811,0.07)); #540408=CARTESIAN_POINT('',(20.5555,30.1811,0.035)); #540409=CARTESIAN_POINT('Origin',(21.4445,30.1811,0.035)); #540410=CARTESIAN_POINT('',(21.4445,30.1811,0.035)); #540411=CARTESIAN_POINT('',(21.4445,30.1811,0.07)); #540412=CARTESIAN_POINT('Origin',(21.,29.762,0.07)); #540413=CARTESIAN_POINT('Origin',(21.,29.762,0.035)); #540414=CARTESIAN_POINT('Origin',(20.6571,14.5555,0.035)); #540415=CARTESIAN_POINT('',(20.6571,14.5555,0.035)); #540416=CARTESIAN_POINT('',(20.6571,15.4445,0.035)); #540417=CARTESIAN_POINT('',(20.6571,14.5555,0.035)); #540418=CARTESIAN_POINT('',(20.6571,15.4445,0.07)); #540419=CARTESIAN_POINT('',(20.6571,15.4445,0.035)); #540420=CARTESIAN_POINT('',(20.6571,14.5555,0.07)); #540421=CARTESIAN_POINT('',(20.6571,14.5555,0.07)); #540422=CARTESIAN_POINT('',(20.6571,14.5555,0.035)); #540423=CARTESIAN_POINT('Origin',(19.8189,14.5555,0.035)); #540424=CARTESIAN_POINT('',(19.8189,14.5555,0.035)); #540425=CARTESIAN_POINT('',(19.8189,14.5555,0.035)); #540426=CARTESIAN_POINT('',(19.8189,14.5555,0.07)); #540427=CARTESIAN_POINT('',(19.8189,14.5555,0.07)); #540428=CARTESIAN_POINT('',(19.8189,14.5555,0.035)); #540429=CARTESIAN_POINT('Origin',(19.8189,15.4445,0.035)); #540430=CARTESIAN_POINT('',(19.8189,15.4445,0.035)); #540431=CARTESIAN_POINT('',(19.8189,15.4445,0.035)); #540432=CARTESIAN_POINT('',(19.8189,15.4445,0.07)); #540433=CARTESIAN_POINT('',(19.8189,15.4445,0.07)); #540434=CARTESIAN_POINT('',(19.8189,15.4445,0.035)); #540435=CARTESIAN_POINT('Origin',(20.6571,15.4445,0.035)); #540436=CARTESIAN_POINT('',(20.6571,15.4445,0.035)); #540437=CARTESIAN_POINT('',(20.6571,15.4445,0.07)); #540438=CARTESIAN_POINT('Origin',(20.238,15.,0.07)); #540439=CARTESIAN_POINT('Origin',(20.238,15.,0.035)); #540440=CARTESIAN_POINT('Origin',(55.25,21.75,-200.)); #540441=CARTESIAN_POINT('',(55.11,21.75,0.0349999999999895)); #540442=CARTESIAN_POINT('Origin',(55.25,21.75,0.0349999999999895)); #540443=CARTESIAN_POINT('',(55.11,21.75,0.0700000000000145)); #540444=CARTESIAN_POINT('',(55.11,21.75,-200.)); #540445=CARTESIAN_POINT('Origin',(55.25,21.75,0.0700000000000145)); #540446=CARTESIAN_POINT('Origin',(55.25,21.,-200.)); #540447=CARTESIAN_POINT('',(55.11,21.,0.0349999999999895)); #540448=CARTESIAN_POINT('Origin',(55.25,21.,0.0349999999999895)); #540449=CARTESIAN_POINT('',(55.11,21.,0.0700000000000145)); #540450=CARTESIAN_POINT('',(55.11,21.,-200.)); #540451=CARTESIAN_POINT('Origin',(55.25,21.,0.0700000000000145)); #540452=CARTESIAN_POINT('Origin',(56.,20.25,-200.)); #540453=CARTESIAN_POINT('',(55.86,20.25,0.0349999999999895)); #540454=CARTESIAN_POINT('Origin',(56.,20.25,0.0349999999999895)); #540455=CARTESIAN_POINT('',(55.86,20.25,0.0700000000000145)); #540456=CARTESIAN_POINT('',(55.86,20.25,-200.)); #540457=CARTESIAN_POINT('Origin',(56.,20.25,0.0700000000000145)); #540458=CARTESIAN_POINT('Origin',(56.,21.75,-200.)); #540459=CARTESIAN_POINT('',(55.86,21.75,0.0349999999999895)); #540460=CARTESIAN_POINT('Origin',(56.,21.75,0.0349999999999895)); #540461=CARTESIAN_POINT('',(55.86,21.75,0.0700000000000145)); #540462=CARTESIAN_POINT('',(55.86,21.75,-200.)); #540463=CARTESIAN_POINT('Origin',(56.,21.75,0.0700000000000145)); #540464=CARTESIAN_POINT('Origin',(56.,21.,-200.)); #540465=CARTESIAN_POINT('',(55.86,21.,0.0349999999999895)); #540466=CARTESIAN_POINT('Origin',(56.,21.,0.0349999999999895)); #540467=CARTESIAN_POINT('',(55.86,21.,0.0700000000000145)); #540468=CARTESIAN_POINT('',(55.86,21.,-200.)); #540469=CARTESIAN_POINT('Origin',(56.,21.,0.0700000000000145)); #540470=CARTESIAN_POINT('Origin',(55.25,20.25,-200.)); #540471=CARTESIAN_POINT('',(55.11,20.25,0.0349999999999895)); #540472=CARTESIAN_POINT('Origin',(55.25,20.25,0.0349999999999895)); #540473=CARTESIAN_POINT('',(55.11,20.25,0.0700000000000145)); #540474=CARTESIAN_POINT('',(55.11,20.25,-200.)); #540475=CARTESIAN_POINT('Origin',(55.25,20.25,0.0700000000000145)); #540476=CARTESIAN_POINT('Origin',(56.3622,19.6665,0.035)); #540477=CARTESIAN_POINT('',(56.3622,19.6665,0.035)); #540478=CARTESIAN_POINT('',(56.3622,22.3335,0.035)); #540479=CARTESIAN_POINT('',(56.3622,19.6665,0.035)); #540480=CARTESIAN_POINT('',(56.3622,22.3335,0.07)); #540481=CARTESIAN_POINT('',(56.3622,22.3335,0.035)); #540482=CARTESIAN_POINT('',(56.3622,19.6665,0.07)); #540483=CARTESIAN_POINT('',(56.3622,19.6665,0.07)); #540484=CARTESIAN_POINT('',(56.3622,19.6665,0.035)); #540485=CARTESIAN_POINT('Origin',(54.6858,19.6665,0.035)); #540486=CARTESIAN_POINT('',(54.6858,19.6665,0.035)); #540487=CARTESIAN_POINT('',(54.6858,19.6665,0.035)); #540488=CARTESIAN_POINT('',(54.6858,19.6665,0.07)); #540489=CARTESIAN_POINT('',(54.6858,19.6665,0.07)); #540490=CARTESIAN_POINT('',(54.6858,19.6665,0.035)); #540491=CARTESIAN_POINT('Origin',(54.6858,22.3335,0.035)); #540492=CARTESIAN_POINT('',(54.6858,22.3335,0.035)); #540493=CARTESIAN_POINT('',(54.6858,22.3335,0.035)); #540494=CARTESIAN_POINT('',(54.6858,22.3335,0.07)); #540495=CARTESIAN_POINT('',(54.6858,22.3335,0.07)); #540496=CARTESIAN_POINT('',(54.6858,22.3335,0.035)); #540497=CARTESIAN_POINT('Origin',(56.3622,22.3335,0.035)); #540498=CARTESIAN_POINT('',(56.3622,22.3335,0.035)); #540499=CARTESIAN_POINT('',(56.3622,22.3335,0.07)); #540500=CARTESIAN_POINT('Origin',(55.524,21.,0.07)); #540501=CARTESIAN_POINT('Origin',(55.524,21.,0.035)); #540502=CARTESIAN_POINT('Origin',(24.6571,14.5555,0.035)); #540503=CARTESIAN_POINT('',(24.6571,14.5555,0.035)); #540504=CARTESIAN_POINT('',(24.6571,15.4445,0.035)); #540505=CARTESIAN_POINT('',(24.6571,14.5555,0.035)); #540506=CARTESIAN_POINT('',(24.6571,15.4445,0.07)); #540507=CARTESIAN_POINT('',(24.6571,15.4445,0.035)); #540508=CARTESIAN_POINT('',(24.6571,14.5555,0.07)); #540509=CARTESIAN_POINT('',(24.6571,14.5555,0.07)); #540510=CARTESIAN_POINT('',(24.6571,14.5555,0.035)); #540511=CARTESIAN_POINT('Origin',(23.8189,14.5555,0.035)); #540512=CARTESIAN_POINT('',(23.8189,14.5555,0.035)); #540513=CARTESIAN_POINT('',(23.8189,14.5555,0.035)); #540514=CARTESIAN_POINT('',(23.8189,14.5555,0.07)); #540515=CARTESIAN_POINT('',(23.8189,14.5555,0.07)); #540516=CARTESIAN_POINT('',(23.8189,14.5555,0.035)); #540517=CARTESIAN_POINT('Origin',(23.8189,15.4445,0.035)); #540518=CARTESIAN_POINT('',(23.8189,15.4445,0.035)); #540519=CARTESIAN_POINT('',(23.8189,15.4445,0.035)); #540520=CARTESIAN_POINT('',(23.8189,15.4445,0.07)); #540521=CARTESIAN_POINT('',(23.8189,15.4445,0.07)); #540522=CARTESIAN_POINT('',(23.8189,15.4445,0.035)); #540523=CARTESIAN_POINT('Origin',(24.6571,15.4445,0.035)); #540524=CARTESIAN_POINT('',(24.6571,15.4445,0.035)); #540525=CARTESIAN_POINT('',(24.6571,15.4445,0.07)); #540526=CARTESIAN_POINT('Origin',(24.238,15.,0.07)); #540527=CARTESIAN_POINT('Origin',(24.238,15.,0.035)); #540528=CARTESIAN_POINT('Origin',(29.9071,56.5555,0.035)); #540529=CARTESIAN_POINT('',(29.9071,56.5555,0.035)); #540530=CARTESIAN_POINT('',(29.9071,57.4445,0.035)); #540531=CARTESIAN_POINT('',(29.9071,56.5555,0.035)); #540532=CARTESIAN_POINT('',(29.9071,57.4445,0.07)); #540533=CARTESIAN_POINT('',(29.9071,57.4445,0.035)); #540534=CARTESIAN_POINT('',(29.9071,56.5555,0.07)); #540535=CARTESIAN_POINT('',(29.9071,56.5555,0.07)); #540536=CARTESIAN_POINT('',(29.9071,56.5555,0.035)); #540537=CARTESIAN_POINT('Origin',(29.0689,56.5555,0.035)); #540538=CARTESIAN_POINT('',(29.0689,56.5555,0.035)); #540539=CARTESIAN_POINT('',(29.0689,56.5555,0.035)); #540540=CARTESIAN_POINT('',(29.0689,56.5555,0.07)); #540541=CARTESIAN_POINT('',(29.0689,56.5555,0.07)); #540542=CARTESIAN_POINT('',(29.0689,56.5555,0.035)); #540543=CARTESIAN_POINT('Origin',(29.0689,57.4445,0.035)); #540544=CARTESIAN_POINT('',(29.0689,57.4445,0.035)); #540545=CARTESIAN_POINT('',(29.0689,57.4445,0.035)); #540546=CARTESIAN_POINT('',(29.0689,57.4445,0.07)); #540547=CARTESIAN_POINT('',(29.0689,57.4445,0.07)); #540548=CARTESIAN_POINT('',(29.0689,57.4445,0.035)); #540549=CARTESIAN_POINT('Origin',(29.9071,57.4445,0.035)); #540550=CARTESIAN_POINT('',(29.9071,57.4445,0.035)); #540551=CARTESIAN_POINT('',(29.9071,57.4445,0.07)); #540552=CARTESIAN_POINT('Origin',(29.488,57.,0.07)); #540553=CARTESIAN_POINT('Origin',(29.488,57.,0.035)); #540554=CARTESIAN_POINT('Origin',(32.5,18.5,-200.)); #540555=CARTESIAN_POINT('',(32.36,18.5,0.0349999999999895)); #540556=CARTESIAN_POINT('Origin',(32.5,18.5,0.0349999999999895)); #540557=CARTESIAN_POINT('',(32.36,18.5,0.0700000000000145)); #540558=CARTESIAN_POINT('',(32.36,18.5,-200.)); #540559=CARTESIAN_POINT('Origin',(32.5,18.5,0.0700000000000145)); #540560=CARTESIAN_POINT('Origin',(33.25,18.5,-200.)); #540561=CARTESIAN_POINT('',(33.11,18.5,0.0349999999999895)); #540562=CARTESIAN_POINT('Origin',(33.25,18.5,0.0349999999999895)); #540563=CARTESIAN_POINT('',(33.11,18.5,0.0700000000000145)); #540564=CARTESIAN_POINT('',(33.11,18.5,-200.)); #540565=CARTESIAN_POINT('Origin',(33.25,18.5,0.0700000000000145)); #540566=CARTESIAN_POINT('Origin',(34.75,18.5,-200.)); #540567=CARTESIAN_POINT('',(34.61,18.5,0.0349999999999895)); #540568=CARTESIAN_POINT('Origin',(34.75,18.5,0.0349999999999895)); #540569=CARTESIAN_POINT('',(34.61,18.5,0.0700000000000145)); #540570=CARTESIAN_POINT('',(34.61,18.5,-200.)); #540571=CARTESIAN_POINT('Origin',(34.75,18.5,0.0700000000000145)); #540572=CARTESIAN_POINT('Origin',(34.,18.5,-200.)); #540573=CARTESIAN_POINT('',(33.86,18.5,0.0349999999999895)); #540574=CARTESIAN_POINT('Origin',(34.,18.5,0.0349999999999895)); #540575=CARTESIAN_POINT('',(33.86,18.5,0.0700000000000145)); #540576=CARTESIAN_POINT('',(33.86,18.5,-200.)); #540577=CARTESIAN_POINT('Origin',(34.,18.5,0.0700000000000145)); #540578=CARTESIAN_POINT('Origin',(34.75,16.25,-200.)); #540579=CARTESIAN_POINT('',(34.61,16.25,0.0349999999999895)); #540580=CARTESIAN_POINT('Origin',(34.75,16.25,0.0349999999999895)); #540581=CARTESIAN_POINT('',(34.61,16.25,0.0700000000000145)); #540582=CARTESIAN_POINT('',(34.61,16.25,-200.)); #540583=CARTESIAN_POINT('Origin',(34.75,16.25,0.0700000000000145)); #540584=CARTESIAN_POINT('Origin',(31.75,18.5,-200.)); #540585=CARTESIAN_POINT('',(31.61,18.5,0.0349999999999895)); #540586=CARTESIAN_POINT('Origin',(31.75,18.5,0.0349999999999895)); #540587=CARTESIAN_POINT('',(31.61,18.5,0.0700000000000145)); #540588=CARTESIAN_POINT('',(31.61,18.5,-200.)); #540589=CARTESIAN_POINT('Origin',(31.75,18.5,0.0700000000000145)); #540590=CARTESIAN_POINT('Origin',(33.25,16.25,-200.)); #540591=CARTESIAN_POINT('',(33.11,16.25,0.0349999999999895)); #540592=CARTESIAN_POINT('Origin',(33.25,16.25,0.0349999999999895)); #540593=CARTESIAN_POINT('',(33.11,16.25,0.0700000000000145)); #540594=CARTESIAN_POINT('',(33.11,16.25,-200.)); #540595=CARTESIAN_POINT('Origin',(33.25,16.25,0.0700000000000145)); #540596=CARTESIAN_POINT('Origin',(31.75,19.25,-200.)); #540597=CARTESIAN_POINT('',(31.61,19.25,0.0349999999999895)); #540598=CARTESIAN_POINT('Origin',(31.75,19.25,0.0349999999999895)); #540599=CARTESIAN_POINT('',(31.61,19.25,0.0700000000000145)); #540600=CARTESIAN_POINT('',(31.61,19.25,-200.)); #540601=CARTESIAN_POINT('Origin',(31.75,19.25,0.0700000000000145)); #540602=CARTESIAN_POINT('Origin',(35.5,17.75,-200.)); #540603=CARTESIAN_POINT('',(35.36,17.75,0.0349999999999895)); #540604=CARTESIAN_POINT('Origin',(35.5,17.75,0.0349999999999895)); #540605=CARTESIAN_POINT('',(35.36,17.75,0.0700000000000145)); #540606=CARTESIAN_POINT('',(35.36,17.75,-200.)); #540607=CARTESIAN_POINT('Origin',(35.5,17.75,0.0700000000000145)); #540608=CARTESIAN_POINT('Origin',(35.5,18.5,-200.)); #540609=CARTESIAN_POINT('',(35.36,18.5,0.0349999999999895)); #540610=CARTESIAN_POINT('Origin',(35.5,18.5,0.0349999999999895)); #540611=CARTESIAN_POINT('',(35.36,18.5,0.0700000000000145)); #540612=CARTESIAN_POINT('',(35.36,18.5,-200.)); #540613=CARTESIAN_POINT('Origin',(35.5,18.5,0.0700000000000145)); #540614=CARTESIAN_POINT('Origin',(34.75,14.75,-200.)); #540615=CARTESIAN_POINT('',(34.61,14.75,0.0349999999999895)); #540616=CARTESIAN_POINT('Origin',(34.75,14.75,0.0349999999999895)); #540617=CARTESIAN_POINT('',(34.61,14.75,0.0700000000000145)); #540618=CARTESIAN_POINT('',(34.61,14.75,-200.)); #540619=CARTESIAN_POINT('Origin',(34.75,14.75,0.0700000000000145)); #540620=CARTESIAN_POINT('Origin',(34.75,17.,-200.)); #540621=CARTESIAN_POINT('',(34.61,17.,0.0349999999999895)); #540622=CARTESIAN_POINT('Origin',(34.75,17.,0.0349999999999895)); #540623=CARTESIAN_POINT('',(34.61,17.,0.0700000000000145)); #540624=CARTESIAN_POINT('',(34.61,17.,-200.)); #540625=CARTESIAN_POINT('Origin',(34.75,17.,0.0700000000000145)); #540626=CARTESIAN_POINT('Origin',(35.5,14.75,-200.)); #540627=CARTESIAN_POINT('',(35.36,14.75,0.0349999999999895)); #540628=CARTESIAN_POINT('Origin',(35.5,14.75,0.0349999999999895)); #540629=CARTESIAN_POINT('',(35.36,14.75,0.0700000000000145)); #540630=CARTESIAN_POINT('',(35.36,14.75,-200.)); #540631=CARTESIAN_POINT('Origin',(35.5,14.75,0.0700000000000145)); #540632=CARTESIAN_POINT('Origin',(36.25,15.5,-200.)); #540633=CARTESIAN_POINT('',(36.11,15.5,0.0349999999999895)); #540634=CARTESIAN_POINT('Origin',(36.25,15.5,0.0349999999999895)); #540635=CARTESIAN_POINT('',(36.11,15.5,0.0700000000000145)); #540636=CARTESIAN_POINT('',(36.11,15.5,-200.)); #540637=CARTESIAN_POINT('Origin',(36.25,15.5,0.0700000000000145)); #540638=CARTESIAN_POINT('Origin',(34.,17.75,-200.)); #540639=CARTESIAN_POINT('',(33.86,17.75,0.0349999999999895)); #540640=CARTESIAN_POINT('Origin',(34.,17.75,0.0349999999999895)); #540641=CARTESIAN_POINT('',(33.86,17.75,0.0700000000000145)); #540642=CARTESIAN_POINT('',(33.86,17.75,-200.)); #540643=CARTESIAN_POINT('Origin',(34.,17.75,0.0700000000000145)); #540644=CARTESIAN_POINT('Origin',(36.25,14.75,-200.)); #540645=CARTESIAN_POINT('',(36.11,14.75,0.0349999999999895)); #540646=CARTESIAN_POINT('Origin',(36.25,14.75,0.0349999999999895)); #540647=CARTESIAN_POINT('',(36.11,14.75,0.0700000000000145)); #540648=CARTESIAN_POINT('',(36.11,14.75,-200.)); #540649=CARTESIAN_POINT('Origin',(36.25,14.75,0.0700000000000145)); #540650=CARTESIAN_POINT('Origin',(36.25,17.75,-200.)); #540651=CARTESIAN_POINT('',(36.11,17.75,0.0349999999999895)); #540652=CARTESIAN_POINT('Origin',(36.25,17.75,0.0349999999999895)); #540653=CARTESIAN_POINT('',(36.11,17.75,0.0700000000000145)); #540654=CARTESIAN_POINT('',(36.11,17.75,-200.)); #540655=CARTESIAN_POINT('Origin',(36.25,17.75,0.0700000000000145)); #540656=CARTESIAN_POINT('Origin',(36.25,18.5,-200.)); #540657=CARTESIAN_POINT('',(36.11,18.5,0.0349999999999895)); #540658=CARTESIAN_POINT('Origin',(36.25,18.5,0.0349999999999895)); #540659=CARTESIAN_POINT('',(36.11,18.5,0.0700000000000145)); #540660=CARTESIAN_POINT('',(36.11,18.5,-200.)); #540661=CARTESIAN_POINT('Origin',(36.25,18.5,0.0700000000000145)); #540662=CARTESIAN_POINT('Origin',(36.25,17.,-200.)); #540663=CARTESIAN_POINT('',(36.11,17.,0.0349999999999895)); #540664=CARTESIAN_POINT('Origin',(36.25,17.,0.0349999999999895)); #540665=CARTESIAN_POINT('',(36.11,17.,0.0700000000000145)); #540666=CARTESIAN_POINT('',(36.11,17.,-200.)); #540667=CARTESIAN_POINT('Origin',(36.25,17.,0.0700000000000145)); #540668=CARTESIAN_POINT('Origin',(34.,17.,-200.)); #540669=CARTESIAN_POINT('',(33.86,17.,0.0349999999999895)); #540670=CARTESIAN_POINT('Origin',(34.,17.,0.0349999999999895)); #540671=CARTESIAN_POINT('',(33.86,17.,0.0700000000000145)); #540672=CARTESIAN_POINT('',(33.86,17.,-200.)); #540673=CARTESIAN_POINT('Origin',(34.,17.,0.0700000000000145)); #540674=CARTESIAN_POINT('Origin',(36.25,16.25,-200.)); #540675=CARTESIAN_POINT('',(36.11,16.25,0.0349999999999895)); #540676=CARTESIAN_POINT('Origin',(36.25,16.25,0.0349999999999895)); #540677=CARTESIAN_POINT('',(36.11,16.25,0.0700000000000145)); #540678=CARTESIAN_POINT('',(36.11,16.25,-200.)); #540679=CARTESIAN_POINT('Origin',(36.25,16.25,0.0700000000000145)); #540680=CARTESIAN_POINT('Origin',(31.75,14.75,-200.)); #540681=CARTESIAN_POINT('',(31.61,14.75,0.0349999999999895)); #540682=CARTESIAN_POINT('Origin',(31.75,14.75,0.0349999999999895)); #540683=CARTESIAN_POINT('',(31.61,14.75,0.0700000000000145)); #540684=CARTESIAN_POINT('',(31.61,14.75,-200.)); #540685=CARTESIAN_POINT('Origin',(31.75,14.75,0.0700000000000145)); #540686=CARTESIAN_POINT('Origin',(33.25,17.,-200.)); #540687=CARTESIAN_POINT('',(33.11,17.,0.0349999999999895)); #540688=CARTESIAN_POINT('Origin',(33.25,17.,0.0349999999999895)); #540689=CARTESIAN_POINT('',(33.11,17.,0.0700000000000145)); #540690=CARTESIAN_POINT('',(33.11,17.,-200.)); #540691=CARTESIAN_POINT('Origin',(33.25,17.,0.0700000000000145)); #540692=CARTESIAN_POINT('Origin',(32.5,14.75,-200.)); #540693=CARTESIAN_POINT('',(32.36,14.75,0.0349999999999895)); #540694=CARTESIAN_POINT('Origin',(32.5,14.75,0.0349999999999895)); #540695=CARTESIAN_POINT('',(32.36,14.75,0.0700000000000145)); #540696=CARTESIAN_POINT('',(32.36,14.75,-200.)); #540697=CARTESIAN_POINT('Origin',(32.5,14.75,0.0700000000000145)); #540698=CARTESIAN_POINT('Origin',(34.,14.75,-200.)); #540699=CARTESIAN_POINT('',(33.86,14.75,0.0349999999999895)); #540700=CARTESIAN_POINT('Origin',(34.,14.75,0.0349999999999895)); #540701=CARTESIAN_POINT('',(33.86,14.75,0.0700000000000145)); #540702=CARTESIAN_POINT('',(33.86,14.75,-200.)); #540703=CARTESIAN_POINT('Origin',(34.,14.75,0.0700000000000145)); #540704=CARTESIAN_POINT('Origin',(34.,16.25,-200.)); #540705=CARTESIAN_POINT('',(33.86,16.25,0.0349999999999895)); #540706=CARTESIAN_POINT('Origin',(34.,16.25,0.0349999999999895)); #540707=CARTESIAN_POINT('',(33.86,16.25,0.0700000000000145)); #540708=CARTESIAN_POINT('',(33.86,16.25,-200.)); #540709=CARTESIAN_POINT('Origin',(34.,16.25,0.0700000000000145)); #540710=CARTESIAN_POINT('Origin',(33.25,14.75,-200.)); #540711=CARTESIAN_POINT('',(33.11,14.75,0.0349999999999895)); #540712=CARTESIAN_POINT('Origin',(33.25,14.75,0.0349999999999895)); #540713=CARTESIAN_POINT('',(33.11,14.75,0.0700000000000145)); #540714=CARTESIAN_POINT('',(33.11,14.75,-200.)); #540715=CARTESIAN_POINT('Origin',(33.25,14.75,0.0700000000000145)); #540716=CARTESIAN_POINT('Origin',(31.75,16.25,-200.)); #540717=CARTESIAN_POINT('',(31.61,16.25,0.0349999999999895)); #540718=CARTESIAN_POINT('Origin',(31.75,16.25,0.0349999999999895)); #540719=CARTESIAN_POINT('',(31.61,16.25,0.0700000000000145)); #540720=CARTESIAN_POINT('',(31.61,16.25,-200.)); #540721=CARTESIAN_POINT('Origin',(31.75,16.25,0.0700000000000145)); #540722=CARTESIAN_POINT('Origin',(34.75,17.75,-200.)); #540723=CARTESIAN_POINT('',(34.61,17.75,0.0349999999999895)); #540724=CARTESIAN_POINT('Origin',(34.75,17.75,0.0349999999999895)); #540725=CARTESIAN_POINT('',(34.61,17.75,0.0700000000000145)); #540726=CARTESIAN_POINT('',(34.61,17.75,-200.)); #540727=CARTESIAN_POINT('Origin',(34.75,17.75,0.0700000000000145)); #540728=CARTESIAN_POINT('Origin',(31.75,15.5,-200.)); #540729=CARTESIAN_POINT('',(31.61,15.5,0.0349999999999895)); #540730=CARTESIAN_POINT('Origin',(31.75,15.5,0.0349999999999895)); #540731=CARTESIAN_POINT('',(31.61,15.5,0.0700000000000145)); #540732=CARTESIAN_POINT('',(31.61,15.5,-200.)); #540733=CARTESIAN_POINT('Origin',(31.75,15.5,0.0700000000000145)); #540734=CARTESIAN_POINT('Origin',(31.75,17.,-200.)); #540735=CARTESIAN_POINT('',(31.61,17.,0.0349999999999895)); #540736=CARTESIAN_POINT('Origin',(31.75,17.,0.0349999999999895)); #540737=CARTESIAN_POINT('',(31.61,17.,0.0700000000000145)); #540738=CARTESIAN_POINT('',(31.61,17.,-200.)); #540739=CARTESIAN_POINT('Origin',(31.75,17.,0.0700000000000145)); #540740=CARTESIAN_POINT('Origin',(33.25,17.75,-200.)); #540741=CARTESIAN_POINT('',(33.11,17.75,0.0349999999999895)); #540742=CARTESIAN_POINT('Origin',(33.25,17.75,0.0349999999999895)); #540743=CARTESIAN_POINT('',(33.11,17.75,0.0700000000000145)); #540744=CARTESIAN_POINT('',(33.11,17.75,-200.)); #540745=CARTESIAN_POINT('Origin',(33.25,17.75,0.0700000000000145)); #540746=CARTESIAN_POINT('Origin',(31.75,17.75,-200.)); #540747=CARTESIAN_POINT('',(31.61,17.75,0.0349999999999895)); #540748=CARTESIAN_POINT('Origin',(31.75,17.75,0.0349999999999895)); #540749=CARTESIAN_POINT('',(31.61,17.75,0.0700000000000145)); #540750=CARTESIAN_POINT('',(31.61,17.75,-200.)); #540751=CARTESIAN_POINT('Origin',(31.75,17.75,0.0700000000000145)); #540752=CARTESIAN_POINT('Origin',(35.5,15.5,-200.)); #540753=CARTESIAN_POINT('',(35.36,15.5,0.0349999999999895)); #540754=CARTESIAN_POINT('Origin',(35.5,15.5,0.0349999999999895)); #540755=CARTESIAN_POINT('',(35.36,15.5,0.0700000000000145)); #540756=CARTESIAN_POINT('',(35.36,15.5,-200.)); #540757=CARTESIAN_POINT('Origin',(35.5,15.5,0.0700000000000145)); #540758=CARTESIAN_POINT('Origin',(34.75,15.5,-200.)); #540759=CARTESIAN_POINT('',(34.61,15.5,0.0349999999999895)); #540760=CARTESIAN_POINT('Origin',(34.75,15.5,0.0349999999999895)); #540761=CARTESIAN_POINT('',(34.61,15.5,0.0700000000000145)); #540762=CARTESIAN_POINT('',(34.61,15.5,-200.)); #540763=CARTESIAN_POINT('Origin',(34.75,15.5,0.0700000000000145)); #540764=CARTESIAN_POINT('Origin',(33.25,15.5,-200.)); #540765=CARTESIAN_POINT('',(33.11,15.5,0.0349999999999895)); #540766=CARTESIAN_POINT('Origin',(33.25,15.5,0.0349999999999895)); #540767=CARTESIAN_POINT('',(33.11,15.5,0.0700000000000145)); #540768=CARTESIAN_POINT('',(33.11,15.5,-200.)); #540769=CARTESIAN_POINT('Origin',(33.25,15.5,0.0700000000000145)); #540770=CARTESIAN_POINT('Origin',(34.,15.5,-200.)); #540771=CARTESIAN_POINT('',(33.86,15.5,0.0349999999999895)); #540772=CARTESIAN_POINT('Origin',(34.,15.5,0.0349999999999895)); #540773=CARTESIAN_POINT('',(33.86,15.5,0.0700000000000145)); #540774=CARTESIAN_POINT('',(33.86,15.5,-200.)); #540775=CARTESIAN_POINT('Origin',(34.,15.5,0.0700000000000145)); #540776=CARTESIAN_POINT('Origin',(32.5,17.,-200.)); #540777=CARTESIAN_POINT('',(32.36,17.,0.0349999999999895)); #540778=CARTESIAN_POINT('Origin',(32.5,17.,0.0349999999999895)); #540779=CARTESIAN_POINT('',(32.36,17.,0.0700000000000145)); #540780=CARTESIAN_POINT('',(32.36,17.,-200.)); #540781=CARTESIAN_POINT('Origin',(32.5,17.,0.0700000000000145)); #540782=CARTESIAN_POINT('Origin',(32.5,17.75,-200.)); #540783=CARTESIAN_POINT('',(32.36,17.75,0.0349999999999895)); #540784=CARTESIAN_POINT('Origin',(32.5,17.75,0.0349999999999895)); #540785=CARTESIAN_POINT('',(32.36,17.75,0.0700000000000145)); #540786=CARTESIAN_POINT('',(32.36,17.75,-200.)); #540787=CARTESIAN_POINT('Origin',(32.5,17.75,0.0700000000000145)); #540788=CARTESIAN_POINT('Origin',(32.5,16.25,-200.)); #540789=CARTESIAN_POINT('',(32.36,16.25,0.0349999999999895)); #540790=CARTESIAN_POINT('Origin',(32.5,16.25,0.0349999999999895)); #540791=CARTESIAN_POINT('',(32.36,16.25,0.0700000000000145)); #540792=CARTESIAN_POINT('',(32.36,16.25,-200.)); #540793=CARTESIAN_POINT('Origin',(32.5,16.25,0.0700000000000145)); #540794=CARTESIAN_POINT('Origin',(32.5,15.5,-200.)); #540795=CARTESIAN_POINT('',(32.36,15.5,0.0349999999999895)); #540796=CARTESIAN_POINT('Origin',(32.5,15.5,0.0349999999999895)); #540797=CARTESIAN_POINT('',(32.36,15.5,0.0700000000000145)); #540798=CARTESIAN_POINT('',(32.36,15.5,-200.)); #540799=CARTESIAN_POINT('Origin',(32.5,15.5,0.0700000000000145)); #540800=CARTESIAN_POINT('Origin',(33.25,19.25,-200.)); #540801=CARTESIAN_POINT('',(33.11,19.25,0.0349999999999895)); #540802=CARTESIAN_POINT('Origin',(33.25,19.25,0.0349999999999895)); #540803=CARTESIAN_POINT('',(33.11,19.25,0.0700000000000145)); #540804=CARTESIAN_POINT('',(33.11,19.25,-200.)); #540805=CARTESIAN_POINT('Origin',(33.25,19.25,0.0700000000000145)); #540806=CARTESIAN_POINT('Origin',(32.5,19.25,-200.)); #540807=CARTESIAN_POINT('',(32.36,19.25,0.0349999999999895)); #540808=CARTESIAN_POINT('Origin',(32.5,19.25,0.0349999999999895)); #540809=CARTESIAN_POINT('',(32.36,19.25,0.0700000000000145)); #540810=CARTESIAN_POINT('',(32.36,19.25,-200.)); #540811=CARTESIAN_POINT('Origin',(32.5,19.25,0.0700000000000145)); #540812=CARTESIAN_POINT('Origin',(35.5,16.25,-200.)); #540813=CARTESIAN_POINT('',(35.36,16.25,0.0349999999999895)); #540814=CARTESIAN_POINT('Origin',(35.5,16.25,0.0349999999999895)); #540815=CARTESIAN_POINT('',(35.36,16.25,0.0700000000000145)); #540816=CARTESIAN_POINT('',(35.36,16.25,-200.)); #540817=CARTESIAN_POINT('Origin',(35.5,16.25,0.0700000000000145)); #540818=CARTESIAN_POINT('Origin',(35.5,17.,-200.)); #540819=CARTESIAN_POINT('',(35.36,17.,0.0349999999999895)); #540820=CARTESIAN_POINT('Origin',(35.5,17.,0.0349999999999895)); #540821=CARTESIAN_POINT('',(35.36,17.,0.0700000000000145)); #540822=CARTESIAN_POINT('',(35.36,17.,-200.)); #540823=CARTESIAN_POINT('Origin',(35.5,17.,0.0700000000000145)); #540824=CARTESIAN_POINT('Origin',(34.75,19.25,-200.)); #540825=CARTESIAN_POINT('',(34.61,19.25,0.0349999999999895)); #540826=CARTESIAN_POINT('Origin',(34.75,19.25,0.0349999999999895)); #540827=CARTESIAN_POINT('',(34.61,19.25,0.0700000000000145)); #540828=CARTESIAN_POINT('',(34.61,19.25,-200.)); #540829=CARTESIAN_POINT('Origin',(34.75,19.25,0.0700000000000145)); #540830=CARTESIAN_POINT('Origin',(34.,19.25,-200.)); #540831=CARTESIAN_POINT('',(33.86,19.25,0.0349999999999895)); #540832=CARTESIAN_POINT('Origin',(34.,19.25,0.0349999999999895)); #540833=CARTESIAN_POINT('',(33.86,19.25,0.0700000000000145)); #540834=CARTESIAN_POINT('',(33.86,19.25,-200.)); #540835=CARTESIAN_POINT('Origin',(34.,19.25,0.0700000000000145)); #540836=CARTESIAN_POINT('Origin',(35.5,19.25,-200.)); #540837=CARTESIAN_POINT('',(35.36,19.25,0.0349999999999895)); #540838=CARTESIAN_POINT('Origin',(35.5,19.25,0.0349999999999895)); #540839=CARTESIAN_POINT('',(35.36,19.25,0.0700000000000145)); #540840=CARTESIAN_POINT('',(35.36,19.25,-200.)); #540841=CARTESIAN_POINT('Origin',(35.5,19.25,0.0700000000000145)); #540842=CARTESIAN_POINT('Origin',(36.25,19.25,-200.)); #540843=CARTESIAN_POINT('',(36.11,19.25,0.0349999999999895)); #540844=CARTESIAN_POINT('Origin',(36.25,19.25,0.0349999999999895)); #540845=CARTESIAN_POINT('',(36.11,19.25,0.0700000000000145)); #540846=CARTESIAN_POINT('',(36.11,19.25,-200.)); #540847=CARTESIAN_POINT('Origin',(36.25,19.25,0.0700000000000145)); #540848=CARTESIAN_POINT('Origin',(36.7,14.3,0.035)); #540849=CARTESIAN_POINT('',(36.7,14.3,0.035)); #540850=CARTESIAN_POINT('',(36.7,19.7,0.035)); #540851=CARTESIAN_POINT('',(36.7,14.3,0.035)); #540852=CARTESIAN_POINT('',(36.7,19.7,0.07)); #540853=CARTESIAN_POINT('',(36.7,19.7,0.035)); #540854=CARTESIAN_POINT('',(36.7,14.3,0.07)); #540855=CARTESIAN_POINT('',(36.7,14.3,0.07)); #540856=CARTESIAN_POINT('',(36.7,14.3,0.035)); #540857=CARTESIAN_POINT('Origin',(31.3,14.3,0.035)); #540858=CARTESIAN_POINT('',(31.3,14.3,0.035)); #540859=CARTESIAN_POINT('',(31.3,14.3,0.035)); #540860=CARTESIAN_POINT('',(31.3,14.3,0.07)); #540861=CARTESIAN_POINT('',(31.3,14.3,0.07)); #540862=CARTESIAN_POINT('',(31.3,14.3,0.035)); #540863=CARTESIAN_POINT('Origin',(31.3,19.7,0.035)); #540864=CARTESIAN_POINT('',(31.3,19.7,0.035)); #540865=CARTESIAN_POINT('',(31.3,19.7,0.035)); #540866=CARTESIAN_POINT('',(31.3,19.7,0.07)); #540867=CARTESIAN_POINT('',(31.3,19.7,0.07)); #540868=CARTESIAN_POINT('',(31.3,19.7,0.035)); #540869=CARTESIAN_POINT('Origin',(36.7,19.7,0.035)); #540870=CARTESIAN_POINT('',(36.7,19.7,0.035)); #540871=CARTESIAN_POINT('',(36.7,19.7,0.07)); #540872=CARTESIAN_POINT('Origin',(34.,17.,0.07)); #540873=CARTESIAN_POINT('Origin',(34.,17.,0.035)); #540874=CARTESIAN_POINT('Origin',(56.3622,24.6665,0.035)); #540875=CARTESIAN_POINT('',(56.3622,24.6665,0.035)); #540876=CARTESIAN_POINT('',(56.3622,27.3335,0.035)); #540877=CARTESIAN_POINT('',(56.3622,24.6665,0.035)); #540878=CARTESIAN_POINT('',(56.3622,27.3335,0.07)); #540879=CARTESIAN_POINT('',(56.3622,27.3335,0.035)); #540880=CARTESIAN_POINT('',(56.3622,24.6665,0.07)); #540881=CARTESIAN_POINT('',(56.3622,24.6665,0.07)); #540882=CARTESIAN_POINT('',(56.3622,24.6665,0.035)); #540883=CARTESIAN_POINT('Origin',(54.6858,24.6665,0.035)); #540884=CARTESIAN_POINT('',(54.6858,24.6665,0.035)); #540885=CARTESIAN_POINT('',(54.6858,24.6665,0.035)); #540886=CARTESIAN_POINT('',(54.6858,24.6665,0.07)); #540887=CARTESIAN_POINT('',(54.6858,24.6665,0.07)); #540888=CARTESIAN_POINT('',(54.6858,24.6665,0.035)); #540889=CARTESIAN_POINT('Origin',(54.6858,27.3335,0.035)); #540890=CARTESIAN_POINT('',(54.6858,27.3335,0.035)); #540891=CARTESIAN_POINT('',(54.6858,27.3335,0.035)); #540892=CARTESIAN_POINT('',(54.6858,27.3335,0.07)); #540893=CARTESIAN_POINT('',(54.6858,27.3335,0.07)); #540894=CARTESIAN_POINT('',(54.6858,27.3335,0.035)); #540895=CARTESIAN_POINT('Origin',(56.3622,27.3335,0.035)); #540896=CARTESIAN_POINT('',(56.3622,27.3335,0.035)); #540897=CARTESIAN_POINT('',(56.3622,27.3335,0.07)); #540898=CARTESIAN_POINT('Origin',(55.524,26.,0.07)); #540899=CARTESIAN_POINT('Origin',(55.524,26.,0.035)); #540900=CARTESIAN_POINT('Origin',(24.6571,20.5555,0.035)); #540901=CARTESIAN_POINT('',(24.6571,20.5555,0.035)); #540902=CARTESIAN_POINT('',(24.6571,21.4445,0.035)); #540903=CARTESIAN_POINT('',(24.6571,20.5555,0.035)); #540904=CARTESIAN_POINT('',(24.6571,21.4445,0.07)); #540905=CARTESIAN_POINT('',(24.6571,21.4445,0.035)); #540906=CARTESIAN_POINT('',(24.6571,20.5555,0.07)); #540907=CARTESIAN_POINT('',(24.6571,20.5555,0.07)); #540908=CARTESIAN_POINT('',(24.6571,20.5555,0.035)); #540909=CARTESIAN_POINT('Origin',(23.8189,20.5555,0.035)); #540910=CARTESIAN_POINT('',(23.8189,20.5555,0.035)); #540911=CARTESIAN_POINT('',(23.8189,20.5555,0.035)); #540912=CARTESIAN_POINT('',(23.8189,20.5555,0.07)); #540913=CARTESIAN_POINT('',(23.8189,20.5555,0.07)); #540914=CARTESIAN_POINT('',(23.8189,20.5555,0.035)); #540915=CARTESIAN_POINT('Origin',(23.8189,21.4445,0.035)); #540916=CARTESIAN_POINT('',(23.8189,21.4445,0.035)); #540917=CARTESIAN_POINT('',(23.8189,21.4445,0.035)); #540918=CARTESIAN_POINT('',(23.8189,21.4445,0.07)); #540919=CARTESIAN_POINT('',(23.8189,21.4445,0.07)); #540920=CARTESIAN_POINT('',(23.8189,21.4445,0.035)); #540921=CARTESIAN_POINT('Origin',(24.6571,21.4445,0.035)); #540922=CARTESIAN_POINT('',(24.6571,21.4445,0.035)); #540923=CARTESIAN_POINT('',(24.6571,21.4445,0.07)); #540924=CARTESIAN_POINT('Origin',(24.238,21.,0.07)); #540925=CARTESIAN_POINT('Origin',(24.238,21.,0.035)); #540926=CARTESIAN_POINT('Origin',(28.9967,30.4031,0.035)); #540927=CARTESIAN_POINT('',(28.9967,30.4031,0.035)); #540928=CARTESIAN_POINT('',(28.9967,31.5969,0.035)); #540929=CARTESIAN_POINT('',(28.9967,30.4031,0.035)); #540930=CARTESIAN_POINT('',(28.9967,31.5969,0.07)); #540931=CARTESIAN_POINT('',(28.9967,31.5969,0.035)); #540932=CARTESIAN_POINT('',(28.9967,30.4031,0.07)); #540933=CARTESIAN_POINT('',(28.9967,30.4031,0.07)); #540934=CARTESIAN_POINT('',(28.9967,30.4031,0.035)); #540935=CARTESIAN_POINT('Origin',(27.8029,30.4031,0.035)); #540936=CARTESIAN_POINT('',(27.8029,30.4031,0.035)); #540937=CARTESIAN_POINT('',(27.8029,30.4031,0.035)); #540938=CARTESIAN_POINT('',(27.8029,30.4031,0.07)); #540939=CARTESIAN_POINT('',(27.8029,30.4031,0.07)); #540940=CARTESIAN_POINT('',(27.8029,30.4031,0.035)); #540941=CARTESIAN_POINT('Origin',(27.8029,31.5969,0.035)); #540942=CARTESIAN_POINT('',(27.8029,31.5969,0.035)); #540943=CARTESIAN_POINT('',(27.8029,31.5969,0.035)); #540944=CARTESIAN_POINT('',(27.8029,31.5969,0.07)); #540945=CARTESIAN_POINT('',(27.8029,31.5969,0.07)); #540946=CARTESIAN_POINT('',(27.8029,31.5969,0.035)); #540947=CARTESIAN_POINT('Origin',(28.9967,31.5969,0.035)); #540948=CARTESIAN_POINT('',(28.9967,31.5969,0.035)); #540949=CARTESIAN_POINT('',(28.9967,31.5969,0.07)); #540950=CARTESIAN_POINT('Origin',(28.3998,31.,0.07)); #540951=CARTESIAN_POINT('Origin',(28.3998,31.,0.035)); #540952=CARTESIAN_POINT('Origin',(37.7125,19.1125,0.035)); #540953=CARTESIAN_POINT('',(37.7125,19.1125,0.035)); #540954=CARTESIAN_POINT('',(37.7125,19.3875,0.035)); #540955=CARTESIAN_POINT('',(37.7125,19.1125,0.035)); #540956=CARTESIAN_POINT('',(37.7125,19.3875,0.07)); #540957=CARTESIAN_POINT('',(37.7125,19.3875,0.035)); #540958=CARTESIAN_POINT('',(37.7125,19.1125,0.07)); #540959=CARTESIAN_POINT('',(37.7125,19.1125,0.07)); #540960=CARTESIAN_POINT('',(37.7125,19.1125,0.035)); #540961=CARTESIAN_POINT('Origin',(37.0875,19.1125,0.035)); #540962=CARTESIAN_POINT('',(37.0875,19.1125,0.035)); #540963=CARTESIAN_POINT('',(37.0875,19.1125,0.035)); #540964=CARTESIAN_POINT('',(37.0875,19.1125,0.07)); #540965=CARTESIAN_POINT('',(37.0875,19.1125,0.07)); #540966=CARTESIAN_POINT('',(37.0875,19.1125,0.035)); #540967=CARTESIAN_POINT('Origin',(37.0875,19.3875,0.035)); #540968=CARTESIAN_POINT('',(37.0875,19.3875,0.035)); #540969=CARTESIAN_POINT('',(37.0875,19.3875,0.035)); #540970=CARTESIAN_POINT('',(37.0875,19.3875,0.07)); #540971=CARTESIAN_POINT('',(37.0875,19.3875,0.07)); #540972=CARTESIAN_POINT('',(37.0875,19.3875,0.035)); #540973=CARTESIAN_POINT('Origin',(37.7125,19.3875,0.035)); #540974=CARTESIAN_POINT('',(37.7125,19.3875,0.035)); #540975=CARTESIAN_POINT('',(37.7125,19.3875,0.07)); #540976=CARTESIAN_POINT('Origin',(37.4,19.25,0.07)); #540977=CARTESIAN_POINT('Origin',(37.4,19.25,0.035)); #540978=CARTESIAN_POINT('Origin',(13.3142,24.6665,0.035)); #540979=CARTESIAN_POINT('',(13.3142,24.6665,0.035)); #540980=CARTESIAN_POINT('',(13.3142,27.3335,0.035)); #540981=CARTESIAN_POINT('',(13.3142,24.6665,0.035)); #540982=CARTESIAN_POINT('',(13.3142,27.3335,0.07)); #540983=CARTESIAN_POINT('',(13.3142,27.3335,0.035)); #540984=CARTESIAN_POINT('',(13.3142,24.6665,0.07)); #540985=CARTESIAN_POINT('',(13.3142,24.6665,0.07)); #540986=CARTESIAN_POINT('',(13.3142,24.6665,0.035)); #540987=CARTESIAN_POINT('Origin',(11.6378,24.6665,0.035)); #540988=CARTESIAN_POINT('',(11.6378,24.6665,0.035)); #540989=CARTESIAN_POINT('',(11.6378,24.6665,0.035)); #540990=CARTESIAN_POINT('',(11.6378,24.6665,0.07)); #540991=CARTESIAN_POINT('',(11.6378,24.6665,0.07)); #540992=CARTESIAN_POINT('',(11.6378,24.6665,0.035)); #540993=CARTESIAN_POINT('Origin',(11.6378,27.3335,0.035)); #540994=CARTESIAN_POINT('',(11.6378,27.3335,0.035)); #540995=CARTESIAN_POINT('',(11.6378,27.3335,0.035)); #540996=CARTESIAN_POINT('',(11.6378,27.3335,0.07)); #540997=CARTESIAN_POINT('',(11.6378,27.3335,0.07)); #540998=CARTESIAN_POINT('',(11.6378,27.3335,0.035)); #540999=CARTESIAN_POINT('Origin',(13.3142,27.3335,0.035)); #541000=CARTESIAN_POINT('',(13.3142,27.3335,0.035)); #541001=CARTESIAN_POINT('',(13.3142,27.3335,0.07)); #541002=CARTESIAN_POINT('Origin',(12.476,26.,0.07)); #541003=CARTESIAN_POINT('Origin',(12.476,26.,0.035)); #541004=CARTESIAN_POINT('Origin',(20.6571,17.5555,0.035)); #541005=CARTESIAN_POINT('',(20.6571,17.5555,0.035)); #541006=CARTESIAN_POINT('',(20.6571,18.4445,0.035)); #541007=CARTESIAN_POINT('',(20.6571,17.5555,0.035)); #541008=CARTESIAN_POINT('',(20.6571,18.4445,0.07)); #541009=CARTESIAN_POINT('',(20.6571,18.4445,0.035)); #541010=CARTESIAN_POINT('',(20.6571,17.5555,0.07)); #541011=CARTESIAN_POINT('',(20.6571,17.5555,0.07)); #541012=CARTESIAN_POINT('',(20.6571,17.5555,0.035)); #541013=CARTESIAN_POINT('Origin',(19.8189,17.5555,0.035)); #541014=CARTESIAN_POINT('',(19.8189,17.5555,0.035)); #541015=CARTESIAN_POINT('',(19.8189,17.5555,0.035)); #541016=CARTESIAN_POINT('',(19.8189,17.5555,0.07)); #541017=CARTESIAN_POINT('',(19.8189,17.5555,0.07)); #541018=CARTESIAN_POINT('',(19.8189,17.5555,0.035)); #541019=CARTESIAN_POINT('Origin',(19.8189,18.4445,0.035)); #541020=CARTESIAN_POINT('',(19.8189,18.4445,0.035)); #541021=CARTESIAN_POINT('',(19.8189,18.4445,0.035)); #541022=CARTESIAN_POINT('',(19.8189,18.4445,0.07)); #541023=CARTESIAN_POINT('',(19.8189,18.4445,0.07)); #541024=CARTESIAN_POINT('',(19.8189,18.4445,0.035)); #541025=CARTESIAN_POINT('Origin',(20.6571,18.4445,0.035)); #541026=CARTESIAN_POINT('',(20.6571,18.4445,0.035)); #541027=CARTESIAN_POINT('',(20.6571,18.4445,0.07)); #541028=CARTESIAN_POINT('Origin',(20.238,18.,0.07)); #541029=CARTESIAN_POINT('Origin',(20.238,18.,0.035)); #541030=CARTESIAN_POINT('Origin',(48.4445,39.8189,0.035)); #541031=CARTESIAN_POINT('',(48.4445,39.8189,0.035)); #541032=CARTESIAN_POINT('',(48.4445,40.6571,0.035)); #541033=CARTESIAN_POINT('',(48.4445,39.8189,0.035)); #541034=CARTESIAN_POINT('',(48.4445,40.6571,0.07)); #541035=CARTESIAN_POINT('',(48.4445,40.6571,0.035)); #541036=CARTESIAN_POINT('',(48.4445,39.8189,0.07)); #541037=CARTESIAN_POINT('',(48.4445,39.8189,0.07)); #541038=CARTESIAN_POINT('',(48.4445,39.8189,0.035)); #541039=CARTESIAN_POINT('Origin',(47.5555,39.8189,0.035)); #541040=CARTESIAN_POINT('',(47.5555,39.8189,0.035)); #541041=CARTESIAN_POINT('',(47.5555,39.8189,0.035)); #541042=CARTESIAN_POINT('',(47.5555,39.8189,0.07)); #541043=CARTESIAN_POINT('',(47.5555,39.8189,0.07)); #541044=CARTESIAN_POINT('',(47.5555,39.8189,0.035)); #541045=CARTESIAN_POINT('Origin',(47.5555,40.6571,0.035)); #541046=CARTESIAN_POINT('',(47.5555,40.6571,0.035)); #541047=CARTESIAN_POINT('',(47.5555,40.6571,0.035)); #541048=CARTESIAN_POINT('',(47.5555,40.6571,0.07)); #541049=CARTESIAN_POINT('',(47.5555,40.6571,0.07)); #541050=CARTESIAN_POINT('',(47.5555,40.6571,0.035)); #541051=CARTESIAN_POINT('Origin',(48.4445,40.6571,0.035)); #541052=CARTESIAN_POINT('',(48.4445,40.6571,0.035)); #541053=CARTESIAN_POINT('',(48.4445,40.6571,0.07)); #541054=CARTESIAN_POINT('Origin',(48.,40.238,0.07)); #541055=CARTESIAN_POINT('Origin',(48.,40.238,0.035)); #541056=CARTESIAN_POINT('Origin',(35.3875,20.0875,0.035)); #541057=CARTESIAN_POINT('',(35.3875,20.0875,0.035)); #541058=CARTESIAN_POINT('',(35.3875,20.7125,0.035)); #541059=CARTESIAN_POINT('',(35.3875,20.0875,0.035)); #541060=CARTESIAN_POINT('',(35.3875,20.7125,0.07)); #541061=CARTESIAN_POINT('',(35.3875,20.7125,0.035)); #541062=CARTESIAN_POINT('',(35.3875,20.0875,0.07)); #541063=CARTESIAN_POINT('',(35.3875,20.0875,0.07)); #541064=CARTESIAN_POINT('',(35.3875,20.0875,0.035)); #541065=CARTESIAN_POINT('Origin',(35.1125,20.0875,0.035)); #541066=CARTESIAN_POINT('',(35.1125,20.0875,0.035)); #541067=CARTESIAN_POINT('',(35.1125,20.0875,0.035)); #541068=CARTESIAN_POINT('',(35.1125,20.0875,0.07)); #541069=CARTESIAN_POINT('',(35.1125,20.0875,0.07)); #541070=CARTESIAN_POINT('',(35.1125,20.0875,0.035)); #541071=CARTESIAN_POINT('Origin',(35.1125,20.7125,0.035)); #541072=CARTESIAN_POINT('',(35.1125,20.7125,0.035)); #541073=CARTESIAN_POINT('',(35.1125,20.7125,0.035)); #541074=CARTESIAN_POINT('',(35.1125,20.7125,0.07)); #541075=CARTESIAN_POINT('',(35.1125,20.7125,0.07)); #541076=CARTESIAN_POINT('',(35.1125,20.7125,0.035)); #541077=CARTESIAN_POINT('Origin',(35.3875,20.7125,0.035)); #541078=CARTESIAN_POINT('',(35.3875,20.7125,0.035)); #541079=CARTESIAN_POINT('',(35.3875,20.7125,0.07)); #541080=CARTESIAN_POINT('Origin',(35.25,20.4,0.07)); #541081=CARTESIAN_POINT('Origin',(35.25,20.4,0.035)); #541082=CARTESIAN_POINT('Origin',(20.4445,39.8189,0.035)); #541083=CARTESIAN_POINT('',(20.4445,39.8189,0.035)); #541084=CARTESIAN_POINT('',(20.4445,40.6571,0.035)); #541085=CARTESIAN_POINT('',(20.4445,39.8189,0.035)); #541086=CARTESIAN_POINT('',(20.4445,40.6571,0.07)); #541087=CARTESIAN_POINT('',(20.4445,40.6571,0.035)); #541088=CARTESIAN_POINT('',(20.4445,39.8189,0.07)); #541089=CARTESIAN_POINT('',(20.4445,39.8189,0.07)); #541090=CARTESIAN_POINT('',(20.4445,39.8189,0.035)); #541091=CARTESIAN_POINT('Origin',(19.5555,39.8189,0.035)); #541092=CARTESIAN_POINT('',(19.5555,39.8189,0.035)); #541093=CARTESIAN_POINT('',(19.5555,39.8189,0.035)); #541094=CARTESIAN_POINT('',(19.5555,39.8189,0.07)); #541095=CARTESIAN_POINT('',(19.5555,39.8189,0.07)); #541096=CARTESIAN_POINT('',(19.5555,39.8189,0.035)); #541097=CARTESIAN_POINT('Origin',(19.5555,40.6571,0.035)); #541098=CARTESIAN_POINT('',(19.5555,40.6571,0.035)); #541099=CARTESIAN_POINT('',(19.5555,40.6571,0.035)); #541100=CARTESIAN_POINT('',(19.5555,40.6571,0.07)); #541101=CARTESIAN_POINT('',(19.5555,40.6571,0.07)); #541102=CARTESIAN_POINT('',(19.5555,40.6571,0.035)); #541103=CARTESIAN_POINT('Origin',(20.4445,40.6571,0.035)); #541104=CARTESIAN_POINT('',(20.4445,40.6571,0.035)); #541105=CARTESIAN_POINT('',(20.4445,40.6571,0.07)); #541106=CARTESIAN_POINT('Origin',(20.,40.238,0.07)); #541107=CARTESIAN_POINT('Origin',(20.,40.238,0.035)); #541108=CARTESIAN_POINT('Origin',(31.8875,20.0875,0.035)); #541109=CARTESIAN_POINT('',(31.8875,20.0875,0.035)); #541110=CARTESIAN_POINT('',(31.8875,20.7125,0.035)); #541111=CARTESIAN_POINT('',(31.8875,20.0875,0.035)); #541112=CARTESIAN_POINT('',(31.8875,20.7125,0.07)); #541113=CARTESIAN_POINT('',(31.8875,20.7125,0.035)); #541114=CARTESIAN_POINT('',(31.8875,20.0875,0.07)); #541115=CARTESIAN_POINT('',(31.8875,20.0875,0.07)); #541116=CARTESIAN_POINT('',(31.8875,20.0875,0.035)); #541117=CARTESIAN_POINT('Origin',(31.6125,20.0875,0.035)); #541118=CARTESIAN_POINT('',(31.6125,20.0875,0.035)); #541119=CARTESIAN_POINT('',(31.6125,20.0875,0.035)); #541120=CARTESIAN_POINT('',(31.6125,20.0875,0.07)); #541121=CARTESIAN_POINT('',(31.6125,20.0875,0.07)); #541122=CARTESIAN_POINT('',(31.6125,20.0875,0.035)); #541123=CARTESIAN_POINT('Origin',(31.6125,20.7125,0.035)); #541124=CARTESIAN_POINT('',(31.6125,20.7125,0.035)); #541125=CARTESIAN_POINT('',(31.6125,20.7125,0.035)); #541126=CARTESIAN_POINT('',(31.6125,20.7125,0.07)); #541127=CARTESIAN_POINT('',(31.6125,20.7125,0.07)); #541128=CARTESIAN_POINT('',(31.6125,20.7125,0.035)); #541129=CARTESIAN_POINT('Origin',(31.8875,20.7125,0.035)); #541130=CARTESIAN_POINT('',(31.8875,20.7125,0.035)); #541131=CARTESIAN_POINT('',(31.8875,20.7125,0.07)); #541132=CARTESIAN_POINT('Origin',(31.75,20.4,0.07)); #541133=CARTESIAN_POINT('Origin',(31.75,20.4,0.035)); #541134=CARTESIAN_POINT('Origin',(22.1811,17.5555,0.035)); #541135=CARTESIAN_POINT('',(22.1811,17.5555,0.035)); #541136=CARTESIAN_POINT('',(22.1811,18.4445,0.035)); #541137=CARTESIAN_POINT('',(22.1811,17.5555,0.035)); #541138=CARTESIAN_POINT('',(22.1811,18.4445,0.07)); #541139=CARTESIAN_POINT('',(22.1811,18.4445,0.035)); #541140=CARTESIAN_POINT('',(22.1811,17.5555,0.07)); #541141=CARTESIAN_POINT('',(22.1811,17.5555,0.07)); #541142=CARTESIAN_POINT('',(22.1811,17.5555,0.035)); #541143=CARTESIAN_POINT('Origin',(21.3429,17.5555,0.035)); #541144=CARTESIAN_POINT('',(21.3429,17.5555,0.035)); #541145=CARTESIAN_POINT('',(21.3429,17.5555,0.035)); #541146=CARTESIAN_POINT('',(21.3429,17.5555,0.07)); #541147=CARTESIAN_POINT('',(21.3429,17.5555,0.07)); #541148=CARTESIAN_POINT('',(21.3429,17.5555,0.035)); #541149=CARTESIAN_POINT('Origin',(21.3429,18.4445,0.035)); #541150=CARTESIAN_POINT('',(21.3429,18.4445,0.035)); #541151=CARTESIAN_POINT('',(21.3429,18.4445,0.035)); #541152=CARTESIAN_POINT('',(21.3429,18.4445,0.07)); #541153=CARTESIAN_POINT('',(21.3429,18.4445,0.07)); #541154=CARTESIAN_POINT('',(21.3429,18.4445,0.035)); #541155=CARTESIAN_POINT('Origin',(22.1811,18.4445,0.035)); #541156=CARTESIAN_POINT('',(22.1811,18.4445,0.035)); #541157=CARTESIAN_POINT('',(22.1811,18.4445,0.07)); #541158=CARTESIAN_POINT('Origin',(21.762,18.,0.07)); #541159=CARTESIAN_POINT('Origin',(21.762,18.,0.035)); #541160=CARTESIAN_POINT('Origin',(30.9125,14.1125,0.035)); #541161=CARTESIAN_POINT('',(30.9125,14.1125,0.035)); #541162=CARTESIAN_POINT('',(30.9125,14.3875,0.035)); #541163=CARTESIAN_POINT('',(30.9125,14.1125,0.035)); #541164=CARTESIAN_POINT('',(30.9125,14.3875,0.07)); #541165=CARTESIAN_POINT('',(30.9125,14.3875,0.035)); #541166=CARTESIAN_POINT('',(30.9125,14.1125,0.07)); #541167=CARTESIAN_POINT('',(30.9125,14.1125,0.07)); #541168=CARTESIAN_POINT('',(30.9125,14.1125,0.035)); #541169=CARTESIAN_POINT('Origin',(30.2875,14.1125,0.035)); #541170=CARTESIAN_POINT('',(30.2875,14.1125,0.035)); #541171=CARTESIAN_POINT('',(30.2875,14.1125,0.035)); #541172=CARTESIAN_POINT('',(30.2875,14.1125,0.07)); #541173=CARTESIAN_POINT('',(30.2875,14.1125,0.07)); #541174=CARTESIAN_POINT('',(30.2875,14.1125,0.035)); #541175=CARTESIAN_POINT('Origin',(30.2875,14.3875,0.035)); #541176=CARTESIAN_POINT('',(30.2875,14.3875,0.035)); #541177=CARTESIAN_POINT('',(30.2875,14.3875,0.035)); #541178=CARTESIAN_POINT('',(30.2875,14.3875,0.07)); #541179=CARTESIAN_POINT('',(30.2875,14.3875,0.07)); #541180=CARTESIAN_POINT('',(30.2875,14.3875,0.035)); #541181=CARTESIAN_POINT('Origin',(30.9125,14.3875,0.035)); #541182=CARTESIAN_POINT('',(30.9125,14.3875,0.035)); #541183=CARTESIAN_POINT('',(30.9125,14.3875,0.07)); #541184=CARTESIAN_POINT('Origin',(30.6,14.25,0.07)); #541185=CARTESIAN_POINT('Origin',(30.6,14.25,0.035)); #541186=CARTESIAN_POINT('Origin',(22.1811,14.5555,0.035)); #541187=CARTESIAN_POINT('',(22.1811,14.5555,0.035)); #541188=CARTESIAN_POINT('',(22.1811,15.4445,0.035)); #541189=CARTESIAN_POINT('',(22.1811,14.5555,0.035)); #541190=CARTESIAN_POINT('',(22.1811,15.4445,0.07)); #541191=CARTESIAN_POINT('',(22.1811,15.4445,0.035)); #541192=CARTESIAN_POINT('',(22.1811,14.5555,0.07)); #541193=CARTESIAN_POINT('',(22.1811,14.5555,0.07)); #541194=CARTESIAN_POINT('',(22.1811,14.5555,0.035)); #541195=CARTESIAN_POINT('Origin',(21.3429,14.5555,0.035)); #541196=CARTESIAN_POINT('',(21.3429,14.5555,0.035)); #541197=CARTESIAN_POINT('',(21.3429,14.5555,0.035)); #541198=CARTESIAN_POINT('',(21.3429,14.5555,0.07)); #541199=CARTESIAN_POINT('',(21.3429,14.5555,0.07)); #541200=CARTESIAN_POINT('',(21.3429,14.5555,0.035)); #541201=CARTESIAN_POINT('Origin',(21.3429,15.4445,0.035)); #541202=CARTESIAN_POINT('',(21.3429,15.4445,0.035)); #541203=CARTESIAN_POINT('',(21.3429,15.4445,0.035)); #541204=CARTESIAN_POINT('',(21.3429,15.4445,0.07)); #541205=CARTESIAN_POINT('',(21.3429,15.4445,0.07)); #541206=CARTESIAN_POINT('',(21.3429,15.4445,0.035)); #541207=CARTESIAN_POINT('Origin',(22.1811,15.4445,0.035)); #541208=CARTESIAN_POINT('',(22.1811,15.4445,0.035)); #541209=CARTESIAN_POINT('',(22.1811,15.4445,0.07)); #541210=CARTESIAN_POINT('Origin',(21.762,15.,0.07)); #541211=CARTESIAN_POINT('Origin',(21.762,15.,0.035)); #541212=CARTESIAN_POINT('Origin',(36.3875,13.2875,0.035)); #541213=CARTESIAN_POINT('',(36.3875,13.2875,0.035)); #541214=CARTESIAN_POINT('',(36.3875,13.9125,0.035)); #541215=CARTESIAN_POINT('',(36.3875,13.2875,0.035)); #541216=CARTESIAN_POINT('',(36.3875,13.9125,0.07)); #541217=CARTESIAN_POINT('',(36.3875,13.9125,0.035)); #541218=CARTESIAN_POINT('',(36.3875,13.2875,0.07)); #541219=CARTESIAN_POINT('',(36.3875,13.2875,0.07)); #541220=CARTESIAN_POINT('',(36.3875,13.2875,0.035)); #541221=CARTESIAN_POINT('Origin',(36.1125,13.2875,0.035)); #541222=CARTESIAN_POINT('',(36.1125,13.2875,0.035)); #541223=CARTESIAN_POINT('',(36.1125,13.2875,0.035)); #541224=CARTESIAN_POINT('',(36.1125,13.2875,0.07)); #541225=CARTESIAN_POINT('',(36.1125,13.2875,0.07)); #541226=CARTESIAN_POINT('',(36.1125,13.2875,0.035)); #541227=CARTESIAN_POINT('Origin',(36.1125,13.9125,0.035)); #541228=CARTESIAN_POINT('',(36.1125,13.9125,0.035)); #541229=CARTESIAN_POINT('',(36.1125,13.9125,0.035)); #541230=CARTESIAN_POINT('',(36.1125,13.9125,0.07)); #541231=CARTESIAN_POINT('',(36.1125,13.9125,0.07)); #541232=CARTESIAN_POINT('',(36.1125,13.9125,0.035)); #541233=CARTESIAN_POINT('Origin',(36.3875,13.9125,0.035)); #541234=CARTESIAN_POINT('',(36.3875,13.9125,0.035)); #541235=CARTESIAN_POINT('',(36.3875,13.9125,0.07)); #541236=CARTESIAN_POINT('Origin',(36.25,13.6,0.07)); #541237=CARTESIAN_POINT('Origin',(36.25,13.6,0.035)); #541238=CARTESIAN_POINT('Origin',(44.4445,39.8189,0.035)); #541239=CARTESIAN_POINT('',(44.4445,39.8189,0.035)); #541240=CARTESIAN_POINT('',(44.4445,40.6571,0.035)); #541241=CARTESIAN_POINT('',(44.4445,39.8189,0.035)); #541242=CARTESIAN_POINT('',(44.4445,40.6571,0.07)); #541243=CARTESIAN_POINT('',(44.4445,40.6571,0.035)); #541244=CARTESIAN_POINT('',(44.4445,39.8189,0.07)); #541245=CARTESIAN_POINT('',(44.4445,39.8189,0.07)); #541246=CARTESIAN_POINT('',(44.4445,39.8189,0.035)); #541247=CARTESIAN_POINT('Origin',(43.5555,39.8189,0.035)); #541248=CARTESIAN_POINT('',(43.5555,39.8189,0.035)); #541249=CARTESIAN_POINT('',(43.5555,39.8189,0.035)); #541250=CARTESIAN_POINT('',(43.5555,39.8189,0.07)); #541251=CARTESIAN_POINT('',(43.5555,39.8189,0.07)); #541252=CARTESIAN_POINT('',(43.5555,39.8189,0.035)); #541253=CARTESIAN_POINT('Origin',(43.5555,40.6571,0.035)); #541254=CARTESIAN_POINT('',(43.5555,40.6571,0.035)); #541255=CARTESIAN_POINT('',(43.5555,40.6571,0.035)); #541256=CARTESIAN_POINT('',(43.5555,40.6571,0.07)); #541257=CARTESIAN_POINT('',(43.5555,40.6571,0.07)); #541258=CARTESIAN_POINT('',(43.5555,40.6571,0.035)); #541259=CARTESIAN_POINT('Origin',(44.4445,40.6571,0.035)); #541260=CARTESIAN_POINT('',(44.4445,40.6571,0.035)); #541261=CARTESIAN_POINT('',(44.4445,40.6571,0.07)); #541262=CARTESIAN_POINT('Origin',(44.,40.238,0.07)); #541263=CARTESIAN_POINT('Origin',(44.,40.238,0.035)); #541264=CARTESIAN_POINT('Origin',(33.8875,20.0875,0.035)); #541265=CARTESIAN_POINT('',(33.8875,20.0875,0.035)); #541266=CARTESIAN_POINT('',(33.8875,20.7125,0.035)); #541267=CARTESIAN_POINT('',(33.8875,20.0875,0.035)); #541268=CARTESIAN_POINT('',(33.8875,20.7125,0.07)); #541269=CARTESIAN_POINT('',(33.8875,20.7125,0.035)); #541270=CARTESIAN_POINT('',(33.8875,20.0875,0.07)); #541271=CARTESIAN_POINT('',(33.8875,20.0875,0.07)); #541272=CARTESIAN_POINT('',(33.8875,20.0875,0.035)); #541273=CARTESIAN_POINT('Origin',(33.6125,20.0875,0.035)); #541274=CARTESIAN_POINT('',(33.6125,20.0875,0.035)); #541275=CARTESIAN_POINT('',(33.6125,20.0875,0.035)); #541276=CARTESIAN_POINT('',(33.6125,20.0875,0.07)); #541277=CARTESIAN_POINT('',(33.6125,20.0875,0.07)); #541278=CARTESIAN_POINT('',(33.6125,20.0875,0.035)); #541279=CARTESIAN_POINT('Origin',(33.6125,20.7125,0.035)); #541280=CARTESIAN_POINT('',(33.6125,20.7125,0.035)); #541281=CARTESIAN_POINT('',(33.6125,20.7125,0.035)); #541282=CARTESIAN_POINT('',(33.6125,20.7125,0.07)); #541283=CARTESIAN_POINT('',(33.6125,20.7125,0.07)); #541284=CARTESIAN_POINT('',(33.6125,20.7125,0.035)); #541285=CARTESIAN_POINT('Origin',(33.8875,20.7125,0.035)); #541286=CARTESIAN_POINT('',(33.8875,20.7125,0.035)); #541287=CARTESIAN_POINT('',(33.8875,20.7125,0.07)); #541288=CARTESIAN_POINT('Origin',(33.75,20.4,0.07)); #541289=CARTESIAN_POINT('Origin',(33.75,20.4,0.035)); #541290=CARTESIAN_POINT('Origin',(24.4445,39.8189,0.035)); #541291=CARTESIAN_POINT('',(24.4445,39.8189,0.035)); #541292=CARTESIAN_POINT('',(24.4445,40.6571,0.035)); #541293=CARTESIAN_POINT('',(24.4445,39.8189,0.035)); #541294=CARTESIAN_POINT('',(24.4445,40.6571,0.07)); #541295=CARTESIAN_POINT('',(24.4445,40.6571,0.035)); #541296=CARTESIAN_POINT('',(24.4445,39.8189,0.07)); #541297=CARTESIAN_POINT('',(24.4445,39.8189,0.07)); #541298=CARTESIAN_POINT('',(24.4445,39.8189,0.035)); #541299=CARTESIAN_POINT('Origin',(23.5555,39.8189,0.035)); #541300=CARTESIAN_POINT('',(23.5555,39.8189,0.035)); #541301=CARTESIAN_POINT('',(23.5555,39.8189,0.035)); #541302=CARTESIAN_POINT('',(23.5555,39.8189,0.07)); #541303=CARTESIAN_POINT('',(23.5555,39.8189,0.07)); #541304=CARTESIAN_POINT('',(23.5555,39.8189,0.035)); #541305=CARTESIAN_POINT('Origin',(23.5555,40.6571,0.035)); #541306=CARTESIAN_POINT('',(23.5555,40.6571,0.035)); #541307=CARTESIAN_POINT('',(23.5555,40.6571,0.035)); #541308=CARTESIAN_POINT('',(23.5555,40.6571,0.07)); #541309=CARTESIAN_POINT('',(23.5555,40.6571,0.07)); #541310=CARTESIAN_POINT('',(23.5555,40.6571,0.035)); #541311=CARTESIAN_POINT('Origin',(24.4445,40.6571,0.035)); #541312=CARTESIAN_POINT('',(24.4445,40.6571,0.035)); #541313=CARTESIAN_POINT('',(24.4445,40.6571,0.07)); #541314=CARTESIAN_POINT('Origin',(24.,40.238,0.07)); #541315=CARTESIAN_POINT('Origin',(24.,40.238,0.035)); #541316=CARTESIAN_POINT('Origin',(33.3875,20.0875,0.035)); #541317=CARTESIAN_POINT('',(33.3875,20.0875,0.035)); #541318=CARTESIAN_POINT('',(33.3875,20.7125,0.035)); #541319=CARTESIAN_POINT('',(33.3875,20.0875,0.035)); #541320=CARTESIAN_POINT('',(33.3875,20.7125,0.07)); #541321=CARTESIAN_POINT('',(33.3875,20.7125,0.035)); #541322=CARTESIAN_POINT('',(33.3875,20.0875,0.07)); #541323=CARTESIAN_POINT('',(33.3875,20.0875,0.07)); #541324=CARTESIAN_POINT('',(33.3875,20.0875,0.035)); #541325=CARTESIAN_POINT('Origin',(33.1125,20.0875,0.035)); #541326=CARTESIAN_POINT('',(33.1125,20.0875,0.035)); #541327=CARTESIAN_POINT('',(33.1125,20.0875,0.035)); #541328=CARTESIAN_POINT('',(33.1125,20.0875,0.07)); #541329=CARTESIAN_POINT('',(33.1125,20.0875,0.07)); #541330=CARTESIAN_POINT('',(33.1125,20.0875,0.035)); #541331=CARTESIAN_POINT('Origin',(33.1125,20.7125,0.035)); #541332=CARTESIAN_POINT('',(33.1125,20.7125,0.035)); #541333=CARTESIAN_POINT('',(33.1125,20.7125,0.035)); #541334=CARTESIAN_POINT('',(33.1125,20.7125,0.07)); #541335=CARTESIAN_POINT('',(33.1125,20.7125,0.07)); #541336=CARTESIAN_POINT('',(33.1125,20.7125,0.035)); #541337=CARTESIAN_POINT('Origin',(33.3875,20.7125,0.035)); #541338=CARTESIAN_POINT('',(33.3875,20.7125,0.035)); #541339=CARTESIAN_POINT('',(33.3875,20.7125,0.07)); #541340=CARTESIAN_POINT('Origin',(33.25,20.4,0.07)); #541341=CARTESIAN_POINT('Origin',(33.25,20.4,0.035)); #541342=CARTESIAN_POINT('Origin',(38.512,53.,-200.)); #541343=CARTESIAN_POINT('',(38.372,53.,0.0349999999999895)); #541344=CARTESIAN_POINT('Origin',(38.512,53.,0.0349999999999895)); #541345=CARTESIAN_POINT('',(38.372,53.,0.0700000000000145)); #541346=CARTESIAN_POINT('',(38.372,53.,-200.)); #541347=CARTESIAN_POINT('Origin',(38.512,53.,0.0700000000000145)); #541348=CARTESIAN_POINT('Origin',(38.9311,52.5555,0.035)); #541349=CARTESIAN_POINT('',(38.9311,52.5555,0.035)); #541350=CARTESIAN_POINT('',(38.9311,53.4445,0.035)); #541351=CARTESIAN_POINT('',(38.9311,52.5555,0.035)); #541352=CARTESIAN_POINT('',(38.9311,53.4445,0.07)); #541353=CARTESIAN_POINT('',(38.9311,53.4445,0.035)); #541354=CARTESIAN_POINT('',(38.9311,52.5555,0.07)); #541355=CARTESIAN_POINT('',(38.9311,52.5555,0.07)); #541356=CARTESIAN_POINT('',(38.9311,52.5555,0.035)); #541357=CARTESIAN_POINT('Origin',(38.0929,52.5555,0.035)); #541358=CARTESIAN_POINT('',(38.0929,52.5555,0.035)); #541359=CARTESIAN_POINT('',(38.0929,52.5555,0.035)); #541360=CARTESIAN_POINT('',(38.0929,52.5555,0.07)); #541361=CARTESIAN_POINT('',(38.0929,52.5555,0.07)); #541362=CARTESIAN_POINT('',(38.0929,52.5555,0.035)); #541363=CARTESIAN_POINT('Origin',(38.0929,53.4445,0.035)); #541364=CARTESIAN_POINT('',(38.0929,53.4445,0.035)); #541365=CARTESIAN_POINT('',(38.0929,53.4445,0.035)); #541366=CARTESIAN_POINT('',(38.0929,53.4445,0.07)); #541367=CARTESIAN_POINT('',(38.0929,53.4445,0.07)); #541368=CARTESIAN_POINT('',(38.0929,53.4445,0.035)); #541369=CARTESIAN_POINT('Origin',(38.9311,53.4445,0.035)); #541370=CARTESIAN_POINT('',(38.9311,53.4445,0.035)); #541371=CARTESIAN_POINT('',(38.9311,53.4445,0.07)); #541372=CARTESIAN_POINT('Origin',(38.512,53.,0.07)); #541373=CARTESIAN_POINT('Origin',(38.512,53.,0.035)); #541374=CARTESIAN_POINT('Origin',(34.3875,20.0875,0.035)); #541375=CARTESIAN_POINT('',(34.3875,20.0875,0.035)); #541376=CARTESIAN_POINT('',(34.3875,20.7125,0.035)); #541377=CARTESIAN_POINT('',(34.3875,20.0875,0.035)); #541378=CARTESIAN_POINT('',(34.3875,20.7125,0.07)); #541379=CARTESIAN_POINT('',(34.3875,20.7125,0.035)); #541380=CARTESIAN_POINT('',(34.3875,20.0875,0.07)); #541381=CARTESIAN_POINT('',(34.3875,20.0875,0.07)); #541382=CARTESIAN_POINT('',(34.3875,20.0875,0.035)); #541383=CARTESIAN_POINT('Origin',(34.1125,20.0875,0.035)); #541384=CARTESIAN_POINT('',(34.1125,20.0875,0.035)); #541385=CARTESIAN_POINT('',(34.1125,20.0875,0.035)); #541386=CARTESIAN_POINT('',(34.1125,20.0875,0.07)); #541387=CARTESIAN_POINT('',(34.1125,20.0875,0.07)); #541388=CARTESIAN_POINT('',(34.1125,20.0875,0.035)); #541389=CARTESIAN_POINT('Origin',(34.1125,20.7125,0.035)); #541390=CARTESIAN_POINT('',(34.1125,20.7125,0.035)); #541391=CARTESIAN_POINT('',(34.1125,20.7125,0.035)); #541392=CARTESIAN_POINT('',(34.1125,20.7125,0.07)); #541393=CARTESIAN_POINT('',(34.1125,20.7125,0.07)); #541394=CARTESIAN_POINT('',(34.1125,20.7125,0.035)); #541395=CARTESIAN_POINT('Origin',(34.3875,20.7125,0.035)); #541396=CARTESIAN_POINT('',(34.3875,20.7125,0.035)); #541397=CARTESIAN_POINT('',(34.3875,20.7125,0.07)); #541398=CARTESIAN_POINT('Origin',(34.25,20.4,0.07)); #541399=CARTESIAN_POINT('Origin',(34.25,20.4,0.035)); #541400=CARTESIAN_POINT('Origin',(37.6571,37.5555,0.035)); #541401=CARTESIAN_POINT('',(37.6571,37.5555,0.035)); #541402=CARTESIAN_POINT('',(37.6571,38.4445,0.035)); #541403=CARTESIAN_POINT('',(37.6571,37.5555,0.035)); #541404=CARTESIAN_POINT('',(37.6571,38.4445,0.07)); #541405=CARTESIAN_POINT('',(37.6571,38.4445,0.035)); #541406=CARTESIAN_POINT('',(37.6571,37.5555,0.07)); #541407=CARTESIAN_POINT('',(37.6571,37.5555,0.07)); #541408=CARTESIAN_POINT('',(37.6571,37.5555,0.035)); #541409=CARTESIAN_POINT('Origin',(36.8189,37.5555,0.035)); #541410=CARTESIAN_POINT('',(36.8189,37.5555,0.035)); #541411=CARTESIAN_POINT('',(36.8189,37.5555,0.035)); #541412=CARTESIAN_POINT('',(36.8189,37.5555,0.07)); #541413=CARTESIAN_POINT('',(36.8189,37.5555,0.07)); #541414=CARTESIAN_POINT('',(36.8189,37.5555,0.035)); #541415=CARTESIAN_POINT('Origin',(36.8189,38.4445,0.035)); #541416=CARTESIAN_POINT('',(36.8189,38.4445,0.035)); #541417=CARTESIAN_POINT('',(36.8189,38.4445,0.035)); #541418=CARTESIAN_POINT('',(36.8189,38.4445,0.07)); #541419=CARTESIAN_POINT('',(36.8189,38.4445,0.07)); #541420=CARTESIAN_POINT('',(36.8189,38.4445,0.035)); #541421=CARTESIAN_POINT('Origin',(37.6571,38.4445,0.035)); #541422=CARTESIAN_POINT('',(37.6571,38.4445,0.035)); #541423=CARTESIAN_POINT('',(37.6571,38.4445,0.07)); #541424=CARTESIAN_POINT('Origin',(37.238,38.,0.07)); #541425=CARTESIAN_POINT('Origin',(37.238,38.,0.035)); #541426=CARTESIAN_POINT('Origin',(29.488,53.,-200.)); #541427=CARTESIAN_POINT('',(29.348,53.,0.0349999999999895)); #541428=CARTESIAN_POINT('Origin',(29.488,53.,0.0349999999999895)); #541429=CARTESIAN_POINT('',(29.348,53.,0.0700000000000145)); #541430=CARTESIAN_POINT('',(29.348,53.,-200.)); #541431=CARTESIAN_POINT('Origin',(29.488,53.,0.0700000000000145)); #541432=CARTESIAN_POINT('Origin',(29.9071,52.5555,0.035)); #541433=CARTESIAN_POINT('',(29.9071,52.5555,0.035)); #541434=CARTESIAN_POINT('',(29.9071,53.4445,0.035)); #541435=CARTESIAN_POINT('',(29.9071,52.5555,0.035)); #541436=CARTESIAN_POINT('',(29.9071,53.4445,0.07)); #541437=CARTESIAN_POINT('',(29.9071,53.4445,0.035)); #541438=CARTESIAN_POINT('',(29.9071,52.5555,0.07)); #541439=CARTESIAN_POINT('',(29.9071,52.5555,0.07)); #541440=CARTESIAN_POINT('',(29.9071,52.5555,0.035)); #541441=CARTESIAN_POINT('Origin',(29.0689,52.5555,0.035)); #541442=CARTESIAN_POINT('',(29.0689,52.5555,0.035)); #541443=CARTESIAN_POINT('',(29.0689,52.5555,0.035)); #541444=CARTESIAN_POINT('',(29.0689,52.5555,0.07)); #541445=CARTESIAN_POINT('',(29.0689,52.5555,0.07)); #541446=CARTESIAN_POINT('',(29.0689,52.5555,0.035)); #541447=CARTESIAN_POINT('Origin',(29.0689,53.4445,0.035)); #541448=CARTESIAN_POINT('',(29.0689,53.4445,0.035)); #541449=CARTESIAN_POINT('',(29.0689,53.4445,0.035)); #541450=CARTESIAN_POINT('',(29.0689,53.4445,0.07)); #541451=CARTESIAN_POINT('',(29.0689,53.4445,0.07)); #541452=CARTESIAN_POINT('',(29.0689,53.4445,0.035)); #541453=CARTESIAN_POINT('Origin',(29.9071,53.4445,0.035)); #541454=CARTESIAN_POINT('',(29.9071,53.4445,0.035)); #541455=CARTESIAN_POINT('',(29.9071,53.4445,0.07)); #541456=CARTESIAN_POINT('Origin',(29.488,53.,0.07)); #541457=CARTESIAN_POINT('Origin',(29.488,53.,0.035)); #541458=CARTESIAN_POINT('Origin',(32.8875,20.0875,0.035)); #541459=CARTESIAN_POINT('',(32.8875,20.0875,0.035)); #541460=CARTESIAN_POINT('',(32.8875,20.7125,0.035)); #541461=CARTESIAN_POINT('',(32.8875,20.0875,0.035)); #541462=CARTESIAN_POINT('',(32.8875,20.7125,0.07)); #541463=CARTESIAN_POINT('',(32.8875,20.7125,0.035)); #541464=CARTESIAN_POINT('',(32.8875,20.0875,0.07)); #541465=CARTESIAN_POINT('',(32.8875,20.0875,0.07)); #541466=CARTESIAN_POINT('',(32.8875,20.0875,0.035)); #541467=CARTESIAN_POINT('Origin',(32.6125,20.0875,0.035)); #541468=CARTESIAN_POINT('',(32.6125,20.0875,0.035)); #541469=CARTESIAN_POINT('',(32.6125,20.0875,0.035)); #541470=CARTESIAN_POINT('',(32.6125,20.0875,0.07)); #541471=CARTESIAN_POINT('',(32.6125,20.0875,0.07)); #541472=CARTESIAN_POINT('',(32.6125,20.0875,0.035)); #541473=CARTESIAN_POINT('Origin',(32.6125,20.7125,0.035)); #541474=CARTESIAN_POINT('',(32.6125,20.7125,0.035)); #541475=CARTESIAN_POINT('',(32.6125,20.7125,0.035)); #541476=CARTESIAN_POINT('',(32.6125,20.7125,0.07)); #541477=CARTESIAN_POINT('',(32.6125,20.7125,0.07)); #541478=CARTESIAN_POINT('',(32.6125,20.7125,0.035)); #541479=CARTESIAN_POINT('Origin',(32.8875,20.7125,0.035)); #541480=CARTESIAN_POINT('',(32.8875,20.7125,0.035)); #541481=CARTESIAN_POINT('',(32.8875,20.7125,0.07)); #541482=CARTESIAN_POINT('Origin',(32.75,20.4,0.07)); #541483=CARTESIAN_POINT('Origin',(32.75,20.4,0.035)); #541484=CARTESIAN_POINT('Origin',(31.1811,37.5555,0.035)); #541485=CARTESIAN_POINT('',(31.1811,37.5555,0.035)); #541486=CARTESIAN_POINT('',(31.1811,38.4445,0.035)); #541487=CARTESIAN_POINT('',(31.1811,37.5555,0.035)); #541488=CARTESIAN_POINT('',(31.1811,38.4445,0.07)); #541489=CARTESIAN_POINT('',(31.1811,38.4445,0.035)); #541490=CARTESIAN_POINT('',(31.1811,37.5555,0.07)); #541491=CARTESIAN_POINT('',(31.1811,37.5555,0.07)); #541492=CARTESIAN_POINT('',(31.1811,37.5555,0.035)); #541493=CARTESIAN_POINT('Origin',(30.3429,37.5555,0.035)); #541494=CARTESIAN_POINT('',(30.3429,37.5555,0.035)); #541495=CARTESIAN_POINT('',(30.3429,37.5555,0.035)); #541496=CARTESIAN_POINT('',(30.3429,37.5555,0.07)); #541497=CARTESIAN_POINT('',(30.3429,37.5555,0.07)); #541498=CARTESIAN_POINT('',(30.3429,37.5555,0.035)); #541499=CARTESIAN_POINT('Origin',(30.3429,38.4445,0.035)); #541500=CARTESIAN_POINT('',(30.3429,38.4445,0.035)); #541501=CARTESIAN_POINT('',(30.3429,38.4445,0.035)); #541502=CARTESIAN_POINT('',(30.3429,38.4445,0.07)); #541503=CARTESIAN_POINT('',(30.3429,38.4445,0.07)); #541504=CARTESIAN_POINT('',(30.3429,38.4445,0.035)); #541505=CARTESIAN_POINT('Origin',(31.1811,38.4445,0.035)); #541506=CARTESIAN_POINT('',(31.1811,38.4445,0.035)); #541507=CARTESIAN_POINT('',(31.1811,38.4445,0.07)); #541508=CARTESIAN_POINT('Origin',(30.762,38.,0.07)); #541509=CARTESIAN_POINT('Origin',(30.762,38.,0.035)); #541510=CARTESIAN_POINT('Origin',(37.7125,18.1125,0.035)); #541511=CARTESIAN_POINT('',(37.7125,18.1125,0.035)); #541512=CARTESIAN_POINT('',(37.7125,18.3875,0.035)); #541513=CARTESIAN_POINT('',(37.7125,18.1125,0.035)); #541514=CARTESIAN_POINT('',(37.7125,18.3875,0.07)); #541515=CARTESIAN_POINT('',(37.7125,18.3875,0.035)); #541516=CARTESIAN_POINT('',(37.7125,18.1125,0.07)); #541517=CARTESIAN_POINT('',(37.7125,18.1125,0.07)); #541518=CARTESIAN_POINT('',(37.7125,18.1125,0.035)); #541519=CARTESIAN_POINT('Origin',(37.0875,18.1125,0.035)); #541520=CARTESIAN_POINT('',(37.0875,18.1125,0.035)); #541521=CARTESIAN_POINT('',(37.0875,18.1125,0.035)); #541522=CARTESIAN_POINT('',(37.0875,18.1125,0.07)); #541523=CARTESIAN_POINT('',(37.0875,18.1125,0.07)); #541524=CARTESIAN_POINT('',(37.0875,18.1125,0.035)); #541525=CARTESIAN_POINT('Origin',(37.0875,18.3875,0.035)); #541526=CARTESIAN_POINT('',(37.0875,18.3875,0.035)); #541527=CARTESIAN_POINT('',(37.0875,18.3875,0.035)); #541528=CARTESIAN_POINT('',(37.0875,18.3875,0.07)); #541529=CARTESIAN_POINT('',(37.0875,18.3875,0.07)); #541530=CARTESIAN_POINT('',(37.0875,18.3875,0.035)); #541531=CARTESIAN_POINT('Origin',(37.7125,18.3875,0.035)); #541532=CARTESIAN_POINT('',(37.7125,18.3875,0.035)); #541533=CARTESIAN_POINT('',(37.7125,18.3875,0.07)); #541534=CARTESIAN_POINT('Origin',(37.4,18.25,0.07)); #541535=CARTESIAN_POINT('Origin',(37.4,18.25,0.035)); #541536=CARTESIAN_POINT('Origin',(43.9,15.825,0.035)); #541537=CARTESIAN_POINT('',(43.9,15.825,0.035)); #541538=CARTESIAN_POINT('',(43.9,16.225,0.035)); #541539=CARTESIAN_POINT('',(43.9,15.825,0.035)); #541540=CARTESIAN_POINT('',(43.9,16.225,0.07)); #541541=CARTESIAN_POINT('',(43.9,16.225,0.035)); #541542=CARTESIAN_POINT('',(43.9,15.825,0.07)); #541543=CARTESIAN_POINT('',(43.9,15.825,0.07)); #541544=CARTESIAN_POINT('',(43.9,15.825,0.035)); #541545=CARTESIAN_POINT('Origin',(43.1,15.825,0.035)); #541546=CARTESIAN_POINT('',(43.1,15.825,0.035)); #541547=CARTESIAN_POINT('',(43.1,15.825,0.035)); #541548=CARTESIAN_POINT('',(43.1,15.825,0.07)); #541549=CARTESIAN_POINT('',(43.1,15.825,0.07)); #541550=CARTESIAN_POINT('',(43.1,15.825,0.035)); #541551=CARTESIAN_POINT('Origin',(43.1,16.225,0.035)); #541552=CARTESIAN_POINT('',(43.1,16.225,0.035)); #541553=CARTESIAN_POINT('',(43.1,16.225,0.035)); #541554=CARTESIAN_POINT('',(43.1,16.225,0.07)); #541555=CARTESIAN_POINT('',(43.1,16.225,0.07)); #541556=CARTESIAN_POINT('',(43.1,16.225,0.035)); #541557=CARTESIAN_POINT('Origin',(43.9,16.225,0.035)); #541558=CARTESIAN_POINT('',(43.9,16.225,0.035)); #541559=CARTESIAN_POINT('',(43.9,16.225,0.07)); #541560=CARTESIAN_POINT('Origin',(43.5,16.025,0.07)); #541561=CARTESIAN_POINT('Origin',(43.5,16.025,0.035)); #541562=CARTESIAN_POINT('Origin',(20.4445,41.3429,0.035)); #541563=CARTESIAN_POINT('',(20.4445,41.3429,0.035)); #541564=CARTESIAN_POINT('',(20.4445,42.1811,0.035)); #541565=CARTESIAN_POINT('',(20.4445,41.3429,0.035)); #541566=CARTESIAN_POINT('',(20.4445,42.1811,0.07)); #541567=CARTESIAN_POINT('',(20.4445,42.1811,0.035)); #541568=CARTESIAN_POINT('',(20.4445,41.3429,0.07)); #541569=CARTESIAN_POINT('',(20.4445,41.3429,0.07)); #541570=CARTESIAN_POINT('',(20.4445,41.3429,0.035)); #541571=CARTESIAN_POINT('Origin',(19.5555,41.3429,0.035)); #541572=CARTESIAN_POINT('',(19.5555,41.3429,0.035)); #541573=CARTESIAN_POINT('',(19.5555,41.3429,0.035)); #541574=CARTESIAN_POINT('',(19.5555,41.3429,0.07)); #541575=CARTESIAN_POINT('',(19.5555,41.3429,0.07)); #541576=CARTESIAN_POINT('',(19.5555,41.3429,0.035)); #541577=CARTESIAN_POINT('Origin',(19.5555,42.1811,0.035)); #541578=CARTESIAN_POINT('',(19.5555,42.1811,0.035)); #541579=CARTESIAN_POINT('',(19.5555,42.1811,0.035)); #541580=CARTESIAN_POINT('',(19.5555,42.1811,0.07)); #541581=CARTESIAN_POINT('',(19.5555,42.1811,0.07)); #541582=CARTESIAN_POINT('',(19.5555,42.1811,0.035)); #541583=CARTESIAN_POINT('Origin',(20.4445,42.1811,0.035)); #541584=CARTESIAN_POINT('',(20.4445,42.1811,0.035)); #541585=CARTESIAN_POINT('',(20.4445,42.1811,0.07)); #541586=CARTESIAN_POINT('Origin',(20.,41.762,0.07)); #541587=CARTESIAN_POINT('Origin',(20.,41.762,0.035)); #541588=CARTESIAN_POINT('Origin',(24.4445,41.3429,0.035)); #541589=CARTESIAN_POINT('',(24.4445,41.3429,0.035)); #541590=CARTESIAN_POINT('',(24.4445,42.1811,0.035)); #541591=CARTESIAN_POINT('',(24.4445,41.3429,0.035)); #541592=CARTESIAN_POINT('',(24.4445,42.1811,0.07)); #541593=CARTESIAN_POINT('',(24.4445,42.1811,0.035)); #541594=CARTESIAN_POINT('',(24.4445,41.3429,0.07)); #541595=CARTESIAN_POINT('',(24.4445,41.3429,0.07)); #541596=CARTESIAN_POINT('',(24.4445,41.3429,0.035)); #541597=CARTESIAN_POINT('Origin',(23.5555,41.3429,0.035)); #541598=CARTESIAN_POINT('',(23.5555,41.3429,0.035)); #541599=CARTESIAN_POINT('',(23.5555,41.3429,0.035)); #541600=CARTESIAN_POINT('',(23.5555,41.3429,0.07)); #541601=CARTESIAN_POINT('',(23.5555,41.3429,0.07)); #541602=CARTESIAN_POINT('',(23.5555,41.3429,0.035)); #541603=CARTESIAN_POINT('Origin',(23.5555,42.1811,0.035)); #541604=CARTESIAN_POINT('',(23.5555,42.1811,0.035)); #541605=CARTESIAN_POINT('',(23.5555,42.1811,0.035)); #541606=CARTESIAN_POINT('',(23.5555,42.1811,0.07)); #541607=CARTESIAN_POINT('',(23.5555,42.1811,0.07)); #541608=CARTESIAN_POINT('',(23.5555,42.1811,0.035)); #541609=CARTESIAN_POINT('Origin',(24.4445,42.1811,0.035)); #541610=CARTESIAN_POINT('',(24.4445,42.1811,0.035)); #541611=CARTESIAN_POINT('',(24.4445,42.1811,0.07)); #541612=CARTESIAN_POINT('Origin',(24.,41.762,0.07)); #541613=CARTESIAN_POINT('Origin',(24.,41.762,0.035)); #541614=CARTESIAN_POINT('Origin',(48.4445,41.3429,0.035)); #541615=CARTESIAN_POINT('',(48.4445,41.3429,0.035)); #541616=CARTESIAN_POINT('',(48.4445,42.1811,0.035)); #541617=CARTESIAN_POINT('',(48.4445,41.3429,0.035)); #541618=CARTESIAN_POINT('',(48.4445,42.1811,0.07)); #541619=CARTESIAN_POINT('',(48.4445,42.1811,0.035)); #541620=CARTESIAN_POINT('',(48.4445,41.3429,0.07)); #541621=CARTESIAN_POINT('',(48.4445,41.3429,0.07)); #541622=CARTESIAN_POINT('',(48.4445,41.3429,0.035)); #541623=CARTESIAN_POINT('Origin',(47.5555,41.3429,0.035)); #541624=CARTESIAN_POINT('',(47.5555,41.3429,0.035)); #541625=CARTESIAN_POINT('',(47.5555,41.3429,0.035)); #541626=CARTESIAN_POINT('',(47.5555,41.3429,0.07)); #541627=CARTESIAN_POINT('',(47.5555,41.3429,0.07)); #541628=CARTESIAN_POINT('',(47.5555,41.3429,0.035)); #541629=CARTESIAN_POINT('Origin',(47.5555,42.1811,0.035)); #541630=CARTESIAN_POINT('',(47.5555,42.1811,0.035)); #541631=CARTESIAN_POINT('',(47.5555,42.1811,0.035)); #541632=CARTESIAN_POINT('',(47.5555,42.1811,0.07)); #541633=CARTESIAN_POINT('',(47.5555,42.1811,0.07)); #541634=CARTESIAN_POINT('',(47.5555,42.1811,0.035)); #541635=CARTESIAN_POINT('Origin',(48.4445,42.1811,0.035)); #541636=CARTESIAN_POINT('',(48.4445,42.1811,0.035)); #541637=CARTESIAN_POINT('',(48.4445,42.1811,0.07)); #541638=CARTESIAN_POINT('Origin',(48.,41.762,0.07)); #541639=CARTESIAN_POINT('Origin',(48.,41.762,0.035)); #541640=CARTESIAN_POINT('Origin',(24.1811,25.5555,0.035)); #541641=CARTESIAN_POINT('',(24.1811,25.5555,0.035)); #541642=CARTESIAN_POINT('',(24.1811,26.4445,0.035)); #541643=CARTESIAN_POINT('',(24.1811,25.5555,0.035)); #541644=CARTESIAN_POINT('',(24.1811,26.4445,0.07)); #541645=CARTESIAN_POINT('',(24.1811,26.4445,0.035)); #541646=CARTESIAN_POINT('',(24.1811,25.5555,0.07)); #541647=CARTESIAN_POINT('',(24.1811,25.5555,0.07)); #541648=CARTESIAN_POINT('',(24.1811,25.5555,0.035)); #541649=CARTESIAN_POINT('Origin',(23.3429,25.5555,0.035)); #541650=CARTESIAN_POINT('',(23.3429,25.5555,0.035)); #541651=CARTESIAN_POINT('',(23.3429,25.5555,0.035)); #541652=CARTESIAN_POINT('',(23.3429,25.5555,0.07)); #541653=CARTESIAN_POINT('',(23.3429,25.5555,0.07)); #541654=CARTESIAN_POINT('',(23.3429,25.5555,0.035)); #541655=CARTESIAN_POINT('Origin',(23.3429,26.4445,0.035)); #541656=CARTESIAN_POINT('',(23.3429,26.4445,0.035)); #541657=CARTESIAN_POINT('',(23.3429,26.4445,0.035)); #541658=CARTESIAN_POINT('',(23.3429,26.4445,0.07)); #541659=CARTESIAN_POINT('',(23.3429,26.4445,0.07)); #541660=CARTESIAN_POINT('',(23.3429,26.4445,0.035)); #541661=CARTESIAN_POINT('Origin',(24.1811,26.4445,0.035)); #541662=CARTESIAN_POINT('',(24.1811,26.4445,0.035)); #541663=CARTESIAN_POINT('',(24.1811,26.4445,0.07)); #541664=CARTESIAN_POINT('Origin',(23.762,26.,0.07)); #541665=CARTESIAN_POINT('Origin',(23.762,26.,0.035)); #541666=CARTESIAN_POINT('Origin',(30.9125,18.6125,0.035)); #541667=CARTESIAN_POINT('',(30.9125,18.6125,0.035)); #541668=CARTESIAN_POINT('',(30.9125,18.8875,0.035)); #541669=CARTESIAN_POINT('',(30.9125,18.6125,0.035)); #541670=CARTESIAN_POINT('',(30.9125,18.8875,0.07)); #541671=CARTESIAN_POINT('',(30.9125,18.8875,0.035)); #541672=CARTESIAN_POINT('',(30.9125,18.6125,0.07)); #541673=CARTESIAN_POINT('',(30.9125,18.6125,0.07)); #541674=CARTESIAN_POINT('',(30.9125,18.6125,0.035)); #541675=CARTESIAN_POINT('Origin',(30.2875,18.6125,0.035)); #541676=CARTESIAN_POINT('',(30.2875,18.6125,0.035)); #541677=CARTESIAN_POINT('',(30.2875,18.6125,0.035)); #541678=CARTESIAN_POINT('',(30.2875,18.6125,0.07)); #541679=CARTESIAN_POINT('',(30.2875,18.6125,0.07)); #541680=CARTESIAN_POINT('',(30.2875,18.6125,0.035)); #541681=CARTESIAN_POINT('Origin',(30.2875,18.8875,0.035)); #541682=CARTESIAN_POINT('',(30.2875,18.8875,0.035)); #541683=CARTESIAN_POINT('',(30.2875,18.8875,0.035)); #541684=CARTESIAN_POINT('',(30.2875,18.8875,0.07)); #541685=CARTESIAN_POINT('',(30.2875,18.8875,0.07)); #541686=CARTESIAN_POINT('',(30.2875,18.8875,0.035)); #541687=CARTESIAN_POINT('Origin',(30.9125,18.8875,0.035)); #541688=CARTESIAN_POINT('',(30.9125,18.8875,0.035)); #541689=CARTESIAN_POINT('',(30.9125,18.8875,0.07)); #541690=CARTESIAN_POINT('Origin',(30.6,18.75,0.07)); #541691=CARTESIAN_POINT('Origin',(30.6,18.75,0.035)); #541692=CARTESIAN_POINT('Origin',(18.1811,50.0555,0.035)); #541693=CARTESIAN_POINT('',(18.1811,50.0555,0.035)); #541694=CARTESIAN_POINT('',(18.1811,50.9445,0.035)); #541695=CARTESIAN_POINT('',(18.1811,50.0555,0.035)); #541696=CARTESIAN_POINT('',(18.1811,50.9445,0.07)); #541697=CARTESIAN_POINT('',(18.1811,50.9445,0.035)); #541698=CARTESIAN_POINT('',(18.1811,50.0555,0.07)); #541699=CARTESIAN_POINT('',(18.1811,50.0555,0.07)); #541700=CARTESIAN_POINT('',(18.1811,50.0555,0.035)); #541701=CARTESIAN_POINT('Origin',(17.3429,50.0555,0.035)); #541702=CARTESIAN_POINT('',(17.3429,50.0555,0.035)); #541703=CARTESIAN_POINT('',(17.3429,50.0555,0.035)); #541704=CARTESIAN_POINT('',(17.3429,50.0555,0.07)); #541705=CARTESIAN_POINT('',(17.3429,50.0555,0.07)); #541706=CARTESIAN_POINT('',(17.3429,50.0555,0.035)); #541707=CARTESIAN_POINT('Origin',(17.3429,50.9445,0.035)); #541708=CARTESIAN_POINT('',(17.3429,50.9445,0.035)); #541709=CARTESIAN_POINT('',(17.3429,50.9445,0.035)); #541710=CARTESIAN_POINT('',(17.3429,50.9445,0.07)); #541711=CARTESIAN_POINT('',(17.3429,50.9445,0.07)); #541712=CARTESIAN_POINT('',(17.3429,50.9445,0.035)); #541713=CARTESIAN_POINT('Origin',(18.1811,50.9445,0.035)); #541714=CARTESIAN_POINT('',(18.1811,50.9445,0.035)); #541715=CARTESIAN_POINT('',(18.1811,50.9445,0.07)); #541716=CARTESIAN_POINT('Origin',(17.762,50.5,0.07)); #541717=CARTESIAN_POINT('Origin',(17.762,50.5,0.035)); #541718=CARTESIAN_POINT('Origin',(31.3875,20.0875,0.035)); #541719=CARTESIAN_POINT('',(31.3875,20.0875,0.035)); #541720=CARTESIAN_POINT('',(31.3875,20.7125,0.035)); #541721=CARTESIAN_POINT('',(31.3875,20.0875,0.035)); #541722=CARTESIAN_POINT('',(31.3875,20.7125,0.07)); #541723=CARTESIAN_POINT('',(31.3875,20.7125,0.035)); #541724=CARTESIAN_POINT('',(31.3875,20.0875,0.07)); #541725=CARTESIAN_POINT('',(31.3875,20.0875,0.07)); #541726=CARTESIAN_POINT('',(31.3875,20.0875,0.035)); #541727=CARTESIAN_POINT('Origin',(31.1125,20.0875,0.035)); #541728=CARTESIAN_POINT('',(31.1125,20.0875,0.035)); #541729=CARTESIAN_POINT('',(31.1125,20.0875,0.035)); #541730=CARTESIAN_POINT('',(31.1125,20.0875,0.07)); #541731=CARTESIAN_POINT('',(31.1125,20.0875,0.07)); #541732=CARTESIAN_POINT('',(31.1125,20.0875,0.035)); #541733=CARTESIAN_POINT('Origin',(31.1125,20.7125,0.035)); #541734=CARTESIAN_POINT('',(31.1125,20.7125,0.035)); #541735=CARTESIAN_POINT('',(31.1125,20.7125,0.035)); #541736=CARTESIAN_POINT('',(31.1125,20.7125,0.07)); #541737=CARTESIAN_POINT('',(31.1125,20.7125,0.07)); #541738=CARTESIAN_POINT('',(31.1125,20.7125,0.035)); #541739=CARTESIAN_POINT('Origin',(31.3875,20.7125,0.035)); #541740=CARTESIAN_POINT('',(31.3875,20.7125,0.035)); #541741=CARTESIAN_POINT('',(31.3875,20.7125,0.07)); #541742=CARTESIAN_POINT('Origin',(31.25,20.4,0.07)); #541743=CARTESIAN_POINT('Origin',(31.25,20.4,0.035)); #541744=CARTESIAN_POINT('Origin',(16.625,47.75,-200.)); #541745=CARTESIAN_POINT('',(16.7428972009846,47.8255,0.0349999999999895)); #541746=CARTESIAN_POINT('',(16.7428972009846,47.8255,0.0700000000000145)); #541747=CARTESIAN_POINT('',(16.7428972009846,47.8255,-200.)); #541748=CARTESIAN_POINT('',(16.5071027990154,47.8255,0.0349999999999895)); #541749=CARTESIAN_POINT('Origin',(16.625,47.75,0.0349999999999895)); #541750=CARTESIAN_POINT('',(16.5071027990154,47.8255,0.0700000000000145)); #541751=CARTESIAN_POINT('',(16.5071027990154,47.8255,-200.)); #541752=CARTESIAN_POINT('Origin',(16.625,47.75,0.0700000000000145)); #541753=CARTESIAN_POINT('Origin',(15.75,47.75,-200.)); #541754=CARTESIAN_POINT('',(15.8678972009846,47.8255,0.0349999999999895)); #541755=CARTESIAN_POINT('',(15.8678972009846,47.8255,0.0700000000000145)); #541756=CARTESIAN_POINT('',(15.8678972009846,47.8255,-200.)); #541757=CARTESIAN_POINT('',(15.6858,47.6255879427065,0.0349999999999895)); #541758=CARTESIAN_POINT('Origin',(15.75,47.75,0.0349999999999895)); #541759=CARTESIAN_POINT('',(15.6858,47.6255879427065,0.0700000000000145)); #541760=CARTESIAN_POINT('',(15.6858,47.6255879427065,-200.)); #541761=CARTESIAN_POINT('Origin',(15.75,47.75,0.0700000000000145)); #541762=CARTESIAN_POINT('Origin',(16.625,47.,-200.)); #541763=CARTESIAN_POINT('',(16.485,47.,0.0349999999999895)); #541764=CARTESIAN_POINT('Origin',(16.625,47.,0.0349999999999895)); #541765=CARTESIAN_POINT('',(16.485,47.,0.0700000000000145)); #541766=CARTESIAN_POINT('',(16.485,47.,-200.)); #541767=CARTESIAN_POINT('Origin',(16.625,47.,0.0700000000000145)); #541768=CARTESIAN_POINT('Origin',(16.625,46.25,-200.)); #541769=CARTESIAN_POINT('',(16.5071027990154,46.1745,0.0349999999999895)); #541770=CARTESIAN_POINT('',(16.5071027990154,46.1745,0.0700000000000145)); #541771=CARTESIAN_POINT('',(16.5071027990154,46.1745,-200.)); #541772=CARTESIAN_POINT('',(16.7428972009846,46.1745,0.0349999999999895)); #541773=CARTESIAN_POINT('Origin',(16.625,46.25,0.0349999999999895)); #541774=CARTESIAN_POINT('',(16.7428972009846,46.1745,0.0700000000000145)); #541775=CARTESIAN_POINT('',(16.7428972009846,46.1745,-200.)); #541776=CARTESIAN_POINT('Origin',(16.625,46.25,0.0700000000000145)); #541777=CARTESIAN_POINT('Origin',(17.5,47.75,-200.)); #541778=CARTESIAN_POINT('',(17.3622,47.7252783495696,0.0349999999999895)); #541779=CARTESIAN_POINT('',(17.3622,47.7252783495696,0.0700000000000145)); #541780=CARTESIAN_POINT('',(17.3622,47.7252783495696,-200.)); #541781=CARTESIAN_POINT('',(17.3622,47.7747216504304,0.0349999999999895)); #541782=CARTESIAN_POINT('Origin',(17.5,47.75,0.0349999999999895)); #541783=CARTESIAN_POINT('',(17.3622,47.7747216504304,0.0700000000000145)); #541784=CARTESIAN_POINT('',(17.3622,47.7747216504304,-200.)); #541785=CARTESIAN_POINT('Origin',(17.5,47.75,0.0700000000000145)); #541786=CARTESIAN_POINT('Origin',(17.5,46.25,-200.)); #541787=CARTESIAN_POINT('',(17.3622,46.2252783495696,0.0349999999999895)); #541788=CARTESIAN_POINT('',(17.3622,46.2252783495696,0.0700000000000145)); #541789=CARTESIAN_POINT('',(17.3622,46.2252783495696,-200.)); #541790=CARTESIAN_POINT('',(17.3622,46.2747216504304,0.0349999999999895)); #541791=CARTESIAN_POINT('Origin',(17.5,46.25,0.0349999999999895)); #541792=CARTESIAN_POINT('',(17.3622,46.2747216504304,0.0700000000000145)); #541793=CARTESIAN_POINT('',(17.3622,46.2747216504304,-200.)); #541794=CARTESIAN_POINT('Origin',(17.5,46.25,0.0700000000000145)); #541795=CARTESIAN_POINT('Origin',(17.5,47.,-200.)); #541796=CARTESIAN_POINT('',(17.3622,46.9752783495696,0.0349999999999895)); #541797=CARTESIAN_POINT('',(17.3622,46.9752783495696,0.0700000000000145)); #541798=CARTESIAN_POINT('',(17.3622,46.9752783495696,-200.)); #541799=CARTESIAN_POINT('',(17.3622,47.0247216504304,0.0349999999999895)); #541800=CARTESIAN_POINT('Origin',(17.5,47.,0.0349999999999895)); #541801=CARTESIAN_POINT('',(17.3622,47.0247216504304,0.0700000000000145)); #541802=CARTESIAN_POINT('',(17.3622,47.0247216504304,-200.)); #541803=CARTESIAN_POINT('Origin',(17.5,47.,0.0700000000000145)); #541804=CARTESIAN_POINT('Origin',(15.75,46.25,-200.)); #541805=CARTESIAN_POINT('',(15.6858,46.3744120572935,0.0349999999999895)); #541806=CARTESIAN_POINT('',(15.6858,46.3744120572935,0.0700000000000145)); #541807=CARTESIAN_POINT('',(15.6858,46.3744120572935,-200.)); #541808=CARTESIAN_POINT('',(15.8678972009846,46.1745,0.0349999999999895)); #541809=CARTESIAN_POINT('Origin',(15.75,46.25,0.0349999999999895)); #541810=CARTESIAN_POINT('',(15.8678972009846,46.1745,0.0700000000000145)); #541811=CARTESIAN_POINT('',(15.8678972009846,46.1745,-200.)); #541812=CARTESIAN_POINT('Origin',(15.75,46.25,0.0700000000000145)); #541813=CARTESIAN_POINT('Origin',(15.75,47.,-200.)); #541814=CARTESIAN_POINT('',(15.6858,46.8755879427065,0.0700000000000145)); #541815=CARTESIAN_POINT('',(15.6858,46.8755879427065,0.0349999999999895)); #541816=CARTESIAN_POINT('',(15.6858,46.8755879427065,-200.)); #541817=CARTESIAN_POINT('',(15.6858,47.1244120572935,0.0700000000000145)); #541818=CARTESIAN_POINT('Origin',(15.75,47.,0.0700000000000145)); #541819=CARTESIAN_POINT('',(15.6858,47.1244120572935,0.0349999999999895)); #541820=CARTESIAN_POINT('',(15.6858,47.1244120572935,-200.)); #541821=CARTESIAN_POINT('Origin',(15.75,47.,0.0349999999999895)); #541822=CARTESIAN_POINT('Origin',(17.3622,47.8255,0.035)); #541823=CARTESIAN_POINT('',(17.3622,47.8255,0.07)); #541824=CARTESIAN_POINT('',(17.3622,47.8255,0.07)); #541825=CARTESIAN_POINT('',(17.3622,47.8255,0.035)); #541826=CARTESIAN_POINT('',(17.3622,47.8255,0.035)); #541827=CARTESIAN_POINT('',(17.3622,47.8255,0.035)); #541828=CARTESIAN_POINT('Origin',(17.3622,47.8255,0.035)); #541829=CARTESIAN_POINT('',(17.3622,47.8255,0.035)); #541830=CARTESIAN_POINT('',(17.3622,47.8255,0.07)); #541831=CARTESIAN_POINT('Origin',(17.3622,46.1745,0.035)); #541832=CARTESIAN_POINT('',(17.3622,46.1745,0.07)); #541833=CARTESIAN_POINT('',(17.3622,46.1745,0.07)); #541834=CARTESIAN_POINT('',(17.3622,46.1745,0.035)); #541835=CARTESIAN_POINT('',(17.3622,46.1745,0.035)); #541836=CARTESIAN_POINT('',(17.3622,46.1745,0.035)); #541837=CARTESIAN_POINT('Origin',(17.3622,46.1745,0.035)); #541838=CARTESIAN_POINT('',(17.3622,46.1745,0.035)); #541839=CARTESIAN_POINT('',(17.3622,46.1745,0.07)); #541840=CARTESIAN_POINT('Origin',(17.3622,46.1745,0.035)); #541841=CARTESIAN_POINT('',(17.3622,46.1745,0.07)); #541842=CARTESIAN_POINT('',(17.3622,46.1745,0.035)); #541843=CARTESIAN_POINT('Origin',(17.3622,46.1745,0.035)); #541844=CARTESIAN_POINT('',(17.3622,46.1745,0.035)); #541845=CARTESIAN_POINT('',(17.3622,46.1745,0.07)); #541846=CARTESIAN_POINT('Origin',(15.6858,46.1745,0.035)); #541847=CARTESIAN_POINT('',(15.6858,46.1745,0.07)); #541848=CARTESIAN_POINT('',(15.6858,46.1745,0.035)); #541849=CARTESIAN_POINT('Origin',(15.6858,46.1745,0.035)); #541850=CARTESIAN_POINT('',(15.6858,46.1745,0.035)); #541851=CARTESIAN_POINT('',(15.6858,46.1745,0.07)); #541852=CARTESIAN_POINT('Origin',(15.6858,47.8255,0.035)); #541853=CARTESIAN_POINT('',(15.6858,47.8255,0.07)); #541854=CARTESIAN_POINT('',(15.6858,47.8255,0.035)); #541855=CARTESIAN_POINT('Origin',(15.6858,47.8255,0.035)); #541856=CARTESIAN_POINT('',(15.6858,47.8255,0.035)); #541857=CARTESIAN_POINT('',(15.6858,47.8255,0.07)); #541858=CARTESIAN_POINT('Origin',(16.524,47.,0.035)); #541859=CARTESIAN_POINT('Origin',(16.524,47.,0.07)); #541860=CARTESIAN_POINT('Origin',(30.762,60.25,-200.)); #541861=CARTESIAN_POINT('',(30.622,60.25,0.0349999999999895)); #541862=CARTESIAN_POINT('Origin',(30.762,60.25,0.0349999999999895)); #541863=CARTESIAN_POINT('',(30.622,60.25,0.0700000000000145)); #541864=CARTESIAN_POINT('',(30.622,60.25,-200.)); #541865=CARTESIAN_POINT('Origin',(30.762,60.25,0.0700000000000145)); #541866=CARTESIAN_POINT('Origin',(31.1811,59.8055,0.035)); #541867=CARTESIAN_POINT('',(31.1811,59.8055,0.035)); #541868=CARTESIAN_POINT('',(31.1811,60.6945,0.035)); #541869=CARTESIAN_POINT('',(31.1811,59.8055,0.035)); #541870=CARTESIAN_POINT('',(31.1811,60.6945,0.07)); #541871=CARTESIAN_POINT('',(31.1811,60.6945,0.035)); #541872=CARTESIAN_POINT('',(31.1811,59.8055,0.07)); #541873=CARTESIAN_POINT('',(31.1811,59.8055,0.07)); #541874=CARTESIAN_POINT('',(31.1811,59.8055,0.035)); #541875=CARTESIAN_POINT('Origin',(30.3429,59.8055,0.035)); #541876=CARTESIAN_POINT('',(30.3429,59.8055,0.035)); #541877=CARTESIAN_POINT('',(30.3429,59.8055,0.035)); #541878=CARTESIAN_POINT('',(30.3429,59.8055,0.07)); #541879=CARTESIAN_POINT('',(30.3429,59.8055,0.07)); #541880=CARTESIAN_POINT('',(30.3429,59.8055,0.035)); #541881=CARTESIAN_POINT('Origin',(30.3429,60.6945,0.035)); #541882=CARTESIAN_POINT('',(30.3429,60.6945,0.035)); #541883=CARTESIAN_POINT('',(30.3429,60.6945,0.035)); #541884=CARTESIAN_POINT('',(30.3429,60.6945,0.07)); #541885=CARTESIAN_POINT('',(30.3429,60.6945,0.07)); #541886=CARTESIAN_POINT('',(30.3429,60.6945,0.035)); #541887=CARTESIAN_POINT('Origin',(31.1811,60.6945,0.035)); #541888=CARTESIAN_POINT('',(31.1811,60.6945,0.035)); #541889=CARTESIAN_POINT('',(31.1811,60.6945,0.07)); #541890=CARTESIAN_POINT('Origin',(30.762,60.25,0.07)); #541891=CARTESIAN_POINT('Origin',(30.762,60.25,0.035)); #541892=CARTESIAN_POINT('Origin',(29.6571,59.8055,0.035)); #541893=CARTESIAN_POINT('',(29.6571,59.8055,0.035)); #541894=CARTESIAN_POINT('',(29.6571,60.6945,0.035)); #541895=CARTESIAN_POINT('',(29.6571,59.8055,0.035)); #541896=CARTESIAN_POINT('',(29.6571,60.6945,0.07)); #541897=CARTESIAN_POINT('',(29.6571,60.6945,0.035)); #541898=CARTESIAN_POINT('',(29.6571,59.8055,0.07)); #541899=CARTESIAN_POINT('',(29.6571,59.8055,0.07)); #541900=CARTESIAN_POINT('',(29.6571,59.8055,0.035)); #541901=CARTESIAN_POINT('Origin',(28.8189,59.8055,0.035)); #541902=CARTESIAN_POINT('',(28.8189,59.8055,0.035)); #541903=CARTESIAN_POINT('',(28.8189,59.8055,0.035)); #541904=CARTESIAN_POINT('',(28.8189,59.8055,0.07)); #541905=CARTESIAN_POINT('',(28.8189,59.8055,0.07)); #541906=CARTESIAN_POINT('',(28.8189,59.8055,0.035)); #541907=CARTESIAN_POINT('Origin',(28.8189,60.6945,0.035)); #541908=CARTESIAN_POINT('',(28.8189,60.6945,0.035)); #541909=CARTESIAN_POINT('',(28.8189,60.6945,0.035)); #541910=CARTESIAN_POINT('',(28.8189,60.6945,0.07)); #541911=CARTESIAN_POINT('',(28.8189,60.6945,0.07)); #541912=CARTESIAN_POINT('',(28.8189,60.6945,0.035)); #541913=CARTESIAN_POINT('Origin',(29.6571,60.6945,0.035)); #541914=CARTESIAN_POINT('',(29.6571,60.6945,0.035)); #541915=CARTESIAN_POINT('',(29.6571,60.6945,0.07)); #541916=CARTESIAN_POINT('Origin',(29.238,60.25,0.07)); #541917=CARTESIAN_POINT('Origin',(29.238,60.25,0.035)); #541918=CARTESIAN_POINT('Origin',(37.7125,16.1125,0.035)); #541919=CARTESIAN_POINT('',(37.7125,16.1125,0.035)); #541920=CARTESIAN_POINT('',(37.7125,16.3875,0.035)); #541921=CARTESIAN_POINT('',(37.7125,16.1125,0.035)); #541922=CARTESIAN_POINT('',(37.7125,16.3875,0.07)); #541923=CARTESIAN_POINT('',(37.7125,16.3875,0.035)); #541924=CARTESIAN_POINT('',(37.7125,16.1125,0.07)); #541925=CARTESIAN_POINT('',(37.7125,16.1125,0.07)); #541926=CARTESIAN_POINT('',(37.7125,16.1125,0.035)); #541927=CARTESIAN_POINT('Origin',(37.0875,16.1125,0.035)); #541928=CARTESIAN_POINT('',(37.0875,16.1125,0.035)); #541929=CARTESIAN_POINT('',(37.0875,16.1125,0.035)); #541930=CARTESIAN_POINT('',(37.0875,16.1125,0.07)); #541931=CARTESIAN_POINT('',(37.0875,16.1125,0.07)); #541932=CARTESIAN_POINT('',(37.0875,16.1125,0.035)); #541933=CARTESIAN_POINT('Origin',(37.0875,16.3875,0.035)); #541934=CARTESIAN_POINT('',(37.0875,16.3875,0.035)); #541935=CARTESIAN_POINT('',(37.0875,16.3875,0.035)); #541936=CARTESIAN_POINT('',(37.0875,16.3875,0.07)); #541937=CARTESIAN_POINT('',(37.0875,16.3875,0.07)); #541938=CARTESIAN_POINT('',(37.0875,16.3875,0.035)); #541939=CARTESIAN_POINT('Origin',(37.7125,16.3875,0.035)); #541940=CARTESIAN_POINT('',(37.7125,16.3875,0.035)); #541941=CARTESIAN_POINT('',(37.7125,16.3875,0.07)); #541942=CARTESIAN_POINT('Origin',(37.4,16.25,0.07)); #541943=CARTESIAN_POINT('Origin',(37.4,16.25,0.035)); #541944=CARTESIAN_POINT('Origin',(54.6571,50.0555,0.035)); #541945=CARTESIAN_POINT('',(54.6571,50.0555,0.035)); #541946=CARTESIAN_POINT('',(54.6571,50.9445,0.035)); #541947=CARTESIAN_POINT('',(54.6571,50.0555,0.035)); #541948=CARTESIAN_POINT('',(54.6571,50.9445,0.07)); #541949=CARTESIAN_POINT('',(54.6571,50.9445,0.035)); #541950=CARTESIAN_POINT('',(54.6571,50.0555,0.07)); #541951=CARTESIAN_POINT('',(54.6571,50.0555,0.07)); #541952=CARTESIAN_POINT('',(54.6571,50.0555,0.035)); #541953=CARTESIAN_POINT('Origin',(53.8189,50.0555,0.035)); #541954=CARTESIAN_POINT('',(53.8189,50.0555,0.035)); #541955=CARTESIAN_POINT('',(53.8189,50.0555,0.035)); #541956=CARTESIAN_POINT('',(53.8189,50.0555,0.07)); #541957=CARTESIAN_POINT('',(53.8189,50.0555,0.07)); #541958=CARTESIAN_POINT('',(53.8189,50.0555,0.035)); #541959=CARTESIAN_POINT('Origin',(53.8189,50.9445,0.035)); #541960=CARTESIAN_POINT('',(53.8189,50.9445,0.035)); #541961=CARTESIAN_POINT('',(53.8189,50.9445,0.035)); #541962=CARTESIAN_POINT('',(53.8189,50.9445,0.07)); #541963=CARTESIAN_POINT('',(53.8189,50.9445,0.07)); #541964=CARTESIAN_POINT('',(53.8189,50.9445,0.035)); #541965=CARTESIAN_POINT('Origin',(54.6571,50.9445,0.035)); #541966=CARTESIAN_POINT('',(54.6571,50.9445,0.035)); #541967=CARTESIAN_POINT('',(54.6571,50.9445,0.07)); #541968=CARTESIAN_POINT('Origin',(54.238,50.5,0.07)); #541969=CARTESIAN_POINT('Origin',(54.238,50.5,0.035)); #541970=CARTESIAN_POINT('Origin',(36.3875,20.0875,0.035)); #541971=CARTESIAN_POINT('',(36.3875,20.0875,0.035)); #541972=CARTESIAN_POINT('',(36.3875,20.7125,0.035)); #541973=CARTESIAN_POINT('',(36.3875,20.0875,0.035)); #541974=CARTESIAN_POINT('',(36.3875,20.7125,0.07)); #541975=CARTESIAN_POINT('',(36.3875,20.7125,0.035)); #541976=CARTESIAN_POINT('',(36.3875,20.0875,0.07)); #541977=CARTESIAN_POINT('',(36.3875,20.0875,0.07)); #541978=CARTESIAN_POINT('',(36.3875,20.0875,0.035)); #541979=CARTESIAN_POINT('Origin',(36.1125,20.0875,0.035)); #541980=CARTESIAN_POINT('',(36.1125,20.0875,0.035)); #541981=CARTESIAN_POINT('',(36.1125,20.0875,0.035)); #541982=CARTESIAN_POINT('',(36.1125,20.0875,0.07)); #541983=CARTESIAN_POINT('',(36.1125,20.0875,0.07)); #541984=CARTESIAN_POINT('',(36.1125,20.0875,0.035)); #541985=CARTESIAN_POINT('Origin',(36.1125,20.7125,0.035)); #541986=CARTESIAN_POINT('',(36.1125,20.7125,0.035)); #541987=CARTESIAN_POINT('',(36.1125,20.7125,0.035)); #541988=CARTESIAN_POINT('',(36.1125,20.7125,0.07)); #541989=CARTESIAN_POINT('',(36.1125,20.7125,0.07)); #541990=CARTESIAN_POINT('',(36.1125,20.7125,0.035)); #541991=CARTESIAN_POINT('Origin',(36.3875,20.7125,0.035)); #541992=CARTESIAN_POINT('',(36.3875,20.7125,0.035)); #541993=CARTESIAN_POINT('',(36.3875,20.7125,0.07)); #541994=CARTESIAN_POINT('Origin',(36.25,20.4,0.07)); #541995=CARTESIAN_POINT('Origin',(36.25,20.4,0.035)); #541996=CARTESIAN_POINT('Origin',(52.1811,50.0555,0.035)); #541997=CARTESIAN_POINT('',(52.1811,50.0555,0.035)); #541998=CARTESIAN_POINT('',(52.1811,50.9445,0.035)); #541999=CARTESIAN_POINT('',(52.1811,50.0555,0.035)); #542000=CARTESIAN_POINT('',(52.1811,50.9445,0.07)); #542001=CARTESIAN_POINT('',(52.1811,50.9445,0.035)); #542002=CARTESIAN_POINT('',(52.1811,50.0555,0.07)); #542003=CARTESIAN_POINT('',(52.1811,50.0555,0.07)); #542004=CARTESIAN_POINT('',(52.1811,50.0555,0.035)); #542005=CARTESIAN_POINT('Origin',(51.3429,50.0555,0.035)); #542006=CARTESIAN_POINT('',(51.3429,50.0555,0.035)); #542007=CARTESIAN_POINT('',(51.3429,50.0555,0.035)); #542008=CARTESIAN_POINT('',(51.3429,50.0555,0.07)); #542009=CARTESIAN_POINT('',(51.3429,50.0555,0.07)); #542010=CARTESIAN_POINT('',(51.3429,50.0555,0.035)); #542011=CARTESIAN_POINT('Origin',(51.3429,50.9445,0.035)); #542012=CARTESIAN_POINT('',(51.3429,50.9445,0.035)); #542013=CARTESIAN_POINT('',(51.3429,50.9445,0.035)); #542014=CARTESIAN_POINT('',(51.3429,50.9445,0.07)); #542015=CARTESIAN_POINT('',(51.3429,50.9445,0.07)); #542016=CARTESIAN_POINT('',(51.3429,50.9445,0.035)); #542017=CARTESIAN_POINT('Origin',(52.1811,50.9445,0.035)); #542018=CARTESIAN_POINT('',(52.1811,50.9445,0.035)); #542019=CARTESIAN_POINT('',(52.1811,50.9445,0.07)); #542020=CARTESIAN_POINT('Origin',(51.762,50.5,0.07)); #542021=CARTESIAN_POINT('Origin',(51.762,50.5,0.035)); #542022=CARTESIAN_POINT('Origin',(34.762,60.25,-200.)); #542023=CARTESIAN_POINT('',(34.622,60.25,0.0349999999999895)); #542024=CARTESIAN_POINT('Origin',(34.762,60.25,0.0349999999999895)); #542025=CARTESIAN_POINT('',(34.622,60.25,0.0700000000000145)); #542026=CARTESIAN_POINT('',(34.622,60.25,-200.)); #542027=CARTESIAN_POINT('Origin',(34.762,60.25,0.0700000000000145)); #542028=CARTESIAN_POINT('Origin',(35.1811,59.8055,0.035)); #542029=CARTESIAN_POINT('',(35.1811,59.8055,0.035)); #542030=CARTESIAN_POINT('',(35.1811,60.6945,0.035)); #542031=CARTESIAN_POINT('',(35.1811,59.8055,0.035)); #542032=CARTESIAN_POINT('',(35.1811,60.6945,0.07)); #542033=CARTESIAN_POINT('',(35.1811,60.6945,0.035)); #542034=CARTESIAN_POINT('',(35.1811,59.8055,0.07)); #542035=CARTESIAN_POINT('',(35.1811,59.8055,0.07)); #542036=CARTESIAN_POINT('',(35.1811,59.8055,0.035)); #542037=CARTESIAN_POINT('Origin',(34.3429,59.8055,0.035)); #542038=CARTESIAN_POINT('',(34.3429,59.8055,0.035)); #542039=CARTESIAN_POINT('',(34.3429,59.8055,0.035)); #542040=CARTESIAN_POINT('',(34.3429,59.8055,0.07)); #542041=CARTESIAN_POINT('',(34.3429,59.8055,0.07)); #542042=CARTESIAN_POINT('',(34.3429,59.8055,0.035)); #542043=CARTESIAN_POINT('Origin',(34.3429,60.6945,0.035)); #542044=CARTESIAN_POINT('',(34.3429,60.6945,0.035)); #542045=CARTESIAN_POINT('',(34.3429,60.6945,0.035)); #542046=CARTESIAN_POINT('',(34.3429,60.6945,0.07)); #542047=CARTESIAN_POINT('',(34.3429,60.6945,0.07)); #542048=CARTESIAN_POINT('',(34.3429,60.6945,0.035)); #542049=CARTESIAN_POINT('Origin',(35.1811,60.6945,0.035)); #542050=CARTESIAN_POINT('',(35.1811,60.6945,0.035)); #542051=CARTESIAN_POINT('',(35.1811,60.6945,0.07)); #542052=CARTESIAN_POINT('Origin',(34.762,60.25,0.07)); #542053=CARTESIAN_POINT('Origin',(34.762,60.25,0.035)); #542054=CARTESIAN_POINT('Origin',(38.762,60.25,-200.)); #542055=CARTESIAN_POINT('',(38.622,60.25,0.0349999999999895)); #542056=CARTESIAN_POINT('Origin',(38.762,60.25,0.0349999999999895)); #542057=CARTESIAN_POINT('',(38.622,60.25,0.0700000000000145)); #542058=CARTESIAN_POINT('',(38.622,60.25,-200.)); #542059=CARTESIAN_POINT('Origin',(38.762,60.25,0.0700000000000145)); #542060=CARTESIAN_POINT('Origin',(39.1811,59.8055,0.035)); #542061=CARTESIAN_POINT('',(39.1811,59.8055,0.035)); #542062=CARTESIAN_POINT('',(39.1811,60.6945,0.035)); #542063=CARTESIAN_POINT('',(39.1811,59.8055,0.035)); #542064=CARTESIAN_POINT('',(39.1811,60.6945,0.07)); #542065=CARTESIAN_POINT('',(39.1811,60.6945,0.035)); #542066=CARTESIAN_POINT('',(39.1811,59.8055,0.07)); #542067=CARTESIAN_POINT('',(39.1811,59.8055,0.07)); #542068=CARTESIAN_POINT('',(39.1811,59.8055,0.035)); #542069=CARTESIAN_POINT('Origin',(38.3429,59.8055,0.035)); #542070=CARTESIAN_POINT('',(38.3429,59.8055,0.035)); #542071=CARTESIAN_POINT('',(38.3429,59.8055,0.035)); #542072=CARTESIAN_POINT('',(38.3429,59.8055,0.07)); #542073=CARTESIAN_POINT('',(38.3429,59.8055,0.07)); #542074=CARTESIAN_POINT('',(38.3429,59.8055,0.035)); #542075=CARTESIAN_POINT('Origin',(38.3429,60.6945,0.035)); #542076=CARTESIAN_POINT('',(38.3429,60.6945,0.035)); #542077=CARTESIAN_POINT('',(38.3429,60.6945,0.035)); #542078=CARTESIAN_POINT('',(38.3429,60.6945,0.07)); #542079=CARTESIAN_POINT('',(38.3429,60.6945,0.07)); #542080=CARTESIAN_POINT('',(38.3429,60.6945,0.035)); #542081=CARTESIAN_POINT('Origin',(39.1811,60.6945,0.035)); #542082=CARTESIAN_POINT('',(39.1811,60.6945,0.035)); #542083=CARTESIAN_POINT('',(39.1811,60.6945,0.07)); #542084=CARTESIAN_POINT('Origin',(38.762,60.25,0.07)); #542085=CARTESIAN_POINT('Origin',(38.762,60.25,0.035)); #542086=CARTESIAN_POINT('Origin',(33.8875,13.2875,0.035)); #542087=CARTESIAN_POINT('',(33.8875,13.2875,0.035)); #542088=CARTESIAN_POINT('',(33.8875,13.9125,0.035)); #542089=CARTESIAN_POINT('',(33.8875,13.2875,0.035)); #542090=CARTESIAN_POINT('',(33.8875,13.9125,0.07)); #542091=CARTESIAN_POINT('',(33.8875,13.9125,0.035)); #542092=CARTESIAN_POINT('',(33.8875,13.2875,0.07)); #542093=CARTESIAN_POINT('',(33.8875,13.2875,0.07)); #542094=CARTESIAN_POINT('',(33.8875,13.2875,0.035)); #542095=CARTESIAN_POINT('Origin',(33.6125,13.2875,0.035)); #542096=CARTESIAN_POINT('',(33.6125,13.2875,0.035)); #542097=CARTESIAN_POINT('',(33.6125,13.2875,0.035)); #542098=CARTESIAN_POINT('',(33.6125,13.2875,0.07)); #542099=CARTESIAN_POINT('',(33.6125,13.2875,0.07)); #542100=CARTESIAN_POINT('',(33.6125,13.2875,0.035)); #542101=CARTESIAN_POINT('Origin',(33.6125,13.9125,0.035)); #542102=CARTESIAN_POINT('',(33.6125,13.9125,0.035)); #542103=CARTESIAN_POINT('',(33.6125,13.9125,0.035)); #542104=CARTESIAN_POINT('',(33.6125,13.9125,0.07)); #542105=CARTESIAN_POINT('',(33.6125,13.9125,0.07)); #542106=CARTESIAN_POINT('',(33.6125,13.9125,0.035)); #542107=CARTESIAN_POINT('Origin',(33.8875,13.9125,0.035)); #542108=CARTESIAN_POINT('',(33.8875,13.9125,0.035)); #542109=CARTESIAN_POINT('',(33.8875,13.9125,0.07)); #542110=CARTESIAN_POINT('Origin',(33.75,13.6,0.07)); #542111=CARTESIAN_POINT('Origin',(33.75,13.6,0.035)); #542112=CARTESIAN_POINT('Origin',(56.1811,50.0555,0.035)); #542113=CARTESIAN_POINT('',(56.1811,50.0555,0.035)); #542114=CARTESIAN_POINT('',(56.1811,50.9445,0.035)); #542115=CARTESIAN_POINT('',(56.1811,50.0555,0.035)); #542116=CARTESIAN_POINT('',(56.1811,50.9445,0.07)); #542117=CARTESIAN_POINT('',(56.1811,50.9445,0.035)); #542118=CARTESIAN_POINT('',(56.1811,50.0555,0.07)); #542119=CARTESIAN_POINT('',(56.1811,50.0555,0.07)); #542120=CARTESIAN_POINT('',(56.1811,50.0555,0.035)); #542121=CARTESIAN_POINT('Origin',(55.3429,50.0555,0.035)); #542122=CARTESIAN_POINT('',(55.3429,50.0555,0.035)); #542123=CARTESIAN_POINT('',(55.3429,50.0555,0.035)); #542124=CARTESIAN_POINT('',(55.3429,50.0555,0.07)); #542125=CARTESIAN_POINT('',(55.3429,50.0555,0.07)); #542126=CARTESIAN_POINT('',(55.3429,50.0555,0.035)); #542127=CARTESIAN_POINT('Origin',(55.3429,50.9445,0.035)); #542128=CARTESIAN_POINT('',(55.3429,50.9445,0.035)); #542129=CARTESIAN_POINT('',(55.3429,50.9445,0.035)); #542130=CARTESIAN_POINT('',(55.3429,50.9445,0.07)); #542131=CARTESIAN_POINT('',(55.3429,50.9445,0.07)); #542132=CARTESIAN_POINT('',(55.3429,50.9445,0.035)); #542133=CARTESIAN_POINT('Origin',(56.1811,50.9445,0.035)); #542134=CARTESIAN_POINT('',(56.1811,50.9445,0.035)); #542135=CARTESIAN_POINT('',(56.1811,50.9445,0.07)); #542136=CARTESIAN_POINT('Origin',(55.762,50.5,0.07)); #542137=CARTESIAN_POINT('Origin',(55.762,50.5,0.035)); #542138=CARTESIAN_POINT('Origin',(46.9,15.825,0.035)); #542139=CARTESIAN_POINT('',(46.9,15.825,0.035)); #542140=CARTESIAN_POINT('',(46.9,16.225,0.035)); #542141=CARTESIAN_POINT('',(46.9,15.825,0.035)); #542142=CARTESIAN_POINT('',(46.9,16.225,0.07)); #542143=CARTESIAN_POINT('',(46.9,16.225,0.035)); #542144=CARTESIAN_POINT('',(46.9,15.825,0.07)); #542145=CARTESIAN_POINT('',(46.9,15.825,0.07)); #542146=CARTESIAN_POINT('',(46.9,15.825,0.035)); #542147=CARTESIAN_POINT('Origin',(46.1,15.825,0.035)); #542148=CARTESIAN_POINT('',(46.1,15.825,0.035)); #542149=CARTESIAN_POINT('',(46.1,15.825,0.035)); #542150=CARTESIAN_POINT('',(46.1,15.825,0.07)); #542151=CARTESIAN_POINT('',(46.1,15.825,0.07)); #542152=CARTESIAN_POINT('',(46.1,15.825,0.035)); #542153=CARTESIAN_POINT('Origin',(46.1,16.225,0.035)); #542154=CARTESIAN_POINT('',(46.1,16.225,0.035)); #542155=CARTESIAN_POINT('',(46.1,16.225,0.035)); #542156=CARTESIAN_POINT('',(46.1,16.225,0.07)); #542157=CARTESIAN_POINT('',(46.1,16.225,0.07)); #542158=CARTESIAN_POINT('',(46.1,16.225,0.035)); #542159=CARTESIAN_POINT('Origin',(46.9,16.225,0.035)); #542160=CARTESIAN_POINT('',(46.9,16.225,0.035)); #542161=CARTESIAN_POINT('',(46.9,16.225,0.07)); #542162=CARTESIAN_POINT('Origin',(46.5,16.025,0.07)); #542163=CARTESIAN_POINT('Origin',(46.5,16.025,0.035)); #542164=CARTESIAN_POINT('Origin',(37.7125,19.6125,0.035)); #542165=CARTESIAN_POINT('',(37.7125,19.6125,0.035)); #542166=CARTESIAN_POINT('',(37.7125,19.8875,0.035)); #542167=CARTESIAN_POINT('',(37.7125,19.6125,0.035)); #542168=CARTESIAN_POINT('',(37.7125,19.8875,0.07)); #542169=CARTESIAN_POINT('',(37.7125,19.8875,0.035)); #542170=CARTESIAN_POINT('',(37.7125,19.6125,0.07)); #542171=CARTESIAN_POINT('',(37.7125,19.6125,0.07)); #542172=CARTESIAN_POINT('',(37.7125,19.6125,0.035)); #542173=CARTESIAN_POINT('Origin',(37.0875,19.6125,0.035)); #542174=CARTESIAN_POINT('',(37.0875,19.6125,0.035)); #542175=CARTESIAN_POINT('',(37.0875,19.6125,0.035)); #542176=CARTESIAN_POINT('',(37.0875,19.6125,0.07)); #542177=CARTESIAN_POINT('',(37.0875,19.6125,0.07)); #542178=CARTESIAN_POINT('',(37.0875,19.6125,0.035)); #542179=CARTESIAN_POINT('Origin',(37.0875,19.8875,0.035)); #542180=CARTESIAN_POINT('',(37.0875,19.8875,0.035)); #542181=CARTESIAN_POINT('',(37.0875,19.8875,0.035)); #542182=CARTESIAN_POINT('',(37.0875,19.8875,0.07)); #542183=CARTESIAN_POINT('',(37.0875,19.8875,0.07)); #542184=CARTESIAN_POINT('',(37.0875,19.8875,0.035)); #542185=CARTESIAN_POINT('Origin',(37.7125,19.8875,0.035)); #542186=CARTESIAN_POINT('',(37.7125,19.8875,0.035)); #542187=CARTESIAN_POINT('',(37.7125,19.8875,0.07)); #542188=CARTESIAN_POINT('Origin',(37.4,19.75,0.07)); #542189=CARTESIAN_POINT('Origin',(37.4,19.75,0.035)); #542190=CARTESIAN_POINT('Origin',(46.9,16.475,0.035)); #542191=CARTESIAN_POINT('',(46.9,16.475,0.035)); #542192=CARTESIAN_POINT('',(46.9,16.875,0.035)); #542193=CARTESIAN_POINT('',(46.9,16.475,0.035)); #542194=CARTESIAN_POINT('',(46.9,16.875,0.07)); #542195=CARTESIAN_POINT('',(46.9,16.875,0.035)); #542196=CARTESIAN_POINT('',(46.9,16.475,0.07)); #542197=CARTESIAN_POINT('',(46.9,16.475,0.07)); #542198=CARTESIAN_POINT('',(46.9,16.475,0.035)); #542199=CARTESIAN_POINT('Origin',(46.1,16.475,0.035)); #542200=CARTESIAN_POINT('',(46.1,16.475,0.035)); #542201=CARTESIAN_POINT('',(46.1,16.475,0.035)); #542202=CARTESIAN_POINT('',(46.1,16.475,0.07)); #542203=CARTESIAN_POINT('',(46.1,16.475,0.07)); #542204=CARTESIAN_POINT('',(46.1,16.475,0.035)); #542205=CARTESIAN_POINT('Origin',(46.1,16.875,0.035)); #542206=CARTESIAN_POINT('',(46.1,16.875,0.035)); #542207=CARTESIAN_POINT('',(46.1,16.875,0.035)); #542208=CARTESIAN_POINT('',(46.1,16.875,0.07)); #542209=CARTESIAN_POINT('',(46.1,16.875,0.07)); #542210=CARTESIAN_POINT('',(46.1,16.875,0.035)); #542211=CARTESIAN_POINT('Origin',(46.9,16.875,0.035)); #542212=CARTESIAN_POINT('',(46.9,16.875,0.035)); #542213=CARTESIAN_POINT('',(46.9,16.875,0.07)); #542214=CARTESIAN_POINT('Origin',(46.5,16.675,0.07)); #542215=CARTESIAN_POINT('Origin',(46.5,16.675,0.035)); #542216=CARTESIAN_POINT('Origin',(53.3142,24.6665,0.035)); #542217=CARTESIAN_POINT('',(53.3142,24.6665,0.035)); #542218=CARTESIAN_POINT('',(53.3142,27.3335,0.035)); #542219=CARTESIAN_POINT('',(53.3142,24.6665,0.035)); #542220=CARTESIAN_POINT('',(53.3142,27.3335,0.07)); #542221=CARTESIAN_POINT('',(53.3142,27.3335,0.035)); #542222=CARTESIAN_POINT('',(53.3142,24.6665,0.07)); #542223=CARTESIAN_POINT('',(53.3142,24.6665,0.07)); #542224=CARTESIAN_POINT('',(53.3142,24.6665,0.035)); #542225=CARTESIAN_POINT('Origin',(51.6378,24.6665,0.035)); #542226=CARTESIAN_POINT('',(51.6378,24.6665,0.035)); #542227=CARTESIAN_POINT('',(51.6378,24.6665,0.035)); #542228=CARTESIAN_POINT('',(51.6378,24.6665,0.07)); #542229=CARTESIAN_POINT('',(51.6378,24.6665,0.07)); #542230=CARTESIAN_POINT('',(51.6378,24.6665,0.035)); #542231=CARTESIAN_POINT('Origin',(51.6378,27.3335,0.035)); #542232=CARTESIAN_POINT('',(51.6378,27.3335,0.035)); #542233=CARTESIAN_POINT('',(51.6378,27.3335,0.035)); #542234=CARTESIAN_POINT('',(51.6378,27.3335,0.07)); #542235=CARTESIAN_POINT('',(51.6378,27.3335,0.07)); #542236=CARTESIAN_POINT('',(51.6378,27.3335,0.035)); #542237=CARTESIAN_POINT('Origin',(53.3142,27.3335,0.035)); #542238=CARTESIAN_POINT('',(53.3142,27.3335,0.035)); #542239=CARTESIAN_POINT('',(53.3142,27.3335,0.07)); #542240=CARTESIAN_POINT('Origin',(52.476,26.,0.07)); #542241=CARTESIAN_POINT('Origin',(52.476,26.,0.035)); #542242=CARTESIAN_POINT('Origin',(45.625,15.825,0.035)); #542243=CARTESIAN_POINT('',(45.625,15.825,0.035)); #542244=CARTESIAN_POINT('',(45.625,18.175,0.035)); #542245=CARTESIAN_POINT('',(45.625,15.825,0.035)); #542246=CARTESIAN_POINT('',(45.625,18.175,0.07)); #542247=CARTESIAN_POINT('',(45.625,18.175,0.035)); #542248=CARTESIAN_POINT('',(45.625,15.825,0.07)); #542249=CARTESIAN_POINT('',(45.625,15.825,0.07)); #542250=CARTESIAN_POINT('',(45.625,15.825,0.035)); #542251=CARTESIAN_POINT('Origin',(44.375,15.825,0.035)); #542252=CARTESIAN_POINT('',(44.375,15.825,0.035)); #542253=CARTESIAN_POINT('',(44.375,15.825,0.035)); #542254=CARTESIAN_POINT('',(44.375,15.825,0.07)); #542255=CARTESIAN_POINT('',(44.375,15.825,0.07)); #542256=CARTESIAN_POINT('',(44.375,15.825,0.035)); #542257=CARTESIAN_POINT('Origin',(44.375,18.175,0.035)); #542258=CARTESIAN_POINT('',(44.375,18.175,0.035)); #542259=CARTESIAN_POINT('',(44.375,18.175,0.035)); #542260=CARTESIAN_POINT('',(44.375,18.175,0.07)); #542261=CARTESIAN_POINT('',(44.375,18.175,0.07)); #542262=CARTESIAN_POINT('',(44.375,18.175,0.035)); #542263=CARTESIAN_POINT('Origin',(45.625,18.175,0.035)); #542264=CARTESIAN_POINT('',(45.625,18.175,0.035)); #542265=CARTESIAN_POINT('',(45.625,18.175,0.07)); #542266=CARTESIAN_POINT('Origin',(45.,17.,0.07)); #542267=CARTESIAN_POINT('Origin',(45.,17.,0.035)); #542268=CARTESIAN_POINT('Origin',(36.8875,20.0875,0.035)); #542269=CARTESIAN_POINT('',(36.8875,20.0875,0.035)); #542270=CARTESIAN_POINT('',(36.8875,20.7125,0.035)); #542271=CARTESIAN_POINT('',(36.8875,20.0875,0.035)); #542272=CARTESIAN_POINT('',(36.8875,20.7125,0.07)); #542273=CARTESIAN_POINT('',(36.8875,20.7125,0.035)); #542274=CARTESIAN_POINT('',(36.8875,20.0875,0.07)); #542275=CARTESIAN_POINT('',(36.8875,20.0875,0.07)); #542276=CARTESIAN_POINT('',(36.8875,20.0875,0.035)); #542277=CARTESIAN_POINT('Origin',(36.6125,20.0875,0.035)); #542278=CARTESIAN_POINT('',(36.6125,20.0875,0.035)); #542279=CARTESIAN_POINT('',(36.6125,20.0875,0.035)); #542280=CARTESIAN_POINT('',(36.6125,20.0875,0.07)); #542281=CARTESIAN_POINT('',(36.6125,20.0875,0.07)); #542282=CARTESIAN_POINT('',(36.6125,20.0875,0.035)); #542283=CARTESIAN_POINT('Origin',(36.6125,20.7125,0.035)); #542284=CARTESIAN_POINT('',(36.6125,20.7125,0.035)); #542285=CARTESIAN_POINT('',(36.6125,20.7125,0.035)); #542286=CARTESIAN_POINT('',(36.6125,20.7125,0.07)); #542287=CARTESIAN_POINT('',(36.6125,20.7125,0.07)); #542288=CARTESIAN_POINT('',(36.6125,20.7125,0.035)); #542289=CARTESIAN_POINT('Origin',(36.8875,20.7125,0.035)); #542290=CARTESIAN_POINT('',(36.8875,20.7125,0.035)); #542291=CARTESIAN_POINT('',(36.8875,20.7125,0.07)); #542292=CARTESIAN_POINT('Origin',(36.75,20.4,0.07)); #542293=CARTESIAN_POINT('Origin',(36.75,20.4,0.035)); #542294=CARTESIAN_POINT('Origin',(46.9,17.125,0.035)); #542295=CARTESIAN_POINT('',(46.9,17.125,0.035)); #542296=CARTESIAN_POINT('',(46.9,17.525,0.035)); #542297=CARTESIAN_POINT('',(46.9,17.125,0.035)); #542298=CARTESIAN_POINT('',(46.9,17.525,0.07)); #542299=CARTESIAN_POINT('',(46.9,17.525,0.035)); #542300=CARTESIAN_POINT('',(46.9,17.125,0.07)); #542301=CARTESIAN_POINT('',(46.9,17.125,0.07)); #542302=CARTESIAN_POINT('',(46.9,17.125,0.035)); #542303=CARTESIAN_POINT('Origin',(46.1,17.125,0.035)); #542304=CARTESIAN_POINT('',(46.1,17.125,0.035)); #542305=CARTESIAN_POINT('',(46.1,17.125,0.035)); #542306=CARTESIAN_POINT('',(46.1,17.125,0.07)); #542307=CARTESIAN_POINT('',(46.1,17.125,0.07)); #542308=CARTESIAN_POINT('',(46.1,17.125,0.035)); #542309=CARTESIAN_POINT('Origin',(46.1,17.525,0.035)); #542310=CARTESIAN_POINT('',(46.1,17.525,0.035)); #542311=CARTESIAN_POINT('',(46.1,17.525,0.035)); #542312=CARTESIAN_POINT('',(46.1,17.525,0.07)); #542313=CARTESIAN_POINT('',(46.1,17.525,0.07)); #542314=CARTESIAN_POINT('',(46.1,17.525,0.035)); #542315=CARTESIAN_POINT('Origin',(46.9,17.525,0.035)); #542316=CARTESIAN_POINT('',(46.9,17.525,0.035)); #542317=CARTESIAN_POINT('',(46.9,17.525,0.07)); #542318=CARTESIAN_POINT('Origin',(46.5,17.325,0.07)); #542319=CARTESIAN_POINT('Origin',(46.5,17.325,0.035)); #542320=CARTESIAN_POINT('Origin',(55.3622,46.1745,0.035)); #542321=CARTESIAN_POINT('',(55.3622,46.1745,0.035)); #542322=CARTESIAN_POINT('',(55.3622,47.8255,0.035)); #542323=CARTESIAN_POINT('',(55.3622,46.1745,0.035)); #542324=CARTESIAN_POINT('',(55.3622,47.8255,0.07)); #542325=CARTESIAN_POINT('',(55.3622,47.8255,0.035)); #542326=CARTESIAN_POINT('',(55.3622,46.1745,0.07)); #542327=CARTESIAN_POINT('',(55.3622,46.1745,0.07)); #542328=CARTESIAN_POINT('',(55.3622,46.1745,0.035)); #542329=CARTESIAN_POINT('Origin',(53.6858,46.1745,0.035)); #542330=CARTESIAN_POINT('',(53.6858,46.1745,0.035)); #542331=CARTESIAN_POINT('',(53.6858,46.1745,0.035)); #542332=CARTESIAN_POINT('',(53.6858,46.1745,0.07)); #542333=CARTESIAN_POINT('',(53.6858,46.1745,0.07)); #542334=CARTESIAN_POINT('',(53.6858,46.1745,0.035)); #542335=CARTESIAN_POINT('Origin',(53.6858,47.8255,0.035)); #542336=CARTESIAN_POINT('',(53.6858,47.8255,0.035)); #542337=CARTESIAN_POINT('',(53.6858,47.8255,0.035)); #542338=CARTESIAN_POINT('',(53.6858,47.8255,0.07)); #542339=CARTESIAN_POINT('',(53.6858,47.8255,0.07)); #542340=CARTESIAN_POINT('',(53.6858,47.8255,0.035)); #542341=CARTESIAN_POINT('Origin',(55.3622,47.8255,0.035)); #542342=CARTESIAN_POINT('',(55.3622,47.8255,0.035)); #542343=CARTESIAN_POINT('',(55.3622,47.8255,0.07)); #542344=CARTESIAN_POINT('Origin',(54.524,47.,0.07)); #542345=CARTESIAN_POINT('Origin',(54.524,47.,0.035)); #542346=CARTESIAN_POINT('Origin',(37.7125,18.6125,0.035)); #542347=CARTESIAN_POINT('',(37.7125,18.6125,0.035)); #542348=CARTESIAN_POINT('',(37.7125,18.8875,0.035)); #542349=CARTESIAN_POINT('',(37.7125,18.6125,0.035)); #542350=CARTESIAN_POINT('',(37.7125,18.8875,0.07)); #542351=CARTESIAN_POINT('',(37.7125,18.8875,0.035)); #542352=CARTESIAN_POINT('',(37.7125,18.6125,0.07)); #542353=CARTESIAN_POINT('',(37.7125,18.6125,0.07)); #542354=CARTESIAN_POINT('',(37.7125,18.6125,0.035)); #542355=CARTESIAN_POINT('Origin',(37.0875,18.6125,0.035)); #542356=CARTESIAN_POINT('',(37.0875,18.6125,0.035)); #542357=CARTESIAN_POINT('',(37.0875,18.6125,0.035)); #542358=CARTESIAN_POINT('',(37.0875,18.6125,0.07)); #542359=CARTESIAN_POINT('',(37.0875,18.6125,0.07)); #542360=CARTESIAN_POINT('',(37.0875,18.6125,0.035)); #542361=CARTESIAN_POINT('Origin',(37.0875,18.8875,0.035)); #542362=CARTESIAN_POINT('',(37.0875,18.8875,0.035)); #542363=CARTESIAN_POINT('',(37.0875,18.8875,0.035)); #542364=CARTESIAN_POINT('',(37.0875,18.8875,0.07)); #542365=CARTESIAN_POINT('',(37.0875,18.8875,0.07)); #542366=CARTESIAN_POINT('',(37.0875,18.8875,0.035)); #542367=CARTESIAN_POINT('Origin',(37.7125,18.8875,0.035)); #542368=CARTESIAN_POINT('',(37.7125,18.8875,0.035)); #542369=CARTESIAN_POINT('',(37.7125,18.8875,0.07)); #542370=CARTESIAN_POINT('Origin',(37.4,18.75,0.07)); #542371=CARTESIAN_POINT('Origin',(37.4,18.75,0.035)); #542372=CARTESIAN_POINT('Origin',(52.75,20.25,-200.)); #542373=CARTESIAN_POINT('',(52.61,20.25,0.0349999999999895)); #542374=CARTESIAN_POINT('Origin',(52.75,20.25,0.0349999999999895)); #542375=CARTESIAN_POINT('',(52.61,20.25,0.0700000000000145)); #542376=CARTESIAN_POINT('',(52.61,20.25,-200.)); #542377=CARTESIAN_POINT('Origin',(52.75,20.25,0.0700000000000145)); #542378=CARTESIAN_POINT('Origin',(52.,21.75,-200.)); #542379=CARTESIAN_POINT('',(51.86,21.75,0.0349999999999895)); #542380=CARTESIAN_POINT('Origin',(52.,21.75,0.0349999999999895)); #542381=CARTESIAN_POINT('',(51.86,21.75,0.0700000000000145)); #542382=CARTESIAN_POINT('',(51.86,21.75,-200.)); #542383=CARTESIAN_POINT('Origin',(52.,21.75,0.0700000000000145)); #542384=CARTESIAN_POINT('Origin',(52.,20.25,-200.)); #542385=CARTESIAN_POINT('',(51.86,20.25,0.0349999999999895)); #542386=CARTESIAN_POINT('Origin',(52.,20.25,0.0349999999999895)); #542387=CARTESIAN_POINT('',(51.86,20.25,0.0700000000000145)); #542388=CARTESIAN_POINT('',(51.86,20.25,-200.)); #542389=CARTESIAN_POINT('Origin',(52.,20.25,0.0700000000000145)); #542390=CARTESIAN_POINT('Origin',(52.75,21.,-200.)); #542391=CARTESIAN_POINT('',(52.61,21.,0.0349999999999895)); #542392=CARTESIAN_POINT('Origin',(52.75,21.,0.0349999999999895)); #542393=CARTESIAN_POINT('',(52.61,21.,0.0700000000000145)); #542394=CARTESIAN_POINT('',(52.61,21.,-200.)); #542395=CARTESIAN_POINT('Origin',(52.75,21.,0.0700000000000145)); #542396=CARTESIAN_POINT('Origin',(52.,21.,-200.)); #542397=CARTESIAN_POINT('',(51.86,21.,0.0349999999999895)); #542398=CARTESIAN_POINT('Origin',(52.,21.,0.0349999999999895)); #542399=CARTESIAN_POINT('',(51.86,21.,0.0700000000000145)); #542400=CARTESIAN_POINT('',(51.86,21.,-200.)); #542401=CARTESIAN_POINT('Origin',(52.,21.,0.0700000000000145)); #542402=CARTESIAN_POINT('Origin',(52.75,21.75,-200.)); #542403=CARTESIAN_POINT('',(52.61,21.75,0.0349999999999895)); #542404=CARTESIAN_POINT('Origin',(52.75,21.75,0.0349999999999895)); #542405=CARTESIAN_POINT('',(52.61,21.75,0.0700000000000145)); #542406=CARTESIAN_POINT('',(52.61,21.75,-200.)); #542407=CARTESIAN_POINT('Origin',(52.75,21.75,0.0700000000000145)); #542408=CARTESIAN_POINT('Origin',(53.3142,19.6665,0.035)); #542409=CARTESIAN_POINT('',(53.3142,19.6665,0.035)); #542410=CARTESIAN_POINT('',(53.3142,22.3335,0.035)); #542411=CARTESIAN_POINT('',(53.3142,19.6665,0.035)); #542412=CARTESIAN_POINT('',(53.3142,22.3335,0.07)); #542413=CARTESIAN_POINT('',(53.3142,22.3335,0.035)); #542414=CARTESIAN_POINT('',(53.3142,19.6665,0.07)); #542415=CARTESIAN_POINT('',(53.3142,19.6665,0.07)); #542416=CARTESIAN_POINT('',(53.3142,19.6665,0.035)); #542417=CARTESIAN_POINT('Origin',(51.6378,19.6665,0.035)); #542418=CARTESIAN_POINT('',(51.6378,19.6665,0.035)); #542419=CARTESIAN_POINT('',(51.6378,19.6665,0.035)); #542420=CARTESIAN_POINT('',(51.6378,19.6665,0.07)); #542421=CARTESIAN_POINT('',(51.6378,19.6665,0.07)); #542422=CARTESIAN_POINT('',(51.6378,19.6665,0.035)); #542423=CARTESIAN_POINT('Origin',(51.6378,22.3335,0.035)); #542424=CARTESIAN_POINT('',(51.6378,22.3335,0.035)); #542425=CARTESIAN_POINT('',(51.6378,22.3335,0.035)); #542426=CARTESIAN_POINT('',(51.6378,22.3335,0.07)); #542427=CARTESIAN_POINT('',(51.6378,22.3335,0.07)); #542428=CARTESIAN_POINT('',(51.6378,22.3335,0.035)); #542429=CARTESIAN_POINT('Origin',(53.3142,22.3335,0.035)); #542430=CARTESIAN_POINT('',(53.3142,22.3335,0.035)); #542431=CARTESIAN_POINT('',(53.3142,22.3335,0.07)); #542432=CARTESIAN_POINT('Origin',(52.476,21.,0.07)); #542433=CARTESIAN_POINT('Origin',(52.476,21.,0.035)); #542434=CARTESIAN_POINT('Origin',(46.9,17.775,0.035)); #542435=CARTESIAN_POINT('',(46.9,17.775,0.035)); #542436=CARTESIAN_POINT('',(46.9,18.175,0.035)); #542437=CARTESIAN_POINT('',(46.9,17.775,0.035)); #542438=CARTESIAN_POINT('',(46.9,18.175,0.07)); #542439=CARTESIAN_POINT('',(46.9,18.175,0.035)); #542440=CARTESIAN_POINT('',(46.9,17.775,0.07)); #542441=CARTESIAN_POINT('',(46.9,17.775,0.07)); #542442=CARTESIAN_POINT('',(46.9,17.775,0.035)); #542443=CARTESIAN_POINT('Origin',(46.1,17.775,0.035)); #542444=CARTESIAN_POINT('',(46.1,17.775,0.035)); #542445=CARTESIAN_POINT('',(46.1,17.775,0.035)); #542446=CARTESIAN_POINT('',(46.1,17.775,0.07)); #542447=CARTESIAN_POINT('',(46.1,17.775,0.07)); #542448=CARTESIAN_POINT('',(46.1,17.775,0.035)); #542449=CARTESIAN_POINT('Origin',(46.1,18.175,0.035)); #542450=CARTESIAN_POINT('',(46.1,18.175,0.035)); #542451=CARTESIAN_POINT('',(46.1,18.175,0.035)); #542452=CARTESIAN_POINT('',(46.1,18.175,0.07)); #542453=CARTESIAN_POINT('',(46.1,18.175,0.07)); #542454=CARTESIAN_POINT('',(46.1,18.175,0.035)); #542455=CARTESIAN_POINT('Origin',(46.9,18.175,0.035)); #542456=CARTESIAN_POINT('',(46.9,18.175,0.035)); #542457=CARTESIAN_POINT('',(46.9,18.175,0.07)); #542458=CARTESIAN_POINT('Origin',(46.5,17.975,0.07)); #542459=CARTESIAN_POINT('Origin',(46.5,17.975,0.035)); #542460=CARTESIAN_POINT('Origin',(50.6571,50.0555,0.035)); #542461=CARTESIAN_POINT('',(50.6571,50.0555,0.035)); #542462=CARTESIAN_POINT('',(50.6571,50.9445,0.035)); #542463=CARTESIAN_POINT('',(50.6571,50.0555,0.035)); #542464=CARTESIAN_POINT('',(50.6571,50.9445,0.07)); #542465=CARTESIAN_POINT('',(50.6571,50.9445,0.035)); #542466=CARTESIAN_POINT('',(50.6571,50.0555,0.07)); #542467=CARTESIAN_POINT('',(50.6571,50.0555,0.07)); #542468=CARTESIAN_POINT('',(50.6571,50.0555,0.035)); #542469=CARTESIAN_POINT('Origin',(49.8189,50.0555,0.035)); #542470=CARTESIAN_POINT('',(49.8189,50.0555,0.035)); #542471=CARTESIAN_POINT('',(49.8189,50.0555,0.035)); #542472=CARTESIAN_POINT('',(49.8189,50.0555,0.07)); #542473=CARTESIAN_POINT('',(49.8189,50.0555,0.07)); #542474=CARTESIAN_POINT('',(49.8189,50.0555,0.035)); #542475=CARTESIAN_POINT('Origin',(49.8189,50.9445,0.035)); #542476=CARTESIAN_POINT('',(49.8189,50.9445,0.035)); #542477=CARTESIAN_POINT('',(49.8189,50.9445,0.035)); #542478=CARTESIAN_POINT('',(49.8189,50.9445,0.07)); #542479=CARTESIAN_POINT('',(49.8189,50.9445,0.07)); #542480=CARTESIAN_POINT('',(49.8189,50.9445,0.035)); #542481=CARTESIAN_POINT('Origin',(50.6571,50.9445,0.035)); #542482=CARTESIAN_POINT('',(50.6571,50.9445,0.035)); #542483=CARTESIAN_POINT('',(50.6571,50.9445,0.07)); #542484=CARTESIAN_POINT('Origin',(50.238,50.5,0.07)); #542485=CARTESIAN_POINT('Origin',(50.238,50.5,0.035)); #542486=CARTESIAN_POINT('Origin',(35.8875,20.0875,0.035)); #542487=CARTESIAN_POINT('',(35.8875,20.0875,0.035)); #542488=CARTESIAN_POINT('',(35.8875,20.7125,0.035)); #542489=CARTESIAN_POINT('',(35.8875,20.0875,0.035)); #542490=CARTESIAN_POINT('',(35.8875,20.7125,0.07)); #542491=CARTESIAN_POINT('',(35.8875,20.7125,0.035)); #542492=CARTESIAN_POINT('',(35.8875,20.0875,0.07)); #542493=CARTESIAN_POINT('',(35.8875,20.0875,0.07)); #542494=CARTESIAN_POINT('',(35.8875,20.0875,0.035)); #542495=CARTESIAN_POINT('Origin',(35.6125,20.0875,0.035)); #542496=CARTESIAN_POINT('',(35.6125,20.0875,0.035)); #542497=CARTESIAN_POINT('',(35.6125,20.0875,0.035)); #542498=CARTESIAN_POINT('',(35.6125,20.0875,0.07)); #542499=CARTESIAN_POINT('',(35.6125,20.0875,0.07)); #542500=CARTESIAN_POINT('',(35.6125,20.0875,0.035)); #542501=CARTESIAN_POINT('Origin',(35.6125,20.7125,0.035)); #542502=CARTESIAN_POINT('',(35.6125,20.7125,0.035)); #542503=CARTESIAN_POINT('',(35.6125,20.7125,0.035)); #542504=CARTESIAN_POINT('',(35.6125,20.7125,0.07)); #542505=CARTESIAN_POINT('',(35.6125,20.7125,0.07)); #542506=CARTESIAN_POINT('',(35.6125,20.7125,0.035)); #542507=CARTESIAN_POINT('Origin',(35.8875,20.7125,0.035)); #542508=CARTESIAN_POINT('',(35.8875,20.7125,0.035)); #542509=CARTESIAN_POINT('',(35.8875,20.7125,0.07)); #542510=CARTESIAN_POINT('Origin',(35.75,20.4,0.07)); #542511=CARTESIAN_POINT('Origin',(35.75,20.4,0.035)); #542512=CARTESIAN_POINT('Origin',(52.125,47.75,-200.)); #542513=CARTESIAN_POINT('',(52.2428972009846,47.8255,0.0349999999999895)); #542514=CARTESIAN_POINT('',(52.2428972009846,47.8255,0.0700000000000145)); #542515=CARTESIAN_POINT('',(52.2428972009846,47.8255,-200.)); #542516=CARTESIAN_POINT('',(52.0071027990154,47.8255,0.0349999999999895)); #542517=CARTESIAN_POINT('Origin',(52.125,47.75,0.0349999999999895)); #542518=CARTESIAN_POINT('',(52.0071027990154,47.8255,0.0700000000000145)); #542519=CARTESIAN_POINT('',(52.0071027990154,47.8255,-200.)); #542520=CARTESIAN_POINT('Origin',(52.125,47.75,0.0700000000000145)); #542521=CARTESIAN_POINT('Origin',(52.125,47.,-200.)); #542522=CARTESIAN_POINT('',(51.985,47.,0.0349999999999895)); #542523=CARTESIAN_POINT('Origin',(52.125,47.,0.0349999999999895)); #542524=CARTESIAN_POINT('',(51.985,47.,0.0700000000000145)); #542525=CARTESIAN_POINT('',(51.985,47.,-200.)); #542526=CARTESIAN_POINT('Origin',(52.125,47.,0.0700000000000145)); #542527=CARTESIAN_POINT('Origin',(51.25,46.25,-200.)); #542528=CARTESIAN_POINT('',(51.1321027990154,46.1745,0.0349999999999895)); #542529=CARTESIAN_POINT('',(51.1321027990154,46.1745,0.0700000000000145)); #542530=CARTESIAN_POINT('',(51.1321027990154,46.1745,-200.)); #542531=CARTESIAN_POINT('',(51.3678972009846,46.1745,0.0349999999999895)); #542532=CARTESIAN_POINT('Origin',(51.25,46.25,0.0349999999999895)); #542533=CARTESIAN_POINT('',(51.3678972009846,46.1745,0.0700000000000145)); #542534=CARTESIAN_POINT('',(51.3678972009846,46.1745,-200.)); #542535=CARTESIAN_POINT('Origin',(51.25,46.25,0.0700000000000145)); #542536=CARTESIAN_POINT('Origin',(52.125,46.25,-200.)); #542537=CARTESIAN_POINT('',(52.0071027990154,46.1745,0.0349999999999895)); #542538=CARTESIAN_POINT('',(52.0071027990154,46.1745,0.0700000000000145)); #542539=CARTESIAN_POINT('',(52.0071027990154,46.1745,-200.)); #542540=CARTESIAN_POINT('',(52.2428972009846,46.1745,0.0349999999999895)); #542541=CARTESIAN_POINT('Origin',(52.125,46.25,0.0349999999999895)); #542542=CARTESIAN_POINT('',(52.2428972009846,46.1745,0.0700000000000145)); #542543=CARTESIAN_POINT('',(52.2428972009846,46.1745,-200.)); #542544=CARTESIAN_POINT('Origin',(52.125,46.25,0.0700000000000145)); #542545=CARTESIAN_POINT('Origin',(51.25,47.75,-200.)); #542546=CARTESIAN_POINT('',(51.3678972009846,47.8255,0.0349999999999895)); #542547=CARTESIAN_POINT('',(51.3678972009846,47.8255,0.0700000000000145)); #542548=CARTESIAN_POINT('',(51.3678972009846,47.8255,-200.)); #542549=CARTESIAN_POINT('',(51.1321027990154,47.8255,0.0349999999999895)); #542550=CARTESIAN_POINT('Origin',(51.25,47.75,0.0349999999999895)); #542551=CARTESIAN_POINT('',(51.1321027990154,47.8255,0.0700000000000145)); #542552=CARTESIAN_POINT('',(51.1321027990154,47.8255,-200.)); #542553=CARTESIAN_POINT('Origin',(51.25,47.75,0.0700000000000145)); #542554=CARTESIAN_POINT('Origin',(51.25,47.,-200.)); #542555=CARTESIAN_POINT('',(51.11,47.,0.0349999999999895)); #542556=CARTESIAN_POINT('Origin',(51.25,47.,0.0349999999999895)); #542557=CARTESIAN_POINT('',(51.11,47.,0.0700000000000145)); #542558=CARTESIAN_POINT('',(51.11,47.,-200.)); #542559=CARTESIAN_POINT('Origin',(51.25,47.,0.0700000000000145)); #542560=CARTESIAN_POINT('Origin',(50.6378,46.1745,0.035)); #542561=CARTESIAN_POINT('',(52.3142,46.1745,0.035)); #542562=CARTESIAN_POINT('',(50.6378,46.1745,0.035)); #542563=CARTESIAN_POINT('',(52.3142,46.1745,0.07)); #542564=CARTESIAN_POINT('',(52.3142,46.1745,0.035)); #542565=CARTESIAN_POINT('',(50.6378,46.1745,0.07)); #542566=CARTESIAN_POINT('Origin',(50.6378,46.1745,0.035)); #542567=CARTESIAN_POINT('',(50.6378,46.1745,0.07)); #542568=CARTESIAN_POINT('',(50.6378,46.1745,0.07)); #542569=CARTESIAN_POINT('',(50.6378,46.1745,0.035)); #542570=CARTESIAN_POINT('',(50.6378,46.1745,0.035)); #542571=CARTESIAN_POINT('',(50.6378,46.1745,0.035)); #542572=CARTESIAN_POINT('Origin',(50.6378,46.1745,0.035)); #542573=CARTESIAN_POINT('',(50.6378,46.1745,0.035)); #542574=CARTESIAN_POINT('',(50.6378,46.1745,0.07)); #542575=CARTESIAN_POINT('Origin',(52.3142,47.8255,0.035)); #542576=CARTESIAN_POINT('',(50.6378,47.8255,0.035)); #542577=CARTESIAN_POINT('',(52.3142,47.8255,0.035)); #542578=CARTESIAN_POINT('',(50.6378,47.8255,0.07)); #542579=CARTESIAN_POINT('',(50.6378,47.8255,0.035)); #542580=CARTESIAN_POINT('',(52.3142,47.8255,0.07)); #542581=CARTESIAN_POINT('Origin',(52.3142,47.8255,0.035)); #542582=CARTESIAN_POINT('',(52.3142,47.8255,0.07)); #542583=CARTESIAN_POINT('',(52.3142,47.8255,0.07)); #542584=CARTESIAN_POINT('',(52.3142,47.8255,0.035)); #542585=CARTESIAN_POINT('',(52.3142,47.8255,0.035)); #542586=CARTESIAN_POINT('',(52.3142,47.8255,0.035)); #542587=CARTESIAN_POINT('Origin',(52.3142,47.8255,0.035)); #542588=CARTESIAN_POINT('',(52.3142,47.8255,0.035)); #542589=CARTESIAN_POINT('',(52.3142,47.8255,0.07)); #542590=CARTESIAN_POINT('Origin',(51.476,47.,0.035)); #542591=CARTESIAN_POINT('',(52.3142,46.1745,0.035)); #542592=CARTESIAN_POINT('',(50.6378,47.8255,0.035)); #542593=CARTESIAN_POINT('Origin',(52.3142,46.1745,0.035)); #542594=CARTESIAN_POINT('',(52.3142,46.1745,0.07)); #542595=CARTESIAN_POINT('Origin',(50.6378,47.8255,0.035)); #542596=CARTESIAN_POINT('',(50.6378,47.8255,0.07)); #542597=CARTESIAN_POINT('Origin',(51.476,47.,0.07)); #542598=CARTESIAN_POINT('Origin',(14.1811,50.0555,0.035)); #542599=CARTESIAN_POINT('',(14.1811,50.0555,0.035)); #542600=CARTESIAN_POINT('',(14.1811,50.9445,0.035)); #542601=CARTESIAN_POINT('',(14.1811,50.0555,0.035)); #542602=CARTESIAN_POINT('',(14.1811,50.9445,0.07)); #542603=CARTESIAN_POINT('',(14.1811,50.9445,0.035)); #542604=CARTESIAN_POINT('',(14.1811,50.0555,0.07)); #542605=CARTESIAN_POINT('',(14.1811,50.0555,0.07)); #542606=CARTESIAN_POINT('',(14.1811,50.0555,0.035)); #542607=CARTESIAN_POINT('Origin',(13.3429,50.0555,0.035)); #542608=CARTESIAN_POINT('',(13.3429,50.0555,0.035)); #542609=CARTESIAN_POINT('',(13.3429,50.0555,0.035)); #542610=CARTESIAN_POINT('',(13.3429,50.0555,0.07)); #542611=CARTESIAN_POINT('',(13.3429,50.0555,0.07)); #542612=CARTESIAN_POINT('',(13.3429,50.0555,0.035)); #542613=CARTESIAN_POINT('Origin',(13.3429,50.9445,0.035)); #542614=CARTESIAN_POINT('',(13.3429,50.9445,0.035)); #542615=CARTESIAN_POINT('',(13.3429,50.9445,0.035)); #542616=CARTESIAN_POINT('',(13.3429,50.9445,0.07)); #542617=CARTESIAN_POINT('',(13.3429,50.9445,0.07)); #542618=CARTESIAN_POINT('',(13.3429,50.9445,0.035)); #542619=CARTESIAN_POINT('Origin',(14.1811,50.9445,0.035)); #542620=CARTESIAN_POINT('',(14.1811,50.9445,0.035)); #542621=CARTESIAN_POINT('',(14.1811,50.9445,0.07)); #542622=CARTESIAN_POINT('Origin',(13.762,50.5,0.07)); #542623=CARTESIAN_POINT('Origin',(13.762,50.5,0.035)); #542624=CARTESIAN_POINT('Origin',(30.9125,19.6125,0.035)); #542625=CARTESIAN_POINT('',(30.9125,19.6125,0.035)); #542626=CARTESIAN_POINT('',(30.9125,19.8875,0.035)); #542627=CARTESIAN_POINT('',(30.9125,19.6125,0.035)); #542628=CARTESIAN_POINT('',(30.9125,19.8875,0.07)); #542629=CARTESIAN_POINT('',(30.9125,19.8875,0.035)); #542630=CARTESIAN_POINT('',(30.9125,19.6125,0.07)); #542631=CARTESIAN_POINT('',(30.9125,19.6125,0.07)); #542632=CARTESIAN_POINT('',(30.9125,19.6125,0.035)); #542633=CARTESIAN_POINT('Origin',(30.2875,19.6125,0.035)); #542634=CARTESIAN_POINT('',(30.2875,19.6125,0.035)); #542635=CARTESIAN_POINT('',(30.2875,19.6125,0.035)); #542636=CARTESIAN_POINT('',(30.2875,19.6125,0.07)); #542637=CARTESIAN_POINT('',(30.2875,19.6125,0.07)); #542638=CARTESIAN_POINT('',(30.2875,19.6125,0.035)); #542639=CARTESIAN_POINT('Origin',(30.2875,19.8875,0.035)); #542640=CARTESIAN_POINT('',(30.2875,19.8875,0.035)); #542641=CARTESIAN_POINT('',(30.2875,19.8875,0.035)); #542642=CARTESIAN_POINT('',(30.2875,19.8875,0.07)); #542643=CARTESIAN_POINT('',(30.2875,19.8875,0.07)); #542644=CARTESIAN_POINT('',(30.2875,19.8875,0.035)); #542645=CARTESIAN_POINT('Origin',(30.9125,19.8875,0.035)); #542646=CARTESIAN_POINT('',(30.9125,19.8875,0.035)); #542647=CARTESIAN_POINT('',(30.9125,19.8875,0.07)); #542648=CARTESIAN_POINT('Origin',(30.6,19.75,0.07)); #542649=CARTESIAN_POINT('Origin',(30.6,19.75,0.035)); #542650=CARTESIAN_POINT('Origin',(16.6571,50.0555,0.035)); #542651=CARTESIAN_POINT('',(16.6571,50.0555,0.035)); #542652=CARTESIAN_POINT('',(16.6571,50.9445,0.035)); #542653=CARTESIAN_POINT('',(16.6571,50.0555,0.035)); #542654=CARTESIAN_POINT('',(16.6571,50.9445,0.07)); #542655=CARTESIAN_POINT('',(16.6571,50.9445,0.035)); #542656=CARTESIAN_POINT('',(16.6571,50.0555,0.07)); #542657=CARTESIAN_POINT('',(16.6571,50.0555,0.07)); #542658=CARTESIAN_POINT('',(16.6571,50.0555,0.035)); #542659=CARTESIAN_POINT('Origin',(15.8189,50.0555,0.035)); #542660=CARTESIAN_POINT('',(15.8189,50.0555,0.035)); #542661=CARTESIAN_POINT('',(15.8189,50.0555,0.035)); #542662=CARTESIAN_POINT('',(15.8189,50.0555,0.07)); #542663=CARTESIAN_POINT('',(15.8189,50.0555,0.07)); #542664=CARTESIAN_POINT('',(15.8189,50.0555,0.035)); #542665=CARTESIAN_POINT('Origin',(15.8189,50.9445,0.035)); #542666=CARTESIAN_POINT('',(15.8189,50.9445,0.035)); #542667=CARTESIAN_POINT('',(15.8189,50.9445,0.035)); #542668=CARTESIAN_POINT('',(15.8189,50.9445,0.07)); #542669=CARTESIAN_POINT('',(15.8189,50.9445,0.07)); #542670=CARTESIAN_POINT('',(15.8189,50.9445,0.035)); #542671=CARTESIAN_POINT('Origin',(16.6571,50.9445,0.035)); #542672=CARTESIAN_POINT('',(16.6571,50.9445,0.035)); #542673=CARTESIAN_POINT('',(16.6571,50.9445,0.07)); #542674=CARTESIAN_POINT('Origin',(16.238,50.5,0.07)); #542675=CARTESIAN_POINT('Origin',(16.238,50.5,0.035)); #542676=CARTESIAN_POINT('Origin',(31.4311,56.5555,0.035)); #542677=CARTESIAN_POINT('',(31.4311,56.5555,0.035)); #542678=CARTESIAN_POINT('',(31.4311,57.4445,0.035)); #542679=CARTESIAN_POINT('',(31.4311,56.5555,0.035)); #542680=CARTESIAN_POINT('',(31.4311,57.4445,0.07)); #542681=CARTESIAN_POINT('',(31.4311,57.4445,0.035)); #542682=CARTESIAN_POINT('',(31.4311,56.5555,0.07)); #542683=CARTESIAN_POINT('',(31.4311,56.5555,0.07)); #542684=CARTESIAN_POINT('',(31.4311,56.5555,0.035)); #542685=CARTESIAN_POINT('Origin',(30.5929,56.5555,0.035)); #542686=CARTESIAN_POINT('',(30.5929,56.5555,0.035)); #542687=CARTESIAN_POINT('',(30.5929,56.5555,0.035)); #542688=CARTESIAN_POINT('',(30.5929,56.5555,0.07)); #542689=CARTESIAN_POINT('',(30.5929,56.5555,0.07)); #542690=CARTESIAN_POINT('',(30.5929,56.5555,0.035)); #542691=CARTESIAN_POINT('Origin',(30.5929,57.4445,0.035)); #542692=CARTESIAN_POINT('',(30.5929,57.4445,0.035)); #542693=CARTESIAN_POINT('',(30.5929,57.4445,0.035)); #542694=CARTESIAN_POINT('',(30.5929,57.4445,0.07)); #542695=CARTESIAN_POINT('',(30.5929,57.4445,0.07)); #542696=CARTESIAN_POINT('',(30.5929,57.4445,0.035)); #542697=CARTESIAN_POINT('Origin',(31.4311,57.4445,0.035)); #542698=CARTESIAN_POINT('',(31.4311,57.4445,0.035)); #542699=CARTESIAN_POINT('',(31.4311,57.4445,0.07)); #542700=CARTESIAN_POINT('Origin',(31.012,57.,0.07)); #542701=CARTESIAN_POINT('Origin',(31.012,57.,0.035)); #542702=CARTESIAN_POINT('Origin',(31.4311,52.5555,0.035)); #542703=CARTESIAN_POINT('',(31.4311,52.5555,0.035)); #542704=CARTESIAN_POINT('',(31.4311,53.4445,0.035)); #542705=CARTESIAN_POINT('',(31.4311,52.5555,0.035)); #542706=CARTESIAN_POINT('',(31.4311,53.4445,0.07)); #542707=CARTESIAN_POINT('',(31.4311,53.4445,0.035)); #542708=CARTESIAN_POINT('',(31.4311,52.5555,0.07)); #542709=CARTESIAN_POINT('',(31.4311,52.5555,0.07)); #542710=CARTESIAN_POINT('',(31.4311,52.5555,0.035)); #542711=CARTESIAN_POINT('Origin',(30.5929,52.5555,0.035)); #542712=CARTESIAN_POINT('',(30.5929,52.5555,0.035)); #542713=CARTESIAN_POINT('',(30.5929,52.5555,0.035)); #542714=CARTESIAN_POINT('',(30.5929,52.5555,0.07)); #542715=CARTESIAN_POINT('',(30.5929,52.5555,0.07)); #542716=CARTESIAN_POINT('',(30.5929,52.5555,0.035)); #542717=CARTESIAN_POINT('Origin',(30.5929,53.4445,0.035)); #542718=CARTESIAN_POINT('',(30.5929,53.4445,0.035)); #542719=CARTESIAN_POINT('',(30.5929,53.4445,0.035)); #542720=CARTESIAN_POINT('',(30.5929,53.4445,0.07)); #542721=CARTESIAN_POINT('',(30.5929,53.4445,0.07)); #542722=CARTESIAN_POINT('',(30.5929,53.4445,0.035)); #542723=CARTESIAN_POINT('Origin',(31.4311,53.4445,0.035)); #542724=CARTESIAN_POINT('',(31.4311,53.4445,0.035)); #542725=CARTESIAN_POINT('',(31.4311,53.4445,0.07)); #542726=CARTESIAN_POINT('Origin',(31.012,53.,0.07)); #542727=CARTESIAN_POINT('Origin',(31.012,53.,0.035)); #542728=CARTESIAN_POINT('Origin',(37.4071,56.5555,0.035)); #542729=CARTESIAN_POINT('',(37.4071,56.5555,0.035)); #542730=CARTESIAN_POINT('',(37.4071,57.4445,0.035)); #542731=CARTESIAN_POINT('',(37.4071,56.5555,0.035)); #542732=CARTESIAN_POINT('',(37.4071,57.4445,0.07)); #542733=CARTESIAN_POINT('',(37.4071,57.4445,0.035)); #542734=CARTESIAN_POINT('',(37.4071,56.5555,0.07)); #542735=CARTESIAN_POINT('',(37.4071,56.5555,0.07)); #542736=CARTESIAN_POINT('',(37.4071,56.5555,0.035)); #542737=CARTESIAN_POINT('Origin',(36.5689,56.5555,0.035)); #542738=CARTESIAN_POINT('',(36.5689,56.5555,0.035)); #542739=CARTESIAN_POINT('',(36.5689,56.5555,0.035)); #542740=CARTESIAN_POINT('',(36.5689,56.5555,0.07)); #542741=CARTESIAN_POINT('',(36.5689,56.5555,0.07)); #542742=CARTESIAN_POINT('',(36.5689,56.5555,0.035)); #542743=CARTESIAN_POINT('Origin',(36.5689,57.4445,0.035)); #542744=CARTESIAN_POINT('',(36.5689,57.4445,0.035)); #542745=CARTESIAN_POINT('',(36.5689,57.4445,0.035)); #542746=CARTESIAN_POINT('',(36.5689,57.4445,0.07)); #542747=CARTESIAN_POINT('',(36.5689,57.4445,0.07)); #542748=CARTESIAN_POINT('',(36.5689,57.4445,0.035)); #542749=CARTESIAN_POINT('Origin',(37.4071,57.4445,0.035)); #542750=CARTESIAN_POINT('',(37.4071,57.4445,0.035)); #542751=CARTESIAN_POINT('',(37.4071,57.4445,0.07)); #542752=CARTESIAN_POINT('Origin',(36.988,57.,0.07)); #542753=CARTESIAN_POINT('Origin',(36.988,57.,0.035)); #542754=CARTESIAN_POINT('Origin',(37.4071,52.5555,0.035)); #542755=CARTESIAN_POINT('',(37.4071,52.5555,0.035)); #542756=CARTESIAN_POINT('',(37.4071,53.4445,0.035)); #542757=CARTESIAN_POINT('',(37.4071,52.5555,0.035)); #542758=CARTESIAN_POINT('',(37.4071,53.4445,0.07)); #542759=CARTESIAN_POINT('',(37.4071,53.4445,0.035)); #542760=CARTESIAN_POINT('',(37.4071,52.5555,0.07)); #542761=CARTESIAN_POINT('',(37.4071,52.5555,0.07)); #542762=CARTESIAN_POINT('',(37.4071,52.5555,0.035)); #542763=CARTESIAN_POINT('Origin',(36.5689,52.5555,0.035)); #542764=CARTESIAN_POINT('',(36.5689,52.5555,0.035)); #542765=CARTESIAN_POINT('',(36.5689,52.5555,0.035)); #542766=CARTESIAN_POINT('',(36.5689,52.5555,0.07)); #542767=CARTESIAN_POINT('',(36.5689,52.5555,0.07)); #542768=CARTESIAN_POINT('',(36.5689,52.5555,0.035)); #542769=CARTESIAN_POINT('Origin',(36.5689,53.4445,0.035)); #542770=CARTESIAN_POINT('',(36.5689,53.4445,0.035)); #542771=CARTESIAN_POINT('',(36.5689,53.4445,0.035)); #542772=CARTESIAN_POINT('',(36.5689,53.4445,0.07)); #542773=CARTESIAN_POINT('',(36.5689,53.4445,0.07)); #542774=CARTESIAN_POINT('',(36.5689,53.4445,0.035)); #542775=CARTESIAN_POINT('Origin',(37.4071,53.4445,0.035)); #542776=CARTESIAN_POINT('',(37.4071,53.4445,0.035)); #542777=CARTESIAN_POINT('',(37.4071,53.4445,0.07)); #542778=CARTESIAN_POINT('Origin',(36.988,53.,0.07)); #542779=CARTESIAN_POINT('Origin',(36.988,53.,0.035)); #542780=CARTESIAN_POINT('Origin',(44.4445,41.3429,0.035)); #542781=CARTESIAN_POINT('',(44.4445,41.3429,0.035)); #542782=CARTESIAN_POINT('',(44.4445,42.1811,0.035)); #542783=CARTESIAN_POINT('',(44.4445,41.3429,0.035)); #542784=CARTESIAN_POINT('',(44.4445,42.1811,0.07)); #542785=CARTESIAN_POINT('',(44.4445,42.1811,0.035)); #542786=CARTESIAN_POINT('',(44.4445,41.3429,0.07)); #542787=CARTESIAN_POINT('',(44.4445,41.3429,0.07)); #542788=CARTESIAN_POINT('',(44.4445,41.3429,0.035)); #542789=CARTESIAN_POINT('Origin',(43.5555,41.3429,0.035)); #542790=CARTESIAN_POINT('',(43.5555,41.3429,0.035)); #542791=CARTESIAN_POINT('',(43.5555,41.3429,0.035)); #542792=CARTESIAN_POINT('',(43.5555,41.3429,0.07)); #542793=CARTESIAN_POINT('',(43.5555,41.3429,0.07)); #542794=CARTESIAN_POINT('',(43.5555,41.3429,0.035)); #542795=CARTESIAN_POINT('Origin',(43.5555,42.1811,0.035)); #542796=CARTESIAN_POINT('',(43.5555,42.1811,0.035)); #542797=CARTESIAN_POINT('',(43.5555,42.1811,0.035)); #542798=CARTESIAN_POINT('',(43.5555,42.1811,0.07)); #542799=CARTESIAN_POINT('',(43.5555,42.1811,0.07)); #542800=CARTESIAN_POINT('',(43.5555,42.1811,0.035)); #542801=CARTESIAN_POINT('Origin',(44.4445,42.1811,0.035)); #542802=CARTESIAN_POINT('',(44.4445,42.1811,0.035)); #542803=CARTESIAN_POINT('',(44.4445,42.1811,0.07)); #542804=CARTESIAN_POINT('Origin',(44.,41.762,0.07)); #542805=CARTESIAN_POINT('Origin',(44.,41.762,0.035)); #542806=CARTESIAN_POINT('Origin',(46.1811,25.5555,0.035)); #542807=CARTESIAN_POINT('',(46.1811,25.5555,0.035)); #542808=CARTESIAN_POINT('',(46.1811,26.4445,0.035)); #542809=CARTESIAN_POINT('',(46.1811,25.5555,0.035)); #542810=CARTESIAN_POINT('',(46.1811,26.4445,0.07)); #542811=CARTESIAN_POINT('',(46.1811,26.4445,0.035)); #542812=CARTESIAN_POINT('',(46.1811,25.5555,0.07)); #542813=CARTESIAN_POINT('',(46.1811,25.5555,0.07)); #542814=CARTESIAN_POINT('',(46.1811,25.5555,0.035)); #542815=CARTESIAN_POINT('Origin',(45.3429,25.5555,0.035)); #542816=CARTESIAN_POINT('',(45.3429,25.5555,0.035)); #542817=CARTESIAN_POINT('',(45.3429,25.5555,0.035)); #542818=CARTESIAN_POINT('',(45.3429,25.5555,0.07)); #542819=CARTESIAN_POINT('',(45.3429,25.5555,0.07)); #542820=CARTESIAN_POINT('',(45.3429,25.5555,0.035)); #542821=CARTESIAN_POINT('Origin',(45.3429,26.4445,0.035)); #542822=CARTESIAN_POINT('',(45.3429,26.4445,0.035)); #542823=CARTESIAN_POINT('',(45.3429,26.4445,0.035)); #542824=CARTESIAN_POINT('',(45.3429,26.4445,0.07)); #542825=CARTESIAN_POINT('',(45.3429,26.4445,0.07)); #542826=CARTESIAN_POINT('',(45.3429,26.4445,0.035)); #542827=CARTESIAN_POINT('Origin',(46.1811,26.4445,0.035)); #542828=CARTESIAN_POINT('',(46.1811,26.4445,0.035)); #542829=CARTESIAN_POINT('',(46.1811,26.4445,0.07)); #542830=CARTESIAN_POINT('Origin',(45.762,26.,0.07)); #542831=CARTESIAN_POINT('Origin',(45.762,26.,0.035)); #542832=CARTESIAN_POINT('Origin',(31.3875,13.2875,0.035)); #542833=CARTESIAN_POINT('',(31.3875,13.2875,0.035)); #542834=CARTESIAN_POINT('',(31.3875,13.9125,0.035)); #542835=CARTESIAN_POINT('',(31.3875,13.2875,0.035)); #542836=CARTESIAN_POINT('',(31.3875,13.9125,0.07)); #542837=CARTESIAN_POINT('',(31.3875,13.9125,0.035)); #542838=CARTESIAN_POINT('',(31.3875,13.2875,0.07)); #542839=CARTESIAN_POINT('',(31.3875,13.2875,0.07)); #542840=CARTESIAN_POINT('',(31.3875,13.2875,0.035)); #542841=CARTESIAN_POINT('Origin',(31.1125,13.2875,0.035)); #542842=CARTESIAN_POINT('',(31.1125,13.2875,0.035)); #542843=CARTESIAN_POINT('',(31.1125,13.2875,0.035)); #542844=CARTESIAN_POINT('',(31.1125,13.2875,0.07)); #542845=CARTESIAN_POINT('',(31.1125,13.2875,0.07)); #542846=CARTESIAN_POINT('',(31.1125,13.2875,0.035)); #542847=CARTESIAN_POINT('Origin',(31.1125,13.9125,0.035)); #542848=CARTESIAN_POINT('',(31.1125,13.9125,0.035)); #542849=CARTESIAN_POINT('',(31.1125,13.9125,0.035)); #542850=CARTESIAN_POINT('',(31.1125,13.9125,0.07)); #542851=CARTESIAN_POINT('',(31.1125,13.9125,0.07)); #542852=CARTESIAN_POINT('',(31.1125,13.9125,0.035)); #542853=CARTESIAN_POINT('Origin',(31.3875,13.9125,0.035)); #542854=CARTESIAN_POINT('',(31.3875,13.9125,0.035)); #542855=CARTESIAN_POINT('',(31.3875,13.9125,0.07)); #542856=CARTESIAN_POINT('Origin',(31.25,13.6,0.07)); #542857=CARTESIAN_POINT('Origin',(31.25,13.6,0.035)); #542858=CARTESIAN_POINT('Origin',(43.9,17.775,0.035)); #542859=CARTESIAN_POINT('',(43.9,17.775,0.035)); #542860=CARTESIAN_POINT('',(43.9,18.175,0.035)); #542861=CARTESIAN_POINT('',(43.9,17.775,0.035)); #542862=CARTESIAN_POINT('',(43.9,18.175,0.07)); #542863=CARTESIAN_POINT('',(43.9,18.175,0.035)); #542864=CARTESIAN_POINT('',(43.9,17.775,0.07)); #542865=CARTESIAN_POINT('',(43.9,17.775,0.07)); #542866=CARTESIAN_POINT('',(43.9,17.775,0.035)); #542867=CARTESIAN_POINT('Origin',(43.1,17.775,0.035)); #542868=CARTESIAN_POINT('',(43.1,17.775,0.035)); #542869=CARTESIAN_POINT('',(43.1,17.775,0.035)); #542870=CARTESIAN_POINT('',(43.1,17.775,0.07)); #542871=CARTESIAN_POINT('',(43.1,17.775,0.07)); #542872=CARTESIAN_POINT('',(43.1,17.775,0.035)); #542873=CARTESIAN_POINT('Origin',(43.1,18.175,0.035)); #542874=CARTESIAN_POINT('',(43.1,18.175,0.035)); #542875=CARTESIAN_POINT('',(43.1,18.175,0.035)); #542876=CARTESIAN_POINT('',(43.1,18.175,0.07)); #542877=CARTESIAN_POINT('',(43.1,18.175,0.07)); #542878=CARTESIAN_POINT('',(43.1,18.175,0.035)); #542879=CARTESIAN_POINT('Origin',(43.9,18.175,0.035)); #542880=CARTESIAN_POINT('',(43.9,18.175,0.035)); #542881=CARTESIAN_POINT('',(43.9,18.175,0.07)); #542882=CARTESIAN_POINT('Origin',(43.5,17.975,0.07)); #542883=CARTESIAN_POINT('Origin',(43.5,17.975,0.035)); #542884=CARTESIAN_POINT('Origin',(43.9,17.125,0.035)); #542885=CARTESIAN_POINT('',(43.9,17.125,0.035)); #542886=CARTESIAN_POINT('',(43.9,17.525,0.035)); #542887=CARTESIAN_POINT('',(43.9,17.125,0.035)); #542888=CARTESIAN_POINT('',(43.9,17.525,0.07)); #542889=CARTESIAN_POINT('',(43.9,17.525,0.035)); #542890=CARTESIAN_POINT('',(43.9,17.125,0.07)); #542891=CARTESIAN_POINT('',(43.9,17.125,0.07)); #542892=CARTESIAN_POINT('',(43.9,17.125,0.035)); #542893=CARTESIAN_POINT('Origin',(43.1,17.125,0.035)); #542894=CARTESIAN_POINT('',(43.1,17.125,0.035)); #542895=CARTESIAN_POINT('',(43.1,17.125,0.035)); #542896=CARTESIAN_POINT('',(43.1,17.125,0.07)); #542897=CARTESIAN_POINT('',(43.1,17.125,0.07)); #542898=CARTESIAN_POINT('',(43.1,17.125,0.035)); #542899=CARTESIAN_POINT('Origin',(43.1,17.525,0.035)); #542900=CARTESIAN_POINT('',(43.1,17.525,0.035)); #542901=CARTESIAN_POINT('',(43.1,17.525,0.035)); #542902=CARTESIAN_POINT('',(43.1,17.525,0.07)); #542903=CARTESIAN_POINT('',(43.1,17.525,0.07)); #542904=CARTESIAN_POINT('',(43.1,17.525,0.035)); #542905=CARTESIAN_POINT('Origin',(43.9,17.525,0.035)); #542906=CARTESIAN_POINT('',(43.9,17.525,0.035)); #542907=CARTESIAN_POINT('',(43.9,17.525,0.07)); #542908=CARTESIAN_POINT('Origin',(43.5,17.325,0.07)); #542909=CARTESIAN_POINT('Origin',(43.5,17.325,0.035)); #542910=CARTESIAN_POINT('Origin',(44.3142,11.4245,0.035)); #542911=CARTESIAN_POINT('',(44.3142,11.4245,0.035)); #542912=CARTESIAN_POINT('',(44.3142,13.0755,0.035)); #542913=CARTESIAN_POINT('',(44.3142,11.4245,0.035)); #542914=CARTESIAN_POINT('',(44.3142,13.0755,0.07)); #542915=CARTESIAN_POINT('',(44.3142,13.0755,0.035)); #542916=CARTESIAN_POINT('',(44.3142,11.4245,0.07)); #542917=CARTESIAN_POINT('',(44.3142,11.4245,0.07)); #542918=CARTESIAN_POINT('',(44.3142,11.4245,0.035)); #542919=CARTESIAN_POINT('Origin',(42.6378,11.4245,0.035)); #542920=CARTESIAN_POINT('',(42.6378,11.4245,0.035)); #542921=CARTESIAN_POINT('',(42.6378,11.4245,0.035)); #542922=CARTESIAN_POINT('',(42.6378,11.4245,0.07)); #542923=CARTESIAN_POINT('',(42.6378,11.4245,0.07)); #542924=CARTESIAN_POINT('',(42.6378,11.4245,0.035)); #542925=CARTESIAN_POINT('Origin',(42.6378,13.0755,0.035)); #542926=CARTESIAN_POINT('',(42.6378,13.0755,0.035)); #542927=CARTESIAN_POINT('',(42.6378,13.0755,0.035)); #542928=CARTESIAN_POINT('',(42.6378,13.0755,0.07)); #542929=CARTESIAN_POINT('',(42.6378,13.0755,0.07)); #542930=CARTESIAN_POINT('',(42.6378,13.0755,0.035)); #542931=CARTESIAN_POINT('Origin',(44.3142,13.0755,0.035)); #542932=CARTESIAN_POINT('',(44.3142,13.0755,0.035)); #542933=CARTESIAN_POINT('',(44.3142,13.0755,0.07)); #542934=CARTESIAN_POINT('Origin',(43.476,12.25,0.07)); #542935=CARTESIAN_POINT('Origin',(43.476,12.25,0.035)); #542936=CARTESIAN_POINT('Origin',(37.7125,17.6125,0.035)); #542937=CARTESIAN_POINT('',(37.7125,17.6125,0.035)); #542938=CARTESIAN_POINT('',(37.7125,17.8875,0.035)); #542939=CARTESIAN_POINT('',(37.7125,17.6125,0.035)); #542940=CARTESIAN_POINT('',(37.7125,17.8875,0.07)); #542941=CARTESIAN_POINT('',(37.7125,17.8875,0.035)); #542942=CARTESIAN_POINT('',(37.7125,17.6125,0.07)); #542943=CARTESIAN_POINT('',(37.7125,17.6125,0.07)); #542944=CARTESIAN_POINT('',(37.7125,17.6125,0.035)); #542945=CARTESIAN_POINT('Origin',(37.0875,17.6125,0.035)); #542946=CARTESIAN_POINT('',(37.0875,17.6125,0.035)); #542947=CARTESIAN_POINT('',(37.0875,17.6125,0.035)); #542948=CARTESIAN_POINT('',(37.0875,17.6125,0.07)); #542949=CARTESIAN_POINT('',(37.0875,17.6125,0.07)); #542950=CARTESIAN_POINT('',(37.0875,17.6125,0.035)); #542951=CARTESIAN_POINT('Origin',(37.0875,17.8875,0.035)); #542952=CARTESIAN_POINT('',(37.0875,17.8875,0.035)); #542953=CARTESIAN_POINT('',(37.0875,17.8875,0.035)); #542954=CARTESIAN_POINT('',(37.0875,17.8875,0.07)); #542955=CARTESIAN_POINT('',(37.0875,17.8875,0.07)); #542956=CARTESIAN_POINT('',(37.0875,17.8875,0.035)); #542957=CARTESIAN_POINT('Origin',(37.7125,17.8875,0.035)); #542958=CARTESIAN_POINT('',(37.7125,17.8875,0.035)); #542959=CARTESIAN_POINT('',(37.7125,17.8875,0.07)); #542960=CARTESIAN_POINT('Origin',(37.4,17.75,0.07)); #542961=CARTESIAN_POINT('Origin',(37.4,17.75,0.035)); #542962=CARTESIAN_POINT('Origin',(43.9,16.475,0.035)); #542963=CARTESIAN_POINT('',(43.9,16.475,0.035)); #542964=CARTESIAN_POINT('',(43.9,16.875,0.035)); #542965=CARTESIAN_POINT('',(43.9,16.475,0.035)); #542966=CARTESIAN_POINT('',(43.9,16.875,0.07)); #542967=CARTESIAN_POINT('',(43.9,16.875,0.035)); #542968=CARTESIAN_POINT('',(43.9,16.475,0.07)); #542969=CARTESIAN_POINT('',(43.9,16.475,0.07)); #542970=CARTESIAN_POINT('',(43.9,16.475,0.035)); #542971=CARTESIAN_POINT('Origin',(43.1,16.475,0.035)); #542972=CARTESIAN_POINT('',(43.1,16.475,0.035)); #542973=CARTESIAN_POINT('',(43.1,16.475,0.035)); #542974=CARTESIAN_POINT('',(43.1,16.475,0.07)); #542975=CARTESIAN_POINT('',(43.1,16.475,0.07)); #542976=CARTESIAN_POINT('',(43.1,16.475,0.035)); #542977=CARTESIAN_POINT('Origin',(43.1,16.875,0.035)); #542978=CARTESIAN_POINT('',(43.1,16.875,0.035)); #542979=CARTESIAN_POINT('',(43.1,16.875,0.035)); #542980=CARTESIAN_POINT('',(43.1,16.875,0.07)); #542981=CARTESIAN_POINT('',(43.1,16.875,0.07)); #542982=CARTESIAN_POINT('',(43.1,16.875,0.035)); #542983=CARTESIAN_POINT('Origin',(43.9,16.875,0.035)); #542984=CARTESIAN_POINT('',(43.9,16.875,0.035)); #542985=CARTESIAN_POINT('',(43.9,16.875,0.07)); #542986=CARTESIAN_POINT('Origin',(43.5,16.675,0.07)); #542987=CARTESIAN_POINT('Origin',(43.5,16.675,0.035)); #542988=CARTESIAN_POINT('Origin',(21.4445,27.8189,0.035)); #542989=CARTESIAN_POINT('',(21.4445,27.8189,0.035)); #542990=CARTESIAN_POINT('',(21.4445,28.6571,0.035)); #542991=CARTESIAN_POINT('',(21.4445,27.8189,0.035)); #542992=CARTESIAN_POINT('',(21.4445,28.6571,0.07)); #542993=CARTESIAN_POINT('',(21.4445,28.6571,0.035)); #542994=CARTESIAN_POINT('',(21.4445,27.8189,0.07)); #542995=CARTESIAN_POINT('',(21.4445,27.8189,0.07)); #542996=CARTESIAN_POINT('',(21.4445,27.8189,0.035)); #542997=CARTESIAN_POINT('Origin',(20.5555,27.8189,0.035)); #542998=CARTESIAN_POINT('',(20.5555,27.8189,0.035)); #542999=CARTESIAN_POINT('',(20.5555,27.8189,0.035)); #543000=CARTESIAN_POINT('',(20.5555,27.8189,0.07)); #543001=CARTESIAN_POINT('',(20.5555,27.8189,0.07)); #543002=CARTESIAN_POINT('',(20.5555,27.8189,0.035)); #543003=CARTESIAN_POINT('Origin',(20.5555,28.6571,0.035)); #543004=CARTESIAN_POINT('',(20.5555,28.6571,0.035)); #543005=CARTESIAN_POINT('',(20.5555,28.6571,0.035)); #543006=CARTESIAN_POINT('',(20.5555,28.6571,0.07)); #543007=CARTESIAN_POINT('',(20.5555,28.6571,0.07)); #543008=CARTESIAN_POINT('',(20.5555,28.6571,0.035)); #543009=CARTESIAN_POINT('Origin',(21.4445,28.6571,0.035)); #543010=CARTESIAN_POINT('',(21.4445,28.6571,0.035)); #543011=CARTESIAN_POINT('',(21.4445,28.6571,0.07)); #543012=CARTESIAN_POINT('Origin',(21.,28.238,0.07)); #543013=CARTESIAN_POINT('Origin',(21.,28.238,0.035)); #543014=CARTESIAN_POINT('Origin',(30.9125,17.6125,0.035)); #543015=CARTESIAN_POINT('',(30.9125,17.6125,0.035)); #543016=CARTESIAN_POINT('',(30.9125,17.8875,0.035)); #543017=CARTESIAN_POINT('',(30.9125,17.6125,0.035)); #543018=CARTESIAN_POINT('',(30.9125,17.8875,0.07)); #543019=CARTESIAN_POINT('',(30.9125,17.8875,0.035)); #543020=CARTESIAN_POINT('',(30.9125,17.6125,0.07)); #543021=CARTESIAN_POINT('',(30.9125,17.6125,0.07)); #543022=CARTESIAN_POINT('',(30.9125,17.6125,0.035)); #543023=CARTESIAN_POINT('Origin',(30.2875,17.6125,0.035)); #543024=CARTESIAN_POINT('',(30.2875,17.6125,0.035)); #543025=CARTESIAN_POINT('',(30.2875,17.6125,0.035)); #543026=CARTESIAN_POINT('',(30.2875,17.6125,0.07)); #543027=CARTESIAN_POINT('',(30.2875,17.6125,0.07)); #543028=CARTESIAN_POINT('',(30.2875,17.6125,0.035)); #543029=CARTESIAN_POINT('Origin',(30.2875,17.8875,0.035)); #543030=CARTESIAN_POINT('',(30.2875,17.8875,0.035)); #543031=CARTESIAN_POINT('',(30.2875,17.8875,0.035)); #543032=CARTESIAN_POINT('',(30.2875,17.8875,0.07)); #543033=CARTESIAN_POINT('',(30.2875,17.8875,0.07)); #543034=CARTESIAN_POINT('',(30.2875,17.8875,0.035)); #543035=CARTESIAN_POINT('Origin',(30.9125,17.8875,0.035)); #543036=CARTESIAN_POINT('',(30.9125,17.8875,0.035)); #543037=CARTESIAN_POINT('',(30.9125,17.8875,0.07)); #543038=CARTESIAN_POINT('Origin',(30.6,17.75,0.07)); #543039=CARTESIAN_POINT('Origin',(30.6,17.75,0.035)); #543040=CARTESIAN_POINT('Origin',(25.4445,27.8189,0.035)); #543041=CARTESIAN_POINT('',(25.4445,27.8189,0.035)); #543042=CARTESIAN_POINT('',(25.4445,28.6571,0.035)); #543043=CARTESIAN_POINT('',(25.4445,27.8189,0.035)); #543044=CARTESIAN_POINT('',(25.4445,28.6571,0.07)); #543045=CARTESIAN_POINT('',(25.4445,28.6571,0.035)); #543046=CARTESIAN_POINT('',(25.4445,27.8189,0.07)); #543047=CARTESIAN_POINT('',(25.4445,27.8189,0.07)); #543048=CARTESIAN_POINT('',(25.4445,27.8189,0.035)); #543049=CARTESIAN_POINT('Origin',(24.5555,27.8189,0.035)); #543050=CARTESIAN_POINT('',(24.5555,27.8189,0.035)); #543051=CARTESIAN_POINT('',(24.5555,27.8189,0.035)); #543052=CARTESIAN_POINT('',(24.5555,27.8189,0.07)); #543053=CARTESIAN_POINT('',(24.5555,27.8189,0.07)); #543054=CARTESIAN_POINT('',(24.5555,27.8189,0.035)); #543055=CARTESIAN_POINT('Origin',(24.5555,28.6571,0.035)); #543056=CARTESIAN_POINT('',(24.5555,28.6571,0.035)); #543057=CARTESIAN_POINT('',(24.5555,28.6571,0.035)); #543058=CARTESIAN_POINT('',(24.5555,28.6571,0.07)); #543059=CARTESIAN_POINT('',(24.5555,28.6571,0.07)); #543060=CARTESIAN_POINT('',(24.5555,28.6571,0.035)); #543061=CARTESIAN_POINT('Origin',(25.4445,28.6571,0.035)); #543062=CARTESIAN_POINT('',(25.4445,28.6571,0.035)); #543063=CARTESIAN_POINT('',(25.4445,28.6571,0.07)); #543064=CARTESIAN_POINT('Origin',(25.,28.238,0.07)); #543065=CARTESIAN_POINT('Origin',(25.,28.238,0.035)); #543066=CARTESIAN_POINT('Origin',(30.9125,16.1125,0.035)); #543067=CARTESIAN_POINT('',(30.9125,16.1125,0.035)); #543068=CARTESIAN_POINT('',(30.9125,16.3875,0.035)); #543069=CARTESIAN_POINT('',(30.9125,16.1125,0.035)); #543070=CARTESIAN_POINT('',(30.9125,16.3875,0.07)); #543071=CARTESIAN_POINT('',(30.9125,16.3875,0.035)); #543072=CARTESIAN_POINT('',(30.9125,16.1125,0.07)); #543073=CARTESIAN_POINT('',(30.9125,16.1125,0.07)); #543074=CARTESIAN_POINT('',(30.9125,16.1125,0.035)); #543075=CARTESIAN_POINT('Origin',(30.2875,16.1125,0.035)); #543076=CARTESIAN_POINT('',(30.2875,16.1125,0.035)); #543077=CARTESIAN_POINT('',(30.2875,16.1125,0.035)); #543078=CARTESIAN_POINT('',(30.2875,16.1125,0.07)); #543079=CARTESIAN_POINT('',(30.2875,16.1125,0.07)); #543080=CARTESIAN_POINT('',(30.2875,16.1125,0.035)); #543081=CARTESIAN_POINT('Origin',(30.2875,16.3875,0.035)); #543082=CARTESIAN_POINT('',(30.2875,16.3875,0.035)); #543083=CARTESIAN_POINT('',(30.2875,16.3875,0.035)); #543084=CARTESIAN_POINT('',(30.2875,16.3875,0.07)); #543085=CARTESIAN_POINT('',(30.2875,16.3875,0.07)); #543086=CARTESIAN_POINT('',(30.2875,16.3875,0.035)); #543087=CARTESIAN_POINT('Origin',(30.9125,16.3875,0.035)); #543088=CARTESIAN_POINT('',(30.9125,16.3875,0.035)); #543089=CARTESIAN_POINT('',(30.9125,16.3875,0.07)); #543090=CARTESIAN_POINT('Origin',(30.6,16.25,0.07)); #543091=CARTESIAN_POINT('Origin',(30.6,16.25,0.035)); #543092=CARTESIAN_POINT('Origin',(26.1811,17.5555,0.035)); #543093=CARTESIAN_POINT('',(26.1811,17.5555,0.035)); #543094=CARTESIAN_POINT('',(26.1811,18.4445,0.035)); #543095=CARTESIAN_POINT('',(26.1811,17.5555,0.035)); #543096=CARTESIAN_POINT('',(26.1811,18.4445,0.07)); #543097=CARTESIAN_POINT('',(26.1811,18.4445,0.035)); #543098=CARTESIAN_POINT('',(26.1811,17.5555,0.07)); #543099=CARTESIAN_POINT('',(26.1811,17.5555,0.07)); #543100=CARTESIAN_POINT('',(26.1811,17.5555,0.035)); #543101=CARTESIAN_POINT('Origin',(25.3429,17.5555,0.035)); #543102=CARTESIAN_POINT('',(25.3429,17.5555,0.035)); #543103=CARTESIAN_POINT('',(25.3429,17.5555,0.035)); #543104=CARTESIAN_POINT('',(25.3429,17.5555,0.07)); #543105=CARTESIAN_POINT('',(25.3429,17.5555,0.07)); #543106=CARTESIAN_POINT('',(25.3429,17.5555,0.035)); #543107=CARTESIAN_POINT('Origin',(25.3429,18.4445,0.035)); #543108=CARTESIAN_POINT('',(25.3429,18.4445,0.035)); #543109=CARTESIAN_POINT('',(25.3429,18.4445,0.035)); #543110=CARTESIAN_POINT('',(25.3429,18.4445,0.07)); #543111=CARTESIAN_POINT('',(25.3429,18.4445,0.07)); #543112=CARTESIAN_POINT('',(25.3429,18.4445,0.035)); #543113=CARTESIAN_POINT('Origin',(26.1811,18.4445,0.035)); #543114=CARTESIAN_POINT('',(26.1811,18.4445,0.035)); #543115=CARTESIAN_POINT('',(26.1811,18.4445,0.07)); #543116=CARTESIAN_POINT('Origin',(25.762,18.,0.07)); #543117=CARTESIAN_POINT('Origin',(25.762,18.,0.035)); #543118=CARTESIAN_POINT('Origin',(30.9125,14.6125,0.035)); #543119=CARTESIAN_POINT('',(30.9125,14.6125,0.035)); #543120=CARTESIAN_POINT('',(30.9125,14.8875,0.035)); #543121=CARTESIAN_POINT('',(30.9125,14.6125,0.035)); #543122=CARTESIAN_POINT('',(30.9125,14.8875,0.07)); #543123=CARTESIAN_POINT('',(30.9125,14.8875,0.035)); #543124=CARTESIAN_POINT('',(30.9125,14.6125,0.07)); #543125=CARTESIAN_POINT('',(30.9125,14.6125,0.07)); #543126=CARTESIAN_POINT('',(30.9125,14.6125,0.035)); #543127=CARTESIAN_POINT('Origin',(30.2875,14.6125,0.035)); #543128=CARTESIAN_POINT('',(30.2875,14.6125,0.035)); #543129=CARTESIAN_POINT('',(30.2875,14.6125,0.035)); #543130=CARTESIAN_POINT('',(30.2875,14.6125,0.07)); #543131=CARTESIAN_POINT('',(30.2875,14.6125,0.07)); #543132=CARTESIAN_POINT('',(30.2875,14.6125,0.035)); #543133=CARTESIAN_POINT('Origin',(30.2875,14.8875,0.035)); #543134=CARTESIAN_POINT('',(30.2875,14.8875,0.035)); #543135=CARTESIAN_POINT('',(30.2875,14.8875,0.035)); #543136=CARTESIAN_POINT('',(30.2875,14.8875,0.07)); #543137=CARTESIAN_POINT('',(30.2875,14.8875,0.07)); #543138=CARTESIAN_POINT('',(30.2875,14.8875,0.035)); #543139=CARTESIAN_POINT('Origin',(30.9125,14.8875,0.035)); #543140=CARTESIAN_POINT('',(30.9125,14.8875,0.035)); #543141=CARTESIAN_POINT('',(30.9125,14.8875,0.07)); #543142=CARTESIAN_POINT('Origin',(30.6,14.75,0.07)); #543143=CARTESIAN_POINT('Origin',(30.6,14.75,0.035)); #543144=CARTESIAN_POINT('',(0.,0.,0.)); #543145=CARTESIAN_POINT('Origin',(32.73,45.,-200.)); #543146=CARTESIAN_POINT('',(32.165,45.,0.)); #543147=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #543148=CARTESIAN_POINT('',(32.165,45.,0.0349999999999895)); #543149=CARTESIAN_POINT('',(32.165,45.,-200.)); #543150=CARTESIAN_POINT('Origin',(32.73,45.,0.0349999999999895)); #543151=CARTESIAN_POINT('Origin',(32.769294,44.1,0.)); #543152=CARTESIAN_POINT('',(32.769294,44.1,0.)); #543153=CARTESIAN_POINT('',(32.847584,44.10685,0.)); #543154=CARTESIAN_POINT('',(32.769294,44.1,0.)); #543155=CARTESIAN_POINT('',(32.847584,44.10685,0.035)); #543156=CARTESIAN_POINT('',(32.847584,44.10685,0.)); #543157=CARTESIAN_POINT('',(32.769294,44.1,0.035)); #543158=CARTESIAN_POINT('',(32.769294,44.1,0.035)); #543159=CARTESIAN_POINT('',(32.769294,44.1,0.)); #543160=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,0.)); #543161=CARTESIAN_POINT('',(32.612416,45.89315,0.)); #543162=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,0.)); #543163=CARTESIAN_POINT('',(32.612416,45.89315,0.035)); #543164=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,0.035)); #543165=CARTESIAN_POINT('',(32.612416,45.89315,0.)); #543166=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #543167=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #543168=CARTESIAN_POINT('Origin',(32.73,45.,0.035)); #543169=CARTESIAN_POINT('Origin',(32.7282590237178,44.9986240251875,0.035)); #543170=CARTESIAN_POINT('Origin',(32.7282590237178,44.9986240251875,0.)); #543171=CARTESIAN_POINT('Origin',(21.2675,21.215,0.)); #543172=CARTESIAN_POINT('',(21.2675,21.215,0.)); #543173=CARTESIAN_POINT('',(21.2675,22.485,0.)); #543174=CARTESIAN_POINT('',(21.2675,21.215,0.)); #543175=CARTESIAN_POINT('',(21.2675,22.485,0.035)); #543176=CARTESIAN_POINT('',(21.2675,22.485,0.)); #543177=CARTESIAN_POINT('',(21.2675,21.215,0.035)); #543178=CARTESIAN_POINT('',(21.2675,21.215,0.035)); #543179=CARTESIAN_POINT('',(21.2675,21.215,0.)); #543180=CARTESIAN_POINT('Origin',(20.6325,21.215,0.)); #543181=CARTESIAN_POINT('',(20.6325,21.215,0.)); #543182=CARTESIAN_POINT('',(20.6325,21.215,0.)); #543183=CARTESIAN_POINT('',(20.6325,21.215,0.035)); #543184=CARTESIAN_POINT('',(20.6325,21.215,0.035)); #543185=CARTESIAN_POINT('',(20.6325,21.215,0.)); #543186=CARTESIAN_POINT('Origin',(20.6325,22.485,0.)); #543187=CARTESIAN_POINT('',(20.6325,22.485,0.)); #543188=CARTESIAN_POINT('',(20.6325,22.485,0.)); #543189=CARTESIAN_POINT('',(20.6325,22.485,0.035)); #543190=CARTESIAN_POINT('',(20.6325,22.485,0.035)); #543191=CARTESIAN_POINT('',(20.6325,22.485,0.)); #543192=CARTESIAN_POINT('Origin',(21.2675,22.485,0.)); #543193=CARTESIAN_POINT('',(21.2675,22.485,0.)); #543194=CARTESIAN_POINT('',(21.2675,22.485,0.035)); #543195=CARTESIAN_POINT('Origin',(20.95,21.85,0.035)); #543196=CARTESIAN_POINT('Origin',(20.95,21.85,0.)); #543197=CARTESIAN_POINT('Origin',(32.9,6.67,0.)); #543198=CARTESIAN_POINT('',(32.9,6.67,0.)); #543199=CARTESIAN_POINT('',(32.9,7.82,0.)); #543200=CARTESIAN_POINT('',(32.9,6.67,0.)); #543201=CARTESIAN_POINT('',(32.9,7.82,0.035)); #543202=CARTESIAN_POINT('',(32.9,7.82,0.)); #543203=CARTESIAN_POINT('',(32.9,6.67,0.035)); #543204=CARTESIAN_POINT('',(32.9,6.67,0.035)); #543205=CARTESIAN_POINT('',(32.9,6.67,0.)); #543206=CARTESIAN_POINT('Origin',(32.6,6.67,0.)); #543207=CARTESIAN_POINT('',(32.6,6.67,0.)); #543208=CARTESIAN_POINT('',(32.6,6.67,0.)); #543209=CARTESIAN_POINT('',(32.6,6.67,0.035)); #543210=CARTESIAN_POINT('',(32.6,6.67,0.035)); #543211=CARTESIAN_POINT('',(32.6,6.67,0.)); #543212=CARTESIAN_POINT('Origin',(32.6,7.82,0.)); #543213=CARTESIAN_POINT('',(32.6,7.82,0.)); #543214=CARTESIAN_POINT('',(32.6,7.82,0.)); #543215=CARTESIAN_POINT('',(32.6,7.82,0.035)); #543216=CARTESIAN_POINT('',(32.6,7.82,0.035)); #543217=CARTESIAN_POINT('',(32.6,7.82,0.)); #543218=CARTESIAN_POINT('Origin',(32.9,7.82,0.)); #543219=CARTESIAN_POINT('',(32.9,7.82,0.)); #543220=CARTESIAN_POINT('',(32.9,7.82,0.035)); #543221=CARTESIAN_POINT('Origin',(32.75,7.245,0.035)); #543222=CARTESIAN_POINT('Origin',(32.75,7.245,0.)); #543223=CARTESIAN_POINT('Origin',(36.4,7.1,-200.)); #543224=CARTESIAN_POINT('',(36.285,7.1,0.)); #543225=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #543226=CARTESIAN_POINT('',(36.285,7.1,0.0349999999999895)); #543227=CARTESIAN_POINT('',(36.285,7.1,-200.)); #543228=CARTESIAN_POINT('Origin',(36.4,7.1,0.0349999999999895)); #543229=CARTESIAN_POINT('Origin',(36.4,7.6,-200.)); #543230=CARTESIAN_POINT('',(36.285,7.6,0.)); #543231=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #543232=CARTESIAN_POINT('',(36.285,7.6,0.0349999999999895)); #543233=CARTESIAN_POINT('',(36.285,7.6,-200.)); #543234=CARTESIAN_POINT('Origin',(36.4,7.6,0.0349999999999895)); #543235=CARTESIAN_POINT('Origin',(36.705,6.665,0.)); #543236=CARTESIAN_POINT('',(36.705,6.665,0.)); #543237=CARTESIAN_POINT('',(36.705,7.825,0.)); #543238=CARTESIAN_POINT('',(36.705,6.665,0.)); #543239=CARTESIAN_POINT('',(36.705,7.825,0.035)); #543240=CARTESIAN_POINT('',(36.705,7.825,0.)); #543241=CARTESIAN_POINT('',(36.705,6.665,0.035)); #543242=CARTESIAN_POINT('',(36.705,6.665,0.035)); #543243=CARTESIAN_POINT('',(36.705,6.665,0.)); #543244=CARTESIAN_POINT('Origin',(36.095,6.665,0.)); #543245=CARTESIAN_POINT('',(36.095,6.665,0.)); #543246=CARTESIAN_POINT('',(36.095,6.665,0.)); #543247=CARTESIAN_POINT('',(36.095,6.665,0.035)); #543248=CARTESIAN_POINT('',(36.095,6.665,0.035)); #543249=CARTESIAN_POINT('',(36.095,6.665,0.)); #543250=CARTESIAN_POINT('Origin',(36.095,7.825,0.)); #543251=CARTESIAN_POINT('',(36.095,7.825,0.)); #543252=CARTESIAN_POINT('',(36.095,7.825,0.)); #543253=CARTESIAN_POINT('',(36.095,7.825,0.035)); #543254=CARTESIAN_POINT('',(36.095,7.825,0.035)); #543255=CARTESIAN_POINT('',(36.095,7.825,0.)); #543256=CARTESIAN_POINT('Origin',(36.705,7.825,0.)); #543257=CARTESIAN_POINT('',(36.705,7.825,0.)); #543258=CARTESIAN_POINT('',(36.705,7.825,0.035)); #543259=CARTESIAN_POINT('Origin',(36.4,7.245,0.035)); #543260=CARTESIAN_POINT('Origin',(36.4,7.245,0.)); #543261=CARTESIAN_POINT('Origin',(46.3998,17.5585,0.)); #543262=CARTESIAN_POINT('',(46.3998,17.5585,0.)); #543263=CARTESIAN_POINT('',(46.3998,19.2095,0.)); #543264=CARTESIAN_POINT('',(46.3998,17.5585,0.)); #543265=CARTESIAN_POINT('',(46.3998,19.2095,0.035)); #543266=CARTESIAN_POINT('',(46.3998,19.2095,0.)); #543267=CARTESIAN_POINT('',(46.3998,17.5585,0.035)); #543268=CARTESIAN_POINT('',(46.3998,17.5585,0.035)); #543269=CARTESIAN_POINT('',(46.3998,17.5585,0.)); #543270=CARTESIAN_POINT('Origin',(45.7902,17.5585,0.)); #543271=CARTESIAN_POINT('',(45.7902,17.5585,0.)); #543272=CARTESIAN_POINT('',(45.7902,17.5585,0.)); #543273=CARTESIAN_POINT('',(45.7902,17.5585,0.035)); #543274=CARTESIAN_POINT('',(45.7902,17.5585,0.035)); #543275=CARTESIAN_POINT('',(45.7902,17.5585,0.)); #543276=CARTESIAN_POINT('Origin',(45.7902,19.2095,0.)); #543277=CARTESIAN_POINT('',(45.7902,19.2095,0.)); #543278=CARTESIAN_POINT('',(45.7902,19.2095,0.)); #543279=CARTESIAN_POINT('',(45.7902,19.2095,0.035)); #543280=CARTESIAN_POINT('',(45.7902,19.2095,0.035)); #543281=CARTESIAN_POINT('',(45.7902,19.2095,0.)); #543282=CARTESIAN_POINT('Origin',(46.3998,19.2095,0.)); #543283=CARTESIAN_POINT('',(46.3998,19.2095,0.)); #543284=CARTESIAN_POINT('',(46.3998,19.2095,0.035)); #543285=CARTESIAN_POINT('Origin',(46.095,18.384,0.035)); #543286=CARTESIAN_POINT('Origin',(46.095,18.384,0.)); #543287=CARTESIAN_POINT('Origin',(31.6,7.6,-200.)); #543288=CARTESIAN_POINT('',(31.485,7.6,0.)); #543289=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #543290=CARTESIAN_POINT('',(31.485,7.6,0.0349999999999895)); #543291=CARTESIAN_POINT('',(31.485,7.6,-200.)); #543292=CARTESIAN_POINT('Origin',(31.6,7.6,0.0349999999999895)); #543293=CARTESIAN_POINT('Origin',(31.6,7.1,-200.)); #543294=CARTESIAN_POINT('',(31.485,7.1,0.)); #543295=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #543296=CARTESIAN_POINT('',(31.485,7.1,0.0349999999999895)); #543297=CARTESIAN_POINT('',(31.485,7.1,-200.)); #543298=CARTESIAN_POINT('Origin',(31.6,7.1,0.0349999999999895)); #543299=CARTESIAN_POINT('Origin',(31.905,6.665,0.)); #543300=CARTESIAN_POINT('',(31.905,6.665,0.)); #543301=CARTESIAN_POINT('',(31.905,7.825,0.)); #543302=CARTESIAN_POINT('',(31.905,6.665,0.)); #543303=CARTESIAN_POINT('',(31.905,7.825,0.035)); #543304=CARTESIAN_POINT('',(31.905,7.825,0.)); #543305=CARTESIAN_POINT('',(31.905,6.665,0.035)); #543306=CARTESIAN_POINT('',(31.905,6.665,0.035)); #543307=CARTESIAN_POINT('',(31.905,6.665,0.)); #543308=CARTESIAN_POINT('Origin',(31.295,6.665,0.)); #543309=CARTESIAN_POINT('',(31.295,6.665,0.)); #543310=CARTESIAN_POINT('',(31.295,6.665,0.)); #543311=CARTESIAN_POINT('',(31.295,6.665,0.035)); #543312=CARTESIAN_POINT('',(31.295,6.665,0.035)); #543313=CARTESIAN_POINT('',(31.295,6.665,0.)); #543314=CARTESIAN_POINT('Origin',(31.295,7.825,0.)); #543315=CARTESIAN_POINT('',(31.295,7.825,0.)); #543316=CARTESIAN_POINT('',(31.295,7.825,0.)); #543317=CARTESIAN_POINT('',(31.295,7.825,0.035)); #543318=CARTESIAN_POINT('',(31.295,7.825,0.035)); #543319=CARTESIAN_POINT('',(31.295,7.825,0.)); #543320=CARTESIAN_POINT('Origin',(31.905,7.825,0.)); #543321=CARTESIAN_POINT('',(31.905,7.825,0.)); #543322=CARTESIAN_POINT('',(31.905,7.825,0.035)); #543323=CARTESIAN_POINT('Origin',(31.6,7.245,0.035)); #543324=CARTESIAN_POINT('Origin',(31.6,7.245,0.)); #543325=CARTESIAN_POINT('Origin',(35.9,6.67,0.)); #543326=CARTESIAN_POINT('',(35.9,6.67,0.)); #543327=CARTESIAN_POINT('',(35.9,7.82,0.)); #543328=CARTESIAN_POINT('',(35.9,6.67,0.)); #543329=CARTESIAN_POINT('',(35.9,7.82,0.035)); #543330=CARTESIAN_POINT('',(35.9,7.82,0.)); #543331=CARTESIAN_POINT('',(35.9,6.67,0.035)); #543332=CARTESIAN_POINT('',(35.9,6.67,0.035)); #543333=CARTESIAN_POINT('',(35.9,6.67,0.)); #543334=CARTESIAN_POINT('Origin',(35.6,6.67,0.)); #543335=CARTESIAN_POINT('',(35.6,6.67,0.)); #543336=CARTESIAN_POINT('',(35.6,6.67,0.)); #543337=CARTESIAN_POINT('',(35.6,6.67,0.035)); #543338=CARTESIAN_POINT('',(35.6,6.67,0.035)); #543339=CARTESIAN_POINT('',(35.6,6.67,0.)); #543340=CARTESIAN_POINT('Origin',(35.6,7.82,0.)); #543341=CARTESIAN_POINT('',(35.6,7.82,0.)); #543342=CARTESIAN_POINT('',(35.6,7.82,0.)); #543343=CARTESIAN_POINT('',(35.6,7.82,0.035)); #543344=CARTESIAN_POINT('',(35.6,7.82,0.035)); #543345=CARTESIAN_POINT('',(35.6,7.82,0.)); #543346=CARTESIAN_POINT('Origin',(35.9,7.82,0.)); #543347=CARTESIAN_POINT('',(35.9,7.82,0.)); #543348=CARTESIAN_POINT('',(35.9,7.82,0.035)); #543349=CARTESIAN_POINT('Origin',(35.75,7.245,0.035)); #543350=CARTESIAN_POINT('Origin',(35.75,7.245,0.)); #543351=CARTESIAN_POINT('Origin',(37.2,7.1,-200.)); #543352=CARTESIAN_POINT('',(37.085,7.1,0.)); #543353=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #543354=CARTESIAN_POINT('',(37.085,7.1,0.0349999999999895)); #543355=CARTESIAN_POINT('',(37.085,7.1,-200.)); #543356=CARTESIAN_POINT('Origin',(37.2,7.1,0.0349999999999895)); #543357=CARTESIAN_POINT('Origin',(37.2,7.6,-200.)); #543358=CARTESIAN_POINT('',(37.085,7.6,0.)); #543359=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #543360=CARTESIAN_POINT('',(37.085,7.6,0.0349999999999895)); #543361=CARTESIAN_POINT('',(37.085,7.6,-200.)); #543362=CARTESIAN_POINT('Origin',(37.2,7.6,0.0349999999999895)); #543363=CARTESIAN_POINT('Origin',(37.505,6.665,0.)); #543364=CARTESIAN_POINT('',(37.505,6.665,0.)); #543365=CARTESIAN_POINT('',(37.505,7.825,0.)); #543366=CARTESIAN_POINT('',(37.505,6.665,0.)); #543367=CARTESIAN_POINT('',(37.505,7.825,0.035)); #543368=CARTESIAN_POINT('',(37.505,7.825,0.)); #543369=CARTESIAN_POINT('',(37.505,6.665,0.035)); #543370=CARTESIAN_POINT('',(37.505,6.665,0.035)); #543371=CARTESIAN_POINT('',(37.505,6.665,0.)); #543372=CARTESIAN_POINT('Origin',(36.895,6.665,0.)); #543373=CARTESIAN_POINT('',(36.895,6.665,0.)); #543374=CARTESIAN_POINT('',(36.895,6.665,0.)); #543375=CARTESIAN_POINT('',(36.895,6.665,0.035)); #543376=CARTESIAN_POINT('',(36.895,6.665,0.035)); #543377=CARTESIAN_POINT('',(36.895,6.665,0.)); #543378=CARTESIAN_POINT('Origin',(36.895,7.825,0.)); #543379=CARTESIAN_POINT('',(36.895,7.825,0.)); #543380=CARTESIAN_POINT('',(36.895,7.825,0.)); #543381=CARTESIAN_POINT('',(36.895,7.825,0.035)); #543382=CARTESIAN_POINT('',(36.895,7.825,0.035)); #543383=CARTESIAN_POINT('',(36.895,7.825,0.)); #543384=CARTESIAN_POINT('Origin',(37.505,7.825,0.)); #543385=CARTESIAN_POINT('',(37.505,7.825,0.)); #543386=CARTESIAN_POINT('',(37.505,7.825,0.035)); #543387=CARTESIAN_POINT('Origin',(37.2,7.245,0.035)); #543388=CARTESIAN_POINT('Origin',(37.2,7.245,0.)); #543389=CARTESIAN_POINT('Origin',(50.2098,17.5585,0.)); #543390=CARTESIAN_POINT('',(50.2098,17.5585,0.)); #543391=CARTESIAN_POINT('',(50.2098,19.2095,0.)); #543392=CARTESIAN_POINT('',(50.2098,17.5585,0.)); #543393=CARTESIAN_POINT('',(50.2098,19.2095,0.035)); #543394=CARTESIAN_POINT('',(50.2098,19.2095,0.)); #543395=CARTESIAN_POINT('',(50.2098,17.5585,0.035)); #543396=CARTESIAN_POINT('',(50.2098,17.5585,0.035)); #543397=CARTESIAN_POINT('',(50.2098,17.5585,0.)); #543398=CARTESIAN_POINT('Origin',(49.6002,17.5585,0.)); #543399=CARTESIAN_POINT('',(49.6002,17.5585,0.)); #543400=CARTESIAN_POINT('',(49.6002,17.5585,0.)); #543401=CARTESIAN_POINT('',(49.6002,17.5585,0.035)); #543402=CARTESIAN_POINT('',(49.6002,17.5585,0.035)); #543403=CARTESIAN_POINT('',(49.6002,17.5585,0.)); #543404=CARTESIAN_POINT('Origin',(49.6002,19.2095,0.)); #543405=CARTESIAN_POINT('',(49.6002,19.2095,0.)); #543406=CARTESIAN_POINT('',(49.6002,19.2095,0.)); #543407=CARTESIAN_POINT('',(49.6002,19.2095,0.035)); #543408=CARTESIAN_POINT('',(49.6002,19.2095,0.035)); #543409=CARTESIAN_POINT('',(49.6002,19.2095,0.)); #543410=CARTESIAN_POINT('Origin',(50.2098,19.2095,0.)); #543411=CARTESIAN_POINT('',(50.2098,19.2095,0.)); #543412=CARTESIAN_POINT('',(50.2098,19.2095,0.035)); #543413=CARTESIAN_POINT('Origin',(49.905,18.384,0.035)); #543414=CARTESIAN_POINT('Origin',(49.905,18.384,0.)); #543415=CARTESIAN_POINT('Origin',(30.8,7.6,-200.)); #543416=CARTESIAN_POINT('',(30.685,7.6,0.)); #543417=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #543418=CARTESIAN_POINT('',(30.685,7.6,0.0349999999999895)); #543419=CARTESIAN_POINT('',(30.685,7.6,-200.)); #543420=CARTESIAN_POINT('Origin',(30.8,7.6,0.0349999999999895)); #543421=CARTESIAN_POINT('Origin',(30.8,7.1,-200.)); #543422=CARTESIAN_POINT('',(30.685,7.1,0.)); #543423=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #543424=CARTESIAN_POINT('',(30.685,7.1,0.0349999999999895)); #543425=CARTESIAN_POINT('',(30.685,7.1,-200.)); #543426=CARTESIAN_POINT('Origin',(30.8,7.1,0.0349999999999895)); #543427=CARTESIAN_POINT('Origin',(31.105,6.665,0.)); #543428=CARTESIAN_POINT('',(31.105,6.665,0.)); #543429=CARTESIAN_POINT('',(31.105,7.825,0.)); #543430=CARTESIAN_POINT('',(31.105,6.665,0.)); #543431=CARTESIAN_POINT('',(31.105,7.825,0.035)); #543432=CARTESIAN_POINT('',(31.105,7.825,0.)); #543433=CARTESIAN_POINT('',(31.105,6.665,0.035)); #543434=CARTESIAN_POINT('',(31.105,6.665,0.035)); #543435=CARTESIAN_POINT('',(31.105,6.665,0.)); #543436=CARTESIAN_POINT('Origin',(30.495,6.665,0.)); #543437=CARTESIAN_POINT('',(30.495,6.665,0.)); #543438=CARTESIAN_POINT('',(30.495,6.665,0.)); #543439=CARTESIAN_POINT('',(30.495,6.665,0.035)); #543440=CARTESIAN_POINT('',(30.495,6.665,0.035)); #543441=CARTESIAN_POINT('',(30.495,6.665,0.)); #543442=CARTESIAN_POINT('Origin',(30.495,7.825,0.)); #543443=CARTESIAN_POINT('',(30.495,7.825,0.)); #543444=CARTESIAN_POINT('',(30.495,7.825,0.)); #543445=CARTESIAN_POINT('',(30.495,7.825,0.035)); #543446=CARTESIAN_POINT('',(30.495,7.825,0.035)); #543447=CARTESIAN_POINT('',(30.495,7.825,0.)); #543448=CARTESIAN_POINT('Origin',(31.105,7.825,0.)); #543449=CARTESIAN_POINT('',(31.105,7.825,0.)); #543450=CARTESIAN_POINT('',(31.105,7.825,0.035)); #543451=CARTESIAN_POINT('Origin',(30.8,7.245,0.035)); #543452=CARTESIAN_POINT('Origin',(30.8,7.245,0.)); #543453=CARTESIAN_POINT('Origin',(48.9398,17.5585,0.)); #543454=CARTESIAN_POINT('',(48.9398,17.5585,0.)); #543455=CARTESIAN_POINT('',(48.9398,19.2095,0.)); #543456=CARTESIAN_POINT('',(48.9398,17.5585,0.)); #543457=CARTESIAN_POINT('',(48.9398,19.2095,0.035)); #543458=CARTESIAN_POINT('',(48.9398,19.2095,0.)); #543459=CARTESIAN_POINT('',(48.9398,17.5585,0.035)); #543460=CARTESIAN_POINT('',(48.9398,17.5585,0.035)); #543461=CARTESIAN_POINT('',(48.9398,17.5585,0.)); #543462=CARTESIAN_POINT('Origin',(48.3302,17.5585,0.)); #543463=CARTESIAN_POINT('',(48.3302,17.5585,0.)); #543464=CARTESIAN_POINT('',(48.3302,17.5585,0.)); #543465=CARTESIAN_POINT('',(48.3302,17.5585,0.035)); #543466=CARTESIAN_POINT('',(48.3302,17.5585,0.035)); #543467=CARTESIAN_POINT('',(48.3302,17.5585,0.)); #543468=CARTESIAN_POINT('Origin',(48.3302,19.2095,0.)); #543469=CARTESIAN_POINT('',(48.3302,19.2095,0.)); #543470=CARTESIAN_POINT('',(48.3302,19.2095,0.)); #543471=CARTESIAN_POINT('',(48.3302,19.2095,0.035)); #543472=CARTESIAN_POINT('',(48.3302,19.2095,0.035)); #543473=CARTESIAN_POINT('',(48.3302,19.2095,0.)); #543474=CARTESIAN_POINT('Origin',(48.9398,19.2095,0.)); #543475=CARTESIAN_POINT('',(48.9398,19.2095,0.)); #543476=CARTESIAN_POINT('',(48.9398,19.2095,0.035)); #543477=CARTESIAN_POINT('Origin',(48.635,18.384,0.035)); #543478=CARTESIAN_POINT('Origin',(48.635,18.384,0.)); #543479=CARTESIAN_POINT('Origin',(19.6571,19.5555,0.)); #543480=CARTESIAN_POINT('',(19.6571,19.5555,0.)); #543481=CARTESIAN_POINT('',(19.6571,20.4445,0.)); #543482=CARTESIAN_POINT('',(19.6571,19.5555,0.)); #543483=CARTESIAN_POINT('',(19.6571,20.4445,0.035)); #543484=CARTESIAN_POINT('',(19.6571,20.4445,0.)); #543485=CARTESIAN_POINT('',(19.6571,19.5555,0.035)); #543486=CARTESIAN_POINT('',(19.6571,19.5555,0.035)); #543487=CARTESIAN_POINT('',(19.6571,19.5555,0.)); #543488=CARTESIAN_POINT('Origin',(18.8189,19.5555,0.)); #543489=CARTESIAN_POINT('',(18.8189,19.5555,0.)); #543490=CARTESIAN_POINT('',(18.8189,19.5555,0.)); #543491=CARTESIAN_POINT('',(18.8189,19.5555,0.035)); #543492=CARTESIAN_POINT('',(18.8189,19.5555,0.035)); #543493=CARTESIAN_POINT('',(18.8189,19.5555,0.)); #543494=CARTESIAN_POINT('Origin',(18.8189,20.4445,0.)); #543495=CARTESIAN_POINT('',(18.8189,20.4445,0.)); #543496=CARTESIAN_POINT('',(18.8189,20.4445,0.)); #543497=CARTESIAN_POINT('',(18.8189,20.4445,0.035)); #543498=CARTESIAN_POINT('',(18.8189,20.4445,0.035)); #543499=CARTESIAN_POINT('',(18.8189,20.4445,0.)); #543500=CARTESIAN_POINT('Origin',(19.111,20.4445,0.)); #543501=CARTESIAN_POINT('',(19.111,20.4445,0.)); #543502=CARTESIAN_POINT('',(19.111,20.4445,0.)); #543503=CARTESIAN_POINT('',(19.111,20.4445,0.035)); #543504=CARTESIAN_POINT('',(19.111,20.4445,0.035)); #543505=CARTESIAN_POINT('',(19.111,20.4445,0.)); #543506=CARTESIAN_POINT('Origin',(19.111,20.646894,0.)); #543507=CARTESIAN_POINT('',(19.111,20.646894,0.)); #543508=CARTESIAN_POINT('',(19.111,20.646894,0.)); #543509=CARTESIAN_POINT('',(19.111,20.646894,0.035)); #543510=CARTESIAN_POINT('',(19.111,20.646894,0.035)); #543511=CARTESIAN_POINT('',(19.111,20.646894,0.)); #543512=CARTESIAN_POINT('Origin',(18.910197,20.847697,0.)); #543513=CARTESIAN_POINT('',(18.910197,20.847697,0.)); #543514=CARTESIAN_POINT('',(18.910197,20.847697,0.)); #543515=CARTESIAN_POINT('',(18.910197,20.847697,0.035)); #543516=CARTESIAN_POINT('',(18.910197,20.847697,0.035)); #543517=CARTESIAN_POINT('',(18.910197,20.847697,0.)); #543518=CARTESIAN_POINT('Origin',(19.0000003087252,20.9374992502996,0.)); #543519=CARTESIAN_POINT('',(18.873,20.9375,0.)); #543520=CARTESIAN_POINT('Origin',(19.0000003087252,20.9374992502996,0.)); #543521=CARTESIAN_POINT('',(18.873,20.9375,0.035)); #543522=CARTESIAN_POINT('Origin',(19.0000003087252,20.9374992502996,0.035)); #543523=CARTESIAN_POINT('',(18.873,20.9375,0.)); #543524=CARTESIAN_POINT('Origin',(18.873,21.215,0.)); #543525=CARTESIAN_POINT('',(18.873,21.215,0.)); #543526=CARTESIAN_POINT('',(18.873,21.215,0.)); #543527=CARTESIAN_POINT('',(18.873,21.215,0.035)); #543528=CARTESIAN_POINT('',(18.873,21.215,0.035)); #543529=CARTESIAN_POINT('',(18.873,21.215,0.)); #543530=CARTESIAN_POINT('Origin',(18.7325,21.215,0.)); #543531=CARTESIAN_POINT('',(18.7325,21.215,0.)); #543532=CARTESIAN_POINT('',(18.7325,21.215,0.)); #543533=CARTESIAN_POINT('',(18.7325,21.215,0.035)); #543534=CARTESIAN_POINT('',(18.7325,21.215,0.035)); #543535=CARTESIAN_POINT('',(18.7325,21.215,0.)); #543536=CARTESIAN_POINT('Origin',(18.7325,22.485,0.)); #543537=CARTESIAN_POINT('',(18.7325,22.485,0.)); #543538=CARTESIAN_POINT('',(18.7325,22.485,0.)); #543539=CARTESIAN_POINT('',(18.7325,22.485,0.035)); #543540=CARTESIAN_POINT('',(18.7325,22.485,0.035)); #543541=CARTESIAN_POINT('',(18.7325,22.485,0.)); #543542=CARTESIAN_POINT('Origin',(19.009403,22.485,0.)); #543543=CARTESIAN_POINT('',(19.009403,22.485,0.)); #543544=CARTESIAN_POINT('',(19.009403,22.485,0.)); #543545=CARTESIAN_POINT('',(19.009403,22.485,0.035)); #543546=CARTESIAN_POINT('',(19.009403,22.485,0.035)); #543547=CARTESIAN_POINT('',(19.009403,22.485,0.)); #543548=CARTESIAN_POINT('Origin',(19.428659,22.868688,0.)); #543549=CARTESIAN_POINT('',(19.428659,22.868688,0.)); #543550=CARTESIAN_POINT('',(19.428659,22.868688,0.)); #543551=CARTESIAN_POINT('',(19.428659,22.868688,0.035)); #543552=CARTESIAN_POINT('',(19.428659,22.868688,0.035)); #543553=CARTESIAN_POINT('',(19.428659,22.868688,0.)); #543554=CARTESIAN_POINT('Origin',(19.5144001699548,22.7750001565622,0.)); #543555=CARTESIAN_POINT('',(19.5144,22.902,0.)); #543556=CARTESIAN_POINT('Origin',(19.5144001699548,22.7750001565622,0.)); #543557=CARTESIAN_POINT('',(19.5144,22.902,0.035)); #543558=CARTESIAN_POINT('Origin',(19.5144001699548,22.7750001565622,0.035)); #543559=CARTESIAN_POINT('',(19.5144,22.902,0.)); #543560=CARTESIAN_POINT('Origin',(20.7135,22.902,0.)); #543561=CARTESIAN_POINT('',(20.7135,22.902,0.)); #543562=CARTESIAN_POINT('',(20.7135,22.902,0.)); #543563=CARTESIAN_POINT('',(20.7135,22.902,0.035)); #543564=CARTESIAN_POINT('',(20.7135,22.902,0.035)); #543565=CARTESIAN_POINT('',(20.7135,22.902,0.)); #543566=CARTESIAN_POINT('Origin',(20.912288,23.091844,0.)); #543567=CARTESIAN_POINT('',(20.912288,23.091844,0.)); #543568=CARTESIAN_POINT('',(20.912288,23.091844,0.)); #543569=CARTESIAN_POINT('',(20.912288,23.091844,0.035)); #543570=CARTESIAN_POINT('',(20.912288,23.091844,0.035)); #543571=CARTESIAN_POINT('',(20.912288,23.091844,0.)); #543572=CARTESIAN_POINT('Origin',(21.0000011324188,23.0000012240666,0.)); #543573=CARTESIAN_POINT('',(21.,23.127,0.)); #543574=CARTESIAN_POINT('Origin',(21.0000011324188,23.0000012240666,0.)); #543575=CARTESIAN_POINT('',(21.,23.127,0.035)); #543576=CARTESIAN_POINT('Origin',(21.0000011324188,23.0000012240666,0.035)); #543577=CARTESIAN_POINT('',(21.,23.127,0.)); #543578=CARTESIAN_POINT('Origin',(25.8189,23.127,0.)); #543579=CARTESIAN_POINT('',(25.8189,23.127,0.)); #543580=CARTESIAN_POINT('',(25.8189,23.127,0.)); #543581=CARTESIAN_POINT('',(25.8189,23.127,0.035)); #543582=CARTESIAN_POINT('',(25.8189,23.127,0.035)); #543583=CARTESIAN_POINT('',(25.8189,23.127,0.)); #543584=CARTESIAN_POINT('Origin',(25.8189,23.4445,0.)); #543585=CARTESIAN_POINT('',(25.8189,23.4445,0.)); #543586=CARTESIAN_POINT('',(25.8189,23.4445,0.)); #543587=CARTESIAN_POINT('',(25.8189,23.4445,0.035)); #543588=CARTESIAN_POINT('',(25.8189,23.4445,0.035)); #543589=CARTESIAN_POINT('',(25.8189,23.4445,0.)); #543590=CARTESIAN_POINT('Origin',(26.6571,23.4445,0.)); #543591=CARTESIAN_POINT('',(26.6571,23.4445,0.)); #543592=CARTESIAN_POINT('',(26.6571,23.4445,0.)); #543593=CARTESIAN_POINT('',(26.6571,23.4445,0.035)); #543594=CARTESIAN_POINT('',(26.6571,23.4445,0.035)); #543595=CARTESIAN_POINT('',(26.6571,23.4445,0.)); #543596=CARTESIAN_POINT('Origin',(26.6571,22.748663,0.)); #543597=CARTESIAN_POINT('',(26.6571,22.748663,0.)); #543598=CARTESIAN_POINT('',(26.6571,22.748663,0.)); #543599=CARTESIAN_POINT('',(26.6571,22.748663,0.035)); #543600=CARTESIAN_POINT('',(26.6571,22.748663,0.035)); #543601=CARTESIAN_POINT('',(26.6571,22.748663,0.)); #543602=CARTESIAN_POINT('Origin',(27.015828,22.377,0.)); #543603=CARTESIAN_POINT('',(27.015828,22.377,0.)); #543604=CARTESIAN_POINT('',(27.015828,22.377,0.)); #543605=CARTESIAN_POINT('',(27.015828,22.377,0.035)); #543606=CARTESIAN_POINT('',(27.015828,22.377,0.035)); #543607=CARTESIAN_POINT('',(27.015828,22.377,0.)); #543608=CARTESIAN_POINT('Origin',(30.285338,22.377,0.)); #543609=CARTESIAN_POINT('',(30.285338,22.377,0.)); #543610=CARTESIAN_POINT('',(30.285338,22.377,0.)); #543611=CARTESIAN_POINT('',(30.285338,22.377,0.035)); #543612=CARTESIAN_POINT('',(30.285338,22.377,0.035)); #543613=CARTESIAN_POINT('',(30.285338,22.377,0.)); #543614=CARTESIAN_POINT('Origin',(31.721913,23.839013,0.)); #543615=CARTESIAN_POINT('',(31.721913,23.839013,0.)); #543616=CARTESIAN_POINT('',(31.721913,23.839013,0.)); #543617=CARTESIAN_POINT('',(31.721913,23.839013,0.035)); #543618=CARTESIAN_POINT('',(31.721913,23.839013,0.035)); #543619=CARTESIAN_POINT('',(31.721913,23.839013,0.)); #543620=CARTESIAN_POINT('Origin',(31.8124981509034,23.7500002141553,0.)); #543621=CARTESIAN_POINT('',(31.8125,23.877,0.)); #543622=CARTESIAN_POINT('Origin',(31.8124981509034,23.7500002141553,0.)); #543623=CARTESIAN_POINT('',(31.8125,23.877,0.035)); #543624=CARTESIAN_POINT('Origin',(31.8124981509034,23.7500002141553,0.035)); #543625=CARTESIAN_POINT('',(31.8125,23.877,0.)); #543626=CARTESIAN_POINT('Origin',(36.1875,23.877,0.)); #543627=CARTESIAN_POINT('',(36.1875,23.877,0.)); #543628=CARTESIAN_POINT('',(36.1875,23.877,0.)); #543629=CARTESIAN_POINT('',(36.1875,23.877,0.035)); #543630=CARTESIAN_POINT('',(36.1875,23.877,0.035)); #543631=CARTESIAN_POINT('',(36.1875,23.877,0.)); #543632=CARTESIAN_POINT('Origin',(36.1875007497004,23.7499996912748,0.)); #543633=CARTESIAN_POINT('',(36.277303,23.839803,0.)); #543634=CARTESIAN_POINT('Origin',(36.1875007497004,23.7499996912748,0.)); #543635=CARTESIAN_POINT('',(36.277303,23.839803,0.035)); #543636=CARTESIAN_POINT('Origin',(36.1875007497004,23.7499996912748,0.035)); #543637=CARTESIAN_POINT('',(36.277303,23.839803,0.)); #543638=CARTESIAN_POINT('Origin',(37.740106,22.377,0.)); #543639=CARTESIAN_POINT('',(37.740106,22.377,0.)); #543640=CARTESIAN_POINT('',(37.740106,22.377,0.)); #543641=CARTESIAN_POINT('',(37.740106,22.377,0.035)); #543642=CARTESIAN_POINT('',(37.740106,22.377,0.035)); #543643=CARTESIAN_POINT('',(37.740106,22.377,0.)); #543644=CARTESIAN_POINT('Origin',(49.134894,22.377,0.)); #543645=CARTESIAN_POINT('',(49.134894,22.377,0.)); #543646=CARTESIAN_POINT('',(49.134894,22.377,0.)); #543647=CARTESIAN_POINT('',(49.134894,22.377,0.035)); #543648=CARTESIAN_POINT('',(49.134894,22.377,0.035)); #543649=CARTESIAN_POINT('',(49.134894,22.377,0.)); #543650=CARTESIAN_POINT('Origin',(49.6002,22.842306,0.)); #543651=CARTESIAN_POINT('',(49.6002,22.842306,0.)); #543652=CARTESIAN_POINT('',(49.6002,22.842306,0.)); #543653=CARTESIAN_POINT('',(49.6002,22.842306,0.035)); #543654=CARTESIAN_POINT('',(49.6002,22.842306,0.035)); #543655=CARTESIAN_POINT('',(49.6002,22.842306,0.)); #543656=CARTESIAN_POINT('Origin',(49.6002,24.4415,0.)); #543657=CARTESIAN_POINT('',(49.6002,24.4415,0.)); #543658=CARTESIAN_POINT('',(49.6002,24.4415,0.)); #543659=CARTESIAN_POINT('',(49.6002,24.4415,0.035)); #543660=CARTESIAN_POINT('',(49.6002,24.4415,0.035)); #543661=CARTESIAN_POINT('',(49.6002,24.4415,0.)); #543662=CARTESIAN_POINT('Origin',(50.2098,24.4415,0.)); #543663=CARTESIAN_POINT('',(50.2098,24.4415,0.)); #543664=CARTESIAN_POINT('',(50.2098,24.4415,0.)); #543665=CARTESIAN_POINT('',(50.2098,24.4415,0.035)); #543666=CARTESIAN_POINT('',(50.2098,24.4415,0.035)); #543667=CARTESIAN_POINT('',(50.2098,24.4415,0.)); #543668=CARTESIAN_POINT('Origin',(50.2098,22.7905,0.)); #543669=CARTESIAN_POINT('',(50.2098,22.7905,0.)); #543670=CARTESIAN_POINT('',(50.2098,22.7905,0.)); #543671=CARTESIAN_POINT('',(50.2098,22.7905,0.035)); #543672=CARTESIAN_POINT('',(50.2098,22.7905,0.035)); #543673=CARTESIAN_POINT('',(50.2098,22.7905,0.)); #543674=CARTESIAN_POINT('Origin',(49.907606,22.7905,0.)); #543675=CARTESIAN_POINT('',(49.907606,22.7905,0.)); #543676=CARTESIAN_POINT('',(49.907606,22.7905,0.)); #543677=CARTESIAN_POINT('',(49.907606,22.7905,0.035)); #543678=CARTESIAN_POINT('',(49.907606,22.7905,0.035)); #543679=CARTESIAN_POINT('',(49.907606,22.7905,0.)); #543680=CARTESIAN_POINT('Origin',(49.277303,22.160197,0.)); #543681=CARTESIAN_POINT('',(49.277303,22.160197,0.)); #543682=CARTESIAN_POINT('',(49.277303,22.160197,0.)); #543683=CARTESIAN_POINT('',(49.277303,22.160197,0.035)); #543684=CARTESIAN_POINT('',(49.277303,22.160197,0.035)); #543685=CARTESIAN_POINT('',(49.277303,22.160197,0.)); #543686=CARTESIAN_POINT('Origin',(49.1875007497004,22.2500003087252,0.)); #543687=CARTESIAN_POINT('',(49.1875,22.123,0.)); #543688=CARTESIAN_POINT('Origin',(49.1875007497004,22.2500003087252,0.)); #543689=CARTESIAN_POINT('',(49.1875,22.123,0.035)); #543690=CARTESIAN_POINT('Origin',(49.1875007497004,22.2500003087252,0.035)); #543691=CARTESIAN_POINT('',(49.1875,22.123,0.)); #543692=CARTESIAN_POINT('Origin',(37.6875,22.123,0.)); #543693=CARTESIAN_POINT('',(37.6875,22.123,0.)); #543694=CARTESIAN_POINT('',(37.6875,22.123,0.)); #543695=CARTESIAN_POINT('',(37.6875,22.123,0.035)); #543696=CARTESIAN_POINT('',(37.6875,22.123,0.035)); #543697=CARTESIAN_POINT('',(37.6875,22.123,0.)); #543698=CARTESIAN_POINT('Origin',(37.6874992502996,22.2500003087252,0.)); #543699=CARTESIAN_POINT('',(37.597697,22.160197,0.)); #543700=CARTESIAN_POINT('Origin',(37.6874992502996,22.2500003087252,0.)); #543701=CARTESIAN_POINT('',(37.597697,22.160197,0.035)); #543702=CARTESIAN_POINT('Origin',(37.6874992502996,22.2500003087252,0.035)); #543703=CARTESIAN_POINT('',(37.597697,22.160197,0.)); #543704=CARTESIAN_POINT('Origin',(36.134894,23.623,0.)); #543705=CARTESIAN_POINT('',(36.134894,23.623,0.)); #543706=CARTESIAN_POINT('',(36.134894,23.623,0.)); #543707=CARTESIAN_POINT('',(36.134894,23.623,0.035)); #543708=CARTESIAN_POINT('',(36.134894,23.623,0.035)); #543709=CARTESIAN_POINT('',(36.134894,23.623,0.)); #543710=CARTESIAN_POINT('Origin',(31.865763,23.623,0.)); #543711=CARTESIAN_POINT('',(31.865763,23.623,0.)); #543712=CARTESIAN_POINT('',(31.865763,23.623,0.)); #543713=CARTESIAN_POINT('',(31.865763,23.623,0.035)); #543714=CARTESIAN_POINT('',(31.865763,23.623,0.035)); #543715=CARTESIAN_POINT('',(31.865763,23.623,0.)); #543716=CARTESIAN_POINT('Origin',(30.429188,22.160988,0.)); #543717=CARTESIAN_POINT('',(30.429188,22.160988,0.)); #543718=CARTESIAN_POINT('',(30.429188,22.160988,0.)); #543719=CARTESIAN_POINT('',(30.429188,22.160988,0.035)); #543720=CARTESIAN_POINT('',(30.429188,22.160988,0.035)); #543721=CARTESIAN_POINT('',(30.429188,22.160988,0.)); #543722=CARTESIAN_POINT('Origin',(30.3386011568032,22.2500014781382,0.)); #543723=CARTESIAN_POINT('',(30.3386,22.123,0.)); #543724=CARTESIAN_POINT('Origin',(30.3386011568032,22.2500014781382,0.)); #543725=CARTESIAN_POINT('',(30.3386,22.123,0.035)); #543726=CARTESIAN_POINT('Origin',(30.3386011568032,22.2500014781382,0.035)); #543727=CARTESIAN_POINT('',(30.3386,22.123,0.)); #543728=CARTESIAN_POINT('Origin',(26.9619,22.123,0.)); #543729=CARTESIAN_POINT('',(26.9619,22.123,0.)); #543730=CARTESIAN_POINT('',(26.9619,22.123,0.)); #543731=CARTESIAN_POINT('',(26.9619,22.123,0.035)); #543732=CARTESIAN_POINT('',(26.9619,22.123,0.035)); #543733=CARTESIAN_POINT('',(26.9619,22.123,0.)); #543734=CARTESIAN_POINT('Origin',(26.9618991267799,22.2500002486828,0.)); #543735=CARTESIAN_POINT('',(26.870522,22.1618,0.)); #543736=CARTESIAN_POINT('Origin',(26.9618991267799,22.2500002486828,0.)); #543737=CARTESIAN_POINT('',(26.870522,22.1618,0.035)); #543738=CARTESIAN_POINT('Origin',(26.9618991267799,22.2500002486828,0.035)); #543739=CARTESIAN_POINT('',(26.870522,22.1618,0.)); #543740=CARTESIAN_POINT('Origin',(26.490522,22.5555,0.)); #543741=CARTESIAN_POINT('',(26.490522,22.5555,0.)); #543742=CARTESIAN_POINT('',(26.490522,22.5555,0.)); #543743=CARTESIAN_POINT('',(26.490522,22.5555,0.035)); #543744=CARTESIAN_POINT('',(26.490522,22.5555,0.035)); #543745=CARTESIAN_POINT('',(26.490522,22.5555,0.)); #543746=CARTESIAN_POINT('Origin',(25.8189,22.5555,0.)); #543747=CARTESIAN_POINT('',(25.8189,22.5555,0.)); #543748=CARTESIAN_POINT('',(25.8189,22.5555,0.)); #543749=CARTESIAN_POINT('',(25.8189,22.5555,0.035)); #543750=CARTESIAN_POINT('',(25.8189,22.5555,0.035)); #543751=CARTESIAN_POINT('',(25.8189,22.5555,0.)); #543752=CARTESIAN_POINT('Origin',(25.8189,22.873,0.)); #543753=CARTESIAN_POINT('',(25.8189,22.873,0.)); #543754=CARTESIAN_POINT('',(25.8189,22.873,0.)); #543755=CARTESIAN_POINT('',(25.8189,22.873,0.035)); #543756=CARTESIAN_POINT('',(25.8189,22.873,0.035)); #543757=CARTESIAN_POINT('',(25.8189,22.873,0.)); #543758=CARTESIAN_POINT('Origin',(21.0509,22.873,0.)); #543759=CARTESIAN_POINT('',(21.0509,22.873,0.)); #543760=CARTESIAN_POINT('',(21.0509,22.873,0.)); #543761=CARTESIAN_POINT('',(21.0509,22.873,0.035)); #543762=CARTESIAN_POINT('',(21.0509,22.873,0.035)); #543763=CARTESIAN_POINT('',(21.0509,22.873,0.)); #543764=CARTESIAN_POINT('Origin',(20.852113,22.683156,0.)); #543765=CARTESIAN_POINT('',(20.852113,22.683156,0.)); #543766=CARTESIAN_POINT('',(20.852113,22.683156,0.)); #543767=CARTESIAN_POINT('',(20.852113,22.683156,0.035)); #543768=CARTESIAN_POINT('',(20.852113,22.683156,0.035)); #543769=CARTESIAN_POINT('',(20.852113,22.683156,0.)); #543770=CARTESIAN_POINT('Origin',(20.7643993675812,22.775000023434,0.)); #543771=CARTESIAN_POINT('',(20.7644,22.648,0.)); #543772=CARTESIAN_POINT('Origin',(20.7643993675812,22.775000023434,0.)); #543773=CARTESIAN_POINT('',(20.7644,22.648,0.035)); #543774=CARTESIAN_POINT('Origin',(20.7643993675812,22.775000023434,0.035)); #543775=CARTESIAN_POINT('',(20.7644,22.648,0.)); #543776=CARTESIAN_POINT('Origin',(19.563741,22.648,0.)); #543777=CARTESIAN_POINT('',(19.563741,22.648,0.)); #543778=CARTESIAN_POINT('',(19.563741,22.648,0.)); #543779=CARTESIAN_POINT('',(19.563741,22.648,0.035)); #543780=CARTESIAN_POINT('',(19.563741,22.648,0.035)); #543781=CARTESIAN_POINT('',(19.563741,22.648,0.)); #543782=CARTESIAN_POINT('Origin',(19.3675,22.468409,0.)); #543783=CARTESIAN_POINT('',(19.3675,22.468409,0.)); #543784=CARTESIAN_POINT('',(19.3675,22.468409,0.)); #543785=CARTESIAN_POINT('',(19.3675,22.468409,0.035)); #543786=CARTESIAN_POINT('',(19.3675,22.468409,0.035)); #543787=CARTESIAN_POINT('',(19.3675,22.468409,0.)); #543788=CARTESIAN_POINT('Origin',(19.3675,21.215,0.)); #543789=CARTESIAN_POINT('',(19.3675,21.215,0.)); #543790=CARTESIAN_POINT('',(19.3675,21.215,0.)); #543791=CARTESIAN_POINT('',(19.3675,21.215,0.035)); #543792=CARTESIAN_POINT('',(19.3675,21.215,0.035)); #543793=CARTESIAN_POINT('',(19.3675,21.215,0.)); #543794=CARTESIAN_POINT('Origin',(19.127,21.215,0.)); #543795=CARTESIAN_POINT('',(19.127,21.215,0.)); #543796=CARTESIAN_POINT('',(19.127,21.215,0.)); #543797=CARTESIAN_POINT('',(19.127,21.215,0.035)); #543798=CARTESIAN_POINT('',(19.127,21.215,0.035)); #543799=CARTESIAN_POINT('',(19.127,21.215,0.)); #543800=CARTESIAN_POINT('Origin',(19.127,20.990106,0.)); #543801=CARTESIAN_POINT('',(19.127,20.990106,0.)); #543802=CARTESIAN_POINT('',(19.127,20.990106,0.)); #543803=CARTESIAN_POINT('',(19.127,20.990106,0.035)); #543804=CARTESIAN_POINT('',(19.127,20.990106,0.035)); #543805=CARTESIAN_POINT('',(19.127,20.990106,0.)); #543806=CARTESIAN_POINT('Origin',(19.327803,20.789303,0.)); #543807=CARTESIAN_POINT('',(19.327803,20.789303,0.)); #543808=CARTESIAN_POINT('',(19.327803,20.789303,0.)); #543809=CARTESIAN_POINT('',(19.327803,20.789303,0.035)); #543810=CARTESIAN_POINT('',(19.327803,20.789303,0.035)); #543811=CARTESIAN_POINT('',(19.327803,20.789303,0.)); #543812=CARTESIAN_POINT('Origin',(19.2379996912748,20.6995007497004,0.)); #543813=CARTESIAN_POINT('',(19.365,20.6995,0.)); #543814=CARTESIAN_POINT('Origin',(19.2379996912748,20.6995007497004,0.)); #543815=CARTESIAN_POINT('',(19.365,20.6995,0.035)); #543816=CARTESIAN_POINT('Origin',(19.2379996912748,20.6995007497004,0.035)); #543817=CARTESIAN_POINT('',(19.365,20.6995,0.)); #543818=CARTESIAN_POINT('Origin',(19.365,20.4445,0.)); #543819=CARTESIAN_POINT('',(19.365,20.4445,0.)); #543820=CARTESIAN_POINT('',(19.365,20.4445,0.)); #543821=CARTESIAN_POINT('',(19.365,20.4445,0.035)); #543822=CARTESIAN_POINT('',(19.365,20.4445,0.035)); #543823=CARTESIAN_POINT('',(19.365,20.4445,0.)); #543824=CARTESIAN_POINT('Origin',(19.6571,20.4445,0.)); #543825=CARTESIAN_POINT('',(19.6571,20.4445,0.)); #543826=CARTESIAN_POINT('',(19.6571,20.4445,0.035)); #543827=CARTESIAN_POINT('Origin',(33.5325226201012,22.5881805545709,0.035)); #543828=CARTESIAN_POINT('Origin',(33.5325226201012,22.5881805545709,0.)); #543829=CARTESIAN_POINT('Origin',(35.75,11.25,-200.)); #543830=CARTESIAN_POINT('',(35.61,11.25,0.)); #543831=CARTESIAN_POINT('Origin',(35.75,11.25,0.)); #543832=CARTESIAN_POINT('',(35.61,11.25,0.0349999999999895)); #543833=CARTESIAN_POINT('',(35.61,11.25,-200.)); #543834=CARTESIAN_POINT('Origin',(35.75,11.25,0.0349999999999895)); #543835=CARTESIAN_POINT('Origin',(30.5,11.25,-200.)); #543836=CARTESIAN_POINT('',(30.36,11.25,0.)); #543837=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #543838=CARTESIAN_POINT('',(30.36,11.25,0.0349999999999895)); #543839=CARTESIAN_POINT('',(30.36,11.25,-200.)); #543840=CARTESIAN_POINT('Origin',(30.5,11.25,0.0349999999999895)); #543841=CARTESIAN_POINT('Origin',(30.521644,10.975,0.)); #543842=CARTESIAN_POINT('',(30.521644,10.975,0.)); #543843=CARTESIAN_POINT('',(30.564397,10.981772,0.)); #543844=CARTESIAN_POINT('',(30.521644,10.975,0.)); #543845=CARTESIAN_POINT('',(30.564397,10.981772,0.035)); #543846=CARTESIAN_POINT('',(30.564397,10.981772,0.)); #543847=CARTESIAN_POINT('',(30.521644,10.975,0.035)); #543848=CARTESIAN_POINT('',(30.521644,10.975,0.035)); #543849=CARTESIAN_POINT('',(30.521644,10.975,0.)); #543850=CARTESIAN_POINT('Origin',(30.5000003953475,11.2500004229918,0.)); #543851=CARTESIAN_POINT('',(30.245147,11.144438,0.)); #543852=CARTESIAN_POINT('Origin',(30.5000003953475,11.2500004229918,0.)); #543853=CARTESIAN_POINT('',(30.245147,11.144438,0.035)); #543854=CARTESIAN_POINT('Origin',(30.5000003953475,11.2500004229918,0.035)); #543855=CARTESIAN_POINT('',(30.245147,11.144438,0.)); #543856=CARTESIAN_POINT('Origin',(30.4996182367391,11.2498222285952,0.)); #543857=CARTESIAN_POINT('',(30.743928,11.377,0.)); #543858=CARTESIAN_POINT('Origin',(30.4996182367391,11.2498222285952,0.)); #543859=CARTESIAN_POINT('',(30.743928,11.377,0.035)); #543860=CARTESIAN_POINT('Origin',(30.4996182367391,11.2498222285952,0.035)); #543861=CARTESIAN_POINT('',(30.743928,11.377,0.)); #543862=CARTESIAN_POINT('Origin',(35.506072,11.377,0.)); #543863=CARTESIAN_POINT('',(35.506072,11.377,0.)); #543864=CARTESIAN_POINT('',(35.506072,11.377,0.)); #543865=CARTESIAN_POINT('',(35.506072,11.377,0.035)); #543866=CARTESIAN_POINT('',(35.506072,11.377,0.035)); #543867=CARTESIAN_POINT('',(35.506072,11.377,0.)); #543868=CARTESIAN_POINT('Origin',(35.7504640048651,11.2499800398209,0.)); #543869=CARTESIAN_POINT('',(36.004853,11.355563,0.)); #543870=CARTESIAN_POINT('Origin',(35.7504640048651,11.2499800398209,0.)); #543871=CARTESIAN_POINT('',(36.004853,11.355563,0.035)); #543872=CARTESIAN_POINT('Origin',(35.7504640048651,11.2499800398209,0.035)); #543873=CARTESIAN_POINT('',(36.004853,11.355563,0.)); #543874=CARTESIAN_POINT('Origin',(35.7503817414141,11.2501782714048,0.)); #543875=CARTESIAN_POINT('',(35.506072,11.123,0.)); #543876=CARTESIAN_POINT('Origin',(35.7503817414141,11.2501782714048,0.)); #543877=CARTESIAN_POINT('',(35.506072,11.123,0.035)); #543878=CARTESIAN_POINT('Origin',(35.7503817414141,11.2501782714048,0.035)); #543879=CARTESIAN_POINT('',(35.506072,11.123,0.)); #543880=CARTESIAN_POINT('Origin',(30.743928,11.123,0.)); #543881=CARTESIAN_POINT('',(30.743928,11.123,0.)); #543882=CARTESIAN_POINT('',(30.743928,11.123,0.)); #543883=CARTESIAN_POINT('',(30.743928,11.123,0.035)); #543884=CARTESIAN_POINT('',(30.743928,11.123,0.035)); #543885=CARTESIAN_POINT('',(30.743928,11.123,0.)); #543886=CARTESIAN_POINT('Origin',(30.4992008746984,11.2493753757046,0.)); #543887=CARTESIAN_POINT('Origin',(30.4992008746984,11.2493753757046,0.)); #543888=CARTESIAN_POINT('Origin',(30.4992008746984,11.2493753757046,0.035)); #543889=CARTESIAN_POINT('Origin',(33.1248953560968,11.2495711648527,0.035)); #543890=CARTESIAN_POINT('Origin',(33.1248953560968,11.2495711648527,0.)); #543891=CARTESIAN_POINT('Origin',(35.25,6.25,-200.)); #543892=CARTESIAN_POINT('',(35.11,6.25,0.)); #543893=CARTESIAN_POINT('Origin',(35.25,6.25,0.)); #543894=CARTESIAN_POINT('',(35.11,6.25,0.0349999999999895)); #543895=CARTESIAN_POINT('',(35.11,6.25,-200.)); #543896=CARTESIAN_POINT('Origin',(35.25,6.25,0.0349999999999895)); #543897=CARTESIAN_POINT('Origin',(35.271644,5.975,0.)); #543898=CARTESIAN_POINT('',(35.271644,5.975,0.)); #543899=CARTESIAN_POINT('',(35.314397,5.9817719,0.)); #543900=CARTESIAN_POINT('',(35.271644,5.975,0.)); #543901=CARTESIAN_POINT('',(35.314397,5.9817719,0.035)); #543902=CARTESIAN_POINT('',(35.314397,5.9817719,0.)); #543903=CARTESIAN_POINT('',(35.271644,5.975,0.035)); #543904=CARTESIAN_POINT('',(35.271644,5.975,0.035)); #543905=CARTESIAN_POINT('',(35.271644,5.975,0.)); #543906=CARTESIAN_POINT('Origin',(35.2501184813052,6.24958730150078,0.)); #543907=CARTESIAN_POINT('',(35.123,6.4939281,0.)); #543908=CARTESIAN_POINT('Origin',(35.2501184813052,6.24958730150078,0.)); #543909=CARTESIAN_POINT('',(35.123,6.4939281,0.035)); #543910=CARTESIAN_POINT('Origin',(35.2501184813052,6.24958730150078,0.035)); #543911=CARTESIAN_POINT('',(35.123,6.4939281,0.)); #543912=CARTESIAN_POINT('Origin',(35.123,6.67,0.)); #543913=CARTESIAN_POINT('',(35.123,6.67,0.)); #543914=CARTESIAN_POINT('',(35.123,6.67,0.)); #543915=CARTESIAN_POINT('',(35.123,6.67,0.035)); #543916=CARTESIAN_POINT('',(35.123,6.67,0.035)); #543917=CARTESIAN_POINT('',(35.123,6.67,0.)); #543918=CARTESIAN_POINT('Origin',(35.1,6.67,0.)); #543919=CARTESIAN_POINT('',(35.1,6.67,0.)); #543920=CARTESIAN_POINT('',(35.1,6.67,0.)); #543921=CARTESIAN_POINT('',(35.1,6.67,0.035)); #543922=CARTESIAN_POINT('',(35.1,6.67,0.035)); #543923=CARTESIAN_POINT('',(35.1,6.67,0.)); #543924=CARTESIAN_POINT('Origin',(35.1,7.82,0.)); #543925=CARTESIAN_POINT('',(35.1,7.82,0.)); #543926=CARTESIAN_POINT('',(35.1,7.82,0.)); #543927=CARTESIAN_POINT('',(35.1,7.82,0.035)); #543928=CARTESIAN_POINT('',(35.1,7.82,0.035)); #543929=CARTESIAN_POINT('',(35.1,7.82,0.)); #543930=CARTESIAN_POINT('Origin',(35.4,7.82,0.)); #543931=CARTESIAN_POINT('',(35.4,7.82,0.)); #543932=CARTESIAN_POINT('',(35.4,7.82,0.)); #543933=CARTESIAN_POINT('',(35.4,7.82,0.035)); #543934=CARTESIAN_POINT('',(35.4,7.82,0.035)); #543935=CARTESIAN_POINT('',(35.4,7.82,0.)); #543936=CARTESIAN_POINT('Origin',(35.4,6.67,0.)); #543937=CARTESIAN_POINT('',(35.4,6.67,0.)); #543938=CARTESIAN_POINT('',(35.4,6.67,0.)); #543939=CARTESIAN_POINT('',(35.4,6.67,0.035)); #543940=CARTESIAN_POINT('',(35.4,6.67,0.035)); #543941=CARTESIAN_POINT('',(35.4,6.67,0.)); #543942=CARTESIAN_POINT('Origin',(35.377,6.67,0.)); #543943=CARTESIAN_POINT('',(35.377,6.67,0.)); #543944=CARTESIAN_POINT('',(35.377,6.67,0.)); #543945=CARTESIAN_POINT('',(35.377,6.67,0.035)); #543946=CARTESIAN_POINT('',(35.377,6.67,0.035)); #543947=CARTESIAN_POINT('',(35.377,6.67,0.)); #543948=CARTESIAN_POINT('Origin',(35.377,6.4939281,0.)); #543949=CARTESIAN_POINT('',(35.377,6.4939281,0.)); #543950=CARTESIAN_POINT('',(35.377,6.4939281,0.)); #543951=CARTESIAN_POINT('',(35.377,6.4939281,0.035)); #543952=CARTESIAN_POINT('',(35.377,6.4939281,0.035)); #543953=CARTESIAN_POINT('',(35.377,6.4939281,0.)); #543954=CARTESIAN_POINT('Origin',(35.2499453379034,6.24955431053405,0.)); #543955=CARTESIAN_POINT('Origin',(35.2499453379034,6.24955431053405,0.)); #543956=CARTESIAN_POINT('Origin',(35.2499453379034,6.24955431053405,0.035)); #543957=CARTESIAN_POINT('Origin',(35.2505819126077,6.88369655967055,0.035)); #543958=CARTESIAN_POINT('Origin',(35.2505819126077,6.88369655967055,0.)); #543959=CARTESIAN_POINT('Origin',(32.75,8.25,-200.)); #543960=CARTESIAN_POINT('',(32.61,8.25,0.)); #543961=CARTESIAN_POINT('Origin',(32.75,8.25,0.)); #543962=CARTESIAN_POINT('',(32.61,8.25,0.0349999999999895)); #543963=CARTESIAN_POINT('',(32.61,8.25,-200.)); #543964=CARTESIAN_POINT('Origin',(32.75,8.25,0.0349999999999895)); #543965=CARTESIAN_POINT('Origin',(32.4,6.67,0.)); #543966=CARTESIAN_POINT('',(32.4,6.67,0.)); #543967=CARTESIAN_POINT('',(32.4,7.82,0.)); #543968=CARTESIAN_POINT('',(32.4,6.67,0.)); #543969=CARTESIAN_POINT('',(32.4,7.82,0.035)); #543970=CARTESIAN_POINT('',(32.4,7.82,0.)); #543971=CARTESIAN_POINT('',(32.4,6.67,0.035)); #543972=CARTESIAN_POINT('',(32.4,6.67,0.035)); #543973=CARTESIAN_POINT('',(32.4,6.67,0.)); #543974=CARTESIAN_POINT('Origin',(32.1,6.67,0.)); #543975=CARTESIAN_POINT('',(32.1,6.67,0.)); #543976=CARTESIAN_POINT('',(32.1,6.67,0.)); #543977=CARTESIAN_POINT('',(32.1,6.67,0.035)); #543978=CARTESIAN_POINT('',(32.1,6.67,0.035)); #543979=CARTESIAN_POINT('',(32.1,6.67,0.)); #543980=CARTESIAN_POINT('Origin',(32.1,7.82,0.)); #543981=CARTESIAN_POINT('',(32.1,7.82,0.)); #543982=CARTESIAN_POINT('',(32.1,7.82,0.)); #543983=CARTESIAN_POINT('',(32.1,7.82,0.035)); #543984=CARTESIAN_POINT('',(32.1,7.82,0.035)); #543985=CARTESIAN_POINT('',(32.1,7.82,0.)); #543986=CARTESIAN_POINT('Origin',(32.123,7.82,0.)); #543987=CARTESIAN_POINT('',(32.123,7.82,0.)); #543988=CARTESIAN_POINT('',(32.123,7.82,0.)); #543989=CARTESIAN_POINT('',(32.123,7.82,0.035)); #543990=CARTESIAN_POINT('',(32.123,7.82,0.035)); #543991=CARTESIAN_POINT('',(32.123,7.82,0.)); #543992=CARTESIAN_POINT('Origin',(32.123,8.,0.)); #543993=CARTESIAN_POINT('',(32.123,8.,0.)); #543994=CARTESIAN_POINT('',(32.123,8.,0.)); #543995=CARTESIAN_POINT('',(32.123,8.,0.035)); #543996=CARTESIAN_POINT('',(32.123,8.,0.035)); #543997=CARTESIAN_POINT('',(32.123,8.,0.)); #543998=CARTESIAN_POINT('Origin',(32.2500004294359,8.00000079970043,0.)); #543999=CARTESIAN_POINT('',(32.160197,8.0898031,0.)); #544000=CARTESIAN_POINT('Origin',(32.2500004294359,8.00000079970043,0.)); #544001=CARTESIAN_POINT('',(32.160197,8.0898031,0.035)); #544002=CARTESIAN_POINT('Origin',(32.2500004294359,8.00000079970043,0.035)); #544003=CARTESIAN_POINT('',(32.160197,8.0898031,0.)); #544004=CARTESIAN_POINT('Origin',(32.410197,8.3398031,0.)); #544005=CARTESIAN_POINT('',(32.410197,8.3398031,0.)); #544006=CARTESIAN_POINT('',(32.410197,8.3398031,0.)); #544007=CARTESIAN_POINT('',(32.410197,8.3398031,0.035)); #544008=CARTESIAN_POINT('',(32.410197,8.3398031,0.035)); #544009=CARTESIAN_POINT('',(32.410197,8.3398031,0.)); #544010=CARTESIAN_POINT('Origin',(32.5001664322704,8.25006425559049,0.)); #544011=CARTESIAN_POINT('',(32.506072,8.377,0.)); #544012=CARTESIAN_POINT('Origin',(32.5001664322704,8.25006425559049,0.)); #544013=CARTESIAN_POINT('',(32.506072,8.377,0.035)); #544014=CARTESIAN_POINT('Origin',(32.5001664322704,8.25006425559049,0.035)); #544015=CARTESIAN_POINT('',(32.506072,8.377,0.)); #544016=CARTESIAN_POINT('Origin',(32.7504825679081,8.2500168948761,0.)); #544017=CARTESIAN_POINT('',(32.9852,8.3941313,0.)); #544018=CARTESIAN_POINT('Origin',(32.7504825679081,8.2500168948761,0.)); #544019=CARTESIAN_POINT('',(32.9852,8.3941313,0.035)); #544020=CARTESIAN_POINT('Origin',(32.7504825679081,8.2500168948761,0.035)); #544021=CARTESIAN_POINT('',(32.9852,8.3941313,0.)); #544022=CARTESIAN_POINT('Origin',(32.7503207559903,8.25020865128404,0.)); #544023=CARTESIAN_POINT('',(32.5235,8.0938938,0.)); #544024=CARTESIAN_POINT('Origin',(32.7503207559903,8.25020865128404,0.)); #544025=CARTESIAN_POINT('',(32.5235,8.0938938,0.035)); #544026=CARTESIAN_POINT('Origin',(32.7503207559903,8.25020865128404,0.035)); #544027=CARTESIAN_POINT('',(32.5235,8.0938938,0.)); #544028=CARTESIAN_POINT('Origin',(32.377,7.9473938,0.)); #544029=CARTESIAN_POINT('',(32.377,7.9473938,0.)); #544030=CARTESIAN_POINT('',(32.377,7.9473938,0.)); #544031=CARTESIAN_POINT('',(32.377,7.9473938,0.035)); #544032=CARTESIAN_POINT('',(32.377,7.9473938,0.035)); #544033=CARTESIAN_POINT('',(32.377,7.9473938,0.)); #544034=CARTESIAN_POINT('Origin',(32.377,7.82,0.)); #544035=CARTESIAN_POINT('',(32.377,7.82,0.)); #544036=CARTESIAN_POINT('',(32.377,7.82,0.)); #544037=CARTESIAN_POINT('',(32.377,7.82,0.035)); #544038=CARTESIAN_POINT('',(32.377,7.82,0.035)); #544039=CARTESIAN_POINT('',(32.377,7.82,0.)); #544040=CARTESIAN_POINT('Origin',(32.4,7.82,0.)); #544041=CARTESIAN_POINT('',(32.4,7.82,0.)); #544042=CARTESIAN_POINT('',(32.4,7.82,0.035)); #544043=CARTESIAN_POINT('Origin',(32.4139649460764,7.67361842442317,0.035)); #544044=CARTESIAN_POINT('Origin',(32.4139649460764,7.67361842442317,0.)); #544045=CARTESIAN_POINT('Origin',(34.,55.,-200.)); #544046=CARTESIAN_POINT('',(33.86,55.,0.)); #544047=CARTESIAN_POINT('Origin',(34.,55.,0.)); #544048=CARTESIAN_POINT('',(33.86,55.,0.0349999999999895)); #544049=CARTESIAN_POINT('',(33.86,55.,-200.)); #544050=CARTESIAN_POINT('Origin',(34.,55.,0.0349999999999895)); #544051=CARTESIAN_POINT('Origin',(42.5,14.25,-200.)); #544052=CARTESIAN_POINT('',(42.36,14.25,0.)); #544053=CARTESIAN_POINT('Origin',(42.5,14.25,0.)); #544054=CARTESIAN_POINT('',(42.36,14.25,0.0349999999999895)); #544055=CARTESIAN_POINT('',(42.36,14.25,-200.)); #544056=CARTESIAN_POINT('Origin',(42.5,14.25,0.0349999999999895)); #544057=CARTESIAN_POINT('Origin',(42.521644,13.975,0.)); #544058=CARTESIAN_POINT('',(42.521644,13.975,0.)); #544059=CARTESIAN_POINT('',(42.564397,13.981772,0.)); #544060=CARTESIAN_POINT('',(42.521644,13.975,0.)); #544061=CARTESIAN_POINT('',(42.564397,13.981772,0.035)); #544062=CARTESIAN_POINT('',(42.564397,13.981772,0.)); #544063=CARTESIAN_POINT('',(42.521644,13.975,0.035)); #544064=CARTESIAN_POINT('',(42.521644,13.975,0.035)); #544065=CARTESIAN_POINT('',(42.521644,13.975,0.)); #544066=CARTESIAN_POINT('Origin',(42.5000003953475,14.2500004229918,0.)); #544067=CARTESIAN_POINT('',(42.245147,14.144438,0.)); #544068=CARTESIAN_POINT('Origin',(42.5000003953475,14.2500004229918,0.)); #544069=CARTESIAN_POINT('',(42.245147,14.144438,0.035)); #544070=CARTESIAN_POINT('Origin',(42.5000003953475,14.2500004229918,0.035)); #544071=CARTESIAN_POINT('',(42.245147,14.144438,0.)); #544072=CARTESIAN_POINT('Origin',(42.4996182367391,14.2498222285952,0.)); #544073=CARTESIAN_POINT('',(42.743928,14.377,0.)); #544074=CARTESIAN_POINT('Origin',(42.4996182367391,14.2498222285952,0.)); #544075=CARTESIAN_POINT('',(42.743928,14.377,0.035)); #544076=CARTESIAN_POINT('Origin',(42.4996182367391,14.2498222285952,0.035)); #544077=CARTESIAN_POINT('',(42.743928,14.377,0.)); #544078=CARTESIAN_POINT('Origin',(54.477725,14.377,0.)); #544079=CARTESIAN_POINT('',(54.477725,14.377,0.)); #544080=CARTESIAN_POINT('',(54.477725,14.377,0.)); #544081=CARTESIAN_POINT('',(54.477725,14.377,0.035)); #544082=CARTESIAN_POINT('',(54.477725,14.377,0.035)); #544083=CARTESIAN_POINT('',(54.477725,14.377,0.)); #544084=CARTESIAN_POINT('Origin',(59.748,19.647275,0.)); #544085=CARTESIAN_POINT('',(59.748,19.647275,0.)); #544086=CARTESIAN_POINT('',(59.748,19.647275,0.)); #544087=CARTESIAN_POINT('',(59.748,19.647275,0.035)); #544088=CARTESIAN_POINT('',(59.748,19.647275,0.035)); #544089=CARTESIAN_POINT('',(59.748,19.647275,0.)); #544090=CARTESIAN_POINT('Origin',(59.748,38.102725,0.)); #544091=CARTESIAN_POINT('',(59.748,38.102725,0.)); #544092=CARTESIAN_POINT('',(59.748,38.102725,0.)); #544093=CARTESIAN_POINT('',(59.748,38.102725,0.035)); #544094=CARTESIAN_POINT('',(59.748,38.102725,0.035)); #544095=CARTESIAN_POINT('',(59.748,38.102725,0.)); #544096=CARTESIAN_POINT('Origin',(57.602725,40.248,0.)); #544097=CARTESIAN_POINT('',(57.602725,40.248,0.)); #544098=CARTESIAN_POINT('',(57.602725,40.248,0.)); #544099=CARTESIAN_POINT('',(57.602725,40.248,0.035)); #544100=CARTESIAN_POINT('',(57.602725,40.248,0.035)); #544101=CARTESIAN_POINT('',(57.602725,40.248,0.)); #544102=CARTESIAN_POINT('Origin',(48.4375,40.248,0.)); #544103=CARTESIAN_POINT('',(48.4375,40.248,0.)); #544104=CARTESIAN_POINT('',(48.4375,40.248,0.)); #544105=CARTESIAN_POINT('',(48.4375,40.248,0.035)); #544106=CARTESIAN_POINT('',(48.4375,40.248,0.035)); #544107=CARTESIAN_POINT('',(48.4375,40.248,0.)); #544108=CARTESIAN_POINT('Origin',(48.4374992502996,40.3750003087252,0.)); #544109=CARTESIAN_POINT('',(48.347697,40.285197,0.)); #544110=CARTESIAN_POINT('Origin',(48.4374992502996,40.3750003087252,0.)); #544111=CARTESIAN_POINT('',(48.347697,40.285197,0.035)); #544112=CARTESIAN_POINT('Origin',(48.4374992502996,40.3750003087252,0.035)); #544113=CARTESIAN_POINT('',(48.347697,40.285197,0.)); #544114=CARTESIAN_POINT('Origin',(46.884894,41.748,0.)); #544115=CARTESIAN_POINT('',(46.884894,41.748,0.)); #544116=CARTESIAN_POINT('',(46.884894,41.748,0.)); #544117=CARTESIAN_POINT('',(46.884894,41.748,0.035)); #544118=CARTESIAN_POINT('',(46.884894,41.748,0.035)); #544119=CARTESIAN_POINT('',(46.884894,41.748,0.)); #544120=CARTESIAN_POINT('Origin',(43.4375,41.748,0.)); #544121=CARTESIAN_POINT('',(43.4375,41.748,0.)); #544122=CARTESIAN_POINT('',(43.4375,41.748,0.)); #544123=CARTESIAN_POINT('',(43.4375,41.748,0.035)); #544124=CARTESIAN_POINT('',(43.4375,41.748,0.035)); #544125=CARTESIAN_POINT('',(43.4375,41.748,0.)); #544126=CARTESIAN_POINT('Origin',(43.4374992502996,41.8750003087252,0.)); #544127=CARTESIAN_POINT('',(43.347697,41.785197,0.)); #544128=CARTESIAN_POINT('Origin',(43.4374992502996,41.8750003087252,0.)); #544129=CARTESIAN_POINT('',(43.347697,41.785197,0.035)); #544130=CARTESIAN_POINT('Origin',(43.4374992502996,41.8750003087252,0.035)); #544131=CARTESIAN_POINT('',(43.347697,41.785197,0.)); #544132=CARTESIAN_POINT('Origin',(42.285197,42.847697,0.)); #544133=CARTESIAN_POINT('',(42.285197,42.847697,0.)); #544134=CARTESIAN_POINT('',(42.285197,42.847697,0.)); #544135=CARTESIAN_POINT('',(42.285197,42.847697,0.035)); #544136=CARTESIAN_POINT('',(42.285197,42.847697,0.035)); #544137=CARTESIAN_POINT('',(42.285197,42.847697,0.)); #544138=CARTESIAN_POINT('Origin',(42.3750003087252,42.9374992502996,0.)); #544139=CARTESIAN_POINT('',(42.248,42.9375,0.)); #544140=CARTESIAN_POINT('Origin',(42.3750003087252,42.9374992502996,0.)); #544141=CARTESIAN_POINT('',(42.248,42.9375,0.035)); #544142=CARTESIAN_POINT('Origin',(42.3750003087252,42.9374992502996,0.035)); #544143=CARTESIAN_POINT('',(42.248,42.9375,0.)); #544144=CARTESIAN_POINT('Origin',(42.248,49.602731,0.)); #544145=CARTESIAN_POINT('',(42.248,49.602731,0.)); #544146=CARTESIAN_POINT('',(42.248,49.602731,0.)); #544147=CARTESIAN_POINT('',(42.248,49.602731,0.035)); #544148=CARTESIAN_POINT('',(42.248,49.602731,0.035)); #544149=CARTESIAN_POINT('',(42.248,49.602731,0.)); #544150=CARTESIAN_POINT('Origin',(41.352731,50.498,0.)); #544151=CARTESIAN_POINT('',(41.352731,50.498,0.)); #544152=CARTESIAN_POINT('',(41.352731,50.498,0.)); #544153=CARTESIAN_POINT('',(41.352731,50.498,0.035)); #544154=CARTESIAN_POINT('',(41.352731,50.498,0.035)); #544155=CARTESIAN_POINT('',(41.352731,50.498,0.)); #544156=CARTESIAN_POINT('Origin',(36.5,50.498,0.)); #544157=CARTESIAN_POINT('',(36.5,50.498,0.)); #544158=CARTESIAN_POINT('',(36.5,50.498,0.)); #544159=CARTESIAN_POINT('',(36.5,50.498,0.035)); #544160=CARTESIAN_POINT('',(36.5,50.498,0.035)); #544161=CARTESIAN_POINT('',(36.5,50.498,0.)); #544162=CARTESIAN_POINT('Origin',(36.4999992502996,50.6250003087252,0.)); #544163=CARTESIAN_POINT('',(36.410197,50.535197,0.)); #544164=CARTESIAN_POINT('Origin',(36.4999992502996,50.6250003087252,0.)); #544165=CARTESIAN_POINT('',(36.410197,50.535197,0.035)); #544166=CARTESIAN_POINT('Origin',(36.4999992502996,50.6250003087252,0.035)); #544167=CARTESIAN_POINT('',(36.410197,50.535197,0.)); #544168=CARTESIAN_POINT('Origin',(33.910197,53.035197,0.)); #544169=CARTESIAN_POINT('',(33.910197,53.035197,0.)); #544170=CARTESIAN_POINT('',(33.910197,53.035197,0.)); #544171=CARTESIAN_POINT('',(33.910197,53.035197,0.035)); #544172=CARTESIAN_POINT('',(33.910197,53.035197,0.035)); #544173=CARTESIAN_POINT('',(33.910197,53.035197,0.)); #544174=CARTESIAN_POINT('Origin',(34.0000003087252,53.1249992502996,0.)); #544175=CARTESIAN_POINT('',(33.873,53.125,0.)); #544176=CARTESIAN_POINT('Origin',(34.0000003087252,53.1249992502996,0.)); #544177=CARTESIAN_POINT('',(33.873,53.125,0.035)); #544178=CARTESIAN_POINT('Origin',(34.0000003087252,53.1249992502996,0.035)); #544179=CARTESIAN_POINT('',(33.873,53.125,0.)); #544180=CARTESIAN_POINT('Origin',(33.873,54.756072,0.)); #544181=CARTESIAN_POINT('',(33.873,54.756072,0.)); #544182=CARTESIAN_POINT('',(33.873,54.756072,0.)); #544183=CARTESIAN_POINT('',(33.873,54.756072,0.035)); #544184=CARTESIAN_POINT('',(33.873,54.756072,0.035)); #544185=CARTESIAN_POINT('',(33.873,54.756072,0.)); #544186=CARTESIAN_POINT('Origin',(34.0000204601791,55.0004637716938,0.)); #544187=CARTESIAN_POINT('',(33.894438,55.254853,0.)); #544188=CARTESIAN_POINT('Origin',(34.0000204601791,55.0004637716938,0.)); #544189=CARTESIAN_POINT('',(33.894438,55.254853,0.035)); #544190=CARTESIAN_POINT('Origin',(34.0000204601791,55.0004637716938,0.035)); #544191=CARTESIAN_POINT('',(33.894438,55.254853,0.)); #544192=CARTESIAN_POINT('Origin',(33.9998222285952,55.0003817632609,0.)); #544193=CARTESIAN_POINT('',(34.127,54.756072,0.)); #544194=CARTESIAN_POINT('Origin',(33.9998222285952,55.0003817632609,0.)); #544195=CARTESIAN_POINT('',(34.127,54.756072,0.035)); #544196=CARTESIAN_POINT('Origin',(33.9998222285952,55.0003817632609,0.035)); #544197=CARTESIAN_POINT('',(34.127,54.756072,0.)); #544198=CARTESIAN_POINT('Origin',(34.127,53.177606,0.)); #544199=CARTESIAN_POINT('',(34.127,53.177606,0.)); #544200=CARTESIAN_POINT('',(34.127,53.177606,0.)); #544201=CARTESIAN_POINT('',(34.127,53.177606,0.035)); #544202=CARTESIAN_POINT('',(34.127,53.177606,0.035)); #544203=CARTESIAN_POINT('',(34.127,53.177606,0.)); #544204=CARTESIAN_POINT('Origin',(36.552606,50.752,0.)); #544205=CARTESIAN_POINT('',(36.552606,50.752,0.)); #544206=CARTESIAN_POINT('',(36.552606,50.752,0.)); #544207=CARTESIAN_POINT('',(36.552606,50.752,0.035)); #544208=CARTESIAN_POINT('',(36.552606,50.752,0.035)); #544209=CARTESIAN_POINT('',(36.552606,50.752,0.)); #544210=CARTESIAN_POINT('Origin',(41.405338,50.752,0.)); #544211=CARTESIAN_POINT('',(41.405338,50.752,0.)); #544212=CARTESIAN_POINT('',(41.405338,50.752,0.)); #544213=CARTESIAN_POINT('',(41.405338,50.752,0.035)); #544214=CARTESIAN_POINT('',(41.405338,50.752,0.035)); #544215=CARTESIAN_POINT('',(41.405338,50.752,0.)); #544216=CARTESIAN_POINT('Origin',(41.4053387497004,50.6249996912748,0.)); #544217=CARTESIAN_POINT('',(41.495141,50.714803,0.)); #544218=CARTESIAN_POINT('Origin',(41.4053387497004,50.6249996912748,0.)); #544219=CARTESIAN_POINT('',(41.495141,50.714803,0.035)); #544220=CARTESIAN_POINT('Origin',(41.4053387497004,50.6249996912748,0.035)); #544221=CARTESIAN_POINT('',(41.495141,50.714803,0.)); #544222=CARTESIAN_POINT('Origin',(42.464803,49.745141,0.)); #544223=CARTESIAN_POINT('',(42.464803,49.745141,0.)); #544224=CARTESIAN_POINT('',(42.464803,49.745141,0.)); #544225=CARTESIAN_POINT('',(42.464803,49.745141,0.035)); #544226=CARTESIAN_POINT('',(42.464803,49.745141,0.035)); #544227=CARTESIAN_POINT('',(42.464803,49.745141,0.)); #544228=CARTESIAN_POINT('Origin',(42.3749996912748,49.6553387497004,0.)); #544229=CARTESIAN_POINT('',(42.502,49.655338,0.)); #544230=CARTESIAN_POINT('Origin',(42.3749996912748,49.6553387497004,0.)); #544231=CARTESIAN_POINT('',(42.502,49.655338,0.035)); #544232=CARTESIAN_POINT('Origin',(42.3749996912748,49.6553387497004,0.035)); #544233=CARTESIAN_POINT('',(42.502,49.655338,0.)); #544234=CARTESIAN_POINT('Origin',(42.502,42.990106,0.)); #544235=CARTESIAN_POINT('',(42.502,42.990106,0.)); #544236=CARTESIAN_POINT('',(42.502,42.990106,0.)); #544237=CARTESIAN_POINT('',(42.502,42.990106,0.035)); #544238=CARTESIAN_POINT('',(42.502,42.990106,0.035)); #544239=CARTESIAN_POINT('',(42.502,42.990106,0.)); #544240=CARTESIAN_POINT('Origin',(43.490106,42.002,0.)); #544241=CARTESIAN_POINT('',(43.490106,42.002,0.)); #544242=CARTESIAN_POINT('',(43.490106,42.002,0.)); #544243=CARTESIAN_POINT('',(43.490106,42.002,0.035)); #544244=CARTESIAN_POINT('',(43.490106,42.002,0.035)); #544245=CARTESIAN_POINT('',(43.490106,42.002,0.)); #544246=CARTESIAN_POINT('Origin',(46.9375,42.002,0.)); #544247=CARTESIAN_POINT('',(46.9375,42.002,0.)); #544248=CARTESIAN_POINT('',(46.9375,42.002,0.)); #544249=CARTESIAN_POINT('',(46.9375,42.002,0.035)); #544250=CARTESIAN_POINT('',(46.9375,42.002,0.035)); #544251=CARTESIAN_POINT('',(46.9375,42.002,0.)); #544252=CARTESIAN_POINT('Origin',(46.9375007497004,41.8749996912748,0.)); #544253=CARTESIAN_POINT('',(47.027303,41.964803,0.)); #544254=CARTESIAN_POINT('Origin',(46.9375007497004,41.8749996912748,0.)); #544255=CARTESIAN_POINT('',(47.027303,41.964803,0.035)); #544256=CARTESIAN_POINT('Origin',(46.9375007497004,41.8749996912748,0.035)); #544257=CARTESIAN_POINT('',(47.027303,41.964803,0.)); #544258=CARTESIAN_POINT('Origin',(48.490106,40.502,0.)); #544259=CARTESIAN_POINT('',(48.490106,40.502,0.)); #544260=CARTESIAN_POINT('',(48.490106,40.502,0.)); #544261=CARTESIAN_POINT('',(48.490106,40.502,0.035)); #544262=CARTESIAN_POINT('',(48.490106,40.502,0.035)); #544263=CARTESIAN_POINT('',(48.490106,40.502,0.)); #544264=CARTESIAN_POINT('Origin',(57.655331,40.502,0.)); #544265=CARTESIAN_POINT('',(57.655331,40.502,0.)); #544266=CARTESIAN_POINT('',(57.655331,40.502,0.)); #544267=CARTESIAN_POINT('',(57.655331,40.502,0.035)); #544268=CARTESIAN_POINT('',(57.655331,40.502,0.035)); #544269=CARTESIAN_POINT('',(57.655331,40.502,0.)); #544270=CARTESIAN_POINT('Origin',(57.6553317497004,40.3749996912748,0.)); #544271=CARTESIAN_POINT('',(57.745134,40.464803,0.)); #544272=CARTESIAN_POINT('Origin',(57.6553317497004,40.3749996912748,0.)); #544273=CARTESIAN_POINT('',(57.745134,40.464803,0.035)); #544274=CARTESIAN_POINT('Origin',(57.6553317497004,40.3749996912748,0.035)); #544275=CARTESIAN_POINT('',(57.745134,40.464803,0.)); #544276=CARTESIAN_POINT('Origin',(59.964803,38.245134,0.)); #544277=CARTESIAN_POINT('',(59.964803,38.245134,0.)); #544278=CARTESIAN_POINT('',(59.964803,38.245134,0.)); #544279=CARTESIAN_POINT('',(59.964803,38.245134,0.035)); #544280=CARTESIAN_POINT('',(59.964803,38.245134,0.035)); #544281=CARTESIAN_POINT('',(59.964803,38.245134,0.)); #544282=CARTESIAN_POINT('Origin',(59.8749996912748,38.1553317497004,0.)); #544283=CARTESIAN_POINT('',(60.002,38.155331,0.)); #544284=CARTESIAN_POINT('Origin',(59.8749996912748,38.1553317497004,0.)); #544285=CARTESIAN_POINT('',(60.002,38.155331,0.035)); #544286=CARTESIAN_POINT('Origin',(59.8749996912748,38.1553317497004,0.035)); #544287=CARTESIAN_POINT('',(60.002,38.155331,0.)); #544288=CARTESIAN_POINT('Origin',(60.002,19.594669,0.)); #544289=CARTESIAN_POINT('',(60.002,19.594669,0.)); #544290=CARTESIAN_POINT('',(60.002,19.594669,0.)); #544291=CARTESIAN_POINT('',(60.002,19.594669,0.035)); #544292=CARTESIAN_POINT('',(60.002,19.594669,0.035)); #544293=CARTESIAN_POINT('',(60.002,19.594669,0.)); #544294=CARTESIAN_POINT('Origin',(59.8749996912748,19.5946682502996,0.)); #544295=CARTESIAN_POINT('',(59.964803,19.504866,0.)); #544296=CARTESIAN_POINT('Origin',(59.8749996912748,19.5946682502996,0.)); #544297=CARTESIAN_POINT('',(59.964803,19.504866,0.035)); #544298=CARTESIAN_POINT('Origin',(59.8749996912748,19.5946682502996,0.035)); #544299=CARTESIAN_POINT('',(59.964803,19.504866,0.)); #544300=CARTESIAN_POINT('Origin',(54.620134,14.160197,0.)); #544301=CARTESIAN_POINT('',(54.620134,14.160197,0.)); #544302=CARTESIAN_POINT('',(54.620134,14.160197,0.)); #544303=CARTESIAN_POINT('',(54.620134,14.160197,0.035)); #544304=CARTESIAN_POINT('',(54.620134,14.160197,0.035)); #544305=CARTESIAN_POINT('',(54.620134,14.160197,0.)); #544306=CARTESIAN_POINT('Origin',(54.5303317497004,14.2500003087252,0.)); #544307=CARTESIAN_POINT('',(54.530331,14.123,0.)); #544308=CARTESIAN_POINT('Origin',(54.5303317497004,14.2500003087252,0.)); #544309=CARTESIAN_POINT('',(54.530331,14.123,0.035)); #544310=CARTESIAN_POINT('Origin',(54.5303317497004,14.2500003087252,0.035)); #544311=CARTESIAN_POINT('',(54.530331,14.123,0.)); #544312=CARTESIAN_POINT('Origin',(42.743928,14.123,0.)); #544313=CARTESIAN_POINT('',(42.743928,14.123,0.)); #544314=CARTESIAN_POINT('',(42.743928,14.123,0.)); #544315=CARTESIAN_POINT('',(42.743928,14.123,0.035)); #544316=CARTESIAN_POINT('',(42.743928,14.123,0.035)); #544317=CARTESIAN_POINT('',(42.743928,14.123,0.)); #544318=CARTESIAN_POINT('Origin',(42.4992008746985,14.2493753757046,0.)); #544319=CARTESIAN_POINT('Origin',(42.4992008746985,14.2493753757046,0.)); #544320=CARTESIAN_POINT('Origin',(42.4992008746985,14.2493753757046,0.035)); #544321=CARTESIAN_POINT('Origin',(50.4275280783043,33.432839379361,0.035)); #544322=CARTESIAN_POINT('Origin',(50.4275280783043,33.432839379361,0.)); #544323=CARTESIAN_POINT('Origin',(41.25,13.0029,-200.)); #544324=CARTESIAN_POINT('',(41.11,13.0029,0.)); #544325=CARTESIAN_POINT('Origin',(41.25,13.0029,0.)); #544326=CARTESIAN_POINT('',(41.11,13.0029,0.0349999999999895)); #544327=CARTESIAN_POINT('',(41.11,13.0029,-200.)); #544328=CARTESIAN_POINT('Origin',(41.25,13.0029,0.0349999999999895)); #544329=CARTESIAN_POINT('Origin',(34.9375,12.25,-200.)); #544330=CARTESIAN_POINT('',(34.7975,12.25,0.)); #544331=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #544332=CARTESIAN_POINT('',(34.7975,12.25,0.0349999999999895)); #544333=CARTESIAN_POINT('',(34.7975,12.25,-200.)); #544334=CARTESIAN_POINT('Origin',(34.9375,12.25,0.0349999999999895)); #544335=CARTESIAN_POINT('Origin',(34.959144,11.975,0.)); #544336=CARTESIAN_POINT('',(34.959144,11.975,0.)); #544337=CARTESIAN_POINT('',(35.001897,11.981772,0.)); #544338=CARTESIAN_POINT('',(34.959144,11.975,0.)); #544339=CARTESIAN_POINT('',(35.001897,11.981772,0.035)); #544340=CARTESIAN_POINT('',(35.001897,11.981772,0.)); #544341=CARTESIAN_POINT('',(34.959144,11.975,0.035)); #544342=CARTESIAN_POINT('',(34.959144,11.975,0.035)); #544343=CARTESIAN_POINT('',(34.959144,11.975,0.)); #544344=CARTESIAN_POINT('Origin',(34.9375003953475,12.2500004229918,0.)); #544345=CARTESIAN_POINT('',(34.682647,12.144438,0.)); #544346=CARTESIAN_POINT('Origin',(34.9375003953475,12.2500004229918,0.)); #544347=CARTESIAN_POINT('',(34.682647,12.144438,0.035)); #544348=CARTESIAN_POINT('Origin',(34.9375003953475,12.2500004229918,0.035)); #544349=CARTESIAN_POINT('',(34.682647,12.144438,0.)); #544350=CARTESIAN_POINT('Origin',(34.9371182367391,12.2498222285952,0.)); #544351=CARTESIAN_POINT('',(35.181428,12.377,0.)); #544352=CARTESIAN_POINT('Origin',(34.9371182367391,12.2498222285952,0.)); #544353=CARTESIAN_POINT('',(35.181428,12.377,0.035)); #544354=CARTESIAN_POINT('Origin',(34.9371182367391,12.2498222285952,0.035)); #544355=CARTESIAN_POINT('',(35.181428,12.377,0.)); #544356=CARTESIAN_POINT('Origin',(40.444494,12.377,0.)); #544357=CARTESIAN_POINT('',(40.444494,12.377,0.)); #544358=CARTESIAN_POINT('',(40.444494,12.377,0.)); #544359=CARTESIAN_POINT('',(40.444494,12.377,0.035)); #544360=CARTESIAN_POINT('',(40.444494,12.377,0.035)); #544361=CARTESIAN_POINT('',(40.444494,12.377,0.)); #544362=CARTESIAN_POINT('Origin',(40.987713,12.920219,0.)); #544363=CARTESIAN_POINT('',(40.987713,12.920219,0.)); #544364=CARTESIAN_POINT('',(40.987713,12.920219,0.)); #544365=CARTESIAN_POINT('',(40.987713,12.920219,0.035)); #544366=CARTESIAN_POINT('',(40.987713,12.920219,0.035)); #544367=CARTESIAN_POINT('',(40.987713,12.920219,0.)); #544368=CARTESIAN_POINT('Origin',(41.250342029785,13.0032130782902,0.)); #544369=CARTESIAN_POINT('',(41.355563,13.257753,0.)); #544370=CARTESIAN_POINT('Origin',(41.250342029785,13.0032130782902,0.)); #544371=CARTESIAN_POINT('',(41.355563,13.257753,0.035)); #544372=CARTESIAN_POINT('Origin',(41.250342029785,13.0032130782902,0.035)); #544373=CARTESIAN_POINT('',(41.355563,13.257753,0.)); #544374=CARTESIAN_POINT('Origin',(41.2501441326569,13.0032951698111,0.)); #544375=CARTESIAN_POINT('',(41.167319,12.740613,0.)); #544376=CARTESIAN_POINT('Origin',(41.2501441326569,13.0032951698111,0.)); #544377=CARTESIAN_POINT('',(41.167319,12.740613,0.035)); #544378=CARTESIAN_POINT('Origin',(41.2501441326569,13.0032951698111,0.035)); #544379=CARTESIAN_POINT('',(41.167319,12.740613,0.)); #544380=CARTESIAN_POINT('Origin',(40.586903,12.160197,0.)); #544381=CARTESIAN_POINT('',(40.586903,12.160197,0.)); #544382=CARTESIAN_POINT('',(40.586903,12.160197,0.)); #544383=CARTESIAN_POINT('',(40.586903,12.160197,0.035)); #544384=CARTESIAN_POINT('',(40.586903,12.160197,0.035)); #544385=CARTESIAN_POINT('',(40.586903,12.160197,0.)); #544386=CARTESIAN_POINT('Origin',(40.4971007497004,12.2500003087252,0.)); #544387=CARTESIAN_POINT('',(40.4971,12.123,0.)); #544388=CARTESIAN_POINT('Origin',(40.4971007497004,12.2500003087252,0.)); #544389=CARTESIAN_POINT('',(40.4971,12.123,0.035)); #544390=CARTESIAN_POINT('Origin',(40.4971007497004,12.2500003087252,0.035)); #544391=CARTESIAN_POINT('',(40.4971,12.123,0.)); #544392=CARTESIAN_POINT('Origin',(35.181428,12.123,0.)); #544393=CARTESIAN_POINT('',(35.181428,12.123,0.)); #544394=CARTESIAN_POINT('',(35.181428,12.123,0.)); #544395=CARTESIAN_POINT('',(35.181428,12.123,0.035)); #544396=CARTESIAN_POINT('',(35.181428,12.123,0.035)); #544397=CARTESIAN_POINT('',(35.181428,12.123,0.)); #544398=CARTESIAN_POINT('Origin',(34.9367008746984,12.2493753757046,0.)); #544399=CARTESIAN_POINT('Origin',(34.9367008746984,12.2493753757046,0.)); #544400=CARTESIAN_POINT('Origin',(34.9367008746984,12.2493753757046,0.035)); #544401=CARTESIAN_POINT('Origin',(38.204809602901,12.3549250117441,0.035)); #544402=CARTESIAN_POINT('Origin',(38.204809602901,12.3549250117441,0.)); #544403=CARTESIAN_POINT('Origin',(34.25,8.25,-200.)); #544404=CARTESIAN_POINT('',(34.11,8.25,0.)); #544405=CARTESIAN_POINT('Origin',(34.25,8.25,0.)); #544406=CARTESIAN_POINT('',(34.11,8.25,0.0349999999999895)); #544407=CARTESIAN_POINT('',(34.11,8.25,-200.)); #544408=CARTESIAN_POINT('Origin',(34.25,8.25,0.0349999999999895)); #544409=CARTESIAN_POINT('Origin',(33.9,6.67,0.)); #544410=CARTESIAN_POINT('',(33.9,6.67,0.)); #544411=CARTESIAN_POINT('',(33.9,7.82,0.)); #544412=CARTESIAN_POINT('',(33.9,6.67,0.)); #544413=CARTESIAN_POINT('',(33.9,7.82,0.035)); #544414=CARTESIAN_POINT('',(33.9,7.82,0.)); #544415=CARTESIAN_POINT('',(33.9,6.67,0.035)); #544416=CARTESIAN_POINT('',(33.9,6.67,0.035)); #544417=CARTESIAN_POINT('',(33.9,6.67,0.)); #544418=CARTESIAN_POINT('Origin',(33.6,6.67,0.)); #544419=CARTESIAN_POINT('',(33.6,6.67,0.)); #544420=CARTESIAN_POINT('',(33.6,6.67,0.)); #544421=CARTESIAN_POINT('',(33.6,6.67,0.035)); #544422=CARTESIAN_POINT('',(33.6,6.67,0.035)); #544423=CARTESIAN_POINT('',(33.6,6.67,0.)); #544424=CARTESIAN_POINT('Origin',(33.6,7.82,0.)); #544425=CARTESIAN_POINT('',(33.6,7.82,0.)); #544426=CARTESIAN_POINT('',(33.6,7.82,0.)); #544427=CARTESIAN_POINT('',(33.6,7.82,0.035)); #544428=CARTESIAN_POINT('',(33.6,7.82,0.035)); #544429=CARTESIAN_POINT('',(33.6,7.82,0.)); #544430=CARTESIAN_POINT('Origin',(33.623,7.82,0.)); #544431=CARTESIAN_POINT('',(33.623,7.82,0.)); #544432=CARTESIAN_POINT('',(33.623,7.82,0.)); #544433=CARTESIAN_POINT('',(33.623,7.82,0.035)); #544434=CARTESIAN_POINT('',(33.623,7.82,0.035)); #544435=CARTESIAN_POINT('',(33.623,7.82,0.)); #544436=CARTESIAN_POINT('Origin',(33.623,8.,0.)); #544437=CARTESIAN_POINT('',(33.623,8.,0.)); #544438=CARTESIAN_POINT('',(33.623,8.,0.)); #544439=CARTESIAN_POINT('',(33.623,8.,0.035)); #544440=CARTESIAN_POINT('',(33.623,8.,0.035)); #544441=CARTESIAN_POINT('',(33.623,8.,0.)); #544442=CARTESIAN_POINT('Origin',(33.7500004294359,8.00000079970043,0.)); #544443=CARTESIAN_POINT('',(33.660197,8.0898031,0.)); #544444=CARTESIAN_POINT('Origin',(33.7500004294359,8.00000079970043,0.)); #544445=CARTESIAN_POINT('',(33.660197,8.0898031,0.035)); #544446=CARTESIAN_POINT('Origin',(33.7500004294359,8.00000079970043,0.035)); #544447=CARTESIAN_POINT('',(33.660197,8.0898031,0.)); #544448=CARTESIAN_POINT('Origin',(33.910197,8.3398031,0.)); #544449=CARTESIAN_POINT('',(33.910197,8.3398031,0.)); #544450=CARTESIAN_POINT('',(33.910197,8.3398031,0.)); #544451=CARTESIAN_POINT('',(33.910197,8.3398031,0.035)); #544452=CARTESIAN_POINT('',(33.910197,8.3398031,0.035)); #544453=CARTESIAN_POINT('',(33.910197,8.3398031,0.)); #544454=CARTESIAN_POINT('Origin',(34.0001664322704,8.25006425559049,0.)); #544455=CARTESIAN_POINT('',(34.006072,8.377,0.)); #544456=CARTESIAN_POINT('Origin',(34.0001664322704,8.25006425559049,0.)); #544457=CARTESIAN_POINT('',(34.006072,8.377,0.035)); #544458=CARTESIAN_POINT('Origin',(34.0001664322704,8.25006425559049,0.035)); #544459=CARTESIAN_POINT('',(34.006072,8.377,0.)); #544460=CARTESIAN_POINT('Origin',(34.25,8.25000006472629,0.)); #544461=CARTESIAN_POINT('',(34.493928,8.377,0.)); #544462=CARTESIAN_POINT('Origin',(34.25,8.25000006472629,0.)); #544463=CARTESIAN_POINT('',(34.493928,8.377,0.035)); #544464=CARTESIAN_POINT('Origin',(34.25,8.25000006472629,0.035)); #544465=CARTESIAN_POINT('',(34.493928,8.377,0.)); #544466=CARTESIAN_POINT('Origin',(34.4998335677296,8.25006425559049,0.)); #544467=CARTESIAN_POINT('',(34.589803,8.3398031,0.)); #544468=CARTESIAN_POINT('Origin',(34.4998335677296,8.25006425559049,0.)); #544469=CARTESIAN_POINT('',(34.589803,8.3398031,0.035)); #544470=CARTESIAN_POINT('Origin',(34.4998335677296,8.25006425559049,0.035)); #544471=CARTESIAN_POINT('',(34.589803,8.3398031,0.)); #544472=CARTESIAN_POINT('Origin',(34.839803,8.0898031,0.)); #544473=CARTESIAN_POINT('',(34.839803,8.0898031,0.)); #544474=CARTESIAN_POINT('',(34.839803,8.0898031,0.)); #544475=CARTESIAN_POINT('',(34.839803,8.0898031,0.035)); #544476=CARTESIAN_POINT('',(34.839803,8.0898031,0.035)); #544477=CARTESIAN_POINT('',(34.839803,8.0898031,0.)); #544478=CARTESIAN_POINT('Origin',(34.7499995705641,8.00000079970044,0.)); #544479=CARTESIAN_POINT('',(34.877,8.,0.)); #544480=CARTESIAN_POINT('Origin',(34.7499995705641,8.00000079970044,0.)); #544481=CARTESIAN_POINT('',(34.877,8.,0.035)); #544482=CARTESIAN_POINT('Origin',(34.7499995705641,8.00000079970044,0.035)); #544483=CARTESIAN_POINT('',(34.877,8.,0.)); #544484=CARTESIAN_POINT('Origin',(34.877,7.82,0.)); #544485=CARTESIAN_POINT('',(34.877,7.82,0.)); #544486=CARTESIAN_POINT('',(34.877,7.82,0.)); #544487=CARTESIAN_POINT('',(34.877,7.82,0.035)); #544488=CARTESIAN_POINT('',(34.877,7.82,0.035)); #544489=CARTESIAN_POINT('',(34.877,7.82,0.)); #544490=CARTESIAN_POINT('Origin',(34.9,7.82,0.)); #544491=CARTESIAN_POINT('',(34.9,7.82,0.)); #544492=CARTESIAN_POINT('',(34.9,7.82,0.)); #544493=CARTESIAN_POINT('',(34.9,7.82,0.035)); #544494=CARTESIAN_POINT('',(34.9,7.82,0.035)); #544495=CARTESIAN_POINT('',(34.9,7.82,0.)); #544496=CARTESIAN_POINT('Origin',(34.9,6.67,0.)); #544497=CARTESIAN_POINT('',(34.9,6.67,0.)); #544498=CARTESIAN_POINT('',(34.9,6.67,0.)); #544499=CARTESIAN_POINT('',(34.9,6.67,0.035)); #544500=CARTESIAN_POINT('',(34.9,6.67,0.035)); #544501=CARTESIAN_POINT('',(34.9,6.67,0.)); #544502=CARTESIAN_POINT('Origin',(34.6,6.67,0.)); #544503=CARTESIAN_POINT('',(34.6,6.67,0.)); #544504=CARTESIAN_POINT('',(34.6,6.67,0.)); #544505=CARTESIAN_POINT('',(34.6,6.67,0.035)); #544506=CARTESIAN_POINT('',(34.6,6.67,0.035)); #544507=CARTESIAN_POINT('',(34.6,6.67,0.)); #544508=CARTESIAN_POINT('Origin',(34.6,7.82,0.)); #544509=CARTESIAN_POINT('',(34.6,7.82,0.)); #544510=CARTESIAN_POINT('',(34.6,7.82,0.)); #544511=CARTESIAN_POINT('',(34.6,7.82,0.035)); #544512=CARTESIAN_POINT('',(34.6,7.82,0.035)); #544513=CARTESIAN_POINT('',(34.6,7.82,0.)); #544514=CARTESIAN_POINT('Origin',(34.623,7.82,0.)); #544515=CARTESIAN_POINT('',(34.623,7.82,0.)); #544516=CARTESIAN_POINT('',(34.623,7.82,0.)); #544517=CARTESIAN_POINT('',(34.623,7.82,0.035)); #544518=CARTESIAN_POINT('',(34.623,7.82,0.035)); #544519=CARTESIAN_POINT('',(34.623,7.82,0.)); #544520=CARTESIAN_POINT('Origin',(34.623,7.9473938,0.)); #544521=CARTESIAN_POINT('',(34.623,7.9473938,0.)); #544522=CARTESIAN_POINT('',(34.623,7.9473938,0.)); #544523=CARTESIAN_POINT('',(34.623,7.9473938,0.035)); #544524=CARTESIAN_POINT('',(34.623,7.9473938,0.035)); #544525=CARTESIAN_POINT('',(34.623,7.9473938,0.)); #544526=CARTESIAN_POINT('Origin',(34.4765,8.0938938,0.)); #544527=CARTESIAN_POINT('',(34.4765,8.0938938,0.)); #544528=CARTESIAN_POINT('',(34.4765,8.0938938,0.)); #544529=CARTESIAN_POINT('',(34.4765,8.0938938,0.035)); #544530=CARTESIAN_POINT('',(34.4765,8.0938938,0.035)); #544531=CARTESIAN_POINT('',(34.4765,8.0938938,0.)); #544532=CARTESIAN_POINT('Origin',(34.25,8.25000004916581,0.)); #544533=CARTESIAN_POINT('',(34.0235,8.0938938,0.)); #544534=CARTESIAN_POINT('Origin',(34.25,8.25000004916581,0.)); #544535=CARTESIAN_POINT('',(34.0235,8.0938938,0.035)); #544536=CARTESIAN_POINT('Origin',(34.25,8.25000004916581,0.035)); #544537=CARTESIAN_POINT('',(34.0235,8.0938938,0.)); #544538=CARTESIAN_POINT('Origin',(33.877,7.9473938,0.)); #544539=CARTESIAN_POINT('',(33.877,7.9473938,0.)); #544540=CARTESIAN_POINT('',(33.877,7.9473938,0.)); #544541=CARTESIAN_POINT('',(33.877,7.9473938,0.035)); #544542=CARTESIAN_POINT('',(33.877,7.9473938,0.035)); #544543=CARTESIAN_POINT('',(33.877,7.9473938,0.)); #544544=CARTESIAN_POINT('Origin',(33.877,7.82,0.)); #544545=CARTESIAN_POINT('',(33.877,7.82,0.)); #544546=CARTESIAN_POINT('',(33.877,7.82,0.)); #544547=CARTESIAN_POINT('',(33.877,7.82,0.035)); #544548=CARTESIAN_POINT('',(33.877,7.82,0.035)); #544549=CARTESIAN_POINT('',(33.877,7.82,0.)); #544550=CARTESIAN_POINT('Origin',(33.9,7.82,0.)); #544551=CARTESIAN_POINT('',(33.9,7.82,0.)); #544552=CARTESIAN_POINT('',(33.9,7.82,0.035)); #544553=CARTESIAN_POINT('Origin',(34.25,7.55532305312374,0.035)); #544554=CARTESIAN_POINT('Origin',(34.25,7.55532305312374,0.)); #544555=CARTESIAN_POINT('Origin',(33.75,6.2426,-200.)); #544556=CARTESIAN_POINT('',(33.61,6.2426,0.)); #544557=CARTESIAN_POINT('Origin',(33.75,6.2426,0.)); #544558=CARTESIAN_POINT('',(33.61,6.2426,0.0349999999999895)); #544559=CARTESIAN_POINT('',(33.61,6.2426,-200.)); #544560=CARTESIAN_POINT('Origin',(33.75,6.2426,0.0349999999999895)); #544561=CARTESIAN_POINT('Origin',(33.771644,5.9676,0.)); #544562=CARTESIAN_POINT('',(33.771644,5.9676,0.)); #544563=CARTESIAN_POINT('',(33.814397,5.9743719,0.)); #544564=CARTESIAN_POINT('',(33.771644,5.9676,0.)); #544565=CARTESIAN_POINT('',(33.814397,5.9743719,0.035)); #544566=CARTESIAN_POINT('',(33.814397,5.9743719,0.)); #544567=CARTESIAN_POINT('',(33.771644,5.9676,0.035)); #544568=CARTESIAN_POINT('',(33.771644,5.9676,0.035)); #544569=CARTESIAN_POINT('',(33.771644,5.9676,0.)); #544570=CARTESIAN_POINT('Origin',(33.7506678158121,6.24222986003389,0.)); #544571=CARTESIAN_POINT('',(33.505978,6.1157844,0.)); #544572=CARTESIAN_POINT('Origin',(33.7506678158121,6.24222986003389,0.)); #544573=CARTESIAN_POINT('',(33.505978,6.1157844,0.035)); #544574=CARTESIAN_POINT('Origin',(33.7506678158121,6.24222986003389,0.035)); #544575=CARTESIAN_POINT('',(33.505978,6.1157844,0.)); #544576=CARTESIAN_POINT('Origin',(33.5071836689095,6.24269075278657,0.)); #544577=CARTESIAN_POINT('',(33.417597,6.1527969,0.)); #544578=CARTESIAN_POINT('Origin',(33.5071836689095,6.24269075278657,0.)); #544579=CARTESIAN_POINT('',(33.417597,6.1527969,0.035)); #544580=CARTESIAN_POINT('Origin',(33.5071836689095,6.24269075278657,0.035)); #544581=CARTESIAN_POINT('',(33.417597,6.1527969,0.)); #544582=CARTESIAN_POINT('Origin',(33.160197,6.4101969,0.)); #544583=CARTESIAN_POINT('',(33.160197,6.4101969,0.)); #544584=CARTESIAN_POINT('',(33.160197,6.4101969,0.)); #544585=CARTESIAN_POINT('',(33.160197,6.4101969,0.035)); #544586=CARTESIAN_POINT('',(33.160197,6.4101969,0.035)); #544587=CARTESIAN_POINT('',(33.160197,6.4101969,0.)); #544588=CARTESIAN_POINT('Origin',(33.2500004294359,6.49999920029957,0.)); #544589=CARTESIAN_POINT('',(33.123,6.5,0.)); #544590=CARTESIAN_POINT('Origin',(33.2500004294359,6.49999920029957,0.)); #544591=CARTESIAN_POINT('',(33.123,6.5,0.035)); #544592=CARTESIAN_POINT('Origin',(33.2500004294359,6.49999920029957,0.035)); #544593=CARTESIAN_POINT('',(33.123,6.5,0.)); #544594=CARTESIAN_POINT('Origin',(33.123,6.67,0.)); #544595=CARTESIAN_POINT('',(33.123,6.67,0.)); #544596=CARTESIAN_POINT('',(33.123,6.67,0.)); #544597=CARTESIAN_POINT('',(33.123,6.67,0.035)); #544598=CARTESIAN_POINT('',(33.123,6.67,0.035)); #544599=CARTESIAN_POINT('',(33.123,6.67,0.)); #544600=CARTESIAN_POINT('Origin',(33.1,6.67,0.)); #544601=CARTESIAN_POINT('',(33.1,6.67,0.)); #544602=CARTESIAN_POINT('',(33.1,6.67,0.)); #544603=CARTESIAN_POINT('',(33.1,6.67,0.035)); #544604=CARTESIAN_POINT('',(33.1,6.67,0.035)); #544605=CARTESIAN_POINT('',(33.1,6.67,0.)); #544606=CARTESIAN_POINT('Origin',(33.1,7.82,0.)); #544607=CARTESIAN_POINT('',(33.1,7.82,0.)); #544608=CARTESIAN_POINT('',(33.1,7.82,0.)); #544609=CARTESIAN_POINT('',(33.1,7.82,0.035)); #544610=CARTESIAN_POINT('',(33.1,7.82,0.035)); #544611=CARTESIAN_POINT('',(33.1,7.82,0.)); #544612=CARTESIAN_POINT('Origin',(33.4,7.82,0.)); #544613=CARTESIAN_POINT('',(33.4,7.82,0.)); #544614=CARTESIAN_POINT('',(33.4,7.82,0.)); #544615=CARTESIAN_POINT('',(33.4,7.82,0.035)); #544616=CARTESIAN_POINT('',(33.4,7.82,0.035)); #544617=CARTESIAN_POINT('',(33.4,7.82,0.)); #544618=CARTESIAN_POINT('Origin',(33.4,6.67,0.)); #544619=CARTESIAN_POINT('',(33.4,6.67,0.)); #544620=CARTESIAN_POINT('',(33.4,6.67,0.)); #544621=CARTESIAN_POINT('',(33.4,6.67,0.035)); #544622=CARTESIAN_POINT('',(33.4,6.67,0.035)); #544623=CARTESIAN_POINT('',(33.4,6.67,0.)); #544624=CARTESIAN_POINT('Origin',(33.377,6.67,0.)); #544625=CARTESIAN_POINT('',(33.377,6.67,0.)); #544626=CARTESIAN_POINT('',(33.377,6.67,0.)); #544627=CARTESIAN_POINT('',(33.377,6.67,0.035)); #544628=CARTESIAN_POINT('',(33.377,6.67,0.035)); #544629=CARTESIAN_POINT('',(33.377,6.67,0.)); #544630=CARTESIAN_POINT('Origin',(33.377,6.5526063,0.)); #544631=CARTESIAN_POINT('',(33.377,6.5526063,0.)); #544632=CARTESIAN_POINT('',(33.377,6.5526063,0.)); #544633=CARTESIAN_POINT('',(33.377,6.5526063,0.035)); #544634=CARTESIAN_POINT('',(33.377,6.5526063,0.035)); #544635=CARTESIAN_POINT('',(33.377,6.5526063,0.)); #544636=CARTESIAN_POINT('Origin',(33.526612,6.4029938,0.)); #544637=CARTESIAN_POINT('',(33.526612,6.4029938,0.)); #544638=CARTESIAN_POINT('',(33.526612,6.4029938,0.)); #544639=CARTESIAN_POINT('',(33.526612,6.4029938,0.035)); #544640=CARTESIAN_POINT('',(33.526612,6.4029938,0.035)); #544641=CARTESIAN_POINT('',(33.526612,6.4029938,0.)); #544642=CARTESIAN_POINT('Origin',(33.75,6.24259969130057,0.)); #544643=CARTESIAN_POINT('',(33.973388,6.4029938,0.)); #544644=CARTESIAN_POINT('Origin',(33.75,6.24259969130057,0.)); #544645=CARTESIAN_POINT('',(33.973388,6.4029938,0.035)); #544646=CARTESIAN_POINT('Origin',(33.75,6.24259969130057,0.035)); #544647=CARTESIAN_POINT('',(33.973388,6.4029938,0.)); #544648=CARTESIAN_POINT('Origin',(34.123,6.5526063,0.)); #544649=CARTESIAN_POINT('',(34.123,6.5526063,0.)); #544650=CARTESIAN_POINT('',(34.123,6.5526063,0.)); #544651=CARTESIAN_POINT('',(34.123,6.5526063,0.035)); #544652=CARTESIAN_POINT('',(34.123,6.5526063,0.035)); #544653=CARTESIAN_POINT('',(34.123,6.5526063,0.)); #544654=CARTESIAN_POINT('Origin',(34.123,6.67,0.)); #544655=CARTESIAN_POINT('',(34.123,6.67,0.)); #544656=CARTESIAN_POINT('',(34.123,6.67,0.)); #544657=CARTESIAN_POINT('',(34.123,6.67,0.035)); #544658=CARTESIAN_POINT('',(34.123,6.67,0.035)); #544659=CARTESIAN_POINT('',(34.123,6.67,0.)); #544660=CARTESIAN_POINT('Origin',(34.1,6.67,0.)); #544661=CARTESIAN_POINT('',(34.1,6.67,0.)); #544662=CARTESIAN_POINT('',(34.1,6.67,0.)); #544663=CARTESIAN_POINT('',(34.1,6.67,0.035)); #544664=CARTESIAN_POINT('',(34.1,6.67,0.035)); #544665=CARTESIAN_POINT('',(34.1,6.67,0.)); #544666=CARTESIAN_POINT('Origin',(34.1,7.82,0.)); #544667=CARTESIAN_POINT('',(34.1,7.82,0.)); #544668=CARTESIAN_POINT('',(34.1,7.82,0.)); #544669=CARTESIAN_POINT('',(34.1,7.82,0.035)); #544670=CARTESIAN_POINT('',(34.1,7.82,0.035)); #544671=CARTESIAN_POINT('',(34.1,7.82,0.)); #544672=CARTESIAN_POINT('Origin',(34.4,7.82,0.)); #544673=CARTESIAN_POINT('',(34.4,7.82,0.)); #544674=CARTESIAN_POINT('',(34.4,7.82,0.)); #544675=CARTESIAN_POINT('',(34.4,7.82,0.035)); #544676=CARTESIAN_POINT('',(34.4,7.82,0.035)); #544677=CARTESIAN_POINT('',(34.4,7.82,0.)); #544678=CARTESIAN_POINT('Origin',(34.4,6.67,0.)); #544679=CARTESIAN_POINT('',(34.4,6.67,0.)); #544680=CARTESIAN_POINT('',(34.4,6.67,0.)); #544681=CARTESIAN_POINT('',(34.4,6.67,0.035)); #544682=CARTESIAN_POINT('',(34.4,6.67,0.035)); #544683=CARTESIAN_POINT('',(34.4,6.67,0.)); #544684=CARTESIAN_POINT('Origin',(34.377,6.67,0.)); #544685=CARTESIAN_POINT('',(34.377,6.67,0.)); #544686=CARTESIAN_POINT('',(34.377,6.67,0.)); #544687=CARTESIAN_POINT('',(34.377,6.67,0.035)); #544688=CARTESIAN_POINT('',(34.377,6.67,0.035)); #544689=CARTESIAN_POINT('',(34.377,6.67,0.)); #544690=CARTESIAN_POINT('Origin',(34.377,6.5,0.)); #544691=CARTESIAN_POINT('',(34.377,6.5,0.)); #544692=CARTESIAN_POINT('',(34.377,6.5,0.)); #544693=CARTESIAN_POINT('',(34.377,6.5,0.035)); #544694=CARTESIAN_POINT('',(34.377,6.5,0.035)); #544695=CARTESIAN_POINT('',(34.377,6.5,0.)); #544696=CARTESIAN_POINT('Origin',(34.2499995705641,6.49999920029957,0.)); #544697=CARTESIAN_POINT('',(34.339803,6.4101969,0.)); #544698=CARTESIAN_POINT('Origin',(34.2499995705641,6.49999920029957,0.)); #544699=CARTESIAN_POINT('',(34.339803,6.4101969,0.035)); #544700=CARTESIAN_POINT('Origin',(34.2499995705641,6.49999920029957,0.035)); #544701=CARTESIAN_POINT('',(34.339803,6.4101969,0.)); #544702=CARTESIAN_POINT('Origin',(34.082403,6.1527969,0.)); #544703=CARTESIAN_POINT('',(34.082403,6.1527969,0.)); #544704=CARTESIAN_POINT('',(34.082403,6.1527969,0.)); #544705=CARTESIAN_POINT('',(34.082403,6.1527969,0.035)); #544706=CARTESIAN_POINT('',(34.082403,6.1527969,0.035)); #544707=CARTESIAN_POINT('',(34.082403,6.1527969,0.)); #544708=CARTESIAN_POINT('Origin',(33.9927819309448,6.2426769812052,0.)); #544709=CARTESIAN_POINT('',(33.994009,6.1157563,0.)); #544710=CARTESIAN_POINT('Origin',(33.9927819309448,6.2426769812052,0.)); #544711=CARTESIAN_POINT('',(33.994009,6.1157563,0.035)); #544712=CARTESIAN_POINT('Origin',(33.9927819309448,6.2426769812052,0.035)); #544713=CARTESIAN_POINT('',(33.994009,6.1157563,0.)); #544714=CARTESIAN_POINT('Origin',(33.7492013155928,6.24197523404133,0.)); #544715=CARTESIAN_POINT('Origin',(33.7492013155928,6.24197523404133,0.)); #544716=CARTESIAN_POINT('Origin',(33.7492013155928,6.24197523404133,0.035)); #544717=CARTESIAN_POINT('Origin',(33.7500302420409,6.93756436604281,0.035)); #544718=CARTESIAN_POINT('Origin',(33.7500302420409,6.93756436604281,0.)); #544719=CARTESIAN_POINT('Origin',(39.25,15.75,-200.)); #544720=CARTESIAN_POINT('',(39.11,15.75,0.)); #544721=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #544722=CARTESIAN_POINT('',(39.11,15.75,0.0349999999999895)); #544723=CARTESIAN_POINT('',(39.11,15.75,-200.)); #544724=CARTESIAN_POINT('Origin',(39.25,15.75,0.0349999999999895)); #544725=CARTESIAN_POINT('Origin',(34.75,55.,-200.)); #544726=CARTESIAN_POINT('',(34.61,55.,0.)); #544727=CARTESIAN_POINT('Origin',(34.75,55.,0.)); #544728=CARTESIAN_POINT('',(34.61,55.,0.0349999999999895)); #544729=CARTESIAN_POINT('',(34.61,55.,-200.)); #544730=CARTESIAN_POINT('Origin',(34.75,55.,0.0349999999999895)); #544731=CARTESIAN_POINT('Origin',(42.9375,13.498,0.)); #544732=CARTESIAN_POINT('',(42.9375,13.498,0.)); #544733=CARTESIAN_POINT('',(42.9429,13.498603,0.)); #544734=CARTESIAN_POINT('',(42.9375,13.498,0.)); #544735=CARTESIAN_POINT('',(42.9429,13.498603,0.035)); #544736=CARTESIAN_POINT('',(42.9429,13.498603,0.)); #544737=CARTESIAN_POINT('',(42.9375,13.498,0.035)); #544738=CARTESIAN_POINT('',(42.9375,13.498,0.035)); #544739=CARTESIAN_POINT('',(42.9375,13.498,0.)); #544740=CARTESIAN_POINT('Origin',(41.8721,13.498,0.)); #544741=CARTESIAN_POINT('',(41.8721,13.498,0.)); #544742=CARTESIAN_POINT('',(41.8721,13.498,0.)); #544743=CARTESIAN_POINT('',(41.8721,13.498,0.035)); #544744=CARTESIAN_POINT('',(41.8721,13.498,0.035)); #544745=CARTESIAN_POINT('',(41.8721,13.498,0.)); #544746=CARTESIAN_POINT('Origin',(41.8720992502996,13.6250003087252,0.)); #544747=CARTESIAN_POINT('',(41.782297,13.535197,0.)); #544748=CARTESIAN_POINT('Origin',(41.8720992502996,13.6250003087252,0.)); #544749=CARTESIAN_POINT('',(41.782297,13.535197,0.035)); #544750=CARTESIAN_POINT('Origin',(41.8720992502996,13.6250003087252,0.035)); #544751=CARTESIAN_POINT('',(41.782297,13.535197,0.)); #544752=CARTESIAN_POINT('Origin',(39.694494,15.623,0.)); #544753=CARTESIAN_POINT('',(39.694494,15.623,0.)); #544754=CARTESIAN_POINT('',(39.694494,15.623,0.)); #544755=CARTESIAN_POINT('',(39.694494,15.623,0.035)); #544756=CARTESIAN_POINT('',(39.694494,15.623,0.035)); #544757=CARTESIAN_POINT('',(39.694494,15.623,0.)); #544758=CARTESIAN_POINT('Origin',(39.493928,15.623,0.)); #544759=CARTESIAN_POINT('',(39.493928,15.623,0.)); #544760=CARTESIAN_POINT('',(39.493928,15.623,0.)); #544761=CARTESIAN_POINT('',(39.493928,15.623,0.035)); #544762=CARTESIAN_POINT('',(39.493928,15.623,0.035)); #544763=CARTESIAN_POINT('',(39.493928,15.623,0.)); #544764=CARTESIAN_POINT('Origin',(39.2495362283063,15.7500204601791,0.)); #544765=CARTESIAN_POINT('',(38.995147,15.644438,0.)); #544766=CARTESIAN_POINT('Origin',(39.2495362283063,15.7500204601791,0.)); #544767=CARTESIAN_POINT('',(38.995147,15.644438,0.035)); #544768=CARTESIAN_POINT('Origin',(39.2495362283063,15.7500204601791,0.035)); #544769=CARTESIAN_POINT('',(38.995147,15.644438,0.)); #544770=CARTESIAN_POINT('Origin',(39.2496182367391,15.7498222285952,0.)); #544771=CARTESIAN_POINT('',(39.493928,15.877,0.)); #544772=CARTESIAN_POINT('Origin',(39.2496182367391,15.7498222285952,0.)); #544773=CARTESIAN_POINT('',(39.493928,15.877,0.035)); #544774=CARTESIAN_POINT('Origin',(39.2496182367391,15.7498222285952,0.035)); #544775=CARTESIAN_POINT('',(39.493928,15.877,0.)); #544776=CARTESIAN_POINT('Origin',(39.7471,15.877,0.)); #544777=CARTESIAN_POINT('',(39.7471,15.877,0.)); #544778=CARTESIAN_POINT('',(39.7471,15.877,0.)); #544779=CARTESIAN_POINT('',(39.7471,15.877,0.035)); #544780=CARTESIAN_POINT('',(39.7471,15.877,0.035)); #544781=CARTESIAN_POINT('',(39.7471,15.877,0.)); #544782=CARTESIAN_POINT('Origin',(39.7471007497004,15.7499996912748,0.)); #544783=CARTESIAN_POINT('',(39.836903,15.839803,0.)); #544784=CARTESIAN_POINT('Origin',(39.7471007497004,15.7499996912748,0.)); #544785=CARTESIAN_POINT('',(39.836903,15.839803,0.035)); #544786=CARTESIAN_POINT('Origin',(39.7471007497004,15.7499996912748,0.035)); #544787=CARTESIAN_POINT('',(39.836903,15.839803,0.)); #544788=CARTESIAN_POINT('Origin',(41.924706,13.752,0.)); #544789=CARTESIAN_POINT('',(41.924706,13.752,0.)); #544790=CARTESIAN_POINT('',(41.924706,13.752,0.)); #544791=CARTESIAN_POINT('',(41.924706,13.752,0.035)); #544792=CARTESIAN_POINT('',(41.924706,13.752,0.035)); #544793=CARTESIAN_POINT('',(41.924706,13.752,0.)); #544794=CARTESIAN_POINT('Origin',(42.884894,13.752,0.)); #544795=CARTESIAN_POINT('',(42.884894,13.752,0.)); #544796=CARTESIAN_POINT('',(42.884894,13.752,0.)); #544797=CARTESIAN_POINT('',(42.884894,13.752,0.035)); #544798=CARTESIAN_POINT('',(42.884894,13.752,0.035)); #544799=CARTESIAN_POINT('',(42.884894,13.752,0.)); #544800=CARTESIAN_POINT('Origin',(43.097697,13.964803,0.)); #544801=CARTESIAN_POINT('',(43.097697,13.964803,0.)); #544802=CARTESIAN_POINT('',(43.097697,13.964803,0.)); #544803=CARTESIAN_POINT('',(43.097697,13.964803,0.035)); #544804=CARTESIAN_POINT('',(43.097697,13.964803,0.035)); #544805=CARTESIAN_POINT('',(43.097697,13.964803,0.)); #544806=CARTESIAN_POINT('Origin',(43.1874992502996,13.8749996912748,0.)); #544807=CARTESIAN_POINT('',(43.1875,14.002,0.)); #544808=CARTESIAN_POINT('Origin',(43.1874992502996,13.8749996912748,0.)); #544809=CARTESIAN_POINT('',(43.1875,14.002,0.035)); #544810=CARTESIAN_POINT('Origin',(43.1874992502996,13.8749996912748,0.035)); #544811=CARTESIAN_POINT('',(43.1875,14.002,0.)); #544812=CARTESIAN_POINT('Origin',(54.633056,14.002,0.)); #544813=CARTESIAN_POINT('',(54.633056,14.002,0.)); #544814=CARTESIAN_POINT('',(54.633056,14.002,0.)); #544815=CARTESIAN_POINT('',(54.633056,14.002,0.035)); #544816=CARTESIAN_POINT('',(54.633056,14.002,0.035)); #544817=CARTESIAN_POINT('',(54.633056,14.002,0.)); #544818=CARTESIAN_POINT('Origin',(60.123,19.491944,0.)); #544819=CARTESIAN_POINT('',(60.123,19.491944,0.)); #544820=CARTESIAN_POINT('',(60.123,19.491944,0.)); #544821=CARTESIAN_POINT('',(60.123,19.491944,0.035)); #544822=CARTESIAN_POINT('',(60.123,19.491944,0.035)); #544823=CARTESIAN_POINT('',(60.123,19.491944,0.)); #544824=CARTESIAN_POINT('Origin',(60.123,38.258056,0.)); #544825=CARTESIAN_POINT('',(60.123,38.258056,0.)); #544826=CARTESIAN_POINT('',(60.123,38.258056,0.)); #544827=CARTESIAN_POINT('',(60.123,38.258056,0.035)); #544828=CARTESIAN_POINT('',(60.123,38.258056,0.035)); #544829=CARTESIAN_POINT('',(60.123,38.258056,0.)); #544830=CARTESIAN_POINT('Origin',(57.758056,40.623,0.)); #544831=CARTESIAN_POINT('',(57.758056,40.623,0.)); #544832=CARTESIAN_POINT('',(57.758056,40.623,0.)); #544833=CARTESIAN_POINT('',(57.758056,40.623,0.035)); #544834=CARTESIAN_POINT('',(57.758056,40.623,0.035)); #544835=CARTESIAN_POINT('',(57.758056,40.623,0.)); #544836=CARTESIAN_POINT('Origin',(48.625,40.623,0.)); #544837=CARTESIAN_POINT('',(48.625,40.623,0.)); #544838=CARTESIAN_POINT('',(48.625,40.623,0.)); #544839=CARTESIAN_POINT('',(48.625,40.623,0.035)); #544840=CARTESIAN_POINT('',(48.625,40.623,0.035)); #544841=CARTESIAN_POINT('',(48.625,40.623,0.)); #544842=CARTESIAN_POINT('Origin',(48.6249992502996,40.7500003087252,0.)); #544843=CARTESIAN_POINT('',(48.535197,40.660197,0.)); #544844=CARTESIAN_POINT('Origin',(48.6249992502996,40.7500003087252,0.)); #544845=CARTESIAN_POINT('',(48.535197,40.660197,0.035)); #544846=CARTESIAN_POINT('Origin',(48.6249992502996,40.7500003087252,0.035)); #544847=CARTESIAN_POINT('',(48.535197,40.660197,0.)); #544848=CARTESIAN_POINT('Origin',(47.072394,42.123,0.)); #544849=CARTESIAN_POINT('',(47.072394,42.123,0.)); #544850=CARTESIAN_POINT('',(47.072394,42.123,0.)); #544851=CARTESIAN_POINT('',(47.072394,42.123,0.035)); #544852=CARTESIAN_POINT('',(47.072394,42.123,0.035)); #544853=CARTESIAN_POINT('',(47.072394,42.123,0.)); #544854=CARTESIAN_POINT('Origin',(43.625,42.123,0.)); #544855=CARTESIAN_POINT('',(43.625,42.123,0.)); #544856=CARTESIAN_POINT('',(43.625,42.123,0.)); #544857=CARTESIAN_POINT('',(43.625,42.123,0.035)); #544858=CARTESIAN_POINT('',(43.625,42.123,0.035)); #544859=CARTESIAN_POINT('',(43.625,42.123,0.)); #544860=CARTESIAN_POINT('Origin',(43.6249992502996,42.2500003087252,0.)); #544861=CARTESIAN_POINT('',(43.535197,42.160197,0.)); #544862=CARTESIAN_POINT('Origin',(43.6249992502996,42.2500003087252,0.)); #544863=CARTESIAN_POINT('',(43.535197,42.160197,0.035)); #544864=CARTESIAN_POINT('Origin',(43.6249992502996,42.2500003087252,0.035)); #544865=CARTESIAN_POINT('',(43.535197,42.160197,0.)); #544866=CARTESIAN_POINT('Origin',(42.660197,43.035197,0.)); #544867=CARTESIAN_POINT('',(42.660197,43.035197,0.)); #544868=CARTESIAN_POINT('',(42.660197,43.035197,0.)); #544869=CARTESIAN_POINT('',(42.660197,43.035197,0.035)); #544870=CARTESIAN_POINT('',(42.660197,43.035197,0.035)); #544871=CARTESIAN_POINT('',(42.660197,43.035197,0.)); #544872=CARTESIAN_POINT('Origin',(42.7500003087252,43.1249992502996,0.)); #544873=CARTESIAN_POINT('',(42.623,43.125,0.)); #544874=CARTESIAN_POINT('Origin',(42.7500003087252,43.1249992502996,0.)); #544875=CARTESIAN_POINT('',(42.623,43.125,0.035)); #544876=CARTESIAN_POINT('Origin',(42.7500003087252,43.1249992502996,0.035)); #544877=CARTESIAN_POINT('',(42.623,43.125,0.)); #544878=CARTESIAN_POINT('Origin',(42.623,49.758069,0.)); #544879=CARTESIAN_POINT('',(42.623,49.758069,0.)); #544880=CARTESIAN_POINT('',(42.623,49.758069,0.)); #544881=CARTESIAN_POINT('',(42.623,49.758069,0.035)); #544882=CARTESIAN_POINT('',(42.623,49.758069,0.035)); #544883=CARTESIAN_POINT('',(42.623,49.758069,0.)); #544884=CARTESIAN_POINT('Origin',(41.508069,50.873,0.)); #544885=CARTESIAN_POINT('',(41.508069,50.873,0.)); #544886=CARTESIAN_POINT('',(41.508069,50.873,0.)); #544887=CARTESIAN_POINT('',(41.508069,50.873,0.035)); #544888=CARTESIAN_POINT('',(41.508069,50.873,0.035)); #544889=CARTESIAN_POINT('',(41.508069,50.873,0.)); #544890=CARTESIAN_POINT('Origin',(36.6875,50.873,0.)); #544891=CARTESIAN_POINT('',(36.6875,50.873,0.)); #544892=CARTESIAN_POINT('',(36.6875,50.873,0.)); #544893=CARTESIAN_POINT('',(36.6875,50.873,0.035)); #544894=CARTESIAN_POINT('',(36.6875,50.873,0.035)); #544895=CARTESIAN_POINT('',(36.6875,50.873,0.)); #544896=CARTESIAN_POINT('Origin',(36.6874992502996,51.0000003087252,0.)); #544897=CARTESIAN_POINT('',(36.597697,50.910197,0.)); #544898=CARTESIAN_POINT('Origin',(36.6874992502996,51.0000003087252,0.)); #544899=CARTESIAN_POINT('',(36.597697,50.910197,0.035)); #544900=CARTESIAN_POINT('Origin',(36.6874992502996,51.0000003087252,0.035)); #544901=CARTESIAN_POINT('',(36.597697,50.910197,0.)); #544902=CARTESIAN_POINT('Origin',(34.285197,53.222697,0.)); #544903=CARTESIAN_POINT('',(34.285197,53.222697,0.)); #544904=CARTESIAN_POINT('',(34.285197,53.222697,0.)); #544905=CARTESIAN_POINT('',(34.285197,53.222697,0.035)); #544906=CARTESIAN_POINT('',(34.285197,53.222697,0.035)); #544907=CARTESIAN_POINT('',(34.285197,53.222697,0.)); #544908=CARTESIAN_POINT('Origin',(34.3750003087252,53.3124992502996,0.)); #544909=CARTESIAN_POINT('',(34.248,53.3125,0.)); #544910=CARTESIAN_POINT('Origin',(34.3750003087252,53.3124992502996,0.)); #544911=CARTESIAN_POINT('',(34.248,53.3125,0.035)); #544912=CARTESIAN_POINT('Origin',(34.3750003087252,53.3124992502996,0.035)); #544913=CARTESIAN_POINT('',(34.248,53.3125,0.)); #544914=CARTESIAN_POINT('Origin',(34.248,54.,0.)); #544915=CARTESIAN_POINT('',(34.248,54.,0.)); #544916=CARTESIAN_POINT('',(34.248,54.,0.)); #544917=CARTESIAN_POINT('',(34.248,54.,0.035)); #544918=CARTESIAN_POINT('',(34.248,54.,0.035)); #544919=CARTESIAN_POINT('',(34.248,54.,0.)); #544920=CARTESIAN_POINT('Origin',(34.3750003087252,54.0000007497004,0.)); #544921=CARTESIAN_POINT('',(34.285197,54.089803,0.)); #544922=CARTESIAN_POINT('Origin',(34.3750003087252,54.0000007497004,0.)); #544923=CARTESIAN_POINT('',(34.285197,54.089803,0.035)); #544924=CARTESIAN_POINT('Origin',(34.3750003087252,54.0000007497004,0.035)); #544925=CARTESIAN_POINT('',(34.285197,54.089803,0.)); #544926=CARTESIAN_POINT('Origin',(34.623,54.427606,0.)); #544927=CARTESIAN_POINT('',(34.623,54.427606,0.)); #544928=CARTESIAN_POINT('',(34.623,54.427606,0.)); #544929=CARTESIAN_POINT('',(34.623,54.427606,0.035)); #544930=CARTESIAN_POINT('',(34.623,54.427606,0.035)); #544931=CARTESIAN_POINT('',(34.623,54.427606,0.)); #544932=CARTESIAN_POINT('Origin',(34.623,54.756072,0.)); #544933=CARTESIAN_POINT('',(34.623,54.756072,0.)); #544934=CARTESIAN_POINT('',(34.623,54.756072,0.)); #544935=CARTESIAN_POINT('',(34.623,54.756072,0.035)); #544936=CARTESIAN_POINT('',(34.623,54.756072,0.035)); #544937=CARTESIAN_POINT('',(34.623,54.756072,0.)); #544938=CARTESIAN_POINT('Origin',(34.7500204601791,55.0004637716938,0.)); #544939=CARTESIAN_POINT('',(34.644438,55.254853,0.)); #544940=CARTESIAN_POINT('Origin',(34.7500204601791,55.0004637716938,0.)); #544941=CARTESIAN_POINT('',(34.644438,55.254853,0.035)); #544942=CARTESIAN_POINT('Origin',(34.7500204601791,55.0004637716938,0.035)); #544943=CARTESIAN_POINT('',(34.644438,55.254853,0.)); #544944=CARTESIAN_POINT('Origin',(34.7498222285952,55.0003817632609,0.)); #544945=CARTESIAN_POINT('',(34.877,54.756072,0.)); #544946=CARTESIAN_POINT('Origin',(34.7498222285952,55.0003817632609,0.)); #544947=CARTESIAN_POINT('',(34.877,54.756072,0.035)); #544948=CARTESIAN_POINT('Origin',(34.7498222285952,55.0003817632609,0.035)); #544949=CARTESIAN_POINT('',(34.877,54.756072,0.)); #544950=CARTESIAN_POINT('Origin',(34.877,54.375,0.)); #544951=CARTESIAN_POINT('',(34.877,54.375,0.)); #544952=CARTESIAN_POINT('',(34.877,54.375,0.)); #544953=CARTESIAN_POINT('',(34.877,54.375,0.035)); #544954=CARTESIAN_POINT('',(34.877,54.375,0.035)); #544955=CARTESIAN_POINT('',(34.877,54.375,0.)); #544956=CARTESIAN_POINT('Origin',(34.7499996912748,54.3749992502996,0.)); #544957=CARTESIAN_POINT('',(34.839803,54.285197,0.)); #544958=CARTESIAN_POINT('Origin',(34.7499996912748,54.3749992502996,0.)); #544959=CARTESIAN_POINT('',(34.839803,54.285197,0.035)); #544960=CARTESIAN_POINT('Origin',(34.7499996912748,54.3749992502996,0.035)); #544961=CARTESIAN_POINT('',(34.839803,54.285197,0.)); #544962=CARTESIAN_POINT('Origin',(34.502,53.947394,0.)); #544963=CARTESIAN_POINT('',(34.502,53.947394,0.)); #544964=CARTESIAN_POINT('',(34.502,53.947394,0.)); #544965=CARTESIAN_POINT('',(34.502,53.947394,0.035)); #544966=CARTESIAN_POINT('',(34.502,53.947394,0.035)); #544967=CARTESIAN_POINT('',(34.502,53.947394,0.)); #544968=CARTESIAN_POINT('Origin',(34.502,53.365106,0.)); #544969=CARTESIAN_POINT('',(34.502,53.365106,0.)); #544970=CARTESIAN_POINT('',(34.502,53.365106,0.)); #544971=CARTESIAN_POINT('',(34.502,53.365106,0.035)); #544972=CARTESIAN_POINT('',(34.502,53.365106,0.035)); #544973=CARTESIAN_POINT('',(34.502,53.365106,0.)); #544974=CARTESIAN_POINT('Origin',(36.740106,51.127,0.)); #544975=CARTESIAN_POINT('',(36.740106,51.127,0.)); #544976=CARTESIAN_POINT('',(36.740106,51.127,0.)); #544977=CARTESIAN_POINT('',(36.740106,51.127,0.035)); #544978=CARTESIAN_POINT('',(36.740106,51.127,0.035)); #544979=CARTESIAN_POINT('',(36.740106,51.127,0.)); #544980=CARTESIAN_POINT('Origin',(41.560675,51.127,0.)); #544981=CARTESIAN_POINT('',(41.560675,51.127,0.)); #544982=CARTESIAN_POINT('',(41.560675,51.127,0.)); #544983=CARTESIAN_POINT('',(41.560675,51.127,0.035)); #544984=CARTESIAN_POINT('',(41.560675,51.127,0.035)); #544985=CARTESIAN_POINT('',(41.560675,51.127,0.)); #544986=CARTESIAN_POINT('Origin',(41.5606757497004,50.9999996912748,0.)); #544987=CARTESIAN_POINT('',(41.650478,51.089803,0.)); #544988=CARTESIAN_POINT('Origin',(41.5606757497004,50.9999996912748,0.)); #544989=CARTESIAN_POINT('',(41.650478,51.089803,0.035)); #544990=CARTESIAN_POINT('Origin',(41.5606757497004,50.9999996912748,0.035)); #544991=CARTESIAN_POINT('',(41.650478,51.089803,0.)); #544992=CARTESIAN_POINT('Origin',(42.839803,49.900478,0.)); #544993=CARTESIAN_POINT('',(42.839803,49.900478,0.)); #544994=CARTESIAN_POINT('',(42.839803,49.900478,0.)); #544995=CARTESIAN_POINT('',(42.839803,49.900478,0.035)); #544996=CARTESIAN_POINT('',(42.839803,49.900478,0.035)); #544997=CARTESIAN_POINT('',(42.839803,49.900478,0.)); #544998=CARTESIAN_POINT('Origin',(42.7499996912748,49.8106757497004,0.)); #544999=CARTESIAN_POINT('',(42.877,49.810675,0.)); #545000=CARTESIAN_POINT('Origin',(42.7499996912748,49.8106757497004,0.)); #545001=CARTESIAN_POINT('',(42.877,49.810675,0.035)); #545002=CARTESIAN_POINT('Origin',(42.7499996912748,49.8106757497004,0.035)); #545003=CARTESIAN_POINT('',(42.877,49.810675,0.)); #545004=CARTESIAN_POINT('Origin',(42.877,43.177606,0.)); #545005=CARTESIAN_POINT('',(42.877,43.177606,0.)); #545006=CARTESIAN_POINT('',(42.877,43.177606,0.)); #545007=CARTESIAN_POINT('',(42.877,43.177606,0.035)); #545008=CARTESIAN_POINT('',(42.877,43.177606,0.035)); #545009=CARTESIAN_POINT('',(42.877,43.177606,0.)); #545010=CARTESIAN_POINT('Origin',(43.677606,42.377,0.)); #545011=CARTESIAN_POINT('',(43.677606,42.377,0.)); #545012=CARTESIAN_POINT('',(43.677606,42.377,0.)); #545013=CARTESIAN_POINT('',(43.677606,42.377,0.035)); #545014=CARTESIAN_POINT('',(43.677606,42.377,0.035)); #545015=CARTESIAN_POINT('',(43.677606,42.377,0.)); #545016=CARTESIAN_POINT('Origin',(47.125,42.377,0.)); #545017=CARTESIAN_POINT('',(47.125,42.377,0.)); #545018=CARTESIAN_POINT('',(47.125,42.377,0.)); #545019=CARTESIAN_POINT('',(47.125,42.377,0.035)); #545020=CARTESIAN_POINT('',(47.125,42.377,0.035)); #545021=CARTESIAN_POINT('',(47.125,42.377,0.)); #545022=CARTESIAN_POINT('Origin',(47.1250007497004,42.2499996912748,0.)); #545023=CARTESIAN_POINT('',(47.214803,42.339803,0.)); #545024=CARTESIAN_POINT('Origin',(47.1250007497004,42.2499996912748,0.)); #545025=CARTESIAN_POINT('',(47.214803,42.339803,0.035)); #545026=CARTESIAN_POINT('Origin',(47.1250007497004,42.2499996912748,0.035)); #545027=CARTESIAN_POINT('',(47.214803,42.339803,0.)); #545028=CARTESIAN_POINT('Origin',(48.677606,40.877,0.)); #545029=CARTESIAN_POINT('',(48.677606,40.877,0.)); #545030=CARTESIAN_POINT('',(48.677606,40.877,0.)); #545031=CARTESIAN_POINT('',(48.677606,40.877,0.035)); #545032=CARTESIAN_POINT('',(48.677606,40.877,0.035)); #545033=CARTESIAN_POINT('',(48.677606,40.877,0.)); #545034=CARTESIAN_POINT('Origin',(57.810662,40.877,0.)); #545035=CARTESIAN_POINT('',(57.810662,40.877,0.)); #545036=CARTESIAN_POINT('',(57.810662,40.877,0.)); #545037=CARTESIAN_POINT('',(57.810662,40.877,0.035)); #545038=CARTESIAN_POINT('',(57.810662,40.877,0.035)); #545039=CARTESIAN_POINT('',(57.810662,40.877,0.)); #545040=CARTESIAN_POINT('Origin',(57.8106632497004,40.749998484168,0.)); #545041=CARTESIAN_POINT('',(57.900466,40.839803,0.)); #545042=CARTESIAN_POINT('Origin',(57.8106632497004,40.749998484168,0.)); #545043=CARTESIAN_POINT('',(57.900466,40.839803,0.035)); #545044=CARTESIAN_POINT('Origin',(57.8106632497004,40.749998484168,0.035)); #545045=CARTESIAN_POINT('',(57.900466,40.839803,0.)); #545046=CARTESIAN_POINT('Origin',(60.339803,38.400466,0.)); #545047=CARTESIAN_POINT('',(60.339803,38.400466,0.)); #545048=CARTESIAN_POINT('',(60.339803,38.400466,0.)); #545049=CARTESIAN_POINT('',(60.339803,38.400466,0.035)); #545050=CARTESIAN_POINT('',(60.339803,38.400466,0.035)); #545051=CARTESIAN_POINT('',(60.339803,38.400466,0.)); #545052=CARTESIAN_POINT('Origin',(60.249998484168,38.3106632497004,0.)); #545053=CARTESIAN_POINT('',(60.377,38.310662,0.)); #545054=CARTESIAN_POINT('Origin',(60.249998484168,38.3106632497004,0.)); #545055=CARTESIAN_POINT('',(60.377,38.310662,0.035)); #545056=CARTESIAN_POINT('Origin',(60.249998484168,38.3106632497004,0.035)); #545057=CARTESIAN_POINT('',(60.377,38.310662,0.)); #545058=CARTESIAN_POINT('Origin',(60.377,19.439338,0.)); #545059=CARTESIAN_POINT('',(60.377,19.439338,0.)); #545060=CARTESIAN_POINT('',(60.377,19.439338,0.)); #545061=CARTESIAN_POINT('',(60.377,19.439338,0.035)); #545062=CARTESIAN_POINT('',(60.377,19.439338,0.035)); #545063=CARTESIAN_POINT('',(60.377,19.439338,0.)); #545064=CARTESIAN_POINT('Origin',(60.249998484168,19.4393367502996,0.)); #545065=CARTESIAN_POINT('',(60.339803,19.349534,0.)); #545066=CARTESIAN_POINT('Origin',(60.249998484168,19.4393367502996,0.)); #545067=CARTESIAN_POINT('',(60.339803,19.349534,0.035)); #545068=CARTESIAN_POINT('Origin',(60.249998484168,19.4393367502996,0.035)); #545069=CARTESIAN_POINT('',(60.339803,19.349534,0.)); #545070=CARTESIAN_POINT('Origin',(54.775466,13.785197,0.)); #545071=CARTESIAN_POINT('',(54.775466,13.785197,0.)); #545072=CARTESIAN_POINT('',(54.775466,13.785197,0.)); #545073=CARTESIAN_POINT('',(54.775466,13.785197,0.035)); #545074=CARTESIAN_POINT('',(54.775466,13.785197,0.035)); #545075=CARTESIAN_POINT('',(54.775466,13.785197,0.)); #545076=CARTESIAN_POINT('Origin',(54.6856632497004,13.875001515832,0.)); #545077=CARTESIAN_POINT('',(54.685662,13.748,0.)); #545078=CARTESIAN_POINT('Origin',(54.6856632497004,13.875001515832,0.)); #545079=CARTESIAN_POINT('',(54.685662,13.748,0.035)); #545080=CARTESIAN_POINT('Origin',(54.6856632497004,13.875001515832,0.035)); #545081=CARTESIAN_POINT('',(54.685662,13.748,0.)); #545082=CARTESIAN_POINT('Origin',(43.240106,13.748,0.)); #545083=CARTESIAN_POINT('',(43.240106,13.748,0.)); #545084=CARTESIAN_POINT('',(43.240106,13.748,0.)); #545085=CARTESIAN_POINT('',(43.240106,13.748,0.035)); #545086=CARTESIAN_POINT('',(43.240106,13.748,0.035)); #545087=CARTESIAN_POINT('',(43.240106,13.748,0.)); #545088=CARTESIAN_POINT('Origin',(43.027303,13.535197,0.)); #545089=CARTESIAN_POINT('',(43.027303,13.535197,0.)); #545090=CARTESIAN_POINT('',(43.027303,13.535197,0.)); #545091=CARTESIAN_POINT('',(43.027303,13.535197,0.035)); #545092=CARTESIAN_POINT('',(43.027303,13.535197,0.035)); #545093=CARTESIAN_POINT('',(43.027303,13.535197,0.)); #545094=CARTESIAN_POINT('Origin',(42.9381159937679,13.6252707078348,0.)); #545095=CARTESIAN_POINT('Origin',(42.9381159937679,13.6252707078348,0.)); #545096=CARTESIAN_POINT('Origin',(42.9381159937679,13.6252707078348,0.035)); #545097=CARTESIAN_POINT('Origin',(50.307716757586,32.3847604877249,0.035)); #545098=CARTESIAN_POINT('Origin',(50.307716757586,32.3847604877249,0.)); #545099=CARTESIAN_POINT('Origin',(30.5,10.5,-200.)); #545100=CARTESIAN_POINT('',(30.36,10.5,0.)); #545101=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #545102=CARTESIAN_POINT('',(30.36,10.5,0.0349999999999895)); #545103=CARTESIAN_POINT('',(30.36,10.5,-200.)); #545104=CARTESIAN_POINT('Origin',(30.5,10.5,0.0349999999999895)); #545105=CARTESIAN_POINT('Origin',(36.5,10.5,-200.)); #545106=CARTESIAN_POINT('',(36.36,10.5,0.)); #545107=CARTESIAN_POINT('Origin',(36.5,10.5,0.)); #545108=CARTESIAN_POINT('',(36.36,10.5,0.0349999999999895)); #545109=CARTESIAN_POINT('',(36.36,10.5,-200.)); #545110=CARTESIAN_POINT('Origin',(36.5,10.5,0.0349999999999895)); #545111=CARTESIAN_POINT('Origin',(30.521644,10.225,0.)); #545112=CARTESIAN_POINT('',(30.521644,10.225,0.)); #545113=CARTESIAN_POINT('',(30.564397,10.231772,0.)); #545114=CARTESIAN_POINT('',(30.521644,10.225,0.)); #545115=CARTESIAN_POINT('',(30.564397,10.231772,0.035)); #545116=CARTESIAN_POINT('',(30.564397,10.231772,0.)); #545117=CARTESIAN_POINT('',(30.521644,10.225,0.035)); #545118=CARTESIAN_POINT('',(30.521644,10.225,0.035)); #545119=CARTESIAN_POINT('',(30.521644,10.225,0.)); #545120=CARTESIAN_POINT('Origin',(30.5000003953475,10.5000004229918,0.)); #545121=CARTESIAN_POINT('',(30.245147,10.394438,0.)); #545122=CARTESIAN_POINT('Origin',(30.5000003953475,10.5000004229918,0.)); #545123=CARTESIAN_POINT('',(30.245147,10.394438,0.035)); #545124=CARTESIAN_POINT('Origin',(30.5000003953475,10.5000004229918,0.035)); #545125=CARTESIAN_POINT('',(30.245147,10.394438,0.)); #545126=CARTESIAN_POINT('Origin',(30.4996182367391,10.4998222285952,0.)); #545127=CARTESIAN_POINT('',(30.743928,10.627,0.)); #545128=CARTESIAN_POINT('Origin',(30.4996182367391,10.4998222285952,0.)); #545129=CARTESIAN_POINT('',(30.743928,10.627,0.035)); #545130=CARTESIAN_POINT('Origin',(30.4996182367391,10.4998222285952,0.035)); #545131=CARTESIAN_POINT('',(30.743928,10.627,0.)); #545132=CARTESIAN_POINT('Origin',(36.256072,10.627,0.)); #545133=CARTESIAN_POINT('',(36.256072,10.627,0.)); #545134=CARTESIAN_POINT('',(36.256072,10.627,0.)); #545135=CARTESIAN_POINT('',(36.256072,10.627,0.035)); #545136=CARTESIAN_POINT('',(36.256072,10.627,0.035)); #545137=CARTESIAN_POINT('',(36.256072,10.627,0.)); #545138=CARTESIAN_POINT('Origin',(36.5004640048651,10.4999800398209,0.)); #545139=CARTESIAN_POINT('',(36.754853,10.605563,0.)); #545140=CARTESIAN_POINT('Origin',(36.5004640048651,10.4999800398209,0.)); #545141=CARTESIAN_POINT('',(36.754853,10.605563,0.035)); #545142=CARTESIAN_POINT('Origin',(36.5004640048651,10.4999800398209,0.035)); #545143=CARTESIAN_POINT('',(36.754853,10.605563,0.)); #545144=CARTESIAN_POINT('Origin',(36.5003817414141,10.5001782714048,0.)); #545145=CARTESIAN_POINT('',(36.256072,10.373,0.)); #545146=CARTESIAN_POINT('Origin',(36.5003817414141,10.5001782714048,0.)); #545147=CARTESIAN_POINT('',(36.256072,10.373,0.035)); #545148=CARTESIAN_POINT('Origin',(36.5003817414141,10.5001782714048,0.035)); #545149=CARTESIAN_POINT('',(36.256072,10.373,0.)); #545150=CARTESIAN_POINT('Origin',(30.743928,10.373,0.)); #545151=CARTESIAN_POINT('',(30.743928,10.373,0.)); #545152=CARTESIAN_POINT('',(30.743928,10.373,0.)); #545153=CARTESIAN_POINT('',(30.743928,10.373,0.035)); #545154=CARTESIAN_POINT('',(30.743928,10.373,0.035)); #545155=CARTESIAN_POINT('',(30.743928,10.373,0.)); #545156=CARTESIAN_POINT('Origin',(30.4992008746984,10.4993753757046,0.)); #545157=CARTESIAN_POINT('Origin',(30.4992008746984,10.4993753757046,0.)); #545158=CARTESIAN_POINT('Origin',(30.4992008746984,10.4993753757046,0.035)); #545159=CARTESIAN_POINT('Origin',(33.4998918016176,10.4996172525821,0.035)); #545160=CARTESIAN_POINT('Origin',(33.4998918016176,10.4996172525821,0.)); #545161=CARTESIAN_POINT('Origin',(38.75,36.75,-200.)); #545162=CARTESIAN_POINT('',(38.61,36.75,0.)); #545163=CARTESIAN_POINT('Origin',(38.75,36.75,0.)); #545164=CARTESIAN_POINT('',(38.61,36.75,0.0349999999999895)); #545165=CARTESIAN_POINT('',(38.61,36.75,-200.)); #545166=CARTESIAN_POINT('Origin',(38.75,36.75,0.0349999999999895)); #545167=CARTESIAN_POINT('Origin',(37.1875,36.75,-200.)); #545168=CARTESIAN_POINT('',(37.0475,36.75,0.)); #545169=CARTESIAN_POINT('Origin',(37.1875,36.75,0.)); #545170=CARTESIAN_POINT('',(37.0475,36.75,0.0349999999999895)); #545171=CARTESIAN_POINT('',(37.0475,36.75,-200.)); #545172=CARTESIAN_POINT('Origin',(37.1875,36.75,0.0349999999999895)); #545173=CARTESIAN_POINT('Origin',(37.209144,36.475,0.)); #545174=CARTESIAN_POINT('',(37.209144,36.475,0.)); #545175=CARTESIAN_POINT('',(37.251897,36.481772,0.)); #545176=CARTESIAN_POINT('',(37.209144,36.475,0.)); #545177=CARTESIAN_POINT('',(37.251897,36.481772,0.035)); #545178=CARTESIAN_POINT('',(37.251897,36.481772,0.)); #545179=CARTESIAN_POINT('',(37.209144,36.475,0.035)); #545180=CARTESIAN_POINT('',(37.209144,36.475,0.035)); #545181=CARTESIAN_POINT('',(37.209144,36.475,0.)); #545182=CARTESIAN_POINT('Origin',(37.1875003953475,36.7500004229918,0.)); #545183=CARTESIAN_POINT('',(36.932647,36.644438,0.)); #545184=CARTESIAN_POINT('Origin',(37.1875003953475,36.7500004229918,0.)); #545185=CARTESIAN_POINT('',(36.932647,36.644438,0.035)); #545186=CARTESIAN_POINT('Origin',(37.1875003953475,36.7500004229918,0.035)); #545187=CARTESIAN_POINT('',(36.932647,36.644438,0.)); #545188=CARTESIAN_POINT('Origin',(37.1871182367391,36.7498222285952,0.)); #545189=CARTESIAN_POINT('',(37.431428,36.877,0.)); #545190=CARTESIAN_POINT('Origin',(37.1871182367391,36.7498222285952,0.)); #545191=CARTESIAN_POINT('',(37.431428,36.877,0.035)); #545192=CARTESIAN_POINT('Origin',(37.1871182367391,36.7498222285952,0.035)); #545193=CARTESIAN_POINT('',(37.431428,36.877,0.)); #545194=CARTESIAN_POINT('Origin',(38.506072,36.877,0.)); #545195=CARTESIAN_POINT('',(38.506072,36.877,0.)); #545196=CARTESIAN_POINT('',(38.506072,36.877,0.)); #545197=CARTESIAN_POINT('',(38.506072,36.877,0.035)); #545198=CARTESIAN_POINT('',(38.506072,36.877,0.035)); #545199=CARTESIAN_POINT('',(38.506072,36.877,0.)); #545200=CARTESIAN_POINT('Origin',(38.7504640048651,36.7499800398209,0.)); #545201=CARTESIAN_POINT('',(39.004853,36.855563,0.)); #545202=CARTESIAN_POINT('Origin',(38.7504640048651,36.7499800398209,0.)); #545203=CARTESIAN_POINT('',(39.004853,36.855563,0.035)); #545204=CARTESIAN_POINT('Origin',(38.7504640048651,36.7499800398209,0.035)); #545205=CARTESIAN_POINT('',(39.004853,36.855563,0.)); #545206=CARTESIAN_POINT('Origin',(38.7503817414141,36.7501782714048,0.)); #545207=CARTESIAN_POINT('',(38.506072,36.623,0.)); #545208=CARTESIAN_POINT('Origin',(38.7503817414141,36.7501782714048,0.)); #545209=CARTESIAN_POINT('',(38.506072,36.623,0.035)); #545210=CARTESIAN_POINT('Origin',(38.7503817414141,36.7501782714048,0.035)); #545211=CARTESIAN_POINT('',(38.506072,36.623,0.)); #545212=CARTESIAN_POINT('Origin',(37.431428,36.623,0.)); #545213=CARTESIAN_POINT('',(37.431428,36.623,0.)); #545214=CARTESIAN_POINT('',(37.431428,36.623,0.)); #545215=CARTESIAN_POINT('',(37.431428,36.623,0.035)); #545216=CARTESIAN_POINT('',(37.431428,36.623,0.035)); #545217=CARTESIAN_POINT('',(37.431428,36.623,0.)); #545218=CARTESIAN_POINT('Origin',(37.1867008746984,36.7493753757046,0.)); #545219=CARTESIAN_POINT('Origin',(37.1867008746984,36.7493753757046,0.)); #545220=CARTESIAN_POINT('Origin',(37.1867008746984,36.7493753757046,0.035)); #545221=CARTESIAN_POINT('Origin',(37.9686933511795,36.7489488558402,0.035)); #545222=CARTESIAN_POINT('Origin',(37.9686933511795,36.7489488558402,0.)); #545223=CARTESIAN_POINT('Origin',(29.25,36.75,-200.)); #545224=CARTESIAN_POINT('',(29.11,36.75,0.)); #545225=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #545226=CARTESIAN_POINT('',(29.11,36.75,0.0349999999999895)); #545227=CARTESIAN_POINT('',(29.11,36.75,-200.)); #545228=CARTESIAN_POINT('Origin',(29.25,36.75,0.0349999999999895)); #545229=CARTESIAN_POINT('Origin',(30.8125,36.75,-200.)); #545230=CARTESIAN_POINT('',(30.6725,36.75,0.)); #545231=CARTESIAN_POINT('Origin',(30.8125,36.75,0.)); #545232=CARTESIAN_POINT('',(30.6725,36.75,0.0349999999999895)); #545233=CARTESIAN_POINT('',(30.6725,36.75,-200.)); #545234=CARTESIAN_POINT('Origin',(30.8125,36.75,0.0349999999999895)); #545235=CARTESIAN_POINT('Origin',(29.271644,36.475,0.)); #545236=CARTESIAN_POINT('',(29.271644,36.475,0.)); #545237=CARTESIAN_POINT('',(29.314397,36.481772,0.)); #545238=CARTESIAN_POINT('',(29.271644,36.475,0.)); #545239=CARTESIAN_POINT('',(29.314397,36.481772,0.035)); #545240=CARTESIAN_POINT('',(29.314397,36.481772,0.)); #545241=CARTESIAN_POINT('',(29.271644,36.475,0.035)); #545242=CARTESIAN_POINT('',(29.271644,36.475,0.035)); #545243=CARTESIAN_POINT('',(29.271644,36.475,0.)); #545244=CARTESIAN_POINT('Origin',(29.2500003953475,36.7500004229918,0.)); #545245=CARTESIAN_POINT('',(28.995147,36.644438,0.)); #545246=CARTESIAN_POINT('Origin',(29.2500003953475,36.7500004229918,0.)); #545247=CARTESIAN_POINT('',(28.995147,36.644438,0.035)); #545248=CARTESIAN_POINT('Origin',(29.2500003953475,36.7500004229918,0.035)); #545249=CARTESIAN_POINT('',(28.995147,36.644438,0.)); #545250=CARTESIAN_POINT('Origin',(29.2496182367391,36.7498222285952,0.)); #545251=CARTESIAN_POINT('',(29.493928,36.877,0.)); #545252=CARTESIAN_POINT('Origin',(29.2496182367391,36.7498222285952,0.)); #545253=CARTESIAN_POINT('',(29.493928,36.877,0.035)); #545254=CARTESIAN_POINT('Origin',(29.2496182367391,36.7498222285952,0.035)); #545255=CARTESIAN_POINT('',(29.493928,36.877,0.)); #545256=CARTESIAN_POINT('Origin',(30.568572,36.877,0.)); #545257=CARTESIAN_POINT('',(30.568572,36.877,0.)); #545258=CARTESIAN_POINT('',(30.568572,36.877,0.)); #545259=CARTESIAN_POINT('',(30.568572,36.877,0.035)); #545260=CARTESIAN_POINT('',(30.568572,36.877,0.035)); #545261=CARTESIAN_POINT('',(30.568572,36.877,0.)); #545262=CARTESIAN_POINT('Origin',(30.8129640048651,36.7499800398209,0.)); #545263=CARTESIAN_POINT('',(31.067353,36.855563,0.)); #545264=CARTESIAN_POINT('Origin',(30.8129640048651,36.7499800398209,0.)); #545265=CARTESIAN_POINT('',(31.067353,36.855563,0.035)); #545266=CARTESIAN_POINT('Origin',(30.8129640048651,36.7499800398209,0.035)); #545267=CARTESIAN_POINT('',(31.067353,36.855563,0.)); #545268=CARTESIAN_POINT('Origin',(30.8128817414141,36.7501782714048,0.)); #545269=CARTESIAN_POINT('',(30.568572,36.623,0.)); #545270=CARTESIAN_POINT('Origin',(30.8128817414141,36.7501782714048,0.)); #545271=CARTESIAN_POINT('',(30.568572,36.623,0.035)); #545272=CARTESIAN_POINT('Origin',(30.8128817414141,36.7501782714048,0.035)); #545273=CARTESIAN_POINT('',(30.568572,36.623,0.)); #545274=CARTESIAN_POINT('Origin',(29.493928,36.623,0.)); #545275=CARTESIAN_POINT('',(29.493928,36.623,0.)); #545276=CARTESIAN_POINT('',(29.493928,36.623,0.)); #545277=CARTESIAN_POINT('',(29.493928,36.623,0.035)); #545278=CARTESIAN_POINT('',(29.493928,36.623,0.035)); #545279=CARTESIAN_POINT('',(29.493928,36.623,0.)); #545280=CARTESIAN_POINT('Origin',(29.2492008746984,36.7493753757046,0.)); #545281=CARTESIAN_POINT('Origin',(29.2492008746984,36.7493753757046,0.)); #545282=CARTESIAN_POINT('Origin',(29.2492008746984,36.7493753757046,0.035)); #545283=CARTESIAN_POINT('Origin',(30.0311933511795,36.7489488558402,0.035)); #545284=CARTESIAN_POINT('Origin',(30.0311933511795,36.7489488558402,0.)); #545285=CARTESIAN_POINT('Origin',(39.25,18.25,-200.)); #545286=CARTESIAN_POINT('',(39.11,18.25,0.)); #545287=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #545288=CARTESIAN_POINT('',(39.11,18.25,0.0349999999999895)); #545289=CARTESIAN_POINT('',(39.11,18.25,-200.)); #545290=CARTESIAN_POINT('Origin',(39.25,18.25,0.0349999999999895)); #545291=CARTESIAN_POINT('Origin',(42.5,16.,-200.)); #545292=CARTESIAN_POINT('',(42.36,16.,0.)); #545293=CARTESIAN_POINT('Origin',(42.5,16.,0.)); #545294=CARTESIAN_POINT('',(42.36,16.,0.0349999999999895)); #545295=CARTESIAN_POINT('',(42.36,16.,-200.)); #545296=CARTESIAN_POINT('Origin',(42.5,16.,0.0349999999999895)); #545297=CARTESIAN_POINT('Origin',(42.521644,15.725,0.)); #545298=CARTESIAN_POINT('',(42.521644,15.725,0.)); #545299=CARTESIAN_POINT('',(42.564397,15.731772,0.)); #545300=CARTESIAN_POINT('',(42.521644,15.725,0.)); #545301=CARTESIAN_POINT('',(42.564397,15.731772,0.035)); #545302=CARTESIAN_POINT('',(42.564397,15.731772,0.)); #545303=CARTESIAN_POINT('',(42.521644,15.725,0.035)); #545304=CARTESIAN_POINT('',(42.521644,15.725,0.035)); #545305=CARTESIAN_POINT('',(42.521644,15.725,0.)); #545306=CARTESIAN_POINT('Origin',(42.500118471131,15.9995872515008,0.)); #545307=CARTESIAN_POINT('',(42.373,16.243928,0.)); #545308=CARTESIAN_POINT('Origin',(42.500118471131,15.9995872515008,0.)); #545309=CARTESIAN_POINT('',(42.373,16.243928,0.035)); #545310=CARTESIAN_POINT('Origin',(42.500118471131,15.9995872515008,0.035)); #545311=CARTESIAN_POINT('',(42.373,16.243928,0.)); #545312=CARTESIAN_POINT('Origin',(42.373,17.197394,0.)); #545313=CARTESIAN_POINT('',(42.373,17.197394,0.)); #545314=CARTESIAN_POINT('',(42.373,17.197394,0.)); #545315=CARTESIAN_POINT('',(42.373,17.197394,0.035)); #545316=CARTESIAN_POINT('',(42.373,17.197394,0.035)); #545317=CARTESIAN_POINT('',(42.373,17.197394,0.)); #545318=CARTESIAN_POINT('Origin',(41.447394,18.123,0.)); #545319=CARTESIAN_POINT('',(41.447394,18.123,0.)); #545320=CARTESIAN_POINT('',(41.447394,18.123,0.)); #545321=CARTESIAN_POINT('',(41.447394,18.123,0.035)); #545322=CARTESIAN_POINT('',(41.447394,18.123,0.035)); #545323=CARTESIAN_POINT('',(41.447394,18.123,0.)); #545324=CARTESIAN_POINT('Origin',(39.493928,18.123,0.)); #545325=CARTESIAN_POINT('',(39.493928,18.123,0.)); #545326=CARTESIAN_POINT('',(39.493928,18.123,0.)); #545327=CARTESIAN_POINT('',(39.493928,18.123,0.035)); #545328=CARTESIAN_POINT('',(39.493928,18.123,0.035)); #545329=CARTESIAN_POINT('',(39.493928,18.123,0.)); #545330=CARTESIAN_POINT('Origin',(39.2495362283063,18.2500204601791,0.)); #545331=CARTESIAN_POINT('',(38.995147,18.144438,0.)); #545332=CARTESIAN_POINT('Origin',(39.2495362283063,18.2500204601791,0.)); #545333=CARTESIAN_POINT('',(38.995147,18.144438,0.035)); #545334=CARTESIAN_POINT('Origin',(39.2495362283063,18.2500204601791,0.035)); #545335=CARTESIAN_POINT('',(38.995147,18.144438,0.)); #545336=CARTESIAN_POINT('Origin',(39.2496182367391,18.2498222285952,0.)); #545337=CARTESIAN_POINT('',(39.493928,18.377,0.)); #545338=CARTESIAN_POINT('Origin',(39.2496182367391,18.2498222285952,0.)); #545339=CARTESIAN_POINT('',(39.493928,18.377,0.035)); #545340=CARTESIAN_POINT('Origin',(39.2496182367391,18.2498222285952,0.035)); #545341=CARTESIAN_POINT('',(39.493928,18.377,0.)); #545342=CARTESIAN_POINT('Origin',(41.5,18.377,0.)); #545343=CARTESIAN_POINT('',(41.5,18.377,0.)); #545344=CARTESIAN_POINT('',(41.5,18.377,0.)); #545345=CARTESIAN_POINT('',(41.5,18.377,0.035)); #545346=CARTESIAN_POINT('',(41.5,18.377,0.035)); #545347=CARTESIAN_POINT('',(41.5,18.377,0.)); #545348=CARTESIAN_POINT('Origin',(41.5000007497004,18.2499996912748,0.)); #545349=CARTESIAN_POINT('',(41.589803,18.339803,0.)); #545350=CARTESIAN_POINT('Origin',(41.5000007497004,18.2499996912748,0.)); #545351=CARTESIAN_POINT('',(41.589803,18.339803,0.035)); #545352=CARTESIAN_POINT('Origin',(41.5000007497004,18.2499996912748,0.035)); #545353=CARTESIAN_POINT('',(41.589803,18.339803,0.)); #545354=CARTESIAN_POINT('Origin',(42.589803,17.339803,0.)); #545355=CARTESIAN_POINT('',(42.589803,17.339803,0.)); #545356=CARTESIAN_POINT('',(42.589803,17.339803,0.)); #545357=CARTESIAN_POINT('',(42.589803,17.339803,0.035)); #545358=CARTESIAN_POINT('',(42.589803,17.339803,0.035)); #545359=CARTESIAN_POINT('',(42.589803,17.339803,0.)); #545360=CARTESIAN_POINT('Origin',(42.4999996912748,17.2500007497004,0.)); #545361=CARTESIAN_POINT('',(42.627,17.25,0.)); #545362=CARTESIAN_POINT('Origin',(42.4999996912748,17.2500007497004,0.)); #545363=CARTESIAN_POINT('',(42.627,17.25,0.035)); #545364=CARTESIAN_POINT('Origin',(42.4999996912748,17.2500007497004,0.035)); #545365=CARTESIAN_POINT('',(42.627,17.25,0.)); #545366=CARTESIAN_POINT('Origin',(42.627,16.243928,0.)); #545367=CARTESIAN_POINT('',(42.627,16.243928,0.)); #545368=CARTESIAN_POINT('',(42.627,16.243928,0.)); #545369=CARTESIAN_POINT('',(42.627,16.243928,0.035)); #545370=CARTESIAN_POINT('',(42.627,16.243928,0.035)); #545371=CARTESIAN_POINT('',(42.627,16.243928,0.)); #545372=CARTESIAN_POINT('Origin',(42.4999453752955,15.999554310534,0.)); #545373=CARTESIAN_POINT('Origin',(42.4999453752955,15.999554310534,0.)); #545374=CARTESIAN_POINT('Origin',(42.4999453752955,15.999554310534,0.035)); #545375=CARTESIAN_POINT('Origin',(41.2906977379514,17.5899546328489,0.035)); #545376=CARTESIAN_POINT('Origin',(41.2906977379514,17.5899546328489,0.)); #545377=CARTESIAN_POINT('Origin',(23.905,49.75,-200.)); #545378=CARTESIAN_POINT('',(23.765,49.75,0.)); #545379=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #545380=CARTESIAN_POINT('',(23.765,49.75,0.0349999999999895)); #545381=CARTESIAN_POINT('',(23.765,49.75,-200.)); #545382=CARTESIAN_POINT('Origin',(23.905,49.75,0.0349999999999895)); #545383=CARTESIAN_POINT('Origin',(24.205,49.15,0.)); #545384=CARTESIAN_POINT('',(24.205,49.15,0.)); #545385=CARTESIAN_POINT('',(24.205,50.35,0.)); #545386=CARTESIAN_POINT('',(24.205,49.15,0.)); #545387=CARTESIAN_POINT('',(24.205,50.35,0.035)); #545388=CARTESIAN_POINT('',(24.205,50.35,0.)); #545389=CARTESIAN_POINT('',(24.205,49.15,0.035)); #545390=CARTESIAN_POINT('',(24.205,49.15,0.035)); #545391=CARTESIAN_POINT('',(24.205,49.15,0.)); #545392=CARTESIAN_POINT('Origin',(23.605,49.15,0.)); #545393=CARTESIAN_POINT('',(23.605,49.15,0.)); #545394=CARTESIAN_POINT('',(23.605,49.15,0.)); #545395=CARTESIAN_POINT('',(23.605,49.15,0.035)); #545396=CARTESIAN_POINT('',(23.605,49.15,0.035)); #545397=CARTESIAN_POINT('',(23.605,49.15,0.)); #545398=CARTESIAN_POINT('Origin',(23.605,50.35,0.)); #545399=CARTESIAN_POINT('',(23.605,50.35,0.)); #545400=CARTESIAN_POINT('',(23.605,50.35,0.)); #545401=CARTESIAN_POINT('',(23.605,50.35,0.035)); #545402=CARTESIAN_POINT('',(23.605,50.35,0.035)); #545403=CARTESIAN_POINT('',(23.605,50.35,0.)); #545404=CARTESIAN_POINT('Origin',(24.205,50.35,0.)); #545405=CARTESIAN_POINT('',(24.205,50.35,0.)); #545406=CARTESIAN_POINT('',(24.205,50.35,0.035)); #545407=CARTESIAN_POINT('Origin',(23.905,49.75,0.035)); #545408=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #545409=CARTESIAN_POINT('Origin',(23.905,58.75,-200.)); #545410=CARTESIAN_POINT('',(23.765,58.75,0.)); #545411=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #545412=CARTESIAN_POINT('',(23.765,58.75,0.0349999999999895)); #545413=CARTESIAN_POINT('',(23.765,58.75,-200.)); #545414=CARTESIAN_POINT('Origin',(23.905,58.75,0.0349999999999895)); #545415=CARTESIAN_POINT('Origin',(24.205,58.15,0.)); #545416=CARTESIAN_POINT('',(24.205,58.15,0.)); #545417=CARTESIAN_POINT('',(24.205,59.35,0.)); #545418=CARTESIAN_POINT('',(24.205,58.15,0.)); #545419=CARTESIAN_POINT('',(24.205,59.35,0.035)); #545420=CARTESIAN_POINT('',(24.205,59.35,0.)); #545421=CARTESIAN_POINT('',(24.205,58.15,0.035)); #545422=CARTESIAN_POINT('',(24.205,58.15,0.035)); #545423=CARTESIAN_POINT('',(24.205,58.15,0.)); #545424=CARTESIAN_POINT('Origin',(23.605,58.15,0.)); #545425=CARTESIAN_POINT('',(23.605,58.15,0.)); #545426=CARTESIAN_POINT('',(23.605,58.15,0.)); #545427=CARTESIAN_POINT('',(23.605,58.15,0.035)); #545428=CARTESIAN_POINT('',(23.605,58.15,0.035)); #545429=CARTESIAN_POINT('',(23.605,58.15,0.)); #545430=CARTESIAN_POINT('Origin',(23.605,59.35,0.)); #545431=CARTESIAN_POINT('',(23.605,59.35,0.)); #545432=CARTESIAN_POINT('',(23.605,59.35,0.)); #545433=CARTESIAN_POINT('',(23.605,59.35,0.035)); #545434=CARTESIAN_POINT('',(23.605,59.35,0.035)); #545435=CARTESIAN_POINT('',(23.605,59.35,0.)); #545436=CARTESIAN_POINT('Origin',(24.205,59.35,0.)); #545437=CARTESIAN_POINT('',(24.205,59.35,0.)); #545438=CARTESIAN_POINT('',(24.205,59.35,0.035)); #545439=CARTESIAN_POINT('Origin',(23.905,58.75,0.035)); #545440=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #545441=CARTESIAN_POINT('Origin',(47.905,49.75,-200.)); #545442=CARTESIAN_POINT('',(47.765,49.75,0.)); #545443=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #545444=CARTESIAN_POINT('',(47.765,49.75,0.0349999999999895)); #545445=CARTESIAN_POINT('',(47.765,49.75,-200.)); #545446=CARTESIAN_POINT('Origin',(47.905,49.75,0.0349999999999895)); #545447=CARTESIAN_POINT('Origin',(48.205,49.15,0.)); #545448=CARTESIAN_POINT('',(48.205,49.15,0.)); #545449=CARTESIAN_POINT('',(48.205,50.35,0.)); #545450=CARTESIAN_POINT('',(48.205,49.15,0.)); #545451=CARTESIAN_POINT('',(48.205,50.35,0.035)); #545452=CARTESIAN_POINT('',(48.205,50.35,0.)); #545453=CARTESIAN_POINT('',(48.205,49.15,0.035)); #545454=CARTESIAN_POINT('',(48.205,49.15,0.035)); #545455=CARTESIAN_POINT('',(48.205,49.15,0.)); #545456=CARTESIAN_POINT('Origin',(47.605,49.15,0.)); #545457=CARTESIAN_POINT('',(47.605,49.15,0.)); #545458=CARTESIAN_POINT('',(47.605,49.15,0.)); #545459=CARTESIAN_POINT('',(47.605,49.15,0.035)); #545460=CARTESIAN_POINT('',(47.605,49.15,0.035)); #545461=CARTESIAN_POINT('',(47.605,49.15,0.)); #545462=CARTESIAN_POINT('Origin',(47.605,50.35,0.)); #545463=CARTESIAN_POINT('',(47.605,50.35,0.)); #545464=CARTESIAN_POINT('',(47.605,50.35,0.)); #545465=CARTESIAN_POINT('',(47.605,50.35,0.035)); #545466=CARTESIAN_POINT('',(47.605,50.35,0.035)); #545467=CARTESIAN_POINT('',(47.605,50.35,0.)); #545468=CARTESIAN_POINT('Origin',(48.205,50.35,0.)); #545469=CARTESIAN_POINT('',(48.205,50.35,0.)); #545470=CARTESIAN_POINT('',(48.205,50.35,0.035)); #545471=CARTESIAN_POINT('Origin',(47.905,49.75,0.035)); #545472=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #545473=CARTESIAN_POINT('Origin',(30.762,60.25,-200.)); #545474=CARTESIAN_POINT('',(30.622,60.25,0.)); #545475=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #545476=CARTESIAN_POINT('',(30.622,60.25,0.0349999999999895)); #545477=CARTESIAN_POINT('',(30.622,60.25,-200.)); #545478=CARTESIAN_POINT('Origin',(30.762,60.25,0.0349999999999895)); #545479=CARTESIAN_POINT('Origin',(30.783644,59.975,0.)); #545480=CARTESIAN_POINT('',(30.783644,59.975,0.)); #545481=CARTESIAN_POINT('',(30.826397,59.981772,0.)); #545482=CARTESIAN_POINT('',(30.783644,59.975,0.)); #545483=CARTESIAN_POINT('',(30.826397,59.981772,0.035)); #545484=CARTESIAN_POINT('',(30.826397,59.981772,0.)); #545485=CARTESIAN_POINT('',(30.783644,59.975,0.035)); #545486=CARTESIAN_POINT('',(30.783644,59.975,0.035)); #545487=CARTESIAN_POINT('',(30.783644,59.975,0.)); #545488=CARTESIAN_POINT('Origin',(30.762118471131,60.2495872515008,0.)); #545489=CARTESIAN_POINT('',(30.635,60.493928,0.)); #545490=CARTESIAN_POINT('Origin',(30.762118471131,60.2495872515008,0.)); #545491=CARTESIAN_POINT('',(30.635,60.493928,0.035)); #545492=CARTESIAN_POINT('Origin',(30.762118471131,60.2495872515008,0.035)); #545493=CARTESIAN_POINT('',(30.635,60.493928,0.)); #545494=CARTESIAN_POINT('Origin',(30.635,65.5555,0.)); #545495=CARTESIAN_POINT('',(30.635,65.5555,0.)); #545496=CARTESIAN_POINT('',(30.635,65.5555,0.)); #545497=CARTESIAN_POINT('',(30.635,65.5555,0.035)); #545498=CARTESIAN_POINT('',(30.635,65.5555,0.035)); #545499=CARTESIAN_POINT('',(30.635,65.5555,0.)); #545500=CARTESIAN_POINT('Origin',(30.3429,65.5555,0.)); #545501=CARTESIAN_POINT('',(30.3429,65.5555,0.)); #545502=CARTESIAN_POINT('',(30.3429,65.5555,0.)); #545503=CARTESIAN_POINT('',(30.3429,65.5555,0.035)); #545504=CARTESIAN_POINT('',(30.3429,65.5555,0.035)); #545505=CARTESIAN_POINT('',(30.3429,65.5555,0.)); #545506=CARTESIAN_POINT('Origin',(30.3429,66.4445,0.)); #545507=CARTESIAN_POINT('',(30.3429,66.4445,0.)); #545508=CARTESIAN_POINT('',(30.3429,66.4445,0.)); #545509=CARTESIAN_POINT('',(30.3429,66.4445,0.035)); #545510=CARTESIAN_POINT('',(30.3429,66.4445,0.035)); #545511=CARTESIAN_POINT('',(30.3429,66.4445,0.)); #545512=CARTESIAN_POINT('Origin',(31.1811,66.4445,0.)); #545513=CARTESIAN_POINT('',(31.1811,66.4445,0.)); #545514=CARTESIAN_POINT('',(31.1811,66.4445,0.)); #545515=CARTESIAN_POINT('',(31.1811,66.4445,0.035)); #545516=CARTESIAN_POINT('',(31.1811,66.4445,0.035)); #545517=CARTESIAN_POINT('',(31.1811,66.4445,0.)); #545518=CARTESIAN_POINT('Origin',(31.1811,65.5555,0.)); #545519=CARTESIAN_POINT('',(31.1811,65.5555,0.)); #545520=CARTESIAN_POINT('',(31.1811,65.5555,0.)); #545521=CARTESIAN_POINT('',(31.1811,65.5555,0.035)); #545522=CARTESIAN_POINT('',(31.1811,65.5555,0.035)); #545523=CARTESIAN_POINT('',(31.1811,65.5555,0.)); #545524=CARTESIAN_POINT('Origin',(30.889,65.5555,0.)); #545525=CARTESIAN_POINT('',(30.889,65.5555,0.)); #545526=CARTESIAN_POINT('',(30.889,65.5555,0.)); #545527=CARTESIAN_POINT('',(30.889,65.5555,0.035)); #545528=CARTESIAN_POINT('',(30.889,65.5555,0.035)); #545529=CARTESIAN_POINT('',(30.889,65.5555,0.)); #545530=CARTESIAN_POINT('Origin',(30.889,60.493928,0.)); #545531=CARTESIAN_POINT('',(30.889,60.493928,0.)); #545532=CARTESIAN_POINT('',(30.889,60.493928,0.)); #545533=CARTESIAN_POINT('',(30.889,60.493928,0.035)); #545534=CARTESIAN_POINT('',(30.889,60.493928,0.035)); #545535=CARTESIAN_POINT('',(30.889,60.493928,0.)); #545536=CARTESIAN_POINT('Origin',(30.7619453752955,60.2495543105341,0.)); #545537=CARTESIAN_POINT('Origin',(30.7619453752955,60.2495543105341,0.)); #545538=CARTESIAN_POINT('Origin',(30.7619453752955,60.2495543105341,0.035)); #545539=CARTESIAN_POINT('Origin',(30.7621756493826,63.3966014718761,0.035)); #545540=CARTESIAN_POINT('Origin',(30.7621756493826,63.3966014718761,0.)); #545541=CARTESIAN_POINT('Origin',(39.25,16.5,-200.)); #545542=CARTESIAN_POINT('',(39.11,16.5,0.)); #545543=CARTESIAN_POINT('Origin',(39.25,16.5,0.)); #545544=CARTESIAN_POINT('',(39.11,16.5,0.0349999999999895)); #545545=CARTESIAN_POINT('',(39.11,16.5,-200.)); #545546=CARTESIAN_POINT('Origin',(39.25,16.5,0.0349999999999895)); #545547=CARTESIAN_POINT('Origin',(33.25,55.,-200.)); #545548=CARTESIAN_POINT('',(33.11,55.,0.)); #545549=CARTESIAN_POINT('Origin',(33.25,55.,0.)); #545550=CARTESIAN_POINT('',(33.11,55.,0.0349999999999895)); #545551=CARTESIAN_POINT('',(33.11,55.,-200.)); #545552=CARTESIAN_POINT('Origin',(33.25,55.,0.0349999999999895)); #545553=CARTESIAN_POINT('Origin',(54.375,14.498,0.)); #545554=CARTESIAN_POINT('',(54.375,14.498,0.)); #545555=CARTESIAN_POINT('',(54.3804,14.498603,0.)); #545556=CARTESIAN_POINT('',(54.375,14.498,0.)); #545557=CARTESIAN_POINT('',(54.3804,14.498603,0.035)); #545558=CARTESIAN_POINT('',(54.3804,14.498603,0.)); #545559=CARTESIAN_POINT('',(54.375,14.498,0.035)); #545560=CARTESIAN_POINT('',(54.375,14.498,0.035)); #545561=CARTESIAN_POINT('',(54.375,14.498,0.)); #545562=CARTESIAN_POINT('Origin',(43.1875,14.498,0.)); #545563=CARTESIAN_POINT('',(43.1875,14.498,0.)); #545564=CARTESIAN_POINT('',(43.1875,14.498,0.)); #545565=CARTESIAN_POINT('',(43.1875,14.498,0.035)); #545566=CARTESIAN_POINT('',(43.1875,14.498,0.035)); #545567=CARTESIAN_POINT('',(43.1875,14.498,0.)); #545568=CARTESIAN_POINT('Origin',(43.1874992502996,14.6250003087252,0.)); #545569=CARTESIAN_POINT('',(43.097697,14.535197,0.)); #545570=CARTESIAN_POINT('Origin',(43.1874992502996,14.6250003087252,0.)); #545571=CARTESIAN_POINT('',(43.097697,14.535197,0.035)); #545572=CARTESIAN_POINT('Origin',(43.1874992502996,14.6250003087252,0.035)); #545573=CARTESIAN_POINT('',(43.097697,14.535197,0.)); #545574=CARTESIAN_POINT('Origin',(42.884894,14.748,0.)); #545575=CARTESIAN_POINT('',(42.884894,14.748,0.)); #545576=CARTESIAN_POINT('',(42.884894,14.748,0.)); #545577=CARTESIAN_POINT('',(42.884894,14.748,0.035)); #545578=CARTESIAN_POINT('',(42.884894,14.748,0.035)); #545579=CARTESIAN_POINT('',(42.884894,14.748,0.)); #545580=CARTESIAN_POINT('Origin',(41.625,14.748,0.)); #545581=CARTESIAN_POINT('',(41.625,14.748,0.)); #545582=CARTESIAN_POINT('',(41.625,14.748,0.)); #545583=CARTESIAN_POINT('',(41.625,14.748,0.035)); #545584=CARTESIAN_POINT('',(41.625,14.748,0.035)); #545585=CARTESIAN_POINT('',(41.625,14.748,0.)); #545586=CARTESIAN_POINT('Origin',(41.6249992502996,14.8750003087252,0.)); #545587=CARTESIAN_POINT('',(41.535197,14.785197,0.)); #545588=CARTESIAN_POINT('Origin',(41.6249992502996,14.8750003087252,0.)); #545589=CARTESIAN_POINT('',(41.535197,14.785197,0.035)); #545590=CARTESIAN_POINT('Origin',(41.6249992502996,14.8750003087252,0.035)); #545591=CARTESIAN_POINT('',(41.535197,14.785197,0.)); #545592=CARTESIAN_POINT('Origin',(39.947394,16.373,0.)); #545593=CARTESIAN_POINT('',(39.947394,16.373,0.)); #545594=CARTESIAN_POINT('',(39.947394,16.373,0.)); #545595=CARTESIAN_POINT('',(39.947394,16.373,0.035)); #545596=CARTESIAN_POINT('',(39.947394,16.373,0.035)); #545597=CARTESIAN_POINT('',(39.947394,16.373,0.)); #545598=CARTESIAN_POINT('Origin',(39.493928,16.373,0.)); #545599=CARTESIAN_POINT('',(39.493928,16.373,0.)); #545600=CARTESIAN_POINT('',(39.493928,16.373,0.)); #545601=CARTESIAN_POINT('',(39.493928,16.373,0.035)); #545602=CARTESIAN_POINT('',(39.493928,16.373,0.035)); #545603=CARTESIAN_POINT('',(39.493928,16.373,0.)); #545604=CARTESIAN_POINT('Origin',(39.2495362283063,16.5000204601791,0.)); #545605=CARTESIAN_POINT('',(38.995147,16.394438,0.)); #545606=CARTESIAN_POINT('Origin',(39.2495362283063,16.5000204601791,0.)); #545607=CARTESIAN_POINT('',(38.995147,16.394438,0.035)); #545608=CARTESIAN_POINT('Origin',(39.2495362283063,16.5000204601791,0.035)); #545609=CARTESIAN_POINT('',(38.995147,16.394438,0.)); #545610=CARTESIAN_POINT('Origin',(39.2496182367391,16.4998222285952,0.)); #545611=CARTESIAN_POINT('',(39.493928,16.627,0.)); #545612=CARTESIAN_POINT('Origin',(39.2496182367391,16.4998222285952,0.)); #545613=CARTESIAN_POINT('',(39.493928,16.627,0.035)); #545614=CARTESIAN_POINT('Origin',(39.2496182367391,16.4998222285952,0.035)); #545615=CARTESIAN_POINT('',(39.493928,16.627,0.)); #545616=CARTESIAN_POINT('Origin',(40.,16.627,0.)); #545617=CARTESIAN_POINT('',(40.,16.627,0.)); #545618=CARTESIAN_POINT('',(40.,16.627,0.)); #545619=CARTESIAN_POINT('',(40.,16.627,0.035)); #545620=CARTESIAN_POINT('',(40.,16.627,0.035)); #545621=CARTESIAN_POINT('',(40.,16.627,0.)); #545622=CARTESIAN_POINT('Origin',(40.0000007497004,16.4999996912748,0.)); #545623=CARTESIAN_POINT('',(40.089803,16.589803,0.)); #545624=CARTESIAN_POINT('Origin',(40.0000007497004,16.4999996912748,0.)); #545625=CARTESIAN_POINT('',(40.089803,16.589803,0.035)); #545626=CARTESIAN_POINT('Origin',(40.0000007497004,16.4999996912748,0.035)); #545627=CARTESIAN_POINT('',(40.089803,16.589803,0.)); #545628=CARTESIAN_POINT('Origin',(41.677606,15.002,0.)); #545629=CARTESIAN_POINT('',(41.677606,15.002,0.)); #545630=CARTESIAN_POINT('',(41.677606,15.002,0.)); #545631=CARTESIAN_POINT('',(41.677606,15.002,0.035)); #545632=CARTESIAN_POINT('',(41.677606,15.002,0.035)); #545633=CARTESIAN_POINT('',(41.677606,15.002,0.)); #545634=CARTESIAN_POINT('Origin',(42.9375,15.002,0.)); #545635=CARTESIAN_POINT('',(42.9375,15.002,0.)); #545636=CARTESIAN_POINT('',(42.9375,15.002,0.)); #545637=CARTESIAN_POINT('',(42.9375,15.002,0.035)); #545638=CARTESIAN_POINT('',(42.9375,15.002,0.035)); #545639=CARTESIAN_POINT('',(42.9375,15.002,0.)); #545640=CARTESIAN_POINT('Origin',(42.9375007497004,14.8749996912748,0.)); #545641=CARTESIAN_POINT('',(43.027303,14.964803,0.)); #545642=CARTESIAN_POINT('Origin',(42.9375007497004,14.8749996912748,0.)); #545643=CARTESIAN_POINT('',(43.027303,14.964803,0.035)); #545644=CARTESIAN_POINT('Origin',(42.9375007497004,14.8749996912748,0.035)); #545645=CARTESIAN_POINT('',(43.027303,14.964803,0.)); #545646=CARTESIAN_POINT('Origin',(43.240106,14.752,0.)); #545647=CARTESIAN_POINT('',(43.240106,14.752,0.)); #545648=CARTESIAN_POINT('',(43.240106,14.752,0.)); #545649=CARTESIAN_POINT('',(43.240106,14.752,0.035)); #545650=CARTESIAN_POINT('',(43.240106,14.752,0.035)); #545651=CARTESIAN_POINT('',(43.240106,14.752,0.)); #545652=CARTESIAN_POINT('Origin',(54.322394,14.752,0.)); #545653=CARTESIAN_POINT('',(54.322394,14.752,0.)); #545654=CARTESIAN_POINT('',(54.322394,14.752,0.)); #545655=CARTESIAN_POINT('',(54.322394,14.752,0.035)); #545656=CARTESIAN_POINT('',(54.322394,14.752,0.035)); #545657=CARTESIAN_POINT('',(54.322394,14.752,0.)); #545658=CARTESIAN_POINT('Origin',(59.373,19.802606,0.)); #545659=CARTESIAN_POINT('',(59.373,19.802606,0.)); #545660=CARTESIAN_POINT('',(59.373,19.802606,0.)); #545661=CARTESIAN_POINT('',(59.373,19.802606,0.035)); #545662=CARTESIAN_POINT('',(59.373,19.802606,0.035)); #545663=CARTESIAN_POINT('',(59.373,19.802606,0.)); #545664=CARTESIAN_POINT('Origin',(59.373,37.947394,0.)); #545665=CARTESIAN_POINT('',(59.373,37.947394,0.)); #545666=CARTESIAN_POINT('',(59.373,37.947394,0.)); #545667=CARTESIAN_POINT('',(59.373,37.947394,0.035)); #545668=CARTESIAN_POINT('',(59.373,37.947394,0.035)); #545669=CARTESIAN_POINT('',(59.373,37.947394,0.)); #545670=CARTESIAN_POINT('Origin',(57.447394,39.873,0.)); #545671=CARTESIAN_POINT('',(57.447394,39.873,0.)); #545672=CARTESIAN_POINT('',(57.447394,39.873,0.)); #545673=CARTESIAN_POINT('',(57.447394,39.873,0.035)); #545674=CARTESIAN_POINT('',(57.447394,39.873,0.035)); #545675=CARTESIAN_POINT('',(57.447394,39.873,0.)); #545676=CARTESIAN_POINT('Origin',(48.25,39.873,0.)); #545677=CARTESIAN_POINT('',(48.25,39.873,0.)); #545678=CARTESIAN_POINT('',(48.25,39.873,0.)); #545679=CARTESIAN_POINT('',(48.25,39.873,0.035)); #545680=CARTESIAN_POINT('',(48.25,39.873,0.035)); #545681=CARTESIAN_POINT('',(48.25,39.873,0.)); #545682=CARTESIAN_POINT('Origin',(48.2499992502996,40.0000003087252,0.)); #545683=CARTESIAN_POINT('',(48.160197,39.910197,0.)); #545684=CARTESIAN_POINT('Origin',(48.2499992502996,40.0000003087252,0.)); #545685=CARTESIAN_POINT('',(48.160197,39.910197,0.035)); #545686=CARTESIAN_POINT('Origin',(48.2499992502996,40.0000003087252,0.035)); #545687=CARTESIAN_POINT('',(48.160197,39.910197,0.)); #545688=CARTESIAN_POINT('Origin',(46.697394,41.373,0.)); #545689=CARTESIAN_POINT('',(46.697394,41.373,0.)); #545690=CARTESIAN_POINT('',(46.697394,41.373,0.)); #545691=CARTESIAN_POINT('',(46.697394,41.373,0.035)); #545692=CARTESIAN_POINT('',(46.697394,41.373,0.035)); #545693=CARTESIAN_POINT('',(46.697394,41.373,0.)); #545694=CARTESIAN_POINT('Origin',(43.25,41.373,0.)); #545695=CARTESIAN_POINT('',(43.25,41.373,0.)); #545696=CARTESIAN_POINT('',(43.25,41.373,0.)); #545697=CARTESIAN_POINT('',(43.25,41.373,0.035)); #545698=CARTESIAN_POINT('',(43.25,41.373,0.035)); #545699=CARTESIAN_POINT('',(43.25,41.373,0.)); #545700=CARTESIAN_POINT('Origin',(43.2499992502996,41.5000003087252,0.)); #545701=CARTESIAN_POINT('',(43.160197,41.410197,0.)); #545702=CARTESIAN_POINT('Origin',(43.2499992502996,41.5000003087252,0.)); #545703=CARTESIAN_POINT('',(43.160197,41.410197,0.035)); #545704=CARTESIAN_POINT('Origin',(43.2499992502996,41.5000003087252,0.035)); #545705=CARTESIAN_POINT('',(43.160197,41.410197,0.)); #545706=CARTESIAN_POINT('Origin',(41.910197,42.660197,0.)); #545707=CARTESIAN_POINT('',(41.910197,42.660197,0.)); #545708=CARTESIAN_POINT('',(41.910197,42.660197,0.)); #545709=CARTESIAN_POINT('',(41.910197,42.660197,0.035)); #545710=CARTESIAN_POINT('',(41.910197,42.660197,0.035)); #545711=CARTESIAN_POINT('',(41.910197,42.660197,0.)); #545712=CARTESIAN_POINT('Origin',(42.0000003087252,42.7499992502996,0.)); #545713=CARTESIAN_POINT('',(41.873,42.75,0.)); #545714=CARTESIAN_POINT('Origin',(42.0000003087252,42.7499992502996,0.)); #545715=CARTESIAN_POINT('',(41.873,42.75,0.035)); #545716=CARTESIAN_POINT('Origin',(42.0000003087252,42.7499992502996,0.035)); #545717=CARTESIAN_POINT('',(41.873,42.75,0.)); #545718=CARTESIAN_POINT('Origin',(41.873,49.447394,0.)); #545719=CARTESIAN_POINT('',(41.873,49.447394,0.)); #545720=CARTESIAN_POINT('',(41.873,49.447394,0.)); #545721=CARTESIAN_POINT('',(41.873,49.447394,0.035)); #545722=CARTESIAN_POINT('',(41.873,49.447394,0.035)); #545723=CARTESIAN_POINT('',(41.873,49.447394,0.)); #545724=CARTESIAN_POINT('Origin',(41.197394,50.123,0.)); #545725=CARTESIAN_POINT('',(41.197394,50.123,0.)); #545726=CARTESIAN_POINT('',(41.197394,50.123,0.)); #545727=CARTESIAN_POINT('',(41.197394,50.123,0.035)); #545728=CARTESIAN_POINT('',(41.197394,50.123,0.035)); #545729=CARTESIAN_POINT('',(41.197394,50.123,0.)); #545730=CARTESIAN_POINT('Origin',(36.3125,50.123,0.)); #545731=CARTESIAN_POINT('',(36.3125,50.123,0.)); #545732=CARTESIAN_POINT('',(36.3125,50.123,0.)); #545733=CARTESIAN_POINT('',(36.3125,50.123,0.035)); #545734=CARTESIAN_POINT('',(36.3125,50.123,0.035)); #545735=CARTESIAN_POINT('',(36.3125,50.123,0.)); #545736=CARTESIAN_POINT('Origin',(36.3124992502996,50.2500003087252,0.)); #545737=CARTESIAN_POINT('',(36.222697,50.160197,0.)); #545738=CARTESIAN_POINT('Origin',(36.3124992502996,50.2500003087252,0.)); #545739=CARTESIAN_POINT('',(36.222697,50.160197,0.035)); #545740=CARTESIAN_POINT('Origin',(36.3124992502996,50.2500003087252,0.035)); #545741=CARTESIAN_POINT('',(36.222697,50.160197,0.)); #545742=CARTESIAN_POINT('Origin',(33.535197,52.847697,0.)); #545743=CARTESIAN_POINT('',(33.535197,52.847697,0.)); #545744=CARTESIAN_POINT('',(33.535197,52.847697,0.)); #545745=CARTESIAN_POINT('',(33.535197,52.847697,0.035)); #545746=CARTESIAN_POINT('',(33.535197,52.847697,0.035)); #545747=CARTESIAN_POINT('',(33.535197,52.847697,0.)); #545748=CARTESIAN_POINT('Origin',(33.6250003087252,52.9374992502996,0.)); #545749=CARTESIAN_POINT('',(33.498,52.9375,0.)); #545750=CARTESIAN_POINT('Origin',(33.6250003087252,52.9374992502996,0.)); #545751=CARTESIAN_POINT('',(33.498,52.9375,0.035)); #545752=CARTESIAN_POINT('Origin',(33.6250003087252,52.9374992502996,0.035)); #545753=CARTESIAN_POINT('',(33.498,52.9375,0.)); #545754=CARTESIAN_POINT('Origin',(33.498,53.947394,0.)); #545755=CARTESIAN_POINT('',(33.498,53.947394,0.)); #545756=CARTESIAN_POINT('',(33.498,53.947394,0.)); #545757=CARTESIAN_POINT('',(33.498,53.947394,0.035)); #545758=CARTESIAN_POINT('',(33.498,53.947394,0.035)); #545759=CARTESIAN_POINT('',(33.498,53.947394,0.)); #545760=CARTESIAN_POINT('Origin',(33.160197,54.285197,0.)); #545761=CARTESIAN_POINT('',(33.160197,54.285197,0.)); #545762=CARTESIAN_POINT('',(33.160197,54.285197,0.)); #545763=CARTESIAN_POINT('',(33.160197,54.285197,0.035)); #545764=CARTESIAN_POINT('',(33.160197,54.285197,0.035)); #545765=CARTESIAN_POINT('',(33.160197,54.285197,0.)); #545766=CARTESIAN_POINT('Origin',(33.2500003087252,54.3749992502996,0.)); #545767=CARTESIAN_POINT('',(33.123,54.375,0.)); #545768=CARTESIAN_POINT('Origin',(33.2500003087252,54.3749992502996,0.)); #545769=CARTESIAN_POINT('',(33.123,54.375,0.035)); #545770=CARTESIAN_POINT('Origin',(33.2500003087252,54.3749992502996,0.035)); #545771=CARTESIAN_POINT('',(33.123,54.375,0.)); #545772=CARTESIAN_POINT('Origin',(33.123,54.756072,0.)); #545773=CARTESIAN_POINT('',(33.123,54.756072,0.)); #545774=CARTESIAN_POINT('',(33.123,54.756072,0.)); #545775=CARTESIAN_POINT('',(33.123,54.756072,0.035)); #545776=CARTESIAN_POINT('',(33.123,54.756072,0.035)); #545777=CARTESIAN_POINT('',(33.123,54.756072,0.)); #545778=CARTESIAN_POINT('Origin',(33.2500204601791,55.0004637716938,0.)); #545779=CARTESIAN_POINT('',(33.144438,55.254853,0.)); #545780=CARTESIAN_POINT('Origin',(33.2500204601791,55.0004637716938,0.)); #545781=CARTESIAN_POINT('',(33.144438,55.254853,0.035)); #545782=CARTESIAN_POINT('Origin',(33.2500204601791,55.0004637716938,0.035)); #545783=CARTESIAN_POINT('',(33.144438,55.254853,0.)); #545784=CARTESIAN_POINT('Origin',(33.2498222285952,55.0003817632609,0.)); #545785=CARTESIAN_POINT('',(33.377,54.756072,0.)); #545786=CARTESIAN_POINT('Origin',(33.2498222285952,55.0003817632609,0.)); #545787=CARTESIAN_POINT('',(33.377,54.756072,0.035)); #545788=CARTESIAN_POINT('Origin',(33.2498222285952,55.0003817632609,0.035)); #545789=CARTESIAN_POINT('',(33.377,54.756072,0.)); #545790=CARTESIAN_POINT('Origin',(33.377,54.427606,0.)); #545791=CARTESIAN_POINT('',(33.377,54.427606,0.)); #545792=CARTESIAN_POINT('',(33.377,54.427606,0.)); #545793=CARTESIAN_POINT('',(33.377,54.427606,0.035)); #545794=CARTESIAN_POINT('',(33.377,54.427606,0.035)); #545795=CARTESIAN_POINT('',(33.377,54.427606,0.)); #545796=CARTESIAN_POINT('Origin',(33.714803,54.089803,0.)); #545797=CARTESIAN_POINT('',(33.714803,54.089803,0.)); #545798=CARTESIAN_POINT('',(33.714803,54.089803,0.)); #545799=CARTESIAN_POINT('',(33.714803,54.089803,0.035)); #545800=CARTESIAN_POINT('',(33.714803,54.089803,0.035)); #545801=CARTESIAN_POINT('',(33.714803,54.089803,0.)); #545802=CARTESIAN_POINT('Origin',(33.6249996912748,54.0000007497004,0.)); #545803=CARTESIAN_POINT('',(33.752,54.,0.)); #545804=CARTESIAN_POINT('Origin',(33.6249996912748,54.0000007497004,0.)); #545805=CARTESIAN_POINT('',(33.752,54.,0.035)); #545806=CARTESIAN_POINT('Origin',(33.6249996912748,54.0000007497004,0.035)); #545807=CARTESIAN_POINT('',(33.752,54.,0.)); #545808=CARTESIAN_POINT('Origin',(33.752,52.990106,0.)); #545809=CARTESIAN_POINT('',(33.752,52.990106,0.)); #545810=CARTESIAN_POINT('',(33.752,52.990106,0.)); #545811=CARTESIAN_POINT('',(33.752,52.990106,0.035)); #545812=CARTESIAN_POINT('',(33.752,52.990106,0.035)); #545813=CARTESIAN_POINT('',(33.752,52.990106,0.)); #545814=CARTESIAN_POINT('Origin',(36.365106,50.377,0.)); #545815=CARTESIAN_POINT('',(36.365106,50.377,0.)); #545816=CARTESIAN_POINT('',(36.365106,50.377,0.)); #545817=CARTESIAN_POINT('',(36.365106,50.377,0.035)); #545818=CARTESIAN_POINT('',(36.365106,50.377,0.035)); #545819=CARTESIAN_POINT('',(36.365106,50.377,0.)); #545820=CARTESIAN_POINT('Origin',(41.25,50.377,0.)); #545821=CARTESIAN_POINT('',(41.25,50.377,0.)); #545822=CARTESIAN_POINT('',(41.25,50.377,0.)); #545823=CARTESIAN_POINT('',(41.25,50.377,0.035)); #545824=CARTESIAN_POINT('',(41.25,50.377,0.035)); #545825=CARTESIAN_POINT('',(41.25,50.377,0.)); #545826=CARTESIAN_POINT('Origin',(41.2500007497004,50.2499996912748,0.)); #545827=CARTESIAN_POINT('',(41.339803,50.339803,0.)); #545828=CARTESIAN_POINT('Origin',(41.2500007497004,50.2499996912748,0.)); #545829=CARTESIAN_POINT('',(41.339803,50.339803,0.035)); #545830=CARTESIAN_POINT('Origin',(41.2500007497004,50.2499996912748,0.035)); #545831=CARTESIAN_POINT('',(41.339803,50.339803,0.)); #545832=CARTESIAN_POINT('Origin',(42.089803,49.589803,0.)); #545833=CARTESIAN_POINT('',(42.089803,49.589803,0.)); #545834=CARTESIAN_POINT('',(42.089803,49.589803,0.)); #545835=CARTESIAN_POINT('',(42.089803,49.589803,0.035)); #545836=CARTESIAN_POINT('',(42.089803,49.589803,0.035)); #545837=CARTESIAN_POINT('',(42.089803,49.589803,0.)); #545838=CARTESIAN_POINT('Origin',(41.9999996912748,49.5000007497004,0.)); #545839=CARTESIAN_POINT('',(42.127,49.5,0.)); #545840=CARTESIAN_POINT('Origin',(41.9999996912748,49.5000007497004,0.)); #545841=CARTESIAN_POINT('',(42.127,49.5,0.035)); #545842=CARTESIAN_POINT('Origin',(41.9999996912748,49.5000007497004,0.035)); #545843=CARTESIAN_POINT('',(42.127,49.5,0.)); #545844=CARTESIAN_POINT('Origin',(42.127,42.802606,0.)); #545845=CARTESIAN_POINT('',(42.127,42.802606,0.)); #545846=CARTESIAN_POINT('',(42.127,42.802606,0.)); #545847=CARTESIAN_POINT('',(42.127,42.802606,0.035)); #545848=CARTESIAN_POINT('',(42.127,42.802606,0.035)); #545849=CARTESIAN_POINT('',(42.127,42.802606,0.)); #545850=CARTESIAN_POINT('Origin',(43.302606,41.627,0.)); #545851=CARTESIAN_POINT('',(43.302606,41.627,0.)); #545852=CARTESIAN_POINT('',(43.302606,41.627,0.)); #545853=CARTESIAN_POINT('',(43.302606,41.627,0.035)); #545854=CARTESIAN_POINT('',(43.302606,41.627,0.035)); #545855=CARTESIAN_POINT('',(43.302606,41.627,0.)); #545856=CARTESIAN_POINT('Origin',(46.75,41.627,0.)); #545857=CARTESIAN_POINT('',(46.75,41.627,0.)); #545858=CARTESIAN_POINT('',(46.75,41.627,0.)); #545859=CARTESIAN_POINT('',(46.75,41.627,0.035)); #545860=CARTESIAN_POINT('',(46.75,41.627,0.035)); #545861=CARTESIAN_POINT('',(46.75,41.627,0.)); #545862=CARTESIAN_POINT('Origin',(46.7500007497004,41.4999996912748,0.)); #545863=CARTESIAN_POINT('',(46.839803,41.589803,0.)); #545864=CARTESIAN_POINT('Origin',(46.7500007497004,41.4999996912748,0.)); #545865=CARTESIAN_POINT('',(46.839803,41.589803,0.035)); #545866=CARTESIAN_POINT('Origin',(46.7500007497004,41.4999996912748,0.035)); #545867=CARTESIAN_POINT('',(46.839803,41.589803,0.)); #545868=CARTESIAN_POINT('Origin',(48.302606,40.127,0.)); #545869=CARTESIAN_POINT('',(48.302606,40.127,0.)); #545870=CARTESIAN_POINT('',(48.302606,40.127,0.)); #545871=CARTESIAN_POINT('',(48.302606,40.127,0.035)); #545872=CARTESIAN_POINT('',(48.302606,40.127,0.035)); #545873=CARTESIAN_POINT('',(48.302606,40.127,0.)); #545874=CARTESIAN_POINT('Origin',(57.5,40.127,0.)); #545875=CARTESIAN_POINT('',(57.5,40.127,0.)); #545876=CARTESIAN_POINT('',(57.5,40.127,0.)); #545877=CARTESIAN_POINT('',(57.5,40.127,0.035)); #545878=CARTESIAN_POINT('',(57.5,40.127,0.035)); #545879=CARTESIAN_POINT('',(57.5,40.127,0.)); #545880=CARTESIAN_POINT('Origin',(57.5000007497004,39.9999996912748,0.)); #545881=CARTESIAN_POINT('',(57.589803,40.089803,0.)); #545882=CARTESIAN_POINT('Origin',(57.5000007497004,39.9999996912748,0.)); #545883=CARTESIAN_POINT('',(57.589803,40.089803,0.035)); #545884=CARTESIAN_POINT('Origin',(57.5000007497004,39.9999996912748,0.035)); #545885=CARTESIAN_POINT('',(57.589803,40.089803,0.)); #545886=CARTESIAN_POINT('Origin',(59.589803,38.089803,0.)); #545887=CARTESIAN_POINT('',(59.589803,38.089803,0.)); #545888=CARTESIAN_POINT('',(59.589803,38.089803,0.)); #545889=CARTESIAN_POINT('',(59.589803,38.089803,0.035)); #545890=CARTESIAN_POINT('',(59.589803,38.089803,0.035)); #545891=CARTESIAN_POINT('',(59.589803,38.089803,0.)); #545892=CARTESIAN_POINT('Origin',(59.4999996912748,38.0000007497004,0.)); #545893=CARTESIAN_POINT('',(59.627,38.,0.)); #545894=CARTESIAN_POINT('Origin',(59.4999996912748,38.0000007497004,0.)); #545895=CARTESIAN_POINT('',(59.627,38.,0.035)); #545896=CARTESIAN_POINT('Origin',(59.4999996912748,38.0000007497004,0.035)); #545897=CARTESIAN_POINT('',(59.627,38.,0.)); #545898=CARTESIAN_POINT('Origin',(59.627,19.75,0.)); #545899=CARTESIAN_POINT('',(59.627,19.75,0.)); #545900=CARTESIAN_POINT('',(59.627,19.75,0.)); #545901=CARTESIAN_POINT('',(59.627,19.75,0.035)); #545902=CARTESIAN_POINT('',(59.627,19.75,0.035)); #545903=CARTESIAN_POINT('',(59.627,19.75,0.)); #545904=CARTESIAN_POINT('Origin',(59.4999996912748,19.7499992502996,0.)); #545905=CARTESIAN_POINT('',(59.589803,19.660197,0.)); #545906=CARTESIAN_POINT('Origin',(59.4999996912748,19.7499992502996,0.)); #545907=CARTESIAN_POINT('',(59.589803,19.660197,0.035)); #545908=CARTESIAN_POINT('Origin',(59.4999996912748,19.7499992502996,0.035)); #545909=CARTESIAN_POINT('',(59.589803,19.660197,0.)); #545910=CARTESIAN_POINT('Origin',(54.464803,14.535197,0.)); #545911=CARTESIAN_POINT('',(54.464803,14.535197,0.)); #545912=CARTESIAN_POINT('',(54.464803,14.535197,0.)); #545913=CARTESIAN_POINT('',(54.464803,14.535197,0.035)); #545914=CARTESIAN_POINT('',(54.464803,14.535197,0.035)); #545915=CARTESIAN_POINT('',(54.464803,14.535197,0.)); #545916=CARTESIAN_POINT('Origin',(54.3756159937679,14.6252707078348,0.)); #545917=CARTESIAN_POINT('Origin',(54.3756159937679,14.6252707078348,0.)); #545918=CARTESIAN_POINT('Origin',(54.3756159937679,14.6252707078348,0.035)); #545919=CARTESIAN_POINT('Origin',(49.4793939404174,32.7223774402083,0.035)); #545920=CARTESIAN_POINT('Origin',(49.4793939404174,32.7223774402083,0.)); #545921=CARTESIAN_POINT('Origin',(42.,31.,-200.)); #545922=CARTESIAN_POINT('',(41.86,31.,0.)); #545923=CARTESIAN_POINT('Origin',(42.,31.,0.)); #545924=CARTESIAN_POINT('',(41.86,31.,0.0349999999999895)); #545925=CARTESIAN_POINT('',(41.86,31.,-200.)); #545926=CARTESIAN_POINT('Origin',(42.,31.,0.0349999999999895)); #545927=CARTESIAN_POINT('Origin',(42.,36.,-200.)); #545928=CARTESIAN_POINT('',(41.86,36.,0.)); #545929=CARTESIAN_POINT('Origin',(42.,36.,0.)); #545930=CARTESIAN_POINT('',(41.86,36.,0.0349999999999895)); #545931=CARTESIAN_POINT('',(41.86,36.,-200.)); #545932=CARTESIAN_POINT('Origin',(42.,36.,0.0349999999999895)); #545933=CARTESIAN_POINT('Origin',(42.021644,30.725,0.)); #545934=CARTESIAN_POINT('',(42.021644,30.725,0.)); #545935=CARTESIAN_POINT('',(42.064397,30.731772,0.)); #545936=CARTESIAN_POINT('',(42.021644,30.725,0.)); #545937=CARTESIAN_POINT('',(42.064397,30.731772,0.035)); #545938=CARTESIAN_POINT('',(42.064397,30.731772,0.)); #545939=CARTESIAN_POINT('',(42.021644,30.725,0.035)); #545940=CARTESIAN_POINT('',(42.021644,30.725,0.035)); #545941=CARTESIAN_POINT('',(42.021644,30.725,0.)); #545942=CARTESIAN_POINT('Origin',(42.000118471131,30.9995872515008,0.)); #545943=CARTESIAN_POINT('',(41.873,31.243928,0.)); #545944=CARTESIAN_POINT('Origin',(42.000118471131,30.9995872515008,0.)); #545945=CARTESIAN_POINT('',(41.873,31.243928,0.035)); #545946=CARTESIAN_POINT('Origin',(42.000118471131,30.9995872515008,0.035)); #545947=CARTESIAN_POINT('',(41.873,31.243928,0.)); #545948=CARTESIAN_POINT('Origin',(41.873,35.756072,0.)); #545949=CARTESIAN_POINT('',(41.873,35.756072,0.)); #545950=CARTESIAN_POINT('',(41.873,35.756072,0.)); #545951=CARTESIAN_POINT('',(41.873,35.756072,0.035)); #545952=CARTESIAN_POINT('',(41.873,35.756072,0.035)); #545953=CARTESIAN_POINT('',(41.873,35.756072,0.)); #545954=CARTESIAN_POINT('Origin',(42.0000204601791,36.0004637716937,0.)); #545955=CARTESIAN_POINT('',(41.894438,36.254853,0.)); #545956=CARTESIAN_POINT('Origin',(42.0000204601791,36.0004637716937,0.)); #545957=CARTESIAN_POINT('',(41.894438,36.254853,0.035)); #545958=CARTESIAN_POINT('Origin',(42.0000204601791,36.0004637716937,0.035)); #545959=CARTESIAN_POINT('',(41.894438,36.254853,0.)); #545960=CARTESIAN_POINT('Origin',(41.9998222285952,36.000381763261,0.)); #545961=CARTESIAN_POINT('',(42.127,35.756072,0.)); #545962=CARTESIAN_POINT('Origin',(41.9998222285952,36.000381763261,0.)); #545963=CARTESIAN_POINT('',(42.127,35.756072,0.035)); #545964=CARTESIAN_POINT('Origin',(41.9998222285952,36.000381763261,0.035)); #545965=CARTESIAN_POINT('',(42.127,35.756072,0.)); #545966=CARTESIAN_POINT('Origin',(42.127,31.243928,0.)); #545967=CARTESIAN_POINT('',(42.127,31.243928,0.)); #545968=CARTESIAN_POINT('',(42.127,31.243928,0.)); #545969=CARTESIAN_POINT('',(42.127,31.243928,0.035)); #545970=CARTESIAN_POINT('',(42.127,31.243928,0.035)); #545971=CARTESIAN_POINT('',(42.127,31.243928,0.)); #545972=CARTESIAN_POINT('Origin',(41.9999453752955,30.999554310534,0.)); #545973=CARTESIAN_POINT('Origin',(41.9999453752955,30.999554310534,0.)); #545974=CARTESIAN_POINT('Origin',(41.9999453752955,30.999554310534,0.035)); #545975=CARTESIAN_POINT('Origin',(41.9996864506156,33.49980383149,0.035)); #545976=CARTESIAN_POINT('Origin',(41.9996864506156,33.49980383149,0.)); #545977=CARTESIAN_POINT('Origin',(34.762,60.25,-200.)); #545978=CARTESIAN_POINT('',(34.622,60.25,0.)); #545979=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #545980=CARTESIAN_POINT('',(34.622,60.25,0.0349999999999895)); #545981=CARTESIAN_POINT('',(34.622,60.25,-200.)); #545982=CARTESIAN_POINT('Origin',(34.762,60.25,0.0349999999999895)); #545983=CARTESIAN_POINT('Origin',(34.783644,59.975,0.)); #545984=CARTESIAN_POINT('',(34.783644,59.975,0.)); #545985=CARTESIAN_POINT('',(34.826397,59.981772,0.)); #545986=CARTESIAN_POINT('',(34.783644,59.975,0.)); #545987=CARTESIAN_POINT('',(34.826397,59.981772,0.035)); #545988=CARTESIAN_POINT('',(34.826397,59.981772,0.)); #545989=CARTESIAN_POINT('',(34.783644,59.975,0.035)); #545990=CARTESIAN_POINT('',(34.783644,59.975,0.035)); #545991=CARTESIAN_POINT('',(34.783644,59.975,0.)); #545992=CARTESIAN_POINT('Origin',(34.762118471131,60.2495872515008,0.)); #545993=CARTESIAN_POINT('',(34.635,60.493928,0.)); #545994=CARTESIAN_POINT('Origin',(34.762118471131,60.2495872515008,0.)); #545995=CARTESIAN_POINT('',(34.635,60.493928,0.035)); #545996=CARTESIAN_POINT('Origin',(34.762118471131,60.2495872515008,0.035)); #545997=CARTESIAN_POINT('',(34.635,60.493928,0.)); #545998=CARTESIAN_POINT('Origin',(34.635,65.5555,0.)); #545999=CARTESIAN_POINT('',(34.635,65.5555,0.)); #546000=CARTESIAN_POINT('',(34.635,65.5555,0.)); #546001=CARTESIAN_POINT('',(34.635,65.5555,0.035)); #546002=CARTESIAN_POINT('',(34.635,65.5555,0.035)); #546003=CARTESIAN_POINT('',(34.635,65.5555,0.)); #546004=CARTESIAN_POINT('Origin',(34.3429,65.5555,0.)); #546005=CARTESIAN_POINT('',(34.3429,65.5555,0.)); #546006=CARTESIAN_POINT('',(34.3429,65.5555,0.)); #546007=CARTESIAN_POINT('',(34.3429,65.5555,0.035)); #546008=CARTESIAN_POINT('',(34.3429,65.5555,0.035)); #546009=CARTESIAN_POINT('',(34.3429,65.5555,0.)); #546010=CARTESIAN_POINT('Origin',(34.3429,66.4445,0.)); #546011=CARTESIAN_POINT('',(34.3429,66.4445,0.)); #546012=CARTESIAN_POINT('',(34.3429,66.4445,0.)); #546013=CARTESIAN_POINT('',(34.3429,66.4445,0.035)); #546014=CARTESIAN_POINT('',(34.3429,66.4445,0.035)); #546015=CARTESIAN_POINT('',(34.3429,66.4445,0.)); #546016=CARTESIAN_POINT('Origin',(35.1811,66.4445,0.)); #546017=CARTESIAN_POINT('',(35.1811,66.4445,0.)); #546018=CARTESIAN_POINT('',(35.1811,66.4445,0.)); #546019=CARTESIAN_POINT('',(35.1811,66.4445,0.035)); #546020=CARTESIAN_POINT('',(35.1811,66.4445,0.035)); #546021=CARTESIAN_POINT('',(35.1811,66.4445,0.)); #546022=CARTESIAN_POINT('Origin',(35.1811,65.5555,0.)); #546023=CARTESIAN_POINT('',(35.1811,65.5555,0.)); #546024=CARTESIAN_POINT('',(35.1811,65.5555,0.)); #546025=CARTESIAN_POINT('',(35.1811,65.5555,0.035)); #546026=CARTESIAN_POINT('',(35.1811,65.5555,0.035)); #546027=CARTESIAN_POINT('',(35.1811,65.5555,0.)); #546028=CARTESIAN_POINT('Origin',(34.889,65.5555,0.)); #546029=CARTESIAN_POINT('',(34.889,65.5555,0.)); #546030=CARTESIAN_POINT('',(34.889,65.5555,0.)); #546031=CARTESIAN_POINT('',(34.889,65.5555,0.035)); #546032=CARTESIAN_POINT('',(34.889,65.5555,0.035)); #546033=CARTESIAN_POINT('',(34.889,65.5555,0.)); #546034=CARTESIAN_POINT('Origin',(34.889,60.493928,0.)); #546035=CARTESIAN_POINT('',(34.889,60.493928,0.)); #546036=CARTESIAN_POINT('',(34.889,60.493928,0.)); #546037=CARTESIAN_POINT('',(34.889,60.493928,0.035)); #546038=CARTESIAN_POINT('',(34.889,60.493928,0.035)); #546039=CARTESIAN_POINT('',(34.889,60.493928,0.)); #546040=CARTESIAN_POINT('Origin',(34.7619453752955,60.2495543105341,0.)); #546041=CARTESIAN_POINT('Origin',(34.7619453752955,60.2495543105341,0.)); #546042=CARTESIAN_POINT('Origin',(34.7619453752955,60.2495543105341,0.035)); #546043=CARTESIAN_POINT('Origin',(34.7621756493826,63.3966014718761,0.035)); #546044=CARTESIAN_POINT('Origin',(34.7621756493826,63.3966014718761,0.)); #546045=CARTESIAN_POINT('Origin',(38.762,60.25,-200.)); #546046=CARTESIAN_POINT('',(38.622,60.25,0.)); #546047=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #546048=CARTESIAN_POINT('',(38.622,60.25,0.0349999999999895)); #546049=CARTESIAN_POINT('',(38.622,60.25,-200.)); #546050=CARTESIAN_POINT('Origin',(38.762,60.25,0.0349999999999895)); #546051=CARTESIAN_POINT('Origin',(38.783644,59.975,0.)); #546052=CARTESIAN_POINT('',(38.783644,59.975,0.)); #546053=CARTESIAN_POINT('',(38.826397,59.981772,0.)); #546054=CARTESIAN_POINT('',(38.783644,59.975,0.)); #546055=CARTESIAN_POINT('',(38.826397,59.981772,0.035)); #546056=CARTESIAN_POINT('',(38.826397,59.981772,0.)); #546057=CARTESIAN_POINT('',(38.783644,59.975,0.035)); #546058=CARTESIAN_POINT('',(38.783644,59.975,0.035)); #546059=CARTESIAN_POINT('',(38.783644,59.975,0.)); #546060=CARTESIAN_POINT('Origin',(38.762118471131,60.2495872515008,0.)); #546061=CARTESIAN_POINT('',(38.635,60.493928,0.)); #546062=CARTESIAN_POINT('Origin',(38.762118471131,60.2495872515008,0.)); #546063=CARTESIAN_POINT('',(38.635,60.493928,0.035)); #546064=CARTESIAN_POINT('Origin',(38.762118471131,60.2495872515008,0.035)); #546065=CARTESIAN_POINT('',(38.635,60.493928,0.)); #546066=CARTESIAN_POINT('Origin',(38.635,65.5555,0.)); #546067=CARTESIAN_POINT('',(38.635,65.5555,0.)); #546068=CARTESIAN_POINT('',(38.635,65.5555,0.)); #546069=CARTESIAN_POINT('',(38.635,65.5555,0.035)); #546070=CARTESIAN_POINT('',(38.635,65.5555,0.035)); #546071=CARTESIAN_POINT('',(38.635,65.5555,0.)); #546072=CARTESIAN_POINT('Origin',(38.3429,65.5555,0.)); #546073=CARTESIAN_POINT('',(38.3429,65.5555,0.)); #546074=CARTESIAN_POINT('',(38.3429,65.5555,0.)); #546075=CARTESIAN_POINT('',(38.3429,65.5555,0.035)); #546076=CARTESIAN_POINT('',(38.3429,65.5555,0.035)); #546077=CARTESIAN_POINT('',(38.3429,65.5555,0.)); #546078=CARTESIAN_POINT('Origin',(38.3429,66.4445,0.)); #546079=CARTESIAN_POINT('',(38.3429,66.4445,0.)); #546080=CARTESIAN_POINT('',(38.3429,66.4445,0.)); #546081=CARTESIAN_POINT('',(38.3429,66.4445,0.035)); #546082=CARTESIAN_POINT('',(38.3429,66.4445,0.035)); #546083=CARTESIAN_POINT('',(38.3429,66.4445,0.)); #546084=CARTESIAN_POINT('Origin',(39.1811,66.4445,0.)); #546085=CARTESIAN_POINT('',(39.1811,66.4445,0.)); #546086=CARTESIAN_POINT('',(39.1811,66.4445,0.)); #546087=CARTESIAN_POINT('',(39.1811,66.4445,0.035)); #546088=CARTESIAN_POINT('',(39.1811,66.4445,0.035)); #546089=CARTESIAN_POINT('',(39.1811,66.4445,0.)); #546090=CARTESIAN_POINT('Origin',(39.1811,65.5555,0.)); #546091=CARTESIAN_POINT('',(39.1811,65.5555,0.)); #546092=CARTESIAN_POINT('',(39.1811,65.5555,0.)); #546093=CARTESIAN_POINT('',(39.1811,65.5555,0.035)); #546094=CARTESIAN_POINT('',(39.1811,65.5555,0.035)); #546095=CARTESIAN_POINT('',(39.1811,65.5555,0.)); #546096=CARTESIAN_POINT('Origin',(38.889,65.5555,0.)); #546097=CARTESIAN_POINT('',(38.889,65.5555,0.)); #546098=CARTESIAN_POINT('',(38.889,65.5555,0.)); #546099=CARTESIAN_POINT('',(38.889,65.5555,0.035)); #546100=CARTESIAN_POINT('',(38.889,65.5555,0.035)); #546101=CARTESIAN_POINT('',(38.889,65.5555,0.)); #546102=CARTESIAN_POINT('Origin',(38.889,60.493928,0.)); #546103=CARTESIAN_POINT('',(38.889,60.493928,0.)); #546104=CARTESIAN_POINT('',(38.889,60.493928,0.)); #546105=CARTESIAN_POINT('',(38.889,60.493928,0.035)); #546106=CARTESIAN_POINT('',(38.889,60.493928,0.035)); #546107=CARTESIAN_POINT('',(38.889,60.493928,0.)); #546108=CARTESIAN_POINT('Origin',(38.7619453752955,60.2495543105341,0.)); #546109=CARTESIAN_POINT('Origin',(38.7619453752955,60.2495543105341,0.)); #546110=CARTESIAN_POINT('Origin',(38.7619453752955,60.2495543105341,0.035)); #546111=CARTESIAN_POINT('Origin',(38.7621756493826,63.3966014718761,0.035)); #546112=CARTESIAN_POINT('Origin',(38.7621756493826,63.3966014718761,0.)); #546113=CARTESIAN_POINT('Origin',(34.0625,12.25,-200.)); #546114=CARTESIAN_POINT('',(33.9225,12.25,0.)); #546115=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #546116=CARTESIAN_POINT('',(33.9225,12.25,0.0349999999999895)); #546117=CARTESIAN_POINT('',(33.9225,12.25,-200.)); #546118=CARTESIAN_POINT('Origin',(34.0625,12.25,0.0349999999999895)); #546119=CARTESIAN_POINT('Origin',(34.084144,11.975,0.)); #546120=CARTESIAN_POINT('',(34.084144,11.975,0.)); #546121=CARTESIAN_POINT('',(34.126897,11.981772,0.)); #546122=CARTESIAN_POINT('',(34.084144,11.975,0.)); #546123=CARTESIAN_POINT('',(34.126897,11.981772,0.035)); #546124=CARTESIAN_POINT('',(34.126897,11.981772,0.)); #546125=CARTESIAN_POINT('',(34.084144,11.975,0.035)); #546126=CARTESIAN_POINT('',(34.084144,11.975,0.035)); #546127=CARTESIAN_POINT('',(34.084144,11.975,0.)); #546128=CARTESIAN_POINT('Origin',(34.063166927461,12.2496305438086,0.)); #546129=CARTESIAN_POINT('',(33.818572,12.123,0.)); #546130=CARTESIAN_POINT('Origin',(34.063166927461,12.2496305438086,0.)); #546131=CARTESIAN_POINT('',(33.818572,12.123,0.035)); #546132=CARTESIAN_POINT('Origin',(34.063166927461,12.2496305438086,0.035)); #546133=CARTESIAN_POINT('',(33.818572,12.123,0.)); #546134=CARTESIAN_POINT('Origin',(29.939338,12.123,0.)); #546135=CARTESIAN_POINT('',(29.939338,12.123,0.)); #546136=CARTESIAN_POINT('',(29.939338,12.123,0.)); #546137=CARTESIAN_POINT('',(29.939338,12.123,0.035)); #546138=CARTESIAN_POINT('',(29.939338,12.123,0.035)); #546139=CARTESIAN_POINT('',(29.939338,12.123,0.)); #546140=CARTESIAN_POINT('Origin',(29.9393367502996,12.250001515832,0.)); #546141=CARTESIAN_POINT('',(29.849534,12.160197,0.)); #546142=CARTESIAN_POINT('Origin',(29.9393367502996,12.250001515832,0.)); #546143=CARTESIAN_POINT('',(29.849534,12.160197,0.035)); #546144=CARTESIAN_POINT('Origin',(29.9393367502996,12.250001515832,0.035)); #546145=CARTESIAN_POINT('',(29.849534,12.160197,0.)); #546146=CARTESIAN_POINT('Origin',(26.148197,15.861534,0.)); #546147=CARTESIAN_POINT('',(26.148197,15.861534,0.)); #546148=CARTESIAN_POINT('',(26.148197,15.861534,0.)); #546149=CARTESIAN_POINT('',(26.148197,15.861534,0.035)); #546150=CARTESIAN_POINT('',(26.148197,15.861534,0.035)); #546151=CARTESIAN_POINT('',(26.148197,15.861534,0.)); #546152=CARTESIAN_POINT('Origin',(26.238001515832,15.9513367502996,0.)); #546153=CARTESIAN_POINT('',(26.111,15.951338,0.)); #546154=CARTESIAN_POINT('Origin',(26.238001515832,15.9513367502996,0.)); #546155=CARTESIAN_POINT('',(26.111,15.951338,0.035)); #546156=CARTESIAN_POINT('Origin',(26.238001515832,15.9513367502996,0.035)); #546157=CARTESIAN_POINT('',(26.111,15.951338,0.)); #546158=CARTESIAN_POINT('Origin',(26.111,18.5555,0.)); #546159=CARTESIAN_POINT('',(26.111,18.5555,0.)); #546160=CARTESIAN_POINT('',(26.111,18.5555,0.)); #546161=CARTESIAN_POINT('',(26.111,18.5555,0.035)); #546162=CARTESIAN_POINT('',(26.111,18.5555,0.035)); #546163=CARTESIAN_POINT('',(26.111,18.5555,0.)); #546164=CARTESIAN_POINT('Origin',(25.8189,18.5555,0.)); #546165=CARTESIAN_POINT('',(25.8189,18.5555,0.)); #546166=CARTESIAN_POINT('',(25.8189,18.5555,0.)); #546167=CARTESIAN_POINT('',(25.8189,18.5555,0.035)); #546168=CARTESIAN_POINT('',(25.8189,18.5555,0.035)); #546169=CARTESIAN_POINT('',(25.8189,18.5555,0.)); #546170=CARTESIAN_POINT('Origin',(25.8189,19.4445,0.)); #546171=CARTESIAN_POINT('',(25.8189,19.4445,0.)); #546172=CARTESIAN_POINT('',(25.8189,19.4445,0.)); #546173=CARTESIAN_POINT('',(25.8189,19.4445,0.035)); #546174=CARTESIAN_POINT('',(25.8189,19.4445,0.035)); #546175=CARTESIAN_POINT('',(25.8189,19.4445,0.)); #546176=CARTESIAN_POINT('Origin',(26.6571,19.4445,0.)); #546177=CARTESIAN_POINT('',(26.6571,19.4445,0.)); #546178=CARTESIAN_POINT('',(26.6571,19.4445,0.)); #546179=CARTESIAN_POINT('',(26.6571,19.4445,0.035)); #546180=CARTESIAN_POINT('',(26.6571,19.4445,0.035)); #546181=CARTESIAN_POINT('',(26.6571,19.4445,0.)); #546182=CARTESIAN_POINT('Origin',(26.6571,18.5555,0.)); #546183=CARTESIAN_POINT('',(26.6571,18.5555,0.)); #546184=CARTESIAN_POINT('',(26.6571,18.5555,0.)); #546185=CARTESIAN_POINT('',(26.6571,18.5555,0.035)); #546186=CARTESIAN_POINT('',(26.6571,18.5555,0.035)); #546187=CARTESIAN_POINT('',(26.6571,18.5555,0.)); #546188=CARTESIAN_POINT('Origin',(26.365,18.5555,0.)); #546189=CARTESIAN_POINT('',(26.365,18.5555,0.)); #546190=CARTESIAN_POINT('',(26.365,18.5555,0.)); #546191=CARTESIAN_POINT('',(26.365,18.5555,0.035)); #546192=CARTESIAN_POINT('',(26.365,18.5555,0.035)); #546193=CARTESIAN_POINT('',(26.365,18.5555,0.)); #546194=CARTESIAN_POINT('Origin',(26.365,16.003944,0.)); #546195=CARTESIAN_POINT('',(26.365,16.003944,0.)); #546196=CARTESIAN_POINT('',(26.365,16.003944,0.)); #546197=CARTESIAN_POINT('',(26.365,16.003944,0.035)); #546198=CARTESIAN_POINT('',(26.365,16.003944,0.035)); #546199=CARTESIAN_POINT('',(26.365,16.003944,0.)); #546200=CARTESIAN_POINT('Origin',(29.991944,12.377,0.)); #546201=CARTESIAN_POINT('',(29.991944,12.377,0.)); #546202=CARTESIAN_POINT('',(29.991944,12.377,0.)); #546203=CARTESIAN_POINT('',(29.991944,12.377,0.035)); #546204=CARTESIAN_POINT('',(29.991944,12.377,0.035)); #546205=CARTESIAN_POINT('',(29.991944,12.377,0.)); #546206=CARTESIAN_POINT('Origin',(33.818572,12.377,0.)); #546207=CARTESIAN_POINT('',(33.818572,12.377,0.)); #546208=CARTESIAN_POINT('',(33.818572,12.377,0.)); #546209=CARTESIAN_POINT('',(33.818572,12.377,0.035)); #546210=CARTESIAN_POINT('',(33.818572,12.377,0.035)); #546211=CARTESIAN_POINT('',(33.818572,12.377,0.)); #546212=CARTESIAN_POINT('Origin',(34.0632991253016,12.2506246242954,0.)); #546213=CARTESIAN_POINT('',(33.998103,12.518228,0.)); #546214=CARTESIAN_POINT('Origin',(34.0632991253016,12.2506246242954,0.)); #546215=CARTESIAN_POINT('',(33.998103,12.518228,0.035)); #546216=CARTESIAN_POINT('Origin',(34.0632991253016,12.2506246242954,0.035)); #546217=CARTESIAN_POINT('',(33.998103,12.518228,0.)); #546218=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #546219=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #546220=CARTESIAN_POINT('Origin',(34.0625,12.25,0.035)); #546221=CARTESIAN_POINT('Origin',(28.8943857389958,14.6382693906665,0.035)); #546222=CARTESIAN_POINT('Origin',(28.8943857389958,14.6382693906665,0.)); #546223=CARTESIAN_POINT('Origin',(31.5,25.25,-200.)); #546224=CARTESIAN_POINT('',(31.035,25.25,0.)); #546225=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #546226=CARTESIAN_POINT('',(31.035,25.25,0.0349999999999895)); #546227=CARTESIAN_POINT('',(31.035,25.25,-200.)); #546228=CARTESIAN_POINT('Origin',(31.5,25.25,0.0349999999999895)); #546229=CARTESIAN_POINT('Origin',(28.1811,22.5555,0.)); #546230=CARTESIAN_POINT('',(28.1811,22.5555,0.)); #546231=CARTESIAN_POINT('',(28.1811,22.873,0.)); #546232=CARTESIAN_POINT('',(28.1811,22.5555,0.)); #546233=CARTESIAN_POINT('',(28.1811,22.873,0.035)); #546234=CARTESIAN_POINT('',(28.1811,22.873,0.)); #546235=CARTESIAN_POINT('',(28.1811,22.5555,0.035)); #546236=CARTESIAN_POINT('',(28.1811,22.5555,0.035)); #546237=CARTESIAN_POINT('',(28.1811,22.5555,0.)); #546238=CARTESIAN_POINT('Origin',(27.3429,22.5555,0.)); #546239=CARTESIAN_POINT('',(27.3429,22.5555,0.)); #546240=CARTESIAN_POINT('',(27.3429,22.5555,0.)); #546241=CARTESIAN_POINT('',(27.3429,22.5555,0.035)); #546242=CARTESIAN_POINT('',(27.3429,22.5555,0.035)); #546243=CARTESIAN_POINT('',(27.3429,22.5555,0.)); #546244=CARTESIAN_POINT('Origin',(27.3429,23.4445,0.)); #546245=CARTESIAN_POINT('',(27.3429,23.4445,0.)); #546246=CARTESIAN_POINT('',(27.3429,23.4445,0.)); #546247=CARTESIAN_POINT('',(27.3429,23.4445,0.035)); #546248=CARTESIAN_POINT('',(27.3429,23.4445,0.035)); #546249=CARTESIAN_POINT('',(27.3429,23.4445,0.)); #546250=CARTESIAN_POINT('Origin',(28.1811,23.4445,0.)); #546251=CARTESIAN_POINT('',(28.1811,23.4445,0.)); #546252=CARTESIAN_POINT('',(28.1811,23.4445,0.)); #546253=CARTESIAN_POINT('',(28.1811,23.4445,0.035)); #546254=CARTESIAN_POINT('',(28.1811,23.4445,0.035)); #546255=CARTESIAN_POINT('',(28.1811,23.4445,0.)); #546256=CARTESIAN_POINT('Origin',(28.1811,23.127,0.)); #546257=CARTESIAN_POINT('',(28.1811,23.127,0.)); #546258=CARTESIAN_POINT('',(28.1811,23.127,0.)); #546259=CARTESIAN_POINT('',(28.1811,23.127,0.035)); #546260=CARTESIAN_POINT('',(28.1811,23.127,0.035)); #546261=CARTESIAN_POINT('',(28.1811,23.127,0.)); #546262=CARTESIAN_POINT('Origin',(30.447394,23.127,0.)); #546263=CARTESIAN_POINT('',(30.447394,23.127,0.)); #546264=CARTESIAN_POINT('',(30.447394,23.127,0.)); #546265=CARTESIAN_POINT('',(30.447394,23.127,0.035)); #546266=CARTESIAN_POINT('',(30.447394,23.127,0.035)); #546267=CARTESIAN_POINT('',(30.447394,23.127,0.)); #546268=CARTESIAN_POINT('Origin',(31.373,24.052606,0.)); #546269=CARTESIAN_POINT('',(31.373,24.052606,0.)); #546270=CARTESIAN_POINT('',(31.373,24.052606,0.)); #546271=CARTESIAN_POINT('',(31.373,24.052606,0.035)); #546272=CARTESIAN_POINT('',(31.373,24.052606,0.035)); #546273=CARTESIAN_POINT('',(31.373,24.052606,0.)); #546274=CARTESIAN_POINT('Origin',(31.373,24.510569,0.)); #546275=CARTESIAN_POINT('',(31.373,24.510569,0.)); #546276=CARTESIAN_POINT('',(31.373,24.510569,0.)); #546277=CARTESIAN_POINT('',(31.373,24.510569,0.035)); #546278=CARTESIAN_POINT('',(31.373,24.510569,0.035)); #546279=CARTESIAN_POINT('',(31.373,24.510569,0.)); #546280=CARTESIAN_POINT('Origin',(31.5000038341175,25.2503277801282,0.)); #546281=CARTESIAN_POINT('',(31.389819,25.992778,0.)); #546282=CARTESIAN_POINT('Origin',(31.5000038341175,25.2503277801282,0.)); #546283=CARTESIAN_POINT('',(31.389819,25.992778,0.035)); #546284=CARTESIAN_POINT('Origin',(31.5000038341175,25.2503277801282,0.035)); #546285=CARTESIAN_POINT('',(31.389819,25.992778,0.)); #546286=CARTESIAN_POINT('Origin',(31.4999489832548,25.250319660007,0.)); #546287=CARTESIAN_POINT('',(31.627,24.510569,0.)); #546288=CARTESIAN_POINT('Origin',(31.4999489832548,25.250319660007,0.)); #546289=CARTESIAN_POINT('',(31.627,24.510569,0.035)); #546290=CARTESIAN_POINT('Origin',(31.4999489832548,25.250319660007,0.035)); #546291=CARTESIAN_POINT('',(31.627,24.510569,0.)); #546292=CARTESIAN_POINT('Origin',(31.627,24.,0.)); #546293=CARTESIAN_POINT('',(31.627,24.,0.)); #546294=CARTESIAN_POINT('',(31.627,24.,0.)); #546295=CARTESIAN_POINT('',(31.627,24.,0.035)); #546296=CARTESIAN_POINT('',(31.627,24.,0.035)); #546297=CARTESIAN_POINT('',(31.627,24.,0.)); #546298=CARTESIAN_POINT('Origin',(31.4999996912748,23.9999992502996,0.)); #546299=CARTESIAN_POINT('',(31.589803,23.910197,0.)); #546300=CARTESIAN_POINT('Origin',(31.4999996912748,23.9999992502996,0.)); #546301=CARTESIAN_POINT('',(31.589803,23.910197,0.035)); #546302=CARTESIAN_POINT('Origin',(31.4999996912748,23.9999992502996,0.035)); #546303=CARTESIAN_POINT('',(31.589803,23.910197,0.)); #546304=CARTESIAN_POINT('Origin',(30.589803,22.910197,0.)); #546305=CARTESIAN_POINT('',(30.589803,22.910197,0.)); #546306=CARTESIAN_POINT('',(30.589803,22.910197,0.)); #546307=CARTESIAN_POINT('',(30.589803,22.910197,0.035)); #546308=CARTESIAN_POINT('',(30.589803,22.910197,0.035)); #546309=CARTESIAN_POINT('',(30.589803,22.910197,0.)); #546310=CARTESIAN_POINT('Origin',(30.5000007497004,23.0000003087252,0.)); #546311=CARTESIAN_POINT('',(30.5,22.873,0.)); #546312=CARTESIAN_POINT('Origin',(30.5000007497004,23.0000003087252,0.)); #546313=CARTESIAN_POINT('',(30.5,22.873,0.035)); #546314=CARTESIAN_POINT('Origin',(30.5000007497004,23.0000003087252,0.035)); #546315=CARTESIAN_POINT('',(30.5,22.873,0.)); #546316=CARTESIAN_POINT('Origin',(28.1811,22.873,0.)); #546317=CARTESIAN_POINT('',(28.1811,22.873,0.)); #546318=CARTESIAN_POINT('',(28.1811,22.873,0.035)); #546319=CARTESIAN_POINT('Origin',(30.0448539443509,23.7973651612762,0.035)); #546320=CARTESIAN_POINT('Origin',(30.0448539443509,23.7973651612762,0.)); #546321=CARTESIAN_POINT('Origin',(34.,25.25,-200.)); #546322=CARTESIAN_POINT('',(33.535,25.25,0.)); #546323=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #546324=CARTESIAN_POINT('',(33.535,25.25,0.0349999999999895)); #546325=CARTESIAN_POINT('',(33.535,25.25,-200.)); #546326=CARTESIAN_POINT('Origin',(34.,25.25,0.0349999999999895)); #546327=CARTESIAN_POINT('Origin',(34.036844,24.5,0.)); #546328=CARTESIAN_POINT('',(34.036844,24.5,0.)); #546329=CARTESIAN_POINT('',(34.110181,24.507222,0.)); #546330=CARTESIAN_POINT('',(34.036844,24.5,0.)); #546331=CARTESIAN_POINT('',(34.110181,24.507222,0.035)); #546332=CARTESIAN_POINT('',(34.110181,24.507222,0.)); #546333=CARTESIAN_POINT('',(34.036844,24.5,0.035)); #546334=CARTESIAN_POINT('',(34.036844,24.5,0.035)); #546335=CARTESIAN_POINT('',(34.036844,24.5,0.)); #546336=CARTESIAN_POINT('Origin',(34.0000001874156,25.2500005308286,0.)); #546337=CARTESIAN_POINT('',(33.889819,25.992778,0.)); #546338=CARTESIAN_POINT('Origin',(34.0000001874156,25.2500005308286,0.)); #546339=CARTESIAN_POINT('',(33.889819,25.992778,0.035)); #546340=CARTESIAN_POINT('Origin',(34.0000001874156,25.2500005308286,0.035)); #546341=CARTESIAN_POINT('',(33.889819,25.992778,0.)); #546342=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #546343=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #546344=CARTESIAN_POINT('Origin',(34.,25.25,0.035)); #546345=CARTESIAN_POINT('Origin',(33.9983877860344,25.2486960162912,0.035)); #546346=CARTESIAN_POINT('Origin',(33.9983877860344,25.2486960162912,0.)); #546347=CARTESIAN_POINT('Origin',(34.,23.125,-200.)); #546348=CARTESIAN_POINT('',(33.86,23.125,0.)); #546349=CARTESIAN_POINT('Origin',(34.,23.125,0.)); #546350=CARTESIAN_POINT('',(33.86,23.125,0.0349999999999895)); #546351=CARTESIAN_POINT('',(33.86,23.125,-200.)); #546352=CARTESIAN_POINT('Origin',(34.,23.125,0.0349999999999895)); #546353=CARTESIAN_POINT('Origin',(47.6698,17.5585,0.)); #546354=CARTESIAN_POINT('',(47.6698,17.5585,0.)); #546355=CARTESIAN_POINT('',(47.6698,19.2095,0.)); #546356=CARTESIAN_POINT('',(47.6698,17.5585,0.)); #546357=CARTESIAN_POINT('',(47.6698,19.2095,0.035)); #546358=CARTESIAN_POINT('',(47.6698,19.2095,0.)); #546359=CARTESIAN_POINT('',(47.6698,17.5585,0.035)); #546360=CARTESIAN_POINT('',(47.6698,17.5585,0.035)); #546361=CARTESIAN_POINT('',(47.6698,17.5585,0.)); #546362=CARTESIAN_POINT('Origin',(47.0602,17.5585,0.)); #546363=CARTESIAN_POINT('',(47.0602,17.5585,0.)); #546364=CARTESIAN_POINT('',(47.0602,17.5585,0.)); #546365=CARTESIAN_POINT('',(47.0602,17.5585,0.035)); #546366=CARTESIAN_POINT('',(47.0602,17.5585,0.035)); #546367=CARTESIAN_POINT('',(47.0602,17.5585,0.)); #546368=CARTESIAN_POINT('Origin',(47.0602,19.2095,0.)); #546369=CARTESIAN_POINT('',(47.0602,19.2095,0.)); #546370=CARTESIAN_POINT('',(47.0602,19.2095,0.)); #546371=CARTESIAN_POINT('',(47.0602,19.2095,0.035)); #546372=CARTESIAN_POINT('',(47.0602,19.2095,0.035)); #546373=CARTESIAN_POINT('',(47.0602,19.2095,0.)); #546374=CARTESIAN_POINT('Origin',(47.238,19.2095,0.)); #546375=CARTESIAN_POINT('',(47.238,19.2095,0.)); #546376=CARTESIAN_POINT('',(47.238,19.2095,0.)); #546377=CARTESIAN_POINT('',(47.238,19.2095,0.035)); #546378=CARTESIAN_POINT('',(47.238,19.2095,0.035)); #546379=CARTESIAN_POINT('',(47.238,19.2095,0.)); #546380=CARTESIAN_POINT('Origin',(47.238,20.957394,0.)); #546381=CARTESIAN_POINT('',(47.238,20.957394,0.)); #546382=CARTESIAN_POINT('',(47.238,20.957394,0.)); #546383=CARTESIAN_POINT('',(47.238,20.957394,0.035)); #546384=CARTESIAN_POINT('',(47.238,20.957394,0.035)); #546385=CARTESIAN_POINT('',(47.238,20.957394,0.)); #546386=CARTESIAN_POINT('Origin',(46.447394,21.748,0.)); #546387=CARTESIAN_POINT('',(46.447394,21.748,0.)); #546388=CARTESIAN_POINT('',(46.447394,21.748,0.)); #546389=CARTESIAN_POINT('',(46.447394,21.748,0.035)); #546390=CARTESIAN_POINT('',(46.447394,21.748,0.035)); #546391=CARTESIAN_POINT('',(46.447394,21.748,0.)); #546392=CARTESIAN_POINT('Origin',(37.5,21.748,0.)); #546393=CARTESIAN_POINT('',(37.5,21.748,0.)); #546394=CARTESIAN_POINT('',(37.5,21.748,0.)); #546395=CARTESIAN_POINT('',(37.5,21.748,0.035)); #546396=CARTESIAN_POINT('',(37.5,21.748,0.035)); #546397=CARTESIAN_POINT('',(37.5,21.748,0.)); #546398=CARTESIAN_POINT('Origin',(37.4999992502996,21.8750003087252,0.)); #546399=CARTESIAN_POINT('',(37.410197,21.785197,0.)); #546400=CARTESIAN_POINT('Origin',(37.4999992502996,21.8750003087252,0.)); #546401=CARTESIAN_POINT('',(37.410197,21.785197,0.035)); #546402=CARTESIAN_POINT('Origin',(37.4999992502996,21.8750003087252,0.035)); #546403=CARTESIAN_POINT('',(37.410197,21.785197,0.)); #546404=CARTESIAN_POINT('Origin',(36.197394,22.998,0.)); #546405=CARTESIAN_POINT('',(36.197394,22.998,0.)); #546406=CARTESIAN_POINT('',(36.197394,22.998,0.)); #546407=CARTESIAN_POINT('',(36.197394,22.998,0.035)); #546408=CARTESIAN_POINT('',(36.197394,22.998,0.035)); #546409=CARTESIAN_POINT('',(36.197394,22.998,0.)); #546410=CARTESIAN_POINT('Origin',(34.243928,22.998,0.)); #546411=CARTESIAN_POINT('',(34.243928,22.998,0.)); #546412=CARTESIAN_POINT('',(34.243928,22.998,0.)); #546413=CARTESIAN_POINT('',(34.243928,22.998,0.035)); #546414=CARTESIAN_POINT('',(34.243928,22.998,0.035)); #546415=CARTESIAN_POINT('',(34.243928,22.998,0.)); #546416=CARTESIAN_POINT('Origin',(33.9995362283063,23.1250204601791,0.)); #546417=CARTESIAN_POINT('',(33.745147,23.019438,0.)); #546418=CARTESIAN_POINT('Origin',(33.9995362283063,23.1250204601791,0.)); #546419=CARTESIAN_POINT('',(33.745147,23.019438,0.035)); #546420=CARTESIAN_POINT('Origin',(33.9995362283063,23.1250204601791,0.035)); #546421=CARTESIAN_POINT('',(33.745147,23.019438,0.)); #546422=CARTESIAN_POINT('Origin',(33.9996182367391,23.1248222285952,0.)); #546423=CARTESIAN_POINT('',(34.243928,23.252,0.)); #546424=CARTESIAN_POINT('Origin',(33.9996182367391,23.1248222285952,0.)); #546425=CARTESIAN_POINT('',(34.243928,23.252,0.035)); #546426=CARTESIAN_POINT('Origin',(33.9996182367391,23.1248222285952,0.035)); #546427=CARTESIAN_POINT('',(34.243928,23.252,0.)); #546428=CARTESIAN_POINT('Origin',(36.25,23.252,0.)); #546429=CARTESIAN_POINT('',(36.25,23.252,0.)); #546430=CARTESIAN_POINT('',(36.25,23.252,0.)); #546431=CARTESIAN_POINT('',(36.25,23.252,0.035)); #546432=CARTESIAN_POINT('',(36.25,23.252,0.035)); #546433=CARTESIAN_POINT('',(36.25,23.252,0.)); #546434=CARTESIAN_POINT('Origin',(36.2500007497004,23.1249996912748,0.)); #546435=CARTESIAN_POINT('',(36.339803,23.214803,0.)); #546436=CARTESIAN_POINT('Origin',(36.2500007497004,23.1249996912748,0.)); #546437=CARTESIAN_POINT('',(36.339803,23.214803,0.035)); #546438=CARTESIAN_POINT('Origin',(36.2500007497004,23.1249996912748,0.035)); #546439=CARTESIAN_POINT('',(36.339803,23.214803,0.)); #546440=CARTESIAN_POINT('Origin',(37.552606,22.002,0.)); #546441=CARTESIAN_POINT('',(37.552606,22.002,0.)); #546442=CARTESIAN_POINT('',(37.552606,22.002,0.)); #546443=CARTESIAN_POINT('',(37.552606,22.002,0.035)); #546444=CARTESIAN_POINT('',(37.552606,22.002,0.035)); #546445=CARTESIAN_POINT('',(37.552606,22.002,0.)); #546446=CARTESIAN_POINT('Origin',(46.5,22.002,0.)); #546447=CARTESIAN_POINT('',(46.5,22.002,0.)); #546448=CARTESIAN_POINT('',(46.5,22.002,0.)); #546449=CARTESIAN_POINT('',(46.5,22.002,0.035)); #546450=CARTESIAN_POINT('',(46.5,22.002,0.035)); #546451=CARTESIAN_POINT('',(46.5,22.002,0.)); #546452=CARTESIAN_POINT('Origin',(46.5000007497004,21.8749996912748,0.)); #546453=CARTESIAN_POINT('',(46.589803,21.964803,0.)); #546454=CARTESIAN_POINT('Origin',(46.5000007497004,21.8749996912748,0.)); #546455=CARTESIAN_POINT('',(46.589803,21.964803,0.035)); #546456=CARTESIAN_POINT('Origin',(46.5000007497004,21.8749996912748,0.035)); #546457=CARTESIAN_POINT('',(46.589803,21.964803,0.)); #546458=CARTESIAN_POINT('Origin',(47.454803,21.099803,0.)); #546459=CARTESIAN_POINT('',(47.454803,21.099803,0.)); #546460=CARTESIAN_POINT('',(47.454803,21.099803,0.)); #546461=CARTESIAN_POINT('',(47.454803,21.099803,0.035)); #546462=CARTESIAN_POINT('',(47.454803,21.099803,0.035)); #546463=CARTESIAN_POINT('',(47.454803,21.099803,0.)); #546464=CARTESIAN_POINT('Origin',(47.3649996912748,21.0100007497004,0.)); #546465=CARTESIAN_POINT('',(47.492,21.01,0.)); #546466=CARTESIAN_POINT('Origin',(47.3649996912748,21.0100007497004,0.)); #546467=CARTESIAN_POINT('',(47.492,21.01,0.035)); #546468=CARTESIAN_POINT('Origin',(47.3649996912748,21.0100007497004,0.035)); #546469=CARTESIAN_POINT('',(47.492,21.01,0.)); #546470=CARTESIAN_POINT('Origin',(47.492,19.2095,0.)); #546471=CARTESIAN_POINT('',(47.492,19.2095,0.)); #546472=CARTESIAN_POINT('',(47.492,19.2095,0.)); #546473=CARTESIAN_POINT('',(47.492,19.2095,0.035)); #546474=CARTESIAN_POINT('',(47.492,19.2095,0.035)); #546475=CARTESIAN_POINT('',(47.492,19.2095,0.)); #546476=CARTESIAN_POINT('Origin',(47.6698,19.2095,0.)); #546477=CARTESIAN_POINT('',(47.6698,19.2095,0.)); #546478=CARTESIAN_POINT('',(47.6698,19.2095,0.035)); #546479=CARTESIAN_POINT('Origin',(41.9278683542559,21.5147809053963,0.035)); #546480=CARTESIAN_POINT('Origin',(41.9278683542559,21.5147809053963,0.)); #546481=CARTESIAN_POINT('Origin',(36.5,25.25,-200.)); #546482=CARTESIAN_POINT('',(36.035,25.25,0.)); #546483=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #546484=CARTESIAN_POINT('',(36.035,25.25,0.0349999999999895)); #546485=CARTESIAN_POINT('',(36.035,25.25,-200.)); #546486=CARTESIAN_POINT('Origin',(36.5,25.25,0.0349999999999895)); #546487=CARTESIAN_POINT('Origin',(40.6571,22.5555,0.)); #546488=CARTESIAN_POINT('',(40.6571,22.5555,0.)); #546489=CARTESIAN_POINT('',(40.6571,23.4445,0.)); #546490=CARTESIAN_POINT('',(40.6571,22.5555,0.)); #546491=CARTESIAN_POINT('',(40.6571,23.4445,0.035)); #546492=CARTESIAN_POINT('',(40.6571,23.4445,0.)); #546493=CARTESIAN_POINT('',(40.6571,22.5555,0.035)); #546494=CARTESIAN_POINT('',(40.6571,22.5555,0.035)); #546495=CARTESIAN_POINT('',(40.6571,22.5555,0.)); #546496=CARTESIAN_POINT('Origin',(39.8189,22.5555,0.)); #546497=CARTESIAN_POINT('',(39.8189,22.5555,0.)); #546498=CARTESIAN_POINT('',(39.8189,22.5555,0.)); #546499=CARTESIAN_POINT('',(39.8189,22.5555,0.035)); #546500=CARTESIAN_POINT('',(39.8189,22.5555,0.035)); #546501=CARTESIAN_POINT('',(39.8189,22.5555,0.)); #546502=CARTESIAN_POINT('Origin',(39.8189,22.873,0.)); #546503=CARTESIAN_POINT('',(39.8189,22.873,0.)); #546504=CARTESIAN_POINT('',(39.8189,22.873,0.)); #546505=CARTESIAN_POINT('',(39.8189,22.873,0.035)); #546506=CARTESIAN_POINT('',(39.8189,22.873,0.035)); #546507=CARTESIAN_POINT('',(39.8189,22.873,0.)); #546508=CARTESIAN_POINT('Origin',(37.5,22.873,0.)); #546509=CARTESIAN_POINT('',(37.5,22.873,0.)); #546510=CARTESIAN_POINT('',(37.5,22.873,0.)); #546511=CARTESIAN_POINT('',(37.5,22.873,0.035)); #546512=CARTESIAN_POINT('',(37.5,22.873,0.035)); #546513=CARTESIAN_POINT('',(37.5,22.873,0.)); #546514=CARTESIAN_POINT('Origin',(37.4999992502996,23.0000003087252,0.)); #546515=CARTESIAN_POINT('',(37.410197,22.910197,0.)); #546516=CARTESIAN_POINT('Origin',(37.4999992502996,23.0000003087252,0.)); #546517=CARTESIAN_POINT('',(37.410197,22.910197,0.035)); #546518=CARTESIAN_POINT('Origin',(37.4999992502996,23.0000003087252,0.035)); #546519=CARTESIAN_POINT('',(37.410197,22.910197,0.)); #546520=CARTESIAN_POINT('Origin',(36.410197,23.910197,0.)); #546521=CARTESIAN_POINT('',(36.410197,23.910197,0.)); #546522=CARTESIAN_POINT('',(36.410197,23.910197,0.)); #546523=CARTESIAN_POINT('',(36.410197,23.910197,0.035)); #546524=CARTESIAN_POINT('',(36.410197,23.910197,0.035)); #546525=CARTESIAN_POINT('',(36.410197,23.910197,0.)); #546526=CARTESIAN_POINT('Origin',(36.5000003087252,23.9999992502996,0.)); #546527=CARTESIAN_POINT('',(36.373,24.,0.)); #546528=CARTESIAN_POINT('Origin',(36.5000003087252,23.9999992502996,0.)); #546529=CARTESIAN_POINT('',(36.373,24.,0.035)); #546530=CARTESIAN_POINT('Origin',(36.5000003087252,23.9999992502996,0.035)); #546531=CARTESIAN_POINT('',(36.373,24.,0.)); #546532=CARTESIAN_POINT('Origin',(36.373,24.510569,0.)); #546533=CARTESIAN_POINT('',(36.373,24.510569,0.)); #546534=CARTESIAN_POINT('',(36.373,24.510569,0.)); #546535=CARTESIAN_POINT('',(36.373,24.510569,0.035)); #546536=CARTESIAN_POINT('',(36.373,24.510569,0.035)); #546537=CARTESIAN_POINT('',(36.373,24.510569,0.)); #546538=CARTESIAN_POINT('Origin',(36.5000038341175,25.2503277801282,0.)); #546539=CARTESIAN_POINT('',(36.389819,25.992778,0.)); #546540=CARTESIAN_POINT('Origin',(36.5000038341175,25.2503277801282,0.)); #546541=CARTESIAN_POINT('',(36.389819,25.992778,0.035)); #546542=CARTESIAN_POINT('Origin',(36.5000038341175,25.2503277801282,0.035)); #546543=CARTESIAN_POINT('',(36.389819,25.992778,0.)); #546544=CARTESIAN_POINT('Origin',(36.4999489832548,25.250319660007,0.)); #546545=CARTESIAN_POINT('',(36.627,24.510569,0.)); #546546=CARTESIAN_POINT('Origin',(36.4999489832548,25.250319660007,0.)); #546547=CARTESIAN_POINT('',(36.627,24.510569,0.035)); #546548=CARTESIAN_POINT('Origin',(36.4999489832548,25.250319660007,0.035)); #546549=CARTESIAN_POINT('',(36.627,24.510569,0.)); #546550=CARTESIAN_POINT('Origin',(36.627,24.052606,0.)); #546551=CARTESIAN_POINT('',(36.627,24.052606,0.)); #546552=CARTESIAN_POINT('',(36.627,24.052606,0.)); #546553=CARTESIAN_POINT('',(36.627,24.052606,0.035)); #546554=CARTESIAN_POINT('',(36.627,24.052606,0.035)); #546555=CARTESIAN_POINT('',(36.627,24.052606,0.)); #546556=CARTESIAN_POINT('Origin',(37.552606,23.127,0.)); #546557=CARTESIAN_POINT('',(37.552606,23.127,0.)); #546558=CARTESIAN_POINT('',(37.552606,23.127,0.)); #546559=CARTESIAN_POINT('',(37.552606,23.127,0.035)); #546560=CARTESIAN_POINT('',(37.552606,23.127,0.035)); #546561=CARTESIAN_POINT('',(37.552606,23.127,0.)); #546562=CARTESIAN_POINT('Origin',(39.8189,23.127,0.)); #546563=CARTESIAN_POINT('',(39.8189,23.127,0.)); #546564=CARTESIAN_POINT('',(39.8189,23.127,0.)); #546565=CARTESIAN_POINT('',(39.8189,23.127,0.035)); #546566=CARTESIAN_POINT('',(39.8189,23.127,0.035)); #546567=CARTESIAN_POINT('',(39.8189,23.127,0.)); #546568=CARTESIAN_POINT('Origin',(39.8189,23.4445,0.)); #546569=CARTESIAN_POINT('',(39.8189,23.4445,0.)); #546570=CARTESIAN_POINT('',(39.8189,23.4445,0.)); #546571=CARTESIAN_POINT('',(39.8189,23.4445,0.035)); #546572=CARTESIAN_POINT('',(39.8189,23.4445,0.035)); #546573=CARTESIAN_POINT('',(39.8189,23.4445,0.)); #546574=CARTESIAN_POINT('Origin',(40.6571,23.4445,0.)); #546575=CARTESIAN_POINT('',(40.6571,23.4445,0.)); #546576=CARTESIAN_POINT('',(40.6571,23.4445,0.035)); #546577=CARTESIAN_POINT('Origin',(37.9523395706894,23.7973651612762,0.035)); #546578=CARTESIAN_POINT('Origin',(37.9523395706894,23.7973651612762,0.)); #546579=CARTESIAN_POINT('Origin',(48.9398,22.7905,0.)); #546580=CARTESIAN_POINT('',(48.9398,22.7905,0.)); #546581=CARTESIAN_POINT('',(48.9398,24.4415,0.)); #546582=CARTESIAN_POINT('',(48.9398,22.7905,0.)); #546583=CARTESIAN_POINT('',(48.9398,24.4415,0.035)); #546584=CARTESIAN_POINT('',(48.9398,24.4415,0.)); #546585=CARTESIAN_POINT('',(48.9398,22.7905,0.035)); #546586=CARTESIAN_POINT('',(48.9398,22.7905,0.035)); #546587=CARTESIAN_POINT('',(48.9398,22.7905,0.)); #546588=CARTESIAN_POINT('Origin',(48.3302,22.7905,0.)); #546589=CARTESIAN_POINT('',(48.3302,22.7905,0.)); #546590=CARTESIAN_POINT('',(48.3302,22.7905,0.)); #546591=CARTESIAN_POINT('',(48.3302,22.7905,0.035)); #546592=CARTESIAN_POINT('',(48.3302,22.7905,0.035)); #546593=CARTESIAN_POINT('',(48.3302,22.7905,0.)); #546594=CARTESIAN_POINT('Origin',(48.3302,24.4415,0.)); #546595=CARTESIAN_POINT('',(48.3302,24.4415,0.)); #546596=CARTESIAN_POINT('',(48.3302,24.4415,0.)); #546597=CARTESIAN_POINT('',(48.3302,24.4415,0.035)); #546598=CARTESIAN_POINT('',(48.3302,24.4415,0.035)); #546599=CARTESIAN_POINT('',(48.3302,24.4415,0.)); #546600=CARTESIAN_POINT('Origin',(48.508,24.4415,0.)); #546601=CARTESIAN_POINT('',(48.508,24.4415,0.)); #546602=CARTESIAN_POINT('',(48.508,24.4415,0.)); #546603=CARTESIAN_POINT('',(48.508,24.4415,0.035)); #546604=CARTESIAN_POINT('',(48.508,24.4415,0.035)); #546605=CARTESIAN_POINT('',(48.508,24.4415,0.)); #546606=CARTESIAN_POINT('Origin',(48.508,25.623,0.)); #546607=CARTESIAN_POINT('',(48.508,25.623,0.)); #546608=CARTESIAN_POINT('',(48.508,25.623,0.)); #546609=CARTESIAN_POINT('',(48.508,25.623,0.035)); #546610=CARTESIAN_POINT('',(48.508,25.623,0.035)); #546611=CARTESIAN_POINT('',(48.508,25.623,0.)); #546612=CARTESIAN_POINT('Origin',(48.6350003087252,25.6230007497004,0.)); #546613=CARTESIAN_POINT('',(48.545197,25.712803,0.)); #546614=CARTESIAN_POINT('Origin',(48.6350003087252,25.6230007497004,0.)); #546615=CARTESIAN_POINT('',(48.545197,25.712803,0.035)); #546616=CARTESIAN_POINT('Origin',(48.6350003087252,25.6230007497004,0.035)); #546617=CARTESIAN_POINT('',(48.545197,25.712803,0.)); #546618=CARTESIAN_POINT('Origin',(48.8055,25.973106,0.)); #546619=CARTESIAN_POINT('',(48.8055,25.973106,0.)); #546620=CARTESIAN_POINT('',(48.8055,25.973106,0.)); #546621=CARTESIAN_POINT('',(48.8055,25.973106,0.035)); #546622=CARTESIAN_POINT('',(48.8055,25.973106,0.035)); #546623=CARTESIAN_POINT('',(48.8055,25.973106,0.)); #546624=CARTESIAN_POINT('Origin',(48.8055,26.6571,0.)); #546625=CARTESIAN_POINT('',(48.8055,26.6571,0.)); #546626=CARTESIAN_POINT('',(48.8055,26.6571,0.)); #546627=CARTESIAN_POINT('',(48.8055,26.6571,0.035)); #546628=CARTESIAN_POINT('',(48.8055,26.6571,0.035)); #546629=CARTESIAN_POINT('',(48.8055,26.6571,0.)); #546630=CARTESIAN_POINT('Origin',(49.6945,26.6571,0.)); #546631=CARTESIAN_POINT('',(49.6945,26.6571,0.)); #546632=CARTESIAN_POINT('',(49.6945,26.6571,0.)); #546633=CARTESIAN_POINT('',(49.6945,26.6571,0.035)); #546634=CARTESIAN_POINT('',(49.6945,26.6571,0.035)); #546635=CARTESIAN_POINT('',(49.6945,26.6571,0.)); #546636=CARTESIAN_POINT('Origin',(49.6945,25.8189,0.)); #546637=CARTESIAN_POINT('',(49.6945,25.8189,0.)); #546638=CARTESIAN_POINT('',(49.6945,25.8189,0.)); #546639=CARTESIAN_POINT('',(49.6945,25.8189,0.035)); #546640=CARTESIAN_POINT('',(49.6945,25.8189,0.035)); #546641=CARTESIAN_POINT('',(49.6945,25.8189,0.)); #546642=CARTESIAN_POINT('Origin',(49.010506,25.8189,0.)); #546643=CARTESIAN_POINT('',(49.010506,25.8189,0.)); #546644=CARTESIAN_POINT('',(49.010506,25.8189,0.)); #546645=CARTESIAN_POINT('',(49.010506,25.8189,0.035)); #546646=CARTESIAN_POINT('',(49.010506,25.8189,0.035)); #546647=CARTESIAN_POINT('',(49.010506,25.8189,0.)); #546648=CARTESIAN_POINT('Origin',(48.762,25.570394,0.)); #546649=CARTESIAN_POINT('',(48.762,25.570394,0.)); #546650=CARTESIAN_POINT('',(48.762,25.570394,0.)); #546651=CARTESIAN_POINT('',(48.762,25.570394,0.035)); #546652=CARTESIAN_POINT('',(48.762,25.570394,0.035)); #546653=CARTESIAN_POINT('',(48.762,25.570394,0.)); #546654=CARTESIAN_POINT('Origin',(48.762,24.4415,0.)); #546655=CARTESIAN_POINT('',(48.762,24.4415,0.)); #546656=CARTESIAN_POINT('',(48.762,24.4415,0.)); #546657=CARTESIAN_POINT('',(48.762,24.4415,0.035)); #546658=CARTESIAN_POINT('',(48.762,24.4415,0.035)); #546659=CARTESIAN_POINT('',(48.762,24.4415,0.)); #546660=CARTESIAN_POINT('Origin',(48.9398,24.4415,0.)); #546661=CARTESIAN_POINT('',(48.9398,24.4415,0.)); #546662=CARTESIAN_POINT('',(48.9398,24.4415,0.035)); #546663=CARTESIAN_POINT('Origin',(48.8377549446558,24.8590475646484,0.035)); #546664=CARTESIAN_POINT('Origin',(48.8377549446558,24.8590475646484,0.)); #546665=CARTESIAN_POINT('Origin',(47.6698,22.7905,0.)); #546666=CARTESIAN_POINT('',(47.6698,22.7905,0.)); #546667=CARTESIAN_POINT('',(47.6698,24.4415,0.)); #546668=CARTESIAN_POINT('',(47.6698,22.7905,0.)); #546669=CARTESIAN_POINT('',(47.6698,24.4415,0.035)); #546670=CARTESIAN_POINT('',(47.6698,24.4415,0.)); #546671=CARTESIAN_POINT('',(47.6698,22.7905,0.035)); #546672=CARTESIAN_POINT('',(47.6698,22.7905,0.035)); #546673=CARTESIAN_POINT('',(47.6698,22.7905,0.)); #546674=CARTESIAN_POINT('Origin',(47.0602,22.7905,0.)); #546675=CARTESIAN_POINT('',(47.0602,22.7905,0.)); #546676=CARTESIAN_POINT('',(47.0602,22.7905,0.)); #546677=CARTESIAN_POINT('',(47.0602,22.7905,0.035)); #546678=CARTESIAN_POINT('',(47.0602,22.7905,0.035)); #546679=CARTESIAN_POINT('',(47.0602,22.7905,0.)); #546680=CARTESIAN_POINT('Origin',(47.0602,24.4415,0.)); #546681=CARTESIAN_POINT('',(47.0602,24.4415,0.)); #546682=CARTESIAN_POINT('',(47.0602,24.4415,0.)); #546683=CARTESIAN_POINT('',(47.0602,24.4415,0.035)); #546684=CARTESIAN_POINT('',(47.0602,24.4415,0.035)); #546685=CARTESIAN_POINT('',(47.0602,24.4415,0.)); #546686=CARTESIAN_POINT('Origin',(47.238,24.4415,0.)); #546687=CARTESIAN_POINT('',(47.238,24.4415,0.)); #546688=CARTESIAN_POINT('',(47.238,24.4415,0.)); #546689=CARTESIAN_POINT('',(47.238,24.4415,0.035)); #546690=CARTESIAN_POINT('',(47.238,24.4415,0.035)); #546691=CARTESIAN_POINT('',(47.238,24.4415,0.)); #546692=CARTESIAN_POINT('Origin',(47.238,25.570394,0.)); #546693=CARTESIAN_POINT('',(47.238,25.570394,0.)); #546694=CARTESIAN_POINT('',(47.238,25.570394,0.)); #546695=CARTESIAN_POINT('',(47.238,25.570394,0.035)); #546696=CARTESIAN_POINT('',(47.238,25.570394,0.035)); #546697=CARTESIAN_POINT('',(47.238,25.570394,0.)); #546698=CARTESIAN_POINT('Origin',(46.989494,25.8189,0.)); #546699=CARTESIAN_POINT('',(46.989494,25.8189,0.)); #546700=CARTESIAN_POINT('',(46.989494,25.8189,0.)); #546701=CARTESIAN_POINT('',(46.989494,25.8189,0.035)); #546702=CARTESIAN_POINT('',(46.989494,25.8189,0.035)); #546703=CARTESIAN_POINT('',(46.989494,25.8189,0.)); #546704=CARTESIAN_POINT('Origin',(46.3055,25.8189,0.)); #546705=CARTESIAN_POINT('',(46.3055,25.8189,0.)); #546706=CARTESIAN_POINT('',(46.3055,25.8189,0.)); #546707=CARTESIAN_POINT('',(46.3055,25.8189,0.035)); #546708=CARTESIAN_POINT('',(46.3055,25.8189,0.035)); #546709=CARTESIAN_POINT('',(46.3055,25.8189,0.)); #546710=CARTESIAN_POINT('Origin',(46.3055,26.6571,0.)); #546711=CARTESIAN_POINT('',(46.3055,26.6571,0.)); #546712=CARTESIAN_POINT('',(46.3055,26.6571,0.)); #546713=CARTESIAN_POINT('',(46.3055,26.6571,0.035)); #546714=CARTESIAN_POINT('',(46.3055,26.6571,0.035)); #546715=CARTESIAN_POINT('',(46.3055,26.6571,0.)); #546716=CARTESIAN_POINT('Origin',(47.1945,26.6571,0.)); #546717=CARTESIAN_POINT('',(47.1945,26.6571,0.)); #546718=CARTESIAN_POINT('',(47.1945,26.6571,0.)); #546719=CARTESIAN_POINT('',(47.1945,26.6571,0.035)); #546720=CARTESIAN_POINT('',(47.1945,26.6571,0.035)); #546721=CARTESIAN_POINT('',(47.1945,26.6571,0.)); #546722=CARTESIAN_POINT('Origin',(47.1945,25.973106,0.)); #546723=CARTESIAN_POINT('',(47.1945,25.973106,0.)); #546724=CARTESIAN_POINT('',(47.1945,25.973106,0.)); #546725=CARTESIAN_POINT('',(47.1945,25.973106,0.035)); #546726=CARTESIAN_POINT('',(47.1945,25.973106,0.035)); #546727=CARTESIAN_POINT('',(47.1945,25.973106,0.)); #546728=CARTESIAN_POINT('Origin',(47.454803,25.712803,0.)); #546729=CARTESIAN_POINT('',(47.454803,25.712803,0.)); #546730=CARTESIAN_POINT('',(47.454803,25.712803,0.)); #546731=CARTESIAN_POINT('',(47.454803,25.712803,0.035)); #546732=CARTESIAN_POINT('',(47.454803,25.712803,0.035)); #546733=CARTESIAN_POINT('',(47.454803,25.712803,0.)); #546734=CARTESIAN_POINT('Origin',(47.3649996912748,25.6230007497004,0.)); #546735=CARTESIAN_POINT('',(47.492,25.623,0.)); #546736=CARTESIAN_POINT('Origin',(47.3649996912748,25.6230007497004,0.)); #546737=CARTESIAN_POINT('',(47.492,25.623,0.035)); #546738=CARTESIAN_POINT('Origin',(47.3649996912748,25.6230007497004,0.035)); #546739=CARTESIAN_POINT('',(47.492,25.623,0.)); #546740=CARTESIAN_POINT('Origin',(47.492,24.4415,0.)); #546741=CARTESIAN_POINT('',(47.492,24.4415,0.)); #546742=CARTESIAN_POINT('',(47.492,24.4415,0.)); #546743=CARTESIAN_POINT('',(47.492,24.4415,0.035)); #546744=CARTESIAN_POINT('',(47.492,24.4415,0.035)); #546745=CARTESIAN_POINT('',(47.492,24.4415,0.)); #546746=CARTESIAN_POINT('Origin',(47.6698,24.4415,0.)); #546747=CARTESIAN_POINT('',(47.6698,24.4415,0.)); #546748=CARTESIAN_POINT('',(47.6698,24.4415,0.035)); #546749=CARTESIAN_POINT('Origin',(47.1622450553442,24.8590475646484,0.035)); #546750=CARTESIAN_POINT('Origin',(47.1622450553442,24.8590475646484,0.)); #546751=CARTESIAN_POINT('Origin',(30.19,45.,-200.)); #546752=CARTESIAN_POINT('',(29.625,45.,0.)); #546753=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #546754=CARTESIAN_POINT('',(29.625,45.,0.0349999999999895)); #546755=CARTESIAN_POINT('',(29.625,45.,-200.)); #546756=CARTESIAN_POINT('Origin',(30.19,45.,0.0349999999999895)); #546757=CARTESIAN_POINT('Origin',(36.9255,26.5605,0.)); #546758=CARTESIAN_POINT('',(36.9255,26.5605,0.)); #546759=CARTESIAN_POINT('',(36.9309,26.561103,0.)); #546760=CARTESIAN_POINT('',(36.9255,26.5605,0.)); #546761=CARTESIAN_POINT('',(36.9309,26.561103,0.035)); #546762=CARTESIAN_POINT('',(36.9309,26.561103,0.)); #546763=CARTESIAN_POINT('',(36.9255,26.5605,0.035)); #546764=CARTESIAN_POINT('',(36.9255,26.5605,0.035)); #546765=CARTESIAN_POINT('',(36.9255,26.5605,0.)); #546766=CARTESIAN_POINT('Origin',(35.0625,26.5605,0.)); #546767=CARTESIAN_POINT('',(35.0625,26.5605,0.)); #546768=CARTESIAN_POINT('',(35.0625,26.5605,0.)); #546769=CARTESIAN_POINT('',(35.0625,26.5605,0.035)); #546770=CARTESIAN_POINT('',(35.0625,26.5605,0.035)); #546771=CARTESIAN_POINT('',(35.0625,26.5605,0.)); #546772=CARTESIAN_POINT('Origin',(35.0624992502996,26.6875003087252,0.)); #546773=CARTESIAN_POINT('',(34.972697,26.597697,0.)); #546774=CARTESIAN_POINT('Origin',(35.0624992502996,26.6875003087252,0.)); #546775=CARTESIAN_POINT('',(34.972697,26.597697,0.035)); #546776=CARTESIAN_POINT('Origin',(35.0624992502996,26.6875003087252,0.035)); #546777=CARTESIAN_POINT('',(34.972697,26.597697,0.)); #546778=CARTESIAN_POINT('Origin',(33.910197,27.660197,0.)); #546779=CARTESIAN_POINT('',(33.910197,27.660197,0.)); #546780=CARTESIAN_POINT('',(33.910197,27.660197,0.)); #546781=CARTESIAN_POINT('',(33.910197,27.660197,0.035)); #546782=CARTESIAN_POINT('',(33.910197,27.660197,0.035)); #546783=CARTESIAN_POINT('',(33.910197,27.660197,0.)); #546784=CARTESIAN_POINT('Origin',(34.0000003087252,27.7499992502996,0.)); #546785=CARTESIAN_POINT('',(33.873,27.75,0.)); #546786=CARTESIAN_POINT('Origin',(34.0000003087252,27.7499992502996,0.)); #546787=CARTESIAN_POINT('',(33.873,27.75,0.035)); #546788=CARTESIAN_POINT('Origin',(34.0000003087252,27.7499992502996,0.035)); #546789=CARTESIAN_POINT('',(33.873,27.75,0.)); #546790=CARTESIAN_POINT('Origin',(33.873,34.697394,0.)); #546791=CARTESIAN_POINT('',(33.873,34.697394,0.)); #546792=CARTESIAN_POINT('',(33.873,34.697394,0.)); #546793=CARTESIAN_POINT('',(33.873,34.697394,0.035)); #546794=CARTESIAN_POINT('',(33.873,34.697394,0.035)); #546795=CARTESIAN_POINT('',(33.873,34.697394,0.)); #546796=CARTESIAN_POINT('Origin',(30.100197,38.470197,0.)); #546797=CARTESIAN_POINT('',(30.100197,38.470197,0.)); #546798=CARTESIAN_POINT('',(30.100197,38.470197,0.)); #546799=CARTESIAN_POINT('',(30.100197,38.470197,0.035)); #546800=CARTESIAN_POINT('',(30.100197,38.470197,0.035)); #546801=CARTESIAN_POINT('',(30.100197,38.470197,0.)); #546802=CARTESIAN_POINT('Origin',(30.1900003087252,38.5599992502996,0.)); #546803=CARTESIAN_POINT('',(30.063,38.56,0.)); #546804=CARTESIAN_POINT('Origin',(30.1900003087252,38.5599992502996,0.)); #546805=CARTESIAN_POINT('',(30.063,38.56,0.035)); #546806=CARTESIAN_POINT('Origin',(30.1900003087252,38.5599992502996,0.035)); #546807=CARTESIAN_POINT('',(30.063,38.56,0.)); #546808=CARTESIAN_POINT('Origin',(30.063,44.1,0.)); #546809=CARTESIAN_POINT('',(30.063,44.1,0.)); #546810=CARTESIAN_POINT('',(30.063,44.1,0.)); #546811=CARTESIAN_POINT('',(30.063,44.1,0.035)); #546812=CARTESIAN_POINT('',(30.063,44.1,0.035)); #546813=CARTESIAN_POINT('',(30.063,44.1,0.)); #546814=CARTESIAN_POINT('Origin',(29.29,44.1,0.)); #546815=CARTESIAN_POINT('',(29.29,44.1,0.)); #546816=CARTESIAN_POINT('',(29.29,44.1,0.)); #546817=CARTESIAN_POINT('',(29.29,44.1,0.035)); #546818=CARTESIAN_POINT('',(29.29,44.1,0.035)); #546819=CARTESIAN_POINT('',(29.29,44.1,0.)); #546820=CARTESIAN_POINT('Origin',(29.29,45.9,0.)); #546821=CARTESIAN_POINT('',(29.29,45.9,0.)); #546822=CARTESIAN_POINT('',(29.29,45.9,0.)); #546823=CARTESIAN_POINT('',(29.29,45.9,0.035)); #546824=CARTESIAN_POINT('',(29.29,45.9,0.035)); #546825=CARTESIAN_POINT('',(29.29,45.9,0.)); #546826=CARTESIAN_POINT('Origin',(31.09,45.9,0.)); #546827=CARTESIAN_POINT('',(31.09,45.9,0.)); #546828=CARTESIAN_POINT('',(31.09,45.9,0.)); #546829=CARTESIAN_POINT('',(31.09,45.9,0.035)); #546830=CARTESIAN_POINT('',(31.09,45.9,0.035)); #546831=CARTESIAN_POINT('',(31.09,45.9,0.)); #546832=CARTESIAN_POINT('Origin',(31.09,44.1,0.)); #546833=CARTESIAN_POINT('',(31.09,44.1,0.)); #546834=CARTESIAN_POINT('',(31.09,44.1,0.)); #546835=CARTESIAN_POINT('',(31.09,44.1,0.035)); #546836=CARTESIAN_POINT('',(31.09,44.1,0.035)); #546837=CARTESIAN_POINT('',(31.09,44.1,0.)); #546838=CARTESIAN_POINT('Origin',(30.317,44.1,0.)); #546839=CARTESIAN_POINT('',(30.317,44.1,0.)); #546840=CARTESIAN_POINT('',(30.317,44.1,0.)); #546841=CARTESIAN_POINT('',(30.317,44.1,0.035)); #546842=CARTESIAN_POINT('',(30.317,44.1,0.035)); #546843=CARTESIAN_POINT('',(30.317,44.1,0.)); #546844=CARTESIAN_POINT('Origin',(30.317,38.612606,0.)); #546845=CARTESIAN_POINT('',(30.317,38.612606,0.)); #546846=CARTESIAN_POINT('',(30.317,38.612606,0.)); #546847=CARTESIAN_POINT('',(30.317,38.612606,0.035)); #546848=CARTESIAN_POINT('',(30.317,38.612606,0.035)); #546849=CARTESIAN_POINT('',(30.317,38.612606,0.)); #546850=CARTESIAN_POINT('Origin',(34.089803,34.839803,0.)); #546851=CARTESIAN_POINT('',(34.089803,34.839803,0.)); #546852=CARTESIAN_POINT('',(34.089803,34.839803,0.)); #546853=CARTESIAN_POINT('',(34.089803,34.839803,0.035)); #546854=CARTESIAN_POINT('',(34.089803,34.839803,0.035)); #546855=CARTESIAN_POINT('',(34.089803,34.839803,0.)); #546856=CARTESIAN_POINT('Origin',(33.9999996912748,34.7500007497004,0.)); #546857=CARTESIAN_POINT('',(34.127,34.75,0.)); #546858=CARTESIAN_POINT('Origin',(33.9999996912748,34.7500007497004,0.)); #546859=CARTESIAN_POINT('',(34.127,34.75,0.035)); #546860=CARTESIAN_POINT('Origin',(33.9999996912748,34.7500007497004,0.035)); #546861=CARTESIAN_POINT('',(34.127,34.75,0.)); #546862=CARTESIAN_POINT('Origin',(34.127,27.802606,0.)); #546863=CARTESIAN_POINT('',(34.127,27.802606,0.)); #546864=CARTESIAN_POINT('',(34.127,27.802606,0.)); #546865=CARTESIAN_POINT('',(34.127,27.802606,0.035)); #546866=CARTESIAN_POINT('',(34.127,27.802606,0.035)); #546867=CARTESIAN_POINT('',(34.127,27.802606,0.)); #546868=CARTESIAN_POINT('Origin',(35.115106,26.8145,0.)); #546869=CARTESIAN_POINT('',(35.115106,26.8145,0.)); #546870=CARTESIAN_POINT('',(35.115106,26.8145,0.)); #546871=CARTESIAN_POINT('',(35.115106,26.8145,0.035)); #546872=CARTESIAN_POINT('',(35.115106,26.8145,0.035)); #546873=CARTESIAN_POINT('',(35.115106,26.8145,0.)); #546874=CARTESIAN_POINT('Origin',(36.872894,26.8145,0.)); #546875=CARTESIAN_POINT('',(36.872894,26.8145,0.)); #546876=CARTESIAN_POINT('',(36.872894,26.8145,0.)); #546877=CARTESIAN_POINT('',(36.872894,26.8145,0.035)); #546878=CARTESIAN_POINT('',(36.872894,26.8145,0.035)); #546879=CARTESIAN_POINT('',(36.872894,26.8145,0.)); #546880=CARTESIAN_POINT('Origin',(37.910197,27.851803,0.)); #546881=CARTESIAN_POINT('',(37.910197,27.851803,0.)); #546882=CARTESIAN_POINT('',(37.910197,27.851803,0.)); #546883=CARTESIAN_POINT('',(37.910197,27.851803,0.035)); #546884=CARTESIAN_POINT('',(37.910197,27.851803,0.035)); #546885=CARTESIAN_POINT('',(37.910197,27.851803,0.)); #546886=CARTESIAN_POINT('Origin',(37.9999992502996,27.7619996912748,0.)); #546887=CARTESIAN_POINT('',(38.,27.889,0.)); #546888=CARTESIAN_POINT('Origin',(37.9999992502996,27.7619996912748,0.)); #546889=CARTESIAN_POINT('',(38.,27.889,0.035)); #546890=CARTESIAN_POINT('Origin',(37.9999992502996,27.7619996912748,0.035)); #546891=CARTESIAN_POINT('',(38.,27.889,0.)); #546892=CARTESIAN_POINT('Origin',(46.3055,27.889,0.)); #546893=CARTESIAN_POINT('',(46.3055,27.889,0.)); #546894=CARTESIAN_POINT('',(46.3055,27.889,0.)); #546895=CARTESIAN_POINT('',(46.3055,27.889,0.035)); #546896=CARTESIAN_POINT('',(46.3055,27.889,0.035)); #546897=CARTESIAN_POINT('',(46.3055,27.889,0.)); #546898=CARTESIAN_POINT('Origin',(46.3055,28.1811,0.)); #546899=CARTESIAN_POINT('',(46.3055,28.1811,0.)); #546900=CARTESIAN_POINT('',(46.3055,28.1811,0.)); #546901=CARTESIAN_POINT('',(46.3055,28.1811,0.035)); #546902=CARTESIAN_POINT('',(46.3055,28.1811,0.035)); #546903=CARTESIAN_POINT('',(46.3055,28.1811,0.)); #546904=CARTESIAN_POINT('Origin',(47.1945,28.1811,0.)); #546905=CARTESIAN_POINT('',(47.1945,28.1811,0.)); #546906=CARTESIAN_POINT('',(47.1945,28.1811,0.)); #546907=CARTESIAN_POINT('',(47.1945,28.1811,0.035)); #546908=CARTESIAN_POINT('',(47.1945,28.1811,0.035)); #546909=CARTESIAN_POINT('',(47.1945,28.1811,0.)); #546910=CARTESIAN_POINT('Origin',(47.1945,27.889,0.)); #546911=CARTESIAN_POINT('',(47.1945,27.889,0.)); #546912=CARTESIAN_POINT('',(47.1945,27.889,0.)); #546913=CARTESIAN_POINT('',(47.1945,27.889,0.035)); #546914=CARTESIAN_POINT('',(47.1945,27.889,0.035)); #546915=CARTESIAN_POINT('',(47.1945,27.889,0.)); #546916=CARTESIAN_POINT('Origin',(48.8055,27.889,0.)); #546917=CARTESIAN_POINT('',(48.8055,27.889,0.)); #546918=CARTESIAN_POINT('',(48.8055,27.889,0.)); #546919=CARTESIAN_POINT('',(48.8055,27.889,0.035)); #546920=CARTESIAN_POINT('',(48.8055,27.889,0.035)); #546921=CARTESIAN_POINT('',(48.8055,27.889,0.)); #546922=CARTESIAN_POINT('Origin',(48.8055,28.1811,0.)); #546923=CARTESIAN_POINT('',(48.8055,28.1811,0.)); #546924=CARTESIAN_POINT('',(48.8055,28.1811,0.)); #546925=CARTESIAN_POINT('',(48.8055,28.1811,0.035)); #546926=CARTESIAN_POINT('',(48.8055,28.1811,0.035)); #546927=CARTESIAN_POINT('',(48.8055,28.1811,0.)); #546928=CARTESIAN_POINT('Origin',(49.6945,28.1811,0.)); #546929=CARTESIAN_POINT('',(49.6945,28.1811,0.)); #546930=CARTESIAN_POINT('',(49.6945,28.1811,0.)); #546931=CARTESIAN_POINT('',(49.6945,28.1811,0.035)); #546932=CARTESIAN_POINT('',(49.6945,28.1811,0.035)); #546933=CARTESIAN_POINT('',(49.6945,28.1811,0.)); #546934=CARTESIAN_POINT('Origin',(49.6945,27.3429,0.)); #546935=CARTESIAN_POINT('',(49.6945,27.3429,0.)); #546936=CARTESIAN_POINT('',(49.6945,27.3429,0.)); #546937=CARTESIAN_POINT('',(49.6945,27.3429,0.035)); #546938=CARTESIAN_POINT('',(49.6945,27.3429,0.035)); #546939=CARTESIAN_POINT('',(49.6945,27.3429,0.)); #546940=CARTESIAN_POINT('Origin',(48.8055,27.3429,0.)); #546941=CARTESIAN_POINT('',(48.8055,27.3429,0.)); #546942=CARTESIAN_POINT('',(48.8055,27.3429,0.)); #546943=CARTESIAN_POINT('',(48.8055,27.3429,0.035)); #546944=CARTESIAN_POINT('',(48.8055,27.3429,0.035)); #546945=CARTESIAN_POINT('',(48.8055,27.3429,0.)); #546946=CARTESIAN_POINT('Origin',(48.8055,27.635,0.)); #546947=CARTESIAN_POINT('',(48.8055,27.635,0.)); #546948=CARTESIAN_POINT('',(48.8055,27.635,0.)); #546949=CARTESIAN_POINT('',(48.8055,27.635,0.035)); #546950=CARTESIAN_POINT('',(48.8055,27.635,0.035)); #546951=CARTESIAN_POINT('',(48.8055,27.635,0.)); #546952=CARTESIAN_POINT('Origin',(47.1945,27.635,0.)); #546953=CARTESIAN_POINT('',(47.1945,27.635,0.)); #546954=CARTESIAN_POINT('',(47.1945,27.635,0.)); #546955=CARTESIAN_POINT('',(47.1945,27.635,0.035)); #546956=CARTESIAN_POINT('',(47.1945,27.635,0.035)); #546957=CARTESIAN_POINT('',(47.1945,27.635,0.)); #546958=CARTESIAN_POINT('Origin',(47.1945,27.3429,0.)); #546959=CARTESIAN_POINT('',(47.1945,27.3429,0.)); #546960=CARTESIAN_POINT('',(47.1945,27.3429,0.)); #546961=CARTESIAN_POINT('',(47.1945,27.3429,0.035)); #546962=CARTESIAN_POINT('',(47.1945,27.3429,0.035)); #546963=CARTESIAN_POINT('',(47.1945,27.3429,0.)); #546964=CARTESIAN_POINT('Origin',(46.3055,27.3429,0.)); #546965=CARTESIAN_POINT('',(46.3055,27.3429,0.)); #546966=CARTESIAN_POINT('',(46.3055,27.3429,0.)); #546967=CARTESIAN_POINT('',(46.3055,27.3429,0.035)); #546968=CARTESIAN_POINT('',(46.3055,27.3429,0.035)); #546969=CARTESIAN_POINT('',(46.3055,27.3429,0.)); #546970=CARTESIAN_POINT('Origin',(46.3055,27.635,0.)); #546971=CARTESIAN_POINT('',(46.3055,27.635,0.)); #546972=CARTESIAN_POINT('',(46.3055,27.635,0.)); #546973=CARTESIAN_POINT('',(46.3055,27.635,0.035)); #546974=CARTESIAN_POINT('',(46.3055,27.635,0.035)); #546975=CARTESIAN_POINT('',(46.3055,27.635,0.)); #546976=CARTESIAN_POINT('Origin',(38.052606,27.635,0.)); #546977=CARTESIAN_POINT('',(38.052606,27.635,0.)); #546978=CARTESIAN_POINT('',(38.052606,27.635,0.)); #546979=CARTESIAN_POINT('',(38.052606,27.635,0.035)); #546980=CARTESIAN_POINT('',(38.052606,27.635,0.035)); #546981=CARTESIAN_POINT('',(38.052606,27.635,0.)); #546982=CARTESIAN_POINT('Origin',(37.015303,26.597697,0.)); #546983=CARTESIAN_POINT('',(37.015303,26.597697,0.)); #546984=CARTESIAN_POINT('',(37.015303,26.597697,0.)); #546985=CARTESIAN_POINT('',(37.015303,26.597697,0.035)); #546986=CARTESIAN_POINT('',(37.015303,26.597697,0.035)); #546987=CARTESIAN_POINT('',(37.015303,26.597697,0.)); #546988=CARTESIAN_POINT('Origin',(36.9261159937679,26.6877707078348,0.)); #546989=CARTESIAN_POINT('Origin',(36.9261159937679,26.6877707078348,0.)); #546990=CARTESIAN_POINT('Origin',(36.9261159937679,26.6877707078348,0.035)); #546991=CARTESIAN_POINT('Origin',(36.5128034977283,32.9527516038457,0.035)); #546992=CARTESIAN_POINT('Origin',(36.5128034977283,32.9527516038457,0.)); #546993=CARTESIAN_POINT('Origin',(26.,31.,-200.)); #546994=CARTESIAN_POINT('',(25.86,31.,0.)); #546995=CARTESIAN_POINT('Origin',(26.,31.,0.)); #546996=CARTESIAN_POINT('',(25.86,31.,0.0349999999999895)); #546997=CARTESIAN_POINT('',(25.86,31.,-200.)); #546998=CARTESIAN_POINT('Origin',(26.,31.,0.0349999999999895)); #546999=CARTESIAN_POINT('Origin',(26.,36.,-200.)); #547000=CARTESIAN_POINT('',(25.86,36.,0.)); #547001=CARTESIAN_POINT('Origin',(26.,36.,0.)); #547002=CARTESIAN_POINT('',(25.86,36.,0.0349999999999895)); #547003=CARTESIAN_POINT('',(25.86,36.,-200.)); #547004=CARTESIAN_POINT('Origin',(26.,36.,0.0349999999999895)); #547005=CARTESIAN_POINT('Origin',(26.021644,30.725,0.)); #547006=CARTESIAN_POINT('',(26.021644,30.725,0.)); #547007=CARTESIAN_POINT('',(26.064397,30.731772,0.)); #547008=CARTESIAN_POINT('',(26.021644,30.725,0.)); #547009=CARTESIAN_POINT('',(26.064397,30.731772,0.035)); #547010=CARTESIAN_POINT('',(26.064397,30.731772,0.)); #547011=CARTESIAN_POINT('',(26.021644,30.725,0.035)); #547012=CARTESIAN_POINT('',(26.021644,30.725,0.035)); #547013=CARTESIAN_POINT('',(26.021644,30.725,0.)); #547014=CARTESIAN_POINT('Origin',(26.000118471131,30.9995872515008,0.)); #547015=CARTESIAN_POINT('',(25.873,31.243928,0.)); #547016=CARTESIAN_POINT('Origin',(26.000118471131,30.9995872515008,0.)); #547017=CARTESIAN_POINT('',(25.873,31.243928,0.035)); #547018=CARTESIAN_POINT('Origin',(26.000118471131,30.9995872515008,0.035)); #547019=CARTESIAN_POINT('',(25.873,31.243928,0.)); #547020=CARTESIAN_POINT('Origin',(25.873,35.756072,0.)); #547021=CARTESIAN_POINT('',(25.873,35.756072,0.)); #547022=CARTESIAN_POINT('',(25.873,35.756072,0.)); #547023=CARTESIAN_POINT('',(25.873,35.756072,0.035)); #547024=CARTESIAN_POINT('',(25.873,35.756072,0.035)); #547025=CARTESIAN_POINT('',(25.873,35.756072,0.)); #547026=CARTESIAN_POINT('Origin',(26.0000204601791,36.0004637716938,0.)); #547027=CARTESIAN_POINT('',(25.894438,36.254853,0.)); #547028=CARTESIAN_POINT('Origin',(26.0000204601791,36.0004637716938,0.)); #547029=CARTESIAN_POINT('',(25.894438,36.254853,0.035)); #547030=CARTESIAN_POINT('Origin',(26.0000204601791,36.0004637716938,0.035)); #547031=CARTESIAN_POINT('',(25.894438,36.254853,0.)); #547032=CARTESIAN_POINT('Origin',(25.9998222285952,36.000381763261,0.)); #547033=CARTESIAN_POINT('',(26.127,35.756072,0.)); #547034=CARTESIAN_POINT('Origin',(25.9998222285952,36.000381763261,0.)); #547035=CARTESIAN_POINT('',(26.127,35.756072,0.035)); #547036=CARTESIAN_POINT('Origin',(25.9998222285952,36.000381763261,0.035)); #547037=CARTESIAN_POINT('',(26.127,35.756072,0.)); #547038=CARTESIAN_POINT('Origin',(26.127,31.243928,0.)); #547039=CARTESIAN_POINT('',(26.127,31.243928,0.)); #547040=CARTESIAN_POINT('',(26.127,31.243928,0.)); #547041=CARTESIAN_POINT('',(26.127,31.243928,0.035)); #547042=CARTESIAN_POINT('',(26.127,31.243928,0.035)); #547043=CARTESIAN_POINT('',(26.127,31.243928,0.)); #547044=CARTESIAN_POINT('Origin',(25.9999453752955,30.999554310534,0.)); #547045=CARTESIAN_POINT('Origin',(25.9999453752955,30.999554310534,0.)); #547046=CARTESIAN_POINT('Origin',(25.9999453752955,30.999554310534,0.035)); #547047=CARTESIAN_POINT('Origin',(25.9996864506156,33.49980383149,0.035)); #547048=CARTESIAN_POINT('Origin',(25.9996864506156,33.49980383149,0.)); #547049=CARTESIAN_POINT('Origin',(47.905,58.75,-200.)); #547050=CARTESIAN_POINT('',(47.765,58.75,0.)); #547051=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #547052=CARTESIAN_POINT('',(47.765,58.75,0.0349999999999895)); #547053=CARTESIAN_POINT('',(47.765,58.75,-200.)); #547054=CARTESIAN_POINT('Origin',(47.905,58.75,0.0349999999999895)); #547055=CARTESIAN_POINT('Origin',(48.205,58.15,0.)); #547056=CARTESIAN_POINT('',(48.205,58.15,0.)); #547057=CARTESIAN_POINT('',(48.205,59.35,0.)); #547058=CARTESIAN_POINT('',(48.205,58.15,0.)); #547059=CARTESIAN_POINT('',(48.205,59.35,0.035)); #547060=CARTESIAN_POINT('',(48.205,59.35,0.)); #547061=CARTESIAN_POINT('',(48.205,58.15,0.035)); #547062=CARTESIAN_POINT('',(48.205,58.15,0.035)); #547063=CARTESIAN_POINT('',(48.205,58.15,0.)); #547064=CARTESIAN_POINT('Origin',(47.605,58.15,0.)); #547065=CARTESIAN_POINT('',(47.605,58.15,0.)); #547066=CARTESIAN_POINT('',(47.605,58.15,0.)); #547067=CARTESIAN_POINT('',(47.605,58.15,0.035)); #547068=CARTESIAN_POINT('',(47.605,58.15,0.035)); #547069=CARTESIAN_POINT('',(47.605,58.15,0.)); #547070=CARTESIAN_POINT('Origin',(47.605,59.35,0.)); #547071=CARTESIAN_POINT('',(47.605,59.35,0.)); #547072=CARTESIAN_POINT('',(47.605,59.35,0.)); #547073=CARTESIAN_POINT('',(47.605,59.35,0.035)); #547074=CARTESIAN_POINT('',(47.605,59.35,0.035)); #547075=CARTESIAN_POINT('',(47.605,59.35,0.)); #547076=CARTESIAN_POINT('Origin',(48.205,59.35,0.)); #547077=CARTESIAN_POINT('',(48.205,59.35,0.)); #547078=CARTESIAN_POINT('',(48.205,59.35,0.035)); #547079=CARTESIAN_POINT('Origin',(47.905,58.75,0.035)); #547080=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #547081=CARTESIAN_POINT('Origin',(37.5,21.25,-200.)); #547082=CARTESIAN_POINT('',(37.36,21.25,0.)); #547083=CARTESIAN_POINT('Origin',(37.5,21.25,0.)); #547084=CARTESIAN_POINT('',(37.36,21.25,0.0349999999999895)); #547085=CARTESIAN_POINT('',(37.36,21.25,-200.)); #547086=CARTESIAN_POINT('Origin',(37.5,21.25,0.0349999999999895)); #547087=CARTESIAN_POINT('Origin',(31.,13.,-200.)); #547088=CARTESIAN_POINT('',(30.86,13.,0.)); #547089=CARTESIAN_POINT('Origin',(31.,13.,0.)); #547090=CARTESIAN_POINT('',(30.86,13.,0.0349999999999895)); #547091=CARTESIAN_POINT('',(30.86,13.,-200.)); #547092=CARTESIAN_POINT('Origin',(31.,13.,0.0349999999999895)); #547093=CARTESIAN_POINT('Origin',(31.021644,12.725,0.)); #547094=CARTESIAN_POINT('',(31.021644,12.725,0.)); #547095=CARTESIAN_POINT('',(31.064397,12.731772,0.)); #547096=CARTESIAN_POINT('',(31.021644,12.725,0.)); #547097=CARTESIAN_POINT('',(31.064397,12.731772,0.035)); #547098=CARTESIAN_POINT('',(31.064397,12.731772,0.)); #547099=CARTESIAN_POINT('',(31.021644,12.725,0.035)); #547100=CARTESIAN_POINT('',(31.021644,12.725,0.035)); #547101=CARTESIAN_POINT('',(31.021644,12.725,0.)); #547102=CARTESIAN_POINT('Origin',(31.000666927461,12.9996305438086,0.)); #547103=CARTESIAN_POINT('',(30.756072,12.873,0.)); #547104=CARTESIAN_POINT('Origin',(31.000666927461,12.9996305438086,0.)); #547105=CARTESIAN_POINT('',(30.756072,12.873,0.035)); #547106=CARTESIAN_POINT('Origin',(31.000666927461,12.9996305438086,0.035)); #547107=CARTESIAN_POINT('',(30.756072,12.873,0.)); #547108=CARTESIAN_POINT('Origin',(30.25,12.873,0.)); #547109=CARTESIAN_POINT('',(30.25,12.873,0.)); #547110=CARTESIAN_POINT('',(30.25,12.873,0.)); #547111=CARTESIAN_POINT('',(30.25,12.873,0.035)); #547112=CARTESIAN_POINT('',(30.25,12.873,0.035)); #547113=CARTESIAN_POINT('',(30.25,12.873,0.)); #547114=CARTESIAN_POINT('Origin',(30.2499992502996,13.0000003087252,0.)); #547115=CARTESIAN_POINT('',(30.160197,12.910197,0.)); #547116=CARTESIAN_POINT('Origin',(30.2499992502996,13.0000003087252,0.)); #547117=CARTESIAN_POINT('',(30.160197,12.910197,0.035)); #547118=CARTESIAN_POINT('Origin',(30.2499992502996,13.0000003087252,0.035)); #547119=CARTESIAN_POINT('',(30.160197,12.910197,0.)); #547120=CARTESIAN_POINT('Origin',(26.910197,16.160197,0.)); #547121=CARTESIAN_POINT('',(26.910197,16.160197,0.)); #547122=CARTESIAN_POINT('',(26.910197,16.160197,0.)); #547123=CARTESIAN_POINT('',(26.910197,16.160197,0.035)); #547124=CARTESIAN_POINT('',(26.910197,16.160197,0.035)); #547125=CARTESIAN_POINT('',(26.910197,16.160197,0.)); #547126=CARTESIAN_POINT('Origin',(27.0000003087252,16.2499992502996,0.)); #547127=CARTESIAN_POINT('',(26.873,16.25,0.)); #547128=CARTESIAN_POINT('Origin',(27.0000003087252,16.2499992502996,0.)); #547129=CARTESIAN_POINT('',(26.873,16.25,0.035)); #547130=CARTESIAN_POINT('Origin',(27.0000003087252,16.2499992502996,0.035)); #547131=CARTESIAN_POINT('',(26.873,16.25,0.)); #547132=CARTESIAN_POINT('Origin',(26.873,20.875,0.)); #547133=CARTESIAN_POINT('',(26.873,20.875,0.)); #547134=CARTESIAN_POINT('',(26.873,20.875,0.)); #547135=CARTESIAN_POINT('',(26.873,20.875,0.035)); #547136=CARTESIAN_POINT('',(26.873,20.875,0.035)); #547137=CARTESIAN_POINT('',(26.873,20.875,0.)); #547138=CARTESIAN_POINT('Origin',(27.0000003087252,20.8750007497004,0.)); #547139=CARTESIAN_POINT('',(26.910197,20.964803,0.)); #547140=CARTESIAN_POINT('Origin',(27.0000003087252,20.8750007497004,0.)); #547141=CARTESIAN_POINT('',(26.910197,20.964803,0.035)); #547142=CARTESIAN_POINT('Origin',(27.0000003087252,20.8750007497004,0.035)); #547143=CARTESIAN_POINT('',(26.910197,20.964803,0.)); #547144=CARTESIAN_POINT('Origin',(27.910197,21.964803,0.)); #547145=CARTESIAN_POINT('',(27.910197,21.964803,0.)); #547146=CARTESIAN_POINT('',(27.910197,21.964803,0.)); #547147=CARTESIAN_POINT('',(27.910197,21.964803,0.035)); #547148=CARTESIAN_POINT('',(27.910197,21.964803,0.035)); #547149=CARTESIAN_POINT('',(27.910197,21.964803,0.)); #547150=CARTESIAN_POINT('Origin',(27.9999992502996,21.8749996912748,0.)); #547151=CARTESIAN_POINT('',(28.,22.002,0.)); #547152=CARTESIAN_POINT('Origin',(27.9999992502996,21.8749996912748,0.)); #547153=CARTESIAN_POINT('',(28.,22.002,0.035)); #547154=CARTESIAN_POINT('Origin',(27.9999992502996,21.8749996912748,0.035)); #547155=CARTESIAN_POINT('',(28.,22.002,0.)); #547156=CARTESIAN_POINT('Origin',(36.875,22.002,0.)); #547157=CARTESIAN_POINT('',(36.875,22.002,0.)); #547158=CARTESIAN_POINT('',(36.875,22.002,0.)); #547159=CARTESIAN_POINT('',(36.875,22.002,0.035)); #547160=CARTESIAN_POINT('',(36.875,22.002,0.035)); #547161=CARTESIAN_POINT('',(36.875,22.002,0.)); #547162=CARTESIAN_POINT('Origin',(36.8750007497004,21.8749996912748,0.)); #547163=CARTESIAN_POINT('',(36.964803,21.964803,0.)); #547164=CARTESIAN_POINT('Origin',(36.8750007497004,21.8749996912748,0.)); #547165=CARTESIAN_POINT('',(36.964803,21.964803,0.035)); #547166=CARTESIAN_POINT('Origin',(36.8750007497004,21.8749996912748,0.035)); #547167=CARTESIAN_POINT('',(36.964803,21.964803,0.)); #547168=CARTESIAN_POINT('Origin',(37.417319,21.512287,0.)); #547169=CARTESIAN_POINT('',(37.417319,21.512287,0.)); #547170=CARTESIAN_POINT('',(37.417319,21.512287,0.)); #547171=CARTESIAN_POINT('',(37.417319,21.512287,0.035)); #547172=CARTESIAN_POINT('',(37.417319,21.512287,0.035)); #547173=CARTESIAN_POINT('',(37.417319,21.512287,0.)); #547174=CARTESIAN_POINT('Origin',(37.5003133114638,21.249658470215,0.)); #547175=CARTESIAN_POINT('',(37.754853,21.144438,0.)); #547176=CARTESIAN_POINT('Origin',(37.5003133114638,21.249658470215,0.)); #547177=CARTESIAN_POINT('',(37.754853,21.144438,0.035)); #547178=CARTESIAN_POINT('Origin',(37.5003133114638,21.249658470215,0.035)); #547179=CARTESIAN_POINT('',(37.754853,21.144438,0.)); #547180=CARTESIAN_POINT('Origin',(37.5003951479663,21.2498563673431,0.)); #547181=CARTESIAN_POINT('',(37.237713,21.332681,0.)); #547182=CARTESIAN_POINT('Origin',(37.5003951479663,21.2498563673431,0.)); #547183=CARTESIAN_POINT('',(37.237713,21.332681,0.035)); #547184=CARTESIAN_POINT('Origin',(37.5003951479663,21.2498563673431,0.035)); #547185=CARTESIAN_POINT('',(37.237713,21.332681,0.)); #547186=CARTESIAN_POINT('Origin',(36.822394,21.748,0.)); #547187=CARTESIAN_POINT('',(36.822394,21.748,0.)); #547188=CARTESIAN_POINT('',(36.822394,21.748,0.)); #547189=CARTESIAN_POINT('',(36.822394,21.748,0.035)); #547190=CARTESIAN_POINT('',(36.822394,21.748,0.035)); #547191=CARTESIAN_POINT('',(36.822394,21.748,0.)); #547192=CARTESIAN_POINT('Origin',(28.052606,21.748,0.)); #547193=CARTESIAN_POINT('',(28.052606,21.748,0.)); #547194=CARTESIAN_POINT('',(28.052606,21.748,0.)); #547195=CARTESIAN_POINT('',(28.052606,21.748,0.035)); #547196=CARTESIAN_POINT('',(28.052606,21.748,0.035)); #547197=CARTESIAN_POINT('',(28.052606,21.748,0.)); #547198=CARTESIAN_POINT('Origin',(27.127,20.822394,0.)); #547199=CARTESIAN_POINT('',(27.127,20.822394,0.)); #547200=CARTESIAN_POINT('',(27.127,20.822394,0.)); #547201=CARTESIAN_POINT('',(27.127,20.822394,0.035)); #547202=CARTESIAN_POINT('',(27.127,20.822394,0.035)); #547203=CARTESIAN_POINT('',(27.127,20.822394,0.)); #547204=CARTESIAN_POINT('Origin',(27.127,16.302606,0.)); #547205=CARTESIAN_POINT('',(27.127,16.302606,0.)); #547206=CARTESIAN_POINT('',(27.127,16.302606,0.)); #547207=CARTESIAN_POINT('',(27.127,16.302606,0.035)); #547208=CARTESIAN_POINT('',(27.127,16.302606,0.035)); #547209=CARTESIAN_POINT('',(27.127,16.302606,0.)); #547210=CARTESIAN_POINT('Origin',(30.302606,13.127,0.)); #547211=CARTESIAN_POINT('',(30.302606,13.127,0.)); #547212=CARTESIAN_POINT('',(30.302606,13.127,0.)); #547213=CARTESIAN_POINT('',(30.302606,13.127,0.035)); #547214=CARTESIAN_POINT('',(30.302606,13.127,0.035)); #547215=CARTESIAN_POINT('',(30.302606,13.127,0.)); #547216=CARTESIAN_POINT('Origin',(30.756072,13.127,0.)); #547217=CARTESIAN_POINT('',(30.756072,13.127,0.)); #547218=CARTESIAN_POINT('',(30.756072,13.127,0.)); #547219=CARTESIAN_POINT('',(30.756072,13.127,0.035)); #547220=CARTESIAN_POINT('',(30.756072,13.127,0.035)); #547221=CARTESIAN_POINT('',(30.756072,13.127,0.)); #547222=CARTESIAN_POINT('Origin',(31.0007991253016,13.0006246242954,0.)); #547223=CARTESIAN_POINT('',(30.935603,13.268228,0.)); #547224=CARTESIAN_POINT('Origin',(31.0007991253016,13.0006246242954,0.)); #547225=CARTESIAN_POINT('',(30.935603,13.268228,0.035)); #547226=CARTESIAN_POINT('Origin',(31.0007991253016,13.0006246242954,0.035)); #547227=CARTESIAN_POINT('',(30.935603,13.268228,0.)); #547228=CARTESIAN_POINT('Origin',(31.,13.,0.)); #547229=CARTESIAN_POINT('Origin',(31.,13.,0.)); #547230=CARTESIAN_POINT('Origin',(31.,13.,0.035)); #547231=CARTESIAN_POINT('Origin',(30.4052769643389,19.1194580248851,0.035)); #547232=CARTESIAN_POINT('Origin',(30.4052769643389,19.1194580248851,0.)); #547233=CARTESIAN_POINT('Origin',(25.,26.625,-200.)); #547234=CARTESIAN_POINT('',(24.86,26.625,0.)); #547235=CARTESIAN_POINT('Origin',(25.,26.625,0.)); #547236=CARTESIAN_POINT('',(24.86,26.625,0.0349999999999895)); #547237=CARTESIAN_POINT('',(24.86,26.625,-200.)); #547238=CARTESIAN_POINT('Origin',(25.,26.625,0.0349999999999895)); #547239=CARTESIAN_POINT('Origin',(27.25,24.375,-200.)); #547240=CARTESIAN_POINT('',(27.11,24.375,0.)); #547241=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #547242=CARTESIAN_POINT('',(27.11,24.375,0.0349999999999895)); #547243=CARTESIAN_POINT('',(27.11,24.375,-200.)); #547244=CARTESIAN_POINT('Origin',(27.25,24.375,0.0349999999999895)); #547245=CARTESIAN_POINT('Origin',(27.271644,24.1,0.)); #547246=CARTESIAN_POINT('',(27.271644,24.1,0.)); #547247=CARTESIAN_POINT('',(27.314397,24.106772,0.)); #547248=CARTESIAN_POINT('',(27.271644,24.1,0.)); #547249=CARTESIAN_POINT('',(27.314397,24.106772,0.035)); #547250=CARTESIAN_POINT('',(27.314397,24.106772,0.)); #547251=CARTESIAN_POINT('',(27.271644,24.1,0.035)); #547252=CARTESIAN_POINT('',(27.271644,24.1,0.035)); #547253=CARTESIAN_POINT('',(27.271644,24.1,0.)); #547254=CARTESIAN_POINT('Origin',(27.2503155524372,24.3746034813592,0.)); #547255=CARTESIAN_POINT('',(26.987713,24.457681,0.)); #547256=CARTESIAN_POINT('Origin',(27.2503155524372,24.3746034813592,0.)); #547257=CARTESIAN_POINT('',(26.987713,24.457681,0.035)); #547258=CARTESIAN_POINT('Origin',(27.2503155524372,24.3746034813592,0.035)); #547259=CARTESIAN_POINT('',(26.987713,24.457681,0.)); #547260=CARTESIAN_POINT('Origin',(25.082681,26.362713,0.)); #547261=CARTESIAN_POINT('',(25.082681,26.362713,0.)); #547262=CARTESIAN_POINT('',(25.082681,26.362713,0.)); #547263=CARTESIAN_POINT('',(25.082681,26.362713,0.035)); #547264=CARTESIAN_POINT('',(25.082681,26.362713,0.035)); #547265=CARTESIAN_POINT('',(25.082681,26.362713,0.)); #547266=CARTESIAN_POINT('Origin',(24.9996869217098,26.625342029785,0.)); #547267=CARTESIAN_POINT('',(24.745147,26.730563,0.)); #547268=CARTESIAN_POINT('Origin',(24.9996869217098,26.625342029785,0.)); #547269=CARTESIAN_POINT('',(24.745147,26.730563,0.035)); #547270=CARTESIAN_POINT('Origin',(24.9996869217098,26.625342029785,0.035)); #547271=CARTESIAN_POINT('',(24.745147,26.730563,0.)); #547272=CARTESIAN_POINT('Origin',(24.9996053301889,26.625144110812,0.)); #547273=CARTESIAN_POINT('',(25.262288,26.542319,0.)); #547274=CARTESIAN_POINT('Origin',(24.9996053301889,26.625144110812,0.)); #547275=CARTESIAN_POINT('',(25.262288,26.542319,0.035)); #547276=CARTESIAN_POINT('Origin',(24.9996053301889,26.625144110812,0.035)); #547277=CARTESIAN_POINT('',(25.262288,26.542319,0.)); #547278=CARTESIAN_POINT('Origin',(27.167319,24.637288,0.)); #547279=CARTESIAN_POINT('',(27.167319,24.637288,0.)); #547280=CARTESIAN_POINT('',(27.167319,24.637288,0.)); #547281=CARTESIAN_POINT('',(27.167319,24.637288,0.035)); #547282=CARTESIAN_POINT('',(27.167319,24.637288,0.035)); #547283=CARTESIAN_POINT('',(27.167319,24.637288,0.)); #547284=CARTESIAN_POINT('Origin',(27.185603,24.643228,0.)); #547285=CARTESIAN_POINT('',(27.185603,24.643228,0.)); #547286=CARTESIAN_POINT('',(27.185603,24.643228,0.)); #547287=CARTESIAN_POINT('',(27.185603,24.643228,0.035)); #547288=CARTESIAN_POINT('',(27.185603,24.643228,0.035)); #547289=CARTESIAN_POINT('',(27.185603,24.643228,0.)); #547290=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #547291=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #547292=CARTESIAN_POINT('Origin',(27.25,24.375,0.035)); #547293=CARTESIAN_POINT('Origin',(26.1238406234022,25.4986952082491,0.035)); #547294=CARTESIAN_POINT('Origin',(26.1238406234022,25.4986952082491,0.)); #547295=CARTESIAN_POINT('Origin',(27.762,19.,-200.)); #547296=CARTESIAN_POINT('',(27.622,19.,0.)); #547297=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #547298=CARTESIAN_POINT('',(27.622,19.,0.0349999999999895)); #547299=CARTESIAN_POINT('',(27.622,19.,-200.)); #547300=CARTESIAN_POINT('Origin',(27.762,19.,0.0349999999999895)); #547301=CARTESIAN_POINT('Origin',(28.1811,18.5555,0.)); #547302=CARTESIAN_POINT('',(28.1811,18.5555,0.)); #547303=CARTESIAN_POINT('',(28.1811,19.4445,0.)); #547304=CARTESIAN_POINT('',(28.1811,18.5555,0.)); #547305=CARTESIAN_POINT('',(28.1811,19.4445,0.035)); #547306=CARTESIAN_POINT('',(28.1811,19.4445,0.)); #547307=CARTESIAN_POINT('',(28.1811,18.5555,0.035)); #547308=CARTESIAN_POINT('',(28.1811,18.5555,0.035)); #547309=CARTESIAN_POINT('',(28.1811,18.5555,0.)); #547310=CARTESIAN_POINT('Origin',(27.3429,18.5555,0.)); #547311=CARTESIAN_POINT('',(27.3429,18.5555,0.)); #547312=CARTESIAN_POINT('',(27.3429,18.5555,0.)); #547313=CARTESIAN_POINT('',(27.3429,18.5555,0.035)); #547314=CARTESIAN_POINT('',(27.3429,18.5555,0.035)); #547315=CARTESIAN_POINT('',(27.3429,18.5555,0.)); #547316=CARTESIAN_POINT('Origin',(27.3429,19.4445,0.)); #547317=CARTESIAN_POINT('',(27.3429,19.4445,0.)); #547318=CARTESIAN_POINT('',(27.3429,19.4445,0.)); #547319=CARTESIAN_POINT('',(27.3429,19.4445,0.035)); #547320=CARTESIAN_POINT('',(27.3429,19.4445,0.035)); #547321=CARTESIAN_POINT('',(27.3429,19.4445,0.)); #547322=CARTESIAN_POINT('Origin',(28.1811,19.4445,0.)); #547323=CARTESIAN_POINT('',(28.1811,19.4445,0.)); #547324=CARTESIAN_POINT('',(28.1811,19.4445,0.035)); #547325=CARTESIAN_POINT('Origin',(27.762,19.,0.035)); #547326=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #547327=CARTESIAN_POINT('Origin',(35.27,45.,-200.)); #547328=CARTESIAN_POINT('',(34.705,45.,0.)); #547329=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #547330=CARTESIAN_POINT('',(34.705,45.,0.0349999999999895)); #547331=CARTESIAN_POINT('',(34.705,45.,-200.)); #547332=CARTESIAN_POINT('Origin',(35.27,45.,0.0349999999999895)); #547333=CARTESIAN_POINT('Origin',(42.25,28.5,-200.)); #547334=CARTESIAN_POINT('',(42.11,28.5,0.)); #547335=CARTESIAN_POINT('Origin',(42.25,28.5,0.)); #547336=CARTESIAN_POINT('',(42.11,28.5,0.0349999999999895)); #547337=CARTESIAN_POINT('',(42.11,28.5,-200.)); #547338=CARTESIAN_POINT('Origin',(42.25,28.5,0.0349999999999895)); #547339=CARTESIAN_POINT('Origin',(46.25,34.,-200.)); #547340=CARTESIAN_POINT('',(45.735,34.,0.)); #547341=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #547342=CARTESIAN_POINT('',(45.735,34.,0.0349999999999895)); #547343=CARTESIAN_POINT('',(45.735,34.,-200.)); #547344=CARTESIAN_POINT('Origin',(46.25,34.,0.0349999999999895)); #547345=CARTESIAN_POINT('Origin',(36.5,27.75,-200.)); #547346=CARTESIAN_POINT('',(36.035,27.75,0.)); #547347=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #547348=CARTESIAN_POINT('',(36.035,27.75,0.0349999999999895)); #547349=CARTESIAN_POINT('',(36.035,27.75,-200.)); #547350=CARTESIAN_POINT('Origin',(36.5,27.75,0.0349999999999895)); #547351=CARTESIAN_POINT('Origin',(32.939341,43.9476,0.)); #547352=CARTESIAN_POINT('',(32.939341,43.9476,0.)); #547353=CARTESIAN_POINT('',(32.520659,43.9476,0.)); #547354=CARTESIAN_POINT('',(32.939341,43.9476,0.)); #547355=CARTESIAN_POINT('',(32.520659,43.9476,0.035)); #547356=CARTESIAN_POINT('',(32.520659,43.9476,0.)); #547357=CARTESIAN_POINT('',(32.939341,43.9476,0.035)); #547358=CARTESIAN_POINT('',(32.939341,43.9476,0.035)); #547359=CARTESIAN_POINT('',(32.939341,43.9476,0.)); #547360=CARTESIAN_POINT('Origin',(33.326131,44.107816,0.)); #547361=CARTESIAN_POINT('',(33.326131,44.107816,0.)); #547362=CARTESIAN_POINT('',(33.326131,44.107816,0.)); #547363=CARTESIAN_POINT('',(33.326131,44.107816,0.035)); #547364=CARTESIAN_POINT('',(33.326131,44.107816,0.035)); #547365=CARTESIAN_POINT('',(33.326131,44.107816,0.)); #547366=CARTESIAN_POINT('Origin',(33.622184,44.403869,0.)); #547367=CARTESIAN_POINT('',(33.622184,44.403869,0.)); #547368=CARTESIAN_POINT('',(33.622184,44.403869,0.)); #547369=CARTESIAN_POINT('',(33.622184,44.403869,0.035)); #547370=CARTESIAN_POINT('',(33.622184,44.403869,0.035)); #547371=CARTESIAN_POINT('',(33.622184,44.403869,0.)); #547372=CARTESIAN_POINT('Origin',(33.7824,44.790659,0.)); #547373=CARTESIAN_POINT('',(33.7824,44.790659,0.)); #547374=CARTESIAN_POINT('',(33.7824,44.790659,0.)); #547375=CARTESIAN_POINT('',(33.7824,44.790659,0.035)); #547376=CARTESIAN_POINT('',(33.7824,44.790659,0.035)); #547377=CARTESIAN_POINT('',(33.7824,44.790659,0.)); #547378=CARTESIAN_POINT('Origin',(33.7824,45.209341,0.)); #547379=CARTESIAN_POINT('',(33.7824,45.209341,0.)); #547380=CARTESIAN_POINT('',(33.7824,45.209341,0.)); #547381=CARTESIAN_POINT('',(33.7824,45.209341,0.035)); #547382=CARTESIAN_POINT('',(33.7824,45.209341,0.035)); #547383=CARTESIAN_POINT('',(33.7824,45.209341,0.)); #547384=CARTESIAN_POINT('Origin',(33.622184,45.596131,0.)); #547385=CARTESIAN_POINT('',(33.622184,45.596131,0.)); #547386=CARTESIAN_POINT('',(33.622184,45.596131,0.)); #547387=CARTESIAN_POINT('',(33.622184,45.596131,0.035)); #547388=CARTESIAN_POINT('',(33.622184,45.596131,0.035)); #547389=CARTESIAN_POINT('',(33.622184,45.596131,0.)); #547390=CARTESIAN_POINT('Origin',(33.326131,45.892184,0.)); #547391=CARTESIAN_POINT('',(33.326131,45.892184,0.)); #547392=CARTESIAN_POINT('',(33.326131,45.892184,0.)); #547393=CARTESIAN_POINT('',(33.326131,45.892184,0.035)); #547394=CARTESIAN_POINT('',(33.326131,45.892184,0.035)); #547395=CARTESIAN_POINT('',(33.326131,45.892184,0.)); #547396=CARTESIAN_POINT('Origin',(32.939341,46.0524,0.)); #547397=CARTESIAN_POINT('',(32.939341,46.0524,0.)); #547398=CARTESIAN_POINT('',(32.939341,46.0524,0.)); #547399=CARTESIAN_POINT('',(32.939341,46.0524,0.035)); #547400=CARTESIAN_POINT('',(32.939341,46.0524,0.035)); #547401=CARTESIAN_POINT('',(32.939341,46.0524,0.)); #547402=CARTESIAN_POINT('Origin',(32.520659,46.0524,0.)); #547403=CARTESIAN_POINT('',(32.520659,46.0524,0.)); #547404=CARTESIAN_POINT('',(32.520659,46.0524,0.)); #547405=CARTESIAN_POINT('',(32.520659,46.0524,0.035)); #547406=CARTESIAN_POINT('',(32.520659,46.0524,0.035)); #547407=CARTESIAN_POINT('',(32.520659,46.0524,0.)); #547408=CARTESIAN_POINT('Origin',(32.133869,45.892184,0.)); #547409=CARTESIAN_POINT('',(32.133869,45.892184,0.)); #547410=CARTESIAN_POINT('',(32.133869,45.892184,0.)); #547411=CARTESIAN_POINT('',(32.133869,45.892184,0.035)); #547412=CARTESIAN_POINT('',(32.133869,45.892184,0.035)); #547413=CARTESIAN_POINT('',(32.133869,45.892184,0.)); #547414=CARTESIAN_POINT('Origin',(31.837816,45.596131,0.)); #547415=CARTESIAN_POINT('',(31.837816,45.596131,0.)); #547416=CARTESIAN_POINT('',(31.837816,45.596131,0.)); #547417=CARTESIAN_POINT('',(31.837816,45.596131,0.035)); #547418=CARTESIAN_POINT('',(31.837816,45.596131,0.035)); #547419=CARTESIAN_POINT('',(31.837816,45.596131,0.)); #547420=CARTESIAN_POINT('Origin',(31.6776,45.209341,0.)); #547421=CARTESIAN_POINT('',(31.6776,45.209341,0.)); #547422=CARTESIAN_POINT('',(31.6776,45.209341,0.)); #547423=CARTESIAN_POINT('',(31.6776,45.209341,0.035)); #547424=CARTESIAN_POINT('',(31.6776,45.209341,0.035)); #547425=CARTESIAN_POINT('',(31.6776,45.209341,0.)); #547426=CARTESIAN_POINT('Origin',(31.6776,44.790659,0.)); #547427=CARTESIAN_POINT('',(31.6776,44.790659,0.)); #547428=CARTESIAN_POINT('',(31.6776,44.790659,0.)); #547429=CARTESIAN_POINT('',(31.6776,44.790659,0.035)); #547430=CARTESIAN_POINT('',(31.6776,44.790659,0.035)); #547431=CARTESIAN_POINT('',(31.6776,44.790659,0.)); #547432=CARTESIAN_POINT('Origin',(31.837816,44.403869,0.)); #547433=CARTESIAN_POINT('',(31.837816,44.403869,0.)); #547434=CARTESIAN_POINT('',(31.837816,44.403869,0.)); #547435=CARTESIAN_POINT('',(31.837816,44.403869,0.035)); #547436=CARTESIAN_POINT('',(31.837816,44.403869,0.035)); #547437=CARTESIAN_POINT('',(31.837816,44.403869,0.)); #547438=CARTESIAN_POINT('Origin',(32.133869,44.107816,0.)); #547439=CARTESIAN_POINT('',(32.133869,44.107816,0.)); #547440=CARTESIAN_POINT('',(32.133869,44.107816,0.)); #547441=CARTESIAN_POINT('',(32.133869,44.107816,0.035)); #547442=CARTESIAN_POINT('',(32.133869,44.107816,0.035)); #547443=CARTESIAN_POINT('',(32.133869,44.107816,0.)); #547444=CARTESIAN_POINT('Origin',(32.520659,43.9476,0.)); #547445=CARTESIAN_POINT('',(32.520659,43.9476,0.)); #547446=CARTESIAN_POINT('',(32.520659,43.9476,0.035)); #547447=CARTESIAN_POINT('Origin',(36.778206,26.9161,0.)); #547448=CARTESIAN_POINT('',(36.778206,26.9161,0.)); #547449=CARTESIAN_POINT('',(36.827741,26.926159,0.)); #547450=CARTESIAN_POINT('',(36.778206,26.9161,0.)); #547451=CARTESIAN_POINT('',(36.827741,26.926159,0.035)); #547452=CARTESIAN_POINT('',(36.827741,26.926159,0.)); #547453=CARTESIAN_POINT('',(36.778206,26.9161,0.035)); #547454=CARTESIAN_POINT('',(36.778206,26.9161,0.035)); #547455=CARTESIAN_POINT('',(36.778206,26.9161,0.)); #547456=CARTESIAN_POINT('Origin',(35.209794,26.9161,0.)); #547457=CARTESIAN_POINT('',(35.209794,26.9161,0.)); #547458=CARTESIAN_POINT('',(35.209794,26.9161,0.)); #547459=CARTESIAN_POINT('',(35.209794,26.9161,0.035)); #547460=CARTESIAN_POINT('',(35.209794,26.9161,0.035)); #547461=CARTESIAN_POINT('',(35.209794,26.9161,0.)); #547462=CARTESIAN_POINT('Origin',(35.162131,26.925384,0.)); #547463=CARTESIAN_POINT('',(35.162131,26.925384,0.)); #547464=CARTESIAN_POINT('',(35.162131,26.925384,0.)); #547465=CARTESIAN_POINT('',(35.162131,26.925384,0.035)); #547466=CARTESIAN_POINT('',(35.162131,26.925384,0.035)); #547467=CARTESIAN_POINT('',(35.162131,26.925384,0.)); #547468=CARTESIAN_POINT('Origin',(35.119991,26.953297,0.)); #547469=CARTESIAN_POINT('',(35.119991,26.953297,0.)); #547470=CARTESIAN_POINT('',(35.119991,26.953297,0.)); #547471=CARTESIAN_POINT('',(35.119991,26.953297,0.035)); #547472=CARTESIAN_POINT('',(35.119991,26.953297,0.035)); #547473=CARTESIAN_POINT('',(35.119991,26.953297,0.)); #547474=CARTESIAN_POINT('Origin',(34.265797,27.807491,0.)); #547475=CARTESIAN_POINT('',(34.265797,27.807491,0.)); #547476=CARTESIAN_POINT('',(34.265797,27.807491,0.)); #547477=CARTESIAN_POINT('',(34.265797,27.807491,0.035)); #547478=CARTESIAN_POINT('',(34.265797,27.807491,0.035)); #547479=CARTESIAN_POINT('',(34.265797,27.807491,0.)); #547480=CARTESIAN_POINT('Origin',(34.238659,27.847759,0.)); #547481=CARTESIAN_POINT('',(34.238659,27.847759,0.)); #547482=CARTESIAN_POINT('',(34.238659,27.847759,0.)); #547483=CARTESIAN_POINT('',(34.238659,27.847759,0.035)); #547484=CARTESIAN_POINT('',(34.238659,27.847759,0.035)); #547485=CARTESIAN_POINT('',(34.238659,27.847759,0.)); #547486=CARTESIAN_POINT('Origin',(34.2286,27.897294,0.)); #547487=CARTESIAN_POINT('',(34.2286,27.897294,0.)); #547488=CARTESIAN_POINT('',(34.2286,27.897294,0.)); #547489=CARTESIAN_POINT('',(34.2286,27.897294,0.035)); #547490=CARTESIAN_POINT('',(34.2286,27.897294,0.035)); #547491=CARTESIAN_POINT('',(34.2286,27.897294,0.)); #547492=CARTESIAN_POINT('Origin',(34.2286,34.844687,0.)); #547493=CARTESIAN_POINT('',(34.2286,34.844687,0.)); #547494=CARTESIAN_POINT('',(34.2286,34.844687,0.)); #547495=CARTESIAN_POINT('',(34.2286,34.844687,0.035)); #547496=CARTESIAN_POINT('',(34.2286,34.844687,0.035)); #547497=CARTESIAN_POINT('',(34.2286,34.844687,0.)); #547498=CARTESIAN_POINT('Origin',(30.455797,38.617491,0.)); #547499=CARTESIAN_POINT('',(30.455797,38.617491,0.)); #547500=CARTESIAN_POINT('',(30.455797,38.617491,0.)); #547501=CARTESIAN_POINT('',(30.455797,38.617491,0.035)); #547502=CARTESIAN_POINT('',(30.455797,38.617491,0.035)); #547503=CARTESIAN_POINT('',(30.455797,38.617491,0.)); #547504=CARTESIAN_POINT('Origin',(30.428659,38.657759,0.)); #547505=CARTESIAN_POINT('',(30.428659,38.657759,0.)); #547506=CARTESIAN_POINT('',(30.428659,38.657759,0.)); #547507=CARTESIAN_POINT('',(30.428659,38.657759,0.035)); #547508=CARTESIAN_POINT('',(30.428659,38.657759,0.035)); #547509=CARTESIAN_POINT('',(30.428659,38.657759,0.)); #547510=CARTESIAN_POINT('Origin',(30.4186,38.707294,0.)); #547511=CARTESIAN_POINT('',(30.4186,38.707294,0.)); #547512=CARTESIAN_POINT('',(30.4186,38.707294,0.)); #547513=CARTESIAN_POINT('',(30.4186,38.707294,0.035)); #547514=CARTESIAN_POINT('',(30.4186,38.707294,0.035)); #547515=CARTESIAN_POINT('',(30.4186,38.707294,0.)); #547516=CARTESIAN_POINT('Origin',(30.4186,43.8206,0.)); #547517=CARTESIAN_POINT('',(30.4186,43.8206,0.)); #547518=CARTESIAN_POINT('',(30.4186,43.8206,0.)); #547519=CARTESIAN_POINT('',(30.4186,43.8206,0.035)); #547520=CARTESIAN_POINT('',(30.4186,43.8206,0.035)); #547521=CARTESIAN_POINT('',(30.4186,43.8206,0.)); #547522=CARTESIAN_POINT('Origin',(30.427138,43.866381,0.)); #547523=CARTESIAN_POINT('',(30.427138,43.866381,0.)); #547524=CARTESIAN_POINT('',(30.427138,43.866381,0.)); #547525=CARTESIAN_POINT('',(30.427138,43.866381,0.035)); #547526=CARTESIAN_POINT('',(30.427138,43.866381,0.035)); #547527=CARTESIAN_POINT('',(30.427138,43.866381,0.)); #547528=CARTESIAN_POINT('Origin',(30.454378,43.908959,0.)); #547529=CARTESIAN_POINT('',(30.454378,43.908959,0.)); #547530=CARTESIAN_POINT('',(30.454378,43.908959,0.)); #547531=CARTESIAN_POINT('',(30.454378,43.908959,0.035)); #547532=CARTESIAN_POINT('',(30.454378,43.908959,0.035)); #547533=CARTESIAN_POINT('',(30.454378,43.908959,0.)); #547534=CARTESIAN_POINT('Origin',(30.496066,43.937541,0.)); #547535=CARTESIAN_POINT('',(30.496066,43.937541,0.)); #547536=CARTESIAN_POINT('',(30.496066,43.937541,0.)); #547537=CARTESIAN_POINT('',(30.496066,43.937541,0.035)); #547538=CARTESIAN_POINT('',(30.496066,43.937541,0.035)); #547539=CARTESIAN_POINT('',(30.496066,43.937541,0.)); #547540=CARTESIAN_POINT('Origin',(30.5456,43.9476,0.)); #547541=CARTESIAN_POINT('',(30.5456,43.9476,0.)); #547542=CARTESIAN_POINT('',(30.5456,43.9476,0.)); #547543=CARTESIAN_POINT('',(30.5456,43.9476,0.035)); #547544=CARTESIAN_POINT('',(30.5456,43.9476,0.035)); #547545=CARTESIAN_POINT('',(30.5456,43.9476,0.)); #547546=CARTESIAN_POINT('Origin',(31.153125,43.9476,0.)); #547547=CARTESIAN_POINT('',(31.153125,43.9476,0.)); #547548=CARTESIAN_POINT('',(31.153125,43.9476,0.)); #547549=CARTESIAN_POINT('',(31.153125,43.9476,0.035)); #547550=CARTESIAN_POINT('',(31.153125,43.9476,0.035)); #547551=CARTESIAN_POINT('',(31.153125,43.9476,0.)); #547552=CARTESIAN_POINT('Origin',(31.2424,44.036875,0.)); #547553=CARTESIAN_POINT('',(31.2424,44.036875,0.)); #547554=CARTESIAN_POINT('',(31.2424,44.036875,0.)); #547555=CARTESIAN_POINT('',(31.2424,44.036875,0.035)); #547556=CARTESIAN_POINT('',(31.2424,44.036875,0.035)); #547557=CARTESIAN_POINT('',(31.2424,44.036875,0.)); #547558=CARTESIAN_POINT('Origin',(31.2424,45.939794,0.)); #547559=CARTESIAN_POINT('',(31.2424,45.939794,0.)); #547560=CARTESIAN_POINT('',(31.2424,45.939794,0.)); #547561=CARTESIAN_POINT('',(31.2424,45.939794,0.035)); #547562=CARTESIAN_POINT('',(31.2424,45.939794,0.035)); #547563=CARTESIAN_POINT('',(31.2424,45.939794,0.)); #547564=CARTESIAN_POINT('Origin',(31.251684,45.987456,0.)); #547565=CARTESIAN_POINT('',(31.251684,45.987456,0.)); #547566=CARTESIAN_POINT('',(31.251684,45.987456,0.)); #547567=CARTESIAN_POINT('',(31.251684,45.987456,0.035)); #547568=CARTESIAN_POINT('',(31.251684,45.987456,0.035)); #547569=CARTESIAN_POINT('',(31.251684,45.987456,0.)); #547570=CARTESIAN_POINT('Origin',(31.279597,46.029597,0.)); #547571=CARTESIAN_POINT('',(31.279597,46.029597,0.)); #547572=CARTESIAN_POINT('',(31.279597,46.029597,0.)); #547573=CARTESIAN_POINT('',(31.279597,46.029597,0.035)); #547574=CARTESIAN_POINT('',(31.279597,46.029597,0.035)); #547575=CARTESIAN_POINT('',(31.279597,46.029597,0.)); #547576=CARTESIAN_POINT('Origin',(31.712803,46.462803,0.)); #547577=CARTESIAN_POINT('',(31.712803,46.462803,0.)); #547578=CARTESIAN_POINT('',(31.712803,46.462803,0.)); #547579=CARTESIAN_POINT('',(31.712803,46.462803,0.035)); #547580=CARTESIAN_POINT('',(31.712803,46.462803,0.035)); #547581=CARTESIAN_POINT('',(31.712803,46.462803,0.)); #547582=CARTESIAN_POINT('Origin',(31.753072,46.489941,0.)); #547583=CARTESIAN_POINT('',(31.753072,46.489941,0.)); #547584=CARTESIAN_POINT('',(31.753072,46.489941,0.)); #547585=CARTESIAN_POINT('',(31.753072,46.489941,0.035)); #547586=CARTESIAN_POINT('',(31.753072,46.489941,0.035)); #547587=CARTESIAN_POINT('',(31.753072,46.489941,0.)); #547588=CARTESIAN_POINT('Origin',(31.802606,46.5,0.)); #547589=CARTESIAN_POINT('',(31.802606,46.5,0.)); #547590=CARTESIAN_POINT('',(31.802606,46.5,0.)); #547591=CARTESIAN_POINT('',(31.802606,46.5,0.035)); #547592=CARTESIAN_POINT('',(31.802606,46.5,0.035)); #547593=CARTESIAN_POINT('',(31.802606,46.5,0.)); #547594=CARTESIAN_POINT('Origin',(36.072394,46.5,0.)); #547595=CARTESIAN_POINT('',(36.072394,46.5,0.)); #547596=CARTESIAN_POINT('',(36.072394,46.5,0.)); #547597=CARTESIAN_POINT('',(36.072394,46.5,0.035)); #547598=CARTESIAN_POINT('',(36.072394,46.5,0.035)); #547599=CARTESIAN_POINT('',(36.072394,46.5,0.)); #547600=CARTESIAN_POINT('Origin',(36.120056,46.490716,0.)); #547601=CARTESIAN_POINT('',(36.120056,46.490716,0.)); #547602=CARTESIAN_POINT('',(36.120056,46.490716,0.)); #547603=CARTESIAN_POINT('',(36.120056,46.490716,0.035)); #547604=CARTESIAN_POINT('',(36.120056,46.490716,0.035)); #547605=CARTESIAN_POINT('',(36.120056,46.490716,0.)); #547606=CARTESIAN_POINT('Origin',(36.162197,46.462803,0.)); #547607=CARTESIAN_POINT('',(36.162197,46.462803,0.)); #547608=CARTESIAN_POINT('',(36.162197,46.462803,0.)); #547609=CARTESIAN_POINT('',(36.162197,46.462803,0.035)); #547610=CARTESIAN_POINT('',(36.162197,46.462803,0.035)); #547611=CARTESIAN_POINT('',(36.162197,46.462803,0.)); #547612=CARTESIAN_POINT('Origin',(36.587803,46.037197,0.)); #547613=CARTESIAN_POINT('',(36.587803,46.037197,0.)); #547614=CARTESIAN_POINT('',(36.587803,46.037197,0.)); #547615=CARTESIAN_POINT('',(36.587803,46.037197,0.035)); #547616=CARTESIAN_POINT('',(36.587803,46.037197,0.035)); #547617=CARTESIAN_POINT('',(36.587803,46.037197,0.)); #547618=CARTESIAN_POINT('Origin',(36.614941,45.996928,0.)); #547619=CARTESIAN_POINT('',(36.614941,45.996928,0.)); #547620=CARTESIAN_POINT('',(36.614941,45.996928,0.)); #547621=CARTESIAN_POINT('',(36.614941,45.996928,0.035)); #547622=CARTESIAN_POINT('',(36.614941,45.996928,0.035)); #547623=CARTESIAN_POINT('',(36.614941,45.996928,0.)); #547624=CARTESIAN_POINT('Origin',(36.625,45.947394,0.)); #547625=CARTESIAN_POINT('',(36.625,45.947394,0.)); #547626=CARTESIAN_POINT('',(36.625,45.947394,0.)); #547627=CARTESIAN_POINT('',(36.625,45.947394,0.035)); #547628=CARTESIAN_POINT('',(36.625,45.947394,0.035)); #547629=CARTESIAN_POINT('',(36.625,45.947394,0.)); #547630=CARTESIAN_POINT('Origin',(36.625,37.321494,0.)); #547631=CARTESIAN_POINT('',(36.625,37.321494,0.)); #547632=CARTESIAN_POINT('',(36.625,37.321494,0.)); #547633=CARTESIAN_POINT('',(36.625,37.321494,0.035)); #547634=CARTESIAN_POINT('',(36.625,37.321494,0.035)); #547635=CARTESIAN_POINT('',(36.625,37.321494,0.)); #547636=CARTESIAN_POINT('Origin',(36.626284,37.312475,0.)); #547637=CARTESIAN_POINT('',(36.626284,37.312475,0.)); #547638=CARTESIAN_POINT('',(36.626284,37.312475,0.)); #547639=CARTESIAN_POINT('',(36.626284,37.312475,0.035)); #547640=CARTESIAN_POINT('',(36.626284,37.312475,0.035)); #547641=CARTESIAN_POINT('',(36.626284,37.312475,0.)); #547642=CARTESIAN_POINT('Origin',(36.630653,37.306847,0.)); #547643=CARTESIAN_POINT('',(36.630653,37.306847,0.)); #547644=CARTESIAN_POINT('',(36.630653,37.306847,0.)); #547645=CARTESIAN_POINT('',(36.630653,37.306847,0.035)); #547646=CARTESIAN_POINT('',(36.630653,37.306847,0.035)); #547647=CARTESIAN_POINT('',(36.630653,37.306847,0.)); #547648=CARTESIAN_POINT('Origin',(36.795481,37.142022,0.)); #547649=CARTESIAN_POINT('',(36.795481,37.142022,0.)); #547650=CARTESIAN_POINT('',(36.795481,37.142022,0.)); #547651=CARTESIAN_POINT('',(36.795481,37.142022,0.035)); #547652=CARTESIAN_POINT('',(36.795481,37.142022,0.035)); #547653=CARTESIAN_POINT('',(36.795481,37.142022,0.)); #547654=CARTESIAN_POINT('Origin',(36.821816,37.103613,0.)); #547655=CARTESIAN_POINT('',(36.821816,37.103613,0.)); #547656=CARTESIAN_POINT('',(36.821816,37.103613,0.)); #547657=CARTESIAN_POINT('',(36.821816,37.103613,0.035)); #547658=CARTESIAN_POINT('',(36.821816,37.103613,0.035)); #547659=CARTESIAN_POINT('',(36.821816,37.103613,0.)); #547660=CARTESIAN_POINT('Origin',(36.832663,37.054244,0.)); #547661=CARTESIAN_POINT('',(36.832663,37.054244,0.)); #547662=CARTESIAN_POINT('',(36.832663,37.054244,0.)); #547663=CARTESIAN_POINT('',(36.832663,37.054244,0.035)); #547664=CARTESIAN_POINT('',(36.832663,37.054244,0.035)); #547665=CARTESIAN_POINT('',(36.832663,37.054244,0.)); #547666=CARTESIAN_POINT('Origin',(36.823394,37.004556,0.)); #547667=CARTESIAN_POINT('',(36.823394,37.004556,0.)); #547668=CARTESIAN_POINT('',(36.823394,37.004556,0.)); #547669=CARTESIAN_POINT('',(36.823394,37.004556,0.035)); #547670=CARTESIAN_POINT('',(36.823394,37.004556,0.035)); #547671=CARTESIAN_POINT('',(36.823394,37.004556,0.)); #547672=CARTESIAN_POINT('Origin',(36.795481,36.962416,0.)); #547673=CARTESIAN_POINT('',(36.795481,36.962416,0.)); #547674=CARTESIAN_POINT('',(36.795481,36.962416,0.)); #547675=CARTESIAN_POINT('',(36.795481,36.962416,0.035)); #547676=CARTESIAN_POINT('',(36.795481,36.962416,0.035)); #547677=CARTESIAN_POINT('',(36.795481,36.962416,0.)); #547678=CARTESIAN_POINT('Origin',(36.7601,36.927034,0.)); #547679=CARTESIAN_POINT('',(36.7601,36.927034,0.)); #547680=CARTESIAN_POINT('',(36.7601,36.927034,0.)); #547681=CARTESIAN_POINT('',(36.7601,36.927034,0.035)); #547682=CARTESIAN_POINT('',(36.7601,36.927034,0.035)); #547683=CARTESIAN_POINT('',(36.7601,36.927034,0.)); #547684=CARTESIAN_POINT('Origin',(36.7601,36.572966,0.)); #547685=CARTESIAN_POINT('',(36.7601,36.572966,0.)); #547686=CARTESIAN_POINT('',(36.7601,36.572966,0.)); #547687=CARTESIAN_POINT('',(36.7601,36.572966,0.035)); #547688=CARTESIAN_POINT('',(36.7601,36.572966,0.035)); #547689=CARTESIAN_POINT('',(36.7601,36.572966,0.)); #547690=CARTESIAN_POINT('Origin',(37.010466,36.3226,0.)); #547691=CARTESIAN_POINT('',(37.010466,36.3226,0.)); #547692=CARTESIAN_POINT('',(37.010466,36.3226,0.)); #547693=CARTESIAN_POINT('',(37.010466,36.3226,0.035)); #547694=CARTESIAN_POINT('',(37.010466,36.3226,0.035)); #547695=CARTESIAN_POINT('',(37.010466,36.3226,0.)); #547696=CARTESIAN_POINT('Origin',(37.0605,36.3226,0.)); #547697=CARTESIAN_POINT('',(37.0605,36.3226,0.)); #547698=CARTESIAN_POINT('',(37.0605,36.3226,0.)); #547699=CARTESIAN_POINT('',(37.0605,36.3226,0.035)); #547700=CARTESIAN_POINT('',(37.0605,36.3226,0.035)); #547701=CARTESIAN_POINT('',(37.0605,36.3226,0.)); #547702=CARTESIAN_POINT('Origin',(37.106281,36.314062,0.)); #547703=CARTESIAN_POINT('',(37.106281,36.314062,0.)); #547704=CARTESIAN_POINT('',(37.106281,36.314062,0.)); #547705=CARTESIAN_POINT('',(37.106281,36.314062,0.035)); #547706=CARTESIAN_POINT('',(37.106281,36.314062,0.035)); #547707=CARTESIAN_POINT('',(37.106281,36.314062,0.)); #547708=CARTESIAN_POINT('Origin',(37.148859,36.286822,0.)); #547709=CARTESIAN_POINT('',(37.148859,36.286822,0.)); #547710=CARTESIAN_POINT('',(37.148859,36.286822,0.)); #547711=CARTESIAN_POINT('',(37.148859,36.286822,0.035)); #547712=CARTESIAN_POINT('',(37.148859,36.286822,0.035)); #547713=CARTESIAN_POINT('',(37.148859,36.286822,0.)); #547714=CARTESIAN_POINT('Origin',(37.177441,36.245134,0.)); #547715=CARTESIAN_POINT('',(37.177441,36.245134,0.)); #547716=CARTESIAN_POINT('',(37.177441,36.245134,0.)); #547717=CARTESIAN_POINT('',(37.177441,36.245134,0.035)); #547718=CARTESIAN_POINT('',(37.177441,36.245134,0.035)); #547719=CARTESIAN_POINT('',(37.177441,36.245134,0.)); #547720=CARTESIAN_POINT('Origin',(37.1875,36.1956,0.)); #547721=CARTESIAN_POINT('',(37.1875,36.1956,0.)); #547722=CARTESIAN_POINT('',(37.1875,36.1956,0.)); #547723=CARTESIAN_POINT('',(37.1875,36.1956,0.035)); #547724=CARTESIAN_POINT('',(37.1875,36.1956,0.035)); #547725=CARTESIAN_POINT('',(37.1875,36.1956,0.)); #547726=CARTESIAN_POINT('Origin',(37.1875,33.571494,0.)); #547727=CARTESIAN_POINT('',(37.1875,33.571494,0.)); #547728=CARTESIAN_POINT('',(37.1875,33.571494,0.)); #547729=CARTESIAN_POINT('',(37.1875,33.571494,0.035)); #547730=CARTESIAN_POINT('',(37.1875,33.571494,0.035)); #547731=CARTESIAN_POINT('',(37.1875,33.571494,0.)); #547732=CARTESIAN_POINT('Origin',(37.188784,33.562475,0.)); #547733=CARTESIAN_POINT('',(37.188784,33.562475,0.)); #547734=CARTESIAN_POINT('',(37.188784,33.562475,0.)); #547735=CARTESIAN_POINT('',(37.188784,33.562475,0.035)); #547736=CARTESIAN_POINT('',(37.188784,33.562475,0.035)); #547737=CARTESIAN_POINT('',(37.188784,33.562475,0.)); #547738=CARTESIAN_POINT('Origin',(37.193153,33.556847,0.)); #547739=CARTESIAN_POINT('',(37.193153,33.556847,0.)); #547740=CARTESIAN_POINT('',(37.193153,33.556847,0.)); #547741=CARTESIAN_POINT('',(37.193153,33.556847,0.035)); #547742=CARTESIAN_POINT('',(37.193153,33.556847,0.035)); #547743=CARTESIAN_POINT('',(37.193153,33.556847,0.)); #547744=CARTESIAN_POINT('Origin',(37.962803,32.787197,0.)); #547745=CARTESIAN_POINT('',(37.962803,32.787197,0.)); #547746=CARTESIAN_POINT('',(37.962803,32.787197,0.)); #547747=CARTESIAN_POINT('',(37.962803,32.787197,0.035)); #547748=CARTESIAN_POINT('',(37.962803,32.787197,0.035)); #547749=CARTESIAN_POINT('',(37.962803,32.787197,0.)); #547750=CARTESIAN_POINT('Origin',(37.989941,32.746928,0.)); #547751=CARTESIAN_POINT('',(37.989941,32.746928,0.)); #547752=CARTESIAN_POINT('',(37.989941,32.746928,0.)); #547753=CARTESIAN_POINT('',(37.989941,32.746928,0.035)); #547754=CARTESIAN_POINT('',(37.989941,32.746928,0.035)); #547755=CARTESIAN_POINT('',(37.989941,32.746928,0.)); #547756=CARTESIAN_POINT('Origin',(38.,32.697394,0.)); #547757=CARTESIAN_POINT('',(38.,32.697394,0.)); #547758=CARTESIAN_POINT('',(38.,32.697394,0.)); #547759=CARTESIAN_POINT('',(38.,32.697394,0.035)); #547760=CARTESIAN_POINT('',(38.,32.697394,0.035)); #547761=CARTESIAN_POINT('',(38.,32.697394,0.)); #547762=CARTESIAN_POINT('Origin',(38.,28.627,0.)); #547763=CARTESIAN_POINT('',(38.,28.627,0.)); #547764=CARTESIAN_POINT('',(38.,28.627,0.)); #547765=CARTESIAN_POINT('',(38.,28.627,0.035)); #547766=CARTESIAN_POINT('',(38.,28.627,0.035)); #547767=CARTESIAN_POINT('',(38.,28.627,0.)); #547768=CARTESIAN_POINT('Origin',(42.006072,28.627,0.)); #547769=CARTESIAN_POINT('',(42.006072,28.627,0.)); #547770=CARTESIAN_POINT('',(42.006072,28.627,0.)); #547771=CARTESIAN_POINT('',(42.006072,28.627,0.035)); #547772=CARTESIAN_POINT('',(42.006072,28.627,0.035)); #547773=CARTESIAN_POINT('',(42.006072,28.627,0.)); #547774=CARTESIAN_POINT('Origin',(42.25,28.5000000647263,0.)); #547775=CARTESIAN_POINT('',(42.493928,28.627,0.)); #547776=CARTESIAN_POINT('Origin',(42.25,28.5000000647263,0.)); #547777=CARTESIAN_POINT('',(42.493928,28.627,0.035)); #547778=CARTESIAN_POINT('Origin',(42.25,28.5000000647263,0.035)); #547779=CARTESIAN_POINT('',(42.493928,28.627,0.)); #547780=CARTESIAN_POINT('Origin',(44.947394,28.627,0.)); #547781=CARTESIAN_POINT('',(44.947394,28.627,0.)); #547782=CARTESIAN_POINT('',(44.947394,28.627,0.)); #547783=CARTESIAN_POINT('',(44.947394,28.627,0.035)); #547784=CARTESIAN_POINT('',(44.947394,28.627,0.035)); #547785=CARTESIAN_POINT('',(44.947394,28.627,0.)); #547786=CARTESIAN_POINT('Origin',(46.123,29.802606,0.)); #547787=CARTESIAN_POINT('',(46.123,29.802606,0.)); #547788=CARTESIAN_POINT('',(46.123,29.802606,0.)); #547789=CARTESIAN_POINT('',(46.123,29.802606,0.035)); #547790=CARTESIAN_POINT('',(46.123,29.802606,0.035)); #547791=CARTESIAN_POINT('',(46.123,29.802606,0.)); #547792=CARTESIAN_POINT('Origin',(46.123,33.184097,0.)); #547793=CARTESIAN_POINT('',(46.123,33.184097,0.)); #547794=CARTESIAN_POINT('',(46.123,33.184097,0.)); #547795=CARTESIAN_POINT('',(46.123,33.184097,0.035)); #547796=CARTESIAN_POINT('',(46.123,33.184097,0.035)); #547797=CARTESIAN_POINT('',(46.123,33.184097,0.)); #547798=CARTESIAN_POINT('Origin',(46.2500004596647,34.0001357157145,0.)); #547799=CARTESIAN_POINT('',(46.1288,34.817056,0.)); #547800=CARTESIAN_POINT('Origin',(46.2500004596647,34.0001357157145,0.)); #547801=CARTESIAN_POINT('',(46.1288,34.817056,0.035)); #547802=CARTESIAN_POINT('Origin',(46.2500004596647,34.0001357157145,0.035)); #547803=CARTESIAN_POINT('',(46.1288,34.817056,0.)); #547804=CARTESIAN_POINT('Origin',(46.2499798318516,34.0001326519093,0.)); #547805=CARTESIAN_POINT('',(46.377,33.184097,0.)); #547806=CARTESIAN_POINT('Origin',(46.2499798318516,34.0001326519093,0.)); #547807=CARTESIAN_POINT('',(46.377,33.184097,0.035)); #547808=CARTESIAN_POINT('Origin',(46.2499798318516,34.0001326519093,0.035)); #547809=CARTESIAN_POINT('',(46.377,33.184097,0.)); #547810=CARTESIAN_POINT('Origin',(46.377,29.75,0.)); #547811=CARTESIAN_POINT('',(46.377,29.75,0.)); #547812=CARTESIAN_POINT('',(46.377,29.75,0.)); #547813=CARTESIAN_POINT('',(46.377,29.75,0.035)); #547814=CARTESIAN_POINT('',(46.377,29.75,0.035)); #547815=CARTESIAN_POINT('',(46.377,29.75,0.)); #547816=CARTESIAN_POINT('Origin',(46.2499996912748,29.7499992502996,0.)); #547817=CARTESIAN_POINT('',(46.339803,29.660197,0.)); #547818=CARTESIAN_POINT('Origin',(46.2499996912748,29.7499992502996,0.)); #547819=CARTESIAN_POINT('',(46.339803,29.660197,0.035)); #547820=CARTESIAN_POINT('Origin',(46.2499996912748,29.7499992502996,0.035)); #547821=CARTESIAN_POINT('',(46.339803,29.660197,0.)); #547822=CARTESIAN_POINT('Origin',(45.089803,28.410197,0.)); #547823=CARTESIAN_POINT('',(45.089803,28.410197,0.)); #547824=CARTESIAN_POINT('',(45.089803,28.410197,0.)); #547825=CARTESIAN_POINT('',(45.089803,28.410197,0.035)); #547826=CARTESIAN_POINT('',(45.089803,28.410197,0.035)); #547827=CARTESIAN_POINT('',(45.089803,28.410197,0.)); #547828=CARTESIAN_POINT('Origin',(45.0000007497004,28.5000003087252,0.)); #547829=CARTESIAN_POINT('',(45.,28.373,0.)); #547830=CARTESIAN_POINT('Origin',(45.0000007497004,28.5000003087252,0.)); #547831=CARTESIAN_POINT('',(45.,28.373,0.035)); #547832=CARTESIAN_POINT('Origin',(45.0000007497004,28.5000003087252,0.035)); #547833=CARTESIAN_POINT('',(45.,28.373,0.)); #547834=CARTESIAN_POINT('Origin',(42.493928,28.373,0.)); #547835=CARTESIAN_POINT('',(42.493928,28.373,0.)); #547836=CARTESIAN_POINT('',(42.493928,28.373,0.)); #547837=CARTESIAN_POINT('',(42.493928,28.373,0.035)); #547838=CARTESIAN_POINT('',(42.493928,28.373,0.035)); #547839=CARTESIAN_POINT('',(42.493928,28.373,0.)); #547840=CARTESIAN_POINT('Origin',(42.25,28.4999999352737,0.)); #547841=CARTESIAN_POINT('',(42.006072,28.373,0.)); #547842=CARTESIAN_POINT('Origin',(42.25,28.4999999352737,0.)); #547843=CARTESIAN_POINT('',(42.006072,28.373,0.035)); #547844=CARTESIAN_POINT('Origin',(42.25,28.4999999352737,0.035)); #547845=CARTESIAN_POINT('',(42.006072,28.373,0.)); #547846=CARTESIAN_POINT('Origin',(38.,28.373,0.)); #547847=CARTESIAN_POINT('',(38.,28.373,0.)); #547848=CARTESIAN_POINT('',(38.,28.373,0.)); #547849=CARTESIAN_POINT('',(38.,28.373,0.035)); #547850=CARTESIAN_POINT('',(38.,28.373,0.035)); #547851=CARTESIAN_POINT('',(38.,28.373,0.)); #547852=CARTESIAN_POINT('Origin',(38.,28.1176,0.)); #547853=CARTESIAN_POINT('',(38.,28.1176,0.)); #547854=CARTESIAN_POINT('',(38.,28.1176,0.)); #547855=CARTESIAN_POINT('',(38.,28.1176,0.035)); #547856=CARTESIAN_POINT('',(38.,28.1176,0.035)); #547857=CARTESIAN_POINT('',(38.,28.1176,0.)); #547858=CARTESIAN_POINT('Origin',(37.991463,28.071819,0.)); #547859=CARTESIAN_POINT('',(37.991463,28.071819,0.)); #547860=CARTESIAN_POINT('',(37.991463,28.071819,0.)); #547861=CARTESIAN_POINT('',(37.991463,28.071819,0.035)); #547862=CARTESIAN_POINT('',(37.991463,28.071819,0.035)); #547863=CARTESIAN_POINT('',(37.991463,28.071819,0.)); #547864=CARTESIAN_POINT('Origin',(37.964222,28.029241,0.)); #547865=CARTESIAN_POINT('',(37.964222,28.029241,0.)); #547866=CARTESIAN_POINT('',(37.964222,28.029241,0.)); #547867=CARTESIAN_POINT('',(37.964222,28.029241,0.035)); #547868=CARTESIAN_POINT('',(37.964222,28.029241,0.035)); #547869=CARTESIAN_POINT('',(37.964222,28.029241,0.)); #547870=CARTESIAN_POINT('Origin',(37.922534,28.000659,0.)); #547871=CARTESIAN_POINT('',(37.922534,28.000659,0.)); #547872=CARTESIAN_POINT('',(37.922534,28.000659,0.)); #547873=CARTESIAN_POINT('',(37.922534,28.000659,0.035)); #547874=CARTESIAN_POINT('',(37.922534,28.000659,0.035)); #547875=CARTESIAN_POINT('',(37.922534,28.000659,0.)); #547876=CARTESIAN_POINT('Origin',(37.913547,27.998834,0.)); #547877=CARTESIAN_POINT('',(37.913547,27.998834,0.)); #547878=CARTESIAN_POINT('',(37.913547,27.998834,0.)); #547879=CARTESIAN_POINT('',(37.913547,27.998834,0.035)); #547880=CARTESIAN_POINT('',(37.913547,27.998834,0.035)); #547881=CARTESIAN_POINT('',(37.913547,27.998834,0.)); #547882=CARTESIAN_POINT('Origin',(36.868009,26.953297,0.)); #547883=CARTESIAN_POINT('',(36.868009,26.953297,0.)); #547884=CARTESIAN_POINT('',(36.868009,26.953297,0.)); #547885=CARTESIAN_POINT('',(36.868009,26.953297,0.035)); #547886=CARTESIAN_POINT('',(36.868009,26.953297,0.035)); #547887=CARTESIAN_POINT('',(36.868009,26.953297,0.)); #547888=CARTESIAN_POINT('Origin',(36.827741,26.926159,0.)); #547889=CARTESIAN_POINT('',(36.827741,26.926159,0.)); #547890=CARTESIAN_POINT('',(36.827741,26.926159,0.035)); #547891=CARTESIAN_POINT('Origin',(38.12538092605,34.6211128852424,0.035)); #547892=CARTESIAN_POINT('Origin',(38.12538092605,34.6211128852424,0.)); #547893=CARTESIAN_POINT('Origin',(40.75,27.,-200.)); #547894=CARTESIAN_POINT('',(40.61,27.,0.)); #547895=CARTESIAN_POINT('Origin',(40.75,27.,0.)); #547896=CARTESIAN_POINT('',(40.61,27.,0.0349999999999895)); #547897=CARTESIAN_POINT('',(40.61,27.,-200.)); #547898=CARTESIAN_POINT('Origin',(40.75,27.,0.0349999999999895)); #547899=CARTESIAN_POINT('Origin',(20.4856,23.098,0.)); #547900=CARTESIAN_POINT('',(20.4856,23.098,0.)); #547901=CARTESIAN_POINT('',(20.491697,23.098678,0.)); #547902=CARTESIAN_POINT('',(20.4856,23.098,0.)); #547903=CARTESIAN_POINT('',(20.491697,23.098678,0.035)); #547904=CARTESIAN_POINT('',(20.491697,23.098678,0.)); #547905=CARTESIAN_POINT('',(20.4856,23.098,0.035)); #547906=CARTESIAN_POINT('',(20.4856,23.098,0.035)); #547907=CARTESIAN_POINT('',(20.4856,23.098,0.)); #547908=CARTESIAN_POINT('Origin',(19.5144,23.098,0.)); #547909=CARTESIAN_POINT('',(19.5144,23.098,0.)); #547910=CARTESIAN_POINT('',(19.5144,23.098,0.)); #547911=CARTESIAN_POINT('',(19.5144,23.098,0.035)); #547912=CARTESIAN_POINT('',(19.5144,23.098,0.035)); #547913=CARTESIAN_POINT('',(19.5144,23.098,0.)); #547914=CARTESIAN_POINT('Origin',(19.514400595999,23.2249997563998,0.)); #547915=CARTESIAN_POINT('',(19.423591,23.136216,0.)); #547916=CARTESIAN_POINT('Origin',(19.514400595999,23.2249997563998,0.)); #547917=CARTESIAN_POINT('',(19.423591,23.136216,0.035)); #547918=CARTESIAN_POINT('Origin',(19.514400595999,23.2249997563998,0.035)); #547919=CARTESIAN_POINT('',(19.423591,23.136216,0.)); #547920=CARTESIAN_POINT('Origin',(19.053259,23.515,0.)); #547921=CARTESIAN_POINT('',(19.053259,23.515,0.)); #547922=CARTESIAN_POINT('',(19.053259,23.515,0.)); #547923=CARTESIAN_POINT('',(19.053259,23.515,0.035)); #547924=CARTESIAN_POINT('',(19.053259,23.515,0.035)); #547925=CARTESIAN_POINT('',(19.053259,23.515,0.)); #547926=CARTESIAN_POINT('Origin',(18.7325,23.515,0.)); #547927=CARTESIAN_POINT('',(18.7325,23.515,0.)); #547928=CARTESIAN_POINT('',(18.7325,23.515,0.)); #547929=CARTESIAN_POINT('',(18.7325,23.515,0.035)); #547930=CARTESIAN_POINT('',(18.7325,23.515,0.035)); #547931=CARTESIAN_POINT('',(18.7325,23.515,0.)); #547932=CARTESIAN_POINT('Origin',(18.7325,24.785,0.)); #547933=CARTESIAN_POINT('',(18.7325,24.785,0.)); #547934=CARTESIAN_POINT('',(18.7325,24.785,0.)); #547935=CARTESIAN_POINT('',(18.7325,24.785,0.035)); #547936=CARTESIAN_POINT('',(18.7325,24.785,0.035)); #547937=CARTESIAN_POINT('',(18.7325,24.785,0.)); #547938=CARTESIAN_POINT('Origin',(19.3675,24.785,0.)); #547939=CARTESIAN_POINT('',(19.3675,24.785,0.)); #547940=CARTESIAN_POINT('',(19.3675,24.785,0.)); #547941=CARTESIAN_POINT('',(19.3675,24.785,0.035)); #547942=CARTESIAN_POINT('',(19.3675,24.785,0.035)); #547943=CARTESIAN_POINT('',(19.3675,24.785,0.)); #547944=CARTESIAN_POINT('Origin',(19.3675,23.556919,0.)); #547945=CARTESIAN_POINT('',(19.3675,23.556919,0.)); #547946=CARTESIAN_POINT('',(19.3675,23.556919,0.)); #547947=CARTESIAN_POINT('',(19.3675,23.556919,0.035)); #547948=CARTESIAN_POINT('',(19.3675,23.556919,0.035)); #547949=CARTESIAN_POINT('',(19.3675,23.556919,0.)); #547950=CARTESIAN_POINT('Origin',(19.567847,23.352,0.)); #547951=CARTESIAN_POINT('',(19.567847,23.352,0.)); #547952=CARTESIAN_POINT('',(19.567847,23.352,0.)); #547953=CARTESIAN_POINT('',(19.567847,23.352,0.035)); #547954=CARTESIAN_POINT('',(19.567847,23.352,0.035)); #547955=CARTESIAN_POINT('',(19.567847,23.352,0.)); #547956=CARTESIAN_POINT('Origin',(20.432153,23.352,0.)); #547957=CARTESIAN_POINT('',(20.432153,23.352,0.)); #547958=CARTESIAN_POINT('',(20.432153,23.352,0.)); #547959=CARTESIAN_POINT('',(20.432153,23.352,0.035)); #547960=CARTESIAN_POINT('',(20.432153,23.352,0.035)); #547961=CARTESIAN_POINT('',(20.432153,23.352,0.)); #547962=CARTESIAN_POINT('Origin',(20.6325,23.556919,0.)); #547963=CARTESIAN_POINT('',(20.6325,23.556919,0.)); #547964=CARTESIAN_POINT('',(20.6325,23.556919,0.)); #547965=CARTESIAN_POINT('',(20.6325,23.556919,0.035)); #547966=CARTESIAN_POINT('',(20.6325,23.556919,0.035)); #547967=CARTESIAN_POINT('',(20.6325,23.556919,0.)); #547968=CARTESIAN_POINT('Origin',(20.6325,24.785,0.)); #547969=CARTESIAN_POINT('',(20.6325,24.785,0.)); #547970=CARTESIAN_POINT('',(20.6325,24.785,0.)); #547971=CARTESIAN_POINT('',(20.6325,24.785,0.035)); #547972=CARTESIAN_POINT('',(20.6325,24.785,0.035)); #547973=CARTESIAN_POINT('',(20.6325,24.785,0.)); #547974=CARTESIAN_POINT('Origin',(20.823,24.785,0.)); #547975=CARTESIAN_POINT('',(20.823,24.785,0.)); #547976=CARTESIAN_POINT('',(20.823,24.785,0.)); #547977=CARTESIAN_POINT('',(20.823,24.785,0.035)); #547978=CARTESIAN_POINT('',(20.823,24.785,0.035)); #547979=CARTESIAN_POINT('',(20.823,24.785,0.)); #547980=CARTESIAN_POINT('Origin',(20.823,25.5555,0.)); #547981=CARTESIAN_POINT('',(20.823,25.5555,0.)); #547982=CARTESIAN_POINT('',(20.823,25.5555,0.)); #547983=CARTESIAN_POINT('',(20.823,25.5555,0.035)); #547984=CARTESIAN_POINT('',(20.823,25.5555,0.035)); #547985=CARTESIAN_POINT('',(20.823,25.5555,0.)); #547986=CARTESIAN_POINT('Origin',(20.3429,25.5555,0.)); #547987=CARTESIAN_POINT('',(20.3429,25.5555,0.)); #547988=CARTESIAN_POINT('',(20.3429,25.5555,0.)); #547989=CARTESIAN_POINT('',(20.3429,25.5555,0.035)); #547990=CARTESIAN_POINT('',(20.3429,25.5555,0.035)); #547991=CARTESIAN_POINT('',(20.3429,25.5555,0.)); #547992=CARTESIAN_POINT('Origin',(20.3429,26.4445,0.)); #547993=CARTESIAN_POINT('',(20.3429,26.4445,0.)); #547994=CARTESIAN_POINT('',(20.3429,26.4445,0.)); #547995=CARTESIAN_POINT('',(20.3429,26.4445,0.035)); #547996=CARTESIAN_POINT('',(20.3429,26.4445,0.035)); #547997=CARTESIAN_POINT('',(20.3429,26.4445,0.)); #547998=CARTESIAN_POINT('Origin',(21.1811,26.4445,0.)); #547999=CARTESIAN_POINT('',(21.1811,26.4445,0.)); #548000=CARTESIAN_POINT('',(21.1811,26.4445,0.)); #548001=CARTESIAN_POINT('',(21.1811,26.4445,0.035)); #548002=CARTESIAN_POINT('',(21.1811,26.4445,0.035)); #548003=CARTESIAN_POINT('',(21.1811,26.4445,0.)); #548004=CARTESIAN_POINT('Origin',(21.1811,25.5555,0.)); #548005=CARTESIAN_POINT('',(21.1811,25.5555,0.)); #548006=CARTESIAN_POINT('',(21.1811,25.5555,0.)); #548007=CARTESIAN_POINT('',(21.1811,25.5555,0.035)); #548008=CARTESIAN_POINT('',(21.1811,25.5555,0.035)); #548009=CARTESIAN_POINT('',(21.1811,25.5555,0.)); #548010=CARTESIAN_POINT('Origin',(21.077,25.5555,0.)); #548011=CARTESIAN_POINT('',(21.077,25.5555,0.)); #548012=CARTESIAN_POINT('',(21.077,25.5555,0.)); #548013=CARTESIAN_POINT('',(21.077,25.5555,0.035)); #548014=CARTESIAN_POINT('',(21.077,25.5555,0.035)); #548015=CARTESIAN_POINT('',(21.077,25.5555,0.)); #548016=CARTESIAN_POINT('Origin',(21.077,24.785,0.)); #548017=CARTESIAN_POINT('',(21.077,24.785,0.)); #548018=CARTESIAN_POINT('',(21.077,24.785,0.)); #548019=CARTESIAN_POINT('',(21.077,24.785,0.035)); #548020=CARTESIAN_POINT('',(21.077,24.785,0.035)); #548021=CARTESIAN_POINT('',(21.077,24.785,0.)); #548022=CARTESIAN_POINT('Origin',(21.2675,24.785,0.)); #548023=CARTESIAN_POINT('',(21.2675,24.785,0.)); #548024=CARTESIAN_POINT('',(21.2675,24.785,0.)); #548025=CARTESIAN_POINT('',(21.2675,24.785,0.035)); #548026=CARTESIAN_POINT('',(21.2675,24.785,0.035)); #548027=CARTESIAN_POINT('',(21.2675,24.785,0.)); #548028=CARTESIAN_POINT('Origin',(21.2675,24.277,0.)); #548029=CARTESIAN_POINT('',(21.2675,24.277,0.)); #548030=CARTESIAN_POINT('',(21.2675,24.277,0.)); #548031=CARTESIAN_POINT('',(21.2675,24.277,0.035)); #548032=CARTESIAN_POINT('',(21.2675,24.277,0.035)); #548033=CARTESIAN_POINT('',(21.2675,24.277,0.)); #548034=CARTESIAN_POINT('Origin',(23.35,24.277,0.)); #548035=CARTESIAN_POINT('',(23.35,24.277,0.)); #548036=CARTESIAN_POINT('',(23.35,24.277,0.)); #548037=CARTESIAN_POINT('',(23.35,24.277,0.035)); #548038=CARTESIAN_POINT('',(23.35,24.277,0.035)); #548039=CARTESIAN_POINT('',(23.35,24.277,0.)); #548040=CARTESIAN_POINT('Origin',(23.3500007497004,24.1499996912748,0.)); #548041=CARTESIAN_POINT('',(23.439803,24.239803,0.)); #548042=CARTESIAN_POINT('Origin',(23.3500007497004,24.1499996912748,0.)); #548043=CARTESIAN_POINT('',(23.439803,24.239803,0.035)); #548044=CARTESIAN_POINT('Origin',(23.3500007497004,24.1499996912748,0.035)); #548045=CARTESIAN_POINT('',(23.439803,24.239803,0.)); #548046=CARTESIAN_POINT('Origin',(23.802606,23.877,0.)); #548047=CARTESIAN_POINT('',(23.802606,23.877,0.)); #548048=CARTESIAN_POINT('',(23.802606,23.877,0.)); #548049=CARTESIAN_POINT('',(23.802606,23.877,0.035)); #548050=CARTESIAN_POINT('',(23.802606,23.877,0.035)); #548051=CARTESIAN_POINT('',(23.802606,23.877,0.)); #548052=CARTESIAN_POINT('Origin',(28.447394,23.877,0.)); #548053=CARTESIAN_POINT('',(28.447394,23.877,0.)); #548054=CARTESIAN_POINT('',(28.447394,23.877,0.)); #548055=CARTESIAN_POINT('',(28.447394,23.877,0.035)); #548056=CARTESIAN_POINT('',(28.447394,23.877,0.035)); #548057=CARTESIAN_POINT('',(28.447394,23.877,0.)); #548058=CARTESIAN_POINT('Origin',(30.972697,26.402303,0.)); #548059=CARTESIAN_POINT('',(30.972697,26.402303,0.)); #548060=CARTESIAN_POINT('',(30.972697,26.402303,0.)); #548061=CARTESIAN_POINT('',(30.972697,26.402303,0.035)); #548062=CARTESIAN_POINT('',(30.972697,26.402303,0.035)); #548063=CARTESIAN_POINT('',(30.972697,26.402303,0.)); #548064=CARTESIAN_POINT('Origin',(31.0624992502996,26.3124996912748,0.)); #548065=CARTESIAN_POINT('',(31.0625,26.4395,0.)); #548066=CARTESIAN_POINT('Origin',(31.0624992502996,26.3124996912748,0.)); #548067=CARTESIAN_POINT('',(31.0625,26.4395,0.035)); #548068=CARTESIAN_POINT('Origin',(31.0624992502996,26.3124996912748,0.035)); #548069=CARTESIAN_POINT('',(31.0625,26.4395,0.)); #548070=CARTESIAN_POINT('Origin',(37.572394,26.4395,0.)); #548071=CARTESIAN_POINT('',(37.572394,26.4395,0.)); #548072=CARTESIAN_POINT('',(37.572394,26.4395,0.)); #548073=CARTESIAN_POINT('',(37.572394,26.4395,0.035)); #548074=CARTESIAN_POINT('',(37.572394,26.4395,0.035)); #548075=CARTESIAN_POINT('',(37.572394,26.4395,0.)); #548076=CARTESIAN_POINT('Origin',(38.222697,27.089803,0.)); #548077=CARTESIAN_POINT('',(38.222697,27.089803,0.)); #548078=CARTESIAN_POINT('',(38.222697,27.089803,0.)); #548079=CARTESIAN_POINT('',(38.222697,27.089803,0.035)); #548080=CARTESIAN_POINT('',(38.222697,27.089803,0.035)); #548081=CARTESIAN_POINT('',(38.222697,27.089803,0.)); #548082=CARTESIAN_POINT('Origin',(38.3124992502996,26.9999996912748,0.)); #548083=CARTESIAN_POINT('',(38.3125,27.127,0.)); #548084=CARTESIAN_POINT('Origin',(38.3124992502996,26.9999996912748,0.)); #548085=CARTESIAN_POINT('',(38.3125,27.127,0.035)); #548086=CARTESIAN_POINT('Origin',(38.3124992502996,26.9999996912748,0.035)); #548087=CARTESIAN_POINT('',(38.3125,27.127,0.)); #548088=CARTESIAN_POINT('Origin',(40.506072,27.127,0.)); #548089=CARTESIAN_POINT('',(40.506072,27.127,0.)); #548090=CARTESIAN_POINT('',(40.506072,27.127,0.)); #548091=CARTESIAN_POINT('',(40.506072,27.127,0.035)); #548092=CARTESIAN_POINT('',(40.506072,27.127,0.035)); #548093=CARTESIAN_POINT('',(40.506072,27.127,0.)); #548094=CARTESIAN_POINT('Origin',(40.7504640048651,26.9999800398209,0.)); #548095=CARTESIAN_POINT('',(41.004853,27.105563,0.)); #548096=CARTESIAN_POINT('Origin',(40.7504640048651,26.9999800398209,0.)); #548097=CARTESIAN_POINT('',(41.004853,27.105563,0.035)); #548098=CARTESIAN_POINT('Origin',(40.7504640048651,26.9999800398209,0.035)); #548099=CARTESIAN_POINT('',(41.004853,27.105563,0.)); #548100=CARTESIAN_POINT('Origin',(40.7503817414141,27.0001782714048,0.)); #548101=CARTESIAN_POINT('',(40.506072,26.873,0.)); #548102=CARTESIAN_POINT('Origin',(40.7503817414141,27.0001782714048,0.)); #548103=CARTESIAN_POINT('',(40.506072,26.873,0.035)); #548104=CARTESIAN_POINT('Origin',(40.7503817414141,27.0001782714048,0.035)); #548105=CARTESIAN_POINT('',(40.506072,26.873,0.)); #548106=CARTESIAN_POINT('Origin',(38.365106,26.873,0.)); #548107=CARTESIAN_POINT('',(38.365106,26.873,0.)); #548108=CARTESIAN_POINT('',(38.365106,26.873,0.)); #548109=CARTESIAN_POINT('',(38.365106,26.873,0.035)); #548110=CARTESIAN_POINT('',(38.365106,26.873,0.035)); #548111=CARTESIAN_POINT('',(38.365106,26.873,0.)); #548112=CARTESIAN_POINT('Origin',(37.714803,26.222697,0.)); #548113=CARTESIAN_POINT('',(37.714803,26.222697,0.)); #548114=CARTESIAN_POINT('',(37.714803,26.222697,0.)); #548115=CARTESIAN_POINT('',(37.714803,26.222697,0.035)); #548116=CARTESIAN_POINT('',(37.714803,26.222697,0.035)); #548117=CARTESIAN_POINT('',(37.714803,26.222697,0.)); #548118=CARTESIAN_POINT('Origin',(37.6250007497004,26.3125003087252,0.)); #548119=CARTESIAN_POINT('',(37.625,26.1855,0.)); #548120=CARTESIAN_POINT('Origin',(37.6250007497004,26.3125003087252,0.)); #548121=CARTESIAN_POINT('',(37.625,26.1855,0.035)); #548122=CARTESIAN_POINT('Origin',(37.6250007497004,26.3125003087252,0.035)); #548123=CARTESIAN_POINT('',(37.625,26.1855,0.)); #548124=CARTESIAN_POINT('Origin',(31.115106,26.1855,0.)); #548125=CARTESIAN_POINT('',(31.115106,26.1855,0.)); #548126=CARTESIAN_POINT('',(31.115106,26.1855,0.)); #548127=CARTESIAN_POINT('',(31.115106,26.1855,0.035)); #548128=CARTESIAN_POINT('',(31.115106,26.1855,0.035)); #548129=CARTESIAN_POINT('',(31.115106,26.1855,0.)); #548130=CARTESIAN_POINT('Origin',(28.589803,23.660197,0.)); #548131=CARTESIAN_POINT('',(28.589803,23.660197,0.)); #548132=CARTESIAN_POINT('',(28.589803,23.660197,0.)); #548133=CARTESIAN_POINT('',(28.589803,23.660197,0.035)); #548134=CARTESIAN_POINT('',(28.589803,23.660197,0.035)); #548135=CARTESIAN_POINT('',(28.589803,23.660197,0.)); #548136=CARTESIAN_POINT('Origin',(28.5000007497004,23.7500003087252,0.)); #548137=CARTESIAN_POINT('',(28.5,23.623,0.)); #548138=CARTESIAN_POINT('Origin',(28.5000007497004,23.7500003087252,0.)); #548139=CARTESIAN_POINT('',(28.5,23.623,0.035)); #548140=CARTESIAN_POINT('Origin',(28.5000007497004,23.7500003087252,0.035)); #548141=CARTESIAN_POINT('',(28.5,23.623,0.)); #548142=CARTESIAN_POINT('Origin',(23.75,23.623,0.)); #548143=CARTESIAN_POINT('',(23.75,23.623,0.)); #548144=CARTESIAN_POINT('',(23.75,23.623,0.)); #548145=CARTESIAN_POINT('',(23.75,23.623,0.035)); #548146=CARTESIAN_POINT('',(23.75,23.623,0.035)); #548147=CARTESIAN_POINT('',(23.75,23.623,0.)); #548148=CARTESIAN_POINT('Origin',(23.7499992502996,23.7500003087252,0.)); #548149=CARTESIAN_POINT('',(23.660197,23.660197,0.)); #548150=CARTESIAN_POINT('Origin',(23.7499992502996,23.7500003087252,0.)); #548151=CARTESIAN_POINT('',(23.660197,23.660197,0.035)); #548152=CARTESIAN_POINT('Origin',(23.7499992502996,23.7500003087252,0.035)); #548153=CARTESIAN_POINT('',(23.660197,23.660197,0.)); #548154=CARTESIAN_POINT('Origin',(23.297394,24.023,0.)); #548155=CARTESIAN_POINT('',(23.297394,24.023,0.)); #548156=CARTESIAN_POINT('',(23.297394,24.023,0.)); #548157=CARTESIAN_POINT('',(23.297394,24.023,0.035)); #548158=CARTESIAN_POINT('',(23.297394,24.023,0.035)); #548159=CARTESIAN_POINT('',(23.297394,24.023,0.)); #548160=CARTESIAN_POINT('Origin',(21.2675,24.023,0.)); #548161=CARTESIAN_POINT('',(21.2675,24.023,0.)); #548162=CARTESIAN_POINT('',(21.2675,24.023,0.)); #548163=CARTESIAN_POINT('',(21.2675,24.023,0.035)); #548164=CARTESIAN_POINT('',(21.2675,24.023,0.035)); #548165=CARTESIAN_POINT('',(21.2675,24.023,0.)); #548166=CARTESIAN_POINT('Origin',(21.2675,23.515,0.)); #548167=CARTESIAN_POINT('',(21.2675,23.515,0.)); #548168=CARTESIAN_POINT('',(21.2675,23.515,0.)); #548169=CARTESIAN_POINT('',(21.2675,23.515,0.035)); #548170=CARTESIAN_POINT('',(21.2675,23.515,0.035)); #548171=CARTESIAN_POINT('',(21.2675,23.515,0.)); #548172=CARTESIAN_POINT('Origin',(20.946741,23.515,0.)); #548173=CARTESIAN_POINT('',(20.946741,23.515,0.)); #548174=CARTESIAN_POINT('',(20.946741,23.515,0.)); #548175=CARTESIAN_POINT('',(20.946741,23.515,0.035)); #548176=CARTESIAN_POINT('',(20.946741,23.515,0.035)); #548177=CARTESIAN_POINT('',(20.946741,23.515,0.)); #548178=CARTESIAN_POINT('Origin',(20.576409,23.136216,0.)); #548179=CARTESIAN_POINT('',(20.576409,23.136216,0.)); #548180=CARTESIAN_POINT('',(20.576409,23.136216,0.)); #548181=CARTESIAN_POINT('',(20.576409,23.136216,0.035)); #548182=CARTESIAN_POINT('',(20.576409,23.136216,0.035)); #548183=CARTESIAN_POINT('',(20.576409,23.136216,0.)); #548184=CARTESIAN_POINT('Origin',(20.4862618268028,23.2252973346977,0.)); #548185=CARTESIAN_POINT('Origin',(20.4862618268028,23.2252973346977,0.)); #548186=CARTESIAN_POINT('Origin',(20.4862618268028,23.2252973346977,0.035)); #548187=CARTESIAN_POINT('Origin',(28.3902979411653,25.1366077178745,0.035)); #548188=CARTESIAN_POINT('Origin',(28.3902979411653,25.1366077178745,0.)); #548189=CARTESIAN_POINT('Origin',(14.5,21.75,-200.)); #548190=CARTESIAN_POINT('',(14.36,21.75,0.)); #548191=CARTESIAN_POINT('Origin',(14.5,21.75,0.)); #548192=CARTESIAN_POINT('',(14.36,21.75,0.0349999999999895)); #548193=CARTESIAN_POINT('',(14.36,21.75,-200.)); #548194=CARTESIAN_POINT('Origin',(14.5,21.75,0.0349999999999895)); #548195=CARTESIAN_POINT('Origin',(14.5,21.,-200.)); #548196=CARTESIAN_POINT('',(14.36,21.,0.)); #548197=CARTESIAN_POINT('Origin',(14.5,21.,0.)); #548198=CARTESIAN_POINT('',(14.36,21.,0.0349999999999895)); #548199=CARTESIAN_POINT('',(14.36,21.,-200.)); #548200=CARTESIAN_POINT('Origin',(14.5,21.,0.0349999999999895)); #548201=CARTESIAN_POINT('Origin',(16.,20.25,-200.)); #548202=CARTESIAN_POINT('',(15.86,20.25,0.)); #548203=CARTESIAN_POINT('Origin',(16.,20.25,0.)); #548204=CARTESIAN_POINT('',(15.86,20.25,0.0349999999999895)); #548205=CARTESIAN_POINT('',(15.86,20.25,-200.)); #548206=CARTESIAN_POINT('Origin',(16.,20.25,0.0349999999999895)); #548207=CARTESIAN_POINT('Origin',(14.5,20.25,-200.)); #548208=CARTESIAN_POINT('',(14.36,20.25,0.)); #548209=CARTESIAN_POINT('Origin',(14.5,20.25,0.)); #548210=CARTESIAN_POINT('',(14.36,20.25,0.0349999999999895)); #548211=CARTESIAN_POINT('',(14.36,20.25,-200.)); #548212=CARTESIAN_POINT('Origin',(14.5,20.25,0.0349999999999895)); #548213=CARTESIAN_POINT('Origin',(15.25,20.25,-200.)); #548214=CARTESIAN_POINT('',(15.11,20.25,0.)); #548215=CARTESIAN_POINT('Origin',(15.25,20.25,0.)); #548216=CARTESIAN_POINT('',(15.11,20.25,0.0349999999999895)); #548217=CARTESIAN_POINT('',(15.11,20.25,-200.)); #548218=CARTESIAN_POINT('Origin',(15.25,20.25,0.0349999999999895)); #548219=CARTESIAN_POINT('Origin',(15.25,21.75,-200.)); #548220=CARTESIAN_POINT('',(15.11,21.75,0.)); #548221=CARTESIAN_POINT('Origin',(15.25,21.75,0.)); #548222=CARTESIAN_POINT('',(15.11,21.75,0.0349999999999895)); #548223=CARTESIAN_POINT('',(15.11,21.75,-200.)); #548224=CARTESIAN_POINT('Origin',(15.25,21.75,0.0349999999999895)); #548225=CARTESIAN_POINT('Origin',(16.,21.75,-200.)); #548226=CARTESIAN_POINT('',(15.86,21.75,0.)); #548227=CARTESIAN_POINT('Origin',(16.,21.75,0.)); #548228=CARTESIAN_POINT('',(15.86,21.75,0.0349999999999895)); #548229=CARTESIAN_POINT('',(15.86,21.75,-200.)); #548230=CARTESIAN_POINT('Origin',(16.,21.75,0.0349999999999895)); #548231=CARTESIAN_POINT('Origin',(16.,21.,-200.)); #548232=CARTESIAN_POINT('',(15.86,21.,0.)); #548233=CARTESIAN_POINT('Origin',(16.,21.,0.)); #548234=CARTESIAN_POINT('',(15.86,21.,0.0349999999999895)); #548235=CARTESIAN_POINT('',(15.86,21.,-200.)); #548236=CARTESIAN_POINT('Origin',(16.,21.,0.0349999999999895)); #548237=CARTESIAN_POINT('Origin',(15.75,34.,-200.)); #548238=CARTESIAN_POINT('',(15.285,34.,0.)); #548239=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #548240=CARTESIAN_POINT('',(15.285,34.,0.0349999999999895)); #548241=CARTESIAN_POINT('',(15.285,34.,-200.)); #548242=CARTESIAN_POINT('Origin',(15.75,34.,0.0349999999999895)); #548243=CARTESIAN_POINT('Origin',(31.5,27.75,-200.)); #548244=CARTESIAN_POINT('',(31.035,27.75,0.)); #548245=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #548246=CARTESIAN_POINT('',(31.035,27.75,0.0349999999999895)); #548247=CARTESIAN_POINT('',(31.035,27.75,-200.)); #548248=CARTESIAN_POINT('Origin',(31.5,27.75,0.0349999999999895)); #548249=CARTESIAN_POINT('Origin',(21.75,34.,-200.)); #548250=CARTESIAN_POINT('',(21.235,34.,0.)); #548251=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #548252=CARTESIAN_POINT('',(21.235,34.,0.0349999999999895)); #548253=CARTESIAN_POINT('',(21.235,34.,-200.)); #548254=CARTESIAN_POINT('Origin',(21.75,34.,0.0349999999999895)); #548255=CARTESIAN_POINT('Origin',(15.25,21.,-200.)); #548256=CARTESIAN_POINT('',(15.11,21.,0.)); #548257=CARTESIAN_POINT('Origin',(15.25,21.,0.)); #548258=CARTESIAN_POINT('',(15.11,21.,0.0349999999999895)); #548259=CARTESIAN_POINT('',(15.11,21.,-200.)); #548260=CARTESIAN_POINT('Origin',(15.25,21.,0.0349999999999895)); #548261=CARTESIAN_POINT('Origin',(16.447394,19.25,0.)); #548262=CARTESIAN_POINT('',(16.447394,19.25,0.)); #548263=CARTESIAN_POINT('',(16.496928,19.260059,0.)); #548264=CARTESIAN_POINT('',(16.447394,19.25,0.)); #548265=CARTESIAN_POINT('',(16.496928,19.260059,0.035)); #548266=CARTESIAN_POINT('',(16.496928,19.260059,0.)); #548267=CARTESIAN_POINT('',(16.447394,19.25,0.035)); #548268=CARTESIAN_POINT('',(16.447394,19.25,0.035)); #548269=CARTESIAN_POINT('',(16.447394,19.25,0.)); #548270=CARTESIAN_POINT('Origin',(14.302606,19.25,0.)); #548271=CARTESIAN_POINT('',(14.302606,19.25,0.)); #548272=CARTESIAN_POINT('',(14.302606,19.25,0.)); #548273=CARTESIAN_POINT('',(14.302606,19.25,0.035)); #548274=CARTESIAN_POINT('',(14.302606,19.25,0.035)); #548275=CARTESIAN_POINT('',(14.302606,19.25,0.)); #548276=CARTESIAN_POINT('Origin',(14.254944,19.259284,0.)); #548277=CARTESIAN_POINT('',(14.254944,19.259284,0.)); #548278=CARTESIAN_POINT('',(14.254944,19.259284,0.)); #548279=CARTESIAN_POINT('',(14.254944,19.259284,0.035)); #548280=CARTESIAN_POINT('',(14.254944,19.259284,0.035)); #548281=CARTESIAN_POINT('',(14.254944,19.259284,0.)); #548282=CARTESIAN_POINT('Origin',(14.212803,19.287197,0.)); #548283=CARTESIAN_POINT('',(14.212803,19.287197,0.)); #548284=CARTESIAN_POINT('',(14.212803,19.287197,0.)); #548285=CARTESIAN_POINT('',(14.212803,19.287197,0.035)); #548286=CARTESIAN_POINT('',(14.212803,19.287197,0.035)); #548287=CARTESIAN_POINT('',(14.212803,19.287197,0.)); #548288=CARTESIAN_POINT('Origin',(14.037197,19.462803,0.)); #548289=CARTESIAN_POINT('',(14.037197,19.462803,0.)); #548290=CARTESIAN_POINT('',(14.037197,19.462803,0.)); #548291=CARTESIAN_POINT('',(14.037197,19.462803,0.035)); #548292=CARTESIAN_POINT('',(14.037197,19.462803,0.035)); #548293=CARTESIAN_POINT('',(14.037197,19.462803,0.)); #548294=CARTESIAN_POINT('Origin',(14.010059,19.503072,0.)); #548295=CARTESIAN_POINT('',(14.010059,19.503072,0.)); #548296=CARTESIAN_POINT('',(14.010059,19.503072,0.)); #548297=CARTESIAN_POINT('',(14.010059,19.503072,0.035)); #548298=CARTESIAN_POINT('',(14.010059,19.503072,0.035)); #548299=CARTESIAN_POINT('',(14.010059,19.503072,0.)); #548300=CARTESIAN_POINT('Origin',(14.,19.552606,0.)); #548301=CARTESIAN_POINT('',(14.,19.552606,0.)); #548302=CARTESIAN_POINT('',(14.,19.552606,0.)); #548303=CARTESIAN_POINT('',(14.,19.552606,0.035)); #548304=CARTESIAN_POINT('',(14.,19.552606,0.035)); #548305=CARTESIAN_POINT('',(14.,19.552606,0.)); #548306=CARTESIAN_POINT('Origin',(14.,34.697394,0.)); #548307=CARTESIAN_POINT('',(14.,34.697394,0.)); #548308=CARTESIAN_POINT('',(14.,34.697394,0.)); #548309=CARTESIAN_POINT('',(14.,34.697394,0.035)); #548310=CARTESIAN_POINT('',(14.,34.697394,0.035)); #548311=CARTESIAN_POINT('',(14.,34.697394,0.)); #548312=CARTESIAN_POINT('Origin',(14.009284,34.745056,0.)); #548313=CARTESIAN_POINT('',(14.009284,34.745056,0.)); #548314=CARTESIAN_POINT('',(14.009284,34.745056,0.)); #548315=CARTESIAN_POINT('',(14.009284,34.745056,0.035)); #548316=CARTESIAN_POINT('',(14.009284,34.745056,0.035)); #548317=CARTESIAN_POINT('',(14.009284,34.745056,0.)); #548318=CARTESIAN_POINT('Origin',(14.037197,34.787197,0.)); #548319=CARTESIAN_POINT('',(14.037197,34.787197,0.)); #548320=CARTESIAN_POINT('',(14.037197,34.787197,0.)); #548321=CARTESIAN_POINT('',(14.037197,34.787197,0.035)); #548322=CARTESIAN_POINT('',(14.037197,34.787197,0.035)); #548323=CARTESIAN_POINT('',(14.037197,34.787197,0.)); #548324=CARTESIAN_POINT('Origin',(14.962803,35.712803,0.)); #548325=CARTESIAN_POINT('',(14.962803,35.712803,0.)); #548326=CARTESIAN_POINT('',(14.962803,35.712803,0.)); #548327=CARTESIAN_POINT('',(14.962803,35.712803,0.035)); #548328=CARTESIAN_POINT('',(14.962803,35.712803,0.035)); #548329=CARTESIAN_POINT('',(14.962803,35.712803,0.)); #548330=CARTESIAN_POINT('Origin',(15.003072,35.739941,0.)); #548331=CARTESIAN_POINT('',(15.003072,35.739941,0.)); #548332=CARTESIAN_POINT('',(15.003072,35.739941,0.)); #548333=CARTESIAN_POINT('',(15.003072,35.739941,0.035)); #548334=CARTESIAN_POINT('',(15.003072,35.739941,0.035)); #548335=CARTESIAN_POINT('',(15.003072,35.739941,0.)); #548336=CARTESIAN_POINT('Origin',(15.052606,35.75,0.)); #548337=CARTESIAN_POINT('',(15.052606,35.75,0.)); #548338=CARTESIAN_POINT('',(15.052606,35.75,0.)); #548339=CARTESIAN_POINT('',(15.052606,35.75,0.035)); #548340=CARTESIAN_POINT('',(15.052606,35.75,0.035)); #548341=CARTESIAN_POINT('',(15.052606,35.75,0.)); #548342=CARTESIAN_POINT('Origin',(21.947394,35.75,0.)); #548343=CARTESIAN_POINT('',(21.947394,35.75,0.)); #548344=CARTESIAN_POINT('',(21.947394,35.75,0.)); #548345=CARTESIAN_POINT('',(21.947394,35.75,0.035)); #548346=CARTESIAN_POINT('',(21.947394,35.75,0.035)); #548347=CARTESIAN_POINT('',(21.947394,35.75,0.)); #548348=CARTESIAN_POINT('Origin',(21.995056,35.740716,0.)); #548349=CARTESIAN_POINT('',(21.995056,35.740716,0.)); #548350=CARTESIAN_POINT('',(21.995056,35.740716,0.)); #548351=CARTESIAN_POINT('',(21.995056,35.740716,0.035)); #548352=CARTESIAN_POINT('',(21.995056,35.740716,0.035)); #548353=CARTESIAN_POINT('',(21.995056,35.740716,0.)); #548354=CARTESIAN_POINT('Origin',(22.037197,35.712803,0.)); #548355=CARTESIAN_POINT('',(22.037197,35.712803,0.)); #548356=CARTESIAN_POINT('',(22.037197,35.712803,0.)); #548357=CARTESIAN_POINT('',(22.037197,35.712803,0.035)); #548358=CARTESIAN_POINT('',(22.037197,35.712803,0.035)); #548359=CARTESIAN_POINT('',(22.037197,35.712803,0.)); #548360=CARTESIAN_POINT('Origin',(22.962803,34.787197,0.)); #548361=CARTESIAN_POINT('',(22.962803,34.787197,0.)); #548362=CARTESIAN_POINT('',(22.962803,34.787197,0.)); #548363=CARTESIAN_POINT('',(22.962803,34.787197,0.035)); #548364=CARTESIAN_POINT('',(22.962803,34.787197,0.035)); #548365=CARTESIAN_POINT('',(22.962803,34.787197,0.)); #548366=CARTESIAN_POINT('Origin',(22.989941,34.746928,0.)); #548367=CARTESIAN_POINT('',(22.989941,34.746928,0.)); #548368=CARTESIAN_POINT('',(22.989941,34.746928,0.)); #548369=CARTESIAN_POINT('',(22.989941,34.746928,0.035)); #548370=CARTESIAN_POINT('',(22.989941,34.746928,0.035)); #548371=CARTESIAN_POINT('',(22.989941,34.746928,0.)); #548372=CARTESIAN_POINT('Origin',(23.,34.697394,0.)); #548373=CARTESIAN_POINT('',(23.,34.697394,0.)); #548374=CARTESIAN_POINT('',(23.,34.697394,0.)); #548375=CARTESIAN_POINT('',(23.,34.697394,0.035)); #548376=CARTESIAN_POINT('',(23.,34.697394,0.035)); #548377=CARTESIAN_POINT('',(23.,34.697394,0.)); #548378=CARTESIAN_POINT('Origin',(23.,33.258994,0.)); #548379=CARTESIAN_POINT('',(23.,33.258994,0.)); #548380=CARTESIAN_POINT('',(23.,33.258994,0.)); #548381=CARTESIAN_POINT('',(23.,33.258994,0.035)); #548382=CARTESIAN_POINT('',(23.,33.258994,0.035)); #548383=CARTESIAN_POINT('',(23.,33.258994,0.)); #548384=CARTESIAN_POINT('Origin',(23.001284,33.249975,0.)); #548385=CARTESIAN_POINT('',(23.001284,33.249975,0.)); #548386=CARTESIAN_POINT('',(23.001284,33.249975,0.)); #548387=CARTESIAN_POINT('',(23.001284,33.249975,0.035)); #548388=CARTESIAN_POINT('',(23.001284,33.249975,0.035)); #548389=CARTESIAN_POINT('',(23.001284,33.249975,0.)); #548390=CARTESIAN_POINT('Origin',(23.005653,33.244347,0.)); #548391=CARTESIAN_POINT('',(23.005653,33.244347,0.)); #548392=CARTESIAN_POINT('',(23.005653,33.244347,0.)); #548393=CARTESIAN_POINT('',(23.005653,33.244347,0.035)); #548394=CARTESIAN_POINT('',(23.005653,33.244347,0.035)); #548395=CARTESIAN_POINT('',(23.005653,33.244347,0.)); #548396=CARTESIAN_POINT('Origin',(25.243634,31.006366,0.)); #548397=CARTESIAN_POINT('',(25.243634,31.006366,0.)); #548398=CARTESIAN_POINT('',(25.243634,31.006366,0.)); #548399=CARTESIAN_POINT('',(25.243634,31.006366,0.035)); #548400=CARTESIAN_POINT('',(25.243634,31.006366,0.035)); #548401=CARTESIAN_POINT('',(25.243634,31.006366,0.)); #548402=CARTESIAN_POINT('Origin',(25.250928,31.000891,0.)); #548403=CARTESIAN_POINT('',(25.250928,31.000891,0.)); #548404=CARTESIAN_POINT('',(25.250928,31.000891,0.)); #548405=CARTESIAN_POINT('',(25.250928,31.000891,0.035)); #548406=CARTESIAN_POINT('',(25.250928,31.000891,0.035)); #548407=CARTESIAN_POINT('',(25.250928,31.000891,0.)); #548408=CARTESIAN_POINT('Origin',(25.257984,31.,0.)); #548409=CARTESIAN_POINT('',(25.257984,31.,0.)); #548410=CARTESIAN_POINT('',(25.257984,31.,0.)); #548411=CARTESIAN_POINT('',(25.257984,31.,0.035)); #548412=CARTESIAN_POINT('',(25.257984,31.,0.035)); #548413=CARTESIAN_POINT('',(25.257984,31.,0.)); #548414=CARTESIAN_POINT('Origin',(25.4456,31.,0.)); #548415=CARTESIAN_POINT('',(25.4456,31.,0.)); #548416=CARTESIAN_POINT('',(25.4456,31.,0.)); #548417=CARTESIAN_POINT('',(25.4456,31.,0.035)); #548418=CARTESIAN_POINT('',(25.4456,31.,0.035)); #548419=CARTESIAN_POINT('',(25.4456,31.,0.)); #548420=CARTESIAN_POINT('Origin',(25.491381,30.991463,0.)); #548421=CARTESIAN_POINT('',(25.491381,30.991463,0.)); #548422=CARTESIAN_POINT('',(25.491381,30.991463,0.)); #548423=CARTESIAN_POINT('',(25.491381,30.991463,0.035)); #548424=CARTESIAN_POINT('',(25.491381,30.991463,0.035)); #548425=CARTESIAN_POINT('',(25.491381,30.991463,0.)); #548426=CARTESIAN_POINT('Origin',(25.533959,30.964222,0.)); #548427=CARTESIAN_POINT('',(25.533959,30.964222,0.)); #548428=CARTESIAN_POINT('',(25.533959,30.964222,0.)); #548429=CARTESIAN_POINT('',(25.533959,30.964222,0.035)); #548430=CARTESIAN_POINT('',(25.533959,30.964222,0.035)); #548431=CARTESIAN_POINT('',(25.533959,30.964222,0.)); #548432=CARTESIAN_POINT('Origin',(25.562541,30.922534,0.)); #548433=CARTESIAN_POINT('',(25.562541,30.922534,0.)); #548434=CARTESIAN_POINT('',(25.562541,30.922534,0.)); #548435=CARTESIAN_POINT('',(25.562541,30.922534,0.035)); #548436=CARTESIAN_POINT('',(25.562541,30.922534,0.035)); #548437=CARTESIAN_POINT('',(25.562541,30.922534,0.)); #548438=CARTESIAN_POINT('Origin',(25.5726,30.873,0.)); #548439=CARTESIAN_POINT('',(25.5726,30.873,0.)); #548440=CARTESIAN_POINT('',(25.5726,30.873,0.)); #548441=CARTESIAN_POINT('',(25.5726,30.873,0.035)); #548442=CARTESIAN_POINT('',(25.5726,30.873,0.035)); #548443=CARTESIAN_POINT('',(25.5726,30.873,0.)); #548444=CARTESIAN_POINT('Origin',(25.5726,30.822966,0.)); #548445=CARTESIAN_POINT('',(25.5726,30.822966,0.)); #548446=CARTESIAN_POINT('',(25.5726,30.822966,0.)); #548447=CARTESIAN_POINT('',(25.5726,30.822966,0.035)); #548448=CARTESIAN_POINT('',(25.5726,30.822966,0.035)); #548449=CARTESIAN_POINT('',(25.5726,30.822966,0.)); #548450=CARTESIAN_POINT('Origin',(25.822966,30.5726,0.)); #548451=CARTESIAN_POINT('',(25.822966,30.5726,0.)); #548452=CARTESIAN_POINT('',(25.822966,30.5726,0.)); #548453=CARTESIAN_POINT('',(25.822966,30.5726,0.035)); #548454=CARTESIAN_POINT('',(25.822966,30.5726,0.035)); #548455=CARTESIAN_POINT('',(25.822966,30.5726,0.)); #548456=CARTESIAN_POINT('Origin',(26.177034,30.5726,0.)); #548457=CARTESIAN_POINT('',(26.177034,30.5726,0.)); #548458=CARTESIAN_POINT('',(26.177034,30.5726,0.)); #548459=CARTESIAN_POINT('',(26.177034,30.5726,0.035)); #548460=CARTESIAN_POINT('',(26.177034,30.5726,0.035)); #548461=CARTESIAN_POINT('',(26.177034,30.5726,0.)); #548462=CARTESIAN_POINT('Origin',(26.285197,30.680763,0.)); #548463=CARTESIAN_POINT('',(26.285197,30.680763,0.)); #548464=CARTESIAN_POINT('',(26.285197,30.680763,0.)); #548465=CARTESIAN_POINT('',(26.285197,30.680763,0.035)); #548466=CARTESIAN_POINT('',(26.285197,30.680763,0.035)); #548467=CARTESIAN_POINT('',(26.285197,30.680763,0.)); #548468=CARTESIAN_POINT('Origin',(26.323606,30.707097,0.)); #548469=CARTESIAN_POINT('',(26.323606,30.707097,0.)); #548470=CARTESIAN_POINT('',(26.323606,30.707097,0.)); #548471=CARTESIAN_POINT('',(26.323606,30.707097,0.035)); #548472=CARTESIAN_POINT('',(26.323606,30.707097,0.035)); #548473=CARTESIAN_POINT('',(26.323606,30.707097,0.)); #548474=CARTESIAN_POINT('Origin',(26.372975,30.717944,0.)); #548475=CARTESIAN_POINT('',(26.372975,30.717944,0.)); #548476=CARTESIAN_POINT('',(26.372975,30.717944,0.)); #548477=CARTESIAN_POINT('',(26.372975,30.717944,0.035)); #548478=CARTESIAN_POINT('',(26.372975,30.717944,0.035)); #548479=CARTESIAN_POINT('',(26.372975,30.717944,0.)); #548480=CARTESIAN_POINT('Origin',(26.422663,30.708675,0.)); #548481=CARTESIAN_POINT('',(26.422663,30.708675,0.)); #548482=CARTESIAN_POINT('',(26.422663,30.708675,0.)); #548483=CARTESIAN_POINT('',(26.422663,30.708675,0.035)); #548484=CARTESIAN_POINT('',(26.422663,30.708675,0.035)); #548485=CARTESIAN_POINT('',(26.422663,30.708675,0.)); #548486=CARTESIAN_POINT('Origin',(26.464803,30.680763,0.)); #548487=CARTESIAN_POINT('',(26.464803,30.680763,0.)); #548488=CARTESIAN_POINT('',(26.464803,30.680763,0.)); #548489=CARTESIAN_POINT('',(26.464803,30.680763,0.035)); #548490=CARTESIAN_POINT('',(26.464803,30.680763,0.035)); #548491=CARTESIAN_POINT('',(26.464803,30.680763,0.)); #548492=CARTESIAN_POINT('Origin',(26.572966,30.5726,0.)); #548493=CARTESIAN_POINT('',(26.572966,30.5726,0.)); #548494=CARTESIAN_POINT('',(26.572966,30.5726,0.)); #548495=CARTESIAN_POINT('',(26.572966,30.5726,0.035)); #548496=CARTESIAN_POINT('',(26.572966,30.5726,0.035)); #548497=CARTESIAN_POINT('',(26.572966,30.5726,0.)); #548498=CARTESIAN_POINT('Origin',(26.927034,30.5726,0.)); #548499=CARTESIAN_POINT('',(26.927034,30.5726,0.)); #548500=CARTESIAN_POINT('',(26.927034,30.5726,0.)); #548501=CARTESIAN_POINT('',(26.927034,30.5726,0.035)); #548502=CARTESIAN_POINT('',(26.927034,30.5726,0.035)); #548503=CARTESIAN_POINT('',(26.927034,30.5726,0.)); #548504=CARTESIAN_POINT('Origin',(27.1774,30.822966,0.)); #548505=CARTESIAN_POINT('',(27.1774,30.822966,0.)); #548506=CARTESIAN_POINT('',(27.1774,30.822966,0.)); #548507=CARTESIAN_POINT('',(27.1774,30.822966,0.035)); #548508=CARTESIAN_POINT('',(27.1774,30.822966,0.035)); #548509=CARTESIAN_POINT('',(27.1774,30.822966,0.)); #548510=CARTESIAN_POINT('Origin',(27.1774,30.873,0.)); #548511=CARTESIAN_POINT('',(27.1774,30.873,0.)); #548512=CARTESIAN_POINT('',(27.1774,30.873,0.)); #548513=CARTESIAN_POINT('',(27.1774,30.873,0.035)); #548514=CARTESIAN_POINT('',(27.1774,30.873,0.035)); #548515=CARTESIAN_POINT('',(27.1774,30.873,0.)); #548516=CARTESIAN_POINT('Origin',(27.185938,30.918781,0.)); #548517=CARTESIAN_POINT('',(27.185938,30.918781,0.)); #548518=CARTESIAN_POINT('',(27.185938,30.918781,0.)); #548519=CARTESIAN_POINT('',(27.185938,30.918781,0.035)); #548520=CARTESIAN_POINT('',(27.185938,30.918781,0.035)); #548521=CARTESIAN_POINT('',(27.185938,30.918781,0.)); #548522=CARTESIAN_POINT('Origin',(27.213178,30.961359,0.)); #548523=CARTESIAN_POINT('',(27.213178,30.961359,0.)); #548524=CARTESIAN_POINT('',(27.213178,30.961359,0.)); #548525=CARTESIAN_POINT('',(27.213178,30.961359,0.035)); #548526=CARTESIAN_POINT('',(27.213178,30.961359,0.035)); #548527=CARTESIAN_POINT('',(27.213178,30.961359,0.)); #548528=CARTESIAN_POINT('Origin',(27.254866,30.989941,0.)); #548529=CARTESIAN_POINT('',(27.254866,30.989941,0.)); #548530=CARTESIAN_POINT('',(27.254866,30.989941,0.)); #548531=CARTESIAN_POINT('',(27.254866,30.989941,0.035)); #548532=CARTESIAN_POINT('',(27.254866,30.989941,0.035)); #548533=CARTESIAN_POINT('',(27.254866,30.989941,0.)); #548534=CARTESIAN_POINT('Origin',(27.3044,31.,0.)); #548535=CARTESIAN_POINT('',(27.3044,31.,0.)); #548536=CARTESIAN_POINT('',(27.3044,31.,0.)); #548537=CARTESIAN_POINT('',(27.3044,31.,0.035)); #548538=CARTESIAN_POINT('',(27.3044,31.,0.035)); #548539=CARTESIAN_POINT('',(27.3044,31.,0.)); #548540=CARTESIAN_POINT('Origin',(30.197394,31.,0.)); #548541=CARTESIAN_POINT('',(30.197394,31.,0.)); #548542=CARTESIAN_POINT('',(30.197394,31.,0.)); #548543=CARTESIAN_POINT('',(30.197394,31.,0.035)); #548544=CARTESIAN_POINT('',(30.197394,31.,0.035)); #548545=CARTESIAN_POINT('',(30.197394,31.,0.)); #548546=CARTESIAN_POINT('Origin',(30.245056,30.990716,0.)); #548547=CARTESIAN_POINT('',(30.245056,30.990716,0.)); #548548=CARTESIAN_POINT('',(30.245056,30.990716,0.)); #548549=CARTESIAN_POINT('',(30.245056,30.990716,0.035)); #548550=CARTESIAN_POINT('',(30.245056,30.990716,0.035)); #548551=CARTESIAN_POINT('',(30.245056,30.990716,0.)); #548552=CARTESIAN_POINT('Origin',(30.287197,30.962803,0.)); #548553=CARTESIAN_POINT('',(30.287197,30.962803,0.)); #548554=CARTESIAN_POINT('',(30.287197,30.962803,0.)); #548555=CARTESIAN_POINT('',(30.287197,30.962803,0.035)); #548556=CARTESIAN_POINT('',(30.287197,30.962803,0.035)); #548557=CARTESIAN_POINT('',(30.287197,30.962803,0.)); #548558=CARTESIAN_POINT('Origin',(32.462803,28.787197,0.)); #548559=CARTESIAN_POINT('',(32.462803,28.787197,0.)); #548560=CARTESIAN_POINT('',(32.462803,28.787197,0.)); #548561=CARTESIAN_POINT('',(32.462803,28.787197,0.035)); #548562=CARTESIAN_POINT('',(32.462803,28.787197,0.035)); #548563=CARTESIAN_POINT('',(32.462803,28.787197,0.)); #548564=CARTESIAN_POINT('Origin',(32.489941,28.746928,0.)); #548565=CARTESIAN_POINT('',(32.489941,28.746928,0.)); #548566=CARTESIAN_POINT('',(32.489941,28.746928,0.)); #548567=CARTESIAN_POINT('',(32.489941,28.746928,0.035)); #548568=CARTESIAN_POINT('',(32.489941,28.746928,0.035)); #548569=CARTESIAN_POINT('',(32.489941,28.746928,0.)); #548570=CARTESIAN_POINT('Origin',(32.5,28.697394,0.)); #548571=CARTESIAN_POINT('',(32.5,28.697394,0.)); #548572=CARTESIAN_POINT('',(32.5,28.697394,0.)); #548573=CARTESIAN_POINT('',(32.5,28.697394,0.035)); #548574=CARTESIAN_POINT('',(32.5,28.697394,0.035)); #548575=CARTESIAN_POINT('',(32.5,28.697394,0.)); #548576=CARTESIAN_POINT('Origin',(32.5,26.6681,0.)); #548577=CARTESIAN_POINT('',(32.5,26.6681,0.)); #548578=CARTESIAN_POINT('',(32.5,26.6681,0.)); #548579=CARTESIAN_POINT('',(32.5,26.6681,0.035)); #548580=CARTESIAN_POINT('',(32.5,26.6681,0.035)); #548581=CARTESIAN_POINT('',(32.5,26.6681,0.)); #548582=CARTESIAN_POINT('Origin',(32.491463,26.622319,0.)); #548583=CARTESIAN_POINT('',(32.491463,26.622319,0.)); #548584=CARTESIAN_POINT('',(32.491463,26.622319,0.)); #548585=CARTESIAN_POINT('',(32.491463,26.622319,0.035)); #548586=CARTESIAN_POINT('',(32.491463,26.622319,0.035)); #548587=CARTESIAN_POINT('',(32.491463,26.622319,0.)); #548588=CARTESIAN_POINT('Origin',(32.464222,26.579741,0.)); #548589=CARTESIAN_POINT('',(32.464222,26.579741,0.)); #548590=CARTESIAN_POINT('',(32.464222,26.579741,0.)); #548591=CARTESIAN_POINT('',(32.464222,26.579741,0.035)); #548592=CARTESIAN_POINT('',(32.464222,26.579741,0.035)); #548593=CARTESIAN_POINT('',(32.464222,26.579741,0.)); #548594=CARTESIAN_POINT('Origin',(32.422534,26.551159,0.)); #548595=CARTESIAN_POINT('',(32.422534,26.551159,0.)); #548596=CARTESIAN_POINT('',(32.422534,26.551159,0.)); #548597=CARTESIAN_POINT('',(32.422534,26.551159,0.035)); #548598=CARTESIAN_POINT('',(32.422534,26.551159,0.035)); #548599=CARTESIAN_POINT('',(32.422534,26.551159,0.)); #548600=CARTESIAN_POINT('Origin',(32.373,26.5411,0.)); #548601=CARTESIAN_POINT('',(32.373,26.5411,0.)); #548602=CARTESIAN_POINT('',(32.373,26.5411,0.)); #548603=CARTESIAN_POINT('',(32.373,26.5411,0.035)); #548604=CARTESIAN_POINT('',(32.373,26.5411,0.035)); #548605=CARTESIAN_POINT('',(32.373,26.5411,0.)); #548606=CARTESIAN_POINT('Origin',(30.967813,26.5411,0.)); #548607=CARTESIAN_POINT('',(30.967813,26.5411,0.)); #548608=CARTESIAN_POINT('',(30.967813,26.5411,0.)); #548609=CARTESIAN_POINT('',(30.967813,26.5411,0.035)); #548610=CARTESIAN_POINT('',(30.967813,26.5411,0.035)); #548611=CARTESIAN_POINT('',(30.967813,26.5411,0.)); #548612=CARTESIAN_POINT('Origin',(28.442509,24.015797,0.)); #548613=CARTESIAN_POINT('',(28.442509,24.015797,0.)); #548614=CARTESIAN_POINT('',(28.442509,24.015797,0.)); #548615=CARTESIAN_POINT('',(28.442509,24.015797,0.035)); #548616=CARTESIAN_POINT('',(28.442509,24.015797,0.035)); #548617=CARTESIAN_POINT('',(28.442509,24.015797,0.)); #548618=CARTESIAN_POINT('Origin',(28.402241,23.988659,0.)); #548619=CARTESIAN_POINT('',(28.402241,23.988659,0.)); #548620=CARTESIAN_POINT('',(28.402241,23.988659,0.)); #548621=CARTESIAN_POINT('',(28.402241,23.988659,0.035)); #548622=CARTESIAN_POINT('',(28.402241,23.988659,0.035)); #548623=CARTESIAN_POINT('',(28.402241,23.988659,0.)); #548624=CARTESIAN_POINT('Origin',(28.352706,23.9786,0.)); #548625=CARTESIAN_POINT('',(28.352706,23.9786,0.)); #548626=CARTESIAN_POINT('',(28.352706,23.9786,0.)); #548627=CARTESIAN_POINT('',(28.352706,23.9786,0.035)); #548628=CARTESIAN_POINT('',(28.352706,23.9786,0.035)); #548629=CARTESIAN_POINT('',(28.352706,23.9786,0.)); #548630=CARTESIAN_POINT('Origin',(27.764641,23.9786,0.)); #548631=CARTESIAN_POINT('',(27.764641,23.9786,0.)); #548632=CARTESIAN_POINT('',(27.764641,23.9786,0.)); #548633=CARTESIAN_POINT('',(27.764641,23.9786,0.035)); #548634=CARTESIAN_POINT('',(27.764641,23.9786,0.035)); #548635=CARTESIAN_POINT('',(27.764641,23.9786,0.)); #548636=CARTESIAN_POINT('Origin',(27.720753,23.986425,0.)); #548637=CARTESIAN_POINT('',(27.720753,23.986425,0.)); #548638=CARTESIAN_POINT('',(27.720753,23.986425,0.)); #548639=CARTESIAN_POINT('',(27.720753,23.986425,0.035)); #548640=CARTESIAN_POINT('',(27.720753,23.986425,0.035)); #548641=CARTESIAN_POINT('',(27.720753,23.986425,0.)); #548642=CARTESIAN_POINT('Origin',(27.677747,24.012981,0.)); #548643=CARTESIAN_POINT('',(27.677747,24.012981,0.)); #548644=CARTESIAN_POINT('',(27.677747,24.012981,0.)); #548645=CARTESIAN_POINT('',(27.677747,24.012981,0.035)); #548646=CARTESIAN_POINT('',(27.677747,24.012981,0.035)); #548647=CARTESIAN_POINT('',(27.677747,24.012981,0.)); #548648=CARTESIAN_POINT('Origin',(27.648503,24.054206,0.)); #548649=CARTESIAN_POINT('',(27.648503,24.054206,0.)); #548650=CARTESIAN_POINT('',(27.648503,24.054206,0.)); #548651=CARTESIAN_POINT('',(27.648503,24.054206,0.035)); #548652=CARTESIAN_POINT('',(27.648503,24.054206,0.035)); #548653=CARTESIAN_POINT('',(27.648503,24.054206,0.)); #548654=CARTESIAN_POINT('Origin',(27.637656,24.103575,0.)); #548655=CARTESIAN_POINT('',(27.637656,24.103575,0.)); #548656=CARTESIAN_POINT('',(27.637656,24.103575,0.)); #548657=CARTESIAN_POINT('',(27.637656,24.103575,0.035)); #548658=CARTESIAN_POINT('',(27.637656,24.103575,0.035)); #548659=CARTESIAN_POINT('',(27.637656,24.103575,0.)); #548660=CARTESIAN_POINT('Origin',(27.646925,24.153263,0.)); #548661=CARTESIAN_POINT('',(27.646925,24.153263,0.)); #548662=CARTESIAN_POINT('',(27.646925,24.153263,0.)); #548663=CARTESIAN_POINT('',(27.646925,24.153263,0.035)); #548664=CARTESIAN_POINT('',(27.646925,24.153263,0.035)); #548665=CARTESIAN_POINT('',(27.646925,24.153263,0.)); #548666=CARTESIAN_POINT('Origin',(27.674838,24.195403,0.)); #548667=CARTESIAN_POINT('',(27.674838,24.195403,0.)); #548668=CARTESIAN_POINT('',(27.674838,24.195403,0.)); #548669=CARTESIAN_POINT('',(27.674838,24.195403,0.035)); #548670=CARTESIAN_POINT('',(27.674838,24.195403,0.035)); #548671=CARTESIAN_POINT('',(27.674838,24.195403,0.)); #548672=CARTESIAN_POINT('Origin',(27.6774,24.197966,0.)); #548673=CARTESIAN_POINT('',(27.6774,24.197966,0.)); #548674=CARTESIAN_POINT('',(27.6774,24.197966,0.)); #548675=CARTESIAN_POINT('',(27.6774,24.197966,0.035)); #548676=CARTESIAN_POINT('',(27.6774,24.197966,0.035)); #548677=CARTESIAN_POINT('',(27.6774,24.197966,0.)); #548678=CARTESIAN_POINT('Origin',(27.6774,24.552034,0.)); #548679=CARTESIAN_POINT('',(27.6774,24.552034,0.)); #548680=CARTESIAN_POINT('',(27.6774,24.552034,0.)); #548681=CARTESIAN_POINT('',(27.6774,24.552034,0.035)); #548682=CARTESIAN_POINT('',(27.6774,24.552034,0.035)); #548683=CARTESIAN_POINT('',(27.6774,24.552034,0.)); #548684=CARTESIAN_POINT('Origin',(27.427034,24.8024,0.)); #548685=CARTESIAN_POINT('',(27.427034,24.8024,0.)); #548686=CARTESIAN_POINT('',(27.427034,24.8024,0.)); #548687=CARTESIAN_POINT('',(27.427034,24.8024,0.035)); #548688=CARTESIAN_POINT('',(27.427034,24.8024,0.035)); #548689=CARTESIAN_POINT('',(27.427034,24.8024,0.)); #548690=CARTESIAN_POINT('Origin',(27.198494,24.8024,0.)); #548691=CARTESIAN_POINT('',(27.198494,24.8024,0.)); #548692=CARTESIAN_POINT('',(27.198494,24.8024,0.)); #548693=CARTESIAN_POINT('',(27.198494,24.8024,0.035)); #548694=CARTESIAN_POINT('',(27.198494,24.8024,0.035)); #548695=CARTESIAN_POINT('',(27.198494,24.8024,0.)); #548696=CARTESIAN_POINT('Origin',(27.150831,24.811684,0.)); #548697=CARTESIAN_POINT('',(27.150831,24.811684,0.)); #548698=CARTESIAN_POINT('',(27.150831,24.811684,0.)); #548699=CARTESIAN_POINT('',(27.150831,24.811684,0.035)); #548700=CARTESIAN_POINT('',(27.150831,24.811684,0.035)); #548701=CARTESIAN_POINT('',(27.150831,24.811684,0.)); #548702=CARTESIAN_POINT('Origin',(27.108691,24.839597,0.)); #548703=CARTESIAN_POINT('',(27.108691,24.839597,0.)); #548704=CARTESIAN_POINT('',(27.108691,24.839597,0.)); #548705=CARTESIAN_POINT('',(27.108691,24.839597,0.035)); #548706=CARTESIAN_POINT('',(27.108691,24.839597,0.035)); #548707=CARTESIAN_POINT('',(27.108691,24.839597,0.)); #548708=CARTESIAN_POINT('Origin',(25.464597,26.483691,0.)); #548709=CARTESIAN_POINT('',(25.464597,26.483691,0.)); #548710=CARTESIAN_POINT('',(25.464597,26.483691,0.)); #548711=CARTESIAN_POINT('',(25.464597,26.483691,0.035)); #548712=CARTESIAN_POINT('',(25.464597,26.483691,0.035)); #548713=CARTESIAN_POINT('',(25.464597,26.483691,0.)); #548714=CARTESIAN_POINT('Origin',(25.437459,26.523959,0.)); #548715=CARTESIAN_POINT('',(25.437459,26.523959,0.)); #548716=CARTESIAN_POINT('',(25.437459,26.523959,0.)); #548717=CARTESIAN_POINT('',(25.437459,26.523959,0.035)); #548718=CARTESIAN_POINT('',(25.437459,26.523959,0.035)); #548719=CARTESIAN_POINT('',(25.437459,26.523959,0.)); #548720=CARTESIAN_POINT('Origin',(25.4274,26.573494,0.)); #548721=CARTESIAN_POINT('',(25.4274,26.573494,0.)); #548722=CARTESIAN_POINT('',(25.4274,26.573494,0.)); #548723=CARTESIAN_POINT('',(25.4274,26.573494,0.035)); #548724=CARTESIAN_POINT('',(25.4274,26.573494,0.035)); #548725=CARTESIAN_POINT('',(25.4274,26.573494,0.)); #548726=CARTESIAN_POINT('Origin',(25.4274,26.802034,0.)); #548727=CARTESIAN_POINT('',(25.4274,26.802034,0.)); #548728=CARTESIAN_POINT('',(25.4274,26.802034,0.)); #548729=CARTESIAN_POINT('',(25.4274,26.802034,0.035)); #548730=CARTESIAN_POINT('',(25.4274,26.802034,0.035)); #548731=CARTESIAN_POINT('',(25.4274,26.802034,0.)); #548732=CARTESIAN_POINT('Origin',(25.177034,27.0524,0.)); #548733=CARTESIAN_POINT('',(25.177034,27.0524,0.)); #548734=CARTESIAN_POINT('',(25.177034,27.0524,0.)); #548735=CARTESIAN_POINT('',(25.177034,27.0524,0.035)); #548736=CARTESIAN_POINT('',(25.177034,27.0524,0.035)); #548737=CARTESIAN_POINT('',(25.177034,27.0524,0.)); #548738=CARTESIAN_POINT('Origin',(24.822966,27.0524,0.)); #548739=CARTESIAN_POINT('',(24.822966,27.0524,0.)); #548740=CARTESIAN_POINT('',(24.822966,27.0524,0.)); #548741=CARTESIAN_POINT('',(24.822966,27.0524,0.035)); #548742=CARTESIAN_POINT('',(24.822966,27.0524,0.035)); #548743=CARTESIAN_POINT('',(24.822966,27.0524,0.)); #548744=CARTESIAN_POINT('Origin',(24.5726,26.802034,0.)); #548745=CARTESIAN_POINT('',(24.5726,26.802034,0.)); #548746=CARTESIAN_POINT('',(24.5726,26.802034,0.)); #548747=CARTESIAN_POINT('',(24.5726,26.802034,0.035)); #548748=CARTESIAN_POINT('',(24.5726,26.802034,0.035)); #548749=CARTESIAN_POINT('',(24.5726,26.802034,0.)); #548750=CARTESIAN_POINT('Origin',(24.5726,26.752,0.)); #548751=CARTESIAN_POINT('',(24.5726,26.752,0.)); #548752=CARTESIAN_POINT('',(24.5726,26.752,0.)); #548753=CARTESIAN_POINT('',(24.5726,26.752,0.035)); #548754=CARTESIAN_POINT('',(24.5726,26.752,0.035)); #548755=CARTESIAN_POINT('',(24.5726,26.752,0.)); #548756=CARTESIAN_POINT('Origin',(24.564063,26.706219,0.)); #548757=CARTESIAN_POINT('',(24.564063,26.706219,0.)); #548758=CARTESIAN_POINT('',(24.564063,26.706219,0.)); #548759=CARTESIAN_POINT('',(24.564063,26.706219,0.035)); #548760=CARTESIAN_POINT('',(24.564063,26.706219,0.035)); #548761=CARTESIAN_POINT('',(24.564063,26.706219,0.)); #548762=CARTESIAN_POINT('Origin',(24.536822,26.663641,0.)); #548763=CARTESIAN_POINT('',(24.536822,26.663641,0.)); #548764=CARTESIAN_POINT('',(24.536822,26.663641,0.)); #548765=CARTESIAN_POINT('',(24.536822,26.663641,0.035)); #548766=CARTESIAN_POINT('',(24.536822,26.663641,0.035)); #548767=CARTESIAN_POINT('',(24.536822,26.663641,0.)); #548768=CARTESIAN_POINT('Origin',(24.495134,26.635059,0.)); #548769=CARTESIAN_POINT('',(24.495134,26.635059,0.)); #548770=CARTESIAN_POINT('',(24.495134,26.635059,0.)); #548771=CARTESIAN_POINT('',(24.495134,26.635059,0.035)); #548772=CARTESIAN_POINT('',(24.495134,26.635059,0.035)); #548773=CARTESIAN_POINT('',(24.495134,26.635059,0.)); #548774=CARTESIAN_POINT('Origin',(24.4456,26.625,0.)); #548775=CARTESIAN_POINT('',(24.4456,26.625,0.)); #548776=CARTESIAN_POINT('',(24.4456,26.625,0.)); #548777=CARTESIAN_POINT('',(24.4456,26.625,0.035)); #548778=CARTESIAN_POINT('',(24.4456,26.625,0.035)); #548779=CARTESIAN_POINT('',(24.4456,26.625,0.)); #548780=CARTESIAN_POINT('Origin',(18.008994,26.625,0.)); #548781=CARTESIAN_POINT('',(18.008994,26.625,0.)); #548782=CARTESIAN_POINT('',(18.008994,26.625,0.)); #548783=CARTESIAN_POINT('',(18.008994,26.625,0.035)); #548784=CARTESIAN_POINT('',(18.008994,26.625,0.035)); #548785=CARTESIAN_POINT('',(18.008994,26.625,0.)); #548786=CARTESIAN_POINT('Origin',(17.999975,26.623716,0.)); #548787=CARTESIAN_POINT('',(17.999975,26.623716,0.)); #548788=CARTESIAN_POINT('',(17.999975,26.623716,0.)); #548789=CARTESIAN_POINT('',(17.999975,26.623716,0.035)); #548790=CARTESIAN_POINT('',(17.999975,26.623716,0.035)); #548791=CARTESIAN_POINT('',(17.999975,26.623716,0.)); #548792=CARTESIAN_POINT('Origin',(17.994347,26.619347,0.)); #548793=CARTESIAN_POINT('',(17.994347,26.619347,0.)); #548794=CARTESIAN_POINT('',(17.994347,26.619347,0.)); #548795=CARTESIAN_POINT('',(17.994347,26.619347,0.035)); #548796=CARTESIAN_POINT('',(17.994347,26.619347,0.035)); #548797=CARTESIAN_POINT('',(17.994347,26.619347,0.)); #548798=CARTESIAN_POINT('Origin',(16.756366,25.381366,0.)); #548799=CARTESIAN_POINT('',(16.756366,25.381366,0.)); #548800=CARTESIAN_POINT('',(16.756366,25.381366,0.)); #548801=CARTESIAN_POINT('',(16.756366,25.381366,0.035)); #548802=CARTESIAN_POINT('',(16.756366,25.381366,0.035)); #548803=CARTESIAN_POINT('',(16.756366,25.381366,0.)); #548804=CARTESIAN_POINT('Origin',(16.750891,25.374072,0.)); #548805=CARTESIAN_POINT('',(16.750891,25.374072,0.)); #548806=CARTESIAN_POINT('',(16.750891,25.374072,0.)); #548807=CARTESIAN_POINT('',(16.750891,25.374072,0.035)); #548808=CARTESIAN_POINT('',(16.750891,25.374072,0.035)); #548809=CARTESIAN_POINT('',(16.750891,25.374072,0.)); #548810=CARTESIAN_POINT('Origin',(16.75,25.367016,0.)); #548811=CARTESIAN_POINT('',(16.75,25.367016,0.)); #548812=CARTESIAN_POINT('',(16.75,25.367016,0.)); #548813=CARTESIAN_POINT('',(16.75,25.367016,0.035)); #548814=CARTESIAN_POINT('',(16.75,25.367016,0.035)); #548815=CARTESIAN_POINT('',(16.75,25.367016,0.)); #548816=CARTESIAN_POINT('Origin',(16.75,19.552606,0.)); #548817=CARTESIAN_POINT('',(16.75,19.552606,0.)); #548818=CARTESIAN_POINT('',(16.75,19.552606,0.)); #548819=CARTESIAN_POINT('',(16.75,19.552606,0.035)); #548820=CARTESIAN_POINT('',(16.75,19.552606,0.035)); #548821=CARTESIAN_POINT('',(16.75,19.552606,0.)); #548822=CARTESIAN_POINT('Origin',(16.740716,19.504944,0.)); #548823=CARTESIAN_POINT('',(16.740716,19.504944,0.)); #548824=CARTESIAN_POINT('',(16.740716,19.504944,0.)); #548825=CARTESIAN_POINT('',(16.740716,19.504944,0.035)); #548826=CARTESIAN_POINT('',(16.740716,19.504944,0.035)); #548827=CARTESIAN_POINT('',(16.740716,19.504944,0.)); #548828=CARTESIAN_POINT('Origin',(16.712803,19.462803,0.)); #548829=CARTESIAN_POINT('',(16.712803,19.462803,0.)); #548830=CARTESIAN_POINT('',(16.712803,19.462803,0.)); #548831=CARTESIAN_POINT('',(16.712803,19.462803,0.035)); #548832=CARTESIAN_POINT('',(16.712803,19.462803,0.035)); #548833=CARTESIAN_POINT('',(16.712803,19.462803,0.)); #548834=CARTESIAN_POINT('Origin',(16.537197,19.287197,0.)); #548835=CARTESIAN_POINT('',(16.537197,19.287197,0.)); #548836=CARTESIAN_POINT('',(16.537197,19.287197,0.)); #548837=CARTESIAN_POINT('',(16.537197,19.287197,0.035)); #548838=CARTESIAN_POINT('',(16.537197,19.287197,0.035)); #548839=CARTESIAN_POINT('',(16.537197,19.287197,0.)); #548840=CARTESIAN_POINT('Origin',(16.496928,19.260059,0.)); #548841=CARTESIAN_POINT('',(16.496928,19.260059,0.)); #548842=CARTESIAN_POINT('',(16.496928,19.260059,0.035)); #548843=CARTESIAN_POINT('Origin',(21.1408077424797,28.1046065109543,0.035)); #548844=CARTESIAN_POINT('Origin',(21.1408077424797,28.1046065109543,0.)); #548845=CARTESIAN_POINT('Origin',(33.696416,54.284663,0.)); #548846=CARTESIAN_POINT('',(33.696416,54.284663,0.)); #548847=CARTESIAN_POINT('',(33.726034,54.291172,0.)); #548848=CARTESIAN_POINT('',(33.696416,54.284663,0.)); #548849=CARTESIAN_POINT('',(33.726034,54.291172,0.035)); #548850=CARTESIAN_POINT('',(33.726034,54.291172,0.)); #548851=CARTESIAN_POINT('',(33.696416,54.284663,0.035)); #548852=CARTESIAN_POINT('',(33.696416,54.284663,0.035)); #548853=CARTESIAN_POINT('',(33.696416,54.284663,0.)); #548854=CARTESIAN_POINT('Origin',(33.666603,54.290222,0.)); #548855=CARTESIAN_POINT('',(33.666603,54.290222,0.)); #548856=CARTESIAN_POINT('',(33.666603,54.290222,0.)); #548857=CARTESIAN_POINT('',(33.666603,54.290222,0.035)); #548858=CARTESIAN_POINT('',(33.666603,54.290222,0.035)); #548859=CARTESIAN_POINT('',(33.666603,54.290222,0.)); #548860=CARTESIAN_POINT('Origin',(33.641319,54.306972,0.)); #548861=CARTESIAN_POINT('',(33.641319,54.306972,0.)); #548862=CARTESIAN_POINT('',(33.641319,54.306972,0.)); #548863=CARTESIAN_POINT('',(33.641319,54.306972,0.035)); #548864=CARTESIAN_POINT('',(33.641319,54.306972,0.035)); #548865=CARTESIAN_POINT('',(33.641319,54.306972,0.)); #548866=CARTESIAN_POINT('Origin',(33.500919,54.447372,0.)); #548867=CARTESIAN_POINT('',(33.500919,54.447372,0.)); #548868=CARTESIAN_POINT('',(33.500919,54.447372,0.)); #548869=CARTESIAN_POINT('',(33.500919,54.447372,0.035)); #548870=CARTESIAN_POINT('',(33.500919,54.447372,0.035)); #548871=CARTESIAN_POINT('',(33.500919,54.447372,0.)); #548872=CARTESIAN_POINT('Origin',(33.484634,54.471531,0.)); #548873=CARTESIAN_POINT('',(33.484634,54.471531,0.)); #548874=CARTESIAN_POINT('',(33.484634,54.471531,0.)); #548875=CARTESIAN_POINT('',(33.484634,54.471531,0.035)); #548876=CARTESIAN_POINT('',(33.484634,54.471531,0.035)); #548877=CARTESIAN_POINT('',(33.484634,54.471531,0.)); #548878=CARTESIAN_POINT('Origin',(33.4786,54.501253,0.)); #548879=CARTESIAN_POINT('',(33.4786,54.501253,0.)); #548880=CARTESIAN_POINT('',(33.4786,54.501253,0.)); #548881=CARTESIAN_POINT('',(33.4786,54.501253,0.035)); #548882=CARTESIAN_POINT('',(33.4786,54.501253,0.035)); #548883=CARTESIAN_POINT('',(33.4786,54.501253,0.)); #548884=CARTESIAN_POINT('Origin',(33.4786,54.592603,0.)); #548885=CARTESIAN_POINT('',(33.4786,54.592603,0.)); #548886=CARTESIAN_POINT('',(33.4786,54.592603,0.)); #548887=CARTESIAN_POINT('',(33.4786,54.592603,0.035)); #548888=CARTESIAN_POINT('',(33.4786,54.592603,0.035)); #548889=CARTESIAN_POINT('',(33.4786,54.592603,0.)); #548890=CARTESIAN_POINT('Origin',(33.484169,54.6212,0.)); #548891=CARTESIAN_POINT('',(33.484169,54.6212,0.)); #548892=CARTESIAN_POINT('',(33.484169,54.6212,0.)); #548893=CARTESIAN_POINT('',(33.484169,54.6212,0.035)); #548894=CARTESIAN_POINT('',(33.484169,54.6212,0.035)); #548895=CARTESIAN_POINT('',(33.484169,54.6212,0.)); #548896=CARTESIAN_POINT('Origin',(33.500919,54.646484,0.)); #548897=CARTESIAN_POINT('',(33.500919,54.646484,0.)); #548898=CARTESIAN_POINT('',(33.500919,54.646484,0.)); #548899=CARTESIAN_POINT('',(33.500919,54.646484,0.035)); #548900=CARTESIAN_POINT('',(33.500919,54.646484,0.035)); #548901=CARTESIAN_POINT('',(33.500919,54.646484,0.)); #548902=CARTESIAN_POINT('Origin',(33.571119,54.716684,0.)); #548903=CARTESIAN_POINT('',(33.571119,54.716684,0.)); #548904=CARTESIAN_POINT('',(33.571119,54.716684,0.)); #548905=CARTESIAN_POINT('',(33.571119,54.716684,0.035)); #548906=CARTESIAN_POINT('',(33.571119,54.716684,0.035)); #548907=CARTESIAN_POINT('',(33.571119,54.716684,0.)); #548908=CARTESIAN_POINT('Origin',(33.594166,54.732484,0.)); #548909=CARTESIAN_POINT('',(33.594166,54.732484,0.)); #548910=CARTESIAN_POINT('',(33.594166,54.732484,0.)); #548911=CARTESIAN_POINT('',(33.594166,54.732484,0.035)); #548912=CARTESIAN_POINT('',(33.594166,54.732484,0.035)); #548913=CARTESIAN_POINT('',(33.594166,54.732484,0.)); #548914=CARTESIAN_POINT('Origin',(33.623784,54.738994,0.)); #548915=CARTESIAN_POINT('',(33.623784,54.738994,0.)); #548916=CARTESIAN_POINT('',(33.623784,54.738994,0.)); #548917=CARTESIAN_POINT('',(33.623784,54.738994,0.035)); #548918=CARTESIAN_POINT('',(33.623784,54.738994,0.035)); #548919=CARTESIAN_POINT('',(33.623784,54.738994,0.)); #548920=CARTESIAN_POINT('Origin',(33.653597,54.733434,0.)); #548921=CARTESIAN_POINT('',(33.653597,54.733434,0.)); #548922=CARTESIAN_POINT('',(33.653597,54.733434,0.)); #548923=CARTESIAN_POINT('',(33.653597,54.733434,0.035)); #548924=CARTESIAN_POINT('',(33.653597,54.733434,0.035)); #548925=CARTESIAN_POINT('',(33.653597,54.733434,0.)); #548926=CARTESIAN_POINT('Origin',(33.678881,54.716684,0.)); #548927=CARTESIAN_POINT('',(33.678881,54.716684,0.)); #548928=CARTESIAN_POINT('',(33.678881,54.716684,0.)); #548929=CARTESIAN_POINT('',(33.678881,54.716684,0.035)); #548930=CARTESIAN_POINT('',(33.678881,54.716684,0.035)); #548931=CARTESIAN_POINT('',(33.678881,54.716684,0.)); #548932=CARTESIAN_POINT('Origin',(33.749081,54.646484,0.)); #548933=CARTESIAN_POINT('',(33.749081,54.646484,0.)); #548934=CARTESIAN_POINT('',(33.749081,54.646484,0.)); #548935=CARTESIAN_POINT('',(33.749081,54.646484,0.035)); #548936=CARTESIAN_POINT('',(33.749081,54.646484,0.035)); #548937=CARTESIAN_POINT('',(33.749081,54.646484,0.)); #548938=CARTESIAN_POINT('Origin',(33.765366,54.622325,0.)); #548939=CARTESIAN_POINT('',(33.765366,54.622325,0.)); #548940=CARTESIAN_POINT('',(33.765366,54.622325,0.)); #548941=CARTESIAN_POINT('',(33.765366,54.622325,0.035)); #548942=CARTESIAN_POINT('',(33.765366,54.622325,0.035)); #548943=CARTESIAN_POINT('',(33.765366,54.622325,0.)); #548944=CARTESIAN_POINT('Origin',(33.7714,54.592603,0.)); #548945=CARTESIAN_POINT('',(33.7714,54.592603,0.)); #548946=CARTESIAN_POINT('',(33.7714,54.592603,0.)); #548947=CARTESIAN_POINT('',(33.7714,54.592603,0.035)); #548948=CARTESIAN_POINT('',(33.7714,54.592603,0.035)); #548949=CARTESIAN_POINT('',(33.7714,54.592603,0.)); #548950=CARTESIAN_POINT('Origin',(33.7714,54.360853,0.)); #548951=CARTESIAN_POINT('',(33.7714,54.360853,0.)); #548952=CARTESIAN_POINT('',(33.7714,54.360853,0.)); #548953=CARTESIAN_POINT('',(33.7714,54.360853,0.035)); #548954=CARTESIAN_POINT('',(33.7714,54.360853,0.035)); #548955=CARTESIAN_POINT('',(33.7714,54.360853,0.)); #548956=CARTESIAN_POINT('Origin',(33.766706,54.334522,0.)); #548957=CARTESIAN_POINT('',(33.766706,54.334522,0.)); #548958=CARTESIAN_POINT('',(33.766706,54.334522,0.)); #548959=CARTESIAN_POINT('',(33.766706,54.334522,0.035)); #548960=CARTESIAN_POINT('',(33.766706,54.334522,0.035)); #548961=CARTESIAN_POINT('',(33.766706,54.334522,0.)); #548962=CARTESIAN_POINT('Origin',(33.750772,54.308716,0.)); #548963=CARTESIAN_POINT('',(33.750772,54.308716,0.)); #548964=CARTESIAN_POINT('',(33.750772,54.308716,0.)); #548965=CARTESIAN_POINT('',(33.750772,54.308716,0.035)); #548966=CARTESIAN_POINT('',(33.750772,54.308716,0.035)); #548967=CARTESIAN_POINT('',(33.750772,54.308716,0.)); #548968=CARTESIAN_POINT('Origin',(33.726034,54.291172,0.)); #548969=CARTESIAN_POINT('',(33.726034,54.291172,0.)); #548970=CARTESIAN_POINT('',(33.726034,54.291172,0.035)); #548971=CARTESIAN_POINT('Origin',(33.6402238360341,54.5135390844825,0.035)); #548972=CARTESIAN_POINT('Origin',(33.6402238360341,54.5135390844825,0.)); #548973=CARTESIAN_POINT('Origin',(36.076647,23.3536,0.)); #548974=CARTESIAN_POINT('',(36.076647,23.3536,0.)); #548975=CARTESIAN_POINT('',(36.106369,23.359634,0.)); #548976=CARTESIAN_POINT('',(36.076647,23.3536,0.)); #548977=CARTESIAN_POINT('',(36.106369,23.359634,0.035)); #548978=CARTESIAN_POINT('',(36.106369,23.359634,0.)); #548979=CARTESIAN_POINT('',(36.076647,23.3536,0.035)); #548980=CARTESIAN_POINT('',(36.076647,23.3536,0.035)); #548981=CARTESIAN_POINT('',(36.076647,23.3536,0.)); #548982=CARTESIAN_POINT('Origin',(34.407397,23.3536,0.)); #548983=CARTESIAN_POINT('',(34.407397,23.3536,0.)); #548984=CARTESIAN_POINT('',(34.407397,23.3536,0.)); #548985=CARTESIAN_POINT('',(34.407397,23.3536,0.035)); #548986=CARTESIAN_POINT('',(34.407397,23.3536,0.035)); #548987=CARTESIAN_POINT('',(34.407397,23.3536,0.)); #548988=CARTESIAN_POINT('Origin',(34.3788,23.359169,0.)); #548989=CARTESIAN_POINT('',(34.3788,23.359169,0.)); #548990=CARTESIAN_POINT('',(34.3788,23.359169,0.)); #548991=CARTESIAN_POINT('',(34.3788,23.359169,0.035)); #548992=CARTESIAN_POINT('',(34.3788,23.359169,0.035)); #548993=CARTESIAN_POINT('',(34.3788,23.359169,0.)); #548994=CARTESIAN_POINT('Origin',(34.353516,23.375919,0.)); #548995=CARTESIAN_POINT('',(34.353516,23.375919,0.)); #548996=CARTESIAN_POINT('',(34.353516,23.375919,0.)); #548997=CARTESIAN_POINT('',(34.353516,23.375919,0.035)); #548998=CARTESIAN_POINT('',(34.353516,23.375919,0.035)); #548999=CARTESIAN_POINT('',(34.353516,23.375919,0.)); #549000=CARTESIAN_POINT('Origin',(34.338116,23.391319,0.)); #549001=CARTESIAN_POINT('',(34.338116,23.391319,0.)); #549002=CARTESIAN_POINT('',(34.338116,23.391319,0.)); #549003=CARTESIAN_POINT('',(34.338116,23.391319,0.035)); #549004=CARTESIAN_POINT('',(34.338116,23.391319,0.035)); #549005=CARTESIAN_POINT('',(34.338116,23.391319,0.)); #549006=CARTESIAN_POINT('Origin',(34.322816,23.413256,0.)); #549007=CARTESIAN_POINT('',(34.322816,23.413256,0.)); #549008=CARTESIAN_POINT('',(34.322816,23.413256,0.)); #549009=CARTESIAN_POINT('',(34.322816,23.413256,0.035)); #549010=CARTESIAN_POINT('',(34.322816,23.413256,0.035)); #549011=CARTESIAN_POINT('',(34.322816,23.413256,0.)); #549012=CARTESIAN_POINT('Origin',(34.315834,23.442772,0.)); #549013=CARTESIAN_POINT('',(34.315834,23.442772,0.)); #549014=CARTESIAN_POINT('',(34.315834,23.442772,0.)); #549015=CARTESIAN_POINT('',(34.315834,23.442772,0.035)); #549016=CARTESIAN_POINT('',(34.315834,23.442772,0.035)); #549017=CARTESIAN_POINT('',(34.315834,23.442772,0.)); #549018=CARTESIAN_POINT('Origin',(34.320919,23.472669,0.)); #549019=CARTESIAN_POINT('',(34.320919,23.472669,0.)); #549020=CARTESIAN_POINT('',(34.320919,23.472669,0.)); #549021=CARTESIAN_POINT('',(34.320919,23.472669,0.035)); #549022=CARTESIAN_POINT('',(34.320919,23.472669,0.035)); #549023=CARTESIAN_POINT('',(34.320919,23.472669,0.)); #549024=CARTESIAN_POINT('Origin',(34.337263,23.498216,0.)); #549025=CARTESIAN_POINT('',(34.337263,23.498216,0.)); #549026=CARTESIAN_POINT('',(34.337263,23.498216,0.)); #549027=CARTESIAN_POINT('',(34.337263,23.498216,0.035)); #549028=CARTESIAN_POINT('',(34.337263,23.498216,0.035)); #549029=CARTESIAN_POINT('',(34.337263,23.498216,0.)); #549030=CARTESIAN_POINT('Origin',(34.362275,23.515366,0.)); #549031=CARTESIAN_POINT('',(34.362275,23.515366,0.)); #549032=CARTESIAN_POINT('',(34.362275,23.515366,0.)); #549033=CARTESIAN_POINT('',(34.362275,23.515366,0.035)); #549034=CARTESIAN_POINT('',(34.362275,23.515366,0.035)); #549035=CARTESIAN_POINT('',(34.362275,23.515366,0.)); #549036=CARTESIAN_POINT('Origin',(34.391997,23.5214,0.)); #549037=CARTESIAN_POINT('',(34.391997,23.5214,0.)); #549038=CARTESIAN_POINT('',(34.391997,23.5214,0.)); #549039=CARTESIAN_POINT('',(34.391997,23.5214,0.035)); #549040=CARTESIAN_POINT('',(34.391997,23.5214,0.035)); #549041=CARTESIAN_POINT('',(34.391997,23.5214,0.)); #549042=CARTESIAN_POINT('Origin',(36.061247,23.5214,0.)); #549043=CARTESIAN_POINT('',(36.061247,23.5214,0.)); #549044=CARTESIAN_POINT('',(36.061247,23.5214,0.)); #549045=CARTESIAN_POINT('',(36.061247,23.5214,0.035)); #549046=CARTESIAN_POINT('',(36.061247,23.5214,0.035)); #549047=CARTESIAN_POINT('',(36.061247,23.5214,0.)); #549048=CARTESIAN_POINT('Origin',(36.089844,23.515831,0.)); #549049=CARTESIAN_POINT('',(36.089844,23.515831,0.)); #549050=CARTESIAN_POINT('',(36.089844,23.515831,0.)); #549051=CARTESIAN_POINT('',(36.089844,23.515831,0.035)); #549052=CARTESIAN_POINT('',(36.089844,23.515831,0.035)); #549053=CARTESIAN_POINT('',(36.089844,23.515831,0.)); #549054=CARTESIAN_POINT('Origin',(36.115128,23.499081,0.)); #549055=CARTESIAN_POINT('',(36.115128,23.499081,0.)); #549056=CARTESIAN_POINT('',(36.115128,23.499081,0.)); #549057=CARTESIAN_POINT('',(36.115128,23.499081,0.035)); #549058=CARTESIAN_POINT('',(36.115128,23.499081,0.035)); #549059=CARTESIAN_POINT('',(36.115128,23.499081,0.)); #549060=CARTESIAN_POINT('Origin',(36.130528,23.483681,0.)); #549061=CARTESIAN_POINT('',(36.130528,23.483681,0.)); #549062=CARTESIAN_POINT('',(36.130528,23.483681,0.)); #549063=CARTESIAN_POINT('',(36.130528,23.483681,0.035)); #549064=CARTESIAN_POINT('',(36.130528,23.483681,0.035)); #549065=CARTESIAN_POINT('',(36.130528,23.483681,0.)); #549066=CARTESIAN_POINT('Origin',(36.145828,23.461744,0.)); #549067=CARTESIAN_POINT('',(36.145828,23.461744,0.)); #549068=CARTESIAN_POINT('',(36.145828,23.461744,0.)); #549069=CARTESIAN_POINT('',(36.145828,23.461744,0.035)); #549070=CARTESIAN_POINT('',(36.145828,23.461744,0.035)); #549071=CARTESIAN_POINT('',(36.145828,23.461744,0.)); #549072=CARTESIAN_POINT('Origin',(36.152809,23.432228,0.)); #549073=CARTESIAN_POINT('',(36.152809,23.432228,0.)); #549074=CARTESIAN_POINT('',(36.152809,23.432228,0.)); #549075=CARTESIAN_POINT('',(36.152809,23.432228,0.035)); #549076=CARTESIAN_POINT('',(36.152809,23.432228,0.035)); #549077=CARTESIAN_POINT('',(36.152809,23.432228,0.)); #549078=CARTESIAN_POINT('Origin',(36.147725,23.402331,0.)); #549079=CARTESIAN_POINT('',(36.147725,23.402331,0.)); #549080=CARTESIAN_POINT('',(36.147725,23.402331,0.)); #549081=CARTESIAN_POINT('',(36.147725,23.402331,0.035)); #549082=CARTESIAN_POINT('',(36.147725,23.402331,0.035)); #549083=CARTESIAN_POINT('',(36.147725,23.402331,0.)); #549084=CARTESIAN_POINT('Origin',(36.131381,23.376784,0.)); #549085=CARTESIAN_POINT('',(36.131381,23.376784,0.)); #549086=CARTESIAN_POINT('',(36.131381,23.376784,0.)); #549087=CARTESIAN_POINT('',(36.131381,23.376784,0.035)); #549088=CARTESIAN_POINT('',(36.131381,23.376784,0.035)); #549089=CARTESIAN_POINT('',(36.131381,23.376784,0.)); #549090=CARTESIAN_POINT('Origin',(36.106369,23.359634,0.)); #549091=CARTESIAN_POINT('',(36.106369,23.359634,0.)); #549092=CARTESIAN_POINT('',(36.106369,23.359634,0.035)); #549093=CARTESIAN_POINT('Origin',(35.2343218977356,23.4375000003128,0.035)); #549094=CARTESIAN_POINT('Origin',(35.2343218977356,23.4375000003128,0.)); #549095=CARTESIAN_POINT('Origin',(37.498747,26.5411,0.)); #549096=CARTESIAN_POINT('',(37.498747,26.5411,0.)); #549097=CARTESIAN_POINT('',(37.528469,26.547134,0.)); #549098=CARTESIAN_POINT('',(37.498747,26.5411,0.)); #549099=CARTESIAN_POINT('',(37.528469,26.547134,0.035)); #549100=CARTESIAN_POINT('',(37.528469,26.547134,0.)); #549101=CARTESIAN_POINT('',(37.498747,26.5411,0.035)); #549102=CARTESIAN_POINT('',(37.498747,26.5411,0.035)); #549103=CARTESIAN_POINT('',(37.498747,26.5411,0.)); #549104=CARTESIAN_POINT('Origin',(37.286353,26.5411,0.)); #549105=CARTESIAN_POINT('',(37.286353,26.5411,0.)); #549106=CARTESIAN_POINT('',(37.286353,26.5411,0.)); #549107=CARTESIAN_POINT('',(37.286353,26.5411,0.035)); #549108=CARTESIAN_POINT('',(37.286353,26.5411,0.035)); #549109=CARTESIAN_POINT('',(37.286353,26.5411,0.)); #549110=CARTESIAN_POINT('Origin',(37.260022,26.545794,0.)); #549111=CARTESIAN_POINT('',(37.260022,26.545794,0.)); #549112=CARTESIAN_POINT('',(37.260022,26.545794,0.)); #549113=CARTESIAN_POINT('',(37.260022,26.545794,0.035)); #549114=CARTESIAN_POINT('',(37.260022,26.545794,0.035)); #549115=CARTESIAN_POINT('',(37.260022,26.545794,0.)); #549116=CARTESIAN_POINT('Origin',(37.234216,26.561728,0.)); #549117=CARTESIAN_POINT('',(37.234216,26.561728,0.)); #549118=CARTESIAN_POINT('',(37.234216,26.561728,0.)); #549119=CARTESIAN_POINT('',(37.234216,26.561728,0.035)); #549120=CARTESIAN_POINT('',(37.234216,26.561728,0.035)); #549121=CARTESIAN_POINT('',(37.234216,26.561728,0.)); #549122=CARTESIAN_POINT('Origin',(37.216672,26.586466,0.)); #549123=CARTESIAN_POINT('',(37.216672,26.586466,0.)); #549124=CARTESIAN_POINT('',(37.216672,26.586466,0.)); #549125=CARTESIAN_POINT('',(37.216672,26.586466,0.035)); #549126=CARTESIAN_POINT('',(37.216672,26.586466,0.035)); #549127=CARTESIAN_POINT('',(37.216672,26.586466,0.)); #549128=CARTESIAN_POINT('Origin',(37.210163,26.616084,0.)); #549129=CARTESIAN_POINT('',(37.210163,26.616084,0.)); #549130=CARTESIAN_POINT('',(37.210163,26.616084,0.)); #549131=CARTESIAN_POINT('',(37.210163,26.616084,0.035)); #549132=CARTESIAN_POINT('',(37.210163,26.616084,0.035)); #549133=CARTESIAN_POINT('',(37.210163,26.616084,0.)); #549134=CARTESIAN_POINT('Origin',(37.215722,26.645897,0.)); #549135=CARTESIAN_POINT('',(37.215722,26.645897,0.)); #549136=CARTESIAN_POINT('',(37.215722,26.645897,0.)); #549137=CARTESIAN_POINT('',(37.215722,26.645897,0.035)); #549138=CARTESIAN_POINT('',(37.215722,26.645897,0.035)); #549139=CARTESIAN_POINT('',(37.215722,26.645897,0.)); #549140=CARTESIAN_POINT('Origin',(37.232472,26.671181,0.)); #549141=CARTESIAN_POINT('',(37.232472,26.671181,0.)); #549142=CARTESIAN_POINT('',(37.232472,26.671181,0.)); #549143=CARTESIAN_POINT('',(37.232472,26.671181,0.035)); #549144=CARTESIAN_POINT('',(37.232472,26.671181,0.035)); #549145=CARTESIAN_POINT('',(37.232472,26.671181,0.)); #549146=CARTESIAN_POINT('Origin',(38.072372,27.511081,0.)); #549147=CARTESIAN_POINT('',(38.072372,27.511081,0.)); #549148=CARTESIAN_POINT('',(38.072372,27.511081,0.)); #549149=CARTESIAN_POINT('',(38.072372,27.511081,0.035)); #549150=CARTESIAN_POINT('',(38.072372,27.511081,0.035)); #549151=CARTESIAN_POINT('',(38.072372,27.511081,0.)); #549152=CARTESIAN_POINT('Origin',(38.096531,27.527366,0.)); #549153=CARTESIAN_POINT('',(38.096531,27.527366,0.)); #549154=CARTESIAN_POINT('',(38.096531,27.527366,0.)); #549155=CARTESIAN_POINT('',(38.096531,27.527366,0.035)); #549156=CARTESIAN_POINT('',(38.096531,27.527366,0.035)); #549157=CARTESIAN_POINT('',(38.096531,27.527366,0.)); #549158=CARTESIAN_POINT('Origin',(38.126253,27.5334,0.)); #549159=CARTESIAN_POINT('',(38.126253,27.5334,0.)); #549160=CARTESIAN_POINT('',(38.126253,27.5334,0.)); #549161=CARTESIAN_POINT('',(38.126253,27.5334,0.035)); #549162=CARTESIAN_POINT('',(38.126253,27.5334,0.035)); #549163=CARTESIAN_POINT('',(38.126253,27.5334,0.)); #549164=CARTESIAN_POINT('Origin',(40.495003,27.5334,0.)); #549165=CARTESIAN_POINT('',(40.495003,27.5334,0.)); #549166=CARTESIAN_POINT('',(40.495003,27.5334,0.)); #549167=CARTESIAN_POINT('',(40.495003,27.5334,0.035)); #549168=CARTESIAN_POINT('',(40.495003,27.5334,0.035)); #549169=CARTESIAN_POINT('',(40.495003,27.5334,0.)); #549170=CARTESIAN_POINT('Origin',(40.521334,27.528706,0.)); #549171=CARTESIAN_POINT('',(40.521334,27.528706,0.)); #549172=CARTESIAN_POINT('',(40.521334,27.528706,0.)); #549173=CARTESIAN_POINT('',(40.521334,27.528706,0.035)); #549174=CARTESIAN_POINT('',(40.521334,27.528706,0.035)); #549175=CARTESIAN_POINT('',(40.521334,27.528706,0.)); #549176=CARTESIAN_POINT('Origin',(40.547141,27.512772,0.)); #549177=CARTESIAN_POINT('',(40.547141,27.512772,0.)); #549178=CARTESIAN_POINT('',(40.547141,27.512772,0.)); #549179=CARTESIAN_POINT('',(40.547141,27.512772,0.035)); #549180=CARTESIAN_POINT('',(40.547141,27.512772,0.035)); #549181=CARTESIAN_POINT('',(40.547141,27.512772,0.)); #549182=CARTESIAN_POINT('Origin',(40.564684,27.488034,0.)); #549183=CARTESIAN_POINT('',(40.564684,27.488034,0.)); #549184=CARTESIAN_POINT('',(40.564684,27.488034,0.)); #549185=CARTESIAN_POINT('',(40.564684,27.488034,0.035)); #549186=CARTESIAN_POINT('',(40.564684,27.488034,0.035)); #549187=CARTESIAN_POINT('',(40.564684,27.488034,0.)); #549188=CARTESIAN_POINT('Origin',(40.571194,27.458416,0.)); #549189=CARTESIAN_POINT('',(40.571194,27.458416,0.)); #549190=CARTESIAN_POINT('',(40.571194,27.458416,0.)); #549191=CARTESIAN_POINT('',(40.571194,27.458416,0.035)); #549192=CARTESIAN_POINT('',(40.571194,27.458416,0.035)); #549193=CARTESIAN_POINT('',(40.571194,27.458416,0.)); #549194=CARTESIAN_POINT('Origin',(40.565634,27.428603,0.)); #549195=CARTESIAN_POINT('',(40.565634,27.428603,0.)); #549196=CARTESIAN_POINT('',(40.565634,27.428603,0.)); #549197=CARTESIAN_POINT('',(40.565634,27.428603,0.035)); #549198=CARTESIAN_POINT('',(40.565634,27.428603,0.035)); #549199=CARTESIAN_POINT('',(40.565634,27.428603,0.)); #549200=CARTESIAN_POINT('Origin',(40.548884,27.403319,0.)); #549201=CARTESIAN_POINT('',(40.548884,27.403319,0.)); #549202=CARTESIAN_POINT('',(40.548884,27.403319,0.)); #549203=CARTESIAN_POINT('',(40.548884,27.403319,0.035)); #549204=CARTESIAN_POINT('',(40.548884,27.403319,0.035)); #549205=CARTESIAN_POINT('',(40.548884,27.403319,0.)); #549206=CARTESIAN_POINT('Origin',(40.396484,27.250919,0.)); #549207=CARTESIAN_POINT('',(40.396484,27.250919,0.)); #549208=CARTESIAN_POINT('',(40.396484,27.250919,0.)); #549209=CARTESIAN_POINT('',(40.396484,27.250919,0.035)); #549210=CARTESIAN_POINT('',(40.396484,27.250919,0.035)); #549211=CARTESIAN_POINT('',(40.396484,27.250919,0.)); #549212=CARTESIAN_POINT('Origin',(40.372325,27.234634,0.)); #549213=CARTESIAN_POINT('',(40.372325,27.234634,0.)); #549214=CARTESIAN_POINT('',(40.372325,27.234634,0.)); #549215=CARTESIAN_POINT('',(40.372325,27.234634,0.035)); #549216=CARTESIAN_POINT('',(40.372325,27.234634,0.035)); #549217=CARTESIAN_POINT('',(40.372325,27.234634,0.)); #549218=CARTESIAN_POINT('Origin',(40.342603,27.2286,0.)); #549219=CARTESIAN_POINT('',(40.342603,27.2286,0.)); #549220=CARTESIAN_POINT('',(40.342603,27.2286,0.)); #549221=CARTESIAN_POINT('',(40.342603,27.2286,0.035)); #549222=CARTESIAN_POINT('',(40.342603,27.2286,0.035)); #549223=CARTESIAN_POINT('',(40.342603,27.2286,0.)); #549224=CARTESIAN_POINT('Origin',(38.217809,27.2286,0.)); #549225=CARTESIAN_POINT('',(38.217809,27.2286,0.)); #549226=CARTESIAN_POINT('',(38.217809,27.2286,0.)); #549227=CARTESIAN_POINT('',(38.217809,27.2286,0.035)); #549228=CARTESIAN_POINT('',(38.217809,27.2286,0.035)); #549229=CARTESIAN_POINT('',(38.217809,27.2286,0.)); #549230=CARTESIAN_POINT('Origin',(37.552628,26.563419,0.)); #549231=CARTESIAN_POINT('',(37.552628,26.563419,0.)); #549232=CARTESIAN_POINT('',(37.552628,26.563419,0.)); #549233=CARTESIAN_POINT('',(37.552628,26.563419,0.035)); #549234=CARTESIAN_POINT('',(37.552628,26.563419,0.035)); #549235=CARTESIAN_POINT('',(37.552628,26.563419,0.)); #549236=CARTESIAN_POINT('Origin',(37.528469,26.547134,0.)); #549237=CARTESIAN_POINT('',(37.528469,26.547134,0.)); #549238=CARTESIAN_POINT('',(37.528469,26.547134,0.035)); #549239=CARTESIAN_POINT('Origin',(38.810037782698,27.2326253013061,0.035)); #549240=CARTESIAN_POINT('Origin',(38.810037782698,27.2326253013061,0.)); #549241=CARTESIAN_POINT('Origin',(27.1143,22.4786,0.)); #549242=CARTESIAN_POINT('',(27.1143,22.4786,0.)); #549243=CARTESIAN_POINT('',(27.144022,22.484634,0.)); #549244=CARTESIAN_POINT('',(27.1143,22.4786,0.)); #549245=CARTESIAN_POINT('',(27.144022,22.484634,0.035)); #549246=CARTESIAN_POINT('',(27.144022,22.484634,0.)); #549247=CARTESIAN_POINT('',(27.1143,22.4786,0.035)); #549248=CARTESIAN_POINT('',(27.1143,22.4786,0.035)); #549249=CARTESIAN_POINT('',(27.1143,22.4786,0.)); #549250=CARTESIAN_POINT('Origin',(27.091325,22.4786,0.)); #549251=CARTESIAN_POINT('',(27.091325,22.4786,0.)); #549252=CARTESIAN_POINT('',(27.091325,22.4786,0.)); #549253=CARTESIAN_POINT('',(27.091325,22.4786,0.035)); #549254=CARTESIAN_POINT('',(27.091325,22.4786,0.035)); #549255=CARTESIAN_POINT('',(27.091325,22.4786,0.)); #549256=CARTESIAN_POINT('Origin',(27.061481,22.484687,0.)); #549257=CARTESIAN_POINT('',(27.061481,22.484687,0.)); #549258=CARTESIAN_POINT('',(27.061481,22.484687,0.)); #549259=CARTESIAN_POINT('',(27.061481,22.484687,0.035)); #549260=CARTESIAN_POINT('',(27.061481,22.484687,0.035)); #549261=CARTESIAN_POINT('',(27.061481,22.484687,0.)); #549262=CARTESIAN_POINT('Origin',(27.036497,22.501881,0.)); #549263=CARTESIAN_POINT('',(27.036497,22.501881,0.)); #549264=CARTESIAN_POINT('',(27.036497,22.501881,0.)); #549265=CARTESIAN_POINT('',(27.036497,22.501881,0.035)); #549266=CARTESIAN_POINT('',(27.036497,22.501881,0.035)); #549267=CARTESIAN_POINT('',(27.036497,22.501881,0.)); #549268=CARTESIAN_POINT('Origin',(26.830872,22.714919,0.)); #549269=CARTESIAN_POINT('',(26.830872,22.714919,0.)); #549270=CARTESIAN_POINT('',(26.830872,22.714919,0.)); #549271=CARTESIAN_POINT('',(26.830872,22.714919,0.035)); #549272=CARTESIAN_POINT('',(26.830872,22.714919,0.035)); #549273=CARTESIAN_POINT('',(26.830872,22.714919,0.)); #549274=CARTESIAN_POINT('Origin',(26.815534,22.738116,0.)); #549275=CARTESIAN_POINT('',(26.815534,22.738116,0.)); #549276=CARTESIAN_POINT('',(26.815534,22.738116,0.)); #549277=CARTESIAN_POINT('',(26.815534,22.738116,0.035)); #549278=CARTESIAN_POINT('',(26.815534,22.738116,0.035)); #549279=CARTESIAN_POINT('',(26.815534,22.738116,0.)); #549280=CARTESIAN_POINT('Origin',(26.8095,22.767838,0.)); #549281=CARTESIAN_POINT('',(26.8095,22.767838,0.)); #549282=CARTESIAN_POINT('',(26.8095,22.767838,0.)); #549283=CARTESIAN_POINT('',(26.8095,22.767838,0.035)); #549284=CARTESIAN_POINT('',(26.8095,22.767838,0.035)); #549285=CARTESIAN_POINT('',(26.8095,22.767838,0.)); #549286=CARTESIAN_POINT('Origin',(26.8095,23.4452,0.)); #549287=CARTESIAN_POINT('',(26.8095,23.4452,0.)); #549288=CARTESIAN_POINT('',(26.8095,23.4452,0.)); #549289=CARTESIAN_POINT('',(26.8095,23.4452,0.035)); #549290=CARTESIAN_POINT('',(26.8095,23.4452,0.035)); #549291=CARTESIAN_POINT('',(26.8095,23.4452,0.)); #549292=CARTESIAN_POINT('Origin',(26.814622,23.472669,0.)); #549293=CARTESIAN_POINT('',(26.814622,23.472669,0.)); #549294=CARTESIAN_POINT('',(26.814622,23.472669,0.)); #549295=CARTESIAN_POINT('',(26.814622,23.472669,0.035)); #549296=CARTESIAN_POINT('',(26.814622,23.472669,0.035)); #549297=CARTESIAN_POINT('',(26.814622,23.472669,0.)); #549298=CARTESIAN_POINT('Origin',(26.830966,23.498216,0.)); #549299=CARTESIAN_POINT('',(26.830966,23.498216,0.)); #549300=CARTESIAN_POINT('',(26.830966,23.498216,0.)); #549301=CARTESIAN_POINT('',(26.830966,23.498216,0.035)); #549302=CARTESIAN_POINT('',(26.830966,23.498216,0.035)); #549303=CARTESIAN_POINT('',(26.830966,23.498216,0.)); #549304=CARTESIAN_POINT('Origin',(26.855978,23.515366,0.)); #549305=CARTESIAN_POINT('',(26.855978,23.515366,0.)); #549306=CARTESIAN_POINT('',(26.855978,23.515366,0.)); #549307=CARTESIAN_POINT('',(26.855978,23.515366,0.035)); #549308=CARTESIAN_POINT('',(26.855978,23.515366,0.035)); #549309=CARTESIAN_POINT('',(26.855978,23.515366,0.)); #549310=CARTESIAN_POINT('Origin',(26.8857,23.5214,0.)); #549311=CARTESIAN_POINT('',(26.8857,23.5214,0.)); #549312=CARTESIAN_POINT('',(26.8857,23.5214,0.)); #549313=CARTESIAN_POINT('',(26.8857,23.5214,0.035)); #549314=CARTESIAN_POINT('',(26.8857,23.5214,0.035)); #549315=CARTESIAN_POINT('',(26.8857,23.5214,0.)); #549316=CARTESIAN_POINT('Origin',(27.1143,23.5214,0.)); #549317=CARTESIAN_POINT('',(27.1143,23.5214,0.)); #549318=CARTESIAN_POINT('',(27.1143,23.5214,0.)); #549319=CARTESIAN_POINT('',(27.1143,23.5214,0.035)); #549320=CARTESIAN_POINT('',(27.1143,23.5214,0.035)); #549321=CARTESIAN_POINT('',(27.1143,23.5214,0.)); #549322=CARTESIAN_POINT('Origin',(27.141769,23.516278,0.)); #549323=CARTESIAN_POINT('',(27.141769,23.516278,0.)); #549324=CARTESIAN_POINT('',(27.141769,23.516278,0.)); #549325=CARTESIAN_POINT('',(27.141769,23.516278,0.035)); #549326=CARTESIAN_POINT('',(27.141769,23.516278,0.035)); #549327=CARTESIAN_POINT('',(27.141769,23.516278,0.)); #549328=CARTESIAN_POINT('Origin',(27.167316,23.499934,0.)); #549329=CARTESIAN_POINT('',(27.167316,23.499934,0.)); #549330=CARTESIAN_POINT('',(27.167316,23.499934,0.)); #549331=CARTESIAN_POINT('',(27.167316,23.499934,0.035)); #549332=CARTESIAN_POINT('',(27.167316,23.499934,0.035)); #549333=CARTESIAN_POINT('',(27.167316,23.499934,0.)); #549334=CARTESIAN_POINT('Origin',(27.184466,23.474922,0.)); #549335=CARTESIAN_POINT('',(27.184466,23.474922,0.)); #549336=CARTESIAN_POINT('',(27.184466,23.474922,0.)); #549337=CARTESIAN_POINT('',(27.184466,23.474922,0.035)); #549338=CARTESIAN_POINT('',(27.184466,23.474922,0.035)); #549339=CARTESIAN_POINT('',(27.184466,23.474922,0.)); #549340=CARTESIAN_POINT('Origin',(27.1905,23.4452,0.)); #549341=CARTESIAN_POINT('',(27.1905,23.4452,0.)); #549342=CARTESIAN_POINT('',(27.1905,23.4452,0.)); #549343=CARTESIAN_POINT('',(27.1905,23.4452,0.035)); #549344=CARTESIAN_POINT('',(27.1905,23.4452,0.035)); #549345=CARTESIAN_POINT('',(27.1905,23.4452,0.)); #549346=CARTESIAN_POINT('Origin',(27.1905,22.5548,0.)); #549347=CARTESIAN_POINT('',(27.1905,22.5548,0.)); #549348=CARTESIAN_POINT('',(27.1905,22.5548,0.)); #549349=CARTESIAN_POINT('',(27.1905,22.5548,0.035)); #549350=CARTESIAN_POINT('',(27.1905,22.5548,0.035)); #549351=CARTESIAN_POINT('',(27.1905,22.5548,0.)); #549352=CARTESIAN_POINT('Origin',(27.185378,22.527331,0.)); #549353=CARTESIAN_POINT('',(27.185378,22.527331,0.)); #549354=CARTESIAN_POINT('',(27.185378,22.527331,0.)); #549355=CARTESIAN_POINT('',(27.185378,22.527331,0.035)); #549356=CARTESIAN_POINT('',(27.185378,22.527331,0.035)); #549357=CARTESIAN_POINT('',(27.185378,22.527331,0.)); #549358=CARTESIAN_POINT('Origin',(27.169034,22.501784,0.)); #549359=CARTESIAN_POINT('',(27.169034,22.501784,0.)); #549360=CARTESIAN_POINT('',(27.169034,22.501784,0.)); #549361=CARTESIAN_POINT('',(27.169034,22.501784,0.035)); #549362=CARTESIAN_POINT('',(27.169034,22.501784,0.035)); #549363=CARTESIAN_POINT('',(27.169034,22.501784,0.)); #549364=CARTESIAN_POINT('Origin',(27.144022,22.484634,0.)); #549365=CARTESIAN_POINT('',(27.144022,22.484634,0.)); #549366=CARTESIAN_POINT('',(27.144022,22.484634,0.035)); #549367=CARTESIAN_POINT('Origin',(27.0138186505948,23.0292562171187,0.035)); #549368=CARTESIAN_POINT('Origin',(27.0138186505948,23.0292562171187,0.)); #549369=CARTESIAN_POINT('Origin',(42.108003,13.8536,0.)); #549370=CARTESIAN_POINT('',(42.108003,13.8536,0.)); #549371=CARTESIAN_POINT('',(42.137725,13.859634,0.)); #549372=CARTESIAN_POINT('',(42.108003,13.8536,0.)); #549373=CARTESIAN_POINT('',(42.137725,13.859634,0.035)); #549374=CARTESIAN_POINT('',(42.137725,13.859634,0.)); #549375=CARTESIAN_POINT('',(42.108003,13.8536,0.035)); #549376=CARTESIAN_POINT('',(42.108003,13.8536,0.035)); #549377=CARTESIAN_POINT('',(42.108003,13.8536,0.)); #549378=CARTESIAN_POINT('Origin',(41.998353,13.8536,0.)); #549379=CARTESIAN_POINT('',(41.998353,13.8536,0.)); #549380=CARTESIAN_POINT('',(41.998353,13.8536,0.)); #549381=CARTESIAN_POINT('',(41.998353,13.8536,0.035)); #549382=CARTESIAN_POINT('',(41.998353,13.8536,0.035)); #549383=CARTESIAN_POINT('',(41.998353,13.8536,0.)); #549384=CARTESIAN_POINT('Origin',(41.969756,13.859169,0.)); #549385=CARTESIAN_POINT('',(41.969756,13.859169,0.)); #549386=CARTESIAN_POINT('',(41.969756,13.859169,0.)); #549387=CARTESIAN_POINT('',(41.969756,13.859169,0.035)); #549388=CARTESIAN_POINT('',(41.969756,13.859169,0.035)); #549389=CARTESIAN_POINT('',(41.969756,13.859169,0.)); #549390=CARTESIAN_POINT('Origin',(41.944472,13.875919,0.)); #549391=CARTESIAN_POINT('',(41.944472,13.875919,0.)); #549392=CARTESIAN_POINT('',(41.944472,13.875919,0.)); #549393=CARTESIAN_POINT('',(41.944472,13.875919,0.035)); #549394=CARTESIAN_POINT('',(41.944472,13.875919,0.035)); #549395=CARTESIAN_POINT('',(41.944472,13.875919,0.)); #549396=CARTESIAN_POINT('Origin',(39.841791,15.9786,0.)); #549397=CARTESIAN_POINT('',(39.841791,15.9786,0.)); #549398=CARTESIAN_POINT('',(39.841791,15.9786,0.)); #549399=CARTESIAN_POINT('',(39.841791,15.9786,0.035)); #549400=CARTESIAN_POINT('',(39.841791,15.9786,0.035)); #549401=CARTESIAN_POINT('',(39.841791,15.9786,0.)); #549402=CARTESIAN_POINT('Origin',(39.657397,15.9786,0.)); #549403=CARTESIAN_POINT('',(39.657397,15.9786,0.)); #549404=CARTESIAN_POINT('',(39.657397,15.9786,0.)); #549405=CARTESIAN_POINT('',(39.657397,15.9786,0.035)); #549406=CARTESIAN_POINT('',(39.657397,15.9786,0.035)); #549407=CARTESIAN_POINT('',(39.657397,15.9786,0.)); #549408=CARTESIAN_POINT('Origin',(39.6288,15.984169,0.)); #549409=CARTESIAN_POINT('',(39.6288,15.984169,0.)); #549410=CARTESIAN_POINT('',(39.6288,15.984169,0.)); #549411=CARTESIAN_POINT('',(39.6288,15.984169,0.035)); #549412=CARTESIAN_POINT('',(39.6288,15.984169,0.035)); #549413=CARTESIAN_POINT('',(39.6288,15.984169,0.)); #549414=CARTESIAN_POINT('Origin',(39.603516,16.000919,0.)); #549415=CARTESIAN_POINT('',(39.603516,16.000919,0.)); #549416=CARTESIAN_POINT('',(39.603516,16.000919,0.)); #549417=CARTESIAN_POINT('',(39.603516,16.000919,0.035)); #549418=CARTESIAN_POINT('',(39.603516,16.000919,0.035)); #549419=CARTESIAN_POINT('',(39.603516,16.000919,0.)); #549420=CARTESIAN_POINT('Origin',(39.533316,16.071119,0.)); #549421=CARTESIAN_POINT('',(39.533316,16.071119,0.)); #549422=CARTESIAN_POINT('',(39.533316,16.071119,0.)); #549423=CARTESIAN_POINT('',(39.533316,16.071119,0.035)); #549424=CARTESIAN_POINT('',(39.533316,16.071119,0.035)); #549425=CARTESIAN_POINT('',(39.533316,16.071119,0.)); #549426=CARTESIAN_POINT('Origin',(39.517516,16.094166,0.)); #549427=CARTESIAN_POINT('',(39.517516,16.094166,0.)); #549428=CARTESIAN_POINT('',(39.517516,16.094166,0.)); #549429=CARTESIAN_POINT('',(39.517516,16.094166,0.035)); #549430=CARTESIAN_POINT('',(39.517516,16.094166,0.035)); #549431=CARTESIAN_POINT('',(39.517516,16.094166,0.)); #549432=CARTESIAN_POINT('Origin',(39.511006,16.123784,0.)); #549433=CARTESIAN_POINT('',(39.511006,16.123784,0.)); #549434=CARTESIAN_POINT('',(39.511006,16.123784,0.)); #549435=CARTESIAN_POINT('',(39.511006,16.123784,0.035)); #549436=CARTESIAN_POINT('',(39.511006,16.123784,0.035)); #549437=CARTESIAN_POINT('',(39.511006,16.123784,0.)); #549438=CARTESIAN_POINT('Origin',(39.516566,16.153597,0.)); #549439=CARTESIAN_POINT('',(39.516566,16.153597,0.)); #549440=CARTESIAN_POINT('',(39.516566,16.153597,0.)); #549441=CARTESIAN_POINT('',(39.516566,16.153597,0.035)); #549442=CARTESIAN_POINT('',(39.516566,16.153597,0.035)); #549443=CARTESIAN_POINT('',(39.516566,16.153597,0.)); #549444=CARTESIAN_POINT('Origin',(39.533316,16.178881,0.)); #549445=CARTESIAN_POINT('',(39.533316,16.178881,0.)); #549446=CARTESIAN_POINT('',(39.533316,16.178881,0.)); #549447=CARTESIAN_POINT('',(39.533316,16.178881,0.035)); #549448=CARTESIAN_POINT('',(39.533316,16.178881,0.035)); #549449=CARTESIAN_POINT('',(39.533316,16.178881,0.)); #549450=CARTESIAN_POINT('Origin',(39.603516,16.249081,0.)); #549451=CARTESIAN_POINT('',(39.603516,16.249081,0.)); #549452=CARTESIAN_POINT('',(39.603516,16.249081,0.)); #549453=CARTESIAN_POINT('',(39.603516,16.249081,0.035)); #549454=CARTESIAN_POINT('',(39.603516,16.249081,0.035)); #549455=CARTESIAN_POINT('',(39.603516,16.249081,0.)); #549456=CARTESIAN_POINT('Origin',(39.627675,16.265366,0.)); #549457=CARTESIAN_POINT('',(39.627675,16.265366,0.)); #549458=CARTESIAN_POINT('',(39.627675,16.265366,0.)); #549459=CARTESIAN_POINT('',(39.627675,16.265366,0.035)); #549460=CARTESIAN_POINT('',(39.627675,16.265366,0.035)); #549461=CARTESIAN_POINT('',(39.627675,16.265366,0.)); #549462=CARTESIAN_POINT('Origin',(39.657397,16.2714,0.)); #549463=CARTESIAN_POINT('',(39.657397,16.2714,0.)); #549464=CARTESIAN_POINT('',(39.657397,16.2714,0.)); #549465=CARTESIAN_POINT('',(39.657397,16.2714,0.035)); #549466=CARTESIAN_POINT('',(39.657397,16.2714,0.035)); #549467=CARTESIAN_POINT('',(39.657397,16.2714,0.)); #549468=CARTESIAN_POINT('Origin',(39.873747,16.2714,0.)); #549469=CARTESIAN_POINT('',(39.873747,16.2714,0.)); #549470=CARTESIAN_POINT('',(39.873747,16.2714,0.)); #549471=CARTESIAN_POINT('',(39.873747,16.2714,0.035)); #549472=CARTESIAN_POINT('',(39.873747,16.2714,0.035)); #549473=CARTESIAN_POINT('',(39.873747,16.2714,0.)); #549474=CARTESIAN_POINT('Origin',(39.902344,16.265831,0.)); #549475=CARTESIAN_POINT('',(39.902344,16.265831,0.)); #549476=CARTESIAN_POINT('',(39.902344,16.265831,0.)); #549477=CARTESIAN_POINT('',(39.902344,16.265831,0.035)); #549478=CARTESIAN_POINT('',(39.902344,16.265831,0.035)); #549479=CARTESIAN_POINT('',(39.902344,16.265831,0.)); #549480=CARTESIAN_POINT('Origin',(39.927628,16.249081,0.)); #549481=CARTESIAN_POINT('',(39.927628,16.249081,0.)); #549482=CARTESIAN_POINT('',(39.927628,16.249081,0.)); #549483=CARTESIAN_POINT('',(39.927628,16.249081,0.035)); #549484=CARTESIAN_POINT('',(39.927628,16.249081,0.035)); #549485=CARTESIAN_POINT('',(39.927628,16.249081,0.)); #549486=CARTESIAN_POINT('Origin',(41.530309,14.6464,0.)); #549487=CARTESIAN_POINT('',(41.530309,14.6464,0.)); #549488=CARTESIAN_POINT('',(41.530309,14.6464,0.)); #549489=CARTESIAN_POINT('',(41.530309,14.6464,0.035)); #549490=CARTESIAN_POINT('',(41.530309,14.6464,0.035)); #549491=CARTESIAN_POINT('',(41.530309,14.6464,0.)); #549492=CARTESIAN_POINT('Origin',(42.108003,14.6464,0.)); #549493=CARTESIAN_POINT('',(42.108003,14.6464,0.)); #549494=CARTESIAN_POINT('',(42.108003,14.6464,0.)); #549495=CARTESIAN_POINT('',(42.108003,14.6464,0.035)); #549496=CARTESIAN_POINT('',(42.108003,14.6464,0.035)); #549497=CARTESIAN_POINT('',(42.108003,14.6464,0.)); #549498=CARTESIAN_POINT('Origin',(42.134334,14.641706,0.)); #549499=CARTESIAN_POINT('',(42.134334,14.641706,0.)); #549500=CARTESIAN_POINT('',(42.134334,14.641706,0.)); #549501=CARTESIAN_POINT('',(42.134334,14.641706,0.035)); #549502=CARTESIAN_POINT('',(42.134334,14.641706,0.035)); #549503=CARTESIAN_POINT('',(42.134334,14.641706,0.)); #549504=CARTESIAN_POINT('Origin',(42.160141,14.625772,0.)); #549505=CARTESIAN_POINT('',(42.160141,14.625772,0.)); #549506=CARTESIAN_POINT('',(42.160141,14.625772,0.)); #549507=CARTESIAN_POINT('',(42.160141,14.625772,0.035)); #549508=CARTESIAN_POINT('',(42.160141,14.625772,0.035)); #549509=CARTESIAN_POINT('',(42.160141,14.625772,0.)); #549510=CARTESIAN_POINT('Origin',(42.177684,14.601034,0.)); #549511=CARTESIAN_POINT('',(42.177684,14.601034,0.)); #549512=CARTESIAN_POINT('',(42.177684,14.601034,0.)); #549513=CARTESIAN_POINT('',(42.177684,14.601034,0.035)); #549514=CARTESIAN_POINT('',(42.177684,14.601034,0.035)); #549515=CARTESIAN_POINT('',(42.177684,14.601034,0.)); #549516=CARTESIAN_POINT('Origin',(42.184194,14.571416,0.)); #549517=CARTESIAN_POINT('',(42.184194,14.571416,0.)); #549518=CARTESIAN_POINT('',(42.184194,14.571416,0.)); #549519=CARTESIAN_POINT('',(42.184194,14.571416,0.035)); #549520=CARTESIAN_POINT('',(42.184194,14.571416,0.035)); #549521=CARTESIAN_POINT('',(42.184194,14.571416,0.)); #549522=CARTESIAN_POINT('Origin',(42.178634,14.541603,0.)); #549523=CARTESIAN_POINT('',(42.178634,14.541603,0.)); #549524=CARTESIAN_POINT('',(42.178634,14.541603,0.)); #549525=CARTESIAN_POINT('',(42.178634,14.541603,0.035)); #549526=CARTESIAN_POINT('',(42.178634,14.541603,0.035)); #549527=CARTESIAN_POINT('',(42.178634,14.541603,0.)); #549528=CARTESIAN_POINT('Origin',(42.161884,14.516319,0.)); #549529=CARTESIAN_POINT('',(42.161884,14.516319,0.)); #549530=CARTESIAN_POINT('',(42.161884,14.516319,0.)); #549531=CARTESIAN_POINT('',(42.161884,14.516319,0.035)); #549532=CARTESIAN_POINT('',(42.161884,14.516319,0.035)); #549533=CARTESIAN_POINT('',(42.161884,14.516319,0.)); #549534=CARTESIAN_POINT('Origin',(42.0726,14.427034,0.)); #549535=CARTESIAN_POINT('',(42.0726,14.427034,0.)); #549536=CARTESIAN_POINT('',(42.0726,14.427034,0.)); #549537=CARTESIAN_POINT('',(42.0726,14.427034,0.035)); #549538=CARTESIAN_POINT('',(42.0726,14.427034,0.035)); #549539=CARTESIAN_POINT('',(42.0726,14.427034,0.)); #549540=CARTESIAN_POINT('Origin',(42.0726,14.072966,0.)); #549541=CARTESIAN_POINT('',(42.0726,14.072966,0.)); #549542=CARTESIAN_POINT('',(42.0726,14.072966,0.)); #549543=CARTESIAN_POINT('',(42.0726,14.072966,0.035)); #549544=CARTESIAN_POINT('',(42.0726,14.072966,0.035)); #549545=CARTESIAN_POINT('',(42.0726,14.072966,0.)); #549546=CARTESIAN_POINT('Origin',(42.161884,13.983681,0.)); #549547=CARTESIAN_POINT('',(42.161884,13.983681,0.)); #549548=CARTESIAN_POINT('',(42.161884,13.983681,0.)); #549549=CARTESIAN_POINT('',(42.161884,13.983681,0.035)); #549550=CARTESIAN_POINT('',(42.161884,13.983681,0.035)); #549551=CARTESIAN_POINT('',(42.161884,13.983681,0.)); #549552=CARTESIAN_POINT('Origin',(42.177184,13.961744,0.)); #549553=CARTESIAN_POINT('',(42.177184,13.961744,0.)); #549554=CARTESIAN_POINT('',(42.177184,13.961744,0.)); #549555=CARTESIAN_POINT('',(42.177184,13.961744,0.035)); #549556=CARTESIAN_POINT('',(42.177184,13.961744,0.035)); #549557=CARTESIAN_POINT('',(42.177184,13.961744,0.)); #549558=CARTESIAN_POINT('Origin',(42.184166,13.932228,0.)); #549559=CARTESIAN_POINT('',(42.184166,13.932228,0.)); #549560=CARTESIAN_POINT('',(42.184166,13.932228,0.)); #549561=CARTESIAN_POINT('',(42.184166,13.932228,0.035)); #549562=CARTESIAN_POINT('',(42.184166,13.932228,0.035)); #549563=CARTESIAN_POINT('',(42.184166,13.932228,0.)); #549564=CARTESIAN_POINT('Origin',(42.179081,13.902331,0.)); #549565=CARTESIAN_POINT('',(42.179081,13.902331,0.)); #549566=CARTESIAN_POINT('',(42.179081,13.902331,0.)); #549567=CARTESIAN_POINT('',(42.179081,13.902331,0.035)); #549568=CARTESIAN_POINT('',(42.179081,13.902331,0.035)); #549569=CARTESIAN_POINT('',(42.179081,13.902331,0.)); #549570=CARTESIAN_POINT('Origin',(42.162737,13.876784,0.)); #549571=CARTESIAN_POINT('',(42.162737,13.876784,0.)); #549572=CARTESIAN_POINT('',(42.162737,13.876784,0.)); #549573=CARTESIAN_POINT('',(42.162737,13.876784,0.035)); #549574=CARTESIAN_POINT('',(42.162737,13.876784,0.035)); #549575=CARTESIAN_POINT('',(42.162737,13.876784,0.)); #549576=CARTESIAN_POINT('Origin',(42.137725,13.859634,0.)); #549577=CARTESIAN_POINT('',(42.137725,13.859634,0.)); #549578=CARTESIAN_POINT('',(42.137725,13.859634,0.035)); #549579=CARTESIAN_POINT('Origin',(40.9496239146331,15.0891968786413,0.035)); #549580=CARTESIAN_POINT('Origin',(40.9496239146331,15.0891968786413,0.)); #549581=CARTESIAN_POINT('Origin',(35.483003,10.7286,0.)); #549582=CARTESIAN_POINT('',(35.483003,10.7286,0.)); #549583=CARTESIAN_POINT('',(35.512725,10.734634,0.)); #549584=CARTESIAN_POINT('',(35.483003,10.7286,0.)); #549585=CARTESIAN_POINT('',(35.512725,10.734634,0.035)); #549586=CARTESIAN_POINT('',(35.512725,10.734634,0.)); #549587=CARTESIAN_POINT('',(35.483003,10.7286,0.035)); #549588=CARTESIAN_POINT('',(35.483003,10.7286,0.035)); #549589=CARTESIAN_POINT('',(35.483003,10.7286,0.)); #549590=CARTESIAN_POINT('Origin',(30.907397,10.7286,0.)); #549591=CARTESIAN_POINT('',(30.907397,10.7286,0.)); #549592=CARTESIAN_POINT('',(30.907397,10.7286,0.)); #549593=CARTESIAN_POINT('',(30.907397,10.7286,0.035)); #549594=CARTESIAN_POINT('',(30.907397,10.7286,0.035)); #549595=CARTESIAN_POINT('',(30.907397,10.7286,0.)); #549596=CARTESIAN_POINT('Origin',(30.8788,10.734169,0.)); #549597=CARTESIAN_POINT('',(30.8788,10.734169,0.)); #549598=CARTESIAN_POINT('',(30.8788,10.734169,0.)); #549599=CARTESIAN_POINT('',(30.8788,10.734169,0.035)); #549600=CARTESIAN_POINT('',(30.8788,10.734169,0.035)); #549601=CARTESIAN_POINT('',(30.8788,10.734169,0.)); #549602=CARTESIAN_POINT('Origin',(30.853516,10.750919,0.)); #549603=CARTESIAN_POINT('',(30.853516,10.750919,0.)); #549604=CARTESIAN_POINT('',(30.853516,10.750919,0.)); #549605=CARTESIAN_POINT('',(30.853516,10.750919,0.035)); #549606=CARTESIAN_POINT('',(30.853516,10.750919,0.035)); #549607=CARTESIAN_POINT('',(30.853516,10.750919,0.)); #549608=CARTESIAN_POINT('Origin',(30.783316,10.821119,0.)); #549609=CARTESIAN_POINT('',(30.783316,10.821119,0.)); #549610=CARTESIAN_POINT('',(30.783316,10.821119,0.)); #549611=CARTESIAN_POINT('',(30.783316,10.821119,0.035)); #549612=CARTESIAN_POINT('',(30.783316,10.821119,0.035)); #549613=CARTESIAN_POINT('',(30.783316,10.821119,0.)); #549614=CARTESIAN_POINT('Origin',(30.767516,10.844166,0.)); #549615=CARTESIAN_POINT('',(30.767516,10.844166,0.)); #549616=CARTESIAN_POINT('',(30.767516,10.844166,0.)); #549617=CARTESIAN_POINT('',(30.767516,10.844166,0.035)); #549618=CARTESIAN_POINT('',(30.767516,10.844166,0.035)); #549619=CARTESIAN_POINT('',(30.767516,10.844166,0.)); #549620=CARTESIAN_POINT('Origin',(30.761006,10.873784,0.)); #549621=CARTESIAN_POINT('',(30.761006,10.873784,0.)); #549622=CARTESIAN_POINT('',(30.761006,10.873784,0.)); #549623=CARTESIAN_POINT('',(30.761006,10.873784,0.035)); #549624=CARTESIAN_POINT('',(30.761006,10.873784,0.035)); #549625=CARTESIAN_POINT('',(30.761006,10.873784,0.)); #549626=CARTESIAN_POINT('Origin',(30.766566,10.903597,0.)); #549627=CARTESIAN_POINT('',(30.766566,10.903597,0.)); #549628=CARTESIAN_POINT('',(30.766566,10.903597,0.)); #549629=CARTESIAN_POINT('',(30.766566,10.903597,0.035)); #549630=CARTESIAN_POINT('',(30.766566,10.903597,0.035)); #549631=CARTESIAN_POINT('',(30.766566,10.903597,0.)); #549632=CARTESIAN_POINT('Origin',(30.783316,10.928881,0.)); #549633=CARTESIAN_POINT('',(30.783316,10.928881,0.)); #549634=CARTESIAN_POINT('',(30.783316,10.928881,0.)); #549635=CARTESIAN_POINT('',(30.783316,10.928881,0.035)); #549636=CARTESIAN_POINT('',(30.783316,10.928881,0.035)); #549637=CARTESIAN_POINT('',(30.783316,10.928881,0.)); #549638=CARTESIAN_POINT('Origin',(30.853516,10.999081,0.)); #549639=CARTESIAN_POINT('',(30.853516,10.999081,0.)); #549640=CARTESIAN_POINT('',(30.853516,10.999081,0.)); #549641=CARTESIAN_POINT('',(30.853516,10.999081,0.035)); #549642=CARTESIAN_POINT('',(30.853516,10.999081,0.035)); #549643=CARTESIAN_POINT('',(30.853516,10.999081,0.)); #549644=CARTESIAN_POINT('Origin',(30.877675,11.015366,0.)); #549645=CARTESIAN_POINT('',(30.877675,11.015366,0.)); #549646=CARTESIAN_POINT('',(30.877675,11.015366,0.)); #549647=CARTESIAN_POINT('',(30.877675,11.015366,0.035)); #549648=CARTESIAN_POINT('',(30.877675,11.015366,0.035)); #549649=CARTESIAN_POINT('',(30.877675,11.015366,0.)); #549650=CARTESIAN_POINT('Origin',(30.907397,11.0214,0.)); #549651=CARTESIAN_POINT('',(30.907397,11.0214,0.)); #549652=CARTESIAN_POINT('',(30.907397,11.0214,0.)); #549653=CARTESIAN_POINT('',(30.907397,11.0214,0.035)); #549654=CARTESIAN_POINT('',(30.907397,11.0214,0.035)); #549655=CARTESIAN_POINT('',(30.907397,11.0214,0.)); #549656=CARTESIAN_POINT('Origin',(35.342603,11.0214,0.)); #549657=CARTESIAN_POINT('',(35.342603,11.0214,0.)); #549658=CARTESIAN_POINT('',(35.342603,11.0214,0.)); #549659=CARTESIAN_POINT('',(35.342603,11.0214,0.035)); #549660=CARTESIAN_POINT('',(35.342603,11.0214,0.035)); #549661=CARTESIAN_POINT('',(35.342603,11.0214,0.)); #549662=CARTESIAN_POINT('Origin',(35.3712,11.015831,0.)); #549663=CARTESIAN_POINT('',(35.3712,11.015831,0.)); #549664=CARTESIAN_POINT('',(35.3712,11.015831,0.)); #549665=CARTESIAN_POINT('',(35.3712,11.015831,0.035)); #549666=CARTESIAN_POINT('',(35.3712,11.015831,0.035)); #549667=CARTESIAN_POINT('',(35.3712,11.015831,0.)); #549668=CARTESIAN_POINT('Origin',(35.396484,10.999081,0.)); #549669=CARTESIAN_POINT('',(35.396484,10.999081,0.)); #549670=CARTESIAN_POINT('',(35.396484,10.999081,0.)); #549671=CARTESIAN_POINT('',(35.396484,10.999081,0.035)); #549672=CARTESIAN_POINT('',(35.396484,10.999081,0.035)); #549673=CARTESIAN_POINT('',(35.396484,10.999081,0.)); #549674=CARTESIAN_POINT('Origin',(35.536884,10.858681,0.)); #549675=CARTESIAN_POINT('',(35.536884,10.858681,0.)); #549676=CARTESIAN_POINT('',(35.536884,10.858681,0.)); #549677=CARTESIAN_POINT('',(35.536884,10.858681,0.035)); #549678=CARTESIAN_POINT('',(35.536884,10.858681,0.035)); #549679=CARTESIAN_POINT('',(35.536884,10.858681,0.)); #549680=CARTESIAN_POINT('Origin',(35.552184,10.836744,0.)); #549681=CARTESIAN_POINT('',(35.552184,10.836744,0.)); #549682=CARTESIAN_POINT('',(35.552184,10.836744,0.)); #549683=CARTESIAN_POINT('',(35.552184,10.836744,0.035)); #549684=CARTESIAN_POINT('',(35.552184,10.836744,0.035)); #549685=CARTESIAN_POINT('',(35.552184,10.836744,0.)); #549686=CARTESIAN_POINT('Origin',(35.559166,10.807228,0.)); #549687=CARTESIAN_POINT('',(35.559166,10.807228,0.)); #549688=CARTESIAN_POINT('',(35.559166,10.807228,0.)); #549689=CARTESIAN_POINT('',(35.559166,10.807228,0.035)); #549690=CARTESIAN_POINT('',(35.559166,10.807228,0.035)); #549691=CARTESIAN_POINT('',(35.559166,10.807228,0.)); #549692=CARTESIAN_POINT('Origin',(35.554081,10.777331,0.)); #549693=CARTESIAN_POINT('',(35.554081,10.777331,0.)); #549694=CARTESIAN_POINT('',(35.554081,10.777331,0.)); #549695=CARTESIAN_POINT('',(35.554081,10.777331,0.035)); #549696=CARTESIAN_POINT('',(35.554081,10.777331,0.035)); #549697=CARTESIAN_POINT('',(35.554081,10.777331,0.)); #549698=CARTESIAN_POINT('Origin',(35.537737,10.751784,0.)); #549699=CARTESIAN_POINT('',(35.537737,10.751784,0.)); #549700=CARTESIAN_POINT('',(35.537737,10.751784,0.)); #549701=CARTESIAN_POINT('',(35.537737,10.751784,0.035)); #549702=CARTESIAN_POINT('',(35.537737,10.751784,0.035)); #549703=CARTESIAN_POINT('',(35.537737,10.751784,0.)); #549704=CARTESIAN_POINT('Origin',(35.512725,10.734634,0.)); #549705=CARTESIAN_POINT('',(35.512725,10.734634,0.)); #549706=CARTESIAN_POINT('',(35.512725,10.734634,0.035)); #549707=CARTESIAN_POINT('Origin',(33.1598930810151,10.8731585575368,0.035)); #549708=CARTESIAN_POINT('Origin',(33.1598930810151,10.8731585575368,0.)); #549709=CARTESIAN_POINT('Origin',(30.373747,23.2286,0.)); #549710=CARTESIAN_POINT('',(30.373747,23.2286,0.)); #549711=CARTESIAN_POINT('',(30.403469,23.234634,0.)); #549712=CARTESIAN_POINT('',(30.373747,23.2286,0.)); #549713=CARTESIAN_POINT('',(30.403469,23.234634,0.035)); #549714=CARTESIAN_POINT('',(30.403469,23.234634,0.)); #549715=CARTESIAN_POINT('',(30.373747,23.2286,0.035)); #549716=CARTESIAN_POINT('',(30.373747,23.2286,0.035)); #549717=CARTESIAN_POINT('',(30.373747,23.2286,0.)); #549718=CARTESIAN_POINT('Origin',(28.4097,23.2286,0.)); #549719=CARTESIAN_POINT('',(28.4097,23.2286,0.)); #549720=CARTESIAN_POINT('',(28.4097,23.2286,0.)); #549721=CARTESIAN_POINT('',(28.4097,23.2286,0.035)); #549722=CARTESIAN_POINT('',(28.4097,23.2286,0.035)); #549723=CARTESIAN_POINT('',(28.4097,23.2286,0.)); #549724=CARTESIAN_POINT('Origin',(28.382231,23.233722,0.)); #549725=CARTESIAN_POINT('',(28.382231,23.233722,0.)); #549726=CARTESIAN_POINT('',(28.382231,23.233722,0.)); #549727=CARTESIAN_POINT('',(28.382231,23.233722,0.035)); #549728=CARTESIAN_POINT('',(28.382231,23.233722,0.035)); #549729=CARTESIAN_POINT('',(28.382231,23.233722,0.)); #549730=CARTESIAN_POINT('Origin',(28.356684,23.250066,0.)); #549731=CARTESIAN_POINT('',(28.356684,23.250066,0.)); #549732=CARTESIAN_POINT('',(28.356684,23.250066,0.)); #549733=CARTESIAN_POINT('',(28.356684,23.250066,0.035)); #549734=CARTESIAN_POINT('',(28.356684,23.250066,0.035)); #549735=CARTESIAN_POINT('',(28.356684,23.250066,0.)); #549736=CARTESIAN_POINT('Origin',(28.339534,23.275078,0.)); #549737=CARTESIAN_POINT('',(28.339534,23.275078,0.)); #549738=CARTESIAN_POINT('',(28.339534,23.275078,0.)); #549739=CARTESIAN_POINT('',(28.339534,23.275078,0.035)); #549740=CARTESIAN_POINT('',(28.339534,23.275078,0.035)); #549741=CARTESIAN_POINT('',(28.339534,23.275078,0.)); #549742=CARTESIAN_POINT('Origin',(28.3335,23.3048,0.)); #549743=CARTESIAN_POINT('',(28.3335,23.3048,0.)); #549744=CARTESIAN_POINT('',(28.3335,23.3048,0.)); #549745=CARTESIAN_POINT('',(28.3335,23.3048,0.035)); #549746=CARTESIAN_POINT('',(28.3335,23.3048,0.035)); #549747=CARTESIAN_POINT('',(28.3335,23.3048,0.)); #549748=CARTESIAN_POINT('Origin',(28.3335,23.4452,0.)); #549749=CARTESIAN_POINT('',(28.3335,23.4452,0.)); #549750=CARTESIAN_POINT('',(28.3335,23.4452,0.)); #549751=CARTESIAN_POINT('',(28.3335,23.4452,0.035)); #549752=CARTESIAN_POINT('',(28.3335,23.4452,0.035)); #549753=CARTESIAN_POINT('',(28.3335,23.4452,0.)); #549754=CARTESIAN_POINT('Origin',(28.338622,23.472669,0.)); #549755=CARTESIAN_POINT('',(28.338622,23.472669,0.)); #549756=CARTESIAN_POINT('',(28.338622,23.472669,0.)); #549757=CARTESIAN_POINT('',(28.338622,23.472669,0.035)); #549758=CARTESIAN_POINT('',(28.338622,23.472669,0.035)); #549759=CARTESIAN_POINT('',(28.338622,23.472669,0.)); #549760=CARTESIAN_POINT('Origin',(28.354966,23.498216,0.)); #549761=CARTESIAN_POINT('',(28.354966,23.498216,0.)); #549762=CARTESIAN_POINT('',(28.354966,23.498216,0.)); #549763=CARTESIAN_POINT('',(28.354966,23.498216,0.035)); #549764=CARTESIAN_POINT('',(28.354966,23.498216,0.035)); #549765=CARTESIAN_POINT('',(28.354966,23.498216,0.)); #549766=CARTESIAN_POINT('Origin',(28.379978,23.515366,0.)); #549767=CARTESIAN_POINT('',(28.379978,23.515366,0.)); #549768=CARTESIAN_POINT('',(28.379978,23.515366,0.)); #549769=CARTESIAN_POINT('',(28.379978,23.515366,0.035)); #549770=CARTESIAN_POINT('',(28.379978,23.515366,0.035)); #549771=CARTESIAN_POINT('',(28.379978,23.515366,0.)); #549772=CARTESIAN_POINT('Origin',(28.4097,23.5214,0.)); #549773=CARTESIAN_POINT('',(28.4097,23.5214,0.)); #549774=CARTESIAN_POINT('',(28.4097,23.5214,0.)); #549775=CARTESIAN_POINT('',(28.4097,23.5214,0.035)); #549776=CARTESIAN_POINT('',(28.4097,23.5214,0.035)); #549777=CARTESIAN_POINT('',(28.4097,23.5214,0.)); #549778=CARTESIAN_POINT('Origin',(28.594691,23.5214,0.)); #549779=CARTESIAN_POINT('',(28.594691,23.5214,0.)); #549780=CARTESIAN_POINT('',(28.594691,23.5214,0.)); #549781=CARTESIAN_POINT('',(28.594691,23.5214,0.035)); #549782=CARTESIAN_POINT('',(28.594691,23.5214,0.035)); #549783=CARTESIAN_POINT('',(28.594691,23.5214,0.)); #549784=CARTESIAN_POINT('Origin',(30.467519,25.394228,0.)); #549785=CARTESIAN_POINT('',(30.467519,25.394228,0.)); #549786=CARTESIAN_POINT('',(30.467519,25.394228,0.)); #549787=CARTESIAN_POINT('',(30.467519,25.394228,0.035)); #549788=CARTESIAN_POINT('',(30.467519,25.394228,0.035)); #549789=CARTESIAN_POINT('',(30.467519,25.394228,0.)); #549790=CARTESIAN_POINT('Origin',(30.489456,25.409528,0.)); #549791=CARTESIAN_POINT('',(30.489456,25.409528,0.)); #549792=CARTESIAN_POINT('',(30.489456,25.409528,0.)); #549793=CARTESIAN_POINT('',(30.489456,25.409528,0.035)); #549794=CARTESIAN_POINT('',(30.489456,25.409528,0.035)); #549795=CARTESIAN_POINT('',(30.489456,25.409528,0.)); #549796=CARTESIAN_POINT('Origin',(30.518972,25.416509,0.)); #549797=CARTESIAN_POINT('',(30.518972,25.416509,0.)); #549798=CARTESIAN_POINT('',(30.518972,25.416509,0.)); #549799=CARTESIAN_POINT('',(30.518972,25.416509,0.035)); #549800=CARTESIAN_POINT('',(30.518972,25.416509,0.035)); #549801=CARTESIAN_POINT('',(30.518972,25.416509,0.)); #549802=CARTESIAN_POINT('Origin',(30.548869,25.411425,0.)); #549803=CARTESIAN_POINT('',(30.548869,25.411425,0.)); #549804=CARTESIAN_POINT('',(30.548869,25.411425,0.)); #549805=CARTESIAN_POINT('',(30.548869,25.411425,0.035)); #549806=CARTESIAN_POINT('',(30.548869,25.411425,0.035)); #549807=CARTESIAN_POINT('',(30.548869,25.411425,0.)); #549808=CARTESIAN_POINT('Origin',(30.574416,25.395081,0.)); #549809=CARTESIAN_POINT('',(30.574416,25.395081,0.)); #549810=CARTESIAN_POINT('',(30.574416,25.395081,0.)); #549811=CARTESIAN_POINT('',(30.574416,25.395081,0.035)); #549812=CARTESIAN_POINT('',(30.574416,25.395081,0.035)); #549813=CARTESIAN_POINT('',(30.574416,25.395081,0.)); #549814=CARTESIAN_POINT('Origin',(30.591566,25.370069,0.)); #549815=CARTESIAN_POINT('',(30.591566,25.370069,0.)); #549816=CARTESIAN_POINT('',(30.591566,25.370069,0.)); #549817=CARTESIAN_POINT('',(30.591566,25.370069,0.035)); #549818=CARTESIAN_POINT('',(30.591566,25.370069,0.035)); #549819=CARTESIAN_POINT('',(30.591566,25.370069,0.)); #549820=CARTESIAN_POINT('Origin',(30.5976,25.340347,0.)); #549821=CARTESIAN_POINT('',(30.5976,25.340347,0.)); #549822=CARTESIAN_POINT('',(30.5976,25.340347,0.)); #549823=CARTESIAN_POINT('',(30.5976,25.340347,0.035)); #549824=CARTESIAN_POINT('',(30.5976,25.340347,0.035)); #549825=CARTESIAN_POINT('',(30.5976,25.340347,0.)); #549826=CARTESIAN_POINT('Origin',(30.5976,25.0705,0.)); #549827=CARTESIAN_POINT('',(30.5976,25.0705,0.)); #549828=CARTESIAN_POINT('',(30.5976,25.0705,0.)); #549829=CARTESIAN_POINT('',(30.5976,25.0705,0.035)); #549830=CARTESIAN_POINT('',(30.5976,25.0705,0.035)); #549831=CARTESIAN_POINT('',(30.5976,25.0705,0.)); #549832=CARTESIAN_POINT('Origin',(30.734984,24.738831,0.)); #549833=CARTESIAN_POINT('',(30.734984,24.738831,0.)); #549834=CARTESIAN_POINT('',(30.734984,24.738831,0.)); #549835=CARTESIAN_POINT('',(30.734984,24.738831,0.035)); #549836=CARTESIAN_POINT('',(30.734984,24.738831,0.035)); #549837=CARTESIAN_POINT('',(30.734984,24.738831,0.)); #549838=CARTESIAN_POINT('Origin',(30.988831,24.484984,0.)); #549839=CARTESIAN_POINT('',(30.988831,24.484984,0.)); #549840=CARTESIAN_POINT('',(30.988831,24.484984,0.)); #549841=CARTESIAN_POINT('',(30.988831,24.484984,0.035)); #549842=CARTESIAN_POINT('',(30.988831,24.484984,0.035)); #549843=CARTESIAN_POINT('',(30.988831,24.484984,0.)); #549844=CARTESIAN_POINT('Origin',(31.224359,24.387425,0.)); #549845=CARTESIAN_POINT('',(31.224359,24.387425,0.)); #549846=CARTESIAN_POINT('',(31.224359,24.387425,0.)); #549847=CARTESIAN_POINT('',(31.224359,24.387425,0.035)); #549848=CARTESIAN_POINT('',(31.224359,24.387425,0.035)); #549849=CARTESIAN_POINT('',(31.224359,24.387425,0.)); #549850=CARTESIAN_POINT('Origin',(31.248216,24.371759,0.)); #549851=CARTESIAN_POINT('',(31.248216,24.371759,0.)); #549852=CARTESIAN_POINT('',(31.248216,24.371759,0.)); #549853=CARTESIAN_POINT('',(31.248216,24.371759,0.035)); #549854=CARTESIAN_POINT('',(31.248216,24.371759,0.035)); #549855=CARTESIAN_POINT('',(31.248216,24.371759,0.)); #549856=CARTESIAN_POINT('Origin',(31.265366,24.346747,0.)); #549857=CARTESIAN_POINT('',(31.265366,24.346747,0.)); #549858=CARTESIAN_POINT('',(31.265366,24.346747,0.)); #549859=CARTESIAN_POINT('',(31.265366,24.346747,0.035)); #549860=CARTESIAN_POINT('',(31.265366,24.346747,0.035)); #549861=CARTESIAN_POINT('',(31.265366,24.346747,0.)); #549862=CARTESIAN_POINT('Origin',(31.2714,24.317025,0.)); #549863=CARTESIAN_POINT('',(31.2714,24.317025,0.)); #549864=CARTESIAN_POINT('',(31.2714,24.317025,0.)); #549865=CARTESIAN_POINT('',(31.2714,24.317025,0.035)); #549866=CARTESIAN_POINT('',(31.2714,24.317025,0.035)); #549867=CARTESIAN_POINT('',(31.2714,24.317025,0.)); #549868=CARTESIAN_POINT('Origin',(31.2714,24.126253,0.)); #549869=CARTESIAN_POINT('',(31.2714,24.126253,0.)); #549870=CARTESIAN_POINT('',(31.2714,24.126253,0.)); #549871=CARTESIAN_POINT('',(31.2714,24.126253,0.035)); #549872=CARTESIAN_POINT('',(31.2714,24.126253,0.035)); #549873=CARTESIAN_POINT('',(31.2714,24.126253,0.)); #549874=CARTESIAN_POINT('Origin',(31.265831,24.097656,0.)); #549875=CARTESIAN_POINT('',(31.265831,24.097656,0.)); #549876=CARTESIAN_POINT('',(31.265831,24.097656,0.)); #549877=CARTESIAN_POINT('',(31.265831,24.097656,0.035)); #549878=CARTESIAN_POINT('',(31.265831,24.097656,0.035)); #549879=CARTESIAN_POINT('',(31.265831,24.097656,0.)); #549880=CARTESIAN_POINT('Origin',(31.249081,24.072372,0.)); #549881=CARTESIAN_POINT('',(31.249081,24.072372,0.)); #549882=CARTESIAN_POINT('',(31.249081,24.072372,0.)); #549883=CARTESIAN_POINT('',(31.249081,24.072372,0.035)); #549884=CARTESIAN_POINT('',(31.249081,24.072372,0.035)); #549885=CARTESIAN_POINT('',(31.249081,24.072372,0.)); #549886=CARTESIAN_POINT('Origin',(30.427628,23.250919,0.)); #549887=CARTESIAN_POINT('',(30.427628,23.250919,0.)); #549888=CARTESIAN_POINT('',(30.427628,23.250919,0.)); #549889=CARTESIAN_POINT('',(30.427628,23.250919,0.035)); #549890=CARTESIAN_POINT('',(30.427628,23.250919,0.035)); #549891=CARTESIAN_POINT('',(30.427628,23.250919,0.)); #549892=CARTESIAN_POINT('Origin',(30.403469,23.234634,0.)); #549893=CARTESIAN_POINT('',(30.403469,23.234634,0.)); #549894=CARTESIAN_POINT('',(30.403469,23.234634,0.035)); #549895=CARTESIAN_POINT('Origin',(29.89936471463,24.0298823553026,0.035)); #549896=CARTESIAN_POINT('Origin',(29.89936471463,24.0298823553026,0.)); #549897=CARTESIAN_POINT('Origin',(41.626216,31.261006,0.)); #549898=CARTESIAN_POINT('',(41.626216,31.261006,0.)); #549899=CARTESIAN_POINT('',(41.655834,31.267516,0.)); #549900=CARTESIAN_POINT('',(41.626216,31.261006,0.)); #549901=CARTESIAN_POINT('',(41.655834,31.267516,0.035)); #549902=CARTESIAN_POINT('',(41.655834,31.267516,0.)); #549903=CARTESIAN_POINT('',(41.626216,31.261006,0.035)); #549904=CARTESIAN_POINT('',(41.626216,31.261006,0.035)); #549905=CARTESIAN_POINT('',(41.626216,31.261006,0.)); #549906=CARTESIAN_POINT('Origin',(41.596403,31.266566,0.)); #549907=CARTESIAN_POINT('',(41.596403,31.266566,0.)); #549908=CARTESIAN_POINT('',(41.596403,31.266566,0.)); #549909=CARTESIAN_POINT('',(41.596403,31.266566,0.035)); #549910=CARTESIAN_POINT('',(41.596403,31.266566,0.035)); #549911=CARTESIAN_POINT('',(41.596403,31.266566,0.)); #549912=CARTESIAN_POINT('Origin',(41.571119,31.283316,0.)); #549913=CARTESIAN_POINT('',(41.571119,31.283316,0.)); #549914=CARTESIAN_POINT('',(41.571119,31.283316,0.)); #549915=CARTESIAN_POINT('',(41.571119,31.283316,0.035)); #549916=CARTESIAN_POINT('',(41.571119,31.283316,0.035)); #549917=CARTESIAN_POINT('',(41.571119,31.283316,0.)); #549918=CARTESIAN_POINT('Origin',(41.500919,31.353516,0.)); #549919=CARTESIAN_POINT('',(41.500919,31.353516,0.)); #549920=CARTESIAN_POINT('',(41.500919,31.353516,0.)); #549921=CARTESIAN_POINT('',(41.500919,31.353516,0.035)); #549922=CARTESIAN_POINT('',(41.500919,31.353516,0.035)); #549923=CARTESIAN_POINT('',(41.500919,31.353516,0.)); #549924=CARTESIAN_POINT('Origin',(41.484634,31.377675,0.)); #549925=CARTESIAN_POINT('',(41.484634,31.377675,0.)); #549926=CARTESIAN_POINT('',(41.484634,31.377675,0.)); #549927=CARTESIAN_POINT('',(41.484634,31.377675,0.035)); #549928=CARTESIAN_POINT('',(41.484634,31.377675,0.035)); #549929=CARTESIAN_POINT('',(41.484634,31.377675,0.)); #549930=CARTESIAN_POINT('Origin',(41.4786,31.407397,0.)); #549931=CARTESIAN_POINT('',(41.4786,31.407397,0.)); #549932=CARTESIAN_POINT('',(41.4786,31.407397,0.)); #549933=CARTESIAN_POINT('',(41.4786,31.407397,0.035)); #549934=CARTESIAN_POINT('',(41.4786,31.407397,0.035)); #549935=CARTESIAN_POINT('',(41.4786,31.407397,0.)); #549936=CARTESIAN_POINT('Origin',(41.4786,35.592603,0.)); #549937=CARTESIAN_POINT('',(41.4786,35.592603,0.)); #549938=CARTESIAN_POINT('',(41.4786,35.592603,0.)); #549939=CARTESIAN_POINT('',(41.4786,35.592603,0.035)); #549940=CARTESIAN_POINT('',(41.4786,35.592603,0.035)); #549941=CARTESIAN_POINT('',(41.4786,35.592603,0.)); #549942=CARTESIAN_POINT('Origin',(41.484169,35.6212,0.)); #549943=CARTESIAN_POINT('',(41.484169,35.6212,0.)); #549944=CARTESIAN_POINT('',(41.484169,35.6212,0.)); #549945=CARTESIAN_POINT('',(41.484169,35.6212,0.035)); #549946=CARTESIAN_POINT('',(41.484169,35.6212,0.035)); #549947=CARTESIAN_POINT('',(41.484169,35.6212,0.)); #549948=CARTESIAN_POINT('Origin',(41.500919,35.646484,0.)); #549949=CARTESIAN_POINT('',(41.500919,35.646484,0.)); #549950=CARTESIAN_POINT('',(41.500919,35.646484,0.)); #549951=CARTESIAN_POINT('',(41.500919,35.646484,0.035)); #549952=CARTESIAN_POINT('',(41.500919,35.646484,0.035)); #549953=CARTESIAN_POINT('',(41.500919,35.646484,0.)); #549954=CARTESIAN_POINT('Origin',(41.571119,35.716684,0.)); #549955=CARTESIAN_POINT('',(41.571119,35.716684,0.)); #549956=CARTESIAN_POINT('',(41.571119,35.716684,0.)); #549957=CARTESIAN_POINT('',(41.571119,35.716684,0.035)); #549958=CARTESIAN_POINT('',(41.571119,35.716684,0.035)); #549959=CARTESIAN_POINT('',(41.571119,35.716684,0.)); #549960=CARTESIAN_POINT('Origin',(41.594166,35.732484,0.)); #549961=CARTESIAN_POINT('',(41.594166,35.732484,0.)); #549962=CARTESIAN_POINT('',(41.594166,35.732484,0.)); #549963=CARTESIAN_POINT('',(41.594166,35.732484,0.035)); #549964=CARTESIAN_POINT('',(41.594166,35.732484,0.035)); #549965=CARTESIAN_POINT('',(41.594166,35.732484,0.)); #549966=CARTESIAN_POINT('Origin',(41.623784,35.738994,0.)); #549967=CARTESIAN_POINT('',(41.623784,35.738994,0.)); #549968=CARTESIAN_POINT('',(41.623784,35.738994,0.)); #549969=CARTESIAN_POINT('',(41.623784,35.738994,0.035)); #549970=CARTESIAN_POINT('',(41.623784,35.738994,0.035)); #549971=CARTESIAN_POINT('',(41.623784,35.738994,0.)); #549972=CARTESIAN_POINT('Origin',(41.653597,35.733434,0.)); #549973=CARTESIAN_POINT('',(41.653597,35.733434,0.)); #549974=CARTESIAN_POINT('',(41.653597,35.733434,0.)); #549975=CARTESIAN_POINT('',(41.653597,35.733434,0.035)); #549976=CARTESIAN_POINT('',(41.653597,35.733434,0.035)); #549977=CARTESIAN_POINT('',(41.653597,35.733434,0.)); #549978=CARTESIAN_POINT('Origin',(41.678881,35.716684,0.)); #549979=CARTESIAN_POINT('',(41.678881,35.716684,0.)); #549980=CARTESIAN_POINT('',(41.678881,35.716684,0.)); #549981=CARTESIAN_POINT('',(41.678881,35.716684,0.035)); #549982=CARTESIAN_POINT('',(41.678881,35.716684,0.035)); #549983=CARTESIAN_POINT('',(41.678881,35.716684,0.)); #549984=CARTESIAN_POINT('Origin',(41.749081,35.646484,0.)); #549985=CARTESIAN_POINT('',(41.749081,35.646484,0.)); #549986=CARTESIAN_POINT('',(41.749081,35.646484,0.)); #549987=CARTESIAN_POINT('',(41.749081,35.646484,0.035)); #549988=CARTESIAN_POINT('',(41.749081,35.646484,0.035)); #549989=CARTESIAN_POINT('',(41.749081,35.646484,0.)); #549990=CARTESIAN_POINT('Origin',(41.765366,35.622325,0.)); #549991=CARTESIAN_POINT('',(41.765366,35.622325,0.)); #549992=CARTESIAN_POINT('',(41.765366,35.622325,0.)); #549993=CARTESIAN_POINT('',(41.765366,35.622325,0.035)); #549994=CARTESIAN_POINT('',(41.765366,35.622325,0.035)); #549995=CARTESIAN_POINT('',(41.765366,35.622325,0.)); #549996=CARTESIAN_POINT('Origin',(41.7714,35.592603,0.)); #549997=CARTESIAN_POINT('',(41.7714,35.592603,0.)); #549998=CARTESIAN_POINT('',(41.7714,35.592603,0.)); #549999=CARTESIAN_POINT('',(41.7714,35.592603,0.035)); #550000=CARTESIAN_POINT('',(41.7714,35.592603,0.035)); #550001=CARTESIAN_POINT('',(41.7714,35.592603,0.)); #550002=CARTESIAN_POINT('Origin',(41.7714,31.407397,0.)); #550003=CARTESIAN_POINT('',(41.7714,31.407397,0.)); #550004=CARTESIAN_POINT('',(41.7714,31.407397,0.)); #550005=CARTESIAN_POINT('',(41.7714,31.407397,0.035)); #550006=CARTESIAN_POINT('',(41.7714,31.407397,0.035)); #550007=CARTESIAN_POINT('',(41.7714,31.407397,0.)); #550008=CARTESIAN_POINT('Origin',(41.765831,31.3788,0.)); #550009=CARTESIAN_POINT('',(41.765831,31.3788,0.)); #550010=CARTESIAN_POINT('',(41.765831,31.3788,0.)); #550011=CARTESIAN_POINT('',(41.765831,31.3788,0.035)); #550012=CARTESIAN_POINT('',(41.765831,31.3788,0.035)); #550013=CARTESIAN_POINT('',(41.765831,31.3788,0.)); #550014=CARTESIAN_POINT('Origin',(41.749081,31.353516,0.)); #550015=CARTESIAN_POINT('',(41.749081,31.353516,0.)); #550016=CARTESIAN_POINT('',(41.749081,31.353516,0.)); #550017=CARTESIAN_POINT('',(41.749081,31.353516,0.035)); #550018=CARTESIAN_POINT('',(41.749081,31.353516,0.035)); #550019=CARTESIAN_POINT('',(41.749081,31.353516,0.)); #550020=CARTESIAN_POINT('Origin',(41.678881,31.283316,0.)); #550021=CARTESIAN_POINT('',(41.678881,31.283316,0.)); #550022=CARTESIAN_POINT('',(41.678881,31.283316,0.)); #550023=CARTESIAN_POINT('',(41.678881,31.283316,0.035)); #550024=CARTESIAN_POINT('',(41.678881,31.283316,0.035)); #550025=CARTESIAN_POINT('',(41.678881,31.283316,0.)); #550026=CARTESIAN_POINT('Origin',(41.655834,31.267516,0.)); #550027=CARTESIAN_POINT('',(41.655834,31.267516,0.)); #550028=CARTESIAN_POINT('',(41.655834,31.267516,0.035)); #550029=CARTESIAN_POINT('Origin',(41.625,33.5,0.035)); #550030=CARTESIAN_POINT('Origin',(41.625,33.5,0.)); #550031=CARTESIAN_POINT('Origin',(41.971003,27.9906,0.)); #550032=CARTESIAN_POINT('',(41.971003,27.9906,0.)); #550033=CARTESIAN_POINT('',(42.000725,27.996634,0.)); #550034=CARTESIAN_POINT('',(41.971003,27.9906,0.)); #550035=CARTESIAN_POINT('',(42.000725,27.996634,0.035)); #550036=CARTESIAN_POINT('',(42.000725,27.996634,0.)); #550037=CARTESIAN_POINT('',(41.971003,27.9906,0.035)); #550038=CARTESIAN_POINT('',(41.971003,27.9906,0.035)); #550039=CARTESIAN_POINT('',(41.971003,27.9906,0.)); #550040=CARTESIAN_POINT('Origin',(38.1778,27.9906,0.)); #550041=CARTESIAN_POINT('',(38.1778,27.9906,0.)); #550042=CARTESIAN_POINT('',(38.1778,27.9906,0.)); #550043=CARTESIAN_POINT('',(38.1778,27.9906,0.035)); #550044=CARTESIAN_POINT('',(38.1778,27.9906,0.035)); #550045=CARTESIAN_POINT('',(38.1778,27.9906,0.)); #550046=CARTESIAN_POINT('Origin',(38.150331,27.995722,0.)); #550047=CARTESIAN_POINT('',(38.150331,27.995722,0.)); #550048=CARTESIAN_POINT('',(38.150331,27.995722,0.)); #550049=CARTESIAN_POINT('',(38.150331,27.995722,0.035)); #550050=CARTESIAN_POINT('',(38.150331,27.995722,0.035)); #550051=CARTESIAN_POINT('',(38.150331,27.995722,0.)); #550052=CARTESIAN_POINT('Origin',(38.124784,28.012066,0.)); #550053=CARTESIAN_POINT('',(38.124784,28.012066,0.)); #550054=CARTESIAN_POINT('',(38.124784,28.012066,0.)); #550055=CARTESIAN_POINT('',(38.124784,28.012066,0.035)); #550056=CARTESIAN_POINT('',(38.124784,28.012066,0.035)); #550057=CARTESIAN_POINT('',(38.124784,28.012066,0.)); #550058=CARTESIAN_POINT('Origin',(38.107634,28.037078,0.)); #550059=CARTESIAN_POINT('',(38.107634,28.037078,0.)); #550060=CARTESIAN_POINT('',(38.107634,28.037078,0.)); #550061=CARTESIAN_POINT('',(38.107634,28.037078,0.035)); #550062=CARTESIAN_POINT('',(38.107634,28.037078,0.035)); #550063=CARTESIAN_POINT('',(38.107634,28.037078,0.)); #550064=CARTESIAN_POINT('Origin',(38.1016,28.0668,0.)); #550065=CARTESIAN_POINT('',(38.1016,28.0668,0.)); #550066=CARTESIAN_POINT('',(38.1016,28.0668,0.)); #550067=CARTESIAN_POINT('',(38.1016,28.0668,0.035)); #550068=CARTESIAN_POINT('',(38.1016,28.0668,0.035)); #550069=CARTESIAN_POINT('',(38.1016,28.0668,0.)); #550070=CARTESIAN_POINT('Origin',(38.1016,28.1952,0.)); #550071=CARTESIAN_POINT('',(38.1016,28.1952,0.)); #550072=CARTESIAN_POINT('',(38.1016,28.1952,0.)); #550073=CARTESIAN_POINT('',(38.1016,28.1952,0.035)); #550074=CARTESIAN_POINT('',(38.1016,28.1952,0.035)); #550075=CARTESIAN_POINT('',(38.1016,28.1952,0.)); #550076=CARTESIAN_POINT('Origin',(38.106722,28.222669,0.)); #550077=CARTESIAN_POINT('',(38.106722,28.222669,0.)); #550078=CARTESIAN_POINT('',(38.106722,28.222669,0.)); #550079=CARTESIAN_POINT('',(38.106722,28.222669,0.035)); #550080=CARTESIAN_POINT('',(38.106722,28.222669,0.035)); #550081=CARTESIAN_POINT('',(38.106722,28.222669,0.)); #550082=CARTESIAN_POINT('Origin',(38.123066,28.248216,0.)); #550083=CARTESIAN_POINT('',(38.123066,28.248216,0.)); #550084=CARTESIAN_POINT('',(38.123066,28.248216,0.)); #550085=CARTESIAN_POINT('',(38.123066,28.248216,0.035)); #550086=CARTESIAN_POINT('',(38.123066,28.248216,0.035)); #550087=CARTESIAN_POINT('',(38.123066,28.248216,0.)); #550088=CARTESIAN_POINT('Origin',(38.148078,28.265366,0.)); #550089=CARTESIAN_POINT('',(38.148078,28.265366,0.)); #550090=CARTESIAN_POINT('',(38.148078,28.265366,0.)); #550091=CARTESIAN_POINT('',(38.148078,28.265366,0.035)); #550092=CARTESIAN_POINT('',(38.148078,28.265366,0.035)); #550093=CARTESIAN_POINT('',(38.148078,28.265366,0.)); #550094=CARTESIAN_POINT('Origin',(38.1778,28.2714,0.)); #550095=CARTESIAN_POINT('',(38.1778,28.2714,0.)); #550096=CARTESIAN_POINT('',(38.1778,28.2714,0.)); #550097=CARTESIAN_POINT('',(38.1778,28.2714,0.035)); #550098=CARTESIAN_POINT('',(38.1778,28.2714,0.035)); #550099=CARTESIAN_POINT('',(38.1778,28.2714,0.)); #550100=CARTESIAN_POINT('Origin',(41.842603,28.2714,0.)); #550101=CARTESIAN_POINT('',(41.842603,28.2714,0.)); #550102=CARTESIAN_POINT('',(41.842603,28.2714,0.)); #550103=CARTESIAN_POINT('',(41.842603,28.2714,0.035)); #550104=CARTESIAN_POINT('',(41.842603,28.2714,0.035)); #550105=CARTESIAN_POINT('',(41.842603,28.2714,0.)); #550106=CARTESIAN_POINT('Origin',(41.8712,28.265831,0.)); #550107=CARTESIAN_POINT('',(41.8712,28.265831,0.)); #550108=CARTESIAN_POINT('',(41.8712,28.265831,0.)); #550109=CARTESIAN_POINT('',(41.8712,28.265831,0.035)); #550110=CARTESIAN_POINT('',(41.8712,28.265831,0.035)); #550111=CARTESIAN_POINT('',(41.8712,28.265831,0.)); #550112=CARTESIAN_POINT('Origin',(41.896484,28.249081,0.)); #550113=CARTESIAN_POINT('',(41.896484,28.249081,0.)); #550114=CARTESIAN_POINT('',(41.896484,28.249081,0.)); #550115=CARTESIAN_POINT('',(41.896484,28.249081,0.035)); #550116=CARTESIAN_POINT('',(41.896484,28.249081,0.035)); #550117=CARTESIAN_POINT('',(41.896484,28.249081,0.)); #550118=CARTESIAN_POINT('Origin',(42.024884,28.120681,0.)); #550119=CARTESIAN_POINT('',(42.024884,28.120681,0.)); #550120=CARTESIAN_POINT('',(42.024884,28.120681,0.)); #550121=CARTESIAN_POINT('',(42.024884,28.120681,0.035)); #550122=CARTESIAN_POINT('',(42.024884,28.120681,0.035)); #550123=CARTESIAN_POINT('',(42.024884,28.120681,0.)); #550124=CARTESIAN_POINT('Origin',(42.040184,28.098744,0.)); #550125=CARTESIAN_POINT('',(42.040184,28.098744,0.)); #550126=CARTESIAN_POINT('',(42.040184,28.098744,0.)); #550127=CARTESIAN_POINT('',(42.040184,28.098744,0.035)); #550128=CARTESIAN_POINT('',(42.040184,28.098744,0.035)); #550129=CARTESIAN_POINT('',(42.040184,28.098744,0.)); #550130=CARTESIAN_POINT('Origin',(42.047166,28.069228,0.)); #550131=CARTESIAN_POINT('',(42.047166,28.069228,0.)); #550132=CARTESIAN_POINT('',(42.047166,28.069228,0.)); #550133=CARTESIAN_POINT('',(42.047166,28.069228,0.035)); #550134=CARTESIAN_POINT('',(42.047166,28.069228,0.035)); #550135=CARTESIAN_POINT('',(42.047166,28.069228,0.)); #550136=CARTESIAN_POINT('Origin',(42.042081,28.039331,0.)); #550137=CARTESIAN_POINT('',(42.042081,28.039331,0.)); #550138=CARTESIAN_POINT('',(42.042081,28.039331,0.)); #550139=CARTESIAN_POINT('',(42.042081,28.039331,0.035)); #550140=CARTESIAN_POINT('',(42.042081,28.039331,0.035)); #550141=CARTESIAN_POINT('',(42.042081,28.039331,0.)); #550142=CARTESIAN_POINT('Origin',(42.025738,28.013784,0.)); #550143=CARTESIAN_POINT('',(42.025738,28.013784,0.)); #550144=CARTESIAN_POINT('',(42.025738,28.013784,0.)); #550145=CARTESIAN_POINT('',(42.025738,28.013784,0.035)); #550146=CARTESIAN_POINT('',(42.025738,28.013784,0.035)); #550147=CARTESIAN_POINT('',(42.025738,28.013784,0.)); #550148=CARTESIAN_POINT('Origin',(42.000725,27.996634,0.)); #550149=CARTESIAN_POINT('',(42.000725,27.996634,0.)); #550150=CARTESIAN_POINT('',(42.000725,27.996634,0.035)); #550151=CARTESIAN_POINT('Origin',(40.0557562163289,28.1290742925589,0.035)); #550152=CARTESIAN_POINT('Origin',(40.0557562163289,28.1290742925589,0.)); #550153=CARTESIAN_POINT('Origin',(41.,25.25,-200.)); #550154=CARTESIAN_POINT('',(40.86,25.25,0.)); #550155=CARTESIAN_POINT('Origin',(41.,25.25,0.)); #550156=CARTESIAN_POINT('',(40.86,25.25,0.0349999999999895)); #550157=CARTESIAN_POINT('',(40.86,25.25,-200.)); #550158=CARTESIAN_POINT('Origin',(41.,25.25,0.0349999999999895)); #550159=CARTESIAN_POINT('Origin',(32.5,18.5,-200.)); #550160=CARTESIAN_POINT('',(32.36,18.5,0.)); #550161=CARTESIAN_POINT('Origin',(32.5,18.5,0.)); #550162=CARTESIAN_POINT('',(32.36,18.5,0.0349999999999895)); #550163=CARTESIAN_POINT('',(32.36,18.5,-200.)); #550164=CARTESIAN_POINT('Origin',(32.5,18.5,0.0349999999999895)); #550165=CARTESIAN_POINT('Origin',(33.25,48.5,-200.)); #550166=CARTESIAN_POINT('',(33.11,48.5,0.)); #550167=CARTESIAN_POINT('Origin',(33.25,48.5,0.)); #550168=CARTESIAN_POINT('',(33.11,48.5,0.0349999999999895)); #550169=CARTESIAN_POINT('',(33.11,48.5,-200.)); #550170=CARTESIAN_POINT('Origin',(33.25,48.5,0.0349999999999895)); #550171=CARTESIAN_POINT('Origin',(33.25,50.,-200.)); #550172=CARTESIAN_POINT('',(33.11,50.,0.)); #550173=CARTESIAN_POINT('Origin',(33.25,50.,0.)); #550174=CARTESIAN_POINT('',(33.11,50.,0.0349999999999895)); #550175=CARTESIAN_POINT('',(33.11,50.,-200.)); #550176=CARTESIAN_POINT('Origin',(33.25,50.,0.0349999999999895)); #550177=CARTESIAN_POINT('Origin',(33.25,18.5,-200.)); #550178=CARTESIAN_POINT('',(33.11,18.5,0.)); #550179=CARTESIAN_POINT('Origin',(33.25,18.5,0.)); #550180=CARTESIAN_POINT('',(33.11,18.5,0.0349999999999895)); #550181=CARTESIAN_POINT('',(33.11,18.5,-200.)); #550182=CARTESIAN_POINT('Origin',(33.25,18.5,0.0349999999999895)); #550183=CARTESIAN_POINT('Origin',(33.25,49.25,-200.)); #550184=CARTESIAN_POINT('',(33.11,49.25,0.)); #550185=CARTESIAN_POINT('Origin',(33.25,49.25,0.)); #550186=CARTESIAN_POINT('',(33.11,49.25,0.0349999999999895)); #550187=CARTESIAN_POINT('',(33.11,49.25,-200.)); #550188=CARTESIAN_POINT('Origin',(33.25,49.25,0.0349999999999895)); #550189=CARTESIAN_POINT('Origin',(12.25,34.,-200.)); #550190=CARTESIAN_POINT('',(11.785,34.,0.)); #550191=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #550192=CARTESIAN_POINT('',(11.785,34.,0.0349999999999895)); #550193=CARTESIAN_POINT('',(11.785,34.,-200.)); #550194=CARTESIAN_POINT('Origin',(12.25,34.,0.0349999999999895)); #550195=CARTESIAN_POINT('Origin',(34.75,48.5,-200.)); #550196=CARTESIAN_POINT('',(34.61,48.5,0.)); #550197=CARTESIAN_POINT('Origin',(34.75,48.5,0.)); #550198=CARTESIAN_POINT('',(34.61,48.5,0.0349999999999895)); #550199=CARTESIAN_POINT('',(34.61,48.5,-200.)); #550200=CARTESIAN_POINT('Origin',(34.75,48.5,0.0349999999999895)); #550201=CARTESIAN_POINT('Origin',(34.75,18.5,-200.)); #550202=CARTESIAN_POINT('',(34.61,18.5,0.)); #550203=CARTESIAN_POINT('Origin',(34.75,18.5,0.)); #550204=CARTESIAN_POINT('',(34.61,18.5,0.0349999999999895)); #550205=CARTESIAN_POINT('',(34.61,18.5,-200.)); #550206=CARTESIAN_POINT('Origin',(34.75,18.5,0.0349999999999895)); #550207=CARTESIAN_POINT('Origin',(34.,48.5,-200.)); #550208=CARTESIAN_POINT('',(33.86,48.5,0.)); #550209=CARTESIAN_POINT('Origin',(34.,48.5,0.)); #550210=CARTESIAN_POINT('',(33.86,48.5,0.0349999999999895)); #550211=CARTESIAN_POINT('',(33.86,48.5,-200.)); #550212=CARTESIAN_POINT('Origin',(34.,48.5,0.0349999999999895)); #550213=CARTESIAN_POINT('Origin',(34.75,50.,-200.)); #550214=CARTESIAN_POINT('',(34.61,50.,0.)); #550215=CARTESIAN_POINT('Origin',(34.75,50.,0.)); #550216=CARTESIAN_POINT('',(34.61,50.,0.0349999999999895)); #550217=CARTESIAN_POINT('',(34.61,50.,-200.)); #550218=CARTESIAN_POINT('Origin',(34.75,50.,0.0349999999999895)); #550219=CARTESIAN_POINT('Origin',(34.,18.5,-200.)); #550220=CARTESIAN_POINT('',(33.86,18.5,0.)); #550221=CARTESIAN_POINT('Origin',(34.,18.5,0.)); #550222=CARTESIAN_POINT('',(33.86,18.5,0.0349999999999895)); #550223=CARTESIAN_POINT('',(33.86,18.5,-200.)); #550224=CARTESIAN_POINT('Origin',(34.,18.5,0.0349999999999895)); #550225=CARTESIAN_POINT('Origin',(34.,50.,-200.)); #550226=CARTESIAN_POINT('',(33.86,50.,0.)); #550227=CARTESIAN_POINT('Origin',(34.,50.,0.)); #550228=CARTESIAN_POINT('',(33.86,50.,0.0349999999999895)); #550229=CARTESIAN_POINT('',(33.86,50.,-200.)); #550230=CARTESIAN_POINT('Origin',(34.,50.,0.0349999999999895)); #550231=CARTESIAN_POINT('Origin',(24.25,34.,-200.)); #550232=CARTESIAN_POINT('',(23.735,34.,0.)); #550233=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #550234=CARTESIAN_POINT('',(23.735,34.,0.0349999999999895)); #550235=CARTESIAN_POINT('',(23.735,34.,-200.)); #550236=CARTESIAN_POINT('Origin',(24.25,34.,0.0349999999999895)); #550237=CARTESIAN_POINT('Origin',(34.75,16.25,-200.)); #550238=CARTESIAN_POINT('',(34.61,16.25,0.)); #550239=CARTESIAN_POINT('Origin',(34.75,16.25,0.)); #550240=CARTESIAN_POINT('',(34.61,16.25,0.0349999999999895)); #550241=CARTESIAN_POINT('',(34.61,16.25,-200.)); #550242=CARTESIAN_POINT('Origin',(34.75,16.25,0.0349999999999895)); #550243=CARTESIAN_POINT('Origin',(31.75,18.5,-200.)); #550244=CARTESIAN_POINT('',(31.61,18.5,0.)); #550245=CARTESIAN_POINT('Origin',(31.75,18.5,0.)); #550246=CARTESIAN_POINT('',(31.61,18.5,0.0349999999999895)); #550247=CARTESIAN_POINT('',(31.61,18.5,-200.)); #550248=CARTESIAN_POINT('Origin',(31.75,18.5,0.0349999999999895)); #550249=CARTESIAN_POINT('Origin',(33.25,16.25,-200.)); #550250=CARTESIAN_POINT('',(33.11,16.25,0.)); #550251=CARTESIAN_POINT('Origin',(33.25,16.25,0.)); #550252=CARTESIAN_POINT('',(33.11,16.25,0.0349999999999895)); #550253=CARTESIAN_POINT('',(33.11,16.25,-200.)); #550254=CARTESIAN_POINT('Origin',(33.25,16.25,0.0349999999999895)); #550255=CARTESIAN_POINT('Origin',(31.75,19.25,-200.)); #550256=CARTESIAN_POINT('',(31.61,19.25,0.)); #550257=CARTESIAN_POINT('Origin',(31.75,19.25,0.)); #550258=CARTESIAN_POINT('',(31.61,19.25,0.0349999999999895)); #550259=CARTESIAN_POINT('',(31.61,19.25,-200.)); #550260=CARTESIAN_POINT('Origin',(31.75,19.25,0.0349999999999895)); #550261=CARTESIAN_POINT('Origin',(35.5,17.75,-200.)); #550262=CARTESIAN_POINT('',(35.36,17.75,0.)); #550263=CARTESIAN_POINT('Origin',(35.5,17.75,0.)); #550264=CARTESIAN_POINT('',(35.36,17.75,0.0349999999999895)); #550265=CARTESIAN_POINT('',(35.36,17.75,-200.)); #550266=CARTESIAN_POINT('Origin',(35.5,17.75,0.0349999999999895)); #550267=CARTESIAN_POINT('Origin',(34.75,49.25,-200.)); #550268=CARTESIAN_POINT('',(34.61,49.25,0.)); #550269=CARTESIAN_POINT('Origin',(34.75,49.25,0.)); #550270=CARTESIAN_POINT('',(34.61,49.25,0.0349999999999895)); #550271=CARTESIAN_POINT('',(34.61,49.25,-200.)); #550272=CARTESIAN_POINT('Origin',(34.75,49.25,0.0349999999999895)); #550273=CARTESIAN_POINT('Origin',(35.5,18.5,-200.)); #550274=CARTESIAN_POINT('',(35.36,18.5,0.)); #550275=CARTESIAN_POINT('Origin',(35.5,18.5,0.)); #550276=CARTESIAN_POINT('',(35.36,18.5,0.0349999999999895)); #550277=CARTESIAN_POINT('',(35.36,18.5,-200.)); #550278=CARTESIAN_POINT('Origin',(35.5,18.5,0.0349999999999895)); #550279=CARTESIAN_POINT('Origin',(34.,49.25,-200.)); #550280=CARTESIAN_POINT('',(33.86,49.25,0.)); #550281=CARTESIAN_POINT('Origin',(34.,49.25,0.)); #550282=CARTESIAN_POINT('',(33.86,49.25,0.0349999999999895)); #550283=CARTESIAN_POINT('',(33.86,49.25,-200.)); #550284=CARTESIAN_POINT('Origin',(34.,49.25,0.0349999999999895)); #550285=CARTESIAN_POINT('Origin',(10.25,33.25,-200.)); #550286=CARTESIAN_POINT('',(10.11,33.25,0.)); #550287=CARTESIAN_POINT('Origin',(10.25,33.25,0.)); #550288=CARTESIAN_POINT('',(10.11,33.25,0.0349999999999895)); #550289=CARTESIAN_POINT('',(10.11,33.25,-200.)); #550290=CARTESIAN_POINT('Origin',(10.25,33.25,0.0349999999999895)); #550291=CARTESIAN_POINT('Origin',(34.75,14.75,-200.)); #550292=CARTESIAN_POINT('',(34.61,14.75,0.)); #550293=CARTESIAN_POINT('Origin',(34.75,14.75,0.)); #550294=CARTESIAN_POINT('',(34.61,14.75,0.0349999999999895)); #550295=CARTESIAN_POINT('',(34.61,14.75,-200.)); #550296=CARTESIAN_POINT('Origin',(34.75,14.75,0.0349999999999895)); #550297=CARTESIAN_POINT('Origin',(9.5,33.25,-200.)); #550298=CARTESIAN_POINT('',(9.36,33.25,0.)); #550299=CARTESIAN_POINT('Origin',(9.5,33.25,0.)); #550300=CARTESIAN_POINT('',(9.36,33.25,0.0349999999999895)); #550301=CARTESIAN_POINT('',(9.36,33.25,-200.)); #550302=CARTESIAN_POINT('Origin',(9.5,33.25,0.0349999999999895)); #550303=CARTESIAN_POINT('Origin',(34.75,17.,-200.)); #550304=CARTESIAN_POINT('',(34.61,17.,0.)); #550305=CARTESIAN_POINT('Origin',(34.75,17.,0.)); #550306=CARTESIAN_POINT('',(34.61,17.,0.0349999999999895)); #550307=CARTESIAN_POINT('',(34.61,17.,-200.)); #550308=CARTESIAN_POINT('Origin',(34.75,17.,0.0349999999999895)); #550309=CARTESIAN_POINT('Origin',(10.25,34.,-200.)); #550310=CARTESIAN_POINT('',(10.11,34.,0.)); #550311=CARTESIAN_POINT('Origin',(10.25,34.,0.)); #550312=CARTESIAN_POINT('',(10.11,34.,0.0349999999999895)); #550313=CARTESIAN_POINT('',(10.11,34.,-200.)); #550314=CARTESIAN_POINT('Origin',(10.25,34.,0.0349999999999895)); #550315=CARTESIAN_POINT('Origin',(35.5,14.75,-200.)); #550316=CARTESIAN_POINT('',(35.36,14.75,0.)); #550317=CARTESIAN_POINT('Origin',(35.5,14.75,0.)); #550318=CARTESIAN_POINT('',(35.36,14.75,0.0349999999999895)); #550319=CARTESIAN_POINT('',(35.36,14.75,-200.)); #550320=CARTESIAN_POINT('Origin',(35.5,14.75,0.0349999999999895)); #550321=CARTESIAN_POINT('Origin',(9.5,34.,-200.)); #550322=CARTESIAN_POINT('',(9.36,34.,0.)); #550323=CARTESIAN_POINT('Origin',(9.5,34.,0.)); #550324=CARTESIAN_POINT('',(9.36,34.,0.0349999999999895)); #550325=CARTESIAN_POINT('',(9.36,34.,-200.)); #550326=CARTESIAN_POINT('Origin',(9.5,34.,0.0349999999999895)); #550327=CARTESIAN_POINT('Origin',(57.75,33.25,-200.)); #550328=CARTESIAN_POINT('',(57.61,33.25,0.)); #550329=CARTESIAN_POINT('Origin',(57.75,33.25,0.)); #550330=CARTESIAN_POINT('',(57.61,33.25,0.0349999999999895)); #550331=CARTESIAN_POINT('',(57.61,33.25,-200.)); #550332=CARTESIAN_POINT('Origin',(57.75,33.25,0.0349999999999895)); #550333=CARTESIAN_POINT('Origin',(36.25,15.5,-200.)); #550334=CARTESIAN_POINT('',(36.11,15.5,0.)); #550335=CARTESIAN_POINT('Origin',(36.25,15.5,0.)); #550336=CARTESIAN_POINT('',(36.11,15.5,0.0349999999999895)); #550337=CARTESIAN_POINT('',(36.11,15.5,-200.)); #550338=CARTESIAN_POINT('Origin',(36.25,15.5,0.0349999999999895)); #550339=CARTESIAN_POINT('Origin',(62.,33.25,-200.)); #550340=CARTESIAN_POINT('',(61.86,33.25,0.)); #550341=CARTESIAN_POINT('Origin',(62.,33.25,0.)); #550342=CARTESIAN_POINT('',(61.86,33.25,0.0349999999999895)); #550343=CARTESIAN_POINT('',(61.86,33.25,-200.)); #550344=CARTESIAN_POINT('Origin',(62.,33.25,0.0349999999999895)); #550345=CARTESIAN_POINT('Origin',(34.,17.75,-200.)); #550346=CARTESIAN_POINT('',(33.86,17.75,0.)); #550347=CARTESIAN_POINT('Origin',(34.,17.75,0.)); #550348=CARTESIAN_POINT('',(33.86,17.75,0.0349999999999895)); #550349=CARTESIAN_POINT('',(33.86,17.75,-200.)); #550350=CARTESIAN_POINT('Origin',(34.,17.75,0.0349999999999895)); #550351=CARTESIAN_POINT('Origin',(57.75,34.,-200.)); #550352=CARTESIAN_POINT('',(57.61,34.,0.)); #550353=CARTESIAN_POINT('Origin',(57.75,34.,0.)); #550354=CARTESIAN_POINT('',(57.61,34.,0.0349999999999895)); #550355=CARTESIAN_POINT('',(57.61,34.,-200.)); #550356=CARTESIAN_POINT('Origin',(57.75,34.,0.0349999999999895)); #550357=CARTESIAN_POINT('Origin',(36.25,14.75,-200.)); #550358=CARTESIAN_POINT('',(36.11,14.75,0.)); #550359=CARTESIAN_POINT('Origin',(36.25,14.75,0.)); #550360=CARTESIAN_POINT('',(36.11,14.75,0.0349999999999895)); #550361=CARTESIAN_POINT('',(36.11,14.75,-200.)); #550362=CARTESIAN_POINT('Origin',(36.25,14.75,0.0349999999999895)); #550363=CARTESIAN_POINT('Origin',(57.75,34.75,-200.)); #550364=CARTESIAN_POINT('',(57.61,34.75,0.)); #550365=CARTESIAN_POINT('Origin',(57.75,34.75,0.)); #550366=CARTESIAN_POINT('',(57.61,34.75,0.0349999999999895)); #550367=CARTESIAN_POINT('',(57.61,34.75,-200.)); #550368=CARTESIAN_POINT('Origin',(57.75,34.75,0.0349999999999895)); #550369=CARTESIAN_POINT('Origin',(61.25,33.25,-200.)); #550370=CARTESIAN_POINT('',(61.11,33.25,0.)); #550371=CARTESIAN_POINT('Origin',(61.25,33.25,0.)); #550372=CARTESIAN_POINT('',(61.11,33.25,0.0349999999999895)); #550373=CARTESIAN_POINT('',(61.11,33.25,-200.)); #550374=CARTESIAN_POINT('Origin',(61.25,33.25,0.0349999999999895)); #550375=CARTESIAN_POINT('Origin',(36.25,17.75,-200.)); #550376=CARTESIAN_POINT('',(36.11,17.75,0.)); #550377=CARTESIAN_POINT('Origin',(36.25,17.75,0.)); #550378=CARTESIAN_POINT('',(36.11,17.75,0.0349999999999895)); #550379=CARTESIAN_POINT('',(36.11,17.75,-200.)); #550380=CARTESIAN_POINT('Origin',(36.25,17.75,0.0349999999999895)); #550381=CARTESIAN_POINT('Origin',(58.5,33.25,-200.)); #550382=CARTESIAN_POINT('',(58.36,33.25,0.)); #550383=CARTESIAN_POINT('Origin',(58.5,33.25,0.)); #550384=CARTESIAN_POINT('',(58.36,33.25,0.0349999999999895)); #550385=CARTESIAN_POINT('',(58.36,33.25,-200.)); #550386=CARTESIAN_POINT('Origin',(58.5,33.25,0.0349999999999895)); #550387=CARTESIAN_POINT('Origin',(58.5,34.,-200.)); #550388=CARTESIAN_POINT('',(58.36,34.,0.)); #550389=CARTESIAN_POINT('Origin',(58.5,34.,0.)); #550390=CARTESIAN_POINT('',(58.36,34.,0.0349999999999895)); #550391=CARTESIAN_POINT('',(58.36,34.,-200.)); #550392=CARTESIAN_POINT('Origin',(58.5,34.,0.0349999999999895)); #550393=CARTESIAN_POINT('Origin',(36.25,18.5,-200.)); #550394=CARTESIAN_POINT('',(36.11,18.5,0.)); #550395=CARTESIAN_POINT('Origin',(36.25,18.5,0.)); #550396=CARTESIAN_POINT('',(36.11,18.5,0.0349999999999895)); #550397=CARTESIAN_POINT('',(36.11,18.5,-200.)); #550398=CARTESIAN_POINT('Origin',(36.25,18.5,0.0349999999999895)); #550399=CARTESIAN_POINT('Origin',(58.5,34.75,-200.)); #550400=CARTESIAN_POINT('',(58.36,34.75,0.)); #550401=CARTESIAN_POINT('Origin',(58.5,34.75,0.)); #550402=CARTESIAN_POINT('',(58.36,34.75,0.0349999999999895)); #550403=CARTESIAN_POINT('',(58.36,34.75,-200.)); #550404=CARTESIAN_POINT('Origin',(58.5,34.75,0.0349999999999895)); #550405=CARTESIAN_POINT('Origin',(61.25,34.75,-200.)); #550406=CARTESIAN_POINT('',(61.11,34.75,0.)); #550407=CARTESIAN_POINT('Origin',(61.25,34.75,0.)); #550408=CARTESIAN_POINT('',(61.11,34.75,0.0349999999999895)); #550409=CARTESIAN_POINT('',(61.11,34.75,-200.)); #550410=CARTESIAN_POINT('Origin',(61.25,34.75,0.0349999999999895)); #550411=CARTESIAN_POINT('Origin',(36.25,17.,-200.)); #550412=CARTESIAN_POINT('',(36.11,17.,0.)); #550413=CARTESIAN_POINT('Origin',(36.25,17.,0.)); #550414=CARTESIAN_POINT('',(36.11,17.,0.0349999999999895)); #550415=CARTESIAN_POINT('',(36.11,17.,-200.)); #550416=CARTESIAN_POINT('Origin',(36.25,17.,0.0349999999999895)); #550417=CARTESIAN_POINT('Origin',(61.25,34.,-200.)); #550418=CARTESIAN_POINT('',(61.11,34.,0.)); #550419=CARTESIAN_POINT('Origin',(61.25,34.,0.)); #550420=CARTESIAN_POINT('',(61.11,34.,0.0349999999999895)); #550421=CARTESIAN_POINT('',(61.11,34.,-200.)); #550422=CARTESIAN_POINT('Origin',(61.25,34.,0.0349999999999895)); #550423=CARTESIAN_POINT('Origin',(34.,17.,-200.)); #550424=CARTESIAN_POINT('',(33.86,17.,0.)); #550425=CARTESIAN_POINT('Origin',(34.,17.,0.)); #550426=CARTESIAN_POINT('',(33.86,17.,0.0349999999999895)); #550427=CARTESIAN_POINT('',(33.86,17.,-200.)); #550428=CARTESIAN_POINT('Origin',(34.,17.,0.0349999999999895)); #550429=CARTESIAN_POINT('Origin',(62.,34.75,-200.)); #550430=CARTESIAN_POINT('',(61.86,34.75,0.)); #550431=CARTESIAN_POINT('Origin',(62.,34.75,0.)); #550432=CARTESIAN_POINT('',(61.86,34.75,0.0349999999999895)); #550433=CARTESIAN_POINT('',(61.86,34.75,-200.)); #550434=CARTESIAN_POINT('Origin',(62.,34.75,0.0349999999999895)); #550435=CARTESIAN_POINT('Origin',(36.25,16.25,-200.)); #550436=CARTESIAN_POINT('',(36.11,16.25,0.)); #550437=CARTESIAN_POINT('Origin',(36.25,16.25,0.)); #550438=CARTESIAN_POINT('',(36.11,16.25,0.0349999999999895)); #550439=CARTESIAN_POINT('',(36.11,16.25,-200.)); #550440=CARTESIAN_POINT('Origin',(36.25,16.25,0.0349999999999895)); #550441=CARTESIAN_POINT('Origin',(62.,34.,-200.)); #550442=CARTESIAN_POINT('',(61.86,34.,0.)); #550443=CARTESIAN_POINT('Origin',(62.,34.,0.)); #550444=CARTESIAN_POINT('',(61.86,34.,0.0349999999999895)); #550445=CARTESIAN_POINT('',(61.86,34.,-200.)); #550446=CARTESIAN_POINT('Origin',(62.,34.,0.0349999999999895)); #550447=CARTESIAN_POINT('Origin',(6.5,34.75,-200.)); #550448=CARTESIAN_POINT('',(6.36,34.75,0.)); #550449=CARTESIAN_POINT('Origin',(6.5,34.75,0.)); #550450=CARTESIAN_POINT('',(6.36,34.75,0.0349999999999895)); #550451=CARTESIAN_POINT('',(6.36,34.75,-200.)); #550452=CARTESIAN_POINT('Origin',(6.5,34.75,0.0349999999999895)); #550453=CARTESIAN_POINT('Origin',(31.75,14.75,-200.)); #550454=CARTESIAN_POINT('',(31.61,14.75,0.)); #550455=CARTESIAN_POINT('Origin',(31.75,14.75,0.)); #550456=CARTESIAN_POINT('',(31.61,14.75,0.0349999999999895)); #550457=CARTESIAN_POINT('',(31.61,14.75,-200.)); #550458=CARTESIAN_POINT('Origin',(31.75,14.75,0.0349999999999895)); #550459=CARTESIAN_POINT('Origin',(6.5,34.,-200.)); #550460=CARTESIAN_POINT('',(6.36,34.,0.)); #550461=CARTESIAN_POINT('Origin',(6.5,34.,0.)); #550462=CARTESIAN_POINT('',(6.36,34.,0.0349999999999895)); #550463=CARTESIAN_POINT('',(6.36,34.,-200.)); #550464=CARTESIAN_POINT('Origin',(6.5,34.,0.0349999999999895)); #550465=CARTESIAN_POINT('Origin',(33.25,17.,-200.)); #550466=CARTESIAN_POINT('',(33.11,17.,0.)); #550467=CARTESIAN_POINT('Origin',(33.25,17.,0.)); #550468=CARTESIAN_POINT('',(33.11,17.,0.0349999999999895)); #550469=CARTESIAN_POINT('',(33.11,17.,-200.)); #550470=CARTESIAN_POINT('Origin',(33.25,17.,0.0349999999999895)); #550471=CARTESIAN_POINT('Origin',(7.25,33.25,-200.)); #550472=CARTESIAN_POINT('',(7.11,33.25,0.)); #550473=CARTESIAN_POINT('Origin',(7.25,33.25,0.)); #550474=CARTESIAN_POINT('',(7.11,33.25,0.0349999999999895)); #550475=CARTESIAN_POINT('',(7.11,33.25,-200.)); #550476=CARTESIAN_POINT('Origin',(7.25,33.25,0.0349999999999895)); #550477=CARTESIAN_POINT('Origin',(32.5,14.75,-200.)); #550478=CARTESIAN_POINT('',(32.36,14.75,0.)); #550479=CARTESIAN_POINT('Origin',(32.5,14.75,0.)); #550480=CARTESIAN_POINT('',(32.36,14.75,0.0349999999999895)); #550481=CARTESIAN_POINT('',(32.36,14.75,-200.)); #550482=CARTESIAN_POINT('Origin',(32.5,14.75,0.0349999999999895)); #550483=CARTESIAN_POINT('Origin',(6.5,33.25,-200.)); #550484=CARTESIAN_POINT('',(6.36,33.25,0.)); #550485=CARTESIAN_POINT('Origin',(6.5,33.25,0.)); #550486=CARTESIAN_POINT('',(6.36,33.25,0.0349999999999895)); #550487=CARTESIAN_POINT('',(6.36,33.25,-200.)); #550488=CARTESIAN_POINT('Origin',(6.5,33.25,0.0349999999999895)); #550489=CARTESIAN_POINT('Origin',(9.5,34.75,-200.)); #550490=CARTESIAN_POINT('',(9.36,34.75,0.)); #550491=CARTESIAN_POINT('Origin',(9.5,34.75,0.)); #550492=CARTESIAN_POINT('',(9.36,34.75,0.0349999999999895)); #550493=CARTESIAN_POINT('',(9.36,34.75,-200.)); #550494=CARTESIAN_POINT('Origin',(9.5,34.75,0.0349999999999895)); #550495=CARTESIAN_POINT('Origin',(34.,14.75,-200.)); #550496=CARTESIAN_POINT('',(33.86,14.75,0.)); #550497=CARTESIAN_POINT('Origin',(34.,14.75,0.)); #550498=CARTESIAN_POINT('',(33.86,14.75,0.0349999999999895)); #550499=CARTESIAN_POINT('',(33.86,14.75,-200.)); #550500=CARTESIAN_POINT('Origin',(34.,14.75,0.0349999999999895)); #550501=CARTESIAN_POINT('Origin',(10.25,34.75,-200.)); #550502=CARTESIAN_POINT('',(10.11,34.75,0.)); #550503=CARTESIAN_POINT('Origin',(10.25,34.75,0.)); #550504=CARTESIAN_POINT('',(10.11,34.75,0.0349999999999895)); #550505=CARTESIAN_POINT('',(10.11,34.75,-200.)); #550506=CARTESIAN_POINT('Origin',(10.25,34.75,0.0349999999999895)); #550507=CARTESIAN_POINT('Origin',(34.,16.25,-200.)); #550508=CARTESIAN_POINT('',(33.86,16.25,0.)); #550509=CARTESIAN_POINT('Origin',(34.,16.25,0.)); #550510=CARTESIAN_POINT('',(33.86,16.25,0.0349999999999895)); #550511=CARTESIAN_POINT('',(33.86,16.25,-200.)); #550512=CARTESIAN_POINT('Origin',(34.,16.25,0.0349999999999895)); #550513=CARTESIAN_POINT('Origin',(7.25,34.75,-200.)); #550514=CARTESIAN_POINT('',(7.11,34.75,0.)); #550515=CARTESIAN_POINT('Origin',(7.25,34.75,0.)); #550516=CARTESIAN_POINT('',(7.11,34.75,0.0349999999999895)); #550517=CARTESIAN_POINT('',(7.11,34.75,-200.)); #550518=CARTESIAN_POINT('Origin',(7.25,34.75,0.0349999999999895)); #550519=CARTESIAN_POINT('Origin',(33.25,14.75,-200.)); #550520=CARTESIAN_POINT('',(33.11,14.75,0.)); #550521=CARTESIAN_POINT('Origin',(33.25,14.75,0.)); #550522=CARTESIAN_POINT('',(33.11,14.75,0.0349999999999895)); #550523=CARTESIAN_POINT('',(33.11,14.75,-200.)); #550524=CARTESIAN_POINT('Origin',(33.25,14.75,0.0349999999999895)); #550525=CARTESIAN_POINT('Origin',(7.25,34.,-200.)); #550526=CARTESIAN_POINT('',(7.11,34.,0.)); #550527=CARTESIAN_POINT('Origin',(7.25,34.,0.)); #550528=CARTESIAN_POINT('',(7.11,34.,0.0349999999999895)); #550529=CARTESIAN_POINT('',(7.11,34.,-200.)); #550530=CARTESIAN_POINT('Origin',(7.25,34.,0.0349999999999895)); #550531=CARTESIAN_POINT('Origin',(55.75,34.,-200.)); #550532=CARTESIAN_POINT('',(55.285,34.,0.)); #550533=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #550534=CARTESIAN_POINT('',(55.285,34.,0.0349999999999895)); #550535=CARTESIAN_POINT('',(55.285,34.,-200.)); #550536=CARTESIAN_POINT('Origin',(55.75,34.,0.0349999999999895)); #550537=CARTESIAN_POINT('Origin',(29.995,2.09999997467882,-200.)); #550538=CARTESIAN_POINT('',(29.995,2.89999999155961,0.0349999999999895)); #550539=CARTESIAN_POINT('',(29.995,2.09999997467882,0.0349999999999895)); #550540=CARTESIAN_POINT('',(29.995,18.050000005869,0.0350000000000072)); #550541=CARTESIAN_POINT('',(29.995,2.89999999155961,0.)); #550542=CARTESIAN_POINT('',(29.995,2.89999999155961,-200.)); #550543=CARTESIAN_POINT('',(29.995,2.09999997467882,0.)); #550544=CARTESIAN_POINT('',(29.995,18.050000005869,0.)); #550545=CARTESIAN_POINT('',(29.995,2.09999997467882,-200.)); #550546=CARTESIAN_POINT('Origin',(29.68,2.1,-200.)); #550547=CARTESIAN_POINT('',(29.365,2.10000000844039,0.0349999999999895)); #550548=CARTESIAN_POINT('Origin',(29.68,2.1,0.0349999999999895)); #550549=CARTESIAN_POINT('',(29.365,2.10000000844039,0.)); #550550=CARTESIAN_POINT('Origin',(29.68,2.1,0.)); #550551=CARTESIAN_POINT('',(29.365,2.10000000844039,-200.)); #550552=CARTESIAN_POINT('Origin',(29.365,2.90000002532118,-200.)); #550553=CARTESIAN_POINT('',(29.365,2.90000002532118,0.0349999999999895)); #550554=CARTESIAN_POINT('',(29.365,18.4500000311902,0.0350000000000072)); #550555=CARTESIAN_POINT('',(29.365,2.90000002532118,0.)); #550556=CARTESIAN_POINT('',(29.365,18.4500000311902,0.)); #550557=CARTESIAN_POINT('',(29.365,2.90000002532118,-200.)); #550558=CARTESIAN_POINT('Origin',(29.68,2.9,-200.)); #550559=CARTESIAN_POINT('Origin',(29.68,2.9,0.0349999999999895)); #550560=CARTESIAN_POINT('Origin',(29.68,2.9,0.)); #550561=CARTESIAN_POINT('Origin',(29.4500000454174,34.565,-200.)); #550562=CARTESIAN_POINT('',(28.8500000151391,34.565,0.0349999999999895)); #550563=CARTESIAN_POINT('',(29.4500000454174,34.565,0.0349999999999895)); #550564=CARTESIAN_POINT('',(31.7250000412383,34.565,0.0350000000000072)); #550565=CARTESIAN_POINT('',(28.8500000151391,34.565,0.)); #550566=CARTESIAN_POINT('',(28.8500000151391,34.565,-200.)); #550567=CARTESIAN_POINT('',(29.4500000454174,34.565,0.)); #550568=CARTESIAN_POINT('',(31.7250000412383,34.565,0.)); #550569=CARTESIAN_POINT('',(29.4500000454174,34.565,-200.)); #550570=CARTESIAN_POINT('Origin',(29.45,34.,-200.)); #550571=CARTESIAN_POINT('',(29.4499999848609,33.435,0.0349999999999895)); #550572=CARTESIAN_POINT('Origin',(29.45,34.,0.0349999999999895)); #550573=CARTESIAN_POINT('',(29.4499999848609,33.435,0.)); #550574=CARTESIAN_POINT('Origin',(29.45,34.,0.)); #550575=CARTESIAN_POINT('',(29.4499999848609,33.435,-200.)); #550576=CARTESIAN_POINT('Origin',(28.8499999545827,33.435,-200.)); #550577=CARTESIAN_POINT('',(28.8499999545827,33.435,0.0349999999999895)); #550578=CARTESIAN_POINT('',(31.4249999958209,33.435,0.0350000000000072)); #550579=CARTESIAN_POINT('',(28.8499999545827,33.435,0.)); #550580=CARTESIAN_POINT('',(31.4249999958209,33.435,0.)); #550581=CARTESIAN_POINT('',(28.8499999545827,33.435,-200.)); #550582=CARTESIAN_POINT('Origin',(28.85,34.,-200.)); #550583=CARTESIAN_POINT('Origin',(28.85,34.,0.0349999999999895)); #550584=CARTESIAN_POINT('Origin',(28.85,34.,0.)); #550585=CARTESIAN_POINT('Origin',(31.75,16.25,-200.)); #550586=CARTESIAN_POINT('',(31.61,16.25,0.)); #550587=CARTESIAN_POINT('Origin',(31.75,16.25,0.)); #550588=CARTESIAN_POINT('',(31.61,16.25,0.0349999999999895)); #550589=CARTESIAN_POINT('',(31.61,16.25,-200.)); #550590=CARTESIAN_POINT('Origin',(31.75,16.25,0.0349999999999895)); #550591=CARTESIAN_POINT('Origin',(30.8,7.6,-200.)); #550592=CARTESIAN_POINT('',(30.685,7.6,0.)); #550593=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #550594=CARTESIAN_POINT('',(30.685,7.6,0.0349999999999895)); #550595=CARTESIAN_POINT('',(30.685,7.6,-200.)); #550596=CARTESIAN_POINT('Origin',(30.8,7.6,0.0349999999999895)); #550597=CARTESIAN_POINT('Origin',(39.1500000454174,34.565,-200.)); #550598=CARTESIAN_POINT('',(38.5500000151391,34.565,0.0349999999999895)); #550599=CARTESIAN_POINT('',(39.1500000454174,34.565,0.0349999999999895)); #550600=CARTESIAN_POINT('',(36.5750000412383,34.565,0.0350000000000072)); #550601=CARTESIAN_POINT('',(38.5500000151391,34.565,0.)); #550602=CARTESIAN_POINT('',(38.5500000151391,34.565,-200.)); #550603=CARTESIAN_POINT('',(39.1500000454174,34.565,0.)); #550604=CARTESIAN_POINT('',(36.5750000412383,34.565,0.)); #550605=CARTESIAN_POINT('',(39.1500000454174,34.565,-200.)); #550606=CARTESIAN_POINT('Origin',(39.15,34.,-200.)); #550607=CARTESIAN_POINT('',(39.1499999848609,33.435,0.0349999999999895)); #550608=CARTESIAN_POINT('Origin',(39.15,34.,0.0349999999999895)); #550609=CARTESIAN_POINT('',(39.1499999848609,33.435,0.)); #550610=CARTESIAN_POINT('Origin',(39.15,34.,0.)); #550611=CARTESIAN_POINT('',(39.1499999848609,33.435,-200.)); #550612=CARTESIAN_POINT('Origin',(38.5499999545827,33.435,-200.)); #550613=CARTESIAN_POINT('',(38.5499999545827,33.435,0.0349999999999895)); #550614=CARTESIAN_POINT('',(36.2749999958209,33.435,0.0350000000000072)); #550615=CARTESIAN_POINT('',(38.5499999545827,33.435,0.)); #550616=CARTESIAN_POINT('',(36.2749999958209,33.435,0.)); #550617=CARTESIAN_POINT('',(38.5499999545827,33.435,-200.)); #550618=CARTESIAN_POINT('Origin',(38.55,34.,-200.)); #550619=CARTESIAN_POINT('Origin',(38.55,34.,0.0349999999999895)); #550620=CARTESIAN_POINT('Origin',(38.55,34.,0.)); #550621=CARTESIAN_POINT('Origin',(34.75,17.75,-200.)); #550622=CARTESIAN_POINT('',(34.61,17.75,0.)); #550623=CARTESIAN_POINT('Origin',(34.75,17.75,0.)); #550624=CARTESIAN_POINT('',(34.61,17.75,0.0349999999999895)); #550625=CARTESIAN_POINT('',(34.61,17.75,-200.)); #550626=CARTESIAN_POINT('Origin',(34.75,17.75,0.0349999999999895)); #550627=CARTESIAN_POINT('Origin',(37.2,7.1,-200.)); #550628=CARTESIAN_POINT('',(37.085,7.1,0.)); #550629=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #550630=CARTESIAN_POINT('',(37.085,7.1,0.0349999999999895)); #550631=CARTESIAN_POINT('',(37.085,7.1,-200.)); #550632=CARTESIAN_POINT('Origin',(37.2,7.1,0.0349999999999895)); #550633=CARTESIAN_POINT('Origin',(31.75,15.5,-200.)); #550634=CARTESIAN_POINT('',(31.61,15.5,0.)); #550635=CARTESIAN_POINT('Origin',(31.75,15.5,0.)); #550636=CARTESIAN_POINT('',(31.61,15.5,0.0349999999999895)); #550637=CARTESIAN_POINT('',(31.61,15.5,-200.)); #550638=CARTESIAN_POINT('Origin',(31.75,15.5,0.0349999999999895)); #550639=CARTESIAN_POINT('Origin',(37.2,7.6,-200.)); #550640=CARTESIAN_POINT('',(37.085,7.6,0.)); #550641=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #550642=CARTESIAN_POINT('',(37.085,7.6,0.0349999999999895)); #550643=CARTESIAN_POINT('',(37.085,7.6,-200.)); #550644=CARTESIAN_POINT('Origin',(37.2,7.6,0.0349999999999895)); #550645=CARTESIAN_POINT('Origin',(30.8,7.1,-200.)); #550646=CARTESIAN_POINT('',(30.685,7.1,0.)); #550647=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #550648=CARTESIAN_POINT('',(30.685,7.1,0.0349999999999895)); #550649=CARTESIAN_POINT('',(30.685,7.1,-200.)); #550650=CARTESIAN_POINT('Origin',(30.8,7.1,0.0349999999999895)); #550651=CARTESIAN_POINT('Origin',(29.995,6.27999997467882,-200.)); #550652=CARTESIAN_POINT('',(29.995,7.07999999155961,0.0349999999999895)); #550653=CARTESIAN_POINT('',(29.995,6.27999997467882,0.0349999999999895)); #550654=CARTESIAN_POINT('',(29.995,20.140000005869,0.0350000000000072)); #550655=CARTESIAN_POINT('',(29.995,7.07999999155961,0.)); #550656=CARTESIAN_POINT('',(29.995,7.07999999155961,-200.)); #550657=CARTESIAN_POINT('',(29.995,6.27999997467882,0.)); #550658=CARTESIAN_POINT('',(29.995,20.140000005869,0.)); #550659=CARTESIAN_POINT('',(29.995,6.27999997467882,-200.)); #550660=CARTESIAN_POINT('Origin',(29.68,6.28,-200.)); #550661=CARTESIAN_POINT('',(29.365,6.28000000844039,0.0349999999999895)); #550662=CARTESIAN_POINT('Origin',(29.68,6.28,0.0349999999999895)); #550663=CARTESIAN_POINT('',(29.365,6.28000000844039,0.)); #550664=CARTESIAN_POINT('Origin',(29.68,6.28,0.)); #550665=CARTESIAN_POINT('',(29.365,6.28000000844039,-200.)); #550666=CARTESIAN_POINT('Origin',(29.365,7.08000002532118,-200.)); #550667=CARTESIAN_POINT('',(29.365,7.08000002532118,0.0349999999999895)); #550668=CARTESIAN_POINT('',(29.365,20.5400000311902,0.0350000000000072)); #550669=CARTESIAN_POINT('',(29.365,7.08000002532118,0.)); #550670=CARTESIAN_POINT('',(29.365,20.5400000311902,0.)); #550671=CARTESIAN_POINT('',(29.365,7.08000002532118,-200.)); #550672=CARTESIAN_POINT('Origin',(29.68,7.08,-200.)); #550673=CARTESIAN_POINT('Origin',(29.68,7.08,0.0349999999999895)); #550674=CARTESIAN_POINT('Origin',(29.68,7.08,0.)); #550675=CARTESIAN_POINT('Origin',(31.75,17.,-200.)); #550676=CARTESIAN_POINT('',(31.61,17.,0.)); #550677=CARTESIAN_POINT('Origin',(31.75,17.,0.)); #550678=CARTESIAN_POINT('',(31.61,17.,0.0349999999999895)); #550679=CARTESIAN_POINT('',(31.61,17.,-200.)); #550680=CARTESIAN_POINT('Origin',(31.75,17.,0.0349999999999895)); #550681=CARTESIAN_POINT('Origin',(38.635,2.09999997467882,-200.)); #550682=CARTESIAN_POINT('',(38.635,2.89999999155961,0.0349999999999895)); #550683=CARTESIAN_POINT('',(38.635,2.09999997467882,0.0349999999999895)); #550684=CARTESIAN_POINT('',(38.635,18.050000005869,0.0350000000000072)); #550685=CARTESIAN_POINT('',(38.635,2.89999999155961,0.)); #550686=CARTESIAN_POINT('',(38.635,2.89999999155961,-200.)); #550687=CARTESIAN_POINT('',(38.635,2.09999997467882,0.)); #550688=CARTESIAN_POINT('',(38.635,18.050000005869,0.)); #550689=CARTESIAN_POINT('',(38.635,2.09999997467882,-200.)); #550690=CARTESIAN_POINT('Origin',(38.32,2.1,-200.)); #550691=CARTESIAN_POINT('',(38.005,2.10000000844039,0.0349999999999895)); #550692=CARTESIAN_POINT('Origin',(38.32,2.1,0.0349999999999895)); #550693=CARTESIAN_POINT('',(38.005,2.10000000844039,0.)); #550694=CARTESIAN_POINT('Origin',(38.32,2.1,0.)); #550695=CARTESIAN_POINT('',(38.005,2.10000000844039,-200.)); #550696=CARTESIAN_POINT('Origin',(38.005,2.90000002532118,-200.)); #550697=CARTESIAN_POINT('',(38.005,2.90000002532118,0.0349999999999895)); #550698=CARTESIAN_POINT('',(38.005,18.4500000311902,0.0350000000000072)); #550699=CARTESIAN_POINT('',(38.005,2.90000002532118,0.)); #550700=CARTESIAN_POINT('',(38.005,18.4500000311902,0.)); #550701=CARTESIAN_POINT('',(38.005,2.90000002532118,-200.)); #550702=CARTESIAN_POINT('Origin',(38.32,2.9,-200.)); #550703=CARTESIAN_POINT('Origin',(38.32,2.9,0.0349999999999895)); #550704=CARTESIAN_POINT('Origin',(38.32,2.9,0.)); #550705=CARTESIAN_POINT('Origin',(38.635,6.27999997467882,-200.)); #550706=CARTESIAN_POINT('',(38.635,7.07999999155961,0.0349999999999895)); #550707=CARTESIAN_POINT('',(38.635,6.27999997467882,0.0349999999999895)); #550708=CARTESIAN_POINT('',(38.635,20.140000005869,0.0350000000000072)); #550709=CARTESIAN_POINT('',(38.635,7.07999999155961,0.)); #550710=CARTESIAN_POINT('',(38.635,7.07999999155961,-200.)); #550711=CARTESIAN_POINT('',(38.635,6.27999997467882,0.)); #550712=CARTESIAN_POINT('',(38.635,20.140000005869,0.)); #550713=CARTESIAN_POINT('',(38.635,6.27999997467882,-200.)); #550714=CARTESIAN_POINT('Origin',(38.32,6.28,-200.)); #550715=CARTESIAN_POINT('',(38.005,6.28000000844039,0.0349999999999895)); #550716=CARTESIAN_POINT('Origin',(38.32,6.28,0.0349999999999895)); #550717=CARTESIAN_POINT('',(38.005,6.28000000844039,0.)); #550718=CARTESIAN_POINT('Origin',(38.32,6.28,0.)); #550719=CARTESIAN_POINT('',(38.005,6.28000000844039,-200.)); #550720=CARTESIAN_POINT('Origin',(38.005,7.08000002532118,-200.)); #550721=CARTESIAN_POINT('',(38.005,7.08000002532118,0.0349999999999895)); #550722=CARTESIAN_POINT('',(38.005,20.5400000311902,0.0350000000000072)); #550723=CARTESIAN_POINT('',(38.005,7.08000002532118,0.)); #550724=CARTESIAN_POINT('',(38.005,20.5400000311902,0.)); #550725=CARTESIAN_POINT('',(38.005,7.08000002532118,-200.)); #550726=CARTESIAN_POINT('Origin',(38.32,7.08,-200.)); #550727=CARTESIAN_POINT('Origin',(38.32,7.08,0.0349999999999895)); #550728=CARTESIAN_POINT('Origin',(38.32,7.08,0.)); #550729=CARTESIAN_POINT('Origin',(33.25,17.75,-200.)); #550730=CARTESIAN_POINT('',(33.11,17.75,0.)); #550731=CARTESIAN_POINT('Origin',(33.25,17.75,0.)); #550732=CARTESIAN_POINT('',(33.11,17.75,0.0349999999999895)); #550733=CARTESIAN_POINT('',(33.11,17.75,-200.)); #550734=CARTESIAN_POINT('Origin',(33.25,17.75,0.0349999999999895)); #550735=CARTESIAN_POINT('Origin',(31.75,17.75,-200.)); #550736=CARTESIAN_POINT('',(31.61,17.75,0.)); #550737=CARTESIAN_POINT('Origin',(31.75,17.75,0.)); #550738=CARTESIAN_POINT('',(31.61,17.75,0.0349999999999895)); #550739=CARTESIAN_POINT('',(31.61,17.75,-200.)); #550740=CARTESIAN_POINT('Origin',(31.75,17.75,0.0349999999999895)); #550741=CARTESIAN_POINT('Origin',(55.25,21.75,-200.)); #550742=CARTESIAN_POINT('',(55.11,21.75,0.)); #550743=CARTESIAN_POINT('Origin',(55.25,21.75,0.)); #550744=CARTESIAN_POINT('',(55.11,21.75,0.0349999999999895)); #550745=CARTESIAN_POINT('',(55.11,21.75,-200.)); #550746=CARTESIAN_POINT('Origin',(55.25,21.75,0.0349999999999895)); #550747=CARTESIAN_POINT('Origin',(35.5,15.5,-200.)); #550748=CARTESIAN_POINT('',(35.36,15.5,0.)); #550749=CARTESIAN_POINT('Origin',(35.5,15.5,0.)); #550750=CARTESIAN_POINT('',(35.36,15.5,0.0349999999999895)); #550751=CARTESIAN_POINT('',(35.36,15.5,-200.)); #550752=CARTESIAN_POINT('Origin',(35.5,15.5,0.0349999999999895)); #550753=CARTESIAN_POINT('Origin',(55.25,21.,-200.)); #550754=CARTESIAN_POINT('',(55.11,21.,0.)); #550755=CARTESIAN_POINT('Origin',(55.25,21.,0.)); #550756=CARTESIAN_POINT('',(55.11,21.,0.0349999999999895)); #550757=CARTESIAN_POINT('',(55.11,21.,-200.)); #550758=CARTESIAN_POINT('Origin',(55.25,21.,0.0349999999999895)); #550759=CARTESIAN_POINT('Origin',(40.,38.75,-200.)); #550760=CARTESIAN_POINT('',(39.86,38.75,0.)); #550761=CARTESIAN_POINT('Origin',(40.,38.75,0.)); #550762=CARTESIAN_POINT('',(39.86,38.75,0.0349999999999895)); #550763=CARTESIAN_POINT('',(39.86,38.75,-200.)); #550764=CARTESIAN_POINT('Origin',(40.,38.75,0.0349999999999895)); #550765=CARTESIAN_POINT('Origin',(34.75,15.5,-200.)); #550766=CARTESIAN_POINT('',(34.61,15.5,0.)); #550767=CARTESIAN_POINT('Origin',(34.75,15.5,0.)); #550768=CARTESIAN_POINT('',(34.61,15.5,0.0349999999999895)); #550769=CARTESIAN_POINT('',(34.61,15.5,-200.)); #550770=CARTESIAN_POINT('Origin',(34.75,15.5,0.0349999999999895)); #550771=CARTESIAN_POINT('Origin',(40.,39.5,-200.)); #550772=CARTESIAN_POINT('',(39.86,39.5,0.)); #550773=CARTESIAN_POINT('Origin',(40.,39.5,0.)); #550774=CARTESIAN_POINT('',(39.86,39.5,0.0349999999999895)); #550775=CARTESIAN_POINT('',(39.86,39.5,-200.)); #550776=CARTESIAN_POINT('Origin',(40.,39.5,0.0349999999999895)); #550777=CARTESIAN_POINT('Origin',(28.,38.75,-200.)); #550778=CARTESIAN_POINT('',(27.86,38.75,0.)); #550779=CARTESIAN_POINT('Origin',(28.,38.75,0.)); #550780=CARTESIAN_POINT('',(27.86,38.75,0.0349999999999895)); #550781=CARTESIAN_POINT('',(27.86,38.75,-200.)); #550782=CARTESIAN_POINT('Origin',(28.,38.75,0.0349999999999895)); #550783=CARTESIAN_POINT('Origin',(33.25,15.5,-200.)); #550784=CARTESIAN_POINT('',(33.11,15.5,0.)); #550785=CARTESIAN_POINT('Origin',(33.25,15.5,0.)); #550786=CARTESIAN_POINT('',(33.11,15.5,0.0349999999999895)); #550787=CARTESIAN_POINT('',(33.11,15.5,-200.)); #550788=CARTESIAN_POINT('Origin',(33.25,15.5,0.0349999999999895)); #550789=CARTESIAN_POINT('Origin',(28.,38.,-200.)); #550790=CARTESIAN_POINT('',(27.86,38.,0.)); #550791=CARTESIAN_POINT('Origin',(28.,38.,0.)); #550792=CARTESIAN_POINT('',(27.86,38.,0.0349999999999895)); #550793=CARTESIAN_POINT('',(27.86,38.,-200.)); #550794=CARTESIAN_POINT('Origin',(28.,38.,0.0349999999999895)); #550795=CARTESIAN_POINT('Origin',(28.,39.5,-200.)); #550796=CARTESIAN_POINT('',(27.86,39.5,0.)); #550797=CARTESIAN_POINT('Origin',(28.,39.5,0.)); #550798=CARTESIAN_POINT('',(27.86,39.5,0.0349999999999895)); #550799=CARTESIAN_POINT('',(27.86,39.5,-200.)); #550800=CARTESIAN_POINT('Origin',(28.,39.5,0.0349999999999895)); #550801=CARTESIAN_POINT('Origin',(34.,15.5,-200.)); #550802=CARTESIAN_POINT('',(33.86,15.5,0.)); #550803=CARTESIAN_POINT('Origin',(34.,15.5,0.)); #550804=CARTESIAN_POINT('',(33.86,15.5,0.0349999999999895)); #550805=CARTESIAN_POINT('',(33.86,15.5,-200.)); #550806=CARTESIAN_POINT('Origin',(34.,15.5,0.0349999999999895)); #550807=CARTESIAN_POINT('Origin',(40.,38.,-200.)); #550808=CARTESIAN_POINT('',(39.86,38.,0.)); #550809=CARTESIAN_POINT('Origin',(40.,38.,0.)); #550810=CARTESIAN_POINT('',(39.86,38.,0.0349999999999895)); #550811=CARTESIAN_POINT('',(39.86,38.,-200.)); #550812=CARTESIAN_POINT('Origin',(40.,38.,0.0349999999999895)); #550813=CARTESIAN_POINT('Origin',(43.75,34.,-200.)); #550814=CARTESIAN_POINT('',(43.235,34.,0.)); #550815=CARTESIAN_POINT('Origin',(43.75,34.,0.)); #550816=CARTESIAN_POINT('',(43.235,34.,0.0349999999999895)); #550817=CARTESIAN_POINT('',(43.235,34.,-200.)); #550818=CARTESIAN_POINT('Origin',(43.75,34.,0.0349999999999895)); #550819=CARTESIAN_POINT('Origin',(41.,24.5,-200.)); #550820=CARTESIAN_POINT('',(40.86,24.5,0.)); #550821=CARTESIAN_POINT('Origin',(41.,24.5,0.)); #550822=CARTESIAN_POINT('',(40.86,24.5,0.0349999999999895)); #550823=CARTESIAN_POINT('',(40.86,24.5,-200.)); #550824=CARTESIAN_POINT('Origin',(41.,24.5,0.0349999999999895)); #550825=CARTESIAN_POINT('Origin',(32.5,17.,-200.)); #550826=CARTESIAN_POINT('',(32.36,17.,0.)); #550827=CARTESIAN_POINT('Origin',(32.5,17.,0.)); #550828=CARTESIAN_POINT('',(32.36,17.,0.0349999999999895)); #550829=CARTESIAN_POINT('',(32.36,17.,-200.)); #550830=CARTESIAN_POINT('Origin',(32.5,17.,0.0349999999999895)); #550831=CARTESIAN_POINT('Origin',(41.,26.,-200.)); #550832=CARTESIAN_POINT('',(40.86,26.,0.)); #550833=CARTESIAN_POINT('Origin',(41.,26.,0.)); #550834=CARTESIAN_POINT('',(40.86,26.,0.0349999999999895)); #550835=CARTESIAN_POINT('',(40.86,26.,-200.)); #550836=CARTESIAN_POINT('Origin',(41.,26.,0.0349999999999895)); #550837=CARTESIAN_POINT('Origin',(41.75,25.25,-200.)); #550838=CARTESIAN_POINT('',(41.61,25.25,0.)); #550839=CARTESIAN_POINT('Origin',(41.75,25.25,0.)); #550840=CARTESIAN_POINT('',(41.61,25.25,0.0349999999999895)); #550841=CARTESIAN_POINT('',(41.61,25.25,-200.)); #550842=CARTESIAN_POINT('Origin',(41.75,25.25,0.0349999999999895)); #550843=CARTESIAN_POINT('Origin',(32.5,17.75,-200.)); #550844=CARTESIAN_POINT('',(32.36,17.75,0.)); #550845=CARTESIAN_POINT('Origin',(32.5,17.75,0.)); #550846=CARTESIAN_POINT('',(32.36,17.75,0.0349999999999895)); #550847=CARTESIAN_POINT('',(32.36,17.75,-200.)); #550848=CARTESIAN_POINT('Origin',(32.5,17.75,0.0349999999999895)); #550849=CARTESIAN_POINT('Origin',(41.75,26.,-200.)); #550850=CARTESIAN_POINT('',(41.61,26.,0.)); #550851=CARTESIAN_POINT('Origin',(41.75,26.,0.)); #550852=CARTESIAN_POINT('',(41.61,26.,0.0349999999999895)); #550853=CARTESIAN_POINT('',(41.61,26.,-200.)); #550854=CARTESIAN_POINT('Origin',(41.75,26.,0.0349999999999895)); #550855=CARTESIAN_POINT('Origin',(42.5,24.5,-200.)); #550856=CARTESIAN_POINT('',(42.36,24.5,0.)); #550857=CARTESIAN_POINT('Origin',(42.5,24.5,0.)); #550858=CARTESIAN_POINT('',(42.36,24.5,0.0349999999999895)); #550859=CARTESIAN_POINT('',(42.36,24.5,-200.)); #550860=CARTESIAN_POINT('Origin',(42.5,24.5,0.0349999999999895)); #550861=CARTESIAN_POINT('Origin',(32.5,16.25,-200.)); #550862=CARTESIAN_POINT('',(32.36,16.25,0.)); #550863=CARTESIAN_POINT('Origin',(32.5,16.25,0.)); #550864=CARTESIAN_POINT('',(32.36,16.25,0.0349999999999895)); #550865=CARTESIAN_POINT('',(32.36,16.25,-200.)); #550866=CARTESIAN_POINT('Origin',(32.5,16.25,0.0349999999999895)); #550867=CARTESIAN_POINT('Origin',(41.75,24.5,-200.)); #550868=CARTESIAN_POINT('',(41.61,24.5,0.)); #550869=CARTESIAN_POINT('Origin',(41.75,24.5,0.)); #550870=CARTESIAN_POINT('',(41.61,24.5,0.0349999999999895)); #550871=CARTESIAN_POINT('',(41.61,24.5,-200.)); #550872=CARTESIAN_POINT('Origin',(41.75,24.5,0.0349999999999895)); #550873=CARTESIAN_POINT('Origin',(42.5,25.25,-200.)); #550874=CARTESIAN_POINT('',(42.36,25.25,0.)); #550875=CARTESIAN_POINT('Origin',(42.5,25.25,0.)); #550876=CARTESIAN_POINT('',(42.36,25.25,0.0349999999999895)); #550877=CARTESIAN_POINT('',(42.36,25.25,-200.)); #550878=CARTESIAN_POINT('Origin',(42.5,25.25,0.0349999999999895)); #550879=CARTESIAN_POINT('Origin',(32.5,15.5,-200.)); #550880=CARTESIAN_POINT('',(32.36,15.5,0.)); #550881=CARTESIAN_POINT('Origin',(32.5,15.5,0.)); #550882=CARTESIAN_POINT('',(32.36,15.5,0.0349999999999895)); #550883=CARTESIAN_POINT('',(32.36,15.5,-200.)); #550884=CARTESIAN_POINT('Origin',(32.5,15.5,0.0349999999999895)); #550885=CARTESIAN_POINT('Origin',(42.5,26.,-200.)); #550886=CARTESIAN_POINT('',(42.36,26.,0.)); #550887=CARTESIAN_POINT('Origin',(42.5,26.,0.)); #550888=CARTESIAN_POINT('',(42.36,26.,0.0349999999999895)); #550889=CARTESIAN_POINT('',(42.36,26.,-200.)); #550890=CARTESIAN_POINT('Origin',(42.5,26.,0.0349999999999895)); #550891=CARTESIAN_POINT('Origin',(37.81,45.,-200.)); #550892=CARTESIAN_POINT('',(37.245,45.,0.)); #550893=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #550894=CARTESIAN_POINT('',(37.245,45.,0.0349999999999895)); #550895=CARTESIAN_POINT('',(37.245,45.,-200.)); #550896=CARTESIAN_POINT('Origin',(37.81,45.,0.0349999999999895)); #550897=CARTESIAN_POINT('Origin',(12.75,21.,-200.)); #550898=CARTESIAN_POINT('',(12.61,21.,0.)); #550899=CARTESIAN_POINT('Origin',(12.75,21.,0.)); #550900=CARTESIAN_POINT('',(12.61,21.,0.0349999999999895)); #550901=CARTESIAN_POINT('',(12.61,21.,-200.)); #550902=CARTESIAN_POINT('Origin',(12.75,21.,0.0349999999999895)); #550903=CARTESIAN_POINT('Origin',(33.25,19.25,-200.)); #550904=CARTESIAN_POINT('',(33.11,19.25,0.)); #550905=CARTESIAN_POINT('Origin',(33.25,19.25,0.)); #550906=CARTESIAN_POINT('',(33.11,19.25,0.0349999999999895)); #550907=CARTESIAN_POINT('',(33.11,19.25,-200.)); #550908=CARTESIAN_POINT('Origin',(33.25,19.25,0.0349999999999895)); #550909=CARTESIAN_POINT('Origin',(56.,20.25,-200.)); #550910=CARTESIAN_POINT('',(55.86,20.25,0.)); #550911=CARTESIAN_POINT('Origin',(56.,20.25,0.)); #550912=CARTESIAN_POINT('',(55.86,20.25,0.0349999999999895)); #550913=CARTESIAN_POINT('',(55.86,20.25,-200.)); #550914=CARTESIAN_POINT('Origin',(56.,20.25,0.0349999999999895)); #550915=CARTESIAN_POINT('Origin',(56.,21.75,-200.)); #550916=CARTESIAN_POINT('',(55.86,21.75,0.)); #550917=CARTESIAN_POINT('Origin',(56.,21.75,0.)); #550918=CARTESIAN_POINT('',(55.86,21.75,0.0349999999999895)); #550919=CARTESIAN_POINT('',(55.86,21.75,-200.)); #550920=CARTESIAN_POINT('Origin',(56.,21.75,0.0349999999999895)); #550921=CARTESIAN_POINT('Origin',(32.5,19.25,-200.)); #550922=CARTESIAN_POINT('',(32.36,19.25,0.)); #550923=CARTESIAN_POINT('Origin',(32.5,19.25,0.)); #550924=CARTESIAN_POINT('',(32.36,19.25,0.0349999999999895)); #550925=CARTESIAN_POINT('',(32.36,19.25,-200.)); #550926=CARTESIAN_POINT('Origin',(32.5,19.25,0.0349999999999895)); #550927=CARTESIAN_POINT('Origin',(56.,21.,-200.)); #550928=CARTESIAN_POINT('',(55.86,21.,0.)); #550929=CARTESIAN_POINT('Origin',(56.,21.,0.)); #550930=CARTESIAN_POINT('',(55.86,21.,0.0349999999999895)); #550931=CARTESIAN_POINT('',(55.86,21.,-200.)); #550932=CARTESIAN_POINT('Origin',(56.,21.,0.0349999999999895)); #550933=CARTESIAN_POINT('Origin',(54.5,20.25,-200.)); #550934=CARTESIAN_POINT('',(54.36,20.25,0.)); #550935=CARTESIAN_POINT('Origin',(54.5,20.25,0.)); #550936=CARTESIAN_POINT('',(54.36,20.25,0.0349999999999895)); #550937=CARTESIAN_POINT('',(54.36,20.25,-200.)); #550938=CARTESIAN_POINT('Origin',(54.5,20.25,0.0349999999999895)); #550939=CARTESIAN_POINT('Origin',(35.5,16.25,-200.)); #550940=CARTESIAN_POINT('',(35.36,16.25,0.)); #550941=CARTESIAN_POINT('Origin',(35.5,16.25,0.)); #550942=CARTESIAN_POINT('',(35.36,16.25,0.0349999999999895)); #550943=CARTESIAN_POINT('',(35.36,16.25,-200.)); #550944=CARTESIAN_POINT('Origin',(35.5,16.25,0.0349999999999895)); #550945=CARTESIAN_POINT('Origin',(55.25,20.25,-200.)); #550946=CARTESIAN_POINT('',(55.11,20.25,0.)); #550947=CARTESIAN_POINT('Origin',(55.25,20.25,0.)); #550948=CARTESIAN_POINT('',(55.11,20.25,0.0349999999999895)); #550949=CARTESIAN_POINT('',(55.11,20.25,-200.)); #550950=CARTESIAN_POINT('Origin',(55.25,20.25,0.0349999999999895)); #550951=CARTESIAN_POINT('Origin',(54.5,21.,-200.)); #550952=CARTESIAN_POINT('',(54.36,21.,0.)); #550953=CARTESIAN_POINT('Origin',(54.5,21.,0.)); #550954=CARTESIAN_POINT('',(54.36,21.,0.0349999999999895)); #550955=CARTESIAN_POINT('',(54.36,21.,-200.)); #550956=CARTESIAN_POINT('Origin',(54.5,21.,0.0349999999999895)); #550957=CARTESIAN_POINT('Origin',(35.5,17.,-200.)); #550958=CARTESIAN_POINT('',(35.36,17.,0.)); #550959=CARTESIAN_POINT('Origin',(35.5,17.,0.)); #550960=CARTESIAN_POINT('',(35.36,17.,0.0349999999999895)); #550961=CARTESIAN_POINT('',(35.36,17.,-200.)); #550962=CARTESIAN_POINT('Origin',(35.5,17.,0.0349999999999895)); #550963=CARTESIAN_POINT('Origin',(54.5,21.75,-200.)); #550964=CARTESIAN_POINT('',(54.36,21.75,0.)); #550965=CARTESIAN_POINT('Origin',(54.5,21.75,0.)); #550966=CARTESIAN_POINT('',(54.36,21.75,0.0349999999999895)); #550967=CARTESIAN_POINT('',(54.36,21.75,-200.)); #550968=CARTESIAN_POINT('Origin',(54.5,21.75,0.0349999999999895)); #550969=CARTESIAN_POINT('Origin',(12.,21.,-200.)); #550970=CARTESIAN_POINT('',(11.86,21.,0.)); #550971=CARTESIAN_POINT('Origin',(12.,21.,0.)); #550972=CARTESIAN_POINT('',(11.86,21.,0.0349999999999895)); #550973=CARTESIAN_POINT('',(11.86,21.,-200.)); #550974=CARTESIAN_POINT('Origin',(12.,21.,0.0349999999999895)); #550975=CARTESIAN_POINT('Origin',(34.75,19.25,-200.)); #550976=CARTESIAN_POINT('',(34.61,19.25,0.)); #550977=CARTESIAN_POINT('Origin',(34.75,19.25,0.)); #550978=CARTESIAN_POINT('',(34.61,19.25,0.0349999999999895)); #550979=CARTESIAN_POINT('',(34.61,19.25,-200.)); #550980=CARTESIAN_POINT('Origin',(34.75,19.25,0.0349999999999895)); #550981=CARTESIAN_POINT('Origin',(12.,20.25,-200.)); #550982=CARTESIAN_POINT('',(11.86,20.25,0.)); #550983=CARTESIAN_POINT('Origin',(12.,20.25,0.)); #550984=CARTESIAN_POINT('',(11.86,20.25,0.0349999999999895)); #550985=CARTESIAN_POINT('',(11.86,20.25,-200.)); #550986=CARTESIAN_POINT('Origin',(12.,20.25,0.0349999999999895)); #550987=CARTESIAN_POINT('Origin',(12.75,21.75,-200.)); #550988=CARTESIAN_POINT('',(12.61,21.75,0.)); #550989=CARTESIAN_POINT('Origin',(12.75,21.75,0.)); #550990=CARTESIAN_POINT('',(12.61,21.75,0.0349999999999895)); #550991=CARTESIAN_POINT('',(12.61,21.75,-200.)); #550992=CARTESIAN_POINT('Origin',(12.75,21.75,0.0349999999999895)); #550993=CARTESIAN_POINT('Origin',(34.,19.25,-200.)); #550994=CARTESIAN_POINT('',(33.86,19.25,0.)); #550995=CARTESIAN_POINT('Origin',(34.,19.25,0.)); #550996=CARTESIAN_POINT('',(33.86,19.25,0.0349999999999895)); #550997=CARTESIAN_POINT('',(33.86,19.25,-200.)); #550998=CARTESIAN_POINT('Origin',(34.,19.25,0.0349999999999895)); #550999=CARTESIAN_POINT('Origin',(12.75,20.25,-200.)); #551000=CARTESIAN_POINT('',(12.61,20.25,0.)); #551001=CARTESIAN_POINT('Origin',(12.75,20.25,0.)); #551002=CARTESIAN_POINT('',(12.61,20.25,0.0349999999999895)); #551003=CARTESIAN_POINT('',(12.61,20.25,-200.)); #551004=CARTESIAN_POINT('Origin',(12.75,20.25,0.0349999999999895)); #551005=CARTESIAN_POINT('Origin',(13.5,21.75,-200.)); #551006=CARTESIAN_POINT('',(13.36,21.75,0.)); #551007=CARTESIAN_POINT('Origin',(13.5,21.75,0.)); #551008=CARTESIAN_POINT('',(13.36,21.75,0.0349999999999895)); #551009=CARTESIAN_POINT('',(13.36,21.75,-200.)); #551010=CARTESIAN_POINT('Origin',(13.5,21.75,0.0349999999999895)); #551011=CARTESIAN_POINT('Origin',(35.5,19.25,-200.)); #551012=CARTESIAN_POINT('',(35.36,19.25,0.)); #551013=CARTESIAN_POINT('Origin',(35.5,19.25,0.)); #551014=CARTESIAN_POINT('',(35.36,19.25,0.0349999999999895)); #551015=CARTESIAN_POINT('',(35.36,19.25,-200.)); #551016=CARTESIAN_POINT('Origin',(35.5,19.25,0.0349999999999895)); #551017=CARTESIAN_POINT('Origin',(12.,21.75,-200.)); #551018=CARTESIAN_POINT('',(11.86,21.75,0.)); #551019=CARTESIAN_POINT('Origin',(12.,21.75,0.)); #551020=CARTESIAN_POINT('',(11.86,21.75,0.0349999999999895)); #551021=CARTESIAN_POINT('',(11.86,21.75,-200.)); #551022=CARTESIAN_POINT('Origin',(12.,21.75,0.0349999999999895)); #551023=CARTESIAN_POINT('Origin',(13.5,21.,-200.)); #551024=CARTESIAN_POINT('',(13.36,21.,0.)); #551025=CARTESIAN_POINT('Origin',(13.5,21.,0.)); #551026=CARTESIAN_POINT('',(13.36,21.,0.0349999999999895)); #551027=CARTESIAN_POINT('',(13.36,21.,-200.)); #551028=CARTESIAN_POINT('Origin',(13.5,21.,0.0349999999999895)); #551029=CARTESIAN_POINT('Origin',(36.25,19.25,-200.)); #551030=CARTESIAN_POINT('',(36.11,19.25,0.)); #551031=CARTESIAN_POINT('Origin',(36.25,19.25,0.)); #551032=CARTESIAN_POINT('',(36.11,19.25,0.0349999999999895)); #551033=CARTESIAN_POINT('',(36.11,19.25,-200.)); #551034=CARTESIAN_POINT('Origin',(36.25,19.25,0.0349999999999895)); #551035=CARTESIAN_POINT('Origin',(13.5,20.25,-200.)); #551036=CARTESIAN_POINT('',(13.36,20.25,0.)); #551037=CARTESIAN_POINT('Origin',(13.5,20.25,0.)); #551038=CARTESIAN_POINT('',(13.36,20.25,0.0349999999999895)); #551039=CARTESIAN_POINT('',(13.36,20.25,-200.)); #551040=CARTESIAN_POINT('Origin',(13.5,20.25,0.0349999999999895)); #551041=CARTESIAN_POINT('Origin',(31.1,6.67,0.)); #551042=CARTESIAN_POINT('',(31.1,6.67,0.)); #551043=CARTESIAN_POINT('',(31.1,6.8136938,0.)); #551044=CARTESIAN_POINT('',(31.1,6.67,0.)); #551045=CARTESIAN_POINT('',(31.1,6.8136938,0.035)); #551046=CARTESIAN_POINT('',(31.1,6.8136938,0.)); #551047=CARTESIAN_POINT('',(31.1,6.67,0.035)); #551048=CARTESIAN_POINT('',(31.1,6.67,0.035)); #551049=CARTESIAN_POINT('',(31.1,6.67,0.)); #551050=CARTESIAN_POINT('Origin',(30.716116,6.67,0.)); #551051=CARTESIAN_POINT('',(30.716116,6.67,0.)); #551052=CARTESIAN_POINT('',(30.716116,6.67,0.)); #551053=CARTESIAN_POINT('',(30.716116,6.67,0.035)); #551054=CARTESIAN_POINT('',(30.716116,6.67,0.035)); #551055=CARTESIAN_POINT('',(30.716116,6.67,0.)); #551056=CARTESIAN_POINT('Origin',(30.58015,6.5340344,0.)); #551057=CARTESIAN_POINT('',(30.58015,6.5340344,0.)); #551058=CARTESIAN_POINT('',(30.58015,6.5340344,0.)); #551059=CARTESIAN_POINT('',(30.58015,6.5340344,0.035)); #551060=CARTESIAN_POINT('',(30.58015,6.5340344,0.035)); #551061=CARTESIAN_POINT('',(30.58015,6.5340344,0.)); #551062=CARTESIAN_POINT('Origin',(30.485,6.3043219,0.)); #551063=CARTESIAN_POINT('',(30.485,6.3043219,0.)); #551064=CARTESIAN_POINT('',(30.485,6.3043219,0.)); #551065=CARTESIAN_POINT('',(30.485,6.3043219,0.035)); #551066=CARTESIAN_POINT('',(30.485,6.3043219,0.035)); #551067=CARTESIAN_POINT('',(30.485,6.3043219,0.)); #551068=CARTESIAN_POINT('Origin',(30.485,6.0556781,0.)); #551069=CARTESIAN_POINT('',(30.485,6.0556781,0.)); #551070=CARTESIAN_POINT('',(30.485,6.0556781,0.)); #551071=CARTESIAN_POINT('',(30.485,6.0556781,0.035)); #551072=CARTESIAN_POINT('',(30.485,6.0556781,0.035)); #551073=CARTESIAN_POINT('',(30.485,6.0556781,0.)); #551074=CARTESIAN_POINT('Origin',(30.58015,5.8259656,0.)); #551075=CARTESIAN_POINT('',(30.58015,5.8259656,0.)); #551076=CARTESIAN_POINT('',(30.58015,5.8259656,0.)); #551077=CARTESIAN_POINT('',(30.58015,5.8259656,0.035)); #551078=CARTESIAN_POINT('',(30.58015,5.8259656,0.035)); #551079=CARTESIAN_POINT('',(30.58015,5.8259656,0.)); #551080=CARTESIAN_POINT('Origin',(30.755966,5.65015,0.)); #551081=CARTESIAN_POINT('',(30.755966,5.65015,0.)); #551082=CARTESIAN_POINT('',(30.755966,5.65015,0.)); #551083=CARTESIAN_POINT('',(30.755966,5.65015,0.035)); #551084=CARTESIAN_POINT('',(30.755966,5.65015,0.035)); #551085=CARTESIAN_POINT('',(30.755966,5.65015,0.)); #551086=CARTESIAN_POINT('Origin',(30.985678,5.555,0.)); #551087=CARTESIAN_POINT('',(30.985678,5.555,0.)); #551088=CARTESIAN_POINT('',(30.985678,5.555,0.)); #551089=CARTESIAN_POINT('',(30.985678,5.555,0.035)); #551090=CARTESIAN_POINT('',(30.985678,5.555,0.035)); #551091=CARTESIAN_POINT('',(30.985678,5.555,0.)); #551092=CARTESIAN_POINT('Origin',(31.234322,5.555,0.)); #551093=CARTESIAN_POINT('',(31.234322,5.555,0.)); #551094=CARTESIAN_POINT('',(31.234322,5.555,0.)); #551095=CARTESIAN_POINT('',(31.234322,5.555,0.035)); #551096=CARTESIAN_POINT('',(31.234322,5.555,0.035)); #551097=CARTESIAN_POINT('',(31.234322,5.555,0.)); #551098=CARTESIAN_POINT('Origin',(31.411431,5.6283594,0.)); #551099=CARTESIAN_POINT('',(31.411431,5.6283594,0.)); #551100=CARTESIAN_POINT('',(31.411431,5.6283594,0.)); #551101=CARTESIAN_POINT('',(31.411431,5.6283594,0.035)); #551102=CARTESIAN_POINT('',(31.411431,5.6283594,0.035)); #551103=CARTESIAN_POINT('',(31.411431,5.6283594,0.)); #551104=CARTESIAN_POINT('Origin',(31.439375,5.63415,0.)); #551105=CARTESIAN_POINT('',(31.439375,5.63415,0.)); #551106=CARTESIAN_POINT('',(31.439375,5.63415,0.)); #551107=CARTESIAN_POINT('',(31.439375,5.63415,0.035)); #551108=CARTESIAN_POINT('',(31.439375,5.63415,0.035)); #551109=CARTESIAN_POINT('',(31.439375,5.63415,0.)); #551110=CARTESIAN_POINT('Origin',(31.469188,5.6285906,0.)); #551111=CARTESIAN_POINT('',(31.469188,5.6285906,0.)); #551112=CARTESIAN_POINT('',(31.469188,5.6285906,0.)); #551113=CARTESIAN_POINT('',(31.469188,5.6285906,0.035)); #551114=CARTESIAN_POINT('',(31.469188,5.6285906,0.035)); #551115=CARTESIAN_POINT('',(31.469188,5.6285906,0.)); #551116=CARTESIAN_POINT('Origin',(31.494472,5.6118406,0.)); #551117=CARTESIAN_POINT('',(31.494472,5.6118406,0.)); #551118=CARTESIAN_POINT('',(31.494472,5.6118406,0.)); #551119=CARTESIAN_POINT('',(31.494472,5.6118406,0.035)); #551120=CARTESIAN_POINT('',(31.494472,5.6118406,0.035)); #551121=CARTESIAN_POINT('',(31.494472,5.6118406,0.)); #551122=CARTESIAN_POINT('Origin',(32.175291,4.9310219,0.)); #551123=CARTESIAN_POINT('',(32.175291,4.9310219,0.)); #551124=CARTESIAN_POINT('',(32.175291,4.9310219,0.)); #551125=CARTESIAN_POINT('',(32.175291,4.9310219,0.035)); #551126=CARTESIAN_POINT('',(32.175291,4.9310219,0.035)); #551127=CARTESIAN_POINT('',(32.175291,4.9310219,0.)); #551128=CARTESIAN_POINT('Origin',(32.195131,4.9150031,0.)); #551129=CARTESIAN_POINT('',(32.195131,4.9150031,0.)); #551130=CARTESIAN_POINT('',(32.195131,4.9150031,0.)); #551131=CARTESIAN_POINT('',(32.195131,4.9150031,0.035)); #551132=CARTESIAN_POINT('',(32.195131,4.9150031,0.035)); #551133=CARTESIAN_POINT('',(32.195131,4.9150031,0.)); #551134=CARTESIAN_POINT('Origin',(32.214272,4.9053531,0.)); #551135=CARTESIAN_POINT('',(32.214272,4.9053531,0.)); #551136=CARTESIAN_POINT('',(32.214272,4.9053531,0.)); #551137=CARTESIAN_POINT('',(32.214272,4.9053531,0.035)); #551138=CARTESIAN_POINT('',(32.214272,4.9053531,0.035)); #551139=CARTESIAN_POINT('',(32.214272,4.9053531,0.)); #551140=CARTESIAN_POINT('Origin',(32.236184,4.8996406,0.)); #551141=CARTESIAN_POINT('',(32.236184,4.8996406,0.)); #551142=CARTESIAN_POINT('',(32.236184,4.8996406,0.)); #551143=CARTESIAN_POINT('',(32.236184,4.8996406,0.035)); #551144=CARTESIAN_POINT('',(32.236184,4.8996406,0.035)); #551145=CARTESIAN_POINT('',(32.236184,4.8996406,0.)); #551146=CARTESIAN_POINT('Origin',(32.252847,4.8984,0.)); #551147=CARTESIAN_POINT('',(32.252847,4.8984,0.)); #551148=CARTESIAN_POINT('',(32.252847,4.8984,0.)); #551149=CARTESIAN_POINT('',(32.252847,4.8984,0.035)); #551150=CARTESIAN_POINT('',(32.252847,4.8984,0.035)); #551151=CARTESIAN_POINT('',(32.252847,4.8984,0.)); #551152=CARTESIAN_POINT('Origin',(35.745953,4.8984,0.)); #551153=CARTESIAN_POINT('',(35.745953,4.8984,0.)); #551154=CARTESIAN_POINT('',(35.745953,4.8984,0.)); #551155=CARTESIAN_POINT('',(35.745953,4.8984,0.035)); #551156=CARTESIAN_POINT('',(35.745953,4.8984,0.035)); #551157=CARTESIAN_POINT('',(35.745953,4.8984,0.)); #551158=CARTESIAN_POINT('Origin',(35.771309,4.9011,0.)); #551159=CARTESIAN_POINT('',(35.771309,4.9011,0.)); #551160=CARTESIAN_POINT('',(35.771309,4.9011,0.)); #551161=CARTESIAN_POINT('',(35.771309,4.9011,0.035)); #551162=CARTESIAN_POINT('',(35.771309,4.9011,0.035)); #551163=CARTESIAN_POINT('',(35.771309,4.9011,0.)); #551164=CARTESIAN_POINT('Origin',(35.791663,4.9078063,0.)); #551165=CARTESIAN_POINT('',(35.791663,4.9078063,0.)); #551166=CARTESIAN_POINT('',(35.791663,4.9078063,0.)); #551167=CARTESIAN_POINT('',(35.791663,4.9078063,0.035)); #551168=CARTESIAN_POINT('',(35.791663,4.9078063,0.035)); #551169=CARTESIAN_POINT('',(35.791663,4.9078063,0.)); #551170=CARTESIAN_POINT('Origin',(35.811197,4.9192688,0.)); #551171=CARTESIAN_POINT('',(35.811197,4.9192688,0.)); #551172=CARTESIAN_POINT('',(35.811197,4.9192688,0.)); #551173=CARTESIAN_POINT('',(35.811197,4.9192688,0.035)); #551174=CARTESIAN_POINT('',(35.811197,4.9192688,0.035)); #551175=CARTESIAN_POINT('',(35.811197,4.9192688,0.)); #551176=CARTESIAN_POINT('Origin',(35.823866,4.9301781,0.)); #551177=CARTESIAN_POINT('',(35.823866,4.9301781,0.)); #551178=CARTESIAN_POINT('',(35.823866,4.9301781,0.)); #551179=CARTESIAN_POINT('',(35.823866,4.9301781,0.035)); #551180=CARTESIAN_POINT('',(35.823866,4.9301781,0.035)); #551181=CARTESIAN_POINT('',(35.823866,4.9301781,0.)); #551182=CARTESIAN_POINT('Origin',(36.505528,5.6118406,0.)); #551183=CARTESIAN_POINT('',(36.505528,5.6118406,0.)); #551184=CARTESIAN_POINT('',(36.505528,5.6118406,0.)); #551185=CARTESIAN_POINT('',(36.505528,5.6118406,0.035)); #551186=CARTESIAN_POINT('',(36.505528,5.6118406,0.035)); #551187=CARTESIAN_POINT('',(36.505528,5.6118406,0.)); #551188=CARTESIAN_POINT('Origin',(36.529131,5.6278844,0.)); #551189=CARTESIAN_POINT('',(36.529131,5.6278844,0.)); #551190=CARTESIAN_POINT('',(36.529131,5.6278844,0.)); #551191=CARTESIAN_POINT('',(36.529131,5.6278844,0.035)); #551192=CARTESIAN_POINT('',(36.529131,5.6278844,0.035)); #551193=CARTESIAN_POINT('',(36.529131,5.6278844,0.)); #551194=CARTESIAN_POINT('Origin',(36.5588,5.6341563,0.)); #551195=CARTESIAN_POINT('',(36.5588,5.6341563,0.)); #551196=CARTESIAN_POINT('',(36.5588,5.6341563,0.)); #551197=CARTESIAN_POINT('',(36.5588,5.6341563,0.035)); #551198=CARTESIAN_POINT('',(36.5588,5.6341563,0.035)); #551199=CARTESIAN_POINT('',(36.5588,5.6341563,0.)); #551200=CARTESIAN_POINT('Origin',(36.588569,5.6283594,0.)); #551201=CARTESIAN_POINT('',(36.588569,5.6283594,0.)); #551202=CARTESIAN_POINT('',(36.588569,5.6283594,0.)); #551203=CARTESIAN_POINT('',(36.588569,5.6283594,0.035)); #551204=CARTESIAN_POINT('',(36.588569,5.6283594,0.035)); #551205=CARTESIAN_POINT('',(36.588569,5.6283594,0.)); #551206=CARTESIAN_POINT('Origin',(36.765678,5.555,0.)); #551207=CARTESIAN_POINT('',(36.765678,5.555,0.)); #551208=CARTESIAN_POINT('',(36.765678,5.555,0.)); #551209=CARTESIAN_POINT('',(36.765678,5.555,0.035)); #551210=CARTESIAN_POINT('',(36.765678,5.555,0.035)); #551211=CARTESIAN_POINT('',(36.765678,5.555,0.)); #551212=CARTESIAN_POINT('Origin',(37.014322,5.555,0.)); #551213=CARTESIAN_POINT('',(37.014322,5.555,0.)); #551214=CARTESIAN_POINT('',(37.014322,5.555,0.)); #551215=CARTESIAN_POINT('',(37.014322,5.555,0.035)); #551216=CARTESIAN_POINT('',(37.014322,5.555,0.035)); #551217=CARTESIAN_POINT('',(37.014322,5.555,0.)); #551218=CARTESIAN_POINT('Origin',(37.244034,5.65015,0.)); #551219=CARTESIAN_POINT('',(37.244034,5.65015,0.)); #551220=CARTESIAN_POINT('',(37.244034,5.65015,0.)); #551221=CARTESIAN_POINT('',(37.244034,5.65015,0.035)); #551222=CARTESIAN_POINT('',(37.244034,5.65015,0.035)); #551223=CARTESIAN_POINT('',(37.244034,5.65015,0.)); #551224=CARTESIAN_POINT('Origin',(37.41985,5.8259656,0.)); #551225=CARTESIAN_POINT('',(37.41985,5.8259656,0.)); #551226=CARTESIAN_POINT('',(37.41985,5.8259656,0.)); #551227=CARTESIAN_POINT('',(37.41985,5.8259656,0.035)); #551228=CARTESIAN_POINT('',(37.41985,5.8259656,0.035)); #551229=CARTESIAN_POINT('',(37.41985,5.8259656,0.)); #551230=CARTESIAN_POINT('Origin',(37.515,6.0556781,0.)); #551231=CARTESIAN_POINT('',(37.515,6.0556781,0.)); #551232=CARTESIAN_POINT('',(37.515,6.0556781,0.)); #551233=CARTESIAN_POINT('',(37.515,6.0556781,0.035)); #551234=CARTESIAN_POINT('',(37.515,6.0556781,0.035)); #551235=CARTESIAN_POINT('',(37.515,6.0556781,0.)); #551236=CARTESIAN_POINT('Origin',(37.515,6.3043219,0.)); #551237=CARTESIAN_POINT('',(37.515,6.3043219,0.)); #551238=CARTESIAN_POINT('',(37.515,6.3043219,0.)); #551239=CARTESIAN_POINT('',(37.515,6.3043219,0.035)); #551240=CARTESIAN_POINT('',(37.515,6.3043219,0.035)); #551241=CARTESIAN_POINT('',(37.515,6.3043219,0.)); #551242=CARTESIAN_POINT('Origin',(37.41985,6.5340344,0.)); #551243=CARTESIAN_POINT('',(37.41985,6.5340344,0.)); #551244=CARTESIAN_POINT('',(37.41985,6.5340344,0.)); #551245=CARTESIAN_POINT('',(37.41985,6.5340344,0.035)); #551246=CARTESIAN_POINT('',(37.41985,6.5340344,0.035)); #551247=CARTESIAN_POINT('',(37.41985,6.5340344,0.)); #551248=CARTESIAN_POINT('Origin',(37.283884,6.67,0.)); #551249=CARTESIAN_POINT('',(37.283884,6.67,0.)); #551250=CARTESIAN_POINT('',(37.283884,6.67,0.)); #551251=CARTESIAN_POINT('',(37.283884,6.67,0.035)); #551252=CARTESIAN_POINT('',(37.283884,6.67,0.035)); #551253=CARTESIAN_POINT('',(37.283884,6.67,0.)); #551254=CARTESIAN_POINT('Origin',(36.9,6.67,0.)); #551255=CARTESIAN_POINT('',(36.9,6.67,0.)); #551256=CARTESIAN_POINT('',(36.9,6.67,0.)); #551257=CARTESIAN_POINT('',(36.9,6.67,0.035)); #551258=CARTESIAN_POINT('',(36.9,6.67,0.035)); #551259=CARTESIAN_POINT('',(36.9,6.67,0.)); #551260=CARTESIAN_POINT('Origin',(36.9,6.8140438,0.)); #551261=CARTESIAN_POINT('',(36.9,6.8140438,0.)); #551262=CARTESIAN_POINT('',(36.9,6.8140438,0.)); #551263=CARTESIAN_POINT('',(36.9,6.8140438,0.035)); #551264=CARTESIAN_POINT('',(36.9,6.8140438,0.035)); #551265=CARTESIAN_POINT('',(36.9,6.8140438,0.)); #551266=CARTESIAN_POINT('Origin',(36.880584,6.8264656,0.)); #551267=CARTESIAN_POINT('',(36.880584,6.8264656,0.)); #551268=CARTESIAN_POINT('',(36.880584,6.8264656,0.)); #551269=CARTESIAN_POINT('',(36.880584,6.8264656,0.035)); #551270=CARTESIAN_POINT('',(36.880584,6.8264656,0.035)); #551271=CARTESIAN_POINT('',(36.880584,6.8264656,0.)); #551272=CARTESIAN_POINT('Origin',(36.863434,6.8514781,0.)); #551273=CARTESIAN_POINT('',(36.863434,6.8514781,0.)); #551274=CARTESIAN_POINT('',(36.863434,6.8514781,0.)); #551275=CARTESIAN_POINT('',(36.863434,6.8514781,0.035)); #551276=CARTESIAN_POINT('',(36.863434,6.8514781,0.035)); #551277=CARTESIAN_POINT('',(36.863434,6.8514781,0.)); #551278=CARTESIAN_POINT('Origin',(36.8574,6.8812,0.)); #551279=CARTESIAN_POINT('',(36.8574,6.8812,0.)); #551280=CARTESIAN_POINT('',(36.8574,6.8812,0.)); #551281=CARTESIAN_POINT('',(36.8574,6.8812,0.035)); #551282=CARTESIAN_POINT('',(36.8574,6.8812,0.035)); #551283=CARTESIAN_POINT('',(36.8574,6.8812,0.)); #551284=CARTESIAN_POINT('Origin',(36.8574,7.8222,0.)); #551285=CARTESIAN_POINT('',(36.8574,7.8222,0.)); #551286=CARTESIAN_POINT('',(36.8574,7.8222,0.)); #551287=CARTESIAN_POINT('',(36.8574,7.8222,0.035)); #551288=CARTESIAN_POINT('',(36.8574,7.8222,0.035)); #551289=CARTESIAN_POINT('',(36.8574,7.8222,0.)); #551290=CARTESIAN_POINT('Origin',(36.862522,7.8496688,0.)); #551291=CARTESIAN_POINT('',(36.862522,7.8496688,0.)); #551292=CARTESIAN_POINT('',(36.862522,7.8496688,0.)); #551293=CARTESIAN_POINT('',(36.862522,7.8496688,0.035)); #551294=CARTESIAN_POINT('',(36.862522,7.8496688,0.035)); #551295=CARTESIAN_POINT('',(36.862522,7.8496688,0.)); #551296=CARTESIAN_POINT('Origin',(36.878866,7.8752156,0.)); #551297=CARTESIAN_POINT('',(36.878866,7.8752156,0.)); #551298=CARTESIAN_POINT('',(36.878866,7.8752156,0.)); #551299=CARTESIAN_POINT('',(36.878866,7.8752156,0.035)); #551300=CARTESIAN_POINT('',(36.878866,7.8752156,0.035)); #551301=CARTESIAN_POINT('',(36.878866,7.8752156,0.)); #551302=CARTESIAN_POINT('Origin',(36.903878,7.8923656,0.)); #551303=CARTESIAN_POINT('',(36.903878,7.8923656,0.)); #551304=CARTESIAN_POINT('',(36.903878,7.8923656,0.)); #551305=CARTESIAN_POINT('',(36.903878,7.8923656,0.035)); #551306=CARTESIAN_POINT('',(36.903878,7.8923656,0.035)); #551307=CARTESIAN_POINT('',(36.903878,7.8923656,0.)); #551308=CARTESIAN_POINT('Origin',(36.9336,7.8984,0.)); #551309=CARTESIAN_POINT('',(36.9336,7.8984,0.)); #551310=CARTESIAN_POINT('',(36.9336,7.8984,0.)); #551311=CARTESIAN_POINT('',(36.9336,7.8984,0.035)); #551312=CARTESIAN_POINT('',(36.9336,7.8984,0.035)); #551313=CARTESIAN_POINT('',(36.9336,7.8984,0.)); #551314=CARTESIAN_POINT('Origin',(37.245953,7.8984,0.)); #551315=CARTESIAN_POINT('',(37.245953,7.8984,0.)); #551316=CARTESIAN_POINT('',(37.245953,7.8984,0.)); #551317=CARTESIAN_POINT('',(37.245953,7.8984,0.035)); #551318=CARTESIAN_POINT('',(37.245953,7.8984,0.035)); #551319=CARTESIAN_POINT('',(37.245953,7.8984,0.)); #551320=CARTESIAN_POINT('Origin',(37.271309,7.9011,0.)); #551321=CARTESIAN_POINT('',(37.271309,7.9011,0.)); #551322=CARTESIAN_POINT('',(37.271309,7.9011,0.)); #551323=CARTESIAN_POINT('',(37.271309,7.9011,0.035)); #551324=CARTESIAN_POINT('',(37.271309,7.9011,0.035)); #551325=CARTESIAN_POINT('',(37.271309,7.9011,0.)); #551326=CARTESIAN_POINT('Origin',(37.291663,7.9078063,0.)); #551327=CARTESIAN_POINT('',(37.291663,7.9078063,0.)); #551328=CARTESIAN_POINT('',(37.291663,7.9078063,0.)); #551329=CARTESIAN_POINT('',(37.291663,7.9078063,0.035)); #551330=CARTESIAN_POINT('',(37.291663,7.9078063,0.035)); #551331=CARTESIAN_POINT('',(37.291663,7.9078063,0.)); #551332=CARTESIAN_POINT('Origin',(37.311197,7.9192688,0.)); #551333=CARTESIAN_POINT('',(37.311197,7.9192688,0.)); #551334=CARTESIAN_POINT('',(37.311197,7.9192688,0.)); #551335=CARTESIAN_POINT('',(37.311197,7.9192688,0.035)); #551336=CARTESIAN_POINT('',(37.311197,7.9192688,0.035)); #551337=CARTESIAN_POINT('',(37.311197,7.9192688,0.)); #551338=CARTESIAN_POINT('Origin',(37.323866,7.9301781,0.)); #551339=CARTESIAN_POINT('',(37.323866,7.9301781,0.)); #551340=CARTESIAN_POINT('',(37.323866,7.9301781,0.)); #551341=CARTESIAN_POINT('',(37.323866,7.9301781,0.035)); #551342=CARTESIAN_POINT('',(37.323866,7.9301781,0.035)); #551343=CARTESIAN_POINT('',(37.323866,7.9301781,0.)); #551344=CARTESIAN_POINT('Origin',(37.818978,8.4252906,0.)); #551345=CARTESIAN_POINT('',(37.818978,8.4252906,0.)); #551346=CARTESIAN_POINT('',(37.818978,8.4252906,0.)); #551347=CARTESIAN_POINT('',(37.818978,8.4252906,0.035)); #551348=CARTESIAN_POINT('',(37.818978,8.4252906,0.035)); #551349=CARTESIAN_POINT('',(37.818978,8.4252906,0.)); #551350=CARTESIAN_POINT('Origin',(37.834997,8.4451313,0.)); #551351=CARTESIAN_POINT('',(37.834997,8.4451313,0.)); #551352=CARTESIAN_POINT('',(37.834997,8.4451313,0.)); #551353=CARTESIAN_POINT('',(37.834997,8.4451313,0.035)); #551354=CARTESIAN_POINT('',(37.834997,8.4451313,0.035)); #551355=CARTESIAN_POINT('',(37.834997,8.4451313,0.)); #551356=CARTESIAN_POINT('Origin',(37.844647,8.4642719,0.)); #551357=CARTESIAN_POINT('',(37.844647,8.4642719,0.)); #551358=CARTESIAN_POINT('',(37.844647,8.4642719,0.)); #551359=CARTESIAN_POINT('',(37.844647,8.4642719,0.035)); #551360=CARTESIAN_POINT('',(37.844647,8.4642719,0.035)); #551361=CARTESIAN_POINT('',(37.844647,8.4642719,0.)); #551362=CARTESIAN_POINT('Origin',(37.850359,8.4861844,0.)); #551363=CARTESIAN_POINT('',(37.850359,8.4861844,0.)); #551364=CARTESIAN_POINT('',(37.850359,8.4861844,0.)); #551365=CARTESIAN_POINT('',(37.850359,8.4861844,0.035)); #551366=CARTESIAN_POINT('',(37.850359,8.4861844,0.035)); #551367=CARTESIAN_POINT('',(37.850359,8.4861844,0.)); #551368=CARTESIAN_POINT('Origin',(37.8516,8.5028469,0.)); #551369=CARTESIAN_POINT('',(37.8516,8.5028469,0.)); #551370=CARTESIAN_POINT('',(37.8516,8.5028469,0.)); #551371=CARTESIAN_POINT('',(37.8516,8.5028469,0.035)); #551372=CARTESIAN_POINT('',(37.8516,8.5028469,0.035)); #551373=CARTESIAN_POINT('',(37.8516,8.5028469,0.)); #551374=CARTESIAN_POINT('Origin',(37.8516,9.9959531,0.)); #551375=CARTESIAN_POINT('',(37.8516,9.9959531,0.)); #551376=CARTESIAN_POINT('',(37.8516,9.9959531,0.)); #551377=CARTESIAN_POINT('',(37.8516,9.9959531,0.035)); #551378=CARTESIAN_POINT('',(37.8516,9.9959531,0.035)); #551379=CARTESIAN_POINT('',(37.8516,9.9959531,0.)); #551380=CARTESIAN_POINT('Origin',(37.8489,10.021309,0.)); #551381=CARTESIAN_POINT('',(37.8489,10.021309,0.)); #551382=CARTESIAN_POINT('',(37.8489,10.021309,0.)); #551383=CARTESIAN_POINT('',(37.8489,10.021309,0.035)); #551384=CARTESIAN_POINT('',(37.8489,10.021309,0.035)); #551385=CARTESIAN_POINT('',(37.8489,10.021309,0.)); #551386=CARTESIAN_POINT('Origin',(37.842194,10.041663,0.)); #551387=CARTESIAN_POINT('',(37.842194,10.041663,0.)); #551388=CARTESIAN_POINT('',(37.842194,10.041663,0.)); #551389=CARTESIAN_POINT('',(37.842194,10.041663,0.035)); #551390=CARTESIAN_POINT('',(37.842194,10.041663,0.035)); #551391=CARTESIAN_POINT('',(37.842194,10.041663,0.)); #551392=CARTESIAN_POINT('Origin',(37.830731,10.061197,0.)); #551393=CARTESIAN_POINT('',(37.830731,10.061197,0.)); #551394=CARTESIAN_POINT('',(37.830731,10.061197,0.)); #551395=CARTESIAN_POINT('',(37.830731,10.061197,0.035)); #551396=CARTESIAN_POINT('',(37.830731,10.061197,0.035)); #551397=CARTESIAN_POINT('',(37.830731,10.061197,0.)); #551398=CARTESIAN_POINT('Origin',(37.819822,10.073866,0.)); #551399=CARTESIAN_POINT('',(37.819822,10.073866,0.)); #551400=CARTESIAN_POINT('',(37.819822,10.073866,0.)); #551401=CARTESIAN_POINT('',(37.819822,10.073866,0.035)); #551402=CARTESIAN_POINT('',(37.819822,10.073866,0.035)); #551403=CARTESIAN_POINT('',(37.819822,10.073866,0.)); #551404=CARTESIAN_POINT('Origin',(37.324709,10.568978,0.)); #551405=CARTESIAN_POINT('',(37.324709,10.568978,0.)); #551406=CARTESIAN_POINT('',(37.324709,10.568978,0.)); #551407=CARTESIAN_POINT('',(37.324709,10.568978,0.035)); #551408=CARTESIAN_POINT('',(37.324709,10.568978,0.035)); #551409=CARTESIAN_POINT('',(37.324709,10.568978,0.)); #551410=CARTESIAN_POINT('Origin',(37.304869,10.584997,0.)); #551411=CARTESIAN_POINT('',(37.304869,10.584997,0.)); #551412=CARTESIAN_POINT('',(37.304869,10.584997,0.)); #551413=CARTESIAN_POINT('',(37.304869,10.584997,0.035)); #551414=CARTESIAN_POINT('',(37.304869,10.584997,0.035)); #551415=CARTESIAN_POINT('',(37.304869,10.584997,0.)); #551416=CARTESIAN_POINT('Origin',(37.285728,10.594647,0.)); #551417=CARTESIAN_POINT('',(37.285728,10.594647,0.)); #551418=CARTESIAN_POINT('',(37.285728,10.594647,0.)); #551419=CARTESIAN_POINT('',(37.285728,10.594647,0.035)); #551420=CARTESIAN_POINT('',(37.285728,10.594647,0.035)); #551421=CARTESIAN_POINT('',(37.285728,10.594647,0.)); #551422=CARTESIAN_POINT('Origin',(37.263816,10.600359,0.)); #551423=CARTESIAN_POINT('',(37.263816,10.600359,0.)); #551424=CARTESIAN_POINT('',(37.263816,10.600359,0.)); #551425=CARTESIAN_POINT('',(37.263816,10.600359,0.035)); #551426=CARTESIAN_POINT('',(37.263816,10.600359,0.035)); #551427=CARTESIAN_POINT('',(37.263816,10.600359,0.)); #551428=CARTESIAN_POINT('Origin',(37.247153,10.6016,0.)); #551429=CARTESIAN_POINT('',(37.247153,10.6016,0.)); #551430=CARTESIAN_POINT('',(37.247153,10.6016,0.)); #551431=CARTESIAN_POINT('',(37.247153,10.6016,0.035)); #551432=CARTESIAN_POINT('',(37.247153,10.6016,0.035)); #551433=CARTESIAN_POINT('',(37.247153,10.6016,0.)); #551434=CARTESIAN_POINT('Origin',(37.0036,10.6016,0.)); #551435=CARTESIAN_POINT('',(37.0036,10.6016,0.)); #551436=CARTESIAN_POINT('',(37.0036,10.6016,0.)); #551437=CARTESIAN_POINT('',(37.0036,10.6016,0.035)); #551438=CARTESIAN_POINT('',(37.0036,10.6016,0.035)); #551439=CARTESIAN_POINT('',(37.0036,10.6016,0.)); #551440=CARTESIAN_POINT('Origin',(36.976131,10.606722,0.)); #551441=CARTESIAN_POINT('',(36.976131,10.606722,0.)); #551442=CARTESIAN_POINT('',(36.976131,10.606722,0.)); #551443=CARTESIAN_POINT('',(36.976131,10.606722,0.035)); #551444=CARTESIAN_POINT('',(36.976131,10.606722,0.035)); #551445=CARTESIAN_POINT('',(36.976131,10.606722,0.)); #551446=CARTESIAN_POINT('Origin',(36.950584,10.623066,0.)); #551447=CARTESIAN_POINT('',(36.950584,10.623066,0.)); #551448=CARTESIAN_POINT('',(36.950584,10.623066,0.)); #551449=CARTESIAN_POINT('',(36.950584,10.623066,0.035)); #551450=CARTESIAN_POINT('',(36.950584,10.623066,0.035)); #551451=CARTESIAN_POINT('',(36.950584,10.623066,0.)); #551452=CARTESIAN_POINT('Origin',(36.933434,10.648078,0.)); #551453=CARTESIAN_POINT('',(36.933434,10.648078,0.)); #551454=CARTESIAN_POINT('',(36.933434,10.648078,0.)); #551455=CARTESIAN_POINT('',(36.933434,10.648078,0.035)); #551456=CARTESIAN_POINT('',(36.933434,10.648078,0.035)); #551457=CARTESIAN_POINT('',(36.933434,10.648078,0.)); #551458=CARTESIAN_POINT('Origin',(36.927594,10.676841,0.)); #551459=CARTESIAN_POINT('',(36.927594,10.676841,0.)); #551460=CARTESIAN_POINT('',(36.927594,10.676841,0.)); #551461=CARTESIAN_POINT('',(36.927594,10.676841,0.035)); #551462=CARTESIAN_POINT('',(36.927594,10.676841,0.035)); #551463=CARTESIAN_POINT('',(36.927594,10.676841,0.)); #551464=CARTESIAN_POINT('Origin',(36.677034,10.9274,0.)); #551465=CARTESIAN_POINT('',(36.677034,10.9274,0.)); #551466=CARTESIAN_POINT('',(36.677034,10.9274,0.)); #551467=CARTESIAN_POINT('',(36.677034,10.9274,0.035)); #551468=CARTESIAN_POINT('',(36.677034,10.9274,0.035)); #551469=CARTESIAN_POINT('',(36.677034,10.9274,0.)); #551470=CARTESIAN_POINT('Origin',(36.322966,10.9274,0.)); #551471=CARTESIAN_POINT('',(36.322966,10.9274,0.)); #551472=CARTESIAN_POINT('',(36.322966,10.9274,0.)); #551473=CARTESIAN_POINT('',(36.322966,10.9274,0.035)); #551474=CARTESIAN_POINT('',(36.322966,10.9274,0.035)); #551475=CARTESIAN_POINT('',(36.322966,10.9274,0.)); #551476=CARTESIAN_POINT('Origin',(36.146484,10.750919,0.)); #551477=CARTESIAN_POINT('',(36.146484,10.750919,0.)); #551478=CARTESIAN_POINT('',(36.146484,10.750919,0.)); #551479=CARTESIAN_POINT('',(36.146484,10.750919,0.035)); #551480=CARTESIAN_POINT('',(36.146484,10.750919,0.035)); #551481=CARTESIAN_POINT('',(36.146484,10.750919,0.)); #551482=CARTESIAN_POINT('Origin',(36.122325,10.734634,0.)); #551483=CARTESIAN_POINT('',(36.122325,10.734634,0.)); #551484=CARTESIAN_POINT('',(36.122325,10.734634,0.)); #551485=CARTESIAN_POINT('',(36.122325,10.734634,0.035)); #551486=CARTESIAN_POINT('',(36.122325,10.734634,0.035)); #551487=CARTESIAN_POINT('',(36.122325,10.734634,0.)); #551488=CARTESIAN_POINT('Origin',(36.092603,10.7286,0.)); #551489=CARTESIAN_POINT('',(36.092603,10.7286,0.)); #551490=CARTESIAN_POINT('',(36.092603,10.7286,0.)); #551491=CARTESIAN_POINT('',(36.092603,10.7286,0.035)); #551492=CARTESIAN_POINT('',(36.092603,10.7286,0.035)); #551493=CARTESIAN_POINT('',(36.092603,10.7286,0.)); #551494=CARTESIAN_POINT('Origin',(36.016997,10.7286,0.)); #551495=CARTESIAN_POINT('',(36.016997,10.7286,0.)); #551496=CARTESIAN_POINT('',(36.016997,10.7286,0.)); #551497=CARTESIAN_POINT('',(36.016997,10.7286,0.035)); #551498=CARTESIAN_POINT('',(36.016997,10.7286,0.035)); #551499=CARTESIAN_POINT('',(36.016997,10.7286,0.)); #551500=CARTESIAN_POINT('Origin',(35.990666,10.733294,0.)); #551501=CARTESIAN_POINT('',(35.990666,10.733294,0.)); #551502=CARTESIAN_POINT('',(35.990666,10.733294,0.)); #551503=CARTESIAN_POINT('',(35.990666,10.733294,0.035)); #551504=CARTESIAN_POINT('',(35.990666,10.733294,0.035)); #551505=CARTESIAN_POINT('',(35.990666,10.733294,0.)); #551506=CARTESIAN_POINT('Origin',(35.964859,10.749228,0.)); #551507=CARTESIAN_POINT('',(35.964859,10.749228,0.)); #551508=CARTESIAN_POINT('',(35.964859,10.749228,0.)); #551509=CARTESIAN_POINT('',(35.964859,10.749228,0.035)); #551510=CARTESIAN_POINT('',(35.964859,10.749228,0.035)); #551511=CARTESIAN_POINT('',(35.964859,10.749228,0.)); #551512=CARTESIAN_POINT('Origin',(35.947316,10.773966,0.)); #551513=CARTESIAN_POINT('',(35.947316,10.773966,0.)); #551514=CARTESIAN_POINT('',(35.947316,10.773966,0.)); #551515=CARTESIAN_POINT('',(35.947316,10.773966,0.035)); #551516=CARTESIAN_POINT('',(35.947316,10.773966,0.035)); #551517=CARTESIAN_POINT('',(35.947316,10.773966,0.)); #551518=CARTESIAN_POINT('Origin',(35.940806,10.803584,0.)); #551519=CARTESIAN_POINT('',(35.940806,10.803584,0.)); #551520=CARTESIAN_POINT('',(35.940806,10.803584,0.)); #551521=CARTESIAN_POINT('',(35.940806,10.803584,0.035)); #551522=CARTESIAN_POINT('',(35.940806,10.803584,0.035)); #551523=CARTESIAN_POINT('',(35.940806,10.803584,0.)); #551524=CARTESIAN_POINT('Origin',(35.946366,10.833397,0.)); #551525=CARTESIAN_POINT('',(35.946366,10.833397,0.)); #551526=CARTESIAN_POINT('',(35.946366,10.833397,0.)); #551527=CARTESIAN_POINT('',(35.946366,10.833397,0.035)); #551528=CARTESIAN_POINT('',(35.946366,10.833397,0.035)); #551529=CARTESIAN_POINT('',(35.946366,10.833397,0.)); #551530=CARTESIAN_POINT('Origin',(35.963116,10.858681,0.)); #551531=CARTESIAN_POINT('',(35.963116,10.858681,0.)); #551532=CARTESIAN_POINT('',(35.963116,10.858681,0.)); #551533=CARTESIAN_POINT('',(35.963116,10.858681,0.035)); #551534=CARTESIAN_POINT('',(35.963116,10.858681,0.035)); #551535=CARTESIAN_POINT('',(35.963116,10.858681,0.)); #551536=CARTESIAN_POINT('Origin',(36.1774,11.072966,0.)); #551537=CARTESIAN_POINT('',(36.1774,11.072966,0.)); #551538=CARTESIAN_POINT('',(36.1774,11.072966,0.)); #551539=CARTESIAN_POINT('',(36.1774,11.072966,0.035)); #551540=CARTESIAN_POINT('',(36.1774,11.072966,0.035)); #551541=CARTESIAN_POINT('',(36.1774,11.072966,0.)); #551542=CARTESIAN_POINT('Origin',(36.1774,11.427034,0.)); #551543=CARTESIAN_POINT('',(36.1774,11.427034,0.)); #551544=CARTESIAN_POINT('',(36.1774,11.427034,0.)); #551545=CARTESIAN_POINT('',(36.1774,11.427034,0.035)); #551546=CARTESIAN_POINT('',(36.1774,11.427034,0.035)); #551547=CARTESIAN_POINT('',(36.1774,11.427034,0.)); #551548=CARTESIAN_POINT('Origin',(35.927034,11.6774,0.)); #551549=CARTESIAN_POINT('',(35.927034,11.6774,0.)); #551550=CARTESIAN_POINT('',(35.927034,11.6774,0.)); #551551=CARTESIAN_POINT('',(35.927034,11.6774,0.035)); #551552=CARTESIAN_POINT('',(35.927034,11.6774,0.035)); #551553=CARTESIAN_POINT('',(35.927034,11.6774,0.)); #551554=CARTESIAN_POINT('Origin',(35.572966,11.6774,0.)); #551555=CARTESIAN_POINT('',(35.572966,11.6774,0.)); #551556=CARTESIAN_POINT('',(35.572966,11.6774,0.)); #551557=CARTESIAN_POINT('',(35.572966,11.6774,0.035)); #551558=CARTESIAN_POINT('',(35.572966,11.6774,0.035)); #551559=CARTESIAN_POINT('',(35.572966,11.6774,0.)); #551560=CARTESIAN_POINT('Origin',(35.396484,11.500919,0.)); #551561=CARTESIAN_POINT('',(35.396484,11.500919,0.)); #551562=CARTESIAN_POINT('',(35.396484,11.500919,0.)); #551563=CARTESIAN_POINT('',(35.396484,11.500919,0.035)); #551564=CARTESIAN_POINT('',(35.396484,11.500919,0.035)); #551565=CARTESIAN_POINT('',(35.396484,11.500919,0.)); #551566=CARTESIAN_POINT('Origin',(35.372325,11.484634,0.)); #551567=CARTESIAN_POINT('',(35.372325,11.484634,0.)); #551568=CARTESIAN_POINT('',(35.372325,11.484634,0.)); #551569=CARTESIAN_POINT('',(35.372325,11.484634,0.035)); #551570=CARTESIAN_POINT('',(35.372325,11.484634,0.035)); #551571=CARTESIAN_POINT('',(35.372325,11.484634,0.)); #551572=CARTESIAN_POINT('Origin',(35.342603,11.4786,0.)); #551573=CARTESIAN_POINT('',(35.342603,11.4786,0.)); #551574=CARTESIAN_POINT('',(35.342603,11.4786,0.)); #551575=CARTESIAN_POINT('',(35.342603,11.4786,0.035)); #551576=CARTESIAN_POINT('',(35.342603,11.4786,0.035)); #551577=CARTESIAN_POINT('',(35.342603,11.4786,0.)); #551578=CARTESIAN_POINT('Origin',(30.907397,11.4786,0.)); #551579=CARTESIAN_POINT('',(30.907397,11.4786,0.)); #551580=CARTESIAN_POINT('',(30.907397,11.4786,0.)); #551581=CARTESIAN_POINT('',(30.907397,11.4786,0.035)); #551582=CARTESIAN_POINT('',(30.907397,11.4786,0.035)); #551583=CARTESIAN_POINT('',(30.907397,11.4786,0.)); #551584=CARTESIAN_POINT('Origin',(30.8788,11.484169,0.)); #551585=CARTESIAN_POINT('',(30.8788,11.484169,0.)); #551586=CARTESIAN_POINT('',(30.8788,11.484169,0.)); #551587=CARTESIAN_POINT('',(30.8788,11.484169,0.035)); #551588=CARTESIAN_POINT('',(30.8788,11.484169,0.035)); #551589=CARTESIAN_POINT('',(30.8788,11.484169,0.)); #551590=CARTESIAN_POINT('Origin',(30.853516,11.500919,0.)); #551591=CARTESIAN_POINT('',(30.853516,11.500919,0.)); #551592=CARTESIAN_POINT('',(30.853516,11.500919,0.)); #551593=CARTESIAN_POINT('',(30.853516,11.500919,0.035)); #551594=CARTESIAN_POINT('',(30.853516,11.500919,0.035)); #551595=CARTESIAN_POINT('',(30.853516,11.500919,0.)); #551596=CARTESIAN_POINT('Origin',(30.677034,11.6774,0.)); #551597=CARTESIAN_POINT('',(30.677034,11.6774,0.)); #551598=CARTESIAN_POINT('',(30.677034,11.6774,0.)); #551599=CARTESIAN_POINT('',(30.677034,11.6774,0.035)); #551600=CARTESIAN_POINT('',(30.677034,11.6774,0.035)); #551601=CARTESIAN_POINT('',(30.677034,11.6774,0.)); #551602=CARTESIAN_POINT('Origin',(30.322966,11.6774,0.)); #551603=CARTESIAN_POINT('',(30.322966,11.6774,0.)); #551604=CARTESIAN_POINT('',(30.322966,11.6774,0.)); #551605=CARTESIAN_POINT('',(30.322966,11.6774,0.035)); #551606=CARTESIAN_POINT('',(30.322966,11.6774,0.035)); #551607=CARTESIAN_POINT('',(30.322966,11.6774,0.)); #551608=CARTESIAN_POINT('Origin',(30.0726,11.427034,0.)); #551609=CARTESIAN_POINT('',(30.0726,11.427034,0.)); #551610=CARTESIAN_POINT('',(30.0726,11.427034,0.)); #551611=CARTESIAN_POINT('',(30.0726,11.427034,0.035)); #551612=CARTESIAN_POINT('',(30.0726,11.427034,0.035)); #551613=CARTESIAN_POINT('',(30.0726,11.427034,0.)); #551614=CARTESIAN_POINT('Origin',(30.0726,11.072966,0.)); #551615=CARTESIAN_POINT('',(30.0726,11.072966,0.)); #551616=CARTESIAN_POINT('',(30.0726,11.072966,0.)); #551617=CARTESIAN_POINT('',(30.0726,11.072966,0.035)); #551618=CARTESIAN_POINT('',(30.0726,11.072966,0.035)); #551619=CARTESIAN_POINT('',(30.0726,11.072966,0.)); #551620=CARTESIAN_POINT('Origin',(30.216684,10.928881,0.)); #551621=CARTESIAN_POINT('',(30.216684,10.928881,0.)); #551622=CARTESIAN_POINT('',(30.216684,10.928881,0.)); #551623=CARTESIAN_POINT('',(30.216684,10.928881,0.035)); #551624=CARTESIAN_POINT('',(30.216684,10.928881,0.035)); #551625=CARTESIAN_POINT('',(30.216684,10.928881,0.)); #551626=CARTESIAN_POINT('Origin',(30.232484,10.905834,0.)); #551627=CARTESIAN_POINT('',(30.232484,10.905834,0.)); #551628=CARTESIAN_POINT('',(30.232484,10.905834,0.)); #551629=CARTESIAN_POINT('',(30.232484,10.905834,0.035)); #551630=CARTESIAN_POINT('',(30.232484,10.905834,0.035)); #551631=CARTESIAN_POINT('',(30.232484,10.905834,0.)); #551632=CARTESIAN_POINT('Origin',(30.238994,10.876216,0.)); #551633=CARTESIAN_POINT('',(30.238994,10.876216,0.)); #551634=CARTESIAN_POINT('',(30.238994,10.876216,0.)); #551635=CARTESIAN_POINT('',(30.238994,10.876216,0.035)); #551636=CARTESIAN_POINT('',(30.238994,10.876216,0.035)); #551637=CARTESIAN_POINT('',(30.238994,10.876216,0.)); #551638=CARTESIAN_POINT('Origin',(30.233434,10.846403,0.)); #551639=CARTESIAN_POINT('',(30.233434,10.846403,0.)); #551640=CARTESIAN_POINT('',(30.233434,10.846403,0.)); #551641=CARTESIAN_POINT('',(30.233434,10.846403,0.035)); #551642=CARTESIAN_POINT('',(30.233434,10.846403,0.035)); #551643=CARTESIAN_POINT('',(30.233434,10.846403,0.)); #551644=CARTESIAN_POINT('Origin',(30.216684,10.821119,0.)); #551645=CARTESIAN_POINT('',(30.216684,10.821119,0.)); #551646=CARTESIAN_POINT('',(30.216684,10.821119,0.)); #551647=CARTESIAN_POINT('',(30.216684,10.821119,0.035)); #551648=CARTESIAN_POINT('',(30.216684,10.821119,0.035)); #551649=CARTESIAN_POINT('',(30.216684,10.821119,0.)); #551650=CARTESIAN_POINT('Origin',(30.0726,10.677034,0.)); #551651=CARTESIAN_POINT('',(30.0726,10.677034,0.)); #551652=CARTESIAN_POINT('',(30.0726,10.677034,0.)); #551653=CARTESIAN_POINT('',(30.0726,10.677034,0.035)); #551654=CARTESIAN_POINT('',(30.0726,10.677034,0.035)); #551655=CARTESIAN_POINT('',(30.0726,10.677034,0.)); #551656=CARTESIAN_POINT('Origin',(30.0726,10.322966,0.)); #551657=CARTESIAN_POINT('',(30.0726,10.322966,0.)); #551658=CARTESIAN_POINT('',(30.0726,10.322966,0.)); #551659=CARTESIAN_POINT('',(30.0726,10.322966,0.035)); #551660=CARTESIAN_POINT('',(30.0726,10.322966,0.035)); #551661=CARTESIAN_POINT('',(30.0726,10.322966,0.)); #551662=CARTESIAN_POINT('Origin',(30.197059,10.198509,0.)); #551663=CARTESIAN_POINT('',(30.197059,10.198509,0.)); #551664=CARTESIAN_POINT('',(30.197059,10.198509,0.)); #551665=CARTESIAN_POINT('',(30.197059,10.198509,0.035)); #551666=CARTESIAN_POINT('',(30.197059,10.198509,0.035)); #551667=CARTESIAN_POINT('',(30.197059,10.198509,0.)); #551668=CARTESIAN_POINT('Origin',(30.212859,10.175463,0.)); #551669=CARTESIAN_POINT('',(30.212859,10.175463,0.)); #551670=CARTESIAN_POINT('',(30.212859,10.175463,0.)); #551671=CARTESIAN_POINT('',(30.212859,10.175463,0.035)); #551672=CARTESIAN_POINT('',(30.212859,10.175463,0.035)); #551673=CARTESIAN_POINT('',(30.212859,10.175463,0.)); #551674=CARTESIAN_POINT('Origin',(30.219369,10.145844,0.)); #551675=CARTESIAN_POINT('',(30.219369,10.145844,0.)); #551676=CARTESIAN_POINT('',(30.219369,10.145844,0.)); #551677=CARTESIAN_POINT('',(30.219369,10.145844,0.035)); #551678=CARTESIAN_POINT('',(30.219369,10.145844,0.035)); #551679=CARTESIAN_POINT('',(30.219369,10.145844,0.)); #551680=CARTESIAN_POINT('Origin',(30.213809,10.116031,0.)); #551681=CARTESIAN_POINT('',(30.213809,10.116031,0.)); #551682=CARTESIAN_POINT('',(30.213809,10.116031,0.)); #551683=CARTESIAN_POINT('',(30.213809,10.116031,0.035)); #551684=CARTESIAN_POINT('',(30.213809,10.116031,0.035)); #551685=CARTESIAN_POINT('',(30.213809,10.116031,0.)); #551686=CARTESIAN_POINT('Origin',(30.197059,10.090747,0.)); #551687=CARTESIAN_POINT('',(30.197059,10.090747,0.)); #551688=CARTESIAN_POINT('',(30.197059,10.090747,0.)); #551689=CARTESIAN_POINT('',(30.197059,10.090747,0.035)); #551690=CARTESIAN_POINT('',(30.197059,10.090747,0.035)); #551691=CARTESIAN_POINT('',(30.197059,10.090747,0.)); #551692=CARTESIAN_POINT('Origin',(30.181022,10.074709,0.)); #551693=CARTESIAN_POINT('',(30.181022,10.074709,0.)); #551694=CARTESIAN_POINT('',(30.181022,10.074709,0.)); #551695=CARTESIAN_POINT('',(30.181022,10.074709,0.035)); #551696=CARTESIAN_POINT('',(30.181022,10.074709,0.035)); #551697=CARTESIAN_POINT('',(30.181022,10.074709,0.)); #551698=CARTESIAN_POINT('Origin',(30.165003,10.054869,0.)); #551699=CARTESIAN_POINT('',(30.165003,10.054869,0.)); #551700=CARTESIAN_POINT('',(30.165003,10.054869,0.)); #551701=CARTESIAN_POINT('',(30.165003,10.054869,0.035)); #551702=CARTESIAN_POINT('',(30.165003,10.054869,0.035)); #551703=CARTESIAN_POINT('',(30.165003,10.054869,0.)); #551704=CARTESIAN_POINT('Origin',(30.155353,10.035728,0.)); #551705=CARTESIAN_POINT('',(30.155353,10.035728,0.)); #551706=CARTESIAN_POINT('',(30.155353,10.035728,0.)); #551707=CARTESIAN_POINT('',(30.155353,10.035728,0.035)); #551708=CARTESIAN_POINT('',(30.155353,10.035728,0.035)); #551709=CARTESIAN_POINT('',(30.155353,10.035728,0.)); #551710=CARTESIAN_POINT('Origin',(30.149641,10.013816,0.)); #551711=CARTESIAN_POINT('',(30.149641,10.013816,0.)); #551712=CARTESIAN_POINT('',(30.149641,10.013816,0.)); #551713=CARTESIAN_POINT('',(30.149641,10.013816,0.035)); #551714=CARTESIAN_POINT('',(30.149641,10.013816,0.035)); #551715=CARTESIAN_POINT('',(30.149641,10.013816,0.)); #551716=CARTESIAN_POINT('Origin',(30.1484,9.9971531,0.)); #551717=CARTESIAN_POINT('',(30.1484,9.9971531,0.)); #551718=CARTESIAN_POINT('',(30.1484,9.9971531,0.)); #551719=CARTESIAN_POINT('',(30.1484,9.9971531,0.035)); #551720=CARTESIAN_POINT('',(30.1484,9.9971531,0.035)); #551721=CARTESIAN_POINT('',(30.1484,9.9971531,0.)); #551722=CARTESIAN_POINT('Origin',(30.1484,8.5040469,0.)); #551723=CARTESIAN_POINT('',(30.1484,8.5040469,0.)); #551724=CARTESIAN_POINT('',(30.1484,8.5040469,0.)); #551725=CARTESIAN_POINT('',(30.1484,8.5040469,0.035)); #551726=CARTESIAN_POINT('',(30.1484,8.5040469,0.035)); #551727=CARTESIAN_POINT('',(30.1484,8.5040469,0.)); #551728=CARTESIAN_POINT('Origin',(30.1511,8.4786906,0.)); #551729=CARTESIAN_POINT('',(30.1511,8.4786906,0.)); #551730=CARTESIAN_POINT('',(30.1511,8.4786906,0.)); #551731=CARTESIAN_POINT('',(30.1511,8.4786906,0.035)); #551732=CARTESIAN_POINT('',(30.1511,8.4786906,0.035)); #551733=CARTESIAN_POINT('',(30.1511,8.4786906,0.)); #551734=CARTESIAN_POINT('Origin',(30.157806,8.4583375,0.)); #551735=CARTESIAN_POINT('',(30.157806,8.4583375,0.)); #551736=CARTESIAN_POINT('',(30.157806,8.4583375,0.)); #551737=CARTESIAN_POINT('',(30.157806,8.4583375,0.035)); #551738=CARTESIAN_POINT('',(30.157806,8.4583375,0.035)); #551739=CARTESIAN_POINT('',(30.157806,8.4583375,0.)); #551740=CARTESIAN_POINT('Origin',(30.169269,8.4388031,0.)); #551741=CARTESIAN_POINT('',(30.169269,8.4388031,0.)); #551742=CARTESIAN_POINT('',(30.169269,8.4388031,0.)); #551743=CARTESIAN_POINT('',(30.169269,8.4388031,0.035)); #551744=CARTESIAN_POINT('',(30.169269,8.4388031,0.035)); #551745=CARTESIAN_POINT('',(30.169269,8.4388031,0.)); #551746=CARTESIAN_POINT('Origin',(30.180178,8.4261344,0.)); #551747=CARTESIAN_POINT('',(30.180178,8.4261344,0.)); #551748=CARTESIAN_POINT('',(30.180178,8.4261344,0.)); #551749=CARTESIAN_POINT('',(30.180178,8.4261344,0.035)); #551750=CARTESIAN_POINT('',(30.180178,8.4261344,0.035)); #551751=CARTESIAN_POINT('',(30.180178,8.4261344,0.)); #551752=CARTESIAN_POINT('Origin',(30.675291,7.9310219,0.)); #551753=CARTESIAN_POINT('',(30.675291,7.9310219,0.)); #551754=CARTESIAN_POINT('',(30.675291,7.9310219,0.)); #551755=CARTESIAN_POINT('',(30.675291,7.9310219,0.035)); #551756=CARTESIAN_POINT('',(30.675291,7.9310219,0.035)); #551757=CARTESIAN_POINT('',(30.675291,7.9310219,0.)); #551758=CARTESIAN_POINT('Origin',(30.695131,7.9150031,0.)); #551759=CARTESIAN_POINT('',(30.695131,7.9150031,0.)); #551760=CARTESIAN_POINT('',(30.695131,7.9150031,0.)); #551761=CARTESIAN_POINT('',(30.695131,7.9150031,0.035)); #551762=CARTESIAN_POINT('',(30.695131,7.9150031,0.035)); #551763=CARTESIAN_POINT('',(30.695131,7.9150031,0.)); #551764=CARTESIAN_POINT('Origin',(30.714272,7.9053531,0.)); #551765=CARTESIAN_POINT('',(30.714272,7.9053531,0.)); #551766=CARTESIAN_POINT('',(30.714272,7.9053531,0.)); #551767=CARTESIAN_POINT('',(30.714272,7.9053531,0.035)); #551768=CARTESIAN_POINT('',(30.714272,7.9053531,0.035)); #551769=CARTESIAN_POINT('',(30.714272,7.9053531,0.)); #551770=CARTESIAN_POINT('Origin',(30.736184,7.8996406,0.)); #551771=CARTESIAN_POINT('',(30.736184,7.8996406,0.)); #551772=CARTESIAN_POINT('',(30.736184,7.8996406,0.)); #551773=CARTESIAN_POINT('',(30.736184,7.8996406,0.035)); #551774=CARTESIAN_POINT('',(30.736184,7.8996406,0.035)); #551775=CARTESIAN_POINT('',(30.736184,7.8996406,0.)); #551776=CARTESIAN_POINT('Origin',(30.752847,7.8984,0.)); #551777=CARTESIAN_POINT('',(30.752847,7.8984,0.)); #551778=CARTESIAN_POINT('',(30.752847,7.8984,0.)); #551779=CARTESIAN_POINT('',(30.752847,7.8984,0.035)); #551780=CARTESIAN_POINT('',(30.752847,7.8984,0.035)); #551781=CARTESIAN_POINT('',(30.752847,7.8984,0.)); #551782=CARTESIAN_POINT('Origin',(31.0664,7.8984,0.)); #551783=CARTESIAN_POINT('',(31.0664,7.8984,0.)); #551784=CARTESIAN_POINT('',(31.0664,7.8984,0.)); #551785=CARTESIAN_POINT('',(31.0664,7.8984,0.035)); #551786=CARTESIAN_POINT('',(31.0664,7.8984,0.035)); #551787=CARTESIAN_POINT('',(31.0664,7.8984,0.)); #551788=CARTESIAN_POINT('Origin',(31.093869,7.8932781,0.)); #551789=CARTESIAN_POINT('',(31.093869,7.8932781,0.)); #551790=CARTESIAN_POINT('',(31.093869,7.8932781,0.)); #551791=CARTESIAN_POINT('',(31.093869,7.8932781,0.035)); #551792=CARTESIAN_POINT('',(31.093869,7.8932781,0.035)); #551793=CARTESIAN_POINT('',(31.093869,7.8932781,0.)); #551794=CARTESIAN_POINT('Origin',(31.119416,7.8769344,0.)); #551795=CARTESIAN_POINT('',(31.119416,7.8769344,0.)); #551796=CARTESIAN_POINT('',(31.119416,7.8769344,0.)); #551797=CARTESIAN_POINT('',(31.119416,7.8769344,0.035)); #551798=CARTESIAN_POINT('',(31.119416,7.8769344,0.035)); #551799=CARTESIAN_POINT('',(31.119416,7.8769344,0.)); #551800=CARTESIAN_POINT('Origin',(31.136566,7.8519219,0.)); #551801=CARTESIAN_POINT('',(31.136566,7.8519219,0.)); #551802=CARTESIAN_POINT('',(31.136566,7.8519219,0.)); #551803=CARTESIAN_POINT('',(31.136566,7.8519219,0.035)); #551804=CARTESIAN_POINT('',(31.136566,7.8519219,0.035)); #551805=CARTESIAN_POINT('',(31.136566,7.8519219,0.)); #551806=CARTESIAN_POINT('Origin',(31.1426,7.8222,0.)); #551807=CARTESIAN_POINT('',(31.1426,7.8222,0.)); #551808=CARTESIAN_POINT('',(31.1426,7.8222,0.)); #551809=CARTESIAN_POINT('',(31.1426,7.8222,0.035)); #551810=CARTESIAN_POINT('',(31.1426,7.8222,0.035)); #551811=CARTESIAN_POINT('',(31.1426,7.8222,0.)); #551812=CARTESIAN_POINT('Origin',(31.1426,6.8812,0.)); #551813=CARTESIAN_POINT('',(31.1426,6.8812,0.)); #551814=CARTESIAN_POINT('',(31.1426,6.8812,0.)); #551815=CARTESIAN_POINT('',(31.1426,6.8812,0.035)); #551816=CARTESIAN_POINT('',(31.1426,6.8812,0.035)); #551817=CARTESIAN_POINT('',(31.1426,6.8812,0.)); #551818=CARTESIAN_POINT('Origin',(31.137478,6.8537313,0.)); #551819=CARTESIAN_POINT('',(31.137478,6.8537313,0.)); #551820=CARTESIAN_POINT('',(31.137478,6.8537313,0.)); #551821=CARTESIAN_POINT('',(31.137478,6.8537313,0.035)); #551822=CARTESIAN_POINT('',(31.137478,6.8537313,0.035)); #551823=CARTESIAN_POINT('',(31.137478,6.8537313,0.)); #551824=CARTESIAN_POINT('Origin',(31.121134,6.8281844,0.)); #551825=CARTESIAN_POINT('',(31.121134,6.8281844,0.)); #551826=CARTESIAN_POINT('',(31.121134,6.8281844,0.)); #551827=CARTESIAN_POINT('',(31.121134,6.8281844,0.035)); #551828=CARTESIAN_POINT('',(31.121134,6.8281844,0.035)); #551829=CARTESIAN_POINT('',(31.121134,6.8281844,0.)); #551830=CARTESIAN_POINT('Origin',(31.1,6.8136938,0.)); #551831=CARTESIAN_POINT('',(31.1,6.8136938,0.)); #551832=CARTESIAN_POINT('',(31.1,6.8136938,0.035)); #551833=CARTESIAN_POINT('Origin',(50.272928,17.4061,0.)); #551834=CARTESIAN_POINT('',(50.272928,17.4061,0.)); #551835=CARTESIAN_POINT('',(49.537072,17.4061,0.)); #551836=CARTESIAN_POINT('',(50.272928,17.4061,0.)); #551837=CARTESIAN_POINT('',(49.537072,17.4061,0.035)); #551838=CARTESIAN_POINT('',(49.537072,17.4061,0.)); #551839=CARTESIAN_POINT('',(50.272928,17.4061,0.035)); #551840=CARTESIAN_POINT('',(50.272928,17.4061,0.035)); #551841=CARTESIAN_POINT('',(50.272928,17.4061,0.)); #551842=CARTESIAN_POINT('Origin',(50.3622,17.495372,0.)); #551843=CARTESIAN_POINT('',(50.3622,17.495372,0.)); #551844=CARTESIAN_POINT('',(50.3622,17.495372,0.)); #551845=CARTESIAN_POINT('',(50.3622,17.495372,0.035)); #551846=CARTESIAN_POINT('',(50.3622,17.495372,0.035)); #551847=CARTESIAN_POINT('',(50.3622,17.495372,0.)); #551848=CARTESIAN_POINT('Origin',(50.3622,19.272628,0.)); #551849=CARTESIAN_POINT('',(50.3622,19.272628,0.)); #551850=CARTESIAN_POINT('',(50.3622,19.272628,0.)); #551851=CARTESIAN_POINT('',(50.3622,19.272628,0.035)); #551852=CARTESIAN_POINT('',(50.3622,19.272628,0.035)); #551853=CARTESIAN_POINT('',(50.3622,19.272628,0.)); #551854=CARTESIAN_POINT('Origin',(50.272928,19.3619,0.)); #551855=CARTESIAN_POINT('',(50.272928,19.3619,0.)); #551856=CARTESIAN_POINT('',(50.272928,19.3619,0.)); #551857=CARTESIAN_POINT('',(50.272928,19.3619,0.035)); #551858=CARTESIAN_POINT('',(50.272928,19.3619,0.035)); #551859=CARTESIAN_POINT('',(50.272928,19.3619,0.)); #551860=CARTESIAN_POINT('Origin',(49.537072,19.3619,0.)); #551861=CARTESIAN_POINT('',(49.537072,19.3619,0.)); #551862=CARTESIAN_POINT('',(49.537072,19.3619,0.)); #551863=CARTESIAN_POINT('',(49.537072,19.3619,0.035)); #551864=CARTESIAN_POINT('',(49.537072,19.3619,0.035)); #551865=CARTESIAN_POINT('',(49.537072,19.3619,0.)); #551866=CARTESIAN_POINT('Origin',(49.4478,19.272628,0.)); #551867=CARTESIAN_POINT('',(49.4478,19.272628,0.)); #551868=CARTESIAN_POINT('',(49.4478,19.272628,0.)); #551869=CARTESIAN_POINT('',(49.4478,19.272628,0.035)); #551870=CARTESIAN_POINT('',(49.4478,19.272628,0.035)); #551871=CARTESIAN_POINT('',(49.4478,19.272628,0.)); #551872=CARTESIAN_POINT('Origin',(49.4478,17.495372,0.)); #551873=CARTESIAN_POINT('',(49.4478,17.495372,0.)); #551874=CARTESIAN_POINT('',(49.4478,17.495372,0.)); #551875=CARTESIAN_POINT('',(49.4478,17.495372,0.035)); #551876=CARTESIAN_POINT('',(49.4478,17.495372,0.035)); #551877=CARTESIAN_POINT('',(49.4478,17.495372,0.)); #551878=CARTESIAN_POINT('Origin',(49.537072,17.4061,0.)); #551879=CARTESIAN_POINT('',(49.537072,17.4061,0.)); #551880=CARTESIAN_POINT('',(49.537072,17.4061,0.035)); #551881=CARTESIAN_POINT('Origin',(49.002928,17.4061,0.)); #551882=CARTESIAN_POINT('',(49.002928,17.4061,0.)); #551883=CARTESIAN_POINT('',(48.267072,17.4061,0.)); #551884=CARTESIAN_POINT('',(49.002928,17.4061,0.)); #551885=CARTESIAN_POINT('',(48.267072,17.4061,0.035)); #551886=CARTESIAN_POINT('',(48.267072,17.4061,0.)); #551887=CARTESIAN_POINT('',(49.002928,17.4061,0.035)); #551888=CARTESIAN_POINT('',(49.002928,17.4061,0.035)); #551889=CARTESIAN_POINT('',(49.002928,17.4061,0.)); #551890=CARTESIAN_POINT('Origin',(49.0922,17.495372,0.)); #551891=CARTESIAN_POINT('',(49.0922,17.495372,0.)); #551892=CARTESIAN_POINT('',(49.0922,17.495372,0.)); #551893=CARTESIAN_POINT('',(49.0922,17.495372,0.035)); #551894=CARTESIAN_POINT('',(49.0922,17.495372,0.035)); #551895=CARTESIAN_POINT('',(49.0922,17.495372,0.)); #551896=CARTESIAN_POINT('Origin',(49.0922,19.272628,0.)); #551897=CARTESIAN_POINT('',(49.0922,19.272628,0.)); #551898=CARTESIAN_POINT('',(49.0922,19.272628,0.)); #551899=CARTESIAN_POINT('',(49.0922,19.272628,0.035)); #551900=CARTESIAN_POINT('',(49.0922,19.272628,0.035)); #551901=CARTESIAN_POINT('',(49.0922,19.272628,0.)); #551902=CARTESIAN_POINT('Origin',(49.002928,19.3619,0.)); #551903=CARTESIAN_POINT('',(49.002928,19.3619,0.)); #551904=CARTESIAN_POINT('',(49.002928,19.3619,0.)); #551905=CARTESIAN_POINT('',(49.002928,19.3619,0.035)); #551906=CARTESIAN_POINT('',(49.002928,19.3619,0.035)); #551907=CARTESIAN_POINT('',(49.002928,19.3619,0.)); #551908=CARTESIAN_POINT('Origin',(48.267072,19.3619,0.)); #551909=CARTESIAN_POINT('',(48.267072,19.3619,0.)); #551910=CARTESIAN_POINT('',(48.267072,19.3619,0.)); #551911=CARTESIAN_POINT('',(48.267072,19.3619,0.035)); #551912=CARTESIAN_POINT('',(48.267072,19.3619,0.035)); #551913=CARTESIAN_POINT('',(48.267072,19.3619,0.)); #551914=CARTESIAN_POINT('Origin',(48.1778,19.272628,0.)); #551915=CARTESIAN_POINT('',(48.1778,19.272628,0.)); #551916=CARTESIAN_POINT('',(48.1778,19.272628,0.)); #551917=CARTESIAN_POINT('',(48.1778,19.272628,0.035)); #551918=CARTESIAN_POINT('',(48.1778,19.272628,0.035)); #551919=CARTESIAN_POINT('',(48.1778,19.272628,0.)); #551920=CARTESIAN_POINT('Origin',(48.1778,17.495372,0.)); #551921=CARTESIAN_POINT('',(48.1778,17.495372,0.)); #551922=CARTESIAN_POINT('',(48.1778,17.495372,0.)); #551923=CARTESIAN_POINT('',(48.1778,17.495372,0.035)); #551924=CARTESIAN_POINT('',(48.1778,17.495372,0.035)); #551925=CARTESIAN_POINT('',(48.1778,17.495372,0.)); #551926=CARTESIAN_POINT('Origin',(48.267072,17.4061,0.)); #551927=CARTESIAN_POINT('',(48.267072,17.4061,0.)); #551928=CARTESIAN_POINT('',(48.267072,17.4061,0.035)); #551929=CARTESIAN_POINT('Origin',(46.462928,17.4061,0.)); #551930=CARTESIAN_POINT('',(46.462928,17.4061,0.)); #551931=CARTESIAN_POINT('',(45.727072,17.4061,0.)); #551932=CARTESIAN_POINT('',(46.462928,17.4061,0.)); #551933=CARTESIAN_POINT('',(45.727072,17.4061,0.035)); #551934=CARTESIAN_POINT('',(45.727072,17.4061,0.)); #551935=CARTESIAN_POINT('',(46.462928,17.4061,0.035)); #551936=CARTESIAN_POINT('',(46.462928,17.4061,0.035)); #551937=CARTESIAN_POINT('',(46.462928,17.4061,0.)); #551938=CARTESIAN_POINT('Origin',(46.5522,17.495372,0.)); #551939=CARTESIAN_POINT('',(46.5522,17.495372,0.)); #551940=CARTESIAN_POINT('',(46.5522,17.495372,0.)); #551941=CARTESIAN_POINT('',(46.5522,17.495372,0.035)); #551942=CARTESIAN_POINT('',(46.5522,17.495372,0.035)); #551943=CARTESIAN_POINT('',(46.5522,17.495372,0.)); #551944=CARTESIAN_POINT('Origin',(46.5522,19.272628,0.)); #551945=CARTESIAN_POINT('',(46.5522,19.272628,0.)); #551946=CARTESIAN_POINT('',(46.5522,19.272628,0.)); #551947=CARTESIAN_POINT('',(46.5522,19.272628,0.035)); #551948=CARTESIAN_POINT('',(46.5522,19.272628,0.035)); #551949=CARTESIAN_POINT('',(46.5522,19.272628,0.)); #551950=CARTESIAN_POINT('Origin',(46.462928,19.3619,0.)); #551951=CARTESIAN_POINT('',(46.462928,19.3619,0.)); #551952=CARTESIAN_POINT('',(46.462928,19.3619,0.)); #551953=CARTESIAN_POINT('',(46.462928,19.3619,0.035)); #551954=CARTESIAN_POINT('',(46.462928,19.3619,0.035)); #551955=CARTESIAN_POINT('',(46.462928,19.3619,0.)); #551956=CARTESIAN_POINT('Origin',(45.727072,19.3619,0.)); #551957=CARTESIAN_POINT('',(45.727072,19.3619,0.)); #551958=CARTESIAN_POINT('',(45.727072,19.3619,0.)); #551959=CARTESIAN_POINT('',(45.727072,19.3619,0.035)); #551960=CARTESIAN_POINT('',(45.727072,19.3619,0.035)); #551961=CARTESIAN_POINT('',(45.727072,19.3619,0.)); #551962=CARTESIAN_POINT('Origin',(45.6378,19.272628,0.)); #551963=CARTESIAN_POINT('',(45.6378,19.272628,0.)); #551964=CARTESIAN_POINT('',(45.6378,19.272628,0.)); #551965=CARTESIAN_POINT('',(45.6378,19.272628,0.035)); #551966=CARTESIAN_POINT('',(45.6378,19.272628,0.035)); #551967=CARTESIAN_POINT('',(45.6378,19.272628,0.)); #551968=CARTESIAN_POINT('Origin',(45.6378,17.495372,0.)); #551969=CARTESIAN_POINT('',(45.6378,17.495372,0.)); #551970=CARTESIAN_POINT('',(45.6378,17.495372,0.)); #551971=CARTESIAN_POINT('',(45.6378,17.495372,0.035)); #551972=CARTESIAN_POINT('',(45.6378,17.495372,0.035)); #551973=CARTESIAN_POINT('',(45.6378,17.495372,0.)); #551974=CARTESIAN_POINT('Origin',(45.727072,17.4061,0.)); #551975=CARTESIAN_POINT('',(45.727072,17.4061,0.)); #551976=CARTESIAN_POINT('',(45.727072,17.4061,0.035)); #551977=CARTESIAN_POINT('Origin',(49.002928,22.6381,0.)); #551978=CARTESIAN_POINT('',(49.002928,22.6381,0.)); #551979=CARTESIAN_POINT('',(48.267072,22.6381,0.)); #551980=CARTESIAN_POINT('',(49.002928,22.6381,0.)); #551981=CARTESIAN_POINT('',(48.267072,22.6381,0.035)); #551982=CARTESIAN_POINT('',(48.267072,22.6381,0.)); #551983=CARTESIAN_POINT('',(49.002928,22.6381,0.035)); #551984=CARTESIAN_POINT('',(49.002928,22.6381,0.035)); #551985=CARTESIAN_POINT('',(49.002928,22.6381,0.)); #551986=CARTESIAN_POINT('Origin',(49.0922,22.727372,0.)); #551987=CARTESIAN_POINT('',(49.0922,22.727372,0.)); #551988=CARTESIAN_POINT('',(49.0922,22.727372,0.)); #551989=CARTESIAN_POINT('',(49.0922,22.727372,0.035)); #551990=CARTESIAN_POINT('',(49.0922,22.727372,0.035)); #551991=CARTESIAN_POINT('',(49.0922,22.727372,0.)); #551992=CARTESIAN_POINT('Origin',(49.0922,24.504628,0.)); #551993=CARTESIAN_POINT('',(49.0922,24.504628,0.)); #551994=CARTESIAN_POINT('',(49.0922,24.504628,0.)); #551995=CARTESIAN_POINT('',(49.0922,24.504628,0.035)); #551996=CARTESIAN_POINT('',(49.0922,24.504628,0.035)); #551997=CARTESIAN_POINT('',(49.0922,24.504628,0.)); #551998=CARTESIAN_POINT('Origin',(49.002928,24.5939,0.)); #551999=CARTESIAN_POINT('',(49.002928,24.5939,0.)); #552000=CARTESIAN_POINT('',(49.002928,24.5939,0.)); #552001=CARTESIAN_POINT('',(49.002928,24.5939,0.035)); #552002=CARTESIAN_POINT('',(49.002928,24.5939,0.035)); #552003=CARTESIAN_POINT('',(49.002928,24.5939,0.)); #552004=CARTESIAN_POINT('Origin',(48.9398,24.5939,0.)); #552005=CARTESIAN_POINT('',(48.9398,24.5939,0.)); #552006=CARTESIAN_POINT('',(48.9398,24.5939,0.)); #552007=CARTESIAN_POINT('',(48.9398,24.5939,0.035)); #552008=CARTESIAN_POINT('',(48.9398,24.5939,0.035)); #552009=CARTESIAN_POINT('',(48.9398,24.5939,0.)); #552010=CARTESIAN_POINT('Origin',(48.912331,24.599022,0.)); #552011=CARTESIAN_POINT('',(48.912331,24.599022,0.)); #552012=CARTESIAN_POINT('',(48.912331,24.599022,0.)); #552013=CARTESIAN_POINT('',(48.912331,24.599022,0.035)); #552014=CARTESIAN_POINT('',(48.912331,24.599022,0.035)); #552015=CARTESIAN_POINT('',(48.912331,24.599022,0.)); #552016=CARTESIAN_POINT('Origin',(48.886784,24.615366,0.)); #552017=CARTESIAN_POINT('',(48.886784,24.615366,0.)); #552018=CARTESIAN_POINT('',(48.886784,24.615366,0.)); #552019=CARTESIAN_POINT('',(48.886784,24.615366,0.035)); #552020=CARTESIAN_POINT('',(48.886784,24.615366,0.035)); #552021=CARTESIAN_POINT('',(48.886784,24.615366,0.)); #552022=CARTESIAN_POINT('Origin',(48.869634,24.640378,0.)); #552023=CARTESIAN_POINT('',(48.869634,24.640378,0.)); #552024=CARTESIAN_POINT('',(48.869634,24.640378,0.)); #552025=CARTESIAN_POINT('',(48.869634,24.640378,0.035)); #552026=CARTESIAN_POINT('',(48.869634,24.640378,0.035)); #552027=CARTESIAN_POINT('',(48.869634,24.640378,0.)); #552028=CARTESIAN_POINT('Origin',(48.8636,24.6701,0.)); #552029=CARTESIAN_POINT('',(48.8636,24.6701,0.)); #552030=CARTESIAN_POINT('',(48.8636,24.6701,0.)); #552031=CARTESIAN_POINT('',(48.8636,24.6701,0.035)); #552032=CARTESIAN_POINT('',(48.8636,24.6701,0.035)); #552033=CARTESIAN_POINT('',(48.8636,24.6701,0.)); #552034=CARTESIAN_POINT('Origin',(48.8636,25.496747,0.)); #552035=CARTESIAN_POINT('',(48.8636,25.496747,0.)); #552036=CARTESIAN_POINT('',(48.8636,25.496747,0.)); #552037=CARTESIAN_POINT('',(48.8636,25.496747,0.035)); #552038=CARTESIAN_POINT('',(48.8636,25.496747,0.035)); #552039=CARTESIAN_POINT('',(48.8636,25.496747,0.)); #552040=CARTESIAN_POINT('Origin',(48.869169,25.525344,0.)); #552041=CARTESIAN_POINT('',(48.869169,25.525344,0.)); #552042=CARTESIAN_POINT('',(48.869169,25.525344,0.)); #552043=CARTESIAN_POINT('',(48.869169,25.525344,0.035)); #552044=CARTESIAN_POINT('',(48.869169,25.525344,0.035)); #552045=CARTESIAN_POINT('',(48.869169,25.525344,0.)); #552046=CARTESIAN_POINT('Origin',(48.885919,25.550628,0.)); #552047=CARTESIAN_POINT('',(48.885919,25.550628,0.)); #552048=CARTESIAN_POINT('',(48.885919,25.550628,0.)); #552049=CARTESIAN_POINT('',(48.885919,25.550628,0.035)); #552050=CARTESIAN_POINT('',(48.885919,25.550628,0.035)); #552051=CARTESIAN_POINT('',(48.885919,25.550628,0.)); #552052=CARTESIAN_POINT('Origin',(48.979472,25.644181,0.)); #552053=CARTESIAN_POINT('',(48.979472,25.644181,0.)); #552054=CARTESIAN_POINT('',(48.979472,25.644181,0.)); #552055=CARTESIAN_POINT('',(48.979472,25.644181,0.035)); #552056=CARTESIAN_POINT('',(48.979472,25.644181,0.035)); #552057=CARTESIAN_POINT('',(48.979472,25.644181,0.)); #552058=CARTESIAN_POINT('Origin',(49.003631,25.660466,0.)); #552059=CARTESIAN_POINT('',(49.003631,25.660466,0.)); #552060=CARTESIAN_POINT('',(49.003631,25.660466,0.)); #552061=CARTESIAN_POINT('',(49.003631,25.660466,0.035)); #552062=CARTESIAN_POINT('',(49.003631,25.660466,0.035)); #552063=CARTESIAN_POINT('',(49.003631,25.660466,0.)); #552064=CARTESIAN_POINT('Origin',(49.033353,25.6665,0.)); #552065=CARTESIAN_POINT('',(49.033353,25.6665,0.)); #552066=CARTESIAN_POINT('',(49.033353,25.6665,0.)); #552067=CARTESIAN_POINT('',(49.033353,25.6665,0.035)); #552068=CARTESIAN_POINT('',(49.033353,25.6665,0.035)); #552069=CARTESIAN_POINT('',(49.033353,25.6665,0.)); #552070=CARTESIAN_POINT('Origin',(49.757628,25.6665,0.)); #552071=CARTESIAN_POINT('',(49.757628,25.6665,0.)); #552072=CARTESIAN_POINT('',(49.757628,25.6665,0.)); #552073=CARTESIAN_POINT('',(49.757628,25.6665,0.035)); #552074=CARTESIAN_POINT('',(49.757628,25.6665,0.035)); #552075=CARTESIAN_POINT('',(49.757628,25.6665,0.)); #552076=CARTESIAN_POINT('Origin',(49.8469,25.755772,0.)); #552077=CARTESIAN_POINT('',(49.8469,25.755772,0.)); #552078=CARTESIAN_POINT('',(49.8469,25.755772,0.)); #552079=CARTESIAN_POINT('',(49.8469,25.755772,0.035)); #552080=CARTESIAN_POINT('',(49.8469,25.755772,0.035)); #552081=CARTESIAN_POINT('',(49.8469,25.755772,0.)); #552082=CARTESIAN_POINT('Origin',(49.8469,26.720228,0.)); #552083=CARTESIAN_POINT('',(49.8469,26.720228,0.)); #552084=CARTESIAN_POINT('',(49.8469,26.720228,0.)); #552085=CARTESIAN_POINT('',(49.8469,26.720228,0.035)); #552086=CARTESIAN_POINT('',(49.8469,26.720228,0.035)); #552087=CARTESIAN_POINT('',(49.8469,26.720228,0.)); #552088=CARTESIAN_POINT('Origin',(49.757628,26.8095,0.)); #552089=CARTESIAN_POINT('',(49.757628,26.8095,0.)); #552090=CARTESIAN_POINT('',(49.757628,26.8095,0.)); #552091=CARTESIAN_POINT('',(49.757628,26.8095,0.035)); #552092=CARTESIAN_POINT('',(49.757628,26.8095,0.035)); #552093=CARTESIAN_POINT('',(49.757628,26.8095,0.)); #552094=CARTESIAN_POINT('Origin',(48.742372,26.8095,0.)); #552095=CARTESIAN_POINT('',(48.742372,26.8095,0.)); #552096=CARTESIAN_POINT('',(48.742372,26.8095,0.)); #552097=CARTESIAN_POINT('',(48.742372,26.8095,0.035)); #552098=CARTESIAN_POINT('',(48.742372,26.8095,0.035)); #552099=CARTESIAN_POINT('',(48.742372,26.8095,0.)); #552100=CARTESIAN_POINT('Origin',(48.6531,26.720228,0.)); #552101=CARTESIAN_POINT('',(48.6531,26.720228,0.)); #552102=CARTESIAN_POINT('',(48.6531,26.720228,0.)); #552103=CARTESIAN_POINT('',(48.6531,26.720228,0.035)); #552104=CARTESIAN_POINT('',(48.6531,26.720228,0.035)); #552105=CARTESIAN_POINT('',(48.6531,26.720228,0.)); #552106=CARTESIAN_POINT('Origin',(48.6531,25.995953,0.)); #552107=CARTESIAN_POINT('',(48.6531,25.995953,0.)); #552108=CARTESIAN_POINT('',(48.6531,25.995953,0.)); #552109=CARTESIAN_POINT('',(48.6531,25.995953,0.035)); #552110=CARTESIAN_POINT('',(48.6531,25.995953,0.035)); #552111=CARTESIAN_POINT('',(48.6531,25.995953,0.)); #552112=CARTESIAN_POINT('Origin',(48.647531,25.967356,0.)); #552113=CARTESIAN_POINT('',(48.647531,25.967356,0.)); #552114=CARTESIAN_POINT('',(48.647531,25.967356,0.)); #552115=CARTESIAN_POINT('',(48.647531,25.967356,0.035)); #552116=CARTESIAN_POINT('',(48.647531,25.967356,0.035)); #552117=CARTESIAN_POINT('',(48.647531,25.967356,0.)); #552118=CARTESIAN_POINT('Origin',(48.630781,25.942072,0.)); #552119=CARTESIAN_POINT('',(48.630781,25.942072,0.)); #552120=CARTESIAN_POINT('',(48.630781,25.942072,0.)); #552121=CARTESIAN_POINT('',(48.630781,25.942072,0.035)); #552122=CARTESIAN_POINT('',(48.630781,25.942072,0.035)); #552123=CARTESIAN_POINT('',(48.630781,25.942072,0.)); #552124=CARTESIAN_POINT('Origin',(48.4064,25.717691,0.)); #552125=CARTESIAN_POINT('',(48.4064,25.717691,0.)); #552126=CARTESIAN_POINT('',(48.4064,25.717691,0.)); #552127=CARTESIAN_POINT('',(48.4064,25.717691,0.035)); #552128=CARTESIAN_POINT('',(48.4064,25.717691,0.035)); #552129=CARTESIAN_POINT('',(48.4064,25.717691,0.)); #552130=CARTESIAN_POINT('Origin',(48.4064,24.6701,0.)); #552131=CARTESIAN_POINT('',(48.4064,24.6701,0.)); #552132=CARTESIAN_POINT('',(48.4064,24.6701,0.)); #552133=CARTESIAN_POINT('',(48.4064,24.6701,0.035)); #552134=CARTESIAN_POINT('',(48.4064,24.6701,0.035)); #552135=CARTESIAN_POINT('',(48.4064,24.6701,0.)); #552136=CARTESIAN_POINT('Origin',(48.401278,24.642631,0.)); #552137=CARTESIAN_POINT('',(48.401278,24.642631,0.)); #552138=CARTESIAN_POINT('',(48.401278,24.642631,0.)); #552139=CARTESIAN_POINT('',(48.401278,24.642631,0.035)); #552140=CARTESIAN_POINT('',(48.401278,24.642631,0.035)); #552141=CARTESIAN_POINT('',(48.401278,24.642631,0.)); #552142=CARTESIAN_POINT('Origin',(48.384934,24.617084,0.)); #552143=CARTESIAN_POINT('',(48.384934,24.617084,0.)); #552144=CARTESIAN_POINT('',(48.384934,24.617084,0.)); #552145=CARTESIAN_POINT('',(48.384934,24.617084,0.035)); #552146=CARTESIAN_POINT('',(48.384934,24.617084,0.035)); #552147=CARTESIAN_POINT('',(48.384934,24.617084,0.)); #552148=CARTESIAN_POINT('Origin',(48.359922,24.599934,0.)); #552149=CARTESIAN_POINT('',(48.359922,24.599934,0.)); #552150=CARTESIAN_POINT('',(48.359922,24.599934,0.)); #552151=CARTESIAN_POINT('',(48.359922,24.599934,0.035)); #552152=CARTESIAN_POINT('',(48.359922,24.599934,0.035)); #552153=CARTESIAN_POINT('',(48.359922,24.599934,0.)); #552154=CARTESIAN_POINT('Origin',(48.3302,24.5939,0.)); #552155=CARTESIAN_POINT('',(48.3302,24.5939,0.)); #552156=CARTESIAN_POINT('',(48.3302,24.5939,0.)); #552157=CARTESIAN_POINT('',(48.3302,24.5939,0.035)); #552158=CARTESIAN_POINT('',(48.3302,24.5939,0.035)); #552159=CARTESIAN_POINT('',(48.3302,24.5939,0.)); #552160=CARTESIAN_POINT('Origin',(48.267072,24.5939,0.)); #552161=CARTESIAN_POINT('',(48.267072,24.5939,0.)); #552162=CARTESIAN_POINT('',(48.267072,24.5939,0.)); #552163=CARTESIAN_POINT('',(48.267072,24.5939,0.035)); #552164=CARTESIAN_POINT('',(48.267072,24.5939,0.035)); #552165=CARTESIAN_POINT('',(48.267072,24.5939,0.)); #552166=CARTESIAN_POINT('Origin',(48.1778,24.504628,0.)); #552167=CARTESIAN_POINT('',(48.1778,24.504628,0.)); #552168=CARTESIAN_POINT('',(48.1778,24.504628,0.)); #552169=CARTESIAN_POINT('',(48.1778,24.504628,0.035)); #552170=CARTESIAN_POINT('',(48.1778,24.504628,0.035)); #552171=CARTESIAN_POINT('',(48.1778,24.504628,0.)); #552172=CARTESIAN_POINT('Origin',(48.1778,22.727372,0.)); #552173=CARTESIAN_POINT('',(48.1778,22.727372,0.)); #552174=CARTESIAN_POINT('',(48.1778,22.727372,0.)); #552175=CARTESIAN_POINT('',(48.1778,22.727372,0.035)); #552176=CARTESIAN_POINT('',(48.1778,22.727372,0.035)); #552177=CARTESIAN_POINT('',(48.1778,22.727372,0.)); #552178=CARTESIAN_POINT('Origin',(48.267072,22.6381,0.)); #552179=CARTESIAN_POINT('',(48.267072,22.6381,0.)); #552180=CARTESIAN_POINT('',(48.267072,22.6381,0.035)); #552181=CARTESIAN_POINT('Origin',(47.732928,22.6381,0.)); #552182=CARTESIAN_POINT('',(47.732928,22.6381,0.)); #552183=CARTESIAN_POINT('',(46.997072,22.6381,0.)); #552184=CARTESIAN_POINT('',(47.732928,22.6381,0.)); #552185=CARTESIAN_POINT('',(46.997072,22.6381,0.035)); #552186=CARTESIAN_POINT('',(46.997072,22.6381,0.)); #552187=CARTESIAN_POINT('',(47.732928,22.6381,0.035)); #552188=CARTESIAN_POINT('',(47.732928,22.6381,0.035)); #552189=CARTESIAN_POINT('',(47.732928,22.6381,0.)); #552190=CARTESIAN_POINT('Origin',(47.8222,22.727372,0.)); #552191=CARTESIAN_POINT('',(47.8222,22.727372,0.)); #552192=CARTESIAN_POINT('',(47.8222,22.727372,0.)); #552193=CARTESIAN_POINT('',(47.8222,22.727372,0.035)); #552194=CARTESIAN_POINT('',(47.8222,22.727372,0.035)); #552195=CARTESIAN_POINT('',(47.8222,22.727372,0.)); #552196=CARTESIAN_POINT('Origin',(47.8222,24.504628,0.)); #552197=CARTESIAN_POINT('',(47.8222,24.504628,0.)); #552198=CARTESIAN_POINT('',(47.8222,24.504628,0.)); #552199=CARTESIAN_POINT('',(47.8222,24.504628,0.035)); #552200=CARTESIAN_POINT('',(47.8222,24.504628,0.035)); #552201=CARTESIAN_POINT('',(47.8222,24.504628,0.)); #552202=CARTESIAN_POINT('Origin',(47.732928,24.5939,0.)); #552203=CARTESIAN_POINT('',(47.732928,24.5939,0.)); #552204=CARTESIAN_POINT('',(47.732928,24.5939,0.)); #552205=CARTESIAN_POINT('',(47.732928,24.5939,0.035)); #552206=CARTESIAN_POINT('',(47.732928,24.5939,0.035)); #552207=CARTESIAN_POINT('',(47.732928,24.5939,0.)); #552208=CARTESIAN_POINT('Origin',(47.6698,24.5939,0.)); #552209=CARTESIAN_POINT('',(47.6698,24.5939,0.)); #552210=CARTESIAN_POINT('',(47.6698,24.5939,0.)); #552211=CARTESIAN_POINT('',(47.6698,24.5939,0.035)); #552212=CARTESIAN_POINT('',(47.6698,24.5939,0.035)); #552213=CARTESIAN_POINT('',(47.6698,24.5939,0.)); #552214=CARTESIAN_POINT('Origin',(47.642331,24.599022,0.)); #552215=CARTESIAN_POINT('',(47.642331,24.599022,0.)); #552216=CARTESIAN_POINT('',(47.642331,24.599022,0.)); #552217=CARTESIAN_POINT('',(47.642331,24.599022,0.035)); #552218=CARTESIAN_POINT('',(47.642331,24.599022,0.035)); #552219=CARTESIAN_POINT('',(47.642331,24.599022,0.)); #552220=CARTESIAN_POINT('Origin',(47.616784,24.615366,0.)); #552221=CARTESIAN_POINT('',(47.616784,24.615366,0.)); #552222=CARTESIAN_POINT('',(47.616784,24.615366,0.)); #552223=CARTESIAN_POINT('',(47.616784,24.615366,0.035)); #552224=CARTESIAN_POINT('',(47.616784,24.615366,0.035)); #552225=CARTESIAN_POINT('',(47.616784,24.615366,0.)); #552226=CARTESIAN_POINT('Origin',(47.599634,24.640378,0.)); #552227=CARTESIAN_POINT('',(47.599634,24.640378,0.)); #552228=CARTESIAN_POINT('',(47.599634,24.640378,0.)); #552229=CARTESIAN_POINT('',(47.599634,24.640378,0.035)); #552230=CARTESIAN_POINT('',(47.599634,24.640378,0.035)); #552231=CARTESIAN_POINT('',(47.599634,24.640378,0.)); #552232=CARTESIAN_POINT('Origin',(47.5936,24.6701,0.)); #552233=CARTESIAN_POINT('',(47.5936,24.6701,0.)); #552234=CARTESIAN_POINT('',(47.5936,24.6701,0.)); #552235=CARTESIAN_POINT('',(47.5936,24.6701,0.035)); #552236=CARTESIAN_POINT('',(47.5936,24.6701,0.035)); #552237=CARTESIAN_POINT('',(47.5936,24.6701,0.)); #552238=CARTESIAN_POINT('Origin',(47.5936,25.717691,0.)); #552239=CARTESIAN_POINT('',(47.5936,25.717691,0.)); #552240=CARTESIAN_POINT('',(47.5936,25.717691,0.)); #552241=CARTESIAN_POINT('',(47.5936,25.717691,0.035)); #552242=CARTESIAN_POINT('',(47.5936,25.717691,0.035)); #552243=CARTESIAN_POINT('',(47.5936,25.717691,0.)); #552244=CARTESIAN_POINT('Origin',(47.369219,25.942072,0.)); #552245=CARTESIAN_POINT('',(47.369219,25.942072,0.)); #552246=CARTESIAN_POINT('',(47.369219,25.942072,0.)); #552247=CARTESIAN_POINT('',(47.369219,25.942072,0.035)); #552248=CARTESIAN_POINT('',(47.369219,25.942072,0.035)); #552249=CARTESIAN_POINT('',(47.369219,25.942072,0.)); #552250=CARTESIAN_POINT('Origin',(47.352934,25.966231,0.)); #552251=CARTESIAN_POINT('',(47.352934,25.966231,0.)); #552252=CARTESIAN_POINT('',(47.352934,25.966231,0.)); #552253=CARTESIAN_POINT('',(47.352934,25.966231,0.035)); #552254=CARTESIAN_POINT('',(47.352934,25.966231,0.035)); #552255=CARTESIAN_POINT('',(47.352934,25.966231,0.)); #552256=CARTESIAN_POINT('Origin',(47.3469,25.995953,0.)); #552257=CARTESIAN_POINT('',(47.3469,25.995953,0.)); #552258=CARTESIAN_POINT('',(47.3469,25.995953,0.)); #552259=CARTESIAN_POINT('',(47.3469,25.995953,0.035)); #552260=CARTESIAN_POINT('',(47.3469,25.995953,0.035)); #552261=CARTESIAN_POINT('',(47.3469,25.995953,0.)); #552262=CARTESIAN_POINT('Origin',(47.3469,26.720228,0.)); #552263=CARTESIAN_POINT('',(47.3469,26.720228,0.)); #552264=CARTESIAN_POINT('',(47.3469,26.720228,0.)); #552265=CARTESIAN_POINT('',(47.3469,26.720228,0.035)); #552266=CARTESIAN_POINT('',(47.3469,26.720228,0.035)); #552267=CARTESIAN_POINT('',(47.3469,26.720228,0.)); #552268=CARTESIAN_POINT('Origin',(47.257628,26.8095,0.)); #552269=CARTESIAN_POINT('',(47.257628,26.8095,0.)); #552270=CARTESIAN_POINT('',(47.257628,26.8095,0.)); #552271=CARTESIAN_POINT('',(47.257628,26.8095,0.035)); #552272=CARTESIAN_POINT('',(47.257628,26.8095,0.035)); #552273=CARTESIAN_POINT('',(47.257628,26.8095,0.)); #552274=CARTESIAN_POINT('Origin',(46.242372,26.8095,0.)); #552275=CARTESIAN_POINT('',(46.242372,26.8095,0.)); #552276=CARTESIAN_POINT('',(46.242372,26.8095,0.)); #552277=CARTESIAN_POINT('',(46.242372,26.8095,0.035)); #552278=CARTESIAN_POINT('',(46.242372,26.8095,0.035)); #552279=CARTESIAN_POINT('',(46.242372,26.8095,0.)); #552280=CARTESIAN_POINT('Origin',(46.1531,26.720228,0.)); #552281=CARTESIAN_POINT('',(46.1531,26.720228,0.)); #552282=CARTESIAN_POINT('',(46.1531,26.720228,0.)); #552283=CARTESIAN_POINT('',(46.1531,26.720228,0.035)); #552284=CARTESIAN_POINT('',(46.1531,26.720228,0.035)); #552285=CARTESIAN_POINT('',(46.1531,26.720228,0.)); #552286=CARTESIAN_POINT('Origin',(46.1531,25.755772,0.)); #552287=CARTESIAN_POINT('',(46.1531,25.755772,0.)); #552288=CARTESIAN_POINT('',(46.1531,25.755772,0.)); #552289=CARTESIAN_POINT('',(46.1531,25.755772,0.035)); #552290=CARTESIAN_POINT('',(46.1531,25.755772,0.035)); #552291=CARTESIAN_POINT('',(46.1531,25.755772,0.)); #552292=CARTESIAN_POINT('Origin',(46.242372,25.6665,0.)); #552293=CARTESIAN_POINT('',(46.242372,25.6665,0.)); #552294=CARTESIAN_POINT('',(46.242372,25.6665,0.)); #552295=CARTESIAN_POINT('',(46.242372,25.6665,0.035)); #552296=CARTESIAN_POINT('',(46.242372,25.6665,0.035)); #552297=CARTESIAN_POINT('',(46.242372,25.6665,0.)); #552298=CARTESIAN_POINT('Origin',(46.966647,25.6665,0.)); #552299=CARTESIAN_POINT('',(46.966647,25.6665,0.)); #552300=CARTESIAN_POINT('',(46.966647,25.6665,0.)); #552301=CARTESIAN_POINT('',(46.966647,25.6665,0.035)); #552302=CARTESIAN_POINT('',(46.966647,25.6665,0.035)); #552303=CARTESIAN_POINT('',(46.966647,25.6665,0.)); #552304=CARTESIAN_POINT('Origin',(46.995244,25.660931,0.)); #552305=CARTESIAN_POINT('',(46.995244,25.660931,0.)); #552306=CARTESIAN_POINT('',(46.995244,25.660931,0.)); #552307=CARTESIAN_POINT('',(46.995244,25.660931,0.035)); #552308=CARTESIAN_POINT('',(46.995244,25.660931,0.035)); #552309=CARTESIAN_POINT('',(46.995244,25.660931,0.)); #552310=CARTESIAN_POINT('Origin',(47.020528,25.644181,0.)); #552311=CARTESIAN_POINT('',(47.020528,25.644181,0.)); #552312=CARTESIAN_POINT('',(47.020528,25.644181,0.)); #552313=CARTESIAN_POINT('',(47.020528,25.644181,0.035)); #552314=CARTESIAN_POINT('',(47.020528,25.644181,0.035)); #552315=CARTESIAN_POINT('',(47.020528,25.644181,0.)); #552316=CARTESIAN_POINT('Origin',(47.114081,25.550628,0.)); #552317=CARTESIAN_POINT('',(47.114081,25.550628,0.)); #552318=CARTESIAN_POINT('',(47.114081,25.550628,0.)); #552319=CARTESIAN_POINT('',(47.114081,25.550628,0.035)); #552320=CARTESIAN_POINT('',(47.114081,25.550628,0.035)); #552321=CARTESIAN_POINT('',(47.114081,25.550628,0.)); #552322=CARTESIAN_POINT('Origin',(47.130366,25.526469,0.)); #552323=CARTESIAN_POINT('',(47.130366,25.526469,0.)); #552324=CARTESIAN_POINT('',(47.130366,25.526469,0.)); #552325=CARTESIAN_POINT('',(47.130366,25.526469,0.035)); #552326=CARTESIAN_POINT('',(47.130366,25.526469,0.035)); #552327=CARTESIAN_POINT('',(47.130366,25.526469,0.)); #552328=CARTESIAN_POINT('Origin',(47.1364,25.496747,0.)); #552329=CARTESIAN_POINT('',(47.1364,25.496747,0.)); #552330=CARTESIAN_POINT('',(47.1364,25.496747,0.)); #552331=CARTESIAN_POINT('',(47.1364,25.496747,0.035)); #552332=CARTESIAN_POINT('',(47.1364,25.496747,0.035)); #552333=CARTESIAN_POINT('',(47.1364,25.496747,0.)); #552334=CARTESIAN_POINT('Origin',(47.1364,24.6701,0.)); #552335=CARTESIAN_POINT('',(47.1364,24.6701,0.)); #552336=CARTESIAN_POINT('',(47.1364,24.6701,0.)); #552337=CARTESIAN_POINT('',(47.1364,24.6701,0.035)); #552338=CARTESIAN_POINT('',(47.1364,24.6701,0.035)); #552339=CARTESIAN_POINT('',(47.1364,24.6701,0.)); #552340=CARTESIAN_POINT('Origin',(47.131278,24.642631,0.)); #552341=CARTESIAN_POINT('',(47.131278,24.642631,0.)); #552342=CARTESIAN_POINT('',(47.131278,24.642631,0.)); #552343=CARTESIAN_POINT('',(47.131278,24.642631,0.035)); #552344=CARTESIAN_POINT('',(47.131278,24.642631,0.035)); #552345=CARTESIAN_POINT('',(47.131278,24.642631,0.)); #552346=CARTESIAN_POINT('Origin',(47.114934,24.617084,0.)); #552347=CARTESIAN_POINT('',(47.114934,24.617084,0.)); #552348=CARTESIAN_POINT('',(47.114934,24.617084,0.)); #552349=CARTESIAN_POINT('',(47.114934,24.617084,0.035)); #552350=CARTESIAN_POINT('',(47.114934,24.617084,0.035)); #552351=CARTESIAN_POINT('',(47.114934,24.617084,0.)); #552352=CARTESIAN_POINT('Origin',(47.089922,24.599934,0.)); #552353=CARTESIAN_POINT('',(47.089922,24.599934,0.)); #552354=CARTESIAN_POINT('',(47.089922,24.599934,0.)); #552355=CARTESIAN_POINT('',(47.089922,24.599934,0.035)); #552356=CARTESIAN_POINT('',(47.089922,24.599934,0.035)); #552357=CARTESIAN_POINT('',(47.089922,24.599934,0.)); #552358=CARTESIAN_POINT('Origin',(47.0602,24.5939,0.)); #552359=CARTESIAN_POINT('',(47.0602,24.5939,0.)); #552360=CARTESIAN_POINT('',(47.0602,24.5939,0.)); #552361=CARTESIAN_POINT('',(47.0602,24.5939,0.035)); #552362=CARTESIAN_POINT('',(47.0602,24.5939,0.035)); #552363=CARTESIAN_POINT('',(47.0602,24.5939,0.)); #552364=CARTESIAN_POINT('Origin',(46.997072,24.5939,0.)); #552365=CARTESIAN_POINT('',(46.997072,24.5939,0.)); #552366=CARTESIAN_POINT('',(46.997072,24.5939,0.)); #552367=CARTESIAN_POINT('',(46.997072,24.5939,0.035)); #552368=CARTESIAN_POINT('',(46.997072,24.5939,0.035)); #552369=CARTESIAN_POINT('',(46.997072,24.5939,0.)); #552370=CARTESIAN_POINT('Origin',(46.9078,24.504628,0.)); #552371=CARTESIAN_POINT('',(46.9078,24.504628,0.)); #552372=CARTESIAN_POINT('',(46.9078,24.504628,0.)); #552373=CARTESIAN_POINT('',(46.9078,24.504628,0.035)); #552374=CARTESIAN_POINT('',(46.9078,24.504628,0.035)); #552375=CARTESIAN_POINT('',(46.9078,24.504628,0.)); #552376=CARTESIAN_POINT('Origin',(46.9078,22.727372,0.)); #552377=CARTESIAN_POINT('',(46.9078,22.727372,0.)); #552378=CARTESIAN_POINT('',(46.9078,22.727372,0.)); #552379=CARTESIAN_POINT('',(46.9078,22.727372,0.035)); #552380=CARTESIAN_POINT('',(46.9078,22.727372,0.035)); #552381=CARTESIAN_POINT('',(46.9078,22.727372,0.)); #552382=CARTESIAN_POINT('Origin',(46.997072,22.6381,0.)); #552383=CARTESIAN_POINT('',(46.997072,22.6381,0.)); #552384=CARTESIAN_POINT('',(46.997072,22.6381,0.035)); #552385=CARTESIAN_POINT('Origin',(53.745953,19.1484,0.)); #552386=CARTESIAN_POINT('',(53.745953,19.1484,0.)); #552387=CARTESIAN_POINT('',(51.502847,19.1484,0.)); #552388=CARTESIAN_POINT('',(53.745953,19.1484,0.)); #552389=CARTESIAN_POINT('',(51.502847,19.1484,0.035)); #552390=CARTESIAN_POINT('',(51.502847,19.1484,0.)); #552391=CARTESIAN_POINT('',(53.745953,19.1484,0.035)); #552392=CARTESIAN_POINT('',(53.745953,19.1484,0.035)); #552393=CARTESIAN_POINT('',(53.745953,19.1484,0.)); #552394=CARTESIAN_POINT('Origin',(53.771309,19.1511,0.)); #552395=CARTESIAN_POINT('',(53.771309,19.1511,0.)); #552396=CARTESIAN_POINT('',(53.771309,19.1511,0.)); #552397=CARTESIAN_POINT('',(53.771309,19.1511,0.035)); #552398=CARTESIAN_POINT('',(53.771309,19.1511,0.035)); #552399=CARTESIAN_POINT('',(53.771309,19.1511,0.)); #552400=CARTESIAN_POINT('Origin',(53.791663,19.157806,0.)); #552401=CARTESIAN_POINT('',(53.791663,19.157806,0.)); #552402=CARTESIAN_POINT('',(53.791663,19.157806,0.)); #552403=CARTESIAN_POINT('',(53.791663,19.157806,0.035)); #552404=CARTESIAN_POINT('',(53.791663,19.157806,0.035)); #552405=CARTESIAN_POINT('',(53.791663,19.157806,0.)); #552406=CARTESIAN_POINT('Origin',(53.811197,19.169269,0.)); #552407=CARTESIAN_POINT('',(53.811197,19.169269,0.)); #552408=CARTESIAN_POINT('',(53.811197,19.169269,0.)); #552409=CARTESIAN_POINT('',(53.811197,19.169269,0.035)); #552410=CARTESIAN_POINT('',(53.811197,19.169269,0.035)); #552411=CARTESIAN_POINT('',(53.811197,19.169269,0.)); #552412=CARTESIAN_POINT('Origin',(53.823866,19.180178,0.)); #552413=CARTESIAN_POINT('',(53.823866,19.180178,0.)); #552414=CARTESIAN_POINT('',(53.823866,19.180178,0.)); #552415=CARTESIAN_POINT('',(53.823866,19.180178,0.035)); #552416=CARTESIAN_POINT('',(53.823866,19.180178,0.035)); #552417=CARTESIAN_POINT('',(53.823866,19.180178,0.)); #552418=CARTESIAN_POINT('Origin',(54.068978,19.425291,0.)); #552419=CARTESIAN_POINT('',(54.068978,19.425291,0.)); #552420=CARTESIAN_POINT('',(54.068978,19.425291,0.)); #552421=CARTESIAN_POINT('',(54.068978,19.425291,0.035)); #552422=CARTESIAN_POINT('',(54.068978,19.425291,0.035)); #552423=CARTESIAN_POINT('',(54.068978,19.425291,0.)); #552424=CARTESIAN_POINT('Origin',(54.084997,19.445131,0.)); #552425=CARTESIAN_POINT('',(54.084997,19.445131,0.)); #552426=CARTESIAN_POINT('',(54.084997,19.445131,0.)); #552427=CARTESIAN_POINT('',(54.084997,19.445131,0.035)); #552428=CARTESIAN_POINT('',(54.084997,19.445131,0.035)); #552429=CARTESIAN_POINT('',(54.084997,19.445131,0.)); #552430=CARTESIAN_POINT('Origin',(54.094647,19.464272,0.)); #552431=CARTESIAN_POINT('',(54.094647,19.464272,0.)); #552432=CARTESIAN_POINT('',(54.094647,19.464272,0.)); #552433=CARTESIAN_POINT('',(54.094647,19.464272,0.035)); #552434=CARTESIAN_POINT('',(54.094647,19.464272,0.035)); #552435=CARTESIAN_POINT('',(54.094647,19.464272,0.)); #552436=CARTESIAN_POINT('Origin',(54.100359,19.486184,0.)); #552437=CARTESIAN_POINT('',(54.100359,19.486184,0.)); #552438=CARTESIAN_POINT('',(54.100359,19.486184,0.)); #552439=CARTESIAN_POINT('',(54.100359,19.486184,0.035)); #552440=CARTESIAN_POINT('',(54.100359,19.486184,0.035)); #552441=CARTESIAN_POINT('',(54.100359,19.486184,0.)); #552442=CARTESIAN_POINT('Origin',(54.1016,19.502847,0.)); #552443=CARTESIAN_POINT('',(54.1016,19.502847,0.)); #552444=CARTESIAN_POINT('',(54.1016,19.502847,0.)); #552445=CARTESIAN_POINT('',(54.1016,19.502847,0.035)); #552446=CARTESIAN_POINT('',(54.1016,19.502847,0.035)); #552447=CARTESIAN_POINT('',(54.1016,19.502847,0.)); #552448=CARTESIAN_POINT('Origin',(54.1016,35.495953,0.)); #552449=CARTESIAN_POINT('',(54.1016,35.495953,0.)); #552450=CARTESIAN_POINT('',(54.1016,35.495953,0.)); #552451=CARTESIAN_POINT('',(54.1016,35.495953,0.035)); #552452=CARTESIAN_POINT('',(54.1016,35.495953,0.035)); #552453=CARTESIAN_POINT('',(54.1016,35.495953,0.)); #552454=CARTESIAN_POINT('Origin',(54.0989,35.521309,0.)); #552455=CARTESIAN_POINT('',(54.0989,35.521309,0.)); #552456=CARTESIAN_POINT('',(54.0989,35.521309,0.)); #552457=CARTESIAN_POINT('',(54.0989,35.521309,0.035)); #552458=CARTESIAN_POINT('',(54.0989,35.521309,0.035)); #552459=CARTESIAN_POINT('',(54.0989,35.521309,0.)); #552460=CARTESIAN_POINT('Origin',(54.092194,35.541663,0.)); #552461=CARTESIAN_POINT('',(54.092194,35.541663,0.)); #552462=CARTESIAN_POINT('',(54.092194,35.541663,0.)); #552463=CARTESIAN_POINT('',(54.092194,35.541663,0.035)); #552464=CARTESIAN_POINT('',(54.092194,35.541663,0.035)); #552465=CARTESIAN_POINT('',(54.092194,35.541663,0.)); #552466=CARTESIAN_POINT('Origin',(54.080731,35.561197,0.)); #552467=CARTESIAN_POINT('',(54.080731,35.561197,0.)); #552468=CARTESIAN_POINT('',(54.080731,35.561197,0.)); #552469=CARTESIAN_POINT('',(54.080731,35.561197,0.035)); #552470=CARTESIAN_POINT('',(54.080731,35.561197,0.035)); #552471=CARTESIAN_POINT('',(54.080731,35.561197,0.)); #552472=CARTESIAN_POINT('Origin',(54.069822,35.573866,0.)); #552473=CARTESIAN_POINT('',(54.069822,35.573866,0.)); #552474=CARTESIAN_POINT('',(54.069822,35.573866,0.)); #552475=CARTESIAN_POINT('',(54.069822,35.573866,0.035)); #552476=CARTESIAN_POINT('',(54.069822,35.573866,0.035)); #552477=CARTESIAN_POINT('',(54.069822,35.573866,0.)); #552478=CARTESIAN_POINT('Origin',(53.824709,35.818978,0.)); #552479=CARTESIAN_POINT('',(53.824709,35.818978,0.)); #552480=CARTESIAN_POINT('',(53.824709,35.818978,0.)); #552481=CARTESIAN_POINT('',(53.824709,35.818978,0.035)); #552482=CARTESIAN_POINT('',(53.824709,35.818978,0.035)); #552483=CARTESIAN_POINT('',(53.824709,35.818978,0.)); #552484=CARTESIAN_POINT('Origin',(53.804869,35.834997,0.)); #552485=CARTESIAN_POINT('',(53.804869,35.834997,0.)); #552486=CARTESIAN_POINT('',(53.804869,35.834997,0.)); #552487=CARTESIAN_POINT('',(53.804869,35.834997,0.035)); #552488=CARTESIAN_POINT('',(53.804869,35.834997,0.035)); #552489=CARTESIAN_POINT('',(53.804869,35.834997,0.)); #552490=CARTESIAN_POINT('Origin',(53.785728,35.844647,0.)); #552491=CARTESIAN_POINT('',(53.785728,35.844647,0.)); #552492=CARTESIAN_POINT('',(53.785728,35.844647,0.)); #552493=CARTESIAN_POINT('',(53.785728,35.844647,0.035)); #552494=CARTESIAN_POINT('',(53.785728,35.844647,0.035)); #552495=CARTESIAN_POINT('',(53.785728,35.844647,0.)); #552496=CARTESIAN_POINT('Origin',(53.763816,35.850359,0.)); #552497=CARTESIAN_POINT('',(53.763816,35.850359,0.)); #552498=CARTESIAN_POINT('',(53.763816,35.850359,0.)); #552499=CARTESIAN_POINT('',(53.763816,35.850359,0.035)); #552500=CARTESIAN_POINT('',(53.763816,35.850359,0.035)); #552501=CARTESIAN_POINT('',(53.763816,35.850359,0.)); #552502=CARTESIAN_POINT('Origin',(53.747153,35.8516,0.)); #552503=CARTESIAN_POINT('',(53.747153,35.8516,0.)); #552504=CARTESIAN_POINT('',(53.747153,35.8516,0.)); #552505=CARTESIAN_POINT('',(53.747153,35.8516,0.035)); #552506=CARTESIAN_POINT('',(53.747153,35.8516,0.035)); #552507=CARTESIAN_POINT('',(53.747153,35.8516,0.)); #552508=CARTESIAN_POINT('Origin',(51.504047,35.8516,0.)); #552509=CARTESIAN_POINT('',(51.504047,35.8516,0.)); #552510=CARTESIAN_POINT('',(51.504047,35.8516,0.)); #552511=CARTESIAN_POINT('',(51.504047,35.8516,0.035)); #552512=CARTESIAN_POINT('',(51.504047,35.8516,0.035)); #552513=CARTESIAN_POINT('',(51.504047,35.8516,0.)); #552514=CARTESIAN_POINT('Origin',(51.478691,35.8489,0.)); #552515=CARTESIAN_POINT('',(51.478691,35.8489,0.)); #552516=CARTESIAN_POINT('',(51.478691,35.8489,0.)); #552517=CARTESIAN_POINT('',(51.478691,35.8489,0.035)); #552518=CARTESIAN_POINT('',(51.478691,35.8489,0.035)); #552519=CARTESIAN_POINT('',(51.478691,35.8489,0.)); #552520=CARTESIAN_POINT('Origin',(51.458337,35.842194,0.)); #552521=CARTESIAN_POINT('',(51.458337,35.842194,0.)); #552522=CARTESIAN_POINT('',(51.458337,35.842194,0.)); #552523=CARTESIAN_POINT('',(51.458337,35.842194,0.035)); #552524=CARTESIAN_POINT('',(51.458337,35.842194,0.035)); #552525=CARTESIAN_POINT('',(51.458337,35.842194,0.)); #552526=CARTESIAN_POINT('Origin',(51.438803,35.830731,0.)); #552527=CARTESIAN_POINT('',(51.438803,35.830731,0.)); #552528=CARTESIAN_POINT('',(51.438803,35.830731,0.)); #552529=CARTESIAN_POINT('',(51.438803,35.830731,0.035)); #552530=CARTESIAN_POINT('',(51.438803,35.830731,0.035)); #552531=CARTESIAN_POINT('',(51.438803,35.830731,0.)); #552532=CARTESIAN_POINT('Origin',(51.426134,35.819822,0.)); #552533=CARTESIAN_POINT('',(51.426134,35.819822,0.)); #552534=CARTESIAN_POINT('',(51.426134,35.819822,0.)); #552535=CARTESIAN_POINT('',(51.426134,35.819822,0.035)); #552536=CARTESIAN_POINT('',(51.426134,35.819822,0.035)); #552537=CARTESIAN_POINT('',(51.426134,35.819822,0.)); #552538=CARTESIAN_POINT('Origin',(51.181022,35.574709,0.)); #552539=CARTESIAN_POINT('',(51.181022,35.574709,0.)); #552540=CARTESIAN_POINT('',(51.181022,35.574709,0.)); #552541=CARTESIAN_POINT('',(51.181022,35.574709,0.035)); #552542=CARTESIAN_POINT('',(51.181022,35.574709,0.035)); #552543=CARTESIAN_POINT('',(51.181022,35.574709,0.)); #552544=CARTESIAN_POINT('Origin',(51.165003,35.554869,0.)); #552545=CARTESIAN_POINT('',(51.165003,35.554869,0.)); #552546=CARTESIAN_POINT('',(51.165003,35.554869,0.)); #552547=CARTESIAN_POINT('',(51.165003,35.554869,0.035)); #552548=CARTESIAN_POINT('',(51.165003,35.554869,0.035)); #552549=CARTESIAN_POINT('',(51.165003,35.554869,0.)); #552550=CARTESIAN_POINT('Origin',(51.155353,35.535728,0.)); #552551=CARTESIAN_POINT('',(51.155353,35.535728,0.)); #552552=CARTESIAN_POINT('',(51.155353,35.535728,0.)); #552553=CARTESIAN_POINT('',(51.155353,35.535728,0.035)); #552554=CARTESIAN_POINT('',(51.155353,35.535728,0.035)); #552555=CARTESIAN_POINT('',(51.155353,35.535728,0.)); #552556=CARTESIAN_POINT('Origin',(51.149641,35.513816,0.)); #552557=CARTESIAN_POINT('',(51.149641,35.513816,0.)); #552558=CARTESIAN_POINT('',(51.149641,35.513816,0.)); #552559=CARTESIAN_POINT('',(51.149641,35.513816,0.035)); #552560=CARTESIAN_POINT('',(51.149641,35.513816,0.035)); #552561=CARTESIAN_POINT('',(51.149641,35.513816,0.)); #552562=CARTESIAN_POINT('Origin',(51.1484,35.497153,0.)); #552563=CARTESIAN_POINT('',(51.1484,35.497153,0.)); #552564=CARTESIAN_POINT('',(51.1484,35.497153,0.)); #552565=CARTESIAN_POINT('',(51.1484,35.497153,0.035)); #552566=CARTESIAN_POINT('',(51.1484,35.497153,0.035)); #552567=CARTESIAN_POINT('',(51.1484,35.497153,0.)); #552568=CARTESIAN_POINT('Origin',(51.1484,19.504047,0.)); #552569=CARTESIAN_POINT('',(51.1484,19.504047,0.)); #552570=CARTESIAN_POINT('',(51.1484,19.504047,0.)); #552571=CARTESIAN_POINT('',(51.1484,19.504047,0.035)); #552572=CARTESIAN_POINT('',(51.1484,19.504047,0.035)); #552573=CARTESIAN_POINT('',(51.1484,19.504047,0.)); #552574=CARTESIAN_POINT('Origin',(51.1511,19.478691,0.)); #552575=CARTESIAN_POINT('',(51.1511,19.478691,0.)); #552576=CARTESIAN_POINT('',(51.1511,19.478691,0.)); #552577=CARTESIAN_POINT('',(51.1511,19.478691,0.035)); #552578=CARTESIAN_POINT('',(51.1511,19.478691,0.035)); #552579=CARTESIAN_POINT('',(51.1511,19.478691,0.)); #552580=CARTESIAN_POINT('Origin',(51.157806,19.458338,0.)); #552581=CARTESIAN_POINT('',(51.157806,19.458338,0.)); #552582=CARTESIAN_POINT('',(51.157806,19.458338,0.)); #552583=CARTESIAN_POINT('',(51.157806,19.458338,0.035)); #552584=CARTESIAN_POINT('',(51.157806,19.458338,0.035)); #552585=CARTESIAN_POINT('',(51.157806,19.458338,0.)); #552586=CARTESIAN_POINT('Origin',(51.169269,19.438803,0.)); #552587=CARTESIAN_POINT('',(51.169269,19.438803,0.)); #552588=CARTESIAN_POINT('',(51.169269,19.438803,0.)); #552589=CARTESIAN_POINT('',(51.169269,19.438803,0.035)); #552590=CARTESIAN_POINT('',(51.169269,19.438803,0.035)); #552591=CARTESIAN_POINT('',(51.169269,19.438803,0.)); #552592=CARTESIAN_POINT('Origin',(51.180178,19.426134,0.)); #552593=CARTESIAN_POINT('',(51.180178,19.426134,0.)); #552594=CARTESIAN_POINT('',(51.180178,19.426134,0.)); #552595=CARTESIAN_POINT('',(51.180178,19.426134,0.035)); #552596=CARTESIAN_POINT('',(51.180178,19.426134,0.035)); #552597=CARTESIAN_POINT('',(51.180178,19.426134,0.)); #552598=CARTESIAN_POINT('Origin',(51.425291,19.181022,0.)); #552599=CARTESIAN_POINT('',(51.425291,19.181022,0.)); #552600=CARTESIAN_POINT('',(51.425291,19.181022,0.)); #552601=CARTESIAN_POINT('',(51.425291,19.181022,0.035)); #552602=CARTESIAN_POINT('',(51.425291,19.181022,0.035)); #552603=CARTESIAN_POINT('',(51.425291,19.181022,0.)); #552604=CARTESIAN_POINT('Origin',(51.445131,19.165003,0.)); #552605=CARTESIAN_POINT('',(51.445131,19.165003,0.)); #552606=CARTESIAN_POINT('',(51.445131,19.165003,0.)); #552607=CARTESIAN_POINT('',(51.445131,19.165003,0.035)); #552608=CARTESIAN_POINT('',(51.445131,19.165003,0.035)); #552609=CARTESIAN_POINT('',(51.445131,19.165003,0.)); #552610=CARTESIAN_POINT('Origin',(51.464272,19.155353,0.)); #552611=CARTESIAN_POINT('',(51.464272,19.155353,0.)); #552612=CARTESIAN_POINT('',(51.464272,19.155353,0.)); #552613=CARTESIAN_POINT('',(51.464272,19.155353,0.035)); #552614=CARTESIAN_POINT('',(51.464272,19.155353,0.035)); #552615=CARTESIAN_POINT('',(51.464272,19.155353,0.)); #552616=CARTESIAN_POINT('Origin',(51.486184,19.149641,0.)); #552617=CARTESIAN_POINT('',(51.486184,19.149641,0.)); #552618=CARTESIAN_POINT('',(51.486184,19.149641,0.)); #552619=CARTESIAN_POINT('',(51.486184,19.149641,0.035)); #552620=CARTESIAN_POINT('',(51.486184,19.149641,0.035)); #552621=CARTESIAN_POINT('',(51.486184,19.149641,0.)); #552622=CARTESIAN_POINT('Origin',(51.502847,19.1484,0.)); #552623=CARTESIAN_POINT('',(51.502847,19.1484,0.)); #552624=CARTESIAN_POINT('',(51.502847,19.1484,0.035)); #552625=CARTESIAN_POINT('Origin',(41.427034,30.5726,0.)); #552626=CARTESIAN_POINT('',(41.427034,30.5726,0.)); #552627=CARTESIAN_POINT('',(41.072966,30.5726,0.)); #552628=CARTESIAN_POINT('',(41.427034,30.5726,0.)); #552629=CARTESIAN_POINT('',(41.072966,30.5726,0.035)); #552630=CARTESIAN_POINT('',(41.072966,30.5726,0.)); #552631=CARTESIAN_POINT('',(41.427034,30.5726,0.035)); #552632=CARTESIAN_POINT('',(41.427034,30.5726,0.035)); #552633=CARTESIAN_POINT('',(41.427034,30.5726,0.)); #552634=CARTESIAN_POINT('Origin',(41.571119,30.716684,0.)); #552635=CARTESIAN_POINT('',(41.571119,30.716684,0.)); #552636=CARTESIAN_POINT('',(41.571119,30.716684,0.)); #552637=CARTESIAN_POINT('',(41.571119,30.716684,0.035)); #552638=CARTESIAN_POINT('',(41.571119,30.716684,0.035)); #552639=CARTESIAN_POINT('',(41.571119,30.716684,0.)); #552640=CARTESIAN_POINT('Origin',(41.594166,30.732484,0.)); #552641=CARTESIAN_POINT('',(41.594166,30.732484,0.)); #552642=CARTESIAN_POINT('',(41.594166,30.732484,0.)); #552643=CARTESIAN_POINT('',(41.594166,30.732484,0.035)); #552644=CARTESIAN_POINT('',(41.594166,30.732484,0.035)); #552645=CARTESIAN_POINT('',(41.594166,30.732484,0.)); #552646=CARTESIAN_POINT('Origin',(41.623784,30.738994,0.)); #552647=CARTESIAN_POINT('',(41.623784,30.738994,0.)); #552648=CARTESIAN_POINT('',(41.623784,30.738994,0.)); #552649=CARTESIAN_POINT('',(41.623784,30.738994,0.035)); #552650=CARTESIAN_POINT('',(41.623784,30.738994,0.035)); #552651=CARTESIAN_POINT('',(41.623784,30.738994,0.)); #552652=CARTESIAN_POINT('Origin',(41.653597,30.733434,0.)); #552653=CARTESIAN_POINT('',(41.653597,30.733434,0.)); #552654=CARTESIAN_POINT('',(41.653597,30.733434,0.)); #552655=CARTESIAN_POINT('',(41.653597,30.733434,0.035)); #552656=CARTESIAN_POINT('',(41.653597,30.733434,0.035)); #552657=CARTESIAN_POINT('',(41.653597,30.733434,0.)); #552658=CARTESIAN_POINT('Origin',(41.678881,30.716684,0.)); #552659=CARTESIAN_POINT('',(41.678881,30.716684,0.)); #552660=CARTESIAN_POINT('',(41.678881,30.716684,0.)); #552661=CARTESIAN_POINT('',(41.678881,30.716684,0.035)); #552662=CARTESIAN_POINT('',(41.678881,30.716684,0.035)); #552663=CARTESIAN_POINT('',(41.678881,30.716684,0.)); #552664=CARTESIAN_POINT('Origin',(41.822966,30.5726,0.)); #552665=CARTESIAN_POINT('',(41.822966,30.5726,0.)); #552666=CARTESIAN_POINT('',(41.822966,30.5726,0.)); #552667=CARTESIAN_POINT('',(41.822966,30.5726,0.035)); #552668=CARTESIAN_POINT('',(41.822966,30.5726,0.035)); #552669=CARTESIAN_POINT('',(41.822966,30.5726,0.)); #552670=CARTESIAN_POINT('Origin',(42.177034,30.5726,0.)); #552671=CARTESIAN_POINT('',(42.177034,30.5726,0.)); #552672=CARTESIAN_POINT('',(42.177034,30.5726,0.)); #552673=CARTESIAN_POINT('',(42.177034,30.5726,0.035)); #552674=CARTESIAN_POINT('',(42.177034,30.5726,0.035)); #552675=CARTESIAN_POINT('',(42.177034,30.5726,0.)); #552676=CARTESIAN_POINT('Origin',(42.4274,30.822966,0.)); #552677=CARTESIAN_POINT('',(42.4274,30.822966,0.)); #552678=CARTESIAN_POINT('',(42.4274,30.822966,0.)); #552679=CARTESIAN_POINT('',(42.4274,30.822966,0.035)); #552680=CARTESIAN_POINT('',(42.4274,30.822966,0.035)); #552681=CARTESIAN_POINT('',(42.4274,30.822966,0.)); #552682=CARTESIAN_POINT('Origin',(42.4274,31.177034,0.)); #552683=CARTESIAN_POINT('',(42.4274,31.177034,0.)); #552684=CARTESIAN_POINT('',(42.4274,31.177034,0.)); #552685=CARTESIAN_POINT('',(42.4274,31.177034,0.035)); #552686=CARTESIAN_POINT('',(42.4274,31.177034,0.035)); #552687=CARTESIAN_POINT('',(42.4274,31.177034,0.)); #552688=CARTESIAN_POINT('Origin',(42.250919,31.353516,0.)); #552689=CARTESIAN_POINT('',(42.250919,31.353516,0.)); #552690=CARTESIAN_POINT('',(42.250919,31.353516,0.)); #552691=CARTESIAN_POINT('',(42.250919,31.353516,0.035)); #552692=CARTESIAN_POINT('',(42.250919,31.353516,0.035)); #552693=CARTESIAN_POINT('',(42.250919,31.353516,0.)); #552694=CARTESIAN_POINT('Origin',(42.234634,31.377675,0.)); #552695=CARTESIAN_POINT('',(42.234634,31.377675,0.)); #552696=CARTESIAN_POINT('',(42.234634,31.377675,0.)); #552697=CARTESIAN_POINT('',(42.234634,31.377675,0.035)); #552698=CARTESIAN_POINT('',(42.234634,31.377675,0.035)); #552699=CARTESIAN_POINT('',(42.234634,31.377675,0.)); #552700=CARTESIAN_POINT('Origin',(42.2286,31.407397,0.)); #552701=CARTESIAN_POINT('',(42.2286,31.407397,0.)); #552702=CARTESIAN_POINT('',(42.2286,31.407397,0.)); #552703=CARTESIAN_POINT('',(42.2286,31.407397,0.035)); #552704=CARTESIAN_POINT('',(42.2286,31.407397,0.035)); #552705=CARTESIAN_POINT('',(42.2286,31.407397,0.)); #552706=CARTESIAN_POINT('Origin',(42.2286,35.592603,0.)); #552707=CARTESIAN_POINT('',(42.2286,35.592603,0.)); #552708=CARTESIAN_POINT('',(42.2286,35.592603,0.)); #552709=CARTESIAN_POINT('',(42.2286,35.592603,0.035)); #552710=CARTESIAN_POINT('',(42.2286,35.592603,0.035)); #552711=CARTESIAN_POINT('',(42.2286,35.592603,0.)); #552712=CARTESIAN_POINT('Origin',(42.234169,35.6212,0.)); #552713=CARTESIAN_POINT('',(42.234169,35.6212,0.)); #552714=CARTESIAN_POINT('',(42.234169,35.6212,0.)); #552715=CARTESIAN_POINT('',(42.234169,35.6212,0.035)); #552716=CARTESIAN_POINT('',(42.234169,35.6212,0.035)); #552717=CARTESIAN_POINT('',(42.234169,35.6212,0.)); #552718=CARTESIAN_POINT('Origin',(42.250919,35.646484,0.)); #552719=CARTESIAN_POINT('',(42.250919,35.646484,0.)); #552720=CARTESIAN_POINT('',(42.250919,35.646484,0.)); #552721=CARTESIAN_POINT('',(42.250919,35.646484,0.035)); #552722=CARTESIAN_POINT('',(42.250919,35.646484,0.035)); #552723=CARTESIAN_POINT('',(42.250919,35.646484,0.)); #552724=CARTESIAN_POINT('Origin',(42.4274,35.822966,0.)); #552725=CARTESIAN_POINT('',(42.4274,35.822966,0.)); #552726=CARTESIAN_POINT('',(42.4274,35.822966,0.)); #552727=CARTESIAN_POINT('',(42.4274,35.822966,0.035)); #552728=CARTESIAN_POINT('',(42.4274,35.822966,0.035)); #552729=CARTESIAN_POINT('',(42.4274,35.822966,0.)); #552730=CARTESIAN_POINT('Origin',(42.4274,36.177034,0.)); #552731=CARTESIAN_POINT('',(42.4274,36.177034,0.)); #552732=CARTESIAN_POINT('',(42.4274,36.177034,0.)); #552733=CARTESIAN_POINT('',(42.4274,36.177034,0.035)); #552734=CARTESIAN_POINT('',(42.4274,36.177034,0.035)); #552735=CARTESIAN_POINT('',(42.4274,36.177034,0.)); #552736=CARTESIAN_POINT('Origin',(42.177034,36.4274,0.)); #552737=CARTESIAN_POINT('',(42.177034,36.4274,0.)); #552738=CARTESIAN_POINT('',(42.177034,36.4274,0.)); #552739=CARTESIAN_POINT('',(42.177034,36.4274,0.035)); #552740=CARTESIAN_POINT('',(42.177034,36.4274,0.035)); #552741=CARTESIAN_POINT('',(42.177034,36.4274,0.)); #552742=CARTESIAN_POINT('Origin',(41.822966,36.4274,0.)); #552743=CARTESIAN_POINT('',(41.822966,36.4274,0.)); #552744=CARTESIAN_POINT('',(41.822966,36.4274,0.)); #552745=CARTESIAN_POINT('',(41.822966,36.4274,0.035)); #552746=CARTESIAN_POINT('',(41.822966,36.4274,0.035)); #552747=CARTESIAN_POINT('',(41.822966,36.4274,0.)); #552748=CARTESIAN_POINT('Origin',(41.678881,36.283316,0.)); #552749=CARTESIAN_POINT('',(41.678881,36.283316,0.)); #552750=CARTESIAN_POINT('',(41.678881,36.283316,0.)); #552751=CARTESIAN_POINT('',(41.678881,36.283316,0.035)); #552752=CARTESIAN_POINT('',(41.678881,36.283316,0.035)); #552753=CARTESIAN_POINT('',(41.678881,36.283316,0.)); #552754=CARTESIAN_POINT('Origin',(41.655834,36.267516,0.)); #552755=CARTESIAN_POINT('',(41.655834,36.267516,0.)); #552756=CARTESIAN_POINT('',(41.655834,36.267516,0.)); #552757=CARTESIAN_POINT('',(41.655834,36.267516,0.035)); #552758=CARTESIAN_POINT('',(41.655834,36.267516,0.035)); #552759=CARTESIAN_POINT('',(41.655834,36.267516,0.)); #552760=CARTESIAN_POINT('Origin',(41.626216,36.261006,0.)); #552761=CARTESIAN_POINT('',(41.626216,36.261006,0.)); #552762=CARTESIAN_POINT('',(41.626216,36.261006,0.)); #552763=CARTESIAN_POINT('',(41.626216,36.261006,0.035)); #552764=CARTESIAN_POINT('',(41.626216,36.261006,0.035)); #552765=CARTESIAN_POINT('',(41.626216,36.261006,0.)); #552766=CARTESIAN_POINT('Origin',(41.596403,36.266566,0.)); #552767=CARTESIAN_POINT('',(41.596403,36.266566,0.)); #552768=CARTESIAN_POINT('',(41.596403,36.266566,0.)); #552769=CARTESIAN_POINT('',(41.596403,36.266566,0.035)); #552770=CARTESIAN_POINT('',(41.596403,36.266566,0.035)); #552771=CARTESIAN_POINT('',(41.596403,36.266566,0.)); #552772=CARTESIAN_POINT('Origin',(41.571119,36.283316,0.)); #552773=CARTESIAN_POINT('',(41.571119,36.283316,0.)); #552774=CARTESIAN_POINT('',(41.571119,36.283316,0.)); #552775=CARTESIAN_POINT('',(41.571119,36.283316,0.035)); #552776=CARTESIAN_POINT('',(41.571119,36.283316,0.035)); #552777=CARTESIAN_POINT('',(41.571119,36.283316,0.)); #552778=CARTESIAN_POINT('Origin',(41.427034,36.4274,0.)); #552779=CARTESIAN_POINT('',(41.427034,36.4274,0.)); #552780=CARTESIAN_POINT('',(41.427034,36.4274,0.)); #552781=CARTESIAN_POINT('',(41.427034,36.4274,0.035)); #552782=CARTESIAN_POINT('',(41.427034,36.4274,0.035)); #552783=CARTESIAN_POINT('',(41.427034,36.4274,0.)); #552784=CARTESIAN_POINT('Origin',(41.072966,36.4274,0.)); #552785=CARTESIAN_POINT('',(41.072966,36.4274,0.)); #552786=CARTESIAN_POINT('',(41.072966,36.4274,0.)); #552787=CARTESIAN_POINT('',(41.072966,36.4274,0.035)); #552788=CARTESIAN_POINT('',(41.072966,36.4274,0.035)); #552789=CARTESIAN_POINT('',(41.072966,36.4274,0.)); #552790=CARTESIAN_POINT('Origin',(40.8226,36.177034,0.)); #552791=CARTESIAN_POINT('',(40.8226,36.177034,0.)); #552792=CARTESIAN_POINT('',(40.8226,36.177034,0.)); #552793=CARTESIAN_POINT('',(40.8226,36.177034,0.035)); #552794=CARTESIAN_POINT('',(40.8226,36.177034,0.035)); #552795=CARTESIAN_POINT('',(40.8226,36.177034,0.)); #552796=CARTESIAN_POINT('Origin',(40.8226,35.822966,0.)); #552797=CARTESIAN_POINT('',(40.8226,35.822966,0.)); #552798=CARTESIAN_POINT('',(40.8226,35.822966,0.)); #552799=CARTESIAN_POINT('',(40.8226,35.822966,0.035)); #552800=CARTESIAN_POINT('',(40.8226,35.822966,0.035)); #552801=CARTESIAN_POINT('',(40.8226,35.822966,0.)); #552802=CARTESIAN_POINT('Origin',(40.999081,35.646484,0.)); #552803=CARTESIAN_POINT('',(40.999081,35.646484,0.)); #552804=CARTESIAN_POINT('',(40.999081,35.646484,0.)); #552805=CARTESIAN_POINT('',(40.999081,35.646484,0.035)); #552806=CARTESIAN_POINT('',(40.999081,35.646484,0.035)); #552807=CARTESIAN_POINT('',(40.999081,35.646484,0.)); #552808=CARTESIAN_POINT('Origin',(41.015366,35.622325,0.)); #552809=CARTESIAN_POINT('',(41.015366,35.622325,0.)); #552810=CARTESIAN_POINT('',(41.015366,35.622325,0.)); #552811=CARTESIAN_POINT('',(41.015366,35.622325,0.035)); #552812=CARTESIAN_POINT('',(41.015366,35.622325,0.035)); #552813=CARTESIAN_POINT('',(41.015366,35.622325,0.)); #552814=CARTESIAN_POINT('Origin',(41.0214,35.592603,0.)); #552815=CARTESIAN_POINT('',(41.0214,35.592603,0.)); #552816=CARTESIAN_POINT('',(41.0214,35.592603,0.)); #552817=CARTESIAN_POINT('',(41.0214,35.592603,0.035)); #552818=CARTESIAN_POINT('',(41.0214,35.592603,0.035)); #552819=CARTESIAN_POINT('',(41.0214,35.592603,0.)); #552820=CARTESIAN_POINT('Origin',(41.0214,31.407397,0.)); #552821=CARTESIAN_POINT('',(41.0214,31.407397,0.)); #552822=CARTESIAN_POINT('',(41.0214,31.407397,0.)); #552823=CARTESIAN_POINT('',(41.0214,31.407397,0.035)); #552824=CARTESIAN_POINT('',(41.0214,31.407397,0.035)); #552825=CARTESIAN_POINT('',(41.0214,31.407397,0.)); #552826=CARTESIAN_POINT('Origin',(41.015831,31.3788,0.)); #552827=CARTESIAN_POINT('',(41.015831,31.3788,0.)); #552828=CARTESIAN_POINT('',(41.015831,31.3788,0.)); #552829=CARTESIAN_POINT('',(41.015831,31.3788,0.035)); #552830=CARTESIAN_POINT('',(41.015831,31.3788,0.035)); #552831=CARTESIAN_POINT('',(41.015831,31.3788,0.)); #552832=CARTESIAN_POINT('Origin',(40.999081,31.353516,0.)); #552833=CARTESIAN_POINT('',(40.999081,31.353516,0.)); #552834=CARTESIAN_POINT('',(40.999081,31.353516,0.)); #552835=CARTESIAN_POINT('',(40.999081,31.353516,0.035)); #552836=CARTESIAN_POINT('',(40.999081,31.353516,0.035)); #552837=CARTESIAN_POINT('',(40.999081,31.353516,0.)); #552838=CARTESIAN_POINT('Origin',(40.8226,31.177034,0.)); #552839=CARTESIAN_POINT('',(40.8226,31.177034,0.)); #552840=CARTESIAN_POINT('',(40.8226,31.177034,0.)); #552841=CARTESIAN_POINT('',(40.8226,31.177034,0.035)); #552842=CARTESIAN_POINT('',(40.8226,31.177034,0.035)); #552843=CARTESIAN_POINT('',(40.8226,31.177034,0.)); #552844=CARTESIAN_POINT('Origin',(40.8226,30.822966,0.)); #552845=CARTESIAN_POINT('',(40.8226,30.822966,0.)); #552846=CARTESIAN_POINT('',(40.8226,30.822966,0.)); #552847=CARTESIAN_POINT('',(40.8226,30.822966,0.035)); #552848=CARTESIAN_POINT('',(40.8226,30.822966,0.035)); #552849=CARTESIAN_POINT('',(40.8226,30.822966,0.)); #552850=CARTESIAN_POINT('Origin',(41.072966,30.5726,0.)); #552851=CARTESIAN_POINT('',(41.072966,30.5726,0.)); #552852=CARTESIAN_POINT('',(41.072966,30.5726,0.035)); #552853=CARTESIAN_POINT('Origin',(29.427034,36.3226,0.)); #552854=CARTESIAN_POINT('',(29.427034,36.3226,0.)); #552855=CARTESIAN_POINT('',(29.072966,36.3226,0.)); #552856=CARTESIAN_POINT('',(29.427034,36.3226,0.)); #552857=CARTESIAN_POINT('',(29.072966,36.3226,0.035)); #552858=CARTESIAN_POINT('',(29.072966,36.3226,0.)); #552859=CARTESIAN_POINT('',(29.427034,36.3226,0.035)); #552860=CARTESIAN_POINT('',(29.427034,36.3226,0.035)); #552861=CARTESIAN_POINT('',(29.427034,36.3226,0.)); #552862=CARTESIAN_POINT('Origin',(29.603516,36.499081,0.)); #552863=CARTESIAN_POINT('',(29.603516,36.499081,0.)); #552864=CARTESIAN_POINT('',(29.603516,36.499081,0.)); #552865=CARTESIAN_POINT('',(29.603516,36.499081,0.035)); #552866=CARTESIAN_POINT('',(29.603516,36.499081,0.035)); #552867=CARTESIAN_POINT('',(29.603516,36.499081,0.)); #552868=CARTESIAN_POINT('Origin',(29.627675,36.515366,0.)); #552869=CARTESIAN_POINT('',(29.627675,36.515366,0.)); #552870=CARTESIAN_POINT('',(29.627675,36.515366,0.)); #552871=CARTESIAN_POINT('',(29.627675,36.515366,0.035)); #552872=CARTESIAN_POINT('',(29.627675,36.515366,0.035)); #552873=CARTESIAN_POINT('',(29.627675,36.515366,0.)); #552874=CARTESIAN_POINT('Origin',(29.657397,36.5214,0.)); #552875=CARTESIAN_POINT('',(29.657397,36.5214,0.)); #552876=CARTESIAN_POINT('',(29.657397,36.5214,0.)); #552877=CARTESIAN_POINT('',(29.657397,36.5214,0.035)); #552878=CARTESIAN_POINT('',(29.657397,36.5214,0.035)); #552879=CARTESIAN_POINT('',(29.657397,36.5214,0.)); #552880=CARTESIAN_POINT('Origin',(30.405103,36.5214,0.)); #552881=CARTESIAN_POINT('',(30.405103,36.5214,0.)); #552882=CARTESIAN_POINT('',(30.405103,36.5214,0.)); #552883=CARTESIAN_POINT('',(30.405103,36.5214,0.035)); #552884=CARTESIAN_POINT('',(30.405103,36.5214,0.035)); #552885=CARTESIAN_POINT('',(30.405103,36.5214,0.)); #552886=CARTESIAN_POINT('Origin',(30.4337,36.515831,0.)); #552887=CARTESIAN_POINT('',(30.4337,36.515831,0.)); #552888=CARTESIAN_POINT('',(30.4337,36.515831,0.)); #552889=CARTESIAN_POINT('',(30.4337,36.515831,0.035)); #552890=CARTESIAN_POINT('',(30.4337,36.515831,0.035)); #552891=CARTESIAN_POINT('',(30.4337,36.515831,0.)); #552892=CARTESIAN_POINT('Origin',(30.458984,36.499081,0.)); #552893=CARTESIAN_POINT('',(30.458984,36.499081,0.)); #552894=CARTESIAN_POINT('',(30.458984,36.499081,0.)); #552895=CARTESIAN_POINT('',(30.458984,36.499081,0.035)); #552896=CARTESIAN_POINT('',(30.458984,36.499081,0.035)); #552897=CARTESIAN_POINT('',(30.458984,36.499081,0.)); #552898=CARTESIAN_POINT('Origin',(30.635466,36.3226,0.)); #552899=CARTESIAN_POINT('',(30.635466,36.3226,0.)); #552900=CARTESIAN_POINT('',(30.635466,36.3226,0.)); #552901=CARTESIAN_POINT('',(30.635466,36.3226,0.035)); #552902=CARTESIAN_POINT('',(30.635466,36.3226,0.035)); #552903=CARTESIAN_POINT('',(30.635466,36.3226,0.)); #552904=CARTESIAN_POINT('Origin',(30.989534,36.3226,0.)); #552905=CARTESIAN_POINT('',(30.989534,36.3226,0.)); #552906=CARTESIAN_POINT('',(30.989534,36.3226,0.)); #552907=CARTESIAN_POINT('',(30.989534,36.3226,0.035)); #552908=CARTESIAN_POINT('',(30.989534,36.3226,0.035)); #552909=CARTESIAN_POINT('',(30.989534,36.3226,0.)); #552910=CARTESIAN_POINT('Origin',(31.2399,36.572966,0.)); #552911=CARTESIAN_POINT('',(31.2399,36.572966,0.)); #552912=CARTESIAN_POINT('',(31.2399,36.572966,0.)); #552913=CARTESIAN_POINT('',(31.2399,36.572966,0.035)); #552914=CARTESIAN_POINT('',(31.2399,36.572966,0.035)); #552915=CARTESIAN_POINT('',(31.2399,36.572966,0.)); #552916=CARTESIAN_POINT('Origin',(31.2399,36.927034,0.)); #552917=CARTESIAN_POINT('',(31.2399,36.927034,0.)); #552918=CARTESIAN_POINT('',(31.2399,36.927034,0.)); #552919=CARTESIAN_POINT('',(31.2399,36.927034,0.035)); #552920=CARTESIAN_POINT('',(31.2399,36.927034,0.035)); #552921=CARTESIAN_POINT('',(31.2399,36.927034,0.)); #552922=CARTESIAN_POINT('Origin',(30.989534,37.1774,0.)); #552923=CARTESIAN_POINT('',(30.989534,37.1774,0.)); #552924=CARTESIAN_POINT('',(30.989534,37.1774,0.)); #552925=CARTESIAN_POINT('',(30.989534,37.1774,0.035)); #552926=CARTESIAN_POINT('',(30.989534,37.1774,0.035)); #552927=CARTESIAN_POINT('',(30.989534,37.1774,0.)); #552928=CARTESIAN_POINT('Origin',(30.635466,37.1774,0.)); #552929=CARTESIAN_POINT('',(30.635466,37.1774,0.)); #552930=CARTESIAN_POINT('',(30.635466,37.1774,0.)); #552931=CARTESIAN_POINT('',(30.635466,37.1774,0.035)); #552932=CARTESIAN_POINT('',(30.635466,37.1774,0.035)); #552933=CARTESIAN_POINT('',(30.635466,37.1774,0.)); #552934=CARTESIAN_POINT('Origin',(30.458984,37.000919,0.)); #552935=CARTESIAN_POINT('',(30.458984,37.000919,0.)); #552936=CARTESIAN_POINT('',(30.458984,37.000919,0.)); #552937=CARTESIAN_POINT('',(30.458984,37.000919,0.035)); #552938=CARTESIAN_POINT('',(30.458984,37.000919,0.035)); #552939=CARTESIAN_POINT('',(30.458984,37.000919,0.)); #552940=CARTESIAN_POINT('Origin',(30.434825,36.984634,0.)); #552941=CARTESIAN_POINT('',(30.434825,36.984634,0.)); #552942=CARTESIAN_POINT('',(30.434825,36.984634,0.)); #552943=CARTESIAN_POINT('',(30.434825,36.984634,0.035)); #552944=CARTESIAN_POINT('',(30.434825,36.984634,0.035)); #552945=CARTESIAN_POINT('',(30.434825,36.984634,0.)); #552946=CARTESIAN_POINT('Origin',(30.405103,36.9786,0.)); #552947=CARTESIAN_POINT('',(30.405103,36.9786,0.)); #552948=CARTESIAN_POINT('',(30.405103,36.9786,0.)); #552949=CARTESIAN_POINT('',(30.405103,36.9786,0.035)); #552950=CARTESIAN_POINT('',(30.405103,36.9786,0.035)); #552951=CARTESIAN_POINT('',(30.405103,36.9786,0.)); #552952=CARTESIAN_POINT('Origin',(29.657397,36.9786,0.)); #552953=CARTESIAN_POINT('',(29.657397,36.9786,0.)); #552954=CARTESIAN_POINT('',(29.657397,36.9786,0.)); #552955=CARTESIAN_POINT('',(29.657397,36.9786,0.035)); #552956=CARTESIAN_POINT('',(29.657397,36.9786,0.035)); #552957=CARTESIAN_POINT('',(29.657397,36.9786,0.)); #552958=CARTESIAN_POINT('Origin',(29.6288,36.984169,0.)); #552959=CARTESIAN_POINT('',(29.6288,36.984169,0.)); #552960=CARTESIAN_POINT('',(29.6288,36.984169,0.)); #552961=CARTESIAN_POINT('',(29.6288,36.984169,0.035)); #552962=CARTESIAN_POINT('',(29.6288,36.984169,0.035)); #552963=CARTESIAN_POINT('',(29.6288,36.984169,0.)); #552964=CARTESIAN_POINT('Origin',(29.603516,37.000919,0.)); #552965=CARTESIAN_POINT('',(29.603516,37.000919,0.)); #552966=CARTESIAN_POINT('',(29.603516,37.000919,0.)); #552967=CARTESIAN_POINT('',(29.603516,37.000919,0.035)); #552968=CARTESIAN_POINT('',(29.603516,37.000919,0.035)); #552969=CARTESIAN_POINT('',(29.603516,37.000919,0.)); #552970=CARTESIAN_POINT('Origin',(29.427034,37.1774,0.)); #552971=CARTESIAN_POINT('',(29.427034,37.1774,0.)); #552972=CARTESIAN_POINT('',(29.427034,37.1774,0.)); #552973=CARTESIAN_POINT('',(29.427034,37.1774,0.035)); #552974=CARTESIAN_POINT('',(29.427034,37.1774,0.035)); #552975=CARTESIAN_POINT('',(29.427034,37.1774,0.)); #552976=CARTESIAN_POINT('Origin',(29.072966,37.1774,0.)); #552977=CARTESIAN_POINT('',(29.072966,37.1774,0.)); #552978=CARTESIAN_POINT('',(29.072966,37.1774,0.)); #552979=CARTESIAN_POINT('',(29.072966,37.1774,0.035)); #552980=CARTESIAN_POINT('',(29.072966,37.1774,0.035)); #552981=CARTESIAN_POINT('',(29.072966,37.1774,0.)); #552982=CARTESIAN_POINT('Origin',(28.8226,36.927034,0.)); #552983=CARTESIAN_POINT('',(28.8226,36.927034,0.)); #552984=CARTESIAN_POINT('',(28.8226,36.927034,0.)); #552985=CARTESIAN_POINT('',(28.8226,36.927034,0.035)); #552986=CARTESIAN_POINT('',(28.8226,36.927034,0.035)); #552987=CARTESIAN_POINT('',(28.8226,36.927034,0.)); #552988=CARTESIAN_POINT('Origin',(28.8226,36.572966,0.)); #552989=CARTESIAN_POINT('',(28.8226,36.572966,0.)); #552990=CARTESIAN_POINT('',(28.8226,36.572966,0.)); #552991=CARTESIAN_POINT('',(28.8226,36.572966,0.035)); #552992=CARTESIAN_POINT('',(28.8226,36.572966,0.035)); #552993=CARTESIAN_POINT('',(28.8226,36.572966,0.)); #552994=CARTESIAN_POINT('Origin',(29.072966,36.3226,0.)); #552995=CARTESIAN_POINT('',(29.072966,36.3226,0.)); #552996=CARTESIAN_POINT('',(29.072966,36.3226,0.035)); #552997=CARTESIAN_POINT('Origin',(34.239534,11.8226,0.)); #552998=CARTESIAN_POINT('',(34.239534,11.8226,0.)); #552999=CARTESIAN_POINT('',(33.885466,11.8226,0.)); #553000=CARTESIAN_POINT('',(34.239534,11.8226,0.)); #553001=CARTESIAN_POINT('',(33.885466,11.8226,0.035)); #553002=CARTESIAN_POINT('',(33.885466,11.8226,0.)); #553003=CARTESIAN_POINT('',(34.239534,11.8226,0.035)); #553004=CARTESIAN_POINT('',(34.239534,11.8226,0.035)); #553005=CARTESIAN_POINT('',(34.239534,11.8226,0.)); #553006=CARTESIAN_POINT('Origin',(34.446119,12.029184,0.)); #553007=CARTESIAN_POINT('',(34.446119,12.029184,0.)); #553008=CARTESIAN_POINT('',(34.446119,12.029184,0.)); #553009=CARTESIAN_POINT('',(34.446119,12.029184,0.035)); #553010=CARTESIAN_POINT('',(34.446119,12.029184,0.035)); #553011=CARTESIAN_POINT('',(34.446119,12.029184,0.)); #553012=CARTESIAN_POINT('Origin',(34.469166,12.044984,0.)); #553013=CARTESIAN_POINT('',(34.469166,12.044984,0.)); #553014=CARTESIAN_POINT('',(34.469166,12.044984,0.)); #553015=CARTESIAN_POINT('',(34.469166,12.044984,0.035)); #553016=CARTESIAN_POINT('',(34.469166,12.044984,0.035)); #553017=CARTESIAN_POINT('',(34.469166,12.044984,0.)); #553018=CARTESIAN_POINT('Origin',(34.498784,12.051494,0.)); #553019=CARTESIAN_POINT('',(34.498784,12.051494,0.)); #553020=CARTESIAN_POINT('',(34.498784,12.051494,0.)); #553021=CARTESIAN_POINT('',(34.498784,12.051494,0.035)); #553022=CARTESIAN_POINT('',(34.498784,12.051494,0.035)); #553023=CARTESIAN_POINT('',(34.498784,12.051494,0.)); #553024=CARTESIAN_POINT('Origin',(34.528597,12.045934,0.)); #553025=CARTESIAN_POINT('',(34.528597,12.045934,0.)); #553026=CARTESIAN_POINT('',(34.528597,12.045934,0.)); #553027=CARTESIAN_POINT('',(34.528597,12.045934,0.035)); #553028=CARTESIAN_POINT('',(34.528597,12.045934,0.035)); #553029=CARTESIAN_POINT('',(34.528597,12.045934,0.)); #553030=CARTESIAN_POINT('Origin',(34.553881,12.029184,0.)); #553031=CARTESIAN_POINT('',(34.553881,12.029184,0.)); #553032=CARTESIAN_POINT('',(34.553881,12.029184,0.)); #553033=CARTESIAN_POINT('',(34.553881,12.029184,0.035)); #553034=CARTESIAN_POINT('',(34.553881,12.029184,0.035)); #553035=CARTESIAN_POINT('',(34.553881,12.029184,0.)); #553036=CARTESIAN_POINT('Origin',(34.760466,11.8226,0.)); #553037=CARTESIAN_POINT('',(34.760466,11.8226,0.)); #553038=CARTESIAN_POINT('',(34.760466,11.8226,0.)); #553039=CARTESIAN_POINT('',(34.760466,11.8226,0.035)); #553040=CARTESIAN_POINT('',(34.760466,11.8226,0.035)); #553041=CARTESIAN_POINT('',(34.760466,11.8226,0.)); #553042=CARTESIAN_POINT('Origin',(35.114534,11.8226,0.)); #553043=CARTESIAN_POINT('',(35.114534,11.8226,0.)); #553044=CARTESIAN_POINT('',(35.114534,11.8226,0.)); #553045=CARTESIAN_POINT('',(35.114534,11.8226,0.035)); #553046=CARTESIAN_POINT('',(35.114534,11.8226,0.035)); #553047=CARTESIAN_POINT('',(35.114534,11.8226,0.)); #553048=CARTESIAN_POINT('Origin',(35.291016,11.999081,0.)); #553049=CARTESIAN_POINT('',(35.291016,11.999081,0.)); #553050=CARTESIAN_POINT('',(35.291016,11.999081,0.)); #553051=CARTESIAN_POINT('',(35.291016,11.999081,0.035)); #553052=CARTESIAN_POINT('',(35.291016,11.999081,0.035)); #553053=CARTESIAN_POINT('',(35.291016,11.999081,0.)); #553054=CARTESIAN_POINT('Origin',(35.315175,12.015366,0.)); #553055=CARTESIAN_POINT('',(35.315175,12.015366,0.)); #553056=CARTESIAN_POINT('',(35.315175,12.015366,0.)); #553057=CARTESIAN_POINT('',(35.315175,12.015366,0.035)); #553058=CARTESIAN_POINT('',(35.315175,12.015366,0.035)); #553059=CARTESIAN_POINT('',(35.315175,12.015366,0.)); #553060=CARTESIAN_POINT('Origin',(35.344897,12.0214,0.)); #553061=CARTESIAN_POINT('',(35.344897,12.0214,0.)); #553062=CARTESIAN_POINT('',(35.344897,12.0214,0.)); #553063=CARTESIAN_POINT('',(35.344897,12.0214,0.035)); #553064=CARTESIAN_POINT('',(35.344897,12.0214,0.035)); #553065=CARTESIAN_POINT('',(35.344897,12.0214,0.)); #553066=CARTESIAN_POINT('Origin',(40.591791,12.0214,0.)); #553067=CARTESIAN_POINT('',(40.591791,12.0214,0.)); #553068=CARTESIAN_POINT('',(40.591791,12.0214,0.)); #553069=CARTESIAN_POINT('',(40.591791,12.0214,0.035)); #553070=CARTESIAN_POINT('',(40.591791,12.0214,0.035)); #553071=CARTESIAN_POINT('',(40.591791,12.0214,0.)); #553072=CARTESIAN_POINT('Origin',(41.123572,12.553181,0.)); #553073=CARTESIAN_POINT('',(41.123572,12.553181,0.)); #553074=CARTESIAN_POINT('',(41.123572,12.553181,0.)); #553075=CARTESIAN_POINT('',(41.123572,12.553181,0.035)); #553076=CARTESIAN_POINT('',(41.123572,12.553181,0.035)); #553077=CARTESIAN_POINT('',(41.123572,12.553181,0.)); #553078=CARTESIAN_POINT('Origin',(41.147731,12.569466,0.)); #553079=CARTESIAN_POINT('',(41.147731,12.569466,0.)); #553080=CARTESIAN_POINT('',(41.147731,12.569466,0.)); #553081=CARTESIAN_POINT('',(41.147731,12.569466,0.035)); #553082=CARTESIAN_POINT('',(41.147731,12.569466,0.035)); #553083=CARTESIAN_POINT('',(41.147731,12.569466,0.)); #553084=CARTESIAN_POINT('Origin',(41.177453,12.5755,0.)); #553085=CARTESIAN_POINT('',(41.177453,12.5755,0.)); #553086=CARTESIAN_POINT('',(41.177453,12.5755,0.)); #553087=CARTESIAN_POINT('',(41.177453,12.5755,0.035)); #553088=CARTESIAN_POINT('',(41.177453,12.5755,0.035)); #553089=CARTESIAN_POINT('',(41.177453,12.5755,0.)); #553090=CARTESIAN_POINT('Origin',(41.427034,12.5755,0.)); #553091=CARTESIAN_POINT('',(41.427034,12.5755,0.)); #553092=CARTESIAN_POINT('',(41.427034,12.5755,0.)); #553093=CARTESIAN_POINT('',(41.427034,12.5755,0.035)); #553094=CARTESIAN_POINT('',(41.427034,12.5755,0.035)); #553095=CARTESIAN_POINT('',(41.427034,12.5755,0.)); #553096=CARTESIAN_POINT('Origin',(41.6774,12.825866,0.)); #553097=CARTESIAN_POINT('',(41.6774,12.825866,0.)); #553098=CARTESIAN_POINT('',(41.6774,12.825866,0.)); #553099=CARTESIAN_POINT('',(41.6774,12.825866,0.035)); #553100=CARTESIAN_POINT('',(41.6774,12.825866,0.035)); #553101=CARTESIAN_POINT('',(41.6774,12.825866,0.)); #553102=CARTESIAN_POINT('Origin',(41.6774,13.179934,0.)); #553103=CARTESIAN_POINT('',(41.6774,13.179934,0.)); #553104=CARTESIAN_POINT('',(41.6774,13.179934,0.)); #553105=CARTESIAN_POINT('',(41.6774,13.179934,0.035)); #553106=CARTESIAN_POINT('',(41.6774,13.179934,0.035)); #553107=CARTESIAN_POINT('',(41.6774,13.179934,0.)); #553108=CARTESIAN_POINT('Origin',(41.427034,13.4303,0.)); #553109=CARTESIAN_POINT('',(41.427034,13.4303,0.)); #553110=CARTESIAN_POINT('',(41.427034,13.4303,0.)); #553111=CARTESIAN_POINT('',(41.427034,13.4303,0.035)); #553112=CARTESIAN_POINT('',(41.427034,13.4303,0.035)); #553113=CARTESIAN_POINT('',(41.427034,13.4303,0.)); #553114=CARTESIAN_POINT('Origin',(41.072966,13.4303,0.)); #553115=CARTESIAN_POINT('',(41.072966,13.4303,0.)); #553116=CARTESIAN_POINT('',(41.072966,13.4303,0.)); #553117=CARTESIAN_POINT('',(41.072966,13.4303,0.035)); #553118=CARTESIAN_POINT('',(41.072966,13.4303,0.035)); #553119=CARTESIAN_POINT('',(41.072966,13.4303,0.)); #553120=CARTESIAN_POINT('Origin',(40.8226,13.179934,0.)); #553121=CARTESIAN_POINT('',(40.8226,13.179934,0.)); #553122=CARTESIAN_POINT('',(40.8226,13.179934,0.)); #553123=CARTESIAN_POINT('',(40.8226,13.179934,0.035)); #553124=CARTESIAN_POINT('',(40.8226,13.179934,0.035)); #553125=CARTESIAN_POINT('',(40.8226,13.179934,0.)); #553126=CARTESIAN_POINT('Origin',(40.8226,12.930353,0.)); #553127=CARTESIAN_POINT('',(40.8226,12.930353,0.)); #553128=CARTESIAN_POINT('',(40.8226,12.930353,0.)); #553129=CARTESIAN_POINT('',(40.8226,12.930353,0.035)); #553130=CARTESIAN_POINT('',(40.8226,12.930353,0.035)); #553131=CARTESIAN_POINT('',(40.8226,12.930353,0.)); #553132=CARTESIAN_POINT('Origin',(40.817031,12.901756,0.)); #553133=CARTESIAN_POINT('',(40.817031,12.901756,0.)); #553134=CARTESIAN_POINT('',(40.817031,12.901756,0.)); #553135=CARTESIAN_POINT('',(40.817031,12.901756,0.035)); #553136=CARTESIAN_POINT('',(40.817031,12.901756,0.035)); #553137=CARTESIAN_POINT('',(40.817031,12.901756,0.)); #553138=CARTESIAN_POINT('Origin',(40.800281,12.876472,0.)); #553139=CARTESIAN_POINT('',(40.800281,12.876472,0.)); #553140=CARTESIAN_POINT('',(40.800281,12.876472,0.)); #553141=CARTESIAN_POINT('',(40.800281,12.876472,0.035)); #553142=CARTESIAN_POINT('',(40.800281,12.876472,0.035)); #553143=CARTESIAN_POINT('',(40.800281,12.876472,0.)); #553144=CARTESIAN_POINT('Origin',(40.424728,12.500919,0.)); #553145=CARTESIAN_POINT('',(40.424728,12.500919,0.)); #553146=CARTESIAN_POINT('',(40.424728,12.500919,0.)); #553147=CARTESIAN_POINT('',(40.424728,12.500919,0.035)); #553148=CARTESIAN_POINT('',(40.424728,12.500919,0.035)); #553149=CARTESIAN_POINT('',(40.424728,12.500919,0.)); #553150=CARTESIAN_POINT('Origin',(40.400569,12.484634,0.)); #553151=CARTESIAN_POINT('',(40.400569,12.484634,0.)); #553152=CARTESIAN_POINT('',(40.400569,12.484634,0.)); #553153=CARTESIAN_POINT('',(40.400569,12.484634,0.035)); #553154=CARTESIAN_POINT('',(40.400569,12.484634,0.035)); #553155=CARTESIAN_POINT('',(40.400569,12.484634,0.)); #553156=CARTESIAN_POINT('Origin',(40.370847,12.4786,0.)); #553157=CARTESIAN_POINT('',(40.370847,12.4786,0.)); #553158=CARTESIAN_POINT('',(40.370847,12.4786,0.)); #553159=CARTESIAN_POINT('',(40.370847,12.4786,0.035)); #553160=CARTESIAN_POINT('',(40.370847,12.4786,0.035)); #553161=CARTESIAN_POINT('',(40.370847,12.4786,0.)); #553162=CARTESIAN_POINT('Origin',(35.344897,12.4786,0.)); #553163=CARTESIAN_POINT('',(35.344897,12.4786,0.)); #553164=CARTESIAN_POINT('',(35.344897,12.4786,0.)); #553165=CARTESIAN_POINT('',(35.344897,12.4786,0.035)); #553166=CARTESIAN_POINT('',(35.344897,12.4786,0.035)); #553167=CARTESIAN_POINT('',(35.344897,12.4786,0.)); #553168=CARTESIAN_POINT('Origin',(35.3163,12.484169,0.)); #553169=CARTESIAN_POINT('',(35.3163,12.484169,0.)); #553170=CARTESIAN_POINT('',(35.3163,12.484169,0.)); #553171=CARTESIAN_POINT('',(35.3163,12.484169,0.035)); #553172=CARTESIAN_POINT('',(35.3163,12.484169,0.035)); #553173=CARTESIAN_POINT('',(35.3163,12.484169,0.)); #553174=CARTESIAN_POINT('Origin',(35.291016,12.500919,0.)); #553175=CARTESIAN_POINT('',(35.291016,12.500919,0.)); #553176=CARTESIAN_POINT('',(35.291016,12.500919,0.)); #553177=CARTESIAN_POINT('',(35.291016,12.500919,0.035)); #553178=CARTESIAN_POINT('',(35.291016,12.500919,0.035)); #553179=CARTESIAN_POINT('',(35.291016,12.500919,0.)); #553180=CARTESIAN_POINT('Origin',(35.114534,12.6774,0.)); #553181=CARTESIAN_POINT('',(35.114534,12.6774,0.)); #553182=CARTESIAN_POINT('',(35.114534,12.6774,0.)); #553183=CARTESIAN_POINT('',(35.114534,12.6774,0.035)); #553184=CARTESIAN_POINT('',(35.114534,12.6774,0.035)); #553185=CARTESIAN_POINT('',(35.114534,12.6774,0.)); #553186=CARTESIAN_POINT('Origin',(34.760466,12.6774,0.)); #553187=CARTESIAN_POINT('',(34.760466,12.6774,0.)); #553188=CARTESIAN_POINT('',(34.760466,12.6774,0.)); #553189=CARTESIAN_POINT('',(34.760466,12.6774,0.035)); #553190=CARTESIAN_POINT('',(34.760466,12.6774,0.035)); #553191=CARTESIAN_POINT('',(34.760466,12.6774,0.)); #553192=CARTESIAN_POINT('Origin',(34.553881,12.470816,0.)); #553193=CARTESIAN_POINT('',(34.553881,12.470816,0.)); #553194=CARTESIAN_POINT('',(34.553881,12.470816,0.)); #553195=CARTESIAN_POINT('',(34.553881,12.470816,0.035)); #553196=CARTESIAN_POINT('',(34.553881,12.470816,0.035)); #553197=CARTESIAN_POINT('',(34.553881,12.470816,0.)); #553198=CARTESIAN_POINT('Origin',(34.530834,12.455016,0.)); #553199=CARTESIAN_POINT('',(34.530834,12.455016,0.)); #553200=CARTESIAN_POINT('',(34.530834,12.455016,0.)); #553201=CARTESIAN_POINT('',(34.530834,12.455016,0.035)); #553202=CARTESIAN_POINT('',(34.530834,12.455016,0.035)); #553203=CARTESIAN_POINT('',(34.530834,12.455016,0.)); #553204=CARTESIAN_POINT('Origin',(34.501216,12.448506,0.)); #553205=CARTESIAN_POINT('',(34.501216,12.448506,0.)); #553206=CARTESIAN_POINT('',(34.501216,12.448506,0.)); #553207=CARTESIAN_POINT('',(34.501216,12.448506,0.035)); #553208=CARTESIAN_POINT('',(34.501216,12.448506,0.035)); #553209=CARTESIAN_POINT('',(34.501216,12.448506,0.)); #553210=CARTESIAN_POINT('Origin',(34.471403,12.454066,0.)); #553211=CARTESIAN_POINT('',(34.471403,12.454066,0.)); #553212=CARTESIAN_POINT('',(34.471403,12.454066,0.)); #553213=CARTESIAN_POINT('',(34.471403,12.454066,0.035)); #553214=CARTESIAN_POINT('',(34.471403,12.454066,0.035)); #553215=CARTESIAN_POINT('',(34.471403,12.454066,0.)); #553216=CARTESIAN_POINT('Origin',(34.446119,12.470816,0.)); #553217=CARTESIAN_POINT('',(34.446119,12.470816,0.)); #553218=CARTESIAN_POINT('',(34.446119,12.470816,0.)); #553219=CARTESIAN_POINT('',(34.446119,12.470816,0.035)); #553220=CARTESIAN_POINT('',(34.446119,12.470816,0.035)); #553221=CARTESIAN_POINT('',(34.446119,12.470816,0.)); #553222=CARTESIAN_POINT('Origin',(34.239534,12.6774,0.)); #553223=CARTESIAN_POINT('',(34.239534,12.6774,0.)); #553224=CARTESIAN_POINT('',(34.239534,12.6774,0.)); #553225=CARTESIAN_POINT('',(34.239534,12.6774,0.035)); #553226=CARTESIAN_POINT('',(34.239534,12.6774,0.035)); #553227=CARTESIAN_POINT('',(34.239534,12.6774,0.)); #553228=CARTESIAN_POINT('Origin',(33.885466,12.6774,0.)); #553229=CARTESIAN_POINT('',(33.885466,12.6774,0.)); #553230=CARTESIAN_POINT('',(33.885466,12.6774,0.)); #553231=CARTESIAN_POINT('',(33.885466,12.6774,0.035)); #553232=CARTESIAN_POINT('',(33.885466,12.6774,0.035)); #553233=CARTESIAN_POINT('',(33.885466,12.6774,0.)); #553234=CARTESIAN_POINT('Origin',(33.708984,12.500919,0.)); #553235=CARTESIAN_POINT('',(33.708984,12.500919,0.)); #553236=CARTESIAN_POINT('',(33.708984,12.500919,0.)); #553237=CARTESIAN_POINT('',(33.708984,12.500919,0.035)); #553238=CARTESIAN_POINT('',(33.708984,12.500919,0.035)); #553239=CARTESIAN_POINT('',(33.708984,12.500919,0.)); #553240=CARTESIAN_POINT('Origin',(33.684825,12.484634,0.)); #553241=CARTESIAN_POINT('',(33.684825,12.484634,0.)); #553242=CARTESIAN_POINT('',(33.684825,12.484634,0.)); #553243=CARTESIAN_POINT('',(33.684825,12.484634,0.035)); #553244=CARTESIAN_POINT('',(33.684825,12.484634,0.035)); #553245=CARTESIAN_POINT('',(33.684825,12.484634,0.)); #553246=CARTESIAN_POINT('Origin',(33.655103,12.4786,0.)); #553247=CARTESIAN_POINT('',(33.655103,12.4786,0.)); #553248=CARTESIAN_POINT('',(33.655103,12.4786,0.)); #553249=CARTESIAN_POINT('',(33.655103,12.4786,0.035)); #553250=CARTESIAN_POINT('',(33.655103,12.4786,0.035)); #553251=CARTESIAN_POINT('',(33.655103,12.4786,0.)); #553252=CARTESIAN_POINT('Origin',(31.266997,12.4786,0.)); #553253=CARTESIAN_POINT('',(31.266997,12.4786,0.)); #553254=CARTESIAN_POINT('',(31.266997,12.4786,0.)); #553255=CARTESIAN_POINT('',(31.266997,12.4786,0.035)); #553256=CARTESIAN_POINT('',(31.266997,12.4786,0.035)); #553257=CARTESIAN_POINT('',(31.266997,12.4786,0.)); #553258=CARTESIAN_POINT('Origin',(31.240666,12.483294,0.)); #553259=CARTESIAN_POINT('',(31.240666,12.483294,0.)); #553260=CARTESIAN_POINT('',(31.240666,12.483294,0.)); #553261=CARTESIAN_POINT('',(31.240666,12.483294,0.035)); #553262=CARTESIAN_POINT('',(31.240666,12.483294,0.035)); #553263=CARTESIAN_POINT('',(31.240666,12.483294,0.)); #553264=CARTESIAN_POINT('Origin',(31.214859,12.499228,0.)); #553265=CARTESIAN_POINT('',(31.214859,12.499228,0.)); #553266=CARTESIAN_POINT('',(31.214859,12.499228,0.)); #553267=CARTESIAN_POINT('',(31.214859,12.499228,0.035)); #553268=CARTESIAN_POINT('',(31.214859,12.499228,0.035)); #553269=CARTESIAN_POINT('',(31.214859,12.499228,0.)); #553270=CARTESIAN_POINT('Origin',(31.197316,12.523966,0.)); #553271=CARTESIAN_POINT('',(31.197316,12.523966,0.)); #553272=CARTESIAN_POINT('',(31.197316,12.523966,0.)); #553273=CARTESIAN_POINT('',(31.197316,12.523966,0.035)); #553274=CARTESIAN_POINT('',(31.197316,12.523966,0.035)); #553275=CARTESIAN_POINT('',(31.197316,12.523966,0.)); #553276=CARTESIAN_POINT('Origin',(31.190806,12.553584,0.)); #553277=CARTESIAN_POINT('',(31.190806,12.553584,0.)); #553278=CARTESIAN_POINT('',(31.190806,12.553584,0.)); #553279=CARTESIAN_POINT('',(31.190806,12.553584,0.035)); #553280=CARTESIAN_POINT('',(31.190806,12.553584,0.035)); #553281=CARTESIAN_POINT('',(31.190806,12.553584,0.)); #553282=CARTESIAN_POINT('Origin',(31.196366,12.583397,0.)); #553283=CARTESIAN_POINT('',(31.196366,12.583397,0.)); #553284=CARTESIAN_POINT('',(31.196366,12.583397,0.)); #553285=CARTESIAN_POINT('',(31.196366,12.583397,0.035)); #553286=CARTESIAN_POINT('',(31.196366,12.583397,0.035)); #553287=CARTESIAN_POINT('',(31.196366,12.583397,0.)); #553288=CARTESIAN_POINT('Origin',(31.213116,12.608681,0.)); #553289=CARTESIAN_POINT('',(31.213116,12.608681,0.)); #553290=CARTESIAN_POINT('',(31.213116,12.608681,0.)); #553291=CARTESIAN_POINT('',(31.213116,12.608681,0.035)); #553292=CARTESIAN_POINT('',(31.213116,12.608681,0.035)); #553293=CARTESIAN_POINT('',(31.213116,12.608681,0.)); #553294=CARTESIAN_POINT('Origin',(31.4274,12.822966,0.)); #553295=CARTESIAN_POINT('',(31.4274,12.822966,0.)); #553296=CARTESIAN_POINT('',(31.4274,12.822966,0.)); #553297=CARTESIAN_POINT('',(31.4274,12.822966,0.035)); #553298=CARTESIAN_POINT('',(31.4274,12.822966,0.035)); #553299=CARTESIAN_POINT('',(31.4274,12.822966,0.)); #553300=CARTESIAN_POINT('Origin',(31.4274,13.177034,0.)); #553301=CARTESIAN_POINT('',(31.4274,13.177034,0.)); #553302=CARTESIAN_POINT('',(31.4274,13.177034,0.)); #553303=CARTESIAN_POINT('',(31.4274,13.177034,0.035)); #553304=CARTESIAN_POINT('',(31.4274,13.177034,0.035)); #553305=CARTESIAN_POINT('',(31.4274,13.177034,0.)); #553306=CARTESIAN_POINT('Origin',(31.177034,13.4274,0.)); #553307=CARTESIAN_POINT('',(31.177034,13.4274,0.)); #553308=CARTESIAN_POINT('',(31.177034,13.4274,0.)); #553309=CARTESIAN_POINT('',(31.177034,13.4274,0.035)); #553310=CARTESIAN_POINT('',(31.177034,13.4274,0.035)); #553311=CARTESIAN_POINT('',(31.177034,13.4274,0.)); #553312=CARTESIAN_POINT('Origin',(30.822966,13.4274,0.)); #553313=CARTESIAN_POINT('',(30.822966,13.4274,0.)); #553314=CARTESIAN_POINT('',(30.822966,13.4274,0.)); #553315=CARTESIAN_POINT('',(30.822966,13.4274,0.035)); #553316=CARTESIAN_POINT('',(30.822966,13.4274,0.035)); #553317=CARTESIAN_POINT('',(30.822966,13.4274,0.)); #553318=CARTESIAN_POINT('Origin',(30.646484,13.250919,0.)); #553319=CARTESIAN_POINT('',(30.646484,13.250919,0.)); #553320=CARTESIAN_POINT('',(30.646484,13.250919,0.)); #553321=CARTESIAN_POINT('',(30.646484,13.250919,0.035)); #553322=CARTESIAN_POINT('',(30.646484,13.250919,0.035)); #553323=CARTESIAN_POINT('',(30.646484,13.250919,0.)); #553324=CARTESIAN_POINT('Origin',(30.622325,13.234634,0.)); #553325=CARTESIAN_POINT('',(30.622325,13.234634,0.)); #553326=CARTESIAN_POINT('',(30.622325,13.234634,0.)); #553327=CARTESIAN_POINT('',(30.622325,13.234634,0.035)); #553328=CARTESIAN_POINT('',(30.622325,13.234634,0.035)); #553329=CARTESIAN_POINT('',(30.622325,13.234634,0.)); #553330=CARTESIAN_POINT('Origin',(30.592603,13.2286,0.)); #553331=CARTESIAN_POINT('',(30.592603,13.2286,0.)); #553332=CARTESIAN_POINT('',(30.592603,13.2286,0.)); #553333=CARTESIAN_POINT('',(30.592603,13.2286,0.035)); #553334=CARTESIAN_POINT('',(30.592603,13.2286,0.035)); #553335=CARTESIAN_POINT('',(30.592603,13.2286,0.)); #553336=CARTESIAN_POINT('Origin',(30.376253,13.2286,0.)); #553337=CARTESIAN_POINT('',(30.376253,13.2286,0.)); #553338=CARTESIAN_POINT('',(30.376253,13.2286,0.)); #553339=CARTESIAN_POINT('',(30.376253,13.2286,0.035)); #553340=CARTESIAN_POINT('',(30.376253,13.2286,0.035)); #553341=CARTESIAN_POINT('',(30.376253,13.2286,0.)); #553342=CARTESIAN_POINT('Origin',(30.347656,13.234169,0.)); #553343=CARTESIAN_POINT('',(30.347656,13.234169,0.)); #553344=CARTESIAN_POINT('',(30.347656,13.234169,0.)); #553345=CARTESIAN_POINT('',(30.347656,13.234169,0.035)); #553346=CARTESIAN_POINT('',(30.347656,13.234169,0.035)); #553347=CARTESIAN_POINT('',(30.347656,13.234169,0.)); #553348=CARTESIAN_POINT('Origin',(30.322372,13.250919,0.)); #553349=CARTESIAN_POINT('',(30.322372,13.250919,0.)); #553350=CARTESIAN_POINT('',(30.322372,13.250919,0.)); #553351=CARTESIAN_POINT('',(30.322372,13.250919,0.035)); #553352=CARTESIAN_POINT('',(30.322372,13.250919,0.035)); #553353=CARTESIAN_POINT('',(30.322372,13.250919,0.)); #553354=CARTESIAN_POINT('Origin',(27.250919,16.322372,0.)); #553355=CARTESIAN_POINT('',(27.250919,16.322372,0.)); #553356=CARTESIAN_POINT('',(27.250919,16.322372,0.)); #553357=CARTESIAN_POINT('',(27.250919,16.322372,0.035)); #553358=CARTESIAN_POINT('',(27.250919,16.322372,0.035)); #553359=CARTESIAN_POINT('',(27.250919,16.322372,0.)); #553360=CARTESIAN_POINT('Origin',(27.234634,16.346531,0.)); #553361=CARTESIAN_POINT('',(27.234634,16.346531,0.)); #553362=CARTESIAN_POINT('',(27.234634,16.346531,0.)); #553363=CARTESIAN_POINT('',(27.234634,16.346531,0.035)); #553364=CARTESIAN_POINT('',(27.234634,16.346531,0.035)); #553365=CARTESIAN_POINT('',(27.234634,16.346531,0.)); #553366=CARTESIAN_POINT('Origin',(27.2286,16.376253,0.)); #553367=CARTESIAN_POINT('',(27.2286,16.376253,0.)); #553368=CARTESIAN_POINT('',(27.2286,16.376253,0.)); #553369=CARTESIAN_POINT('',(27.2286,16.376253,0.035)); #553370=CARTESIAN_POINT('',(27.2286,16.376253,0.035)); #553371=CARTESIAN_POINT('',(27.2286,16.376253,0.)); #553372=CARTESIAN_POINT('Origin',(27.2286,18.3269,0.)); #553373=CARTESIAN_POINT('',(27.2286,18.3269,0.)); #553374=CARTESIAN_POINT('',(27.2286,18.3269,0.)); #553375=CARTESIAN_POINT('',(27.2286,18.3269,0.035)); #553376=CARTESIAN_POINT('',(27.2286,18.3269,0.035)); #553377=CARTESIAN_POINT('',(27.2286,18.3269,0.)); #553378=CARTESIAN_POINT('Origin',(27.233722,18.354369,0.)); #553379=CARTESIAN_POINT('',(27.233722,18.354369,0.)); #553380=CARTESIAN_POINT('',(27.233722,18.354369,0.)); #553381=CARTESIAN_POINT('',(27.233722,18.354369,0.035)); #553382=CARTESIAN_POINT('',(27.233722,18.354369,0.035)); #553383=CARTESIAN_POINT('',(27.233722,18.354369,0.)); #553384=CARTESIAN_POINT('Origin',(27.250066,18.379916,0.)); #553385=CARTESIAN_POINT('',(27.250066,18.379916,0.)); #553386=CARTESIAN_POINT('',(27.250066,18.379916,0.)); #553387=CARTESIAN_POINT('',(27.250066,18.379916,0.035)); #553388=CARTESIAN_POINT('',(27.250066,18.379916,0.035)); #553389=CARTESIAN_POINT('',(27.250066,18.379916,0.)); #553390=CARTESIAN_POINT('Origin',(27.275078,18.397066,0.)); #553391=CARTESIAN_POINT('',(27.275078,18.397066,0.)); #553392=CARTESIAN_POINT('',(27.275078,18.397066,0.)); #553393=CARTESIAN_POINT('',(27.275078,18.397066,0.035)); #553394=CARTESIAN_POINT('',(27.275078,18.397066,0.035)); #553395=CARTESIAN_POINT('',(27.275078,18.397066,0.)); #553396=CARTESIAN_POINT('Origin',(27.3048,18.4031,0.)); #553397=CARTESIAN_POINT('',(27.3048,18.4031,0.)); #553398=CARTESIAN_POINT('',(27.3048,18.4031,0.)); #553399=CARTESIAN_POINT('',(27.3048,18.4031,0.035)); #553400=CARTESIAN_POINT('',(27.3048,18.4031,0.035)); #553401=CARTESIAN_POINT('',(27.3048,18.4031,0.)); #553402=CARTESIAN_POINT('Origin',(28.244228,18.4031,0.)); #553403=CARTESIAN_POINT('',(28.244228,18.4031,0.)); #553404=CARTESIAN_POINT('',(28.244228,18.4031,0.)); #553405=CARTESIAN_POINT('',(28.244228,18.4031,0.035)); #553406=CARTESIAN_POINT('',(28.244228,18.4031,0.035)); #553407=CARTESIAN_POINT('',(28.244228,18.4031,0.)); #553408=CARTESIAN_POINT('Origin',(28.3335,18.492372,0.)); #553409=CARTESIAN_POINT('',(28.3335,18.492372,0.)); #553410=CARTESIAN_POINT('',(28.3335,18.492372,0.)); #553411=CARTESIAN_POINT('',(28.3335,18.492372,0.035)); #553412=CARTESIAN_POINT('',(28.3335,18.492372,0.035)); #553413=CARTESIAN_POINT('',(28.3335,18.492372,0.)); #553414=CARTESIAN_POINT('Origin',(28.3335,19.507628,0.)); #553415=CARTESIAN_POINT('',(28.3335,19.507628,0.)); #553416=CARTESIAN_POINT('',(28.3335,19.507628,0.)); #553417=CARTESIAN_POINT('',(28.3335,19.507628,0.035)); #553418=CARTESIAN_POINT('',(28.3335,19.507628,0.035)); #553419=CARTESIAN_POINT('',(28.3335,19.507628,0.)); #553420=CARTESIAN_POINT('Origin',(28.244228,19.5969,0.)); #553421=CARTESIAN_POINT('',(28.244228,19.5969,0.)); #553422=CARTESIAN_POINT('',(28.244228,19.5969,0.)); #553423=CARTESIAN_POINT('',(28.244228,19.5969,0.035)); #553424=CARTESIAN_POINT('',(28.244228,19.5969,0.035)); #553425=CARTESIAN_POINT('',(28.244228,19.5969,0.)); #553426=CARTESIAN_POINT('Origin',(27.3048,19.5969,0.)); #553427=CARTESIAN_POINT('',(27.3048,19.5969,0.)); #553428=CARTESIAN_POINT('',(27.3048,19.5969,0.)); #553429=CARTESIAN_POINT('',(27.3048,19.5969,0.035)); #553430=CARTESIAN_POINT('',(27.3048,19.5969,0.035)); #553431=CARTESIAN_POINT('',(27.3048,19.5969,0.)); #553432=CARTESIAN_POINT('Origin',(27.277331,19.602022,0.)); #553433=CARTESIAN_POINT('',(27.277331,19.602022,0.)); #553434=CARTESIAN_POINT('',(27.277331,19.602022,0.)); #553435=CARTESIAN_POINT('',(27.277331,19.602022,0.035)); #553436=CARTESIAN_POINT('',(27.277331,19.602022,0.035)); #553437=CARTESIAN_POINT('',(27.277331,19.602022,0.)); #553438=CARTESIAN_POINT('Origin',(27.251784,19.618366,0.)); #553439=CARTESIAN_POINT('',(27.251784,19.618366,0.)); #553440=CARTESIAN_POINT('',(27.251784,19.618366,0.)); #553441=CARTESIAN_POINT('',(27.251784,19.618366,0.035)); #553442=CARTESIAN_POINT('',(27.251784,19.618366,0.035)); #553443=CARTESIAN_POINT('',(27.251784,19.618366,0.)); #553444=CARTESIAN_POINT('Origin',(27.234634,19.643378,0.)); #553445=CARTESIAN_POINT('',(27.234634,19.643378,0.)); #553446=CARTESIAN_POINT('',(27.234634,19.643378,0.)); #553447=CARTESIAN_POINT('',(27.234634,19.643378,0.035)); #553448=CARTESIAN_POINT('',(27.234634,19.643378,0.035)); #553449=CARTESIAN_POINT('',(27.234634,19.643378,0.)); #553450=CARTESIAN_POINT('Origin',(27.2286,19.6731,0.)); #553451=CARTESIAN_POINT('',(27.2286,19.6731,0.)); #553452=CARTESIAN_POINT('',(27.2286,19.6731,0.)); #553453=CARTESIAN_POINT('',(27.2286,19.6731,0.035)); #553454=CARTESIAN_POINT('',(27.2286,19.6731,0.035)); #553455=CARTESIAN_POINT('',(27.2286,19.6731,0.)); #553456=CARTESIAN_POINT('Origin',(27.2286,20.748747,0.)); #553457=CARTESIAN_POINT('',(27.2286,20.748747,0.)); #553458=CARTESIAN_POINT('',(27.2286,20.748747,0.)); #553459=CARTESIAN_POINT('',(27.2286,20.748747,0.035)); #553460=CARTESIAN_POINT('',(27.2286,20.748747,0.035)); #553461=CARTESIAN_POINT('',(27.2286,20.748747,0.)); #553462=CARTESIAN_POINT('Origin',(27.234169,20.777344,0.)); #553463=CARTESIAN_POINT('',(27.234169,20.777344,0.)); #553464=CARTESIAN_POINT('',(27.234169,20.777344,0.)); #553465=CARTESIAN_POINT('',(27.234169,20.777344,0.035)); #553466=CARTESIAN_POINT('',(27.234169,20.777344,0.035)); #553467=CARTESIAN_POINT('',(27.234169,20.777344,0.)); #553468=CARTESIAN_POINT('Origin',(27.250919,20.802628,0.)); #553469=CARTESIAN_POINT('',(27.250919,20.802628,0.)); #553470=CARTESIAN_POINT('',(27.250919,20.802628,0.)); #553471=CARTESIAN_POINT('',(27.250919,20.802628,0.035)); #553472=CARTESIAN_POINT('',(27.250919,20.802628,0.035)); #553473=CARTESIAN_POINT('',(27.250919,20.802628,0.)); #553474=CARTESIAN_POINT('Origin',(28.072372,21.624081,0.)); #553475=CARTESIAN_POINT('',(28.072372,21.624081,0.)); #553476=CARTESIAN_POINT('',(28.072372,21.624081,0.)); #553477=CARTESIAN_POINT('',(28.072372,21.624081,0.035)); #553478=CARTESIAN_POINT('',(28.072372,21.624081,0.035)); #553479=CARTESIAN_POINT('',(28.072372,21.624081,0.)); #553480=CARTESIAN_POINT('Origin',(28.096531,21.640366,0.)); #553481=CARTESIAN_POINT('',(28.096531,21.640366,0.)); #553482=CARTESIAN_POINT('',(28.096531,21.640366,0.)); #553483=CARTESIAN_POINT('',(28.096531,21.640366,0.035)); #553484=CARTESIAN_POINT('',(28.096531,21.640366,0.035)); #553485=CARTESIAN_POINT('',(28.096531,21.640366,0.)); #553486=CARTESIAN_POINT('Origin',(28.126253,21.6464,0.)); #553487=CARTESIAN_POINT('',(28.126253,21.6464,0.)); #553488=CARTESIAN_POINT('',(28.126253,21.6464,0.)); #553489=CARTESIAN_POINT('',(28.126253,21.6464,0.035)); #553490=CARTESIAN_POINT('',(28.126253,21.6464,0.035)); #553491=CARTESIAN_POINT('',(28.126253,21.6464,0.)); #553492=CARTESIAN_POINT('Origin',(36.748747,21.6464,0.)); #553493=CARTESIAN_POINT('',(36.748747,21.6464,0.)); #553494=CARTESIAN_POINT('',(36.748747,21.6464,0.)); #553495=CARTESIAN_POINT('',(36.748747,21.6464,0.035)); #553496=CARTESIAN_POINT('',(36.748747,21.6464,0.035)); #553497=CARTESIAN_POINT('',(36.748747,21.6464,0.)); #553498=CARTESIAN_POINT('Origin',(36.777344,21.640831,0.)); #553499=CARTESIAN_POINT('',(36.777344,21.640831,0.)); #553500=CARTESIAN_POINT('',(36.777344,21.640831,0.)); #553501=CARTESIAN_POINT('',(36.777344,21.640831,0.035)); #553502=CARTESIAN_POINT('',(36.777344,21.640831,0.035)); #553503=CARTESIAN_POINT('',(36.777344,21.640831,0.)); #553504=CARTESIAN_POINT('Origin',(36.802628,21.624081,0.)); #553505=CARTESIAN_POINT('',(36.802628,21.624081,0.)); #553506=CARTESIAN_POINT('',(36.802628,21.624081,0.)); #553507=CARTESIAN_POINT('',(36.802628,21.624081,0.035)); #553508=CARTESIAN_POINT('',(36.802628,21.624081,0.035)); #553509=CARTESIAN_POINT('',(36.802628,21.624081,0.)); #553510=CARTESIAN_POINT('Origin',(37.050281,21.376428,0.)); #553511=CARTESIAN_POINT('',(37.050281,21.376428,0.)); #553512=CARTESIAN_POINT('',(37.050281,21.376428,0.)); #553513=CARTESIAN_POINT('',(37.050281,21.376428,0.035)); #553514=CARTESIAN_POINT('',(37.050281,21.376428,0.035)); #553515=CARTESIAN_POINT('',(37.050281,21.376428,0.)); #553516=CARTESIAN_POINT('Origin',(37.066566,21.352269,0.)); #553517=CARTESIAN_POINT('',(37.066566,21.352269,0.)); #553518=CARTESIAN_POINT('',(37.066566,21.352269,0.)); #553519=CARTESIAN_POINT('',(37.066566,21.352269,0.035)); #553520=CARTESIAN_POINT('',(37.066566,21.352269,0.035)); #553521=CARTESIAN_POINT('',(37.066566,21.352269,0.)); #553522=CARTESIAN_POINT('Origin',(37.0726,21.322547,0.)); #553523=CARTESIAN_POINT('',(37.0726,21.322547,0.)); #553524=CARTESIAN_POINT('',(37.0726,21.322547,0.)); #553525=CARTESIAN_POINT('',(37.0726,21.322547,0.035)); #553526=CARTESIAN_POINT('',(37.0726,21.322547,0.035)); #553527=CARTESIAN_POINT('',(37.0726,21.322547,0.)); #553528=CARTESIAN_POINT('Origin',(37.0726,21.072966,0.)); #553529=CARTESIAN_POINT('',(37.0726,21.072966,0.)); #553530=CARTESIAN_POINT('',(37.0726,21.072966,0.)); #553531=CARTESIAN_POINT('',(37.0726,21.072966,0.035)); #553532=CARTESIAN_POINT('',(37.0726,21.072966,0.035)); #553533=CARTESIAN_POINT('',(37.0726,21.072966,0.)); #553534=CARTESIAN_POINT('Origin',(37.322966,20.8226,0.)); #553535=CARTESIAN_POINT('',(37.322966,20.8226,0.)); #553536=CARTESIAN_POINT('',(37.322966,20.8226,0.)); #553537=CARTESIAN_POINT('',(37.322966,20.8226,0.035)); #553538=CARTESIAN_POINT('',(37.322966,20.8226,0.035)); #553539=CARTESIAN_POINT('',(37.322966,20.8226,0.)); #553540=CARTESIAN_POINT('Origin',(37.677034,20.8226,0.)); #553541=CARTESIAN_POINT('',(37.677034,20.8226,0.)); #553542=CARTESIAN_POINT('',(37.677034,20.8226,0.)); #553543=CARTESIAN_POINT('',(37.677034,20.8226,0.035)); #553544=CARTESIAN_POINT('',(37.677034,20.8226,0.035)); #553545=CARTESIAN_POINT('',(37.677034,20.8226,0.)); #553546=CARTESIAN_POINT('Origin',(37.9274,21.072966,0.)); #553547=CARTESIAN_POINT('',(37.9274,21.072966,0.)); #553548=CARTESIAN_POINT('',(37.9274,21.072966,0.)); #553549=CARTESIAN_POINT('',(37.9274,21.072966,0.035)); #553550=CARTESIAN_POINT('',(37.9274,21.072966,0.035)); #553551=CARTESIAN_POINT('',(37.9274,21.072966,0.)); #553552=CARTESIAN_POINT('Origin',(37.9274,21.427034,0.)); #553553=CARTESIAN_POINT('',(37.9274,21.427034,0.)); #553554=CARTESIAN_POINT('',(37.9274,21.427034,0.)); #553555=CARTESIAN_POINT('',(37.9274,21.427034,0.035)); #553556=CARTESIAN_POINT('',(37.9274,21.427034,0.035)); #553557=CARTESIAN_POINT('',(37.9274,21.427034,0.)); #553558=CARTESIAN_POINT('Origin',(37.838116,21.516319,0.)); #553559=CARTESIAN_POINT('',(37.838116,21.516319,0.)); #553560=CARTESIAN_POINT('',(37.838116,21.516319,0.)); #553561=CARTESIAN_POINT('',(37.838116,21.516319,0.035)); #553562=CARTESIAN_POINT('',(37.838116,21.516319,0.035)); #553563=CARTESIAN_POINT('',(37.838116,21.516319,0.)); #553564=CARTESIAN_POINT('Origin',(37.822816,21.538256,0.)); #553565=CARTESIAN_POINT('',(37.822816,21.538256,0.)); #553566=CARTESIAN_POINT('',(37.822816,21.538256,0.)); #553567=CARTESIAN_POINT('',(37.822816,21.538256,0.035)); #553568=CARTESIAN_POINT('',(37.822816,21.538256,0.035)); #553569=CARTESIAN_POINT('',(37.822816,21.538256,0.)); #553570=CARTESIAN_POINT('Origin',(37.815834,21.567772,0.)); #553571=CARTESIAN_POINT('',(37.815834,21.567772,0.)); #553572=CARTESIAN_POINT('',(37.815834,21.567772,0.)); #553573=CARTESIAN_POINT('',(37.815834,21.567772,0.035)); #553574=CARTESIAN_POINT('',(37.815834,21.567772,0.035)); #553575=CARTESIAN_POINT('',(37.815834,21.567772,0.)); #553576=CARTESIAN_POINT('Origin',(37.820919,21.597669,0.)); #553577=CARTESIAN_POINT('',(37.820919,21.597669,0.)); #553578=CARTESIAN_POINT('',(37.820919,21.597669,0.)); #553579=CARTESIAN_POINT('',(37.820919,21.597669,0.035)); #553580=CARTESIAN_POINT('',(37.820919,21.597669,0.035)); #553581=CARTESIAN_POINT('',(37.820919,21.597669,0.)); #553582=CARTESIAN_POINT('Origin',(37.837263,21.623216,0.)); #553583=CARTESIAN_POINT('',(37.837263,21.623216,0.)); #553584=CARTESIAN_POINT('',(37.837263,21.623216,0.)); #553585=CARTESIAN_POINT('',(37.837263,21.623216,0.035)); #553586=CARTESIAN_POINT('',(37.837263,21.623216,0.035)); #553587=CARTESIAN_POINT('',(37.837263,21.623216,0.)); #553588=CARTESIAN_POINT('Origin',(37.862275,21.640366,0.)); #553589=CARTESIAN_POINT('',(37.862275,21.640366,0.)); #553590=CARTESIAN_POINT('',(37.862275,21.640366,0.)); #553591=CARTESIAN_POINT('',(37.862275,21.640366,0.035)); #553592=CARTESIAN_POINT('',(37.862275,21.640366,0.035)); #553593=CARTESIAN_POINT('',(37.862275,21.640366,0.)); #553594=CARTESIAN_POINT('Origin',(37.891997,21.6464,0.)); #553595=CARTESIAN_POINT('',(37.891997,21.6464,0.)); #553596=CARTESIAN_POINT('',(37.891997,21.6464,0.)); #553597=CARTESIAN_POINT('',(37.891997,21.6464,0.035)); #553598=CARTESIAN_POINT('',(37.891997,21.6464,0.035)); #553599=CARTESIAN_POINT('',(37.891997,21.6464,0.)); #553600=CARTESIAN_POINT('Origin',(46.373747,21.6464,0.)); #553601=CARTESIAN_POINT('',(46.373747,21.6464,0.)); #553602=CARTESIAN_POINT('',(46.373747,21.6464,0.)); #553603=CARTESIAN_POINT('',(46.373747,21.6464,0.035)); #553604=CARTESIAN_POINT('',(46.373747,21.6464,0.035)); #553605=CARTESIAN_POINT('',(46.373747,21.6464,0.)); #553606=CARTESIAN_POINT('Origin',(46.402344,21.640831,0.)); #553607=CARTESIAN_POINT('',(46.402344,21.640831,0.)); #553608=CARTESIAN_POINT('',(46.402344,21.640831,0.)); #553609=CARTESIAN_POINT('',(46.402344,21.640831,0.035)); #553610=CARTESIAN_POINT('',(46.402344,21.640831,0.035)); #553611=CARTESIAN_POINT('',(46.402344,21.640831,0.)); #553612=CARTESIAN_POINT('Origin',(46.427628,21.624081,0.)); #553613=CARTESIAN_POINT('',(46.427628,21.624081,0.)); #553614=CARTESIAN_POINT('',(46.427628,21.624081,0.)); #553615=CARTESIAN_POINT('',(46.427628,21.624081,0.035)); #553616=CARTESIAN_POINT('',(46.427628,21.624081,0.035)); #553617=CARTESIAN_POINT('',(46.427628,21.624081,0.)); #553618=CARTESIAN_POINT('Origin',(47.114081,20.937628,0.)); #553619=CARTESIAN_POINT('',(47.114081,20.937628,0.)); #553620=CARTESIAN_POINT('',(47.114081,20.937628,0.)); #553621=CARTESIAN_POINT('',(47.114081,20.937628,0.035)); #553622=CARTESIAN_POINT('',(47.114081,20.937628,0.035)); #553623=CARTESIAN_POINT('',(47.114081,20.937628,0.)); #553624=CARTESIAN_POINT('Origin',(47.130366,20.913469,0.)); #553625=CARTESIAN_POINT('',(47.130366,20.913469,0.)); #553626=CARTESIAN_POINT('',(47.130366,20.913469,0.)); #553627=CARTESIAN_POINT('',(47.130366,20.913469,0.035)); #553628=CARTESIAN_POINT('',(47.130366,20.913469,0.035)); #553629=CARTESIAN_POINT('',(47.130366,20.913469,0.)); #553630=CARTESIAN_POINT('Origin',(47.1364,20.883747,0.)); #553631=CARTESIAN_POINT('',(47.1364,20.883747,0.)); #553632=CARTESIAN_POINT('',(47.1364,20.883747,0.)); #553633=CARTESIAN_POINT('',(47.1364,20.883747,0.035)); #553634=CARTESIAN_POINT('',(47.1364,20.883747,0.035)); #553635=CARTESIAN_POINT('',(47.1364,20.883747,0.)); #553636=CARTESIAN_POINT('Origin',(47.1364,19.4381,0.)); #553637=CARTESIAN_POINT('',(47.1364,19.4381,0.)); #553638=CARTESIAN_POINT('',(47.1364,19.4381,0.)); #553639=CARTESIAN_POINT('',(47.1364,19.4381,0.035)); #553640=CARTESIAN_POINT('',(47.1364,19.4381,0.035)); #553641=CARTESIAN_POINT('',(47.1364,19.4381,0.)); #553642=CARTESIAN_POINT('Origin',(47.131278,19.410631,0.)); #553643=CARTESIAN_POINT('',(47.131278,19.410631,0.)); #553644=CARTESIAN_POINT('',(47.131278,19.410631,0.)); #553645=CARTESIAN_POINT('',(47.131278,19.410631,0.035)); #553646=CARTESIAN_POINT('',(47.131278,19.410631,0.035)); #553647=CARTESIAN_POINT('',(47.131278,19.410631,0.)); #553648=CARTESIAN_POINT('Origin',(47.114934,19.385084,0.)); #553649=CARTESIAN_POINT('',(47.114934,19.385084,0.)); #553650=CARTESIAN_POINT('',(47.114934,19.385084,0.)); #553651=CARTESIAN_POINT('',(47.114934,19.385084,0.035)); #553652=CARTESIAN_POINT('',(47.114934,19.385084,0.035)); #553653=CARTESIAN_POINT('',(47.114934,19.385084,0.)); #553654=CARTESIAN_POINT('Origin',(47.089922,19.367934,0.)); #553655=CARTESIAN_POINT('',(47.089922,19.367934,0.)); #553656=CARTESIAN_POINT('',(47.089922,19.367934,0.)); #553657=CARTESIAN_POINT('',(47.089922,19.367934,0.035)); #553658=CARTESIAN_POINT('',(47.089922,19.367934,0.035)); #553659=CARTESIAN_POINT('',(47.089922,19.367934,0.)); #553660=CARTESIAN_POINT('Origin',(47.0602,19.3619,0.)); #553661=CARTESIAN_POINT('',(47.0602,19.3619,0.)); #553662=CARTESIAN_POINT('',(47.0602,19.3619,0.)); #553663=CARTESIAN_POINT('',(47.0602,19.3619,0.035)); #553664=CARTESIAN_POINT('',(47.0602,19.3619,0.035)); #553665=CARTESIAN_POINT('',(47.0602,19.3619,0.)); #553666=CARTESIAN_POINT('Origin',(46.997072,19.3619,0.)); #553667=CARTESIAN_POINT('',(46.997072,19.3619,0.)); #553668=CARTESIAN_POINT('',(46.997072,19.3619,0.)); #553669=CARTESIAN_POINT('',(46.997072,19.3619,0.035)); #553670=CARTESIAN_POINT('',(46.997072,19.3619,0.035)); #553671=CARTESIAN_POINT('',(46.997072,19.3619,0.)); #553672=CARTESIAN_POINT('Origin',(46.9078,19.272628,0.)); #553673=CARTESIAN_POINT('',(46.9078,19.272628,0.)); #553674=CARTESIAN_POINT('',(46.9078,19.272628,0.)); #553675=CARTESIAN_POINT('',(46.9078,19.272628,0.035)); #553676=CARTESIAN_POINT('',(46.9078,19.272628,0.035)); #553677=CARTESIAN_POINT('',(46.9078,19.272628,0.)); #553678=CARTESIAN_POINT('Origin',(46.9078,17.495372,0.)); #553679=CARTESIAN_POINT('',(46.9078,17.495372,0.)); #553680=CARTESIAN_POINT('',(46.9078,17.495372,0.)); #553681=CARTESIAN_POINT('',(46.9078,17.495372,0.035)); #553682=CARTESIAN_POINT('',(46.9078,17.495372,0.035)); #553683=CARTESIAN_POINT('',(46.9078,17.495372,0.)); #553684=CARTESIAN_POINT('Origin',(46.997072,17.4061,0.)); #553685=CARTESIAN_POINT('',(46.997072,17.4061,0.)); #553686=CARTESIAN_POINT('',(46.997072,17.4061,0.)); #553687=CARTESIAN_POINT('',(46.997072,17.4061,0.035)); #553688=CARTESIAN_POINT('',(46.997072,17.4061,0.035)); #553689=CARTESIAN_POINT('',(46.997072,17.4061,0.)); #553690=CARTESIAN_POINT('Origin',(47.732928,17.4061,0.)); #553691=CARTESIAN_POINT('',(47.732928,17.4061,0.)); #553692=CARTESIAN_POINT('',(47.732928,17.4061,0.)); #553693=CARTESIAN_POINT('',(47.732928,17.4061,0.035)); #553694=CARTESIAN_POINT('',(47.732928,17.4061,0.035)); #553695=CARTESIAN_POINT('',(47.732928,17.4061,0.)); #553696=CARTESIAN_POINT('Origin',(47.8222,17.495372,0.)); #553697=CARTESIAN_POINT('',(47.8222,17.495372,0.)); #553698=CARTESIAN_POINT('',(47.8222,17.495372,0.)); #553699=CARTESIAN_POINT('',(47.8222,17.495372,0.035)); #553700=CARTESIAN_POINT('',(47.8222,17.495372,0.035)); #553701=CARTESIAN_POINT('',(47.8222,17.495372,0.)); #553702=CARTESIAN_POINT('Origin',(47.8222,19.272628,0.)); #553703=CARTESIAN_POINT('',(47.8222,19.272628,0.)); #553704=CARTESIAN_POINT('',(47.8222,19.272628,0.)); #553705=CARTESIAN_POINT('',(47.8222,19.272628,0.035)); #553706=CARTESIAN_POINT('',(47.8222,19.272628,0.035)); #553707=CARTESIAN_POINT('',(47.8222,19.272628,0.)); #553708=CARTESIAN_POINT('Origin',(47.732928,19.3619,0.)); #553709=CARTESIAN_POINT('',(47.732928,19.3619,0.)); #553710=CARTESIAN_POINT('',(47.732928,19.3619,0.)); #553711=CARTESIAN_POINT('',(47.732928,19.3619,0.035)); #553712=CARTESIAN_POINT('',(47.732928,19.3619,0.035)); #553713=CARTESIAN_POINT('',(47.732928,19.3619,0.)); #553714=CARTESIAN_POINT('Origin',(47.6698,19.3619,0.)); #553715=CARTESIAN_POINT('',(47.6698,19.3619,0.)); #553716=CARTESIAN_POINT('',(47.6698,19.3619,0.)); #553717=CARTESIAN_POINT('',(47.6698,19.3619,0.035)); #553718=CARTESIAN_POINT('',(47.6698,19.3619,0.035)); #553719=CARTESIAN_POINT('',(47.6698,19.3619,0.)); #553720=CARTESIAN_POINT('Origin',(47.642331,19.367022,0.)); #553721=CARTESIAN_POINT('',(47.642331,19.367022,0.)); #553722=CARTESIAN_POINT('',(47.642331,19.367022,0.)); #553723=CARTESIAN_POINT('',(47.642331,19.367022,0.035)); #553724=CARTESIAN_POINT('',(47.642331,19.367022,0.035)); #553725=CARTESIAN_POINT('',(47.642331,19.367022,0.)); #553726=CARTESIAN_POINT('Origin',(47.616784,19.383366,0.)); #553727=CARTESIAN_POINT('',(47.616784,19.383366,0.)); #553728=CARTESIAN_POINT('',(47.616784,19.383366,0.)); #553729=CARTESIAN_POINT('',(47.616784,19.383366,0.035)); #553730=CARTESIAN_POINT('',(47.616784,19.383366,0.035)); #553731=CARTESIAN_POINT('',(47.616784,19.383366,0.)); #553732=CARTESIAN_POINT('Origin',(47.599634,19.408378,0.)); #553733=CARTESIAN_POINT('',(47.599634,19.408378,0.)); #553734=CARTESIAN_POINT('',(47.599634,19.408378,0.)); #553735=CARTESIAN_POINT('',(47.599634,19.408378,0.035)); #553736=CARTESIAN_POINT('',(47.599634,19.408378,0.035)); #553737=CARTESIAN_POINT('',(47.599634,19.408378,0.)); #553738=CARTESIAN_POINT('Origin',(47.5936,19.4381,0.)); #553739=CARTESIAN_POINT('',(47.5936,19.4381,0.)); #553740=CARTESIAN_POINT('',(47.5936,19.4381,0.)); #553741=CARTESIAN_POINT('',(47.5936,19.4381,0.035)); #553742=CARTESIAN_POINT('',(47.5936,19.4381,0.035)); #553743=CARTESIAN_POINT('',(47.5936,19.4381,0.)); #553744=CARTESIAN_POINT('Origin',(47.5936,21.104691,0.)); #553745=CARTESIAN_POINT('',(47.5936,21.104691,0.)); #553746=CARTESIAN_POINT('',(47.5936,21.104691,0.)); #553747=CARTESIAN_POINT('',(47.5936,21.104691,0.035)); #553748=CARTESIAN_POINT('',(47.5936,21.104691,0.035)); #553749=CARTESIAN_POINT('',(47.5936,21.104691,0.)); #553750=CARTESIAN_POINT('Origin',(46.806972,21.891319,0.)); #553751=CARTESIAN_POINT('',(46.806972,21.891319,0.)); #553752=CARTESIAN_POINT('',(46.806972,21.891319,0.)); #553753=CARTESIAN_POINT('',(46.806972,21.891319,0.035)); #553754=CARTESIAN_POINT('',(46.806972,21.891319,0.035)); #553755=CARTESIAN_POINT('',(46.806972,21.891319,0.)); #553756=CARTESIAN_POINT('Origin',(46.791672,21.913256,0.)); #553757=CARTESIAN_POINT('',(46.791672,21.913256,0.)); #553758=CARTESIAN_POINT('',(46.791672,21.913256,0.)); #553759=CARTESIAN_POINT('',(46.791672,21.913256,0.035)); #553760=CARTESIAN_POINT('',(46.791672,21.913256,0.035)); #553761=CARTESIAN_POINT('',(46.791672,21.913256,0.)); #553762=CARTESIAN_POINT('Origin',(46.784691,21.942772,0.)); #553763=CARTESIAN_POINT('',(46.784691,21.942772,0.)); #553764=CARTESIAN_POINT('',(46.784691,21.942772,0.)); #553765=CARTESIAN_POINT('',(46.784691,21.942772,0.035)); #553766=CARTESIAN_POINT('',(46.784691,21.942772,0.035)); #553767=CARTESIAN_POINT('',(46.784691,21.942772,0.)); #553768=CARTESIAN_POINT('Origin',(46.789775,21.972669,0.)); #553769=CARTESIAN_POINT('',(46.789775,21.972669,0.)); #553770=CARTESIAN_POINT('',(46.789775,21.972669,0.)); #553771=CARTESIAN_POINT('',(46.789775,21.972669,0.035)); #553772=CARTESIAN_POINT('',(46.789775,21.972669,0.035)); #553773=CARTESIAN_POINT('',(46.789775,21.972669,0.)); #553774=CARTESIAN_POINT('Origin',(46.806119,21.998216,0.)); #553775=CARTESIAN_POINT('',(46.806119,21.998216,0.)); #553776=CARTESIAN_POINT('',(46.806119,21.998216,0.)); #553777=CARTESIAN_POINT('',(46.806119,21.998216,0.035)); #553778=CARTESIAN_POINT('',(46.806119,21.998216,0.035)); #553779=CARTESIAN_POINT('',(46.806119,21.998216,0.)); #553780=CARTESIAN_POINT('Origin',(46.831131,22.015366,0.)); #553781=CARTESIAN_POINT('',(46.831131,22.015366,0.)); #553782=CARTESIAN_POINT('',(46.831131,22.015366,0.)); #553783=CARTESIAN_POINT('',(46.831131,22.015366,0.035)); #553784=CARTESIAN_POINT('',(46.831131,22.015366,0.035)); #553785=CARTESIAN_POINT('',(46.831131,22.015366,0.)); #553786=CARTESIAN_POINT('Origin',(46.860853,22.0214,0.)); #553787=CARTESIAN_POINT('',(46.860853,22.0214,0.)); #553788=CARTESIAN_POINT('',(46.860853,22.0214,0.)); #553789=CARTESIAN_POINT('',(46.860853,22.0214,0.035)); #553790=CARTESIAN_POINT('',(46.860853,22.0214,0.035)); #553791=CARTESIAN_POINT('',(46.860853,22.0214,0.)); #553792=CARTESIAN_POINT('Origin',(49.282191,22.0214,0.)); #553793=CARTESIAN_POINT('',(49.282191,22.0214,0.)); #553794=CARTESIAN_POINT('',(49.282191,22.0214,0.)); #553795=CARTESIAN_POINT('',(49.282191,22.0214,0.035)); #553796=CARTESIAN_POINT('',(49.282191,22.0214,0.035)); #553797=CARTESIAN_POINT('',(49.282191,22.0214,0.)); #553798=CARTESIAN_POINT('Origin',(49.876572,22.615781,0.)); #553799=CARTESIAN_POINT('',(49.876572,22.615781,0.)); #553800=CARTESIAN_POINT('',(49.876572,22.615781,0.)); #553801=CARTESIAN_POINT('',(49.876572,22.615781,0.035)); #553802=CARTESIAN_POINT('',(49.876572,22.615781,0.035)); #553803=CARTESIAN_POINT('',(49.876572,22.615781,0.)); #553804=CARTESIAN_POINT('Origin',(49.900731,22.632066,0.)); #553805=CARTESIAN_POINT('',(49.900731,22.632066,0.)); #553806=CARTESIAN_POINT('',(49.900731,22.632066,0.)); #553807=CARTESIAN_POINT('',(49.900731,22.632066,0.035)); #553808=CARTESIAN_POINT('',(49.900731,22.632066,0.035)); #553809=CARTESIAN_POINT('',(49.900731,22.632066,0.)); #553810=CARTESIAN_POINT('Origin',(49.930453,22.6381,0.)); #553811=CARTESIAN_POINT('',(49.930453,22.6381,0.)); #553812=CARTESIAN_POINT('',(49.930453,22.6381,0.)); #553813=CARTESIAN_POINT('',(49.930453,22.6381,0.035)); #553814=CARTESIAN_POINT('',(49.930453,22.6381,0.035)); #553815=CARTESIAN_POINT('',(49.930453,22.6381,0.)); #553816=CARTESIAN_POINT('Origin',(50.272928,22.6381,0.)); #553817=CARTESIAN_POINT('',(50.272928,22.6381,0.)); #553818=CARTESIAN_POINT('',(50.272928,22.6381,0.)); #553819=CARTESIAN_POINT('',(50.272928,22.6381,0.035)); #553820=CARTESIAN_POINT('',(50.272928,22.6381,0.035)); #553821=CARTESIAN_POINT('',(50.272928,22.6381,0.)); #553822=CARTESIAN_POINT('Origin',(50.3622,22.727372,0.)); #553823=CARTESIAN_POINT('',(50.3622,22.727372,0.)); #553824=CARTESIAN_POINT('',(50.3622,22.727372,0.)); #553825=CARTESIAN_POINT('',(50.3622,22.727372,0.035)); #553826=CARTESIAN_POINT('',(50.3622,22.727372,0.035)); #553827=CARTESIAN_POINT('',(50.3622,22.727372,0.)); #553828=CARTESIAN_POINT('Origin',(50.3622,24.504628,0.)); #553829=CARTESIAN_POINT('',(50.3622,24.504628,0.)); #553830=CARTESIAN_POINT('',(50.3622,24.504628,0.)); #553831=CARTESIAN_POINT('',(50.3622,24.504628,0.035)); #553832=CARTESIAN_POINT('',(50.3622,24.504628,0.035)); #553833=CARTESIAN_POINT('',(50.3622,24.504628,0.)); #553834=CARTESIAN_POINT('Origin',(50.272928,24.5939,0.)); #553835=CARTESIAN_POINT('',(50.272928,24.5939,0.)); #553836=CARTESIAN_POINT('',(50.272928,24.5939,0.)); #553837=CARTESIAN_POINT('',(50.272928,24.5939,0.035)); #553838=CARTESIAN_POINT('',(50.272928,24.5939,0.035)); #553839=CARTESIAN_POINT('',(50.272928,24.5939,0.)); #553840=CARTESIAN_POINT('Origin',(49.537072,24.5939,0.)); #553841=CARTESIAN_POINT('',(49.537072,24.5939,0.)); #553842=CARTESIAN_POINT('',(49.537072,24.5939,0.)); #553843=CARTESIAN_POINT('',(49.537072,24.5939,0.035)); #553844=CARTESIAN_POINT('',(49.537072,24.5939,0.035)); #553845=CARTESIAN_POINT('',(49.537072,24.5939,0.)); #553846=CARTESIAN_POINT('Origin',(49.4478,24.504628,0.)); #553847=CARTESIAN_POINT('',(49.4478,24.504628,0.)); #553848=CARTESIAN_POINT('',(49.4478,24.504628,0.)); #553849=CARTESIAN_POINT('',(49.4478,24.504628,0.035)); #553850=CARTESIAN_POINT('',(49.4478,24.504628,0.035)); #553851=CARTESIAN_POINT('',(49.4478,24.504628,0.)); #553852=CARTESIAN_POINT('Origin',(49.4478,22.865153,0.)); #553853=CARTESIAN_POINT('',(49.4478,22.865153,0.)); #553854=CARTESIAN_POINT('',(49.4478,22.865153,0.)); #553855=CARTESIAN_POINT('',(49.4478,22.865153,0.035)); #553856=CARTESIAN_POINT('',(49.4478,22.865153,0.035)); #553857=CARTESIAN_POINT('',(49.4478,22.865153,0.)); #553858=CARTESIAN_POINT('Origin',(49.442231,22.836556,0.)); #553859=CARTESIAN_POINT('',(49.442231,22.836556,0.)); #553860=CARTESIAN_POINT('',(49.442231,22.836556,0.)); #553861=CARTESIAN_POINT('',(49.442231,22.836556,0.035)); #553862=CARTESIAN_POINT('',(49.442231,22.836556,0.035)); #553863=CARTESIAN_POINT('',(49.442231,22.836556,0.)); #553864=CARTESIAN_POINT('Origin',(49.425481,22.811272,0.)); #553865=CARTESIAN_POINT('',(49.425481,22.811272,0.)); #553866=CARTESIAN_POINT('',(49.425481,22.811272,0.)); #553867=CARTESIAN_POINT('',(49.425481,22.811272,0.035)); #553868=CARTESIAN_POINT('',(49.425481,22.811272,0.035)); #553869=CARTESIAN_POINT('',(49.425481,22.811272,0.)); #553870=CARTESIAN_POINT('Origin',(49.115128,22.500919,0.)); #553871=CARTESIAN_POINT('',(49.115128,22.500919,0.)); #553872=CARTESIAN_POINT('',(49.115128,22.500919,0.)); #553873=CARTESIAN_POINT('',(49.115128,22.500919,0.035)); #553874=CARTESIAN_POINT('',(49.115128,22.500919,0.035)); #553875=CARTESIAN_POINT('',(49.115128,22.500919,0.)); #553876=CARTESIAN_POINT('Origin',(49.090969,22.484634,0.)); #553877=CARTESIAN_POINT('',(49.090969,22.484634,0.)); #553878=CARTESIAN_POINT('',(49.090969,22.484634,0.)); #553879=CARTESIAN_POINT('',(49.090969,22.484634,0.035)); #553880=CARTESIAN_POINT('',(49.090969,22.484634,0.035)); #553881=CARTESIAN_POINT('',(49.090969,22.484634,0.)); #553882=CARTESIAN_POINT('Origin',(49.061247,22.4786,0.)); #553883=CARTESIAN_POINT('',(49.061247,22.4786,0.)); #553884=CARTESIAN_POINT('',(49.061247,22.4786,0.)); #553885=CARTESIAN_POINT('',(49.061247,22.4786,0.035)); #553886=CARTESIAN_POINT('',(49.061247,22.4786,0.035)); #553887=CARTESIAN_POINT('',(49.061247,22.4786,0.)); #553888=CARTESIAN_POINT('Origin',(40.8857,22.4786,0.)); #553889=CARTESIAN_POINT('',(40.8857,22.4786,0.)); #553890=CARTESIAN_POINT('',(40.8857,22.4786,0.)); #553891=CARTESIAN_POINT('',(40.8857,22.4786,0.035)); #553892=CARTESIAN_POINT('',(40.8857,22.4786,0.035)); #553893=CARTESIAN_POINT('',(40.8857,22.4786,0.)); #553894=CARTESIAN_POINT('Origin',(40.858231,22.483722,0.)); #553895=CARTESIAN_POINT('',(40.858231,22.483722,0.)); #553896=CARTESIAN_POINT('',(40.858231,22.483722,0.)); #553897=CARTESIAN_POINT('',(40.858231,22.483722,0.035)); #553898=CARTESIAN_POINT('',(40.858231,22.483722,0.035)); #553899=CARTESIAN_POINT('',(40.858231,22.483722,0.)); #553900=CARTESIAN_POINT('Origin',(40.832684,22.500066,0.)); #553901=CARTESIAN_POINT('',(40.832684,22.500066,0.)); #553902=CARTESIAN_POINT('',(40.832684,22.500066,0.)); #553903=CARTESIAN_POINT('',(40.832684,22.500066,0.035)); #553904=CARTESIAN_POINT('',(40.832684,22.500066,0.035)); #553905=CARTESIAN_POINT('',(40.832684,22.500066,0.)); #553906=CARTESIAN_POINT('Origin',(40.815534,22.525078,0.)); #553907=CARTESIAN_POINT('',(40.815534,22.525078,0.)); #553908=CARTESIAN_POINT('',(40.815534,22.525078,0.)); #553909=CARTESIAN_POINT('',(40.815534,22.525078,0.035)); #553910=CARTESIAN_POINT('',(40.815534,22.525078,0.035)); #553911=CARTESIAN_POINT('',(40.815534,22.525078,0.)); #553912=CARTESIAN_POINT('Origin',(40.8095,22.5548,0.)); #553913=CARTESIAN_POINT('',(40.8095,22.5548,0.)); #553914=CARTESIAN_POINT('',(40.8095,22.5548,0.)); #553915=CARTESIAN_POINT('',(40.8095,22.5548,0.035)); #553916=CARTESIAN_POINT('',(40.8095,22.5548,0.035)); #553917=CARTESIAN_POINT('',(40.8095,22.5548,0.)); #553918=CARTESIAN_POINT('Origin',(40.8095,23.507628,0.)); #553919=CARTESIAN_POINT('',(40.8095,23.507628,0.)); #553920=CARTESIAN_POINT('',(40.8095,23.507628,0.)); #553921=CARTESIAN_POINT('',(40.8095,23.507628,0.035)); #553922=CARTESIAN_POINT('',(40.8095,23.507628,0.035)); #553923=CARTESIAN_POINT('',(40.8095,23.507628,0.)); #553924=CARTESIAN_POINT('Origin',(40.720228,23.5969,0.)); #553925=CARTESIAN_POINT('',(40.720228,23.5969,0.)); #553926=CARTESIAN_POINT('',(40.720228,23.5969,0.)); #553927=CARTESIAN_POINT('',(40.720228,23.5969,0.035)); #553928=CARTESIAN_POINT('',(40.720228,23.5969,0.035)); #553929=CARTESIAN_POINT('',(40.720228,23.5969,0.)); #553930=CARTESIAN_POINT('Origin',(39.755772,23.5969,0.)); #553931=CARTESIAN_POINT('',(39.755772,23.5969,0.)); #553932=CARTESIAN_POINT('',(39.755772,23.5969,0.)); #553933=CARTESIAN_POINT('',(39.755772,23.5969,0.035)); #553934=CARTESIAN_POINT('',(39.755772,23.5969,0.035)); #553935=CARTESIAN_POINT('',(39.755772,23.5969,0.)); #553936=CARTESIAN_POINT('Origin',(39.6665,23.507628,0.)); #553937=CARTESIAN_POINT('',(39.6665,23.507628,0.)); #553938=CARTESIAN_POINT('',(39.6665,23.507628,0.)); #553939=CARTESIAN_POINT('',(39.6665,23.507628,0.035)); #553940=CARTESIAN_POINT('',(39.6665,23.507628,0.035)); #553941=CARTESIAN_POINT('',(39.6665,23.507628,0.)); #553942=CARTESIAN_POINT('Origin',(39.6665,23.3048,0.)); #553943=CARTESIAN_POINT('',(39.6665,23.3048,0.)); #553944=CARTESIAN_POINT('',(39.6665,23.3048,0.)); #553945=CARTESIAN_POINT('',(39.6665,23.3048,0.035)); #553946=CARTESIAN_POINT('',(39.6665,23.3048,0.035)); #553947=CARTESIAN_POINT('',(39.6665,23.3048,0.)); #553948=CARTESIAN_POINT('Origin',(39.661378,23.277331,0.)); #553949=CARTESIAN_POINT('',(39.661378,23.277331,0.)); #553950=CARTESIAN_POINT('',(39.661378,23.277331,0.)); #553951=CARTESIAN_POINT('',(39.661378,23.277331,0.035)); #553952=CARTESIAN_POINT('',(39.661378,23.277331,0.035)); #553953=CARTESIAN_POINT('',(39.661378,23.277331,0.)); #553954=CARTESIAN_POINT('Origin',(39.645034,23.251784,0.)); #553955=CARTESIAN_POINT('',(39.645034,23.251784,0.)); #553956=CARTESIAN_POINT('',(39.645034,23.251784,0.)); #553957=CARTESIAN_POINT('',(39.645034,23.251784,0.035)); #553958=CARTESIAN_POINT('',(39.645034,23.251784,0.035)); #553959=CARTESIAN_POINT('',(39.645034,23.251784,0.)); #553960=CARTESIAN_POINT('Origin',(39.620022,23.234634,0.)); #553961=CARTESIAN_POINT('',(39.620022,23.234634,0.)); #553962=CARTESIAN_POINT('',(39.620022,23.234634,0.)); #553963=CARTESIAN_POINT('',(39.620022,23.234634,0.035)); #553964=CARTESIAN_POINT('',(39.620022,23.234634,0.035)); #553965=CARTESIAN_POINT('',(39.620022,23.234634,0.)); #553966=CARTESIAN_POINT('Origin',(39.5903,23.2286,0.)); #553967=CARTESIAN_POINT('',(39.5903,23.2286,0.)); #553968=CARTESIAN_POINT('',(39.5903,23.2286,0.)); #553969=CARTESIAN_POINT('',(39.5903,23.2286,0.035)); #553970=CARTESIAN_POINT('',(39.5903,23.2286,0.035)); #553971=CARTESIAN_POINT('',(39.5903,23.2286,0.)); #553972=CARTESIAN_POINT('Origin',(37.626253,23.2286,0.)); #553973=CARTESIAN_POINT('',(37.626253,23.2286,0.)); #553974=CARTESIAN_POINT('',(37.626253,23.2286,0.)); #553975=CARTESIAN_POINT('',(37.626253,23.2286,0.035)); #553976=CARTESIAN_POINT('',(37.626253,23.2286,0.035)); #553977=CARTESIAN_POINT('',(37.626253,23.2286,0.)); #553978=CARTESIAN_POINT('Origin',(37.597656,23.234169,0.)); #553979=CARTESIAN_POINT('',(37.597656,23.234169,0.)); #553980=CARTESIAN_POINT('',(37.597656,23.234169,0.)); #553981=CARTESIAN_POINT('',(37.597656,23.234169,0.035)); #553982=CARTESIAN_POINT('',(37.597656,23.234169,0.035)); #553983=CARTESIAN_POINT('',(37.597656,23.234169,0.)); #553984=CARTESIAN_POINT('Origin',(37.572372,23.250919,0.)); #553985=CARTESIAN_POINT('',(37.572372,23.250919,0.)); #553986=CARTESIAN_POINT('',(37.572372,23.250919,0.)); #553987=CARTESIAN_POINT('',(37.572372,23.250919,0.035)); #553988=CARTESIAN_POINT('',(37.572372,23.250919,0.035)); #553989=CARTESIAN_POINT('',(37.572372,23.250919,0.)); #553990=CARTESIAN_POINT('Origin',(36.750919,24.072372,0.)); #553991=CARTESIAN_POINT('',(36.750919,24.072372,0.)); #553992=CARTESIAN_POINT('',(36.750919,24.072372,0.)); #553993=CARTESIAN_POINT('',(36.750919,24.072372,0.035)); #553994=CARTESIAN_POINT('',(36.750919,24.072372,0.035)); #553995=CARTESIAN_POINT('',(36.750919,24.072372,0.)); #553996=CARTESIAN_POINT('Origin',(36.734634,24.096531,0.)); #553997=CARTESIAN_POINT('',(36.734634,24.096531,0.)); #553998=CARTESIAN_POINT('',(36.734634,24.096531,0.)); #553999=CARTESIAN_POINT('',(36.734634,24.096531,0.035)); #554000=CARTESIAN_POINT('',(36.734634,24.096531,0.035)); #554001=CARTESIAN_POINT('',(36.734634,24.096531,0.)); #554002=CARTESIAN_POINT('Origin',(36.7286,24.126253,0.)); #554003=CARTESIAN_POINT('',(36.7286,24.126253,0.)); #554004=CARTESIAN_POINT('',(36.7286,24.126253,0.)); #554005=CARTESIAN_POINT('',(36.7286,24.126253,0.035)); #554006=CARTESIAN_POINT('',(36.7286,24.126253,0.035)); #554007=CARTESIAN_POINT('',(36.7286,24.126253,0.)); #554008=CARTESIAN_POINT('Origin',(36.7286,24.317025,0.)); #554009=CARTESIAN_POINT('',(36.7286,24.317025,0.)); #554010=CARTESIAN_POINT('',(36.7286,24.317025,0.)); #554011=CARTESIAN_POINT('',(36.7286,24.317025,0.035)); #554012=CARTESIAN_POINT('',(36.7286,24.317025,0.035)); #554013=CARTESIAN_POINT('',(36.7286,24.317025,0.)); #554014=CARTESIAN_POINT('Origin',(36.733944,24.345059,0.)); #554015=CARTESIAN_POINT('',(36.733944,24.345059,0.)); #554016=CARTESIAN_POINT('',(36.733944,24.345059,0.)); #554017=CARTESIAN_POINT('',(36.733944,24.345059,0.035)); #554018=CARTESIAN_POINT('',(36.733944,24.345059,0.035)); #554019=CARTESIAN_POINT('',(36.733944,24.345059,0.)); #554020=CARTESIAN_POINT('Origin',(36.750491,24.370475,0.)); #554021=CARTESIAN_POINT('',(36.750491,24.370475,0.)); #554022=CARTESIAN_POINT('',(36.750491,24.370475,0.)); #554023=CARTESIAN_POINT('',(36.750491,24.370475,0.035)); #554024=CARTESIAN_POINT('',(36.750491,24.370475,0.035)); #554025=CARTESIAN_POINT('',(36.750491,24.370475,0.)); #554026=CARTESIAN_POINT('Origin',(36.775641,24.387425,0.)); #554027=CARTESIAN_POINT('',(36.775641,24.387425,0.)); #554028=CARTESIAN_POINT('',(36.775641,24.387425,0.)); #554029=CARTESIAN_POINT('',(36.775641,24.387425,0.035)); #554030=CARTESIAN_POINT('',(36.775641,24.387425,0.035)); #554031=CARTESIAN_POINT('',(36.775641,24.387425,0.)); #554032=CARTESIAN_POINT('Origin',(37.011169,24.484984,0.)); #554033=CARTESIAN_POINT('',(37.011169,24.484984,0.)); #554034=CARTESIAN_POINT('',(37.011169,24.484984,0.)); #554035=CARTESIAN_POINT('',(37.011169,24.484984,0.035)); #554036=CARTESIAN_POINT('',(37.011169,24.484984,0.035)); #554037=CARTESIAN_POINT('',(37.011169,24.484984,0.)); #554038=CARTESIAN_POINT('Origin',(37.265016,24.738831,0.)); #554039=CARTESIAN_POINT('',(37.265016,24.738831,0.)); #554040=CARTESIAN_POINT('',(37.265016,24.738831,0.)); #554041=CARTESIAN_POINT('',(37.265016,24.738831,0.035)); #554042=CARTESIAN_POINT('',(37.265016,24.738831,0.035)); #554043=CARTESIAN_POINT('',(37.265016,24.738831,0.)); #554044=CARTESIAN_POINT('Origin',(37.4024,25.0705,0.)); #554045=CARTESIAN_POINT('',(37.4024,25.0705,0.)); #554046=CARTESIAN_POINT('',(37.4024,25.0705,0.)); #554047=CARTESIAN_POINT('',(37.4024,25.0705,0.035)); #554048=CARTESIAN_POINT('',(37.4024,25.0705,0.035)); #554049=CARTESIAN_POINT('',(37.4024,25.0705,0.)); #554050=CARTESIAN_POINT('Origin',(37.4024,25.4295,0.)); #554051=CARTESIAN_POINT('',(37.4024,25.4295,0.)); #554052=CARTESIAN_POINT('',(37.4024,25.4295,0.)); #554053=CARTESIAN_POINT('',(37.4024,25.4295,0.035)); #554054=CARTESIAN_POINT('',(37.4024,25.4295,0.035)); #554055=CARTESIAN_POINT('',(37.4024,25.4295,0.)); #554056=CARTESIAN_POINT('Origin',(37.265016,25.761169,0.)); #554057=CARTESIAN_POINT('',(37.265016,25.761169,0.)); #554058=CARTESIAN_POINT('',(37.265016,25.761169,0.)); #554059=CARTESIAN_POINT('',(37.265016,25.761169,0.035)); #554060=CARTESIAN_POINT('',(37.265016,25.761169,0.035)); #554061=CARTESIAN_POINT('',(37.265016,25.761169,0.)); #554062=CARTESIAN_POINT('Origin',(37.072366,25.953819,0.)); #554063=CARTESIAN_POINT('',(37.072366,25.953819,0.)); #554064=CARTESIAN_POINT('',(37.072366,25.953819,0.)); #554065=CARTESIAN_POINT('',(37.072366,25.953819,0.035)); #554066=CARTESIAN_POINT('',(37.072366,25.953819,0.035)); #554067=CARTESIAN_POINT('',(37.072366,25.953819,0.)); #554068=CARTESIAN_POINT('Origin',(37.057066,25.975756,0.)); #554069=CARTESIAN_POINT('',(37.057066,25.975756,0.)); #554070=CARTESIAN_POINT('',(37.057066,25.975756,0.)); #554071=CARTESIAN_POINT('',(37.057066,25.975756,0.035)); #554072=CARTESIAN_POINT('',(37.057066,25.975756,0.035)); #554073=CARTESIAN_POINT('',(37.057066,25.975756,0.)); #554074=CARTESIAN_POINT('Origin',(37.050084,26.005272,0.)); #554075=CARTESIAN_POINT('',(37.050084,26.005272,0.)); #554076=CARTESIAN_POINT('',(37.050084,26.005272,0.)); #554077=CARTESIAN_POINT('',(37.050084,26.005272,0.035)); #554078=CARTESIAN_POINT('',(37.050084,26.005272,0.035)); #554079=CARTESIAN_POINT('',(37.050084,26.005272,0.)); #554080=CARTESIAN_POINT('Origin',(37.055169,26.035169,0.)); #554081=CARTESIAN_POINT('',(37.055169,26.035169,0.)); #554082=CARTESIAN_POINT('',(37.055169,26.035169,0.)); #554083=CARTESIAN_POINT('',(37.055169,26.035169,0.035)); #554084=CARTESIAN_POINT('',(37.055169,26.035169,0.035)); #554085=CARTESIAN_POINT('',(37.055169,26.035169,0.)); #554086=CARTESIAN_POINT('Origin',(37.071513,26.060716,0.)); #554087=CARTESIAN_POINT('',(37.071513,26.060716,0.)); #554088=CARTESIAN_POINT('',(37.071513,26.060716,0.)); #554089=CARTESIAN_POINT('',(37.071513,26.060716,0.035)); #554090=CARTESIAN_POINT('',(37.071513,26.060716,0.035)); #554091=CARTESIAN_POINT('',(37.071513,26.060716,0.)); #554092=CARTESIAN_POINT('Origin',(37.096525,26.077866,0.)); #554093=CARTESIAN_POINT('',(37.096525,26.077866,0.)); #554094=CARTESIAN_POINT('',(37.096525,26.077866,0.)); #554095=CARTESIAN_POINT('',(37.096525,26.077866,0.035)); #554096=CARTESIAN_POINT('',(37.096525,26.077866,0.035)); #554097=CARTESIAN_POINT('',(37.096525,26.077866,0.)); #554098=CARTESIAN_POINT('Origin',(37.126247,26.0839,0.)); #554099=CARTESIAN_POINT('',(37.126247,26.0839,0.)); #554100=CARTESIAN_POINT('',(37.126247,26.0839,0.)); #554101=CARTESIAN_POINT('',(37.126247,26.0839,0.035)); #554102=CARTESIAN_POINT('',(37.126247,26.0839,0.035)); #554103=CARTESIAN_POINT('',(37.126247,26.0839,0.)); #554104=CARTESIAN_POINT('Origin',(37.719691,26.0839,0.)); #554105=CARTESIAN_POINT('',(37.719691,26.0839,0.)); #554106=CARTESIAN_POINT('',(37.719691,26.0839,0.)); #554107=CARTESIAN_POINT('',(37.719691,26.0839,0.035)); #554108=CARTESIAN_POINT('',(37.719691,26.0839,0.035)); #554109=CARTESIAN_POINT('',(37.719691,26.0839,0.)); #554110=CARTESIAN_POINT('Origin',(38.384872,26.749081,0.)); #554111=CARTESIAN_POINT('',(38.384872,26.749081,0.)); #554112=CARTESIAN_POINT('',(38.384872,26.749081,0.)); #554113=CARTESIAN_POINT('',(38.384872,26.749081,0.035)); #554114=CARTESIAN_POINT('',(38.384872,26.749081,0.035)); #554115=CARTESIAN_POINT('',(38.384872,26.749081,0.)); #554116=CARTESIAN_POINT('Origin',(38.409031,26.765366,0.)); #554117=CARTESIAN_POINT('',(38.409031,26.765366,0.)); #554118=CARTESIAN_POINT('',(38.409031,26.765366,0.)); #554119=CARTESIAN_POINT('',(38.409031,26.765366,0.035)); #554120=CARTESIAN_POINT('',(38.409031,26.765366,0.035)); #554121=CARTESIAN_POINT('',(38.409031,26.765366,0.)); #554122=CARTESIAN_POINT('Origin',(38.438753,26.7714,0.)); #554123=CARTESIAN_POINT('',(38.438753,26.7714,0.)); #554124=CARTESIAN_POINT('',(38.438753,26.7714,0.)); #554125=CARTESIAN_POINT('',(38.438753,26.7714,0.035)); #554126=CARTESIAN_POINT('',(38.438753,26.7714,0.035)); #554127=CARTESIAN_POINT('',(38.438753,26.7714,0.)); #554128=CARTESIAN_POINT('Origin',(40.342603,26.7714,0.)); #554129=CARTESIAN_POINT('',(40.342603,26.7714,0.)); #554130=CARTESIAN_POINT('',(40.342603,26.7714,0.)); #554131=CARTESIAN_POINT('',(40.342603,26.7714,0.035)); #554132=CARTESIAN_POINT('',(40.342603,26.7714,0.035)); #554133=CARTESIAN_POINT('',(40.342603,26.7714,0.)); #554134=CARTESIAN_POINT('Origin',(40.3712,26.765831,0.)); #554135=CARTESIAN_POINT('',(40.3712,26.765831,0.)); #554136=CARTESIAN_POINT('',(40.3712,26.765831,0.)); #554137=CARTESIAN_POINT('',(40.3712,26.765831,0.035)); #554138=CARTESIAN_POINT('',(40.3712,26.765831,0.035)); #554139=CARTESIAN_POINT('',(40.3712,26.765831,0.)); #554140=CARTESIAN_POINT('Origin',(40.396484,26.749081,0.)); #554141=CARTESIAN_POINT('',(40.396484,26.749081,0.)); #554142=CARTESIAN_POINT('',(40.396484,26.749081,0.)); #554143=CARTESIAN_POINT('',(40.396484,26.749081,0.035)); #554144=CARTESIAN_POINT('',(40.396484,26.749081,0.035)); #554145=CARTESIAN_POINT('',(40.396484,26.749081,0.)); #554146=CARTESIAN_POINT('Origin',(40.572966,26.5726,0.)); #554147=CARTESIAN_POINT('',(40.572966,26.5726,0.)); #554148=CARTESIAN_POINT('',(40.572966,26.5726,0.)); #554149=CARTESIAN_POINT('',(40.572966,26.5726,0.035)); #554150=CARTESIAN_POINT('',(40.572966,26.5726,0.035)); #554151=CARTESIAN_POINT('',(40.572966,26.5726,0.)); #554152=CARTESIAN_POINT('Origin',(40.927034,26.5726,0.)); #554153=CARTESIAN_POINT('',(40.927034,26.5726,0.)); #554154=CARTESIAN_POINT('',(40.927034,26.5726,0.)); #554155=CARTESIAN_POINT('',(40.927034,26.5726,0.035)); #554156=CARTESIAN_POINT('',(40.927034,26.5726,0.035)); #554157=CARTESIAN_POINT('',(40.927034,26.5726,0.)); #554158=CARTESIAN_POINT('Origin',(41.1774,26.822966,0.)); #554159=CARTESIAN_POINT('',(41.1774,26.822966,0.)); #554160=CARTESIAN_POINT('',(41.1774,26.822966,0.)); #554161=CARTESIAN_POINT('',(41.1774,26.822966,0.035)); #554162=CARTESIAN_POINT('',(41.1774,26.822966,0.035)); #554163=CARTESIAN_POINT('',(41.1774,26.822966,0.)); #554164=CARTESIAN_POINT('Origin',(41.1774,27.177034,0.)); #554165=CARTESIAN_POINT('',(41.1774,27.177034,0.)); #554166=CARTESIAN_POINT('',(41.1774,27.177034,0.)); #554167=CARTESIAN_POINT('',(41.1774,27.177034,0.035)); #554168=CARTESIAN_POINT('',(41.1774,27.177034,0.035)); #554169=CARTESIAN_POINT('',(41.1774,27.177034,0.)); #554170=CARTESIAN_POINT('Origin',(40.951116,27.403319,0.)); #554171=CARTESIAN_POINT('',(40.951116,27.403319,0.)); #554172=CARTESIAN_POINT('',(40.951116,27.403319,0.)); #554173=CARTESIAN_POINT('',(40.951116,27.403319,0.035)); #554174=CARTESIAN_POINT('',(40.951116,27.403319,0.035)); #554175=CARTESIAN_POINT('',(40.951116,27.403319,0.)); #554176=CARTESIAN_POINT('Origin',(40.935816,27.425256,0.)); #554177=CARTESIAN_POINT('',(40.935816,27.425256,0.)); #554178=CARTESIAN_POINT('',(40.935816,27.425256,0.)); #554179=CARTESIAN_POINT('',(40.935816,27.425256,0.035)); #554180=CARTESIAN_POINT('',(40.935816,27.425256,0.035)); #554181=CARTESIAN_POINT('',(40.935816,27.425256,0.)); #554182=CARTESIAN_POINT('Origin',(40.928834,27.454772,0.)); #554183=CARTESIAN_POINT('',(40.928834,27.454772,0.)); #554184=CARTESIAN_POINT('',(40.928834,27.454772,0.)); #554185=CARTESIAN_POINT('',(40.928834,27.454772,0.035)); #554186=CARTESIAN_POINT('',(40.928834,27.454772,0.035)); #554187=CARTESIAN_POINT('',(40.928834,27.454772,0.)); #554188=CARTESIAN_POINT('Origin',(40.933919,27.484669,0.)); #554189=CARTESIAN_POINT('',(40.933919,27.484669,0.)); #554190=CARTESIAN_POINT('',(40.933919,27.484669,0.)); #554191=CARTESIAN_POINT('',(40.933919,27.484669,0.035)); #554192=CARTESIAN_POINT('',(40.933919,27.484669,0.035)); #554193=CARTESIAN_POINT('',(40.933919,27.484669,0.)); #554194=CARTESIAN_POINT('Origin',(40.950263,27.510216,0.)); #554195=CARTESIAN_POINT('',(40.950263,27.510216,0.)); #554196=CARTESIAN_POINT('',(40.950263,27.510216,0.)); #554197=CARTESIAN_POINT('',(40.950263,27.510216,0.035)); #554198=CARTESIAN_POINT('',(40.950263,27.510216,0.035)); #554199=CARTESIAN_POINT('',(40.950263,27.510216,0.)); #554200=CARTESIAN_POINT('Origin',(40.975275,27.527366,0.)); #554201=CARTESIAN_POINT('',(40.975275,27.527366,0.)); #554202=CARTESIAN_POINT('',(40.975275,27.527366,0.)); #554203=CARTESIAN_POINT('',(40.975275,27.527366,0.035)); #554204=CARTESIAN_POINT('',(40.975275,27.527366,0.035)); #554205=CARTESIAN_POINT('',(40.975275,27.527366,0.)); #554206=CARTESIAN_POINT('Origin',(41.004997,27.5334,0.)); #554207=CARTESIAN_POINT('',(41.004997,27.5334,0.)); #554208=CARTESIAN_POINT('',(41.004997,27.5334,0.)); #554209=CARTESIAN_POINT('',(41.004997,27.5334,0.035)); #554210=CARTESIAN_POINT('',(41.004997,27.5334,0.035)); #554211=CARTESIAN_POINT('',(41.004997,27.5334,0.)); #554212=CARTESIAN_POINT('Origin',(46.0769,27.5334,0.)); #554213=CARTESIAN_POINT('',(46.0769,27.5334,0.)); #554214=CARTESIAN_POINT('',(46.0769,27.5334,0.)); #554215=CARTESIAN_POINT('',(46.0769,27.5334,0.035)); #554216=CARTESIAN_POINT('',(46.0769,27.5334,0.035)); #554217=CARTESIAN_POINT('',(46.0769,27.5334,0.)); #554218=CARTESIAN_POINT('Origin',(46.104369,27.528278,0.)); #554219=CARTESIAN_POINT('',(46.104369,27.528278,0.)); #554220=CARTESIAN_POINT('',(46.104369,27.528278,0.)); #554221=CARTESIAN_POINT('',(46.104369,27.528278,0.035)); #554222=CARTESIAN_POINT('',(46.104369,27.528278,0.035)); #554223=CARTESIAN_POINT('',(46.104369,27.528278,0.)); #554224=CARTESIAN_POINT('Origin',(46.129916,27.511934,0.)); #554225=CARTESIAN_POINT('',(46.129916,27.511934,0.)); #554226=CARTESIAN_POINT('',(46.129916,27.511934,0.)); #554227=CARTESIAN_POINT('',(46.129916,27.511934,0.035)); #554228=CARTESIAN_POINT('',(46.129916,27.511934,0.035)); #554229=CARTESIAN_POINT('',(46.129916,27.511934,0.)); #554230=CARTESIAN_POINT('Origin',(46.147066,27.486922,0.)); #554231=CARTESIAN_POINT('',(46.147066,27.486922,0.)); #554232=CARTESIAN_POINT('',(46.147066,27.486922,0.)); #554233=CARTESIAN_POINT('',(46.147066,27.486922,0.035)); #554234=CARTESIAN_POINT('',(46.147066,27.486922,0.035)); #554235=CARTESIAN_POINT('',(46.147066,27.486922,0.)); #554236=CARTESIAN_POINT('Origin',(46.1531,27.4572,0.)); #554237=CARTESIAN_POINT('',(46.1531,27.4572,0.)); #554238=CARTESIAN_POINT('',(46.1531,27.4572,0.)); #554239=CARTESIAN_POINT('',(46.1531,27.4572,0.035)); #554240=CARTESIAN_POINT('',(46.1531,27.4572,0.035)); #554241=CARTESIAN_POINT('',(46.1531,27.4572,0.)); #554242=CARTESIAN_POINT('Origin',(46.1531,27.279772,0.)); #554243=CARTESIAN_POINT('',(46.1531,27.279772,0.)); #554244=CARTESIAN_POINT('',(46.1531,27.279772,0.)); #554245=CARTESIAN_POINT('',(46.1531,27.279772,0.035)); #554246=CARTESIAN_POINT('',(46.1531,27.279772,0.035)); #554247=CARTESIAN_POINT('',(46.1531,27.279772,0.)); #554248=CARTESIAN_POINT('Origin',(46.242372,27.1905,0.)); #554249=CARTESIAN_POINT('',(46.242372,27.1905,0.)); #554250=CARTESIAN_POINT('',(46.242372,27.1905,0.)); #554251=CARTESIAN_POINT('',(46.242372,27.1905,0.035)); #554252=CARTESIAN_POINT('',(46.242372,27.1905,0.035)); #554253=CARTESIAN_POINT('',(46.242372,27.1905,0.)); #554254=CARTESIAN_POINT('Origin',(47.257628,27.1905,0.)); #554255=CARTESIAN_POINT('',(47.257628,27.1905,0.)); #554256=CARTESIAN_POINT('',(47.257628,27.1905,0.)); #554257=CARTESIAN_POINT('',(47.257628,27.1905,0.035)); #554258=CARTESIAN_POINT('',(47.257628,27.1905,0.035)); #554259=CARTESIAN_POINT('',(47.257628,27.1905,0.)); #554260=CARTESIAN_POINT('Origin',(47.3469,27.279772,0.)); #554261=CARTESIAN_POINT('',(47.3469,27.279772,0.)); #554262=CARTESIAN_POINT('',(47.3469,27.279772,0.)); #554263=CARTESIAN_POINT('',(47.3469,27.279772,0.035)); #554264=CARTESIAN_POINT('',(47.3469,27.279772,0.035)); #554265=CARTESIAN_POINT('',(47.3469,27.279772,0.)); #554266=CARTESIAN_POINT('Origin',(47.3469,27.4572,0.)); #554267=CARTESIAN_POINT('',(47.3469,27.4572,0.)); #554268=CARTESIAN_POINT('',(47.3469,27.4572,0.)); #554269=CARTESIAN_POINT('',(47.3469,27.4572,0.035)); #554270=CARTESIAN_POINT('',(47.3469,27.4572,0.035)); #554271=CARTESIAN_POINT('',(47.3469,27.4572,0.)); #554272=CARTESIAN_POINT('Origin',(47.352022,27.484669,0.)); #554273=CARTESIAN_POINT('',(47.352022,27.484669,0.)); #554274=CARTESIAN_POINT('',(47.352022,27.484669,0.)); #554275=CARTESIAN_POINT('',(47.352022,27.484669,0.035)); #554276=CARTESIAN_POINT('',(47.352022,27.484669,0.035)); #554277=CARTESIAN_POINT('',(47.352022,27.484669,0.)); #554278=CARTESIAN_POINT('Origin',(47.368366,27.510216,0.)); #554279=CARTESIAN_POINT('',(47.368366,27.510216,0.)); #554280=CARTESIAN_POINT('',(47.368366,27.510216,0.)); #554281=CARTESIAN_POINT('',(47.368366,27.510216,0.035)); #554282=CARTESIAN_POINT('',(47.368366,27.510216,0.035)); #554283=CARTESIAN_POINT('',(47.368366,27.510216,0.)); #554284=CARTESIAN_POINT('Origin',(47.393378,27.527366,0.)); #554285=CARTESIAN_POINT('',(47.393378,27.527366,0.)); #554286=CARTESIAN_POINT('',(47.393378,27.527366,0.)); #554287=CARTESIAN_POINT('',(47.393378,27.527366,0.035)); #554288=CARTESIAN_POINT('',(47.393378,27.527366,0.035)); #554289=CARTESIAN_POINT('',(47.393378,27.527366,0.)); #554290=CARTESIAN_POINT('Origin',(47.4231,27.5334,0.)); #554291=CARTESIAN_POINT('',(47.4231,27.5334,0.)); #554292=CARTESIAN_POINT('',(47.4231,27.5334,0.)); #554293=CARTESIAN_POINT('',(47.4231,27.5334,0.035)); #554294=CARTESIAN_POINT('',(47.4231,27.5334,0.035)); #554295=CARTESIAN_POINT('',(47.4231,27.5334,0.)); #554296=CARTESIAN_POINT('Origin',(48.5769,27.5334,0.)); #554297=CARTESIAN_POINT('',(48.5769,27.5334,0.)); #554298=CARTESIAN_POINT('',(48.5769,27.5334,0.)); #554299=CARTESIAN_POINT('',(48.5769,27.5334,0.035)); #554300=CARTESIAN_POINT('',(48.5769,27.5334,0.035)); #554301=CARTESIAN_POINT('',(48.5769,27.5334,0.)); #554302=CARTESIAN_POINT('Origin',(48.604369,27.528278,0.)); #554303=CARTESIAN_POINT('',(48.604369,27.528278,0.)); #554304=CARTESIAN_POINT('',(48.604369,27.528278,0.)); #554305=CARTESIAN_POINT('',(48.604369,27.528278,0.035)); #554306=CARTESIAN_POINT('',(48.604369,27.528278,0.035)); #554307=CARTESIAN_POINT('',(48.604369,27.528278,0.)); #554308=CARTESIAN_POINT('Origin',(48.629916,27.511934,0.)); #554309=CARTESIAN_POINT('',(48.629916,27.511934,0.)); #554310=CARTESIAN_POINT('',(48.629916,27.511934,0.)); #554311=CARTESIAN_POINT('',(48.629916,27.511934,0.035)); #554312=CARTESIAN_POINT('',(48.629916,27.511934,0.035)); #554313=CARTESIAN_POINT('',(48.629916,27.511934,0.)); #554314=CARTESIAN_POINT('Origin',(48.647066,27.486922,0.)); #554315=CARTESIAN_POINT('',(48.647066,27.486922,0.)); #554316=CARTESIAN_POINT('',(48.647066,27.486922,0.)); #554317=CARTESIAN_POINT('',(48.647066,27.486922,0.035)); #554318=CARTESIAN_POINT('',(48.647066,27.486922,0.035)); #554319=CARTESIAN_POINT('',(48.647066,27.486922,0.)); #554320=CARTESIAN_POINT('Origin',(48.6531,27.4572,0.)); #554321=CARTESIAN_POINT('',(48.6531,27.4572,0.)); #554322=CARTESIAN_POINT('',(48.6531,27.4572,0.)); #554323=CARTESIAN_POINT('',(48.6531,27.4572,0.035)); #554324=CARTESIAN_POINT('',(48.6531,27.4572,0.035)); #554325=CARTESIAN_POINT('',(48.6531,27.4572,0.)); #554326=CARTESIAN_POINT('Origin',(48.6531,27.279772,0.)); #554327=CARTESIAN_POINT('',(48.6531,27.279772,0.)); #554328=CARTESIAN_POINT('',(48.6531,27.279772,0.)); #554329=CARTESIAN_POINT('',(48.6531,27.279772,0.035)); #554330=CARTESIAN_POINT('',(48.6531,27.279772,0.035)); #554331=CARTESIAN_POINT('',(48.6531,27.279772,0.)); #554332=CARTESIAN_POINT('Origin',(48.742372,27.1905,0.)); #554333=CARTESIAN_POINT('',(48.742372,27.1905,0.)); #554334=CARTESIAN_POINT('',(48.742372,27.1905,0.)); #554335=CARTESIAN_POINT('',(48.742372,27.1905,0.035)); #554336=CARTESIAN_POINT('',(48.742372,27.1905,0.035)); #554337=CARTESIAN_POINT('',(48.742372,27.1905,0.)); #554338=CARTESIAN_POINT('Origin',(49.757628,27.1905,0.)); #554339=CARTESIAN_POINT('',(49.757628,27.1905,0.)); #554340=CARTESIAN_POINT('',(49.757628,27.1905,0.)); #554341=CARTESIAN_POINT('',(49.757628,27.1905,0.035)); #554342=CARTESIAN_POINT('',(49.757628,27.1905,0.035)); #554343=CARTESIAN_POINT('',(49.757628,27.1905,0.)); #554344=CARTESIAN_POINT('Origin',(49.8469,27.279772,0.)); #554345=CARTESIAN_POINT('',(49.8469,27.279772,0.)); #554346=CARTESIAN_POINT('',(49.8469,27.279772,0.)); #554347=CARTESIAN_POINT('',(49.8469,27.279772,0.035)); #554348=CARTESIAN_POINT('',(49.8469,27.279772,0.035)); #554349=CARTESIAN_POINT('',(49.8469,27.279772,0.)); #554350=CARTESIAN_POINT('Origin',(49.8469,28.244228,0.)); #554351=CARTESIAN_POINT('',(49.8469,28.244228,0.)); #554352=CARTESIAN_POINT('',(49.8469,28.244228,0.)); #554353=CARTESIAN_POINT('',(49.8469,28.244228,0.035)); #554354=CARTESIAN_POINT('',(49.8469,28.244228,0.035)); #554355=CARTESIAN_POINT('',(49.8469,28.244228,0.)); #554356=CARTESIAN_POINT('Origin',(49.757628,28.3335,0.)); #554357=CARTESIAN_POINT('',(49.757628,28.3335,0.)); #554358=CARTESIAN_POINT('',(49.757628,28.3335,0.)); #554359=CARTESIAN_POINT('',(49.757628,28.3335,0.035)); #554360=CARTESIAN_POINT('',(49.757628,28.3335,0.035)); #554361=CARTESIAN_POINT('',(49.757628,28.3335,0.)); #554362=CARTESIAN_POINT('Origin',(48.742372,28.3335,0.)); #554363=CARTESIAN_POINT('',(48.742372,28.3335,0.)); #554364=CARTESIAN_POINT('',(48.742372,28.3335,0.)); #554365=CARTESIAN_POINT('',(48.742372,28.3335,0.035)); #554366=CARTESIAN_POINT('',(48.742372,28.3335,0.035)); #554367=CARTESIAN_POINT('',(48.742372,28.3335,0.)); #554368=CARTESIAN_POINT('Origin',(48.6531,28.244228,0.)); #554369=CARTESIAN_POINT('',(48.6531,28.244228,0.)); #554370=CARTESIAN_POINT('',(48.6531,28.244228,0.)); #554371=CARTESIAN_POINT('',(48.6531,28.244228,0.035)); #554372=CARTESIAN_POINT('',(48.6531,28.244228,0.035)); #554373=CARTESIAN_POINT('',(48.6531,28.244228,0.)); #554374=CARTESIAN_POINT('Origin',(48.6531,28.0668,0.)); #554375=CARTESIAN_POINT('',(48.6531,28.0668,0.)); #554376=CARTESIAN_POINT('',(48.6531,28.0668,0.)); #554377=CARTESIAN_POINT('',(48.6531,28.0668,0.035)); #554378=CARTESIAN_POINT('',(48.6531,28.0668,0.035)); #554379=CARTESIAN_POINT('',(48.6531,28.0668,0.)); #554380=CARTESIAN_POINT('Origin',(48.647978,28.039331,0.)); #554381=CARTESIAN_POINT('',(48.647978,28.039331,0.)); #554382=CARTESIAN_POINT('',(48.647978,28.039331,0.)); #554383=CARTESIAN_POINT('',(48.647978,28.039331,0.035)); #554384=CARTESIAN_POINT('',(48.647978,28.039331,0.035)); #554385=CARTESIAN_POINT('',(48.647978,28.039331,0.)); #554386=CARTESIAN_POINT('Origin',(48.631634,28.013784,0.)); #554387=CARTESIAN_POINT('',(48.631634,28.013784,0.)); #554388=CARTESIAN_POINT('',(48.631634,28.013784,0.)); #554389=CARTESIAN_POINT('',(48.631634,28.013784,0.035)); #554390=CARTESIAN_POINT('',(48.631634,28.013784,0.035)); #554391=CARTESIAN_POINT('',(48.631634,28.013784,0.)); #554392=CARTESIAN_POINT('Origin',(48.606622,27.996634,0.)); #554393=CARTESIAN_POINT('',(48.606622,27.996634,0.)); #554394=CARTESIAN_POINT('',(48.606622,27.996634,0.)); #554395=CARTESIAN_POINT('',(48.606622,27.996634,0.035)); #554396=CARTESIAN_POINT('',(48.606622,27.996634,0.035)); #554397=CARTESIAN_POINT('',(48.606622,27.996634,0.)); #554398=CARTESIAN_POINT('Origin',(48.5769,27.9906,0.)); #554399=CARTESIAN_POINT('',(48.5769,27.9906,0.)); #554400=CARTESIAN_POINT('',(48.5769,27.9906,0.)); #554401=CARTESIAN_POINT('',(48.5769,27.9906,0.035)); #554402=CARTESIAN_POINT('',(48.5769,27.9906,0.035)); #554403=CARTESIAN_POINT('',(48.5769,27.9906,0.)); #554404=CARTESIAN_POINT('Origin',(47.4231,27.9906,0.)); #554405=CARTESIAN_POINT('',(47.4231,27.9906,0.)); #554406=CARTESIAN_POINT('',(47.4231,27.9906,0.)); #554407=CARTESIAN_POINT('',(47.4231,27.9906,0.035)); #554408=CARTESIAN_POINT('',(47.4231,27.9906,0.035)); #554409=CARTESIAN_POINT('',(47.4231,27.9906,0.)); #554410=CARTESIAN_POINT('Origin',(47.395631,27.995722,0.)); #554411=CARTESIAN_POINT('',(47.395631,27.995722,0.)); #554412=CARTESIAN_POINT('',(47.395631,27.995722,0.)); #554413=CARTESIAN_POINT('',(47.395631,27.995722,0.035)); #554414=CARTESIAN_POINT('',(47.395631,27.995722,0.035)); #554415=CARTESIAN_POINT('',(47.395631,27.995722,0.)); #554416=CARTESIAN_POINT('Origin',(47.370084,28.012066,0.)); #554417=CARTESIAN_POINT('',(47.370084,28.012066,0.)); #554418=CARTESIAN_POINT('',(47.370084,28.012066,0.)); #554419=CARTESIAN_POINT('',(47.370084,28.012066,0.035)); #554420=CARTESIAN_POINT('',(47.370084,28.012066,0.035)); #554421=CARTESIAN_POINT('',(47.370084,28.012066,0.)); #554422=CARTESIAN_POINT('Origin',(47.352934,28.037078,0.)); #554423=CARTESIAN_POINT('',(47.352934,28.037078,0.)); #554424=CARTESIAN_POINT('',(47.352934,28.037078,0.)); #554425=CARTESIAN_POINT('',(47.352934,28.037078,0.035)); #554426=CARTESIAN_POINT('',(47.352934,28.037078,0.035)); #554427=CARTESIAN_POINT('',(47.352934,28.037078,0.)); #554428=CARTESIAN_POINT('Origin',(47.3469,28.0668,0.)); #554429=CARTESIAN_POINT('',(47.3469,28.0668,0.)); #554430=CARTESIAN_POINT('',(47.3469,28.0668,0.)); #554431=CARTESIAN_POINT('',(47.3469,28.0668,0.035)); #554432=CARTESIAN_POINT('',(47.3469,28.0668,0.035)); #554433=CARTESIAN_POINT('',(47.3469,28.0668,0.)); #554434=CARTESIAN_POINT('Origin',(47.3469,28.244228,0.)); #554435=CARTESIAN_POINT('',(47.3469,28.244228,0.)); #554436=CARTESIAN_POINT('',(47.3469,28.244228,0.)); #554437=CARTESIAN_POINT('',(47.3469,28.244228,0.035)); #554438=CARTESIAN_POINT('',(47.3469,28.244228,0.035)); #554439=CARTESIAN_POINT('',(47.3469,28.244228,0.)); #554440=CARTESIAN_POINT('Origin',(47.257628,28.3335,0.)); #554441=CARTESIAN_POINT('',(47.257628,28.3335,0.)); #554442=CARTESIAN_POINT('',(47.257628,28.3335,0.)); #554443=CARTESIAN_POINT('',(47.257628,28.3335,0.035)); #554444=CARTESIAN_POINT('',(47.257628,28.3335,0.035)); #554445=CARTESIAN_POINT('',(47.257628,28.3335,0.)); #554446=CARTESIAN_POINT('Origin',(46.242372,28.3335,0.)); #554447=CARTESIAN_POINT('',(46.242372,28.3335,0.)); #554448=CARTESIAN_POINT('',(46.242372,28.3335,0.)); #554449=CARTESIAN_POINT('',(46.242372,28.3335,0.035)); #554450=CARTESIAN_POINT('',(46.242372,28.3335,0.035)); #554451=CARTESIAN_POINT('',(46.242372,28.3335,0.)); #554452=CARTESIAN_POINT('Origin',(46.1531,28.244228,0.)); #554453=CARTESIAN_POINT('',(46.1531,28.244228,0.)); #554454=CARTESIAN_POINT('',(46.1531,28.244228,0.)); #554455=CARTESIAN_POINT('',(46.1531,28.244228,0.035)); #554456=CARTESIAN_POINT('',(46.1531,28.244228,0.035)); #554457=CARTESIAN_POINT('',(46.1531,28.244228,0.)); #554458=CARTESIAN_POINT('Origin',(46.1531,28.0668,0.)); #554459=CARTESIAN_POINT('',(46.1531,28.0668,0.)); #554460=CARTESIAN_POINT('',(46.1531,28.0668,0.)); #554461=CARTESIAN_POINT('',(46.1531,28.0668,0.035)); #554462=CARTESIAN_POINT('',(46.1531,28.0668,0.035)); #554463=CARTESIAN_POINT('',(46.1531,28.0668,0.)); #554464=CARTESIAN_POINT('Origin',(46.147978,28.039331,0.)); #554465=CARTESIAN_POINT('',(46.147978,28.039331,0.)); #554466=CARTESIAN_POINT('',(46.147978,28.039331,0.)); #554467=CARTESIAN_POINT('',(46.147978,28.039331,0.035)); #554468=CARTESIAN_POINT('',(46.147978,28.039331,0.035)); #554469=CARTESIAN_POINT('',(46.147978,28.039331,0.)); #554470=CARTESIAN_POINT('Origin',(46.131634,28.013784,0.)); #554471=CARTESIAN_POINT('',(46.131634,28.013784,0.)); #554472=CARTESIAN_POINT('',(46.131634,28.013784,0.)); #554473=CARTESIAN_POINT('',(46.131634,28.013784,0.035)); #554474=CARTESIAN_POINT('',(46.131634,28.013784,0.035)); #554475=CARTESIAN_POINT('',(46.131634,28.013784,0.)); #554476=CARTESIAN_POINT('Origin',(46.106622,27.996634,0.)); #554477=CARTESIAN_POINT('',(46.106622,27.996634,0.)); #554478=CARTESIAN_POINT('',(46.106622,27.996634,0.)); #554479=CARTESIAN_POINT('',(46.106622,27.996634,0.035)); #554480=CARTESIAN_POINT('',(46.106622,27.996634,0.035)); #554481=CARTESIAN_POINT('',(46.106622,27.996634,0.)); #554482=CARTESIAN_POINT('Origin',(46.0769,27.9906,0.)); #554483=CARTESIAN_POINT('',(46.0769,27.9906,0.)); #554484=CARTESIAN_POINT('',(46.0769,27.9906,0.)); #554485=CARTESIAN_POINT('',(46.0769,27.9906,0.035)); #554486=CARTESIAN_POINT('',(46.0769,27.9906,0.035)); #554487=CARTESIAN_POINT('',(46.0769,27.9906,0.)); #554488=CARTESIAN_POINT('Origin',(42.528997,27.9906,0.)); #554489=CARTESIAN_POINT('',(42.528997,27.9906,0.)); #554490=CARTESIAN_POINT('',(42.528997,27.9906,0.)); #554491=CARTESIAN_POINT('',(42.528997,27.9906,0.035)); #554492=CARTESIAN_POINT('',(42.528997,27.9906,0.035)); #554493=CARTESIAN_POINT('',(42.528997,27.9906,0.)); #554494=CARTESIAN_POINT('Origin',(42.502666,27.995294,0.)); #554495=CARTESIAN_POINT('',(42.502666,27.995294,0.)); #554496=CARTESIAN_POINT('',(42.502666,27.995294,0.)); #554497=CARTESIAN_POINT('',(42.502666,27.995294,0.035)); #554498=CARTESIAN_POINT('',(42.502666,27.995294,0.035)); #554499=CARTESIAN_POINT('',(42.502666,27.995294,0.)); #554500=CARTESIAN_POINT('Origin',(42.476859,28.011228,0.)); #554501=CARTESIAN_POINT('',(42.476859,28.011228,0.)); #554502=CARTESIAN_POINT('',(42.476859,28.011228,0.)); #554503=CARTESIAN_POINT('',(42.476859,28.011228,0.035)); #554504=CARTESIAN_POINT('',(42.476859,28.011228,0.035)); #554505=CARTESIAN_POINT('',(42.476859,28.011228,0.)); #554506=CARTESIAN_POINT('Origin',(42.459316,28.035966,0.)); #554507=CARTESIAN_POINT('',(42.459316,28.035966,0.)); #554508=CARTESIAN_POINT('',(42.459316,28.035966,0.)); #554509=CARTESIAN_POINT('',(42.459316,28.035966,0.035)); #554510=CARTESIAN_POINT('',(42.459316,28.035966,0.035)); #554511=CARTESIAN_POINT('',(42.459316,28.035966,0.)); #554512=CARTESIAN_POINT('Origin',(42.452806,28.065584,0.)); #554513=CARTESIAN_POINT('',(42.452806,28.065584,0.)); #554514=CARTESIAN_POINT('',(42.452806,28.065584,0.)); #554515=CARTESIAN_POINT('',(42.452806,28.065584,0.035)); #554516=CARTESIAN_POINT('',(42.452806,28.065584,0.035)); #554517=CARTESIAN_POINT('',(42.452806,28.065584,0.)); #554518=CARTESIAN_POINT('Origin',(42.458366,28.095397,0.)); #554519=CARTESIAN_POINT('',(42.458366,28.095397,0.)); #554520=CARTESIAN_POINT('',(42.458366,28.095397,0.)); #554521=CARTESIAN_POINT('',(42.458366,28.095397,0.035)); #554522=CARTESIAN_POINT('',(42.458366,28.095397,0.035)); #554523=CARTESIAN_POINT('',(42.458366,28.095397,0.)); #554524=CARTESIAN_POINT('Origin',(42.475116,28.120681,0.)); #554525=CARTESIAN_POINT('',(42.475116,28.120681,0.)); #554526=CARTESIAN_POINT('',(42.475116,28.120681,0.)); #554527=CARTESIAN_POINT('',(42.475116,28.120681,0.035)); #554528=CARTESIAN_POINT('',(42.475116,28.120681,0.035)); #554529=CARTESIAN_POINT('',(42.475116,28.120681,0.)); #554530=CARTESIAN_POINT('Origin',(42.603516,28.249081,0.)); #554531=CARTESIAN_POINT('',(42.603516,28.249081,0.)); #554532=CARTESIAN_POINT('',(42.603516,28.249081,0.)); #554533=CARTESIAN_POINT('',(42.603516,28.249081,0.035)); #554534=CARTESIAN_POINT('',(42.603516,28.249081,0.035)); #554535=CARTESIAN_POINT('',(42.603516,28.249081,0.)); #554536=CARTESIAN_POINT('Origin',(42.627675,28.265366,0.)); #554537=CARTESIAN_POINT('',(42.627675,28.265366,0.)); #554538=CARTESIAN_POINT('',(42.627675,28.265366,0.)); #554539=CARTESIAN_POINT('',(42.627675,28.265366,0.035)); #554540=CARTESIAN_POINT('',(42.627675,28.265366,0.035)); #554541=CARTESIAN_POINT('',(42.627675,28.265366,0.)); #554542=CARTESIAN_POINT('Origin',(42.657397,28.2714,0.)); #554543=CARTESIAN_POINT('',(42.657397,28.2714,0.)); #554544=CARTESIAN_POINT('',(42.657397,28.2714,0.)); #554545=CARTESIAN_POINT('',(42.657397,28.2714,0.035)); #554546=CARTESIAN_POINT('',(42.657397,28.2714,0.035)); #554547=CARTESIAN_POINT('',(42.657397,28.2714,0.)); #554548=CARTESIAN_POINT('Origin',(45.094691,28.2714,0.)); #554549=CARTESIAN_POINT('',(45.094691,28.2714,0.)); #554550=CARTESIAN_POINT('',(45.094691,28.2714,0.)); #554551=CARTESIAN_POINT('',(45.094691,28.2714,0.035)); #554552=CARTESIAN_POINT('',(45.094691,28.2714,0.035)); #554553=CARTESIAN_POINT('',(45.094691,28.2714,0.)); #554554=CARTESIAN_POINT('Origin',(46.4786,29.655309,0.)); #554555=CARTESIAN_POINT('',(46.4786,29.655309,0.)); #554556=CARTESIAN_POINT('',(46.4786,29.655309,0.)); #554557=CARTESIAN_POINT('',(46.4786,29.655309,0.035)); #554558=CARTESIAN_POINT('',(46.4786,29.655309,0.035)); #554559=CARTESIAN_POINT('',(46.4786,29.655309,0.)); #554560=CARTESIAN_POINT('Origin',(46.4786,32.985844,0.)); #554561=CARTESIAN_POINT('',(46.4786,32.985844,0.)); #554562=CARTESIAN_POINT('',(46.4786,32.985844,0.)); #554563=CARTESIAN_POINT('',(46.4786,32.985844,0.035)); #554564=CARTESIAN_POINT('',(46.4786,32.985844,0.035)); #554565=CARTESIAN_POINT('',(46.4786,32.985844,0.)); #554566=CARTESIAN_POINT('Origin',(46.483944,33.013878,0.)); #554567=CARTESIAN_POINT('',(46.483944,33.013878,0.)); #554568=CARTESIAN_POINT('',(46.483944,33.013878,0.)); #554569=CARTESIAN_POINT('',(46.483944,33.013878,0.035)); #554570=CARTESIAN_POINT('',(46.483944,33.013878,0.035)); #554571=CARTESIAN_POINT('',(46.483944,33.013878,0.)); #554572=CARTESIAN_POINT('Origin',(46.500491,33.039294,0.)); #554573=CARTESIAN_POINT('',(46.500491,33.039294,0.)); #554574=CARTESIAN_POINT('',(46.500491,33.039294,0.)); #554575=CARTESIAN_POINT('',(46.500491,33.039294,0.035)); #554576=CARTESIAN_POINT('',(46.500491,33.039294,0.035)); #554577=CARTESIAN_POINT('',(46.500491,33.039294,0.)); #554578=CARTESIAN_POINT('Origin',(46.525641,33.056244,0.)); #554579=CARTESIAN_POINT('',(46.525641,33.056244,0.)); #554580=CARTESIAN_POINT('',(46.525641,33.056244,0.)); #554581=CARTESIAN_POINT('',(46.525641,33.056244,0.035)); #554582=CARTESIAN_POINT('',(46.525641,33.056244,0.035)); #554583=CARTESIAN_POINT('',(46.525641,33.056244,0.)); #554584=CARTESIAN_POINT('Origin',(46.803653,33.1714,0.)); #554585=CARTESIAN_POINT('',(46.803653,33.1714,0.)); #554586=CARTESIAN_POINT('',(46.803653,33.1714,0.)); #554587=CARTESIAN_POINT('',(46.803653,33.1714,0.035)); #554588=CARTESIAN_POINT('',(46.803653,33.1714,0.035)); #554589=CARTESIAN_POINT('',(46.803653,33.1714,0.)); #554590=CARTESIAN_POINT('Origin',(47.0786,33.446347,0.)); #554591=CARTESIAN_POINT('',(47.0786,33.446347,0.)); #554592=CARTESIAN_POINT('',(47.0786,33.446347,0.)); #554593=CARTESIAN_POINT('',(47.0786,33.446347,0.035)); #554594=CARTESIAN_POINT('',(47.0786,33.446347,0.035)); #554595=CARTESIAN_POINT('',(47.0786,33.446347,0.)); #554596=CARTESIAN_POINT('Origin',(47.2274,33.805581,0.)); #554597=CARTESIAN_POINT('',(47.2274,33.805581,0.)); #554598=CARTESIAN_POINT('',(47.2274,33.805581,0.)); #554599=CARTESIAN_POINT('',(47.2274,33.805581,0.035)); #554600=CARTESIAN_POINT('',(47.2274,33.805581,0.035)); #554601=CARTESIAN_POINT('',(47.2274,33.805581,0.)); #554602=CARTESIAN_POINT('Origin',(47.2274,34.194419,0.)); #554603=CARTESIAN_POINT('',(47.2274,34.194419,0.)); #554604=CARTESIAN_POINT('',(47.2274,34.194419,0.)); #554605=CARTESIAN_POINT('',(47.2274,34.194419,0.035)); #554606=CARTESIAN_POINT('',(47.2274,34.194419,0.035)); #554607=CARTESIAN_POINT('',(47.2274,34.194419,0.)); #554608=CARTESIAN_POINT('Origin',(47.0786,34.553653,0.)); #554609=CARTESIAN_POINT('',(47.0786,34.553653,0.)); #554610=CARTESIAN_POINT('',(47.0786,34.553653,0.)); #554611=CARTESIAN_POINT('',(47.0786,34.553653,0.035)); #554612=CARTESIAN_POINT('',(47.0786,34.553653,0.035)); #554613=CARTESIAN_POINT('',(47.0786,34.553653,0.)); #554614=CARTESIAN_POINT('Origin',(46.803653,34.8286,0.)); #554615=CARTESIAN_POINT('',(46.803653,34.8286,0.)); #554616=CARTESIAN_POINT('',(46.803653,34.8286,0.)); #554617=CARTESIAN_POINT('',(46.803653,34.8286,0.035)); #554618=CARTESIAN_POINT('',(46.803653,34.8286,0.035)); #554619=CARTESIAN_POINT('',(46.803653,34.8286,0.)); #554620=CARTESIAN_POINT('Origin',(46.444419,34.9774,0.)); #554621=CARTESIAN_POINT('',(46.444419,34.9774,0.)); #554622=CARTESIAN_POINT('',(46.444419,34.9774,0.)); #554623=CARTESIAN_POINT('',(46.444419,34.9774,0.035)); #554624=CARTESIAN_POINT('',(46.444419,34.9774,0.035)); #554625=CARTESIAN_POINT('',(46.444419,34.9774,0.)); #554626=CARTESIAN_POINT('Origin',(46.055581,34.9774,0.)); #554627=CARTESIAN_POINT('',(46.055581,34.9774,0.)); #554628=CARTESIAN_POINT('',(46.055581,34.9774,0.)); #554629=CARTESIAN_POINT('',(46.055581,34.9774,0.035)); #554630=CARTESIAN_POINT('',(46.055581,34.9774,0.035)); #554631=CARTESIAN_POINT('',(46.055581,34.9774,0.)); #554632=CARTESIAN_POINT('Origin',(45.696347,34.8286,0.)); #554633=CARTESIAN_POINT('',(45.696347,34.8286,0.)); #554634=CARTESIAN_POINT('',(45.696347,34.8286,0.)); #554635=CARTESIAN_POINT('',(45.696347,34.8286,0.035)); #554636=CARTESIAN_POINT('',(45.696347,34.8286,0.035)); #554637=CARTESIAN_POINT('',(45.696347,34.8286,0.)); #554638=CARTESIAN_POINT('Origin',(45.4214,34.553653,0.)); #554639=CARTESIAN_POINT('',(45.4214,34.553653,0.)); #554640=CARTESIAN_POINT('',(45.4214,34.553653,0.)); #554641=CARTESIAN_POINT('',(45.4214,34.553653,0.035)); #554642=CARTESIAN_POINT('',(45.4214,34.553653,0.035)); #554643=CARTESIAN_POINT('',(45.4214,34.553653,0.)); #554644=CARTESIAN_POINT('Origin',(45.2726,34.194419,0.)); #554645=CARTESIAN_POINT('',(45.2726,34.194419,0.)); #554646=CARTESIAN_POINT('',(45.2726,34.194419,0.)); #554647=CARTESIAN_POINT('',(45.2726,34.194419,0.035)); #554648=CARTESIAN_POINT('',(45.2726,34.194419,0.035)); #554649=CARTESIAN_POINT('',(45.2726,34.194419,0.)); #554650=CARTESIAN_POINT('Origin',(45.2726,33.805581,0.)); #554651=CARTESIAN_POINT('',(45.2726,33.805581,0.)); #554652=CARTESIAN_POINT('',(45.2726,33.805581,0.)); #554653=CARTESIAN_POINT('',(45.2726,33.805581,0.035)); #554654=CARTESIAN_POINT('',(45.2726,33.805581,0.035)); #554655=CARTESIAN_POINT('',(45.2726,33.805581,0.)); #554656=CARTESIAN_POINT('Origin',(45.4214,33.446347,0.)); #554657=CARTESIAN_POINT('',(45.4214,33.446347,0.)); #554658=CARTESIAN_POINT('',(45.4214,33.446347,0.)); #554659=CARTESIAN_POINT('',(45.4214,33.446347,0.035)); #554660=CARTESIAN_POINT('',(45.4214,33.446347,0.035)); #554661=CARTESIAN_POINT('',(45.4214,33.446347,0.)); #554662=CARTESIAN_POINT('Origin',(45.696347,33.1714,0.)); #554663=CARTESIAN_POINT('',(45.696347,33.1714,0.)); #554664=CARTESIAN_POINT('',(45.696347,33.1714,0.)); #554665=CARTESIAN_POINT('',(45.696347,33.1714,0.035)); #554666=CARTESIAN_POINT('',(45.696347,33.1714,0.035)); #554667=CARTESIAN_POINT('',(45.696347,33.1714,0.)); #554668=CARTESIAN_POINT('Origin',(45.974359,33.056244,0.)); #554669=CARTESIAN_POINT('',(45.974359,33.056244,0.)); #554670=CARTESIAN_POINT('',(45.974359,33.056244,0.)); #554671=CARTESIAN_POINT('',(45.974359,33.056244,0.035)); #554672=CARTESIAN_POINT('',(45.974359,33.056244,0.035)); #554673=CARTESIAN_POINT('',(45.974359,33.056244,0.)); #554674=CARTESIAN_POINT('Origin',(45.998216,33.040578,0.)); #554675=CARTESIAN_POINT('',(45.998216,33.040578,0.)); #554676=CARTESIAN_POINT('',(45.998216,33.040578,0.)); #554677=CARTESIAN_POINT('',(45.998216,33.040578,0.035)); #554678=CARTESIAN_POINT('',(45.998216,33.040578,0.035)); #554679=CARTESIAN_POINT('',(45.998216,33.040578,0.)); #554680=CARTESIAN_POINT('Origin',(46.015366,33.015566,0.)); #554681=CARTESIAN_POINT('',(46.015366,33.015566,0.)); #554682=CARTESIAN_POINT('',(46.015366,33.015566,0.)); #554683=CARTESIAN_POINT('',(46.015366,33.015566,0.035)); #554684=CARTESIAN_POINT('',(46.015366,33.015566,0.035)); #554685=CARTESIAN_POINT('',(46.015366,33.015566,0.)); #554686=CARTESIAN_POINT('Origin',(46.0214,32.985844,0.)); #554687=CARTESIAN_POINT('',(46.0214,32.985844,0.)); #554688=CARTESIAN_POINT('',(46.0214,32.985844,0.)); #554689=CARTESIAN_POINT('',(46.0214,32.985844,0.035)); #554690=CARTESIAN_POINT('',(46.0214,32.985844,0.035)); #554691=CARTESIAN_POINT('',(46.0214,32.985844,0.)); #554692=CARTESIAN_POINT('Origin',(46.0214,29.876253,0.)); #554693=CARTESIAN_POINT('',(46.0214,29.876253,0.)); #554694=CARTESIAN_POINT('',(46.0214,29.876253,0.)); #554695=CARTESIAN_POINT('',(46.0214,29.876253,0.035)); #554696=CARTESIAN_POINT('',(46.0214,29.876253,0.035)); #554697=CARTESIAN_POINT('',(46.0214,29.876253,0.)); #554698=CARTESIAN_POINT('Origin',(46.015831,29.847656,0.)); #554699=CARTESIAN_POINT('',(46.015831,29.847656,0.)); #554700=CARTESIAN_POINT('',(46.015831,29.847656,0.)); #554701=CARTESIAN_POINT('',(46.015831,29.847656,0.035)); #554702=CARTESIAN_POINT('',(46.015831,29.847656,0.035)); #554703=CARTESIAN_POINT('',(46.015831,29.847656,0.)); #554704=CARTESIAN_POINT('Origin',(45.999081,29.822372,0.)); #554705=CARTESIAN_POINT('',(45.999081,29.822372,0.)); #554706=CARTESIAN_POINT('',(45.999081,29.822372,0.)); #554707=CARTESIAN_POINT('',(45.999081,29.822372,0.035)); #554708=CARTESIAN_POINT('',(45.999081,29.822372,0.035)); #554709=CARTESIAN_POINT('',(45.999081,29.822372,0.)); #554710=CARTESIAN_POINT('Origin',(44.927628,28.750919,0.)); #554711=CARTESIAN_POINT('',(44.927628,28.750919,0.)); #554712=CARTESIAN_POINT('',(44.927628,28.750919,0.)); #554713=CARTESIAN_POINT('',(44.927628,28.750919,0.035)); #554714=CARTESIAN_POINT('',(44.927628,28.750919,0.035)); #554715=CARTESIAN_POINT('',(44.927628,28.750919,0.)); #554716=CARTESIAN_POINT('Origin',(44.903469,28.734634,0.)); #554717=CARTESIAN_POINT('',(44.903469,28.734634,0.)); #554718=CARTESIAN_POINT('',(44.903469,28.734634,0.)); #554719=CARTESIAN_POINT('',(44.903469,28.734634,0.035)); #554720=CARTESIAN_POINT('',(44.903469,28.734634,0.035)); #554721=CARTESIAN_POINT('',(44.903469,28.734634,0.)); #554722=CARTESIAN_POINT('Origin',(44.873747,28.7286,0.)); #554723=CARTESIAN_POINT('',(44.873747,28.7286,0.)); #554724=CARTESIAN_POINT('',(44.873747,28.7286,0.)); #554725=CARTESIAN_POINT('',(44.873747,28.7286,0.035)); #554726=CARTESIAN_POINT('',(44.873747,28.7286,0.035)); #554727=CARTESIAN_POINT('',(44.873747,28.7286,0.)); #554728=CARTESIAN_POINT('Origin',(42.657397,28.7286,0.)); #554729=CARTESIAN_POINT('',(42.657397,28.7286,0.)); #554730=CARTESIAN_POINT('',(42.657397,28.7286,0.)); #554731=CARTESIAN_POINT('',(42.657397,28.7286,0.035)); #554732=CARTESIAN_POINT('',(42.657397,28.7286,0.035)); #554733=CARTESIAN_POINT('',(42.657397,28.7286,0.)); #554734=CARTESIAN_POINT('Origin',(42.6288,28.734169,0.)); #554735=CARTESIAN_POINT('',(42.6288,28.734169,0.)); #554736=CARTESIAN_POINT('',(42.6288,28.734169,0.)); #554737=CARTESIAN_POINT('',(42.6288,28.734169,0.035)); #554738=CARTESIAN_POINT('',(42.6288,28.734169,0.035)); #554739=CARTESIAN_POINT('',(42.6288,28.734169,0.)); #554740=CARTESIAN_POINT('Origin',(42.603516,28.750919,0.)); #554741=CARTESIAN_POINT('',(42.603516,28.750919,0.)); #554742=CARTESIAN_POINT('',(42.603516,28.750919,0.)); #554743=CARTESIAN_POINT('',(42.603516,28.750919,0.035)); #554744=CARTESIAN_POINT('',(42.603516,28.750919,0.035)); #554745=CARTESIAN_POINT('',(42.603516,28.750919,0.)); #554746=CARTESIAN_POINT('Origin',(42.427034,28.9274,0.)); #554747=CARTESIAN_POINT('',(42.427034,28.9274,0.)); #554748=CARTESIAN_POINT('',(42.427034,28.9274,0.)); #554749=CARTESIAN_POINT('',(42.427034,28.9274,0.035)); #554750=CARTESIAN_POINT('',(42.427034,28.9274,0.035)); #554751=CARTESIAN_POINT('',(42.427034,28.9274,0.)); #554752=CARTESIAN_POINT('Origin',(42.072966,28.9274,0.)); #554753=CARTESIAN_POINT('',(42.072966,28.9274,0.)); #554754=CARTESIAN_POINT('',(42.072966,28.9274,0.)); #554755=CARTESIAN_POINT('',(42.072966,28.9274,0.035)); #554756=CARTESIAN_POINT('',(42.072966,28.9274,0.035)); #554757=CARTESIAN_POINT('',(42.072966,28.9274,0.)); #554758=CARTESIAN_POINT('Origin',(41.896484,28.750919,0.)); #554759=CARTESIAN_POINT('',(41.896484,28.750919,0.)); #554760=CARTESIAN_POINT('',(41.896484,28.750919,0.)); #554761=CARTESIAN_POINT('',(41.896484,28.750919,0.035)); #554762=CARTESIAN_POINT('',(41.896484,28.750919,0.035)); #554763=CARTESIAN_POINT('',(41.896484,28.750919,0.)); #554764=CARTESIAN_POINT('Origin',(41.872325,28.734634,0.)); #554765=CARTESIAN_POINT('',(41.872325,28.734634,0.)); #554766=CARTESIAN_POINT('',(41.872325,28.734634,0.)); #554767=CARTESIAN_POINT('',(41.872325,28.734634,0.035)); #554768=CARTESIAN_POINT('',(41.872325,28.734634,0.035)); #554769=CARTESIAN_POINT('',(41.872325,28.734634,0.)); #554770=CARTESIAN_POINT('Origin',(41.842603,28.7286,0.)); #554771=CARTESIAN_POINT('',(41.842603,28.7286,0.)); #554772=CARTESIAN_POINT('',(41.842603,28.7286,0.)); #554773=CARTESIAN_POINT('',(41.842603,28.7286,0.035)); #554774=CARTESIAN_POINT('',(41.842603,28.7286,0.035)); #554775=CARTESIAN_POINT('',(41.842603,28.7286,0.)); #554776=CARTESIAN_POINT('Origin',(38.1778,28.7286,0.)); #554777=CARTESIAN_POINT('',(38.1778,28.7286,0.)); #554778=CARTESIAN_POINT('',(38.1778,28.7286,0.)); #554779=CARTESIAN_POINT('',(38.1778,28.7286,0.035)); #554780=CARTESIAN_POINT('',(38.1778,28.7286,0.035)); #554781=CARTESIAN_POINT('',(38.1778,28.7286,0.)); #554782=CARTESIAN_POINT('Origin',(38.150331,28.733722,0.)); #554783=CARTESIAN_POINT('',(38.150331,28.733722,0.)); #554784=CARTESIAN_POINT('',(38.150331,28.733722,0.)); #554785=CARTESIAN_POINT('',(38.150331,28.733722,0.035)); #554786=CARTESIAN_POINT('',(38.150331,28.733722,0.035)); #554787=CARTESIAN_POINT('',(38.150331,28.733722,0.)); #554788=CARTESIAN_POINT('Origin',(38.124784,28.750066,0.)); #554789=CARTESIAN_POINT('',(38.124784,28.750066,0.)); #554790=CARTESIAN_POINT('',(38.124784,28.750066,0.)); #554791=CARTESIAN_POINT('',(38.124784,28.750066,0.035)); #554792=CARTESIAN_POINT('',(38.124784,28.750066,0.035)); #554793=CARTESIAN_POINT('',(38.124784,28.750066,0.)); #554794=CARTESIAN_POINT('Origin',(38.107634,28.775078,0.)); #554795=CARTESIAN_POINT('',(38.107634,28.775078,0.)); #554796=CARTESIAN_POINT('',(38.107634,28.775078,0.)); #554797=CARTESIAN_POINT('',(38.107634,28.775078,0.035)); #554798=CARTESIAN_POINT('',(38.107634,28.775078,0.035)); #554799=CARTESIAN_POINT('',(38.107634,28.775078,0.)); #554800=CARTESIAN_POINT('Origin',(38.1016,28.8048,0.)); #554801=CARTESIAN_POINT('',(38.1016,28.8048,0.)); #554802=CARTESIAN_POINT('',(38.1016,28.8048,0.)); #554803=CARTESIAN_POINT('',(38.1016,28.8048,0.035)); #554804=CARTESIAN_POINT('',(38.1016,28.8048,0.035)); #554805=CARTESIAN_POINT('',(38.1016,28.8048,0.)); #554806=CARTESIAN_POINT('Origin',(38.1016,32.745953,0.)); #554807=CARTESIAN_POINT('',(38.1016,32.745953,0.)); #554808=CARTESIAN_POINT('',(38.1016,32.745953,0.)); #554809=CARTESIAN_POINT('',(38.1016,32.745953,0.035)); #554810=CARTESIAN_POINT('',(38.1016,32.745953,0.035)); #554811=CARTESIAN_POINT('',(38.1016,32.745953,0.)); #554812=CARTESIAN_POINT('Origin',(38.0989,32.771309,0.)); #554813=CARTESIAN_POINT('',(38.0989,32.771309,0.)); #554814=CARTESIAN_POINT('',(38.0989,32.771309,0.)); #554815=CARTESIAN_POINT('',(38.0989,32.771309,0.035)); #554816=CARTESIAN_POINT('',(38.0989,32.771309,0.035)); #554817=CARTESIAN_POINT('',(38.0989,32.771309,0.)); #554818=CARTESIAN_POINT('Origin',(38.092194,32.791663,0.)); #554819=CARTESIAN_POINT('',(38.092194,32.791663,0.)); #554820=CARTESIAN_POINT('',(38.092194,32.791663,0.)); #554821=CARTESIAN_POINT('',(38.092194,32.791663,0.035)); #554822=CARTESIAN_POINT('',(38.092194,32.791663,0.035)); #554823=CARTESIAN_POINT('',(38.092194,32.791663,0.)); #554824=CARTESIAN_POINT('Origin',(38.080731,32.811197,0.)); #554825=CARTESIAN_POINT('',(38.080731,32.811197,0.)); #554826=CARTESIAN_POINT('',(38.080731,32.811197,0.)); #554827=CARTESIAN_POINT('',(38.080731,32.811197,0.035)); #554828=CARTESIAN_POINT('',(38.080731,32.811197,0.035)); #554829=CARTESIAN_POINT('',(38.080731,32.811197,0.)); #554830=CARTESIAN_POINT('Origin',(38.069822,32.823866,0.)); #554831=CARTESIAN_POINT('',(38.069822,32.823866,0.)); #554832=CARTESIAN_POINT('',(38.069822,32.823866,0.)); #554833=CARTESIAN_POINT('',(38.069822,32.823866,0.035)); #554834=CARTESIAN_POINT('',(38.069822,32.823866,0.035)); #554835=CARTESIAN_POINT('',(38.069822,32.823866,0.)); #554836=CARTESIAN_POINT('Origin',(37.311419,33.582269,0.)); #554837=CARTESIAN_POINT('',(37.311419,33.582269,0.)); #554838=CARTESIAN_POINT('',(37.311419,33.582269,0.)); #554839=CARTESIAN_POINT('',(37.311419,33.582269,0.035)); #554840=CARTESIAN_POINT('',(37.311419,33.582269,0.035)); #554841=CARTESIAN_POINT('',(37.311419,33.582269,0.)); #554842=CARTESIAN_POINT('Origin',(37.295134,33.606428,0.)); #554843=CARTESIAN_POINT('',(37.295134,33.606428,0.)); #554844=CARTESIAN_POINT('',(37.295134,33.606428,0.)); #554845=CARTESIAN_POINT('',(37.295134,33.606428,0.035)); #554846=CARTESIAN_POINT('',(37.295134,33.606428,0.035)); #554847=CARTESIAN_POINT('',(37.295134,33.606428,0.)); #554848=CARTESIAN_POINT('Origin',(37.2891,33.63615,0.)); #554849=CARTESIAN_POINT('',(37.2891,33.63615,0.)); #554850=CARTESIAN_POINT('',(37.2891,33.63615,0.)); #554851=CARTESIAN_POINT('',(37.2891,33.63615,0.035)); #554852=CARTESIAN_POINT('',(37.2891,33.63615,0.035)); #554853=CARTESIAN_POINT('',(37.2891,33.63615,0.)); #554854=CARTESIAN_POINT('Origin',(37.2891,36.2464,0.)); #554855=CARTESIAN_POINT('',(37.2891,36.2464,0.)); #554856=CARTESIAN_POINT('',(37.2891,36.2464,0.)); #554857=CARTESIAN_POINT('',(37.2891,36.2464,0.035)); #554858=CARTESIAN_POINT('',(37.2891,36.2464,0.035)); #554859=CARTESIAN_POINT('',(37.2891,36.2464,0.)); #554860=CARTESIAN_POINT('Origin',(37.294222,36.273869,0.)); #554861=CARTESIAN_POINT('',(37.294222,36.273869,0.)); #554862=CARTESIAN_POINT('',(37.294222,36.273869,0.)); #554863=CARTESIAN_POINT('',(37.294222,36.273869,0.035)); #554864=CARTESIAN_POINT('',(37.294222,36.273869,0.035)); #554865=CARTESIAN_POINT('',(37.294222,36.273869,0.)); #554866=CARTESIAN_POINT('Origin',(37.310566,36.299416,0.)); #554867=CARTESIAN_POINT('',(37.310566,36.299416,0.)); #554868=CARTESIAN_POINT('',(37.310566,36.299416,0.)); #554869=CARTESIAN_POINT('',(37.310566,36.299416,0.035)); #554870=CARTESIAN_POINT('',(37.310566,36.299416,0.035)); #554871=CARTESIAN_POINT('',(37.310566,36.299416,0.)); #554872=CARTESIAN_POINT('Origin',(37.335578,36.316566,0.)); #554873=CARTESIAN_POINT('',(37.335578,36.316566,0.)); #554874=CARTESIAN_POINT('',(37.335578,36.316566,0.)); #554875=CARTESIAN_POINT('',(37.335578,36.316566,0.035)); #554876=CARTESIAN_POINT('',(37.335578,36.316566,0.035)); #554877=CARTESIAN_POINT('',(37.335578,36.316566,0.)); #554878=CARTESIAN_POINT('Origin',(37.364341,36.322406,0.)); #554879=CARTESIAN_POINT('',(37.364341,36.322406,0.)); #554880=CARTESIAN_POINT('',(37.364341,36.322406,0.)); #554881=CARTESIAN_POINT('',(37.364341,36.322406,0.035)); #554882=CARTESIAN_POINT('',(37.364341,36.322406,0.035)); #554883=CARTESIAN_POINT('',(37.364341,36.322406,0.)); #554884=CARTESIAN_POINT('Origin',(37.541016,36.499081,0.)); #554885=CARTESIAN_POINT('',(37.541016,36.499081,0.)); #554886=CARTESIAN_POINT('',(37.541016,36.499081,0.)); #554887=CARTESIAN_POINT('',(37.541016,36.499081,0.035)); #554888=CARTESIAN_POINT('',(37.541016,36.499081,0.035)); #554889=CARTESIAN_POINT('',(37.541016,36.499081,0.)); #554890=CARTESIAN_POINT('Origin',(37.565175,36.515366,0.)); #554891=CARTESIAN_POINT('',(37.565175,36.515366,0.)); #554892=CARTESIAN_POINT('',(37.565175,36.515366,0.)); #554893=CARTESIAN_POINT('',(37.565175,36.515366,0.035)); #554894=CARTESIAN_POINT('',(37.565175,36.515366,0.035)); #554895=CARTESIAN_POINT('',(37.565175,36.515366,0.)); #554896=CARTESIAN_POINT('Origin',(37.594897,36.5214,0.)); #554897=CARTESIAN_POINT('',(37.594897,36.5214,0.)); #554898=CARTESIAN_POINT('',(37.594897,36.5214,0.)); #554899=CARTESIAN_POINT('',(37.594897,36.5214,0.035)); #554900=CARTESIAN_POINT('',(37.594897,36.5214,0.035)); #554901=CARTESIAN_POINT('',(37.594897,36.5214,0.)); #554902=CARTESIAN_POINT('Origin',(38.342603,36.5214,0.)); #554903=CARTESIAN_POINT('',(38.342603,36.5214,0.)); #554904=CARTESIAN_POINT('',(38.342603,36.5214,0.)); #554905=CARTESIAN_POINT('',(38.342603,36.5214,0.035)); #554906=CARTESIAN_POINT('',(38.342603,36.5214,0.035)); #554907=CARTESIAN_POINT('',(38.342603,36.5214,0.)); #554908=CARTESIAN_POINT('Origin',(38.3712,36.515831,0.)); #554909=CARTESIAN_POINT('',(38.3712,36.515831,0.)); #554910=CARTESIAN_POINT('',(38.3712,36.515831,0.)); #554911=CARTESIAN_POINT('',(38.3712,36.515831,0.035)); #554912=CARTESIAN_POINT('',(38.3712,36.515831,0.035)); #554913=CARTESIAN_POINT('',(38.3712,36.515831,0.)); #554914=CARTESIAN_POINT('Origin',(38.396484,36.499081,0.)); #554915=CARTESIAN_POINT('',(38.396484,36.499081,0.)); #554916=CARTESIAN_POINT('',(38.396484,36.499081,0.)); #554917=CARTESIAN_POINT('',(38.396484,36.499081,0.035)); #554918=CARTESIAN_POINT('',(38.396484,36.499081,0.035)); #554919=CARTESIAN_POINT('',(38.396484,36.499081,0.)); #554920=CARTESIAN_POINT('Origin',(38.572966,36.3226,0.)); #554921=CARTESIAN_POINT('',(38.572966,36.3226,0.)); #554922=CARTESIAN_POINT('',(38.572966,36.3226,0.)); #554923=CARTESIAN_POINT('',(38.572966,36.3226,0.035)); #554924=CARTESIAN_POINT('',(38.572966,36.3226,0.035)); #554925=CARTESIAN_POINT('',(38.572966,36.3226,0.)); #554926=CARTESIAN_POINT('Origin',(38.927034,36.3226,0.)); #554927=CARTESIAN_POINT('',(38.927034,36.3226,0.)); #554928=CARTESIAN_POINT('',(38.927034,36.3226,0.)); #554929=CARTESIAN_POINT('',(38.927034,36.3226,0.035)); #554930=CARTESIAN_POINT('',(38.927034,36.3226,0.035)); #554931=CARTESIAN_POINT('',(38.927034,36.3226,0.)); #554932=CARTESIAN_POINT('Origin',(39.1774,36.572966,0.)); #554933=CARTESIAN_POINT('',(39.1774,36.572966,0.)); #554934=CARTESIAN_POINT('',(39.1774,36.572966,0.)); #554935=CARTESIAN_POINT('',(39.1774,36.572966,0.035)); #554936=CARTESIAN_POINT('',(39.1774,36.572966,0.035)); #554937=CARTESIAN_POINT('',(39.1774,36.572966,0.)); #554938=CARTESIAN_POINT('Origin',(39.1774,36.927034,0.)); #554939=CARTESIAN_POINT('',(39.1774,36.927034,0.)); #554940=CARTESIAN_POINT('',(39.1774,36.927034,0.)); #554941=CARTESIAN_POINT('',(39.1774,36.927034,0.035)); #554942=CARTESIAN_POINT('',(39.1774,36.927034,0.035)); #554943=CARTESIAN_POINT('',(39.1774,36.927034,0.)); #554944=CARTESIAN_POINT('Origin',(38.927034,37.1774,0.)); #554945=CARTESIAN_POINT('',(38.927034,37.1774,0.)); #554946=CARTESIAN_POINT('',(38.927034,37.1774,0.)); #554947=CARTESIAN_POINT('',(38.927034,37.1774,0.035)); #554948=CARTESIAN_POINT('',(38.927034,37.1774,0.035)); #554949=CARTESIAN_POINT('',(38.927034,37.1774,0.)); #554950=CARTESIAN_POINT('Origin',(38.572966,37.1774,0.)); #554951=CARTESIAN_POINT('',(38.572966,37.1774,0.)); #554952=CARTESIAN_POINT('',(38.572966,37.1774,0.)); #554953=CARTESIAN_POINT('',(38.572966,37.1774,0.035)); #554954=CARTESIAN_POINT('',(38.572966,37.1774,0.035)); #554955=CARTESIAN_POINT('',(38.572966,37.1774,0.)); #554956=CARTESIAN_POINT('Origin',(38.396484,37.000919,0.)); #554957=CARTESIAN_POINT('',(38.396484,37.000919,0.)); #554958=CARTESIAN_POINT('',(38.396484,37.000919,0.)); #554959=CARTESIAN_POINT('',(38.396484,37.000919,0.035)); #554960=CARTESIAN_POINT('',(38.396484,37.000919,0.035)); #554961=CARTESIAN_POINT('',(38.396484,37.000919,0.)); #554962=CARTESIAN_POINT('Origin',(38.372325,36.984634,0.)); #554963=CARTESIAN_POINT('',(38.372325,36.984634,0.)); #554964=CARTESIAN_POINT('',(38.372325,36.984634,0.)); #554965=CARTESIAN_POINT('',(38.372325,36.984634,0.035)); #554966=CARTESIAN_POINT('',(38.372325,36.984634,0.035)); #554967=CARTESIAN_POINT('',(38.372325,36.984634,0.)); #554968=CARTESIAN_POINT('Origin',(38.342603,36.9786,0.)); #554969=CARTESIAN_POINT('',(38.342603,36.9786,0.)); #554970=CARTESIAN_POINT('',(38.342603,36.9786,0.)); #554971=CARTESIAN_POINT('',(38.342603,36.9786,0.035)); #554972=CARTESIAN_POINT('',(38.342603,36.9786,0.035)); #554973=CARTESIAN_POINT('',(38.342603,36.9786,0.)); #554974=CARTESIAN_POINT('Origin',(37.594897,36.9786,0.)); #554975=CARTESIAN_POINT('',(37.594897,36.9786,0.)); #554976=CARTESIAN_POINT('',(37.594897,36.9786,0.)); #554977=CARTESIAN_POINT('',(37.594897,36.9786,0.035)); #554978=CARTESIAN_POINT('',(37.594897,36.9786,0.035)); #554979=CARTESIAN_POINT('',(37.594897,36.9786,0.)); #554980=CARTESIAN_POINT('Origin',(37.5663,36.984169,0.)); #554981=CARTESIAN_POINT('',(37.5663,36.984169,0.)); #554982=CARTESIAN_POINT('',(37.5663,36.984169,0.)); #554983=CARTESIAN_POINT('',(37.5663,36.984169,0.035)); #554984=CARTESIAN_POINT('',(37.5663,36.984169,0.035)); #554985=CARTESIAN_POINT('',(37.5663,36.984169,0.)); #554986=CARTESIAN_POINT('Origin',(37.541016,37.000919,0.)); #554987=CARTESIAN_POINT('',(37.541016,37.000919,0.)); #554988=CARTESIAN_POINT('',(37.541016,37.000919,0.)); #554989=CARTESIAN_POINT('',(37.541016,37.000919,0.035)); #554990=CARTESIAN_POINT('',(37.541016,37.000919,0.035)); #554991=CARTESIAN_POINT('',(37.541016,37.000919,0.)); #554992=CARTESIAN_POINT('Origin',(37.364534,37.1774,0.)); #554993=CARTESIAN_POINT('',(37.364534,37.1774,0.)); #554994=CARTESIAN_POINT('',(37.364534,37.1774,0.)); #554995=CARTESIAN_POINT('',(37.364534,37.1774,0.035)); #554996=CARTESIAN_POINT('',(37.364534,37.1774,0.035)); #554997=CARTESIAN_POINT('',(37.364534,37.1774,0.)); #554998=CARTESIAN_POINT('Origin',(37.010216,37.1774,0.)); #554999=CARTESIAN_POINT('',(37.010216,37.1774,0.)); #555000=CARTESIAN_POINT('',(37.010216,37.1774,0.)); #555001=CARTESIAN_POINT('',(37.010216,37.1774,0.035)); #555002=CARTESIAN_POINT('',(37.010216,37.1774,0.035)); #555003=CARTESIAN_POINT('',(37.010216,37.1774,0.)); #555004=CARTESIAN_POINT('Origin',(36.987966,37.162144,0.)); #555005=CARTESIAN_POINT('',(36.987966,37.162144,0.)); #555006=CARTESIAN_POINT('',(36.987966,37.162144,0.)); #555007=CARTESIAN_POINT('',(36.987966,37.162144,0.035)); #555008=CARTESIAN_POINT('',(36.987966,37.162144,0.035)); #555009=CARTESIAN_POINT('',(36.987966,37.162144,0.)); #555010=CARTESIAN_POINT('Origin',(36.958344,37.155634,0.)); #555011=CARTESIAN_POINT('',(36.958344,37.155634,0.)); #555012=CARTESIAN_POINT('',(36.958344,37.155634,0.)); #555013=CARTESIAN_POINT('',(36.958344,37.155634,0.035)); #555014=CARTESIAN_POINT('',(36.958344,37.155634,0.035)); #555015=CARTESIAN_POINT('',(36.958344,37.155634,0.)); #555016=CARTESIAN_POINT('Origin',(36.928531,37.161194,0.)); #555017=CARTESIAN_POINT('',(36.928531,37.161194,0.)); #555018=CARTESIAN_POINT('',(36.928531,37.161194,0.)); #555019=CARTESIAN_POINT('',(36.928531,37.161194,0.035)); #555020=CARTESIAN_POINT('',(36.928531,37.161194,0.035)); #555021=CARTESIAN_POINT('',(36.928531,37.161194,0.)); #555022=CARTESIAN_POINT('Origin',(36.903247,37.177944,0.)); #555023=CARTESIAN_POINT('',(36.903247,37.177944,0.)); #555024=CARTESIAN_POINT('',(36.903247,37.177944,0.)); #555025=CARTESIAN_POINT('',(36.903247,37.177944,0.035)); #555026=CARTESIAN_POINT('',(36.903247,37.177944,0.035)); #555027=CARTESIAN_POINT('',(36.903247,37.177944,0.)); #555028=CARTESIAN_POINT('Origin',(36.748919,37.332269,0.)); #555029=CARTESIAN_POINT('',(36.748919,37.332269,0.)); #555030=CARTESIAN_POINT('',(36.748919,37.332269,0.)); #555031=CARTESIAN_POINT('',(36.748919,37.332269,0.035)); #555032=CARTESIAN_POINT('',(36.748919,37.332269,0.035)); #555033=CARTESIAN_POINT('',(36.748919,37.332269,0.)); #555034=CARTESIAN_POINT('Origin',(36.732634,37.356428,0.)); #555035=CARTESIAN_POINT('',(36.732634,37.356428,0.)); #555036=CARTESIAN_POINT('',(36.732634,37.356428,0.)); #555037=CARTESIAN_POINT('',(36.732634,37.356428,0.035)); #555038=CARTESIAN_POINT('',(36.732634,37.356428,0.035)); #555039=CARTESIAN_POINT('',(36.732634,37.356428,0.)); #555040=CARTESIAN_POINT('Origin',(36.7266,37.38615,0.)); #555041=CARTESIAN_POINT('',(36.7266,37.38615,0.)); #555042=CARTESIAN_POINT('',(36.7266,37.38615,0.)); #555043=CARTESIAN_POINT('',(36.7266,37.38615,0.035)); #555044=CARTESIAN_POINT('',(36.7266,37.38615,0.035)); #555045=CARTESIAN_POINT('',(36.7266,37.38615,0.)); #555046=CARTESIAN_POINT('Origin',(36.7266,45.995953,0.)); #555047=CARTESIAN_POINT('',(36.7266,45.995953,0.)); #555048=CARTESIAN_POINT('',(36.7266,45.995953,0.)); #555049=CARTESIAN_POINT('',(36.7266,45.995953,0.035)); #555050=CARTESIAN_POINT('',(36.7266,45.995953,0.035)); #555051=CARTESIAN_POINT('',(36.7266,45.995953,0.)); #555052=CARTESIAN_POINT('Origin',(36.7239,46.021309,0.)); #555053=CARTESIAN_POINT('',(36.7239,46.021309,0.)); #555054=CARTESIAN_POINT('',(36.7239,46.021309,0.)); #555055=CARTESIAN_POINT('',(36.7239,46.021309,0.035)); #555056=CARTESIAN_POINT('',(36.7239,46.021309,0.035)); #555057=CARTESIAN_POINT('',(36.7239,46.021309,0.)); #555058=CARTESIAN_POINT('Origin',(36.717194,46.041663,0.)); #555059=CARTESIAN_POINT('',(36.717194,46.041663,0.)); #555060=CARTESIAN_POINT('',(36.717194,46.041663,0.)); #555061=CARTESIAN_POINT('',(36.717194,46.041663,0.035)); #555062=CARTESIAN_POINT('',(36.717194,46.041663,0.035)); #555063=CARTESIAN_POINT('',(36.717194,46.041663,0.)); #555064=CARTESIAN_POINT('Origin',(36.705731,46.061197,0.)); #555065=CARTESIAN_POINT('',(36.705731,46.061197,0.)); #555066=CARTESIAN_POINT('',(36.705731,46.061197,0.)); #555067=CARTESIAN_POINT('',(36.705731,46.061197,0.035)); #555068=CARTESIAN_POINT('',(36.705731,46.061197,0.035)); #555069=CARTESIAN_POINT('',(36.705731,46.061197,0.)); #555070=CARTESIAN_POINT('Origin',(36.694822,46.073866,0.)); #555071=CARTESIAN_POINT('',(36.694822,46.073866,0.)); #555072=CARTESIAN_POINT('',(36.694822,46.073866,0.)); #555073=CARTESIAN_POINT('',(36.694822,46.073866,0.035)); #555074=CARTESIAN_POINT('',(36.694822,46.073866,0.035)); #555075=CARTESIAN_POINT('',(36.694822,46.073866,0.)); #555076=CARTESIAN_POINT('Origin',(36.199709,46.568978,0.)); #555077=CARTESIAN_POINT('',(36.199709,46.568978,0.)); #555078=CARTESIAN_POINT('',(36.199709,46.568978,0.)); #555079=CARTESIAN_POINT('',(36.199709,46.568978,0.035)); #555080=CARTESIAN_POINT('',(36.199709,46.568978,0.035)); #555081=CARTESIAN_POINT('',(36.199709,46.568978,0.)); #555082=CARTESIAN_POINT('Origin',(36.179869,46.584997,0.)); #555083=CARTESIAN_POINT('',(36.179869,46.584997,0.)); #555084=CARTESIAN_POINT('',(36.179869,46.584997,0.)); #555085=CARTESIAN_POINT('',(36.179869,46.584997,0.035)); #555086=CARTESIAN_POINT('',(36.179869,46.584997,0.035)); #555087=CARTESIAN_POINT('',(36.179869,46.584997,0.)); #555088=CARTESIAN_POINT('Origin',(36.160728,46.594647,0.)); #555089=CARTESIAN_POINT('',(36.160728,46.594647,0.)); #555090=CARTESIAN_POINT('',(36.160728,46.594647,0.)); #555091=CARTESIAN_POINT('',(36.160728,46.594647,0.035)); #555092=CARTESIAN_POINT('',(36.160728,46.594647,0.035)); #555093=CARTESIAN_POINT('',(36.160728,46.594647,0.)); #555094=CARTESIAN_POINT('Origin',(36.138816,46.600359,0.)); #555095=CARTESIAN_POINT('',(36.138816,46.600359,0.)); #555096=CARTESIAN_POINT('',(36.138816,46.600359,0.)); #555097=CARTESIAN_POINT('',(36.138816,46.600359,0.035)); #555098=CARTESIAN_POINT('',(36.138816,46.600359,0.035)); #555099=CARTESIAN_POINT('',(36.138816,46.600359,0.)); #555100=CARTESIAN_POINT('Origin',(36.122153,46.6016,0.)); #555101=CARTESIAN_POINT('',(36.122153,46.6016,0.)); #555102=CARTESIAN_POINT('',(36.122153,46.6016,0.)); #555103=CARTESIAN_POINT('',(36.122153,46.6016,0.035)); #555104=CARTESIAN_POINT('',(36.122153,46.6016,0.035)); #555105=CARTESIAN_POINT('',(36.122153,46.6016,0.)); #555106=CARTESIAN_POINT('Origin',(31.754047,46.6016,0.)); #555107=CARTESIAN_POINT('',(31.754047,46.6016,0.)); #555108=CARTESIAN_POINT('',(31.754047,46.6016,0.)); #555109=CARTESIAN_POINT('',(31.754047,46.6016,0.035)); #555110=CARTESIAN_POINT('',(31.754047,46.6016,0.035)); #555111=CARTESIAN_POINT('',(31.754047,46.6016,0.)); #555112=CARTESIAN_POINT('Origin',(31.728691,46.5989,0.)); #555113=CARTESIAN_POINT('',(31.728691,46.5989,0.)); #555114=CARTESIAN_POINT('',(31.728691,46.5989,0.)); #555115=CARTESIAN_POINT('',(31.728691,46.5989,0.035)); #555116=CARTESIAN_POINT('',(31.728691,46.5989,0.035)); #555117=CARTESIAN_POINT('',(31.728691,46.5989,0.)); #555118=CARTESIAN_POINT('Origin',(31.708338,46.592194,0.)); #555119=CARTESIAN_POINT('',(31.708338,46.592194,0.)); #555120=CARTESIAN_POINT('',(31.708338,46.592194,0.)); #555121=CARTESIAN_POINT('',(31.708338,46.592194,0.035)); #555122=CARTESIAN_POINT('',(31.708338,46.592194,0.035)); #555123=CARTESIAN_POINT('',(31.708338,46.592194,0.)); #555124=CARTESIAN_POINT('Origin',(31.688803,46.580731,0.)); #555125=CARTESIAN_POINT('',(31.688803,46.580731,0.)); #555126=CARTESIAN_POINT('',(31.688803,46.580731,0.)); #555127=CARTESIAN_POINT('',(31.688803,46.580731,0.035)); #555128=CARTESIAN_POINT('',(31.688803,46.580731,0.035)); #555129=CARTESIAN_POINT('',(31.688803,46.580731,0.)); #555130=CARTESIAN_POINT('Origin',(31.676134,46.569822,0.)); #555131=CARTESIAN_POINT('',(31.676134,46.569822,0.)); #555132=CARTESIAN_POINT('',(31.676134,46.569822,0.)); #555133=CARTESIAN_POINT('',(31.676134,46.569822,0.035)); #555134=CARTESIAN_POINT('',(31.676134,46.569822,0.035)); #555135=CARTESIAN_POINT('',(31.676134,46.569822,0.)); #555136=CARTESIAN_POINT('Origin',(31.181031,46.074719,0.)); #555137=CARTESIAN_POINT('',(31.181031,46.074719,0.)); #555138=CARTESIAN_POINT('',(31.181031,46.074719,0.)); #555139=CARTESIAN_POINT('',(31.181031,46.074719,0.035)); #555140=CARTESIAN_POINT('',(31.181031,46.074719,0.035)); #555141=CARTESIAN_POINT('',(31.181031,46.074719,0.)); #555142=CARTESIAN_POINT('Origin',(31.156872,46.058434,0.)); #555143=CARTESIAN_POINT('',(31.156872,46.058434,0.)); #555144=CARTESIAN_POINT('',(31.156872,46.058434,0.)); #555145=CARTESIAN_POINT('',(31.156872,46.058434,0.035)); #555146=CARTESIAN_POINT('',(31.156872,46.058434,0.035)); #555147=CARTESIAN_POINT('',(31.156872,46.058434,0.)); #555148=CARTESIAN_POINT('Origin',(31.12715,46.0524,0.)); #555149=CARTESIAN_POINT('',(31.12715,46.0524,0.)); #555150=CARTESIAN_POINT('',(31.12715,46.0524,0.)); #555151=CARTESIAN_POINT('',(31.12715,46.0524,0.035)); #555152=CARTESIAN_POINT('',(31.12715,46.0524,0.035)); #555153=CARTESIAN_POINT('',(31.12715,46.0524,0.)); #555154=CARTESIAN_POINT('Origin',(29.226872,46.0524,0.)); #555155=CARTESIAN_POINT('',(29.226872,46.0524,0.)); #555156=CARTESIAN_POINT('',(29.226872,46.0524,0.)); #555157=CARTESIAN_POINT('',(29.226872,46.0524,0.035)); #555158=CARTESIAN_POINT('',(29.226872,46.0524,0.035)); #555159=CARTESIAN_POINT('',(29.226872,46.0524,0.)); #555160=CARTESIAN_POINT('Origin',(29.1376,45.963128,0.)); #555161=CARTESIAN_POINT('',(29.1376,45.963128,0.)); #555162=CARTESIAN_POINT('',(29.1376,45.963128,0.)); #555163=CARTESIAN_POINT('',(29.1376,45.963128,0.035)); #555164=CARTESIAN_POINT('',(29.1376,45.963128,0.035)); #555165=CARTESIAN_POINT('',(29.1376,45.963128,0.)); #555166=CARTESIAN_POINT('Origin',(29.1376,44.036872,0.)); #555167=CARTESIAN_POINT('',(29.1376,44.036872,0.)); #555168=CARTESIAN_POINT('',(29.1376,44.036872,0.)); #555169=CARTESIAN_POINT('',(29.1376,44.036872,0.035)); #555170=CARTESIAN_POINT('',(29.1376,44.036872,0.035)); #555171=CARTESIAN_POINT('',(29.1376,44.036872,0.)); #555172=CARTESIAN_POINT('Origin',(29.226872,43.9476,0.)); #555173=CARTESIAN_POINT('',(29.226872,43.9476,0.)); #555174=CARTESIAN_POINT('',(29.226872,43.9476,0.)); #555175=CARTESIAN_POINT('',(29.226872,43.9476,0.035)); #555176=CARTESIAN_POINT('',(29.226872,43.9476,0.035)); #555177=CARTESIAN_POINT('',(29.226872,43.9476,0.)); #555178=CARTESIAN_POINT('Origin',(29.8852,43.9476,0.)); #555179=CARTESIAN_POINT('',(29.8852,43.9476,0.)); #555180=CARTESIAN_POINT('',(29.8852,43.9476,0.)); #555181=CARTESIAN_POINT('',(29.8852,43.9476,0.035)); #555182=CARTESIAN_POINT('',(29.8852,43.9476,0.035)); #555183=CARTESIAN_POINT('',(29.8852,43.9476,0.)); #555184=CARTESIAN_POINT('Origin',(29.912669,43.942478,0.)); #555185=CARTESIAN_POINT('',(29.912669,43.942478,0.)); #555186=CARTESIAN_POINT('',(29.912669,43.942478,0.)); #555187=CARTESIAN_POINT('',(29.912669,43.942478,0.035)); #555188=CARTESIAN_POINT('',(29.912669,43.942478,0.035)); #555189=CARTESIAN_POINT('',(29.912669,43.942478,0.)); #555190=CARTESIAN_POINT('Origin',(29.938216,43.926134,0.)); #555191=CARTESIAN_POINT('',(29.938216,43.926134,0.)); #555192=CARTESIAN_POINT('',(29.938216,43.926134,0.)); #555193=CARTESIAN_POINT('',(29.938216,43.926134,0.035)); #555194=CARTESIAN_POINT('',(29.938216,43.926134,0.035)); #555195=CARTESIAN_POINT('',(29.938216,43.926134,0.)); #555196=CARTESIAN_POINT('Origin',(29.955366,43.901122,0.)); #555197=CARTESIAN_POINT('',(29.955366,43.901122,0.)); #555198=CARTESIAN_POINT('',(29.955366,43.901122,0.)); #555199=CARTESIAN_POINT('',(29.955366,43.901122,0.035)); #555200=CARTESIAN_POINT('',(29.955366,43.901122,0.035)); #555201=CARTESIAN_POINT('',(29.955366,43.901122,0.)); #555202=CARTESIAN_POINT('Origin',(29.9614,43.8714,0.)); #555203=CARTESIAN_POINT('',(29.9614,43.8714,0.)); #555204=CARTESIAN_POINT('',(29.9614,43.8714,0.)); #555205=CARTESIAN_POINT('',(29.9614,43.8714,0.035)); #555206=CARTESIAN_POINT('',(29.9614,43.8714,0.035)); #555207=CARTESIAN_POINT('',(29.9614,43.8714,0.)); #555208=CARTESIAN_POINT('Origin',(29.9614,38.465309,0.)); #555209=CARTESIAN_POINT('',(29.9614,38.465309,0.)); #555210=CARTESIAN_POINT('',(29.9614,38.465309,0.)); #555211=CARTESIAN_POINT('',(29.9614,38.465309,0.035)); #555212=CARTESIAN_POINT('',(29.9614,38.465309,0.035)); #555213=CARTESIAN_POINT('',(29.9614,38.465309,0.)); #555214=CARTESIAN_POINT('Origin',(33.749081,34.677628,0.)); #555215=CARTESIAN_POINT('',(33.749081,34.677628,0.)); #555216=CARTESIAN_POINT('',(33.749081,34.677628,0.)); #555217=CARTESIAN_POINT('',(33.749081,34.677628,0.035)); #555218=CARTESIAN_POINT('',(33.749081,34.677628,0.035)); #555219=CARTESIAN_POINT('',(33.749081,34.677628,0.)); #555220=CARTESIAN_POINT('Origin',(33.765366,34.653469,0.)); #555221=CARTESIAN_POINT('',(33.765366,34.653469,0.)); #555222=CARTESIAN_POINT('',(33.765366,34.653469,0.)); #555223=CARTESIAN_POINT('',(33.765366,34.653469,0.035)); #555224=CARTESIAN_POINT('',(33.765366,34.653469,0.035)); #555225=CARTESIAN_POINT('',(33.765366,34.653469,0.)); #555226=CARTESIAN_POINT('Origin',(33.7714,34.623747,0.)); #555227=CARTESIAN_POINT('',(33.7714,34.623747,0.)); #555228=CARTESIAN_POINT('',(33.7714,34.623747,0.)); #555229=CARTESIAN_POINT('',(33.7714,34.623747,0.035)); #555230=CARTESIAN_POINT('',(33.7714,34.623747,0.035)); #555231=CARTESIAN_POINT('',(33.7714,34.623747,0.)); #555232=CARTESIAN_POINT('Origin',(33.7714,27.655309,0.)); #555233=CARTESIAN_POINT('',(33.7714,27.655309,0.)); #555234=CARTESIAN_POINT('',(33.7714,27.655309,0.)); #555235=CARTESIAN_POINT('',(33.7714,27.655309,0.035)); #555236=CARTESIAN_POINT('',(33.7714,27.655309,0.035)); #555237=CARTESIAN_POINT('',(33.7714,27.655309,0.)); #555238=CARTESIAN_POINT('Origin',(34.755528,26.671181,0.)); #555239=CARTESIAN_POINT('',(34.755528,26.671181,0.)); #555240=CARTESIAN_POINT('',(34.755528,26.671181,0.)); #555241=CARTESIAN_POINT('',(34.755528,26.671181,0.035)); #555242=CARTESIAN_POINT('',(34.755528,26.671181,0.035)); #555243=CARTESIAN_POINT('',(34.755528,26.671181,0.)); #555244=CARTESIAN_POINT('Origin',(34.770828,26.649244,0.)); #555245=CARTESIAN_POINT('',(34.770828,26.649244,0.)); #555246=CARTESIAN_POINT('',(34.770828,26.649244,0.)); #555247=CARTESIAN_POINT('',(34.770828,26.649244,0.035)); #555248=CARTESIAN_POINT('',(34.770828,26.649244,0.035)); #555249=CARTESIAN_POINT('',(34.770828,26.649244,0.)); #555250=CARTESIAN_POINT('Origin',(34.777809,26.619728,0.)); #555251=CARTESIAN_POINT('',(34.777809,26.619728,0.)); #555252=CARTESIAN_POINT('',(34.777809,26.619728,0.)); #555253=CARTESIAN_POINT('',(34.777809,26.619728,0.035)); #555254=CARTESIAN_POINT('',(34.777809,26.619728,0.035)); #555255=CARTESIAN_POINT('',(34.777809,26.619728,0.)); #555256=CARTESIAN_POINT('Origin',(34.772725,26.589831,0.)); #555257=CARTESIAN_POINT('',(34.772725,26.589831,0.)); #555258=CARTESIAN_POINT('',(34.772725,26.589831,0.)); #555259=CARTESIAN_POINT('',(34.772725,26.589831,0.035)); #555260=CARTESIAN_POINT('',(34.772725,26.589831,0.035)); #555261=CARTESIAN_POINT('',(34.772725,26.589831,0.)); #555262=CARTESIAN_POINT('Origin',(34.756381,26.564284,0.)); #555263=CARTESIAN_POINT('',(34.756381,26.564284,0.)); #555264=CARTESIAN_POINT('',(34.756381,26.564284,0.)); #555265=CARTESIAN_POINT('',(34.756381,26.564284,0.035)); #555266=CARTESIAN_POINT('',(34.756381,26.564284,0.035)); #555267=CARTESIAN_POINT('',(34.756381,26.564284,0.)); #555268=CARTESIAN_POINT('Origin',(34.731369,26.547134,0.)); #555269=CARTESIAN_POINT('',(34.731369,26.547134,0.)); #555270=CARTESIAN_POINT('',(34.731369,26.547134,0.)); #555271=CARTESIAN_POINT('',(34.731369,26.547134,0.035)); #555272=CARTESIAN_POINT('',(34.731369,26.547134,0.035)); #555273=CARTESIAN_POINT('',(34.731369,26.547134,0.)); #555274=CARTESIAN_POINT('Origin',(34.701647,26.5411,0.)); #555275=CARTESIAN_POINT('',(34.701647,26.5411,0.)); #555276=CARTESIAN_POINT('',(34.701647,26.5411,0.)); #555277=CARTESIAN_POINT('',(34.701647,26.5411,0.035)); #555278=CARTESIAN_POINT('',(34.701647,26.5411,0.035)); #555279=CARTESIAN_POINT('',(34.701647,26.5411,0.)); #555280=CARTESIAN_POINT('Origin',(32.6778,26.5411,0.)); #555281=CARTESIAN_POINT('',(32.6778,26.5411,0.)); #555282=CARTESIAN_POINT('',(32.6778,26.5411,0.)); #555283=CARTESIAN_POINT('',(32.6778,26.5411,0.035)); #555284=CARTESIAN_POINT('',(32.6778,26.5411,0.035)); #555285=CARTESIAN_POINT('',(32.6778,26.5411,0.)); #555286=CARTESIAN_POINT('Origin',(32.650331,26.546222,0.)); #555287=CARTESIAN_POINT('',(32.650331,26.546222,0.)); #555288=CARTESIAN_POINT('',(32.650331,26.546222,0.)); #555289=CARTESIAN_POINT('',(32.650331,26.546222,0.035)); #555290=CARTESIAN_POINT('',(32.650331,26.546222,0.035)); #555291=CARTESIAN_POINT('',(32.650331,26.546222,0.)); #555292=CARTESIAN_POINT('Origin',(32.624784,26.562566,0.)); #555293=CARTESIAN_POINT('',(32.624784,26.562566,0.)); #555294=CARTESIAN_POINT('',(32.624784,26.562566,0.)); #555295=CARTESIAN_POINT('',(32.624784,26.562566,0.035)); #555296=CARTESIAN_POINT('',(32.624784,26.562566,0.035)); #555297=CARTESIAN_POINT('',(32.624784,26.562566,0.)); #555298=CARTESIAN_POINT('Origin',(32.607634,26.587578,0.)); #555299=CARTESIAN_POINT('',(32.607634,26.587578,0.)); #555300=CARTESIAN_POINT('',(32.607634,26.587578,0.)); #555301=CARTESIAN_POINT('',(32.607634,26.587578,0.035)); #555302=CARTESIAN_POINT('',(32.607634,26.587578,0.035)); #555303=CARTESIAN_POINT('',(32.607634,26.587578,0.)); #555304=CARTESIAN_POINT('Origin',(32.6016,26.6173,0.)); #555305=CARTESIAN_POINT('',(32.6016,26.6173,0.)); #555306=CARTESIAN_POINT('',(32.6016,26.6173,0.)); #555307=CARTESIAN_POINT('',(32.6016,26.6173,0.035)); #555308=CARTESIAN_POINT('',(32.6016,26.6173,0.035)); #555309=CARTESIAN_POINT('',(32.6016,26.6173,0.)); #555310=CARTESIAN_POINT('Origin',(32.6016,28.745953,0.)); #555311=CARTESIAN_POINT('',(32.6016,28.745953,0.)); #555312=CARTESIAN_POINT('',(32.6016,28.745953,0.)); #555313=CARTESIAN_POINT('',(32.6016,28.745953,0.035)); #555314=CARTESIAN_POINT('',(32.6016,28.745953,0.035)); #555315=CARTESIAN_POINT('',(32.6016,28.745953,0.)); #555316=CARTESIAN_POINT('Origin',(32.5989,28.771309,0.)); #555317=CARTESIAN_POINT('',(32.5989,28.771309,0.)); #555318=CARTESIAN_POINT('',(32.5989,28.771309,0.)); #555319=CARTESIAN_POINT('',(32.5989,28.771309,0.035)); #555320=CARTESIAN_POINT('',(32.5989,28.771309,0.035)); #555321=CARTESIAN_POINT('',(32.5989,28.771309,0.)); #555322=CARTESIAN_POINT('Origin',(32.592194,28.791663,0.)); #555323=CARTESIAN_POINT('',(32.592194,28.791663,0.)); #555324=CARTESIAN_POINT('',(32.592194,28.791663,0.)); #555325=CARTESIAN_POINT('',(32.592194,28.791663,0.035)); #555326=CARTESIAN_POINT('',(32.592194,28.791663,0.035)); #555327=CARTESIAN_POINT('',(32.592194,28.791663,0.)); #555328=CARTESIAN_POINT('Origin',(32.580731,28.811197,0.)); #555329=CARTESIAN_POINT('',(32.580731,28.811197,0.)); #555330=CARTESIAN_POINT('',(32.580731,28.811197,0.)); #555331=CARTESIAN_POINT('',(32.580731,28.811197,0.035)); #555332=CARTESIAN_POINT('',(32.580731,28.811197,0.035)); #555333=CARTESIAN_POINT('',(32.580731,28.811197,0.)); #555334=CARTESIAN_POINT('Origin',(32.569822,28.823866,0.)); #555335=CARTESIAN_POINT('',(32.569822,28.823866,0.)); #555336=CARTESIAN_POINT('',(32.569822,28.823866,0.)); #555337=CARTESIAN_POINT('',(32.569822,28.823866,0.035)); #555338=CARTESIAN_POINT('',(32.569822,28.823866,0.035)); #555339=CARTESIAN_POINT('',(32.569822,28.823866,0.)); #555340=CARTESIAN_POINT('Origin',(30.324709,31.068978,0.)); #555341=CARTESIAN_POINT('',(30.324709,31.068978,0.)); #555342=CARTESIAN_POINT('',(30.324709,31.068978,0.)); #555343=CARTESIAN_POINT('',(30.324709,31.068978,0.035)); #555344=CARTESIAN_POINT('',(30.324709,31.068978,0.035)); #555345=CARTESIAN_POINT('',(30.324709,31.068978,0.)); #555346=CARTESIAN_POINT('Origin',(30.304869,31.084997,0.)); #555347=CARTESIAN_POINT('',(30.304869,31.084997,0.)); #555348=CARTESIAN_POINT('',(30.304869,31.084997,0.)); #555349=CARTESIAN_POINT('',(30.304869,31.084997,0.035)); #555350=CARTESIAN_POINT('',(30.304869,31.084997,0.035)); #555351=CARTESIAN_POINT('',(30.304869,31.084997,0.)); #555352=CARTESIAN_POINT('Origin',(30.285728,31.094647,0.)); #555353=CARTESIAN_POINT('',(30.285728,31.094647,0.)); #555354=CARTESIAN_POINT('',(30.285728,31.094647,0.)); #555355=CARTESIAN_POINT('',(30.285728,31.094647,0.035)); #555356=CARTESIAN_POINT('',(30.285728,31.094647,0.035)); #555357=CARTESIAN_POINT('',(30.285728,31.094647,0.)); #555358=CARTESIAN_POINT('Origin',(30.263816,31.100359,0.)); #555359=CARTESIAN_POINT('',(30.263816,31.100359,0.)); #555360=CARTESIAN_POINT('',(30.263816,31.100359,0.)); #555361=CARTESIAN_POINT('',(30.263816,31.100359,0.035)); #555362=CARTESIAN_POINT('',(30.263816,31.100359,0.035)); #555363=CARTESIAN_POINT('',(30.263816,31.100359,0.)); #555364=CARTESIAN_POINT('Origin',(30.247153,31.1016,0.)); #555365=CARTESIAN_POINT('',(30.247153,31.1016,0.)); #555366=CARTESIAN_POINT('',(30.247153,31.1016,0.)); #555367=CARTESIAN_POINT('',(30.247153,31.1016,0.035)); #555368=CARTESIAN_POINT('',(30.247153,31.1016,0.035)); #555369=CARTESIAN_POINT('',(30.247153,31.1016,0.)); #555370=CARTESIAN_POINT('Origin',(27.2536,31.1016,0.)); #555371=CARTESIAN_POINT('',(27.2536,31.1016,0.)); #555372=CARTESIAN_POINT('',(27.2536,31.1016,0.)); #555373=CARTESIAN_POINT('',(27.2536,31.1016,0.035)); #555374=CARTESIAN_POINT('',(27.2536,31.1016,0.035)); #555375=CARTESIAN_POINT('',(27.2536,31.1016,0.)); #555376=CARTESIAN_POINT('Origin',(27.226131,31.106722,0.)); #555377=CARTESIAN_POINT('',(27.226131,31.106722,0.)); #555378=CARTESIAN_POINT('',(27.226131,31.106722,0.)); #555379=CARTESIAN_POINT('',(27.226131,31.106722,0.035)); #555380=CARTESIAN_POINT('',(27.226131,31.106722,0.035)); #555381=CARTESIAN_POINT('',(27.226131,31.106722,0.)); #555382=CARTESIAN_POINT('Origin',(27.200584,31.123066,0.)); #555383=CARTESIAN_POINT('',(27.200584,31.123066,0.)); #555384=CARTESIAN_POINT('',(27.200584,31.123066,0.)); #555385=CARTESIAN_POINT('',(27.200584,31.123066,0.035)); #555386=CARTESIAN_POINT('',(27.200584,31.123066,0.035)); #555387=CARTESIAN_POINT('',(27.200584,31.123066,0.)); #555388=CARTESIAN_POINT('Origin',(27.183434,31.148078,0.)); #555389=CARTESIAN_POINT('',(27.183434,31.148078,0.)); #555390=CARTESIAN_POINT('',(27.183434,31.148078,0.)); #555391=CARTESIAN_POINT('',(27.183434,31.148078,0.035)); #555392=CARTESIAN_POINT('',(27.183434,31.148078,0.035)); #555393=CARTESIAN_POINT('',(27.183434,31.148078,0.)); #555394=CARTESIAN_POINT('Origin',(27.177594,31.176841,0.)); #555395=CARTESIAN_POINT('',(27.177594,31.176841,0.)); #555396=CARTESIAN_POINT('',(27.177594,31.176841,0.)); #555397=CARTESIAN_POINT('',(27.177594,31.176841,0.035)); #555398=CARTESIAN_POINT('',(27.177594,31.176841,0.035)); #555399=CARTESIAN_POINT('',(27.177594,31.176841,0.)); #555400=CARTESIAN_POINT('Origin',(27.000919,31.353516,0.)); #555401=CARTESIAN_POINT('',(27.000919,31.353516,0.)); #555402=CARTESIAN_POINT('',(27.000919,31.353516,0.)); #555403=CARTESIAN_POINT('',(27.000919,31.353516,0.035)); #555404=CARTESIAN_POINT('',(27.000919,31.353516,0.035)); #555405=CARTESIAN_POINT('',(27.000919,31.353516,0.)); #555406=CARTESIAN_POINT('Origin',(26.984634,31.377675,0.)); #555407=CARTESIAN_POINT('',(26.984634,31.377675,0.)); #555408=CARTESIAN_POINT('',(26.984634,31.377675,0.)); #555409=CARTESIAN_POINT('',(26.984634,31.377675,0.035)); #555410=CARTESIAN_POINT('',(26.984634,31.377675,0.035)); #555411=CARTESIAN_POINT('',(26.984634,31.377675,0.)); #555412=CARTESIAN_POINT('Origin',(26.9786,31.407397,0.)); #555413=CARTESIAN_POINT('',(26.9786,31.407397,0.)); #555414=CARTESIAN_POINT('',(26.9786,31.407397,0.)); #555415=CARTESIAN_POINT('',(26.9786,31.407397,0.035)); #555416=CARTESIAN_POINT('',(26.9786,31.407397,0.035)); #555417=CARTESIAN_POINT('',(26.9786,31.407397,0.)); #555418=CARTESIAN_POINT('Origin',(26.9786,35.592603,0.)); #555419=CARTESIAN_POINT('',(26.9786,35.592603,0.)); #555420=CARTESIAN_POINT('',(26.9786,35.592603,0.)); #555421=CARTESIAN_POINT('',(26.9786,35.592603,0.035)); #555422=CARTESIAN_POINT('',(26.9786,35.592603,0.035)); #555423=CARTESIAN_POINT('',(26.9786,35.592603,0.)); #555424=CARTESIAN_POINT('Origin',(26.984169,35.6212,0.)); #555425=CARTESIAN_POINT('',(26.984169,35.6212,0.)); #555426=CARTESIAN_POINT('',(26.984169,35.6212,0.)); #555427=CARTESIAN_POINT('',(26.984169,35.6212,0.035)); #555428=CARTESIAN_POINT('',(26.984169,35.6212,0.035)); #555429=CARTESIAN_POINT('',(26.984169,35.6212,0.)); #555430=CARTESIAN_POINT('Origin',(27.000919,35.646484,0.)); #555431=CARTESIAN_POINT('',(27.000919,35.646484,0.)); #555432=CARTESIAN_POINT('',(27.000919,35.646484,0.)); #555433=CARTESIAN_POINT('',(27.000919,35.646484,0.035)); #555434=CARTESIAN_POINT('',(27.000919,35.646484,0.035)); #555435=CARTESIAN_POINT('',(27.000919,35.646484,0.)); #555436=CARTESIAN_POINT('Origin',(27.1774,35.822966,0.)); #555437=CARTESIAN_POINT('',(27.1774,35.822966,0.)); #555438=CARTESIAN_POINT('',(27.1774,35.822966,0.)); #555439=CARTESIAN_POINT('',(27.1774,35.822966,0.035)); #555440=CARTESIAN_POINT('',(27.1774,35.822966,0.035)); #555441=CARTESIAN_POINT('',(27.1774,35.822966,0.)); #555442=CARTESIAN_POINT('Origin',(27.1774,36.177034,0.)); #555443=CARTESIAN_POINT('',(27.1774,36.177034,0.)); #555444=CARTESIAN_POINT('',(27.1774,36.177034,0.)); #555445=CARTESIAN_POINT('',(27.1774,36.177034,0.035)); #555446=CARTESIAN_POINT('',(27.1774,36.177034,0.035)); #555447=CARTESIAN_POINT('',(27.1774,36.177034,0.)); #555448=CARTESIAN_POINT('Origin',(26.927034,36.4274,0.)); #555449=CARTESIAN_POINT('',(26.927034,36.4274,0.)); #555450=CARTESIAN_POINT('',(26.927034,36.4274,0.)); #555451=CARTESIAN_POINT('',(26.927034,36.4274,0.035)); #555452=CARTESIAN_POINT('',(26.927034,36.4274,0.035)); #555453=CARTESIAN_POINT('',(26.927034,36.4274,0.)); #555454=CARTESIAN_POINT('Origin',(26.572966,36.4274,0.)); #555455=CARTESIAN_POINT('',(26.572966,36.4274,0.)); #555456=CARTESIAN_POINT('',(26.572966,36.4274,0.)); #555457=CARTESIAN_POINT('',(26.572966,36.4274,0.035)); #555458=CARTESIAN_POINT('',(26.572966,36.4274,0.035)); #555459=CARTESIAN_POINT('',(26.572966,36.4274,0.)); #555460=CARTESIAN_POINT('Origin',(26.428881,36.283316,0.)); #555461=CARTESIAN_POINT('',(26.428881,36.283316,0.)); #555462=CARTESIAN_POINT('',(26.428881,36.283316,0.)); #555463=CARTESIAN_POINT('',(26.428881,36.283316,0.035)); #555464=CARTESIAN_POINT('',(26.428881,36.283316,0.035)); #555465=CARTESIAN_POINT('',(26.428881,36.283316,0.)); #555466=CARTESIAN_POINT('Origin',(26.405834,36.267516,0.)); #555467=CARTESIAN_POINT('',(26.405834,36.267516,0.)); #555468=CARTESIAN_POINT('',(26.405834,36.267516,0.)); #555469=CARTESIAN_POINT('',(26.405834,36.267516,0.035)); #555470=CARTESIAN_POINT('',(26.405834,36.267516,0.035)); #555471=CARTESIAN_POINT('',(26.405834,36.267516,0.)); #555472=CARTESIAN_POINT('Origin',(26.376216,36.261006,0.)); #555473=CARTESIAN_POINT('',(26.376216,36.261006,0.)); #555474=CARTESIAN_POINT('',(26.376216,36.261006,0.)); #555475=CARTESIAN_POINT('',(26.376216,36.261006,0.035)); #555476=CARTESIAN_POINT('',(26.376216,36.261006,0.035)); #555477=CARTESIAN_POINT('',(26.376216,36.261006,0.)); #555478=CARTESIAN_POINT('Origin',(26.346403,36.266566,0.)); #555479=CARTESIAN_POINT('',(26.346403,36.266566,0.)); #555480=CARTESIAN_POINT('',(26.346403,36.266566,0.)); #555481=CARTESIAN_POINT('',(26.346403,36.266566,0.035)); #555482=CARTESIAN_POINT('',(26.346403,36.266566,0.035)); #555483=CARTESIAN_POINT('',(26.346403,36.266566,0.)); #555484=CARTESIAN_POINT('Origin',(26.321119,36.283316,0.)); #555485=CARTESIAN_POINT('',(26.321119,36.283316,0.)); #555486=CARTESIAN_POINT('',(26.321119,36.283316,0.)); #555487=CARTESIAN_POINT('',(26.321119,36.283316,0.035)); #555488=CARTESIAN_POINT('',(26.321119,36.283316,0.035)); #555489=CARTESIAN_POINT('',(26.321119,36.283316,0.)); #555490=CARTESIAN_POINT('Origin',(26.177034,36.4274,0.)); #555491=CARTESIAN_POINT('',(26.177034,36.4274,0.)); #555492=CARTESIAN_POINT('',(26.177034,36.4274,0.)); #555493=CARTESIAN_POINT('',(26.177034,36.4274,0.035)); #555494=CARTESIAN_POINT('',(26.177034,36.4274,0.035)); #555495=CARTESIAN_POINT('',(26.177034,36.4274,0.)); #555496=CARTESIAN_POINT('Origin',(25.822966,36.4274,0.)); #555497=CARTESIAN_POINT('',(25.822966,36.4274,0.)); #555498=CARTESIAN_POINT('',(25.822966,36.4274,0.)); #555499=CARTESIAN_POINT('',(25.822966,36.4274,0.035)); #555500=CARTESIAN_POINT('',(25.822966,36.4274,0.035)); #555501=CARTESIAN_POINT('',(25.822966,36.4274,0.)); #555502=CARTESIAN_POINT('Origin',(25.5726,36.177034,0.)); #555503=CARTESIAN_POINT('',(25.5726,36.177034,0.)); #555504=CARTESIAN_POINT('',(25.5726,36.177034,0.)); #555505=CARTESIAN_POINT('',(25.5726,36.177034,0.035)); #555506=CARTESIAN_POINT('',(25.5726,36.177034,0.035)); #555507=CARTESIAN_POINT('',(25.5726,36.177034,0.)); #555508=CARTESIAN_POINT('Origin',(25.5726,35.822966,0.)); #555509=CARTESIAN_POINT('',(25.5726,35.822966,0.)); #555510=CARTESIAN_POINT('',(25.5726,35.822966,0.)); #555511=CARTESIAN_POINT('',(25.5726,35.822966,0.035)); #555512=CARTESIAN_POINT('',(25.5726,35.822966,0.035)); #555513=CARTESIAN_POINT('',(25.5726,35.822966,0.)); #555514=CARTESIAN_POINT('Origin',(25.749081,35.646484,0.)); #555515=CARTESIAN_POINT('',(25.749081,35.646484,0.)); #555516=CARTESIAN_POINT('',(25.749081,35.646484,0.)); #555517=CARTESIAN_POINT('',(25.749081,35.646484,0.035)); #555518=CARTESIAN_POINT('',(25.749081,35.646484,0.035)); #555519=CARTESIAN_POINT('',(25.749081,35.646484,0.)); #555520=CARTESIAN_POINT('Origin',(25.765366,35.622325,0.)); #555521=CARTESIAN_POINT('',(25.765366,35.622325,0.)); #555522=CARTESIAN_POINT('',(25.765366,35.622325,0.)); #555523=CARTESIAN_POINT('',(25.765366,35.622325,0.035)); #555524=CARTESIAN_POINT('',(25.765366,35.622325,0.035)); #555525=CARTESIAN_POINT('',(25.765366,35.622325,0.)); #555526=CARTESIAN_POINT('Origin',(25.7714,35.592603,0.)); #555527=CARTESIAN_POINT('',(25.7714,35.592603,0.)); #555528=CARTESIAN_POINT('',(25.7714,35.592603,0.)); #555529=CARTESIAN_POINT('',(25.7714,35.592603,0.035)); #555530=CARTESIAN_POINT('',(25.7714,35.592603,0.035)); #555531=CARTESIAN_POINT('',(25.7714,35.592603,0.)); #555532=CARTESIAN_POINT('Origin',(25.7714,31.407397,0.)); #555533=CARTESIAN_POINT('',(25.7714,31.407397,0.)); #555534=CARTESIAN_POINT('',(25.7714,31.407397,0.)); #555535=CARTESIAN_POINT('',(25.7714,31.407397,0.035)); #555536=CARTESIAN_POINT('',(25.7714,31.407397,0.035)); #555537=CARTESIAN_POINT('',(25.7714,31.407397,0.)); #555538=CARTESIAN_POINT('Origin',(25.765831,31.3788,0.)); #555539=CARTESIAN_POINT('',(25.765831,31.3788,0.)); #555540=CARTESIAN_POINT('',(25.765831,31.3788,0.)); #555541=CARTESIAN_POINT('',(25.765831,31.3788,0.035)); #555542=CARTESIAN_POINT('',(25.765831,31.3788,0.035)); #555543=CARTESIAN_POINT('',(25.765831,31.3788,0.)); #555544=CARTESIAN_POINT('Origin',(25.749081,31.353516,0.)); #555545=CARTESIAN_POINT('',(25.749081,31.353516,0.)); #555546=CARTESIAN_POINT('',(25.749081,31.353516,0.)); #555547=CARTESIAN_POINT('',(25.749081,31.353516,0.035)); #555548=CARTESIAN_POINT('',(25.749081,31.353516,0.035)); #555549=CARTESIAN_POINT('',(25.749081,31.353516,0.)); #555550=CARTESIAN_POINT('Origin',(25.572425,31.176859,0.)); #555551=CARTESIAN_POINT('',(25.572425,31.176859,0.)); #555552=CARTESIAN_POINT('',(25.572425,31.176859,0.)); #555553=CARTESIAN_POINT('',(25.572425,31.176859,0.035)); #555554=CARTESIAN_POINT('',(25.572425,31.176859,0.035)); #555555=CARTESIAN_POINT('',(25.572425,31.176859,0.)); #555556=CARTESIAN_POINT('Origin',(25.567478,31.150331,0.)); #555557=CARTESIAN_POINT('',(25.567478,31.150331,0.)); #555558=CARTESIAN_POINT('',(25.567478,31.150331,0.)); #555559=CARTESIAN_POINT('',(25.567478,31.150331,0.035)); #555560=CARTESIAN_POINT('',(25.567478,31.150331,0.035)); #555561=CARTESIAN_POINT('',(25.567478,31.150331,0.)); #555562=CARTESIAN_POINT('Origin',(25.551134,31.124784,0.)); #555563=CARTESIAN_POINT('',(25.551134,31.124784,0.)); #555564=CARTESIAN_POINT('',(25.551134,31.124784,0.)); #555565=CARTESIAN_POINT('',(25.551134,31.124784,0.035)); #555566=CARTESIAN_POINT('',(25.551134,31.124784,0.035)); #555567=CARTESIAN_POINT('',(25.551134,31.124784,0.)); #555568=CARTESIAN_POINT('Origin',(25.526122,31.107634,0.)); #555569=CARTESIAN_POINT('',(25.526122,31.107634,0.)); #555570=CARTESIAN_POINT('',(25.526122,31.107634,0.)); #555571=CARTESIAN_POINT('',(25.526122,31.107634,0.035)); #555572=CARTESIAN_POINT('',(25.526122,31.107634,0.035)); #555573=CARTESIAN_POINT('',(25.526122,31.107634,0.)); #555574=CARTESIAN_POINT('Origin',(25.4964,31.1016,0.)); #555575=CARTESIAN_POINT('',(25.4964,31.1016,0.)); #555576=CARTESIAN_POINT('',(25.4964,31.1016,0.)); #555577=CARTESIAN_POINT('',(25.4964,31.1016,0.035)); #555578=CARTESIAN_POINT('',(25.4964,31.1016,0.035)); #555579=CARTESIAN_POINT('',(25.4964,31.1016,0.)); #555580=CARTESIAN_POINT('Origin',(25.32365,31.1016,0.)); #555581=CARTESIAN_POINT('',(25.32365,31.1016,0.)); #555582=CARTESIAN_POINT('',(25.32365,31.1016,0.)); #555583=CARTESIAN_POINT('',(25.32365,31.1016,0.035)); #555584=CARTESIAN_POINT('',(25.32365,31.1016,0.035)); #555585=CARTESIAN_POINT('',(25.32365,31.1016,0.)); #555586=CARTESIAN_POINT('Origin',(25.295053,31.107169,0.)); #555587=CARTESIAN_POINT('',(25.295053,31.107169,0.)); #555588=CARTESIAN_POINT('',(25.295053,31.107169,0.)); #555589=CARTESIAN_POINT('',(25.295053,31.107169,0.035)); #555590=CARTESIAN_POINT('',(25.295053,31.107169,0.035)); #555591=CARTESIAN_POINT('',(25.295053,31.107169,0.)); #555592=CARTESIAN_POINT('Origin',(25.269769,31.123919,0.)); #555593=CARTESIAN_POINT('',(25.269769,31.123919,0.)); #555594=CARTESIAN_POINT('',(25.269769,31.123919,0.)); #555595=CARTESIAN_POINT('',(25.269769,31.123919,0.035)); #555596=CARTESIAN_POINT('',(25.269769,31.123919,0.035)); #555597=CARTESIAN_POINT('',(25.269769,31.123919,0.)); #555598=CARTESIAN_POINT('Origin',(23.123919,33.269769,0.)); #555599=CARTESIAN_POINT('',(23.123919,33.269769,0.)); #555600=CARTESIAN_POINT('',(23.123919,33.269769,0.)); #555601=CARTESIAN_POINT('',(23.123919,33.269769,0.035)); #555602=CARTESIAN_POINT('',(23.123919,33.269769,0.035)); #555603=CARTESIAN_POINT('',(23.123919,33.269769,0.)); #555604=CARTESIAN_POINT('Origin',(23.107634,33.293928,0.)); #555605=CARTESIAN_POINT('',(23.107634,33.293928,0.)); #555606=CARTESIAN_POINT('',(23.107634,33.293928,0.)); #555607=CARTESIAN_POINT('',(23.107634,33.293928,0.035)); #555608=CARTESIAN_POINT('',(23.107634,33.293928,0.035)); #555609=CARTESIAN_POINT('',(23.107634,33.293928,0.)); #555610=CARTESIAN_POINT('Origin',(23.1016,33.32365,0.)); #555611=CARTESIAN_POINT('',(23.1016,33.32365,0.)); #555612=CARTESIAN_POINT('',(23.1016,33.32365,0.)); #555613=CARTESIAN_POINT('',(23.1016,33.32365,0.035)); #555614=CARTESIAN_POINT('',(23.1016,33.32365,0.035)); #555615=CARTESIAN_POINT('',(23.1016,33.32365,0.)); #555616=CARTESIAN_POINT('Origin',(23.1016,34.745953,0.)); #555617=CARTESIAN_POINT('',(23.1016,34.745953,0.)); #555618=CARTESIAN_POINT('',(23.1016,34.745953,0.)); #555619=CARTESIAN_POINT('',(23.1016,34.745953,0.035)); #555620=CARTESIAN_POINT('',(23.1016,34.745953,0.035)); #555621=CARTESIAN_POINT('',(23.1016,34.745953,0.)); #555622=CARTESIAN_POINT('Origin',(23.0989,34.771309,0.)); #555623=CARTESIAN_POINT('',(23.0989,34.771309,0.)); #555624=CARTESIAN_POINT('',(23.0989,34.771309,0.)); #555625=CARTESIAN_POINT('',(23.0989,34.771309,0.035)); #555626=CARTESIAN_POINT('',(23.0989,34.771309,0.035)); #555627=CARTESIAN_POINT('',(23.0989,34.771309,0.)); #555628=CARTESIAN_POINT('Origin',(23.092194,34.791663,0.)); #555629=CARTESIAN_POINT('',(23.092194,34.791663,0.)); #555630=CARTESIAN_POINT('',(23.092194,34.791663,0.)); #555631=CARTESIAN_POINT('',(23.092194,34.791663,0.035)); #555632=CARTESIAN_POINT('',(23.092194,34.791663,0.035)); #555633=CARTESIAN_POINT('',(23.092194,34.791663,0.)); #555634=CARTESIAN_POINT('Origin',(23.080731,34.811197,0.)); #555635=CARTESIAN_POINT('',(23.080731,34.811197,0.)); #555636=CARTESIAN_POINT('',(23.080731,34.811197,0.)); #555637=CARTESIAN_POINT('',(23.080731,34.811197,0.035)); #555638=CARTESIAN_POINT('',(23.080731,34.811197,0.035)); #555639=CARTESIAN_POINT('',(23.080731,34.811197,0.)); #555640=CARTESIAN_POINT('Origin',(23.069822,34.823866,0.)); #555641=CARTESIAN_POINT('',(23.069822,34.823866,0.)); #555642=CARTESIAN_POINT('',(23.069822,34.823866,0.)); #555643=CARTESIAN_POINT('',(23.069822,34.823866,0.035)); #555644=CARTESIAN_POINT('',(23.069822,34.823866,0.035)); #555645=CARTESIAN_POINT('',(23.069822,34.823866,0.)); #555646=CARTESIAN_POINT('Origin',(22.074709,35.818978,0.)); #555647=CARTESIAN_POINT('',(22.074709,35.818978,0.)); #555648=CARTESIAN_POINT('',(22.074709,35.818978,0.)); #555649=CARTESIAN_POINT('',(22.074709,35.818978,0.035)); #555650=CARTESIAN_POINT('',(22.074709,35.818978,0.035)); #555651=CARTESIAN_POINT('',(22.074709,35.818978,0.)); #555652=CARTESIAN_POINT('Origin',(22.054869,35.834997,0.)); #555653=CARTESIAN_POINT('',(22.054869,35.834997,0.)); #555654=CARTESIAN_POINT('',(22.054869,35.834997,0.)); #555655=CARTESIAN_POINT('',(22.054869,35.834997,0.035)); #555656=CARTESIAN_POINT('',(22.054869,35.834997,0.035)); #555657=CARTESIAN_POINT('',(22.054869,35.834997,0.)); #555658=CARTESIAN_POINT('Origin',(22.035728,35.844647,0.)); #555659=CARTESIAN_POINT('',(22.035728,35.844647,0.)); #555660=CARTESIAN_POINT('',(22.035728,35.844647,0.)); #555661=CARTESIAN_POINT('',(22.035728,35.844647,0.035)); #555662=CARTESIAN_POINT('',(22.035728,35.844647,0.035)); #555663=CARTESIAN_POINT('',(22.035728,35.844647,0.)); #555664=CARTESIAN_POINT('Origin',(22.013816,35.850359,0.)); #555665=CARTESIAN_POINT('',(22.013816,35.850359,0.)); #555666=CARTESIAN_POINT('',(22.013816,35.850359,0.)); #555667=CARTESIAN_POINT('',(22.013816,35.850359,0.035)); #555668=CARTESIAN_POINT('',(22.013816,35.850359,0.035)); #555669=CARTESIAN_POINT('',(22.013816,35.850359,0.)); #555670=CARTESIAN_POINT('Origin',(21.997153,35.8516,0.)); #555671=CARTESIAN_POINT('',(21.997153,35.8516,0.)); #555672=CARTESIAN_POINT('',(21.997153,35.8516,0.)); #555673=CARTESIAN_POINT('',(21.997153,35.8516,0.035)); #555674=CARTESIAN_POINT('',(21.997153,35.8516,0.035)); #555675=CARTESIAN_POINT('',(21.997153,35.8516,0.)); #555676=CARTESIAN_POINT('Origin',(15.004047,35.8516,0.)); #555677=CARTESIAN_POINT('',(15.004047,35.8516,0.)); #555678=CARTESIAN_POINT('',(15.004047,35.8516,0.)); #555679=CARTESIAN_POINT('',(15.004047,35.8516,0.035)); #555680=CARTESIAN_POINT('',(15.004047,35.8516,0.035)); #555681=CARTESIAN_POINT('',(15.004047,35.8516,0.)); #555682=CARTESIAN_POINT('Origin',(14.978691,35.8489,0.)); #555683=CARTESIAN_POINT('',(14.978691,35.8489,0.)); #555684=CARTESIAN_POINT('',(14.978691,35.8489,0.)); #555685=CARTESIAN_POINT('',(14.978691,35.8489,0.035)); #555686=CARTESIAN_POINT('',(14.978691,35.8489,0.035)); #555687=CARTESIAN_POINT('',(14.978691,35.8489,0.)); #555688=CARTESIAN_POINT('Origin',(14.958338,35.842194,0.)); #555689=CARTESIAN_POINT('',(14.958338,35.842194,0.)); #555690=CARTESIAN_POINT('',(14.958338,35.842194,0.)); #555691=CARTESIAN_POINT('',(14.958338,35.842194,0.035)); #555692=CARTESIAN_POINT('',(14.958338,35.842194,0.035)); #555693=CARTESIAN_POINT('',(14.958338,35.842194,0.)); #555694=CARTESIAN_POINT('Origin',(14.938803,35.830731,0.)); #555695=CARTESIAN_POINT('',(14.938803,35.830731,0.)); #555696=CARTESIAN_POINT('',(14.938803,35.830731,0.)); #555697=CARTESIAN_POINT('',(14.938803,35.830731,0.035)); #555698=CARTESIAN_POINT('',(14.938803,35.830731,0.035)); #555699=CARTESIAN_POINT('',(14.938803,35.830731,0.)); #555700=CARTESIAN_POINT('Origin',(14.926134,35.819822,0.)); #555701=CARTESIAN_POINT('',(14.926134,35.819822,0.)); #555702=CARTESIAN_POINT('',(14.926134,35.819822,0.)); #555703=CARTESIAN_POINT('',(14.926134,35.819822,0.035)); #555704=CARTESIAN_POINT('',(14.926134,35.819822,0.035)); #555705=CARTESIAN_POINT('',(14.926134,35.819822,0.)); #555706=CARTESIAN_POINT('Origin',(13.931022,34.824709,0.)); #555707=CARTESIAN_POINT('',(13.931022,34.824709,0.)); #555708=CARTESIAN_POINT('',(13.931022,34.824709,0.)); #555709=CARTESIAN_POINT('',(13.931022,34.824709,0.035)); #555710=CARTESIAN_POINT('',(13.931022,34.824709,0.035)); #555711=CARTESIAN_POINT('',(13.931022,34.824709,0.)); #555712=CARTESIAN_POINT('Origin',(13.915003,34.804869,0.)); #555713=CARTESIAN_POINT('',(13.915003,34.804869,0.)); #555714=CARTESIAN_POINT('',(13.915003,34.804869,0.)); #555715=CARTESIAN_POINT('',(13.915003,34.804869,0.035)); #555716=CARTESIAN_POINT('',(13.915003,34.804869,0.035)); #555717=CARTESIAN_POINT('',(13.915003,34.804869,0.)); #555718=CARTESIAN_POINT('Origin',(13.905353,34.785728,0.)); #555719=CARTESIAN_POINT('',(13.905353,34.785728,0.)); #555720=CARTESIAN_POINT('',(13.905353,34.785728,0.)); #555721=CARTESIAN_POINT('',(13.905353,34.785728,0.035)); #555722=CARTESIAN_POINT('',(13.905353,34.785728,0.035)); #555723=CARTESIAN_POINT('',(13.905353,34.785728,0.)); #555724=CARTESIAN_POINT('Origin',(13.899641,34.763816,0.)); #555725=CARTESIAN_POINT('',(13.899641,34.763816,0.)); #555726=CARTESIAN_POINT('',(13.899641,34.763816,0.)); #555727=CARTESIAN_POINT('',(13.899641,34.763816,0.035)); #555728=CARTESIAN_POINT('',(13.899641,34.763816,0.035)); #555729=CARTESIAN_POINT('',(13.899641,34.763816,0.)); #555730=CARTESIAN_POINT('Origin',(13.8984,34.747153,0.)); #555731=CARTESIAN_POINT('',(13.8984,34.747153,0.)); #555732=CARTESIAN_POINT('',(13.8984,34.747153,0.)); #555733=CARTESIAN_POINT('',(13.8984,34.747153,0.035)); #555734=CARTESIAN_POINT('',(13.8984,34.747153,0.035)); #555735=CARTESIAN_POINT('',(13.8984,34.747153,0.)); #555736=CARTESIAN_POINT('Origin',(13.8984,19.504047,0.)); #555737=CARTESIAN_POINT('',(13.8984,19.504047,0.)); #555738=CARTESIAN_POINT('',(13.8984,19.504047,0.)); #555739=CARTESIAN_POINT('',(13.8984,19.504047,0.035)); #555740=CARTESIAN_POINT('',(13.8984,19.504047,0.035)); #555741=CARTESIAN_POINT('',(13.8984,19.504047,0.)); #555742=CARTESIAN_POINT('Origin',(13.9011,19.478691,0.)); #555743=CARTESIAN_POINT('',(13.9011,19.478691,0.)); #555744=CARTESIAN_POINT('',(13.9011,19.478691,0.)); #555745=CARTESIAN_POINT('',(13.9011,19.478691,0.035)); #555746=CARTESIAN_POINT('',(13.9011,19.478691,0.035)); #555747=CARTESIAN_POINT('',(13.9011,19.478691,0.)); #555748=CARTESIAN_POINT('Origin',(13.907806,19.458338,0.)); #555749=CARTESIAN_POINT('',(13.907806,19.458338,0.)); #555750=CARTESIAN_POINT('',(13.907806,19.458338,0.)); #555751=CARTESIAN_POINT('',(13.907806,19.458338,0.035)); #555752=CARTESIAN_POINT('',(13.907806,19.458338,0.035)); #555753=CARTESIAN_POINT('',(13.907806,19.458338,0.)); #555754=CARTESIAN_POINT('Origin',(13.919269,19.438803,0.)); #555755=CARTESIAN_POINT('',(13.919269,19.438803,0.)); #555756=CARTESIAN_POINT('',(13.919269,19.438803,0.)); #555757=CARTESIAN_POINT('',(13.919269,19.438803,0.035)); #555758=CARTESIAN_POINT('',(13.919269,19.438803,0.035)); #555759=CARTESIAN_POINT('',(13.919269,19.438803,0.)); #555760=CARTESIAN_POINT('Origin',(13.930178,19.426134,0.)); #555761=CARTESIAN_POINT('',(13.930178,19.426134,0.)); #555762=CARTESIAN_POINT('',(13.930178,19.426134,0.)); #555763=CARTESIAN_POINT('',(13.930178,19.426134,0.035)); #555764=CARTESIAN_POINT('',(13.930178,19.426134,0.035)); #555765=CARTESIAN_POINT('',(13.930178,19.426134,0.)); #555766=CARTESIAN_POINT('Origin',(14.175291,19.181022,0.)); #555767=CARTESIAN_POINT('',(14.175291,19.181022,0.)); #555768=CARTESIAN_POINT('',(14.175291,19.181022,0.)); #555769=CARTESIAN_POINT('',(14.175291,19.181022,0.035)); #555770=CARTESIAN_POINT('',(14.175291,19.181022,0.035)); #555771=CARTESIAN_POINT('',(14.175291,19.181022,0.)); #555772=CARTESIAN_POINT('Origin',(14.195131,19.165003,0.)); #555773=CARTESIAN_POINT('',(14.195131,19.165003,0.)); #555774=CARTESIAN_POINT('',(14.195131,19.165003,0.)); #555775=CARTESIAN_POINT('',(14.195131,19.165003,0.035)); #555776=CARTESIAN_POINT('',(14.195131,19.165003,0.035)); #555777=CARTESIAN_POINT('',(14.195131,19.165003,0.)); #555778=CARTESIAN_POINT('Origin',(14.214272,19.155353,0.)); #555779=CARTESIAN_POINT('',(14.214272,19.155353,0.)); #555780=CARTESIAN_POINT('',(14.214272,19.155353,0.)); #555781=CARTESIAN_POINT('',(14.214272,19.155353,0.035)); #555782=CARTESIAN_POINT('',(14.214272,19.155353,0.035)); #555783=CARTESIAN_POINT('',(14.214272,19.155353,0.)); #555784=CARTESIAN_POINT('Origin',(14.236184,19.149641,0.)); #555785=CARTESIAN_POINT('',(14.236184,19.149641,0.)); #555786=CARTESIAN_POINT('',(14.236184,19.149641,0.)); #555787=CARTESIAN_POINT('',(14.236184,19.149641,0.035)); #555788=CARTESIAN_POINT('',(14.236184,19.149641,0.035)); #555789=CARTESIAN_POINT('',(14.236184,19.149641,0.)); #555790=CARTESIAN_POINT('Origin',(14.252847,19.1484,0.)); #555791=CARTESIAN_POINT('',(14.252847,19.1484,0.)); #555792=CARTESIAN_POINT('',(14.252847,19.1484,0.)); #555793=CARTESIAN_POINT('',(14.252847,19.1484,0.035)); #555794=CARTESIAN_POINT('',(14.252847,19.1484,0.035)); #555795=CARTESIAN_POINT('',(14.252847,19.1484,0.)); #555796=CARTESIAN_POINT('Origin',(16.495953,19.1484,0.)); #555797=CARTESIAN_POINT('',(16.495953,19.1484,0.)); #555798=CARTESIAN_POINT('',(16.495953,19.1484,0.)); #555799=CARTESIAN_POINT('',(16.495953,19.1484,0.035)); #555800=CARTESIAN_POINT('',(16.495953,19.1484,0.035)); #555801=CARTESIAN_POINT('',(16.495953,19.1484,0.)); #555802=CARTESIAN_POINT('Origin',(16.521309,19.1511,0.)); #555803=CARTESIAN_POINT('',(16.521309,19.1511,0.)); #555804=CARTESIAN_POINT('',(16.521309,19.1511,0.)); #555805=CARTESIAN_POINT('',(16.521309,19.1511,0.035)); #555806=CARTESIAN_POINT('',(16.521309,19.1511,0.035)); #555807=CARTESIAN_POINT('',(16.521309,19.1511,0.)); #555808=CARTESIAN_POINT('Origin',(16.541663,19.157806,0.)); #555809=CARTESIAN_POINT('',(16.541663,19.157806,0.)); #555810=CARTESIAN_POINT('',(16.541663,19.157806,0.)); #555811=CARTESIAN_POINT('',(16.541663,19.157806,0.035)); #555812=CARTESIAN_POINT('',(16.541663,19.157806,0.035)); #555813=CARTESIAN_POINT('',(16.541663,19.157806,0.)); #555814=CARTESIAN_POINT('Origin',(16.561197,19.169269,0.)); #555815=CARTESIAN_POINT('',(16.561197,19.169269,0.)); #555816=CARTESIAN_POINT('',(16.561197,19.169269,0.)); #555817=CARTESIAN_POINT('',(16.561197,19.169269,0.035)); #555818=CARTESIAN_POINT('',(16.561197,19.169269,0.035)); #555819=CARTESIAN_POINT('',(16.561197,19.169269,0.)); #555820=CARTESIAN_POINT('Origin',(16.573866,19.180178,0.)); #555821=CARTESIAN_POINT('',(16.573866,19.180178,0.)); #555822=CARTESIAN_POINT('',(16.573866,19.180178,0.)); #555823=CARTESIAN_POINT('',(16.573866,19.180178,0.035)); #555824=CARTESIAN_POINT('',(16.573866,19.180178,0.035)); #555825=CARTESIAN_POINT('',(16.573866,19.180178,0.)); #555826=CARTESIAN_POINT('Origin',(16.818978,19.425291,0.)); #555827=CARTESIAN_POINT('',(16.818978,19.425291,0.)); #555828=CARTESIAN_POINT('',(16.818978,19.425291,0.)); #555829=CARTESIAN_POINT('',(16.818978,19.425291,0.035)); #555830=CARTESIAN_POINT('',(16.818978,19.425291,0.035)); #555831=CARTESIAN_POINT('',(16.818978,19.425291,0.)); #555832=CARTESIAN_POINT('Origin',(16.834997,19.445131,0.)); #555833=CARTESIAN_POINT('',(16.834997,19.445131,0.)); #555834=CARTESIAN_POINT('',(16.834997,19.445131,0.)); #555835=CARTESIAN_POINT('',(16.834997,19.445131,0.035)); #555836=CARTESIAN_POINT('',(16.834997,19.445131,0.035)); #555837=CARTESIAN_POINT('',(16.834997,19.445131,0.)); #555838=CARTESIAN_POINT('Origin',(16.844647,19.464272,0.)); #555839=CARTESIAN_POINT('',(16.844647,19.464272,0.)); #555840=CARTESIAN_POINT('',(16.844647,19.464272,0.)); #555841=CARTESIAN_POINT('',(16.844647,19.464272,0.035)); #555842=CARTESIAN_POINT('',(16.844647,19.464272,0.035)); #555843=CARTESIAN_POINT('',(16.844647,19.464272,0.)); #555844=CARTESIAN_POINT('Origin',(16.850359,19.486184,0.)); #555845=CARTESIAN_POINT('',(16.850359,19.486184,0.)); #555846=CARTESIAN_POINT('',(16.850359,19.486184,0.)); #555847=CARTESIAN_POINT('',(16.850359,19.486184,0.035)); #555848=CARTESIAN_POINT('',(16.850359,19.486184,0.035)); #555849=CARTESIAN_POINT('',(16.850359,19.486184,0.)); #555850=CARTESIAN_POINT('Origin',(16.8516,19.502847,0.)); #555851=CARTESIAN_POINT('',(16.8516,19.502847,0.)); #555852=CARTESIAN_POINT('',(16.8516,19.502847,0.)); #555853=CARTESIAN_POINT('',(16.8516,19.502847,0.035)); #555854=CARTESIAN_POINT('',(16.8516,19.502847,0.035)); #555855=CARTESIAN_POINT('',(16.8516,19.502847,0.)); #555856=CARTESIAN_POINT('Origin',(16.8516,25.30135,0.)); #555857=CARTESIAN_POINT('',(16.8516,25.30135,0.)); #555858=CARTESIAN_POINT('',(16.8516,25.30135,0.)); #555859=CARTESIAN_POINT('',(16.8516,25.30135,0.035)); #555860=CARTESIAN_POINT('',(16.8516,25.30135,0.035)); #555861=CARTESIAN_POINT('',(16.8516,25.30135,0.)); #555862=CARTESIAN_POINT('Origin',(16.857169,25.329947,0.)); #555863=CARTESIAN_POINT('',(16.857169,25.329947,0.)); #555864=CARTESIAN_POINT('',(16.857169,25.329947,0.)); #555865=CARTESIAN_POINT('',(16.857169,25.329947,0.035)); #555866=CARTESIAN_POINT('',(16.857169,25.329947,0.035)); #555867=CARTESIAN_POINT('',(16.857169,25.329947,0.)); #555868=CARTESIAN_POINT('Origin',(16.873919,25.355231,0.)); #555869=CARTESIAN_POINT('',(16.873919,25.355231,0.)); #555870=CARTESIAN_POINT('',(16.873919,25.355231,0.)); #555871=CARTESIAN_POINT('',(16.873919,25.355231,0.035)); #555872=CARTESIAN_POINT('',(16.873919,25.355231,0.035)); #555873=CARTESIAN_POINT('',(16.873919,25.355231,0.)); #555874=CARTESIAN_POINT('Origin',(18.019769,26.501081,0.)); #555875=CARTESIAN_POINT('',(18.019769,26.501081,0.)); #555876=CARTESIAN_POINT('',(18.019769,26.501081,0.)); #555877=CARTESIAN_POINT('',(18.019769,26.501081,0.035)); #555878=CARTESIAN_POINT('',(18.019769,26.501081,0.035)); #555879=CARTESIAN_POINT('',(18.019769,26.501081,0.)); #555880=CARTESIAN_POINT('Origin',(18.043928,26.517366,0.)); #555881=CARTESIAN_POINT('',(18.043928,26.517366,0.)); #555882=CARTESIAN_POINT('',(18.043928,26.517366,0.)); #555883=CARTESIAN_POINT('',(18.043928,26.517366,0.035)); #555884=CARTESIAN_POINT('',(18.043928,26.517366,0.035)); #555885=CARTESIAN_POINT('',(18.043928,26.517366,0.)); #555886=CARTESIAN_POINT('Origin',(18.07365,26.5234,0.)); #555887=CARTESIAN_POINT('',(18.07365,26.5234,0.)); #555888=CARTESIAN_POINT('',(18.07365,26.5234,0.)); #555889=CARTESIAN_POINT('',(18.07365,26.5234,0.035)); #555890=CARTESIAN_POINT('',(18.07365,26.5234,0.035)); #555891=CARTESIAN_POINT('',(18.07365,26.5234,0.)); #555892=CARTESIAN_POINT('Origin',(20.1143,26.5234,0.)); #555893=CARTESIAN_POINT('',(20.1143,26.5234,0.)); #555894=CARTESIAN_POINT('',(20.1143,26.5234,0.)); #555895=CARTESIAN_POINT('',(20.1143,26.5234,0.035)); #555896=CARTESIAN_POINT('',(20.1143,26.5234,0.035)); #555897=CARTESIAN_POINT('',(20.1143,26.5234,0.)); #555898=CARTESIAN_POINT('Origin',(20.141769,26.518278,0.)); #555899=CARTESIAN_POINT('',(20.141769,26.518278,0.)); #555900=CARTESIAN_POINT('',(20.141769,26.518278,0.)); #555901=CARTESIAN_POINT('',(20.141769,26.518278,0.035)); #555902=CARTESIAN_POINT('',(20.141769,26.518278,0.035)); #555903=CARTESIAN_POINT('',(20.141769,26.518278,0.)); #555904=CARTESIAN_POINT('Origin',(20.167316,26.501934,0.)); #555905=CARTESIAN_POINT('',(20.167316,26.501934,0.)); #555906=CARTESIAN_POINT('',(20.167316,26.501934,0.)); #555907=CARTESIAN_POINT('',(20.167316,26.501934,0.035)); #555908=CARTESIAN_POINT('',(20.167316,26.501934,0.035)); #555909=CARTESIAN_POINT('',(20.167316,26.501934,0.)); #555910=CARTESIAN_POINT('Origin',(20.184466,26.476922,0.)); #555911=CARTESIAN_POINT('',(20.184466,26.476922,0.)); #555912=CARTESIAN_POINT('',(20.184466,26.476922,0.)); #555913=CARTESIAN_POINT('',(20.184466,26.476922,0.035)); #555914=CARTESIAN_POINT('',(20.184466,26.476922,0.035)); #555915=CARTESIAN_POINT('',(20.184466,26.476922,0.)); #555916=CARTESIAN_POINT('Origin',(20.1905,26.4472,0.)); #555917=CARTESIAN_POINT('',(20.1905,26.4472,0.)); #555918=CARTESIAN_POINT('',(20.1905,26.4472,0.)); #555919=CARTESIAN_POINT('',(20.1905,26.4472,0.035)); #555920=CARTESIAN_POINT('',(20.1905,26.4472,0.035)); #555921=CARTESIAN_POINT('',(20.1905,26.4472,0.)); #555922=CARTESIAN_POINT('Origin',(20.1905,25.492372,0.)); #555923=CARTESIAN_POINT('',(20.1905,25.492372,0.)); #555924=CARTESIAN_POINT('',(20.1905,25.492372,0.)); #555925=CARTESIAN_POINT('',(20.1905,25.492372,0.035)); #555926=CARTESIAN_POINT('',(20.1905,25.492372,0.035)); #555927=CARTESIAN_POINT('',(20.1905,25.492372,0.)); #555928=CARTESIAN_POINT('Origin',(20.279772,25.4031,0.)); #555929=CARTESIAN_POINT('',(20.279772,25.4031,0.)); #555930=CARTESIAN_POINT('',(20.279772,25.4031,0.)); #555931=CARTESIAN_POINT('',(20.279772,25.4031,0.035)); #555932=CARTESIAN_POINT('',(20.279772,25.4031,0.035)); #555933=CARTESIAN_POINT('',(20.279772,25.4031,0.)); #555934=CARTESIAN_POINT('Origin',(20.6452,25.4031,0.)); #555935=CARTESIAN_POINT('',(20.6452,25.4031,0.)); #555936=CARTESIAN_POINT('',(20.6452,25.4031,0.)); #555937=CARTESIAN_POINT('',(20.6452,25.4031,0.035)); #555938=CARTESIAN_POINT('',(20.6452,25.4031,0.035)); #555939=CARTESIAN_POINT('',(20.6452,25.4031,0.)); #555940=CARTESIAN_POINT('Origin',(20.672669,25.397978,0.)); #555941=CARTESIAN_POINT('',(20.672669,25.397978,0.)); #555942=CARTESIAN_POINT('',(20.672669,25.397978,0.)); #555943=CARTESIAN_POINT('',(20.672669,25.397978,0.035)); #555944=CARTESIAN_POINT('',(20.672669,25.397978,0.035)); #555945=CARTESIAN_POINT('',(20.672669,25.397978,0.)); #555946=CARTESIAN_POINT('Origin',(20.698216,25.381634,0.)); #555947=CARTESIAN_POINT('',(20.698216,25.381634,0.)); #555948=CARTESIAN_POINT('',(20.698216,25.381634,0.)); #555949=CARTESIAN_POINT('',(20.698216,25.381634,0.035)); #555950=CARTESIAN_POINT('',(20.698216,25.381634,0.035)); #555951=CARTESIAN_POINT('',(20.698216,25.381634,0.)); #555952=CARTESIAN_POINT('Origin',(20.715366,25.356622,0.)); #555953=CARTESIAN_POINT('',(20.715366,25.356622,0.)); #555954=CARTESIAN_POINT('',(20.715366,25.356622,0.)); #555955=CARTESIAN_POINT('',(20.715366,25.356622,0.035)); #555956=CARTESIAN_POINT('',(20.715366,25.356622,0.035)); #555957=CARTESIAN_POINT('',(20.715366,25.356622,0.)); #555958=CARTESIAN_POINT('Origin',(20.7214,25.3269,0.)); #555959=CARTESIAN_POINT('',(20.7214,25.3269,0.)); #555960=CARTESIAN_POINT('',(20.7214,25.3269,0.)); #555961=CARTESIAN_POINT('',(20.7214,25.3269,0.035)); #555962=CARTESIAN_POINT('',(20.7214,25.3269,0.035)); #555963=CARTESIAN_POINT('',(20.7214,25.3269,0.)); #555964=CARTESIAN_POINT('Origin',(20.7214,25.0136,0.)); #555965=CARTESIAN_POINT('',(20.7214,25.0136,0.)); #555966=CARTESIAN_POINT('',(20.7214,25.0136,0.)); #555967=CARTESIAN_POINT('',(20.7214,25.0136,0.035)); #555968=CARTESIAN_POINT('',(20.7214,25.0136,0.035)); #555969=CARTESIAN_POINT('',(20.7214,25.0136,0.)); #555970=CARTESIAN_POINT('Origin',(20.716278,24.986131,0.)); #555971=CARTESIAN_POINT('',(20.716278,24.986131,0.)); #555972=CARTESIAN_POINT('',(20.716278,24.986131,0.)); #555973=CARTESIAN_POINT('',(20.716278,24.986131,0.035)); #555974=CARTESIAN_POINT('',(20.716278,24.986131,0.035)); #555975=CARTESIAN_POINT('',(20.716278,24.986131,0.)); #555976=CARTESIAN_POINT('Origin',(20.699934,24.960584,0.)); #555977=CARTESIAN_POINT('',(20.699934,24.960584,0.)); #555978=CARTESIAN_POINT('',(20.699934,24.960584,0.)); #555979=CARTESIAN_POINT('',(20.699934,24.960584,0.035)); #555980=CARTESIAN_POINT('',(20.699934,24.960584,0.035)); #555981=CARTESIAN_POINT('',(20.699934,24.960584,0.)); #555982=CARTESIAN_POINT('Origin',(20.674922,24.943434,0.)); #555983=CARTESIAN_POINT('',(20.674922,24.943434,0.)); #555984=CARTESIAN_POINT('',(20.674922,24.943434,0.)); #555985=CARTESIAN_POINT('',(20.674922,24.943434,0.035)); #555986=CARTESIAN_POINT('',(20.674922,24.943434,0.035)); #555987=CARTESIAN_POINT('',(20.674922,24.943434,0.)); #555988=CARTESIAN_POINT('Origin',(20.6452,24.9374,0.)); #555989=CARTESIAN_POINT('',(20.6452,24.9374,0.)); #555990=CARTESIAN_POINT('',(20.6452,24.9374,0.)); #555991=CARTESIAN_POINT('',(20.6452,24.9374,0.035)); #555992=CARTESIAN_POINT('',(20.6452,24.9374,0.035)); #555993=CARTESIAN_POINT('',(20.6452,24.9374,0.)); #555994=CARTESIAN_POINT('Origin',(20.569372,24.9374,0.)); #555995=CARTESIAN_POINT('',(20.569372,24.9374,0.)); #555996=CARTESIAN_POINT('',(20.569372,24.9374,0.)); #555997=CARTESIAN_POINT('',(20.569372,24.9374,0.035)); #555998=CARTESIAN_POINT('',(20.569372,24.9374,0.035)); #555999=CARTESIAN_POINT('',(20.569372,24.9374,0.)); #556000=CARTESIAN_POINT('Origin',(20.4801,24.848128,0.)); #556001=CARTESIAN_POINT('',(20.4801,24.848128,0.)); #556002=CARTESIAN_POINT('',(20.4801,24.848128,0.)); #556003=CARTESIAN_POINT('',(20.4801,24.848128,0.035)); #556004=CARTESIAN_POINT('',(20.4801,24.848128,0.035)); #556005=CARTESIAN_POINT('',(20.4801,24.848128,0.)); #556006=CARTESIAN_POINT('Origin',(20.4801,23.577438,0.)); #556007=CARTESIAN_POINT('',(20.4801,23.577438,0.)); #556008=CARTESIAN_POINT('',(20.4801,23.577438,0.)); #556009=CARTESIAN_POINT('',(20.4801,23.577438,0.035)); #556010=CARTESIAN_POINT('',(20.4801,23.577438,0.035)); #556011=CARTESIAN_POINT('',(20.4801,23.577438,0.)); #556012=CARTESIAN_POINT('Origin',(20.474847,23.549638,0.)); #556013=CARTESIAN_POINT('',(20.474847,23.549638,0.)); #556014=CARTESIAN_POINT('',(20.474847,23.549638,0.)); #556015=CARTESIAN_POINT('',(20.474847,23.549638,0.035)); #556016=CARTESIAN_POINT('',(20.474847,23.549638,0.035)); #556017=CARTESIAN_POINT('',(20.474847,23.549638,0.)); #556018=CARTESIAN_POINT('Origin',(20.458384,23.524166,0.)); #556019=CARTESIAN_POINT('',(20.458384,23.524166,0.)); #556020=CARTESIAN_POINT('',(20.458384,23.524166,0.)); #556021=CARTESIAN_POINT('',(20.458384,23.524166,0.035)); #556022=CARTESIAN_POINT('',(20.458384,23.524166,0.035)); #556023=CARTESIAN_POINT('',(20.458384,23.524166,0.)); #556024=CARTESIAN_POINT('Origin',(20.411809,23.476528,0.)); #556025=CARTESIAN_POINT('',(20.411809,23.476528,0.)); #556026=CARTESIAN_POINT('',(20.411809,23.476528,0.)); #556027=CARTESIAN_POINT('',(20.411809,23.476528,0.035)); #556028=CARTESIAN_POINT('',(20.411809,23.476528,0.035)); #556029=CARTESIAN_POINT('',(20.411809,23.476528,0.)); #556030=CARTESIAN_POINT('Origin',(20.387047,23.459634,0.)); #556031=CARTESIAN_POINT('',(20.387047,23.459634,0.)); #556032=CARTESIAN_POINT('',(20.387047,23.459634,0.)); #556033=CARTESIAN_POINT('',(20.387047,23.459634,0.035)); #556034=CARTESIAN_POINT('',(20.387047,23.459634,0.035)); #556035=CARTESIAN_POINT('',(20.387047,23.459634,0.)); #556036=CARTESIAN_POINT('Origin',(20.357325,23.4536,0.)); #556037=CARTESIAN_POINT('',(20.357325,23.4536,0.)); #556038=CARTESIAN_POINT('',(20.357325,23.4536,0.)); #556039=CARTESIAN_POINT('',(20.357325,23.4536,0.035)); #556040=CARTESIAN_POINT('',(20.357325,23.4536,0.035)); #556041=CARTESIAN_POINT('',(20.357325,23.4536,0.)); #556042=CARTESIAN_POINT('Origin',(19.642675,23.4536,0.)); #556043=CARTESIAN_POINT('',(19.642675,23.4536,0.)); #556044=CARTESIAN_POINT('',(19.642675,23.4536,0.)); #556045=CARTESIAN_POINT('',(19.642675,23.4536,0.035)); #556046=CARTESIAN_POINT('',(19.642675,23.4536,0.035)); #556047=CARTESIAN_POINT('',(19.642675,23.4536,0.)); #556048=CARTESIAN_POINT('Origin',(19.613281,23.459497,0.)); #556049=CARTESIAN_POINT('',(19.613281,23.459497,0.)); #556050=CARTESIAN_POINT('',(19.613281,23.459497,0.)); #556051=CARTESIAN_POINT('',(19.613281,23.459497,0.035)); #556052=CARTESIAN_POINT('',(19.613281,23.459497,0.035)); #556053=CARTESIAN_POINT('',(19.613281,23.459497,0.)); #556054=CARTESIAN_POINT('Origin',(19.588191,23.476528,0.)); #556055=CARTESIAN_POINT('',(19.588191,23.476528,0.)); #556056=CARTESIAN_POINT('',(19.588191,23.476528,0.)); #556057=CARTESIAN_POINT('',(19.588191,23.476528,0.035)); #556058=CARTESIAN_POINT('',(19.588191,23.476528,0.035)); #556059=CARTESIAN_POINT('',(19.588191,23.476528,0.)); #556060=CARTESIAN_POINT('Origin',(19.541616,23.524166,0.)); #556061=CARTESIAN_POINT('',(19.541616,23.524166,0.)); #556062=CARTESIAN_POINT('',(19.541616,23.524166,0.)); #556063=CARTESIAN_POINT('',(19.541616,23.524166,0.035)); #556064=CARTESIAN_POINT('',(19.541616,23.524166,0.035)); #556065=CARTESIAN_POINT('',(19.541616,23.524166,0.)); #556066=CARTESIAN_POINT('Origin',(19.525934,23.547716,0.)); #556067=CARTESIAN_POINT('',(19.525934,23.547716,0.)); #556068=CARTESIAN_POINT('',(19.525934,23.547716,0.)); #556069=CARTESIAN_POINT('',(19.525934,23.547716,0.035)); #556070=CARTESIAN_POINT('',(19.525934,23.547716,0.035)); #556071=CARTESIAN_POINT('',(19.525934,23.547716,0.)); #556072=CARTESIAN_POINT('Origin',(19.5199,23.577438,0.)); #556073=CARTESIAN_POINT('',(19.5199,23.577438,0.)); #556074=CARTESIAN_POINT('',(19.5199,23.577438,0.)); #556075=CARTESIAN_POINT('',(19.5199,23.577438,0.035)); #556076=CARTESIAN_POINT('',(19.5199,23.577438,0.035)); #556077=CARTESIAN_POINT('',(19.5199,23.577438,0.)); #556078=CARTESIAN_POINT('Origin',(19.5199,24.848128,0.)); #556079=CARTESIAN_POINT('',(19.5199,24.848128,0.)); #556080=CARTESIAN_POINT('',(19.5199,24.848128,0.)); #556081=CARTESIAN_POINT('',(19.5199,24.848128,0.035)); #556082=CARTESIAN_POINT('',(19.5199,24.848128,0.035)); #556083=CARTESIAN_POINT('',(19.5199,24.848128,0.)); #556084=CARTESIAN_POINT('Origin',(19.430628,24.9374,0.)); #556085=CARTESIAN_POINT('',(19.430628,24.9374,0.)); #556086=CARTESIAN_POINT('',(19.430628,24.9374,0.)); #556087=CARTESIAN_POINT('',(19.430628,24.9374,0.035)); #556088=CARTESIAN_POINT('',(19.430628,24.9374,0.035)); #556089=CARTESIAN_POINT('',(19.430628,24.9374,0.)); #556090=CARTESIAN_POINT('Origin',(18.669372,24.9374,0.)); #556091=CARTESIAN_POINT('',(18.669372,24.9374,0.)); #556092=CARTESIAN_POINT('',(18.669372,24.9374,0.)); #556093=CARTESIAN_POINT('',(18.669372,24.9374,0.035)); #556094=CARTESIAN_POINT('',(18.669372,24.9374,0.035)); #556095=CARTESIAN_POINT('',(18.669372,24.9374,0.)); #556096=CARTESIAN_POINT('Origin',(18.5801,24.848128,0.)); #556097=CARTESIAN_POINT('',(18.5801,24.848128,0.)); #556098=CARTESIAN_POINT('',(18.5801,24.848128,0.)); #556099=CARTESIAN_POINT('',(18.5801,24.848128,0.035)); #556100=CARTESIAN_POINT('',(18.5801,24.848128,0.035)); #556101=CARTESIAN_POINT('',(18.5801,24.848128,0.)); #556102=CARTESIAN_POINT('Origin',(18.5801,23.451872,0.)); #556103=CARTESIAN_POINT('',(18.5801,23.451872,0.)); #556104=CARTESIAN_POINT('',(18.5801,23.451872,0.)); #556105=CARTESIAN_POINT('',(18.5801,23.451872,0.035)); #556106=CARTESIAN_POINT('',(18.5801,23.451872,0.035)); #556107=CARTESIAN_POINT('',(18.5801,23.451872,0.)); #556108=CARTESIAN_POINT('Origin',(18.669372,23.3626,0.)); #556109=CARTESIAN_POINT('',(18.669372,23.3626,0.)); #556110=CARTESIAN_POINT('',(18.669372,23.3626,0.)); #556111=CARTESIAN_POINT('',(18.669372,23.3626,0.035)); #556112=CARTESIAN_POINT('',(18.669372,23.3626,0.035)); #556113=CARTESIAN_POINT('',(18.669372,23.3626,0.)); #556114=CARTESIAN_POINT('Origin',(19.0281,23.3626,0.)); #556115=CARTESIAN_POINT('',(19.0281,23.3626,0.)); #556116=CARTESIAN_POINT('',(19.0281,23.3626,0.)); #556117=CARTESIAN_POINT('',(19.0281,23.3626,0.035)); #556118=CARTESIAN_POINT('',(19.0281,23.3626,0.035)); #556119=CARTESIAN_POINT('',(19.0281,23.3626,0.)); #556120=CARTESIAN_POINT('Origin',(19.057494,23.356703,0.)); #556121=CARTESIAN_POINT('',(19.057494,23.356703,0.)); #556122=CARTESIAN_POINT('',(19.057494,23.356703,0.)); #556123=CARTESIAN_POINT('',(19.057494,23.356703,0.035)); #556124=CARTESIAN_POINT('',(19.057494,23.356703,0.035)); #556125=CARTESIAN_POINT('',(19.057494,23.356703,0.)); #556126=CARTESIAN_POINT('Origin',(19.082588,23.339669,0.)); #556127=CARTESIAN_POINT('',(19.082588,23.339669,0.)); #556128=CARTESIAN_POINT('',(19.082588,23.339669,0.)); #556129=CARTESIAN_POINT('',(19.082588,23.339669,0.035)); #556130=CARTESIAN_POINT('',(19.082588,23.339669,0.035)); #556131=CARTESIAN_POINT('',(19.082588,23.339669,0.)); #556132=CARTESIAN_POINT('Origin',(19.264088,23.154025,0.)); #556133=CARTESIAN_POINT('',(19.264088,23.154025,0.)); #556134=CARTESIAN_POINT('',(19.264088,23.154025,0.)); #556135=CARTESIAN_POINT('',(19.264088,23.154025,0.035)); #556136=CARTESIAN_POINT('',(19.264088,23.154025,0.035)); #556137=CARTESIAN_POINT('',(19.264088,23.154025,0.)); #556138=CARTESIAN_POINT('Origin',(19.268078,23.148031,0.)); #556139=CARTESIAN_POINT('',(19.268078,23.148031,0.)); #556140=CARTESIAN_POINT('',(19.268078,23.148031,0.)); #556141=CARTESIAN_POINT('',(19.268078,23.148031,0.035)); #556142=CARTESIAN_POINT('',(19.268078,23.148031,0.035)); #556143=CARTESIAN_POINT('',(19.268078,23.148031,0.)); #556144=CARTESIAN_POINT('Origin',(19.351516,23.064594,0.)); #556145=CARTESIAN_POINT('',(19.351516,23.064594,0.)); #556146=CARTESIAN_POINT('',(19.351516,23.064594,0.)); #556147=CARTESIAN_POINT('',(19.351516,23.064594,0.035)); #556148=CARTESIAN_POINT('',(19.351516,23.064594,0.035)); #556149=CARTESIAN_POINT('',(19.351516,23.064594,0.)); #556150=CARTESIAN_POINT('Origin',(19.352125,23.063978,0.)); #556151=CARTESIAN_POINT('',(19.352125,23.063978,0.)); #556152=CARTESIAN_POINT('',(19.352125,23.063978,0.)); #556153=CARTESIAN_POINT('',(19.352125,23.063978,0.035)); #556154=CARTESIAN_POINT('',(19.352125,23.063978,0.035)); #556155=CARTESIAN_POINT('',(19.352125,23.063978,0.)); #556156=CARTESIAN_POINT('Origin',(19.362716,23.053144,0.)); #556157=CARTESIAN_POINT('',(19.362716,23.053144,0.)); #556158=CARTESIAN_POINT('',(19.362716,23.053144,0.)); #556159=CARTESIAN_POINT('',(19.362716,23.053144,0.035)); #556160=CARTESIAN_POINT('',(19.362716,23.053144,0.035)); #556161=CARTESIAN_POINT('',(19.362716,23.053144,0.)); #556162=CARTESIAN_POINT('Origin',(19.377906,23.030712,0.)); #556163=CARTESIAN_POINT('',(19.377906,23.030712,0.)); #556164=CARTESIAN_POINT('',(19.377906,23.030712,0.)); #556165=CARTESIAN_POINT('',(19.377906,23.030712,0.035)); #556166=CARTESIAN_POINT('',(19.377906,23.030712,0.035)); #556167=CARTESIAN_POINT('',(19.377906,23.030712,0.)); #556168=CARTESIAN_POINT('Origin',(19.384416,23.001094,0.)); #556169=CARTESIAN_POINT('',(19.384416,23.001094,0.)); #556170=CARTESIAN_POINT('',(19.384416,23.001094,0.)); #556171=CARTESIAN_POINT('',(19.384416,23.001094,0.035)); #556172=CARTESIAN_POINT('',(19.384416,23.001094,0.035)); #556173=CARTESIAN_POINT('',(19.384416,23.001094,0.)); #556174=CARTESIAN_POINT('Origin',(19.378856,22.971281,0.)); #556175=CARTESIAN_POINT('',(19.378856,22.971281,0.)); #556176=CARTESIAN_POINT('',(19.378856,22.971281,0.)); #556177=CARTESIAN_POINT('',(19.378856,22.971281,0.035)); #556178=CARTESIAN_POINT('',(19.378856,22.971281,0.035)); #556179=CARTESIAN_POINT('',(19.378856,22.971281,0.)); #556180=CARTESIAN_POINT('Origin',(19.362106,22.945997,0.)); #556181=CARTESIAN_POINT('',(19.362106,22.945997,0.)); #556182=CARTESIAN_POINT('',(19.362106,22.945997,0.)); #556183=CARTESIAN_POINT('',(19.362106,22.945997,0.035)); #556184=CARTESIAN_POINT('',(19.362106,22.945997,0.035)); #556185=CARTESIAN_POINT('',(19.362106,22.945997,0.)); #556186=CARTESIAN_POINT('Origin',(19.357516,22.941406,0.)); #556187=CARTESIAN_POINT('',(19.357516,22.941406,0.)); #556188=CARTESIAN_POINT('',(19.357516,22.941406,0.)); #556189=CARTESIAN_POINT('',(19.357516,22.941406,0.035)); #556190=CARTESIAN_POINT('',(19.357516,22.941406,0.035)); #556191=CARTESIAN_POINT('',(19.357516,22.941406,0.)); #556192=CARTESIAN_POINT('Origin',(19.355078,22.939075,0.)); #556193=CARTESIAN_POINT('',(19.355078,22.939075,0.)); #556194=CARTESIAN_POINT('',(19.355078,22.939075,0.)); #556195=CARTESIAN_POINT('',(19.355078,22.939075,0.035)); #556196=CARTESIAN_POINT('',(19.355078,22.939075,0.035)); #556197=CARTESIAN_POINT('',(19.355078,22.939075,0.)); #556198=CARTESIAN_POINT('Origin',(19.047278,22.657388,0.)); #556199=CARTESIAN_POINT('',(19.047278,22.657388,0.)); #556200=CARTESIAN_POINT('',(19.047278,22.657388,0.)); #556201=CARTESIAN_POINT('',(19.047278,22.657388,0.035)); #556202=CARTESIAN_POINT('',(19.047278,22.657388,0.035)); #556203=CARTESIAN_POINT('',(19.047278,22.657388,0.)); #556204=CARTESIAN_POINT('Origin',(19.025556,22.643434,0.)); #556205=CARTESIAN_POINT('',(19.025556,22.643434,0.)); #556206=CARTESIAN_POINT('',(19.025556,22.643434,0.)); #556207=CARTESIAN_POINT('',(19.025556,22.643434,0.035)); #556208=CARTESIAN_POINT('',(19.025556,22.643434,0.035)); #556209=CARTESIAN_POINT('',(19.025556,22.643434,0.)); #556210=CARTESIAN_POINT('Origin',(18.995834,22.6374,0.)); #556211=CARTESIAN_POINT('',(18.995834,22.6374,0.)); #556212=CARTESIAN_POINT('',(18.995834,22.6374,0.)); #556213=CARTESIAN_POINT('',(18.995834,22.6374,0.035)); #556214=CARTESIAN_POINT('',(18.995834,22.6374,0.035)); #556215=CARTESIAN_POINT('',(18.995834,22.6374,0.)); #556216=CARTESIAN_POINT('Origin',(18.669372,22.6374,0.)); #556217=CARTESIAN_POINT('',(18.669372,22.6374,0.)); #556218=CARTESIAN_POINT('',(18.669372,22.6374,0.)); #556219=CARTESIAN_POINT('',(18.669372,22.6374,0.035)); #556220=CARTESIAN_POINT('',(18.669372,22.6374,0.035)); #556221=CARTESIAN_POINT('',(18.669372,22.6374,0.)); #556222=CARTESIAN_POINT('Origin',(18.5801,22.548128,0.)); #556223=CARTESIAN_POINT('',(18.5801,22.548128,0.)); #556224=CARTESIAN_POINT('',(18.5801,22.548128,0.)); #556225=CARTESIAN_POINT('',(18.5801,22.548128,0.035)); #556226=CARTESIAN_POINT('',(18.5801,22.548128,0.035)); #556227=CARTESIAN_POINT('',(18.5801,22.548128,0.)); #556228=CARTESIAN_POINT('Origin',(18.5801,21.151872,0.)); #556229=CARTESIAN_POINT('',(18.5801,21.151872,0.)); #556230=CARTESIAN_POINT('',(18.5801,21.151872,0.)); #556231=CARTESIAN_POINT('',(18.5801,21.151872,0.035)); #556232=CARTESIAN_POINT('',(18.5801,21.151872,0.035)); #556233=CARTESIAN_POINT('',(18.5801,21.151872,0.)); #556234=CARTESIAN_POINT('Origin',(18.669372,21.0626,0.)); #556235=CARTESIAN_POINT('',(18.669372,21.0626,0.)); #556236=CARTESIAN_POINT('',(18.669372,21.0626,0.)); #556237=CARTESIAN_POINT('',(18.669372,21.0626,0.035)); #556238=CARTESIAN_POINT('',(18.669372,21.0626,0.035)); #556239=CARTESIAN_POINT('',(18.669372,21.0626,0.)); #556240=CARTESIAN_POINT('Origin',(18.6952,21.0626,0.)); #556241=CARTESIAN_POINT('',(18.6952,21.0626,0.)); #556242=CARTESIAN_POINT('',(18.6952,21.0626,0.)); #556243=CARTESIAN_POINT('',(18.6952,21.0626,0.035)); #556244=CARTESIAN_POINT('',(18.6952,21.0626,0.035)); #556245=CARTESIAN_POINT('',(18.6952,21.0626,0.)); #556246=CARTESIAN_POINT('Origin',(18.722669,21.057478,0.)); #556247=CARTESIAN_POINT('',(18.722669,21.057478,0.)); #556248=CARTESIAN_POINT('',(18.722669,21.057478,0.)); #556249=CARTESIAN_POINT('',(18.722669,21.057478,0.035)); #556250=CARTESIAN_POINT('',(18.722669,21.057478,0.035)); #556251=CARTESIAN_POINT('',(18.722669,21.057478,0.)); #556252=CARTESIAN_POINT('Origin',(18.748216,21.041134,0.)); #556253=CARTESIAN_POINT('',(18.748216,21.041134,0.)); #556254=CARTESIAN_POINT('',(18.748216,21.041134,0.)); #556255=CARTESIAN_POINT('',(18.748216,21.041134,0.035)); #556256=CARTESIAN_POINT('',(18.748216,21.041134,0.035)); #556257=CARTESIAN_POINT('',(18.748216,21.041134,0.)); #556258=CARTESIAN_POINT('Origin',(18.765366,21.016122,0.)); #556259=CARTESIAN_POINT('',(18.765366,21.016122,0.)); #556260=CARTESIAN_POINT('',(18.765366,21.016122,0.)); #556261=CARTESIAN_POINT('',(18.765366,21.016122,0.035)); #556262=CARTESIAN_POINT('',(18.765366,21.016122,0.035)); #556263=CARTESIAN_POINT('',(18.765366,21.016122,0.)); #556264=CARTESIAN_POINT('Origin',(18.7714,20.9864,0.)); #556265=CARTESIAN_POINT('',(18.7714,20.9864,0.)); #556266=CARTESIAN_POINT('',(18.7714,20.9864,0.)); #556267=CARTESIAN_POINT('',(18.7714,20.9864,0.035)); #556268=CARTESIAN_POINT('',(18.7714,20.9864,0.035)); #556269=CARTESIAN_POINT('',(18.7714,20.9864,0.)); #556270=CARTESIAN_POINT('Origin',(18.7714,20.842809,0.)); #556271=CARTESIAN_POINT('',(18.7714,20.842809,0.)); #556272=CARTESIAN_POINT('',(18.7714,20.842809,0.)); #556273=CARTESIAN_POINT('',(18.7714,20.842809,0.035)); #556274=CARTESIAN_POINT('',(18.7714,20.842809,0.035)); #556275=CARTESIAN_POINT('',(18.7714,20.842809,0.)); #556276=CARTESIAN_POINT('Origin',(18.887228,20.726981,0.)); #556277=CARTESIAN_POINT('',(18.887228,20.726981,0.)); #556278=CARTESIAN_POINT('',(18.887228,20.726981,0.)); #556279=CARTESIAN_POINT('',(18.887228,20.726981,0.035)); #556280=CARTESIAN_POINT('',(18.887228,20.726981,0.035)); #556281=CARTESIAN_POINT('',(18.887228,20.726981,0.)); #556282=CARTESIAN_POINT('Origin',(18.902528,20.705044,0.)); #556283=CARTESIAN_POINT('',(18.902528,20.705044,0.)); #556284=CARTESIAN_POINT('',(18.902528,20.705044,0.)); #556285=CARTESIAN_POINT('',(18.902528,20.705044,0.035)); #556286=CARTESIAN_POINT('',(18.902528,20.705044,0.035)); #556287=CARTESIAN_POINT('',(18.902528,20.705044,0.)); #556288=CARTESIAN_POINT('Origin',(18.909509,20.675528,0.)); #556289=CARTESIAN_POINT('',(18.909509,20.675528,0.)); #556290=CARTESIAN_POINT('',(18.909509,20.675528,0.)); #556291=CARTESIAN_POINT('',(18.909509,20.675528,0.035)); #556292=CARTESIAN_POINT('',(18.909509,20.675528,0.035)); #556293=CARTESIAN_POINT('',(18.909509,20.675528,0.)); #556294=CARTESIAN_POINT('Origin',(18.904425,20.645631,0.)); #556295=CARTESIAN_POINT('',(18.904425,20.645631,0.)); #556296=CARTESIAN_POINT('',(18.904425,20.645631,0.)); #556297=CARTESIAN_POINT('',(18.904425,20.645631,0.035)); #556298=CARTESIAN_POINT('',(18.904425,20.645631,0.035)); #556299=CARTESIAN_POINT('',(18.904425,20.645631,0.)); #556300=CARTESIAN_POINT('Origin',(18.888081,20.620084,0.)); #556301=CARTESIAN_POINT('',(18.888081,20.620084,0.)); #556302=CARTESIAN_POINT('',(18.888081,20.620084,0.)); #556303=CARTESIAN_POINT('',(18.888081,20.620084,0.035)); #556304=CARTESIAN_POINT('',(18.888081,20.620084,0.035)); #556305=CARTESIAN_POINT('',(18.888081,20.620084,0.)); #556306=CARTESIAN_POINT('Origin',(18.863069,20.602934,0.)); #556307=CARTESIAN_POINT('',(18.863069,20.602934,0.)); #556308=CARTESIAN_POINT('',(18.863069,20.602934,0.)); #556309=CARTESIAN_POINT('',(18.863069,20.602934,0.035)); #556310=CARTESIAN_POINT('',(18.863069,20.602934,0.035)); #556311=CARTESIAN_POINT('',(18.863069,20.602934,0.)); #556312=CARTESIAN_POINT('Origin',(18.833347,20.5969,0.)); #556313=CARTESIAN_POINT('',(18.833347,20.5969,0.)); #556314=CARTESIAN_POINT('',(18.833347,20.5969,0.)); #556315=CARTESIAN_POINT('',(18.833347,20.5969,0.035)); #556316=CARTESIAN_POINT('',(18.833347,20.5969,0.035)); #556317=CARTESIAN_POINT('',(18.833347,20.5969,0.)); #556318=CARTESIAN_POINT('Origin',(18.755772,20.5969,0.)); #556319=CARTESIAN_POINT('',(18.755772,20.5969,0.)); #556320=CARTESIAN_POINT('',(18.755772,20.5969,0.)); #556321=CARTESIAN_POINT('',(18.755772,20.5969,0.035)); #556322=CARTESIAN_POINT('',(18.755772,20.5969,0.035)); #556323=CARTESIAN_POINT('',(18.755772,20.5969,0.)); #556324=CARTESIAN_POINT('Origin',(18.6665,20.507628,0.)); #556325=CARTESIAN_POINT('',(18.6665,20.507628,0.)); #556326=CARTESIAN_POINT('',(18.6665,20.507628,0.)); #556327=CARTESIAN_POINT('',(18.6665,20.507628,0.035)); #556328=CARTESIAN_POINT('',(18.6665,20.507628,0.035)); #556329=CARTESIAN_POINT('',(18.6665,20.507628,0.)); #556330=CARTESIAN_POINT('Origin',(18.6665,19.492372,0.)); #556331=CARTESIAN_POINT('',(18.6665,19.492372,0.)); #556332=CARTESIAN_POINT('',(18.6665,19.492372,0.)); #556333=CARTESIAN_POINT('',(18.6665,19.492372,0.035)); #556334=CARTESIAN_POINT('',(18.6665,19.492372,0.035)); #556335=CARTESIAN_POINT('',(18.6665,19.492372,0.)); #556336=CARTESIAN_POINT('Origin',(18.755772,19.4031,0.)); #556337=CARTESIAN_POINT('',(18.755772,19.4031,0.)); #556338=CARTESIAN_POINT('',(18.755772,19.4031,0.)); #556339=CARTESIAN_POINT('',(18.755772,19.4031,0.035)); #556340=CARTESIAN_POINT('',(18.755772,19.4031,0.035)); #556341=CARTESIAN_POINT('',(18.755772,19.4031,0.)); #556342=CARTESIAN_POINT('Origin',(19.720228,19.4031,0.)); #556343=CARTESIAN_POINT('',(19.720228,19.4031,0.)); #556344=CARTESIAN_POINT('',(19.720228,19.4031,0.)); #556345=CARTESIAN_POINT('',(19.720228,19.4031,0.035)); #556346=CARTESIAN_POINT('',(19.720228,19.4031,0.035)); #556347=CARTESIAN_POINT('',(19.720228,19.4031,0.)); #556348=CARTESIAN_POINT('Origin',(19.8095,19.492372,0.)); #556349=CARTESIAN_POINT('',(19.8095,19.492372,0.)); #556350=CARTESIAN_POINT('',(19.8095,19.492372,0.)); #556351=CARTESIAN_POINT('',(19.8095,19.492372,0.035)); #556352=CARTESIAN_POINT('',(19.8095,19.492372,0.035)); #556353=CARTESIAN_POINT('',(19.8095,19.492372,0.)); #556354=CARTESIAN_POINT('Origin',(19.8095,20.507628,0.)); #556355=CARTESIAN_POINT('',(19.8095,20.507628,0.)); #556356=CARTESIAN_POINT('',(19.8095,20.507628,0.)); #556357=CARTESIAN_POINT('',(19.8095,20.507628,0.035)); #556358=CARTESIAN_POINT('',(19.8095,20.507628,0.035)); #556359=CARTESIAN_POINT('',(19.8095,20.507628,0.)); #556360=CARTESIAN_POINT('Origin',(19.720228,20.5969,0.)); #556361=CARTESIAN_POINT('',(19.720228,20.5969,0.)); #556362=CARTESIAN_POINT('',(19.720228,20.5969,0.)); #556363=CARTESIAN_POINT('',(19.720228,20.5969,0.035)); #556364=CARTESIAN_POINT('',(19.720228,20.5969,0.035)); #556365=CARTESIAN_POINT('',(19.720228,20.5969,0.)); #556366=CARTESIAN_POINT('Origin',(19.5428,20.5969,0.)); #556367=CARTESIAN_POINT('',(19.5428,20.5969,0.)); #556368=CARTESIAN_POINT('',(19.5428,20.5969,0.)); #556369=CARTESIAN_POINT('',(19.5428,20.5969,0.035)); #556370=CARTESIAN_POINT('',(19.5428,20.5969,0.035)); #556371=CARTESIAN_POINT('',(19.5428,20.5969,0.)); #556372=CARTESIAN_POINT('Origin',(19.515331,20.602022,0.)); #556373=CARTESIAN_POINT('',(19.515331,20.602022,0.)); #556374=CARTESIAN_POINT('',(19.515331,20.602022,0.)); #556375=CARTESIAN_POINT('',(19.515331,20.602022,0.035)); #556376=CARTESIAN_POINT('',(19.515331,20.602022,0.035)); #556377=CARTESIAN_POINT('',(19.515331,20.602022,0.)); #556378=CARTESIAN_POINT('Origin',(19.489784,20.618366,0.)); #556379=CARTESIAN_POINT('',(19.489784,20.618366,0.)); #556380=CARTESIAN_POINT('',(19.489784,20.618366,0.)); #556381=CARTESIAN_POINT('',(19.489784,20.618366,0.035)); #556382=CARTESIAN_POINT('',(19.489784,20.618366,0.035)); #556383=CARTESIAN_POINT('',(19.489784,20.618366,0.)); #556384=CARTESIAN_POINT('Origin',(19.472634,20.643378,0.)); #556385=CARTESIAN_POINT('',(19.472634,20.643378,0.)); #556386=CARTESIAN_POINT('',(19.472634,20.643378,0.)); #556387=CARTESIAN_POINT('',(19.472634,20.643378,0.035)); #556388=CARTESIAN_POINT('',(19.472634,20.643378,0.035)); #556389=CARTESIAN_POINT('',(19.472634,20.643378,0.)); #556390=CARTESIAN_POINT('Origin',(19.4666,20.6731,0.)); #556391=CARTESIAN_POINT('',(19.4666,20.6731,0.)); #556392=CARTESIAN_POINT('',(19.4666,20.6731,0.)); #556393=CARTESIAN_POINT('',(19.4666,20.6731,0.035)); #556394=CARTESIAN_POINT('',(19.4666,20.6731,0.035)); #556395=CARTESIAN_POINT('',(19.4666,20.6731,0.)); #556396=CARTESIAN_POINT('Origin',(19.4666,20.794191,0.)); #556397=CARTESIAN_POINT('',(19.4666,20.794191,0.)); #556398=CARTESIAN_POINT('',(19.4666,20.794191,0.)); #556399=CARTESIAN_POINT('',(19.4666,20.794191,0.035)); #556400=CARTESIAN_POINT('',(19.4666,20.794191,0.035)); #556401=CARTESIAN_POINT('',(19.4666,20.794191,0.)); #556402=CARTESIAN_POINT('Origin',(19.328272,20.932519,0.)); #556403=CARTESIAN_POINT('',(19.328272,20.932519,0.)); #556404=CARTESIAN_POINT('',(19.328272,20.932519,0.)); #556405=CARTESIAN_POINT('',(19.328272,20.932519,0.035)); #556406=CARTESIAN_POINT('',(19.328272,20.932519,0.035)); #556407=CARTESIAN_POINT('',(19.328272,20.932519,0.)); #556408=CARTESIAN_POINT('Origin',(19.312972,20.954456,0.)); #556409=CARTESIAN_POINT('',(19.312972,20.954456,0.)); #556410=CARTESIAN_POINT('',(19.312972,20.954456,0.)); #556411=CARTESIAN_POINT('',(19.312972,20.954456,0.035)); #556412=CARTESIAN_POINT('',(19.312972,20.954456,0.035)); #556413=CARTESIAN_POINT('',(19.312972,20.954456,0.)); #556414=CARTESIAN_POINT('Origin',(19.305991,20.983972,0.)); #556415=CARTESIAN_POINT('',(19.305991,20.983972,0.)); #556416=CARTESIAN_POINT('',(19.305991,20.983972,0.)); #556417=CARTESIAN_POINT('',(19.305991,20.983972,0.035)); #556418=CARTESIAN_POINT('',(19.305991,20.983972,0.035)); #556419=CARTESIAN_POINT('',(19.305991,20.983972,0.)); #556420=CARTESIAN_POINT('Origin',(19.311075,21.013869,0.)); #556421=CARTESIAN_POINT('',(19.311075,21.013869,0.)); #556422=CARTESIAN_POINT('',(19.311075,21.013869,0.)); #556423=CARTESIAN_POINT('',(19.311075,21.013869,0.035)); #556424=CARTESIAN_POINT('',(19.311075,21.013869,0.035)); #556425=CARTESIAN_POINT('',(19.311075,21.013869,0.)); #556426=CARTESIAN_POINT('Origin',(19.327419,21.039416,0.)); #556427=CARTESIAN_POINT('',(19.327419,21.039416,0.)); #556428=CARTESIAN_POINT('',(19.327419,21.039416,0.)); #556429=CARTESIAN_POINT('',(19.327419,21.039416,0.035)); #556430=CARTESIAN_POINT('',(19.327419,21.039416,0.035)); #556431=CARTESIAN_POINT('',(19.327419,21.039416,0.)); #556432=CARTESIAN_POINT('Origin',(19.352431,21.056566,0.)); #556433=CARTESIAN_POINT('',(19.352431,21.056566,0.)); #556434=CARTESIAN_POINT('',(19.352431,21.056566,0.)); #556435=CARTESIAN_POINT('',(19.352431,21.056566,0.035)); #556436=CARTESIAN_POINT('',(19.352431,21.056566,0.035)); #556437=CARTESIAN_POINT('',(19.352431,21.056566,0.)); #556438=CARTESIAN_POINT('Origin',(19.382153,21.0626,0.)); #556439=CARTESIAN_POINT('',(19.382153,21.0626,0.)); #556440=CARTESIAN_POINT('',(19.382153,21.0626,0.)); #556441=CARTESIAN_POINT('',(19.382153,21.0626,0.035)); #556442=CARTESIAN_POINT('',(19.382153,21.0626,0.035)); #556443=CARTESIAN_POINT('',(19.382153,21.0626,0.)); #556444=CARTESIAN_POINT('Origin',(19.430628,21.0626,0.)); #556445=CARTESIAN_POINT('',(19.430628,21.0626,0.)); #556446=CARTESIAN_POINT('',(19.430628,21.0626,0.)); #556447=CARTESIAN_POINT('',(19.430628,21.0626,0.035)); #556448=CARTESIAN_POINT('',(19.430628,21.0626,0.035)); #556449=CARTESIAN_POINT('',(19.430628,21.0626,0.)); #556450=CARTESIAN_POINT('Origin',(19.5199,21.151872,0.)); #556451=CARTESIAN_POINT('',(19.5199,21.151872,0.)); #556452=CARTESIAN_POINT('',(19.5199,21.151872,0.)); #556453=CARTESIAN_POINT('',(19.5199,21.151872,0.035)); #556454=CARTESIAN_POINT('',(19.5199,21.151872,0.035)); #556455=CARTESIAN_POINT('',(19.5199,21.151872,0.)); #556456=CARTESIAN_POINT('Origin',(19.5199,22.436597,0.)); #556457=CARTESIAN_POINT('',(19.5199,22.436597,0.)); #556458=CARTESIAN_POINT('',(19.5199,22.436597,0.)); #556459=CARTESIAN_POINT('',(19.5199,22.436597,0.035)); #556460=CARTESIAN_POINT('',(19.5199,22.436597,0.035)); #556461=CARTESIAN_POINT('',(19.5199,22.436597,0.)); #556462=CARTESIAN_POINT('Origin',(19.526806,22.468294,0.)); #556463=CARTESIAN_POINT('',(19.526806,22.468294,0.)); #556464=CARTESIAN_POINT('',(19.526806,22.468294,0.)); #556465=CARTESIAN_POINT('',(19.526806,22.468294,0.035)); #556466=CARTESIAN_POINT('',(19.526806,22.468294,0.035)); #556467=CARTESIAN_POINT('',(19.526806,22.468294,0.)); #556468=CARTESIAN_POINT('Origin',(19.544656,22.492809,0.)); #556469=CARTESIAN_POINT('',(19.544656,22.492809,0.)); #556470=CARTESIAN_POINT('',(19.544656,22.492809,0.)); #556471=CARTESIAN_POINT('',(19.544656,22.492809,0.035)); #556472=CARTESIAN_POINT('',(19.544656,22.492809,0.035)); #556473=CARTESIAN_POINT('',(19.544656,22.492809,0.)); #556474=CARTESIAN_POINT('Origin',(19.581375,22.526413,0.)); #556475=CARTESIAN_POINT('',(19.581375,22.526413,0.)); #556476=CARTESIAN_POINT('',(19.581375,22.526413,0.)); #556477=CARTESIAN_POINT('',(19.581375,22.526413,0.035)); #556478=CARTESIAN_POINT('',(19.581375,22.526413,0.035)); #556479=CARTESIAN_POINT('',(19.581375,22.526413,0.)); #556480=CARTESIAN_POINT('Origin',(19.603097,22.540366,0.)); #556481=CARTESIAN_POINT('',(19.603097,22.540366,0.)); #556482=CARTESIAN_POINT('',(19.603097,22.540366,0.)); #556483=CARTESIAN_POINT('',(19.603097,22.540366,0.035)); #556484=CARTESIAN_POINT('',(19.603097,22.540366,0.035)); #556485=CARTESIAN_POINT('',(19.603097,22.540366,0.)); #556486=CARTESIAN_POINT('Origin',(19.632819,22.5464,0.)); #556487=CARTESIAN_POINT('',(19.632819,22.5464,0.)); #556488=CARTESIAN_POINT('',(19.632819,22.5464,0.)); #556489=CARTESIAN_POINT('',(19.632819,22.5464,0.035)); #556490=CARTESIAN_POINT('',(19.632819,22.5464,0.035)); #556491=CARTESIAN_POINT('',(19.632819,22.5464,0.)); #556492=CARTESIAN_POINT('Origin',(20.4039,22.5464,0.)); #556493=CARTESIAN_POINT('',(20.4039,22.5464,0.)); #556494=CARTESIAN_POINT('',(20.4039,22.5464,0.)); #556495=CARTESIAN_POINT('',(20.4039,22.5464,0.035)); #556496=CARTESIAN_POINT('',(20.4039,22.5464,0.035)); #556497=CARTESIAN_POINT('',(20.4039,22.5464,0.)); #556498=CARTESIAN_POINT('Origin',(20.431369,22.541278,0.)); #556499=CARTESIAN_POINT('',(20.431369,22.541278,0.)); #556500=CARTESIAN_POINT('',(20.431369,22.541278,0.)); #556501=CARTESIAN_POINT('',(20.431369,22.541278,0.035)); #556502=CARTESIAN_POINT('',(20.431369,22.541278,0.035)); #556503=CARTESIAN_POINT('',(20.431369,22.541278,0.)); #556504=CARTESIAN_POINT('Origin',(20.456916,22.524934,0.)); #556505=CARTESIAN_POINT('',(20.456916,22.524934,0.)); #556506=CARTESIAN_POINT('',(20.456916,22.524934,0.)); #556507=CARTESIAN_POINT('',(20.456916,22.524934,0.035)); #556508=CARTESIAN_POINT('',(20.456916,22.524934,0.035)); #556509=CARTESIAN_POINT('',(20.456916,22.524934,0.)); #556510=CARTESIAN_POINT('Origin',(20.474066,22.499922,0.)); #556511=CARTESIAN_POINT('',(20.474066,22.499922,0.)); #556512=CARTESIAN_POINT('',(20.474066,22.499922,0.)); #556513=CARTESIAN_POINT('',(20.474066,22.499922,0.035)); #556514=CARTESIAN_POINT('',(20.474066,22.499922,0.035)); #556515=CARTESIAN_POINT('',(20.474066,22.499922,0.)); #556516=CARTESIAN_POINT('Origin',(20.4801,22.4702,0.)); #556517=CARTESIAN_POINT('',(20.4801,22.4702,0.)); #556518=CARTESIAN_POINT('',(20.4801,22.4702,0.)); #556519=CARTESIAN_POINT('',(20.4801,22.4702,0.035)); #556520=CARTESIAN_POINT('',(20.4801,22.4702,0.035)); #556521=CARTESIAN_POINT('',(20.4801,22.4702,0.)); #556522=CARTESIAN_POINT('Origin',(20.4801,21.151872,0.)); #556523=CARTESIAN_POINT('',(20.4801,21.151872,0.)); #556524=CARTESIAN_POINT('',(20.4801,21.151872,0.)); #556525=CARTESIAN_POINT('',(20.4801,21.151872,0.035)); #556526=CARTESIAN_POINT('',(20.4801,21.151872,0.035)); #556527=CARTESIAN_POINT('',(20.4801,21.151872,0.)); #556528=CARTESIAN_POINT('Origin',(20.569372,21.0626,0.)); #556529=CARTESIAN_POINT('',(20.569372,21.0626,0.)); #556530=CARTESIAN_POINT('',(20.569372,21.0626,0.)); #556531=CARTESIAN_POINT('',(20.569372,21.0626,0.035)); #556532=CARTESIAN_POINT('',(20.569372,21.0626,0.035)); #556533=CARTESIAN_POINT('',(20.569372,21.0626,0.)); #556534=CARTESIAN_POINT('Origin',(21.330628,21.0626,0.)); #556535=CARTESIAN_POINT('',(21.330628,21.0626,0.)); #556536=CARTESIAN_POINT('',(21.330628,21.0626,0.)); #556537=CARTESIAN_POINT('',(21.330628,21.0626,0.035)); #556538=CARTESIAN_POINT('',(21.330628,21.0626,0.035)); #556539=CARTESIAN_POINT('',(21.330628,21.0626,0.)); #556540=CARTESIAN_POINT('Origin',(21.4199,21.151872,0.)); #556541=CARTESIAN_POINT('',(21.4199,21.151872,0.)); #556542=CARTESIAN_POINT('',(21.4199,21.151872,0.)); #556543=CARTESIAN_POINT('',(21.4199,21.151872,0.035)); #556544=CARTESIAN_POINT('',(21.4199,21.151872,0.035)); #556545=CARTESIAN_POINT('',(21.4199,21.151872,0.)); #556546=CARTESIAN_POINT('Origin',(21.4199,22.548128,0.)); #556547=CARTESIAN_POINT('',(21.4199,22.548128,0.)); #556548=CARTESIAN_POINT('',(21.4199,22.548128,0.)); #556549=CARTESIAN_POINT('',(21.4199,22.548128,0.035)); #556550=CARTESIAN_POINT('',(21.4199,22.548128,0.035)); #556551=CARTESIAN_POINT('',(21.4199,22.548128,0.)); #556552=CARTESIAN_POINT('Origin',(21.326709,22.641319,0.)); #556553=CARTESIAN_POINT('',(21.326709,22.641319,0.)); #556554=CARTESIAN_POINT('',(21.326709,22.641319,0.)); #556555=CARTESIAN_POINT('',(21.326709,22.641319,0.035)); #556556=CARTESIAN_POINT('',(21.326709,22.641319,0.035)); #556557=CARTESIAN_POINT('',(21.326709,22.641319,0.)); #556558=CARTESIAN_POINT('Origin',(21.311409,22.663256,0.)); #556559=CARTESIAN_POINT('',(21.311409,22.663256,0.)); #556560=CARTESIAN_POINT('',(21.311409,22.663256,0.)); #556561=CARTESIAN_POINT('',(21.311409,22.663256,0.035)); #556562=CARTESIAN_POINT('',(21.311409,22.663256,0.035)); #556563=CARTESIAN_POINT('',(21.311409,22.663256,0.)); #556564=CARTESIAN_POINT('Origin',(21.304428,22.692772,0.)); #556565=CARTESIAN_POINT('',(21.304428,22.692772,0.)); #556566=CARTESIAN_POINT('',(21.304428,22.692772,0.)); #556567=CARTESIAN_POINT('',(21.304428,22.692772,0.035)); #556568=CARTESIAN_POINT('',(21.304428,22.692772,0.035)); #556569=CARTESIAN_POINT('',(21.304428,22.692772,0.)); #556570=CARTESIAN_POINT('Origin',(21.309513,22.722669,0.)); #556571=CARTESIAN_POINT('',(21.309513,22.722669,0.)); #556572=CARTESIAN_POINT('',(21.309513,22.722669,0.)); #556573=CARTESIAN_POINT('',(21.309513,22.722669,0.035)); #556574=CARTESIAN_POINT('',(21.309513,22.722669,0.035)); #556575=CARTESIAN_POINT('',(21.309513,22.722669,0.)); #556576=CARTESIAN_POINT('Origin',(21.325856,22.748216,0.)); #556577=CARTESIAN_POINT('',(21.325856,22.748216,0.)); #556578=CARTESIAN_POINT('',(21.325856,22.748216,0.)); #556579=CARTESIAN_POINT('',(21.325856,22.748216,0.035)); #556580=CARTESIAN_POINT('',(21.325856,22.748216,0.035)); #556581=CARTESIAN_POINT('',(21.325856,22.748216,0.)); #556582=CARTESIAN_POINT('Origin',(21.350869,22.765366,0.)); #556583=CARTESIAN_POINT('',(21.350869,22.765366,0.)); #556584=CARTESIAN_POINT('',(21.350869,22.765366,0.)); #556585=CARTESIAN_POINT('',(21.350869,22.765366,0.035)); #556586=CARTESIAN_POINT('',(21.350869,22.765366,0.035)); #556587=CARTESIAN_POINT('',(21.350869,22.765366,0.)); #556588=CARTESIAN_POINT('Origin',(21.380591,22.7714,0.)); #556589=CARTESIAN_POINT('',(21.380591,22.7714,0.)); #556590=CARTESIAN_POINT('',(21.380591,22.7714,0.)); #556591=CARTESIAN_POINT('',(21.380591,22.7714,0.035)); #556592=CARTESIAN_POINT('',(21.380591,22.7714,0.035)); #556593=CARTESIAN_POINT('',(21.380591,22.7714,0.)); #556594=CARTESIAN_POINT('Origin',(25.5903,22.7714,0.)); #556595=CARTESIAN_POINT('',(25.5903,22.7714,0.)); #556596=CARTESIAN_POINT('',(25.5903,22.7714,0.)); #556597=CARTESIAN_POINT('',(25.5903,22.7714,0.035)); #556598=CARTESIAN_POINT('',(25.5903,22.7714,0.035)); #556599=CARTESIAN_POINT('',(25.5903,22.7714,0.)); #556600=CARTESIAN_POINT('Origin',(25.617769,22.766278,0.)); #556601=CARTESIAN_POINT('',(25.617769,22.766278,0.)); #556602=CARTESIAN_POINT('',(25.617769,22.766278,0.)); #556603=CARTESIAN_POINT('',(25.617769,22.766278,0.035)); #556604=CARTESIAN_POINT('',(25.617769,22.766278,0.035)); #556605=CARTESIAN_POINT('',(25.617769,22.766278,0.)); #556606=CARTESIAN_POINT('Origin',(25.643316,22.749934,0.)); #556607=CARTESIAN_POINT('',(25.643316,22.749934,0.)); #556608=CARTESIAN_POINT('',(25.643316,22.749934,0.)); #556609=CARTESIAN_POINT('',(25.643316,22.749934,0.035)); #556610=CARTESIAN_POINT('',(25.643316,22.749934,0.035)); #556611=CARTESIAN_POINT('',(25.643316,22.749934,0.)); #556612=CARTESIAN_POINT('Origin',(25.660466,22.724922,0.)); #556613=CARTESIAN_POINT('',(25.660466,22.724922,0.)); #556614=CARTESIAN_POINT('',(25.660466,22.724922,0.)); #556615=CARTESIAN_POINT('',(25.660466,22.724922,0.035)); #556616=CARTESIAN_POINT('',(25.660466,22.724922,0.035)); #556617=CARTESIAN_POINT('',(25.660466,22.724922,0.)); #556618=CARTESIAN_POINT('Origin',(25.6665,22.6952,0.)); #556619=CARTESIAN_POINT('',(25.6665,22.6952,0.)); #556620=CARTESIAN_POINT('',(25.6665,22.6952,0.)); #556621=CARTESIAN_POINT('',(25.6665,22.6952,0.035)); #556622=CARTESIAN_POINT('',(25.6665,22.6952,0.035)); #556623=CARTESIAN_POINT('',(25.6665,22.6952,0.)); #556624=CARTESIAN_POINT('Origin',(25.6665,22.492372,0.)); #556625=CARTESIAN_POINT('',(25.6665,22.492372,0.)); #556626=CARTESIAN_POINT('',(25.6665,22.492372,0.)); #556627=CARTESIAN_POINT('',(25.6665,22.492372,0.035)); #556628=CARTESIAN_POINT('',(25.6665,22.492372,0.035)); #556629=CARTESIAN_POINT('',(25.6665,22.492372,0.)); #556630=CARTESIAN_POINT('Origin',(25.755772,22.4031,0.)); #556631=CARTESIAN_POINT('',(25.755772,22.4031,0.)); #556632=CARTESIAN_POINT('',(25.755772,22.4031,0.)); #556633=CARTESIAN_POINT('',(25.755772,22.4031,0.035)); #556634=CARTESIAN_POINT('',(25.755772,22.4031,0.035)); #556635=CARTESIAN_POINT('',(25.755772,22.4031,0.)); #556636=CARTESIAN_POINT('Origin',(26.464059,22.4031,0.)); #556637=CARTESIAN_POINT('',(26.464059,22.4031,0.)); #556638=CARTESIAN_POINT('',(26.464059,22.4031,0.)); #556639=CARTESIAN_POINT('',(26.464059,22.4031,0.035)); #556640=CARTESIAN_POINT('',(26.464059,22.4031,0.035)); #556641=CARTESIAN_POINT('',(26.464059,22.4031,0.)); #556642=CARTESIAN_POINT('Origin',(26.493903,22.397013,0.)); #556643=CARTESIAN_POINT('',(26.493903,22.397013,0.)); #556644=CARTESIAN_POINT('',(26.493903,22.397013,0.)); #556645=CARTESIAN_POINT('',(26.493903,22.397013,0.035)); #556646=CARTESIAN_POINT('',(26.493903,22.397013,0.035)); #556647=CARTESIAN_POINT('',(26.493903,22.397013,0.)); #556648=CARTESIAN_POINT('Origin',(26.518888,22.379819,0.)); #556649=CARTESIAN_POINT('',(26.518888,22.379819,0.)); #556650=CARTESIAN_POINT('',(26.518888,22.379819,0.)); #556651=CARTESIAN_POINT('',(26.518888,22.379819,0.035)); #556652=CARTESIAN_POINT('',(26.518888,22.379819,0.035)); #556653=CARTESIAN_POINT('',(26.518888,22.379819,0.)); #556654=CARTESIAN_POINT('Origin',(26.711928,22.179819,0.)); #556655=CARTESIAN_POINT('',(26.711928,22.179819,0.)); #556656=CARTESIAN_POINT('',(26.711928,22.179819,0.)); #556657=CARTESIAN_POINT('',(26.711928,22.179819,0.035)); #556658=CARTESIAN_POINT('',(26.711928,22.179819,0.035)); #556659=CARTESIAN_POINT('',(26.711928,22.179819,0.)); #556660=CARTESIAN_POINT('Origin',(26.71805,22.170559,0.)); #556661=CARTESIAN_POINT('',(26.71805,22.170559,0.)); #556662=CARTESIAN_POINT('',(26.71805,22.170559,0.)); #556663=CARTESIAN_POINT('',(26.71805,22.170559,0.035)); #556664=CARTESIAN_POINT('',(26.71805,22.170559,0.035)); #556665=CARTESIAN_POINT('',(26.71805,22.170559,0.)); #556666=CARTESIAN_POINT('Origin',(26.798431,22.090178,0.)); #556667=CARTESIAN_POINT('',(26.798431,22.090178,0.)); #556668=CARTESIAN_POINT('',(26.798431,22.090178,0.)); #556669=CARTESIAN_POINT('',(26.798431,22.090178,0.035)); #556670=CARTESIAN_POINT('',(26.798431,22.090178,0.035)); #556671=CARTESIAN_POINT('',(26.798431,22.090178,0.)); #556672=CARTESIAN_POINT('Origin',(26.799378,22.089216,0.)); #556673=CARTESIAN_POINT('',(26.799378,22.089216,0.)); #556674=CARTESIAN_POINT('',(26.799378,22.089216,0.)); #556675=CARTESIAN_POINT('',(26.799378,22.089216,0.035)); #556676=CARTESIAN_POINT('',(26.799378,22.089216,0.035)); #556677=CARTESIAN_POINT('',(26.799378,22.089216,0.)); #556678=CARTESIAN_POINT('Origin',(26.864834,22.0214,0.)); #556679=CARTESIAN_POINT('',(26.864834,22.0214,0.)); #556680=CARTESIAN_POINT('',(26.864834,22.0214,0.)); #556681=CARTESIAN_POINT('',(26.864834,22.0214,0.035)); #556682=CARTESIAN_POINT('',(26.864834,22.0214,0.035)); #556683=CARTESIAN_POINT('',(26.864834,22.0214,0.)); #556684=CARTESIAN_POINT('Origin',(26.959238,22.0214,0.)); #556685=CARTESIAN_POINT('',(26.959238,22.0214,0.)); #556686=CARTESIAN_POINT('',(26.959238,22.0214,0.)); #556687=CARTESIAN_POINT('',(26.959238,22.0214,0.035)); #556688=CARTESIAN_POINT('',(26.959238,22.0214,0.035)); #556689=CARTESIAN_POINT('',(26.959238,22.0214,0.)); #556690=CARTESIAN_POINT('Origin',(26.960588,22.021387,0.)); #556691=CARTESIAN_POINT('',(26.960588,22.021387,0.)); #556692=CARTESIAN_POINT('',(26.960588,22.021387,0.)); #556693=CARTESIAN_POINT('',(26.960588,22.021387,0.035)); #556694=CARTESIAN_POINT('',(26.960588,22.021387,0.035)); #556695=CARTESIAN_POINT('',(26.960588,22.021387,0.)); #556696=CARTESIAN_POINT('Origin',(27.074959,22.019362,0.)); #556697=CARTESIAN_POINT('',(27.074959,22.019362,0.)); #556698=CARTESIAN_POINT('',(27.074959,22.019362,0.)); #556699=CARTESIAN_POINT('',(27.074959,22.019362,0.035)); #556700=CARTESIAN_POINT('',(27.074959,22.019362,0.035)); #556701=CARTESIAN_POINT('',(27.074959,22.019362,0.)); #556702=CARTESIAN_POINT('Origin',(27.085,22.0214,0.)); #556703=CARTESIAN_POINT('',(27.085,22.0214,0.)); #556704=CARTESIAN_POINT('',(27.085,22.0214,0.)); #556705=CARTESIAN_POINT('',(27.085,22.0214,0.035)); #556706=CARTESIAN_POINT('',(27.085,22.0214,0.035)); #556707=CARTESIAN_POINT('',(27.085,22.0214,0.)); #556708=CARTESIAN_POINT('Origin',(27.639147,22.0214,0.)); #556709=CARTESIAN_POINT('',(27.639147,22.0214,0.)); #556710=CARTESIAN_POINT('',(27.639147,22.0214,0.)); #556711=CARTESIAN_POINT('',(27.639147,22.0214,0.035)); #556712=CARTESIAN_POINT('',(27.639147,22.0214,0.035)); #556713=CARTESIAN_POINT('',(27.639147,22.0214,0.)); #556714=CARTESIAN_POINT('Origin',(27.665478,22.016706,0.)); #556715=CARTESIAN_POINT('',(27.665478,22.016706,0.)); #556716=CARTESIAN_POINT('',(27.665478,22.016706,0.)); #556717=CARTESIAN_POINT('',(27.665478,22.016706,0.035)); #556718=CARTESIAN_POINT('',(27.665478,22.016706,0.035)); #556719=CARTESIAN_POINT('',(27.665478,22.016706,0.)); #556720=CARTESIAN_POINT('Origin',(27.691284,22.000772,0.)); #556721=CARTESIAN_POINT('',(27.691284,22.000772,0.)); #556722=CARTESIAN_POINT('',(27.691284,22.000772,0.)); #556723=CARTESIAN_POINT('',(27.691284,22.000772,0.035)); #556724=CARTESIAN_POINT('',(27.691284,22.000772,0.035)); #556725=CARTESIAN_POINT('',(27.691284,22.000772,0.)); #556726=CARTESIAN_POINT('Origin',(27.708828,21.976034,0.)); #556727=CARTESIAN_POINT('',(27.708828,21.976034,0.)); #556728=CARTESIAN_POINT('',(27.708828,21.976034,0.)); #556729=CARTESIAN_POINT('',(27.708828,21.976034,0.035)); #556730=CARTESIAN_POINT('',(27.708828,21.976034,0.035)); #556731=CARTESIAN_POINT('',(27.708828,21.976034,0.)); #556732=CARTESIAN_POINT('Origin',(27.715338,21.946416,0.)); #556733=CARTESIAN_POINT('',(27.715338,21.946416,0.)); #556734=CARTESIAN_POINT('',(27.715338,21.946416,0.)); #556735=CARTESIAN_POINT('',(27.715338,21.946416,0.035)); #556736=CARTESIAN_POINT('',(27.715338,21.946416,0.035)); #556737=CARTESIAN_POINT('',(27.715338,21.946416,0.)); #556738=CARTESIAN_POINT('Origin',(27.709778,21.916603,0.)); #556739=CARTESIAN_POINT('',(27.709778,21.916603,0.)); #556740=CARTESIAN_POINT('',(27.709778,21.916603,0.)); #556741=CARTESIAN_POINT('',(27.709778,21.916603,0.035)); #556742=CARTESIAN_POINT('',(27.709778,21.916603,0.035)); #556743=CARTESIAN_POINT('',(27.709778,21.916603,0.)); #556744=CARTESIAN_POINT('Origin',(27.693028,21.891319,0.)); #556745=CARTESIAN_POINT('',(27.693028,21.891319,0.)); #556746=CARTESIAN_POINT('',(27.693028,21.891319,0.)); #556747=CARTESIAN_POINT('',(27.693028,21.891319,0.035)); #556748=CARTESIAN_POINT('',(27.693028,21.891319,0.035)); #556749=CARTESIAN_POINT('',(27.693028,21.891319,0.)); #556750=CARTESIAN_POINT('Origin',(26.7714,20.969691,0.)); #556751=CARTESIAN_POINT('',(26.7714,20.969691,0.)); #556752=CARTESIAN_POINT('',(26.7714,20.969691,0.)); #556753=CARTESIAN_POINT('',(26.7714,20.969691,0.035)); #556754=CARTESIAN_POINT('',(26.7714,20.969691,0.035)); #556755=CARTESIAN_POINT('',(26.7714,20.969691,0.)); #556756=CARTESIAN_POINT('Origin',(26.7714,19.6731,0.)); #556757=CARTESIAN_POINT('',(26.7714,19.6731,0.)); #556758=CARTESIAN_POINT('',(26.7714,19.6731,0.)); #556759=CARTESIAN_POINT('',(26.7714,19.6731,0.035)); #556760=CARTESIAN_POINT('',(26.7714,19.6731,0.035)); #556761=CARTESIAN_POINT('',(26.7714,19.6731,0.)); #556762=CARTESIAN_POINT('Origin',(26.766278,19.645631,0.)); #556763=CARTESIAN_POINT('',(26.766278,19.645631,0.)); #556764=CARTESIAN_POINT('',(26.766278,19.645631,0.)); #556765=CARTESIAN_POINT('',(26.766278,19.645631,0.035)); #556766=CARTESIAN_POINT('',(26.766278,19.645631,0.035)); #556767=CARTESIAN_POINT('',(26.766278,19.645631,0.)); #556768=CARTESIAN_POINT('Origin',(26.749934,19.620084,0.)); #556769=CARTESIAN_POINT('',(26.749934,19.620084,0.)); #556770=CARTESIAN_POINT('',(26.749934,19.620084,0.)); #556771=CARTESIAN_POINT('',(26.749934,19.620084,0.035)); #556772=CARTESIAN_POINT('',(26.749934,19.620084,0.035)); #556773=CARTESIAN_POINT('',(26.749934,19.620084,0.)); #556774=CARTESIAN_POINT('Origin',(26.724922,19.602934,0.)); #556775=CARTESIAN_POINT('',(26.724922,19.602934,0.)); #556776=CARTESIAN_POINT('',(26.724922,19.602934,0.)); #556777=CARTESIAN_POINT('',(26.724922,19.602934,0.035)); #556778=CARTESIAN_POINT('',(26.724922,19.602934,0.035)); #556779=CARTESIAN_POINT('',(26.724922,19.602934,0.)); #556780=CARTESIAN_POINT('Origin',(26.6952,19.5969,0.)); #556781=CARTESIAN_POINT('',(26.6952,19.5969,0.)); #556782=CARTESIAN_POINT('',(26.6952,19.5969,0.)); #556783=CARTESIAN_POINT('',(26.6952,19.5969,0.035)); #556784=CARTESIAN_POINT('',(26.6952,19.5969,0.035)); #556785=CARTESIAN_POINT('',(26.6952,19.5969,0.)); #556786=CARTESIAN_POINT('Origin',(25.755772,19.5969,0.)); #556787=CARTESIAN_POINT('',(25.755772,19.5969,0.)); #556788=CARTESIAN_POINT('',(25.755772,19.5969,0.)); #556789=CARTESIAN_POINT('',(25.755772,19.5969,0.035)); #556790=CARTESIAN_POINT('',(25.755772,19.5969,0.035)); #556791=CARTESIAN_POINT('',(25.755772,19.5969,0.)); #556792=CARTESIAN_POINT('Origin',(25.6665,19.507628,0.)); #556793=CARTESIAN_POINT('',(25.6665,19.507628,0.)); #556794=CARTESIAN_POINT('',(25.6665,19.507628,0.)); #556795=CARTESIAN_POINT('',(25.6665,19.507628,0.035)); #556796=CARTESIAN_POINT('',(25.6665,19.507628,0.035)); #556797=CARTESIAN_POINT('',(25.6665,19.507628,0.)); #556798=CARTESIAN_POINT('Origin',(25.6665,18.492372,0.)); #556799=CARTESIAN_POINT('',(25.6665,18.492372,0.)); #556800=CARTESIAN_POINT('',(25.6665,18.492372,0.)); #556801=CARTESIAN_POINT('',(25.6665,18.492372,0.035)); #556802=CARTESIAN_POINT('',(25.6665,18.492372,0.035)); #556803=CARTESIAN_POINT('',(25.6665,18.492372,0.)); #556804=CARTESIAN_POINT('Origin',(25.755772,18.4031,0.)); #556805=CARTESIAN_POINT('',(25.755772,18.4031,0.)); #556806=CARTESIAN_POINT('',(25.755772,18.4031,0.)); #556807=CARTESIAN_POINT('',(25.755772,18.4031,0.035)); #556808=CARTESIAN_POINT('',(25.755772,18.4031,0.035)); #556809=CARTESIAN_POINT('',(25.755772,18.4031,0.)); #556810=CARTESIAN_POINT('Origin',(25.9332,18.4031,0.)); #556811=CARTESIAN_POINT('',(25.9332,18.4031,0.)); #556812=CARTESIAN_POINT('',(25.9332,18.4031,0.)); #556813=CARTESIAN_POINT('',(25.9332,18.4031,0.035)); #556814=CARTESIAN_POINT('',(25.9332,18.4031,0.035)); #556815=CARTESIAN_POINT('',(25.9332,18.4031,0.)); #556816=CARTESIAN_POINT('Origin',(25.960669,18.397978,0.)); #556817=CARTESIAN_POINT('',(25.960669,18.397978,0.)); #556818=CARTESIAN_POINT('',(25.960669,18.397978,0.)); #556819=CARTESIAN_POINT('',(25.960669,18.397978,0.035)); #556820=CARTESIAN_POINT('',(25.960669,18.397978,0.035)); #556821=CARTESIAN_POINT('',(25.960669,18.397978,0.)); #556822=CARTESIAN_POINT('Origin',(25.986216,18.381634,0.)); #556823=CARTESIAN_POINT('',(25.986216,18.381634,0.)); #556824=CARTESIAN_POINT('',(25.986216,18.381634,0.)); #556825=CARTESIAN_POINT('',(25.986216,18.381634,0.035)); #556826=CARTESIAN_POINT('',(25.986216,18.381634,0.035)); #556827=CARTESIAN_POINT('',(25.986216,18.381634,0.)); #556828=CARTESIAN_POINT('Origin',(26.003366,18.356622,0.)); #556829=CARTESIAN_POINT('',(26.003366,18.356622,0.)); #556830=CARTESIAN_POINT('',(26.003366,18.356622,0.)); #556831=CARTESIAN_POINT('',(26.003366,18.356622,0.035)); #556832=CARTESIAN_POINT('',(26.003366,18.356622,0.035)); #556833=CARTESIAN_POINT('',(26.003366,18.356622,0.)); #556834=CARTESIAN_POINT('Origin',(26.0094,18.3269,0.)); #556835=CARTESIAN_POINT('',(26.0094,18.3269,0.)); #556836=CARTESIAN_POINT('',(26.0094,18.3269,0.)); #556837=CARTESIAN_POINT('',(26.0094,18.3269,0.035)); #556838=CARTESIAN_POINT('',(26.0094,18.3269,0.035)); #556839=CARTESIAN_POINT('',(26.0094,18.3269,0.)); #556840=CARTESIAN_POINT('Origin',(26.0094,15.856647,0.)); #556841=CARTESIAN_POINT('',(26.0094,15.856647,0.)); #556842=CARTESIAN_POINT('',(26.0094,15.856647,0.)); #556843=CARTESIAN_POINT('',(26.0094,15.856647,0.035)); #556844=CARTESIAN_POINT('',(26.0094,15.856647,0.035)); #556845=CARTESIAN_POINT('',(26.0094,15.856647,0.)); #556846=CARTESIAN_POINT('Origin',(29.844647,12.0214,0.)); #556847=CARTESIAN_POINT('',(29.844647,12.0214,0.)); #556848=CARTESIAN_POINT('',(29.844647,12.0214,0.)); #556849=CARTESIAN_POINT('',(29.844647,12.0214,0.035)); #556850=CARTESIAN_POINT('',(29.844647,12.0214,0.035)); #556851=CARTESIAN_POINT('',(29.844647,12.0214,0.)); #556852=CARTESIAN_POINT('Origin',(33.655103,12.0214,0.)); #556853=CARTESIAN_POINT('',(33.655103,12.0214,0.)); #556854=CARTESIAN_POINT('',(33.655103,12.0214,0.)); #556855=CARTESIAN_POINT('',(33.655103,12.0214,0.035)); #556856=CARTESIAN_POINT('',(33.655103,12.0214,0.035)); #556857=CARTESIAN_POINT('',(33.655103,12.0214,0.)); #556858=CARTESIAN_POINT('Origin',(33.6837,12.015831,0.)); #556859=CARTESIAN_POINT('',(33.6837,12.015831,0.)); #556860=CARTESIAN_POINT('',(33.6837,12.015831,0.)); #556861=CARTESIAN_POINT('',(33.6837,12.015831,0.035)); #556862=CARTESIAN_POINT('',(33.6837,12.015831,0.035)); #556863=CARTESIAN_POINT('',(33.6837,12.015831,0.)); #556864=CARTESIAN_POINT('Origin',(33.708984,11.999081,0.)); #556865=CARTESIAN_POINT('',(33.708984,11.999081,0.)); #556866=CARTESIAN_POINT('',(33.708984,11.999081,0.)); #556867=CARTESIAN_POINT('',(33.708984,11.999081,0.035)); #556868=CARTESIAN_POINT('',(33.708984,11.999081,0.035)); #556869=CARTESIAN_POINT('',(33.708984,11.999081,0.)); #556870=CARTESIAN_POINT('Origin',(33.885466,11.8226,0.)); #556871=CARTESIAN_POINT('',(33.885466,11.8226,0.)); #556872=CARTESIAN_POINT('',(33.885466,11.8226,0.035)); #556873=CARTESIAN_POINT('Origin',(18.995953,40.6484,0.)); #556874=CARTESIAN_POINT('',(18.995953,40.6484,0.)); #556875=CARTESIAN_POINT('',(14.752847,40.6484,0.)); #556876=CARTESIAN_POINT('',(18.995953,40.6484,0.)); #556877=CARTESIAN_POINT('',(14.752847,40.6484,0.035)); #556878=CARTESIAN_POINT('',(14.752847,40.6484,0.)); #556879=CARTESIAN_POINT('',(18.995953,40.6484,0.035)); #556880=CARTESIAN_POINT('',(18.995953,40.6484,0.035)); #556881=CARTESIAN_POINT('',(18.995953,40.6484,0.)); #556882=CARTESIAN_POINT('Origin',(19.021309,40.6511,0.)); #556883=CARTESIAN_POINT('',(19.021309,40.6511,0.)); #556884=CARTESIAN_POINT('',(19.021309,40.6511,0.)); #556885=CARTESIAN_POINT('',(19.021309,40.6511,0.035)); #556886=CARTESIAN_POINT('',(19.021309,40.6511,0.035)); #556887=CARTESIAN_POINT('',(19.021309,40.6511,0.)); #556888=CARTESIAN_POINT('Origin',(19.041663,40.657806,0.)); #556889=CARTESIAN_POINT('',(19.041663,40.657806,0.)); #556890=CARTESIAN_POINT('',(19.041663,40.657806,0.)); #556891=CARTESIAN_POINT('',(19.041663,40.657806,0.035)); #556892=CARTESIAN_POINT('',(19.041663,40.657806,0.035)); #556893=CARTESIAN_POINT('',(19.041663,40.657806,0.)); #556894=CARTESIAN_POINT('Origin',(19.061197,40.669269,0.)); #556895=CARTESIAN_POINT('',(19.061197,40.669269,0.)); #556896=CARTESIAN_POINT('',(19.061197,40.669269,0.)); #556897=CARTESIAN_POINT('',(19.061197,40.669269,0.035)); #556898=CARTESIAN_POINT('',(19.061197,40.669269,0.035)); #556899=CARTESIAN_POINT('',(19.061197,40.669269,0.)); #556900=CARTESIAN_POINT('Origin',(19.073866,40.680178,0.)); #556901=CARTESIAN_POINT('',(19.073866,40.680178,0.)); #556902=CARTESIAN_POINT('',(19.073866,40.680178,0.)); #556903=CARTESIAN_POINT('',(19.073866,40.680178,0.035)); #556904=CARTESIAN_POINT('',(19.073866,40.680178,0.035)); #556905=CARTESIAN_POINT('',(19.073866,40.680178,0.)); #556906=CARTESIAN_POINT('Origin',(20.769769,42.376081,0.)); #556907=CARTESIAN_POINT('',(20.769769,42.376081,0.)); #556908=CARTESIAN_POINT('',(20.769769,42.376081,0.)); #556909=CARTESIAN_POINT('',(20.769769,42.376081,0.035)); #556910=CARTESIAN_POINT('',(20.769769,42.376081,0.035)); #556911=CARTESIAN_POINT('',(20.769769,42.376081,0.)); #556912=CARTESIAN_POINT('Origin',(20.793928,42.392366,0.)); #556913=CARTESIAN_POINT('',(20.793928,42.392366,0.)); #556914=CARTESIAN_POINT('',(20.793928,42.392366,0.)); #556915=CARTESIAN_POINT('',(20.793928,42.392366,0.035)); #556916=CARTESIAN_POINT('',(20.793928,42.392366,0.035)); #556917=CARTESIAN_POINT('',(20.793928,42.392366,0.)); #556918=CARTESIAN_POINT('Origin',(20.82365,42.3984,0.)); #556919=CARTESIAN_POINT('',(20.82365,42.3984,0.)); #556920=CARTESIAN_POINT('',(20.82365,42.3984,0.)); #556921=CARTESIAN_POINT('',(20.82365,42.3984,0.035)); #556922=CARTESIAN_POINT('',(20.82365,42.3984,0.035)); #556923=CARTESIAN_POINT('',(20.82365,42.3984,0.)); #556924=CARTESIAN_POINT('Origin',(24.245953,42.3984,0.)); #556925=CARTESIAN_POINT('',(24.245953,42.3984,0.)); #556926=CARTESIAN_POINT('',(24.245953,42.3984,0.)); #556927=CARTESIAN_POINT('',(24.245953,42.3984,0.035)); #556928=CARTESIAN_POINT('',(24.245953,42.3984,0.035)); #556929=CARTESIAN_POINT('',(24.245953,42.3984,0.)); #556930=CARTESIAN_POINT('Origin',(24.271309,42.4011,0.)); #556931=CARTESIAN_POINT('',(24.271309,42.4011,0.)); #556932=CARTESIAN_POINT('',(24.271309,42.4011,0.)); #556933=CARTESIAN_POINT('',(24.271309,42.4011,0.035)); #556934=CARTESIAN_POINT('',(24.271309,42.4011,0.035)); #556935=CARTESIAN_POINT('',(24.271309,42.4011,0.)); #556936=CARTESIAN_POINT('Origin',(24.291663,42.407806,0.)); #556937=CARTESIAN_POINT('',(24.291663,42.407806,0.)); #556938=CARTESIAN_POINT('',(24.291663,42.407806,0.)); #556939=CARTESIAN_POINT('',(24.291663,42.407806,0.035)); #556940=CARTESIAN_POINT('',(24.291663,42.407806,0.035)); #556941=CARTESIAN_POINT('',(24.291663,42.407806,0.)); #556942=CARTESIAN_POINT('Origin',(24.311197,42.419269,0.)); #556943=CARTESIAN_POINT('',(24.311197,42.419269,0.)); #556944=CARTESIAN_POINT('',(24.311197,42.419269,0.)); #556945=CARTESIAN_POINT('',(24.311197,42.419269,0.035)); #556946=CARTESIAN_POINT('',(24.311197,42.419269,0.035)); #556947=CARTESIAN_POINT('',(24.311197,42.419269,0.)); #556948=CARTESIAN_POINT('Origin',(24.323866,42.430178,0.)); #556949=CARTESIAN_POINT('',(24.323866,42.430178,0.)); #556950=CARTESIAN_POINT('',(24.323866,42.430178,0.)); #556951=CARTESIAN_POINT('',(24.323866,42.430178,0.035)); #556952=CARTESIAN_POINT('',(24.323866,42.430178,0.035)); #556953=CARTESIAN_POINT('',(24.323866,42.430178,0.)); #556954=CARTESIAN_POINT('Origin',(25.068978,43.175291,0.)); #556955=CARTESIAN_POINT('',(25.068978,43.175291,0.)); #556956=CARTESIAN_POINT('',(25.068978,43.175291,0.)); #556957=CARTESIAN_POINT('',(25.068978,43.175291,0.035)); #556958=CARTESIAN_POINT('',(25.068978,43.175291,0.035)); #556959=CARTESIAN_POINT('',(25.068978,43.175291,0.)); #556960=CARTESIAN_POINT('Origin',(25.084997,43.195131,0.)); #556961=CARTESIAN_POINT('',(25.084997,43.195131,0.)); #556962=CARTESIAN_POINT('',(25.084997,43.195131,0.)); #556963=CARTESIAN_POINT('',(25.084997,43.195131,0.035)); #556964=CARTESIAN_POINT('',(25.084997,43.195131,0.035)); #556965=CARTESIAN_POINT('',(25.084997,43.195131,0.)); #556966=CARTESIAN_POINT('Origin',(25.094647,43.214272,0.)); #556967=CARTESIAN_POINT('',(25.094647,43.214272,0.)); #556968=CARTESIAN_POINT('',(25.094647,43.214272,0.)); #556969=CARTESIAN_POINT('',(25.094647,43.214272,0.035)); #556970=CARTESIAN_POINT('',(25.094647,43.214272,0.035)); #556971=CARTESIAN_POINT('',(25.094647,43.214272,0.)); #556972=CARTESIAN_POINT('Origin',(25.100359,43.236184,0.)); #556973=CARTESIAN_POINT('',(25.100359,43.236184,0.)); #556974=CARTESIAN_POINT('',(25.100359,43.236184,0.)); #556975=CARTESIAN_POINT('',(25.100359,43.236184,0.035)); #556976=CARTESIAN_POINT('',(25.100359,43.236184,0.035)); #556977=CARTESIAN_POINT('',(25.100359,43.236184,0.)); #556978=CARTESIAN_POINT('Origin',(25.1016,43.252847,0.)); #556979=CARTESIAN_POINT('',(25.1016,43.252847,0.)); #556980=CARTESIAN_POINT('',(25.1016,43.252847,0.)); #556981=CARTESIAN_POINT('',(25.1016,43.252847,0.035)); #556982=CARTESIAN_POINT('',(25.1016,43.252847,0.035)); #556983=CARTESIAN_POINT('',(25.1016,43.252847,0.)); #556984=CARTESIAN_POINT('Origin',(25.1016,49.80135,0.)); #556985=CARTESIAN_POINT('',(25.1016,49.80135,0.)); #556986=CARTESIAN_POINT('',(25.1016,49.80135,0.)); #556987=CARTESIAN_POINT('',(25.1016,49.80135,0.035)); #556988=CARTESIAN_POINT('',(25.1016,49.80135,0.035)); #556989=CARTESIAN_POINT('',(25.1016,49.80135,0.)); #556990=CARTESIAN_POINT('Origin',(25.107169,49.829947,0.)); #556991=CARTESIAN_POINT('',(25.107169,49.829947,0.)); #556992=CARTESIAN_POINT('',(25.107169,49.829947,0.)); #556993=CARTESIAN_POINT('',(25.107169,49.829947,0.035)); #556994=CARTESIAN_POINT('',(25.107169,49.829947,0.035)); #556995=CARTESIAN_POINT('',(25.107169,49.829947,0.)); #556996=CARTESIAN_POINT('Origin',(25.123919,49.855231,0.)); #556997=CARTESIAN_POINT('',(25.123919,49.855231,0.)); #556998=CARTESIAN_POINT('',(25.123919,49.855231,0.)); #556999=CARTESIAN_POINT('',(25.123919,49.855231,0.035)); #557000=CARTESIAN_POINT('',(25.123919,49.855231,0.035)); #557001=CARTESIAN_POINT('',(25.123919,49.855231,0.)); #557002=CARTESIAN_POINT('Origin',(26.394769,51.126081,0.)); #557003=CARTESIAN_POINT('',(26.394769,51.126081,0.)); #557004=CARTESIAN_POINT('',(26.394769,51.126081,0.)); #557005=CARTESIAN_POINT('',(26.394769,51.126081,0.035)); #557006=CARTESIAN_POINT('',(26.394769,51.126081,0.035)); #557007=CARTESIAN_POINT('',(26.394769,51.126081,0.)); #557008=CARTESIAN_POINT('Origin',(26.418928,51.142366,0.)); #557009=CARTESIAN_POINT('',(26.418928,51.142366,0.)); #557010=CARTESIAN_POINT('',(26.418928,51.142366,0.)); #557011=CARTESIAN_POINT('',(26.418928,51.142366,0.035)); #557012=CARTESIAN_POINT('',(26.418928,51.142366,0.035)); #557013=CARTESIAN_POINT('',(26.418928,51.142366,0.)); #557014=CARTESIAN_POINT('Origin',(26.44865,51.1484,0.)); #557015=CARTESIAN_POINT('',(26.44865,51.1484,0.)); #557016=CARTESIAN_POINT('',(26.44865,51.1484,0.)); #557017=CARTESIAN_POINT('',(26.44865,51.1484,0.035)); #557018=CARTESIAN_POINT('',(26.44865,51.1484,0.035)); #557019=CARTESIAN_POINT('',(26.44865,51.1484,0.)); #557020=CARTESIAN_POINT('Origin',(30.245953,51.1484,0.)); #557021=CARTESIAN_POINT('',(30.245953,51.1484,0.)); #557022=CARTESIAN_POINT('',(30.245953,51.1484,0.)); #557023=CARTESIAN_POINT('',(30.245953,51.1484,0.035)); #557024=CARTESIAN_POINT('',(30.245953,51.1484,0.035)); #557025=CARTESIAN_POINT('',(30.245953,51.1484,0.)); #557026=CARTESIAN_POINT('Origin',(30.271309,51.1511,0.)); #557027=CARTESIAN_POINT('',(30.271309,51.1511,0.)); #557028=CARTESIAN_POINT('',(30.271309,51.1511,0.)); #557029=CARTESIAN_POINT('',(30.271309,51.1511,0.035)); #557030=CARTESIAN_POINT('',(30.271309,51.1511,0.035)); #557031=CARTESIAN_POINT('',(30.271309,51.1511,0.)); #557032=CARTESIAN_POINT('Origin',(30.291663,51.157806,0.)); #557033=CARTESIAN_POINT('',(30.291663,51.157806,0.)); #557034=CARTESIAN_POINT('',(30.291663,51.157806,0.)); #557035=CARTESIAN_POINT('',(30.291663,51.157806,0.035)); #557036=CARTESIAN_POINT('',(30.291663,51.157806,0.035)); #557037=CARTESIAN_POINT('',(30.291663,51.157806,0.)); #557038=CARTESIAN_POINT('Origin',(30.311197,51.169269,0.)); #557039=CARTESIAN_POINT('',(30.311197,51.169269,0.)); #557040=CARTESIAN_POINT('',(30.311197,51.169269,0.)); #557041=CARTESIAN_POINT('',(30.311197,51.169269,0.035)); #557042=CARTESIAN_POINT('',(30.311197,51.169269,0.035)); #557043=CARTESIAN_POINT('',(30.311197,51.169269,0.)); #557044=CARTESIAN_POINT('Origin',(30.323866,51.180178,0.)); #557045=CARTESIAN_POINT('',(30.323866,51.180178,0.)); #557046=CARTESIAN_POINT('',(30.323866,51.180178,0.)); #557047=CARTESIAN_POINT('',(30.323866,51.180178,0.035)); #557048=CARTESIAN_POINT('',(30.323866,51.180178,0.035)); #557049=CARTESIAN_POINT('',(30.323866,51.180178,0.)); #557050=CARTESIAN_POINT('Origin',(31.068978,51.925291,0.)); #557051=CARTESIAN_POINT('',(31.068978,51.925291,0.)); #557052=CARTESIAN_POINT('',(31.068978,51.925291,0.)); #557053=CARTESIAN_POINT('',(31.068978,51.925291,0.035)); #557054=CARTESIAN_POINT('',(31.068978,51.925291,0.035)); #557055=CARTESIAN_POINT('',(31.068978,51.925291,0.)); #557056=CARTESIAN_POINT('Origin',(31.084997,51.945131,0.)); #557057=CARTESIAN_POINT('',(31.084997,51.945131,0.)); #557058=CARTESIAN_POINT('',(31.084997,51.945131,0.)); #557059=CARTESIAN_POINT('',(31.084997,51.945131,0.035)); #557060=CARTESIAN_POINT('',(31.084997,51.945131,0.035)); #557061=CARTESIAN_POINT('',(31.084997,51.945131,0.)); #557062=CARTESIAN_POINT('Origin',(31.094647,51.964272,0.)); #557063=CARTESIAN_POINT('',(31.094647,51.964272,0.)); #557064=CARTESIAN_POINT('',(31.094647,51.964272,0.)); #557065=CARTESIAN_POINT('',(31.094647,51.964272,0.035)); #557066=CARTESIAN_POINT('',(31.094647,51.964272,0.035)); #557067=CARTESIAN_POINT('',(31.094647,51.964272,0.)); #557068=CARTESIAN_POINT('Origin',(31.100359,51.986184,0.)); #557069=CARTESIAN_POINT('',(31.100359,51.986184,0.)); #557070=CARTESIAN_POINT('',(31.100359,51.986184,0.)); #557071=CARTESIAN_POINT('',(31.100359,51.986184,0.035)); #557072=CARTESIAN_POINT('',(31.100359,51.986184,0.035)); #557073=CARTESIAN_POINT('',(31.100359,51.986184,0.)); #557074=CARTESIAN_POINT('Origin',(31.1016,52.002847,0.)); #557075=CARTESIAN_POINT('',(31.1016,52.002847,0.)); #557076=CARTESIAN_POINT('',(31.1016,52.002847,0.)); #557077=CARTESIAN_POINT('',(31.1016,52.002847,0.035)); #557078=CARTESIAN_POINT('',(31.1016,52.002847,0.035)); #557079=CARTESIAN_POINT('',(31.1016,52.002847,0.)); #557080=CARTESIAN_POINT('Origin',(31.1016,57.995953,0.)); #557081=CARTESIAN_POINT('',(31.1016,57.995953,0.)); #557082=CARTESIAN_POINT('',(31.1016,57.995953,0.)); #557083=CARTESIAN_POINT('',(31.1016,57.995953,0.035)); #557084=CARTESIAN_POINT('',(31.1016,57.995953,0.035)); #557085=CARTESIAN_POINT('',(31.1016,57.995953,0.)); #557086=CARTESIAN_POINT('Origin',(31.0989,58.021309,0.)); #557087=CARTESIAN_POINT('',(31.0989,58.021309,0.)); #557088=CARTESIAN_POINT('',(31.0989,58.021309,0.)); #557089=CARTESIAN_POINT('',(31.0989,58.021309,0.035)); #557090=CARTESIAN_POINT('',(31.0989,58.021309,0.035)); #557091=CARTESIAN_POINT('',(31.0989,58.021309,0.)); #557092=CARTESIAN_POINT('Origin',(31.092194,58.041663,0.)); #557093=CARTESIAN_POINT('',(31.092194,58.041663,0.)); #557094=CARTESIAN_POINT('',(31.092194,58.041663,0.)); #557095=CARTESIAN_POINT('',(31.092194,58.041663,0.035)); #557096=CARTESIAN_POINT('',(31.092194,58.041663,0.035)); #557097=CARTESIAN_POINT('',(31.092194,58.041663,0.)); #557098=CARTESIAN_POINT('Origin',(31.080731,58.061197,0.)); #557099=CARTESIAN_POINT('',(31.080731,58.061197,0.)); #557100=CARTESIAN_POINT('',(31.080731,58.061197,0.)); #557101=CARTESIAN_POINT('',(31.080731,58.061197,0.035)); #557102=CARTESIAN_POINT('',(31.080731,58.061197,0.035)); #557103=CARTESIAN_POINT('',(31.080731,58.061197,0.)); #557104=CARTESIAN_POINT('Origin',(31.069822,58.073866,0.)); #557105=CARTESIAN_POINT('',(31.069822,58.073866,0.)); #557106=CARTESIAN_POINT('',(31.069822,58.073866,0.)); #557107=CARTESIAN_POINT('',(31.069822,58.073866,0.035)); #557108=CARTESIAN_POINT('',(31.069822,58.073866,0.035)); #557109=CARTESIAN_POINT('',(31.069822,58.073866,0.)); #557110=CARTESIAN_POINT('Origin',(30.324709,58.818978,0.)); #557111=CARTESIAN_POINT('',(30.324709,58.818978,0.)); #557112=CARTESIAN_POINT('',(30.324709,58.818978,0.)); #557113=CARTESIAN_POINT('',(30.324709,58.818978,0.035)); #557114=CARTESIAN_POINT('',(30.324709,58.818978,0.035)); #557115=CARTESIAN_POINT('',(30.324709,58.818978,0.)); #557116=CARTESIAN_POINT('Origin',(30.304869,58.834997,0.)); #557117=CARTESIAN_POINT('',(30.304869,58.834997,0.)); #557118=CARTESIAN_POINT('',(30.304869,58.834997,0.)); #557119=CARTESIAN_POINT('',(30.304869,58.834997,0.035)); #557120=CARTESIAN_POINT('',(30.304869,58.834997,0.035)); #557121=CARTESIAN_POINT('',(30.304869,58.834997,0.)); #557122=CARTESIAN_POINT('Origin',(30.285728,58.844647,0.)); #557123=CARTESIAN_POINT('',(30.285728,58.844647,0.)); #557124=CARTESIAN_POINT('',(30.285728,58.844647,0.)); #557125=CARTESIAN_POINT('',(30.285728,58.844647,0.035)); #557126=CARTESIAN_POINT('',(30.285728,58.844647,0.035)); #557127=CARTESIAN_POINT('',(30.285728,58.844647,0.)); #557128=CARTESIAN_POINT('Origin',(30.263816,58.850359,0.)); #557129=CARTESIAN_POINT('',(30.263816,58.850359,0.)); #557130=CARTESIAN_POINT('',(30.263816,58.850359,0.)); #557131=CARTESIAN_POINT('',(30.263816,58.850359,0.035)); #557132=CARTESIAN_POINT('',(30.263816,58.850359,0.035)); #557133=CARTESIAN_POINT('',(30.263816,58.850359,0.)); #557134=CARTESIAN_POINT('Origin',(30.247153,58.8516,0.)); #557135=CARTESIAN_POINT('',(30.247153,58.8516,0.)); #557136=CARTESIAN_POINT('',(30.247153,58.8516,0.)); #557137=CARTESIAN_POINT('',(30.247153,58.8516,0.035)); #557138=CARTESIAN_POINT('',(30.247153,58.8516,0.035)); #557139=CARTESIAN_POINT('',(30.247153,58.8516,0.)); #557140=CARTESIAN_POINT('Origin',(25.254047,58.8516,0.)); #557141=CARTESIAN_POINT('',(25.254047,58.8516,0.)); #557142=CARTESIAN_POINT('',(25.254047,58.8516,0.)); #557143=CARTESIAN_POINT('',(25.254047,58.8516,0.035)); #557144=CARTESIAN_POINT('',(25.254047,58.8516,0.035)); #557145=CARTESIAN_POINT('',(25.254047,58.8516,0.)); #557146=CARTESIAN_POINT('Origin',(25.228691,58.8489,0.)); #557147=CARTESIAN_POINT('',(25.228691,58.8489,0.)); #557148=CARTESIAN_POINT('',(25.228691,58.8489,0.)); #557149=CARTESIAN_POINT('',(25.228691,58.8489,0.035)); #557150=CARTESIAN_POINT('',(25.228691,58.8489,0.035)); #557151=CARTESIAN_POINT('',(25.228691,58.8489,0.)); #557152=CARTESIAN_POINT('Origin',(25.208338,58.842194,0.)); #557153=CARTESIAN_POINT('',(25.208338,58.842194,0.)); #557154=CARTESIAN_POINT('',(25.208338,58.842194,0.)); #557155=CARTESIAN_POINT('',(25.208338,58.842194,0.035)); #557156=CARTESIAN_POINT('',(25.208338,58.842194,0.035)); #557157=CARTESIAN_POINT('',(25.208338,58.842194,0.)); #557158=CARTESIAN_POINT('Origin',(25.188803,58.830731,0.)); #557159=CARTESIAN_POINT('',(25.188803,58.830731,0.)); #557160=CARTESIAN_POINT('',(25.188803,58.830731,0.)); #557161=CARTESIAN_POINT('',(25.188803,58.830731,0.035)); #557162=CARTESIAN_POINT('',(25.188803,58.830731,0.035)); #557163=CARTESIAN_POINT('',(25.188803,58.830731,0.)); #557164=CARTESIAN_POINT('Origin',(25.176134,58.819822,0.)); #557165=CARTESIAN_POINT('',(25.176134,58.819822,0.)); #557166=CARTESIAN_POINT('',(25.176134,58.819822,0.)); #557167=CARTESIAN_POINT('',(25.176134,58.819822,0.035)); #557168=CARTESIAN_POINT('',(25.176134,58.819822,0.035)); #557169=CARTESIAN_POINT('',(25.176134,58.819822,0.)); #557170=CARTESIAN_POINT('Origin',(24.487481,58.131169,0.)); #557171=CARTESIAN_POINT('',(24.487481,58.131169,0.)); #557172=CARTESIAN_POINT('',(24.487481,58.131169,0.)); #557173=CARTESIAN_POINT('',(24.487481,58.131169,0.035)); #557174=CARTESIAN_POINT('',(24.487481,58.131169,0.035)); #557175=CARTESIAN_POINT('',(24.487481,58.131169,0.)); #557176=CARTESIAN_POINT('Origin',(24.465544,58.115869,0.)); #557177=CARTESIAN_POINT('',(24.465544,58.115869,0.)); #557178=CARTESIAN_POINT('',(24.465544,58.115869,0.)); #557179=CARTESIAN_POINT('',(24.465544,58.115869,0.035)); #557180=CARTESIAN_POINT('',(24.465544,58.115869,0.035)); #557181=CARTESIAN_POINT('',(24.465544,58.115869,0.)); #557182=CARTESIAN_POINT('Origin',(24.436028,58.108888,0.)); #557183=CARTESIAN_POINT('',(24.436028,58.108888,0.)); #557184=CARTESIAN_POINT('',(24.436028,58.108888,0.)); #557185=CARTESIAN_POINT('',(24.436028,58.108888,0.035)); #557186=CARTESIAN_POINT('',(24.436028,58.108888,0.035)); #557187=CARTESIAN_POINT('',(24.436028,58.108888,0.)); #557188=CARTESIAN_POINT('Origin',(24.406131,58.113972,0.)); #557189=CARTESIAN_POINT('',(24.406131,58.113972,0.)); #557190=CARTESIAN_POINT('',(24.406131,58.113972,0.)); #557191=CARTESIAN_POINT('',(24.406131,58.113972,0.035)); #557192=CARTESIAN_POINT('',(24.406131,58.113972,0.035)); #557193=CARTESIAN_POINT('',(24.406131,58.113972,0.)); #557194=CARTESIAN_POINT('Origin',(24.380584,58.130316,0.)); #557195=CARTESIAN_POINT('',(24.380584,58.130316,0.)); #557196=CARTESIAN_POINT('',(24.380584,58.130316,0.)); #557197=CARTESIAN_POINT('',(24.380584,58.130316,0.035)); #557198=CARTESIAN_POINT('',(24.380584,58.130316,0.035)); #557199=CARTESIAN_POINT('',(24.380584,58.130316,0.)); #557200=CARTESIAN_POINT('Origin',(24.363434,58.155328,0.)); #557201=CARTESIAN_POINT('',(24.363434,58.155328,0.)); #557202=CARTESIAN_POINT('',(24.363434,58.155328,0.)); #557203=CARTESIAN_POINT('',(24.363434,58.155328,0.035)); #557204=CARTESIAN_POINT('',(24.363434,58.155328,0.035)); #557205=CARTESIAN_POINT('',(24.363434,58.155328,0.)); #557206=CARTESIAN_POINT('Origin',(24.3574,58.18505,0.)); #557207=CARTESIAN_POINT('',(24.3574,58.18505,0.)); #557208=CARTESIAN_POINT('',(24.3574,58.18505,0.)); #557209=CARTESIAN_POINT('',(24.3574,58.18505,0.035)); #557210=CARTESIAN_POINT('',(24.3574,58.18505,0.035)); #557211=CARTESIAN_POINT('',(24.3574,58.18505,0.)); #557212=CARTESIAN_POINT('Origin',(24.3574,59.413128,0.)); #557213=CARTESIAN_POINT('',(24.3574,59.413128,0.)); #557214=CARTESIAN_POINT('',(24.3574,59.413128,0.)); #557215=CARTESIAN_POINT('',(24.3574,59.413128,0.035)); #557216=CARTESIAN_POINT('',(24.3574,59.413128,0.035)); #557217=CARTESIAN_POINT('',(24.3574,59.413128,0.)); #557218=CARTESIAN_POINT('Origin',(24.268128,59.5024,0.)); #557219=CARTESIAN_POINT('',(24.268128,59.5024,0.)); #557220=CARTESIAN_POINT('',(24.268128,59.5024,0.)); #557221=CARTESIAN_POINT('',(24.268128,59.5024,0.035)); #557222=CARTESIAN_POINT('',(24.268128,59.5024,0.035)); #557223=CARTESIAN_POINT('',(24.268128,59.5024,0.)); #557224=CARTESIAN_POINT('Origin',(23.541872,59.5024,0.)); #557225=CARTESIAN_POINT('',(23.541872,59.5024,0.)); #557226=CARTESIAN_POINT('',(23.541872,59.5024,0.)); #557227=CARTESIAN_POINT('',(23.541872,59.5024,0.035)); #557228=CARTESIAN_POINT('',(23.541872,59.5024,0.035)); #557229=CARTESIAN_POINT('',(23.541872,59.5024,0.)); #557230=CARTESIAN_POINT('Origin',(23.4526,59.413128,0.)); #557231=CARTESIAN_POINT('',(23.4526,59.413128,0.)); #557232=CARTESIAN_POINT('',(23.4526,59.413128,0.)); #557233=CARTESIAN_POINT('',(23.4526,59.413128,0.035)); #557234=CARTESIAN_POINT('',(23.4526,59.413128,0.035)); #557235=CARTESIAN_POINT('',(23.4526,59.413128,0.)); #557236=CARTESIAN_POINT('Origin',(23.4526,58.1778,0.)); #557237=CARTESIAN_POINT('',(23.4526,58.1778,0.)); #557238=CARTESIAN_POINT('',(23.4526,58.1778,0.)); #557239=CARTESIAN_POINT('',(23.4526,58.1778,0.035)); #557240=CARTESIAN_POINT('',(23.4526,58.1778,0.035)); #557241=CARTESIAN_POINT('',(23.4526,58.1778,0.)); #557242=CARTESIAN_POINT('Origin',(23.447478,58.150331,0.)); #557243=CARTESIAN_POINT('',(23.447478,58.150331,0.)); #557244=CARTESIAN_POINT('',(23.447478,58.150331,0.)); #557245=CARTESIAN_POINT('',(23.447478,58.150331,0.035)); #557246=CARTESIAN_POINT('',(23.447478,58.150331,0.035)); #557247=CARTESIAN_POINT('',(23.447478,58.150331,0.)); #557248=CARTESIAN_POINT('Origin',(23.431134,58.124784,0.)); #557249=CARTESIAN_POINT('',(23.431134,58.124784,0.)); #557250=CARTESIAN_POINT('',(23.431134,58.124784,0.)); #557251=CARTESIAN_POINT('',(23.431134,58.124784,0.035)); #557252=CARTESIAN_POINT('',(23.431134,58.124784,0.035)); #557253=CARTESIAN_POINT('',(23.431134,58.124784,0.)); #557254=CARTESIAN_POINT('Origin',(23.406122,58.107634,0.)); #557255=CARTESIAN_POINT('',(23.406122,58.107634,0.)); #557256=CARTESIAN_POINT('',(23.406122,58.107634,0.)); #557257=CARTESIAN_POINT('',(23.406122,58.107634,0.035)); #557258=CARTESIAN_POINT('',(23.406122,58.107634,0.035)); #557259=CARTESIAN_POINT('',(23.406122,58.107634,0.)); #557260=CARTESIAN_POINT('Origin',(23.3764,58.1016,0.)); #557261=CARTESIAN_POINT('',(23.3764,58.1016,0.)); #557262=CARTESIAN_POINT('',(23.3764,58.1016,0.)); #557263=CARTESIAN_POINT('',(23.3764,58.1016,0.035)); #557264=CARTESIAN_POINT('',(23.3764,58.1016,0.035)); #557265=CARTESIAN_POINT('',(23.3764,58.1016,0.)); #557266=CARTESIAN_POINT('Origin',(19.379047,58.1016,0.)); #557267=CARTESIAN_POINT('',(19.379047,58.1016,0.)); #557268=CARTESIAN_POINT('',(19.379047,58.1016,0.)); #557269=CARTESIAN_POINT('',(19.379047,58.1016,0.035)); #557270=CARTESIAN_POINT('',(19.379047,58.1016,0.035)); #557271=CARTESIAN_POINT('',(19.379047,58.1016,0.)); #557272=CARTESIAN_POINT('Origin',(19.353691,58.0989,0.)); #557273=CARTESIAN_POINT('',(19.353691,58.0989,0.)); #557274=CARTESIAN_POINT('',(19.353691,58.0989,0.)); #557275=CARTESIAN_POINT('',(19.353691,58.0989,0.035)); #557276=CARTESIAN_POINT('',(19.353691,58.0989,0.035)); #557277=CARTESIAN_POINT('',(19.353691,58.0989,0.)); #557278=CARTESIAN_POINT('Origin',(19.333338,58.092194,0.)); #557279=CARTESIAN_POINT('',(19.333338,58.092194,0.)); #557280=CARTESIAN_POINT('',(19.333338,58.092194,0.)); #557281=CARTESIAN_POINT('',(19.333338,58.092194,0.035)); #557282=CARTESIAN_POINT('',(19.333338,58.092194,0.035)); #557283=CARTESIAN_POINT('',(19.333338,58.092194,0.)); #557284=CARTESIAN_POINT('Origin',(19.313803,58.080731,0.)); #557285=CARTESIAN_POINT('',(19.313803,58.080731,0.)); #557286=CARTESIAN_POINT('',(19.313803,58.080731,0.)); #557287=CARTESIAN_POINT('',(19.313803,58.080731,0.035)); #557288=CARTESIAN_POINT('',(19.313803,58.080731,0.035)); #557289=CARTESIAN_POINT('',(19.313803,58.080731,0.)); #557290=CARTESIAN_POINT('Origin',(19.301134,58.069822,0.)); #557291=CARTESIAN_POINT('',(19.301134,58.069822,0.)); #557292=CARTESIAN_POINT('',(19.301134,58.069822,0.)); #557293=CARTESIAN_POINT('',(19.301134,58.069822,0.035)); #557294=CARTESIAN_POINT('',(19.301134,58.069822,0.035)); #557295=CARTESIAN_POINT('',(19.301134,58.069822,0.)); #557296=CARTESIAN_POINT('Origin',(18.306022,57.074709,0.)); #557297=CARTESIAN_POINT('',(18.306022,57.074709,0.)); #557298=CARTESIAN_POINT('',(18.306022,57.074709,0.)); #557299=CARTESIAN_POINT('',(18.306022,57.074709,0.035)); #557300=CARTESIAN_POINT('',(18.306022,57.074709,0.035)); #557301=CARTESIAN_POINT('',(18.306022,57.074709,0.)); #557302=CARTESIAN_POINT('Origin',(18.290003,57.054869,0.)); #557303=CARTESIAN_POINT('',(18.290003,57.054869,0.)); #557304=CARTESIAN_POINT('',(18.290003,57.054869,0.)); #557305=CARTESIAN_POINT('',(18.290003,57.054869,0.035)); #557306=CARTESIAN_POINT('',(18.290003,57.054869,0.035)); #557307=CARTESIAN_POINT('',(18.290003,57.054869,0.)); #557308=CARTESIAN_POINT('Origin',(18.280353,57.035728,0.)); #557309=CARTESIAN_POINT('',(18.280353,57.035728,0.)); #557310=CARTESIAN_POINT('',(18.280353,57.035728,0.)); #557311=CARTESIAN_POINT('',(18.280353,57.035728,0.035)); #557312=CARTESIAN_POINT('',(18.280353,57.035728,0.035)); #557313=CARTESIAN_POINT('',(18.280353,57.035728,0.)); #557314=CARTESIAN_POINT('Origin',(18.274641,57.013816,0.)); #557315=CARTESIAN_POINT('',(18.274641,57.013816,0.)); #557316=CARTESIAN_POINT('',(18.274641,57.013816,0.)); #557317=CARTESIAN_POINT('',(18.274641,57.013816,0.035)); #557318=CARTESIAN_POINT('',(18.274641,57.013816,0.035)); #557319=CARTESIAN_POINT('',(18.274641,57.013816,0.)); #557320=CARTESIAN_POINT('Origin',(18.2734,56.997153,0.)); #557321=CARTESIAN_POINT('',(18.2734,56.997153,0.)); #557322=CARTESIAN_POINT('',(18.2734,56.997153,0.)); #557323=CARTESIAN_POINT('',(18.2734,56.997153,0.035)); #557324=CARTESIAN_POINT('',(18.2734,56.997153,0.035)); #557325=CARTESIAN_POINT('',(18.2734,56.997153,0.)); #557326=CARTESIAN_POINT('Origin',(18.2734,49.1778,0.)); #557327=CARTESIAN_POINT('',(18.2734,49.1778,0.)); #557328=CARTESIAN_POINT('',(18.2734,49.1778,0.)); #557329=CARTESIAN_POINT('',(18.2734,49.1778,0.035)); #557330=CARTESIAN_POINT('',(18.2734,49.1778,0.035)); #557331=CARTESIAN_POINT('',(18.2734,49.1778,0.)); #557332=CARTESIAN_POINT('Origin',(18.268278,49.150331,0.)); #557333=CARTESIAN_POINT('',(18.268278,49.150331,0.)); #557334=CARTESIAN_POINT('',(18.268278,49.150331,0.)); #557335=CARTESIAN_POINT('',(18.268278,49.150331,0.035)); #557336=CARTESIAN_POINT('',(18.268278,49.150331,0.035)); #557337=CARTESIAN_POINT('',(18.268278,49.150331,0.)); #557338=CARTESIAN_POINT('Origin',(18.251934,49.124784,0.)); #557339=CARTESIAN_POINT('',(18.251934,49.124784,0.)); #557340=CARTESIAN_POINT('',(18.251934,49.124784,0.)); #557341=CARTESIAN_POINT('',(18.251934,49.124784,0.035)); #557342=CARTESIAN_POINT('',(18.251934,49.124784,0.035)); #557343=CARTESIAN_POINT('',(18.251934,49.124784,0.)); #557344=CARTESIAN_POINT('Origin',(18.226922,49.107634,0.)); #557345=CARTESIAN_POINT('',(18.226922,49.107634,0.)); #557346=CARTESIAN_POINT('',(18.226922,49.107634,0.)); #557347=CARTESIAN_POINT('',(18.226922,49.107634,0.035)); #557348=CARTESIAN_POINT('',(18.226922,49.107634,0.035)); #557349=CARTESIAN_POINT('',(18.226922,49.107634,0.)); #557350=CARTESIAN_POINT('Origin',(18.1972,49.1016,0.)); #557351=CARTESIAN_POINT('',(18.1972,49.1016,0.)); #557352=CARTESIAN_POINT('',(18.1972,49.1016,0.)); #557353=CARTESIAN_POINT('',(18.1972,49.1016,0.035)); #557354=CARTESIAN_POINT('',(18.1972,49.1016,0.035)); #557355=CARTESIAN_POINT('',(18.1972,49.1016,0.)); #557356=CARTESIAN_POINT('Origin',(14.754047,49.1016,0.)); #557357=CARTESIAN_POINT('',(14.754047,49.1016,0.)); #557358=CARTESIAN_POINT('',(14.754047,49.1016,0.)); #557359=CARTESIAN_POINT('',(14.754047,49.1016,0.035)); #557360=CARTESIAN_POINT('',(14.754047,49.1016,0.035)); #557361=CARTESIAN_POINT('',(14.754047,49.1016,0.)); #557362=CARTESIAN_POINT('Origin',(14.728691,49.0989,0.)); #557363=CARTESIAN_POINT('',(14.728691,49.0989,0.)); #557364=CARTESIAN_POINT('',(14.728691,49.0989,0.)); #557365=CARTESIAN_POINT('',(14.728691,49.0989,0.035)); #557366=CARTESIAN_POINT('',(14.728691,49.0989,0.035)); #557367=CARTESIAN_POINT('',(14.728691,49.0989,0.)); #557368=CARTESIAN_POINT('Origin',(14.708338,49.092194,0.)); #557369=CARTESIAN_POINT('',(14.708338,49.092194,0.)); #557370=CARTESIAN_POINT('',(14.708338,49.092194,0.)); #557371=CARTESIAN_POINT('',(14.708338,49.092194,0.035)); #557372=CARTESIAN_POINT('',(14.708338,49.092194,0.035)); #557373=CARTESIAN_POINT('',(14.708338,49.092194,0.)); #557374=CARTESIAN_POINT('Origin',(14.688803,49.080731,0.)); #557375=CARTESIAN_POINT('',(14.688803,49.080731,0.)); #557376=CARTESIAN_POINT('',(14.688803,49.080731,0.)); #557377=CARTESIAN_POINT('',(14.688803,49.080731,0.035)); #557378=CARTESIAN_POINT('',(14.688803,49.080731,0.035)); #557379=CARTESIAN_POINT('',(14.688803,49.080731,0.)); #557380=CARTESIAN_POINT('Origin',(14.676134,49.069822,0.)); #557381=CARTESIAN_POINT('',(14.676134,49.069822,0.)); #557382=CARTESIAN_POINT('',(14.676134,49.069822,0.)); #557383=CARTESIAN_POINT('',(14.676134,49.069822,0.035)); #557384=CARTESIAN_POINT('',(14.676134,49.069822,0.035)); #557385=CARTESIAN_POINT('',(14.676134,49.069822,0.)); #557386=CARTESIAN_POINT('Origin',(13.931022,48.324709,0.)); #557387=CARTESIAN_POINT('',(13.931022,48.324709,0.)); #557388=CARTESIAN_POINT('',(13.931022,48.324709,0.)); #557389=CARTESIAN_POINT('',(13.931022,48.324709,0.035)); #557390=CARTESIAN_POINT('',(13.931022,48.324709,0.035)); #557391=CARTESIAN_POINT('',(13.931022,48.324709,0.)); #557392=CARTESIAN_POINT('Origin',(13.915003,48.304869,0.)); #557393=CARTESIAN_POINT('',(13.915003,48.304869,0.)); #557394=CARTESIAN_POINT('',(13.915003,48.304869,0.)); #557395=CARTESIAN_POINT('',(13.915003,48.304869,0.035)); #557396=CARTESIAN_POINT('',(13.915003,48.304869,0.035)); #557397=CARTESIAN_POINT('',(13.915003,48.304869,0.)); #557398=CARTESIAN_POINT('Origin',(13.905353,48.285728,0.)); #557399=CARTESIAN_POINT('',(13.905353,48.285728,0.)); #557400=CARTESIAN_POINT('',(13.905353,48.285728,0.)); #557401=CARTESIAN_POINT('',(13.905353,48.285728,0.035)); #557402=CARTESIAN_POINT('',(13.905353,48.285728,0.035)); #557403=CARTESIAN_POINT('',(13.905353,48.285728,0.)); #557404=CARTESIAN_POINT('Origin',(13.899641,48.263816,0.)); #557405=CARTESIAN_POINT('',(13.899641,48.263816,0.)); #557406=CARTESIAN_POINT('',(13.899641,48.263816,0.)); #557407=CARTESIAN_POINT('',(13.899641,48.263816,0.035)); #557408=CARTESIAN_POINT('',(13.899641,48.263816,0.035)); #557409=CARTESIAN_POINT('',(13.899641,48.263816,0.)); #557410=CARTESIAN_POINT('Origin',(13.8984,48.247153,0.)); #557411=CARTESIAN_POINT('',(13.8984,48.247153,0.)); #557412=CARTESIAN_POINT('',(13.8984,48.247153,0.)); #557413=CARTESIAN_POINT('',(13.8984,48.247153,0.035)); #557414=CARTESIAN_POINT('',(13.8984,48.247153,0.035)); #557415=CARTESIAN_POINT('',(13.8984,48.247153,0.)); #557416=CARTESIAN_POINT('Origin',(13.8984,41.504047,0.)); #557417=CARTESIAN_POINT('',(13.8984,41.504047,0.)); #557418=CARTESIAN_POINT('',(13.8984,41.504047,0.)); #557419=CARTESIAN_POINT('',(13.8984,41.504047,0.035)); #557420=CARTESIAN_POINT('',(13.8984,41.504047,0.035)); #557421=CARTESIAN_POINT('',(13.8984,41.504047,0.)); #557422=CARTESIAN_POINT('Origin',(13.9011,41.478691,0.)); #557423=CARTESIAN_POINT('',(13.9011,41.478691,0.)); #557424=CARTESIAN_POINT('',(13.9011,41.478691,0.)); #557425=CARTESIAN_POINT('',(13.9011,41.478691,0.035)); #557426=CARTESIAN_POINT('',(13.9011,41.478691,0.035)); #557427=CARTESIAN_POINT('',(13.9011,41.478691,0.)); #557428=CARTESIAN_POINT('Origin',(13.907806,41.458337,0.)); #557429=CARTESIAN_POINT('',(13.907806,41.458337,0.)); #557430=CARTESIAN_POINT('',(13.907806,41.458337,0.)); #557431=CARTESIAN_POINT('',(13.907806,41.458337,0.035)); #557432=CARTESIAN_POINT('',(13.907806,41.458337,0.035)); #557433=CARTESIAN_POINT('',(13.907806,41.458337,0.)); #557434=CARTESIAN_POINT('Origin',(13.919269,41.438803,0.)); #557435=CARTESIAN_POINT('',(13.919269,41.438803,0.)); #557436=CARTESIAN_POINT('',(13.919269,41.438803,0.)); #557437=CARTESIAN_POINT('',(13.919269,41.438803,0.035)); #557438=CARTESIAN_POINT('',(13.919269,41.438803,0.035)); #557439=CARTESIAN_POINT('',(13.919269,41.438803,0.)); #557440=CARTESIAN_POINT('Origin',(13.930178,41.426134,0.)); #557441=CARTESIAN_POINT('',(13.930178,41.426134,0.)); #557442=CARTESIAN_POINT('',(13.930178,41.426134,0.)); #557443=CARTESIAN_POINT('',(13.930178,41.426134,0.035)); #557444=CARTESIAN_POINT('',(13.930178,41.426134,0.035)); #557445=CARTESIAN_POINT('',(13.930178,41.426134,0.)); #557446=CARTESIAN_POINT('Origin',(14.675291,40.681022,0.)); #557447=CARTESIAN_POINT('',(14.675291,40.681022,0.)); #557448=CARTESIAN_POINT('',(14.675291,40.681022,0.)); #557449=CARTESIAN_POINT('',(14.675291,40.681022,0.035)); #557450=CARTESIAN_POINT('',(14.675291,40.681022,0.035)); #557451=CARTESIAN_POINT('',(14.675291,40.681022,0.)); #557452=CARTESIAN_POINT('Origin',(14.695131,40.665003,0.)); #557453=CARTESIAN_POINT('',(14.695131,40.665003,0.)); #557454=CARTESIAN_POINT('',(14.695131,40.665003,0.)); #557455=CARTESIAN_POINT('',(14.695131,40.665003,0.035)); #557456=CARTESIAN_POINT('',(14.695131,40.665003,0.035)); #557457=CARTESIAN_POINT('',(14.695131,40.665003,0.)); #557458=CARTESIAN_POINT('Origin',(14.714272,40.655353,0.)); #557459=CARTESIAN_POINT('',(14.714272,40.655353,0.)); #557460=CARTESIAN_POINT('',(14.714272,40.655353,0.)); #557461=CARTESIAN_POINT('',(14.714272,40.655353,0.035)); #557462=CARTESIAN_POINT('',(14.714272,40.655353,0.035)); #557463=CARTESIAN_POINT('',(14.714272,40.655353,0.)); #557464=CARTESIAN_POINT('Origin',(14.736184,40.649641,0.)); #557465=CARTESIAN_POINT('',(14.736184,40.649641,0.)); #557466=CARTESIAN_POINT('',(14.736184,40.649641,0.)); #557467=CARTESIAN_POINT('',(14.736184,40.649641,0.035)); #557468=CARTESIAN_POINT('',(14.736184,40.649641,0.035)); #557469=CARTESIAN_POINT('',(14.736184,40.649641,0.)); #557470=CARTESIAN_POINT('Origin',(14.752847,40.6484,0.)); #557471=CARTESIAN_POINT('',(14.752847,40.6484,0.)); #557472=CARTESIAN_POINT('',(14.752847,40.6484,0.035)); #557473=CARTESIAN_POINT('Origin',(43.032191,13.3964,0.)); #557474=CARTESIAN_POINT('',(43.032191,13.3964,0.)); #557475=CARTESIAN_POINT('',(41.777409,13.3964,0.)); #557476=CARTESIAN_POINT('',(43.032191,13.3964,0.)); #557477=CARTESIAN_POINT('',(41.777409,13.3964,0.035)); #557478=CARTESIAN_POINT('',(41.777409,13.3964,0.)); #557479=CARTESIAN_POINT('',(43.032191,13.3964,0.035)); #557480=CARTESIAN_POINT('',(43.032191,13.3964,0.035)); #557481=CARTESIAN_POINT('',(43.032191,13.3964,0.)); #557482=CARTESIAN_POINT('Origin',(43.259872,13.624081,0.)); #557483=CARTESIAN_POINT('',(43.259872,13.624081,0.)); #557484=CARTESIAN_POINT('',(43.259872,13.624081,0.)); #557485=CARTESIAN_POINT('',(43.259872,13.624081,0.035)); #557486=CARTESIAN_POINT('',(43.259872,13.624081,0.035)); #557487=CARTESIAN_POINT('',(43.259872,13.624081,0.)); #557488=CARTESIAN_POINT('Origin',(43.284031,13.640366,0.)); #557489=CARTESIAN_POINT('',(43.284031,13.640366,0.)); #557490=CARTESIAN_POINT('',(43.284031,13.640366,0.)); #557491=CARTESIAN_POINT('',(43.284031,13.640366,0.035)); #557492=CARTESIAN_POINT('',(43.284031,13.640366,0.035)); #557493=CARTESIAN_POINT('',(43.284031,13.640366,0.)); #557494=CARTESIAN_POINT('Origin',(43.313753,13.6464,0.)); #557495=CARTESIAN_POINT('',(43.313753,13.6464,0.)); #557496=CARTESIAN_POINT('',(43.313753,13.6464,0.)); #557497=CARTESIAN_POINT('',(43.313753,13.6464,0.035)); #557498=CARTESIAN_POINT('',(43.313753,13.6464,0.035)); #557499=CARTESIAN_POINT('',(43.313753,13.6464,0.)); #557500=CARTESIAN_POINT('Origin',(54.780353,13.6464,0.)); #557501=CARTESIAN_POINT('',(54.780353,13.6464,0.)); #557502=CARTESIAN_POINT('',(54.780353,13.6464,0.)); #557503=CARTESIAN_POINT('',(54.780353,13.6464,0.035)); #557504=CARTESIAN_POINT('',(54.780353,13.6464,0.035)); #557505=CARTESIAN_POINT('',(54.780353,13.6464,0.)); #557506=CARTESIAN_POINT('Origin',(60.4786,19.344647,0.)); #557507=CARTESIAN_POINT('',(60.4786,19.344647,0.)); #557508=CARTESIAN_POINT('',(60.4786,19.344647,0.)); #557509=CARTESIAN_POINT('',(60.4786,19.344647,0.035)); #557510=CARTESIAN_POINT('',(60.4786,19.344647,0.035)); #557511=CARTESIAN_POINT('',(60.4786,19.344647,0.)); #557512=CARTESIAN_POINT('Origin',(60.4786,38.405353,0.)); #557513=CARTESIAN_POINT('',(60.4786,38.405353,0.)); #557514=CARTESIAN_POINT('',(60.4786,38.405353,0.)); #557515=CARTESIAN_POINT('',(60.4786,38.405353,0.035)); #557516=CARTESIAN_POINT('',(60.4786,38.405353,0.035)); #557517=CARTESIAN_POINT('',(60.4786,38.405353,0.)); #557518=CARTESIAN_POINT('Origin',(57.905353,40.9786,0.)); #557519=CARTESIAN_POINT('',(57.905353,40.9786,0.)); #557520=CARTESIAN_POINT('',(57.905353,40.9786,0.)); #557521=CARTESIAN_POINT('',(57.905353,40.9786,0.035)); #557522=CARTESIAN_POINT('',(57.905353,40.9786,0.035)); #557523=CARTESIAN_POINT('',(57.905353,40.9786,0.)); #557524=CARTESIAN_POINT('Origin',(53.55625,40.9786,0.)); #557525=CARTESIAN_POINT('',(53.55625,40.9786,0.)); #557526=CARTESIAN_POINT('',(53.55625,40.9786,0.)); #557527=CARTESIAN_POINT('',(53.55625,40.9786,0.035)); #557528=CARTESIAN_POINT('',(53.55625,40.9786,0.035)); #557529=CARTESIAN_POINT('',(53.55625,40.9786,0.)); #557530=CARTESIAN_POINT('Origin',(53.529919,40.983294,0.)); #557531=CARTESIAN_POINT('',(53.529919,40.983294,0.)); #557532=CARTESIAN_POINT('',(53.529919,40.983294,0.)); #557533=CARTESIAN_POINT('',(53.529919,40.983294,0.035)); #557534=CARTESIAN_POINT('',(53.529919,40.983294,0.035)); #557535=CARTESIAN_POINT('',(53.529919,40.983294,0.)); #557536=CARTESIAN_POINT('Origin',(53.504113,40.999228,0.)); #557537=CARTESIAN_POINT('',(53.504113,40.999228,0.)); #557538=CARTESIAN_POINT('',(53.504113,40.999228,0.)); #557539=CARTESIAN_POINT('',(53.504113,40.999228,0.035)); #557540=CARTESIAN_POINT('',(53.504113,40.999228,0.035)); #557541=CARTESIAN_POINT('',(53.504113,40.999228,0.)); #557542=CARTESIAN_POINT('Origin',(53.486569,41.023966,0.)); #557543=CARTESIAN_POINT('',(53.486569,41.023966,0.)); #557544=CARTESIAN_POINT('',(53.486569,41.023966,0.)); #557545=CARTESIAN_POINT('',(53.486569,41.023966,0.035)); #557546=CARTESIAN_POINT('',(53.486569,41.023966,0.035)); #557547=CARTESIAN_POINT('',(53.486569,41.023966,0.)); #557548=CARTESIAN_POINT('Origin',(53.480059,41.053584,0.)); #557549=CARTESIAN_POINT('',(53.480059,41.053584,0.)); #557550=CARTESIAN_POINT('',(53.480059,41.053584,0.)); #557551=CARTESIAN_POINT('',(53.480059,41.053584,0.035)); #557552=CARTESIAN_POINT('',(53.480059,41.053584,0.035)); #557553=CARTESIAN_POINT('',(53.480059,41.053584,0.)); #557554=CARTESIAN_POINT('Origin',(53.485619,41.083397,0.)); #557555=CARTESIAN_POINT('',(53.485619,41.083397,0.)); #557556=CARTESIAN_POINT('',(53.485619,41.083397,0.)); #557557=CARTESIAN_POINT('',(53.485619,41.083397,0.035)); #557558=CARTESIAN_POINT('',(53.485619,41.083397,0.035)); #557559=CARTESIAN_POINT('',(53.485619,41.083397,0.)); #557560=CARTESIAN_POINT('Origin',(53.502369,41.108681,0.)); #557561=CARTESIAN_POINT('',(53.502369,41.108681,0.)); #557562=CARTESIAN_POINT('',(53.502369,41.108681,0.)); #557563=CARTESIAN_POINT('',(53.502369,41.108681,0.035)); #557564=CARTESIAN_POINT('',(53.502369,41.108681,0.035)); #557565=CARTESIAN_POINT('',(53.502369,41.108681,0.)); #557566=CARTESIAN_POINT('Origin',(54.068978,41.675291,0.)); #557567=CARTESIAN_POINT('',(54.068978,41.675291,0.)); #557568=CARTESIAN_POINT('',(54.068978,41.675291,0.)); #557569=CARTESIAN_POINT('',(54.068978,41.675291,0.035)); #557570=CARTESIAN_POINT('',(54.068978,41.675291,0.035)); #557571=CARTESIAN_POINT('',(54.068978,41.675291,0.)); #557572=CARTESIAN_POINT('Origin',(54.084997,41.695131,0.)); #557573=CARTESIAN_POINT('',(54.084997,41.695131,0.)); #557574=CARTESIAN_POINT('',(54.084997,41.695131,0.)); #557575=CARTESIAN_POINT('',(54.084997,41.695131,0.035)); #557576=CARTESIAN_POINT('',(54.084997,41.695131,0.035)); #557577=CARTESIAN_POINT('',(54.084997,41.695131,0.)); #557578=CARTESIAN_POINT('Origin',(54.094647,41.714272,0.)); #557579=CARTESIAN_POINT('',(54.094647,41.714272,0.)); #557580=CARTESIAN_POINT('',(54.094647,41.714272,0.)); #557581=CARTESIAN_POINT('',(54.094647,41.714272,0.035)); #557582=CARTESIAN_POINT('',(54.094647,41.714272,0.035)); #557583=CARTESIAN_POINT('',(54.094647,41.714272,0.)); #557584=CARTESIAN_POINT('Origin',(54.100359,41.736184,0.)); #557585=CARTESIAN_POINT('',(54.100359,41.736184,0.)); #557586=CARTESIAN_POINT('',(54.100359,41.736184,0.)); #557587=CARTESIAN_POINT('',(54.100359,41.736184,0.035)); #557588=CARTESIAN_POINT('',(54.100359,41.736184,0.035)); #557589=CARTESIAN_POINT('',(54.100359,41.736184,0.)); #557590=CARTESIAN_POINT('Origin',(54.1016,41.752847,0.)); #557591=CARTESIAN_POINT('',(54.1016,41.752847,0.)); #557592=CARTESIAN_POINT('',(54.1016,41.752847,0.)); #557593=CARTESIAN_POINT('',(54.1016,41.752847,0.035)); #557594=CARTESIAN_POINT('',(54.1016,41.752847,0.035)); #557595=CARTESIAN_POINT('',(54.1016,41.752847,0.)); #557596=CARTESIAN_POINT('Origin',(54.1016,48.245953,0.)); #557597=CARTESIAN_POINT('',(54.1016,48.245953,0.)); #557598=CARTESIAN_POINT('',(54.1016,48.245953,0.)); #557599=CARTESIAN_POINT('',(54.1016,48.245953,0.035)); #557600=CARTESIAN_POINT('',(54.1016,48.245953,0.035)); #557601=CARTESIAN_POINT('',(54.1016,48.245953,0.)); #557602=CARTESIAN_POINT('Origin',(54.0989,48.271309,0.)); #557603=CARTESIAN_POINT('',(54.0989,48.271309,0.)); #557604=CARTESIAN_POINT('',(54.0989,48.271309,0.)); #557605=CARTESIAN_POINT('',(54.0989,48.271309,0.035)); #557606=CARTESIAN_POINT('',(54.0989,48.271309,0.035)); #557607=CARTESIAN_POINT('',(54.0989,48.271309,0.)); #557608=CARTESIAN_POINT('Origin',(54.092194,48.291663,0.)); #557609=CARTESIAN_POINT('',(54.092194,48.291663,0.)); #557610=CARTESIAN_POINT('',(54.092194,48.291663,0.)); #557611=CARTESIAN_POINT('',(54.092194,48.291663,0.035)); #557612=CARTESIAN_POINT('',(54.092194,48.291663,0.035)); #557613=CARTESIAN_POINT('',(54.092194,48.291663,0.)); #557614=CARTESIAN_POINT('Origin',(54.080731,48.311197,0.)); #557615=CARTESIAN_POINT('',(54.080731,48.311197,0.)); #557616=CARTESIAN_POINT('',(54.080731,48.311197,0.)); #557617=CARTESIAN_POINT('',(54.080731,48.311197,0.035)); #557618=CARTESIAN_POINT('',(54.080731,48.311197,0.035)); #557619=CARTESIAN_POINT('',(54.080731,48.311197,0.)); #557620=CARTESIAN_POINT('Origin',(54.069822,48.323866,0.)); #557621=CARTESIAN_POINT('',(54.069822,48.323866,0.)); #557622=CARTESIAN_POINT('',(54.069822,48.323866,0.)); #557623=CARTESIAN_POINT('',(54.069822,48.323866,0.035)); #557624=CARTESIAN_POINT('',(54.069822,48.323866,0.035)); #557625=CARTESIAN_POINT('',(54.069822,48.323866,0.)); #557626=CARTESIAN_POINT('Origin',(53.324709,49.068978,0.)); #557627=CARTESIAN_POINT('',(53.324709,49.068978,0.)); #557628=CARTESIAN_POINT('',(53.324709,49.068978,0.)); #557629=CARTESIAN_POINT('',(53.324709,49.068978,0.035)); #557630=CARTESIAN_POINT('',(53.324709,49.068978,0.035)); #557631=CARTESIAN_POINT('',(53.324709,49.068978,0.)); #557632=CARTESIAN_POINT('Origin',(53.304869,49.084997,0.)); #557633=CARTESIAN_POINT('',(53.304869,49.084997,0.)); #557634=CARTESIAN_POINT('',(53.304869,49.084997,0.)); #557635=CARTESIAN_POINT('',(53.304869,49.084997,0.035)); #557636=CARTESIAN_POINT('',(53.304869,49.084997,0.035)); #557637=CARTESIAN_POINT('',(53.304869,49.084997,0.)); #557638=CARTESIAN_POINT('Origin',(53.285728,49.094647,0.)); #557639=CARTESIAN_POINT('',(53.285728,49.094647,0.)); #557640=CARTESIAN_POINT('',(53.285728,49.094647,0.)); #557641=CARTESIAN_POINT('',(53.285728,49.094647,0.035)); #557642=CARTESIAN_POINT('',(53.285728,49.094647,0.035)); #557643=CARTESIAN_POINT('',(53.285728,49.094647,0.)); #557644=CARTESIAN_POINT('Origin',(53.263816,49.100359,0.)); #557645=CARTESIAN_POINT('',(53.263816,49.100359,0.)); #557646=CARTESIAN_POINT('',(53.263816,49.100359,0.)); #557647=CARTESIAN_POINT('',(53.263816,49.100359,0.035)); #557648=CARTESIAN_POINT('',(53.263816,49.100359,0.035)); #557649=CARTESIAN_POINT('',(53.263816,49.100359,0.)); #557650=CARTESIAN_POINT('Origin',(53.247153,49.1016,0.)); #557651=CARTESIAN_POINT('',(53.247153,49.1016,0.)); #557652=CARTESIAN_POINT('',(53.247153,49.1016,0.)); #557653=CARTESIAN_POINT('',(53.247153,49.1016,0.035)); #557654=CARTESIAN_POINT('',(53.247153,49.1016,0.035)); #557655=CARTESIAN_POINT('',(53.247153,49.1016,0.)); #557656=CARTESIAN_POINT('Origin',(49.8028,49.1016,0.)); #557657=CARTESIAN_POINT('',(49.8028,49.1016,0.)); #557658=CARTESIAN_POINT('',(49.8028,49.1016,0.)); #557659=CARTESIAN_POINT('',(49.8028,49.1016,0.035)); #557660=CARTESIAN_POINT('',(49.8028,49.1016,0.035)); #557661=CARTESIAN_POINT('',(49.8028,49.1016,0.)); #557662=CARTESIAN_POINT('Origin',(49.775331,49.106722,0.)); #557663=CARTESIAN_POINT('',(49.775331,49.106722,0.)); #557664=CARTESIAN_POINT('',(49.775331,49.106722,0.)); #557665=CARTESIAN_POINT('',(49.775331,49.106722,0.035)); #557666=CARTESIAN_POINT('',(49.775331,49.106722,0.035)); #557667=CARTESIAN_POINT('',(49.775331,49.106722,0.)); #557668=CARTESIAN_POINT('Origin',(49.749784,49.123066,0.)); #557669=CARTESIAN_POINT('',(49.749784,49.123066,0.)); #557670=CARTESIAN_POINT('',(49.749784,49.123066,0.)); #557671=CARTESIAN_POINT('',(49.749784,49.123066,0.035)); #557672=CARTESIAN_POINT('',(49.749784,49.123066,0.035)); #557673=CARTESIAN_POINT('',(49.749784,49.123066,0.)); #557674=CARTESIAN_POINT('Origin',(49.732634,49.148078,0.)); #557675=CARTESIAN_POINT('',(49.732634,49.148078,0.)); #557676=CARTESIAN_POINT('',(49.732634,49.148078,0.)); #557677=CARTESIAN_POINT('',(49.732634,49.148078,0.035)); #557678=CARTESIAN_POINT('',(49.732634,49.148078,0.035)); #557679=CARTESIAN_POINT('',(49.732634,49.148078,0.)); #557680=CARTESIAN_POINT('Origin',(49.7266,49.1778,0.)); #557681=CARTESIAN_POINT('',(49.7266,49.1778,0.)); #557682=CARTESIAN_POINT('',(49.7266,49.1778,0.)); #557683=CARTESIAN_POINT('',(49.7266,49.1778,0.035)); #557684=CARTESIAN_POINT('',(49.7266,49.1778,0.035)); #557685=CARTESIAN_POINT('',(49.7266,49.1778,0.)); #557686=CARTESIAN_POINT('Origin',(49.7266,56.995953,0.)); #557687=CARTESIAN_POINT('',(49.7266,56.995953,0.)); #557688=CARTESIAN_POINT('',(49.7266,56.995953,0.)); #557689=CARTESIAN_POINT('',(49.7266,56.995953,0.035)); #557690=CARTESIAN_POINT('',(49.7266,56.995953,0.035)); #557691=CARTESIAN_POINT('',(49.7266,56.995953,0.)); #557692=CARTESIAN_POINT('Origin',(49.7239,57.021309,0.)); #557693=CARTESIAN_POINT('',(49.7239,57.021309,0.)); #557694=CARTESIAN_POINT('',(49.7239,57.021309,0.)); #557695=CARTESIAN_POINT('',(49.7239,57.021309,0.035)); #557696=CARTESIAN_POINT('',(49.7239,57.021309,0.035)); #557697=CARTESIAN_POINT('',(49.7239,57.021309,0.)); #557698=CARTESIAN_POINT('Origin',(49.717194,57.041663,0.)); #557699=CARTESIAN_POINT('',(49.717194,57.041663,0.)); #557700=CARTESIAN_POINT('',(49.717194,57.041663,0.)); #557701=CARTESIAN_POINT('',(49.717194,57.041663,0.035)); #557702=CARTESIAN_POINT('',(49.717194,57.041663,0.035)); #557703=CARTESIAN_POINT('',(49.717194,57.041663,0.)); #557704=CARTESIAN_POINT('Origin',(49.705731,57.061197,0.)); #557705=CARTESIAN_POINT('',(49.705731,57.061197,0.)); #557706=CARTESIAN_POINT('',(49.705731,57.061197,0.)); #557707=CARTESIAN_POINT('',(49.705731,57.061197,0.035)); #557708=CARTESIAN_POINT('',(49.705731,57.061197,0.035)); #557709=CARTESIAN_POINT('',(49.705731,57.061197,0.)); #557710=CARTESIAN_POINT('Origin',(49.694822,57.073866,0.)); #557711=CARTESIAN_POINT('',(49.694822,57.073866,0.)); #557712=CARTESIAN_POINT('',(49.694822,57.073866,0.)); #557713=CARTESIAN_POINT('',(49.694822,57.073866,0.035)); #557714=CARTESIAN_POINT('',(49.694822,57.073866,0.035)); #557715=CARTESIAN_POINT('',(49.694822,57.073866,0.)); #557716=CARTESIAN_POINT('Origin',(48.699709,58.068978,0.)); #557717=CARTESIAN_POINT('',(48.699709,58.068978,0.)); #557718=CARTESIAN_POINT('',(48.699709,58.068978,0.)); #557719=CARTESIAN_POINT('',(48.699709,58.068978,0.035)); #557720=CARTESIAN_POINT('',(48.699709,58.068978,0.035)); #557721=CARTESIAN_POINT('',(48.699709,58.068978,0.)); #557722=CARTESIAN_POINT('Origin',(48.679869,58.084997,0.)); #557723=CARTESIAN_POINT('',(48.679869,58.084997,0.)); #557724=CARTESIAN_POINT('',(48.679869,58.084997,0.)); #557725=CARTESIAN_POINT('',(48.679869,58.084997,0.035)); #557726=CARTESIAN_POINT('',(48.679869,58.084997,0.035)); #557727=CARTESIAN_POINT('',(48.679869,58.084997,0.)); #557728=CARTESIAN_POINT('Origin',(48.660728,58.094647,0.)); #557729=CARTESIAN_POINT('',(48.660728,58.094647,0.)); #557730=CARTESIAN_POINT('',(48.660728,58.094647,0.)); #557731=CARTESIAN_POINT('',(48.660728,58.094647,0.035)); #557732=CARTESIAN_POINT('',(48.660728,58.094647,0.035)); #557733=CARTESIAN_POINT('',(48.660728,58.094647,0.)); #557734=CARTESIAN_POINT('Origin',(48.638816,58.100359,0.)); #557735=CARTESIAN_POINT('',(48.638816,58.100359,0.)); #557736=CARTESIAN_POINT('',(48.638816,58.100359,0.)); #557737=CARTESIAN_POINT('',(48.638816,58.100359,0.035)); #557738=CARTESIAN_POINT('',(48.638816,58.100359,0.035)); #557739=CARTESIAN_POINT('',(48.638816,58.100359,0.)); #557740=CARTESIAN_POINT('Origin',(48.622153,58.1016,0.)); #557741=CARTESIAN_POINT('',(48.622153,58.1016,0.)); #557742=CARTESIAN_POINT('',(48.622153,58.1016,0.)); #557743=CARTESIAN_POINT('',(48.622153,58.1016,0.035)); #557744=CARTESIAN_POINT('',(48.622153,58.1016,0.035)); #557745=CARTESIAN_POINT('',(48.622153,58.1016,0.)); #557746=CARTESIAN_POINT('Origin',(48.4336,58.1016,0.)); #557747=CARTESIAN_POINT('',(48.4336,58.1016,0.)); #557748=CARTESIAN_POINT('',(48.4336,58.1016,0.)); #557749=CARTESIAN_POINT('',(48.4336,58.1016,0.035)); #557750=CARTESIAN_POINT('',(48.4336,58.1016,0.035)); #557751=CARTESIAN_POINT('',(48.4336,58.1016,0.)); #557752=CARTESIAN_POINT('Origin',(48.406131,58.106722,0.)); #557753=CARTESIAN_POINT('',(48.406131,58.106722,0.)); #557754=CARTESIAN_POINT('',(48.406131,58.106722,0.)); #557755=CARTESIAN_POINT('',(48.406131,58.106722,0.035)); #557756=CARTESIAN_POINT('',(48.406131,58.106722,0.035)); #557757=CARTESIAN_POINT('',(48.406131,58.106722,0.)); #557758=CARTESIAN_POINT('Origin',(48.380584,58.123066,0.)); #557759=CARTESIAN_POINT('',(48.380584,58.123066,0.)); #557760=CARTESIAN_POINT('',(48.380584,58.123066,0.)); #557761=CARTESIAN_POINT('',(48.380584,58.123066,0.035)); #557762=CARTESIAN_POINT('',(48.380584,58.123066,0.035)); #557763=CARTESIAN_POINT('',(48.380584,58.123066,0.)); #557764=CARTESIAN_POINT('Origin',(48.363434,58.148078,0.)); #557765=CARTESIAN_POINT('',(48.363434,58.148078,0.)); #557766=CARTESIAN_POINT('',(48.363434,58.148078,0.)); #557767=CARTESIAN_POINT('',(48.363434,58.148078,0.035)); #557768=CARTESIAN_POINT('',(48.363434,58.148078,0.035)); #557769=CARTESIAN_POINT('',(48.363434,58.148078,0.)); #557770=CARTESIAN_POINT('Origin',(48.3574,58.1778,0.)); #557771=CARTESIAN_POINT('',(48.3574,58.1778,0.)); #557772=CARTESIAN_POINT('',(48.3574,58.1778,0.)); #557773=CARTESIAN_POINT('',(48.3574,58.1778,0.035)); #557774=CARTESIAN_POINT('',(48.3574,58.1778,0.035)); #557775=CARTESIAN_POINT('',(48.3574,58.1778,0.)); #557776=CARTESIAN_POINT('Origin',(48.3574,59.413128,0.)); #557777=CARTESIAN_POINT('',(48.3574,59.413128,0.)); #557778=CARTESIAN_POINT('',(48.3574,59.413128,0.)); #557779=CARTESIAN_POINT('',(48.3574,59.413128,0.035)); #557780=CARTESIAN_POINT('',(48.3574,59.413128,0.035)); #557781=CARTESIAN_POINT('',(48.3574,59.413128,0.)); #557782=CARTESIAN_POINT('Origin',(48.268128,59.5024,0.)); #557783=CARTESIAN_POINT('',(48.268128,59.5024,0.)); #557784=CARTESIAN_POINT('',(48.268128,59.5024,0.)); #557785=CARTESIAN_POINT('',(48.268128,59.5024,0.035)); #557786=CARTESIAN_POINT('',(48.268128,59.5024,0.035)); #557787=CARTESIAN_POINT('',(48.268128,59.5024,0.)); #557788=CARTESIAN_POINT('Origin',(47.541872,59.5024,0.)); #557789=CARTESIAN_POINT('',(47.541872,59.5024,0.)); #557790=CARTESIAN_POINT('',(47.541872,59.5024,0.)); #557791=CARTESIAN_POINT('',(47.541872,59.5024,0.035)); #557792=CARTESIAN_POINT('',(47.541872,59.5024,0.035)); #557793=CARTESIAN_POINT('',(47.541872,59.5024,0.)); #557794=CARTESIAN_POINT('Origin',(47.4526,59.413128,0.)); #557795=CARTESIAN_POINT('',(47.4526,59.413128,0.)); #557796=CARTESIAN_POINT('',(47.4526,59.413128,0.)); #557797=CARTESIAN_POINT('',(47.4526,59.413128,0.035)); #557798=CARTESIAN_POINT('',(47.4526,59.413128,0.035)); #557799=CARTESIAN_POINT('',(47.4526,59.413128,0.)); #557800=CARTESIAN_POINT('Origin',(47.4526,58.1778,0.)); #557801=CARTESIAN_POINT('',(47.4526,58.1778,0.)); #557802=CARTESIAN_POINT('',(47.4526,58.1778,0.)); #557803=CARTESIAN_POINT('',(47.4526,58.1778,0.035)); #557804=CARTESIAN_POINT('',(47.4526,58.1778,0.035)); #557805=CARTESIAN_POINT('',(47.4526,58.1778,0.)); #557806=CARTESIAN_POINT('Origin',(47.447478,58.150331,0.)); #557807=CARTESIAN_POINT('',(47.447478,58.150331,0.)); #557808=CARTESIAN_POINT('',(47.447478,58.150331,0.)); #557809=CARTESIAN_POINT('',(47.447478,58.150331,0.035)); #557810=CARTESIAN_POINT('',(47.447478,58.150331,0.035)); #557811=CARTESIAN_POINT('',(47.447478,58.150331,0.)); #557812=CARTESIAN_POINT('Origin',(47.431134,58.124784,0.)); #557813=CARTESIAN_POINT('',(47.431134,58.124784,0.)); #557814=CARTESIAN_POINT('',(47.431134,58.124784,0.)); #557815=CARTESIAN_POINT('',(47.431134,58.124784,0.035)); #557816=CARTESIAN_POINT('',(47.431134,58.124784,0.035)); #557817=CARTESIAN_POINT('',(47.431134,58.124784,0.)); #557818=CARTESIAN_POINT('Origin',(47.406122,58.107634,0.)); #557819=CARTESIAN_POINT('',(47.406122,58.107634,0.)); #557820=CARTESIAN_POINT('',(47.406122,58.107634,0.)); #557821=CARTESIAN_POINT('',(47.406122,58.107634,0.035)); #557822=CARTESIAN_POINT('',(47.406122,58.107634,0.035)); #557823=CARTESIAN_POINT('',(47.406122,58.107634,0.)); #557824=CARTESIAN_POINT('Origin',(47.3764,58.1016,0.)); #557825=CARTESIAN_POINT('',(47.3764,58.1016,0.)); #557826=CARTESIAN_POINT('',(47.3764,58.1016,0.)); #557827=CARTESIAN_POINT('',(47.3764,58.1016,0.035)); #557828=CARTESIAN_POINT('',(47.3764,58.1016,0.035)); #557829=CARTESIAN_POINT('',(47.3764,58.1016,0.)); #557830=CARTESIAN_POINT('Origin',(43.57365,58.1016,0.)); #557831=CARTESIAN_POINT('',(43.57365,58.1016,0.)); #557832=CARTESIAN_POINT('',(43.57365,58.1016,0.)); #557833=CARTESIAN_POINT('',(43.57365,58.1016,0.035)); #557834=CARTESIAN_POINT('',(43.57365,58.1016,0.035)); #557835=CARTESIAN_POINT('',(43.57365,58.1016,0.)); #557836=CARTESIAN_POINT('Origin',(43.545053,58.107169,0.)); #557837=CARTESIAN_POINT('',(43.545053,58.107169,0.)); #557838=CARTESIAN_POINT('',(43.545053,58.107169,0.)); #557839=CARTESIAN_POINT('',(43.545053,58.107169,0.035)); #557840=CARTESIAN_POINT('',(43.545053,58.107169,0.035)); #557841=CARTESIAN_POINT('',(43.545053,58.107169,0.)); #557842=CARTESIAN_POINT('Origin',(43.519769,58.123919,0.)); #557843=CARTESIAN_POINT('',(43.519769,58.123919,0.)); #557844=CARTESIAN_POINT('',(43.519769,58.123919,0.)); #557845=CARTESIAN_POINT('',(43.519769,58.123919,0.035)); #557846=CARTESIAN_POINT('',(43.519769,58.123919,0.035)); #557847=CARTESIAN_POINT('',(43.519769,58.123919,0.)); #557848=CARTESIAN_POINT('Origin',(42.824709,58.818978,0.)); #557849=CARTESIAN_POINT('',(42.824709,58.818978,0.)); #557850=CARTESIAN_POINT('',(42.824709,58.818978,0.)); #557851=CARTESIAN_POINT('',(42.824709,58.818978,0.035)); #557852=CARTESIAN_POINT('',(42.824709,58.818978,0.035)); #557853=CARTESIAN_POINT('',(42.824709,58.818978,0.)); #557854=CARTESIAN_POINT('Origin',(42.804869,58.834997,0.)); #557855=CARTESIAN_POINT('',(42.804869,58.834997,0.)); #557856=CARTESIAN_POINT('',(42.804869,58.834997,0.)); #557857=CARTESIAN_POINT('',(42.804869,58.834997,0.035)); #557858=CARTESIAN_POINT('',(42.804869,58.834997,0.035)); #557859=CARTESIAN_POINT('',(42.804869,58.834997,0.)); #557860=CARTESIAN_POINT('Origin',(42.785728,58.844647,0.)); #557861=CARTESIAN_POINT('',(42.785728,58.844647,0.)); #557862=CARTESIAN_POINT('',(42.785728,58.844647,0.)); #557863=CARTESIAN_POINT('',(42.785728,58.844647,0.035)); #557864=CARTESIAN_POINT('',(42.785728,58.844647,0.035)); #557865=CARTESIAN_POINT('',(42.785728,58.844647,0.)); #557866=CARTESIAN_POINT('Origin',(42.763816,58.850359,0.)); #557867=CARTESIAN_POINT('',(42.763816,58.850359,0.)); #557868=CARTESIAN_POINT('',(42.763816,58.850359,0.)); #557869=CARTESIAN_POINT('',(42.763816,58.850359,0.035)); #557870=CARTESIAN_POINT('',(42.763816,58.850359,0.035)); #557871=CARTESIAN_POINT('',(42.763816,58.850359,0.)); #557872=CARTESIAN_POINT('Origin',(42.747153,58.8516,0.)); #557873=CARTESIAN_POINT('',(42.747153,58.8516,0.)); #557874=CARTESIAN_POINT('',(42.747153,58.8516,0.)); #557875=CARTESIAN_POINT('',(42.747153,58.8516,0.035)); #557876=CARTESIAN_POINT('',(42.747153,58.8516,0.035)); #557877=CARTESIAN_POINT('',(42.747153,58.8516,0.)); #557878=CARTESIAN_POINT('Origin',(37.754047,58.8516,0.)); #557879=CARTESIAN_POINT('',(37.754047,58.8516,0.)); #557880=CARTESIAN_POINT('',(37.754047,58.8516,0.)); #557881=CARTESIAN_POINT('',(37.754047,58.8516,0.035)); #557882=CARTESIAN_POINT('',(37.754047,58.8516,0.035)); #557883=CARTESIAN_POINT('',(37.754047,58.8516,0.)); #557884=CARTESIAN_POINT('Origin',(37.728691,58.8489,0.)); #557885=CARTESIAN_POINT('',(37.728691,58.8489,0.)); #557886=CARTESIAN_POINT('',(37.728691,58.8489,0.)); #557887=CARTESIAN_POINT('',(37.728691,58.8489,0.035)); #557888=CARTESIAN_POINT('',(37.728691,58.8489,0.035)); #557889=CARTESIAN_POINT('',(37.728691,58.8489,0.)); #557890=CARTESIAN_POINT('Origin',(37.708337,58.842194,0.)); #557891=CARTESIAN_POINT('',(37.708337,58.842194,0.)); #557892=CARTESIAN_POINT('',(37.708337,58.842194,0.)); #557893=CARTESIAN_POINT('',(37.708337,58.842194,0.035)); #557894=CARTESIAN_POINT('',(37.708337,58.842194,0.035)); #557895=CARTESIAN_POINT('',(37.708337,58.842194,0.)); #557896=CARTESIAN_POINT('Origin',(37.688803,58.830731,0.)); #557897=CARTESIAN_POINT('',(37.688803,58.830731,0.)); #557898=CARTESIAN_POINT('',(37.688803,58.830731,0.)); #557899=CARTESIAN_POINT('',(37.688803,58.830731,0.035)); #557900=CARTESIAN_POINT('',(37.688803,58.830731,0.035)); #557901=CARTESIAN_POINT('',(37.688803,58.830731,0.)); #557902=CARTESIAN_POINT('Origin',(37.676134,58.819822,0.)); #557903=CARTESIAN_POINT('',(37.676134,58.819822,0.)); #557904=CARTESIAN_POINT('',(37.676134,58.819822,0.)); #557905=CARTESIAN_POINT('',(37.676134,58.819822,0.035)); #557906=CARTESIAN_POINT('',(37.676134,58.819822,0.035)); #557907=CARTESIAN_POINT('',(37.676134,58.819822,0.)); #557908=CARTESIAN_POINT('Origin',(36.931022,58.074709,0.)); #557909=CARTESIAN_POINT('',(36.931022,58.074709,0.)); #557910=CARTESIAN_POINT('',(36.931022,58.074709,0.)); #557911=CARTESIAN_POINT('',(36.931022,58.074709,0.035)); #557912=CARTESIAN_POINT('',(36.931022,58.074709,0.035)); #557913=CARTESIAN_POINT('',(36.931022,58.074709,0.)); #557914=CARTESIAN_POINT('Origin',(36.915003,58.054869,0.)); #557915=CARTESIAN_POINT('',(36.915003,58.054869,0.)); #557916=CARTESIAN_POINT('',(36.915003,58.054869,0.)); #557917=CARTESIAN_POINT('',(36.915003,58.054869,0.035)); #557918=CARTESIAN_POINT('',(36.915003,58.054869,0.035)); #557919=CARTESIAN_POINT('',(36.915003,58.054869,0.)); #557920=CARTESIAN_POINT('Origin',(36.905353,58.035728,0.)); #557921=CARTESIAN_POINT('',(36.905353,58.035728,0.)); #557922=CARTESIAN_POINT('',(36.905353,58.035728,0.)); #557923=CARTESIAN_POINT('',(36.905353,58.035728,0.035)); #557924=CARTESIAN_POINT('',(36.905353,58.035728,0.035)); #557925=CARTESIAN_POINT('',(36.905353,58.035728,0.)); #557926=CARTESIAN_POINT('Origin',(36.899641,58.013816,0.)); #557927=CARTESIAN_POINT('',(36.899641,58.013816,0.)); #557928=CARTESIAN_POINT('',(36.899641,58.013816,0.)); #557929=CARTESIAN_POINT('',(36.899641,58.013816,0.035)); #557930=CARTESIAN_POINT('',(36.899641,58.013816,0.035)); #557931=CARTESIAN_POINT('',(36.899641,58.013816,0.)); #557932=CARTESIAN_POINT('Origin',(36.8984,57.997153,0.)); #557933=CARTESIAN_POINT('',(36.8984,57.997153,0.)); #557934=CARTESIAN_POINT('',(36.8984,57.997153,0.)); #557935=CARTESIAN_POINT('',(36.8984,57.997153,0.035)); #557936=CARTESIAN_POINT('',(36.8984,57.997153,0.035)); #557937=CARTESIAN_POINT('',(36.8984,57.997153,0.)); #557938=CARTESIAN_POINT('Origin',(36.8984,52.004047,0.)); #557939=CARTESIAN_POINT('',(36.8984,52.004047,0.)); #557940=CARTESIAN_POINT('',(36.8984,52.004047,0.)); #557941=CARTESIAN_POINT('',(36.8984,52.004047,0.035)); #557942=CARTESIAN_POINT('',(36.8984,52.004047,0.035)); #557943=CARTESIAN_POINT('',(36.8984,52.004047,0.)); #557944=CARTESIAN_POINT('Origin',(36.9011,51.978691,0.)); #557945=CARTESIAN_POINT('',(36.9011,51.978691,0.)); #557946=CARTESIAN_POINT('',(36.9011,51.978691,0.)); #557947=CARTESIAN_POINT('',(36.9011,51.978691,0.035)); #557948=CARTESIAN_POINT('',(36.9011,51.978691,0.035)); #557949=CARTESIAN_POINT('',(36.9011,51.978691,0.)); #557950=CARTESIAN_POINT('Origin',(36.907806,51.958337,0.)); #557951=CARTESIAN_POINT('',(36.907806,51.958337,0.)); #557952=CARTESIAN_POINT('',(36.907806,51.958337,0.)); #557953=CARTESIAN_POINT('',(36.907806,51.958337,0.035)); #557954=CARTESIAN_POINT('',(36.907806,51.958337,0.035)); #557955=CARTESIAN_POINT('',(36.907806,51.958337,0.)); #557956=CARTESIAN_POINT('Origin',(36.919269,51.938803,0.)); #557957=CARTESIAN_POINT('',(36.919269,51.938803,0.)); #557958=CARTESIAN_POINT('',(36.919269,51.938803,0.)); #557959=CARTESIAN_POINT('',(36.919269,51.938803,0.035)); #557960=CARTESIAN_POINT('',(36.919269,51.938803,0.035)); #557961=CARTESIAN_POINT('',(36.919269,51.938803,0.)); #557962=CARTESIAN_POINT('Origin',(36.930178,51.926134,0.)); #557963=CARTESIAN_POINT('',(36.930178,51.926134,0.)); #557964=CARTESIAN_POINT('',(36.930178,51.926134,0.)); #557965=CARTESIAN_POINT('',(36.930178,51.926134,0.035)); #557966=CARTESIAN_POINT('',(36.930178,51.926134,0.035)); #557967=CARTESIAN_POINT('',(36.930178,51.926134,0.)); #557968=CARTESIAN_POINT('Origin',(37.497631,51.358681,0.)); #557969=CARTESIAN_POINT('',(37.497631,51.358681,0.)); #557970=CARTESIAN_POINT('',(37.497631,51.358681,0.)); #557971=CARTESIAN_POINT('',(37.497631,51.358681,0.035)); #557972=CARTESIAN_POINT('',(37.497631,51.358681,0.035)); #557973=CARTESIAN_POINT('',(37.497631,51.358681,0.)); #557974=CARTESIAN_POINT('Origin',(37.512931,51.336744,0.)); #557975=CARTESIAN_POINT('',(37.512931,51.336744,0.)); #557976=CARTESIAN_POINT('',(37.512931,51.336744,0.)); #557977=CARTESIAN_POINT('',(37.512931,51.336744,0.035)); #557978=CARTESIAN_POINT('',(37.512931,51.336744,0.035)); #557979=CARTESIAN_POINT('',(37.512931,51.336744,0.)); #557980=CARTESIAN_POINT('Origin',(37.519913,51.307228,0.)); #557981=CARTESIAN_POINT('',(37.519913,51.307228,0.)); #557982=CARTESIAN_POINT('',(37.519913,51.307228,0.)); #557983=CARTESIAN_POINT('',(37.519913,51.307228,0.035)); #557984=CARTESIAN_POINT('',(37.519913,51.307228,0.035)); #557985=CARTESIAN_POINT('',(37.519913,51.307228,0.)); #557986=CARTESIAN_POINT('Origin',(37.514828,51.277331,0.)); #557987=CARTESIAN_POINT('',(37.514828,51.277331,0.)); #557988=CARTESIAN_POINT('',(37.514828,51.277331,0.)); #557989=CARTESIAN_POINT('',(37.514828,51.277331,0.035)); #557990=CARTESIAN_POINT('',(37.514828,51.277331,0.035)); #557991=CARTESIAN_POINT('',(37.514828,51.277331,0.)); #557992=CARTESIAN_POINT('Origin',(37.498484,51.251784,0.)); #557993=CARTESIAN_POINT('',(37.498484,51.251784,0.)); #557994=CARTESIAN_POINT('',(37.498484,51.251784,0.)); #557995=CARTESIAN_POINT('',(37.498484,51.251784,0.035)); #557996=CARTESIAN_POINT('',(37.498484,51.251784,0.035)); #557997=CARTESIAN_POINT('',(37.498484,51.251784,0.)); #557998=CARTESIAN_POINT('Origin',(37.473472,51.234634,0.)); #557999=CARTESIAN_POINT('',(37.473472,51.234634,0.)); #558000=CARTESIAN_POINT('',(37.473472,51.234634,0.)); #558001=CARTESIAN_POINT('',(37.473472,51.234634,0.035)); #558002=CARTESIAN_POINT('',(37.473472,51.234634,0.035)); #558003=CARTESIAN_POINT('',(37.473472,51.234634,0.)); #558004=CARTESIAN_POINT('Origin',(37.44375,51.2286,0.)); #558005=CARTESIAN_POINT('',(37.44375,51.2286,0.)); #558006=CARTESIAN_POINT('',(37.44375,51.2286,0.)); #558007=CARTESIAN_POINT('',(37.44375,51.2286,0.035)); #558008=CARTESIAN_POINT('',(37.44375,51.2286,0.035)); #558009=CARTESIAN_POINT('',(37.44375,51.2286,0.)); #558010=CARTESIAN_POINT('Origin',(36.813753,51.2286,0.)); #558011=CARTESIAN_POINT('',(36.813753,51.2286,0.)); #558012=CARTESIAN_POINT('',(36.813753,51.2286,0.)); #558013=CARTESIAN_POINT('',(36.813753,51.2286,0.035)); #558014=CARTESIAN_POINT('',(36.813753,51.2286,0.035)); #558015=CARTESIAN_POINT('',(36.813753,51.2286,0.)); #558016=CARTESIAN_POINT('Origin',(36.785156,51.234169,0.)); #558017=CARTESIAN_POINT('',(36.785156,51.234169,0.)); #558018=CARTESIAN_POINT('',(36.785156,51.234169,0.)); #558019=CARTESIAN_POINT('',(36.785156,51.234169,0.035)); #558020=CARTESIAN_POINT('',(36.785156,51.234169,0.035)); #558021=CARTESIAN_POINT('',(36.785156,51.234169,0.)); #558022=CARTESIAN_POINT('Origin',(36.759872,51.250919,0.)); #558023=CARTESIAN_POINT('',(36.759872,51.250919,0.)); #558024=CARTESIAN_POINT('',(36.759872,51.250919,0.)); #558025=CARTESIAN_POINT('',(36.759872,51.250919,0.035)); #558026=CARTESIAN_POINT('',(36.759872,51.250919,0.035)); #558027=CARTESIAN_POINT('',(36.759872,51.250919,0.)); #558028=CARTESIAN_POINT('Origin',(34.625919,53.384872,0.)); #558029=CARTESIAN_POINT('',(34.625919,53.384872,0.)); #558030=CARTESIAN_POINT('',(34.625919,53.384872,0.)); #558031=CARTESIAN_POINT('',(34.625919,53.384872,0.035)); #558032=CARTESIAN_POINT('',(34.625919,53.384872,0.035)); #558033=CARTESIAN_POINT('',(34.625919,53.384872,0.)); #558034=CARTESIAN_POINT('Origin',(34.609634,53.409031,0.)); #558035=CARTESIAN_POINT('',(34.609634,53.409031,0.)); #558036=CARTESIAN_POINT('',(34.609634,53.409031,0.)); #558037=CARTESIAN_POINT('',(34.609634,53.409031,0.035)); #558038=CARTESIAN_POINT('',(34.609634,53.409031,0.035)); #558039=CARTESIAN_POINT('',(34.609634,53.409031,0.)); #558040=CARTESIAN_POINT('Origin',(34.6036,53.438753,0.)); #558041=CARTESIAN_POINT('',(34.6036,53.438753,0.)); #558042=CARTESIAN_POINT('',(34.6036,53.438753,0.)); #558043=CARTESIAN_POINT('',(34.6036,53.438753,0.035)); #558044=CARTESIAN_POINT('',(34.6036,53.438753,0.035)); #558045=CARTESIAN_POINT('',(34.6036,53.438753,0.)); #558046=CARTESIAN_POINT('Origin',(34.6036,53.873747,0.)); #558047=CARTESIAN_POINT('',(34.6036,53.873747,0.)); #558048=CARTESIAN_POINT('',(34.6036,53.873747,0.)); #558049=CARTESIAN_POINT('',(34.6036,53.873747,0.035)); #558050=CARTESIAN_POINT('',(34.6036,53.873747,0.035)); #558051=CARTESIAN_POINT('',(34.6036,53.873747,0.)); #558052=CARTESIAN_POINT('Origin',(34.609169,53.902344,0.)); #558053=CARTESIAN_POINT('',(34.609169,53.902344,0.)); #558054=CARTESIAN_POINT('',(34.609169,53.902344,0.)); #558055=CARTESIAN_POINT('',(34.609169,53.902344,0.035)); #558056=CARTESIAN_POINT('',(34.609169,53.902344,0.035)); #558057=CARTESIAN_POINT('',(34.609169,53.902344,0.)); #558058=CARTESIAN_POINT('Origin',(34.625919,53.927628,0.)); #558059=CARTESIAN_POINT('',(34.625919,53.927628,0.)); #558060=CARTESIAN_POINT('',(34.625919,53.927628,0.)); #558061=CARTESIAN_POINT('',(34.625919,53.927628,0.035)); #558062=CARTESIAN_POINT('',(34.625919,53.927628,0.035)); #558063=CARTESIAN_POINT('',(34.625919,53.927628,0.)); #558064=CARTESIAN_POINT('Origin',(34.9786,54.280309,0.)); #558065=CARTESIAN_POINT('',(34.9786,54.280309,0.)); #558066=CARTESIAN_POINT('',(34.9786,54.280309,0.)); #558067=CARTESIAN_POINT('',(34.9786,54.280309,0.035)); #558068=CARTESIAN_POINT('',(34.9786,54.280309,0.035)); #558069=CARTESIAN_POINT('',(34.9786,54.280309,0.)); #558070=CARTESIAN_POINT('Origin',(34.9786,54.592603,0.)); #558071=CARTESIAN_POINT('',(34.9786,54.592603,0.)); #558072=CARTESIAN_POINT('',(34.9786,54.592603,0.)); #558073=CARTESIAN_POINT('',(34.9786,54.592603,0.035)); #558074=CARTESIAN_POINT('',(34.9786,54.592603,0.035)); #558075=CARTESIAN_POINT('',(34.9786,54.592603,0.)); #558076=CARTESIAN_POINT('Origin',(34.984169,54.6212,0.)); #558077=CARTESIAN_POINT('',(34.984169,54.6212,0.)); #558078=CARTESIAN_POINT('',(34.984169,54.6212,0.)); #558079=CARTESIAN_POINT('',(34.984169,54.6212,0.035)); #558080=CARTESIAN_POINT('',(34.984169,54.6212,0.035)); #558081=CARTESIAN_POINT('',(34.984169,54.6212,0.)); #558082=CARTESIAN_POINT('Origin',(35.000919,54.646484,0.)); #558083=CARTESIAN_POINT('',(35.000919,54.646484,0.)); #558084=CARTESIAN_POINT('',(35.000919,54.646484,0.)); #558085=CARTESIAN_POINT('',(35.000919,54.646484,0.035)); #558086=CARTESIAN_POINT('',(35.000919,54.646484,0.035)); #558087=CARTESIAN_POINT('',(35.000919,54.646484,0.)); #558088=CARTESIAN_POINT('Origin',(35.1774,54.822966,0.)); #558089=CARTESIAN_POINT('',(35.1774,54.822966,0.)); #558090=CARTESIAN_POINT('',(35.1774,54.822966,0.)); #558091=CARTESIAN_POINT('',(35.1774,54.822966,0.035)); #558092=CARTESIAN_POINT('',(35.1774,54.822966,0.035)); #558093=CARTESIAN_POINT('',(35.1774,54.822966,0.)); #558094=CARTESIAN_POINT('Origin',(35.1774,55.177034,0.)); #558095=CARTESIAN_POINT('',(35.1774,55.177034,0.)); #558096=CARTESIAN_POINT('',(35.1774,55.177034,0.)); #558097=CARTESIAN_POINT('',(35.1774,55.177034,0.035)); #558098=CARTESIAN_POINT('',(35.1774,55.177034,0.035)); #558099=CARTESIAN_POINT('',(35.1774,55.177034,0.)); #558100=CARTESIAN_POINT('Origin',(34.927034,55.4274,0.)); #558101=CARTESIAN_POINT('',(34.927034,55.4274,0.)); #558102=CARTESIAN_POINT('',(34.927034,55.4274,0.)); #558103=CARTESIAN_POINT('',(34.927034,55.4274,0.035)); #558104=CARTESIAN_POINT('',(34.927034,55.4274,0.035)); #558105=CARTESIAN_POINT('',(34.927034,55.4274,0.)); #558106=CARTESIAN_POINT('Origin',(34.572966,55.4274,0.)); #558107=CARTESIAN_POINT('',(34.572966,55.4274,0.)); #558108=CARTESIAN_POINT('',(34.572966,55.4274,0.)); #558109=CARTESIAN_POINT('',(34.572966,55.4274,0.035)); #558110=CARTESIAN_POINT('',(34.572966,55.4274,0.035)); #558111=CARTESIAN_POINT('',(34.572966,55.4274,0.)); #558112=CARTESIAN_POINT('Origin',(34.428881,55.283316,0.)); #558113=CARTESIAN_POINT('',(34.428881,55.283316,0.)); #558114=CARTESIAN_POINT('',(34.428881,55.283316,0.)); #558115=CARTESIAN_POINT('',(34.428881,55.283316,0.035)); #558116=CARTESIAN_POINT('',(34.428881,55.283316,0.035)); #558117=CARTESIAN_POINT('',(34.428881,55.283316,0.)); #558118=CARTESIAN_POINT('Origin',(34.405834,55.267516,0.)); #558119=CARTESIAN_POINT('',(34.405834,55.267516,0.)); #558120=CARTESIAN_POINT('',(34.405834,55.267516,0.)); #558121=CARTESIAN_POINT('',(34.405834,55.267516,0.035)); #558122=CARTESIAN_POINT('',(34.405834,55.267516,0.035)); #558123=CARTESIAN_POINT('',(34.405834,55.267516,0.)); #558124=CARTESIAN_POINT('Origin',(34.376216,55.261006,0.)); #558125=CARTESIAN_POINT('',(34.376216,55.261006,0.)); #558126=CARTESIAN_POINT('',(34.376216,55.261006,0.)); #558127=CARTESIAN_POINT('',(34.376216,55.261006,0.035)); #558128=CARTESIAN_POINT('',(34.376216,55.261006,0.035)); #558129=CARTESIAN_POINT('',(34.376216,55.261006,0.)); #558130=CARTESIAN_POINT('Origin',(34.346403,55.266566,0.)); #558131=CARTESIAN_POINT('',(34.346403,55.266566,0.)); #558132=CARTESIAN_POINT('',(34.346403,55.266566,0.)); #558133=CARTESIAN_POINT('',(34.346403,55.266566,0.035)); #558134=CARTESIAN_POINT('',(34.346403,55.266566,0.035)); #558135=CARTESIAN_POINT('',(34.346403,55.266566,0.)); #558136=CARTESIAN_POINT('Origin',(34.321119,55.283316,0.)); #558137=CARTESIAN_POINT('',(34.321119,55.283316,0.)); #558138=CARTESIAN_POINT('',(34.321119,55.283316,0.)); #558139=CARTESIAN_POINT('',(34.321119,55.283316,0.035)); #558140=CARTESIAN_POINT('',(34.321119,55.283316,0.035)); #558141=CARTESIAN_POINT('',(34.321119,55.283316,0.)); #558142=CARTESIAN_POINT('Origin',(34.177034,55.4274,0.)); #558143=CARTESIAN_POINT('',(34.177034,55.4274,0.)); #558144=CARTESIAN_POINT('',(34.177034,55.4274,0.)); #558145=CARTESIAN_POINT('',(34.177034,55.4274,0.035)); #558146=CARTESIAN_POINT('',(34.177034,55.4274,0.035)); #558147=CARTESIAN_POINT('',(34.177034,55.4274,0.)); #558148=CARTESIAN_POINT('Origin',(33.822966,55.4274,0.)); #558149=CARTESIAN_POINT('',(33.822966,55.4274,0.)); #558150=CARTESIAN_POINT('',(33.822966,55.4274,0.)); #558151=CARTESIAN_POINT('',(33.822966,55.4274,0.035)); #558152=CARTESIAN_POINT('',(33.822966,55.4274,0.035)); #558153=CARTESIAN_POINT('',(33.822966,55.4274,0.)); #558154=CARTESIAN_POINT('Origin',(33.678881,55.283316,0.)); #558155=CARTESIAN_POINT('',(33.678881,55.283316,0.)); #558156=CARTESIAN_POINT('',(33.678881,55.283316,0.)); #558157=CARTESIAN_POINT('',(33.678881,55.283316,0.035)); #558158=CARTESIAN_POINT('',(33.678881,55.283316,0.035)); #558159=CARTESIAN_POINT('',(33.678881,55.283316,0.)); #558160=CARTESIAN_POINT('Origin',(33.655834,55.267516,0.)); #558161=CARTESIAN_POINT('',(33.655834,55.267516,0.)); #558162=CARTESIAN_POINT('',(33.655834,55.267516,0.)); #558163=CARTESIAN_POINT('',(33.655834,55.267516,0.035)); #558164=CARTESIAN_POINT('',(33.655834,55.267516,0.035)); #558165=CARTESIAN_POINT('',(33.655834,55.267516,0.)); #558166=CARTESIAN_POINT('Origin',(33.626216,55.261006,0.)); #558167=CARTESIAN_POINT('',(33.626216,55.261006,0.)); #558168=CARTESIAN_POINT('',(33.626216,55.261006,0.)); #558169=CARTESIAN_POINT('',(33.626216,55.261006,0.035)); #558170=CARTESIAN_POINT('',(33.626216,55.261006,0.035)); #558171=CARTESIAN_POINT('',(33.626216,55.261006,0.)); #558172=CARTESIAN_POINT('Origin',(33.596403,55.266566,0.)); #558173=CARTESIAN_POINT('',(33.596403,55.266566,0.)); #558174=CARTESIAN_POINT('',(33.596403,55.266566,0.)); #558175=CARTESIAN_POINT('',(33.596403,55.266566,0.035)); #558176=CARTESIAN_POINT('',(33.596403,55.266566,0.035)); #558177=CARTESIAN_POINT('',(33.596403,55.266566,0.)); #558178=CARTESIAN_POINT('Origin',(33.571119,55.283316,0.)); #558179=CARTESIAN_POINT('',(33.571119,55.283316,0.)); #558180=CARTESIAN_POINT('',(33.571119,55.283316,0.)); #558181=CARTESIAN_POINT('',(33.571119,55.283316,0.035)); #558182=CARTESIAN_POINT('',(33.571119,55.283316,0.035)); #558183=CARTESIAN_POINT('',(33.571119,55.283316,0.)); #558184=CARTESIAN_POINT('Origin',(33.427034,55.4274,0.)); #558185=CARTESIAN_POINT('',(33.427034,55.4274,0.)); #558186=CARTESIAN_POINT('',(33.427034,55.4274,0.)); #558187=CARTESIAN_POINT('',(33.427034,55.4274,0.035)); #558188=CARTESIAN_POINT('',(33.427034,55.4274,0.035)); #558189=CARTESIAN_POINT('',(33.427034,55.4274,0.)); #558190=CARTESIAN_POINT('Origin',(33.072966,55.4274,0.)); #558191=CARTESIAN_POINT('',(33.072966,55.4274,0.)); #558192=CARTESIAN_POINT('',(33.072966,55.4274,0.)); #558193=CARTESIAN_POINT('',(33.072966,55.4274,0.035)); #558194=CARTESIAN_POINT('',(33.072966,55.4274,0.035)); #558195=CARTESIAN_POINT('',(33.072966,55.4274,0.)); #558196=CARTESIAN_POINT('Origin',(32.8226,55.177034,0.)); #558197=CARTESIAN_POINT('',(32.8226,55.177034,0.)); #558198=CARTESIAN_POINT('',(32.8226,55.177034,0.)); #558199=CARTESIAN_POINT('',(32.8226,55.177034,0.035)); #558200=CARTESIAN_POINT('',(32.8226,55.177034,0.035)); #558201=CARTESIAN_POINT('',(32.8226,55.177034,0.)); #558202=CARTESIAN_POINT('Origin',(32.8226,54.822966,0.)); #558203=CARTESIAN_POINT('',(32.8226,54.822966,0.)); #558204=CARTESIAN_POINT('',(32.8226,54.822966,0.)); #558205=CARTESIAN_POINT('',(32.8226,54.822966,0.035)); #558206=CARTESIAN_POINT('',(32.8226,54.822966,0.035)); #558207=CARTESIAN_POINT('',(32.8226,54.822966,0.)); #558208=CARTESIAN_POINT('Origin',(32.999081,54.646484,0.)); #558209=CARTESIAN_POINT('',(32.999081,54.646484,0.)); #558210=CARTESIAN_POINT('',(32.999081,54.646484,0.)); #558211=CARTESIAN_POINT('',(32.999081,54.646484,0.035)); #558212=CARTESIAN_POINT('',(32.999081,54.646484,0.035)); #558213=CARTESIAN_POINT('',(32.999081,54.646484,0.)); #558214=CARTESIAN_POINT('Origin',(33.015366,54.622325,0.)); #558215=CARTESIAN_POINT('',(33.015366,54.622325,0.)); #558216=CARTESIAN_POINT('',(33.015366,54.622325,0.)); #558217=CARTESIAN_POINT('',(33.015366,54.622325,0.035)); #558218=CARTESIAN_POINT('',(33.015366,54.622325,0.035)); #558219=CARTESIAN_POINT('',(33.015366,54.622325,0.)); #558220=CARTESIAN_POINT('Origin',(33.0214,54.592603,0.)); #558221=CARTESIAN_POINT('',(33.0214,54.592603,0.)); #558222=CARTESIAN_POINT('',(33.0214,54.592603,0.)); #558223=CARTESIAN_POINT('',(33.0214,54.592603,0.035)); #558224=CARTESIAN_POINT('',(33.0214,54.592603,0.035)); #558225=CARTESIAN_POINT('',(33.0214,54.592603,0.)); #558226=CARTESIAN_POINT('Origin',(33.0214,54.280309,0.)); #558227=CARTESIAN_POINT('',(33.0214,54.280309,0.)); #558228=CARTESIAN_POINT('',(33.0214,54.280309,0.)); #558229=CARTESIAN_POINT('',(33.0214,54.280309,0.035)); #558230=CARTESIAN_POINT('',(33.0214,54.280309,0.035)); #558231=CARTESIAN_POINT('',(33.0214,54.280309,0.)); #558232=CARTESIAN_POINT('Origin',(33.374081,53.927628,0.)); #558233=CARTESIAN_POINT('',(33.374081,53.927628,0.)); #558234=CARTESIAN_POINT('',(33.374081,53.927628,0.)); #558235=CARTESIAN_POINT('',(33.374081,53.927628,0.035)); #558236=CARTESIAN_POINT('',(33.374081,53.927628,0.035)); #558237=CARTESIAN_POINT('',(33.374081,53.927628,0.)); #558238=CARTESIAN_POINT('Origin',(33.390366,53.903469,0.)); #558239=CARTESIAN_POINT('',(33.390366,53.903469,0.)); #558240=CARTESIAN_POINT('',(33.390366,53.903469,0.)); #558241=CARTESIAN_POINT('',(33.390366,53.903469,0.035)); #558242=CARTESIAN_POINT('',(33.390366,53.903469,0.035)); #558243=CARTESIAN_POINT('',(33.390366,53.903469,0.)); #558244=CARTESIAN_POINT('Origin',(33.3964,53.873747,0.)); #558245=CARTESIAN_POINT('',(33.3964,53.873747,0.)); #558246=CARTESIAN_POINT('',(33.3964,53.873747,0.)); #558247=CARTESIAN_POINT('',(33.3964,53.873747,0.035)); #558248=CARTESIAN_POINT('',(33.3964,53.873747,0.035)); #558249=CARTESIAN_POINT('',(33.3964,53.873747,0.)); #558250=CARTESIAN_POINT('Origin',(33.3964,52.842809,0.)); #558251=CARTESIAN_POINT('',(33.3964,52.842809,0.)); #558252=CARTESIAN_POINT('',(33.3964,52.842809,0.)); #558253=CARTESIAN_POINT('',(33.3964,52.842809,0.035)); #558254=CARTESIAN_POINT('',(33.3964,52.842809,0.035)); #558255=CARTESIAN_POINT('',(33.3964,52.842809,0.)); #558256=CARTESIAN_POINT('Origin',(36.217809,50.0214,0.)); #558257=CARTESIAN_POINT('',(36.217809,50.0214,0.)); #558258=CARTESIAN_POINT('',(36.217809,50.0214,0.)); #558259=CARTESIAN_POINT('',(36.217809,50.0214,0.035)); #558260=CARTESIAN_POINT('',(36.217809,50.0214,0.035)); #558261=CARTESIAN_POINT('',(36.217809,50.0214,0.)); #558262=CARTESIAN_POINT('Origin',(41.123747,50.0214,0.)); #558263=CARTESIAN_POINT('',(41.123747,50.0214,0.)); #558264=CARTESIAN_POINT('',(41.123747,50.0214,0.)); #558265=CARTESIAN_POINT('',(41.123747,50.0214,0.035)); #558266=CARTESIAN_POINT('',(41.123747,50.0214,0.035)); #558267=CARTESIAN_POINT('',(41.123747,50.0214,0.)); #558268=CARTESIAN_POINT('Origin',(41.152344,50.015831,0.)); #558269=CARTESIAN_POINT('',(41.152344,50.015831,0.)); #558270=CARTESIAN_POINT('',(41.152344,50.015831,0.)); #558271=CARTESIAN_POINT('',(41.152344,50.015831,0.035)); #558272=CARTESIAN_POINT('',(41.152344,50.015831,0.035)); #558273=CARTESIAN_POINT('',(41.152344,50.015831,0.)); #558274=CARTESIAN_POINT('Origin',(41.177628,49.999081,0.)); #558275=CARTESIAN_POINT('',(41.177628,49.999081,0.)); #558276=CARTESIAN_POINT('',(41.177628,49.999081,0.)); #558277=CARTESIAN_POINT('',(41.177628,49.999081,0.035)); #558278=CARTESIAN_POINT('',(41.177628,49.999081,0.035)); #558279=CARTESIAN_POINT('',(41.177628,49.999081,0.)); #558280=CARTESIAN_POINT('Origin',(41.749081,49.427628,0.)); #558281=CARTESIAN_POINT('',(41.749081,49.427628,0.)); #558282=CARTESIAN_POINT('',(41.749081,49.427628,0.)); #558283=CARTESIAN_POINT('',(41.749081,49.427628,0.035)); #558284=CARTESIAN_POINT('',(41.749081,49.427628,0.035)); #558285=CARTESIAN_POINT('',(41.749081,49.427628,0.)); #558286=CARTESIAN_POINT('Origin',(41.765366,49.403469,0.)); #558287=CARTESIAN_POINT('',(41.765366,49.403469,0.)); #558288=CARTESIAN_POINT('',(41.765366,49.403469,0.)); #558289=CARTESIAN_POINT('',(41.765366,49.403469,0.035)); #558290=CARTESIAN_POINT('',(41.765366,49.403469,0.035)); #558291=CARTESIAN_POINT('',(41.765366,49.403469,0.)); #558292=CARTESIAN_POINT('Origin',(41.7714,49.373747,0.)); #558293=CARTESIAN_POINT('',(41.7714,49.373747,0.)); #558294=CARTESIAN_POINT('',(41.7714,49.373747,0.)); #558295=CARTESIAN_POINT('',(41.7714,49.373747,0.035)); #558296=CARTESIAN_POINT('',(41.7714,49.373747,0.035)); #558297=CARTESIAN_POINT('',(41.7714,49.373747,0.)); #558298=CARTESIAN_POINT('Origin',(41.7714,42.655309,0.)); #558299=CARTESIAN_POINT('',(41.7714,42.655309,0.)); #558300=CARTESIAN_POINT('',(41.7714,42.655309,0.)); #558301=CARTESIAN_POINT('',(41.7714,42.655309,0.035)); #558302=CARTESIAN_POINT('',(41.7714,42.655309,0.035)); #558303=CARTESIAN_POINT('',(41.7714,42.655309,0.)); #558304=CARTESIAN_POINT('Origin',(43.155309,41.2714,0.)); #558305=CARTESIAN_POINT('',(43.155309,41.2714,0.)); #558306=CARTESIAN_POINT('',(43.155309,41.2714,0.)); #558307=CARTESIAN_POINT('',(43.155309,41.2714,0.035)); #558308=CARTESIAN_POINT('',(43.155309,41.2714,0.035)); #558309=CARTESIAN_POINT('',(43.155309,41.2714,0.)); #558310=CARTESIAN_POINT('Origin',(46.623747,41.2714,0.)); #558311=CARTESIAN_POINT('',(46.623747,41.2714,0.)); #558312=CARTESIAN_POINT('',(46.623747,41.2714,0.)); #558313=CARTESIAN_POINT('',(46.623747,41.2714,0.035)); #558314=CARTESIAN_POINT('',(46.623747,41.2714,0.035)); #558315=CARTESIAN_POINT('',(46.623747,41.2714,0.)); #558316=CARTESIAN_POINT('Origin',(46.652344,41.265831,0.)); #558317=CARTESIAN_POINT('',(46.652344,41.265831,0.)); #558318=CARTESIAN_POINT('',(46.652344,41.265831,0.)); #558319=CARTESIAN_POINT('',(46.652344,41.265831,0.035)); #558320=CARTESIAN_POINT('',(46.652344,41.265831,0.035)); #558321=CARTESIAN_POINT('',(46.652344,41.265831,0.)); #558322=CARTESIAN_POINT('Origin',(46.677628,41.249081,0.)); #558323=CARTESIAN_POINT('',(46.677628,41.249081,0.)); #558324=CARTESIAN_POINT('',(46.677628,41.249081,0.)); #558325=CARTESIAN_POINT('',(46.677628,41.249081,0.035)); #558326=CARTESIAN_POINT('',(46.677628,41.249081,0.035)); #558327=CARTESIAN_POINT('',(46.677628,41.249081,0.)); #558328=CARTESIAN_POINT('Origin',(48.155309,39.7714,0.)); #558329=CARTESIAN_POINT('',(48.155309,39.7714,0.)); #558330=CARTESIAN_POINT('',(48.155309,39.7714,0.)); #558331=CARTESIAN_POINT('',(48.155309,39.7714,0.035)); #558332=CARTESIAN_POINT('',(48.155309,39.7714,0.035)); #558333=CARTESIAN_POINT('',(48.155309,39.7714,0.)); #558334=CARTESIAN_POINT('Origin',(57.373747,39.7714,0.)); #558335=CARTESIAN_POINT('',(57.373747,39.7714,0.)); #558336=CARTESIAN_POINT('',(57.373747,39.7714,0.)); #558337=CARTESIAN_POINT('',(57.373747,39.7714,0.035)); #558338=CARTESIAN_POINT('',(57.373747,39.7714,0.035)); #558339=CARTESIAN_POINT('',(57.373747,39.7714,0.)); #558340=CARTESIAN_POINT('Origin',(57.402344,39.765831,0.)); #558341=CARTESIAN_POINT('',(57.402344,39.765831,0.)); #558342=CARTESIAN_POINT('',(57.402344,39.765831,0.)); #558343=CARTESIAN_POINT('',(57.402344,39.765831,0.035)); #558344=CARTESIAN_POINT('',(57.402344,39.765831,0.035)); #558345=CARTESIAN_POINT('',(57.402344,39.765831,0.)); #558346=CARTESIAN_POINT('Origin',(57.427628,39.749081,0.)); #558347=CARTESIAN_POINT('',(57.427628,39.749081,0.)); #558348=CARTESIAN_POINT('',(57.427628,39.749081,0.)); #558349=CARTESIAN_POINT('',(57.427628,39.749081,0.035)); #558350=CARTESIAN_POINT('',(57.427628,39.749081,0.035)); #558351=CARTESIAN_POINT('',(57.427628,39.749081,0.)); #558352=CARTESIAN_POINT('Origin',(59.249081,37.927628,0.)); #558353=CARTESIAN_POINT('',(59.249081,37.927628,0.)); #558354=CARTESIAN_POINT('',(59.249081,37.927628,0.)); #558355=CARTESIAN_POINT('',(59.249081,37.927628,0.035)); #558356=CARTESIAN_POINT('',(59.249081,37.927628,0.035)); #558357=CARTESIAN_POINT('',(59.249081,37.927628,0.)); #558358=CARTESIAN_POINT('Origin',(59.265366,37.903469,0.)); #558359=CARTESIAN_POINT('',(59.265366,37.903469,0.)); #558360=CARTESIAN_POINT('',(59.265366,37.903469,0.)); #558361=CARTESIAN_POINT('',(59.265366,37.903469,0.035)); #558362=CARTESIAN_POINT('',(59.265366,37.903469,0.035)); #558363=CARTESIAN_POINT('',(59.265366,37.903469,0.)); #558364=CARTESIAN_POINT('Origin',(59.2714,37.873747,0.)); #558365=CARTESIAN_POINT('',(59.2714,37.873747,0.)); #558366=CARTESIAN_POINT('',(59.2714,37.873747,0.)); #558367=CARTESIAN_POINT('',(59.2714,37.873747,0.035)); #558368=CARTESIAN_POINT('',(59.2714,37.873747,0.035)); #558369=CARTESIAN_POINT('',(59.2714,37.873747,0.)); #558370=CARTESIAN_POINT('Origin',(59.2714,19.876253,0.)); #558371=CARTESIAN_POINT('',(59.2714,19.876253,0.)); #558372=CARTESIAN_POINT('',(59.2714,19.876253,0.)); #558373=CARTESIAN_POINT('',(59.2714,19.876253,0.035)); #558374=CARTESIAN_POINT('',(59.2714,19.876253,0.035)); #558375=CARTESIAN_POINT('',(59.2714,19.876253,0.)); #558376=CARTESIAN_POINT('Origin',(59.265831,19.847656,0.)); #558377=CARTESIAN_POINT('',(59.265831,19.847656,0.)); #558378=CARTESIAN_POINT('',(59.265831,19.847656,0.)); #558379=CARTESIAN_POINT('',(59.265831,19.847656,0.035)); #558380=CARTESIAN_POINT('',(59.265831,19.847656,0.035)); #558381=CARTESIAN_POINT('',(59.265831,19.847656,0.)); #558382=CARTESIAN_POINT('Origin',(59.249081,19.822372,0.)); #558383=CARTESIAN_POINT('',(59.249081,19.822372,0.)); #558384=CARTESIAN_POINT('',(59.249081,19.822372,0.)); #558385=CARTESIAN_POINT('',(59.249081,19.822372,0.035)); #558386=CARTESIAN_POINT('',(59.249081,19.822372,0.035)); #558387=CARTESIAN_POINT('',(59.249081,19.822372,0.)); #558388=CARTESIAN_POINT('Origin',(54.302628,14.875919,0.)); #558389=CARTESIAN_POINT('',(54.302628,14.875919,0.)); #558390=CARTESIAN_POINT('',(54.302628,14.875919,0.)); #558391=CARTESIAN_POINT('',(54.302628,14.875919,0.035)); #558392=CARTESIAN_POINT('',(54.302628,14.875919,0.035)); #558393=CARTESIAN_POINT('',(54.302628,14.875919,0.)); #558394=CARTESIAN_POINT('Origin',(54.278469,14.859634,0.)); #558395=CARTESIAN_POINT('',(54.278469,14.859634,0.)); #558396=CARTESIAN_POINT('',(54.278469,14.859634,0.)); #558397=CARTESIAN_POINT('',(54.278469,14.859634,0.035)); #558398=CARTESIAN_POINT('',(54.278469,14.859634,0.035)); #558399=CARTESIAN_POINT('',(54.278469,14.859634,0.)); #558400=CARTESIAN_POINT('Origin',(54.248747,14.8536,0.)); #558401=CARTESIAN_POINT('',(54.248747,14.8536,0.)); #558402=CARTESIAN_POINT('',(54.248747,14.8536,0.)); #558403=CARTESIAN_POINT('',(54.248747,14.8536,0.035)); #558404=CARTESIAN_POINT('',(54.248747,14.8536,0.035)); #558405=CARTESIAN_POINT('',(54.248747,14.8536,0.)); #558406=CARTESIAN_POINT('Origin',(43.313753,14.8536,0.)); #558407=CARTESIAN_POINT('',(43.313753,14.8536,0.)); #558408=CARTESIAN_POINT('',(43.313753,14.8536,0.)); #558409=CARTESIAN_POINT('',(43.313753,14.8536,0.035)); #558410=CARTESIAN_POINT('',(43.313753,14.8536,0.035)); #558411=CARTESIAN_POINT('',(43.313753,14.8536,0.)); #558412=CARTESIAN_POINT('Origin',(43.285156,14.859169,0.)); #558413=CARTESIAN_POINT('',(43.285156,14.859169,0.)); #558414=CARTESIAN_POINT('',(43.285156,14.859169,0.)); #558415=CARTESIAN_POINT('',(43.285156,14.859169,0.035)); #558416=CARTESIAN_POINT('',(43.285156,14.859169,0.035)); #558417=CARTESIAN_POINT('',(43.285156,14.859169,0.)); #558418=CARTESIAN_POINT('Origin',(43.259872,14.875919,0.)); #558419=CARTESIAN_POINT('',(43.259872,14.875919,0.)); #558420=CARTESIAN_POINT('',(43.259872,14.875919,0.)); #558421=CARTESIAN_POINT('',(43.259872,14.875919,0.035)); #558422=CARTESIAN_POINT('',(43.259872,14.875919,0.035)); #558423=CARTESIAN_POINT('',(43.259872,14.875919,0.)); #558424=CARTESIAN_POINT('Origin',(43.032191,15.1036,0.)); #558425=CARTESIAN_POINT('',(43.032191,15.1036,0.)); #558426=CARTESIAN_POINT('',(43.032191,15.1036,0.)); #558427=CARTESIAN_POINT('',(43.032191,15.1036,0.035)); #558428=CARTESIAN_POINT('',(43.032191,15.1036,0.035)); #558429=CARTESIAN_POINT('',(43.032191,15.1036,0.)); #558430=CARTESIAN_POINT('Origin',(41.751253,15.1036,0.)); #558431=CARTESIAN_POINT('',(41.751253,15.1036,0.)); #558432=CARTESIAN_POINT('',(41.751253,15.1036,0.)); #558433=CARTESIAN_POINT('',(41.751253,15.1036,0.035)); #558434=CARTESIAN_POINT('',(41.751253,15.1036,0.035)); #558435=CARTESIAN_POINT('',(41.751253,15.1036,0.)); #558436=CARTESIAN_POINT('Origin',(41.722656,15.109169,0.)); #558437=CARTESIAN_POINT('',(41.722656,15.109169,0.)); #558438=CARTESIAN_POINT('',(41.722656,15.109169,0.)); #558439=CARTESIAN_POINT('',(41.722656,15.109169,0.035)); #558440=CARTESIAN_POINT('',(41.722656,15.109169,0.035)); #558441=CARTESIAN_POINT('',(41.722656,15.109169,0.)); #558442=CARTESIAN_POINT('Origin',(41.697372,15.125919,0.)); #558443=CARTESIAN_POINT('',(41.697372,15.125919,0.)); #558444=CARTESIAN_POINT('',(41.697372,15.125919,0.)); #558445=CARTESIAN_POINT('',(41.697372,15.125919,0.035)); #558446=CARTESIAN_POINT('',(41.697372,15.125919,0.035)); #558447=CARTESIAN_POINT('',(41.697372,15.125919,0.)); #558448=CARTESIAN_POINT('Origin',(40.094691,16.7286,0.)); #558449=CARTESIAN_POINT('',(40.094691,16.7286,0.)); #558450=CARTESIAN_POINT('',(40.094691,16.7286,0.)); #558451=CARTESIAN_POINT('',(40.094691,16.7286,0.035)); #558452=CARTESIAN_POINT('',(40.094691,16.7286,0.035)); #558453=CARTESIAN_POINT('',(40.094691,16.7286,0.)); #558454=CARTESIAN_POINT('Origin',(39.657397,16.7286,0.)); #558455=CARTESIAN_POINT('',(39.657397,16.7286,0.)); #558456=CARTESIAN_POINT('',(39.657397,16.7286,0.)); #558457=CARTESIAN_POINT('',(39.657397,16.7286,0.035)); #558458=CARTESIAN_POINT('',(39.657397,16.7286,0.035)); #558459=CARTESIAN_POINT('',(39.657397,16.7286,0.)); #558460=CARTESIAN_POINT('Origin',(39.6288,16.734169,0.)); #558461=CARTESIAN_POINT('',(39.6288,16.734169,0.)); #558462=CARTESIAN_POINT('',(39.6288,16.734169,0.)); #558463=CARTESIAN_POINT('',(39.6288,16.734169,0.035)); #558464=CARTESIAN_POINT('',(39.6288,16.734169,0.035)); #558465=CARTESIAN_POINT('',(39.6288,16.734169,0.)); #558466=CARTESIAN_POINT('Origin',(39.603516,16.750919,0.)); #558467=CARTESIAN_POINT('',(39.603516,16.750919,0.)); #558468=CARTESIAN_POINT('',(39.603516,16.750919,0.)); #558469=CARTESIAN_POINT('',(39.603516,16.750919,0.035)); #558470=CARTESIAN_POINT('',(39.603516,16.750919,0.035)); #558471=CARTESIAN_POINT('',(39.603516,16.750919,0.)); #558472=CARTESIAN_POINT('Origin',(39.408316,16.946119,0.)); #558473=CARTESIAN_POINT('',(39.408316,16.946119,0.)); #558474=CARTESIAN_POINT('',(39.408316,16.946119,0.)); #558475=CARTESIAN_POINT('',(39.408316,16.946119,0.035)); #558476=CARTESIAN_POINT('',(39.408316,16.946119,0.035)); #558477=CARTESIAN_POINT('',(39.408316,16.946119,0.)); #558478=CARTESIAN_POINT('Origin',(39.392516,16.969166,0.)); #558479=CARTESIAN_POINT('',(39.392516,16.969166,0.)); #558480=CARTESIAN_POINT('',(39.392516,16.969166,0.)); #558481=CARTESIAN_POINT('',(39.392516,16.969166,0.035)); #558482=CARTESIAN_POINT('',(39.392516,16.969166,0.035)); #558483=CARTESIAN_POINT('',(39.392516,16.969166,0.)); #558484=CARTESIAN_POINT('Origin',(39.386006,16.998784,0.)); #558485=CARTESIAN_POINT('',(39.386006,16.998784,0.)); #558486=CARTESIAN_POINT('',(39.386006,16.998784,0.)); #558487=CARTESIAN_POINT('',(39.386006,16.998784,0.035)); #558488=CARTESIAN_POINT('',(39.386006,16.998784,0.035)); #558489=CARTESIAN_POINT('',(39.386006,16.998784,0.)); #558490=CARTESIAN_POINT('Origin',(39.391566,17.028597,0.)); #558491=CARTESIAN_POINT('',(39.391566,17.028597,0.)); #558492=CARTESIAN_POINT('',(39.391566,17.028597,0.)); #558493=CARTESIAN_POINT('',(39.391566,17.028597,0.035)); #558494=CARTESIAN_POINT('',(39.391566,17.028597,0.035)); #558495=CARTESIAN_POINT('',(39.391566,17.028597,0.)); #558496=CARTESIAN_POINT('Origin',(39.408316,17.053881,0.)); #558497=CARTESIAN_POINT('',(39.408316,17.053881,0.)); #558498=CARTESIAN_POINT('',(39.408316,17.053881,0.)); #558499=CARTESIAN_POINT('',(39.408316,17.053881,0.035)); #558500=CARTESIAN_POINT('',(39.408316,17.053881,0.035)); #558501=CARTESIAN_POINT('',(39.408316,17.053881,0.)); #558502=CARTESIAN_POINT('Origin',(39.6774,17.322966,0.)); #558503=CARTESIAN_POINT('',(39.6774,17.322966,0.)); #558504=CARTESIAN_POINT('',(39.6774,17.322966,0.)); #558505=CARTESIAN_POINT('',(39.6774,17.322966,0.035)); #558506=CARTESIAN_POINT('',(39.6774,17.322966,0.035)); #558507=CARTESIAN_POINT('',(39.6774,17.322966,0.)); #558508=CARTESIAN_POINT('Origin',(39.6774,17.677034,0.)); #558509=CARTESIAN_POINT('',(39.6774,17.677034,0.)); #558510=CARTESIAN_POINT('',(39.6774,17.677034,0.)); #558511=CARTESIAN_POINT('',(39.6774,17.677034,0.035)); #558512=CARTESIAN_POINT('',(39.6774,17.677034,0.035)); #558513=CARTESIAN_POINT('',(39.6774,17.677034,0.)); #558514=CARTESIAN_POINT('Origin',(39.533316,17.821119,0.)); #558515=CARTESIAN_POINT('',(39.533316,17.821119,0.)); #558516=CARTESIAN_POINT('',(39.533316,17.821119,0.)); #558517=CARTESIAN_POINT('',(39.533316,17.821119,0.035)); #558518=CARTESIAN_POINT('',(39.533316,17.821119,0.035)); #558519=CARTESIAN_POINT('',(39.533316,17.821119,0.)); #558520=CARTESIAN_POINT('Origin',(39.517516,17.844166,0.)); #558521=CARTESIAN_POINT('',(39.517516,17.844166,0.)); #558522=CARTESIAN_POINT('',(39.517516,17.844166,0.)); #558523=CARTESIAN_POINT('',(39.517516,17.844166,0.035)); #558524=CARTESIAN_POINT('',(39.517516,17.844166,0.035)); #558525=CARTESIAN_POINT('',(39.517516,17.844166,0.)); #558526=CARTESIAN_POINT('Origin',(39.511006,17.873784,0.)); #558527=CARTESIAN_POINT('',(39.511006,17.873784,0.)); #558528=CARTESIAN_POINT('',(39.511006,17.873784,0.)); #558529=CARTESIAN_POINT('',(39.511006,17.873784,0.035)); #558530=CARTESIAN_POINT('',(39.511006,17.873784,0.035)); #558531=CARTESIAN_POINT('',(39.511006,17.873784,0.)); #558532=CARTESIAN_POINT('Origin',(39.516566,17.903597,0.)); #558533=CARTESIAN_POINT('',(39.516566,17.903597,0.)); #558534=CARTESIAN_POINT('',(39.516566,17.903597,0.)); #558535=CARTESIAN_POINT('',(39.516566,17.903597,0.035)); #558536=CARTESIAN_POINT('',(39.516566,17.903597,0.035)); #558537=CARTESIAN_POINT('',(39.516566,17.903597,0.)); #558538=CARTESIAN_POINT('Origin',(39.533316,17.928881,0.)); #558539=CARTESIAN_POINT('',(39.533316,17.928881,0.)); #558540=CARTESIAN_POINT('',(39.533316,17.928881,0.)); #558541=CARTESIAN_POINT('',(39.533316,17.928881,0.035)); #558542=CARTESIAN_POINT('',(39.533316,17.928881,0.035)); #558543=CARTESIAN_POINT('',(39.533316,17.928881,0.)); #558544=CARTESIAN_POINT('Origin',(39.603516,17.999081,0.)); #558545=CARTESIAN_POINT('',(39.603516,17.999081,0.)); #558546=CARTESIAN_POINT('',(39.603516,17.999081,0.)); #558547=CARTESIAN_POINT('',(39.603516,17.999081,0.035)); #558548=CARTESIAN_POINT('',(39.603516,17.999081,0.035)); #558549=CARTESIAN_POINT('',(39.603516,17.999081,0.)); #558550=CARTESIAN_POINT('Origin',(39.627675,18.015366,0.)); #558551=CARTESIAN_POINT('',(39.627675,18.015366,0.)); #558552=CARTESIAN_POINT('',(39.627675,18.015366,0.)); #558553=CARTESIAN_POINT('',(39.627675,18.015366,0.035)); #558554=CARTESIAN_POINT('',(39.627675,18.015366,0.035)); #558555=CARTESIAN_POINT('',(39.627675,18.015366,0.)); #558556=CARTESIAN_POINT('Origin',(39.657397,18.0214,0.)); #558557=CARTESIAN_POINT('',(39.657397,18.0214,0.)); #558558=CARTESIAN_POINT('',(39.657397,18.0214,0.)); #558559=CARTESIAN_POINT('',(39.657397,18.0214,0.035)); #558560=CARTESIAN_POINT('',(39.657397,18.0214,0.035)); #558561=CARTESIAN_POINT('',(39.657397,18.0214,0.)); #558562=CARTESIAN_POINT('Origin',(41.373747,18.0214,0.)); #558563=CARTESIAN_POINT('',(41.373747,18.0214,0.)); #558564=CARTESIAN_POINT('',(41.373747,18.0214,0.)); #558565=CARTESIAN_POINT('',(41.373747,18.0214,0.035)); #558566=CARTESIAN_POINT('',(41.373747,18.0214,0.035)); #558567=CARTESIAN_POINT('',(41.373747,18.0214,0.)); #558568=CARTESIAN_POINT('Origin',(41.402344,18.015831,0.)); #558569=CARTESIAN_POINT('',(41.402344,18.015831,0.)); #558570=CARTESIAN_POINT('',(41.402344,18.015831,0.)); #558571=CARTESIAN_POINT('',(41.402344,18.015831,0.035)); #558572=CARTESIAN_POINT('',(41.402344,18.015831,0.035)); #558573=CARTESIAN_POINT('',(41.402344,18.015831,0.)); #558574=CARTESIAN_POINT('Origin',(41.427628,17.999081,0.)); #558575=CARTESIAN_POINT('',(41.427628,17.999081,0.)); #558576=CARTESIAN_POINT('',(41.427628,17.999081,0.)); #558577=CARTESIAN_POINT('',(41.427628,17.999081,0.035)); #558578=CARTESIAN_POINT('',(41.427628,17.999081,0.035)); #558579=CARTESIAN_POINT('',(41.427628,17.999081,0.)); #558580=CARTESIAN_POINT('Origin',(42.249081,17.177628,0.)); #558581=CARTESIAN_POINT('',(42.249081,17.177628,0.)); #558582=CARTESIAN_POINT('',(42.249081,17.177628,0.)); #558583=CARTESIAN_POINT('',(42.249081,17.177628,0.035)); #558584=CARTESIAN_POINT('',(42.249081,17.177628,0.035)); #558585=CARTESIAN_POINT('',(42.249081,17.177628,0.)); #558586=CARTESIAN_POINT('Origin',(42.265366,17.153469,0.)); #558587=CARTESIAN_POINT('',(42.265366,17.153469,0.)); #558588=CARTESIAN_POINT('',(42.265366,17.153469,0.)); #558589=CARTESIAN_POINT('',(42.265366,17.153469,0.035)); #558590=CARTESIAN_POINT('',(42.265366,17.153469,0.035)); #558591=CARTESIAN_POINT('',(42.265366,17.153469,0.)); #558592=CARTESIAN_POINT('Origin',(42.2714,17.123747,0.)); #558593=CARTESIAN_POINT('',(42.2714,17.123747,0.)); #558594=CARTESIAN_POINT('',(42.2714,17.123747,0.)); #558595=CARTESIAN_POINT('',(42.2714,17.123747,0.035)); #558596=CARTESIAN_POINT('',(42.2714,17.123747,0.035)); #558597=CARTESIAN_POINT('',(42.2714,17.123747,0.)); #558598=CARTESIAN_POINT('Origin',(42.2714,16.407397,0.)); #558599=CARTESIAN_POINT('',(42.2714,16.407397,0.)); #558600=CARTESIAN_POINT('',(42.2714,16.407397,0.)); #558601=CARTESIAN_POINT('',(42.2714,16.407397,0.035)); #558602=CARTESIAN_POINT('',(42.2714,16.407397,0.035)); #558603=CARTESIAN_POINT('',(42.2714,16.407397,0.)); #558604=CARTESIAN_POINT('Origin',(42.265831,16.3788,0.)); #558605=CARTESIAN_POINT('',(42.265831,16.3788,0.)); #558606=CARTESIAN_POINT('',(42.265831,16.3788,0.)); #558607=CARTESIAN_POINT('',(42.265831,16.3788,0.035)); #558608=CARTESIAN_POINT('',(42.265831,16.3788,0.035)); #558609=CARTESIAN_POINT('',(42.265831,16.3788,0.)); #558610=CARTESIAN_POINT('Origin',(42.249081,16.353516,0.)); #558611=CARTESIAN_POINT('',(42.249081,16.353516,0.)); #558612=CARTESIAN_POINT('',(42.249081,16.353516,0.)); #558613=CARTESIAN_POINT('',(42.249081,16.353516,0.035)); #558614=CARTESIAN_POINT('',(42.249081,16.353516,0.035)); #558615=CARTESIAN_POINT('',(42.249081,16.353516,0.)); #558616=CARTESIAN_POINT('Origin',(42.0726,16.177034,0.)); #558617=CARTESIAN_POINT('',(42.0726,16.177034,0.)); #558618=CARTESIAN_POINT('',(42.0726,16.177034,0.)); #558619=CARTESIAN_POINT('',(42.0726,16.177034,0.035)); #558620=CARTESIAN_POINT('',(42.0726,16.177034,0.035)); #558621=CARTESIAN_POINT('',(42.0726,16.177034,0.)); #558622=CARTESIAN_POINT('Origin',(42.0726,15.822966,0.)); #558623=CARTESIAN_POINT('',(42.0726,15.822966,0.)); #558624=CARTESIAN_POINT('',(42.0726,15.822966,0.)); #558625=CARTESIAN_POINT('',(42.0726,15.822966,0.035)); #558626=CARTESIAN_POINT('',(42.0726,15.822966,0.035)); #558627=CARTESIAN_POINT('',(42.0726,15.822966,0.)); #558628=CARTESIAN_POINT('Origin',(42.322966,15.5726,0.)); #558629=CARTESIAN_POINT('',(42.322966,15.5726,0.)); #558630=CARTESIAN_POINT('',(42.322966,15.5726,0.)); #558631=CARTESIAN_POINT('',(42.322966,15.5726,0.035)); #558632=CARTESIAN_POINT('',(42.322966,15.5726,0.035)); #558633=CARTESIAN_POINT('',(42.322966,15.5726,0.)); #558634=CARTESIAN_POINT('Origin',(42.677034,15.5726,0.)); #558635=CARTESIAN_POINT('',(42.677034,15.5726,0.)); #558636=CARTESIAN_POINT('',(42.677034,15.5726,0.)); #558637=CARTESIAN_POINT('',(42.677034,15.5726,0.035)); #558638=CARTESIAN_POINT('',(42.677034,15.5726,0.035)); #558639=CARTESIAN_POINT('',(42.677034,15.5726,0.)); #558640=CARTESIAN_POINT('Origin',(42.9274,15.822966,0.)); #558641=CARTESIAN_POINT('',(42.9274,15.822966,0.)); #558642=CARTESIAN_POINT('',(42.9274,15.822966,0.)); #558643=CARTESIAN_POINT('',(42.9274,15.822966,0.035)); #558644=CARTESIAN_POINT('',(42.9274,15.822966,0.035)); #558645=CARTESIAN_POINT('',(42.9274,15.822966,0.)); #558646=CARTESIAN_POINT('Origin',(42.9274,16.177034,0.)); #558647=CARTESIAN_POINT('',(42.9274,16.177034,0.)); #558648=CARTESIAN_POINT('',(42.9274,16.177034,0.)); #558649=CARTESIAN_POINT('',(42.9274,16.177034,0.035)); #558650=CARTESIAN_POINT('',(42.9274,16.177034,0.035)); #558651=CARTESIAN_POINT('',(42.9274,16.177034,0.)); #558652=CARTESIAN_POINT('Origin',(42.750919,16.353516,0.)); #558653=CARTESIAN_POINT('',(42.750919,16.353516,0.)); #558654=CARTESIAN_POINT('',(42.750919,16.353516,0.)); #558655=CARTESIAN_POINT('',(42.750919,16.353516,0.035)); #558656=CARTESIAN_POINT('',(42.750919,16.353516,0.035)); #558657=CARTESIAN_POINT('',(42.750919,16.353516,0.)); #558658=CARTESIAN_POINT('Origin',(42.734634,16.377675,0.)); #558659=CARTESIAN_POINT('',(42.734634,16.377675,0.)); #558660=CARTESIAN_POINT('',(42.734634,16.377675,0.)); #558661=CARTESIAN_POINT('',(42.734634,16.377675,0.035)); #558662=CARTESIAN_POINT('',(42.734634,16.377675,0.035)); #558663=CARTESIAN_POINT('',(42.734634,16.377675,0.)); #558664=CARTESIAN_POINT('Origin',(42.7286,16.407397,0.)); #558665=CARTESIAN_POINT('',(42.7286,16.407397,0.)); #558666=CARTESIAN_POINT('',(42.7286,16.407397,0.)); #558667=CARTESIAN_POINT('',(42.7286,16.407397,0.035)); #558668=CARTESIAN_POINT('',(42.7286,16.407397,0.035)); #558669=CARTESIAN_POINT('',(42.7286,16.407397,0.)); #558670=CARTESIAN_POINT('Origin',(42.7286,17.344691,0.)); #558671=CARTESIAN_POINT('',(42.7286,17.344691,0.)); #558672=CARTESIAN_POINT('',(42.7286,17.344691,0.)); #558673=CARTESIAN_POINT('',(42.7286,17.344691,0.035)); #558674=CARTESIAN_POINT('',(42.7286,17.344691,0.035)); #558675=CARTESIAN_POINT('',(42.7286,17.344691,0.)); #558676=CARTESIAN_POINT('Origin',(41.594691,18.4786,0.)); #558677=CARTESIAN_POINT('',(41.594691,18.4786,0.)); #558678=CARTESIAN_POINT('',(41.594691,18.4786,0.)); #558679=CARTESIAN_POINT('',(41.594691,18.4786,0.035)); #558680=CARTESIAN_POINT('',(41.594691,18.4786,0.035)); #558681=CARTESIAN_POINT('',(41.594691,18.4786,0.)); #558682=CARTESIAN_POINT('Origin',(39.657397,18.4786,0.)); #558683=CARTESIAN_POINT('',(39.657397,18.4786,0.)); #558684=CARTESIAN_POINT('',(39.657397,18.4786,0.)); #558685=CARTESIAN_POINT('',(39.657397,18.4786,0.035)); #558686=CARTESIAN_POINT('',(39.657397,18.4786,0.035)); #558687=CARTESIAN_POINT('',(39.657397,18.4786,0.)); #558688=CARTESIAN_POINT('Origin',(39.6288,18.484169,0.)); #558689=CARTESIAN_POINT('',(39.6288,18.484169,0.)); #558690=CARTESIAN_POINT('',(39.6288,18.484169,0.)); #558691=CARTESIAN_POINT('',(39.6288,18.484169,0.035)); #558692=CARTESIAN_POINT('',(39.6288,18.484169,0.035)); #558693=CARTESIAN_POINT('',(39.6288,18.484169,0.)); #558694=CARTESIAN_POINT('Origin',(39.603516,18.500919,0.)); #558695=CARTESIAN_POINT('',(39.603516,18.500919,0.)); #558696=CARTESIAN_POINT('',(39.603516,18.500919,0.)); #558697=CARTESIAN_POINT('',(39.603516,18.500919,0.035)); #558698=CARTESIAN_POINT('',(39.603516,18.500919,0.035)); #558699=CARTESIAN_POINT('',(39.603516,18.500919,0.)); #558700=CARTESIAN_POINT('Origin',(39.533316,18.571119,0.)); #558701=CARTESIAN_POINT('',(39.533316,18.571119,0.)); #558702=CARTESIAN_POINT('',(39.533316,18.571119,0.)); #558703=CARTESIAN_POINT('',(39.533316,18.571119,0.035)); #558704=CARTESIAN_POINT('',(39.533316,18.571119,0.035)); #558705=CARTESIAN_POINT('',(39.533316,18.571119,0.)); #558706=CARTESIAN_POINT('Origin',(39.517516,18.594166,0.)); #558707=CARTESIAN_POINT('',(39.517516,18.594166,0.)); #558708=CARTESIAN_POINT('',(39.517516,18.594166,0.)); #558709=CARTESIAN_POINT('',(39.517516,18.594166,0.035)); #558710=CARTESIAN_POINT('',(39.517516,18.594166,0.035)); #558711=CARTESIAN_POINT('',(39.517516,18.594166,0.)); #558712=CARTESIAN_POINT('Origin',(39.511006,18.623784,0.)); #558713=CARTESIAN_POINT('',(39.511006,18.623784,0.)); #558714=CARTESIAN_POINT('',(39.511006,18.623784,0.)); #558715=CARTESIAN_POINT('',(39.511006,18.623784,0.035)); #558716=CARTESIAN_POINT('',(39.511006,18.623784,0.035)); #558717=CARTESIAN_POINT('',(39.511006,18.623784,0.)); #558718=CARTESIAN_POINT('Origin',(39.516566,18.653597,0.)); #558719=CARTESIAN_POINT('',(39.516566,18.653597,0.)); #558720=CARTESIAN_POINT('',(39.516566,18.653597,0.)); #558721=CARTESIAN_POINT('',(39.516566,18.653597,0.035)); #558722=CARTESIAN_POINT('',(39.516566,18.653597,0.035)); #558723=CARTESIAN_POINT('',(39.516566,18.653597,0.)); #558724=CARTESIAN_POINT('Origin',(39.533316,18.678881,0.)); #558725=CARTESIAN_POINT('',(39.533316,18.678881,0.)); #558726=CARTESIAN_POINT('',(39.533316,18.678881,0.)); #558727=CARTESIAN_POINT('',(39.533316,18.678881,0.035)); #558728=CARTESIAN_POINT('',(39.533316,18.678881,0.035)); #558729=CARTESIAN_POINT('',(39.533316,18.678881,0.)); #558730=CARTESIAN_POINT('Origin',(39.6774,18.822966,0.)); #558731=CARTESIAN_POINT('',(39.6774,18.822966,0.)); #558732=CARTESIAN_POINT('',(39.6774,18.822966,0.)); #558733=CARTESIAN_POINT('',(39.6774,18.822966,0.035)); #558734=CARTESIAN_POINT('',(39.6774,18.822966,0.035)); #558735=CARTESIAN_POINT('',(39.6774,18.822966,0.)); #558736=CARTESIAN_POINT('Origin',(39.6774,19.177034,0.)); #558737=CARTESIAN_POINT('',(39.6774,19.177034,0.)); #558738=CARTESIAN_POINT('',(39.6774,19.177034,0.)); #558739=CARTESIAN_POINT('',(39.6774,19.177034,0.035)); #558740=CARTESIAN_POINT('',(39.6774,19.177034,0.035)); #558741=CARTESIAN_POINT('',(39.6774,19.177034,0.)); #558742=CARTESIAN_POINT('Origin',(39.427034,19.4274,0.)); #558743=CARTESIAN_POINT('',(39.427034,19.4274,0.)); #558744=CARTESIAN_POINT('',(39.427034,19.4274,0.)); #558745=CARTESIAN_POINT('',(39.427034,19.4274,0.035)); #558746=CARTESIAN_POINT('',(39.427034,19.4274,0.035)); #558747=CARTESIAN_POINT('',(39.427034,19.4274,0.)); #558748=CARTESIAN_POINT('Origin',(39.072966,19.4274,0.)); #558749=CARTESIAN_POINT('',(39.072966,19.4274,0.)); #558750=CARTESIAN_POINT('',(39.072966,19.4274,0.)); #558751=CARTESIAN_POINT('',(39.072966,19.4274,0.035)); #558752=CARTESIAN_POINT('',(39.072966,19.4274,0.035)); #558753=CARTESIAN_POINT('',(39.072966,19.4274,0.)); #558754=CARTESIAN_POINT('Origin',(38.8226,19.177034,0.)); #558755=CARTESIAN_POINT('',(38.8226,19.177034,0.)); #558756=CARTESIAN_POINT('',(38.8226,19.177034,0.)); #558757=CARTESIAN_POINT('',(38.8226,19.177034,0.035)); #558758=CARTESIAN_POINT('',(38.8226,19.177034,0.035)); #558759=CARTESIAN_POINT('',(38.8226,19.177034,0.)); #558760=CARTESIAN_POINT('Origin',(38.8226,18.822966,0.)); #558761=CARTESIAN_POINT('',(38.8226,18.822966,0.)); #558762=CARTESIAN_POINT('',(38.8226,18.822966,0.)); #558763=CARTESIAN_POINT('',(38.8226,18.822966,0.035)); #558764=CARTESIAN_POINT('',(38.8226,18.822966,0.035)); #558765=CARTESIAN_POINT('',(38.8226,18.822966,0.)); #558766=CARTESIAN_POINT('Origin',(38.966684,18.678881,0.)); #558767=CARTESIAN_POINT('',(38.966684,18.678881,0.)); #558768=CARTESIAN_POINT('',(38.966684,18.678881,0.)); #558769=CARTESIAN_POINT('',(38.966684,18.678881,0.035)); #558770=CARTESIAN_POINT('',(38.966684,18.678881,0.035)); #558771=CARTESIAN_POINT('',(38.966684,18.678881,0.)); #558772=CARTESIAN_POINT('Origin',(38.982484,18.655834,0.)); #558773=CARTESIAN_POINT('',(38.982484,18.655834,0.)); #558774=CARTESIAN_POINT('',(38.982484,18.655834,0.)); #558775=CARTESIAN_POINT('',(38.982484,18.655834,0.035)); #558776=CARTESIAN_POINT('',(38.982484,18.655834,0.035)); #558777=CARTESIAN_POINT('',(38.982484,18.655834,0.)); #558778=CARTESIAN_POINT('Origin',(38.988994,18.626216,0.)); #558779=CARTESIAN_POINT('',(38.988994,18.626216,0.)); #558780=CARTESIAN_POINT('',(38.988994,18.626216,0.)); #558781=CARTESIAN_POINT('',(38.988994,18.626216,0.035)); #558782=CARTESIAN_POINT('',(38.988994,18.626216,0.035)); #558783=CARTESIAN_POINT('',(38.988994,18.626216,0.)); #558784=CARTESIAN_POINT('Origin',(38.983434,18.596403,0.)); #558785=CARTESIAN_POINT('',(38.983434,18.596403,0.)); #558786=CARTESIAN_POINT('',(38.983434,18.596403,0.)); #558787=CARTESIAN_POINT('',(38.983434,18.596403,0.035)); #558788=CARTESIAN_POINT('',(38.983434,18.596403,0.035)); #558789=CARTESIAN_POINT('',(38.983434,18.596403,0.)); #558790=CARTESIAN_POINT('Origin',(38.966684,18.571119,0.)); #558791=CARTESIAN_POINT('',(38.966684,18.571119,0.)); #558792=CARTESIAN_POINT('',(38.966684,18.571119,0.)); #558793=CARTESIAN_POINT('',(38.966684,18.571119,0.035)); #558794=CARTESIAN_POINT('',(38.966684,18.571119,0.035)); #558795=CARTESIAN_POINT('',(38.966684,18.571119,0.)); #558796=CARTESIAN_POINT('Origin',(38.8226,18.427034,0.)); #558797=CARTESIAN_POINT('',(38.8226,18.427034,0.)); #558798=CARTESIAN_POINT('',(38.8226,18.427034,0.)); #558799=CARTESIAN_POINT('',(38.8226,18.427034,0.035)); #558800=CARTESIAN_POINT('',(38.8226,18.427034,0.035)); #558801=CARTESIAN_POINT('',(38.8226,18.427034,0.)); #558802=CARTESIAN_POINT('Origin',(38.8226,18.072966,0.)); #558803=CARTESIAN_POINT('',(38.8226,18.072966,0.)); #558804=CARTESIAN_POINT('',(38.8226,18.072966,0.)); #558805=CARTESIAN_POINT('',(38.8226,18.072966,0.035)); #558806=CARTESIAN_POINT('',(38.8226,18.072966,0.035)); #558807=CARTESIAN_POINT('',(38.8226,18.072966,0.)); #558808=CARTESIAN_POINT('Origin',(38.966684,17.928881,0.)); #558809=CARTESIAN_POINT('',(38.966684,17.928881,0.)); #558810=CARTESIAN_POINT('',(38.966684,17.928881,0.)); #558811=CARTESIAN_POINT('',(38.966684,17.928881,0.035)); #558812=CARTESIAN_POINT('',(38.966684,17.928881,0.035)); #558813=CARTESIAN_POINT('',(38.966684,17.928881,0.)); #558814=CARTESIAN_POINT('Origin',(38.982484,17.905834,0.)); #558815=CARTESIAN_POINT('',(38.982484,17.905834,0.)); #558816=CARTESIAN_POINT('',(38.982484,17.905834,0.)); #558817=CARTESIAN_POINT('',(38.982484,17.905834,0.035)); #558818=CARTESIAN_POINT('',(38.982484,17.905834,0.035)); #558819=CARTESIAN_POINT('',(38.982484,17.905834,0.)); #558820=CARTESIAN_POINT('Origin',(38.988994,17.876216,0.)); #558821=CARTESIAN_POINT('',(38.988994,17.876216,0.)); #558822=CARTESIAN_POINT('',(38.988994,17.876216,0.)); #558823=CARTESIAN_POINT('',(38.988994,17.876216,0.035)); #558824=CARTESIAN_POINT('',(38.988994,17.876216,0.035)); #558825=CARTESIAN_POINT('',(38.988994,17.876216,0.)); #558826=CARTESIAN_POINT('Origin',(38.983434,17.846403,0.)); #558827=CARTESIAN_POINT('',(38.983434,17.846403,0.)); #558828=CARTESIAN_POINT('',(38.983434,17.846403,0.)); #558829=CARTESIAN_POINT('',(38.983434,17.846403,0.035)); #558830=CARTESIAN_POINT('',(38.983434,17.846403,0.035)); #558831=CARTESIAN_POINT('',(38.983434,17.846403,0.)); #558832=CARTESIAN_POINT('Origin',(38.966684,17.821119,0.)); #558833=CARTESIAN_POINT('',(38.966684,17.821119,0.)); #558834=CARTESIAN_POINT('',(38.966684,17.821119,0.)); #558835=CARTESIAN_POINT('',(38.966684,17.821119,0.035)); #558836=CARTESIAN_POINT('',(38.966684,17.821119,0.035)); #558837=CARTESIAN_POINT('',(38.966684,17.821119,0.)); #558838=CARTESIAN_POINT('Origin',(38.8226,17.677034,0.)); #558839=CARTESIAN_POINT('',(38.8226,17.677034,0.)); #558840=CARTESIAN_POINT('',(38.8226,17.677034,0.)); #558841=CARTESIAN_POINT('',(38.8226,17.677034,0.035)); #558842=CARTESIAN_POINT('',(38.8226,17.677034,0.035)); #558843=CARTESIAN_POINT('',(38.8226,17.677034,0.)); #558844=CARTESIAN_POINT('Origin',(38.8226,17.322966,0.)); #558845=CARTESIAN_POINT('',(38.8226,17.322966,0.)); #558846=CARTESIAN_POINT('',(38.8226,17.322966,0.)); #558847=CARTESIAN_POINT('',(38.8226,17.322966,0.035)); #558848=CARTESIAN_POINT('',(38.8226,17.322966,0.035)); #558849=CARTESIAN_POINT('',(38.8226,17.322966,0.)); #558850=CARTESIAN_POINT('Origin',(39.091684,17.053881,0.)); #558851=CARTESIAN_POINT('',(39.091684,17.053881,0.)); #558852=CARTESIAN_POINT('',(39.091684,17.053881,0.)); #558853=CARTESIAN_POINT('',(39.091684,17.053881,0.035)); #558854=CARTESIAN_POINT('',(39.091684,17.053881,0.035)); #558855=CARTESIAN_POINT('',(39.091684,17.053881,0.)); #558856=CARTESIAN_POINT('Origin',(39.107484,17.030834,0.)); #558857=CARTESIAN_POINT('',(39.107484,17.030834,0.)); #558858=CARTESIAN_POINT('',(39.107484,17.030834,0.)); #558859=CARTESIAN_POINT('',(39.107484,17.030834,0.035)); #558860=CARTESIAN_POINT('',(39.107484,17.030834,0.035)); #558861=CARTESIAN_POINT('',(39.107484,17.030834,0.)); #558862=CARTESIAN_POINT('Origin',(39.113994,17.001216,0.)); #558863=CARTESIAN_POINT('',(39.113994,17.001216,0.)); #558864=CARTESIAN_POINT('',(39.113994,17.001216,0.)); #558865=CARTESIAN_POINT('',(39.113994,17.001216,0.035)); #558866=CARTESIAN_POINT('',(39.113994,17.001216,0.035)); #558867=CARTESIAN_POINT('',(39.113994,17.001216,0.)); #558868=CARTESIAN_POINT('Origin',(39.108434,16.971403,0.)); #558869=CARTESIAN_POINT('',(39.108434,16.971403,0.)); #558870=CARTESIAN_POINT('',(39.108434,16.971403,0.)); #558871=CARTESIAN_POINT('',(39.108434,16.971403,0.035)); #558872=CARTESIAN_POINT('',(39.108434,16.971403,0.035)); #558873=CARTESIAN_POINT('',(39.108434,16.971403,0.)); #558874=CARTESIAN_POINT('Origin',(39.091684,16.946119,0.)); #558875=CARTESIAN_POINT('',(39.091684,16.946119,0.)); #558876=CARTESIAN_POINT('',(39.091684,16.946119,0.)); #558877=CARTESIAN_POINT('',(39.091684,16.946119,0.035)); #558878=CARTESIAN_POINT('',(39.091684,16.946119,0.035)); #558879=CARTESIAN_POINT('',(39.091684,16.946119,0.)); #558880=CARTESIAN_POINT('Origin',(38.8226,16.677034,0.)); #558881=CARTESIAN_POINT('',(38.8226,16.677034,0.)); #558882=CARTESIAN_POINT('',(38.8226,16.677034,0.)); #558883=CARTESIAN_POINT('',(38.8226,16.677034,0.035)); #558884=CARTESIAN_POINT('',(38.8226,16.677034,0.035)); #558885=CARTESIAN_POINT('',(38.8226,16.677034,0.)); #558886=CARTESIAN_POINT('Origin',(38.8226,16.322966,0.)); #558887=CARTESIAN_POINT('',(38.8226,16.322966,0.)); #558888=CARTESIAN_POINT('',(38.8226,16.322966,0.)); #558889=CARTESIAN_POINT('',(38.8226,16.322966,0.035)); #558890=CARTESIAN_POINT('',(38.8226,16.322966,0.035)); #558891=CARTESIAN_POINT('',(38.8226,16.322966,0.)); #558892=CARTESIAN_POINT('Origin',(38.966684,16.178881,0.)); #558893=CARTESIAN_POINT('',(38.966684,16.178881,0.)); #558894=CARTESIAN_POINT('',(38.966684,16.178881,0.)); #558895=CARTESIAN_POINT('',(38.966684,16.178881,0.035)); #558896=CARTESIAN_POINT('',(38.966684,16.178881,0.035)); #558897=CARTESIAN_POINT('',(38.966684,16.178881,0.)); #558898=CARTESIAN_POINT('Origin',(38.982484,16.155834,0.)); #558899=CARTESIAN_POINT('',(38.982484,16.155834,0.)); #558900=CARTESIAN_POINT('',(38.982484,16.155834,0.)); #558901=CARTESIAN_POINT('',(38.982484,16.155834,0.035)); #558902=CARTESIAN_POINT('',(38.982484,16.155834,0.035)); #558903=CARTESIAN_POINT('',(38.982484,16.155834,0.)); #558904=CARTESIAN_POINT('Origin',(38.988994,16.126216,0.)); #558905=CARTESIAN_POINT('',(38.988994,16.126216,0.)); #558906=CARTESIAN_POINT('',(38.988994,16.126216,0.)); #558907=CARTESIAN_POINT('',(38.988994,16.126216,0.035)); #558908=CARTESIAN_POINT('',(38.988994,16.126216,0.035)); #558909=CARTESIAN_POINT('',(38.988994,16.126216,0.)); #558910=CARTESIAN_POINT('Origin',(38.983434,16.096403,0.)); #558911=CARTESIAN_POINT('',(38.983434,16.096403,0.)); #558912=CARTESIAN_POINT('',(38.983434,16.096403,0.)); #558913=CARTESIAN_POINT('',(38.983434,16.096403,0.035)); #558914=CARTESIAN_POINT('',(38.983434,16.096403,0.035)); #558915=CARTESIAN_POINT('',(38.983434,16.096403,0.)); #558916=CARTESIAN_POINT('Origin',(38.966684,16.071119,0.)); #558917=CARTESIAN_POINT('',(38.966684,16.071119,0.)); #558918=CARTESIAN_POINT('',(38.966684,16.071119,0.)); #558919=CARTESIAN_POINT('',(38.966684,16.071119,0.035)); #558920=CARTESIAN_POINT('',(38.966684,16.071119,0.035)); #558921=CARTESIAN_POINT('',(38.966684,16.071119,0.)); #558922=CARTESIAN_POINT('Origin',(38.8226,15.927034,0.)); #558923=CARTESIAN_POINT('',(38.8226,15.927034,0.)); #558924=CARTESIAN_POINT('',(38.8226,15.927034,0.)); #558925=CARTESIAN_POINT('',(38.8226,15.927034,0.035)); #558926=CARTESIAN_POINT('',(38.8226,15.927034,0.035)); #558927=CARTESIAN_POINT('',(38.8226,15.927034,0.)); #558928=CARTESIAN_POINT('Origin',(38.8226,15.572966,0.)); #558929=CARTESIAN_POINT('',(38.8226,15.572966,0.)); #558930=CARTESIAN_POINT('',(38.8226,15.572966,0.)); #558931=CARTESIAN_POINT('',(38.8226,15.572966,0.035)); #558932=CARTESIAN_POINT('',(38.8226,15.572966,0.035)); #558933=CARTESIAN_POINT('',(38.8226,15.572966,0.)); #558934=CARTESIAN_POINT('Origin',(39.072966,15.3226,0.)); #558935=CARTESIAN_POINT('',(39.072966,15.3226,0.)); #558936=CARTESIAN_POINT('',(39.072966,15.3226,0.)); #558937=CARTESIAN_POINT('',(39.072966,15.3226,0.035)); #558938=CARTESIAN_POINT('',(39.072966,15.3226,0.035)); #558939=CARTESIAN_POINT('',(39.072966,15.3226,0.)); #558940=CARTESIAN_POINT('Origin',(39.427034,15.3226,0.)); #558941=CARTESIAN_POINT('',(39.427034,15.3226,0.)); #558942=CARTESIAN_POINT('',(39.427034,15.3226,0.)); #558943=CARTESIAN_POINT('',(39.427034,15.3226,0.035)); #558944=CARTESIAN_POINT('',(39.427034,15.3226,0.035)); #558945=CARTESIAN_POINT('',(39.427034,15.3226,0.)); #558946=CARTESIAN_POINT('Origin',(39.585241,15.480806,0.)); #558947=CARTESIAN_POINT('',(39.585241,15.480806,0.)); #558948=CARTESIAN_POINT('',(39.585241,15.480806,0.)); #558949=CARTESIAN_POINT('',(39.585241,15.480806,0.035)); #558950=CARTESIAN_POINT('',(39.585241,15.480806,0.035)); #558951=CARTESIAN_POINT('',(39.585241,15.480806,0.)); #558952=CARTESIAN_POINT('Origin',(39.608288,15.496606,0.)); #558953=CARTESIAN_POINT('',(39.608288,15.496606,0.)); #558954=CARTESIAN_POINT('',(39.608288,15.496606,0.)); #558955=CARTESIAN_POINT('',(39.608288,15.496606,0.035)); #558956=CARTESIAN_POINT('',(39.608288,15.496606,0.035)); #558957=CARTESIAN_POINT('',(39.608288,15.496606,0.)); #558958=CARTESIAN_POINT('Origin',(39.637906,15.503116,0.)); #558959=CARTESIAN_POINT('',(39.637906,15.503116,0.)); #558960=CARTESIAN_POINT('',(39.637906,15.503116,0.)); #558961=CARTESIAN_POINT('',(39.637906,15.503116,0.035)); #558962=CARTESIAN_POINT('',(39.637906,15.503116,0.035)); #558963=CARTESIAN_POINT('',(39.637906,15.503116,0.)); #558964=CARTESIAN_POINT('Origin',(39.667719,15.497556,0.)); #558965=CARTESIAN_POINT('',(39.667719,15.497556,0.)); #558966=CARTESIAN_POINT('',(39.667719,15.497556,0.)); #558967=CARTESIAN_POINT('',(39.667719,15.497556,0.035)); #558968=CARTESIAN_POINT('',(39.667719,15.497556,0.035)); #558969=CARTESIAN_POINT('',(39.667719,15.497556,0.)); #558970=CARTESIAN_POINT('Origin',(39.693003,15.480806,0.)); #558971=CARTESIAN_POINT('',(39.693003,15.480806,0.)); #558972=CARTESIAN_POINT('',(39.693003,15.480806,0.)); #558973=CARTESIAN_POINT('',(39.693003,15.480806,0.035)); #558974=CARTESIAN_POINT('',(39.693003,15.480806,0.035)); #558975=CARTESIAN_POINT('',(39.693003,15.480806,0.)); #558976=CARTESIAN_POINT('Origin',(41.777409,13.3964,0.)); #558977=CARTESIAN_POINT('',(41.777409,13.3964,0.)); #558978=CARTESIAN_POINT('',(41.777409,13.3964,0.035)); #558979=CARTESIAN_POINT('Origin',(30.939034,59.8226,0.)); #558980=CARTESIAN_POINT('',(30.939034,59.8226,0.)); #558981=CARTESIAN_POINT('',(30.584966,59.8226,0.)); #558982=CARTESIAN_POINT('',(30.939034,59.8226,0.)); #558983=CARTESIAN_POINT('',(30.584966,59.8226,0.035)); #558984=CARTESIAN_POINT('',(30.584966,59.8226,0.)); #558985=CARTESIAN_POINT('',(30.939034,59.8226,0.035)); #558986=CARTESIAN_POINT('',(30.939034,59.8226,0.035)); #558987=CARTESIAN_POINT('',(30.939034,59.8226,0.)); #558988=CARTESIAN_POINT('Origin',(31.1894,60.072966,0.)); #558989=CARTESIAN_POINT('',(31.1894,60.072966,0.)); #558990=CARTESIAN_POINT('',(31.1894,60.072966,0.)); #558991=CARTESIAN_POINT('',(31.1894,60.072966,0.035)); #558992=CARTESIAN_POINT('',(31.1894,60.072966,0.035)); #558993=CARTESIAN_POINT('',(31.1894,60.072966,0.)); #558994=CARTESIAN_POINT('Origin',(31.1894,60.427034,0.)); #558995=CARTESIAN_POINT('',(31.1894,60.427034,0.)); #558996=CARTESIAN_POINT('',(31.1894,60.427034,0.)); #558997=CARTESIAN_POINT('',(31.1894,60.427034,0.035)); #558998=CARTESIAN_POINT('',(31.1894,60.427034,0.035)); #558999=CARTESIAN_POINT('',(31.1894,60.427034,0.)); #559000=CARTESIAN_POINT('Origin',(31.012919,60.603516,0.)); #559001=CARTESIAN_POINT('',(31.012919,60.603516,0.)); #559002=CARTESIAN_POINT('',(31.012919,60.603516,0.)); #559003=CARTESIAN_POINT('',(31.012919,60.603516,0.035)); #559004=CARTESIAN_POINT('',(31.012919,60.603516,0.035)); #559005=CARTESIAN_POINT('',(31.012919,60.603516,0.)); #559006=CARTESIAN_POINT('Origin',(30.996634,60.627675,0.)); #559007=CARTESIAN_POINT('',(30.996634,60.627675,0.)); #559008=CARTESIAN_POINT('',(30.996634,60.627675,0.)); #559009=CARTESIAN_POINT('',(30.996634,60.627675,0.035)); #559010=CARTESIAN_POINT('',(30.996634,60.627675,0.035)); #559011=CARTESIAN_POINT('',(30.996634,60.627675,0.)); #559012=CARTESIAN_POINT('Origin',(30.9906,60.657397,0.)); #559013=CARTESIAN_POINT('',(30.9906,60.657397,0.)); #559014=CARTESIAN_POINT('',(30.9906,60.657397,0.)); #559015=CARTESIAN_POINT('',(30.9906,60.657397,0.035)); #559016=CARTESIAN_POINT('',(30.9906,60.657397,0.035)); #559017=CARTESIAN_POINT('',(30.9906,60.657397,0.)); #559018=CARTESIAN_POINT('Origin',(30.9906,65.3269,0.)); #559019=CARTESIAN_POINT('',(30.9906,65.3269,0.)); #559020=CARTESIAN_POINT('',(30.9906,65.3269,0.)); #559021=CARTESIAN_POINT('',(30.9906,65.3269,0.035)); #559022=CARTESIAN_POINT('',(30.9906,65.3269,0.035)); #559023=CARTESIAN_POINT('',(30.9906,65.3269,0.)); #559024=CARTESIAN_POINT('Origin',(30.995722,65.354369,0.)); #559025=CARTESIAN_POINT('',(30.995722,65.354369,0.)); #559026=CARTESIAN_POINT('',(30.995722,65.354369,0.)); #559027=CARTESIAN_POINT('',(30.995722,65.354369,0.035)); #559028=CARTESIAN_POINT('',(30.995722,65.354369,0.035)); #559029=CARTESIAN_POINT('',(30.995722,65.354369,0.)); #559030=CARTESIAN_POINT('Origin',(31.012066,65.379916,0.)); #559031=CARTESIAN_POINT('',(31.012066,65.379916,0.)); #559032=CARTESIAN_POINT('',(31.012066,65.379916,0.)); #559033=CARTESIAN_POINT('',(31.012066,65.379916,0.035)); #559034=CARTESIAN_POINT('',(31.012066,65.379916,0.035)); #559035=CARTESIAN_POINT('',(31.012066,65.379916,0.)); #559036=CARTESIAN_POINT('Origin',(31.037078,65.397066,0.)); #559037=CARTESIAN_POINT('',(31.037078,65.397066,0.)); #559038=CARTESIAN_POINT('',(31.037078,65.397066,0.)); #559039=CARTESIAN_POINT('',(31.037078,65.397066,0.035)); #559040=CARTESIAN_POINT('',(31.037078,65.397066,0.035)); #559041=CARTESIAN_POINT('',(31.037078,65.397066,0.)); #559042=CARTESIAN_POINT('Origin',(31.0668,65.4031,0.)); #559043=CARTESIAN_POINT('',(31.0668,65.4031,0.)); #559044=CARTESIAN_POINT('',(31.0668,65.4031,0.)); #559045=CARTESIAN_POINT('',(31.0668,65.4031,0.035)); #559046=CARTESIAN_POINT('',(31.0668,65.4031,0.035)); #559047=CARTESIAN_POINT('',(31.0668,65.4031,0.)); #559048=CARTESIAN_POINT('Origin',(31.244228,65.4031,0.)); #559049=CARTESIAN_POINT('',(31.244228,65.4031,0.)); #559050=CARTESIAN_POINT('',(31.244228,65.4031,0.)); #559051=CARTESIAN_POINT('',(31.244228,65.4031,0.035)); #559052=CARTESIAN_POINT('',(31.244228,65.4031,0.035)); #559053=CARTESIAN_POINT('',(31.244228,65.4031,0.)); #559054=CARTESIAN_POINT('Origin',(31.3335,65.492372,0.)); #559055=CARTESIAN_POINT('',(31.3335,65.492372,0.)); #559056=CARTESIAN_POINT('',(31.3335,65.492372,0.)); #559057=CARTESIAN_POINT('',(31.3335,65.492372,0.035)); #559058=CARTESIAN_POINT('',(31.3335,65.492372,0.035)); #559059=CARTESIAN_POINT('',(31.3335,65.492372,0.)); #559060=CARTESIAN_POINT('Origin',(31.3335,66.507628,0.)); #559061=CARTESIAN_POINT('',(31.3335,66.507628,0.)); #559062=CARTESIAN_POINT('',(31.3335,66.507628,0.)); #559063=CARTESIAN_POINT('',(31.3335,66.507628,0.035)); #559064=CARTESIAN_POINT('',(31.3335,66.507628,0.035)); #559065=CARTESIAN_POINT('',(31.3335,66.507628,0.)); #559066=CARTESIAN_POINT('Origin',(31.244228,66.5969,0.)); #559067=CARTESIAN_POINT('',(31.244228,66.5969,0.)); #559068=CARTESIAN_POINT('',(31.244228,66.5969,0.)); #559069=CARTESIAN_POINT('',(31.244228,66.5969,0.035)); #559070=CARTESIAN_POINT('',(31.244228,66.5969,0.035)); #559071=CARTESIAN_POINT('',(31.244228,66.5969,0.)); #559072=CARTESIAN_POINT('Origin',(30.279772,66.5969,0.)); #559073=CARTESIAN_POINT('',(30.279772,66.5969,0.)); #559074=CARTESIAN_POINT('',(30.279772,66.5969,0.)); #559075=CARTESIAN_POINT('',(30.279772,66.5969,0.035)); #559076=CARTESIAN_POINT('',(30.279772,66.5969,0.035)); #559077=CARTESIAN_POINT('',(30.279772,66.5969,0.)); #559078=CARTESIAN_POINT('Origin',(30.1905,66.507628,0.)); #559079=CARTESIAN_POINT('',(30.1905,66.507628,0.)); #559080=CARTESIAN_POINT('',(30.1905,66.507628,0.)); #559081=CARTESIAN_POINT('',(30.1905,66.507628,0.035)); #559082=CARTESIAN_POINT('',(30.1905,66.507628,0.035)); #559083=CARTESIAN_POINT('',(30.1905,66.507628,0.)); #559084=CARTESIAN_POINT('Origin',(30.1905,65.492372,0.)); #559085=CARTESIAN_POINT('',(30.1905,65.492372,0.)); #559086=CARTESIAN_POINT('',(30.1905,65.492372,0.)); #559087=CARTESIAN_POINT('',(30.1905,65.492372,0.035)); #559088=CARTESIAN_POINT('',(30.1905,65.492372,0.035)); #559089=CARTESIAN_POINT('',(30.1905,65.492372,0.)); #559090=CARTESIAN_POINT('Origin',(30.279772,65.4031,0.)); #559091=CARTESIAN_POINT('',(30.279772,65.4031,0.)); #559092=CARTESIAN_POINT('',(30.279772,65.4031,0.)); #559093=CARTESIAN_POINT('',(30.279772,65.4031,0.035)); #559094=CARTESIAN_POINT('',(30.279772,65.4031,0.035)); #559095=CARTESIAN_POINT('',(30.279772,65.4031,0.)); #559096=CARTESIAN_POINT('Origin',(30.4572,65.4031,0.)); #559097=CARTESIAN_POINT('',(30.4572,65.4031,0.)); #559098=CARTESIAN_POINT('',(30.4572,65.4031,0.)); #559099=CARTESIAN_POINT('',(30.4572,65.4031,0.035)); #559100=CARTESIAN_POINT('',(30.4572,65.4031,0.035)); #559101=CARTESIAN_POINT('',(30.4572,65.4031,0.)); #559102=CARTESIAN_POINT('Origin',(30.484669,65.397978,0.)); #559103=CARTESIAN_POINT('',(30.484669,65.397978,0.)); #559104=CARTESIAN_POINT('',(30.484669,65.397978,0.)); #559105=CARTESIAN_POINT('',(30.484669,65.397978,0.035)); #559106=CARTESIAN_POINT('',(30.484669,65.397978,0.035)); #559107=CARTESIAN_POINT('',(30.484669,65.397978,0.)); #559108=CARTESIAN_POINT('Origin',(30.510216,65.381634,0.)); #559109=CARTESIAN_POINT('',(30.510216,65.381634,0.)); #559110=CARTESIAN_POINT('',(30.510216,65.381634,0.)); #559111=CARTESIAN_POINT('',(30.510216,65.381634,0.035)); #559112=CARTESIAN_POINT('',(30.510216,65.381634,0.035)); #559113=CARTESIAN_POINT('',(30.510216,65.381634,0.)); #559114=CARTESIAN_POINT('Origin',(30.527366,65.356622,0.)); #559115=CARTESIAN_POINT('',(30.527366,65.356622,0.)); #559116=CARTESIAN_POINT('',(30.527366,65.356622,0.)); #559117=CARTESIAN_POINT('',(30.527366,65.356622,0.035)); #559118=CARTESIAN_POINT('',(30.527366,65.356622,0.035)); #559119=CARTESIAN_POINT('',(30.527366,65.356622,0.)); #559120=CARTESIAN_POINT('Origin',(30.5334,65.3269,0.)); #559121=CARTESIAN_POINT('',(30.5334,65.3269,0.)); #559122=CARTESIAN_POINT('',(30.5334,65.3269,0.)); #559123=CARTESIAN_POINT('',(30.5334,65.3269,0.035)); #559124=CARTESIAN_POINT('',(30.5334,65.3269,0.035)); #559125=CARTESIAN_POINT('',(30.5334,65.3269,0.)); #559126=CARTESIAN_POINT('Origin',(30.5334,60.657397,0.)); #559127=CARTESIAN_POINT('',(30.5334,60.657397,0.)); #559128=CARTESIAN_POINT('',(30.5334,60.657397,0.)); #559129=CARTESIAN_POINT('',(30.5334,60.657397,0.035)); #559130=CARTESIAN_POINT('',(30.5334,60.657397,0.035)); #559131=CARTESIAN_POINT('',(30.5334,60.657397,0.)); #559132=CARTESIAN_POINT('Origin',(30.527831,60.6288,0.)); #559133=CARTESIAN_POINT('',(30.527831,60.6288,0.)); #559134=CARTESIAN_POINT('',(30.527831,60.6288,0.)); #559135=CARTESIAN_POINT('',(30.527831,60.6288,0.035)); #559136=CARTESIAN_POINT('',(30.527831,60.6288,0.035)); #559137=CARTESIAN_POINT('',(30.527831,60.6288,0.)); #559138=CARTESIAN_POINT('Origin',(30.511081,60.603516,0.)); #559139=CARTESIAN_POINT('',(30.511081,60.603516,0.)); #559140=CARTESIAN_POINT('',(30.511081,60.603516,0.)); #559141=CARTESIAN_POINT('',(30.511081,60.603516,0.035)); #559142=CARTESIAN_POINT('',(30.511081,60.603516,0.035)); #559143=CARTESIAN_POINT('',(30.511081,60.603516,0.)); #559144=CARTESIAN_POINT('Origin',(30.3346,60.427034,0.)); #559145=CARTESIAN_POINT('',(30.3346,60.427034,0.)); #559146=CARTESIAN_POINT('',(30.3346,60.427034,0.)); #559147=CARTESIAN_POINT('',(30.3346,60.427034,0.035)); #559148=CARTESIAN_POINT('',(30.3346,60.427034,0.035)); #559149=CARTESIAN_POINT('',(30.3346,60.427034,0.)); #559150=CARTESIAN_POINT('Origin',(30.3346,60.072966,0.)); #559151=CARTESIAN_POINT('',(30.3346,60.072966,0.)); #559152=CARTESIAN_POINT('',(30.3346,60.072966,0.)); #559153=CARTESIAN_POINT('',(30.3346,60.072966,0.035)); #559154=CARTESIAN_POINT('',(30.3346,60.072966,0.035)); #559155=CARTESIAN_POINT('',(30.3346,60.072966,0.)); #559156=CARTESIAN_POINT('Origin',(30.584966,59.8226,0.)); #559157=CARTESIAN_POINT('',(30.584966,59.8226,0.)); #559158=CARTESIAN_POINT('',(30.584966,59.8226,0.035)); #559159=CARTESIAN_POINT('Origin',(34.939034,59.8226,0.)); #559160=CARTESIAN_POINT('',(34.939034,59.8226,0.)); #559161=CARTESIAN_POINT('',(34.584966,59.8226,0.)); #559162=CARTESIAN_POINT('',(34.939034,59.8226,0.)); #559163=CARTESIAN_POINT('',(34.584966,59.8226,0.035)); #559164=CARTESIAN_POINT('',(34.584966,59.8226,0.)); #559165=CARTESIAN_POINT('',(34.939034,59.8226,0.035)); #559166=CARTESIAN_POINT('',(34.939034,59.8226,0.035)); #559167=CARTESIAN_POINT('',(34.939034,59.8226,0.)); #559168=CARTESIAN_POINT('Origin',(35.1894,60.072966,0.)); #559169=CARTESIAN_POINT('',(35.1894,60.072966,0.)); #559170=CARTESIAN_POINT('',(35.1894,60.072966,0.)); #559171=CARTESIAN_POINT('',(35.1894,60.072966,0.035)); #559172=CARTESIAN_POINT('',(35.1894,60.072966,0.035)); #559173=CARTESIAN_POINT('',(35.1894,60.072966,0.)); #559174=CARTESIAN_POINT('Origin',(35.1894,60.427034,0.)); #559175=CARTESIAN_POINT('',(35.1894,60.427034,0.)); #559176=CARTESIAN_POINT('',(35.1894,60.427034,0.)); #559177=CARTESIAN_POINT('',(35.1894,60.427034,0.035)); #559178=CARTESIAN_POINT('',(35.1894,60.427034,0.035)); #559179=CARTESIAN_POINT('',(35.1894,60.427034,0.)); #559180=CARTESIAN_POINT('Origin',(35.012919,60.603516,0.)); #559181=CARTESIAN_POINT('',(35.012919,60.603516,0.)); #559182=CARTESIAN_POINT('',(35.012919,60.603516,0.)); #559183=CARTESIAN_POINT('',(35.012919,60.603516,0.035)); #559184=CARTESIAN_POINT('',(35.012919,60.603516,0.035)); #559185=CARTESIAN_POINT('',(35.012919,60.603516,0.)); #559186=CARTESIAN_POINT('Origin',(34.996634,60.627675,0.)); #559187=CARTESIAN_POINT('',(34.996634,60.627675,0.)); #559188=CARTESIAN_POINT('',(34.996634,60.627675,0.)); #559189=CARTESIAN_POINT('',(34.996634,60.627675,0.035)); #559190=CARTESIAN_POINT('',(34.996634,60.627675,0.035)); #559191=CARTESIAN_POINT('',(34.996634,60.627675,0.)); #559192=CARTESIAN_POINT('Origin',(34.9906,60.657397,0.)); #559193=CARTESIAN_POINT('',(34.9906,60.657397,0.)); #559194=CARTESIAN_POINT('',(34.9906,60.657397,0.)); #559195=CARTESIAN_POINT('',(34.9906,60.657397,0.035)); #559196=CARTESIAN_POINT('',(34.9906,60.657397,0.035)); #559197=CARTESIAN_POINT('',(34.9906,60.657397,0.)); #559198=CARTESIAN_POINT('Origin',(34.9906,65.3269,0.)); #559199=CARTESIAN_POINT('',(34.9906,65.3269,0.)); #559200=CARTESIAN_POINT('',(34.9906,65.3269,0.)); #559201=CARTESIAN_POINT('',(34.9906,65.3269,0.035)); #559202=CARTESIAN_POINT('',(34.9906,65.3269,0.035)); #559203=CARTESIAN_POINT('',(34.9906,65.3269,0.)); #559204=CARTESIAN_POINT('Origin',(34.995722,65.354369,0.)); #559205=CARTESIAN_POINT('',(34.995722,65.354369,0.)); #559206=CARTESIAN_POINT('',(34.995722,65.354369,0.)); #559207=CARTESIAN_POINT('',(34.995722,65.354369,0.035)); #559208=CARTESIAN_POINT('',(34.995722,65.354369,0.035)); #559209=CARTESIAN_POINT('',(34.995722,65.354369,0.)); #559210=CARTESIAN_POINT('Origin',(35.012066,65.379916,0.)); #559211=CARTESIAN_POINT('',(35.012066,65.379916,0.)); #559212=CARTESIAN_POINT('',(35.012066,65.379916,0.)); #559213=CARTESIAN_POINT('',(35.012066,65.379916,0.035)); #559214=CARTESIAN_POINT('',(35.012066,65.379916,0.035)); #559215=CARTESIAN_POINT('',(35.012066,65.379916,0.)); #559216=CARTESIAN_POINT('Origin',(35.037078,65.397066,0.)); #559217=CARTESIAN_POINT('',(35.037078,65.397066,0.)); #559218=CARTESIAN_POINT('',(35.037078,65.397066,0.)); #559219=CARTESIAN_POINT('',(35.037078,65.397066,0.035)); #559220=CARTESIAN_POINT('',(35.037078,65.397066,0.035)); #559221=CARTESIAN_POINT('',(35.037078,65.397066,0.)); #559222=CARTESIAN_POINT('Origin',(35.0668,65.4031,0.)); #559223=CARTESIAN_POINT('',(35.0668,65.4031,0.)); #559224=CARTESIAN_POINT('',(35.0668,65.4031,0.)); #559225=CARTESIAN_POINT('',(35.0668,65.4031,0.035)); #559226=CARTESIAN_POINT('',(35.0668,65.4031,0.035)); #559227=CARTESIAN_POINT('',(35.0668,65.4031,0.)); #559228=CARTESIAN_POINT('Origin',(35.244228,65.4031,0.)); #559229=CARTESIAN_POINT('',(35.244228,65.4031,0.)); #559230=CARTESIAN_POINT('',(35.244228,65.4031,0.)); #559231=CARTESIAN_POINT('',(35.244228,65.4031,0.035)); #559232=CARTESIAN_POINT('',(35.244228,65.4031,0.035)); #559233=CARTESIAN_POINT('',(35.244228,65.4031,0.)); #559234=CARTESIAN_POINT('Origin',(35.3335,65.492372,0.)); #559235=CARTESIAN_POINT('',(35.3335,65.492372,0.)); #559236=CARTESIAN_POINT('',(35.3335,65.492372,0.)); #559237=CARTESIAN_POINT('',(35.3335,65.492372,0.035)); #559238=CARTESIAN_POINT('',(35.3335,65.492372,0.035)); #559239=CARTESIAN_POINT('',(35.3335,65.492372,0.)); #559240=CARTESIAN_POINT('Origin',(35.3335,66.507628,0.)); #559241=CARTESIAN_POINT('',(35.3335,66.507628,0.)); #559242=CARTESIAN_POINT('',(35.3335,66.507628,0.)); #559243=CARTESIAN_POINT('',(35.3335,66.507628,0.035)); #559244=CARTESIAN_POINT('',(35.3335,66.507628,0.035)); #559245=CARTESIAN_POINT('',(35.3335,66.507628,0.)); #559246=CARTESIAN_POINT('Origin',(35.244228,66.5969,0.)); #559247=CARTESIAN_POINT('',(35.244228,66.5969,0.)); #559248=CARTESIAN_POINT('',(35.244228,66.5969,0.)); #559249=CARTESIAN_POINT('',(35.244228,66.5969,0.035)); #559250=CARTESIAN_POINT('',(35.244228,66.5969,0.035)); #559251=CARTESIAN_POINT('',(35.244228,66.5969,0.)); #559252=CARTESIAN_POINT('Origin',(34.279772,66.5969,0.)); #559253=CARTESIAN_POINT('',(34.279772,66.5969,0.)); #559254=CARTESIAN_POINT('',(34.279772,66.5969,0.)); #559255=CARTESIAN_POINT('',(34.279772,66.5969,0.035)); #559256=CARTESIAN_POINT('',(34.279772,66.5969,0.035)); #559257=CARTESIAN_POINT('',(34.279772,66.5969,0.)); #559258=CARTESIAN_POINT('Origin',(34.1905,66.507628,0.)); #559259=CARTESIAN_POINT('',(34.1905,66.507628,0.)); #559260=CARTESIAN_POINT('',(34.1905,66.507628,0.)); #559261=CARTESIAN_POINT('',(34.1905,66.507628,0.035)); #559262=CARTESIAN_POINT('',(34.1905,66.507628,0.035)); #559263=CARTESIAN_POINT('',(34.1905,66.507628,0.)); #559264=CARTESIAN_POINT('Origin',(34.1905,65.492372,0.)); #559265=CARTESIAN_POINT('',(34.1905,65.492372,0.)); #559266=CARTESIAN_POINT('',(34.1905,65.492372,0.)); #559267=CARTESIAN_POINT('',(34.1905,65.492372,0.035)); #559268=CARTESIAN_POINT('',(34.1905,65.492372,0.035)); #559269=CARTESIAN_POINT('',(34.1905,65.492372,0.)); #559270=CARTESIAN_POINT('Origin',(34.279772,65.4031,0.)); #559271=CARTESIAN_POINT('',(34.279772,65.4031,0.)); #559272=CARTESIAN_POINT('',(34.279772,65.4031,0.)); #559273=CARTESIAN_POINT('',(34.279772,65.4031,0.035)); #559274=CARTESIAN_POINT('',(34.279772,65.4031,0.035)); #559275=CARTESIAN_POINT('',(34.279772,65.4031,0.)); #559276=CARTESIAN_POINT('Origin',(34.4572,65.4031,0.)); #559277=CARTESIAN_POINT('',(34.4572,65.4031,0.)); #559278=CARTESIAN_POINT('',(34.4572,65.4031,0.)); #559279=CARTESIAN_POINT('',(34.4572,65.4031,0.035)); #559280=CARTESIAN_POINT('',(34.4572,65.4031,0.035)); #559281=CARTESIAN_POINT('',(34.4572,65.4031,0.)); #559282=CARTESIAN_POINT('Origin',(34.484669,65.397978,0.)); #559283=CARTESIAN_POINT('',(34.484669,65.397978,0.)); #559284=CARTESIAN_POINT('',(34.484669,65.397978,0.)); #559285=CARTESIAN_POINT('',(34.484669,65.397978,0.035)); #559286=CARTESIAN_POINT('',(34.484669,65.397978,0.035)); #559287=CARTESIAN_POINT('',(34.484669,65.397978,0.)); #559288=CARTESIAN_POINT('Origin',(34.510216,65.381634,0.)); #559289=CARTESIAN_POINT('',(34.510216,65.381634,0.)); #559290=CARTESIAN_POINT('',(34.510216,65.381634,0.)); #559291=CARTESIAN_POINT('',(34.510216,65.381634,0.035)); #559292=CARTESIAN_POINT('',(34.510216,65.381634,0.035)); #559293=CARTESIAN_POINT('',(34.510216,65.381634,0.)); #559294=CARTESIAN_POINT('Origin',(34.527366,65.356622,0.)); #559295=CARTESIAN_POINT('',(34.527366,65.356622,0.)); #559296=CARTESIAN_POINT('',(34.527366,65.356622,0.)); #559297=CARTESIAN_POINT('',(34.527366,65.356622,0.035)); #559298=CARTESIAN_POINT('',(34.527366,65.356622,0.035)); #559299=CARTESIAN_POINT('',(34.527366,65.356622,0.)); #559300=CARTESIAN_POINT('Origin',(34.5334,65.3269,0.)); #559301=CARTESIAN_POINT('',(34.5334,65.3269,0.)); #559302=CARTESIAN_POINT('',(34.5334,65.3269,0.)); #559303=CARTESIAN_POINT('',(34.5334,65.3269,0.035)); #559304=CARTESIAN_POINT('',(34.5334,65.3269,0.035)); #559305=CARTESIAN_POINT('',(34.5334,65.3269,0.)); #559306=CARTESIAN_POINT('Origin',(34.5334,60.657397,0.)); #559307=CARTESIAN_POINT('',(34.5334,60.657397,0.)); #559308=CARTESIAN_POINT('',(34.5334,60.657397,0.)); #559309=CARTESIAN_POINT('',(34.5334,60.657397,0.035)); #559310=CARTESIAN_POINT('',(34.5334,60.657397,0.035)); #559311=CARTESIAN_POINT('',(34.5334,60.657397,0.)); #559312=CARTESIAN_POINT('Origin',(34.527831,60.6288,0.)); #559313=CARTESIAN_POINT('',(34.527831,60.6288,0.)); #559314=CARTESIAN_POINT('',(34.527831,60.6288,0.)); #559315=CARTESIAN_POINT('',(34.527831,60.6288,0.035)); #559316=CARTESIAN_POINT('',(34.527831,60.6288,0.035)); #559317=CARTESIAN_POINT('',(34.527831,60.6288,0.)); #559318=CARTESIAN_POINT('Origin',(34.511081,60.603516,0.)); #559319=CARTESIAN_POINT('',(34.511081,60.603516,0.)); #559320=CARTESIAN_POINT('',(34.511081,60.603516,0.)); #559321=CARTESIAN_POINT('',(34.511081,60.603516,0.035)); #559322=CARTESIAN_POINT('',(34.511081,60.603516,0.035)); #559323=CARTESIAN_POINT('',(34.511081,60.603516,0.)); #559324=CARTESIAN_POINT('Origin',(34.3346,60.427034,0.)); #559325=CARTESIAN_POINT('',(34.3346,60.427034,0.)); #559326=CARTESIAN_POINT('',(34.3346,60.427034,0.)); #559327=CARTESIAN_POINT('',(34.3346,60.427034,0.035)); #559328=CARTESIAN_POINT('',(34.3346,60.427034,0.035)); #559329=CARTESIAN_POINT('',(34.3346,60.427034,0.)); #559330=CARTESIAN_POINT('Origin',(34.3346,60.072966,0.)); #559331=CARTESIAN_POINT('',(34.3346,60.072966,0.)); #559332=CARTESIAN_POINT('',(34.3346,60.072966,0.)); #559333=CARTESIAN_POINT('',(34.3346,60.072966,0.035)); #559334=CARTESIAN_POINT('',(34.3346,60.072966,0.035)); #559335=CARTESIAN_POINT('',(34.3346,60.072966,0.)); #559336=CARTESIAN_POINT('Origin',(34.584966,59.8226,0.)); #559337=CARTESIAN_POINT('',(34.584966,59.8226,0.)); #559338=CARTESIAN_POINT('',(34.584966,59.8226,0.035)); #559339=CARTESIAN_POINT('Origin',(38.939034,59.8226,0.)); #559340=CARTESIAN_POINT('',(38.939034,59.8226,0.)); #559341=CARTESIAN_POINT('',(38.584966,59.8226,0.)); #559342=CARTESIAN_POINT('',(38.939034,59.8226,0.)); #559343=CARTESIAN_POINT('',(38.584966,59.8226,0.035)); #559344=CARTESIAN_POINT('',(38.584966,59.8226,0.)); #559345=CARTESIAN_POINT('',(38.939034,59.8226,0.035)); #559346=CARTESIAN_POINT('',(38.939034,59.8226,0.035)); #559347=CARTESIAN_POINT('',(38.939034,59.8226,0.)); #559348=CARTESIAN_POINT('Origin',(39.1894,60.072966,0.)); #559349=CARTESIAN_POINT('',(39.1894,60.072966,0.)); #559350=CARTESIAN_POINT('',(39.1894,60.072966,0.)); #559351=CARTESIAN_POINT('',(39.1894,60.072966,0.035)); #559352=CARTESIAN_POINT('',(39.1894,60.072966,0.035)); #559353=CARTESIAN_POINT('',(39.1894,60.072966,0.)); #559354=CARTESIAN_POINT('Origin',(39.1894,60.427034,0.)); #559355=CARTESIAN_POINT('',(39.1894,60.427034,0.)); #559356=CARTESIAN_POINT('',(39.1894,60.427034,0.)); #559357=CARTESIAN_POINT('',(39.1894,60.427034,0.035)); #559358=CARTESIAN_POINT('',(39.1894,60.427034,0.035)); #559359=CARTESIAN_POINT('',(39.1894,60.427034,0.)); #559360=CARTESIAN_POINT('Origin',(39.012919,60.603516,0.)); #559361=CARTESIAN_POINT('',(39.012919,60.603516,0.)); #559362=CARTESIAN_POINT('',(39.012919,60.603516,0.)); #559363=CARTESIAN_POINT('',(39.012919,60.603516,0.035)); #559364=CARTESIAN_POINT('',(39.012919,60.603516,0.035)); #559365=CARTESIAN_POINT('',(39.012919,60.603516,0.)); #559366=CARTESIAN_POINT('Origin',(38.996634,60.627675,0.)); #559367=CARTESIAN_POINT('',(38.996634,60.627675,0.)); #559368=CARTESIAN_POINT('',(38.996634,60.627675,0.)); #559369=CARTESIAN_POINT('',(38.996634,60.627675,0.035)); #559370=CARTESIAN_POINT('',(38.996634,60.627675,0.035)); #559371=CARTESIAN_POINT('',(38.996634,60.627675,0.)); #559372=CARTESIAN_POINT('Origin',(38.9906,60.657397,0.)); #559373=CARTESIAN_POINT('',(38.9906,60.657397,0.)); #559374=CARTESIAN_POINT('',(38.9906,60.657397,0.)); #559375=CARTESIAN_POINT('',(38.9906,60.657397,0.035)); #559376=CARTESIAN_POINT('',(38.9906,60.657397,0.035)); #559377=CARTESIAN_POINT('',(38.9906,60.657397,0.)); #559378=CARTESIAN_POINT('Origin',(38.9906,65.3269,0.)); #559379=CARTESIAN_POINT('',(38.9906,65.3269,0.)); #559380=CARTESIAN_POINT('',(38.9906,65.3269,0.)); #559381=CARTESIAN_POINT('',(38.9906,65.3269,0.035)); #559382=CARTESIAN_POINT('',(38.9906,65.3269,0.035)); #559383=CARTESIAN_POINT('',(38.9906,65.3269,0.)); #559384=CARTESIAN_POINT('Origin',(38.995722,65.354369,0.)); #559385=CARTESIAN_POINT('',(38.995722,65.354369,0.)); #559386=CARTESIAN_POINT('',(38.995722,65.354369,0.)); #559387=CARTESIAN_POINT('',(38.995722,65.354369,0.035)); #559388=CARTESIAN_POINT('',(38.995722,65.354369,0.035)); #559389=CARTESIAN_POINT('',(38.995722,65.354369,0.)); #559390=CARTESIAN_POINT('Origin',(39.012066,65.379916,0.)); #559391=CARTESIAN_POINT('',(39.012066,65.379916,0.)); #559392=CARTESIAN_POINT('',(39.012066,65.379916,0.)); #559393=CARTESIAN_POINT('',(39.012066,65.379916,0.035)); #559394=CARTESIAN_POINT('',(39.012066,65.379916,0.035)); #559395=CARTESIAN_POINT('',(39.012066,65.379916,0.)); #559396=CARTESIAN_POINT('Origin',(39.037078,65.397066,0.)); #559397=CARTESIAN_POINT('',(39.037078,65.397066,0.)); #559398=CARTESIAN_POINT('',(39.037078,65.397066,0.)); #559399=CARTESIAN_POINT('',(39.037078,65.397066,0.035)); #559400=CARTESIAN_POINT('',(39.037078,65.397066,0.035)); #559401=CARTESIAN_POINT('',(39.037078,65.397066,0.)); #559402=CARTESIAN_POINT('Origin',(39.0668,65.4031,0.)); #559403=CARTESIAN_POINT('',(39.0668,65.4031,0.)); #559404=CARTESIAN_POINT('',(39.0668,65.4031,0.)); #559405=CARTESIAN_POINT('',(39.0668,65.4031,0.035)); #559406=CARTESIAN_POINT('',(39.0668,65.4031,0.035)); #559407=CARTESIAN_POINT('',(39.0668,65.4031,0.)); #559408=CARTESIAN_POINT('Origin',(39.244228,65.4031,0.)); #559409=CARTESIAN_POINT('',(39.244228,65.4031,0.)); #559410=CARTESIAN_POINT('',(39.244228,65.4031,0.)); #559411=CARTESIAN_POINT('',(39.244228,65.4031,0.035)); #559412=CARTESIAN_POINT('',(39.244228,65.4031,0.035)); #559413=CARTESIAN_POINT('',(39.244228,65.4031,0.)); #559414=CARTESIAN_POINT('Origin',(39.3335,65.492372,0.)); #559415=CARTESIAN_POINT('',(39.3335,65.492372,0.)); #559416=CARTESIAN_POINT('',(39.3335,65.492372,0.)); #559417=CARTESIAN_POINT('',(39.3335,65.492372,0.035)); #559418=CARTESIAN_POINT('',(39.3335,65.492372,0.035)); #559419=CARTESIAN_POINT('',(39.3335,65.492372,0.)); #559420=CARTESIAN_POINT('Origin',(39.3335,66.507628,0.)); #559421=CARTESIAN_POINT('',(39.3335,66.507628,0.)); #559422=CARTESIAN_POINT('',(39.3335,66.507628,0.)); #559423=CARTESIAN_POINT('',(39.3335,66.507628,0.035)); #559424=CARTESIAN_POINT('',(39.3335,66.507628,0.035)); #559425=CARTESIAN_POINT('',(39.3335,66.507628,0.)); #559426=CARTESIAN_POINT('Origin',(39.244228,66.5969,0.)); #559427=CARTESIAN_POINT('',(39.244228,66.5969,0.)); #559428=CARTESIAN_POINT('',(39.244228,66.5969,0.)); #559429=CARTESIAN_POINT('',(39.244228,66.5969,0.035)); #559430=CARTESIAN_POINT('',(39.244228,66.5969,0.035)); #559431=CARTESIAN_POINT('',(39.244228,66.5969,0.)); #559432=CARTESIAN_POINT('Origin',(38.279772,66.5969,0.)); #559433=CARTESIAN_POINT('',(38.279772,66.5969,0.)); #559434=CARTESIAN_POINT('',(38.279772,66.5969,0.)); #559435=CARTESIAN_POINT('',(38.279772,66.5969,0.035)); #559436=CARTESIAN_POINT('',(38.279772,66.5969,0.035)); #559437=CARTESIAN_POINT('',(38.279772,66.5969,0.)); #559438=CARTESIAN_POINT('Origin',(38.1905,66.507628,0.)); #559439=CARTESIAN_POINT('',(38.1905,66.507628,0.)); #559440=CARTESIAN_POINT('',(38.1905,66.507628,0.)); #559441=CARTESIAN_POINT('',(38.1905,66.507628,0.035)); #559442=CARTESIAN_POINT('',(38.1905,66.507628,0.035)); #559443=CARTESIAN_POINT('',(38.1905,66.507628,0.)); #559444=CARTESIAN_POINT('Origin',(38.1905,65.492372,0.)); #559445=CARTESIAN_POINT('',(38.1905,65.492372,0.)); #559446=CARTESIAN_POINT('',(38.1905,65.492372,0.)); #559447=CARTESIAN_POINT('',(38.1905,65.492372,0.035)); #559448=CARTESIAN_POINT('',(38.1905,65.492372,0.035)); #559449=CARTESIAN_POINT('',(38.1905,65.492372,0.)); #559450=CARTESIAN_POINT('Origin',(38.279772,65.4031,0.)); #559451=CARTESIAN_POINT('',(38.279772,65.4031,0.)); #559452=CARTESIAN_POINT('',(38.279772,65.4031,0.)); #559453=CARTESIAN_POINT('',(38.279772,65.4031,0.035)); #559454=CARTESIAN_POINT('',(38.279772,65.4031,0.035)); #559455=CARTESIAN_POINT('',(38.279772,65.4031,0.)); #559456=CARTESIAN_POINT('Origin',(38.4572,65.4031,0.)); #559457=CARTESIAN_POINT('',(38.4572,65.4031,0.)); #559458=CARTESIAN_POINT('',(38.4572,65.4031,0.)); #559459=CARTESIAN_POINT('',(38.4572,65.4031,0.035)); #559460=CARTESIAN_POINT('',(38.4572,65.4031,0.035)); #559461=CARTESIAN_POINT('',(38.4572,65.4031,0.)); #559462=CARTESIAN_POINT('Origin',(38.484669,65.397978,0.)); #559463=CARTESIAN_POINT('',(38.484669,65.397978,0.)); #559464=CARTESIAN_POINT('',(38.484669,65.397978,0.)); #559465=CARTESIAN_POINT('',(38.484669,65.397978,0.035)); #559466=CARTESIAN_POINT('',(38.484669,65.397978,0.035)); #559467=CARTESIAN_POINT('',(38.484669,65.397978,0.)); #559468=CARTESIAN_POINT('Origin',(38.510216,65.381634,0.)); #559469=CARTESIAN_POINT('',(38.510216,65.381634,0.)); #559470=CARTESIAN_POINT('',(38.510216,65.381634,0.)); #559471=CARTESIAN_POINT('',(38.510216,65.381634,0.035)); #559472=CARTESIAN_POINT('',(38.510216,65.381634,0.035)); #559473=CARTESIAN_POINT('',(38.510216,65.381634,0.)); #559474=CARTESIAN_POINT('Origin',(38.527366,65.356622,0.)); #559475=CARTESIAN_POINT('',(38.527366,65.356622,0.)); #559476=CARTESIAN_POINT('',(38.527366,65.356622,0.)); #559477=CARTESIAN_POINT('',(38.527366,65.356622,0.035)); #559478=CARTESIAN_POINT('',(38.527366,65.356622,0.035)); #559479=CARTESIAN_POINT('',(38.527366,65.356622,0.)); #559480=CARTESIAN_POINT('Origin',(38.5334,65.3269,0.)); #559481=CARTESIAN_POINT('',(38.5334,65.3269,0.)); #559482=CARTESIAN_POINT('',(38.5334,65.3269,0.)); #559483=CARTESIAN_POINT('',(38.5334,65.3269,0.035)); #559484=CARTESIAN_POINT('',(38.5334,65.3269,0.035)); #559485=CARTESIAN_POINT('',(38.5334,65.3269,0.)); #559486=CARTESIAN_POINT('Origin',(38.5334,60.657397,0.)); #559487=CARTESIAN_POINT('',(38.5334,60.657397,0.)); #559488=CARTESIAN_POINT('',(38.5334,60.657397,0.)); #559489=CARTESIAN_POINT('',(38.5334,60.657397,0.035)); #559490=CARTESIAN_POINT('',(38.5334,60.657397,0.035)); #559491=CARTESIAN_POINT('',(38.5334,60.657397,0.)); #559492=CARTESIAN_POINT('Origin',(38.527831,60.6288,0.)); #559493=CARTESIAN_POINT('',(38.527831,60.6288,0.)); #559494=CARTESIAN_POINT('',(38.527831,60.6288,0.)); #559495=CARTESIAN_POINT('',(38.527831,60.6288,0.035)); #559496=CARTESIAN_POINT('',(38.527831,60.6288,0.035)); #559497=CARTESIAN_POINT('',(38.527831,60.6288,0.)); #559498=CARTESIAN_POINT('Origin',(38.511081,60.603516,0.)); #559499=CARTESIAN_POINT('',(38.511081,60.603516,0.)); #559500=CARTESIAN_POINT('',(38.511081,60.603516,0.)); #559501=CARTESIAN_POINT('',(38.511081,60.603516,0.035)); #559502=CARTESIAN_POINT('',(38.511081,60.603516,0.035)); #559503=CARTESIAN_POINT('',(38.511081,60.603516,0.)); #559504=CARTESIAN_POINT('Origin',(38.3346,60.427034,0.)); #559505=CARTESIAN_POINT('',(38.3346,60.427034,0.)); #559506=CARTESIAN_POINT('',(38.3346,60.427034,0.)); #559507=CARTESIAN_POINT('',(38.3346,60.427034,0.035)); #559508=CARTESIAN_POINT('',(38.3346,60.427034,0.035)); #559509=CARTESIAN_POINT('',(38.3346,60.427034,0.)); #559510=CARTESIAN_POINT('Origin',(38.3346,60.072966,0.)); #559511=CARTESIAN_POINT('',(38.3346,60.072966,0.)); #559512=CARTESIAN_POINT('',(38.3346,60.072966,0.)); #559513=CARTESIAN_POINT('',(38.3346,60.072966,0.035)); #559514=CARTESIAN_POINT('',(38.3346,60.072966,0.035)); #559515=CARTESIAN_POINT('',(38.3346,60.072966,0.)); #559516=CARTESIAN_POINT('Origin',(38.584966,59.8226,0.)); #559517=CARTESIAN_POINT('',(38.584966,59.8226,0.)); #559518=CARTESIAN_POINT('',(38.584966,59.8226,0.035)); #559519=CARTESIAN_POINT('Origin',(34.003988,0.37630313,0.)); #559520=CARTESIAN_POINT('',(34.003988,0.37630313,0.)); #559521=CARTESIAN_POINT('',(37.510666,0.56008125,0.)); #559522=CARTESIAN_POINT('',(34.003988,0.37630313,0.)); #559523=CARTESIAN_POINT('',(37.510666,0.56008125,0.035)); #559524=CARTESIAN_POINT('',(37.510666,0.56008125,0.)); #559525=CARTESIAN_POINT('',(34.003988,0.37630313,0.035)); #559526=CARTESIAN_POINT('',(34.003988,0.37630313,0.035)); #559527=CARTESIAN_POINT('',(34.003988,0.37630313,0.)); #559528=CARTESIAN_POINT('Origin',(33.996012,0.37630313,0.)); #559529=CARTESIAN_POINT('',(33.996012,0.37630313,0.)); #559530=CARTESIAN_POINT('',(33.996012,0.37630313,0.)); #559531=CARTESIAN_POINT('',(33.996012,0.37630313,0.035)); #559532=CARTESIAN_POINT('',(33.996012,0.37630313,0.035)); #559533=CARTESIAN_POINT('',(33.996012,0.37630313,0.)); #559534=CARTESIAN_POINT('Origin',(30.489334,0.56008125,0.)); #559535=CARTESIAN_POINT('',(30.489334,0.56008125,0.)); #559536=CARTESIAN_POINT('',(30.489334,0.56008125,0.)); #559537=CARTESIAN_POINT('',(30.489334,0.56008125,0.035)); #559538=CARTESIAN_POINT('',(30.489334,0.56008125,0.035)); #559539=CARTESIAN_POINT('',(30.489334,0.56008125,0.)); #559540=CARTESIAN_POINT('Origin',(30.4814,0.56091563,0.)); #559541=CARTESIAN_POINT('',(30.4814,0.56091563,0.)); #559542=CARTESIAN_POINT('',(30.4814,0.56091563,0.)); #559543=CARTESIAN_POINT('',(30.4814,0.56091563,0.035)); #559544=CARTESIAN_POINT('',(30.4814,0.56091563,0.035)); #559545=CARTESIAN_POINT('',(30.4814,0.56091563,0.)); #559546=CARTESIAN_POINT('Origin',(27.013141,1.1102344,0.)); #559547=CARTESIAN_POINT('',(27.013141,1.1102344,0.)); #559548=CARTESIAN_POINT('',(27.013141,1.1102344,0.)); #559549=CARTESIAN_POINT('',(27.013141,1.1102344,0.035)); #559550=CARTESIAN_POINT('',(27.013141,1.1102344,0.035)); #559551=CARTESIAN_POINT('',(27.013141,1.1102344,0.)); #559552=CARTESIAN_POINT('Origin',(27.005341,1.1118938,0.)); #559553=CARTESIAN_POINT('',(27.005341,1.1118938,0.)); #559554=CARTESIAN_POINT('',(27.005341,1.1118938,0.)); #559555=CARTESIAN_POINT('',(27.005341,1.1118938,0.035)); #559556=CARTESIAN_POINT('',(27.005341,1.1118938,0.035)); #559557=CARTESIAN_POINT('',(27.005341,1.1118938,0.)); #559558=CARTESIAN_POINT('Origin',(23.6135,2.0207344,0.)); #559559=CARTESIAN_POINT('',(23.6135,2.0207344,0.)); #559560=CARTESIAN_POINT('',(23.6135,2.0207344,0.)); #559561=CARTESIAN_POINT('',(23.6135,2.0207344,0.035)); #559562=CARTESIAN_POINT('',(23.6135,2.0207344,0.035)); #559563=CARTESIAN_POINT('',(23.6135,2.0207344,0.)); #559564=CARTESIAN_POINT('Origin',(23.605916,2.0232,0.)); #559565=CARTESIAN_POINT('',(23.605916,2.0232,0.)); #559566=CARTESIAN_POINT('',(23.605916,2.0232,0.)); #559567=CARTESIAN_POINT('',(23.605916,2.0232,0.035)); #559568=CARTESIAN_POINT('',(23.605916,2.0232,0.035)); #559569=CARTESIAN_POINT('',(23.605916,2.0232,0.)); #559570=CARTESIAN_POINT('Origin',(20.327656,3.2816063,0.)); #559571=CARTESIAN_POINT('',(20.327656,3.2816063,0.)); #559572=CARTESIAN_POINT('',(20.327656,3.2816063,0.)); #559573=CARTESIAN_POINT('',(20.327656,3.2816063,0.035)); #559574=CARTESIAN_POINT('',(20.327656,3.2816063,0.035)); #559575=CARTESIAN_POINT('',(20.327656,3.2816063,0.)); #559576=CARTESIAN_POINT('Origin',(20.320369,3.28485,0.)); #559577=CARTESIAN_POINT('',(20.320369,3.28485,0.)); #559578=CARTESIAN_POINT('',(20.320369,3.28485,0.)); #559579=CARTESIAN_POINT('',(20.320369,3.28485,0.035)); #559580=CARTESIAN_POINT('',(20.320369,3.28485,0.035)); #559581=CARTESIAN_POINT('',(20.320369,3.28485,0.)); #559582=CARTESIAN_POINT('Origin',(17.191606,4.8790313,0.)); #559583=CARTESIAN_POINT('',(17.191606,4.8790313,0.)); #559584=CARTESIAN_POINT('',(17.191606,4.8790313,0.)); #559585=CARTESIAN_POINT('',(17.191606,4.8790313,0.035)); #559586=CARTESIAN_POINT('',(17.191606,4.8790313,0.035)); #559587=CARTESIAN_POINT('',(17.191606,4.8790313,0.)); #559588=CARTESIAN_POINT('Origin',(17.1847,4.8830188,0.)); #559589=CARTESIAN_POINT('',(17.1847,4.8830188,0.)); #559590=CARTESIAN_POINT('',(17.1847,4.8830188,0.)); #559591=CARTESIAN_POINT('',(17.1847,4.8830188,0.035)); #559592=CARTESIAN_POINT('',(17.1847,4.8830188,0.035)); #559593=CARTESIAN_POINT('',(17.1847,4.8830188,0.)); #559594=CARTESIAN_POINT('Origin',(14.239716,6.7955156,0.)); #559595=CARTESIAN_POINT('',(14.239716,6.7955156,0.)); #559596=CARTESIAN_POINT('',(14.239716,6.7955156,0.)); #559597=CARTESIAN_POINT('',(14.239716,6.7955156,0.035)); #559598=CARTESIAN_POINT('',(14.239716,6.7955156,0.035)); #559599=CARTESIAN_POINT('',(14.239716,6.7955156,0.)); #559600=CARTESIAN_POINT('Origin',(14.233263,6.8002031,0.)); #559601=CARTESIAN_POINT('',(14.233263,6.8002031,0.)); #559602=CARTESIAN_POINT('',(14.233263,6.8002031,0.)); #559603=CARTESIAN_POINT('',(14.233263,6.8002031,0.035)); #559604=CARTESIAN_POINT('',(14.233263,6.8002031,0.035)); #559605=CARTESIAN_POINT('',(14.233263,6.8002031,0.)); #559606=CARTESIAN_POINT('Origin',(11.504322,9.0100562,0.)); #559607=CARTESIAN_POINT('',(11.504322,9.0100562,0.)); #559608=CARTESIAN_POINT('',(11.504322,9.0100562,0.)); #559609=CARTESIAN_POINT('',(11.504322,9.0100562,0.035)); #559610=CARTESIAN_POINT('',(11.504322,9.0100562,0.035)); #559611=CARTESIAN_POINT('',(11.504322,9.0100562,0.)); #559612=CARTESIAN_POINT('Origin',(11.498394,9.0153938,0.)); #559613=CARTESIAN_POINT('',(11.498394,9.0153938,0.)); #559614=CARTESIAN_POINT('',(11.498394,9.0153938,0.)); #559615=CARTESIAN_POINT('',(11.498394,9.0153938,0.035)); #559616=CARTESIAN_POINT('',(11.498394,9.0153938,0.035)); #559617=CARTESIAN_POINT('',(11.498394,9.0153938,0.)); #559618=CARTESIAN_POINT('Origin',(9.0153938,11.498394,0.)); #559619=CARTESIAN_POINT('',(9.0153938,11.498394,0.)); #559620=CARTESIAN_POINT('',(9.0153938,11.498394,0.)); #559621=CARTESIAN_POINT('',(9.0153938,11.498394,0.035)); #559622=CARTESIAN_POINT('',(9.0153938,11.498394,0.035)); #559623=CARTESIAN_POINT('',(9.0153938,11.498394,0.)); #559624=CARTESIAN_POINT('Origin',(9.0100562,11.504322,0.)); #559625=CARTESIAN_POINT('',(9.0100562,11.504322,0.)); #559626=CARTESIAN_POINT('',(9.0100562,11.504322,0.)); #559627=CARTESIAN_POINT('',(9.0100562,11.504322,0.035)); #559628=CARTESIAN_POINT('',(9.0100562,11.504322,0.035)); #559629=CARTESIAN_POINT('',(9.0100562,11.504322,0.)); #559630=CARTESIAN_POINT('Origin',(6.8002031,14.233263,0.)); #559631=CARTESIAN_POINT('',(6.8002031,14.233263,0.)); #559632=CARTESIAN_POINT('',(6.8002031,14.233263,0.)); #559633=CARTESIAN_POINT('',(6.8002031,14.233263,0.035)); #559634=CARTESIAN_POINT('',(6.8002031,14.233263,0.035)); #559635=CARTESIAN_POINT('',(6.8002031,14.233263,0.)); #559636=CARTESIAN_POINT('Origin',(6.7955156,14.239716,0.)); #559637=CARTESIAN_POINT('',(6.7955156,14.239716,0.)); #559638=CARTESIAN_POINT('',(6.7955156,14.239716,0.)); #559639=CARTESIAN_POINT('',(6.7955156,14.239716,0.035)); #559640=CARTESIAN_POINT('',(6.7955156,14.239716,0.035)); #559641=CARTESIAN_POINT('',(6.7955156,14.239716,0.)); #559642=CARTESIAN_POINT('Origin',(4.8830188,17.1847,0.)); #559643=CARTESIAN_POINT('',(4.8830188,17.1847,0.)); #559644=CARTESIAN_POINT('',(4.8830188,17.1847,0.)); #559645=CARTESIAN_POINT('',(4.8830188,17.1847,0.035)); #559646=CARTESIAN_POINT('',(4.8830188,17.1847,0.035)); #559647=CARTESIAN_POINT('',(4.8830188,17.1847,0.)); #559648=CARTESIAN_POINT('Origin',(4.8790313,17.191606,0.)); #559649=CARTESIAN_POINT('',(4.8790313,17.191606,0.)); #559650=CARTESIAN_POINT('',(4.8790313,17.191606,0.)); #559651=CARTESIAN_POINT('',(4.8790313,17.191606,0.035)); #559652=CARTESIAN_POINT('',(4.8790313,17.191606,0.035)); #559653=CARTESIAN_POINT('',(4.8790313,17.191606,0.)); #559654=CARTESIAN_POINT('Origin',(3.28485,20.320369,0.)); #559655=CARTESIAN_POINT('',(3.28485,20.320369,0.)); #559656=CARTESIAN_POINT('',(3.28485,20.320369,0.)); #559657=CARTESIAN_POINT('',(3.28485,20.320369,0.035)); #559658=CARTESIAN_POINT('',(3.28485,20.320369,0.035)); #559659=CARTESIAN_POINT('',(3.28485,20.320369,0.)); #559660=CARTESIAN_POINT('Origin',(3.2816063,20.327656,0.)); #559661=CARTESIAN_POINT('',(3.2816063,20.327656,0.)); #559662=CARTESIAN_POINT('',(3.2816063,20.327656,0.)); #559663=CARTESIAN_POINT('',(3.2816063,20.327656,0.035)); #559664=CARTESIAN_POINT('',(3.2816063,20.327656,0.035)); #559665=CARTESIAN_POINT('',(3.2816063,20.327656,0.)); #559666=CARTESIAN_POINT('Origin',(2.0232,23.605916,0.)); #559667=CARTESIAN_POINT('',(2.0232,23.605916,0.)); #559668=CARTESIAN_POINT('',(2.0232,23.605916,0.)); #559669=CARTESIAN_POINT('',(2.0232,23.605916,0.035)); #559670=CARTESIAN_POINT('',(2.0232,23.605916,0.035)); #559671=CARTESIAN_POINT('',(2.0232,23.605916,0.)); #559672=CARTESIAN_POINT('Origin',(2.0207344,23.6135,0.)); #559673=CARTESIAN_POINT('',(2.0207344,23.6135,0.)); #559674=CARTESIAN_POINT('',(2.0207344,23.6135,0.)); #559675=CARTESIAN_POINT('',(2.0207344,23.6135,0.035)); #559676=CARTESIAN_POINT('',(2.0207344,23.6135,0.035)); #559677=CARTESIAN_POINT('',(2.0207344,23.6135,0.)); #559678=CARTESIAN_POINT('Origin',(1.1118938,27.005341,0.)); #559679=CARTESIAN_POINT('',(1.1118938,27.005341,0.)); #559680=CARTESIAN_POINT('',(1.1118938,27.005341,0.)); #559681=CARTESIAN_POINT('',(1.1118938,27.005341,0.035)); #559682=CARTESIAN_POINT('',(1.1118938,27.005341,0.035)); #559683=CARTESIAN_POINT('',(1.1118938,27.005341,0.)); #559684=CARTESIAN_POINT('Origin',(1.1102344,27.013141,0.)); #559685=CARTESIAN_POINT('',(1.1102344,27.013141,0.)); #559686=CARTESIAN_POINT('',(1.1102344,27.013141,0.)); #559687=CARTESIAN_POINT('',(1.1102344,27.013141,0.035)); #559688=CARTESIAN_POINT('',(1.1102344,27.013141,0.035)); #559689=CARTESIAN_POINT('',(1.1102344,27.013141,0.)); #559690=CARTESIAN_POINT('Origin',(0.56091563,30.4814,0.)); #559691=CARTESIAN_POINT('',(0.56091563,30.4814,0.)); #559692=CARTESIAN_POINT('',(0.56091563,30.4814,0.)); #559693=CARTESIAN_POINT('',(0.560915629999998,30.4814,0.035)); #559694=CARTESIAN_POINT('',(0.56091563,30.4814,0.035)); #559695=CARTESIAN_POINT('',(0.56091563,30.4814,0.)); #559696=CARTESIAN_POINT('Origin',(0.56008125,30.489334,0.)); #559697=CARTESIAN_POINT('',(0.56008125,30.489334,0.)); #559698=CARTESIAN_POINT('',(0.56008125,30.489334,0.)); #559699=CARTESIAN_POINT('',(0.56008125,30.489334,0.035)); #559700=CARTESIAN_POINT('',(0.56008125,30.489334,0.035)); #559701=CARTESIAN_POINT('',(0.56008125,30.489334,0.)); #559702=CARTESIAN_POINT('Origin',(0.37630313,33.996012,0.)); #559703=CARTESIAN_POINT('',(0.37630313,33.996012,0.)); #559704=CARTESIAN_POINT('',(0.37630313,33.996012,0.)); #559705=CARTESIAN_POINT('',(0.376303130000002,33.996012,0.035)); #559706=CARTESIAN_POINT('',(0.37630313,33.996012,0.035)); #559707=CARTESIAN_POINT('',(0.37630313,33.996012,0.)); #559708=CARTESIAN_POINT('Origin',(0.37630313,34.003988,0.)); #559709=CARTESIAN_POINT('',(0.37630313,34.003988,0.)); #559710=CARTESIAN_POINT('',(0.37630313,34.003988,0.)); #559711=CARTESIAN_POINT('',(0.376303130000002,34.003988,0.035)); #559712=CARTESIAN_POINT('',(0.37630313,34.003988,0.035)); #559713=CARTESIAN_POINT('',(0.37630313,34.003988,0.)); #559714=CARTESIAN_POINT('Origin',(0.56008125,37.510666,0.)); #559715=CARTESIAN_POINT('',(0.56008125,37.510666,0.)); #559716=CARTESIAN_POINT('',(0.56008125,37.510666,0.)); #559717=CARTESIAN_POINT('',(0.56008125,37.510666,0.035)); #559718=CARTESIAN_POINT('',(0.56008125,37.510666,0.035)); #559719=CARTESIAN_POINT('',(0.56008125,37.510666,0.)); #559720=CARTESIAN_POINT('Origin',(0.56091563,37.5186,0.)); #559721=CARTESIAN_POINT('',(0.56091563,37.5186,0.)); #559722=CARTESIAN_POINT('',(0.56091563,37.5186,0.)); #559723=CARTESIAN_POINT('',(0.560915629999998,37.5186,0.035)); #559724=CARTESIAN_POINT('',(0.56091563,37.5186,0.035)); #559725=CARTESIAN_POINT('',(0.56091563,37.5186,0.)); #559726=CARTESIAN_POINT('Origin',(1.1102344,40.986859,0.)); #559727=CARTESIAN_POINT('',(1.1102344,40.986859,0.)); #559728=CARTESIAN_POINT('',(1.1102344,40.986859,0.)); #559729=CARTESIAN_POINT('',(1.1102344,40.986859,0.035)); #559730=CARTESIAN_POINT('',(1.1102344,40.986859,0.035)); #559731=CARTESIAN_POINT('',(1.1102344,40.986859,0.)); #559732=CARTESIAN_POINT('Origin',(1.1118938,40.994659,0.)); #559733=CARTESIAN_POINT('',(1.1118938,40.994659,0.)); #559734=CARTESIAN_POINT('',(1.1118938,40.994659,0.)); #559735=CARTESIAN_POINT('',(1.1118938,40.994659,0.035)); #559736=CARTESIAN_POINT('',(1.1118938,40.994659,0.035)); #559737=CARTESIAN_POINT('',(1.1118938,40.994659,0.)); #559738=CARTESIAN_POINT('Origin',(2.0207344,44.3865,0.)); #559739=CARTESIAN_POINT('',(2.0207344,44.3865,0.)); #559740=CARTESIAN_POINT('',(2.0207344,44.3865,0.)); #559741=CARTESIAN_POINT('',(2.0207344,44.3865,0.035)); #559742=CARTESIAN_POINT('',(2.0207344,44.3865,0.035)); #559743=CARTESIAN_POINT('',(2.0207344,44.3865,0.)); #559744=CARTESIAN_POINT('Origin',(2.0232,44.394084,0.)); #559745=CARTESIAN_POINT('',(2.0232,44.394084,0.)); #559746=CARTESIAN_POINT('',(2.0232,44.394084,0.)); #559747=CARTESIAN_POINT('',(2.0232,44.394084,0.035)); #559748=CARTESIAN_POINT('',(2.0232,44.394084,0.035)); #559749=CARTESIAN_POINT('',(2.0232,44.394084,0.)); #559750=CARTESIAN_POINT('Origin',(3.2816063,47.672344,0.)); #559751=CARTESIAN_POINT('',(3.2816063,47.672344,0.)); #559752=CARTESIAN_POINT('',(3.2816063,47.672344,0.)); #559753=CARTESIAN_POINT('',(3.2816063,47.672344,0.035)); #559754=CARTESIAN_POINT('',(3.2816063,47.672344,0.035)); #559755=CARTESIAN_POINT('',(3.2816063,47.672344,0.)); #559756=CARTESIAN_POINT('Origin',(3.28485,47.679631,0.)); #559757=CARTESIAN_POINT('',(3.28485,47.679631,0.)); #559758=CARTESIAN_POINT('',(3.28485,47.679631,0.)); #559759=CARTESIAN_POINT('',(3.28485,47.679631,0.035)); #559760=CARTESIAN_POINT('',(3.28485,47.679631,0.035)); #559761=CARTESIAN_POINT('',(3.28485,47.679631,0.)); #559762=CARTESIAN_POINT('Origin',(4.8790313,50.808394,0.)); #559763=CARTESIAN_POINT('',(4.8790313,50.808394,0.)); #559764=CARTESIAN_POINT('',(4.8790313,50.808394,0.)); #559765=CARTESIAN_POINT('',(4.8790313,50.808394,0.035)); #559766=CARTESIAN_POINT('',(4.8790313,50.808394,0.035)); #559767=CARTESIAN_POINT('',(4.8790313,50.808394,0.)); #559768=CARTESIAN_POINT('Origin',(4.8830188,50.8153,0.)); #559769=CARTESIAN_POINT('',(4.8830188,50.8153,0.)); #559770=CARTESIAN_POINT('',(4.8830188,50.8153,0.)); #559771=CARTESIAN_POINT('',(4.8830188,50.8153,0.035)); #559772=CARTESIAN_POINT('',(4.8830188,50.8153,0.035)); #559773=CARTESIAN_POINT('',(4.8830188,50.8153,0.)); #559774=CARTESIAN_POINT('Origin',(6.7955156,53.760284,0.)); #559775=CARTESIAN_POINT('',(6.7955156,53.760284,0.)); #559776=CARTESIAN_POINT('',(6.7955156,53.760284,0.)); #559777=CARTESIAN_POINT('',(6.7955156,53.760284,0.035)); #559778=CARTESIAN_POINT('',(6.7955156,53.760284,0.035)); #559779=CARTESIAN_POINT('',(6.7955156,53.760284,0.)); #559780=CARTESIAN_POINT('Origin',(6.8002031,53.766738,0.)); #559781=CARTESIAN_POINT('',(6.8002031,53.766738,0.)); #559782=CARTESIAN_POINT('',(6.8002031,53.766738,0.)); #559783=CARTESIAN_POINT('',(6.8002031,53.766738,0.035)); #559784=CARTESIAN_POINT('',(6.8002031,53.766738,0.035)); #559785=CARTESIAN_POINT('',(6.8002031,53.766738,0.)); #559786=CARTESIAN_POINT('Origin',(9.0100562,56.495678,0.)); #559787=CARTESIAN_POINT('',(9.0100562,56.495678,0.)); #559788=CARTESIAN_POINT('',(9.0100562,56.495678,0.)); #559789=CARTESIAN_POINT('',(9.0100562,56.495678,0.035)); #559790=CARTESIAN_POINT('',(9.0100562,56.495678,0.035)); #559791=CARTESIAN_POINT('',(9.0100562,56.495678,0.)); #559792=CARTESIAN_POINT('Origin',(9.0153938,56.501606,0.)); #559793=CARTESIAN_POINT('',(9.0153938,56.501606,0.)); #559794=CARTESIAN_POINT('',(9.0153938,56.501606,0.)); #559795=CARTESIAN_POINT('',(9.0153938,56.501606,0.035)); #559796=CARTESIAN_POINT('',(9.0153938,56.501606,0.035)); #559797=CARTESIAN_POINT('',(9.0153938,56.501606,0.)); #559798=CARTESIAN_POINT('Origin',(11.498394,58.984606,0.)); #559799=CARTESIAN_POINT('',(11.498394,58.984606,0.)); #559800=CARTESIAN_POINT('',(11.498394,58.984606,0.)); #559801=CARTESIAN_POINT('',(11.498394,58.984606,0.035)); #559802=CARTESIAN_POINT('',(11.498394,58.984606,0.035)); #559803=CARTESIAN_POINT('',(11.498394,58.984606,0.)); #559804=CARTESIAN_POINT('Origin',(11.504322,58.989944,0.)); #559805=CARTESIAN_POINT('',(11.504322,58.989944,0.)); #559806=CARTESIAN_POINT('',(11.504322,58.989944,0.)); #559807=CARTESIAN_POINT('',(11.504322,58.989944,0.035)); #559808=CARTESIAN_POINT('',(11.504322,58.989944,0.035)); #559809=CARTESIAN_POINT('',(11.504322,58.989944,0.)); #559810=CARTESIAN_POINT('Origin',(14.233263,61.199797,0.)); #559811=CARTESIAN_POINT('',(14.233263,61.199797,0.)); #559812=CARTESIAN_POINT('',(14.233263,61.199797,0.)); #559813=CARTESIAN_POINT('',(14.233263,61.199797,0.035)); #559814=CARTESIAN_POINT('',(14.233263,61.199797,0.035)); #559815=CARTESIAN_POINT('',(14.233263,61.199797,0.)); #559816=CARTESIAN_POINT('Origin',(14.239716,61.204484,0.)); #559817=CARTESIAN_POINT('',(14.239716,61.204484,0.)); #559818=CARTESIAN_POINT('',(14.239716,61.204484,0.)); #559819=CARTESIAN_POINT('',(14.239716,61.204484,0.035)); #559820=CARTESIAN_POINT('',(14.239716,61.204484,0.035)); #559821=CARTESIAN_POINT('',(14.239716,61.204484,0.)); #559822=CARTESIAN_POINT('Origin',(17.1847,63.116981,0.)); #559823=CARTESIAN_POINT('',(17.1847,63.116981,0.)); #559824=CARTESIAN_POINT('',(17.1847,63.116981,0.)); #559825=CARTESIAN_POINT('',(17.1847,63.116981,0.035)); #559826=CARTESIAN_POINT('',(17.1847,63.116981,0.035)); #559827=CARTESIAN_POINT('',(17.1847,63.116981,0.)); #559828=CARTESIAN_POINT('Origin',(17.191606,63.120969,0.)); #559829=CARTESIAN_POINT('',(17.191606,63.120969,0.)); #559830=CARTESIAN_POINT('',(17.191606,63.120969,0.)); #559831=CARTESIAN_POINT('',(17.191606,63.120969,0.035)); #559832=CARTESIAN_POINT('',(17.191606,63.120969,0.035)); #559833=CARTESIAN_POINT('',(17.191606,63.120969,0.)); #559834=CARTESIAN_POINT('Origin',(20.320369,64.71515,0.)); #559835=CARTESIAN_POINT('',(20.320369,64.71515,0.)); #559836=CARTESIAN_POINT('',(20.320369,64.71515,0.)); #559837=CARTESIAN_POINT('',(20.320369,64.71515,0.035)); #559838=CARTESIAN_POINT('',(20.320369,64.71515,0.035)); #559839=CARTESIAN_POINT('',(20.320369,64.71515,0.)); #559840=CARTESIAN_POINT('Origin',(20.327656,64.718394,0.)); #559841=CARTESIAN_POINT('',(20.327656,64.718394,0.)); #559842=CARTESIAN_POINT('',(20.327656,64.718394,0.)); #559843=CARTESIAN_POINT('',(20.327656,64.718394,0.035)); #559844=CARTESIAN_POINT('',(20.327656,64.718394,0.035)); #559845=CARTESIAN_POINT('',(20.327656,64.718394,0.)); #559846=CARTESIAN_POINT('Origin',(23.605916,65.9768,0.)); #559847=CARTESIAN_POINT('',(23.605916,65.9768,0.)); #559848=CARTESIAN_POINT('',(23.605916,65.9768,0.)); #559849=CARTESIAN_POINT('',(23.605916,65.9768,0.035)); #559850=CARTESIAN_POINT('',(23.605916,65.9768,0.035)); #559851=CARTESIAN_POINT('',(23.605916,65.9768,0.)); #559852=CARTESIAN_POINT('Origin',(23.6135,65.979266,0.)); #559853=CARTESIAN_POINT('',(23.6135,65.979266,0.)); #559854=CARTESIAN_POINT('',(23.6135,65.979266,0.)); #559855=CARTESIAN_POINT('',(23.6135,65.979266,0.035)); #559856=CARTESIAN_POINT('',(23.6135,65.979266,0.035)); #559857=CARTESIAN_POINT('',(23.6135,65.979266,0.)); #559858=CARTESIAN_POINT('Origin',(27.005341,66.888106,0.)); #559859=CARTESIAN_POINT('',(27.005341,66.888106,0.)); #559860=CARTESIAN_POINT('',(27.005341,66.888106,0.)); #559861=CARTESIAN_POINT('',(27.005341,66.888106,0.035)); #559862=CARTESIAN_POINT('',(27.005341,66.888106,0.035)); #559863=CARTESIAN_POINT('',(27.005341,66.888106,0.)); #559864=CARTESIAN_POINT('Origin',(27.013141,66.889766,0.)); #559865=CARTESIAN_POINT('',(27.013141,66.889766,0.)); #559866=CARTESIAN_POINT('',(27.013141,66.889766,0.)); #559867=CARTESIAN_POINT('',(27.013141,66.889766,0.035)); #559868=CARTESIAN_POINT('',(27.013141,66.889766,0.035)); #559869=CARTESIAN_POINT('',(27.013141,66.889766,0.)); #559870=CARTESIAN_POINT('Origin',(30.4814,67.439084,0.)); #559871=CARTESIAN_POINT('',(30.4814,67.439084,0.)); #559872=CARTESIAN_POINT('',(30.4814,67.439084,0.)); #559873=CARTESIAN_POINT('',(30.4814,67.439084,0.035)); #559874=CARTESIAN_POINT('',(30.4814,67.439084,0.035)); #559875=CARTESIAN_POINT('',(30.4814,67.439084,0.)); #559876=CARTESIAN_POINT('Origin',(30.489334,67.439919,0.)); #559877=CARTESIAN_POINT('',(30.489334,67.439919,0.)); #559878=CARTESIAN_POINT('',(30.489334,67.439919,0.)); #559879=CARTESIAN_POINT('',(30.489334,67.439919,0.035)); #559880=CARTESIAN_POINT('',(30.489334,67.439919,0.035)); #559881=CARTESIAN_POINT('',(30.489334,67.439919,0.)); #559882=CARTESIAN_POINT('Origin',(33.996012,67.623697,0.)); #559883=CARTESIAN_POINT('',(33.996012,67.623697,0.)); #559884=CARTESIAN_POINT('',(33.996012,67.623697,0.)); #559885=CARTESIAN_POINT('',(33.996012,67.623697,0.035)); #559886=CARTESIAN_POINT('',(33.996012,67.623697,0.035)); #559887=CARTESIAN_POINT('',(33.996012,67.623697,0.)); #559888=CARTESIAN_POINT('Origin',(34.003988,67.623697,0.)); #559889=CARTESIAN_POINT('',(34.003988,67.623697,0.)); #559890=CARTESIAN_POINT('',(34.003988,67.623697,0.)); #559891=CARTESIAN_POINT('',(34.003988,67.623697,0.035)); #559892=CARTESIAN_POINT('',(34.003988,67.623697,0.035)); #559893=CARTESIAN_POINT('',(34.003988,67.623697,0.)); #559894=CARTESIAN_POINT('Origin',(37.510666,67.439919,0.)); #559895=CARTESIAN_POINT('',(37.510666,67.439919,0.)); #559896=CARTESIAN_POINT('',(37.510666,67.439919,0.)); #559897=CARTESIAN_POINT('',(37.510666,67.439919,0.035)); #559898=CARTESIAN_POINT('',(37.510666,67.439919,0.035)); #559899=CARTESIAN_POINT('',(37.510666,67.439919,0.)); #559900=CARTESIAN_POINT('Origin',(37.5186,67.439084,0.)); #559901=CARTESIAN_POINT('',(37.5186,67.439084,0.)); #559902=CARTESIAN_POINT('',(37.5186,67.439084,0.)); #559903=CARTESIAN_POINT('',(37.5186,67.439084,0.035)); #559904=CARTESIAN_POINT('',(37.5186,67.439084,0.035)); #559905=CARTESIAN_POINT('',(37.5186,67.439084,0.)); #559906=CARTESIAN_POINT('Origin',(40.986859,66.889766,0.)); #559907=CARTESIAN_POINT('',(40.986859,66.889766,0.)); #559908=CARTESIAN_POINT('',(40.986859,66.889766,0.)); #559909=CARTESIAN_POINT('',(40.986859,66.889766,0.035)); #559910=CARTESIAN_POINT('',(40.986859,66.889766,0.035)); #559911=CARTESIAN_POINT('',(40.986859,66.889766,0.)); #559912=CARTESIAN_POINT('Origin',(40.994659,66.888106,0.)); #559913=CARTESIAN_POINT('',(40.994659,66.888106,0.)); #559914=CARTESIAN_POINT('',(40.994659,66.888106,0.)); #559915=CARTESIAN_POINT('',(40.994659,66.888106,0.035)); #559916=CARTESIAN_POINT('',(40.994659,66.888106,0.035)); #559917=CARTESIAN_POINT('',(40.994659,66.888106,0.)); #559918=CARTESIAN_POINT('Origin',(44.3865,65.979266,0.)); #559919=CARTESIAN_POINT('',(44.3865,65.979266,0.)); #559920=CARTESIAN_POINT('',(44.3865,65.979266,0.)); #559921=CARTESIAN_POINT('',(44.3865,65.979266,0.035)); #559922=CARTESIAN_POINT('',(44.3865,65.979266,0.035)); #559923=CARTESIAN_POINT('',(44.3865,65.979266,0.)); #559924=CARTESIAN_POINT('Origin',(44.394084,65.9768,0.)); #559925=CARTESIAN_POINT('',(44.394084,65.9768,0.)); #559926=CARTESIAN_POINT('',(44.394084,65.9768,0.)); #559927=CARTESIAN_POINT('',(44.394084,65.9768,0.035)); #559928=CARTESIAN_POINT('',(44.394084,65.9768,0.035)); #559929=CARTESIAN_POINT('',(44.394084,65.9768,0.)); #559930=CARTESIAN_POINT('Origin',(47.672344,64.718394,0.)); #559931=CARTESIAN_POINT('',(47.672344,64.718394,0.)); #559932=CARTESIAN_POINT('',(47.672344,64.718394,0.)); #559933=CARTESIAN_POINT('',(47.672344,64.718394,0.035)); #559934=CARTESIAN_POINT('',(47.672344,64.718394,0.035)); #559935=CARTESIAN_POINT('',(47.672344,64.718394,0.)); #559936=CARTESIAN_POINT('Origin',(47.679631,64.71515,0.)); #559937=CARTESIAN_POINT('',(47.679631,64.71515,0.)); #559938=CARTESIAN_POINT('',(47.679631,64.71515,0.)); #559939=CARTESIAN_POINT('',(47.679631,64.71515,0.035)); #559940=CARTESIAN_POINT('',(47.679631,64.71515,0.035)); #559941=CARTESIAN_POINT('',(47.679631,64.71515,0.)); #559942=CARTESIAN_POINT('Origin',(50.808394,63.120969,0.)); #559943=CARTESIAN_POINT('',(50.808394,63.120969,0.)); #559944=CARTESIAN_POINT('',(50.808394,63.120969,0.)); #559945=CARTESIAN_POINT('',(50.808394,63.120969,0.035)); #559946=CARTESIAN_POINT('',(50.808394,63.120969,0.035)); #559947=CARTESIAN_POINT('',(50.808394,63.120969,0.)); #559948=CARTESIAN_POINT('Origin',(50.8153,63.116981,0.)); #559949=CARTESIAN_POINT('',(50.8153,63.116981,0.)); #559950=CARTESIAN_POINT('',(50.8153,63.116981,0.)); #559951=CARTESIAN_POINT('',(50.8153,63.116981,0.035)); #559952=CARTESIAN_POINT('',(50.8153,63.116981,0.035)); #559953=CARTESIAN_POINT('',(50.8153,63.116981,0.)); #559954=CARTESIAN_POINT('Origin',(53.760284,61.204484,0.)); #559955=CARTESIAN_POINT('',(53.760284,61.204484,0.)); #559956=CARTESIAN_POINT('',(53.760284,61.204484,0.)); #559957=CARTESIAN_POINT('',(53.760284,61.204484,0.035)); #559958=CARTESIAN_POINT('',(53.760284,61.204484,0.035)); #559959=CARTESIAN_POINT('',(53.760284,61.204484,0.)); #559960=CARTESIAN_POINT('Origin',(53.766738,61.199797,0.)); #559961=CARTESIAN_POINT('',(53.766738,61.199797,0.)); #559962=CARTESIAN_POINT('',(53.766738,61.199797,0.)); #559963=CARTESIAN_POINT('',(53.766738,61.199797,0.035)); #559964=CARTESIAN_POINT('',(53.766738,61.199797,0.035)); #559965=CARTESIAN_POINT('',(53.766738,61.199797,0.)); #559966=CARTESIAN_POINT('Origin',(56.495678,58.989944,0.)); #559967=CARTESIAN_POINT('',(56.495678,58.989944,0.)); #559968=CARTESIAN_POINT('',(56.495678,58.989944,0.)); #559969=CARTESIAN_POINT('',(56.495678,58.989944,0.035)); #559970=CARTESIAN_POINT('',(56.495678,58.989944,0.035)); #559971=CARTESIAN_POINT('',(56.495678,58.989944,0.)); #559972=CARTESIAN_POINT('Origin',(56.501606,58.984606,0.)); #559973=CARTESIAN_POINT('',(56.501606,58.984606,0.)); #559974=CARTESIAN_POINT('',(56.501606,58.984606,0.)); #559975=CARTESIAN_POINT('',(56.501606,58.984606,0.035)); #559976=CARTESIAN_POINT('',(56.501606,58.984606,0.035)); #559977=CARTESIAN_POINT('',(56.501606,58.984606,0.)); #559978=CARTESIAN_POINT('Origin',(58.984606,56.501606,0.)); #559979=CARTESIAN_POINT('',(58.984606,56.501606,0.)); #559980=CARTESIAN_POINT('',(58.984606,56.501606,0.)); #559981=CARTESIAN_POINT('',(58.984606,56.501606,0.035)); #559982=CARTESIAN_POINT('',(58.984606,56.501606,0.035)); #559983=CARTESIAN_POINT('',(58.984606,56.501606,0.)); #559984=CARTESIAN_POINT('Origin',(58.989944,56.495678,0.)); #559985=CARTESIAN_POINT('',(58.989944,56.495678,0.)); #559986=CARTESIAN_POINT('',(58.989944,56.495678,0.)); #559987=CARTESIAN_POINT('',(58.989944,56.495678,0.035)); #559988=CARTESIAN_POINT('',(58.989944,56.495678,0.035)); #559989=CARTESIAN_POINT('',(58.989944,56.495678,0.)); #559990=CARTESIAN_POINT('Origin',(61.199797,53.766738,0.)); #559991=CARTESIAN_POINT('',(61.199797,53.766738,0.)); #559992=CARTESIAN_POINT('',(61.199797,53.766738,0.)); #559993=CARTESIAN_POINT('',(61.199797,53.766738,0.035)); #559994=CARTESIAN_POINT('',(61.199797,53.766738,0.035)); #559995=CARTESIAN_POINT('',(61.199797,53.766738,0.)); #559996=CARTESIAN_POINT('Origin',(61.204484,53.760284,0.)); #559997=CARTESIAN_POINT('',(61.204484,53.760284,0.)); #559998=CARTESIAN_POINT('',(61.204484,53.760284,0.)); #559999=CARTESIAN_POINT('',(61.204484,53.760284,0.035)); #560000=CARTESIAN_POINT('',(61.204484,53.760284,0.035)); #560001=CARTESIAN_POINT('',(61.204484,53.760284,0.)); #560002=CARTESIAN_POINT('Origin',(63.116981,50.8153,0.)); #560003=CARTESIAN_POINT('',(63.116981,50.8153,0.)); #560004=CARTESIAN_POINT('',(63.116981,50.8153,0.)); #560005=CARTESIAN_POINT('',(63.116981,50.8153,0.035)); #560006=CARTESIAN_POINT('',(63.116981,50.8153,0.035)); #560007=CARTESIAN_POINT('',(63.116981,50.8153,0.)); #560008=CARTESIAN_POINT('Origin',(63.120969,50.808394,0.)); #560009=CARTESIAN_POINT('',(63.120969,50.808394,0.)); #560010=CARTESIAN_POINT('',(63.120969,50.808394,0.)); #560011=CARTESIAN_POINT('',(63.120969,50.808394,0.035)); #560012=CARTESIAN_POINT('',(63.120969,50.808394,0.035)); #560013=CARTESIAN_POINT('',(63.120969,50.808394,0.)); #560014=CARTESIAN_POINT('Origin',(64.71515,47.679631,0.)); #560015=CARTESIAN_POINT('',(64.71515,47.679631,0.)); #560016=CARTESIAN_POINT('',(64.71515,47.679631,0.)); #560017=CARTESIAN_POINT('',(64.71515,47.679631,0.035)); #560018=CARTESIAN_POINT('',(64.71515,47.679631,0.035)); #560019=CARTESIAN_POINT('',(64.71515,47.679631,0.)); #560020=CARTESIAN_POINT('Origin',(64.718394,47.672344,0.)); #560021=CARTESIAN_POINT('',(64.718394,47.672344,0.)); #560022=CARTESIAN_POINT('',(64.718394,47.672344,0.)); #560023=CARTESIAN_POINT('',(64.718394,47.672344,0.035)); #560024=CARTESIAN_POINT('',(64.718394,47.672344,0.035)); #560025=CARTESIAN_POINT('',(64.718394,47.672344,0.)); #560026=CARTESIAN_POINT('Origin',(65.9768,44.394084,0.)); #560027=CARTESIAN_POINT('',(65.9768,44.394084,0.)); #560028=CARTESIAN_POINT('',(65.9768,44.394084,0.)); #560029=CARTESIAN_POINT('',(65.9768,44.394084,0.035)); #560030=CARTESIAN_POINT('',(65.9768,44.394084,0.035)); #560031=CARTESIAN_POINT('',(65.9768,44.394084,0.)); #560032=CARTESIAN_POINT('Origin',(65.979266,44.3865,0.)); #560033=CARTESIAN_POINT('',(65.979266,44.3865,0.)); #560034=CARTESIAN_POINT('',(65.979266,44.3865,0.)); #560035=CARTESIAN_POINT('',(65.979266,44.3865,0.035)); #560036=CARTESIAN_POINT('',(65.979266,44.3865,0.035)); #560037=CARTESIAN_POINT('',(65.979266,44.3865,0.)); #560038=CARTESIAN_POINT('Origin',(66.888106,40.994659,0.)); #560039=CARTESIAN_POINT('',(66.888106,40.994659,0.)); #560040=CARTESIAN_POINT('',(66.888106,40.994659,0.)); #560041=CARTESIAN_POINT('',(66.888106,40.994659,0.035)); #560042=CARTESIAN_POINT('',(66.888106,40.994659,0.035)); #560043=CARTESIAN_POINT('',(66.888106,40.994659,0.)); #560044=CARTESIAN_POINT('Origin',(66.889766,40.986859,0.)); #560045=CARTESIAN_POINT('',(66.889766,40.986859,0.)); #560046=CARTESIAN_POINT('',(66.889766,40.986859,0.)); #560047=CARTESIAN_POINT('',(66.889766,40.986859,0.035)); #560048=CARTESIAN_POINT('',(66.889766,40.986859,0.035)); #560049=CARTESIAN_POINT('',(66.889766,40.986859,0.)); #560050=CARTESIAN_POINT('Origin',(67.439084,37.5186,0.)); #560051=CARTESIAN_POINT('',(67.439084,37.5186,0.)); #560052=CARTESIAN_POINT('',(67.439084,37.5186,0.)); #560053=CARTESIAN_POINT('',(67.439084,37.5186,0.035)); #560054=CARTESIAN_POINT('',(67.439084,37.5186,0.035)); #560055=CARTESIAN_POINT('',(67.439084,37.5186,0.)); #560056=CARTESIAN_POINT('Origin',(67.439919,37.510666,0.)); #560057=CARTESIAN_POINT('',(67.439919,37.510666,0.)); #560058=CARTESIAN_POINT('',(67.439919,37.510666,0.)); #560059=CARTESIAN_POINT('',(67.439919,37.510666,0.035)); #560060=CARTESIAN_POINT('',(67.439919,37.510666,0.035)); #560061=CARTESIAN_POINT('',(67.439919,37.510666,0.)); #560062=CARTESIAN_POINT('Origin',(67.623697,34.003988,0.)); #560063=CARTESIAN_POINT('',(67.623697,34.003988,0.)); #560064=CARTESIAN_POINT('',(67.623697,34.003988,0.)); #560065=CARTESIAN_POINT('',(67.623697,34.003988,0.035)); #560066=CARTESIAN_POINT('',(67.623697,34.003988,0.035)); #560067=CARTESIAN_POINT('',(67.623697,34.003988,0.)); #560068=CARTESIAN_POINT('Origin',(67.623697,33.996012,0.)); #560069=CARTESIAN_POINT('',(67.623697,33.996012,0.)); #560070=CARTESIAN_POINT('',(67.623697,33.996012,0.)); #560071=CARTESIAN_POINT('',(67.623697,33.996012,0.035)); #560072=CARTESIAN_POINT('',(67.623697,33.996012,0.035)); #560073=CARTESIAN_POINT('',(67.623697,33.996012,0.)); #560074=CARTESIAN_POINT('Origin',(67.439919,30.489334,0.)); #560075=CARTESIAN_POINT('',(67.439919,30.489334,0.)); #560076=CARTESIAN_POINT('',(67.439919,30.489334,0.)); #560077=CARTESIAN_POINT('',(67.439919,30.489334,0.035)); #560078=CARTESIAN_POINT('',(67.439919,30.489334,0.035)); #560079=CARTESIAN_POINT('',(67.439919,30.489334,0.)); #560080=CARTESIAN_POINT('Origin',(67.439084,30.4814,0.)); #560081=CARTESIAN_POINT('',(67.439084,30.4814,0.)); #560082=CARTESIAN_POINT('',(67.439084,30.4814,0.)); #560083=CARTESIAN_POINT('',(67.439084,30.4814,0.035)); #560084=CARTESIAN_POINT('',(67.439084,30.4814,0.035)); #560085=CARTESIAN_POINT('',(67.439084,30.4814,0.)); #560086=CARTESIAN_POINT('Origin',(66.889766,27.013141,0.)); #560087=CARTESIAN_POINT('',(66.889766,27.013141,0.)); #560088=CARTESIAN_POINT('',(66.889766,27.013141,0.)); #560089=CARTESIAN_POINT('',(66.889766,27.013141,0.035)); #560090=CARTESIAN_POINT('',(66.889766,27.013141,0.035)); #560091=CARTESIAN_POINT('',(66.889766,27.013141,0.)); #560092=CARTESIAN_POINT('Origin',(66.888106,27.005341,0.)); #560093=CARTESIAN_POINT('',(66.888106,27.005341,0.)); #560094=CARTESIAN_POINT('',(66.888106,27.005341,0.)); #560095=CARTESIAN_POINT('',(66.888106,27.005341,0.035)); #560096=CARTESIAN_POINT('',(66.888106,27.005341,0.035)); #560097=CARTESIAN_POINT('',(66.888106,27.005341,0.)); #560098=CARTESIAN_POINT('Origin',(65.979266,23.6135,0.)); #560099=CARTESIAN_POINT('',(65.979266,23.6135,0.)); #560100=CARTESIAN_POINT('',(65.979266,23.6135,0.)); #560101=CARTESIAN_POINT('',(65.979266,23.6135,0.035)); #560102=CARTESIAN_POINT('',(65.979266,23.6135,0.035)); #560103=CARTESIAN_POINT('',(65.979266,23.6135,0.)); #560104=CARTESIAN_POINT('Origin',(65.9768,23.605916,0.)); #560105=CARTESIAN_POINT('',(65.9768,23.605916,0.)); #560106=CARTESIAN_POINT('',(65.9768,23.605916,0.)); #560107=CARTESIAN_POINT('',(65.9768,23.605916,0.035)); #560108=CARTESIAN_POINT('',(65.9768,23.605916,0.035)); #560109=CARTESIAN_POINT('',(65.9768,23.605916,0.)); #560110=CARTESIAN_POINT('Origin',(64.718394,20.327656,0.)); #560111=CARTESIAN_POINT('',(64.718394,20.327656,0.)); #560112=CARTESIAN_POINT('',(64.718394,20.327656,0.)); #560113=CARTESIAN_POINT('',(64.718394,20.327656,0.035)); #560114=CARTESIAN_POINT('',(64.718394,20.327656,0.035)); #560115=CARTESIAN_POINT('',(64.718394,20.327656,0.)); #560116=CARTESIAN_POINT('Origin',(64.71515,20.320369,0.)); #560117=CARTESIAN_POINT('',(64.71515,20.320369,0.)); #560118=CARTESIAN_POINT('',(64.71515,20.320369,0.)); #560119=CARTESIAN_POINT('',(64.71515,20.320369,0.035)); #560120=CARTESIAN_POINT('',(64.71515,20.320369,0.035)); #560121=CARTESIAN_POINT('',(64.71515,20.320369,0.)); #560122=CARTESIAN_POINT('Origin',(63.120969,17.191606,0.)); #560123=CARTESIAN_POINT('',(63.120969,17.191606,0.)); #560124=CARTESIAN_POINT('',(63.120969,17.191606,0.)); #560125=CARTESIAN_POINT('',(63.120969,17.191606,0.035)); #560126=CARTESIAN_POINT('',(63.120969,17.191606,0.035)); #560127=CARTESIAN_POINT('',(63.120969,17.191606,0.)); #560128=CARTESIAN_POINT('Origin',(63.116981,17.1847,0.)); #560129=CARTESIAN_POINT('',(63.116981,17.1847,0.)); #560130=CARTESIAN_POINT('',(63.116981,17.1847,0.)); #560131=CARTESIAN_POINT('',(63.116981,17.1847,0.035)); #560132=CARTESIAN_POINT('',(63.116981,17.1847,0.035)); #560133=CARTESIAN_POINT('',(63.116981,17.1847,0.)); #560134=CARTESIAN_POINT('Origin',(61.204484,14.239716,0.)); #560135=CARTESIAN_POINT('',(61.204484,14.239716,0.)); #560136=CARTESIAN_POINT('',(61.204484,14.239716,0.)); #560137=CARTESIAN_POINT('',(61.204484,14.239716,0.035)); #560138=CARTESIAN_POINT('',(61.204484,14.239716,0.035)); #560139=CARTESIAN_POINT('',(61.204484,14.239716,0.)); #560140=CARTESIAN_POINT('Origin',(61.199797,14.233263,0.)); #560141=CARTESIAN_POINT('',(61.199797,14.233263,0.)); #560142=CARTESIAN_POINT('',(61.199797,14.233263,0.)); #560143=CARTESIAN_POINT('',(61.199797,14.233263,0.035)); #560144=CARTESIAN_POINT('',(61.199797,14.233263,0.035)); #560145=CARTESIAN_POINT('',(61.199797,14.233263,0.)); #560146=CARTESIAN_POINT('Origin',(58.989944,11.504322,0.)); #560147=CARTESIAN_POINT('',(58.989944,11.504322,0.)); #560148=CARTESIAN_POINT('',(58.989944,11.504322,0.)); #560149=CARTESIAN_POINT('',(58.989944,11.504322,0.035)); #560150=CARTESIAN_POINT('',(58.989944,11.504322,0.035)); #560151=CARTESIAN_POINT('',(58.989944,11.504322,0.)); #560152=CARTESIAN_POINT('Origin',(58.984606,11.498394,0.)); #560153=CARTESIAN_POINT('',(58.984606,11.498394,0.)); #560154=CARTESIAN_POINT('',(58.984606,11.498394,0.)); #560155=CARTESIAN_POINT('',(58.984606,11.498394,0.035)); #560156=CARTESIAN_POINT('',(58.984606,11.498394,0.035)); #560157=CARTESIAN_POINT('',(58.984606,11.498394,0.)); #560158=CARTESIAN_POINT('Origin',(56.501606,9.0153938,0.)); #560159=CARTESIAN_POINT('',(56.501606,9.0153938,0.)); #560160=CARTESIAN_POINT('',(56.501606,9.0153938,0.)); #560161=CARTESIAN_POINT('',(56.501606,9.0153938,0.035)); #560162=CARTESIAN_POINT('',(56.501606,9.0153938,0.035)); #560163=CARTESIAN_POINT('',(56.501606,9.0153938,0.)); #560164=CARTESIAN_POINT('Origin',(56.495678,9.0100562,0.)); #560165=CARTESIAN_POINT('',(56.495678,9.0100562,0.)); #560166=CARTESIAN_POINT('',(56.495678,9.0100562,0.)); #560167=CARTESIAN_POINT('',(56.495678,9.0100562,0.035)); #560168=CARTESIAN_POINT('',(56.495678,9.0100562,0.035)); #560169=CARTESIAN_POINT('',(56.495678,9.0100562,0.)); #560170=CARTESIAN_POINT('Origin',(53.766738,6.8002031,0.)); #560171=CARTESIAN_POINT('',(53.766738,6.8002031,0.)); #560172=CARTESIAN_POINT('',(53.766738,6.8002031,0.)); #560173=CARTESIAN_POINT('',(53.766738,6.8002031,0.035)); #560174=CARTESIAN_POINT('',(53.766738,6.8002031,0.035)); #560175=CARTESIAN_POINT('',(53.766738,6.8002031,0.)); #560176=CARTESIAN_POINT('Origin',(53.760284,6.7955156,0.)); #560177=CARTESIAN_POINT('',(53.760284,6.7955156,0.)); #560178=CARTESIAN_POINT('',(53.760284,6.7955156,0.)); #560179=CARTESIAN_POINT('',(53.760284,6.7955156,0.035)); #560180=CARTESIAN_POINT('',(53.760284,6.7955156,0.035)); #560181=CARTESIAN_POINT('',(53.760284,6.7955156,0.)); #560182=CARTESIAN_POINT('Origin',(50.8153,4.8830188,0.)); #560183=CARTESIAN_POINT('',(50.8153,4.8830188,0.)); #560184=CARTESIAN_POINT('',(50.8153,4.8830188,0.)); #560185=CARTESIAN_POINT('',(50.8153,4.8830188,0.035)); #560186=CARTESIAN_POINT('',(50.8153,4.8830188,0.035)); #560187=CARTESIAN_POINT('',(50.8153,4.8830188,0.)); #560188=CARTESIAN_POINT('Origin',(50.808394,4.8790313,0.)); #560189=CARTESIAN_POINT('',(50.808394,4.8790313,0.)); #560190=CARTESIAN_POINT('',(50.808394,4.8790313,0.)); #560191=CARTESIAN_POINT('',(50.808394,4.8790313,0.035)); #560192=CARTESIAN_POINT('',(50.808394,4.8790313,0.035)); #560193=CARTESIAN_POINT('',(50.808394,4.8790313,0.)); #560194=CARTESIAN_POINT('Origin',(47.679631,3.28485,0.)); #560195=CARTESIAN_POINT('',(47.679631,3.28485,0.)); #560196=CARTESIAN_POINT('',(47.679631,3.28485,0.)); #560197=CARTESIAN_POINT('',(47.679631,3.28485,0.035)); #560198=CARTESIAN_POINT('',(47.679631,3.28485,0.035)); #560199=CARTESIAN_POINT('',(47.679631,3.28485,0.)); #560200=CARTESIAN_POINT('Origin',(47.672344,3.2816063,0.)); #560201=CARTESIAN_POINT('',(47.672344,3.2816063,0.)); #560202=CARTESIAN_POINT('',(47.672344,3.2816063,0.)); #560203=CARTESIAN_POINT('',(47.672344,3.2816063,0.035)); #560204=CARTESIAN_POINT('',(47.672344,3.2816063,0.035)); #560205=CARTESIAN_POINT('',(47.672344,3.2816063,0.)); #560206=CARTESIAN_POINT('Origin',(44.394084,2.0232,0.)); #560207=CARTESIAN_POINT('',(44.394084,2.0232,0.)); #560208=CARTESIAN_POINT('',(44.394084,2.0232,0.)); #560209=CARTESIAN_POINT('',(44.394084,2.0232,0.035)); #560210=CARTESIAN_POINT('',(44.394084,2.0232,0.035)); #560211=CARTESIAN_POINT('',(44.394084,2.0232,0.)); #560212=CARTESIAN_POINT('Origin',(44.3865,2.0207344,0.)); #560213=CARTESIAN_POINT('',(44.3865,2.0207344,0.)); #560214=CARTESIAN_POINT('',(44.3865,2.0207344,0.)); #560215=CARTESIAN_POINT('',(44.3865,2.0207344,0.035)); #560216=CARTESIAN_POINT('',(44.3865,2.0207344,0.035)); #560217=CARTESIAN_POINT('',(44.3865,2.0207344,0.)); #560218=CARTESIAN_POINT('Origin',(40.994659,1.1118938,0.)); #560219=CARTESIAN_POINT('',(40.994659,1.1118938,0.)); #560220=CARTESIAN_POINT('',(40.994659,1.1118938,0.)); #560221=CARTESIAN_POINT('',(40.994659,1.1118938,0.035)); #560222=CARTESIAN_POINT('',(40.994659,1.1118938,0.035)); #560223=CARTESIAN_POINT('',(40.994659,1.1118938,0.)); #560224=CARTESIAN_POINT('Origin',(40.986859,1.1102344,0.)); #560225=CARTESIAN_POINT('',(40.986859,1.1102344,0.)); #560226=CARTESIAN_POINT('',(40.986859,1.1102344,0.)); #560227=CARTESIAN_POINT('',(40.986859,1.1102344,0.035)); #560228=CARTESIAN_POINT('',(40.986859,1.1102344,0.035)); #560229=CARTESIAN_POINT('',(40.986859,1.1102344,0.)); #560230=CARTESIAN_POINT('Origin',(37.5186,0.56091563,0.)); #560231=CARTESIAN_POINT('',(37.5186,0.56091563,0.)); #560232=CARTESIAN_POINT('',(37.5186,0.56091563,0.)); #560233=CARTESIAN_POINT('',(37.5186,0.56091563,0.035)); #560234=CARTESIAN_POINT('',(37.5186,0.56091563,0.035)); #560235=CARTESIAN_POINT('',(37.5186,0.56091563,0.)); #560236=CARTESIAN_POINT('Origin',(37.510666,0.56008125,0.)); #560237=CARTESIAN_POINT('',(37.510666,0.56008125,0.)); #560238=CARTESIAN_POINT('',(37.510666,0.56008125,0.035)); #560239=CARTESIAN_POINT('Origin',(34.0000000370592,34.0000000370592,0.035)); #560240=CARTESIAN_POINT('Origin',(34.0000000370592,34.0000000370592,0.)); #560241=CARTESIAN_POINT('Origin',(25.5903,23.2286,0.)); #560242=CARTESIAN_POINT('',(25.5903,23.2286,0.)); #560243=CARTESIAN_POINT('',(25.620022,23.234634,0.)); #560244=CARTESIAN_POINT('',(25.5903,23.2286,0.)); #560245=CARTESIAN_POINT('',(25.620022,23.234634,0.035)); #560246=CARTESIAN_POINT('',(25.620022,23.234634,0.)); #560247=CARTESIAN_POINT('',(25.5903,23.2286,0.035)); #560248=CARTESIAN_POINT('',(25.5903,23.2286,0.035)); #560249=CARTESIAN_POINT('',(25.5903,23.2286,0.)); #560250=CARTESIAN_POINT('Origin',(21.380591,23.2286,0.)); #560251=CARTESIAN_POINT('',(21.380591,23.2286,0.)); #560252=CARTESIAN_POINT('',(21.380591,23.2286,0.)); #560253=CARTESIAN_POINT('',(21.380591,23.2286,0.035)); #560254=CARTESIAN_POINT('',(21.380591,23.2286,0.035)); #560255=CARTESIAN_POINT('',(21.380591,23.2286,0.)); #560256=CARTESIAN_POINT('Origin',(21.354259,23.233294,0.)); #560257=CARTESIAN_POINT('',(21.354259,23.233294,0.)); #560258=CARTESIAN_POINT('',(21.354259,23.233294,0.)); #560259=CARTESIAN_POINT('',(21.354259,23.233294,0.035)); #560260=CARTESIAN_POINT('',(21.354259,23.233294,0.035)); #560261=CARTESIAN_POINT('',(21.354259,23.233294,0.)); #560262=CARTESIAN_POINT('Origin',(21.328453,23.249228,0.)); #560263=CARTESIAN_POINT('',(21.328453,23.249228,0.)); #560264=CARTESIAN_POINT('',(21.328453,23.249228,0.)); #560265=CARTESIAN_POINT('',(21.328453,23.249228,0.035)); #560266=CARTESIAN_POINT('',(21.328453,23.249228,0.035)); #560267=CARTESIAN_POINT('',(21.328453,23.249228,0.)); #560268=CARTESIAN_POINT('Origin',(21.310909,23.273966,0.)); #560269=CARTESIAN_POINT('',(21.310909,23.273966,0.)); #560270=CARTESIAN_POINT('',(21.310909,23.273966,0.)); #560271=CARTESIAN_POINT('',(21.310909,23.273966,0.035)); #560272=CARTESIAN_POINT('',(21.310909,23.273966,0.035)); #560273=CARTESIAN_POINT('',(21.310909,23.273966,0.)); #560274=CARTESIAN_POINT('Origin',(21.3044,23.303584,0.)); #560275=CARTESIAN_POINT('',(21.3044,23.303584,0.)); #560276=CARTESIAN_POINT('',(21.3044,23.303584,0.)); #560277=CARTESIAN_POINT('',(21.3044,23.303584,0.035)); #560278=CARTESIAN_POINT('',(21.3044,23.303584,0.035)); #560279=CARTESIAN_POINT('',(21.3044,23.303584,0.)); #560280=CARTESIAN_POINT('Origin',(21.309959,23.333397,0.)); #560281=CARTESIAN_POINT('',(21.309959,23.333397,0.)); #560282=CARTESIAN_POINT('',(21.309959,23.333397,0.)); #560283=CARTESIAN_POINT('',(21.309959,23.333397,0.035)); #560284=CARTESIAN_POINT('',(21.309959,23.333397,0.035)); #560285=CARTESIAN_POINT('',(21.309959,23.333397,0.)); #560286=CARTESIAN_POINT('Origin',(21.326709,23.358681,0.)); #560287=CARTESIAN_POINT('',(21.326709,23.358681,0.)); #560288=CARTESIAN_POINT('',(21.326709,23.358681,0.)); #560289=CARTESIAN_POINT('',(21.326709,23.358681,0.035)); #560290=CARTESIAN_POINT('',(21.326709,23.358681,0.035)); #560291=CARTESIAN_POINT('',(21.326709,23.358681,0.)); #560292=CARTESIAN_POINT('Origin',(21.4199,23.451872,0.)); #560293=CARTESIAN_POINT('',(21.4199,23.451872,0.)); #560294=CARTESIAN_POINT('',(21.4199,23.451872,0.)); #560295=CARTESIAN_POINT('',(21.4199,23.451872,0.035)); #560296=CARTESIAN_POINT('',(21.4199,23.451872,0.035)); #560297=CARTESIAN_POINT('',(21.4199,23.451872,0.)); #560298=CARTESIAN_POINT('Origin',(21.4199,23.8452,0.)); #560299=CARTESIAN_POINT('',(21.4199,23.8452,0.)); #560300=CARTESIAN_POINT('',(21.4199,23.8452,0.)); #560301=CARTESIAN_POINT('',(21.4199,23.8452,0.035)); #560302=CARTESIAN_POINT('',(21.4199,23.8452,0.035)); #560303=CARTESIAN_POINT('',(21.4199,23.8452,0.)); #560304=CARTESIAN_POINT('Origin',(21.425022,23.872669,0.)); #560305=CARTESIAN_POINT('',(21.425022,23.872669,0.)); #560306=CARTESIAN_POINT('',(21.425022,23.872669,0.)); #560307=CARTESIAN_POINT('',(21.425022,23.872669,0.035)); #560308=CARTESIAN_POINT('',(21.425022,23.872669,0.035)); #560309=CARTESIAN_POINT('',(21.425022,23.872669,0.)); #560310=CARTESIAN_POINT('Origin',(21.441366,23.898216,0.)); #560311=CARTESIAN_POINT('',(21.441366,23.898216,0.)); #560312=CARTESIAN_POINT('',(21.441366,23.898216,0.)); #560313=CARTESIAN_POINT('',(21.441366,23.898216,0.035)); #560314=CARTESIAN_POINT('',(21.441366,23.898216,0.035)); #560315=CARTESIAN_POINT('',(21.441366,23.898216,0.)); #560316=CARTESIAN_POINT('Origin',(21.466378,23.915366,0.)); #560317=CARTESIAN_POINT('',(21.466378,23.915366,0.)); #560318=CARTESIAN_POINT('',(21.466378,23.915366,0.)); #560319=CARTESIAN_POINT('',(21.466378,23.915366,0.035)); #560320=CARTESIAN_POINT('',(21.466378,23.915366,0.035)); #560321=CARTESIAN_POINT('',(21.466378,23.915366,0.)); #560322=CARTESIAN_POINT('Origin',(21.4961,23.9214,0.)); #560323=CARTESIAN_POINT('',(21.4961,23.9214,0.)); #560324=CARTESIAN_POINT('',(21.4961,23.9214,0.)); #560325=CARTESIAN_POINT('',(21.4961,23.9214,0.035)); #560326=CARTESIAN_POINT('',(21.4961,23.9214,0.035)); #560327=CARTESIAN_POINT('',(21.4961,23.9214,0.)); #560328=CARTESIAN_POINT('Origin',(23.223747,23.9214,0.)); #560329=CARTESIAN_POINT('',(23.223747,23.9214,0.)); #560330=CARTESIAN_POINT('',(23.223747,23.9214,0.)); #560331=CARTESIAN_POINT('',(23.223747,23.9214,0.035)); #560332=CARTESIAN_POINT('',(23.223747,23.9214,0.035)); #560333=CARTESIAN_POINT('',(23.223747,23.9214,0.)); #560334=CARTESIAN_POINT('Origin',(23.252344,23.915831,0.)); #560335=CARTESIAN_POINT('',(23.252344,23.915831,0.)); #560336=CARTESIAN_POINT('',(23.252344,23.915831,0.)); #560337=CARTESIAN_POINT('',(23.252344,23.915831,0.035)); #560338=CARTESIAN_POINT('',(23.252344,23.915831,0.035)); #560339=CARTESIAN_POINT('',(23.252344,23.915831,0.)); #560340=CARTESIAN_POINT('Origin',(23.277628,23.899081,0.)); #560341=CARTESIAN_POINT('',(23.277628,23.899081,0.)); #560342=CARTESIAN_POINT('',(23.277628,23.899081,0.)); #560343=CARTESIAN_POINT('',(23.277628,23.899081,0.035)); #560344=CARTESIAN_POINT('',(23.277628,23.899081,0.035)); #560345=CARTESIAN_POINT('',(23.277628,23.899081,0.)); #560346=CARTESIAN_POINT('Origin',(23.655309,23.5214,0.)); #560347=CARTESIAN_POINT('',(23.655309,23.5214,0.)); #560348=CARTESIAN_POINT('',(23.655309,23.5214,0.)); #560349=CARTESIAN_POINT('',(23.655309,23.5214,0.035)); #560350=CARTESIAN_POINT('',(23.655309,23.5214,0.035)); #560351=CARTESIAN_POINT('',(23.655309,23.5214,0.)); #560352=CARTESIAN_POINT('Origin',(25.5903,23.5214,0.)); #560353=CARTESIAN_POINT('',(25.5903,23.5214,0.)); #560354=CARTESIAN_POINT('',(25.5903,23.5214,0.)); #560355=CARTESIAN_POINT('',(25.5903,23.5214,0.035)); #560356=CARTESIAN_POINT('',(25.5903,23.5214,0.035)); #560357=CARTESIAN_POINT('',(25.5903,23.5214,0.)); #560358=CARTESIAN_POINT('Origin',(25.617769,23.516278,0.)); #560359=CARTESIAN_POINT('',(25.617769,23.516278,0.)); #560360=CARTESIAN_POINT('',(25.617769,23.516278,0.)); #560361=CARTESIAN_POINT('',(25.617769,23.516278,0.035)); #560362=CARTESIAN_POINT('',(25.617769,23.516278,0.035)); #560363=CARTESIAN_POINT('',(25.617769,23.516278,0.)); #560364=CARTESIAN_POINT('Origin',(25.643316,23.499934,0.)); #560365=CARTESIAN_POINT('',(25.643316,23.499934,0.)); #560366=CARTESIAN_POINT('',(25.643316,23.499934,0.)); #560367=CARTESIAN_POINT('',(25.643316,23.499934,0.035)); #560368=CARTESIAN_POINT('',(25.643316,23.499934,0.035)); #560369=CARTESIAN_POINT('',(25.643316,23.499934,0.)); #560370=CARTESIAN_POINT('Origin',(25.660466,23.474922,0.)); #560371=CARTESIAN_POINT('',(25.660466,23.474922,0.)); #560372=CARTESIAN_POINT('',(25.660466,23.474922,0.)); #560373=CARTESIAN_POINT('',(25.660466,23.474922,0.035)); #560374=CARTESIAN_POINT('',(25.660466,23.474922,0.035)); #560375=CARTESIAN_POINT('',(25.660466,23.474922,0.)); #560376=CARTESIAN_POINT('Origin',(25.6665,23.4452,0.)); #560377=CARTESIAN_POINT('',(25.6665,23.4452,0.)); #560378=CARTESIAN_POINT('',(25.6665,23.4452,0.)); #560379=CARTESIAN_POINT('',(25.6665,23.4452,0.035)); #560380=CARTESIAN_POINT('',(25.6665,23.4452,0.035)); #560381=CARTESIAN_POINT('',(25.6665,23.4452,0.)); #560382=CARTESIAN_POINT('Origin',(25.6665,23.3048,0.)); #560383=CARTESIAN_POINT('',(25.6665,23.3048,0.)); #560384=CARTESIAN_POINT('',(25.6665,23.3048,0.)); #560385=CARTESIAN_POINT('',(25.6665,23.3048,0.035)); #560386=CARTESIAN_POINT('',(25.6665,23.3048,0.035)); #560387=CARTESIAN_POINT('',(25.6665,23.3048,0.)); #560388=CARTESIAN_POINT('Origin',(25.661378,23.277331,0.)); #560389=CARTESIAN_POINT('',(25.661378,23.277331,0.)); #560390=CARTESIAN_POINT('',(25.661378,23.277331,0.)); #560391=CARTESIAN_POINT('',(25.661378,23.277331,0.035)); #560392=CARTESIAN_POINT('',(25.661378,23.277331,0.035)); #560393=CARTESIAN_POINT('',(25.661378,23.277331,0.)); #560394=CARTESIAN_POINT('Origin',(25.645034,23.251784,0.)); #560395=CARTESIAN_POINT('',(25.645034,23.251784,0.)); #560396=CARTESIAN_POINT('',(25.645034,23.251784,0.)); #560397=CARTESIAN_POINT('',(25.645034,23.251784,0.035)); #560398=CARTESIAN_POINT('',(25.645034,23.251784,0.035)); #560399=CARTESIAN_POINT('',(25.645034,23.251784,0.)); #560400=CARTESIAN_POINT('Origin',(25.620022,23.234634,0.)); #560401=CARTESIAN_POINT('',(25.620022,23.234634,0.)); #560402=CARTESIAN_POINT('',(25.620022,23.234634,0.035)); #560403=CARTESIAN_POINT('Origin',(23.4163650133717,23.4762405663441,0.035)); #560404=CARTESIAN_POINT('Origin',(23.4163650133717,23.4762405663441,0.)); #560405=CARTESIAN_POINT('Origin',(34.307228,54.284691,0.)); #560406=CARTESIAN_POINT('',(34.307228,54.284691,0.)); #560407=CARTESIAN_POINT('',(34.336744,54.291672,0.)); #560408=CARTESIAN_POINT('',(34.307228,54.284691,0.)); #560409=CARTESIAN_POINT('',(34.336744,54.291672,0.035)); #560410=CARTESIAN_POINT('',(34.336744,54.291672,0.)); #560411=CARTESIAN_POINT('',(34.307228,54.284691,0.035)); #560412=CARTESIAN_POINT('',(34.307228,54.284691,0.035)); #560413=CARTESIAN_POINT('',(34.307228,54.284691,0.)); #560414=CARTESIAN_POINT('Origin',(34.277331,54.289775,0.)); #560415=CARTESIAN_POINT('',(34.277331,54.289775,0.)); #560416=CARTESIAN_POINT('',(34.277331,54.289775,0.)); #560417=CARTESIAN_POINT('',(34.277331,54.289775,0.035)); #560418=CARTESIAN_POINT('',(34.277331,54.289775,0.035)); #560419=CARTESIAN_POINT('',(34.277331,54.289775,0.)); #560420=CARTESIAN_POINT('Origin',(34.251784,54.306119,0.)); #560421=CARTESIAN_POINT('',(34.251784,54.306119,0.)); #560422=CARTESIAN_POINT('',(34.251784,54.306119,0.)); #560423=CARTESIAN_POINT('',(34.251784,54.306119,0.035)); #560424=CARTESIAN_POINT('',(34.251784,54.306119,0.035)); #560425=CARTESIAN_POINT('',(34.251784,54.306119,0.)); #560426=CARTESIAN_POINT('Origin',(34.234634,54.331131,0.)); #560427=CARTESIAN_POINT('',(34.234634,54.331131,0.)); #560428=CARTESIAN_POINT('',(34.234634,54.331131,0.)); #560429=CARTESIAN_POINT('',(34.234634,54.331131,0.035)); #560430=CARTESIAN_POINT('',(34.234634,54.331131,0.035)); #560431=CARTESIAN_POINT('',(34.234634,54.331131,0.)); #560432=CARTESIAN_POINT('Origin',(34.2286,54.360853,0.)); #560433=CARTESIAN_POINT('',(34.2286,54.360853,0.)); #560434=CARTESIAN_POINT('',(34.2286,54.360853,0.)); #560435=CARTESIAN_POINT('',(34.2286,54.360853,0.035)); #560436=CARTESIAN_POINT('',(34.2286,54.360853,0.035)); #560437=CARTESIAN_POINT('',(34.2286,54.360853,0.)); #560438=CARTESIAN_POINT('Origin',(34.2286,54.592603,0.)); #560439=CARTESIAN_POINT('',(34.2286,54.592603,0.)); #560440=CARTESIAN_POINT('',(34.2286,54.592603,0.)); #560441=CARTESIAN_POINT('',(34.2286,54.592603,0.035)); #560442=CARTESIAN_POINT('',(34.2286,54.592603,0.035)); #560443=CARTESIAN_POINT('',(34.2286,54.592603,0.)); #560444=CARTESIAN_POINT('Origin',(34.234169,54.6212,0.)); #560445=CARTESIAN_POINT('',(34.234169,54.6212,0.)); #560446=CARTESIAN_POINT('',(34.234169,54.6212,0.)); #560447=CARTESIAN_POINT('',(34.234169,54.6212,0.035)); #560448=CARTESIAN_POINT('',(34.234169,54.6212,0.035)); #560449=CARTESIAN_POINT('',(34.234169,54.6212,0.)); #560450=CARTESIAN_POINT('Origin',(34.250919,54.646484,0.)); #560451=CARTESIAN_POINT('',(34.250919,54.646484,0.)); #560452=CARTESIAN_POINT('',(34.250919,54.646484,0.)); #560453=CARTESIAN_POINT('',(34.250919,54.646484,0.035)); #560454=CARTESIAN_POINT('',(34.250919,54.646484,0.035)); #560455=CARTESIAN_POINT('',(34.250919,54.646484,0.)); #560456=CARTESIAN_POINT('Origin',(34.321119,54.716684,0.)); #560457=CARTESIAN_POINT('',(34.321119,54.716684,0.)); #560458=CARTESIAN_POINT('',(34.321119,54.716684,0.)); #560459=CARTESIAN_POINT('',(34.321119,54.716684,0.035)); #560460=CARTESIAN_POINT('',(34.321119,54.716684,0.035)); #560461=CARTESIAN_POINT('',(34.321119,54.716684,0.)); #560462=CARTESIAN_POINT('Origin',(34.344166,54.732484,0.)); #560463=CARTESIAN_POINT('',(34.344166,54.732484,0.)); #560464=CARTESIAN_POINT('',(34.344166,54.732484,0.)); #560465=CARTESIAN_POINT('',(34.344166,54.732484,0.035)); #560466=CARTESIAN_POINT('',(34.344166,54.732484,0.035)); #560467=CARTESIAN_POINT('',(34.344166,54.732484,0.)); #560468=CARTESIAN_POINT('Origin',(34.373784,54.738994,0.)); #560469=CARTESIAN_POINT('',(34.373784,54.738994,0.)); #560470=CARTESIAN_POINT('',(34.373784,54.738994,0.)); #560471=CARTESIAN_POINT('',(34.373784,54.738994,0.035)); #560472=CARTESIAN_POINT('',(34.373784,54.738994,0.035)); #560473=CARTESIAN_POINT('',(34.373784,54.738994,0.)); #560474=CARTESIAN_POINT('Origin',(34.403597,54.733434,0.)); #560475=CARTESIAN_POINT('',(34.403597,54.733434,0.)); #560476=CARTESIAN_POINT('',(34.403597,54.733434,0.)); #560477=CARTESIAN_POINT('',(34.403597,54.733434,0.035)); #560478=CARTESIAN_POINT('',(34.403597,54.733434,0.035)); #560479=CARTESIAN_POINT('',(34.403597,54.733434,0.)); #560480=CARTESIAN_POINT('Origin',(34.428881,54.716684,0.)); #560481=CARTESIAN_POINT('',(34.428881,54.716684,0.)); #560482=CARTESIAN_POINT('',(34.428881,54.716684,0.)); #560483=CARTESIAN_POINT('',(34.428881,54.716684,0.035)); #560484=CARTESIAN_POINT('',(34.428881,54.716684,0.035)); #560485=CARTESIAN_POINT('',(34.428881,54.716684,0.)); #560486=CARTESIAN_POINT('Origin',(34.499081,54.646484,0.)); #560487=CARTESIAN_POINT('',(34.499081,54.646484,0.)); #560488=CARTESIAN_POINT('',(34.499081,54.646484,0.)); #560489=CARTESIAN_POINT('',(34.499081,54.646484,0.035)); #560490=CARTESIAN_POINT('',(34.499081,54.646484,0.035)); #560491=CARTESIAN_POINT('',(34.499081,54.646484,0.)); #560492=CARTESIAN_POINT('Origin',(34.515366,54.622325,0.)); #560493=CARTESIAN_POINT('',(34.515366,54.622325,0.)); #560494=CARTESIAN_POINT('',(34.515366,54.622325,0.)); #560495=CARTESIAN_POINT('',(34.515366,54.622325,0.035)); #560496=CARTESIAN_POINT('',(34.515366,54.622325,0.035)); #560497=CARTESIAN_POINT('',(34.515366,54.622325,0.)); #560498=CARTESIAN_POINT('Origin',(34.5214,54.592603,0.)); #560499=CARTESIAN_POINT('',(34.5214,54.592603,0.)); #560500=CARTESIAN_POINT('',(34.5214,54.592603,0.)); #560501=CARTESIAN_POINT('',(34.5214,54.592603,0.035)); #560502=CARTESIAN_POINT('',(34.5214,54.592603,0.035)); #560503=CARTESIAN_POINT('',(34.5214,54.592603,0.)); #560504=CARTESIAN_POINT('Origin',(34.5214,54.501253,0.)); #560505=CARTESIAN_POINT('',(34.5214,54.501253,0.)); #560506=CARTESIAN_POINT('',(34.5214,54.501253,0.)); #560507=CARTESIAN_POINT('',(34.5214,54.501253,0.035)); #560508=CARTESIAN_POINT('',(34.5214,54.501253,0.035)); #560509=CARTESIAN_POINT('',(34.5214,54.501253,0.)); #560510=CARTESIAN_POINT('Origin',(34.515831,54.472656,0.)); #560511=CARTESIAN_POINT('',(34.515831,54.472656,0.)); #560512=CARTESIAN_POINT('',(34.515831,54.472656,0.)); #560513=CARTESIAN_POINT('',(34.515831,54.472656,0.035)); #560514=CARTESIAN_POINT('',(34.515831,54.472656,0.035)); #560515=CARTESIAN_POINT('',(34.515831,54.472656,0.)); #560516=CARTESIAN_POINT('Origin',(34.499081,54.447372,0.)); #560517=CARTESIAN_POINT('',(34.499081,54.447372,0.)); #560518=CARTESIAN_POINT('',(34.499081,54.447372,0.)); #560519=CARTESIAN_POINT('',(34.499081,54.447372,0.035)); #560520=CARTESIAN_POINT('',(34.499081,54.447372,0.035)); #560521=CARTESIAN_POINT('',(34.499081,54.447372,0.)); #560522=CARTESIAN_POINT('Origin',(34.358681,54.306972,0.)); #560523=CARTESIAN_POINT('',(34.358681,54.306972,0.)); #560524=CARTESIAN_POINT('',(34.358681,54.306972,0.)); #560525=CARTESIAN_POINT('',(34.358681,54.306972,0.035)); #560526=CARTESIAN_POINT('',(34.358681,54.306972,0.035)); #560527=CARTESIAN_POINT('',(34.358681,54.306972,0.)); #560528=CARTESIAN_POINT('Origin',(34.336744,54.291672,0.)); #560529=CARTESIAN_POINT('',(34.336744,54.291672,0.)); #560530=CARTESIAN_POINT('',(34.336744,54.291672,0.035)); #560531=CARTESIAN_POINT('Origin',(34.3597813809394,54.5135348173605,0.035)); #560532=CARTESIAN_POINT('Origin',(34.3597813809394,54.5135348173605,0.)); #560533=CARTESIAN_POINT('Origin',(30.210778,22.4786,0.)); #560534=CARTESIAN_POINT('',(30.210778,22.4786,0.)); #560535=CARTESIAN_POINT('',(30.2405,22.484634,0.)); #560536=CARTESIAN_POINT('',(30.210778,22.4786,0.)); #560537=CARTESIAN_POINT('',(30.2405,22.484634,0.035)); #560538=CARTESIAN_POINT('',(30.2405,22.484634,0.)); #560539=CARTESIAN_POINT('',(30.210778,22.4786,0.035)); #560540=CARTESIAN_POINT('',(30.210778,22.4786,0.035)); #560541=CARTESIAN_POINT('',(30.210778,22.4786,0.)); #560542=CARTESIAN_POINT('Origin',(28.4097,22.4786,0.)); #560543=CARTESIAN_POINT('',(28.4097,22.4786,0.)); #560544=CARTESIAN_POINT('',(28.4097,22.4786,0.)); #560545=CARTESIAN_POINT('',(28.4097,22.4786,0.035)); #560546=CARTESIAN_POINT('',(28.4097,22.4786,0.035)); #560547=CARTESIAN_POINT('',(28.4097,22.4786,0.)); #560548=CARTESIAN_POINT('Origin',(28.382231,22.483722,0.)); #560549=CARTESIAN_POINT('',(28.382231,22.483722,0.)); #560550=CARTESIAN_POINT('',(28.382231,22.483722,0.)); #560551=CARTESIAN_POINT('',(28.382231,22.483722,0.035)); #560552=CARTESIAN_POINT('',(28.382231,22.483722,0.035)); #560553=CARTESIAN_POINT('',(28.382231,22.483722,0.)); #560554=CARTESIAN_POINT('Origin',(28.356684,22.500066,0.)); #560555=CARTESIAN_POINT('',(28.356684,22.500066,0.)); #560556=CARTESIAN_POINT('',(28.356684,22.500066,0.)); #560557=CARTESIAN_POINT('',(28.356684,22.500066,0.035)); #560558=CARTESIAN_POINT('',(28.356684,22.500066,0.035)); #560559=CARTESIAN_POINT('',(28.356684,22.500066,0.)); #560560=CARTESIAN_POINT('Origin',(28.339534,22.525078,0.)); #560561=CARTESIAN_POINT('',(28.339534,22.525078,0.)); #560562=CARTESIAN_POINT('',(28.339534,22.525078,0.)); #560563=CARTESIAN_POINT('',(28.339534,22.525078,0.035)); #560564=CARTESIAN_POINT('',(28.339534,22.525078,0.035)); #560565=CARTESIAN_POINT('',(28.339534,22.525078,0.)); #560566=CARTESIAN_POINT('Origin',(28.3335,22.5548,0.)); #560567=CARTESIAN_POINT('',(28.3335,22.5548,0.)); #560568=CARTESIAN_POINT('',(28.3335,22.5548,0.)); #560569=CARTESIAN_POINT('',(28.3335,22.5548,0.035)); #560570=CARTESIAN_POINT('',(28.3335,22.5548,0.035)); #560571=CARTESIAN_POINT('',(28.3335,22.5548,0.)); #560572=CARTESIAN_POINT('Origin',(28.3335,22.6952,0.)); #560573=CARTESIAN_POINT('',(28.3335,22.6952,0.)); #560574=CARTESIAN_POINT('',(28.3335,22.6952,0.)); #560575=CARTESIAN_POINT('',(28.3335,22.6952,0.035)); #560576=CARTESIAN_POINT('',(28.3335,22.6952,0.035)); #560577=CARTESIAN_POINT('',(28.3335,22.6952,0.)); #560578=CARTESIAN_POINT('Origin',(28.338622,22.722669,0.)); #560579=CARTESIAN_POINT('',(28.338622,22.722669,0.)); #560580=CARTESIAN_POINT('',(28.338622,22.722669,0.)); #560581=CARTESIAN_POINT('',(28.338622,22.722669,0.035)); #560582=CARTESIAN_POINT('',(28.338622,22.722669,0.035)); #560583=CARTESIAN_POINT('',(28.338622,22.722669,0.)); #560584=CARTESIAN_POINT('Origin',(28.354966,22.748216,0.)); #560585=CARTESIAN_POINT('',(28.354966,22.748216,0.)); #560586=CARTESIAN_POINT('',(28.354966,22.748216,0.)); #560587=CARTESIAN_POINT('',(28.354966,22.748216,0.035)); #560588=CARTESIAN_POINT('',(28.354966,22.748216,0.035)); #560589=CARTESIAN_POINT('',(28.354966,22.748216,0.)); #560590=CARTESIAN_POINT('Origin',(28.379978,22.765366,0.)); #560591=CARTESIAN_POINT('',(28.379978,22.765366,0.)); #560592=CARTESIAN_POINT('',(28.379978,22.765366,0.)); #560593=CARTESIAN_POINT('',(28.379978,22.765366,0.035)); #560594=CARTESIAN_POINT('',(28.379978,22.765366,0.035)); #560595=CARTESIAN_POINT('',(28.379978,22.765366,0.)); #560596=CARTESIAN_POINT('Origin',(28.4097,22.7714,0.)); #560597=CARTESIAN_POINT('',(28.4097,22.7714,0.)); #560598=CARTESIAN_POINT('',(28.4097,22.7714,0.)); #560599=CARTESIAN_POINT('',(28.4097,22.7714,0.035)); #560600=CARTESIAN_POINT('',(28.4097,22.7714,0.035)); #560601=CARTESIAN_POINT('',(28.4097,22.7714,0.)); #560602=CARTESIAN_POINT('Origin',(30.348734,22.7714,0.)); #560603=CARTESIAN_POINT('',(30.348734,22.7714,0.)); #560604=CARTESIAN_POINT('',(30.348734,22.7714,0.)); #560605=CARTESIAN_POINT('',(30.348734,22.7714,0.035)); #560606=CARTESIAN_POINT('',(30.348734,22.7714,0.035)); #560607=CARTESIAN_POINT('',(30.348734,22.7714,0.)); #560608=CARTESIAN_POINT('Origin',(30.374438,22.766934,0.)); #560609=CARTESIAN_POINT('',(30.374438,22.766934,0.)); #560610=CARTESIAN_POINT('',(30.374438,22.766934,0.)); #560611=CARTESIAN_POINT('',(30.374438,22.766934,0.035)); #560612=CARTESIAN_POINT('',(30.374438,22.766934,0.035)); #560613=CARTESIAN_POINT('',(30.374438,22.766934,0.)); #560614=CARTESIAN_POINT('Origin',(30.400381,22.751228,0.)); #560615=CARTESIAN_POINT('',(30.400381,22.751228,0.)); #560616=CARTESIAN_POINT('',(30.400381,22.751228,0.)); #560617=CARTESIAN_POINT('',(30.400381,22.751228,0.035)); #560618=CARTESIAN_POINT('',(30.400381,22.751228,0.035)); #560619=CARTESIAN_POINT('',(30.400381,22.751228,0.)); #560620=CARTESIAN_POINT('Origin',(30.418144,22.726647,0.)); #560621=CARTESIAN_POINT('',(30.418144,22.726647,0.)); #560622=CARTESIAN_POINT('',(30.418144,22.726647,0.)); #560623=CARTESIAN_POINT('',(30.418144,22.726647,0.035)); #560624=CARTESIAN_POINT('',(30.418144,22.726647,0.035)); #560625=CARTESIAN_POINT('',(30.418144,22.726647,0.)); #560626=CARTESIAN_POINT('Origin',(30.424913,22.697084,0.)); #560627=CARTESIAN_POINT('',(30.424913,22.697084,0.)); #560628=CARTESIAN_POINT('',(30.424913,22.697084,0.)); #560629=CARTESIAN_POINT('',(30.424913,22.697084,0.035)); #560630=CARTESIAN_POINT('',(30.424913,22.697084,0.035)); #560631=CARTESIAN_POINT('',(30.424913,22.697084,0.)); #560632=CARTESIAN_POINT('Origin',(30.419613,22.667222,0.)); #560633=CARTESIAN_POINT('',(30.419613,22.667222,0.)); #560634=CARTESIAN_POINT('',(30.419613,22.667222,0.)); #560635=CARTESIAN_POINT('',(30.419613,22.667222,0.035)); #560636=CARTESIAN_POINT('',(30.419613,22.667222,0.035)); #560637=CARTESIAN_POINT('',(30.419613,22.667222,0.)); #560638=CARTESIAN_POINT('Origin',(30.403088,22.641794,0.)); #560639=CARTESIAN_POINT('',(30.403088,22.641794,0.)); #560640=CARTESIAN_POINT('',(30.403088,22.641794,0.)); #560641=CARTESIAN_POINT('',(30.403088,22.641794,0.035)); #560642=CARTESIAN_POINT('',(30.403088,22.641794,0.035)); #560643=CARTESIAN_POINT('',(30.403088,22.641794,0.)); #560644=CARTESIAN_POINT('Origin',(30.265131,22.501394,0.)); #560645=CARTESIAN_POINT('',(30.265131,22.501394,0.)); #560646=CARTESIAN_POINT('',(30.265131,22.501394,0.)); #560647=CARTESIAN_POINT('',(30.265131,22.501394,0.035)); #560648=CARTESIAN_POINT('',(30.265131,22.501394,0.035)); #560649=CARTESIAN_POINT('',(30.265131,22.501394,0.)); #560650=CARTESIAN_POINT('Origin',(30.2405,22.484634,0.)); #560651=CARTESIAN_POINT('',(30.2405,22.484634,0.)); #560652=CARTESIAN_POINT('',(30.2405,22.484634,0.035)); #560653=CARTESIAN_POINT('Origin',(29.3591426155894,22.6289411396365,0.035)); #560654=CARTESIAN_POINT('Origin',(29.3591426155894,22.6289411396365,0.)); #560655=CARTESIAN_POINT('Origin',(26.858003,23.9786,0.)); #560656=CARTESIAN_POINT('',(26.858003,23.9786,0.)); #560657=CARTESIAN_POINT('',(26.887725,23.984634,0.)); #560658=CARTESIAN_POINT('',(26.858003,23.9786,0.)); #560659=CARTESIAN_POINT('',(26.887725,23.984634,0.035)); #560660=CARTESIAN_POINT('',(26.887725,23.984634,0.)); #560661=CARTESIAN_POINT('',(26.858003,23.9786,0.035)); #560662=CARTESIAN_POINT('',(26.858003,23.9786,0.035)); #560663=CARTESIAN_POINT('',(26.858003,23.9786,0.)); #560664=CARTESIAN_POINT('Origin',(23.876253,23.9786,0.)); #560665=CARTESIAN_POINT('',(23.876253,23.9786,0.)); #560666=CARTESIAN_POINT('',(23.876253,23.9786,0.)); #560667=CARTESIAN_POINT('',(23.876253,23.9786,0.035)); #560668=CARTESIAN_POINT('',(23.876253,23.9786,0.035)); #560669=CARTESIAN_POINT('',(23.876253,23.9786,0.)); #560670=CARTESIAN_POINT('Origin',(23.847656,23.984169,0.)); #560671=CARTESIAN_POINT('',(23.847656,23.984169,0.)); #560672=CARTESIAN_POINT('',(23.847656,23.984169,0.)); #560673=CARTESIAN_POINT('',(23.847656,23.984169,0.035)); #560674=CARTESIAN_POINT('',(23.847656,23.984169,0.035)); #560675=CARTESIAN_POINT('',(23.847656,23.984169,0.)); #560676=CARTESIAN_POINT('Origin',(23.822372,24.000919,0.)); #560677=CARTESIAN_POINT('',(23.822372,24.000919,0.)); #560678=CARTESIAN_POINT('',(23.822372,24.000919,0.)); #560679=CARTESIAN_POINT('',(23.822372,24.000919,0.035)); #560680=CARTESIAN_POINT('',(23.822372,24.000919,0.035)); #560681=CARTESIAN_POINT('',(23.822372,24.000919,0.)); #560682=CARTESIAN_POINT('Origin',(23.444691,24.3786,0.)); #560683=CARTESIAN_POINT('',(23.444691,24.3786,0.)); #560684=CARTESIAN_POINT('',(23.444691,24.3786,0.)); #560685=CARTESIAN_POINT('',(23.444691,24.3786,0.035)); #560686=CARTESIAN_POINT('',(23.444691,24.3786,0.035)); #560687=CARTESIAN_POINT('',(23.444691,24.3786,0.)); #560688=CARTESIAN_POINT('Origin',(21.4961,24.3786,0.)); #560689=CARTESIAN_POINT('',(21.4961,24.3786,0.)); #560690=CARTESIAN_POINT('',(21.4961,24.3786,0.)); #560691=CARTESIAN_POINT('',(21.4961,24.3786,0.035)); #560692=CARTESIAN_POINT('',(21.4961,24.3786,0.035)); #560693=CARTESIAN_POINT('',(21.4961,24.3786,0.)); #560694=CARTESIAN_POINT('Origin',(21.468631,24.383722,0.)); #560695=CARTESIAN_POINT('',(21.468631,24.383722,0.)); #560696=CARTESIAN_POINT('',(21.468631,24.383722,0.)); #560697=CARTESIAN_POINT('',(21.468631,24.383722,0.035)); #560698=CARTESIAN_POINT('',(21.468631,24.383722,0.035)); #560699=CARTESIAN_POINT('',(21.468631,24.383722,0.)); #560700=CARTESIAN_POINT('Origin',(21.443084,24.400066,0.)); #560701=CARTESIAN_POINT('',(21.443084,24.400066,0.)); #560702=CARTESIAN_POINT('',(21.443084,24.400066,0.)); #560703=CARTESIAN_POINT('',(21.443084,24.400066,0.035)); #560704=CARTESIAN_POINT('',(21.443084,24.400066,0.035)); #560705=CARTESIAN_POINT('',(21.443084,24.400066,0.)); #560706=CARTESIAN_POINT('Origin',(21.425934,24.425078,0.)); #560707=CARTESIAN_POINT('',(21.425934,24.425078,0.)); #560708=CARTESIAN_POINT('',(21.425934,24.425078,0.)); #560709=CARTESIAN_POINT('',(21.425934,24.425078,0.035)); #560710=CARTESIAN_POINT('',(21.425934,24.425078,0.035)); #560711=CARTESIAN_POINT('',(21.425934,24.425078,0.)); #560712=CARTESIAN_POINT('Origin',(21.4199,24.4548,0.)); #560713=CARTESIAN_POINT('',(21.4199,24.4548,0.)); #560714=CARTESIAN_POINT('',(21.4199,24.4548,0.)); #560715=CARTESIAN_POINT('',(21.4199,24.4548,0.035)); #560716=CARTESIAN_POINT('',(21.4199,24.4548,0.035)); #560717=CARTESIAN_POINT('',(21.4199,24.4548,0.)); #560718=CARTESIAN_POINT('Origin',(21.4199,24.848128,0.)); #560719=CARTESIAN_POINT('',(21.4199,24.848128,0.)); #560720=CARTESIAN_POINT('',(21.4199,24.848128,0.)); #560721=CARTESIAN_POINT('',(21.4199,24.848128,0.035)); #560722=CARTESIAN_POINT('',(21.4199,24.848128,0.035)); #560723=CARTESIAN_POINT('',(21.4199,24.848128,0.)); #560724=CARTESIAN_POINT('Origin',(21.330628,24.9374,0.)); #560725=CARTESIAN_POINT('',(21.330628,24.9374,0.)); #560726=CARTESIAN_POINT('',(21.330628,24.9374,0.)); #560727=CARTESIAN_POINT('',(21.330628,24.9374,0.035)); #560728=CARTESIAN_POINT('',(21.330628,24.9374,0.035)); #560729=CARTESIAN_POINT('',(21.330628,24.9374,0.)); #560730=CARTESIAN_POINT('Origin',(21.2548,24.9374,0.)); #560731=CARTESIAN_POINT('',(21.2548,24.9374,0.)); #560732=CARTESIAN_POINT('',(21.2548,24.9374,0.)); #560733=CARTESIAN_POINT('',(21.2548,24.9374,0.035)); #560734=CARTESIAN_POINT('',(21.2548,24.9374,0.035)); #560735=CARTESIAN_POINT('',(21.2548,24.9374,0.)); #560736=CARTESIAN_POINT('Origin',(21.227331,24.942522,0.)); #560737=CARTESIAN_POINT('',(21.227331,24.942522,0.)); #560738=CARTESIAN_POINT('',(21.227331,24.942522,0.)); #560739=CARTESIAN_POINT('',(21.227331,24.942522,0.035)); #560740=CARTESIAN_POINT('',(21.227331,24.942522,0.035)); #560741=CARTESIAN_POINT('',(21.227331,24.942522,0.)); #560742=CARTESIAN_POINT('Origin',(21.201784,24.958866,0.)); #560743=CARTESIAN_POINT('',(21.201784,24.958866,0.)); #560744=CARTESIAN_POINT('',(21.201784,24.958866,0.)); #560745=CARTESIAN_POINT('',(21.201784,24.958866,0.035)); #560746=CARTESIAN_POINT('',(21.201784,24.958866,0.035)); #560747=CARTESIAN_POINT('',(21.201784,24.958866,0.)); #560748=CARTESIAN_POINT('Origin',(21.184634,24.983878,0.)); #560749=CARTESIAN_POINT('',(21.184634,24.983878,0.)); #560750=CARTESIAN_POINT('',(21.184634,24.983878,0.)); #560751=CARTESIAN_POINT('',(21.184634,24.983878,0.035)); #560752=CARTESIAN_POINT('',(21.184634,24.983878,0.035)); #560753=CARTESIAN_POINT('',(21.184634,24.983878,0.)); #560754=CARTESIAN_POINT('Origin',(21.1786,25.0136,0.)); #560755=CARTESIAN_POINT('',(21.1786,25.0136,0.)); #560756=CARTESIAN_POINT('',(21.1786,25.0136,0.)); #560757=CARTESIAN_POINT('',(21.1786,25.0136,0.035)); #560758=CARTESIAN_POINT('',(21.1786,25.0136,0.035)); #560759=CARTESIAN_POINT('',(21.1786,25.0136,0.)); #560760=CARTESIAN_POINT('Origin',(21.1786,25.3269,0.)); #560761=CARTESIAN_POINT('',(21.1786,25.3269,0.)); #560762=CARTESIAN_POINT('',(21.1786,25.3269,0.)); #560763=CARTESIAN_POINT('',(21.1786,25.3269,0.035)); #560764=CARTESIAN_POINT('',(21.1786,25.3269,0.035)); #560765=CARTESIAN_POINT('',(21.1786,25.3269,0.)); #560766=CARTESIAN_POINT('Origin',(21.183722,25.354369,0.)); #560767=CARTESIAN_POINT('',(21.183722,25.354369,0.)); #560768=CARTESIAN_POINT('',(21.183722,25.354369,0.)); #560769=CARTESIAN_POINT('',(21.183722,25.354369,0.035)); #560770=CARTESIAN_POINT('',(21.183722,25.354369,0.035)); #560771=CARTESIAN_POINT('',(21.183722,25.354369,0.)); #560772=CARTESIAN_POINT('Origin',(21.200066,25.379916,0.)); #560773=CARTESIAN_POINT('',(21.200066,25.379916,0.)); #560774=CARTESIAN_POINT('',(21.200066,25.379916,0.)); #560775=CARTESIAN_POINT('',(21.200066,25.379916,0.035)); #560776=CARTESIAN_POINT('',(21.200066,25.379916,0.035)); #560777=CARTESIAN_POINT('',(21.200066,25.379916,0.)); #560778=CARTESIAN_POINT('Origin',(21.225078,25.397066,0.)); #560779=CARTESIAN_POINT('',(21.225078,25.397066,0.)); #560780=CARTESIAN_POINT('',(21.225078,25.397066,0.)); #560781=CARTESIAN_POINT('',(21.225078,25.397066,0.035)); #560782=CARTESIAN_POINT('',(21.225078,25.397066,0.035)); #560783=CARTESIAN_POINT('',(21.225078,25.397066,0.)); #560784=CARTESIAN_POINT('Origin',(21.241534,25.400406,0.)); #560785=CARTESIAN_POINT('',(21.241534,25.400406,0.)); #560786=CARTESIAN_POINT('',(21.241534,25.400406,0.)); #560787=CARTESIAN_POINT('',(21.241534,25.400406,0.035)); #560788=CARTESIAN_POINT('',(21.241534,25.400406,0.035)); #560789=CARTESIAN_POINT('',(21.241534,25.400406,0.)); #560790=CARTESIAN_POINT('Origin',(21.3335,25.492372,0.)); #560791=CARTESIAN_POINT('',(21.3335,25.492372,0.)); #560792=CARTESIAN_POINT('',(21.3335,25.492372,0.)); #560793=CARTESIAN_POINT('',(21.3335,25.492372,0.035)); #560794=CARTESIAN_POINT('',(21.3335,25.492372,0.035)); #560795=CARTESIAN_POINT('',(21.3335,25.492372,0.)); #560796=CARTESIAN_POINT('Origin',(21.3335,26.4472,0.)); #560797=CARTESIAN_POINT('',(21.3335,26.4472,0.)); #560798=CARTESIAN_POINT('',(21.3335,26.4472,0.)); #560799=CARTESIAN_POINT('',(21.3335,26.4472,0.035)); #560800=CARTESIAN_POINT('',(21.3335,26.4472,0.035)); #560801=CARTESIAN_POINT('',(21.3335,26.4472,0.)); #560802=CARTESIAN_POINT('Origin',(21.338622,26.474669,0.)); #560803=CARTESIAN_POINT('',(21.338622,26.474669,0.)); #560804=CARTESIAN_POINT('',(21.338622,26.474669,0.)); #560805=CARTESIAN_POINT('',(21.338622,26.474669,0.035)); #560806=CARTESIAN_POINT('',(21.338622,26.474669,0.035)); #560807=CARTESIAN_POINT('',(21.338622,26.474669,0.)); #560808=CARTESIAN_POINT('Origin',(21.354966,26.500216,0.)); #560809=CARTESIAN_POINT('',(21.354966,26.500216,0.)); #560810=CARTESIAN_POINT('',(21.354966,26.500216,0.)); #560811=CARTESIAN_POINT('',(21.354966,26.500216,0.035)); #560812=CARTESIAN_POINT('',(21.354966,26.500216,0.035)); #560813=CARTESIAN_POINT('',(21.354966,26.500216,0.)); #560814=CARTESIAN_POINT('Origin',(21.379978,26.517366,0.)); #560815=CARTESIAN_POINT('',(21.379978,26.517366,0.)); #560816=CARTESIAN_POINT('',(21.379978,26.517366,0.)); #560817=CARTESIAN_POINT('',(21.379978,26.517366,0.035)); #560818=CARTESIAN_POINT('',(21.379978,26.517366,0.035)); #560819=CARTESIAN_POINT('',(21.379978,26.517366,0.)); #560820=CARTESIAN_POINT('Origin',(21.4097,26.5234,0.)); #560821=CARTESIAN_POINT('',(21.4097,26.5234,0.)); #560822=CARTESIAN_POINT('',(21.4097,26.5234,0.)); #560823=CARTESIAN_POINT('',(21.4097,26.5234,0.035)); #560824=CARTESIAN_POINT('',(21.4097,26.5234,0.035)); #560825=CARTESIAN_POINT('',(21.4097,26.5234,0.)); #560826=CARTESIAN_POINT('Origin',(24.4964,26.5234,0.)); #560827=CARTESIAN_POINT('',(24.4964,26.5234,0.)); #560828=CARTESIAN_POINT('',(24.4964,26.5234,0.)); #560829=CARTESIAN_POINT('',(24.4964,26.5234,0.035)); #560830=CARTESIAN_POINT('',(24.4964,26.5234,0.035)); #560831=CARTESIAN_POINT('',(24.4964,26.5234,0.)); #560832=CARTESIAN_POINT('Origin',(24.523869,26.518278,0.)); #560833=CARTESIAN_POINT('',(24.523869,26.518278,0.)); #560834=CARTESIAN_POINT('',(24.523869,26.518278,0.)); #560835=CARTESIAN_POINT('',(24.523869,26.518278,0.035)); #560836=CARTESIAN_POINT('',(24.523869,26.518278,0.035)); #560837=CARTESIAN_POINT('',(24.523869,26.518278,0.)); #560838=CARTESIAN_POINT('Origin',(24.549416,26.501934,0.)); #560839=CARTESIAN_POINT('',(24.549416,26.501934,0.)); #560840=CARTESIAN_POINT('',(24.549416,26.501934,0.)); #560841=CARTESIAN_POINT('',(24.549416,26.501934,0.035)); #560842=CARTESIAN_POINT('',(24.549416,26.501934,0.035)); #560843=CARTESIAN_POINT('',(24.549416,26.501934,0.)); #560844=CARTESIAN_POINT('Origin',(24.566566,26.476922,0.)); #560845=CARTESIAN_POINT('',(24.566566,26.476922,0.)); #560846=CARTESIAN_POINT('',(24.566566,26.476922,0.)); #560847=CARTESIAN_POINT('',(24.566566,26.476922,0.035)); #560848=CARTESIAN_POINT('',(24.566566,26.476922,0.035)); #560849=CARTESIAN_POINT('',(24.566566,26.476922,0.)); #560850=CARTESIAN_POINT('Origin',(24.572406,26.448159,0.)); #560851=CARTESIAN_POINT('',(24.572406,26.448159,0.)); #560852=CARTESIAN_POINT('',(24.572406,26.448159,0.)); #560853=CARTESIAN_POINT('',(24.572406,26.448159,0.035)); #560854=CARTESIAN_POINT('',(24.572406,26.448159,0.035)); #560855=CARTESIAN_POINT('',(24.572406,26.448159,0.)); #560856=CARTESIAN_POINT('Origin',(24.822966,26.1976,0.)); #560857=CARTESIAN_POINT('',(24.822966,26.1976,0.)); #560858=CARTESIAN_POINT('',(24.822966,26.1976,0.)); #560859=CARTESIAN_POINT('',(24.822966,26.1976,0.035)); #560860=CARTESIAN_POINT('',(24.822966,26.1976,0.035)); #560861=CARTESIAN_POINT('',(24.822966,26.1976,0.)); #560862=CARTESIAN_POINT('Origin',(25.072547,26.1976,0.)); #560863=CARTESIAN_POINT('',(25.072547,26.1976,0.)); #560864=CARTESIAN_POINT('',(25.072547,26.1976,0.)); #560865=CARTESIAN_POINT('',(25.072547,26.1976,0.035)); #560866=CARTESIAN_POINT('',(25.072547,26.1976,0.035)); #560867=CARTESIAN_POINT('',(25.072547,26.1976,0.)); #560868=CARTESIAN_POINT('Origin',(25.101144,26.192031,0.)); #560869=CARTESIAN_POINT('',(25.101144,26.192031,0.)); #560870=CARTESIAN_POINT('',(25.101144,26.192031,0.)); #560871=CARTESIAN_POINT('',(25.101144,26.192031,0.035)); #560872=CARTESIAN_POINT('',(25.101144,26.192031,0.035)); #560873=CARTESIAN_POINT('',(25.101144,26.192031,0.)); #560874=CARTESIAN_POINT('Origin',(25.126428,26.175281,0.)); #560875=CARTESIAN_POINT('',(25.126428,26.175281,0.)); #560876=CARTESIAN_POINT('',(25.126428,26.175281,0.)); #560877=CARTESIAN_POINT('',(25.126428,26.175281,0.035)); #560878=CARTESIAN_POINT('',(25.126428,26.175281,0.035)); #560879=CARTESIAN_POINT('',(25.126428,26.175281,0.)); #560880=CARTESIAN_POINT('Origin',(26.800281,24.501428,0.)); #560881=CARTESIAN_POINT('',(26.800281,24.501428,0.)); #560882=CARTESIAN_POINT('',(26.800281,24.501428,0.)); #560883=CARTESIAN_POINT('',(26.800281,24.501428,0.035)); #560884=CARTESIAN_POINT('',(26.800281,24.501428,0.035)); #560885=CARTESIAN_POINT('',(26.800281,24.501428,0.)); #560886=CARTESIAN_POINT('Origin',(26.816566,24.477269,0.)); #560887=CARTESIAN_POINT('',(26.816566,24.477269,0.)); #560888=CARTESIAN_POINT('',(26.816566,24.477269,0.)); #560889=CARTESIAN_POINT('',(26.816566,24.477269,0.035)); #560890=CARTESIAN_POINT('',(26.816566,24.477269,0.035)); #560891=CARTESIAN_POINT('',(26.816566,24.477269,0.)); #560892=CARTESIAN_POINT('Origin',(26.8226,24.447547,0.)); #560893=CARTESIAN_POINT('',(26.8226,24.447547,0.)); #560894=CARTESIAN_POINT('',(26.8226,24.447547,0.)); #560895=CARTESIAN_POINT('',(26.8226,24.447547,0.035)); #560896=CARTESIAN_POINT('',(26.8226,24.447547,0.035)); #560897=CARTESIAN_POINT('',(26.8226,24.447547,0.)); #560898=CARTESIAN_POINT('Origin',(26.8226,24.197966,0.)); #560899=CARTESIAN_POINT('',(26.8226,24.197966,0.)); #560900=CARTESIAN_POINT('',(26.8226,24.197966,0.)); #560901=CARTESIAN_POINT('',(26.8226,24.197966,0.035)); #560902=CARTESIAN_POINT('',(26.8226,24.197966,0.035)); #560903=CARTESIAN_POINT('',(26.8226,24.197966,0.)); #560904=CARTESIAN_POINT('Origin',(26.911884,24.108681,0.)); #560905=CARTESIAN_POINT('',(26.911884,24.108681,0.)); #560906=CARTESIAN_POINT('',(26.911884,24.108681,0.)); #560907=CARTESIAN_POINT('',(26.911884,24.108681,0.035)); #560908=CARTESIAN_POINT('',(26.911884,24.108681,0.035)); #560909=CARTESIAN_POINT('',(26.911884,24.108681,0.)); #560910=CARTESIAN_POINT('Origin',(26.927184,24.086744,0.)); #560911=CARTESIAN_POINT('',(26.927184,24.086744,0.)); #560912=CARTESIAN_POINT('',(26.927184,24.086744,0.)); #560913=CARTESIAN_POINT('',(26.927184,24.086744,0.035)); #560914=CARTESIAN_POINT('',(26.927184,24.086744,0.035)); #560915=CARTESIAN_POINT('',(26.927184,24.086744,0.)); #560916=CARTESIAN_POINT('Origin',(26.934166,24.057228,0.)); #560917=CARTESIAN_POINT('',(26.934166,24.057228,0.)); #560918=CARTESIAN_POINT('',(26.934166,24.057228,0.)); #560919=CARTESIAN_POINT('',(26.934166,24.057228,0.035)); #560920=CARTESIAN_POINT('',(26.934166,24.057228,0.035)); #560921=CARTESIAN_POINT('',(26.934166,24.057228,0.)); #560922=CARTESIAN_POINT('Origin',(26.929081,24.027331,0.)); #560923=CARTESIAN_POINT('',(26.929081,24.027331,0.)); #560924=CARTESIAN_POINT('',(26.929081,24.027331,0.)); #560925=CARTESIAN_POINT('',(26.929081,24.027331,0.035)); #560926=CARTESIAN_POINT('',(26.929081,24.027331,0.035)); #560927=CARTESIAN_POINT('',(26.929081,24.027331,0.)); #560928=CARTESIAN_POINT('Origin',(26.912738,24.001784,0.)); #560929=CARTESIAN_POINT('',(26.912738,24.001784,0.)); #560930=CARTESIAN_POINT('',(26.912738,24.001784,0.)); #560931=CARTESIAN_POINT('',(26.912738,24.001784,0.035)); #560932=CARTESIAN_POINT('',(26.912738,24.001784,0.035)); #560933=CARTESIAN_POINT('',(26.912738,24.001784,0.)); #560934=CARTESIAN_POINT('Origin',(26.887725,23.984634,0.)); #560935=CARTESIAN_POINT('',(26.887725,23.984634,0.)); #560936=CARTESIAN_POINT('',(26.887725,23.984634,0.035)); #560937=CARTESIAN_POINT('Origin',(23.8715521276873,25.1559915956212,0.035)); #560938=CARTESIAN_POINT('Origin',(23.8715521276873,25.1559915956212,0.)); #560939=CARTESIAN_POINT('Origin',(36.764147,22.1036,0.)); #560940=CARTESIAN_POINT('',(36.764147,22.1036,0.)); #560941=CARTESIAN_POINT('',(36.793869,22.109634,0.)); #560942=CARTESIAN_POINT('',(36.764147,22.1036,0.)); #560943=CARTESIAN_POINT('',(36.793869,22.109634,0.035)); #560944=CARTESIAN_POINT('',(36.793869,22.109634,0.)); #560945=CARTESIAN_POINT('',(36.764147,22.1036,0.035)); #560946=CARTESIAN_POINT('',(36.764147,22.1036,0.035)); #560947=CARTESIAN_POINT('',(36.764147,22.1036,0.)); #560948=CARTESIAN_POINT('Origin',(30.696941,22.1036,0.)); #560949=CARTESIAN_POINT('',(30.696941,22.1036,0.)); #560950=CARTESIAN_POINT('',(30.696941,22.1036,0.)); #560951=CARTESIAN_POINT('',(30.696941,22.1036,0.035)); #560952=CARTESIAN_POINT('',(30.696941,22.1036,0.035)); #560953=CARTESIAN_POINT('',(30.696941,22.1036,0.)); #560954=CARTESIAN_POINT('Origin',(30.671238,22.108066,0.)); #560955=CARTESIAN_POINT('',(30.671238,22.108066,0.)); #560956=CARTESIAN_POINT('',(30.671238,22.108066,0.)); #560957=CARTESIAN_POINT('',(30.671238,22.108066,0.035)); #560958=CARTESIAN_POINT('',(30.671238,22.108066,0.035)); #560959=CARTESIAN_POINT('',(30.671238,22.108066,0.)); #560960=CARTESIAN_POINT('Origin',(30.645294,22.123772,0.)); #560961=CARTESIAN_POINT('',(30.645294,22.123772,0.)); #560962=CARTESIAN_POINT('',(30.645294,22.123772,0.)); #560963=CARTESIAN_POINT('',(30.645294,22.123772,0.035)); #560964=CARTESIAN_POINT('',(30.645294,22.123772,0.035)); #560965=CARTESIAN_POINT('',(30.645294,22.123772,0.)); #560966=CARTESIAN_POINT('Origin',(30.627531,22.148353,0.)); #560967=CARTESIAN_POINT('',(30.627531,22.148353,0.)); #560968=CARTESIAN_POINT('',(30.627531,22.148353,0.)); #560969=CARTESIAN_POINT('',(30.627531,22.148353,0.035)); #560970=CARTESIAN_POINT('',(30.627531,22.148353,0.035)); #560971=CARTESIAN_POINT('',(30.627531,22.148353,0.)); #560972=CARTESIAN_POINT('Origin',(30.620763,22.177916,0.)); #560973=CARTESIAN_POINT('',(30.620763,22.177916,0.)); #560974=CARTESIAN_POINT('',(30.620763,22.177916,0.)); #560975=CARTESIAN_POINT('',(30.620763,22.177916,0.035)); #560976=CARTESIAN_POINT('',(30.620763,22.177916,0.035)); #560977=CARTESIAN_POINT('',(30.620763,22.177916,0.)); #560978=CARTESIAN_POINT('Origin',(30.626062,22.207778,0.)); #560979=CARTESIAN_POINT('',(30.626062,22.207778,0.)); #560980=CARTESIAN_POINT('',(30.626062,22.207778,0.)); #560981=CARTESIAN_POINT('',(30.626062,22.207778,0.035)); #560982=CARTESIAN_POINT('',(30.626062,22.207778,0.035)); #560983=CARTESIAN_POINT('',(30.626062,22.207778,0.)); #560984=CARTESIAN_POINT('Origin',(30.642588,22.233206,0.)); #560985=CARTESIAN_POINT('',(30.642588,22.233206,0.)); #560986=CARTESIAN_POINT('',(30.642588,22.233206,0.)); #560987=CARTESIAN_POINT('',(30.642588,22.233206,0.035)); #560988=CARTESIAN_POINT('',(30.642588,22.233206,0.035)); #560989=CARTESIAN_POINT('',(30.642588,22.233206,0.)); #560990=CARTESIAN_POINT('Origin',(31.885969,23.498606,0.)); #560991=CARTESIAN_POINT('',(31.885969,23.498606,0.)); #560992=CARTESIAN_POINT('',(31.885969,23.498606,0.)); #560993=CARTESIAN_POINT('',(31.885969,23.498606,0.035)); #560994=CARTESIAN_POINT('',(31.885969,23.498606,0.035)); #560995=CARTESIAN_POINT('',(31.885969,23.498606,0.)); #560996=CARTESIAN_POINT('Origin',(31.9106,23.515366,0.)); #560997=CARTESIAN_POINT('',(31.9106,23.515366,0.)); #560998=CARTESIAN_POINT('',(31.9106,23.515366,0.)); #560999=CARTESIAN_POINT('',(31.9106,23.515366,0.035)); #561000=CARTESIAN_POINT('',(31.9106,23.515366,0.035)); #561001=CARTESIAN_POINT('',(31.9106,23.515366,0.)); #561002=CARTESIAN_POINT('Origin',(31.940322,23.5214,0.)); #561003=CARTESIAN_POINT('',(31.940322,23.5214,0.)); #561004=CARTESIAN_POINT('',(31.940322,23.5214,0.)); #561005=CARTESIAN_POINT('',(31.940322,23.5214,0.035)); #561006=CARTESIAN_POINT('',(31.940322,23.5214,0.035)); #561007=CARTESIAN_POINT('',(31.940322,23.5214,0.)); #561008=CARTESIAN_POINT('Origin',(33.608003,23.5214,0.)); #561009=CARTESIAN_POINT('',(33.608003,23.5214,0.)); #561010=CARTESIAN_POINT('',(33.608003,23.5214,0.)); #561011=CARTESIAN_POINT('',(33.608003,23.5214,0.035)); #561012=CARTESIAN_POINT('',(33.608003,23.5214,0.035)); #561013=CARTESIAN_POINT('',(33.608003,23.5214,0.)); #561014=CARTESIAN_POINT('Origin',(33.634334,23.516706,0.)); #561015=CARTESIAN_POINT('',(33.634334,23.516706,0.)); #561016=CARTESIAN_POINT('',(33.634334,23.516706,0.)); #561017=CARTESIAN_POINT('',(33.634334,23.516706,0.035)); #561018=CARTESIAN_POINT('',(33.634334,23.516706,0.035)); #561019=CARTESIAN_POINT('',(33.634334,23.516706,0.)); #561020=CARTESIAN_POINT('Origin',(33.660141,23.500772,0.)); #561021=CARTESIAN_POINT('',(33.660141,23.500772,0.)); #561022=CARTESIAN_POINT('',(33.660141,23.500772,0.)); #561023=CARTESIAN_POINT('',(33.660141,23.500772,0.035)); #561024=CARTESIAN_POINT('',(33.660141,23.500772,0.035)); #561025=CARTESIAN_POINT('',(33.660141,23.500772,0.)); #561026=CARTESIAN_POINT('Origin',(33.677684,23.476034,0.)); #561027=CARTESIAN_POINT('',(33.677684,23.476034,0.)); #561028=CARTESIAN_POINT('',(33.677684,23.476034,0.)); #561029=CARTESIAN_POINT('',(33.677684,23.476034,0.035)); #561030=CARTESIAN_POINT('',(33.677684,23.476034,0.035)); #561031=CARTESIAN_POINT('',(33.677684,23.476034,0.)); #561032=CARTESIAN_POINT('Origin',(33.684194,23.446416,0.)); #561033=CARTESIAN_POINT('',(33.684194,23.446416,0.)); #561034=CARTESIAN_POINT('',(33.684194,23.446416,0.)); #561035=CARTESIAN_POINT('',(33.684194,23.446416,0.035)); #561036=CARTESIAN_POINT('',(33.684194,23.446416,0.035)); #561037=CARTESIAN_POINT('',(33.684194,23.446416,0.)); #561038=CARTESIAN_POINT('Origin',(33.678634,23.416603,0.)); #561039=CARTESIAN_POINT('',(33.678634,23.416603,0.)); #561040=CARTESIAN_POINT('',(33.678634,23.416603,0.)); #561041=CARTESIAN_POINT('',(33.678634,23.416603,0.035)); #561042=CARTESIAN_POINT('',(33.678634,23.416603,0.035)); #561043=CARTESIAN_POINT('',(33.678634,23.416603,0.)); #561044=CARTESIAN_POINT('Origin',(33.661884,23.391319,0.)); #561045=CARTESIAN_POINT('',(33.661884,23.391319,0.)); #561046=CARTESIAN_POINT('',(33.661884,23.391319,0.)); #561047=CARTESIAN_POINT('',(33.661884,23.391319,0.035)); #561048=CARTESIAN_POINT('',(33.661884,23.391319,0.035)); #561049=CARTESIAN_POINT('',(33.661884,23.391319,0.)); #561050=CARTESIAN_POINT('Origin',(33.5726,23.302034,0.)); #561051=CARTESIAN_POINT('',(33.5726,23.302034,0.)); #561052=CARTESIAN_POINT('',(33.5726,23.302034,0.)); #561053=CARTESIAN_POINT('',(33.5726,23.302034,0.035)); #561054=CARTESIAN_POINT('',(33.5726,23.302034,0.035)); #561055=CARTESIAN_POINT('',(33.5726,23.302034,0.)); #561056=CARTESIAN_POINT('Origin',(33.5726,22.947966,0.)); #561057=CARTESIAN_POINT('',(33.5726,22.947966,0.)); #561058=CARTESIAN_POINT('',(33.5726,22.947966,0.)); #561059=CARTESIAN_POINT('',(33.5726,22.947966,0.035)); #561060=CARTESIAN_POINT('',(33.5726,22.947966,0.035)); #561061=CARTESIAN_POINT('',(33.5726,22.947966,0.)); #561062=CARTESIAN_POINT('Origin',(33.822966,22.6976,0.)); #561063=CARTESIAN_POINT('',(33.822966,22.6976,0.)); #561064=CARTESIAN_POINT('',(33.822966,22.6976,0.)); #561065=CARTESIAN_POINT('',(33.822966,22.6976,0.035)); #561066=CARTESIAN_POINT('',(33.822966,22.6976,0.035)); #561067=CARTESIAN_POINT('',(33.822966,22.6976,0.)); #561068=CARTESIAN_POINT('Origin',(34.177034,22.6976,0.)); #561069=CARTESIAN_POINT('',(34.177034,22.6976,0.)); #561070=CARTESIAN_POINT('',(34.177034,22.6976,0.)); #561071=CARTESIAN_POINT('',(34.177034,22.6976,0.035)); #561072=CARTESIAN_POINT('',(34.177034,22.6976,0.035)); #561073=CARTESIAN_POINT('',(34.177034,22.6976,0.)); #561074=CARTESIAN_POINT('Origin',(34.353516,22.874081,0.)); #561075=CARTESIAN_POINT('',(34.353516,22.874081,0.)); #561076=CARTESIAN_POINT('',(34.353516,22.874081,0.)); #561077=CARTESIAN_POINT('',(34.353516,22.874081,0.035)); #561078=CARTESIAN_POINT('',(34.353516,22.874081,0.035)); #561079=CARTESIAN_POINT('',(34.353516,22.874081,0.)); #561080=CARTESIAN_POINT('Origin',(34.377675,22.890366,0.)); #561081=CARTESIAN_POINT('',(34.377675,22.890366,0.)); #561082=CARTESIAN_POINT('',(34.377675,22.890366,0.)); #561083=CARTESIAN_POINT('',(34.377675,22.890366,0.035)); #561084=CARTESIAN_POINT('',(34.377675,22.890366,0.035)); #561085=CARTESIAN_POINT('',(34.377675,22.890366,0.)); #561086=CARTESIAN_POINT('Origin',(34.407397,22.8964,0.)); #561087=CARTESIAN_POINT('',(34.407397,22.8964,0.)); #561088=CARTESIAN_POINT('',(34.407397,22.8964,0.)); #561089=CARTESIAN_POINT('',(34.407397,22.8964,0.035)); #561090=CARTESIAN_POINT('',(34.407397,22.8964,0.035)); #561091=CARTESIAN_POINT('',(34.407397,22.8964,0.)); #561092=CARTESIAN_POINT('Origin',(36.123747,22.8964,0.)); #561093=CARTESIAN_POINT('',(36.123747,22.8964,0.)); #561094=CARTESIAN_POINT('',(36.123747,22.8964,0.)); #561095=CARTESIAN_POINT('',(36.123747,22.8964,0.035)); #561096=CARTESIAN_POINT('',(36.123747,22.8964,0.035)); #561097=CARTESIAN_POINT('',(36.123747,22.8964,0.)); #561098=CARTESIAN_POINT('Origin',(36.152344,22.890831,0.)); #561099=CARTESIAN_POINT('',(36.152344,22.890831,0.)); #561100=CARTESIAN_POINT('',(36.152344,22.890831,0.)); #561101=CARTESIAN_POINT('',(36.152344,22.890831,0.035)); #561102=CARTESIAN_POINT('',(36.152344,22.890831,0.035)); #561103=CARTESIAN_POINT('',(36.152344,22.890831,0.)); #561104=CARTESIAN_POINT('Origin',(36.177628,22.874081,0.)); #561105=CARTESIAN_POINT('',(36.177628,22.874081,0.)); #561106=CARTESIAN_POINT('',(36.177628,22.874081,0.)); #561107=CARTESIAN_POINT('',(36.177628,22.874081,0.035)); #561108=CARTESIAN_POINT('',(36.177628,22.874081,0.035)); #561109=CARTESIAN_POINT('',(36.177628,22.874081,0.)); #561110=CARTESIAN_POINT('Origin',(36.818028,22.233681,0.)); #561111=CARTESIAN_POINT('',(36.818028,22.233681,0.)); #561112=CARTESIAN_POINT('',(36.818028,22.233681,0.)); #561113=CARTESIAN_POINT('',(36.818028,22.233681,0.035)); #561114=CARTESIAN_POINT('',(36.818028,22.233681,0.035)); #561115=CARTESIAN_POINT('',(36.818028,22.233681,0.)); #561116=CARTESIAN_POINT('Origin',(36.833328,22.211744,0.)); #561117=CARTESIAN_POINT('',(36.833328,22.211744,0.)); #561118=CARTESIAN_POINT('',(36.833328,22.211744,0.)); #561119=CARTESIAN_POINT('',(36.833328,22.211744,0.035)); #561120=CARTESIAN_POINT('',(36.833328,22.211744,0.035)); #561121=CARTESIAN_POINT('',(36.833328,22.211744,0.)); #561122=CARTESIAN_POINT('Origin',(36.840309,22.182228,0.)); #561123=CARTESIAN_POINT('',(36.840309,22.182228,0.)); #561124=CARTESIAN_POINT('',(36.840309,22.182228,0.)); #561125=CARTESIAN_POINT('',(36.840309,22.182228,0.035)); #561126=CARTESIAN_POINT('',(36.840309,22.182228,0.035)); #561127=CARTESIAN_POINT('',(36.840309,22.182228,0.)); #561128=CARTESIAN_POINT('Origin',(36.835225,22.152331,0.)); #561129=CARTESIAN_POINT('',(36.835225,22.152331,0.)); #561130=CARTESIAN_POINT('',(36.835225,22.152331,0.)); #561131=CARTESIAN_POINT('',(36.835225,22.152331,0.035)); #561132=CARTESIAN_POINT('',(36.835225,22.152331,0.035)); #561133=CARTESIAN_POINT('',(36.835225,22.152331,0.)); #561134=CARTESIAN_POINT('Origin',(36.818881,22.126784,0.)); #561135=CARTESIAN_POINT('',(36.818881,22.126784,0.)); #561136=CARTESIAN_POINT('',(36.818881,22.126784,0.)); #561137=CARTESIAN_POINT('',(36.818881,22.126784,0.035)); #561138=CARTESIAN_POINT('',(36.818881,22.126784,0.035)); #561139=CARTESIAN_POINT('',(36.818881,22.126784,0.)); #561140=CARTESIAN_POINT('Origin',(36.793869,22.109634,0.)); #561141=CARTESIAN_POINT('',(36.793869,22.109634,0.)); #561142=CARTESIAN_POINT('',(36.793869,22.109634,0.035)); #561143=CARTESIAN_POINT('Origin',(33.6871918718647,22.5992793223719,0.035)); #561144=CARTESIAN_POINT('Origin',(33.6871918718647,22.5992793223719,0.)); #561145=CARTESIAN_POINT('Origin',(26.376216,31.261006,0.)); #561146=CARTESIAN_POINT('',(26.376216,31.261006,0.)); #561147=CARTESIAN_POINT('',(26.405834,31.267516,0.)); #561148=CARTESIAN_POINT('',(26.376216,31.261006,0.)); #561149=CARTESIAN_POINT('',(26.405834,31.267516,0.035)); #561150=CARTESIAN_POINT('',(26.405834,31.267516,0.)); #561151=CARTESIAN_POINT('',(26.376216,31.261006,0.035)); #561152=CARTESIAN_POINT('',(26.376216,31.261006,0.035)); #561153=CARTESIAN_POINT('',(26.376216,31.261006,0.)); #561154=CARTESIAN_POINT('Origin',(26.346403,31.266566,0.)); #561155=CARTESIAN_POINT('',(26.346403,31.266566,0.)); #561156=CARTESIAN_POINT('',(26.346403,31.266566,0.)); #561157=CARTESIAN_POINT('',(26.346403,31.266566,0.035)); #561158=CARTESIAN_POINT('',(26.346403,31.266566,0.035)); #561159=CARTESIAN_POINT('',(26.346403,31.266566,0.)); #561160=CARTESIAN_POINT('Origin',(26.321119,31.283316,0.)); #561161=CARTESIAN_POINT('',(26.321119,31.283316,0.)); #561162=CARTESIAN_POINT('',(26.321119,31.283316,0.)); #561163=CARTESIAN_POINT('',(26.321119,31.283316,0.035)); #561164=CARTESIAN_POINT('',(26.321119,31.283316,0.035)); #561165=CARTESIAN_POINT('',(26.321119,31.283316,0.)); #561166=CARTESIAN_POINT('Origin',(26.250919,31.353516,0.)); #561167=CARTESIAN_POINT('',(26.250919,31.353516,0.)); #561168=CARTESIAN_POINT('',(26.250919,31.353516,0.)); #561169=CARTESIAN_POINT('',(26.250919,31.353516,0.035)); #561170=CARTESIAN_POINT('',(26.250919,31.353516,0.035)); #561171=CARTESIAN_POINT('',(26.250919,31.353516,0.)); #561172=CARTESIAN_POINT('Origin',(26.234634,31.377675,0.)); #561173=CARTESIAN_POINT('',(26.234634,31.377675,0.)); #561174=CARTESIAN_POINT('',(26.234634,31.377675,0.)); #561175=CARTESIAN_POINT('',(26.234634,31.377675,0.035)); #561176=CARTESIAN_POINT('',(26.234634,31.377675,0.035)); #561177=CARTESIAN_POINT('',(26.234634,31.377675,0.)); #561178=CARTESIAN_POINT('Origin',(26.2286,31.407397,0.)); #561179=CARTESIAN_POINT('',(26.2286,31.407397,0.)); #561180=CARTESIAN_POINT('',(26.2286,31.407397,0.)); #561181=CARTESIAN_POINT('',(26.2286,31.407397,0.035)); #561182=CARTESIAN_POINT('',(26.2286,31.407397,0.035)); #561183=CARTESIAN_POINT('',(26.2286,31.407397,0.)); #561184=CARTESIAN_POINT('Origin',(26.2286,35.592603,0.)); #561185=CARTESIAN_POINT('',(26.2286,35.592603,0.)); #561186=CARTESIAN_POINT('',(26.2286,35.592603,0.)); #561187=CARTESIAN_POINT('',(26.2286,35.592603,0.035)); #561188=CARTESIAN_POINT('',(26.2286,35.592603,0.035)); #561189=CARTESIAN_POINT('',(26.2286,35.592603,0.)); #561190=CARTESIAN_POINT('Origin',(26.234169,35.6212,0.)); #561191=CARTESIAN_POINT('',(26.234169,35.6212,0.)); #561192=CARTESIAN_POINT('',(26.234169,35.6212,0.)); #561193=CARTESIAN_POINT('',(26.234169,35.6212,0.035)); #561194=CARTESIAN_POINT('',(26.234169,35.6212,0.035)); #561195=CARTESIAN_POINT('',(26.234169,35.6212,0.)); #561196=CARTESIAN_POINT('Origin',(26.250919,35.646484,0.)); #561197=CARTESIAN_POINT('',(26.250919,35.646484,0.)); #561198=CARTESIAN_POINT('',(26.250919,35.646484,0.)); #561199=CARTESIAN_POINT('',(26.250919,35.646484,0.035)); #561200=CARTESIAN_POINT('',(26.250919,35.646484,0.035)); #561201=CARTESIAN_POINT('',(26.250919,35.646484,0.)); #561202=CARTESIAN_POINT('Origin',(26.321119,35.716684,0.)); #561203=CARTESIAN_POINT('',(26.321119,35.716684,0.)); #561204=CARTESIAN_POINT('',(26.321119,35.716684,0.)); #561205=CARTESIAN_POINT('',(26.321119,35.716684,0.035)); #561206=CARTESIAN_POINT('',(26.321119,35.716684,0.035)); #561207=CARTESIAN_POINT('',(26.321119,35.716684,0.)); #561208=CARTESIAN_POINT('Origin',(26.344166,35.732484,0.)); #561209=CARTESIAN_POINT('',(26.344166,35.732484,0.)); #561210=CARTESIAN_POINT('',(26.344166,35.732484,0.)); #561211=CARTESIAN_POINT('',(26.344166,35.732484,0.035)); #561212=CARTESIAN_POINT('',(26.344166,35.732484,0.035)); #561213=CARTESIAN_POINT('',(26.344166,35.732484,0.)); #561214=CARTESIAN_POINT('Origin',(26.373784,35.738994,0.)); #561215=CARTESIAN_POINT('',(26.373784,35.738994,0.)); #561216=CARTESIAN_POINT('',(26.373784,35.738994,0.)); #561217=CARTESIAN_POINT('',(26.373784,35.738994,0.035)); #561218=CARTESIAN_POINT('',(26.373784,35.738994,0.035)); #561219=CARTESIAN_POINT('',(26.373784,35.738994,0.)); #561220=CARTESIAN_POINT('Origin',(26.403597,35.733434,0.)); #561221=CARTESIAN_POINT('',(26.403597,35.733434,0.)); #561222=CARTESIAN_POINT('',(26.403597,35.733434,0.)); #561223=CARTESIAN_POINT('',(26.403597,35.733434,0.035)); #561224=CARTESIAN_POINT('',(26.403597,35.733434,0.035)); #561225=CARTESIAN_POINT('',(26.403597,35.733434,0.)); #561226=CARTESIAN_POINT('Origin',(26.428881,35.716684,0.)); #561227=CARTESIAN_POINT('',(26.428881,35.716684,0.)); #561228=CARTESIAN_POINT('',(26.428881,35.716684,0.)); #561229=CARTESIAN_POINT('',(26.428881,35.716684,0.035)); #561230=CARTESIAN_POINT('',(26.428881,35.716684,0.035)); #561231=CARTESIAN_POINT('',(26.428881,35.716684,0.)); #561232=CARTESIAN_POINT('Origin',(26.499081,35.646484,0.)); #561233=CARTESIAN_POINT('',(26.499081,35.646484,0.)); #561234=CARTESIAN_POINT('',(26.499081,35.646484,0.)); #561235=CARTESIAN_POINT('',(26.499081,35.646484,0.035)); #561236=CARTESIAN_POINT('',(26.499081,35.646484,0.035)); #561237=CARTESIAN_POINT('',(26.499081,35.646484,0.)); #561238=CARTESIAN_POINT('Origin',(26.515366,35.622325,0.)); #561239=CARTESIAN_POINT('',(26.515366,35.622325,0.)); #561240=CARTESIAN_POINT('',(26.515366,35.622325,0.)); #561241=CARTESIAN_POINT('',(26.515366,35.622325,0.035)); #561242=CARTESIAN_POINT('',(26.515366,35.622325,0.035)); #561243=CARTESIAN_POINT('',(26.515366,35.622325,0.)); #561244=CARTESIAN_POINT('Origin',(26.5214,35.592603,0.)); #561245=CARTESIAN_POINT('',(26.5214,35.592603,0.)); #561246=CARTESIAN_POINT('',(26.5214,35.592603,0.)); #561247=CARTESIAN_POINT('',(26.5214,35.592603,0.035)); #561248=CARTESIAN_POINT('',(26.5214,35.592603,0.035)); #561249=CARTESIAN_POINT('',(26.5214,35.592603,0.)); #561250=CARTESIAN_POINT('Origin',(26.5214,31.407397,0.)); #561251=CARTESIAN_POINT('',(26.5214,31.407397,0.)); #561252=CARTESIAN_POINT('',(26.5214,31.407397,0.)); #561253=CARTESIAN_POINT('',(26.5214,31.407397,0.035)); #561254=CARTESIAN_POINT('',(26.5214,31.407397,0.035)); #561255=CARTESIAN_POINT('',(26.5214,31.407397,0.)); #561256=CARTESIAN_POINT('Origin',(26.515831,31.3788,0.)); #561257=CARTESIAN_POINT('',(26.515831,31.3788,0.)); #561258=CARTESIAN_POINT('',(26.515831,31.3788,0.)); #561259=CARTESIAN_POINT('',(26.515831,31.3788,0.035)); #561260=CARTESIAN_POINT('',(26.515831,31.3788,0.035)); #561261=CARTESIAN_POINT('',(26.515831,31.3788,0.)); #561262=CARTESIAN_POINT('Origin',(26.499081,31.353516,0.)); #561263=CARTESIAN_POINT('',(26.499081,31.353516,0.)); #561264=CARTESIAN_POINT('',(26.499081,31.353516,0.)); #561265=CARTESIAN_POINT('',(26.499081,31.353516,0.035)); #561266=CARTESIAN_POINT('',(26.499081,31.353516,0.035)); #561267=CARTESIAN_POINT('',(26.499081,31.353516,0.)); #561268=CARTESIAN_POINT('Origin',(26.428881,31.283316,0.)); #561269=CARTESIAN_POINT('',(26.428881,31.283316,0.)); #561270=CARTESIAN_POINT('',(26.428881,31.283316,0.)); #561271=CARTESIAN_POINT('',(26.428881,31.283316,0.035)); #561272=CARTESIAN_POINT('',(26.428881,31.283316,0.035)); #561273=CARTESIAN_POINT('',(26.428881,31.283316,0.)); #561274=CARTESIAN_POINT('Origin',(26.405834,31.267516,0.)); #561275=CARTESIAN_POINT('',(26.405834,31.267516,0.)); #561276=CARTESIAN_POINT('',(26.405834,31.267516,0.035)); #561277=CARTESIAN_POINT('Origin',(26.375,33.5,0.035)); #561278=CARTESIAN_POINT('Origin',(26.375,33.5,0.)); #561279=CARTESIAN_POINT('Origin',(39.5903,22.4786,0.)); #561280=CARTESIAN_POINT('',(39.5903,22.4786,0.)); #561281=CARTESIAN_POINT('',(39.620022,22.484634,0.)); #561282=CARTESIAN_POINT('',(39.5903,22.4786,0.)); #561283=CARTESIAN_POINT('',(39.620022,22.484634,0.035)); #561284=CARTESIAN_POINT('',(39.620022,22.484634,0.)); #561285=CARTESIAN_POINT('',(39.5903,22.4786,0.035)); #561286=CARTESIAN_POINT('',(39.5903,22.4786,0.035)); #561287=CARTESIAN_POINT('',(39.5903,22.4786,0.)); #561288=CARTESIAN_POINT('Origin',(37.813753,22.4786,0.)); #561289=CARTESIAN_POINT('',(37.813753,22.4786,0.)); #561290=CARTESIAN_POINT('',(37.813753,22.4786,0.)); #561291=CARTESIAN_POINT('',(37.813753,22.4786,0.035)); #561292=CARTESIAN_POINT('',(37.813753,22.4786,0.035)); #561293=CARTESIAN_POINT('',(37.813753,22.4786,0.)); #561294=CARTESIAN_POINT('Origin',(37.785156,22.484169,0.)); #561295=CARTESIAN_POINT('',(37.785156,22.484169,0.)); #561296=CARTESIAN_POINT('',(37.785156,22.484169,0.)); #561297=CARTESIAN_POINT('',(37.785156,22.484169,0.035)); #561298=CARTESIAN_POINT('',(37.785156,22.484169,0.035)); #561299=CARTESIAN_POINT('',(37.785156,22.484169,0.)); #561300=CARTESIAN_POINT('Origin',(37.759872,22.500919,0.)); #561301=CARTESIAN_POINT('',(37.759872,22.500919,0.)); #561302=CARTESIAN_POINT('',(37.759872,22.500919,0.)); #561303=CARTESIAN_POINT('',(37.759872,22.500919,0.035)); #561304=CARTESIAN_POINT('',(37.759872,22.500919,0.035)); #561305=CARTESIAN_POINT('',(37.759872,22.500919,0.)); #561306=CARTESIAN_POINT('Origin',(37.619472,22.641319,0.)); #561307=CARTESIAN_POINT('',(37.619472,22.641319,0.)); #561308=CARTESIAN_POINT('',(37.619472,22.641319,0.)); #561309=CARTESIAN_POINT('',(37.619472,22.641319,0.035)); #561310=CARTESIAN_POINT('',(37.619472,22.641319,0.035)); #561311=CARTESIAN_POINT('',(37.619472,22.641319,0.)); #561312=CARTESIAN_POINT('Origin',(37.604172,22.663256,0.)); #561313=CARTESIAN_POINT('',(37.604172,22.663256,0.)); #561314=CARTESIAN_POINT('',(37.604172,22.663256,0.)); #561315=CARTESIAN_POINT('',(37.604172,22.663256,0.035)); #561316=CARTESIAN_POINT('',(37.604172,22.663256,0.035)); #561317=CARTESIAN_POINT('',(37.604172,22.663256,0.)); #561318=CARTESIAN_POINT('Origin',(37.597191,22.692772,0.)); #561319=CARTESIAN_POINT('',(37.597191,22.692772,0.)); #561320=CARTESIAN_POINT('',(37.597191,22.692772,0.)); #561321=CARTESIAN_POINT('',(37.597191,22.692772,0.035)); #561322=CARTESIAN_POINT('',(37.597191,22.692772,0.035)); #561323=CARTESIAN_POINT('',(37.597191,22.692772,0.)); #561324=CARTESIAN_POINT('Origin',(37.602275,22.722669,0.)); #561325=CARTESIAN_POINT('',(37.602275,22.722669,0.)); #561326=CARTESIAN_POINT('',(37.602275,22.722669,0.)); #561327=CARTESIAN_POINT('',(37.602275,22.722669,0.035)); #561328=CARTESIAN_POINT('',(37.602275,22.722669,0.035)); #561329=CARTESIAN_POINT('',(37.602275,22.722669,0.)); #561330=CARTESIAN_POINT('Origin',(37.618619,22.748216,0.)); #561331=CARTESIAN_POINT('',(37.618619,22.748216,0.)); #561332=CARTESIAN_POINT('',(37.618619,22.748216,0.)); #561333=CARTESIAN_POINT('',(37.618619,22.748216,0.035)); #561334=CARTESIAN_POINT('',(37.618619,22.748216,0.035)); #561335=CARTESIAN_POINT('',(37.618619,22.748216,0.)); #561336=CARTESIAN_POINT('Origin',(37.643631,22.765366,0.)); #561337=CARTESIAN_POINT('',(37.643631,22.765366,0.)); #561338=CARTESIAN_POINT('',(37.643631,22.765366,0.)); #561339=CARTESIAN_POINT('',(37.643631,22.765366,0.035)); #561340=CARTESIAN_POINT('',(37.643631,22.765366,0.035)); #561341=CARTESIAN_POINT('',(37.643631,22.765366,0.)); #561342=CARTESIAN_POINT('Origin',(37.673353,22.7714,0.)); #561343=CARTESIAN_POINT('',(37.673353,22.7714,0.)); #561344=CARTESIAN_POINT('',(37.673353,22.7714,0.)); #561345=CARTESIAN_POINT('',(37.673353,22.7714,0.035)); #561346=CARTESIAN_POINT('',(37.673353,22.7714,0.035)); #561347=CARTESIAN_POINT('',(37.673353,22.7714,0.)); #561348=CARTESIAN_POINT('Origin',(39.5903,22.7714,0.)); #561349=CARTESIAN_POINT('',(39.5903,22.7714,0.)); #561350=CARTESIAN_POINT('',(39.5903,22.7714,0.)); #561351=CARTESIAN_POINT('',(39.5903,22.7714,0.035)); #561352=CARTESIAN_POINT('',(39.5903,22.7714,0.035)); #561353=CARTESIAN_POINT('',(39.5903,22.7714,0.)); #561354=CARTESIAN_POINT('Origin',(39.617769,22.766278,0.)); #561355=CARTESIAN_POINT('',(39.617769,22.766278,0.)); #561356=CARTESIAN_POINT('',(39.617769,22.766278,0.)); #561357=CARTESIAN_POINT('',(39.617769,22.766278,0.035)); #561358=CARTESIAN_POINT('',(39.617769,22.766278,0.035)); #561359=CARTESIAN_POINT('',(39.617769,22.766278,0.)); #561360=CARTESIAN_POINT('Origin',(39.643316,22.749934,0.)); #561361=CARTESIAN_POINT('',(39.643316,22.749934,0.)); #561362=CARTESIAN_POINT('',(39.643316,22.749934,0.)); #561363=CARTESIAN_POINT('',(39.643316,22.749934,0.035)); #561364=CARTESIAN_POINT('',(39.643316,22.749934,0.035)); #561365=CARTESIAN_POINT('',(39.643316,22.749934,0.)); #561366=CARTESIAN_POINT('Origin',(39.660466,22.724922,0.)); #561367=CARTESIAN_POINT('',(39.660466,22.724922,0.)); #561368=CARTESIAN_POINT('',(39.660466,22.724922,0.)); #561369=CARTESIAN_POINT('',(39.660466,22.724922,0.035)); #561370=CARTESIAN_POINT('',(39.660466,22.724922,0.035)); #561371=CARTESIAN_POINT('',(39.660466,22.724922,0.)); #561372=CARTESIAN_POINT('Origin',(39.6665,22.6952,0.)); #561373=CARTESIAN_POINT('',(39.6665,22.6952,0.)); #561374=CARTESIAN_POINT('',(39.6665,22.6952,0.)); #561375=CARTESIAN_POINT('',(39.6665,22.6952,0.035)); #561376=CARTESIAN_POINT('',(39.6665,22.6952,0.035)); #561377=CARTESIAN_POINT('',(39.6665,22.6952,0.)); #561378=CARTESIAN_POINT('Origin',(39.6665,22.5548,0.)); #561379=CARTESIAN_POINT('',(39.6665,22.5548,0.)); #561380=CARTESIAN_POINT('',(39.6665,22.5548,0.)); #561381=CARTESIAN_POINT('',(39.6665,22.5548,0.035)); #561382=CARTESIAN_POINT('',(39.6665,22.5548,0.035)); #561383=CARTESIAN_POINT('',(39.6665,22.5548,0.)); #561384=CARTESIAN_POINT('Origin',(39.661378,22.527331,0.)); #561385=CARTESIAN_POINT('',(39.661378,22.527331,0.)); #561386=CARTESIAN_POINT('',(39.661378,22.527331,0.)); #561387=CARTESIAN_POINT('',(39.661378,22.527331,0.035)); #561388=CARTESIAN_POINT('',(39.661378,22.527331,0.035)); #561389=CARTESIAN_POINT('',(39.661378,22.527331,0.)); #561390=CARTESIAN_POINT('Origin',(39.645034,22.501784,0.)); #561391=CARTESIAN_POINT('',(39.645034,22.501784,0.)); #561392=CARTESIAN_POINT('',(39.645034,22.501784,0.)); #561393=CARTESIAN_POINT('',(39.645034,22.501784,0.035)); #561394=CARTESIAN_POINT('',(39.645034,22.501784,0.035)); #561395=CARTESIAN_POINT('',(39.645034,22.501784,0.)); #561396=CARTESIAN_POINT('Origin',(39.620022,22.484634,0.)); #561397=CARTESIAN_POINT('',(39.620022,22.484634,0.)); #561398=CARTESIAN_POINT('',(39.620022,22.484634,0.035)); #561399=CARTESIAN_POINT('Origin',(38.6520704120613,22.6290374014677,0.035)); #561400=CARTESIAN_POINT('Origin',(38.6520704120613,22.6290374014677,0.)); #561401=CARTESIAN_POINT('Origin',(31.811072,23.9786,0.)); #561402=CARTESIAN_POINT('',(31.811072,23.9786,0.)); #561403=CARTESIAN_POINT('',(31.811741,23.978603,0.)); #561404=CARTESIAN_POINT('',(31.811072,23.9786,0.)); #561405=CARTESIAN_POINT('',(31.811741,23.978603,0.035)); #561406=CARTESIAN_POINT('',(31.811741,23.978603,0.)); #561407=CARTESIAN_POINT('',(31.811072,23.9786,0.035)); #561408=CARTESIAN_POINT('',(31.811072,23.9786,0.035)); #561409=CARTESIAN_POINT('',(31.811072,23.9786,0.)); #561410=CARTESIAN_POINT('Origin',(31.8048,23.9786,0.)); #561411=CARTESIAN_POINT('',(31.8048,23.9786,0.)); #561412=CARTESIAN_POINT('',(31.8048,23.9786,0.)); #561413=CARTESIAN_POINT('',(31.8048,23.9786,0.035)); #561414=CARTESIAN_POINT('',(31.8048,23.9786,0.035)); #561415=CARTESIAN_POINT('',(31.8048,23.9786,0.)); #561416=CARTESIAN_POINT('Origin',(31.777331,23.983722,0.)); #561417=CARTESIAN_POINT('',(31.777331,23.983722,0.)); #561418=CARTESIAN_POINT('',(31.777331,23.983722,0.)); #561419=CARTESIAN_POINT('',(31.777331,23.983722,0.035)); #561420=CARTESIAN_POINT('',(31.777331,23.983722,0.035)); #561421=CARTESIAN_POINT('',(31.777331,23.983722,0.)); #561422=CARTESIAN_POINT('Origin',(31.751784,24.000066,0.)); #561423=CARTESIAN_POINT('',(31.751784,24.000066,0.)); #561424=CARTESIAN_POINT('',(31.751784,24.000066,0.)); #561425=CARTESIAN_POINT('',(31.751784,24.000066,0.035)); #561426=CARTESIAN_POINT('',(31.751784,24.000066,0.035)); #561427=CARTESIAN_POINT('',(31.751784,24.000066,0.)); #561428=CARTESIAN_POINT('Origin',(31.734634,24.025078,0.)); #561429=CARTESIAN_POINT('',(31.734634,24.025078,0.)); #561430=CARTESIAN_POINT('',(31.734634,24.025078,0.)); #561431=CARTESIAN_POINT('',(31.734634,24.025078,0.035)); #561432=CARTESIAN_POINT('',(31.734634,24.025078,0.035)); #561433=CARTESIAN_POINT('',(31.734634,24.025078,0.)); #561434=CARTESIAN_POINT('Origin',(31.7286,24.0548,0.)); #561435=CARTESIAN_POINT('',(31.7286,24.0548,0.)); #561436=CARTESIAN_POINT('',(31.7286,24.0548,0.)); #561437=CARTESIAN_POINT('',(31.7286,24.0548,0.035)); #561438=CARTESIAN_POINT('',(31.7286,24.0548,0.035)); #561439=CARTESIAN_POINT('',(31.7286,24.0548,0.)); #561440=CARTESIAN_POINT('Origin',(31.7286,24.317025,0.)); #561441=CARTESIAN_POINT('',(31.7286,24.317025,0.)); #561442=CARTESIAN_POINT('',(31.7286,24.317025,0.)); #561443=CARTESIAN_POINT('',(31.7286,24.317025,0.035)); #561444=CARTESIAN_POINT('',(31.7286,24.317025,0.035)); #561445=CARTESIAN_POINT('',(31.7286,24.317025,0.)); #561446=CARTESIAN_POINT('Origin',(31.733944,24.345059,0.)); #561447=CARTESIAN_POINT('',(31.733944,24.345059,0.)); #561448=CARTESIAN_POINT('',(31.733944,24.345059,0.)); #561449=CARTESIAN_POINT('',(31.733944,24.345059,0.035)); #561450=CARTESIAN_POINT('',(31.733944,24.345059,0.035)); #561451=CARTESIAN_POINT('',(31.733944,24.345059,0.)); #561452=CARTESIAN_POINT('Origin',(31.750491,24.370475,0.)); #561453=CARTESIAN_POINT('',(31.750491,24.370475,0.)); #561454=CARTESIAN_POINT('',(31.750491,24.370475,0.)); #561455=CARTESIAN_POINT('',(31.750491,24.370475,0.035)); #561456=CARTESIAN_POINT('',(31.750491,24.370475,0.035)); #561457=CARTESIAN_POINT('',(31.750491,24.370475,0.)); #561458=CARTESIAN_POINT('Origin',(31.775641,24.387425,0.)); #561459=CARTESIAN_POINT('',(31.775641,24.387425,0.)); #561460=CARTESIAN_POINT('',(31.775641,24.387425,0.)); #561461=CARTESIAN_POINT('',(31.775641,24.387425,0.035)); #561462=CARTESIAN_POINT('',(31.775641,24.387425,0.035)); #561463=CARTESIAN_POINT('',(31.775641,24.387425,0.)); #561464=CARTESIAN_POINT('Origin',(32.011169,24.484984,0.)); #561465=CARTESIAN_POINT('',(32.011169,24.484984,0.)); #561466=CARTESIAN_POINT('',(32.011169,24.484984,0.)); #561467=CARTESIAN_POINT('',(32.011169,24.484984,0.035)); #561468=CARTESIAN_POINT('',(32.011169,24.484984,0.035)); #561469=CARTESIAN_POINT('',(32.011169,24.484984,0.)); #561470=CARTESIAN_POINT('Origin',(32.265016,24.738831,0.)); #561471=CARTESIAN_POINT('',(32.265016,24.738831,0.)); #561472=CARTESIAN_POINT('',(32.265016,24.738831,0.)); #561473=CARTESIAN_POINT('',(32.265016,24.738831,0.035)); #561474=CARTESIAN_POINT('',(32.265016,24.738831,0.035)); #561475=CARTESIAN_POINT('',(32.265016,24.738831,0.)); #561476=CARTESIAN_POINT('Origin',(32.4024,25.0705,0.)); #561477=CARTESIAN_POINT('',(32.4024,25.0705,0.)); #561478=CARTESIAN_POINT('',(32.4024,25.0705,0.)); #561479=CARTESIAN_POINT('',(32.4024,25.0705,0.035)); #561480=CARTESIAN_POINT('',(32.4024,25.0705,0.035)); #561481=CARTESIAN_POINT('',(32.4024,25.0705,0.)); #561482=CARTESIAN_POINT('Origin',(32.4024,25.4295,0.)); #561483=CARTESIAN_POINT('',(32.4024,25.4295,0.)); #561484=CARTESIAN_POINT('',(32.4024,25.4295,0.)); #561485=CARTESIAN_POINT('',(32.4024,25.4295,0.035)); #561486=CARTESIAN_POINT('',(32.4024,25.4295,0.035)); #561487=CARTESIAN_POINT('',(32.4024,25.4295,0.)); #561488=CARTESIAN_POINT('Origin',(32.265016,25.761169,0.)); #561489=CARTESIAN_POINT('',(32.265016,25.761169,0.)); #561490=CARTESIAN_POINT('',(32.265016,25.761169,0.)); #561491=CARTESIAN_POINT('',(32.265016,25.761169,0.035)); #561492=CARTESIAN_POINT('',(32.265016,25.761169,0.035)); #561493=CARTESIAN_POINT('',(32.265016,25.761169,0.)); #561494=CARTESIAN_POINT('Origin',(32.072366,25.953819,0.)); #561495=CARTESIAN_POINT('',(32.072366,25.953819,0.)); #561496=CARTESIAN_POINT('',(32.072366,25.953819,0.)); #561497=CARTESIAN_POINT('',(32.072366,25.953819,0.035)); #561498=CARTESIAN_POINT('',(32.072366,25.953819,0.035)); #561499=CARTESIAN_POINT('',(32.072366,25.953819,0.)); #561500=CARTESIAN_POINT('Origin',(32.057066,25.975756,0.)); #561501=CARTESIAN_POINT('',(32.057066,25.975756,0.)); #561502=CARTESIAN_POINT('',(32.057066,25.975756,0.)); #561503=CARTESIAN_POINT('',(32.057066,25.975756,0.035)); #561504=CARTESIAN_POINT('',(32.057066,25.975756,0.035)); #561505=CARTESIAN_POINT('',(32.057066,25.975756,0.)); #561506=CARTESIAN_POINT('Origin',(32.050084,26.005272,0.)); #561507=CARTESIAN_POINT('',(32.050084,26.005272,0.)); #561508=CARTESIAN_POINT('',(32.050084,26.005272,0.)); #561509=CARTESIAN_POINT('',(32.050084,26.005272,0.035)); #561510=CARTESIAN_POINT('',(32.050084,26.005272,0.035)); #561511=CARTESIAN_POINT('',(32.050084,26.005272,0.)); #561512=CARTESIAN_POINT('Origin',(32.055169,26.035169,0.)); #561513=CARTESIAN_POINT('',(32.055169,26.035169,0.)); #561514=CARTESIAN_POINT('',(32.055169,26.035169,0.)); #561515=CARTESIAN_POINT('',(32.055169,26.035169,0.035)); #561516=CARTESIAN_POINT('',(32.055169,26.035169,0.035)); #561517=CARTESIAN_POINT('',(32.055169,26.035169,0.)); #561518=CARTESIAN_POINT('Origin',(32.071513,26.060716,0.)); #561519=CARTESIAN_POINT('',(32.071513,26.060716,0.)); #561520=CARTESIAN_POINT('',(32.071513,26.060716,0.)); #561521=CARTESIAN_POINT('',(32.071513,26.060716,0.035)); #561522=CARTESIAN_POINT('',(32.071513,26.060716,0.035)); #561523=CARTESIAN_POINT('',(32.071513,26.060716,0.)); #561524=CARTESIAN_POINT('Origin',(32.096525,26.077866,0.)); #561525=CARTESIAN_POINT('',(32.096525,26.077866,0.)); #561526=CARTESIAN_POINT('',(32.096525,26.077866,0.)); #561527=CARTESIAN_POINT('',(32.096525,26.077866,0.035)); #561528=CARTESIAN_POINT('',(32.096525,26.077866,0.035)); #561529=CARTESIAN_POINT('',(32.096525,26.077866,0.)); #561530=CARTESIAN_POINT('Origin',(32.126247,26.0839,0.)); #561531=CARTESIAN_POINT('',(32.126247,26.0839,0.)); #561532=CARTESIAN_POINT('',(32.126247,26.0839,0.)); #561533=CARTESIAN_POINT('',(32.126247,26.0839,0.035)); #561534=CARTESIAN_POINT('',(32.126247,26.0839,0.035)); #561535=CARTESIAN_POINT('',(32.126247,26.0839,0.)); #561536=CARTESIAN_POINT('Origin',(33.373753,26.0839,0.)); #561537=CARTESIAN_POINT('',(33.373753,26.0839,0.)); #561538=CARTESIAN_POINT('',(33.373753,26.0839,0.)); #561539=CARTESIAN_POINT('',(33.373753,26.0839,0.035)); #561540=CARTESIAN_POINT('',(33.373753,26.0839,0.035)); #561541=CARTESIAN_POINT('',(33.373753,26.0839,0.)); #561542=CARTESIAN_POINT('Origin',(33.400084,26.079206,0.)); #561543=CARTESIAN_POINT('',(33.400084,26.079206,0.)); #561544=CARTESIAN_POINT('',(33.400084,26.079206,0.)); #561545=CARTESIAN_POINT('',(33.400084,26.079206,0.035)); #561546=CARTESIAN_POINT('',(33.400084,26.079206,0.035)); #561547=CARTESIAN_POINT('',(33.400084,26.079206,0.)); #561548=CARTESIAN_POINT('Origin',(33.425891,26.063272,0.)); #561549=CARTESIAN_POINT('',(33.425891,26.063272,0.)); #561550=CARTESIAN_POINT('',(33.425891,26.063272,0.)); #561551=CARTESIAN_POINT('',(33.425891,26.063272,0.035)); #561552=CARTESIAN_POINT('',(33.425891,26.063272,0.035)); #561553=CARTESIAN_POINT('',(33.425891,26.063272,0.)); #561554=CARTESIAN_POINT('Origin',(33.443434,26.038534,0.)); #561555=CARTESIAN_POINT('',(33.443434,26.038534,0.)); #561556=CARTESIAN_POINT('',(33.443434,26.038534,0.)); #561557=CARTESIAN_POINT('',(33.443434,26.038534,0.035)); #561558=CARTESIAN_POINT('',(33.443434,26.038534,0.035)); #561559=CARTESIAN_POINT('',(33.443434,26.038534,0.)); #561560=CARTESIAN_POINT('Origin',(33.449944,26.008916,0.)); #561561=CARTESIAN_POINT('',(33.449944,26.008916,0.)); #561562=CARTESIAN_POINT('',(33.449944,26.008916,0.)); #561563=CARTESIAN_POINT('',(33.449944,26.008916,0.035)); #561564=CARTESIAN_POINT('',(33.449944,26.008916,0.035)); #561565=CARTESIAN_POINT('',(33.449944,26.008916,0.)); #561566=CARTESIAN_POINT('Origin',(33.444384,25.979103,0.)); #561567=CARTESIAN_POINT('',(33.444384,25.979103,0.)); #561568=CARTESIAN_POINT('',(33.444384,25.979103,0.)); #561569=CARTESIAN_POINT('',(33.444384,25.979103,0.035)); #561570=CARTESIAN_POINT('',(33.444384,25.979103,0.035)); #561571=CARTESIAN_POINT('',(33.444384,25.979103,0.)); #561572=CARTESIAN_POINT('Origin',(33.427634,25.953819,0.)); #561573=CARTESIAN_POINT('',(33.427634,25.953819,0.)); #561574=CARTESIAN_POINT('',(33.427634,25.953819,0.)); #561575=CARTESIAN_POINT('',(33.427634,25.953819,0.035)); #561576=CARTESIAN_POINT('',(33.427634,25.953819,0.035)); #561577=CARTESIAN_POINT('',(33.427634,25.953819,0.)); #561578=CARTESIAN_POINT('Origin',(33.234984,25.761169,0.)); #561579=CARTESIAN_POINT('',(33.234984,25.761169,0.)); #561580=CARTESIAN_POINT('',(33.234984,25.761169,0.)); #561581=CARTESIAN_POINT('',(33.234984,25.761169,0.035)); #561582=CARTESIAN_POINT('',(33.234984,25.761169,0.035)); #561583=CARTESIAN_POINT('',(33.234984,25.761169,0.)); #561584=CARTESIAN_POINT('Origin',(33.0976,25.4295,0.)); #561585=CARTESIAN_POINT('',(33.0976,25.4295,0.)); #561586=CARTESIAN_POINT('',(33.0976,25.4295,0.)); #561587=CARTESIAN_POINT('',(33.0976,25.4295,0.035)); #561588=CARTESIAN_POINT('',(33.0976,25.4295,0.035)); #561589=CARTESIAN_POINT('',(33.0976,25.4295,0.)); #561590=CARTESIAN_POINT('Origin',(33.0976,25.0705,0.)); #561591=CARTESIAN_POINT('',(33.0976,25.0705,0.)); #561592=CARTESIAN_POINT('',(33.0976,25.0705,0.)); #561593=CARTESIAN_POINT('',(33.0976,25.0705,0.035)); #561594=CARTESIAN_POINT('',(33.0976,25.0705,0.035)); #561595=CARTESIAN_POINT('',(33.0976,25.0705,0.)); #561596=CARTESIAN_POINT('Origin',(33.234984,24.738831,0.)); #561597=CARTESIAN_POINT('',(33.234984,24.738831,0.)); #561598=CARTESIAN_POINT('',(33.234984,24.738831,0.)); #561599=CARTESIAN_POINT('',(33.234984,24.738831,0.035)); #561600=CARTESIAN_POINT('',(33.234984,24.738831,0.035)); #561601=CARTESIAN_POINT('',(33.234984,24.738831,0.)); #561602=CARTESIAN_POINT('Origin',(33.488831,24.484984,0.)); #561603=CARTESIAN_POINT('',(33.488831,24.484984,0.)); #561604=CARTESIAN_POINT('',(33.488831,24.484984,0.)); #561605=CARTESIAN_POINT('',(33.488831,24.484984,0.035)); #561606=CARTESIAN_POINT('',(33.488831,24.484984,0.035)); #561607=CARTESIAN_POINT('',(33.488831,24.484984,0.)); #561608=CARTESIAN_POINT('Origin',(33.8205,24.3476,0.)); #561609=CARTESIAN_POINT('',(33.8205,24.3476,0.)); #561610=CARTESIAN_POINT('',(33.8205,24.3476,0.)); #561611=CARTESIAN_POINT('',(33.8205,24.3476,0.035)); #561612=CARTESIAN_POINT('',(33.8205,24.3476,0.035)); #561613=CARTESIAN_POINT('',(33.8205,24.3476,0.)); #561614=CARTESIAN_POINT('Origin',(34.1795,24.3476,0.)); #561615=CARTESIAN_POINT('',(34.1795,24.3476,0.)); #561616=CARTESIAN_POINT('',(34.1795,24.3476,0.)); #561617=CARTESIAN_POINT('',(34.1795,24.3476,0.035)); #561618=CARTESIAN_POINT('',(34.1795,24.3476,0.035)); #561619=CARTESIAN_POINT('',(34.1795,24.3476,0.)); #561620=CARTESIAN_POINT('Origin',(34.511169,24.484984,0.)); #561621=CARTESIAN_POINT('',(34.511169,24.484984,0.)); #561622=CARTESIAN_POINT('',(34.511169,24.484984,0.)); #561623=CARTESIAN_POINT('',(34.511169,24.484984,0.035)); #561624=CARTESIAN_POINT('',(34.511169,24.484984,0.035)); #561625=CARTESIAN_POINT('',(34.511169,24.484984,0.)); #561626=CARTESIAN_POINT('Origin',(34.765016,24.738831,0.)); #561627=CARTESIAN_POINT('',(34.765016,24.738831,0.)); #561628=CARTESIAN_POINT('',(34.765016,24.738831,0.)); #561629=CARTESIAN_POINT('',(34.765016,24.738831,0.035)); #561630=CARTESIAN_POINT('',(34.765016,24.738831,0.035)); #561631=CARTESIAN_POINT('',(34.765016,24.738831,0.)); #561632=CARTESIAN_POINT('Origin',(34.9024,25.0705,0.)); #561633=CARTESIAN_POINT('',(34.9024,25.0705,0.)); #561634=CARTESIAN_POINT('',(34.9024,25.0705,0.)); #561635=CARTESIAN_POINT('',(34.9024,25.0705,0.035)); #561636=CARTESIAN_POINT('',(34.9024,25.0705,0.035)); #561637=CARTESIAN_POINT('',(34.9024,25.0705,0.)); #561638=CARTESIAN_POINT('Origin',(34.9024,25.4295,0.)); #561639=CARTESIAN_POINT('',(34.9024,25.4295,0.)); #561640=CARTESIAN_POINT('',(34.9024,25.4295,0.)); #561641=CARTESIAN_POINT('',(34.9024,25.4295,0.035)); #561642=CARTESIAN_POINT('',(34.9024,25.4295,0.035)); #561643=CARTESIAN_POINT('',(34.9024,25.4295,0.)); #561644=CARTESIAN_POINT('Origin',(34.765016,25.761169,0.)); #561645=CARTESIAN_POINT('',(34.765016,25.761169,0.)); #561646=CARTESIAN_POINT('',(34.765016,25.761169,0.)); #561647=CARTESIAN_POINT('',(34.765016,25.761169,0.035)); #561648=CARTESIAN_POINT('',(34.765016,25.761169,0.035)); #561649=CARTESIAN_POINT('',(34.765016,25.761169,0.)); #561650=CARTESIAN_POINT('Origin',(34.572366,25.953819,0.)); #561651=CARTESIAN_POINT('',(34.572366,25.953819,0.)); #561652=CARTESIAN_POINT('',(34.572366,25.953819,0.)); #561653=CARTESIAN_POINT('',(34.572366,25.953819,0.035)); #561654=CARTESIAN_POINT('',(34.572366,25.953819,0.035)); #561655=CARTESIAN_POINT('',(34.572366,25.953819,0.)); #561656=CARTESIAN_POINT('Origin',(34.557066,25.975756,0.)); #561657=CARTESIAN_POINT('',(34.557066,25.975756,0.)); #561658=CARTESIAN_POINT('',(34.557066,25.975756,0.)); #561659=CARTESIAN_POINT('',(34.557066,25.975756,0.035)); #561660=CARTESIAN_POINT('',(34.557066,25.975756,0.035)); #561661=CARTESIAN_POINT('',(34.557066,25.975756,0.)); #561662=CARTESIAN_POINT('Origin',(34.550084,26.005272,0.)); #561663=CARTESIAN_POINT('',(34.550084,26.005272,0.)); #561664=CARTESIAN_POINT('',(34.550084,26.005272,0.)); #561665=CARTESIAN_POINT('',(34.550084,26.005272,0.035)); #561666=CARTESIAN_POINT('',(34.550084,26.005272,0.035)); #561667=CARTESIAN_POINT('',(34.550084,26.005272,0.)); #561668=CARTESIAN_POINT('Origin',(34.555169,26.035169,0.)); #561669=CARTESIAN_POINT('',(34.555169,26.035169,0.)); #561670=CARTESIAN_POINT('',(34.555169,26.035169,0.)); #561671=CARTESIAN_POINT('',(34.555169,26.035169,0.035)); #561672=CARTESIAN_POINT('',(34.555169,26.035169,0.035)); #561673=CARTESIAN_POINT('',(34.555169,26.035169,0.)); #561674=CARTESIAN_POINT('Origin',(34.571513,26.060716,0.)); #561675=CARTESIAN_POINT('',(34.571513,26.060716,0.)); #561676=CARTESIAN_POINT('',(34.571513,26.060716,0.)); #561677=CARTESIAN_POINT('',(34.571513,26.060716,0.035)); #561678=CARTESIAN_POINT('',(34.571513,26.060716,0.035)); #561679=CARTESIAN_POINT('',(34.571513,26.060716,0.)); #561680=CARTESIAN_POINT('Origin',(34.596525,26.077866,0.)); #561681=CARTESIAN_POINT('',(34.596525,26.077866,0.)); #561682=CARTESIAN_POINT('',(34.596525,26.077866,0.)); #561683=CARTESIAN_POINT('',(34.596525,26.077866,0.035)); #561684=CARTESIAN_POINT('',(34.596525,26.077866,0.035)); #561685=CARTESIAN_POINT('',(34.596525,26.077866,0.)); #561686=CARTESIAN_POINT('Origin',(34.626247,26.0839,0.)); #561687=CARTESIAN_POINT('',(34.626247,26.0839,0.)); #561688=CARTESIAN_POINT('',(34.626247,26.0839,0.)); #561689=CARTESIAN_POINT('',(34.626247,26.0839,0.035)); #561690=CARTESIAN_POINT('',(34.626247,26.0839,0.035)); #561691=CARTESIAN_POINT('',(34.626247,26.0839,0.)); #561692=CARTESIAN_POINT('Origin',(35.873753,26.0839,0.)); #561693=CARTESIAN_POINT('',(35.873753,26.0839,0.)); #561694=CARTESIAN_POINT('',(35.873753,26.0839,0.)); #561695=CARTESIAN_POINT('',(35.873753,26.0839,0.035)); #561696=CARTESIAN_POINT('',(35.873753,26.0839,0.035)); #561697=CARTESIAN_POINT('',(35.873753,26.0839,0.)); #561698=CARTESIAN_POINT('Origin',(35.900084,26.079206,0.)); #561699=CARTESIAN_POINT('',(35.900084,26.079206,0.)); #561700=CARTESIAN_POINT('',(35.900084,26.079206,0.)); #561701=CARTESIAN_POINT('',(35.900084,26.079206,0.035)); #561702=CARTESIAN_POINT('',(35.900084,26.079206,0.035)); #561703=CARTESIAN_POINT('',(35.900084,26.079206,0.)); #561704=CARTESIAN_POINT('Origin',(35.925891,26.063272,0.)); #561705=CARTESIAN_POINT('',(35.925891,26.063272,0.)); #561706=CARTESIAN_POINT('',(35.925891,26.063272,0.)); #561707=CARTESIAN_POINT('',(35.925891,26.063272,0.035)); #561708=CARTESIAN_POINT('',(35.925891,26.063272,0.035)); #561709=CARTESIAN_POINT('',(35.925891,26.063272,0.)); #561710=CARTESIAN_POINT('Origin',(35.943434,26.038534,0.)); #561711=CARTESIAN_POINT('',(35.943434,26.038534,0.)); #561712=CARTESIAN_POINT('',(35.943434,26.038534,0.)); #561713=CARTESIAN_POINT('',(35.943434,26.038534,0.035)); #561714=CARTESIAN_POINT('',(35.943434,26.038534,0.035)); #561715=CARTESIAN_POINT('',(35.943434,26.038534,0.)); #561716=CARTESIAN_POINT('Origin',(35.949944,26.008916,0.)); #561717=CARTESIAN_POINT('',(35.949944,26.008916,0.)); #561718=CARTESIAN_POINT('',(35.949944,26.008916,0.)); #561719=CARTESIAN_POINT('',(35.949944,26.008916,0.035)); #561720=CARTESIAN_POINT('',(35.949944,26.008916,0.035)); #561721=CARTESIAN_POINT('',(35.949944,26.008916,0.)); #561722=CARTESIAN_POINT('Origin',(35.944384,25.979103,0.)); #561723=CARTESIAN_POINT('',(35.944384,25.979103,0.)); #561724=CARTESIAN_POINT('',(35.944384,25.979103,0.)); #561725=CARTESIAN_POINT('',(35.944384,25.979103,0.035)); #561726=CARTESIAN_POINT('',(35.944384,25.979103,0.035)); #561727=CARTESIAN_POINT('',(35.944384,25.979103,0.)); #561728=CARTESIAN_POINT('Origin',(35.927634,25.953819,0.)); #561729=CARTESIAN_POINT('',(35.927634,25.953819,0.)); #561730=CARTESIAN_POINT('',(35.927634,25.953819,0.)); #561731=CARTESIAN_POINT('',(35.927634,25.953819,0.035)); #561732=CARTESIAN_POINT('',(35.927634,25.953819,0.035)); #561733=CARTESIAN_POINT('',(35.927634,25.953819,0.)); #561734=CARTESIAN_POINT('Origin',(35.734984,25.761169,0.)); #561735=CARTESIAN_POINT('',(35.734984,25.761169,0.)); #561736=CARTESIAN_POINT('',(35.734984,25.761169,0.)); #561737=CARTESIAN_POINT('',(35.734984,25.761169,0.035)); #561738=CARTESIAN_POINT('',(35.734984,25.761169,0.035)); #561739=CARTESIAN_POINT('',(35.734984,25.761169,0.)); #561740=CARTESIAN_POINT('Origin',(35.5976,25.4295,0.)); #561741=CARTESIAN_POINT('',(35.5976,25.4295,0.)); #561742=CARTESIAN_POINT('',(35.5976,25.4295,0.)); #561743=CARTESIAN_POINT('',(35.5976,25.4295,0.035)); #561744=CARTESIAN_POINT('',(35.5976,25.4295,0.035)); #561745=CARTESIAN_POINT('',(35.5976,25.4295,0.)); #561746=CARTESIAN_POINT('Origin',(35.5976,25.0705,0.)); #561747=CARTESIAN_POINT('',(35.5976,25.0705,0.)); #561748=CARTESIAN_POINT('',(35.5976,25.0705,0.)); #561749=CARTESIAN_POINT('',(35.5976,25.0705,0.035)); #561750=CARTESIAN_POINT('',(35.5976,25.0705,0.035)); #561751=CARTESIAN_POINT('',(35.5976,25.0705,0.)); #561752=CARTESIAN_POINT('Origin',(35.734984,24.738831,0.)); #561753=CARTESIAN_POINT('',(35.734984,24.738831,0.)); #561754=CARTESIAN_POINT('',(35.734984,24.738831,0.)); #561755=CARTESIAN_POINT('',(35.734984,24.738831,0.035)); #561756=CARTESIAN_POINT('',(35.734984,24.738831,0.035)); #561757=CARTESIAN_POINT('',(35.734984,24.738831,0.)); #561758=CARTESIAN_POINT('Origin',(35.988831,24.484984,0.)); #561759=CARTESIAN_POINT('',(35.988831,24.484984,0.)); #561760=CARTESIAN_POINT('',(35.988831,24.484984,0.)); #561761=CARTESIAN_POINT('',(35.988831,24.484984,0.035)); #561762=CARTESIAN_POINT('',(35.988831,24.484984,0.035)); #561763=CARTESIAN_POINT('',(35.988831,24.484984,0.)); #561764=CARTESIAN_POINT('Origin',(36.224359,24.387425,0.)); #561765=CARTESIAN_POINT('',(36.224359,24.387425,0.)); #561766=CARTESIAN_POINT('',(36.224359,24.387425,0.)); #561767=CARTESIAN_POINT('',(36.224359,24.387425,0.035)); #561768=CARTESIAN_POINT('',(36.224359,24.387425,0.035)); #561769=CARTESIAN_POINT('',(36.224359,24.387425,0.)); #561770=CARTESIAN_POINT('Origin',(36.248216,24.371759,0.)); #561771=CARTESIAN_POINT('',(36.248216,24.371759,0.)); #561772=CARTESIAN_POINT('',(36.248216,24.371759,0.)); #561773=CARTESIAN_POINT('',(36.248216,24.371759,0.035)); #561774=CARTESIAN_POINT('',(36.248216,24.371759,0.035)); #561775=CARTESIAN_POINT('',(36.248216,24.371759,0.)); #561776=CARTESIAN_POINT('Origin',(36.265366,24.346747,0.)); #561777=CARTESIAN_POINT('',(36.265366,24.346747,0.)); #561778=CARTESIAN_POINT('',(36.265366,24.346747,0.)); #561779=CARTESIAN_POINT('',(36.265366,24.346747,0.035)); #561780=CARTESIAN_POINT('',(36.265366,24.346747,0.035)); #561781=CARTESIAN_POINT('',(36.265366,24.346747,0.)); #561782=CARTESIAN_POINT('Origin',(36.2714,24.317025,0.)); #561783=CARTESIAN_POINT('',(36.2714,24.317025,0.)); #561784=CARTESIAN_POINT('',(36.2714,24.317025,0.)); #561785=CARTESIAN_POINT('',(36.2714,24.317025,0.035)); #561786=CARTESIAN_POINT('',(36.2714,24.317025,0.035)); #561787=CARTESIAN_POINT('',(36.2714,24.317025,0.)); #561788=CARTESIAN_POINT('Origin',(36.2714,24.0548,0.)); #561789=CARTESIAN_POINT('',(36.2714,24.0548,0.)); #561790=CARTESIAN_POINT('',(36.2714,24.0548,0.)); #561791=CARTESIAN_POINT('',(36.2714,24.0548,0.035)); #561792=CARTESIAN_POINT('',(36.2714,24.0548,0.035)); #561793=CARTESIAN_POINT('',(36.2714,24.0548,0.)); #561794=CARTESIAN_POINT('Origin',(36.266278,24.027331,0.)); #561795=CARTESIAN_POINT('',(36.266278,24.027331,0.)); #561796=CARTESIAN_POINT('',(36.266278,24.027331,0.)); #561797=CARTESIAN_POINT('',(36.266278,24.027331,0.035)); #561798=CARTESIAN_POINT('',(36.266278,24.027331,0.035)); #561799=CARTESIAN_POINT('',(36.266278,24.027331,0.)); #561800=CARTESIAN_POINT('Origin',(36.249934,24.001784,0.)); #561801=CARTESIAN_POINT('',(36.249934,24.001784,0.)); #561802=CARTESIAN_POINT('',(36.249934,24.001784,0.)); #561803=CARTESIAN_POINT('',(36.249934,24.001784,0.035)); #561804=CARTESIAN_POINT('',(36.249934,24.001784,0.035)); #561805=CARTESIAN_POINT('',(36.249934,24.001784,0.)); #561806=CARTESIAN_POINT('Origin',(36.224922,23.984634,0.)); #561807=CARTESIAN_POINT('',(36.224922,23.984634,0.)); #561808=CARTESIAN_POINT('',(36.224922,23.984634,0.)); #561809=CARTESIAN_POINT('',(36.224922,23.984634,0.035)); #561810=CARTESIAN_POINT('',(36.224922,23.984634,0.035)); #561811=CARTESIAN_POINT('',(36.224922,23.984634,0.)); #561812=CARTESIAN_POINT('Origin',(36.1952,23.9786,0.)); #561813=CARTESIAN_POINT('',(36.1952,23.9786,0.)); #561814=CARTESIAN_POINT('',(36.1952,23.9786,0.)); #561815=CARTESIAN_POINT('',(36.1952,23.9786,0.035)); #561816=CARTESIAN_POINT('',(36.1952,23.9786,0.035)); #561817=CARTESIAN_POINT('',(36.1952,23.9786,0.)); #561818=CARTESIAN_POINT('Origin',(31.937188,23.9786,0.)); #561819=CARTESIAN_POINT('',(31.937188,23.9786,0.)); #561820=CARTESIAN_POINT('',(31.937188,23.9786,0.)); #561821=CARTESIAN_POINT('',(31.937188,23.9786,0.035)); #561822=CARTESIAN_POINT('',(31.937188,23.9786,0.035)); #561823=CARTESIAN_POINT('',(31.937188,23.9786,0.)); #561824=CARTESIAN_POINT('Origin',(31.931644,23.979656,0.)); #561825=CARTESIAN_POINT('',(31.931644,23.979656,0.)); #561826=CARTESIAN_POINT('',(31.931644,23.979656,0.)); #561827=CARTESIAN_POINT('',(31.931644,23.979656,0.035)); #561828=CARTESIAN_POINT('',(31.931644,23.979656,0.035)); #561829=CARTESIAN_POINT('',(31.931644,23.979656,0.)); #561830=CARTESIAN_POINT('Origin',(31.811741,23.978603,0.)); #561831=CARTESIAN_POINT('',(31.811741,23.978603,0.)); #561832=CARTESIAN_POINT('',(31.811741,23.978603,0.035)); #561833=CARTESIAN_POINT('Origin',(33.999986734692,24.9227932678856,0.035)); #561834=CARTESIAN_POINT('Origin',(33.999986734692,24.9227932678856,0.)); #561835=CARTESIAN_POINT('Origin',(30.733003,12.4786,0.)); #561836=CARTESIAN_POINT('',(30.733003,12.4786,0.)); #561837=CARTESIAN_POINT('',(30.762725,12.484634,0.)); #561838=CARTESIAN_POINT('',(30.733003,12.4786,0.)); #561839=CARTESIAN_POINT('',(30.762725,12.484634,0.035)); #561840=CARTESIAN_POINT('',(30.762725,12.484634,0.)); #561841=CARTESIAN_POINT('',(30.733003,12.4786,0.035)); #561842=CARTESIAN_POINT('',(30.733003,12.4786,0.035)); #561843=CARTESIAN_POINT('',(30.733003,12.4786,0.)); #561844=CARTESIAN_POINT('Origin',(30.065591,12.4786,0.)); #561845=CARTESIAN_POINT('',(30.065591,12.4786,0.)); #561846=CARTESIAN_POINT('',(30.065591,12.4786,0.)); #561847=CARTESIAN_POINT('',(30.065591,12.4786,0.035)); #561848=CARTESIAN_POINT('',(30.065591,12.4786,0.035)); #561849=CARTESIAN_POINT('',(30.065591,12.4786,0.)); #561850=CARTESIAN_POINT('Origin',(30.036994,12.484169,0.)); #561851=CARTESIAN_POINT('',(30.036994,12.484169,0.)); #561852=CARTESIAN_POINT('',(30.036994,12.484169,0.)); #561853=CARTESIAN_POINT('',(30.036994,12.484169,0.035)); #561854=CARTESIAN_POINT('',(30.036994,12.484169,0.035)); #561855=CARTESIAN_POINT('',(30.036994,12.484169,0.)); #561856=CARTESIAN_POINT('Origin',(30.011709,12.500919,0.)); #561857=CARTESIAN_POINT('',(30.011709,12.500919,0.)); #561858=CARTESIAN_POINT('',(30.011709,12.500919,0.)); #561859=CARTESIAN_POINT('',(30.011709,12.500919,0.035)); #561860=CARTESIAN_POINT('',(30.011709,12.500919,0.035)); #561861=CARTESIAN_POINT('',(30.011709,12.500919,0.)); #561862=CARTESIAN_POINT('Origin',(26.488919,16.023709,0.)); #561863=CARTESIAN_POINT('',(26.488919,16.023709,0.)); #561864=CARTESIAN_POINT('',(26.488919,16.023709,0.)); #561865=CARTESIAN_POINT('',(26.488919,16.023709,0.035)); #561866=CARTESIAN_POINT('',(26.488919,16.023709,0.035)); #561867=CARTESIAN_POINT('',(26.488919,16.023709,0.)); #561868=CARTESIAN_POINT('Origin',(26.472634,16.047869,0.)); #561869=CARTESIAN_POINT('',(26.472634,16.047869,0.)); #561870=CARTESIAN_POINT('',(26.472634,16.047869,0.)); #561871=CARTESIAN_POINT('',(26.472634,16.047869,0.035)); #561872=CARTESIAN_POINT('',(26.472634,16.047869,0.035)); #561873=CARTESIAN_POINT('',(26.472634,16.047869,0.)); #561874=CARTESIAN_POINT('Origin',(26.4666,16.077591,0.)); #561875=CARTESIAN_POINT('',(26.4666,16.077591,0.)); #561876=CARTESIAN_POINT('',(26.4666,16.077591,0.)); #561877=CARTESIAN_POINT('',(26.4666,16.077591,0.035)); #561878=CARTESIAN_POINT('',(26.4666,16.077591,0.035)); #561879=CARTESIAN_POINT('',(26.4666,16.077591,0.)); #561880=CARTESIAN_POINT('Origin',(26.4666,18.3269,0.)); #561881=CARTESIAN_POINT('',(26.4666,18.3269,0.)); #561882=CARTESIAN_POINT('',(26.4666,18.3269,0.)); #561883=CARTESIAN_POINT('',(26.4666,18.3269,0.035)); #561884=CARTESIAN_POINT('',(26.4666,18.3269,0.035)); #561885=CARTESIAN_POINT('',(26.4666,18.3269,0.)); #561886=CARTESIAN_POINT('Origin',(26.471722,18.354369,0.)); #561887=CARTESIAN_POINT('',(26.471722,18.354369,0.)); #561888=CARTESIAN_POINT('',(26.471722,18.354369,0.)); #561889=CARTESIAN_POINT('',(26.471722,18.354369,0.035)); #561890=CARTESIAN_POINT('',(26.471722,18.354369,0.035)); #561891=CARTESIAN_POINT('',(26.471722,18.354369,0.)); #561892=CARTESIAN_POINT('Origin',(26.488066,18.379916,0.)); #561893=CARTESIAN_POINT('',(26.488066,18.379916,0.)); #561894=CARTESIAN_POINT('',(26.488066,18.379916,0.)); #561895=CARTESIAN_POINT('',(26.488066,18.379916,0.035)); #561896=CARTESIAN_POINT('',(26.488066,18.379916,0.035)); #561897=CARTESIAN_POINT('',(26.488066,18.379916,0.)); #561898=CARTESIAN_POINT('Origin',(26.513078,18.397066,0.)); #561899=CARTESIAN_POINT('',(26.513078,18.397066,0.)); #561900=CARTESIAN_POINT('',(26.513078,18.397066,0.)); #561901=CARTESIAN_POINT('',(26.513078,18.397066,0.035)); #561902=CARTESIAN_POINT('',(26.513078,18.397066,0.035)); #561903=CARTESIAN_POINT('',(26.513078,18.397066,0.)); #561904=CARTESIAN_POINT('Origin',(26.5428,18.4031,0.)); #561905=CARTESIAN_POINT('',(26.5428,18.4031,0.)); #561906=CARTESIAN_POINT('',(26.5428,18.4031,0.)); #561907=CARTESIAN_POINT('',(26.5428,18.4031,0.035)); #561908=CARTESIAN_POINT('',(26.5428,18.4031,0.035)); #561909=CARTESIAN_POINT('',(26.5428,18.4031,0.)); #561910=CARTESIAN_POINT('Origin',(26.6952,18.4031,0.)); #561911=CARTESIAN_POINT('',(26.6952,18.4031,0.)); #561912=CARTESIAN_POINT('',(26.6952,18.4031,0.)); #561913=CARTESIAN_POINT('',(26.6952,18.4031,0.035)); #561914=CARTESIAN_POINT('',(26.6952,18.4031,0.035)); #561915=CARTESIAN_POINT('',(26.6952,18.4031,0.)); #561916=CARTESIAN_POINT('Origin',(26.722669,18.397978,0.)); #561917=CARTESIAN_POINT('',(26.722669,18.397978,0.)); #561918=CARTESIAN_POINT('',(26.722669,18.397978,0.)); #561919=CARTESIAN_POINT('',(26.722669,18.397978,0.035)); #561920=CARTESIAN_POINT('',(26.722669,18.397978,0.035)); #561921=CARTESIAN_POINT('',(26.722669,18.397978,0.)); #561922=CARTESIAN_POINT('Origin',(26.748216,18.381634,0.)); #561923=CARTESIAN_POINT('',(26.748216,18.381634,0.)); #561924=CARTESIAN_POINT('',(26.748216,18.381634,0.)); #561925=CARTESIAN_POINT('',(26.748216,18.381634,0.035)); #561926=CARTESIAN_POINT('',(26.748216,18.381634,0.035)); #561927=CARTESIAN_POINT('',(26.748216,18.381634,0.)); #561928=CARTESIAN_POINT('Origin',(26.765366,18.356622,0.)); #561929=CARTESIAN_POINT('',(26.765366,18.356622,0.)); #561930=CARTESIAN_POINT('',(26.765366,18.356622,0.)); #561931=CARTESIAN_POINT('',(26.765366,18.356622,0.035)); #561932=CARTESIAN_POINT('',(26.765366,18.356622,0.035)); #561933=CARTESIAN_POINT('',(26.765366,18.356622,0.)); #561934=CARTESIAN_POINT('Origin',(26.7714,18.3269,0.)); #561935=CARTESIAN_POINT('',(26.7714,18.3269,0.)); #561936=CARTESIAN_POINT('',(26.7714,18.3269,0.)); #561937=CARTESIAN_POINT('',(26.7714,18.3269,0.035)); #561938=CARTESIAN_POINT('',(26.7714,18.3269,0.035)); #561939=CARTESIAN_POINT('',(26.7714,18.3269,0.)); #561940=CARTESIAN_POINT('Origin',(26.7714,16.155309,0.)); #561941=CARTESIAN_POINT('',(26.7714,16.155309,0.)); #561942=CARTESIAN_POINT('',(26.7714,16.155309,0.)); #561943=CARTESIAN_POINT('',(26.7714,16.155309,0.035)); #561944=CARTESIAN_POINT('',(26.7714,16.155309,0.035)); #561945=CARTESIAN_POINT('',(26.7714,16.155309,0.)); #561946=CARTESIAN_POINT('Origin',(30.155309,12.7714,0.)); #561947=CARTESIAN_POINT('',(30.155309,12.7714,0.)); #561948=CARTESIAN_POINT('',(30.155309,12.7714,0.)); #561949=CARTESIAN_POINT('',(30.155309,12.7714,0.035)); #561950=CARTESIAN_POINT('',(30.155309,12.7714,0.035)); #561951=CARTESIAN_POINT('',(30.155309,12.7714,0.)); #561952=CARTESIAN_POINT('Origin',(30.592603,12.7714,0.)); #561953=CARTESIAN_POINT('',(30.592603,12.7714,0.)); #561954=CARTESIAN_POINT('',(30.592603,12.7714,0.)); #561955=CARTESIAN_POINT('',(30.592603,12.7714,0.035)); #561956=CARTESIAN_POINT('',(30.592603,12.7714,0.035)); #561957=CARTESIAN_POINT('',(30.592603,12.7714,0.)); #561958=CARTESIAN_POINT('Origin',(30.6212,12.765831,0.)); #561959=CARTESIAN_POINT('',(30.6212,12.765831,0.)); #561960=CARTESIAN_POINT('',(30.6212,12.765831,0.)); #561961=CARTESIAN_POINT('',(30.6212,12.765831,0.035)); #561962=CARTESIAN_POINT('',(30.6212,12.765831,0.035)); #561963=CARTESIAN_POINT('',(30.6212,12.765831,0.)); #561964=CARTESIAN_POINT('Origin',(30.646484,12.749081,0.)); #561965=CARTESIAN_POINT('',(30.646484,12.749081,0.)); #561966=CARTESIAN_POINT('',(30.646484,12.749081,0.)); #561967=CARTESIAN_POINT('',(30.646484,12.749081,0.035)); #561968=CARTESIAN_POINT('',(30.646484,12.749081,0.035)); #561969=CARTESIAN_POINT('',(30.646484,12.749081,0.)); #561970=CARTESIAN_POINT('Origin',(30.786884,12.608681,0.)); #561971=CARTESIAN_POINT('',(30.786884,12.608681,0.)); #561972=CARTESIAN_POINT('',(30.786884,12.608681,0.)); #561973=CARTESIAN_POINT('',(30.786884,12.608681,0.035)); #561974=CARTESIAN_POINT('',(30.786884,12.608681,0.035)); #561975=CARTESIAN_POINT('',(30.786884,12.608681,0.)); #561976=CARTESIAN_POINT('Origin',(30.802184,12.586744,0.)); #561977=CARTESIAN_POINT('',(30.802184,12.586744,0.)); #561978=CARTESIAN_POINT('',(30.802184,12.586744,0.)); #561979=CARTESIAN_POINT('',(30.802184,12.586744,0.035)); #561980=CARTESIAN_POINT('',(30.802184,12.586744,0.035)); #561981=CARTESIAN_POINT('',(30.802184,12.586744,0.)); #561982=CARTESIAN_POINT('Origin',(30.809166,12.557228,0.)); #561983=CARTESIAN_POINT('',(30.809166,12.557228,0.)); #561984=CARTESIAN_POINT('',(30.809166,12.557228,0.)); #561985=CARTESIAN_POINT('',(30.809166,12.557228,0.035)); #561986=CARTESIAN_POINT('',(30.809166,12.557228,0.035)); #561987=CARTESIAN_POINT('',(30.809166,12.557228,0.)); #561988=CARTESIAN_POINT('Origin',(30.804081,12.527331,0.)); #561989=CARTESIAN_POINT('',(30.804081,12.527331,0.)); #561990=CARTESIAN_POINT('',(30.804081,12.527331,0.)); #561991=CARTESIAN_POINT('',(30.804081,12.527331,0.035)); #561992=CARTESIAN_POINT('',(30.804081,12.527331,0.035)); #561993=CARTESIAN_POINT('',(30.804081,12.527331,0.)); #561994=CARTESIAN_POINT('Origin',(30.787738,12.501784,0.)); #561995=CARTESIAN_POINT('',(30.787738,12.501784,0.)); #561996=CARTESIAN_POINT('',(30.787738,12.501784,0.)); #561997=CARTESIAN_POINT('',(30.787738,12.501784,0.035)); #561998=CARTESIAN_POINT('',(30.787738,12.501784,0.035)); #561999=CARTESIAN_POINT('',(30.787738,12.501784,0.)); #562000=CARTESIAN_POINT('Origin',(30.762725,12.484634,0.)); #562001=CARTESIAN_POINT('',(30.762725,12.484634,0.)); #562002=CARTESIAN_POINT('',(30.762725,12.484634,0.035)); #562003=CARTESIAN_POINT('Origin',(28.0413110402851,15.0689612612709,0.035)); #562004=CARTESIAN_POINT('Origin',(28.0413110402851,15.0689612612709,0.)); #562005=CARTESIAN_POINT('Origin',(45.125,56.75,-200.)); #562006=CARTESIAN_POINT('',(44.985,56.75,0.)); #562007=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #562008=CARTESIAN_POINT('',(44.985,56.75,0.0349999999999895)); #562009=CARTESIAN_POINT('',(44.985,56.75,-200.)); #562010=CARTESIAN_POINT('Origin',(45.125,56.75,0.0349999999999895)); #562011=CARTESIAN_POINT('Origin',(47.75,56.75,-200.)); #562012=CARTESIAN_POINT('',(47.61,56.75,0.)); #562013=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #562014=CARTESIAN_POINT('',(47.61,56.75,0.0349999999999895)); #562015=CARTESIAN_POINT('',(47.61,56.75,-200.)); #562016=CARTESIAN_POINT('Origin',(47.75,56.75,0.0349999999999895)); #562017=CARTESIAN_POINT('Origin',(47.75,55.25,-200.)); #562018=CARTESIAN_POINT('',(47.61,55.25,0.)); #562019=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #562020=CARTESIAN_POINT('',(47.61,55.25,0.0349999999999895)); #562021=CARTESIAN_POINT('',(47.61,55.25,-200.)); #562022=CARTESIAN_POINT('Origin',(47.75,55.25,0.0349999999999895)); #562023=CARTESIAN_POINT('Origin',(47.75,56.,-200.)); #562024=CARTESIAN_POINT('',(47.61,56.,0.)); #562025=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #562026=CARTESIAN_POINT('',(47.61,56.,0.0349999999999895)); #562027=CARTESIAN_POINT('',(47.61,56.,-200.)); #562028=CARTESIAN_POINT('Origin',(47.75,56.,0.0349999999999895)); #562029=CARTESIAN_POINT('Origin',(46.,56.,-200.)); #562030=CARTESIAN_POINT('',(45.86,56.,0.)); #562031=CARTESIAN_POINT('Origin',(46.,56.,0.)); #562032=CARTESIAN_POINT('',(45.86,56.,0.0349999999999895)); #562033=CARTESIAN_POINT('',(45.86,56.,-200.)); #562034=CARTESIAN_POINT('Origin',(46.,56.,0.0349999999999895)); #562035=CARTESIAN_POINT('Origin',(44.25,56.,-200.)); #562036=CARTESIAN_POINT('',(44.11,56.,0.)); #562037=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #562038=CARTESIAN_POINT('',(44.11,56.,0.0349999999999895)); #562039=CARTESIAN_POINT('',(44.11,56.,-200.)); #562040=CARTESIAN_POINT('Origin',(44.25,56.,0.0349999999999895)); #562041=CARTESIAN_POINT('Origin',(46.,56.75,-200.)); #562042=CARTESIAN_POINT('',(45.86,56.75,0.)); #562043=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #562044=CARTESIAN_POINT('',(45.86,56.75,0.0349999999999895)); #562045=CARTESIAN_POINT('',(45.86,56.75,-200.)); #562046=CARTESIAN_POINT('Origin',(46.,56.75,0.0349999999999895)); #562047=CARTESIAN_POINT('Origin',(46.,55.25,-200.)); #562048=CARTESIAN_POINT('',(45.86,55.25,0.)); #562049=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #562050=CARTESIAN_POINT('',(45.86,55.25,0.0349999999999895)); #562051=CARTESIAN_POINT('',(45.86,55.25,-200.)); #562052=CARTESIAN_POINT('Origin',(46.,55.25,0.0349999999999895)); #562053=CARTESIAN_POINT('Origin',(44.25,56.75,-200.)); #562054=CARTESIAN_POINT('',(44.11,56.75,0.)); #562055=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #562056=CARTESIAN_POINT('',(44.11,56.75,0.0349999999999895)); #562057=CARTESIAN_POINT('',(44.11,56.75,-200.)); #562058=CARTESIAN_POINT('Origin',(44.25,56.75,0.0349999999999895)); #562059=CARTESIAN_POINT('Origin',(44.25,55.25,-200.)); #562060=CARTESIAN_POINT('',(44.11,55.25,0.)); #562061=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #562062=CARTESIAN_POINT('',(44.11,55.25,0.0349999999999895)); #562063=CARTESIAN_POINT('',(44.11,55.25,-200.)); #562064=CARTESIAN_POINT('Origin',(44.25,55.25,0.0349999999999895)); #562065=CARTESIAN_POINT('Origin',(46.875,56.,-200.)); #562066=CARTESIAN_POINT('',(46.735,56.,0.)); #562067=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #562068=CARTESIAN_POINT('',(46.735,56.,0.0349999999999895)); #562069=CARTESIAN_POINT('',(46.735,56.,-200.)); #562070=CARTESIAN_POINT('Origin',(46.875,56.,0.0349999999999895)); #562071=CARTESIAN_POINT('Origin',(46.875,55.25,-200.)); #562072=CARTESIAN_POINT('',(46.735,55.25,0.)); #562073=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #562074=CARTESIAN_POINT('',(46.735,55.25,0.0349999999999895)); #562075=CARTESIAN_POINT('',(46.735,55.25,-200.)); #562076=CARTESIAN_POINT('Origin',(46.875,55.25,0.0349999999999895)); #562077=CARTESIAN_POINT('Origin',(45.125,56.,-200.)); #562078=CARTESIAN_POINT('',(44.985,56.,0.)); #562079=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #562080=CARTESIAN_POINT('',(44.985,56.,0.0349999999999895)); #562081=CARTESIAN_POINT('',(44.985,56.,-200.)); #562082=CARTESIAN_POINT('Origin',(45.125,56.,0.0349999999999895)); #562083=CARTESIAN_POINT('Origin',(45.125,55.25,-200.)); #562084=CARTESIAN_POINT('',(44.985,55.25,0.)); #562085=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #562086=CARTESIAN_POINT('',(44.985,55.25,0.0349999999999895)); #562087=CARTESIAN_POINT('',(44.985,55.25,-200.)); #562088=CARTESIAN_POINT('Origin',(45.125,55.25,0.0349999999999895)); #562089=CARTESIAN_POINT('Origin',(38.512,53.,-200.)); #562090=CARTESIAN_POINT('',(38.372,53.,0.)); #562091=CARTESIAN_POINT('Origin',(38.512,53.,0.)); #562092=CARTESIAN_POINT('',(38.372,53.,0.0349999999999895)); #562093=CARTESIAN_POINT('',(38.372,53.,-200.)); #562094=CARTESIAN_POINT('Origin',(38.512,53.,0.0349999999999895)); #562095=CARTESIAN_POINT('Origin',(46.875,56.75,-200.)); #562096=CARTESIAN_POINT('',(46.735,56.75,0.)); #562097=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #562098=CARTESIAN_POINT('',(46.735,56.75,0.0349999999999895)); #562099=CARTESIAN_POINT('',(46.735,56.75,-200.)); #562100=CARTESIAN_POINT('Origin',(46.875,56.75,0.0349999999999895)); #562101=CARTESIAN_POINT('Origin',(47.3256,49.1016,0.)); #562102=CARTESIAN_POINT('',(47.3256,49.1016,0.)); #562103=CARTESIAN_POINT('',(47.375134,49.111659,0.)); #562104=CARTESIAN_POINT('',(47.3256,49.1016,0.)); #562105=CARTESIAN_POINT('',(47.375134,49.111659,0.035)); #562106=CARTESIAN_POINT('',(47.375134,49.111659,0.)); #562107=CARTESIAN_POINT('',(47.3256,49.1016,0.035)); #562108=CARTESIAN_POINT('',(47.3256,49.1016,0.035)); #562109=CARTESIAN_POINT('',(47.3256,49.1016,0.)); #562110=CARTESIAN_POINT('Origin',(43.127,49.1016,0.)); #562111=CARTESIAN_POINT('',(43.127,49.1016,0.)); #562112=CARTESIAN_POINT('',(43.127,49.1016,0.)); #562113=CARTESIAN_POINT('',(43.127,49.1016,0.035)); #562114=CARTESIAN_POINT('',(43.127,49.1016,0.035)); #562115=CARTESIAN_POINT('',(43.127,49.1016,0.)); #562116=CARTESIAN_POINT('Origin',(43.081219,49.110138,0.)); #562117=CARTESIAN_POINT('',(43.081219,49.110138,0.)); #562118=CARTESIAN_POINT('',(43.081219,49.110138,0.)); #562119=CARTESIAN_POINT('',(43.081219,49.110138,0.035)); #562120=CARTESIAN_POINT('',(43.081219,49.110138,0.035)); #562121=CARTESIAN_POINT('',(43.081219,49.110138,0.)); #562122=CARTESIAN_POINT('Origin',(43.038641,49.137378,0.)); #562123=CARTESIAN_POINT('',(43.038641,49.137378,0.)); #562124=CARTESIAN_POINT('',(43.038641,49.137378,0.)); #562125=CARTESIAN_POINT('',(43.038641,49.137378,0.035)); #562126=CARTESIAN_POINT('',(43.038641,49.137378,0.035)); #562127=CARTESIAN_POINT('',(43.038641,49.137378,0.)); #562128=CARTESIAN_POINT('Origin',(43.010059,49.179066,0.)); #562129=CARTESIAN_POINT('',(43.010059,49.179066,0.)); #562130=CARTESIAN_POINT('',(43.010059,49.179066,0.)); #562131=CARTESIAN_POINT('',(43.010059,49.179066,0.035)); #562132=CARTESIAN_POINT('',(43.010059,49.179066,0.035)); #562133=CARTESIAN_POINT('',(43.010059,49.179066,0.)); #562134=CARTESIAN_POINT('Origin',(43.,49.2286,0.)); #562135=CARTESIAN_POINT('',(43.,49.2286,0.)); #562136=CARTESIAN_POINT('',(43.,49.2286,0.)); #562137=CARTESIAN_POINT('',(43.,49.2286,0.035)); #562138=CARTESIAN_POINT('',(43.,49.2286,0.035)); #562139=CARTESIAN_POINT('',(43.,49.2286,0.)); #562140=CARTESIAN_POINT('Origin',(43.,49.866006,0.)); #562141=CARTESIAN_POINT('',(43.,49.866006,0.)); #562142=CARTESIAN_POINT('',(43.,49.866006,0.)); #562143=CARTESIAN_POINT('',(43.,49.866006,0.035)); #562144=CARTESIAN_POINT('',(43.,49.866006,0.035)); #562145=CARTESIAN_POINT('',(43.,49.866006,0.)); #562146=CARTESIAN_POINT('Origin',(42.998622,49.875663,0.)); #562147=CARTESIAN_POINT('',(42.998622,49.875663,0.)); #562148=CARTESIAN_POINT('',(42.998622,49.875663,0.)); #562149=CARTESIAN_POINT('',(42.998622,49.875663,0.035)); #562150=CARTESIAN_POINT('',(42.998622,49.875663,0.035)); #562151=CARTESIAN_POINT('',(42.998622,49.875663,0.)); #562152=CARTESIAN_POINT('Origin',(42.9949,49.884263,0.)); #562153=CARTESIAN_POINT('',(42.9949,49.884263,0.)); #562154=CARTESIAN_POINT('',(42.9949,49.884263,0.)); #562155=CARTESIAN_POINT('',(42.9949,49.884263,0.035)); #562156=CARTESIAN_POINT('',(42.9949,49.884263,0.035)); #562157=CARTESIAN_POINT('',(42.9949,49.884263,0.)); #562158=CARTESIAN_POINT('Origin',(42.988659,49.894906,0.)); #562159=CARTESIAN_POINT('',(42.988659,49.894906,0.)); #562160=CARTESIAN_POINT('',(42.988659,49.894906,0.)); #562161=CARTESIAN_POINT('',(42.988659,49.894906,0.035)); #562162=CARTESIAN_POINT('',(42.988659,49.894906,0.035)); #562163=CARTESIAN_POINT('',(42.988659,49.894906,0.)); #562164=CARTESIAN_POINT('Origin',(42.988559,49.895403,0.)); #562165=CARTESIAN_POINT('',(42.988559,49.895403,0.)); #562166=CARTESIAN_POINT('',(42.988559,49.895403,0.)); #562167=CARTESIAN_POINT('',(42.988559,49.895403,0.035)); #562168=CARTESIAN_POINT('',(42.988559,49.895403,0.035)); #562169=CARTESIAN_POINT('',(42.988559,49.895403,0.)); #562170=CARTESIAN_POINT('Origin',(41.641172,51.242791,0.)); #562171=CARTESIAN_POINT('',(41.641172,51.242791,0.)); #562172=CARTESIAN_POINT('',(41.641172,51.242791,0.)); #562173=CARTESIAN_POINT('',(41.641172,51.242791,0.035)); #562174=CARTESIAN_POINT('',(41.641172,51.242791,0.035)); #562175=CARTESIAN_POINT('',(41.641172,51.242791,0.)); #562176=CARTESIAN_POINT('Origin',(41.639556,51.243556,0.)); #562177=CARTESIAN_POINT('',(41.639556,51.243556,0.)); #562178=CARTESIAN_POINT('',(41.639556,51.243556,0.)); #562179=CARTESIAN_POINT('',(41.639556,51.243556,0.035)); #562180=CARTESIAN_POINT('',(41.639556,51.243556,0.035)); #562181=CARTESIAN_POINT('',(41.639556,51.243556,0.)); #562182=CARTESIAN_POINT('Origin',(41.639422,51.243219,0.)); #562183=CARTESIAN_POINT('',(41.639422,51.243219,0.)); #562184=CARTESIAN_POINT('',(41.639422,51.243219,0.)); #562185=CARTESIAN_POINT('',(41.639422,51.243219,0.035)); #562186=CARTESIAN_POINT('',(41.639422,51.243219,0.035)); #562187=CARTESIAN_POINT('',(41.639422,51.243219,0.)); #562188=CARTESIAN_POINT('Origin',(41.62475,51.249025,0.)); #562189=CARTESIAN_POINT('',(41.62475,51.249025,0.)); #562190=CARTESIAN_POINT('',(41.62475,51.249025,0.)); #562191=CARTESIAN_POINT('',(41.62475,51.249025,0.035)); #562192=CARTESIAN_POINT('',(41.62475,51.249025,0.035)); #562193=CARTESIAN_POINT('',(41.62475,51.249025,0.)); #562194=CARTESIAN_POINT('Origin',(41.617016,51.25,0.)); #562195=CARTESIAN_POINT('',(41.617016,51.25,0.)); #562196=CARTESIAN_POINT('',(41.617016,51.25,0.)); #562197=CARTESIAN_POINT('',(41.617016,51.25,0.035)); #562198=CARTESIAN_POINT('',(41.617016,51.25,0.035)); #562199=CARTESIAN_POINT('',(41.617016,51.25,0.)); #562200=CARTESIAN_POINT('Origin',(37.802606,51.25,0.)); #562201=CARTESIAN_POINT('',(37.802606,51.25,0.)); #562202=CARTESIAN_POINT('',(37.802606,51.25,0.)); #562203=CARTESIAN_POINT('',(37.802606,51.25,0.035)); #562204=CARTESIAN_POINT('',(37.802606,51.25,0.035)); #562205=CARTESIAN_POINT('',(37.802606,51.25,0.)); #562206=CARTESIAN_POINT('Origin',(37.754944,51.259284,0.)); #562207=CARTESIAN_POINT('',(37.754944,51.259284,0.)); #562208=CARTESIAN_POINT('',(37.754944,51.259284,0.)); #562209=CARTESIAN_POINT('',(37.754944,51.259284,0.035)); #562210=CARTESIAN_POINT('',(37.754944,51.259284,0.035)); #562211=CARTESIAN_POINT('',(37.754944,51.259284,0.)); #562212=CARTESIAN_POINT('Origin',(37.712803,51.287197,0.)); #562213=CARTESIAN_POINT('',(37.712803,51.287197,0.)); #562214=CARTESIAN_POINT('',(37.712803,51.287197,0.)); #562215=CARTESIAN_POINT('',(37.712803,51.287197,0.035)); #562216=CARTESIAN_POINT('',(37.712803,51.287197,0.035)); #562217=CARTESIAN_POINT('',(37.712803,51.287197,0.)); #562218=CARTESIAN_POINT('Origin',(37.037197,51.962803,0.)); #562219=CARTESIAN_POINT('',(37.037197,51.962803,0.)); #562220=CARTESIAN_POINT('',(37.037197,51.962803,0.)); #562221=CARTESIAN_POINT('',(37.037197,51.962803,0.035)); #562222=CARTESIAN_POINT('',(37.037197,51.962803,0.035)); #562223=CARTESIAN_POINT('',(37.037197,51.962803,0.)); #562224=CARTESIAN_POINT('Origin',(37.010059,52.003072,0.)); #562225=CARTESIAN_POINT('',(37.010059,52.003072,0.)); #562226=CARTESIAN_POINT('',(37.010059,52.003072,0.)); #562227=CARTESIAN_POINT('',(37.010059,52.003072,0.035)); #562228=CARTESIAN_POINT('',(37.010059,52.003072,0.035)); #562229=CARTESIAN_POINT('',(37.010059,52.003072,0.)); #562230=CARTESIAN_POINT('Origin',(37.,52.052606,0.)); #562231=CARTESIAN_POINT('',(37.,52.052606,0.)); #562232=CARTESIAN_POINT('',(37.,52.052606,0.)); #562233=CARTESIAN_POINT('',(37.,52.052606,0.035)); #562234=CARTESIAN_POINT('',(37.,52.052606,0.035)); #562235=CARTESIAN_POINT('',(37.,52.052606,0.)); #562236=CARTESIAN_POINT('Origin',(37.,57.947394,0.)); #562237=CARTESIAN_POINT('',(37.,57.947394,0.)); #562238=CARTESIAN_POINT('',(37.,57.947394,0.)); #562239=CARTESIAN_POINT('',(37.,57.947394,0.035)); #562240=CARTESIAN_POINT('',(37.,57.947394,0.035)); #562241=CARTESIAN_POINT('',(37.,57.947394,0.)); #562242=CARTESIAN_POINT('Origin',(37.009284,57.995056,0.)); #562243=CARTESIAN_POINT('',(37.009284,57.995056,0.)); #562244=CARTESIAN_POINT('',(37.009284,57.995056,0.)); #562245=CARTESIAN_POINT('',(37.009284,57.995056,0.035)); #562246=CARTESIAN_POINT('',(37.009284,57.995056,0.035)); #562247=CARTESIAN_POINT('',(37.009284,57.995056,0.)); #562248=CARTESIAN_POINT('Origin',(37.037197,58.037197,0.)); #562249=CARTESIAN_POINT('',(37.037197,58.037197,0.)); #562250=CARTESIAN_POINT('',(37.037197,58.037197,0.)); #562251=CARTESIAN_POINT('',(37.037197,58.037197,0.035)); #562252=CARTESIAN_POINT('',(37.037197,58.037197,0.035)); #562253=CARTESIAN_POINT('',(37.037197,58.037197,0.)); #562254=CARTESIAN_POINT('Origin',(37.712803,58.712803,0.)); #562255=CARTESIAN_POINT('',(37.712803,58.712803,0.)); #562256=CARTESIAN_POINT('',(37.712803,58.712803,0.)); #562257=CARTESIAN_POINT('',(37.712803,58.712803,0.035)); #562258=CARTESIAN_POINT('',(37.712803,58.712803,0.035)); #562259=CARTESIAN_POINT('',(37.712803,58.712803,0.)); #562260=CARTESIAN_POINT('Origin',(37.753072,58.739941,0.)); #562261=CARTESIAN_POINT('',(37.753072,58.739941,0.)); #562262=CARTESIAN_POINT('',(37.753072,58.739941,0.)); #562263=CARTESIAN_POINT('',(37.753072,58.739941,0.035)); #562264=CARTESIAN_POINT('',(37.753072,58.739941,0.035)); #562265=CARTESIAN_POINT('',(37.753072,58.739941,0.)); #562266=CARTESIAN_POINT('Origin',(37.802606,58.75,0.)); #562267=CARTESIAN_POINT('',(37.802606,58.75,0.)); #562268=CARTESIAN_POINT('',(37.802606,58.75,0.)); #562269=CARTESIAN_POINT('',(37.802606,58.75,0.035)); #562270=CARTESIAN_POINT('',(37.802606,58.75,0.035)); #562271=CARTESIAN_POINT('',(37.802606,58.75,0.)); #562272=CARTESIAN_POINT('Origin',(42.697394,58.75,0.)); #562273=CARTESIAN_POINT('',(42.697394,58.75,0.)); #562274=CARTESIAN_POINT('',(42.697394,58.75,0.)); #562275=CARTESIAN_POINT('',(42.697394,58.75,0.035)); #562276=CARTESIAN_POINT('',(42.697394,58.75,0.035)); #562277=CARTESIAN_POINT('',(42.697394,58.75,0.)); #562278=CARTESIAN_POINT('Origin',(42.745056,58.740716,0.)); #562279=CARTESIAN_POINT('',(42.745056,58.740716,0.)); #562280=CARTESIAN_POINT('',(42.745056,58.740716,0.)); #562281=CARTESIAN_POINT('',(42.745056,58.740716,0.035)); #562282=CARTESIAN_POINT('',(42.745056,58.740716,0.035)); #562283=CARTESIAN_POINT('',(42.745056,58.740716,0.)); #562284=CARTESIAN_POINT('Origin',(42.787197,58.712803,0.)); #562285=CARTESIAN_POINT('',(42.787197,58.712803,0.)); #562286=CARTESIAN_POINT('',(42.787197,58.712803,0.)); #562287=CARTESIAN_POINT('',(42.787197,58.712803,0.035)); #562288=CARTESIAN_POINT('',(42.787197,58.712803,0.035)); #562289=CARTESIAN_POINT('',(42.787197,58.712803,0.)); #562290=CARTESIAN_POINT('Origin',(43.493634,58.006366,0.)); #562291=CARTESIAN_POINT('',(43.493634,58.006366,0.)); #562292=CARTESIAN_POINT('',(43.493634,58.006366,0.)); #562293=CARTESIAN_POINT('',(43.493634,58.006366,0.035)); #562294=CARTESIAN_POINT('',(43.493634,58.006366,0.035)); #562295=CARTESIAN_POINT('',(43.493634,58.006366,0.)); #562296=CARTESIAN_POINT('Origin',(43.500928,58.000891,0.)); #562297=CARTESIAN_POINT('',(43.500928,58.000891,0.)); #562298=CARTESIAN_POINT('',(43.500928,58.000891,0.)); #562299=CARTESIAN_POINT('',(43.500928,58.000891,0.035)); #562300=CARTESIAN_POINT('',(43.500928,58.000891,0.035)); #562301=CARTESIAN_POINT('',(43.500928,58.000891,0.)); #562302=CARTESIAN_POINT('Origin',(43.507984,58.,0.)); #562303=CARTESIAN_POINT('',(43.507984,58.,0.)); #562304=CARTESIAN_POINT('',(43.507984,58.,0.)); #562305=CARTESIAN_POINT('',(43.507984,58.,0.035)); #562306=CARTESIAN_POINT('',(43.507984,58.,0.035)); #562307=CARTESIAN_POINT('',(43.507984,58.,0.)); #562308=CARTESIAN_POINT('Origin',(43.676869,58.,0.)); #562309=CARTESIAN_POINT('',(43.676869,58.,0.)); #562310=CARTESIAN_POINT('',(43.676869,58.,0.)); #562311=CARTESIAN_POINT('',(43.676869,58.,0.035)); #562312=CARTESIAN_POINT('',(43.676869,58.,0.035)); #562313=CARTESIAN_POINT('',(43.676869,58.,0.)); #562314=CARTESIAN_POINT('Origin',(43.689191,57.9976,0.)); #562315=CARTESIAN_POINT('',(43.689191,57.9976,0.)); #562316=CARTESIAN_POINT('',(43.689191,57.9976,0.)); #562317=CARTESIAN_POINT('',(43.689191,57.9976,0.035)); #562318=CARTESIAN_POINT('',(43.689191,57.9976,0.035)); #562319=CARTESIAN_POINT('',(43.689191,57.9976,0.)); #562320=CARTESIAN_POINT('Origin',(44.501313,57.9976,0.)); #562321=CARTESIAN_POINT('',(44.501313,57.9976,0.)); #562322=CARTESIAN_POINT('',(44.501313,57.9976,0.)); #562323=CARTESIAN_POINT('',(44.501313,57.9976,0.035)); #562324=CARTESIAN_POINT('',(44.501313,57.9976,0.035)); #562325=CARTESIAN_POINT('',(44.501313,57.9976,0.)); #562326=CARTESIAN_POINT('Origin',(44.513131,58.,0.)); #562327=CARTESIAN_POINT('',(44.513131,58.,0.)); #562328=CARTESIAN_POINT('',(44.513131,58.,0.)); #562329=CARTESIAN_POINT('',(44.513131,58.,0.035)); #562330=CARTESIAN_POINT('',(44.513131,58.,0.035)); #562331=CARTESIAN_POINT('',(44.513131,58.,0.)); #562332=CARTESIAN_POINT('Origin',(44.946869,58.,0.)); #562333=CARTESIAN_POINT('',(44.946869,58.,0.)); #562334=CARTESIAN_POINT('',(44.946869,58.,0.)); #562335=CARTESIAN_POINT('',(44.946869,58.,0.035)); #562336=CARTESIAN_POINT('',(44.946869,58.,0.035)); #562337=CARTESIAN_POINT('',(44.946869,58.,0.)); #562338=CARTESIAN_POINT('Origin',(44.959191,57.9976,0.)); #562339=CARTESIAN_POINT('',(44.959191,57.9976,0.)); #562340=CARTESIAN_POINT('',(44.959191,57.9976,0.)); #562341=CARTESIAN_POINT('',(44.959191,57.9976,0.035)); #562342=CARTESIAN_POINT('',(44.959191,57.9976,0.035)); #562343=CARTESIAN_POINT('',(44.959191,57.9976,0.)); #562344=CARTESIAN_POINT('Origin',(45.771313,57.9976,0.)); #562345=CARTESIAN_POINT('',(45.771313,57.9976,0.)); #562346=CARTESIAN_POINT('',(45.771313,57.9976,0.)); #562347=CARTESIAN_POINT('',(45.771313,57.9976,0.035)); #562348=CARTESIAN_POINT('',(45.771313,57.9976,0.035)); #562349=CARTESIAN_POINT('',(45.771313,57.9976,0.)); #562350=CARTESIAN_POINT('Origin',(45.783131,58.,0.)); #562351=CARTESIAN_POINT('',(45.783131,58.,0.)); #562352=CARTESIAN_POINT('',(45.783131,58.,0.)); #562353=CARTESIAN_POINT('',(45.783131,58.,0.035)); #562354=CARTESIAN_POINT('',(45.783131,58.,0.035)); #562355=CARTESIAN_POINT('',(45.783131,58.,0.)); #562356=CARTESIAN_POINT('Origin',(46.216869,58.,0.)); #562357=CARTESIAN_POINT('',(46.216869,58.,0.)); #562358=CARTESIAN_POINT('',(46.216869,58.,0.)); #562359=CARTESIAN_POINT('',(46.216869,58.,0.035)); #562360=CARTESIAN_POINT('',(46.216869,58.,0.035)); #562361=CARTESIAN_POINT('',(46.216869,58.,0.)); #562362=CARTESIAN_POINT('Origin',(46.229191,57.9976,0.)); #562363=CARTESIAN_POINT('',(46.229191,57.9976,0.)); #562364=CARTESIAN_POINT('',(46.229191,57.9976,0.)); #562365=CARTESIAN_POINT('',(46.229191,57.9976,0.035)); #562366=CARTESIAN_POINT('',(46.229191,57.9976,0.035)); #562367=CARTESIAN_POINT('',(46.229191,57.9976,0.)); #562368=CARTESIAN_POINT('Origin',(47.041313,57.9976,0.)); #562369=CARTESIAN_POINT('',(47.041313,57.9976,0.)); #562370=CARTESIAN_POINT('',(47.041313,57.9976,0.)); #562371=CARTESIAN_POINT('',(47.041313,57.9976,0.035)); #562372=CARTESIAN_POINT('',(47.041313,57.9976,0.035)); #562373=CARTESIAN_POINT('',(47.041313,57.9976,0.)); #562374=CARTESIAN_POINT('Origin',(47.053131,58.,0.)); #562375=CARTESIAN_POINT('',(47.053131,58.,0.)); #562376=CARTESIAN_POINT('',(47.053131,58.,0.)); #562377=CARTESIAN_POINT('',(47.053131,58.,0.035)); #562378=CARTESIAN_POINT('',(47.053131,58.,0.035)); #562379=CARTESIAN_POINT('',(47.053131,58.,0.)); #562380=CARTESIAN_POINT('Origin',(47.486869,58.,0.)); #562381=CARTESIAN_POINT('',(47.486869,58.,0.)); #562382=CARTESIAN_POINT('',(47.486869,58.,0.)); #562383=CARTESIAN_POINT('',(47.486869,58.,0.035)); #562384=CARTESIAN_POINT('',(47.486869,58.,0.035)); #562385=CARTESIAN_POINT('',(47.486869,58.,0.)); #562386=CARTESIAN_POINT('Origin',(47.499191,57.9976,0.)); #562387=CARTESIAN_POINT('',(47.499191,57.9976,0.)); #562388=CARTESIAN_POINT('',(47.499191,57.9976,0.)); #562389=CARTESIAN_POINT('',(47.499191,57.9976,0.035)); #562390=CARTESIAN_POINT('',(47.499191,57.9976,0.035)); #562391=CARTESIAN_POINT('',(47.499191,57.9976,0.)); #562392=CARTESIAN_POINT('Origin',(48.311312,57.9976,0.)); #562393=CARTESIAN_POINT('',(48.311312,57.9976,0.)); #562394=CARTESIAN_POINT('',(48.311312,57.9976,0.)); #562395=CARTESIAN_POINT('',(48.311312,57.9976,0.035)); #562396=CARTESIAN_POINT('',(48.311312,57.9976,0.035)); #562397=CARTESIAN_POINT('',(48.311312,57.9976,0.)); #562398=CARTESIAN_POINT('Origin',(48.323131,58.,0.)); #562399=CARTESIAN_POINT('',(48.323131,58.,0.)); #562400=CARTESIAN_POINT('',(48.323131,58.,0.)); #562401=CARTESIAN_POINT('',(48.323131,58.,0.035)); #562402=CARTESIAN_POINT('',(48.323131,58.,0.035)); #562403=CARTESIAN_POINT('',(48.323131,58.,0.)); #562404=CARTESIAN_POINT('Origin',(48.572394,58.,0.)); #562405=CARTESIAN_POINT('',(48.572394,58.,0.)); #562406=CARTESIAN_POINT('',(48.572394,58.,0.)); #562407=CARTESIAN_POINT('',(48.572394,58.,0.035)); #562408=CARTESIAN_POINT('',(48.572394,58.,0.035)); #562409=CARTESIAN_POINT('',(48.572394,58.,0.)); #562410=CARTESIAN_POINT('Origin',(48.620056,57.990716,0.)); #562411=CARTESIAN_POINT('',(48.620056,57.990716,0.)); #562412=CARTESIAN_POINT('',(48.620056,57.990716,0.)); #562413=CARTESIAN_POINT('',(48.620056,57.990716,0.035)); #562414=CARTESIAN_POINT('',(48.620056,57.990716,0.035)); #562415=CARTESIAN_POINT('',(48.620056,57.990716,0.)); #562416=CARTESIAN_POINT('Origin',(48.662197,57.962803,0.)); #562417=CARTESIAN_POINT('',(48.662197,57.962803,0.)); #562418=CARTESIAN_POINT('',(48.662197,57.962803,0.)); #562419=CARTESIAN_POINT('',(48.662197,57.962803,0.035)); #562420=CARTESIAN_POINT('',(48.662197,57.962803,0.035)); #562421=CARTESIAN_POINT('',(48.662197,57.962803,0.)); #562422=CARTESIAN_POINT('Origin',(49.587803,57.037197,0.)); #562423=CARTESIAN_POINT('',(49.587803,57.037197,0.)); #562424=CARTESIAN_POINT('',(49.587803,57.037197,0.)); #562425=CARTESIAN_POINT('',(49.587803,57.037197,0.035)); #562426=CARTESIAN_POINT('',(49.587803,57.037197,0.035)); #562427=CARTESIAN_POINT('',(49.587803,57.037197,0.)); #562428=CARTESIAN_POINT('Origin',(49.614941,56.996928,0.)); #562429=CARTESIAN_POINT('',(49.614941,56.996928,0.)); #562430=CARTESIAN_POINT('',(49.614941,56.996928,0.)); #562431=CARTESIAN_POINT('',(49.614941,56.996928,0.035)); #562432=CARTESIAN_POINT('',(49.614941,56.996928,0.035)); #562433=CARTESIAN_POINT('',(49.614941,56.996928,0.)); #562434=CARTESIAN_POINT('Origin',(49.625,56.947394,0.)); #562435=CARTESIAN_POINT('',(49.625,56.947394,0.)); #562436=CARTESIAN_POINT('',(49.625,56.947394,0.)); #562437=CARTESIAN_POINT('',(49.625,56.947394,0.035)); #562438=CARTESIAN_POINT('',(49.625,56.947394,0.035)); #562439=CARTESIAN_POINT('',(49.625,56.947394,0.)); #562440=CARTESIAN_POINT('Origin',(49.625,49.2286,0.)); #562441=CARTESIAN_POINT('',(49.625,49.2286,0.)); #562442=CARTESIAN_POINT('',(49.625,49.2286,0.)); #562443=CARTESIAN_POINT('',(49.625,49.2286,0.035)); #562444=CARTESIAN_POINT('',(49.625,49.2286,0.035)); #562445=CARTESIAN_POINT('',(49.625,49.2286,0.)); #562446=CARTESIAN_POINT('Origin',(49.616463,49.182819,0.)); #562447=CARTESIAN_POINT('',(49.616463,49.182819,0.)); #562448=CARTESIAN_POINT('',(49.616463,49.182819,0.)); #562449=CARTESIAN_POINT('',(49.616463,49.182819,0.035)); #562450=CARTESIAN_POINT('',(49.616463,49.182819,0.035)); #562451=CARTESIAN_POINT('',(49.616463,49.182819,0.)); #562452=CARTESIAN_POINT('Origin',(49.589222,49.140241,0.)); #562453=CARTESIAN_POINT('',(49.589222,49.140241,0.)); #562454=CARTESIAN_POINT('',(49.589222,49.140241,0.)); #562455=CARTESIAN_POINT('',(49.589222,49.140241,0.035)); #562456=CARTESIAN_POINT('',(49.589222,49.140241,0.035)); #562457=CARTESIAN_POINT('',(49.589222,49.140241,0.)); #562458=CARTESIAN_POINT('Origin',(49.547534,49.111659,0.)); #562459=CARTESIAN_POINT('',(49.547534,49.111659,0.)); #562460=CARTESIAN_POINT('',(49.547534,49.111659,0.)); #562461=CARTESIAN_POINT('',(49.547534,49.111659,0.035)); #562462=CARTESIAN_POINT('',(49.547534,49.111659,0.035)); #562463=CARTESIAN_POINT('',(49.547534,49.111659,0.)); #562464=CARTESIAN_POINT('Origin',(49.498,49.1016,0.)); #562465=CARTESIAN_POINT('',(49.498,49.1016,0.)); #562466=CARTESIAN_POINT('',(49.498,49.1016,0.)); #562467=CARTESIAN_POINT('',(49.498,49.1016,0.035)); #562468=CARTESIAN_POINT('',(49.498,49.1016,0.035)); #562469=CARTESIAN_POINT('',(49.498,49.1016,0.)); #562470=CARTESIAN_POINT('Origin',(48.4844,49.1016,0.)); #562471=CARTESIAN_POINT('',(48.4844,49.1016,0.)); #562472=CARTESIAN_POINT('',(48.4844,49.1016,0.)); #562473=CARTESIAN_POINT('',(48.4844,49.1016,0.035)); #562474=CARTESIAN_POINT('',(48.4844,49.1016,0.035)); #562475=CARTESIAN_POINT('',(48.4844,49.1016,0.)); #562476=CARTESIAN_POINT('Origin',(48.438619,49.110138,0.)); #562477=CARTESIAN_POINT('',(48.438619,49.110138,0.)); #562478=CARTESIAN_POINT('',(48.438619,49.110138,0.)); #562479=CARTESIAN_POINT('',(48.438619,49.110138,0.035)); #562480=CARTESIAN_POINT('',(48.438619,49.110138,0.035)); #562481=CARTESIAN_POINT('',(48.438619,49.110138,0.)); #562482=CARTESIAN_POINT('Origin',(48.396041,49.137378,0.)); #562483=CARTESIAN_POINT('',(48.396041,49.137378,0.)); #562484=CARTESIAN_POINT('',(48.396041,49.137378,0.)); #562485=CARTESIAN_POINT('',(48.396041,49.137378,0.035)); #562486=CARTESIAN_POINT('',(48.396041,49.137378,0.035)); #562487=CARTESIAN_POINT('',(48.396041,49.137378,0.)); #562488=CARTESIAN_POINT('Origin',(48.367459,49.179066,0.)); #562489=CARTESIAN_POINT('',(48.367459,49.179066,0.)); #562490=CARTESIAN_POINT('',(48.367459,49.179066,0.)); #562491=CARTESIAN_POINT('',(48.367459,49.179066,0.035)); #562492=CARTESIAN_POINT('',(48.367459,49.179066,0.035)); #562493=CARTESIAN_POINT('',(48.367459,49.179066,0.)); #562494=CARTESIAN_POINT('Origin',(48.3574,49.2286,0.)); #562495=CARTESIAN_POINT('',(48.3574,49.2286,0.)); #562496=CARTESIAN_POINT('',(48.3574,49.2286,0.)); #562497=CARTESIAN_POINT('',(48.3574,49.2286,0.035)); #562498=CARTESIAN_POINT('',(48.3574,49.2286,0.035)); #562499=CARTESIAN_POINT('',(48.3574,49.2286,0.)); #562500=CARTESIAN_POINT('Origin',(48.3574,50.413125,0.)); #562501=CARTESIAN_POINT('',(48.3574,50.413125,0.)); #562502=CARTESIAN_POINT('',(48.3574,50.413125,0.)); #562503=CARTESIAN_POINT('',(48.3574,50.413125,0.035)); #562504=CARTESIAN_POINT('',(48.3574,50.413125,0.035)); #562505=CARTESIAN_POINT('',(48.3574,50.413125,0.)); #562506=CARTESIAN_POINT('Origin',(48.268125,50.5024,0.)); #562507=CARTESIAN_POINT('',(48.268125,50.5024,0.)); #562508=CARTESIAN_POINT('',(48.268125,50.5024,0.)); #562509=CARTESIAN_POINT('',(48.268125,50.5024,0.035)); #562510=CARTESIAN_POINT('',(48.268125,50.5024,0.035)); #562511=CARTESIAN_POINT('',(48.268125,50.5024,0.)); #562512=CARTESIAN_POINT('Origin',(47.541875,50.5024,0.)); #562513=CARTESIAN_POINT('',(47.541875,50.5024,0.)); #562514=CARTESIAN_POINT('',(47.541875,50.5024,0.)); #562515=CARTESIAN_POINT('',(47.541875,50.5024,0.035)); #562516=CARTESIAN_POINT('',(47.541875,50.5024,0.035)); #562517=CARTESIAN_POINT('',(47.541875,50.5024,0.)); #562518=CARTESIAN_POINT('Origin',(47.4526,50.413125,0.)); #562519=CARTESIAN_POINT('',(47.4526,50.413125,0.)); #562520=CARTESIAN_POINT('',(47.4526,50.413125,0.)); #562521=CARTESIAN_POINT('',(47.4526,50.413125,0.035)); #562522=CARTESIAN_POINT('',(47.4526,50.413125,0.035)); #562523=CARTESIAN_POINT('',(47.4526,50.413125,0.)); #562524=CARTESIAN_POINT('Origin',(47.4526,49.2286,0.)); #562525=CARTESIAN_POINT('',(47.4526,49.2286,0.)); #562526=CARTESIAN_POINT('',(47.4526,49.2286,0.)); #562527=CARTESIAN_POINT('',(47.4526,49.2286,0.035)); #562528=CARTESIAN_POINT('',(47.4526,49.2286,0.035)); #562529=CARTESIAN_POINT('',(47.4526,49.2286,0.)); #562530=CARTESIAN_POINT('Origin',(47.444063,49.182819,0.)); #562531=CARTESIAN_POINT('',(47.444063,49.182819,0.)); #562532=CARTESIAN_POINT('',(47.444063,49.182819,0.)); #562533=CARTESIAN_POINT('',(47.444063,49.182819,0.035)); #562534=CARTESIAN_POINT('',(47.444063,49.182819,0.035)); #562535=CARTESIAN_POINT('',(47.444063,49.182819,0.)); #562536=CARTESIAN_POINT('Origin',(47.416822,49.140241,0.)); #562537=CARTESIAN_POINT('',(47.416822,49.140241,0.)); #562538=CARTESIAN_POINT('',(47.416822,49.140241,0.)); #562539=CARTESIAN_POINT('',(47.416822,49.140241,0.035)); #562540=CARTESIAN_POINT('',(47.416822,49.140241,0.035)); #562541=CARTESIAN_POINT('',(47.416822,49.140241,0.)); #562542=CARTESIAN_POINT('Origin',(47.375134,49.111659,0.)); #562543=CARTESIAN_POINT('',(47.375134,49.111659,0.)); #562544=CARTESIAN_POINT('',(47.375134,49.111659,0.035)); #562545=CARTESIAN_POINT('Origin',(43.7972549961377,53.8204819105947,0.035)); #562546=CARTESIAN_POINT('Origin',(43.7972549961377,53.8204819105947,0.)); #562547=CARTESIAN_POINT('Origin',(23.75,56.,-200.)); #562548=CARTESIAN_POINT('',(23.61,56.,0.)); #562549=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #562550=CARTESIAN_POINT('',(23.61,56.,0.0349999999999895)); #562551=CARTESIAN_POINT('',(23.61,56.,-200.)); #562552=CARTESIAN_POINT('Origin',(23.75,56.,0.0349999999999895)); #562553=CARTESIAN_POINT('Origin',(23.75,55.25,-200.)); #562554=CARTESIAN_POINT('',(23.61,55.25,0.)); #562555=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #562556=CARTESIAN_POINT('',(23.61,55.25,0.0349999999999895)); #562557=CARTESIAN_POINT('',(23.61,55.25,-200.)); #562558=CARTESIAN_POINT('Origin',(23.75,55.25,0.0349999999999895)); #562559=CARTESIAN_POINT('Origin',(22.,56.75,-200.)); #562560=CARTESIAN_POINT('',(21.86,56.75,0.)); #562561=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #562562=CARTESIAN_POINT('',(21.86,56.75,0.0349999999999895)); #562563=CARTESIAN_POINT('',(21.86,56.75,-200.)); #562564=CARTESIAN_POINT('Origin',(22.,56.75,0.0349999999999895)); #562565=CARTESIAN_POINT('Origin',(22.,55.25,-200.)); #562566=CARTESIAN_POINT('',(21.86,55.25,0.)); #562567=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #562568=CARTESIAN_POINT('',(21.86,55.25,0.0349999999999895)); #562569=CARTESIAN_POINT('',(21.86,55.25,-200.)); #562570=CARTESIAN_POINT('Origin',(22.,55.25,0.0349999999999895)); #562571=CARTESIAN_POINT('Origin',(20.25,55.25,-200.)); #562572=CARTESIAN_POINT('',(20.11,55.25,0.)); #562573=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #562574=CARTESIAN_POINT('',(20.11,55.25,0.0349999999999895)); #562575=CARTESIAN_POINT('',(20.11,55.25,-200.)); #562576=CARTESIAN_POINT('Origin',(20.25,55.25,0.0349999999999895)); #562577=CARTESIAN_POINT('Origin',(20.25,56.75,-200.)); #562578=CARTESIAN_POINT('',(20.11,56.75,0.)); #562579=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #562580=CARTESIAN_POINT('',(20.11,56.75,0.0349999999999895)); #562581=CARTESIAN_POINT('',(20.11,56.75,-200.)); #562582=CARTESIAN_POINT('Origin',(20.25,56.75,0.0349999999999895)); #562583=CARTESIAN_POINT('Origin',(20.25,56.,-200.)); #562584=CARTESIAN_POINT('',(20.11,56.,0.)); #562585=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #562586=CARTESIAN_POINT('',(20.11,56.,0.0349999999999895)); #562587=CARTESIAN_POINT('',(20.11,56.,-200.)); #562588=CARTESIAN_POINT('Origin',(20.25,56.,0.0349999999999895)); #562589=CARTESIAN_POINT('Origin',(22.,56.,-200.)); #562590=CARTESIAN_POINT('',(21.86,56.,0.)); #562591=CARTESIAN_POINT('Origin',(22.,56.,0.)); #562592=CARTESIAN_POINT('',(21.86,56.,0.0349999999999895)); #562593=CARTESIAN_POINT('',(21.86,56.,-200.)); #562594=CARTESIAN_POINT('Origin',(22.,56.,0.0349999999999895)); #562595=CARTESIAN_POINT('Origin',(29.488,53.,-200.)); #562596=CARTESIAN_POINT('',(29.348,53.,0.)); #562597=CARTESIAN_POINT('Origin',(29.488,53.,0.)); #562598=CARTESIAN_POINT('',(29.348,53.,0.0349999999999895)); #562599=CARTESIAN_POINT('',(29.348,53.,-200.)); #562600=CARTESIAN_POINT('Origin',(29.488,53.,0.0349999999999895)); #562601=CARTESIAN_POINT('Origin',(21.125,56.75,-200.)); #562602=CARTESIAN_POINT('',(20.985,56.75,0.)); #562603=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #562604=CARTESIAN_POINT('',(20.985,56.75,0.0349999999999895)); #562605=CARTESIAN_POINT('',(20.985,56.75,-200.)); #562606=CARTESIAN_POINT('Origin',(21.125,56.75,0.0349999999999895)); #562607=CARTESIAN_POINT('Origin',(21.125,55.25,-200.)); #562608=CARTESIAN_POINT('',(20.985,55.25,0.)); #562609=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #562610=CARTESIAN_POINT('',(20.985,55.25,0.0349999999999895)); #562611=CARTESIAN_POINT('',(20.985,55.25,-200.)); #562612=CARTESIAN_POINT('Origin',(21.125,55.25,0.0349999999999895)); #562613=CARTESIAN_POINT('Origin',(21.125,56.,-200.)); #562614=CARTESIAN_POINT('',(20.985,56.,0.)); #562615=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #562616=CARTESIAN_POINT('',(20.985,56.,0.0349999999999895)); #562617=CARTESIAN_POINT('',(20.985,56.,-200.)); #562618=CARTESIAN_POINT('Origin',(21.125,56.,0.0349999999999895)); #562619=CARTESIAN_POINT('Origin',(22.875,56.75,-200.)); #562620=CARTESIAN_POINT('',(22.735,56.75,0.)); #562621=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #562622=CARTESIAN_POINT('',(22.735,56.75,0.0349999999999895)); #562623=CARTESIAN_POINT('',(22.735,56.75,-200.)); #562624=CARTESIAN_POINT('Origin',(22.875,56.75,0.0349999999999895)); #562625=CARTESIAN_POINT('Origin',(23.75,56.75,-200.)); #562626=CARTESIAN_POINT('',(23.61,56.75,0.)); #562627=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #562628=CARTESIAN_POINT('',(23.61,56.75,0.0349999999999895)); #562629=CARTESIAN_POINT('',(23.61,56.75,-200.)); #562630=CARTESIAN_POINT('Origin',(23.75,56.75,0.0349999999999895)); #562631=CARTESIAN_POINT('Origin',(22.875,56.,-200.)); #562632=CARTESIAN_POINT('',(22.735,56.,0.)); #562633=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #562634=CARTESIAN_POINT('',(22.735,56.,0.0349999999999895)); #562635=CARTESIAN_POINT('',(22.735,56.,-200.)); #562636=CARTESIAN_POINT('Origin',(22.875,56.,0.0349999999999895)); #562637=CARTESIAN_POINT('Origin',(22.875,55.25,-200.)); #562638=CARTESIAN_POINT('',(22.735,55.25,0.)); #562639=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #562640=CARTESIAN_POINT('',(22.735,55.25,0.0349999999999895)); #562641=CARTESIAN_POINT('',(22.735,55.25,-200.)); #562642=CARTESIAN_POINT('Origin',(22.875,55.25,0.0349999999999895)); #562643=CARTESIAN_POINT('Origin',(23.3256,49.1016,0.)); #562644=CARTESIAN_POINT('',(23.3256,49.1016,0.)); #562645=CARTESIAN_POINT('',(23.375134,49.111659,0.)); #562646=CARTESIAN_POINT('',(23.3256,49.1016,0.)); #562647=CARTESIAN_POINT('',(23.375134,49.111659,0.035)); #562648=CARTESIAN_POINT('',(23.375134,49.111659,0.)); #562649=CARTESIAN_POINT('',(23.3256,49.1016,0.035)); #562650=CARTESIAN_POINT('',(23.3256,49.1016,0.035)); #562651=CARTESIAN_POINT('',(23.3256,49.1016,0.)); #562652=CARTESIAN_POINT('Origin',(18.502,49.1016,0.)); #562653=CARTESIAN_POINT('',(18.502,49.1016,0.)); #562654=CARTESIAN_POINT('',(18.502,49.1016,0.)); #562655=CARTESIAN_POINT('',(18.502,49.1016,0.035)); #562656=CARTESIAN_POINT('',(18.502,49.1016,0.035)); #562657=CARTESIAN_POINT('',(18.502,49.1016,0.)); #562658=CARTESIAN_POINT('Origin',(18.456219,49.110138,0.)); #562659=CARTESIAN_POINT('',(18.456219,49.110138,0.)); #562660=CARTESIAN_POINT('',(18.456219,49.110138,0.)); #562661=CARTESIAN_POINT('',(18.456219,49.110138,0.035)); #562662=CARTESIAN_POINT('',(18.456219,49.110138,0.035)); #562663=CARTESIAN_POINT('',(18.456219,49.110138,0.)); #562664=CARTESIAN_POINT('Origin',(18.413641,49.137378,0.)); #562665=CARTESIAN_POINT('',(18.413641,49.137378,0.)); #562666=CARTESIAN_POINT('',(18.413641,49.137378,0.)); #562667=CARTESIAN_POINT('',(18.413641,49.137378,0.035)); #562668=CARTESIAN_POINT('',(18.413641,49.137378,0.035)); #562669=CARTESIAN_POINT('',(18.413641,49.137378,0.)); #562670=CARTESIAN_POINT('Origin',(18.385059,49.179066,0.)); #562671=CARTESIAN_POINT('',(18.385059,49.179066,0.)); #562672=CARTESIAN_POINT('',(18.385059,49.179066,0.)); #562673=CARTESIAN_POINT('',(18.385059,49.179066,0.035)); #562674=CARTESIAN_POINT('',(18.385059,49.179066,0.035)); #562675=CARTESIAN_POINT('',(18.385059,49.179066,0.)); #562676=CARTESIAN_POINT('Origin',(18.375,49.2286,0.)); #562677=CARTESIAN_POINT('',(18.375,49.2286,0.)); #562678=CARTESIAN_POINT('',(18.375,49.2286,0.)); #562679=CARTESIAN_POINT('',(18.375,49.2286,0.035)); #562680=CARTESIAN_POINT('',(18.375,49.2286,0.035)); #562681=CARTESIAN_POINT('',(18.375,49.2286,0.)); #562682=CARTESIAN_POINT('Origin',(18.375,56.947394,0.)); #562683=CARTESIAN_POINT('',(18.375,56.947394,0.)); #562684=CARTESIAN_POINT('',(18.375,56.947394,0.)); #562685=CARTESIAN_POINT('',(18.375,56.947394,0.035)); #562686=CARTESIAN_POINT('',(18.375,56.947394,0.035)); #562687=CARTESIAN_POINT('',(18.375,56.947394,0.)); #562688=CARTESIAN_POINT('Origin',(18.384284,56.995056,0.)); #562689=CARTESIAN_POINT('',(18.384284,56.995056,0.)); #562690=CARTESIAN_POINT('',(18.384284,56.995056,0.)); #562691=CARTESIAN_POINT('',(18.384284,56.995056,0.035)); #562692=CARTESIAN_POINT('',(18.384284,56.995056,0.035)); #562693=CARTESIAN_POINT('',(18.384284,56.995056,0.)); #562694=CARTESIAN_POINT('Origin',(18.412197,57.037197,0.)); #562695=CARTESIAN_POINT('',(18.412197,57.037197,0.)); #562696=CARTESIAN_POINT('',(18.412197,57.037197,0.)); #562697=CARTESIAN_POINT('',(18.412197,57.037197,0.035)); #562698=CARTESIAN_POINT('',(18.412197,57.037197,0.035)); #562699=CARTESIAN_POINT('',(18.412197,57.037197,0.)); #562700=CARTESIAN_POINT('Origin',(19.337803,57.962803,0.)); #562701=CARTESIAN_POINT('',(19.337803,57.962803,0.)); #562702=CARTESIAN_POINT('',(19.337803,57.962803,0.)); #562703=CARTESIAN_POINT('',(19.337803,57.962803,0.035)); #562704=CARTESIAN_POINT('',(19.337803,57.962803,0.035)); #562705=CARTESIAN_POINT('',(19.337803,57.962803,0.)); #562706=CARTESIAN_POINT('Origin',(19.378072,57.989941,0.)); #562707=CARTESIAN_POINT('',(19.378072,57.989941,0.)); #562708=CARTESIAN_POINT('',(19.378072,57.989941,0.)); #562709=CARTESIAN_POINT('',(19.378072,57.989941,0.035)); #562710=CARTESIAN_POINT('',(19.378072,57.989941,0.035)); #562711=CARTESIAN_POINT('',(19.378072,57.989941,0.)); #562712=CARTESIAN_POINT('Origin',(19.427606,58.,0.)); #562713=CARTESIAN_POINT('',(19.427606,58.,0.)); #562714=CARTESIAN_POINT('',(19.427606,58.,0.)); #562715=CARTESIAN_POINT('',(19.427606,58.,0.035)); #562716=CARTESIAN_POINT('',(19.427606,58.,0.035)); #562717=CARTESIAN_POINT('',(19.427606,58.,0.)); #562718=CARTESIAN_POINT('Origin',(19.676869,58.,0.)); #562719=CARTESIAN_POINT('',(19.676869,58.,0.)); #562720=CARTESIAN_POINT('',(19.676869,58.,0.)); #562721=CARTESIAN_POINT('',(19.676869,58.,0.035)); #562722=CARTESIAN_POINT('',(19.676869,58.,0.035)); #562723=CARTESIAN_POINT('',(19.676869,58.,0.)); #562724=CARTESIAN_POINT('Origin',(19.689191,57.9976,0.)); #562725=CARTESIAN_POINT('',(19.689191,57.9976,0.)); #562726=CARTESIAN_POINT('',(19.689191,57.9976,0.)); #562727=CARTESIAN_POINT('',(19.689191,57.9976,0.035)); #562728=CARTESIAN_POINT('',(19.689191,57.9976,0.035)); #562729=CARTESIAN_POINT('',(19.689191,57.9976,0.)); #562730=CARTESIAN_POINT('Origin',(20.501313,57.9976,0.)); #562731=CARTESIAN_POINT('',(20.501313,57.9976,0.)); #562732=CARTESIAN_POINT('',(20.501313,57.9976,0.)); #562733=CARTESIAN_POINT('',(20.501313,57.9976,0.035)); #562734=CARTESIAN_POINT('',(20.501313,57.9976,0.035)); #562735=CARTESIAN_POINT('',(20.501313,57.9976,0.)); #562736=CARTESIAN_POINT('Origin',(20.513131,58.,0.)); #562737=CARTESIAN_POINT('',(20.513131,58.,0.)); #562738=CARTESIAN_POINT('',(20.513131,58.,0.)); #562739=CARTESIAN_POINT('',(20.513131,58.,0.035)); #562740=CARTESIAN_POINT('',(20.513131,58.,0.035)); #562741=CARTESIAN_POINT('',(20.513131,58.,0.)); #562742=CARTESIAN_POINT('Origin',(20.946869,58.,0.)); #562743=CARTESIAN_POINT('',(20.946869,58.,0.)); #562744=CARTESIAN_POINT('',(20.946869,58.,0.)); #562745=CARTESIAN_POINT('',(20.946869,58.,0.035)); #562746=CARTESIAN_POINT('',(20.946869,58.,0.035)); #562747=CARTESIAN_POINT('',(20.946869,58.,0.)); #562748=CARTESIAN_POINT('Origin',(20.959191,57.9976,0.)); #562749=CARTESIAN_POINT('',(20.959191,57.9976,0.)); #562750=CARTESIAN_POINT('',(20.959191,57.9976,0.)); #562751=CARTESIAN_POINT('',(20.959191,57.9976,0.035)); #562752=CARTESIAN_POINT('',(20.959191,57.9976,0.035)); #562753=CARTESIAN_POINT('',(20.959191,57.9976,0.)); #562754=CARTESIAN_POINT('Origin',(21.771313,57.9976,0.)); #562755=CARTESIAN_POINT('',(21.771313,57.9976,0.)); #562756=CARTESIAN_POINT('',(21.771313,57.9976,0.)); #562757=CARTESIAN_POINT('',(21.771313,57.9976,0.035)); #562758=CARTESIAN_POINT('',(21.771313,57.9976,0.035)); #562759=CARTESIAN_POINT('',(21.771313,57.9976,0.)); #562760=CARTESIAN_POINT('Origin',(21.783131,58.,0.)); #562761=CARTESIAN_POINT('',(21.783131,58.,0.)); #562762=CARTESIAN_POINT('',(21.783131,58.,0.)); #562763=CARTESIAN_POINT('',(21.783131,58.,0.035)); #562764=CARTESIAN_POINT('',(21.783131,58.,0.035)); #562765=CARTESIAN_POINT('',(21.783131,58.,0.)); #562766=CARTESIAN_POINT('Origin',(22.216869,58.,0.)); #562767=CARTESIAN_POINT('',(22.216869,58.,0.)); #562768=CARTESIAN_POINT('',(22.216869,58.,0.)); #562769=CARTESIAN_POINT('',(22.216869,58.,0.035)); #562770=CARTESIAN_POINT('',(22.216869,58.,0.035)); #562771=CARTESIAN_POINT('',(22.216869,58.,0.)); #562772=CARTESIAN_POINT('Origin',(22.229191,57.9976,0.)); #562773=CARTESIAN_POINT('',(22.229191,57.9976,0.)); #562774=CARTESIAN_POINT('',(22.229191,57.9976,0.)); #562775=CARTESIAN_POINT('',(22.229191,57.9976,0.035)); #562776=CARTESIAN_POINT('',(22.229191,57.9976,0.035)); #562777=CARTESIAN_POINT('',(22.229191,57.9976,0.)); #562778=CARTESIAN_POINT('Origin',(23.041313,57.9976,0.)); #562779=CARTESIAN_POINT('',(23.041313,57.9976,0.)); #562780=CARTESIAN_POINT('',(23.041313,57.9976,0.)); #562781=CARTESIAN_POINT('',(23.041313,57.9976,0.035)); #562782=CARTESIAN_POINT('',(23.041313,57.9976,0.035)); #562783=CARTESIAN_POINT('',(23.041313,57.9976,0.)); #562784=CARTESIAN_POINT('Origin',(23.053131,58.,0.)); #562785=CARTESIAN_POINT('',(23.053131,58.,0.)); #562786=CARTESIAN_POINT('',(23.053131,58.,0.)); #562787=CARTESIAN_POINT('',(23.053131,58.,0.035)); #562788=CARTESIAN_POINT('',(23.053131,58.,0.035)); #562789=CARTESIAN_POINT('',(23.053131,58.,0.)); #562790=CARTESIAN_POINT('Origin',(23.486869,58.,0.)); #562791=CARTESIAN_POINT('',(23.486869,58.,0.)); #562792=CARTESIAN_POINT('',(23.486869,58.,0.)); #562793=CARTESIAN_POINT('',(23.486869,58.,0.035)); #562794=CARTESIAN_POINT('',(23.486869,58.,0.035)); #562795=CARTESIAN_POINT('',(23.486869,58.,0.)); #562796=CARTESIAN_POINT('Origin',(23.499191,57.9976,0.)); #562797=CARTESIAN_POINT('',(23.499191,57.9976,0.)); #562798=CARTESIAN_POINT('',(23.499191,57.9976,0.)); #562799=CARTESIAN_POINT('',(23.499191,57.9976,0.035)); #562800=CARTESIAN_POINT('',(23.499191,57.9976,0.035)); #562801=CARTESIAN_POINT('',(23.499191,57.9976,0.)); #562802=CARTESIAN_POINT('Origin',(24.311312,57.9976,0.)); #562803=CARTESIAN_POINT('',(24.311312,57.9976,0.)); #562804=CARTESIAN_POINT('',(24.311312,57.9976,0.)); #562805=CARTESIAN_POINT('',(24.311312,57.9976,0.035)); #562806=CARTESIAN_POINT('',(24.311312,57.9976,0.035)); #562807=CARTESIAN_POINT('',(24.311312,57.9976,0.)); #562808=CARTESIAN_POINT('Origin',(24.323131,58.,0.)); #562809=CARTESIAN_POINT('',(24.323131,58.,0.)); #562810=CARTESIAN_POINT('',(24.323131,58.,0.)); #562811=CARTESIAN_POINT('',(24.323131,58.,0.035)); #562812=CARTESIAN_POINT('',(24.323131,58.,0.035)); #562813=CARTESIAN_POINT('',(24.323131,58.,0.)); #562814=CARTESIAN_POINT('Origin',(24.491006,58.,0.)); #562815=CARTESIAN_POINT('',(24.491006,58.,0.)); #562816=CARTESIAN_POINT('',(24.491006,58.,0.)); #562817=CARTESIAN_POINT('',(24.491006,58.,0.035)); #562818=CARTESIAN_POINT('',(24.491006,58.,0.035)); #562819=CARTESIAN_POINT('',(24.491006,58.,0.)); #562820=CARTESIAN_POINT('Origin',(24.500025,58.001284,0.)); #562821=CARTESIAN_POINT('',(24.500025,58.001284,0.)); #562822=CARTESIAN_POINT('',(24.500025,58.001284,0.)); #562823=CARTESIAN_POINT('',(24.500025,58.001284,0.035)); #562824=CARTESIAN_POINT('',(24.500025,58.001284,0.035)); #562825=CARTESIAN_POINT('',(24.500025,58.001284,0.)); #562826=CARTESIAN_POINT('Origin',(24.505653,58.005653,0.)); #562827=CARTESIAN_POINT('',(24.505653,58.005653,0.)); #562828=CARTESIAN_POINT('',(24.505653,58.005653,0.)); #562829=CARTESIAN_POINT('',(24.505653,58.005653,0.035)); #562830=CARTESIAN_POINT('',(24.505653,58.005653,0.035)); #562831=CARTESIAN_POINT('',(24.505653,58.005653,0.)); #562832=CARTESIAN_POINT('Origin',(25.212803,58.712803,0.)); #562833=CARTESIAN_POINT('',(25.212803,58.712803,0.)); #562834=CARTESIAN_POINT('',(25.212803,58.712803,0.)); #562835=CARTESIAN_POINT('',(25.212803,58.712803,0.035)); #562836=CARTESIAN_POINT('',(25.212803,58.712803,0.035)); #562837=CARTESIAN_POINT('',(25.212803,58.712803,0.)); #562838=CARTESIAN_POINT('Origin',(25.253072,58.739941,0.)); #562839=CARTESIAN_POINT('',(25.253072,58.739941,0.)); #562840=CARTESIAN_POINT('',(25.253072,58.739941,0.)); #562841=CARTESIAN_POINT('',(25.253072,58.739941,0.035)); #562842=CARTESIAN_POINT('',(25.253072,58.739941,0.035)); #562843=CARTESIAN_POINT('',(25.253072,58.739941,0.)); #562844=CARTESIAN_POINT('Origin',(25.302606,58.75,0.)); #562845=CARTESIAN_POINT('',(25.302606,58.75,0.)); #562846=CARTESIAN_POINT('',(25.302606,58.75,0.)); #562847=CARTESIAN_POINT('',(25.302606,58.75,0.035)); #562848=CARTESIAN_POINT('',(25.302606,58.75,0.035)); #562849=CARTESIAN_POINT('',(25.302606,58.75,0.)); #562850=CARTESIAN_POINT('Origin',(30.197394,58.75,0.)); #562851=CARTESIAN_POINT('',(30.197394,58.75,0.)); #562852=CARTESIAN_POINT('',(30.197394,58.75,0.)); #562853=CARTESIAN_POINT('',(30.197394,58.75,0.035)); #562854=CARTESIAN_POINT('',(30.197394,58.75,0.035)); #562855=CARTESIAN_POINT('',(30.197394,58.75,0.)); #562856=CARTESIAN_POINT('Origin',(30.245056,58.740716,0.)); #562857=CARTESIAN_POINT('',(30.245056,58.740716,0.)); #562858=CARTESIAN_POINT('',(30.245056,58.740716,0.)); #562859=CARTESIAN_POINT('',(30.245056,58.740716,0.035)); #562860=CARTESIAN_POINT('',(30.245056,58.740716,0.035)); #562861=CARTESIAN_POINT('',(30.245056,58.740716,0.)); #562862=CARTESIAN_POINT('Origin',(30.287197,58.712803,0.)); #562863=CARTESIAN_POINT('',(30.287197,58.712803,0.)); #562864=CARTESIAN_POINT('',(30.287197,58.712803,0.)); #562865=CARTESIAN_POINT('',(30.287197,58.712803,0.035)); #562866=CARTESIAN_POINT('',(30.287197,58.712803,0.035)); #562867=CARTESIAN_POINT('',(30.287197,58.712803,0.)); #562868=CARTESIAN_POINT('Origin',(30.962803,58.037197,0.)); #562869=CARTESIAN_POINT('',(30.962803,58.037197,0.)); #562870=CARTESIAN_POINT('',(30.962803,58.037197,0.)); #562871=CARTESIAN_POINT('',(30.962803,58.037197,0.035)); #562872=CARTESIAN_POINT('',(30.962803,58.037197,0.035)); #562873=CARTESIAN_POINT('',(30.962803,58.037197,0.)); #562874=CARTESIAN_POINT('Origin',(30.989941,57.996928,0.)); #562875=CARTESIAN_POINT('',(30.989941,57.996928,0.)); #562876=CARTESIAN_POINT('',(30.989941,57.996928,0.)); #562877=CARTESIAN_POINT('',(30.989941,57.996928,0.035)); #562878=CARTESIAN_POINT('',(30.989941,57.996928,0.035)); #562879=CARTESIAN_POINT('',(30.989941,57.996928,0.)); #562880=CARTESIAN_POINT('Origin',(31.,57.947394,0.)); #562881=CARTESIAN_POINT('',(31.,57.947394,0.)); #562882=CARTESIAN_POINT('',(31.,57.947394,0.)); #562883=CARTESIAN_POINT('',(31.,57.947394,0.035)); #562884=CARTESIAN_POINT('',(31.,57.947394,0.035)); #562885=CARTESIAN_POINT('',(31.,57.947394,0.)); #562886=CARTESIAN_POINT('Origin',(31.,52.052606,0.)); #562887=CARTESIAN_POINT('',(31.,52.052606,0.)); #562888=CARTESIAN_POINT('',(31.,52.052606,0.)); #562889=CARTESIAN_POINT('',(31.,52.052606,0.035)); #562890=CARTESIAN_POINT('',(31.,52.052606,0.035)); #562891=CARTESIAN_POINT('',(31.,52.052606,0.)); #562892=CARTESIAN_POINT('Origin',(30.990716,52.004944,0.)); #562893=CARTESIAN_POINT('',(30.990716,52.004944,0.)); #562894=CARTESIAN_POINT('',(30.990716,52.004944,0.)); #562895=CARTESIAN_POINT('',(30.990716,52.004944,0.035)); #562896=CARTESIAN_POINT('',(30.990716,52.004944,0.035)); #562897=CARTESIAN_POINT('',(30.990716,52.004944,0.)); #562898=CARTESIAN_POINT('Origin',(30.962803,51.962803,0.)); #562899=CARTESIAN_POINT('',(30.962803,51.962803,0.)); #562900=CARTESIAN_POINT('',(30.962803,51.962803,0.)); #562901=CARTESIAN_POINT('',(30.962803,51.962803,0.035)); #562902=CARTESIAN_POINT('',(30.962803,51.962803,0.035)); #562903=CARTESIAN_POINT('',(30.962803,51.962803,0.)); #562904=CARTESIAN_POINT('Origin',(30.287197,51.287197,0.)); #562905=CARTESIAN_POINT('',(30.287197,51.287197,0.)); #562906=CARTESIAN_POINT('',(30.287197,51.287197,0.)); #562907=CARTESIAN_POINT('',(30.287197,51.287197,0.035)); #562908=CARTESIAN_POINT('',(30.287197,51.287197,0.035)); #562909=CARTESIAN_POINT('',(30.287197,51.287197,0.)); #562910=CARTESIAN_POINT('Origin',(30.246928,51.260059,0.)); #562911=CARTESIAN_POINT('',(30.246928,51.260059,0.)); #562912=CARTESIAN_POINT('',(30.246928,51.260059,0.)); #562913=CARTESIAN_POINT('',(30.246928,51.260059,0.035)); #562914=CARTESIAN_POINT('',(30.246928,51.260059,0.035)); #562915=CARTESIAN_POINT('',(30.246928,51.260059,0.)); #562916=CARTESIAN_POINT('Origin',(30.197394,51.25,0.)); #562917=CARTESIAN_POINT('',(30.197394,51.25,0.)); #562918=CARTESIAN_POINT('',(30.197394,51.25,0.)); #562919=CARTESIAN_POINT('',(30.197394,51.25,0.035)); #562920=CARTESIAN_POINT('',(30.197394,51.25,0.035)); #562921=CARTESIAN_POINT('',(30.197394,51.25,0.)); #562922=CARTESIAN_POINT('Origin',(26.383994,51.25,0.)); #562923=CARTESIAN_POINT('',(26.383994,51.25,0.)); #562924=CARTESIAN_POINT('',(26.383994,51.25,0.)); #562925=CARTESIAN_POINT('',(26.383994,51.25,0.035)); #562926=CARTESIAN_POINT('',(26.383994,51.25,0.035)); #562927=CARTESIAN_POINT('',(26.383994,51.25,0.)); #562928=CARTESIAN_POINT('Origin',(26.374975,51.248716,0.)); #562929=CARTESIAN_POINT('',(26.374975,51.248716,0.)); #562930=CARTESIAN_POINT('',(26.374975,51.248716,0.)); #562931=CARTESIAN_POINT('',(26.374975,51.248716,0.035)); #562932=CARTESIAN_POINT('',(26.374975,51.248716,0.035)); #562933=CARTESIAN_POINT('',(26.374975,51.248716,0.)); #562934=CARTESIAN_POINT('Origin',(26.369347,51.244347,0.)); #562935=CARTESIAN_POINT('',(26.369347,51.244347,0.)); #562936=CARTESIAN_POINT('',(26.369347,51.244347,0.)); #562937=CARTESIAN_POINT('',(26.369347,51.244347,0.035)); #562938=CARTESIAN_POINT('',(26.369347,51.244347,0.035)); #562939=CARTESIAN_POINT('',(26.369347,51.244347,0.)); #562940=CARTESIAN_POINT('Origin',(25.006366,49.881366,0.)); #562941=CARTESIAN_POINT('',(25.006366,49.881366,0.)); #562942=CARTESIAN_POINT('',(25.006366,49.881366,0.)); #562943=CARTESIAN_POINT('',(25.006366,49.881366,0.035)); #562944=CARTESIAN_POINT('',(25.006366,49.881366,0.035)); #562945=CARTESIAN_POINT('',(25.006366,49.881366,0.)); #562946=CARTESIAN_POINT('Origin',(25.000891,49.874072,0.)); #562947=CARTESIAN_POINT('',(25.000891,49.874072,0.)); #562948=CARTESIAN_POINT('',(25.000891,49.874072,0.)); #562949=CARTESIAN_POINT('',(25.000891,49.874072,0.035)); #562950=CARTESIAN_POINT('',(25.000891,49.874072,0.035)); #562951=CARTESIAN_POINT('',(25.000891,49.874072,0.)); #562952=CARTESIAN_POINT('Origin',(25.,49.867016,0.)); #562953=CARTESIAN_POINT('',(25.,49.867016,0.)); #562954=CARTESIAN_POINT('',(25.,49.867016,0.)); #562955=CARTESIAN_POINT('',(25.,49.867016,0.035)); #562956=CARTESIAN_POINT('',(25.,49.867016,0.035)); #562957=CARTESIAN_POINT('',(25.,49.867016,0.)); #562958=CARTESIAN_POINT('Origin',(25.,49.2286,0.)); #562959=CARTESIAN_POINT('',(25.,49.2286,0.)); #562960=CARTESIAN_POINT('',(25.,49.2286,0.)); #562961=CARTESIAN_POINT('',(25.,49.2286,0.035)); #562962=CARTESIAN_POINT('',(25.,49.2286,0.035)); #562963=CARTESIAN_POINT('',(25.,49.2286,0.)); #562964=CARTESIAN_POINT('Origin',(24.991463,49.182819,0.)); #562965=CARTESIAN_POINT('',(24.991463,49.182819,0.)); #562966=CARTESIAN_POINT('',(24.991463,49.182819,0.)); #562967=CARTESIAN_POINT('',(24.991463,49.182819,0.035)); #562968=CARTESIAN_POINT('',(24.991463,49.182819,0.035)); #562969=CARTESIAN_POINT('',(24.991463,49.182819,0.)); #562970=CARTESIAN_POINT('Origin',(24.964222,49.140241,0.)); #562971=CARTESIAN_POINT('',(24.964222,49.140241,0.)); #562972=CARTESIAN_POINT('',(24.964222,49.140241,0.)); #562973=CARTESIAN_POINT('',(24.964222,49.140241,0.035)); #562974=CARTESIAN_POINT('',(24.964222,49.140241,0.035)); #562975=CARTESIAN_POINT('',(24.964222,49.140241,0.)); #562976=CARTESIAN_POINT('Origin',(24.922534,49.111659,0.)); #562977=CARTESIAN_POINT('',(24.922534,49.111659,0.)); #562978=CARTESIAN_POINT('',(24.922534,49.111659,0.)); #562979=CARTESIAN_POINT('',(24.922534,49.111659,0.035)); #562980=CARTESIAN_POINT('',(24.922534,49.111659,0.035)); #562981=CARTESIAN_POINT('',(24.922534,49.111659,0.)); #562982=CARTESIAN_POINT('Origin',(24.873,49.1016,0.)); #562983=CARTESIAN_POINT('',(24.873,49.1016,0.)); #562984=CARTESIAN_POINT('',(24.873,49.1016,0.)); #562985=CARTESIAN_POINT('',(24.873,49.1016,0.035)); #562986=CARTESIAN_POINT('',(24.873,49.1016,0.035)); #562987=CARTESIAN_POINT('',(24.873,49.1016,0.)); #562988=CARTESIAN_POINT('Origin',(24.4844,49.1016,0.)); #562989=CARTESIAN_POINT('',(24.4844,49.1016,0.)); #562990=CARTESIAN_POINT('',(24.4844,49.1016,0.)); #562991=CARTESIAN_POINT('',(24.4844,49.1016,0.035)); #562992=CARTESIAN_POINT('',(24.4844,49.1016,0.035)); #562993=CARTESIAN_POINT('',(24.4844,49.1016,0.)); #562994=CARTESIAN_POINT('Origin',(24.438619,49.110138,0.)); #562995=CARTESIAN_POINT('',(24.438619,49.110138,0.)); #562996=CARTESIAN_POINT('',(24.438619,49.110138,0.)); #562997=CARTESIAN_POINT('',(24.438619,49.110138,0.035)); #562998=CARTESIAN_POINT('',(24.438619,49.110138,0.035)); #562999=CARTESIAN_POINT('',(24.438619,49.110138,0.)); #563000=CARTESIAN_POINT('Origin',(24.396041,49.137378,0.)); #563001=CARTESIAN_POINT('',(24.396041,49.137378,0.)); #563002=CARTESIAN_POINT('',(24.396041,49.137378,0.)); #563003=CARTESIAN_POINT('',(24.396041,49.137378,0.035)); #563004=CARTESIAN_POINT('',(24.396041,49.137378,0.035)); #563005=CARTESIAN_POINT('',(24.396041,49.137378,0.)); #563006=CARTESIAN_POINT('Origin',(24.367459,49.179066,0.)); #563007=CARTESIAN_POINT('',(24.367459,49.179066,0.)); #563008=CARTESIAN_POINT('',(24.367459,49.179066,0.)); #563009=CARTESIAN_POINT('',(24.367459,49.179066,0.035)); #563010=CARTESIAN_POINT('',(24.367459,49.179066,0.035)); #563011=CARTESIAN_POINT('',(24.367459,49.179066,0.)); #563012=CARTESIAN_POINT('Origin',(24.3574,49.2286,0.)); #563013=CARTESIAN_POINT('',(24.3574,49.2286,0.)); #563014=CARTESIAN_POINT('',(24.3574,49.2286,0.)); #563015=CARTESIAN_POINT('',(24.3574,49.2286,0.035)); #563016=CARTESIAN_POINT('',(24.3574,49.2286,0.035)); #563017=CARTESIAN_POINT('',(24.3574,49.2286,0.)); #563018=CARTESIAN_POINT('Origin',(24.3574,50.413125,0.)); #563019=CARTESIAN_POINT('',(24.3574,50.413125,0.)); #563020=CARTESIAN_POINT('',(24.3574,50.413125,0.)); #563021=CARTESIAN_POINT('',(24.3574,50.413125,0.035)); #563022=CARTESIAN_POINT('',(24.3574,50.413125,0.035)); #563023=CARTESIAN_POINT('',(24.3574,50.413125,0.)); #563024=CARTESIAN_POINT('Origin',(24.268125,50.5024,0.)); #563025=CARTESIAN_POINT('',(24.268125,50.5024,0.)); #563026=CARTESIAN_POINT('',(24.268125,50.5024,0.)); #563027=CARTESIAN_POINT('',(24.268125,50.5024,0.035)); #563028=CARTESIAN_POINT('',(24.268125,50.5024,0.035)); #563029=CARTESIAN_POINT('',(24.268125,50.5024,0.)); #563030=CARTESIAN_POINT('Origin',(23.541875,50.5024,0.)); #563031=CARTESIAN_POINT('',(23.541875,50.5024,0.)); #563032=CARTESIAN_POINT('',(23.541875,50.5024,0.)); #563033=CARTESIAN_POINT('',(23.541875,50.5024,0.035)); #563034=CARTESIAN_POINT('',(23.541875,50.5024,0.035)); #563035=CARTESIAN_POINT('',(23.541875,50.5024,0.)); #563036=CARTESIAN_POINT('Origin',(23.4526,50.413125,0.)); #563037=CARTESIAN_POINT('',(23.4526,50.413125,0.)); #563038=CARTESIAN_POINT('',(23.4526,50.413125,0.)); #563039=CARTESIAN_POINT('',(23.4526,50.413125,0.035)); #563040=CARTESIAN_POINT('',(23.4526,50.413125,0.035)); #563041=CARTESIAN_POINT('',(23.4526,50.413125,0.)); #563042=CARTESIAN_POINT('Origin',(23.4526,49.2286,0.)); #563043=CARTESIAN_POINT('',(23.4526,49.2286,0.)); #563044=CARTESIAN_POINT('',(23.4526,49.2286,0.)); #563045=CARTESIAN_POINT('',(23.4526,49.2286,0.035)); #563046=CARTESIAN_POINT('',(23.4526,49.2286,0.035)); #563047=CARTESIAN_POINT('',(23.4526,49.2286,0.)); #563048=CARTESIAN_POINT('Origin',(23.444063,49.182819,0.)); #563049=CARTESIAN_POINT('',(23.444063,49.182819,0.)); #563050=CARTESIAN_POINT('',(23.444063,49.182819,0.)); #563051=CARTESIAN_POINT('',(23.444063,49.182819,0.035)); #563052=CARTESIAN_POINT('',(23.444063,49.182819,0.035)); #563053=CARTESIAN_POINT('',(23.444063,49.182819,0.)); #563054=CARTESIAN_POINT('Origin',(23.416822,49.140241,0.)); #563055=CARTESIAN_POINT('',(23.416822,49.140241,0.)); #563056=CARTESIAN_POINT('',(23.416822,49.140241,0.)); #563057=CARTESIAN_POINT('',(23.416822,49.140241,0.035)); #563058=CARTESIAN_POINT('',(23.416822,49.140241,0.035)); #563059=CARTESIAN_POINT('',(23.416822,49.140241,0.)); #563060=CARTESIAN_POINT('Origin',(23.375134,49.111659,0.)); #563061=CARTESIAN_POINT('',(23.375134,49.111659,0.)); #563062=CARTESIAN_POINT('',(23.375134,49.111659,0.035)); #563063=CARTESIAN_POINT('Origin',(24.4253411353723,53.8205093779368,0.035)); #563064=CARTESIAN_POINT('Origin',(24.4253411353723,53.8205093779368,0.)); #563065=CARTESIAN_POINT('Origin',(16.625,47.75,-200.)); #563066=CARTESIAN_POINT('',(16.485,47.75,0.)); #563067=CARTESIAN_POINT('Origin',(16.625,47.75,0.)); #563068=CARTESIAN_POINT('',(16.485,47.75,0.0349999999999895)); #563069=CARTESIAN_POINT('',(16.485,47.75,-200.)); #563070=CARTESIAN_POINT('Origin',(16.625,47.75,0.0349999999999895)); #563071=CARTESIAN_POINT('Origin',(15.75,47.75,-200.)); #563072=CARTESIAN_POINT('',(15.61,47.75,0.)); #563073=CARTESIAN_POINT('Origin',(15.75,47.75,0.)); #563074=CARTESIAN_POINT('',(15.61,47.75,0.0349999999999895)); #563075=CARTESIAN_POINT('',(15.61,47.75,-200.)); #563076=CARTESIAN_POINT('Origin',(15.75,47.75,0.0349999999999895)); #563077=CARTESIAN_POINT('Origin',(16.625,47.,-200.)); #563078=CARTESIAN_POINT('',(16.485,47.,0.)); #563079=CARTESIAN_POINT('Origin',(16.625,47.,0.)); #563080=CARTESIAN_POINT('',(16.485,47.,0.0349999999999895)); #563081=CARTESIAN_POINT('',(16.485,47.,-200.)); #563082=CARTESIAN_POINT('Origin',(16.625,47.,0.0349999999999895)); #563083=CARTESIAN_POINT('Origin',(16.625,46.25,-200.)); #563084=CARTESIAN_POINT('',(16.485,46.25,0.)); #563085=CARTESIAN_POINT('Origin',(16.625,46.25,0.)); #563086=CARTESIAN_POINT('',(16.485,46.25,0.0349999999999895)); #563087=CARTESIAN_POINT('',(16.485,46.25,-200.)); #563088=CARTESIAN_POINT('Origin',(16.625,46.25,0.0349999999999895)); #563089=CARTESIAN_POINT('Origin',(17.5,47.75,-200.)); #563090=CARTESIAN_POINT('',(17.36,47.75,0.)); #563091=CARTESIAN_POINT('Origin',(17.5,47.75,0.)); #563092=CARTESIAN_POINT('',(17.36,47.75,0.0349999999999895)); #563093=CARTESIAN_POINT('',(17.36,47.75,-200.)); #563094=CARTESIAN_POINT('Origin',(17.5,47.75,0.0349999999999895)); #563095=CARTESIAN_POINT('Origin',(19.375,46.25,-200.)); #563096=CARTESIAN_POINT('',(19.235,46.25,0.)); #563097=CARTESIAN_POINT('Origin',(19.375,46.25,0.)); #563098=CARTESIAN_POINT('',(19.235,46.25,0.0349999999999895)); #563099=CARTESIAN_POINT('',(19.235,46.25,-200.)); #563100=CARTESIAN_POINT('Origin',(19.375,46.25,0.0349999999999895)); #563101=CARTESIAN_POINT('Origin',(19.375,47.75,-200.)); #563102=CARTESIAN_POINT('',(19.235,47.75,0.)); #563103=CARTESIAN_POINT('Origin',(19.375,47.75,0.)); #563104=CARTESIAN_POINT('',(19.235,47.75,0.0349999999999895)); #563105=CARTESIAN_POINT('',(19.235,47.75,-200.)); #563106=CARTESIAN_POINT('Origin',(19.375,47.75,0.0349999999999895)); #563107=CARTESIAN_POINT('Origin',(19.375,47.,-200.)); #563108=CARTESIAN_POINT('',(19.235,47.,0.)); #563109=CARTESIAN_POINT('Origin',(19.375,47.,0.)); #563110=CARTESIAN_POINT('',(19.235,47.,0.0349999999999895)); #563111=CARTESIAN_POINT('',(19.235,47.,-200.)); #563112=CARTESIAN_POINT('Origin',(19.375,47.,0.0349999999999895)); #563113=CARTESIAN_POINT('Origin',(17.5,46.25,-200.)); #563114=CARTESIAN_POINT('',(17.36,46.25,0.)); #563115=CARTESIAN_POINT('Origin',(17.5,46.25,0.)); #563116=CARTESIAN_POINT('',(17.36,46.25,0.0349999999999895)); #563117=CARTESIAN_POINT('',(17.36,46.25,-200.)); #563118=CARTESIAN_POINT('Origin',(17.5,46.25,0.0349999999999895)); #563119=CARTESIAN_POINT('Origin',(17.5,47.,-200.)); #563120=CARTESIAN_POINT('',(17.36,47.,0.)); #563121=CARTESIAN_POINT('Origin',(17.5,47.,0.)); #563122=CARTESIAN_POINT('',(17.36,47.,0.0349999999999895)); #563123=CARTESIAN_POINT('',(17.36,47.,-200.)); #563124=CARTESIAN_POINT('Origin',(17.5,47.,0.0349999999999895)); #563125=CARTESIAN_POINT('Origin',(15.75,46.25,-200.)); #563126=CARTESIAN_POINT('',(15.61,46.25,0.)); #563127=CARTESIAN_POINT('Origin',(15.75,46.25,0.)); #563128=CARTESIAN_POINT('',(15.61,46.25,0.0349999999999895)); #563129=CARTESIAN_POINT('',(15.61,46.25,-200.)); #563130=CARTESIAN_POINT('Origin',(15.75,46.25,0.0349999999999895)); #563131=CARTESIAN_POINT('Origin',(15.75,47.,-200.)); #563132=CARTESIAN_POINT('',(15.61,47.,0.)); #563133=CARTESIAN_POINT('Origin',(15.75,47.,0.)); #563134=CARTESIAN_POINT('',(15.61,47.,0.0349999999999895)); #563135=CARTESIAN_POINT('',(15.61,47.,-200.)); #563136=CARTESIAN_POINT('Origin',(15.75,47.,0.0349999999999895)); #563137=CARTESIAN_POINT('Origin',(23.75,47.,-200.)); #563138=CARTESIAN_POINT('',(23.61,47.,0.)); #563139=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #563140=CARTESIAN_POINT('',(23.61,47.,0.0349999999999895)); #563141=CARTESIAN_POINT('',(23.61,47.,-200.)); #563142=CARTESIAN_POINT('Origin',(23.75,47.,0.0349999999999895)); #563143=CARTESIAN_POINT('Origin',(20.25,47.,-200.)); #563144=CARTESIAN_POINT('',(20.11,47.,0.)); #563145=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #563146=CARTESIAN_POINT('',(20.11,47.,0.0349999999999895)); #563147=CARTESIAN_POINT('',(20.11,47.,-200.)); #563148=CARTESIAN_POINT('Origin',(20.25,47.,0.0349999999999895)); #563149=CARTESIAN_POINT('Origin',(23.75,46.25,-200.)); #563150=CARTESIAN_POINT('',(23.61,46.25,0.)); #563151=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #563152=CARTESIAN_POINT('',(23.61,46.25,0.0349999999999895)); #563153=CARTESIAN_POINT('',(23.61,46.25,-200.)); #563154=CARTESIAN_POINT('Origin',(23.75,46.25,0.0349999999999895)); #563155=CARTESIAN_POINT('Origin',(22.,46.25,-200.)); #563156=CARTESIAN_POINT('',(21.86,46.25,0.)); #563157=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #563158=CARTESIAN_POINT('',(21.86,46.25,0.0349999999999895)); #563159=CARTESIAN_POINT('',(21.86,46.25,-200.)); #563160=CARTESIAN_POINT('Origin',(22.,46.25,0.0349999999999895)); #563161=CARTESIAN_POINT('Origin',(20.25,46.25,-200.)); #563162=CARTESIAN_POINT('',(20.11,46.25,0.)); #563163=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #563164=CARTESIAN_POINT('',(20.11,46.25,0.0349999999999895)); #563165=CARTESIAN_POINT('',(20.11,46.25,-200.)); #563166=CARTESIAN_POINT('Origin',(20.25,46.25,0.0349999999999895)); #563167=CARTESIAN_POINT('Origin',(18.5,47.75,-200.)); #563168=CARTESIAN_POINT('',(18.36,47.75,0.)); #563169=CARTESIAN_POINT('Origin',(18.5,47.75,0.)); #563170=CARTESIAN_POINT('',(18.36,47.75,0.0349999999999895)); #563171=CARTESIAN_POINT('',(18.36,47.75,-200.)); #563172=CARTESIAN_POINT('Origin',(18.5,47.75,0.0349999999999895)); #563173=CARTESIAN_POINT('Origin',(20.25,47.75,-200.)); #563174=CARTESIAN_POINT('',(20.11,47.75,0.)); #563175=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #563176=CARTESIAN_POINT('',(20.11,47.75,0.0349999999999895)); #563177=CARTESIAN_POINT('',(20.11,47.75,-200.)); #563178=CARTESIAN_POINT('Origin',(20.25,47.75,0.0349999999999895)); #563179=CARTESIAN_POINT('Origin',(23.75,47.75,-200.)); #563180=CARTESIAN_POINT('',(23.61,47.75,0.)); #563181=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #563182=CARTESIAN_POINT('',(23.61,47.75,0.0349999999999895)); #563183=CARTESIAN_POINT('',(23.61,47.75,-200.)); #563184=CARTESIAN_POINT('Origin',(23.75,47.75,0.0349999999999895)); #563185=CARTESIAN_POINT('Origin',(21.125,47.,-200.)); #563186=CARTESIAN_POINT('',(20.985,47.,0.)); #563187=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #563188=CARTESIAN_POINT('',(20.985,47.,0.0349999999999895)); #563189=CARTESIAN_POINT('',(20.985,47.,-200.)); #563190=CARTESIAN_POINT('Origin',(21.125,47.,0.0349999999999895)); #563191=CARTESIAN_POINT('Origin',(21.125,47.75,-200.)); #563192=CARTESIAN_POINT('',(20.985,47.75,0.)); #563193=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #563194=CARTESIAN_POINT('',(20.985,47.75,0.0349999999999895)); #563195=CARTESIAN_POINT('',(20.985,47.75,-200.)); #563196=CARTESIAN_POINT('Origin',(21.125,47.75,0.0349999999999895)); #563197=CARTESIAN_POINT('Origin',(22.,47.,-200.)); #563198=CARTESIAN_POINT('',(21.86,47.,0.)); #563199=CARTESIAN_POINT('Origin',(22.,47.,0.)); #563200=CARTESIAN_POINT('',(21.86,47.,0.0349999999999895)); #563201=CARTESIAN_POINT('',(21.86,47.,-200.)); #563202=CARTESIAN_POINT('Origin',(22.,47.,0.0349999999999895)); #563203=CARTESIAN_POINT('Origin',(22.,47.75,-200.)); #563204=CARTESIAN_POINT('',(21.86,47.75,0.)); #563205=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #563206=CARTESIAN_POINT('',(21.86,47.75,0.0349999999999895)); #563207=CARTESIAN_POINT('',(21.86,47.75,-200.)); #563208=CARTESIAN_POINT('Origin',(22.,47.75,0.0349999999999895)); #563209=CARTESIAN_POINT('Origin',(22.875,46.25,-200.)); #563210=CARTESIAN_POINT('',(22.735,46.25,0.)); #563211=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #563212=CARTESIAN_POINT('',(22.735,46.25,0.0349999999999895)); #563213=CARTESIAN_POINT('',(22.735,46.25,-200.)); #563214=CARTESIAN_POINT('Origin',(22.875,46.25,0.0349999999999895)); #563215=CARTESIAN_POINT('Origin',(21.125,46.25,-200.)); #563216=CARTESIAN_POINT('',(20.985,46.25,0.)); #563217=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #563218=CARTESIAN_POINT('',(20.985,46.25,0.0349999999999895)); #563219=CARTESIAN_POINT('',(20.985,46.25,-200.)); #563220=CARTESIAN_POINT('Origin',(21.125,46.25,0.0349999999999895)); #563221=CARTESIAN_POINT('Origin',(22.875,47.,-200.)); #563222=CARTESIAN_POINT('',(22.735,47.,0.)); #563223=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #563224=CARTESIAN_POINT('',(22.735,47.,0.0349999999999895)); #563225=CARTESIAN_POINT('',(22.735,47.,-200.)); #563226=CARTESIAN_POINT('Origin',(22.875,47.,0.0349999999999895)); #563227=CARTESIAN_POINT('Origin',(22.875,47.75,-200.)); #563228=CARTESIAN_POINT('',(22.735,47.75,0.)); #563229=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #563230=CARTESIAN_POINT('',(22.735,47.75,0.0349999999999895)); #563231=CARTESIAN_POINT('',(22.735,47.75,-200.)); #563232=CARTESIAN_POINT('Origin',(22.875,47.75,0.0349999999999895)); #563233=CARTESIAN_POINT('Origin',(18.5,47.,-200.)); #563234=CARTESIAN_POINT('',(18.36,47.,0.)); #563235=CARTESIAN_POINT('Origin',(18.5,47.,0.)); #563236=CARTESIAN_POINT('',(18.36,47.,0.0349999999999895)); #563237=CARTESIAN_POINT('',(18.36,47.,-200.)); #563238=CARTESIAN_POINT('Origin',(18.5,47.,0.0349999999999895)); #563239=CARTESIAN_POINT('Origin',(18.5,46.25,-200.)); #563240=CARTESIAN_POINT('',(18.36,46.25,0.)); #563241=CARTESIAN_POINT('Origin',(18.5,46.25,0.)); #563242=CARTESIAN_POINT('',(18.36,46.25,0.0349999999999895)); #563243=CARTESIAN_POINT('',(18.36,46.25,-200.)); #563244=CARTESIAN_POINT('Origin',(18.5,46.25,0.0349999999999895)); #563245=CARTESIAN_POINT('Origin',(18.947394,40.75,0.)); #563246=CARTESIAN_POINT('',(18.947394,40.75,0.)); #563247=CARTESIAN_POINT('',(18.996928,40.760059,0.)); #563248=CARTESIAN_POINT('',(18.947394,40.75,0.)); #563249=CARTESIAN_POINT('',(18.996928,40.760059,0.035)); #563250=CARTESIAN_POINT('',(18.996928,40.760059,0.)); #563251=CARTESIAN_POINT('',(18.947394,40.75,0.035)); #563252=CARTESIAN_POINT('',(18.947394,40.75,0.035)); #563253=CARTESIAN_POINT('',(18.947394,40.75,0.)); #563254=CARTESIAN_POINT('Origin',(14.802606,40.75,0.)); #563255=CARTESIAN_POINT('',(14.802606,40.75,0.)); #563256=CARTESIAN_POINT('',(14.802606,40.75,0.)); #563257=CARTESIAN_POINT('',(14.802606,40.75,0.035)); #563258=CARTESIAN_POINT('',(14.802606,40.75,0.035)); #563259=CARTESIAN_POINT('',(14.802606,40.75,0.)); #563260=CARTESIAN_POINT('Origin',(14.754944,40.759284,0.)); #563261=CARTESIAN_POINT('',(14.754944,40.759284,0.)); #563262=CARTESIAN_POINT('',(14.754944,40.759284,0.)); #563263=CARTESIAN_POINT('',(14.754944,40.759284,0.035)); #563264=CARTESIAN_POINT('',(14.754944,40.759284,0.035)); #563265=CARTESIAN_POINT('',(14.754944,40.759284,0.)); #563266=CARTESIAN_POINT('Origin',(14.712803,40.787197,0.)); #563267=CARTESIAN_POINT('',(14.712803,40.787197,0.)); #563268=CARTESIAN_POINT('',(14.712803,40.787197,0.)); #563269=CARTESIAN_POINT('',(14.712803,40.787197,0.035)); #563270=CARTESIAN_POINT('',(14.712803,40.787197,0.035)); #563271=CARTESIAN_POINT('',(14.712803,40.787197,0.)); #563272=CARTESIAN_POINT('Origin',(14.037197,41.462803,0.)); #563273=CARTESIAN_POINT('',(14.037197,41.462803,0.)); #563274=CARTESIAN_POINT('',(14.037197,41.462803,0.)); #563275=CARTESIAN_POINT('',(14.037197,41.462803,0.035)); #563276=CARTESIAN_POINT('',(14.037197,41.462803,0.035)); #563277=CARTESIAN_POINT('',(14.037197,41.462803,0.)); #563278=CARTESIAN_POINT('Origin',(14.010059,41.503072,0.)); #563279=CARTESIAN_POINT('',(14.010059,41.503072,0.)); #563280=CARTESIAN_POINT('',(14.010059,41.503072,0.)); #563281=CARTESIAN_POINT('',(14.010059,41.503072,0.035)); #563282=CARTESIAN_POINT('',(14.010059,41.503072,0.035)); #563283=CARTESIAN_POINT('',(14.010059,41.503072,0.)); #563284=CARTESIAN_POINT('Origin',(14.,41.552606,0.)); #563285=CARTESIAN_POINT('',(14.,41.552606,0.)); #563286=CARTESIAN_POINT('',(14.,41.552606,0.)); #563287=CARTESIAN_POINT('',(14.,41.552606,0.035)); #563288=CARTESIAN_POINT('',(14.,41.552606,0.035)); #563289=CARTESIAN_POINT('',(14.,41.552606,0.)); #563290=CARTESIAN_POINT('Origin',(14.,48.197394,0.)); #563291=CARTESIAN_POINT('',(14.,48.197394,0.)); #563292=CARTESIAN_POINT('',(14.,48.197394,0.)); #563293=CARTESIAN_POINT('',(14.,48.197394,0.035)); #563294=CARTESIAN_POINT('',(14.,48.197394,0.035)); #563295=CARTESIAN_POINT('',(14.,48.197394,0.)); #563296=CARTESIAN_POINT('Origin',(14.009284,48.245056,0.)); #563297=CARTESIAN_POINT('',(14.009284,48.245056,0.)); #563298=CARTESIAN_POINT('',(14.009284,48.245056,0.)); #563299=CARTESIAN_POINT('',(14.009284,48.245056,0.035)); #563300=CARTESIAN_POINT('',(14.009284,48.245056,0.035)); #563301=CARTESIAN_POINT('',(14.009284,48.245056,0.)); #563302=CARTESIAN_POINT('Origin',(14.037197,48.287197,0.)); #563303=CARTESIAN_POINT('',(14.037197,48.287197,0.)); #563304=CARTESIAN_POINT('',(14.037197,48.287197,0.)); #563305=CARTESIAN_POINT('',(14.037197,48.287197,0.035)); #563306=CARTESIAN_POINT('',(14.037197,48.287197,0.035)); #563307=CARTESIAN_POINT('',(14.037197,48.287197,0.)); #563308=CARTESIAN_POINT('Origin',(14.712803,48.962803,0.)); #563309=CARTESIAN_POINT('',(14.712803,48.962803,0.)); #563310=CARTESIAN_POINT('',(14.712803,48.962803,0.)); #563311=CARTESIAN_POINT('',(14.712803,48.962803,0.035)); #563312=CARTESIAN_POINT('',(14.712803,48.962803,0.035)); #563313=CARTESIAN_POINT('',(14.712803,48.962803,0.)); #563314=CARTESIAN_POINT('Origin',(14.753072,48.989941,0.)); #563315=CARTESIAN_POINT('',(14.753072,48.989941,0.)); #563316=CARTESIAN_POINT('',(14.753072,48.989941,0.)); #563317=CARTESIAN_POINT('',(14.753072,48.989941,0.035)); #563318=CARTESIAN_POINT('',(14.753072,48.989941,0.035)); #563319=CARTESIAN_POINT('',(14.753072,48.989941,0.)); #563320=CARTESIAN_POINT('Origin',(14.802606,49.,0.)); #563321=CARTESIAN_POINT('',(14.802606,49.,0.)); #563322=CARTESIAN_POINT('',(14.802606,49.,0.)); #563323=CARTESIAN_POINT('',(14.802606,49.,0.035)); #563324=CARTESIAN_POINT('',(14.802606,49.,0.035)); #563325=CARTESIAN_POINT('',(14.802606,49.,0.)); #563326=CARTESIAN_POINT('Origin',(19.676869,49.,0.)); #563327=CARTESIAN_POINT('',(19.676869,49.,0.)); #563328=CARTESIAN_POINT('',(19.676869,49.,0.)); #563329=CARTESIAN_POINT('',(19.676869,49.,0.035)); #563330=CARTESIAN_POINT('',(19.676869,49.,0.035)); #563331=CARTESIAN_POINT('',(19.676869,49.,0.)); #563332=CARTESIAN_POINT('Origin',(19.689191,48.9976,0.)); #563333=CARTESIAN_POINT('',(19.689191,48.9976,0.)); #563334=CARTESIAN_POINT('',(19.689191,48.9976,0.)); #563335=CARTESIAN_POINT('',(19.689191,48.9976,0.035)); #563336=CARTESIAN_POINT('',(19.689191,48.9976,0.035)); #563337=CARTESIAN_POINT('',(19.689191,48.9976,0.)); #563338=CARTESIAN_POINT('Origin',(20.501313,48.9976,0.)); #563339=CARTESIAN_POINT('',(20.501313,48.9976,0.)); #563340=CARTESIAN_POINT('',(20.501313,48.9976,0.)); #563341=CARTESIAN_POINT('',(20.501313,48.9976,0.035)); #563342=CARTESIAN_POINT('',(20.501313,48.9976,0.035)); #563343=CARTESIAN_POINT('',(20.501313,48.9976,0.)); #563344=CARTESIAN_POINT('Origin',(20.513131,49.,0.)); #563345=CARTESIAN_POINT('',(20.513131,49.,0.)); #563346=CARTESIAN_POINT('',(20.513131,49.,0.)); #563347=CARTESIAN_POINT('',(20.513131,49.,0.035)); #563348=CARTESIAN_POINT('',(20.513131,49.,0.035)); #563349=CARTESIAN_POINT('',(20.513131,49.,0.)); #563350=CARTESIAN_POINT('Origin',(20.946869,49.,0.)); #563351=CARTESIAN_POINT('',(20.946869,49.,0.)); #563352=CARTESIAN_POINT('',(20.946869,49.,0.)); #563353=CARTESIAN_POINT('',(20.946869,49.,0.035)); #563354=CARTESIAN_POINT('',(20.946869,49.,0.035)); #563355=CARTESIAN_POINT('',(20.946869,49.,0.)); #563356=CARTESIAN_POINT('Origin',(20.959191,48.9976,0.)); #563357=CARTESIAN_POINT('',(20.959191,48.9976,0.)); #563358=CARTESIAN_POINT('',(20.959191,48.9976,0.)); #563359=CARTESIAN_POINT('',(20.959191,48.9976,0.035)); #563360=CARTESIAN_POINT('',(20.959191,48.9976,0.035)); #563361=CARTESIAN_POINT('',(20.959191,48.9976,0.)); #563362=CARTESIAN_POINT('Origin',(21.771313,48.9976,0.)); #563363=CARTESIAN_POINT('',(21.771313,48.9976,0.)); #563364=CARTESIAN_POINT('',(21.771313,48.9976,0.)); #563365=CARTESIAN_POINT('',(21.771313,48.9976,0.035)); #563366=CARTESIAN_POINT('',(21.771313,48.9976,0.035)); #563367=CARTESIAN_POINT('',(21.771313,48.9976,0.)); #563368=CARTESIAN_POINT('Origin',(21.783131,49.,0.)); #563369=CARTESIAN_POINT('',(21.783131,49.,0.)); #563370=CARTESIAN_POINT('',(21.783131,49.,0.)); #563371=CARTESIAN_POINT('',(21.783131,49.,0.035)); #563372=CARTESIAN_POINT('',(21.783131,49.,0.035)); #563373=CARTESIAN_POINT('',(21.783131,49.,0.)); #563374=CARTESIAN_POINT('Origin',(22.216869,49.,0.)); #563375=CARTESIAN_POINT('',(22.216869,49.,0.)); #563376=CARTESIAN_POINT('',(22.216869,49.,0.)); #563377=CARTESIAN_POINT('',(22.216869,49.,0.035)); #563378=CARTESIAN_POINT('',(22.216869,49.,0.035)); #563379=CARTESIAN_POINT('',(22.216869,49.,0.)); #563380=CARTESIAN_POINT('Origin',(22.229191,48.9976,0.)); #563381=CARTESIAN_POINT('',(22.229191,48.9976,0.)); #563382=CARTESIAN_POINT('',(22.229191,48.9976,0.)); #563383=CARTESIAN_POINT('',(22.229191,48.9976,0.035)); #563384=CARTESIAN_POINT('',(22.229191,48.9976,0.035)); #563385=CARTESIAN_POINT('',(22.229191,48.9976,0.)); #563386=CARTESIAN_POINT('Origin',(23.041313,48.9976,0.)); #563387=CARTESIAN_POINT('',(23.041313,48.9976,0.)); #563388=CARTESIAN_POINT('',(23.041313,48.9976,0.)); #563389=CARTESIAN_POINT('',(23.041313,48.9976,0.035)); #563390=CARTESIAN_POINT('',(23.041313,48.9976,0.035)); #563391=CARTESIAN_POINT('',(23.041313,48.9976,0.)); #563392=CARTESIAN_POINT('Origin',(23.053131,49.,0.)); #563393=CARTESIAN_POINT('',(23.053131,49.,0.)); #563394=CARTESIAN_POINT('',(23.053131,49.,0.)); #563395=CARTESIAN_POINT('',(23.053131,49.,0.035)); #563396=CARTESIAN_POINT('',(23.053131,49.,0.035)); #563397=CARTESIAN_POINT('',(23.053131,49.,0.)); #563398=CARTESIAN_POINT('Origin',(23.486869,49.,0.)); #563399=CARTESIAN_POINT('',(23.486869,49.,0.)); #563400=CARTESIAN_POINT('',(23.486869,49.,0.)); #563401=CARTESIAN_POINT('',(23.486869,49.,0.035)); #563402=CARTESIAN_POINT('',(23.486869,49.,0.035)); #563403=CARTESIAN_POINT('',(23.486869,49.,0.)); #563404=CARTESIAN_POINT('Origin',(23.499191,48.9976,0.)); #563405=CARTESIAN_POINT('',(23.499191,48.9976,0.)); #563406=CARTESIAN_POINT('',(23.499191,48.9976,0.)); #563407=CARTESIAN_POINT('',(23.499191,48.9976,0.035)); #563408=CARTESIAN_POINT('',(23.499191,48.9976,0.035)); #563409=CARTESIAN_POINT('',(23.499191,48.9976,0.)); #563410=CARTESIAN_POINT('Origin',(24.311312,48.9976,0.)); #563411=CARTESIAN_POINT('',(24.311312,48.9976,0.)); #563412=CARTESIAN_POINT('',(24.311312,48.9976,0.)); #563413=CARTESIAN_POINT('',(24.311312,48.9976,0.035)); #563414=CARTESIAN_POINT('',(24.311312,48.9976,0.035)); #563415=CARTESIAN_POINT('',(24.311312,48.9976,0.)); #563416=CARTESIAN_POINT('Origin',(24.323131,49.,0.)); #563417=CARTESIAN_POINT('',(24.323131,49.,0.)); #563418=CARTESIAN_POINT('',(24.323131,49.,0.)); #563419=CARTESIAN_POINT('',(24.323131,49.,0.035)); #563420=CARTESIAN_POINT('',(24.323131,49.,0.035)); #563421=CARTESIAN_POINT('',(24.323131,49.,0.)); #563422=CARTESIAN_POINT('Origin',(24.873,49.,0.)); #563423=CARTESIAN_POINT('',(24.873,49.,0.)); #563424=CARTESIAN_POINT('',(24.873,49.,0.)); #563425=CARTESIAN_POINT('',(24.873,49.,0.035)); #563426=CARTESIAN_POINT('',(24.873,49.,0.035)); #563427=CARTESIAN_POINT('',(24.873,49.,0.)); #563428=CARTESIAN_POINT('Origin',(24.918781,48.991463,0.)); #563429=CARTESIAN_POINT('',(24.918781,48.991463,0.)); #563430=CARTESIAN_POINT('',(24.918781,48.991463,0.)); #563431=CARTESIAN_POINT('',(24.918781,48.991463,0.035)); #563432=CARTESIAN_POINT('',(24.918781,48.991463,0.035)); #563433=CARTESIAN_POINT('',(24.918781,48.991463,0.)); #563434=CARTESIAN_POINT('Origin',(24.961359,48.964222,0.)); #563435=CARTESIAN_POINT('',(24.961359,48.964222,0.)); #563436=CARTESIAN_POINT('',(24.961359,48.964222,0.)); #563437=CARTESIAN_POINT('',(24.961359,48.964222,0.035)); #563438=CARTESIAN_POINT('',(24.961359,48.964222,0.035)); #563439=CARTESIAN_POINT('',(24.961359,48.964222,0.)); #563440=CARTESIAN_POINT('Origin',(24.989941,48.922534,0.)); #563441=CARTESIAN_POINT('',(24.989941,48.922534,0.)); #563442=CARTESIAN_POINT('',(24.989941,48.922534,0.)); #563443=CARTESIAN_POINT('',(24.989941,48.922534,0.035)); #563444=CARTESIAN_POINT('',(24.989941,48.922534,0.035)); #563445=CARTESIAN_POINT('',(24.989941,48.922534,0.)); #563446=CARTESIAN_POINT('Origin',(25.,48.873,0.)); #563447=CARTESIAN_POINT('',(25.,48.873,0.)); #563448=CARTESIAN_POINT('',(25.,48.873,0.)); #563449=CARTESIAN_POINT('',(25.,48.873,0.035)); #563450=CARTESIAN_POINT('',(25.,48.873,0.035)); #563451=CARTESIAN_POINT('',(25.,48.873,0.)); #563452=CARTESIAN_POINT('Origin',(25.,43.302606,0.)); #563453=CARTESIAN_POINT('',(25.,43.302606,0.)); #563454=CARTESIAN_POINT('',(25.,43.302606,0.)); #563455=CARTESIAN_POINT('',(25.,43.302606,0.035)); #563456=CARTESIAN_POINT('',(25.,43.302606,0.035)); #563457=CARTESIAN_POINT('',(25.,43.302606,0.)); #563458=CARTESIAN_POINT('Origin',(24.990716,43.254944,0.)); #563459=CARTESIAN_POINT('',(24.990716,43.254944,0.)); #563460=CARTESIAN_POINT('',(24.990716,43.254944,0.)); #563461=CARTESIAN_POINT('',(24.990716,43.254944,0.035)); #563462=CARTESIAN_POINT('',(24.990716,43.254944,0.035)); #563463=CARTESIAN_POINT('',(24.990716,43.254944,0.)); #563464=CARTESIAN_POINT('Origin',(24.962803,43.212803,0.)); #563465=CARTESIAN_POINT('',(24.962803,43.212803,0.)); #563466=CARTESIAN_POINT('',(24.962803,43.212803,0.)); #563467=CARTESIAN_POINT('',(24.962803,43.212803,0.035)); #563468=CARTESIAN_POINT('',(24.962803,43.212803,0.035)); #563469=CARTESIAN_POINT('',(24.962803,43.212803,0.)); #563470=CARTESIAN_POINT('Origin',(24.287197,42.537197,0.)); #563471=CARTESIAN_POINT('',(24.287197,42.537197,0.)); #563472=CARTESIAN_POINT('',(24.287197,42.537197,0.)); #563473=CARTESIAN_POINT('',(24.287197,42.537197,0.035)); #563474=CARTESIAN_POINT('',(24.287197,42.537197,0.035)); #563475=CARTESIAN_POINT('',(24.287197,42.537197,0.)); #563476=CARTESIAN_POINT('Origin',(24.246928,42.510059,0.)); #563477=CARTESIAN_POINT('',(24.246928,42.510059,0.)); #563478=CARTESIAN_POINT('',(24.246928,42.510059,0.)); #563479=CARTESIAN_POINT('',(24.246928,42.510059,0.035)); #563480=CARTESIAN_POINT('',(24.246928,42.510059,0.035)); #563481=CARTESIAN_POINT('',(24.246928,42.510059,0.)); #563482=CARTESIAN_POINT('Origin',(24.197394,42.5,0.)); #563483=CARTESIAN_POINT('',(24.197394,42.5,0.)); #563484=CARTESIAN_POINT('',(24.197394,42.5,0.)); #563485=CARTESIAN_POINT('',(24.197394,42.5,0.035)); #563486=CARTESIAN_POINT('',(24.197394,42.5,0.035)); #563487=CARTESIAN_POINT('',(24.197394,42.5,0.)); #563488=CARTESIAN_POINT('Origin',(20.758994,42.5,0.)); #563489=CARTESIAN_POINT('',(20.758994,42.5,0.)); #563490=CARTESIAN_POINT('',(20.758994,42.5,0.)); #563491=CARTESIAN_POINT('',(20.758994,42.5,0.035)); #563492=CARTESIAN_POINT('',(20.758994,42.5,0.035)); #563493=CARTESIAN_POINT('',(20.758994,42.5,0.)); #563494=CARTESIAN_POINT('Origin',(20.749975,42.498716,0.)); #563495=CARTESIAN_POINT('',(20.749975,42.498716,0.)); #563496=CARTESIAN_POINT('',(20.749975,42.498716,0.)); #563497=CARTESIAN_POINT('',(20.749975,42.498716,0.035)); #563498=CARTESIAN_POINT('',(20.749975,42.498716,0.035)); #563499=CARTESIAN_POINT('',(20.749975,42.498716,0.)); #563500=CARTESIAN_POINT('Origin',(20.744347,42.494347,0.)); #563501=CARTESIAN_POINT('',(20.744347,42.494347,0.)); #563502=CARTESIAN_POINT('',(20.744347,42.494347,0.)); #563503=CARTESIAN_POINT('',(20.744347,42.494347,0.035)); #563504=CARTESIAN_POINT('',(20.744347,42.494347,0.035)); #563505=CARTESIAN_POINT('',(20.744347,42.494347,0.)); #563506=CARTESIAN_POINT('Origin',(19.037197,40.787197,0.)); #563507=CARTESIAN_POINT('',(19.037197,40.787197,0.)); #563508=CARTESIAN_POINT('',(19.037197,40.787197,0.)); #563509=CARTESIAN_POINT('',(19.037197,40.787197,0.035)); #563510=CARTESIAN_POINT('',(19.037197,40.787197,0.035)); #563511=CARTESIAN_POINT('',(19.037197,40.787197,0.)); #563512=CARTESIAN_POINT('Origin',(18.996928,40.760059,0.)); #563513=CARTESIAN_POINT('',(18.996928,40.760059,0.)); #563514=CARTESIAN_POINT('',(18.996928,40.760059,0.035)); #563515=CARTESIAN_POINT('Origin',(19.3042511273999,45.2433140507534,0.035)); #563516=CARTESIAN_POINT('Origin',(19.3042511273999,45.2433140507534,0.)); #563517=CARTESIAN_POINT('Origin',(26.75,36.,-200.)); #563518=CARTESIAN_POINT('',(26.61,36.,0.)); #563519=CARTESIAN_POINT('Origin',(26.75,36.,0.)); #563520=CARTESIAN_POINT('',(26.61,36.,0.0349999999999895)); #563521=CARTESIAN_POINT('',(26.61,36.,-200.)); #563522=CARTESIAN_POINT('Origin',(26.75,36.,0.0349999999999895)); #563523=CARTESIAN_POINT('Origin',(26.75,31.,-200.)); #563524=CARTESIAN_POINT('',(26.61,31.,0.)); #563525=CARTESIAN_POINT('Origin',(26.75,31.,0.)); #563526=CARTESIAN_POINT('',(26.61,31.,0.0349999999999895)); #563527=CARTESIAN_POINT('',(26.61,31.,-200.)); #563528=CARTESIAN_POINT('Origin',(26.75,31.,0.0349999999999895)); #563529=CARTESIAN_POINT('Origin',(26.771644,30.725,0.)); #563530=CARTESIAN_POINT('',(26.771644,30.725,0.)); #563531=CARTESIAN_POINT('',(26.814397,30.731772,0.)); #563532=CARTESIAN_POINT('',(26.771644,30.725,0.)); #563533=CARTESIAN_POINT('',(26.814397,30.731772,0.035)); #563534=CARTESIAN_POINT('',(26.814397,30.731772,0.)); #563535=CARTESIAN_POINT('',(26.771644,30.725,0.035)); #563536=CARTESIAN_POINT('',(26.771644,30.725,0.035)); #563537=CARTESIAN_POINT('',(26.771644,30.725,0.)); #563538=CARTESIAN_POINT('Origin',(26.750118471131,30.9995872515008,0.)); #563539=CARTESIAN_POINT('',(26.623,31.243928,0.)); #563540=CARTESIAN_POINT('Origin',(26.750118471131,30.9995872515008,0.)); #563541=CARTESIAN_POINT('',(26.623,31.243928,0.035)); #563542=CARTESIAN_POINT('Origin',(26.750118471131,30.9995872515008,0.035)); #563543=CARTESIAN_POINT('',(26.623,31.243928,0.)); #563544=CARTESIAN_POINT('Origin',(26.623,35.756072,0.)); #563545=CARTESIAN_POINT('',(26.623,35.756072,0.)); #563546=CARTESIAN_POINT('',(26.623,35.756072,0.)); #563547=CARTESIAN_POINT('',(26.623,35.756072,0.035)); #563548=CARTESIAN_POINT('',(26.623,35.756072,0.035)); #563549=CARTESIAN_POINT('',(26.623,35.756072,0.)); #563550=CARTESIAN_POINT('Origin',(26.7500204601791,36.0004637716938,0.)); #563551=CARTESIAN_POINT('',(26.644438,36.254853,0.)); #563552=CARTESIAN_POINT('Origin',(26.7500204601791,36.0004637716938,0.)); #563553=CARTESIAN_POINT('',(26.644438,36.254853,0.035)); #563554=CARTESIAN_POINT('Origin',(26.7500204601791,36.0004637716938,0.035)); #563555=CARTESIAN_POINT('',(26.644438,36.254853,0.)); #563556=CARTESIAN_POINT('Origin',(26.7498222285952,36.000381763261,0.)); #563557=CARTESIAN_POINT('',(26.877,35.756072,0.)); #563558=CARTESIAN_POINT('Origin',(26.7498222285952,36.000381763261,0.)); #563559=CARTESIAN_POINT('',(26.877,35.756072,0.035)); #563560=CARTESIAN_POINT('Origin',(26.7498222285952,36.000381763261,0.035)); #563561=CARTESIAN_POINT('',(26.877,35.756072,0.)); #563562=CARTESIAN_POINT('Origin',(26.877,31.243928,0.)); #563563=CARTESIAN_POINT('',(26.877,31.243928,0.)); #563564=CARTESIAN_POINT('',(26.877,31.243928,0.)); #563565=CARTESIAN_POINT('',(26.877,31.243928,0.035)); #563566=CARTESIAN_POINT('',(26.877,31.243928,0.035)); #563567=CARTESIAN_POINT('',(26.877,31.243928,0.)); #563568=CARTESIAN_POINT('Origin',(26.7499453752955,30.999554310534,0.)); #563569=CARTESIAN_POINT('Origin',(26.7499453752955,30.999554310534,0.)); #563570=CARTESIAN_POINT('Origin',(26.7499453752955,30.999554310534,0.035)); #563571=CARTESIAN_POINT('Origin',(26.7496864506156,33.49980383149,0.035)); #563572=CARTESIAN_POINT('Origin',(26.7496864506156,33.49980383149,0.)); #563573=CARTESIAN_POINT('Origin',(53.5,20.25,-200.)); #563574=CARTESIAN_POINT('',(53.36,20.25,0.)); #563575=CARTESIAN_POINT('Origin',(53.5,20.25,0.)); #563576=CARTESIAN_POINT('',(53.36,20.25,0.0349999999999895)); #563577=CARTESIAN_POINT('',(53.36,20.25,-200.)); #563578=CARTESIAN_POINT('Origin',(53.5,20.25,0.0349999999999895)); #563579=CARTESIAN_POINT('Origin',(52.75,20.25,-200.)); #563580=CARTESIAN_POINT('',(52.61,20.25,0.)); #563581=CARTESIAN_POINT('Origin',(52.75,20.25,0.)); #563582=CARTESIAN_POINT('',(52.61,20.25,0.0349999999999895)); #563583=CARTESIAN_POINT('',(52.61,20.25,-200.)); #563584=CARTESIAN_POINT('Origin',(52.75,20.25,0.0349999999999895)); #563585=CARTESIAN_POINT('Origin',(52.,21.75,-200.)); #563586=CARTESIAN_POINT('',(51.86,21.75,0.)); #563587=CARTESIAN_POINT('Origin',(52.,21.75,0.)); #563588=CARTESIAN_POINT('',(51.86,21.75,0.0349999999999895)); #563589=CARTESIAN_POINT('',(51.86,21.75,-200.)); #563590=CARTESIAN_POINT('Origin',(52.,21.75,0.0349999999999895)); #563591=CARTESIAN_POINT('Origin',(52.,20.25,-200.)); #563592=CARTESIAN_POINT('',(51.86,20.25,0.)); #563593=CARTESIAN_POINT('Origin',(52.,20.25,0.)); #563594=CARTESIAN_POINT('',(51.86,20.25,0.0349999999999895)); #563595=CARTESIAN_POINT('',(51.86,20.25,-200.)); #563596=CARTESIAN_POINT('Origin',(52.,20.25,0.0349999999999895)); #563597=CARTESIAN_POINT('Origin',(52.75,21.,-200.)); #563598=CARTESIAN_POINT('',(52.61,21.,0.)); #563599=CARTESIAN_POINT('Origin',(52.75,21.,0.)); #563600=CARTESIAN_POINT('',(52.61,21.,0.0349999999999895)); #563601=CARTESIAN_POINT('',(52.61,21.,-200.)); #563602=CARTESIAN_POINT('Origin',(52.75,21.,0.0349999999999895)); #563603=CARTESIAN_POINT('Origin',(53.5,21.,-200.)); #563604=CARTESIAN_POINT('',(53.36,21.,0.)); #563605=CARTESIAN_POINT('Origin',(53.5,21.,0.)); #563606=CARTESIAN_POINT('',(53.36,21.,0.0349999999999895)); #563607=CARTESIAN_POINT('',(53.36,21.,-200.)); #563608=CARTESIAN_POINT('Origin',(53.5,21.,0.0349999999999895)); #563609=CARTESIAN_POINT('Origin',(52.,21.,-200.)); #563610=CARTESIAN_POINT('',(51.86,21.,0.)); #563611=CARTESIAN_POINT('Origin',(52.,21.,0.)); #563612=CARTESIAN_POINT('',(51.86,21.,0.0349999999999895)); #563613=CARTESIAN_POINT('',(51.86,21.,-200.)); #563614=CARTESIAN_POINT('Origin',(52.,21.,0.0349999999999895)); #563615=CARTESIAN_POINT('Origin',(53.5,21.75,-200.)); #563616=CARTESIAN_POINT('',(53.36,21.75,0.)); #563617=CARTESIAN_POINT('Origin',(53.5,21.75,0.)); #563618=CARTESIAN_POINT('',(53.36,21.75,0.0349999999999895)); #563619=CARTESIAN_POINT('',(53.36,21.75,-200.)); #563620=CARTESIAN_POINT('Origin',(53.5,21.75,0.0349999999999895)); #563621=CARTESIAN_POINT('Origin',(52.75,21.75,-200.)); #563622=CARTESIAN_POINT('',(52.61,21.75,0.)); #563623=CARTESIAN_POINT('Origin',(52.75,21.75,0.)); #563624=CARTESIAN_POINT('',(52.61,21.75,0.0349999999999895)); #563625=CARTESIAN_POINT('',(52.61,21.75,-200.)); #563626=CARTESIAN_POINT('Origin',(52.75,21.75,0.0349999999999895)); #563627=CARTESIAN_POINT('Origin',(52.25,34.,-200.)); #563628=CARTESIAN_POINT('',(51.785,34.,0.)); #563629=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #563630=CARTESIAN_POINT('',(51.785,34.,0.0349999999999895)); #563631=CARTESIAN_POINT('',(51.785,34.,-200.)); #563632=CARTESIAN_POINT('Origin',(52.25,34.,0.0349999999999895)); #563633=CARTESIAN_POINT('Origin',(53.697394,19.25,0.)); #563634=CARTESIAN_POINT('',(53.697394,19.25,0.)); #563635=CARTESIAN_POINT('',(53.746928,19.260059,0.)); #563636=CARTESIAN_POINT('',(53.697394,19.25,0.)); #563637=CARTESIAN_POINT('',(53.746928,19.260059,0.035)); #563638=CARTESIAN_POINT('',(53.746928,19.260059,0.)); #563639=CARTESIAN_POINT('',(53.697394,19.25,0.035)); #563640=CARTESIAN_POINT('',(53.697394,19.25,0.035)); #563641=CARTESIAN_POINT('',(53.697394,19.25,0.)); #563642=CARTESIAN_POINT('Origin',(51.552606,19.25,0.)); #563643=CARTESIAN_POINT('',(51.552606,19.25,0.)); #563644=CARTESIAN_POINT('',(51.552606,19.25,0.)); #563645=CARTESIAN_POINT('',(51.552606,19.25,0.035)); #563646=CARTESIAN_POINT('',(51.552606,19.25,0.035)); #563647=CARTESIAN_POINT('',(51.552606,19.25,0.)); #563648=CARTESIAN_POINT('Origin',(51.504944,19.259284,0.)); #563649=CARTESIAN_POINT('',(51.504944,19.259284,0.)); #563650=CARTESIAN_POINT('',(51.504944,19.259284,0.)); #563651=CARTESIAN_POINT('',(51.504944,19.259284,0.035)); #563652=CARTESIAN_POINT('',(51.504944,19.259284,0.035)); #563653=CARTESIAN_POINT('',(51.504944,19.259284,0.)); #563654=CARTESIAN_POINT('Origin',(51.462803,19.287197,0.)); #563655=CARTESIAN_POINT('',(51.462803,19.287197,0.)); #563656=CARTESIAN_POINT('',(51.462803,19.287197,0.)); #563657=CARTESIAN_POINT('',(51.462803,19.287197,0.035)); #563658=CARTESIAN_POINT('',(51.462803,19.287197,0.035)); #563659=CARTESIAN_POINT('',(51.462803,19.287197,0.)); #563660=CARTESIAN_POINT('Origin',(51.287197,19.462803,0.)); #563661=CARTESIAN_POINT('',(51.287197,19.462803,0.)); #563662=CARTESIAN_POINT('',(51.287197,19.462803,0.)); #563663=CARTESIAN_POINT('',(51.287197,19.462803,0.035)); #563664=CARTESIAN_POINT('',(51.287197,19.462803,0.035)); #563665=CARTESIAN_POINT('',(51.287197,19.462803,0.)); #563666=CARTESIAN_POINT('Origin',(51.260059,19.503072,0.)); #563667=CARTESIAN_POINT('',(51.260059,19.503072,0.)); #563668=CARTESIAN_POINT('',(51.260059,19.503072,0.)); #563669=CARTESIAN_POINT('',(51.260059,19.503072,0.035)); #563670=CARTESIAN_POINT('',(51.260059,19.503072,0.035)); #563671=CARTESIAN_POINT('',(51.260059,19.503072,0.)); #563672=CARTESIAN_POINT('Origin',(51.25,19.552606,0.)); #563673=CARTESIAN_POINT('',(51.25,19.552606,0.)); #563674=CARTESIAN_POINT('',(51.25,19.552606,0.)); #563675=CARTESIAN_POINT('',(51.25,19.552606,0.035)); #563676=CARTESIAN_POINT('',(51.25,19.552606,0.035)); #563677=CARTESIAN_POINT('',(51.25,19.552606,0.)); #563678=CARTESIAN_POINT('Origin',(51.25,35.447394,0.)); #563679=CARTESIAN_POINT('',(51.25,35.447394,0.)); #563680=CARTESIAN_POINT('',(51.25,35.447394,0.)); #563681=CARTESIAN_POINT('',(51.25,35.447394,0.035)); #563682=CARTESIAN_POINT('',(51.25,35.447394,0.035)); #563683=CARTESIAN_POINT('',(51.25,35.447394,0.)); #563684=CARTESIAN_POINT('Origin',(51.259284,35.495056,0.)); #563685=CARTESIAN_POINT('',(51.259284,35.495056,0.)); #563686=CARTESIAN_POINT('',(51.259284,35.495056,0.)); #563687=CARTESIAN_POINT('',(51.259284,35.495056,0.035)); #563688=CARTESIAN_POINT('',(51.259284,35.495056,0.035)); #563689=CARTESIAN_POINT('',(51.259284,35.495056,0.)); #563690=CARTESIAN_POINT('Origin',(51.287197,35.537197,0.)); #563691=CARTESIAN_POINT('',(51.287197,35.537197,0.)); #563692=CARTESIAN_POINT('',(51.287197,35.537197,0.)); #563693=CARTESIAN_POINT('',(51.287197,35.537197,0.035)); #563694=CARTESIAN_POINT('',(51.287197,35.537197,0.035)); #563695=CARTESIAN_POINT('',(51.287197,35.537197,0.)); #563696=CARTESIAN_POINT('Origin',(51.462803,35.712803,0.)); #563697=CARTESIAN_POINT('',(51.462803,35.712803,0.)); #563698=CARTESIAN_POINT('',(51.462803,35.712803,0.)); #563699=CARTESIAN_POINT('',(51.462803,35.712803,0.035)); #563700=CARTESIAN_POINT('',(51.462803,35.712803,0.035)); #563701=CARTESIAN_POINT('',(51.462803,35.712803,0.)); #563702=CARTESIAN_POINT('Origin',(51.503072,35.739941,0.)); #563703=CARTESIAN_POINT('',(51.503072,35.739941,0.)); #563704=CARTESIAN_POINT('',(51.503072,35.739941,0.)); #563705=CARTESIAN_POINT('',(51.503072,35.739941,0.035)); #563706=CARTESIAN_POINT('',(51.503072,35.739941,0.035)); #563707=CARTESIAN_POINT('',(51.503072,35.739941,0.)); #563708=CARTESIAN_POINT('Origin',(51.552606,35.75,0.)); #563709=CARTESIAN_POINT('',(51.552606,35.75,0.)); #563710=CARTESIAN_POINT('',(51.552606,35.75,0.)); #563711=CARTESIAN_POINT('',(51.552606,35.75,0.035)); #563712=CARTESIAN_POINT('',(51.552606,35.75,0.035)); #563713=CARTESIAN_POINT('',(51.552606,35.75,0.)); #563714=CARTESIAN_POINT('Origin',(53.697394,35.75,0.)); #563715=CARTESIAN_POINT('',(53.697394,35.75,0.)); #563716=CARTESIAN_POINT('',(53.697394,35.75,0.)); #563717=CARTESIAN_POINT('',(53.697394,35.75,0.035)); #563718=CARTESIAN_POINT('',(53.697394,35.75,0.035)); #563719=CARTESIAN_POINT('',(53.697394,35.75,0.)); #563720=CARTESIAN_POINT('Origin',(53.745056,35.740716,0.)); #563721=CARTESIAN_POINT('',(53.745056,35.740716,0.)); #563722=CARTESIAN_POINT('',(53.745056,35.740716,0.)); #563723=CARTESIAN_POINT('',(53.745056,35.740716,0.035)); #563724=CARTESIAN_POINT('',(53.745056,35.740716,0.035)); #563725=CARTESIAN_POINT('',(53.745056,35.740716,0.)); #563726=CARTESIAN_POINT('Origin',(53.787197,35.712803,0.)); #563727=CARTESIAN_POINT('',(53.787197,35.712803,0.)); #563728=CARTESIAN_POINT('',(53.787197,35.712803,0.)); #563729=CARTESIAN_POINT('',(53.787197,35.712803,0.035)); #563730=CARTESIAN_POINT('',(53.787197,35.712803,0.035)); #563731=CARTESIAN_POINT('',(53.787197,35.712803,0.)); #563732=CARTESIAN_POINT('Origin',(53.962803,35.537197,0.)); #563733=CARTESIAN_POINT('',(53.962803,35.537197,0.)); #563734=CARTESIAN_POINT('',(53.962803,35.537197,0.)); #563735=CARTESIAN_POINT('',(53.962803,35.537197,0.035)); #563736=CARTESIAN_POINT('',(53.962803,35.537197,0.035)); #563737=CARTESIAN_POINT('',(53.962803,35.537197,0.)); #563738=CARTESIAN_POINT('Origin',(53.989941,35.496928,0.)); #563739=CARTESIAN_POINT('',(53.989941,35.496928,0.)); #563740=CARTESIAN_POINT('',(53.989941,35.496928,0.)); #563741=CARTESIAN_POINT('',(53.989941,35.496928,0.035)); #563742=CARTESIAN_POINT('',(53.989941,35.496928,0.035)); #563743=CARTESIAN_POINT('',(53.989941,35.496928,0.)); #563744=CARTESIAN_POINT('Origin',(54.,35.447394,0.)); #563745=CARTESIAN_POINT('',(54.,35.447394,0.)); #563746=CARTESIAN_POINT('',(54.,35.447394,0.)); #563747=CARTESIAN_POINT('',(54.,35.447394,0.035)); #563748=CARTESIAN_POINT('',(54.,35.447394,0.035)); #563749=CARTESIAN_POINT('',(54.,35.447394,0.)); #563750=CARTESIAN_POINT('Origin',(54.,19.552606,0.)); #563751=CARTESIAN_POINT('',(54.,19.552606,0.)); #563752=CARTESIAN_POINT('',(54.,19.552606,0.)); #563753=CARTESIAN_POINT('',(54.,19.552606,0.035)); #563754=CARTESIAN_POINT('',(54.,19.552606,0.035)); #563755=CARTESIAN_POINT('',(54.,19.552606,0.)); #563756=CARTESIAN_POINT('Origin',(53.990716,19.504944,0.)); #563757=CARTESIAN_POINT('',(53.990716,19.504944,0.)); #563758=CARTESIAN_POINT('',(53.990716,19.504944,0.)); #563759=CARTESIAN_POINT('',(53.990716,19.504944,0.035)); #563760=CARTESIAN_POINT('',(53.990716,19.504944,0.035)); #563761=CARTESIAN_POINT('',(53.990716,19.504944,0.)); #563762=CARTESIAN_POINT('Origin',(53.962803,19.462803,0.)); #563763=CARTESIAN_POINT('',(53.962803,19.462803,0.)); #563764=CARTESIAN_POINT('',(53.962803,19.462803,0.)); #563765=CARTESIAN_POINT('',(53.962803,19.462803,0.035)); #563766=CARTESIAN_POINT('',(53.962803,19.462803,0.035)); #563767=CARTESIAN_POINT('',(53.962803,19.462803,0.)); #563768=CARTESIAN_POINT('Origin',(53.787197,19.287197,0.)); #563769=CARTESIAN_POINT('',(53.787197,19.287197,0.)); #563770=CARTESIAN_POINT('',(53.787197,19.287197,0.)); #563771=CARTESIAN_POINT('',(53.787197,19.287197,0.035)); #563772=CARTESIAN_POINT('',(53.787197,19.287197,0.035)); #563773=CARTESIAN_POINT('',(53.787197,19.287197,0.)); #563774=CARTESIAN_POINT('Origin',(53.746928,19.260059,0.)); #563775=CARTESIAN_POINT('',(53.746928,19.260059,0.)); #563776=CARTESIAN_POINT('',(53.746928,19.260059,0.035)); #563777=CARTESIAN_POINT('Origin',(52.625,27.5,0.035)); #563778=CARTESIAN_POINT('Origin',(52.625,27.5,0.)); #563779=CARTESIAN_POINT('Origin',(39.25,19.,-200.)); #563780=CARTESIAN_POINT('',(39.11,19.,0.)); #563781=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #563782=CARTESIAN_POINT('',(39.11,19.,0.0349999999999895)); #563783=CARTESIAN_POINT('',(39.11,19.,-200.)); #563784=CARTESIAN_POINT('Origin',(39.25,19.,0.0349999999999895)); #563785=CARTESIAN_POINT('Origin',(39.271644,18.725,0.)); #563786=CARTESIAN_POINT('',(39.271644,18.725,0.)); #563787=CARTESIAN_POINT('',(39.314397,18.731772,0.)); #563788=CARTESIAN_POINT('',(39.271644,18.725,0.)); #563789=CARTESIAN_POINT('',(39.314397,18.731772,0.035)); #563790=CARTESIAN_POINT('',(39.314397,18.731772,0.)); #563791=CARTESIAN_POINT('',(39.271644,18.725,0.035)); #563792=CARTESIAN_POINT('',(39.271644,18.725,0.035)); #563793=CARTESIAN_POINT('',(39.271644,18.725,0.)); #563794=CARTESIAN_POINT('Origin',(39.2500000802027,18.9999998017945,0.)); #563795=CARTESIAN_POINT('',(39.185603,19.268228,0.)); #563796=CARTESIAN_POINT('Origin',(39.2500000802027,18.9999998017945,0.)); #563797=CARTESIAN_POINT('',(39.185603,19.268228,0.035)); #563798=CARTESIAN_POINT('Origin',(39.2500000802027,18.9999998017945,0.035)); #563799=CARTESIAN_POINT('',(39.185603,19.268228,0.)); #563800=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #563801=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #563802=CARTESIAN_POINT('Origin',(39.25,19.,0.035)); #563803=CARTESIAN_POINT('Origin',(39.2491163162584,18.9991950794965,0.035)); #563804=CARTESIAN_POINT('Origin',(39.2491163162584,18.9991950794965,0.)); #563805=CARTESIAN_POINT('Origin',(45.125,47.75,-200.)); #563806=CARTESIAN_POINT('',(44.985,47.75,0.)); #563807=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #563808=CARTESIAN_POINT('',(44.985,47.75,0.0349999999999895)); #563809=CARTESIAN_POINT('',(44.985,47.75,-200.)); #563810=CARTESIAN_POINT('Origin',(45.125,47.75,0.0349999999999895)); #563811=CARTESIAN_POINT('Origin',(46.,47.,-200.)); #563812=CARTESIAN_POINT('',(45.86,47.,0.)); #563813=CARTESIAN_POINT('Origin',(46.,47.,0.)); #563814=CARTESIAN_POINT('',(45.86,47.,0.0349999999999895)); #563815=CARTESIAN_POINT('',(45.86,47.,-200.)); #563816=CARTESIAN_POINT('Origin',(46.,47.,0.0349999999999895)); #563817=CARTESIAN_POINT('Origin',(46.,47.75,-200.)); #563818=CARTESIAN_POINT('',(45.86,47.75,0.)); #563819=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #563820=CARTESIAN_POINT('',(45.86,47.75,0.0349999999999895)); #563821=CARTESIAN_POINT('',(45.86,47.75,-200.)); #563822=CARTESIAN_POINT('Origin',(46.,47.75,0.0349999999999895)); #563823=CARTESIAN_POINT('Origin',(47.75,47.75,-200.)); #563824=CARTESIAN_POINT('',(47.61,47.75,0.)); #563825=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #563826=CARTESIAN_POINT('',(47.61,47.75,0.0349999999999895)); #563827=CARTESIAN_POINT('',(47.61,47.75,-200.)); #563828=CARTESIAN_POINT('Origin',(47.75,47.75,0.0349999999999895)); #563829=CARTESIAN_POINT('Origin',(47.75,46.25,-200.)); #563830=CARTESIAN_POINT('',(47.61,46.25,0.)); #563831=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #563832=CARTESIAN_POINT('',(47.61,46.25,0.0349999999999895)); #563833=CARTESIAN_POINT('',(47.61,46.25,-200.)); #563834=CARTESIAN_POINT('Origin',(47.75,46.25,0.0349999999999895)); #563835=CARTESIAN_POINT('Origin',(46.,46.25,-200.)); #563836=CARTESIAN_POINT('',(45.86,46.25,0.)); #563837=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #563838=CARTESIAN_POINT('',(45.86,46.25,0.0349999999999895)); #563839=CARTESIAN_POINT('',(45.86,46.25,-200.)); #563840=CARTESIAN_POINT('Origin',(46.,46.25,0.0349999999999895)); #563841=CARTESIAN_POINT('Origin',(47.75,47.,-200.)); #563842=CARTESIAN_POINT('',(47.61,47.,0.)); #563843=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #563844=CARTESIAN_POINT('',(47.61,47.,0.0349999999999895)); #563845=CARTESIAN_POINT('',(47.61,47.,-200.)); #563846=CARTESIAN_POINT('Origin',(47.75,47.,0.0349999999999895)); #563847=CARTESIAN_POINT('Origin',(44.25,47.,-200.)); #563848=CARTESIAN_POINT('',(44.11,47.,0.)); #563849=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #563850=CARTESIAN_POINT('',(44.11,47.,0.0349999999999895)); #563851=CARTESIAN_POINT('',(44.11,47.,-200.)); #563852=CARTESIAN_POINT('Origin',(44.25,47.,0.0349999999999895)); #563853=CARTESIAN_POINT('Origin',(44.25,47.75,-200.)); #563854=CARTESIAN_POINT('',(44.11,47.75,0.)); #563855=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #563856=CARTESIAN_POINT('',(44.11,47.75,0.0349999999999895)); #563857=CARTESIAN_POINT('',(44.11,47.75,-200.)); #563858=CARTESIAN_POINT('Origin',(44.25,47.75,0.0349999999999895)); #563859=CARTESIAN_POINT('Origin',(44.25,46.25,-200.)); #563860=CARTESIAN_POINT('',(44.11,46.25,0.)); #563861=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #563862=CARTESIAN_POINT('',(44.11,46.25,0.0349999999999895)); #563863=CARTESIAN_POINT('',(44.11,46.25,-200.)); #563864=CARTESIAN_POINT('Origin',(44.25,46.25,0.0349999999999895)); #563865=CARTESIAN_POINT('Origin',(52.125,47.75,-200.)); #563866=CARTESIAN_POINT('',(51.985,47.75,0.)); #563867=CARTESIAN_POINT('Origin',(52.125,47.75,0.)); #563868=CARTESIAN_POINT('',(51.985,47.75,0.0349999999999895)); #563869=CARTESIAN_POINT('',(51.985,47.75,-200.)); #563870=CARTESIAN_POINT('Origin',(52.125,47.75,0.0349999999999895)); #563871=CARTESIAN_POINT('Origin',(52.125,47.,-200.)); #563872=CARTESIAN_POINT('',(51.985,47.,0.)); #563873=CARTESIAN_POINT('Origin',(52.125,47.,0.)); #563874=CARTESIAN_POINT('',(51.985,47.,0.0349999999999895)); #563875=CARTESIAN_POINT('',(51.985,47.,-200.)); #563876=CARTESIAN_POINT('Origin',(52.125,47.,0.0349999999999895)); #563877=CARTESIAN_POINT('Origin',(51.25,46.25,-200.)); #563878=CARTESIAN_POINT('',(51.11,46.25,0.)); #563879=CARTESIAN_POINT('Origin',(51.25,46.25,0.)); #563880=CARTESIAN_POINT('',(51.11,46.25,0.0349999999999895)); #563881=CARTESIAN_POINT('',(51.11,46.25,-200.)); #563882=CARTESIAN_POINT('Origin',(51.25,46.25,0.0349999999999895)); #563883=CARTESIAN_POINT('Origin',(52.125,46.25,-200.)); #563884=CARTESIAN_POINT('',(51.985,46.25,0.)); #563885=CARTESIAN_POINT('Origin',(52.125,46.25,0.)); #563886=CARTESIAN_POINT('',(51.985,46.25,0.0349999999999895)); #563887=CARTESIAN_POINT('',(51.985,46.25,-200.)); #563888=CARTESIAN_POINT('Origin',(52.125,46.25,0.0349999999999895)); #563889=CARTESIAN_POINT('Origin',(48.625,47.75,-200.)); #563890=CARTESIAN_POINT('',(48.485,47.75,0.)); #563891=CARTESIAN_POINT('Origin',(48.625,47.75,0.)); #563892=CARTESIAN_POINT('',(48.485,47.75,0.0349999999999895)); #563893=CARTESIAN_POINT('',(48.485,47.75,-200.)); #563894=CARTESIAN_POINT('Origin',(48.625,47.75,0.0349999999999895)); #563895=CARTESIAN_POINT('Origin',(48.625,47.,-200.)); #563896=CARTESIAN_POINT('',(48.485,47.,0.)); #563897=CARTESIAN_POINT('Origin',(48.625,47.,0.)); #563898=CARTESIAN_POINT('',(48.485,47.,0.0349999999999895)); #563899=CARTESIAN_POINT('',(48.485,47.,-200.)); #563900=CARTESIAN_POINT('Origin',(48.625,47.,0.0349999999999895)); #563901=CARTESIAN_POINT('Origin',(46.875,47.75,-200.)); #563902=CARTESIAN_POINT('',(46.735,47.75,0.)); #563903=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #563904=CARTESIAN_POINT('',(46.735,47.75,0.0349999999999895)); #563905=CARTESIAN_POINT('',(46.735,47.75,-200.)); #563906=CARTESIAN_POINT('Origin',(46.875,47.75,0.0349999999999895)); #563907=CARTESIAN_POINT('Origin',(48.625,46.25,-200.)); #563908=CARTESIAN_POINT('',(48.485,46.25,0.)); #563909=CARTESIAN_POINT('Origin',(48.625,46.25,0.)); #563910=CARTESIAN_POINT('',(48.485,46.25,0.0349999999999895)); #563911=CARTESIAN_POINT('',(48.485,46.25,-200.)); #563912=CARTESIAN_POINT('Origin',(48.625,46.25,0.0349999999999895)); #563913=CARTESIAN_POINT('Origin',(45.125,46.25,-200.)); #563914=CARTESIAN_POINT('',(44.985,46.25,0.)); #563915=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #563916=CARTESIAN_POINT('',(44.985,46.25,0.0349999999999895)); #563917=CARTESIAN_POINT('',(44.985,46.25,-200.)); #563918=CARTESIAN_POINT('Origin',(45.125,46.25,0.0349999999999895)); #563919=CARTESIAN_POINT('Origin',(45.125,47.,-200.)); #563920=CARTESIAN_POINT('',(44.985,47.,0.)); #563921=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #563922=CARTESIAN_POINT('',(44.985,47.,0.0349999999999895)); #563923=CARTESIAN_POINT('',(44.985,47.,-200.)); #563924=CARTESIAN_POINT('Origin',(45.125,47.,0.0349999999999895)); #563925=CARTESIAN_POINT('Origin',(46.875,46.25,-200.)); #563926=CARTESIAN_POINT('',(46.735,46.25,0.)); #563927=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #563928=CARTESIAN_POINT('',(46.735,46.25,0.0349999999999895)); #563929=CARTESIAN_POINT('',(46.735,46.25,-200.)); #563930=CARTESIAN_POINT('Origin',(46.875,46.25,0.0349999999999895)); #563931=CARTESIAN_POINT('Origin',(46.875,47.,-200.)); #563932=CARTESIAN_POINT('',(46.735,47.,0.)); #563933=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #563934=CARTESIAN_POINT('',(46.735,47.,0.0349999999999895)); #563935=CARTESIAN_POINT('',(46.735,47.,-200.)); #563936=CARTESIAN_POINT('Origin',(46.875,47.,0.0349999999999895)); #563937=CARTESIAN_POINT('Origin',(50.375,46.25,-200.)); #563938=CARTESIAN_POINT('',(50.235,46.25,0.)); #563939=CARTESIAN_POINT('Origin',(50.375,46.25,0.)); #563940=CARTESIAN_POINT('',(50.235,46.25,0.0349999999999895)); #563941=CARTESIAN_POINT('',(50.235,46.25,-200.)); #563942=CARTESIAN_POINT('Origin',(50.375,46.25,0.0349999999999895)); #563943=CARTESIAN_POINT('Origin',(49.5,46.25,-200.)); #563944=CARTESIAN_POINT('',(49.36,46.25,0.)); #563945=CARTESIAN_POINT('Origin',(49.5,46.25,0.)); #563946=CARTESIAN_POINT('',(49.36,46.25,0.0349999999999895)); #563947=CARTESIAN_POINT('',(49.36,46.25,-200.)); #563948=CARTESIAN_POINT('Origin',(49.5,46.25,0.0349999999999895)); #563949=CARTESIAN_POINT('Origin',(49.5,47.75,-200.)); #563950=CARTESIAN_POINT('',(49.36,47.75,0.)); #563951=CARTESIAN_POINT('Origin',(49.5,47.75,0.)); #563952=CARTESIAN_POINT('',(49.36,47.75,0.0349999999999895)); #563953=CARTESIAN_POINT('',(49.36,47.75,-200.)); #563954=CARTESIAN_POINT('Origin',(49.5,47.75,0.0349999999999895)); #563955=CARTESIAN_POINT('Origin',(49.5,47.,-200.)); #563956=CARTESIAN_POINT('',(49.36,47.,0.)); #563957=CARTESIAN_POINT('Origin',(49.5,47.,0.)); #563958=CARTESIAN_POINT('',(49.36,47.,0.0349999999999895)); #563959=CARTESIAN_POINT('',(49.36,47.,-200.)); #563960=CARTESIAN_POINT('Origin',(49.5,47.,0.0349999999999895)); #563961=CARTESIAN_POINT('Origin',(50.375,47.75,-200.)); #563962=CARTESIAN_POINT('',(50.235,47.75,0.)); #563963=CARTESIAN_POINT('Origin',(50.375,47.75,0.)); #563964=CARTESIAN_POINT('',(50.235,47.75,0.0349999999999895)); #563965=CARTESIAN_POINT('',(50.235,47.75,-200.)); #563966=CARTESIAN_POINT('Origin',(50.375,47.75,0.0349999999999895)); #563967=CARTESIAN_POINT('Origin',(50.375,47.,-200.)); #563968=CARTESIAN_POINT('',(50.235,47.,0.)); #563969=CARTESIAN_POINT('Origin',(50.375,47.,0.)); #563970=CARTESIAN_POINT('',(50.235,47.,0.0349999999999895)); #563971=CARTESIAN_POINT('',(50.235,47.,-200.)); #563972=CARTESIAN_POINT('Origin',(50.375,47.,0.0349999999999895)); #563973=CARTESIAN_POINT('Origin',(51.25,47.75,-200.)); #563974=CARTESIAN_POINT('',(51.11,47.75,0.)); #563975=CARTESIAN_POINT('Origin',(51.25,47.75,0.)); #563976=CARTESIAN_POINT('',(51.11,47.75,0.0349999999999895)); #563977=CARTESIAN_POINT('',(51.11,47.75,-200.)); #563978=CARTESIAN_POINT('Origin',(51.25,47.75,0.0349999999999895)); #563979=CARTESIAN_POINT('Origin',(51.25,47.,-200.)); #563980=CARTESIAN_POINT('',(51.11,47.,0.)); #563981=CARTESIAN_POINT('Origin',(51.25,47.,0.)); #563982=CARTESIAN_POINT('',(51.11,47.,0.0349999999999895)); #563983=CARTESIAN_POINT('',(51.11,47.,-200.)); #563984=CARTESIAN_POINT('Origin',(51.25,47.,0.0349999999999895)); #563985=CARTESIAN_POINT('Origin',(53.197394,41.,0.)); #563986=CARTESIAN_POINT('',(53.197394,41.,0.)); #563987=CARTESIAN_POINT('',(53.246928,41.010059,0.)); #563988=CARTESIAN_POINT('',(53.197394,41.,0.)); #563989=CARTESIAN_POINT('',(53.246928,41.010059,0.035)); #563990=CARTESIAN_POINT('',(53.246928,41.010059,0.)); #563991=CARTESIAN_POINT('',(53.197394,41.,0.035)); #563992=CARTESIAN_POINT('',(53.197394,41.,0.035)); #563993=CARTESIAN_POINT('',(53.197394,41.,0.)); #563994=CARTESIAN_POINT('Origin',(48.802606,41.,0.)); #563995=CARTESIAN_POINT('',(48.802606,41.,0.)); #563996=CARTESIAN_POINT('',(48.802606,41.,0.)); #563997=CARTESIAN_POINT('',(48.802606,41.,0.035)); #563998=CARTESIAN_POINT('',(48.802606,41.,0.035)); #563999=CARTESIAN_POINT('',(48.802606,41.,0.)); #564000=CARTESIAN_POINT('Origin',(48.754944,41.009284,0.)); #564001=CARTESIAN_POINT('',(48.754944,41.009284,0.)); #564002=CARTESIAN_POINT('',(48.754944,41.009284,0.)); #564003=CARTESIAN_POINT('',(48.754944,41.009284,0.035)); #564004=CARTESIAN_POINT('',(48.754944,41.009284,0.035)); #564005=CARTESIAN_POINT('',(48.754944,41.009284,0.)); #564006=CARTESIAN_POINT('Origin',(48.712803,41.037197,0.)); #564007=CARTESIAN_POINT('',(48.712803,41.037197,0.)); #564008=CARTESIAN_POINT('',(48.712803,41.037197,0.)); #564009=CARTESIAN_POINT('',(48.712803,41.037197,0.035)); #564010=CARTESIAN_POINT('',(48.712803,41.037197,0.035)); #564011=CARTESIAN_POINT('',(48.712803,41.037197,0.)); #564012=CARTESIAN_POINT('Origin',(47.256366,42.493634,0.)); #564013=CARTESIAN_POINT('',(47.256366,42.493634,0.)); #564014=CARTESIAN_POINT('',(47.256366,42.493634,0.)); #564015=CARTESIAN_POINT('',(47.256366,42.493634,0.035)); #564016=CARTESIAN_POINT('',(47.256366,42.493634,0.035)); #564017=CARTESIAN_POINT('',(47.256366,42.493634,0.)); #564018=CARTESIAN_POINT('Origin',(47.249072,42.499109,0.)); #564019=CARTESIAN_POINT('',(47.249072,42.499109,0.)); #564020=CARTESIAN_POINT('',(47.249072,42.499109,0.)); #564021=CARTESIAN_POINT('',(47.249072,42.499109,0.035)); #564022=CARTESIAN_POINT('',(47.249072,42.499109,0.035)); #564023=CARTESIAN_POINT('',(47.249072,42.499109,0.)); #564024=CARTESIAN_POINT('Origin',(47.242016,42.5,0.)); #564025=CARTESIAN_POINT('',(47.242016,42.5,0.)); #564026=CARTESIAN_POINT('',(47.242016,42.5,0.)); #564027=CARTESIAN_POINT('',(47.242016,42.5,0.035)); #564028=CARTESIAN_POINT('',(47.242016,42.5,0.035)); #564029=CARTESIAN_POINT('',(47.242016,42.5,0.)); #564030=CARTESIAN_POINT('Origin',(43.802606,42.5,0.)); #564031=CARTESIAN_POINT('',(43.802606,42.5,0.)); #564032=CARTESIAN_POINT('',(43.802606,42.5,0.)); #564033=CARTESIAN_POINT('',(43.802606,42.5,0.035)); #564034=CARTESIAN_POINT('',(43.802606,42.5,0.035)); #564035=CARTESIAN_POINT('',(43.802606,42.5,0.)); #564036=CARTESIAN_POINT('Origin',(43.754944,42.509284,0.)); #564037=CARTESIAN_POINT('',(43.754944,42.509284,0.)); #564038=CARTESIAN_POINT('',(43.754944,42.509284,0.)); #564039=CARTESIAN_POINT('',(43.754944,42.509284,0.035)); #564040=CARTESIAN_POINT('',(43.754944,42.509284,0.035)); #564041=CARTESIAN_POINT('',(43.754944,42.509284,0.)); #564042=CARTESIAN_POINT('Origin',(43.712803,42.537197,0.)); #564043=CARTESIAN_POINT('',(43.712803,42.537197,0.)); #564044=CARTESIAN_POINT('',(43.712803,42.537197,0.)); #564045=CARTESIAN_POINT('',(43.712803,42.537197,0.035)); #564046=CARTESIAN_POINT('',(43.712803,42.537197,0.035)); #564047=CARTESIAN_POINT('',(43.712803,42.537197,0.)); #564048=CARTESIAN_POINT('Origin',(43.037197,43.212803,0.)); #564049=CARTESIAN_POINT('',(43.037197,43.212803,0.)); #564050=CARTESIAN_POINT('',(43.037197,43.212803,0.)); #564051=CARTESIAN_POINT('',(43.037197,43.212803,0.035)); #564052=CARTESIAN_POINT('',(43.037197,43.212803,0.035)); #564053=CARTESIAN_POINT('',(43.037197,43.212803,0.)); #564054=CARTESIAN_POINT('Origin',(43.010059,43.253072,0.)); #564055=CARTESIAN_POINT('',(43.010059,43.253072,0.)); #564056=CARTESIAN_POINT('',(43.010059,43.253072,0.)); #564057=CARTESIAN_POINT('',(43.010059,43.253072,0.035)); #564058=CARTESIAN_POINT('',(43.010059,43.253072,0.035)); #564059=CARTESIAN_POINT('',(43.010059,43.253072,0.)); #564060=CARTESIAN_POINT('Origin',(43.,43.302606,0.)); #564061=CARTESIAN_POINT('',(43.,43.302606,0.)); #564062=CARTESIAN_POINT('',(43.,43.302606,0.)); #564063=CARTESIAN_POINT('',(43.,43.302606,0.035)); #564064=CARTESIAN_POINT('',(43.,43.302606,0.035)); #564065=CARTESIAN_POINT('',(43.,43.302606,0.)); #564066=CARTESIAN_POINT('Origin',(43.,48.873,0.)); #564067=CARTESIAN_POINT('',(43.,48.873,0.)); #564068=CARTESIAN_POINT('',(43.,48.873,0.)); #564069=CARTESIAN_POINT('',(43.,48.873,0.035)); #564070=CARTESIAN_POINT('',(43.,48.873,0.035)); #564071=CARTESIAN_POINT('',(43.,48.873,0.)); #564072=CARTESIAN_POINT('Origin',(43.008538,48.918781,0.)); #564073=CARTESIAN_POINT('',(43.008538,48.918781,0.)); #564074=CARTESIAN_POINT('',(43.008538,48.918781,0.)); #564075=CARTESIAN_POINT('',(43.008538,48.918781,0.035)); #564076=CARTESIAN_POINT('',(43.008538,48.918781,0.035)); #564077=CARTESIAN_POINT('',(43.008538,48.918781,0.)); #564078=CARTESIAN_POINT('Origin',(43.035778,48.961359,0.)); #564079=CARTESIAN_POINT('',(43.035778,48.961359,0.)); #564080=CARTESIAN_POINT('',(43.035778,48.961359,0.)); #564081=CARTESIAN_POINT('',(43.035778,48.961359,0.035)); #564082=CARTESIAN_POINT('',(43.035778,48.961359,0.035)); #564083=CARTESIAN_POINT('',(43.035778,48.961359,0.)); #564084=CARTESIAN_POINT('Origin',(43.077466,48.989941,0.)); #564085=CARTESIAN_POINT('',(43.077466,48.989941,0.)); #564086=CARTESIAN_POINT('',(43.077466,48.989941,0.)); #564087=CARTESIAN_POINT('',(43.077466,48.989941,0.035)); #564088=CARTESIAN_POINT('',(43.077466,48.989941,0.035)); #564089=CARTESIAN_POINT('',(43.077466,48.989941,0.)); #564090=CARTESIAN_POINT('Origin',(43.127,49.,0.)); #564091=CARTESIAN_POINT('',(43.127,49.,0.)); #564092=CARTESIAN_POINT('',(43.127,49.,0.)); #564093=CARTESIAN_POINT('',(43.127,49.,0.035)); #564094=CARTESIAN_POINT('',(43.127,49.,0.035)); #564095=CARTESIAN_POINT('',(43.127,49.,0.)); #564096=CARTESIAN_POINT('Origin',(43.676869,49.,0.)); #564097=CARTESIAN_POINT('',(43.676869,49.,0.)); #564098=CARTESIAN_POINT('',(43.676869,49.,0.)); #564099=CARTESIAN_POINT('',(43.676869,49.,0.035)); #564100=CARTESIAN_POINT('',(43.676869,49.,0.035)); #564101=CARTESIAN_POINT('',(43.676869,49.,0.)); #564102=CARTESIAN_POINT('Origin',(43.689191,48.9976,0.)); #564103=CARTESIAN_POINT('',(43.689191,48.9976,0.)); #564104=CARTESIAN_POINT('',(43.689191,48.9976,0.)); #564105=CARTESIAN_POINT('',(43.689191,48.9976,0.035)); #564106=CARTESIAN_POINT('',(43.689191,48.9976,0.035)); #564107=CARTESIAN_POINT('',(43.689191,48.9976,0.)); #564108=CARTESIAN_POINT('Origin',(44.501313,48.9976,0.)); #564109=CARTESIAN_POINT('',(44.501313,48.9976,0.)); #564110=CARTESIAN_POINT('',(44.501313,48.9976,0.)); #564111=CARTESIAN_POINT('',(44.501313,48.9976,0.035)); #564112=CARTESIAN_POINT('',(44.501313,48.9976,0.035)); #564113=CARTESIAN_POINT('',(44.501313,48.9976,0.)); #564114=CARTESIAN_POINT('Origin',(44.513131,49.,0.)); #564115=CARTESIAN_POINT('',(44.513131,49.,0.)); #564116=CARTESIAN_POINT('',(44.513131,49.,0.)); #564117=CARTESIAN_POINT('',(44.513131,49.,0.035)); #564118=CARTESIAN_POINT('',(44.513131,49.,0.035)); #564119=CARTESIAN_POINT('',(44.513131,49.,0.)); #564120=CARTESIAN_POINT('Origin',(44.946869,49.,0.)); #564121=CARTESIAN_POINT('',(44.946869,49.,0.)); #564122=CARTESIAN_POINT('',(44.946869,49.,0.)); #564123=CARTESIAN_POINT('',(44.946869,49.,0.035)); #564124=CARTESIAN_POINT('',(44.946869,49.,0.035)); #564125=CARTESIAN_POINT('',(44.946869,49.,0.)); #564126=CARTESIAN_POINT('Origin',(44.959191,48.9976,0.)); #564127=CARTESIAN_POINT('',(44.959191,48.9976,0.)); #564128=CARTESIAN_POINT('',(44.959191,48.9976,0.)); #564129=CARTESIAN_POINT('',(44.959191,48.9976,0.035)); #564130=CARTESIAN_POINT('',(44.959191,48.9976,0.035)); #564131=CARTESIAN_POINT('',(44.959191,48.9976,0.)); #564132=CARTESIAN_POINT('Origin',(45.771313,48.9976,0.)); #564133=CARTESIAN_POINT('',(45.771313,48.9976,0.)); #564134=CARTESIAN_POINT('',(45.771313,48.9976,0.)); #564135=CARTESIAN_POINT('',(45.771313,48.9976,0.035)); #564136=CARTESIAN_POINT('',(45.771313,48.9976,0.035)); #564137=CARTESIAN_POINT('',(45.771313,48.9976,0.)); #564138=CARTESIAN_POINT('Origin',(45.783131,49.,0.)); #564139=CARTESIAN_POINT('',(45.783131,49.,0.)); #564140=CARTESIAN_POINT('',(45.783131,49.,0.)); #564141=CARTESIAN_POINT('',(45.783131,49.,0.035)); #564142=CARTESIAN_POINT('',(45.783131,49.,0.035)); #564143=CARTESIAN_POINT('',(45.783131,49.,0.)); #564144=CARTESIAN_POINT('Origin',(46.216869,49.,0.)); #564145=CARTESIAN_POINT('',(46.216869,49.,0.)); #564146=CARTESIAN_POINT('',(46.216869,49.,0.)); #564147=CARTESIAN_POINT('',(46.216869,49.,0.035)); #564148=CARTESIAN_POINT('',(46.216869,49.,0.035)); #564149=CARTESIAN_POINT('',(46.216869,49.,0.)); #564150=CARTESIAN_POINT('Origin',(46.229191,48.9976,0.)); #564151=CARTESIAN_POINT('',(46.229191,48.9976,0.)); #564152=CARTESIAN_POINT('',(46.229191,48.9976,0.)); #564153=CARTESIAN_POINT('',(46.229191,48.9976,0.035)); #564154=CARTESIAN_POINT('',(46.229191,48.9976,0.035)); #564155=CARTESIAN_POINT('',(46.229191,48.9976,0.)); #564156=CARTESIAN_POINT('Origin',(47.041313,48.9976,0.)); #564157=CARTESIAN_POINT('',(47.041313,48.9976,0.)); #564158=CARTESIAN_POINT('',(47.041313,48.9976,0.)); #564159=CARTESIAN_POINT('',(47.041313,48.9976,0.035)); #564160=CARTESIAN_POINT('',(47.041313,48.9976,0.035)); #564161=CARTESIAN_POINT('',(47.041313,48.9976,0.)); #564162=CARTESIAN_POINT('Origin',(47.053131,49.,0.)); #564163=CARTESIAN_POINT('',(47.053131,49.,0.)); #564164=CARTESIAN_POINT('',(47.053131,49.,0.)); #564165=CARTESIAN_POINT('',(47.053131,49.,0.035)); #564166=CARTESIAN_POINT('',(47.053131,49.,0.035)); #564167=CARTESIAN_POINT('',(47.053131,49.,0.)); #564168=CARTESIAN_POINT('Origin',(47.486869,49.,0.)); #564169=CARTESIAN_POINT('',(47.486869,49.,0.)); #564170=CARTESIAN_POINT('',(47.486869,49.,0.)); #564171=CARTESIAN_POINT('',(47.486869,49.,0.035)); #564172=CARTESIAN_POINT('',(47.486869,49.,0.035)); #564173=CARTESIAN_POINT('',(47.486869,49.,0.)); #564174=CARTESIAN_POINT('Origin',(47.499191,48.9976,0.)); #564175=CARTESIAN_POINT('',(47.499191,48.9976,0.)); #564176=CARTESIAN_POINT('',(47.499191,48.9976,0.)); #564177=CARTESIAN_POINT('',(47.499191,48.9976,0.035)); #564178=CARTESIAN_POINT('',(47.499191,48.9976,0.035)); #564179=CARTESIAN_POINT('',(47.499191,48.9976,0.)); #564180=CARTESIAN_POINT('Origin',(48.311312,48.9976,0.)); #564181=CARTESIAN_POINT('',(48.311312,48.9976,0.)); #564182=CARTESIAN_POINT('',(48.311312,48.9976,0.)); #564183=CARTESIAN_POINT('',(48.311312,48.9976,0.035)); #564184=CARTESIAN_POINT('',(48.311312,48.9976,0.035)); #564185=CARTESIAN_POINT('',(48.311312,48.9976,0.)); #564186=CARTESIAN_POINT('Origin',(48.323131,49.,0.)); #564187=CARTESIAN_POINT('',(48.323131,49.,0.)); #564188=CARTESIAN_POINT('',(48.323131,49.,0.)); #564189=CARTESIAN_POINT('',(48.323131,49.,0.035)); #564190=CARTESIAN_POINT('',(48.323131,49.,0.035)); #564191=CARTESIAN_POINT('',(48.323131,49.,0.)); #564192=CARTESIAN_POINT('Origin',(53.197394,49.,0.)); #564193=CARTESIAN_POINT('',(53.197394,49.,0.)); #564194=CARTESIAN_POINT('',(53.197394,49.,0.)); #564195=CARTESIAN_POINT('',(53.197394,49.,0.035)); #564196=CARTESIAN_POINT('',(53.197394,49.,0.035)); #564197=CARTESIAN_POINT('',(53.197394,49.,0.)); #564198=CARTESIAN_POINT('Origin',(53.245056,48.990716,0.)); #564199=CARTESIAN_POINT('',(53.245056,48.990716,0.)); #564200=CARTESIAN_POINT('',(53.245056,48.990716,0.)); #564201=CARTESIAN_POINT('',(53.245056,48.990716,0.035)); #564202=CARTESIAN_POINT('',(53.245056,48.990716,0.035)); #564203=CARTESIAN_POINT('',(53.245056,48.990716,0.)); #564204=CARTESIAN_POINT('Origin',(53.287197,48.962803,0.)); #564205=CARTESIAN_POINT('',(53.287197,48.962803,0.)); #564206=CARTESIAN_POINT('',(53.287197,48.962803,0.)); #564207=CARTESIAN_POINT('',(53.287197,48.962803,0.035)); #564208=CARTESIAN_POINT('',(53.287197,48.962803,0.035)); #564209=CARTESIAN_POINT('',(53.287197,48.962803,0.)); #564210=CARTESIAN_POINT('Origin',(53.962803,48.287197,0.)); #564211=CARTESIAN_POINT('',(53.962803,48.287197,0.)); #564212=CARTESIAN_POINT('',(53.962803,48.287197,0.)); #564213=CARTESIAN_POINT('',(53.962803,48.287197,0.035)); #564214=CARTESIAN_POINT('',(53.962803,48.287197,0.035)); #564215=CARTESIAN_POINT('',(53.962803,48.287197,0.)); #564216=CARTESIAN_POINT('Origin',(53.989941,48.246928,0.)); #564217=CARTESIAN_POINT('',(53.989941,48.246928,0.)); #564218=CARTESIAN_POINT('',(53.989941,48.246928,0.)); #564219=CARTESIAN_POINT('',(53.989941,48.246928,0.035)); #564220=CARTESIAN_POINT('',(53.989941,48.246928,0.035)); #564221=CARTESIAN_POINT('',(53.989941,48.246928,0.)); #564222=CARTESIAN_POINT('Origin',(54.,48.197394,0.)); #564223=CARTESIAN_POINT('',(54.,48.197394,0.)); #564224=CARTESIAN_POINT('',(54.,48.197394,0.)); #564225=CARTESIAN_POINT('',(54.,48.197394,0.035)); #564226=CARTESIAN_POINT('',(54.,48.197394,0.035)); #564227=CARTESIAN_POINT('',(54.,48.197394,0.)); #564228=CARTESIAN_POINT('Origin',(54.,41.802606,0.)); #564229=CARTESIAN_POINT('',(54.,41.802606,0.)); #564230=CARTESIAN_POINT('',(54.,41.802606,0.)); #564231=CARTESIAN_POINT('',(54.,41.802606,0.035)); #564232=CARTESIAN_POINT('',(54.,41.802606,0.035)); #564233=CARTESIAN_POINT('',(54.,41.802606,0.)); #564234=CARTESIAN_POINT('Origin',(53.990716,41.754944,0.)); #564235=CARTESIAN_POINT('',(53.990716,41.754944,0.)); #564236=CARTESIAN_POINT('',(53.990716,41.754944,0.)); #564237=CARTESIAN_POINT('',(53.990716,41.754944,0.035)); #564238=CARTESIAN_POINT('',(53.990716,41.754944,0.035)); #564239=CARTESIAN_POINT('',(53.990716,41.754944,0.)); #564240=CARTESIAN_POINT('Origin',(53.962803,41.712803,0.)); #564241=CARTESIAN_POINT('',(53.962803,41.712803,0.)); #564242=CARTESIAN_POINT('',(53.962803,41.712803,0.)); #564243=CARTESIAN_POINT('',(53.962803,41.712803,0.035)); #564244=CARTESIAN_POINT('',(53.962803,41.712803,0.035)); #564245=CARTESIAN_POINT('',(53.962803,41.712803,0.)); #564246=CARTESIAN_POINT('Origin',(53.287197,41.037197,0.)); #564247=CARTESIAN_POINT('',(53.287197,41.037197,0.)); #564248=CARTESIAN_POINT('',(53.287197,41.037197,0.)); #564249=CARTESIAN_POINT('',(53.287197,41.037197,0.035)); #564250=CARTESIAN_POINT('',(53.287197,41.037197,0.035)); #564251=CARTESIAN_POINT('',(53.287197,41.037197,0.)); #564252=CARTESIAN_POINT('Origin',(53.246928,41.010059,0.)); #564253=CARTESIAN_POINT('',(53.246928,41.010059,0.)); #564254=CARTESIAN_POINT('',(53.246928,41.010059,0.035)); #564255=CARTESIAN_POINT('Origin',(48.6581340874055,45.3192471184505,0.035)); #564256=CARTESIAN_POINT('Origin',(48.6581340874055,45.3192471184505,0.)); #564257=CARTESIAN_POINT('Origin',(41.25,31.,-200.)); #564258=CARTESIAN_POINT('',(41.11,31.,0.)); #564259=CARTESIAN_POINT('Origin',(41.25,31.,0.)); #564260=CARTESIAN_POINT('',(41.11,31.,0.0349999999999895)); #564261=CARTESIAN_POINT('',(41.11,31.,-200.)); #564262=CARTESIAN_POINT('Origin',(41.25,31.,0.0349999999999895)); #564263=CARTESIAN_POINT('Origin',(41.25,36.,-200.)); #564264=CARTESIAN_POINT('',(41.11,36.,0.)); #564265=CARTESIAN_POINT('Origin',(41.25,36.,0.)); #564266=CARTESIAN_POINT('',(41.11,36.,0.0349999999999895)); #564267=CARTESIAN_POINT('',(41.11,36.,-200.)); #564268=CARTESIAN_POINT('Origin',(41.25,36.,0.0349999999999895)); #564269=CARTESIAN_POINT('Origin',(41.271644,30.725,0.)); #564270=CARTESIAN_POINT('',(41.271644,30.725,0.)); #564271=CARTESIAN_POINT('',(41.314397,30.731772,0.)); #564272=CARTESIAN_POINT('',(41.271644,30.725,0.)); #564273=CARTESIAN_POINT('',(41.314397,30.731772,0.035)); #564274=CARTESIAN_POINT('',(41.314397,30.731772,0.)); #564275=CARTESIAN_POINT('',(41.271644,30.725,0.035)); #564276=CARTESIAN_POINT('',(41.271644,30.725,0.035)); #564277=CARTESIAN_POINT('',(41.271644,30.725,0.)); #564278=CARTESIAN_POINT('Origin',(41.250118471131,30.9995872515008,0.)); #564279=CARTESIAN_POINT('',(41.123,31.243928,0.)); #564280=CARTESIAN_POINT('Origin',(41.250118471131,30.9995872515008,0.)); #564281=CARTESIAN_POINT('',(41.123,31.243928,0.035)); #564282=CARTESIAN_POINT('Origin',(41.250118471131,30.9995872515008,0.035)); #564283=CARTESIAN_POINT('',(41.123,31.243928,0.)); #564284=CARTESIAN_POINT('Origin',(41.123,35.756072,0.)); #564285=CARTESIAN_POINT('',(41.123,35.756072,0.)); #564286=CARTESIAN_POINT('',(41.123,35.756072,0.)); #564287=CARTESIAN_POINT('',(41.123,35.756072,0.035)); #564288=CARTESIAN_POINT('',(41.123,35.756072,0.035)); #564289=CARTESIAN_POINT('',(41.123,35.756072,0.)); #564290=CARTESIAN_POINT('Origin',(41.2500204601791,36.0004637716938,0.)); #564291=CARTESIAN_POINT('',(41.144438,36.254853,0.)); #564292=CARTESIAN_POINT('Origin',(41.2500204601791,36.0004637716938,0.)); #564293=CARTESIAN_POINT('',(41.144438,36.254853,0.035)); #564294=CARTESIAN_POINT('Origin',(41.2500204601791,36.0004637716938,0.035)); #564295=CARTESIAN_POINT('',(41.144438,36.254853,0.)); #564296=CARTESIAN_POINT('Origin',(41.2498222285952,36.000381763261,0.)); #564297=CARTESIAN_POINT('',(41.377,35.756072,0.)); #564298=CARTESIAN_POINT('Origin',(41.2498222285952,36.000381763261,0.)); #564299=CARTESIAN_POINT('',(41.377,35.756072,0.035)); #564300=CARTESIAN_POINT('Origin',(41.2498222285952,36.000381763261,0.035)); #564301=CARTESIAN_POINT('',(41.377,35.756072,0.)); #564302=CARTESIAN_POINT('Origin',(41.377,31.243928,0.)); #564303=CARTESIAN_POINT('',(41.377,31.243928,0.)); #564304=CARTESIAN_POINT('',(41.377,31.243928,0.)); #564305=CARTESIAN_POINT('',(41.377,31.243928,0.035)); #564306=CARTESIAN_POINT('',(41.377,31.243928,0.035)); #564307=CARTESIAN_POINT('',(41.377,31.243928,0.)); #564308=CARTESIAN_POINT('Origin',(41.2499453752955,30.999554310534,0.)); #564309=CARTESIAN_POINT('Origin',(41.2499453752955,30.999554310534,0.)); #564310=CARTESIAN_POINT('Origin',(41.2499453752955,30.999554310534,0.035)); #564311=CARTESIAN_POINT('Origin',(41.2496864506156,33.49980383149,0.035)); #564312=CARTESIAN_POINT('Origin',(41.2496864506156,33.49980383149,0.)); #564313=CARTESIAN_POINT('Origin',(39.25,17.5,-200.)); #564314=CARTESIAN_POINT('',(39.11,17.5,0.)); #564315=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #564316=CARTESIAN_POINT('',(39.11,17.5,0.0349999999999895)); #564317=CARTESIAN_POINT('',(39.11,17.5,-200.)); #564318=CARTESIAN_POINT('Origin',(39.25,17.5,0.0349999999999895)); #564319=CARTESIAN_POINT('Origin',(39.271644,17.225,0.)); #564320=CARTESIAN_POINT('',(39.271644,17.225,0.)); #564321=CARTESIAN_POINT('',(39.314397,17.231772,0.)); #564322=CARTESIAN_POINT('',(39.271644,17.225,0.)); #564323=CARTESIAN_POINT('',(39.314397,17.231772,0.035)); #564324=CARTESIAN_POINT('',(39.314397,17.231772,0.)); #564325=CARTESIAN_POINT('',(39.271644,17.225,0.035)); #564326=CARTESIAN_POINT('',(39.271644,17.225,0.035)); #564327=CARTESIAN_POINT('',(39.271644,17.225,0.)); #564328=CARTESIAN_POINT('Origin',(39.2500000802027,17.4999998017945,0.)); #564329=CARTESIAN_POINT('',(39.185603,17.768228,0.)); #564330=CARTESIAN_POINT('Origin',(39.2500000802027,17.4999998017945,0.)); #564331=CARTESIAN_POINT('',(39.185603,17.768228,0.035)); #564332=CARTESIAN_POINT('Origin',(39.2500000802027,17.4999998017945,0.035)); #564333=CARTESIAN_POINT('',(39.185603,17.768228,0.)); #564334=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #564335=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #564336=CARTESIAN_POINT('Origin',(39.25,17.5,0.035)); #564337=CARTESIAN_POINT('Origin',(39.2491163162584,17.4991950794965,0.035)); #564338=CARTESIAN_POINT('Origin',(39.2491163162584,17.4991950794965,0.)); #564339=CARTESIAN_POINT('Origin',(33.25,5.5,-200.)); #564340=CARTESIAN_POINT('',(33.11,5.5,0.)); #564341=CARTESIAN_POINT('Origin',(33.25,5.5,0.)); #564342=CARTESIAN_POINT('',(33.11,5.5,0.0349999999999895)); #564343=CARTESIAN_POINT('',(33.11,5.5,-200.)); #564344=CARTESIAN_POINT('Origin',(33.25,5.5,0.0349999999999895)); #564345=CARTESIAN_POINT('Origin',(34.,5.5,-200.)); #564346=CARTESIAN_POINT('',(33.86,5.5,0.)); #564347=CARTESIAN_POINT('Origin',(34.,5.5,0.)); #564348=CARTESIAN_POINT('',(33.86,5.5,0.0349999999999895)); #564349=CARTESIAN_POINT('',(33.86,5.5,-200.)); #564350=CARTESIAN_POINT('Origin',(34.,5.5,0.0349999999999895)); #564351=CARTESIAN_POINT('Origin',(37.25,8.75,-200.)); #564352=CARTESIAN_POINT('',(37.11,8.75,0.)); #564353=CARTESIAN_POINT('Origin',(37.25,8.75,0.)); #564354=CARTESIAN_POINT('',(37.11,8.75,0.0349999999999895)); #564355=CARTESIAN_POINT('',(37.11,8.75,-200.)); #564356=CARTESIAN_POINT('Origin',(37.25,8.75,0.0349999999999895)); #564357=CARTESIAN_POINT('Origin',(31.6,7.6,-200.)); #564358=CARTESIAN_POINT('',(31.485,7.6,0.)); #564359=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #564360=CARTESIAN_POINT('',(31.485,7.6,0.0349999999999895)); #564361=CARTESIAN_POINT('',(31.485,7.6,-200.)); #564362=CARTESIAN_POINT('Origin',(31.6,7.6,0.0349999999999895)); #564363=CARTESIAN_POINT('Origin',(36.4,7.1,-200.)); #564364=CARTESIAN_POINT('',(36.285,7.1,0.)); #564365=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #564366=CARTESIAN_POINT('',(36.285,7.1,0.0349999999999895)); #564367=CARTESIAN_POINT('',(36.285,7.1,-200.)); #564368=CARTESIAN_POINT('Origin',(36.4,7.1,0.0349999999999895)); #564369=CARTESIAN_POINT('Origin',(36.4,7.6,-200.)); #564370=CARTESIAN_POINT('',(36.285,7.6,0.)); #564371=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #564372=CARTESIAN_POINT('',(36.285,7.6,0.0349999999999895)); #564373=CARTESIAN_POINT('',(36.285,7.6,-200.)); #564374=CARTESIAN_POINT('Origin',(36.4,7.6,0.0349999999999895)); #564375=CARTESIAN_POINT('Origin',(31.6,7.1,-200.)); #564376=CARTESIAN_POINT('',(31.485,7.1,0.)); #564377=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #564378=CARTESIAN_POINT('',(31.485,7.1,0.0349999999999895)); #564379=CARTESIAN_POINT('',(31.485,7.1,-200.)); #564380=CARTESIAN_POINT('Origin',(31.6,7.1,0.0349999999999895)); #564381=CARTESIAN_POINT('Origin',(30.75,9.5,-200.)); #564382=CARTESIAN_POINT('',(30.61,9.5,0.)); #564383=CARTESIAN_POINT('Origin',(30.75,9.5,0.)); #564384=CARTESIAN_POINT('',(30.61,9.5,0.0349999999999895)); #564385=CARTESIAN_POINT('',(30.61,9.5,-200.)); #564386=CARTESIAN_POINT('Origin',(30.75,9.5,0.0349999999999895)); #564387=CARTESIAN_POINT('Origin',(30.75,8.75,-200.)); #564388=CARTESIAN_POINT('',(30.61,8.75,0.)); #564389=CARTESIAN_POINT('Origin',(30.75,8.75,0.)); #564390=CARTESIAN_POINT('',(30.61,8.75,0.0349999999999895)); #564391=CARTESIAN_POINT('',(30.61,8.75,-200.)); #564392=CARTESIAN_POINT('Origin',(30.75,8.75,0.0349999999999895)); #564393=CARTESIAN_POINT('Origin',(34.75,5.5,-200.)); #564394=CARTESIAN_POINT('',(34.61,5.5,0.)); #564395=CARTESIAN_POINT('Origin',(34.75,5.5,0.)); #564396=CARTESIAN_POINT('',(34.61,5.5,0.0349999999999895)); #564397=CARTESIAN_POINT('',(34.61,5.5,-200.)); #564398=CARTESIAN_POINT('Origin',(34.75,5.5,0.0349999999999895)); #564399=CARTESIAN_POINT('Origin',(31.5,8.75,-200.)); #564400=CARTESIAN_POINT('',(31.36,8.75,0.)); #564401=CARTESIAN_POINT('Origin',(31.5,8.75,0.)); #564402=CARTESIAN_POINT('',(31.36,8.75,0.0349999999999895)); #564403=CARTESIAN_POINT('',(31.36,8.75,-200.)); #564404=CARTESIAN_POINT('Origin',(31.5,8.75,0.0349999999999895)); #564405=CARTESIAN_POINT('Origin',(36.5,8.75,-200.)); #564406=CARTESIAN_POINT('',(36.36,8.75,0.)); #564407=CARTESIAN_POINT('Origin',(36.5,8.75,0.)); #564408=CARTESIAN_POINT('',(36.36,8.75,0.0349999999999895)); #564409=CARTESIAN_POINT('',(36.36,8.75,-200.)); #564410=CARTESIAN_POINT('Origin',(36.5,8.75,0.0349999999999895)); #564411=CARTESIAN_POINT('Origin',(31.5,9.5,-200.)); #564412=CARTESIAN_POINT('',(31.36,9.5,0.)); #564413=CARTESIAN_POINT('Origin',(31.5,9.5,0.)); #564414=CARTESIAN_POINT('',(31.36,9.5,0.0349999999999895)); #564415=CARTESIAN_POINT('',(31.36,9.5,-200.)); #564416=CARTESIAN_POINT('Origin',(31.5,9.5,0.0349999999999895)); #564417=CARTESIAN_POINT('Origin',(36.5,9.5,-200.)); #564418=CARTESIAN_POINT('',(36.36,9.5,0.)); #564419=CARTESIAN_POINT('Origin',(36.5,9.5,0.)); #564420=CARTESIAN_POINT('',(36.36,9.5,0.0349999999999895)); #564421=CARTESIAN_POINT('',(36.36,9.5,-200.)); #564422=CARTESIAN_POINT('Origin',(36.5,9.5,0.0349999999999895)); #564423=CARTESIAN_POINT('Origin',(37.25,9.5,-200.)); #564424=CARTESIAN_POINT('',(37.11,9.5,0.)); #564425=CARTESIAN_POINT('Origin',(37.25,9.5,0.)); #564426=CARTESIAN_POINT('',(37.11,9.5,0.0349999999999895)); #564427=CARTESIAN_POINT('',(37.11,9.5,-200.)); #564428=CARTESIAN_POINT('Origin',(37.25,9.5,0.0349999999999895)); #564429=CARTESIAN_POINT('Origin',(33.927034,5.8152,0.)); #564430=CARTESIAN_POINT('',(33.927034,5.8152,0.)); #564431=CARTESIAN_POINT('',(33.572966,5.8152,0.)); #564432=CARTESIAN_POINT('',(33.927034,5.8152,0.)); #564433=CARTESIAN_POINT('',(33.572966,5.8152,0.035)); #564434=CARTESIAN_POINT('',(33.572966,5.8152,0.)); #564435=CARTESIAN_POINT('',(33.927034,5.8152,0.035)); #564436=CARTESIAN_POINT('',(33.927034,5.8152,0.035)); #564437=CARTESIAN_POINT('',(33.927034,5.8152,0.)); #564438=CARTESIAN_POINT('Origin',(34.1808,6.0689656,0.)); #564439=CARTESIAN_POINT('',(34.1808,6.0689656,0.)); #564440=CARTESIAN_POINT('',(34.1808,6.0689656,0.)); #564441=CARTESIAN_POINT('',(34.1808,6.0689656,0.035)); #564442=CARTESIAN_POINT('',(34.1808,6.0689656,0.035)); #564443=CARTESIAN_POINT('',(34.1808,6.0689656,0.)); #564444=CARTESIAN_POINT('Origin',(34.186684,6.0991688,0.)); #564445=CARTESIAN_POINT('',(34.186684,6.0991688,0.)); #564446=CARTESIAN_POINT('',(34.186684,6.0991688,0.)); #564447=CARTESIAN_POINT('',(34.186684,6.0991688,0.035)); #564448=CARTESIAN_POINT('',(34.186684,6.0991688,0.035)); #564449=CARTESIAN_POINT('',(34.186684,6.0991688,0.)); #564450=CARTESIAN_POINT('Origin',(34.214597,6.1413094,0.)); #564451=CARTESIAN_POINT('',(34.214597,6.1413094,0.)); #564452=CARTESIAN_POINT('',(34.214597,6.1413094,0.)); #564453=CARTESIAN_POINT('',(34.214597,6.1413094,0.035)); #564454=CARTESIAN_POINT('',(34.214597,6.1413094,0.035)); #564455=CARTESIAN_POINT('',(34.214597,6.1413094,0.)); #564456=CARTESIAN_POINT('Origin',(34.481972,6.4086844,0.)); #564457=CARTESIAN_POINT('',(34.481972,6.4086844,0.)); #564458=CARTESIAN_POINT('',(34.481972,6.4086844,0.)); #564459=CARTESIAN_POINT('',(34.481972,6.4086844,0.035)); #564460=CARTESIAN_POINT('',(34.481972,6.4086844,0.035)); #564461=CARTESIAN_POINT('',(34.481972,6.4086844,0.)); #564462=CARTESIAN_POINT('Origin',(34.487138,6.4363813,0.)); #564463=CARTESIAN_POINT('',(34.487138,6.4363813,0.)); #564464=CARTESIAN_POINT('',(34.487138,6.4363813,0.)); #564465=CARTESIAN_POINT('',(34.487138,6.4363813,0.035)); #564466=CARTESIAN_POINT('',(34.487138,6.4363813,0.035)); #564467=CARTESIAN_POINT('',(34.487138,6.4363813,0.)); #564468=CARTESIAN_POINT('Origin',(34.514378,6.4789594,0.)); #564469=CARTESIAN_POINT('',(34.514378,6.4789594,0.)); #564470=CARTESIAN_POINT('',(34.514378,6.4789594,0.)); #564471=CARTESIAN_POINT('',(34.514378,6.4789594,0.035)); #564472=CARTESIAN_POINT('',(34.514378,6.4789594,0.035)); #564473=CARTESIAN_POINT('',(34.514378,6.4789594,0.)); #564474=CARTESIAN_POINT('Origin',(34.556066,6.5075406,0.)); #564475=CARTESIAN_POINT('',(34.556066,6.5075406,0.)); #564476=CARTESIAN_POINT('',(34.556066,6.5075406,0.)); #564477=CARTESIAN_POINT('',(34.556066,6.5075406,0.035)); #564478=CARTESIAN_POINT('',(34.556066,6.5075406,0.035)); #564479=CARTESIAN_POINT('',(34.556066,6.5075406,0.)); #564480=CARTESIAN_POINT('Origin',(34.6056,6.5176,0.)); #564481=CARTESIAN_POINT('',(34.6056,6.5176,0.)); #564482=CARTESIAN_POINT('',(34.6056,6.5176,0.)); #564483=CARTESIAN_POINT('',(34.6056,6.5176,0.035)); #564484=CARTESIAN_POINT('',(34.6056,6.5176,0.035)); #564485=CARTESIAN_POINT('',(34.6056,6.5176,0.)); #564486=CARTESIAN_POINT('Origin',(34.6956,6.5176,0.)); #564487=CARTESIAN_POINT('',(34.6956,6.5176,0.)); #564488=CARTESIAN_POINT('',(34.6956,6.5176,0.)); #564489=CARTESIAN_POINT('',(34.6956,6.5176,0.035)); #564490=CARTESIAN_POINT('',(34.6956,6.5176,0.035)); #564491=CARTESIAN_POINT('',(34.6956,6.5176,0.)); #564492=CARTESIAN_POINT('Origin',(34.741381,6.5090625,0.)); #564493=CARTESIAN_POINT('',(34.741381,6.5090625,0.)); #564494=CARTESIAN_POINT('',(34.741381,6.5090625,0.)); #564495=CARTESIAN_POINT('',(34.741381,6.5090625,0.035)); #564496=CARTESIAN_POINT('',(34.741381,6.5090625,0.035)); #564497=CARTESIAN_POINT('',(34.741381,6.5090625,0.)); #564498=CARTESIAN_POINT('Origin',(34.783959,6.4818219,0.)); #564499=CARTESIAN_POINT('',(34.783959,6.4818219,0.)); #564500=CARTESIAN_POINT('',(34.783959,6.4818219,0.)); #564501=CARTESIAN_POINT('',(34.783959,6.4818219,0.035)); #564502=CARTESIAN_POINT('',(34.783959,6.4818219,0.035)); #564503=CARTESIAN_POINT('',(34.783959,6.4818219,0.)); #564504=CARTESIAN_POINT('Origin',(34.812541,6.4401344,0.)); #564505=CARTESIAN_POINT('',(34.812541,6.4401344,0.)); #564506=CARTESIAN_POINT('',(34.812541,6.4401344,0.)); #564507=CARTESIAN_POINT('',(34.812541,6.4401344,0.035)); #564508=CARTESIAN_POINT('',(34.812541,6.4401344,0.035)); #564509=CARTESIAN_POINT('',(34.812541,6.4401344,0.)); #564510=CARTESIAN_POINT('Origin',(34.8226,6.3906,0.)); #564511=CARTESIAN_POINT('',(34.8226,6.3906,0.)); #564512=CARTESIAN_POINT('',(34.8226,6.3906,0.)); #564513=CARTESIAN_POINT('',(34.8226,6.3906,0.035)); #564514=CARTESIAN_POINT('',(34.8226,6.3906,0.035)); #564515=CARTESIAN_POINT('',(34.8226,6.3906,0.)); #564516=CARTESIAN_POINT('Origin',(34.8226,6.0729656,0.)); #564517=CARTESIAN_POINT('',(34.8226,6.0729656,0.)); #564518=CARTESIAN_POINT('',(34.8226,6.0729656,0.)); #564519=CARTESIAN_POINT('',(34.8226,6.0729656,0.035)); #564520=CARTESIAN_POINT('',(34.8226,6.0729656,0.035)); #564521=CARTESIAN_POINT('',(34.8226,6.0729656,0.)); #564522=CARTESIAN_POINT('Origin',(35.072966,5.8226,0.)); #564523=CARTESIAN_POINT('',(35.072966,5.8226,0.)); #564524=CARTESIAN_POINT('',(35.072966,5.8226,0.)); #564525=CARTESIAN_POINT('',(35.072966,5.8226,0.035)); #564526=CARTESIAN_POINT('',(35.072966,5.8226,0.035)); #564527=CARTESIAN_POINT('',(35.072966,5.8226,0.)); #564528=CARTESIAN_POINT('Origin',(35.427034,5.8226,0.)); #564529=CARTESIAN_POINT('',(35.427034,5.8226,0.)); #564530=CARTESIAN_POINT('',(35.427034,5.8226,0.)); #564531=CARTESIAN_POINT('',(35.427034,5.8226,0.035)); #564532=CARTESIAN_POINT('',(35.427034,5.8226,0.035)); #564533=CARTESIAN_POINT('',(35.427034,5.8226,0.)); #564534=CARTESIAN_POINT('Origin',(35.6774,6.0729656,0.)); #564535=CARTESIAN_POINT('',(35.6774,6.0729656,0.)); #564536=CARTESIAN_POINT('',(35.6774,6.0729656,0.)); #564537=CARTESIAN_POINT('',(35.6774,6.0729656,0.035)); #564538=CARTESIAN_POINT('',(35.6774,6.0729656,0.035)); #564539=CARTESIAN_POINT('',(35.6774,6.0729656,0.)); #564540=CARTESIAN_POINT('Origin',(35.6774,6.3906,0.)); #564541=CARTESIAN_POINT('',(35.6774,6.3906,0.)); #564542=CARTESIAN_POINT('',(35.6774,6.3906,0.)); #564543=CARTESIAN_POINT('',(35.6774,6.3906,0.035)); #564544=CARTESIAN_POINT('',(35.6774,6.3906,0.035)); #564545=CARTESIAN_POINT('',(35.6774,6.3906,0.)); #564546=CARTESIAN_POINT('Origin',(35.685938,6.4363813,0.)); #564547=CARTESIAN_POINT('',(35.685938,6.4363813,0.)); #564548=CARTESIAN_POINT('',(35.685938,6.4363813,0.)); #564549=CARTESIAN_POINT('',(35.685938,6.4363813,0.035)); #564550=CARTESIAN_POINT('',(35.685938,6.4363813,0.035)); #564551=CARTESIAN_POINT('',(35.685938,6.4363813,0.)); #564552=CARTESIAN_POINT('Origin',(35.713178,6.4789594,0.)); #564553=CARTESIAN_POINT('',(35.713178,6.4789594,0.)); #564554=CARTESIAN_POINT('',(35.713178,6.4789594,0.)); #564555=CARTESIAN_POINT('',(35.713178,6.4789594,0.035)); #564556=CARTESIAN_POINT('',(35.713178,6.4789594,0.035)); #564557=CARTESIAN_POINT('',(35.713178,6.4789594,0.)); #564558=CARTESIAN_POINT('Origin',(35.754866,6.5075406,0.)); #564559=CARTESIAN_POINT('',(35.754866,6.5075406,0.)); #564560=CARTESIAN_POINT('',(35.754866,6.5075406,0.)); #564561=CARTESIAN_POINT('',(35.754866,6.5075406,0.035)); #564562=CARTESIAN_POINT('',(35.754866,6.5075406,0.035)); #564563=CARTESIAN_POINT('',(35.754866,6.5075406,0.)); #564564=CARTESIAN_POINT('Origin',(35.8044,6.5176,0.)); #564565=CARTESIAN_POINT('',(35.8044,6.5176,0.)); #564566=CARTESIAN_POINT('',(35.8044,6.5176,0.)); #564567=CARTESIAN_POINT('',(35.8044,6.5176,0.035)); #564568=CARTESIAN_POINT('',(35.8044,6.5176,0.035)); #564569=CARTESIAN_POINT('',(35.8044,6.5176,0.)); #564570=CARTESIAN_POINT('Origin',(35.963125,6.5176,0.)); #564571=CARTESIAN_POINT('',(35.963125,6.5176,0.)); #564572=CARTESIAN_POINT('',(35.963125,6.5176,0.)); #564573=CARTESIAN_POINT('',(35.963125,6.5176,0.035)); #564574=CARTESIAN_POINT('',(35.963125,6.5176,0.035)); #564575=CARTESIAN_POINT('',(35.963125,6.5176,0.)); #564576=CARTESIAN_POINT('Origin',(36.0524,6.606875,0.)); #564577=CARTESIAN_POINT('',(36.0524,6.606875,0.)); #564578=CARTESIAN_POINT('',(36.0524,6.606875,0.)); #564579=CARTESIAN_POINT('',(36.0524,6.606875,0.035)); #564580=CARTESIAN_POINT('',(36.0524,6.606875,0.035)); #564581=CARTESIAN_POINT('',(36.0524,6.606875,0.)); #564582=CARTESIAN_POINT('Origin',(36.0524,7.883125,0.)); #564583=CARTESIAN_POINT('',(36.0524,7.883125,0.)); #564584=CARTESIAN_POINT('',(36.0524,7.883125,0.)); #564585=CARTESIAN_POINT('',(36.0524,7.883125,0.035)); #564586=CARTESIAN_POINT('',(36.0524,7.883125,0.035)); #564587=CARTESIAN_POINT('',(36.0524,7.883125,0.)); #564588=CARTESIAN_POINT('Origin',(35.963125,7.9724,0.)); #564589=CARTESIAN_POINT('',(35.963125,7.9724,0.)); #564590=CARTESIAN_POINT('',(35.963125,7.9724,0.)); #564591=CARTESIAN_POINT('',(35.963125,7.9724,0.035)); #564592=CARTESIAN_POINT('',(35.963125,7.9724,0.035)); #564593=CARTESIAN_POINT('',(35.963125,7.9724,0.)); #564594=CARTESIAN_POINT('Origin',(35.1056,7.9724,0.)); #564595=CARTESIAN_POINT('',(35.1056,7.9724,0.)); #564596=CARTESIAN_POINT('',(35.1056,7.9724,0.)); #564597=CARTESIAN_POINT('',(35.1056,7.9724,0.035)); #564598=CARTESIAN_POINT('',(35.1056,7.9724,0.035)); #564599=CARTESIAN_POINT('',(35.1056,7.9724,0.)); #564600=CARTESIAN_POINT('Origin',(35.059819,7.9809375,0.)); #564601=CARTESIAN_POINT('',(35.059819,7.9809375,0.)); #564602=CARTESIAN_POINT('',(35.059819,7.9809375,0.)); #564603=CARTESIAN_POINT('',(35.059819,7.9809375,0.035)); #564604=CARTESIAN_POINT('',(35.059819,7.9809375,0.035)); #564605=CARTESIAN_POINT('',(35.059819,7.9809375,0.)); #564606=CARTESIAN_POINT('Origin',(35.017241,8.0081781,0.)); #564607=CARTESIAN_POINT('',(35.017241,8.0081781,0.)); #564608=CARTESIAN_POINT('',(35.017241,8.0081781,0.)); #564609=CARTESIAN_POINT('',(35.017241,8.0081781,0.035)); #564610=CARTESIAN_POINT('',(35.017241,8.0081781,0.035)); #564611=CARTESIAN_POINT('',(35.017241,8.0081781,0.)); #564612=CARTESIAN_POINT('Origin',(34.988659,8.0498656,0.)); #564613=CARTESIAN_POINT('',(34.988659,8.0498656,0.)); #564614=CARTESIAN_POINT('',(34.988659,8.0498656,0.)); #564615=CARTESIAN_POINT('',(34.988659,8.0498656,0.035)); #564616=CARTESIAN_POINT('',(34.988659,8.0498656,0.035)); #564617=CARTESIAN_POINT('',(34.988659,8.0498656,0.)); #564618=CARTESIAN_POINT('Origin',(34.9798,8.0934875,0.)); #564619=CARTESIAN_POINT('',(34.9798,8.0934875,0.)); #564620=CARTESIAN_POINT('',(34.9798,8.0934875,0.)); #564621=CARTESIAN_POINT('',(34.9798,8.0934875,0.035)); #564622=CARTESIAN_POINT('',(34.9798,8.0934875,0.035)); #564623=CARTESIAN_POINT('',(34.9798,8.0934875,0.)); #564624=CARTESIAN_POINT('Origin',(34.714597,8.3586906,0.)); #564625=CARTESIAN_POINT('',(34.714597,8.3586906,0.)); #564626=CARTESIAN_POINT('',(34.714597,8.3586906,0.)); #564627=CARTESIAN_POINT('',(34.714597,8.3586906,0.035)); #564628=CARTESIAN_POINT('',(34.714597,8.3586906,0.035)); #564629=CARTESIAN_POINT('',(34.714597,8.3586906,0.)); #564630=CARTESIAN_POINT('Origin',(34.687459,8.3989594,0.)); #564631=CARTESIAN_POINT('',(34.687459,8.3989594,0.)); #564632=CARTESIAN_POINT('',(34.687459,8.3989594,0.)); #564633=CARTESIAN_POINT('',(34.687459,8.3989594,0.035)); #564634=CARTESIAN_POINT('',(34.687459,8.3989594,0.035)); #564635=CARTESIAN_POINT('',(34.687459,8.3989594,0.)); #564636=CARTESIAN_POINT('Origin',(34.682869,8.4215656,0.)); #564637=CARTESIAN_POINT('',(34.682869,8.4215656,0.)); #564638=CARTESIAN_POINT('',(34.682869,8.4215656,0.)); #564639=CARTESIAN_POINT('',(34.682869,8.4215656,0.035)); #564640=CARTESIAN_POINT('',(34.682869,8.4215656,0.035)); #564641=CARTESIAN_POINT('',(34.682869,8.4215656,0.)); #564642=CARTESIAN_POINT('Origin',(34.427034,8.6774,0.)); #564643=CARTESIAN_POINT('',(34.427034,8.6774,0.)); #564644=CARTESIAN_POINT('',(34.427034,8.6774,0.)); #564645=CARTESIAN_POINT('',(34.427034,8.6774,0.035)); #564646=CARTESIAN_POINT('',(34.427034,8.6774,0.035)); #564647=CARTESIAN_POINT('',(34.427034,8.6774,0.)); #564648=CARTESIAN_POINT('Origin',(34.072966,8.6774,0.)); #564649=CARTESIAN_POINT('',(34.072966,8.6774,0.)); #564650=CARTESIAN_POINT('',(34.072966,8.6774,0.)); #564651=CARTESIAN_POINT('',(34.072966,8.6774,0.035)); #564652=CARTESIAN_POINT('',(34.072966,8.6774,0.035)); #564653=CARTESIAN_POINT('',(34.072966,8.6774,0.)); #564654=CARTESIAN_POINT('Origin',(33.817409,8.4218438,0.)); #564655=CARTESIAN_POINT('',(33.817409,8.4218438,0.)); #564656=CARTESIAN_POINT('',(33.817409,8.4218438,0.)); #564657=CARTESIAN_POINT('',(33.817409,8.4218438,0.035)); #564658=CARTESIAN_POINT('',(33.817409,8.4218438,0.035)); #564659=CARTESIAN_POINT('',(33.817409,8.4218438,0.)); #564660=CARTESIAN_POINT('Origin',(33.813316,8.4008312,0.)); #564661=CARTESIAN_POINT('',(33.813316,8.4008312,0.)); #564662=CARTESIAN_POINT('',(33.813316,8.4008312,0.)); #564663=CARTESIAN_POINT('',(33.813316,8.4008312,0.035)); #564664=CARTESIAN_POINT('',(33.813316,8.4008312,0.035)); #564665=CARTESIAN_POINT('',(33.813316,8.4008312,0.)); #564666=CARTESIAN_POINT('Origin',(33.785403,8.3586906,0.)); #564667=CARTESIAN_POINT('',(33.785403,8.3586906,0.)); #564668=CARTESIAN_POINT('',(33.785403,8.3586906,0.)); #564669=CARTESIAN_POINT('',(33.785403,8.3586906,0.035)); #564670=CARTESIAN_POINT('',(33.785403,8.3586906,0.035)); #564671=CARTESIAN_POINT('',(33.785403,8.3586906,0.)); #564672=CARTESIAN_POINT('Origin',(33.520319,8.0936063,0.)); #564673=CARTESIAN_POINT('',(33.520319,8.0936063,0.)); #564674=CARTESIAN_POINT('',(33.520319,8.0936063,0.)); #564675=CARTESIAN_POINT('',(33.520319,8.0936063,0.035)); #564676=CARTESIAN_POINT('',(33.520319,8.0936063,0.035)); #564677=CARTESIAN_POINT('',(33.520319,8.0936063,0.)); #564678=CARTESIAN_POINT('Origin',(33.512863,8.0536188,0.)); #564679=CARTESIAN_POINT('',(33.512863,8.0536188,0.)); #564680=CARTESIAN_POINT('',(33.512863,8.0536188,0.)); #564681=CARTESIAN_POINT('',(33.512863,8.0536188,0.035)); #564682=CARTESIAN_POINT('',(33.512863,8.0536188,0.035)); #564683=CARTESIAN_POINT('',(33.512863,8.0536188,0.)); #564684=CARTESIAN_POINT('Origin',(33.485622,8.0110406,0.)); #564685=CARTESIAN_POINT('',(33.485622,8.0110406,0.)); #564686=CARTESIAN_POINT('',(33.485622,8.0110406,0.)); #564687=CARTESIAN_POINT('',(33.485622,8.0110406,0.035)); #564688=CARTESIAN_POINT('',(33.485622,8.0110406,0.035)); #564689=CARTESIAN_POINT('',(33.485622,8.0110406,0.)); #564690=CARTESIAN_POINT('Origin',(33.443934,7.9824594,0.)); #564691=CARTESIAN_POINT('',(33.443934,7.9824594,0.)); #564692=CARTESIAN_POINT('',(33.443934,7.9824594,0.)); #564693=CARTESIAN_POINT('',(33.443934,7.9824594,0.035)); #564694=CARTESIAN_POINT('',(33.443934,7.9824594,0.035)); #564695=CARTESIAN_POINT('',(33.443934,7.9824594,0.)); #564696=CARTESIAN_POINT('Origin',(33.3944,7.9724,0.)); #564697=CARTESIAN_POINT('',(33.3944,7.9724,0.)); #564698=CARTESIAN_POINT('',(33.3944,7.9724,0.)); #564699=CARTESIAN_POINT('',(33.3944,7.9724,0.035)); #564700=CARTESIAN_POINT('',(33.3944,7.9724,0.035)); #564701=CARTESIAN_POINT('',(33.3944,7.9724,0.)); #564702=CARTESIAN_POINT('Origin',(33.3044,7.9724,0.)); #564703=CARTESIAN_POINT('',(33.3044,7.9724,0.)); #564704=CARTESIAN_POINT('',(33.3044,7.9724,0.)); #564705=CARTESIAN_POINT('',(33.3044,7.9724,0.035)); #564706=CARTESIAN_POINT('',(33.3044,7.9724,0.035)); #564707=CARTESIAN_POINT('',(33.3044,7.9724,0.)); #564708=CARTESIAN_POINT('Origin',(33.258619,7.9809375,0.)); #564709=CARTESIAN_POINT('',(33.258619,7.9809375,0.)); #564710=CARTESIAN_POINT('',(33.258619,7.9809375,0.)); #564711=CARTESIAN_POINT('',(33.258619,7.9809375,0.035)); #564712=CARTESIAN_POINT('',(33.258619,7.9809375,0.035)); #564713=CARTESIAN_POINT('',(33.258619,7.9809375,0.)); #564714=CARTESIAN_POINT('Origin',(33.216041,8.0081781,0.)); #564715=CARTESIAN_POINT('',(33.216041,8.0081781,0.)); #564716=CARTESIAN_POINT('',(33.216041,8.0081781,0.)); #564717=CARTESIAN_POINT('',(33.216041,8.0081781,0.035)); #564718=CARTESIAN_POINT('',(33.216041,8.0081781,0.035)); #564719=CARTESIAN_POINT('',(33.216041,8.0081781,0.)); #564720=CARTESIAN_POINT('Origin',(33.187459,8.0498656,0.)); #564721=CARTESIAN_POINT('',(33.187459,8.0498656,0.)); #564722=CARTESIAN_POINT('',(33.187459,8.0498656,0.)); #564723=CARTESIAN_POINT('',(33.187459,8.0498656,0.035)); #564724=CARTESIAN_POINT('',(33.187459,8.0498656,0.035)); #564725=CARTESIAN_POINT('',(33.187459,8.0498656,0.)); #564726=CARTESIAN_POINT('Origin',(33.1774,8.0994,0.)); #564727=CARTESIAN_POINT('',(33.1774,8.0994,0.)); #564728=CARTESIAN_POINT('',(33.1774,8.0994,0.)); #564729=CARTESIAN_POINT('',(33.1774,8.0994,0.035)); #564730=CARTESIAN_POINT('',(33.1774,8.0994,0.035)); #564731=CARTESIAN_POINT('',(33.1774,8.0994,0.)); #564732=CARTESIAN_POINT('Origin',(33.1774,8.4270344,0.)); #564733=CARTESIAN_POINT('',(33.1774,8.4270344,0.)); #564734=CARTESIAN_POINT('',(33.1774,8.4270344,0.)); #564735=CARTESIAN_POINT('',(33.1774,8.4270344,0.035)); #564736=CARTESIAN_POINT('',(33.1774,8.4270344,0.035)); #564737=CARTESIAN_POINT('',(33.1774,8.4270344,0.)); #564738=CARTESIAN_POINT('Origin',(32.927034,8.6774,0.)); #564739=CARTESIAN_POINT('',(32.927034,8.6774,0.)); #564740=CARTESIAN_POINT('',(32.927034,8.6774,0.)); #564741=CARTESIAN_POINT('',(32.927034,8.6774,0.035)); #564742=CARTESIAN_POINT('',(32.927034,8.6774,0.035)); #564743=CARTESIAN_POINT('',(32.927034,8.6774,0.)); #564744=CARTESIAN_POINT('Origin',(32.572966,8.6774,0.)); #564745=CARTESIAN_POINT('',(32.572966,8.6774,0.)); #564746=CARTESIAN_POINT('',(32.572966,8.6774,0.)); #564747=CARTESIAN_POINT('',(32.572966,8.6774,0.035)); #564748=CARTESIAN_POINT('',(32.572966,8.6774,0.035)); #564749=CARTESIAN_POINT('',(32.572966,8.6774,0.)); #564750=CARTESIAN_POINT('Origin',(32.317409,8.4218438,0.)); #564751=CARTESIAN_POINT('',(32.317409,8.4218438,0.)); #564752=CARTESIAN_POINT('',(32.317409,8.4218438,0.)); #564753=CARTESIAN_POINT('',(32.317409,8.4218438,0.035)); #564754=CARTESIAN_POINT('',(32.317409,8.4218438,0.035)); #564755=CARTESIAN_POINT('',(32.317409,8.4218438,0.)); #564756=CARTESIAN_POINT('Origin',(32.313316,8.4008312,0.)); #564757=CARTESIAN_POINT('',(32.313316,8.4008312,0.)); #564758=CARTESIAN_POINT('',(32.313316,8.4008312,0.)); #564759=CARTESIAN_POINT('',(32.313316,8.4008312,0.035)); #564760=CARTESIAN_POINT('',(32.313316,8.4008312,0.035)); #564761=CARTESIAN_POINT('',(32.313316,8.4008312,0.)); #564762=CARTESIAN_POINT('Origin',(32.285403,8.3586906,0.)); #564763=CARTESIAN_POINT('',(32.285403,8.3586906,0.)); #564764=CARTESIAN_POINT('',(32.285403,8.3586906,0.)); #564765=CARTESIAN_POINT('',(32.285403,8.3586906,0.035)); #564766=CARTESIAN_POINT('',(32.285403,8.3586906,0.035)); #564767=CARTESIAN_POINT('',(32.285403,8.3586906,0.)); #564768=CARTESIAN_POINT('Origin',(32.0214,8.0946875,0.)); #564769=CARTESIAN_POINT('',(32.0214,8.0946875,0.)); #564770=CARTESIAN_POINT('',(32.0214,8.0946875,0.)); #564771=CARTESIAN_POINT('',(32.0214,8.0946875,0.035)); #564772=CARTESIAN_POINT('',(32.0214,8.0946875,0.035)); #564773=CARTESIAN_POINT('',(32.0214,8.0946875,0.)); #564774=CARTESIAN_POINT('Origin',(32.0214,8.0095313,0.)); #564775=CARTESIAN_POINT('',(32.0214,8.0095313,0.)); #564776=CARTESIAN_POINT('',(32.0214,8.0095313,0.)); #564777=CARTESIAN_POINT('',(32.0214,8.0095313,0.035)); #564778=CARTESIAN_POINT('',(32.0214,8.0095313,0.035)); #564779=CARTESIAN_POINT('',(32.0214,8.0095313,0.)); #564780=CARTESIAN_POINT('Origin',(32.012116,7.9618688,0.)); #564781=CARTESIAN_POINT('',(32.012116,7.9618688,0.)); #564782=CARTESIAN_POINT('',(32.012116,7.9618688,0.)); #564783=CARTESIAN_POINT('',(32.012116,7.9618688,0.035)); #564784=CARTESIAN_POINT('',(32.012116,7.9618688,0.035)); #564785=CARTESIAN_POINT('',(32.012116,7.9618688,0.)); #564786=CARTESIAN_POINT('Origin',(31.984203,7.9197281,0.)); #564787=CARTESIAN_POINT('',(31.984203,7.9197281,0.)); #564788=CARTESIAN_POINT('',(31.984203,7.9197281,0.)); #564789=CARTESIAN_POINT('',(31.984203,7.9197281,0.035)); #564790=CARTESIAN_POINT('',(31.984203,7.9197281,0.035)); #564791=CARTESIAN_POINT('',(31.984203,7.9197281,0.)); #564792=CARTESIAN_POINT('Origin',(31.9476,7.883125,0.)); #564793=CARTESIAN_POINT('',(31.9476,7.883125,0.)); #564794=CARTESIAN_POINT('',(31.9476,7.883125,0.)); #564795=CARTESIAN_POINT('',(31.9476,7.883125,0.035)); #564796=CARTESIAN_POINT('',(31.9476,7.883125,0.035)); #564797=CARTESIAN_POINT('',(31.9476,7.883125,0.)); #564798=CARTESIAN_POINT('Origin',(31.9476,6.606875,0.)); #564799=CARTESIAN_POINT('',(31.9476,6.606875,0.)); #564800=CARTESIAN_POINT('',(31.9476,6.606875,0.)); #564801=CARTESIAN_POINT('',(31.9476,6.606875,0.035)); #564802=CARTESIAN_POINT('',(31.9476,6.606875,0.035)); #564803=CARTESIAN_POINT('',(31.9476,6.606875,0.)); #564804=CARTESIAN_POINT('Origin',(32.036875,6.5176,0.)); #564805=CARTESIAN_POINT('',(32.036875,6.5176,0.)); #564806=CARTESIAN_POINT('',(32.036875,6.5176,0.)); #564807=CARTESIAN_POINT('',(32.036875,6.5176,0.035)); #564808=CARTESIAN_POINT('',(32.036875,6.5176,0.035)); #564809=CARTESIAN_POINT('',(32.036875,6.5176,0.)); #564810=CARTESIAN_POINT('Origin',(32.8944,6.5176,0.)); #564811=CARTESIAN_POINT('',(32.8944,6.5176,0.)); #564812=CARTESIAN_POINT('',(32.8944,6.5176,0.)); #564813=CARTESIAN_POINT('',(32.8944,6.5176,0.035)); #564814=CARTESIAN_POINT('',(32.8944,6.5176,0.035)); #564815=CARTESIAN_POINT('',(32.8944,6.5176,0.)); #564816=CARTESIAN_POINT('Origin',(32.940181,6.5090625,0.)); #564817=CARTESIAN_POINT('',(32.940181,6.5090625,0.)); #564818=CARTESIAN_POINT('',(32.940181,6.5090625,0.)); #564819=CARTESIAN_POINT('',(32.940181,6.5090625,0.035)); #564820=CARTESIAN_POINT('',(32.940181,6.5090625,0.035)); #564821=CARTESIAN_POINT('',(32.940181,6.5090625,0.)); #564822=CARTESIAN_POINT('Origin',(32.982759,6.4818219,0.)); #564823=CARTESIAN_POINT('',(32.982759,6.4818219,0.)); #564824=CARTESIAN_POINT('',(32.982759,6.4818219,0.)); #564825=CARTESIAN_POINT('',(32.982759,6.4818219,0.035)); #564826=CARTESIAN_POINT('',(32.982759,6.4818219,0.035)); #564827=CARTESIAN_POINT('',(32.982759,6.4818219,0.)); #564828=CARTESIAN_POINT('Origin',(33.011341,6.4401344,0.)); #564829=CARTESIAN_POINT('',(33.011341,6.4401344,0.)); #564830=CARTESIAN_POINT('',(33.011341,6.4401344,0.)); #564831=CARTESIAN_POINT('',(33.011341,6.4401344,0.035)); #564832=CARTESIAN_POINT('',(33.011341,6.4401344,0.035)); #564833=CARTESIAN_POINT('',(33.011341,6.4401344,0.)); #564834=CARTESIAN_POINT('Origin',(33.01765,6.4090625,0.)); #564835=CARTESIAN_POINT('',(33.01765,6.4090625,0.)); #564836=CARTESIAN_POINT('',(33.01765,6.4090625,0.)); #564837=CARTESIAN_POINT('',(33.01765,6.4090625,0.035)); #564838=CARTESIAN_POINT('',(33.01765,6.4090625,0.035)); #564839=CARTESIAN_POINT('',(33.01765,6.4090625,0.)); #564840=CARTESIAN_POINT('Origin',(33.285403,6.1413094,0.)); #564841=CARTESIAN_POINT('',(33.285403,6.1413094,0.)); #564842=CARTESIAN_POINT('',(33.285403,6.1413094,0.)); #564843=CARTESIAN_POINT('',(33.285403,6.1413094,0.035)); #564844=CARTESIAN_POINT('',(33.285403,6.1413094,0.035)); #564845=CARTESIAN_POINT('',(33.285403,6.1413094,0.)); #564846=CARTESIAN_POINT('Origin',(33.312541,6.1010406,0.)); #564847=CARTESIAN_POINT('',(33.312541,6.1010406,0.)); #564848=CARTESIAN_POINT('',(33.312541,6.1010406,0.)); #564849=CARTESIAN_POINT('',(33.312541,6.1010406,0.035)); #564850=CARTESIAN_POINT('',(33.312541,6.1010406,0.035)); #564851=CARTESIAN_POINT('',(33.312541,6.1010406,0.)); #564852=CARTESIAN_POINT('Origin',(33.319019,6.0691469,0.)); #564853=CARTESIAN_POINT('',(33.319019,6.0691469,0.)); #564854=CARTESIAN_POINT('',(33.319019,6.0691469,0.)); #564855=CARTESIAN_POINT('',(33.319019,6.0691469,0.035)); #564856=CARTESIAN_POINT('',(33.319019,6.0691469,0.035)); #564857=CARTESIAN_POINT('',(33.319019,6.0691469,0.)); #564858=CARTESIAN_POINT('Origin',(33.572966,5.8152,0.)); #564859=CARTESIAN_POINT('',(33.572966,5.8152,0.)); #564860=CARTESIAN_POINT('',(33.572966,5.8152,0.035)); #564861=CARTESIAN_POINT('Origin',(35.697394,5.,0.)); #564862=CARTESIAN_POINT('',(35.697394,5.,0.)); #564863=CARTESIAN_POINT('',(35.746928,5.0100594,0.)); #564864=CARTESIAN_POINT('',(35.697394,5.,0.)); #564865=CARTESIAN_POINT('',(35.746928,5.0100594,0.035)); #564866=CARTESIAN_POINT('',(35.746928,5.0100594,0.)); #564867=CARTESIAN_POINT('',(35.697394,5.,0.035)); #564868=CARTESIAN_POINT('',(35.697394,5.,0.035)); #564869=CARTESIAN_POINT('',(35.697394,5.,0.)); #564870=CARTESIAN_POINT('Origin',(32.302606,5.,0.)); #564871=CARTESIAN_POINT('',(32.302606,5.,0.)); #564872=CARTESIAN_POINT('',(32.302606,5.,0.)); #564873=CARTESIAN_POINT('',(32.302606,5.,0.035)); #564874=CARTESIAN_POINT('',(32.302606,5.,0.035)); #564875=CARTESIAN_POINT('',(32.302606,5.,0.)); #564876=CARTESIAN_POINT('Origin',(32.254941,5.0092844,0.)); #564877=CARTESIAN_POINT('',(32.254941,5.0092844,0.)); #564878=CARTESIAN_POINT('',(32.254941,5.0092844,0.)); #564879=CARTESIAN_POINT('',(32.254941,5.0092844,0.035)); #564880=CARTESIAN_POINT('',(32.254941,5.0092844,0.035)); #564881=CARTESIAN_POINT('',(32.254941,5.0092844,0.)); #564882=CARTESIAN_POINT('Origin',(32.212803,5.0371969,0.)); #564883=CARTESIAN_POINT('',(32.212803,5.0371969,0.)); #564884=CARTESIAN_POINT('',(32.212803,5.0371969,0.)); #564885=CARTESIAN_POINT('',(32.212803,5.0371969,0.035)); #564886=CARTESIAN_POINT('',(32.212803,5.0371969,0.035)); #564887=CARTESIAN_POINT('',(32.212803,5.0371969,0.)); #564888=CARTESIAN_POINT('Origin',(31.621747,5.6282563,0.)); #564889=CARTESIAN_POINT('',(31.621747,5.6282563,0.)); #564890=CARTESIAN_POINT('',(31.621747,5.6282563,0.)); #564891=CARTESIAN_POINT('',(31.621747,5.6282563,0.035)); #564892=CARTESIAN_POINT('',(31.621747,5.6282563,0.035)); #564893=CARTESIAN_POINT('',(31.621747,5.6282563,0.)); #564894=CARTESIAN_POINT('Origin',(31.595413,5.6666656,0.)); #564895=CARTESIAN_POINT('',(31.595413,5.6666656,0.)); #564896=CARTESIAN_POINT('',(31.595413,5.6666656,0.)); #564897=CARTESIAN_POINT('',(31.595413,5.6666656,0.035)); #564898=CARTESIAN_POINT('',(31.595413,5.6666656,0.035)); #564899=CARTESIAN_POINT('',(31.595413,5.6666656,0.)); #564900=CARTESIAN_POINT('Origin',(31.584566,5.7160344,0.)); #564901=CARTESIAN_POINT('',(31.584566,5.7160344,0.)); #564902=CARTESIAN_POINT('',(31.584566,5.7160344,0.)); #564903=CARTESIAN_POINT('',(31.584566,5.7160344,0.035)); #564904=CARTESIAN_POINT('',(31.584566,5.7160344,0.035)); #564905=CARTESIAN_POINT('',(31.584566,5.7160344,0.)); #564906=CARTESIAN_POINT('Origin',(31.593834,5.7657219,0.)); #564907=CARTESIAN_POINT('',(31.593834,5.7657219,0.)); #564908=CARTESIAN_POINT('',(31.593834,5.7657219,0.)); #564909=CARTESIAN_POINT('',(31.593834,5.7657219,0.035)); #564910=CARTESIAN_POINT('',(31.593834,5.7657219,0.035)); #564911=CARTESIAN_POINT('',(31.593834,5.7657219,0.)); #564912=CARTESIAN_POINT('Origin',(31.621747,5.8078625,0.)); #564913=CARTESIAN_POINT('',(31.621747,5.8078625,0.)); #564914=CARTESIAN_POINT('',(31.621747,5.8078625,0.)); #564915=CARTESIAN_POINT('',(31.621747,5.8078625,0.035)); #564916=CARTESIAN_POINT('',(31.621747,5.8078625,0.035)); #564917=CARTESIAN_POINT('',(31.621747,5.8078625,0.)); #564918=CARTESIAN_POINT('Origin',(31.63985,5.8259656,0.)); #564919=CARTESIAN_POINT('',(31.63985,5.8259656,0.)); #564920=CARTESIAN_POINT('',(31.63985,5.8259656,0.)); #564921=CARTESIAN_POINT('',(31.63985,5.8259656,0.035)); #564922=CARTESIAN_POINT('',(31.63985,5.8259656,0.035)); #564923=CARTESIAN_POINT('',(31.63985,5.8259656,0.)); #564924=CARTESIAN_POINT('Origin',(31.735,6.0556844,0.)); #564925=CARTESIAN_POINT('',(31.735,6.0556844,0.)); #564926=CARTESIAN_POINT('',(31.735,6.0556844,0.)); #564927=CARTESIAN_POINT('',(31.735,6.0556844,0.035)); #564928=CARTESIAN_POINT('',(31.735,6.0556844,0.035)); #564929=CARTESIAN_POINT('',(31.735,6.0556844,0.)); #564930=CARTESIAN_POINT('Origin',(31.735,6.3043156,0.)); #564931=CARTESIAN_POINT('',(31.735,6.3043156,0.)); #564932=CARTESIAN_POINT('',(31.735,6.3043156,0.)); #564933=CARTESIAN_POINT('',(31.735,6.3043156,0.035)); #564934=CARTESIAN_POINT('',(31.735,6.3043156,0.035)); #564935=CARTESIAN_POINT('',(31.735,6.3043156,0.)); #564936=CARTESIAN_POINT('Origin',(31.63985,6.5340344,0.)); #564937=CARTESIAN_POINT('',(31.63985,6.5340344,0.)); #564938=CARTESIAN_POINT('',(31.63985,6.5340344,0.)); #564939=CARTESIAN_POINT('',(31.63985,6.5340344,0.035)); #564940=CARTESIAN_POINT('',(31.63985,6.5340344,0.035)); #564941=CARTESIAN_POINT('',(31.63985,6.5340344,0.)); #564942=CARTESIAN_POINT('Origin',(31.503884,6.67,0.)); #564943=CARTESIAN_POINT('',(31.503884,6.67,0.)); #564944=CARTESIAN_POINT('',(31.503884,6.67,0.)); #564945=CARTESIAN_POINT('',(31.503884,6.67,0.035)); #564946=CARTESIAN_POINT('',(31.503884,6.67,0.035)); #564947=CARTESIAN_POINT('',(31.503884,6.67,0.)); #564948=CARTESIAN_POINT('Origin',(31.3,6.67,0.)); #564949=CARTESIAN_POINT('',(31.3,6.67,0.)); #564950=CARTESIAN_POINT('',(31.3,6.67,0.)); #564951=CARTESIAN_POINT('',(31.3,6.67,0.035)); #564952=CARTESIAN_POINT('',(31.3,6.67,0.035)); #564953=CARTESIAN_POINT('',(31.3,6.67,0.)); #564954=CARTESIAN_POINT('Origin',(31.3,6.7864781,0.)); #564955=CARTESIAN_POINT('',(31.3,6.7864781,0.)); #564956=CARTESIAN_POINT('',(31.3,6.7864781,0.)); #564957=CARTESIAN_POINT('',(31.3,6.7864781,0.035)); #564958=CARTESIAN_POINT('',(31.3,6.7864781,0.035)); #564959=CARTESIAN_POINT('',(31.3,6.7864781,0.)); #564960=CARTESIAN_POINT('Origin',(31.296041,6.7890781,0.)); #564961=CARTESIAN_POINT('',(31.296041,6.7890781,0.)); #564962=CARTESIAN_POINT('',(31.296041,6.7890781,0.)); #564963=CARTESIAN_POINT('',(31.296041,6.7890781,0.035)); #564964=CARTESIAN_POINT('',(31.296041,6.7890781,0.035)); #564965=CARTESIAN_POINT('',(31.296041,6.7890781,0.)); #564966=CARTESIAN_POINT('Origin',(31.267459,6.8307656,0.)); #564967=CARTESIAN_POINT('',(31.267459,6.8307656,0.)); #564968=CARTESIAN_POINT('',(31.267459,6.8307656,0.)); #564969=CARTESIAN_POINT('',(31.267459,6.8307656,0.035)); #564970=CARTESIAN_POINT('',(31.267459,6.8307656,0.035)); #564971=CARTESIAN_POINT('',(31.267459,6.8307656,0.)); #564972=CARTESIAN_POINT('Origin',(31.2574,6.8803,0.)); #564973=CARTESIAN_POINT('',(31.2574,6.8803,0.)); #564974=CARTESIAN_POINT('',(31.2574,6.8803,0.)); #564975=CARTESIAN_POINT('',(31.2574,6.8803,0.035)); #564976=CARTESIAN_POINT('',(31.2574,6.8803,0.035)); #564977=CARTESIAN_POINT('',(31.2574,6.8803,0.)); #564978=CARTESIAN_POINT('Origin',(31.2574,7.8196406,0.)); #564979=CARTESIAN_POINT('',(31.2574,7.8196406,0.)); #564980=CARTESIAN_POINT('',(31.2574,7.8196406,0.)); #564981=CARTESIAN_POINT('',(31.2574,7.8196406,0.035)); #564982=CARTESIAN_POINT('',(31.2574,7.8196406,0.035)); #564983=CARTESIAN_POINT('',(31.2574,7.8196406,0.)); #564984=CARTESIAN_POINT('Origin',(31.253863,7.8613281,0.)); #564985=CARTESIAN_POINT('',(31.253863,7.8613281,0.)); #564986=CARTESIAN_POINT('',(31.253863,7.8613281,0.)); #564987=CARTESIAN_POINT('',(31.253863,7.8613281,0.035)); #564988=CARTESIAN_POINT('',(31.253863,7.8613281,0.035)); #564989=CARTESIAN_POINT('',(31.253863,7.8613281,0.)); #564990=CARTESIAN_POINT('Origin',(31.254263,7.8613625,0.)); #564991=CARTESIAN_POINT('',(31.254263,7.8613625,0.)); #564992=CARTESIAN_POINT('',(31.254263,7.8613625,0.)); #564993=CARTESIAN_POINT('',(31.254263,7.8613625,0.035)); #564994=CARTESIAN_POINT('',(31.254263,7.8613625,0.035)); #564995=CARTESIAN_POINT('',(31.254263,7.8613625,0.)); #564996=CARTESIAN_POINT('Origin',(31.2524,7.8759438,0.)); #564997=CARTESIAN_POINT('',(31.2524,7.8759438,0.)); #564998=CARTESIAN_POINT('',(31.2524,7.8759438,0.)); #564999=CARTESIAN_POINT('',(31.2524,7.8759438,0.035)); #565000=CARTESIAN_POINT('',(31.2524,7.8759438,0.035)); #565001=CARTESIAN_POINT('',(31.2524,7.8759438,0.)); #565002=CARTESIAN_POINT('Origin',(31.2524,7.9263125,0.)); #565003=CARTESIAN_POINT('',(31.2524,7.9263125,0.)); #565004=CARTESIAN_POINT('',(31.2524,7.9263125,0.)); #565005=CARTESIAN_POINT('',(31.2524,7.9263125,0.035)); #565006=CARTESIAN_POINT('',(31.2524,7.9263125,0.035)); #565007=CARTESIAN_POINT('',(31.2524,7.9263125,0.)); #565008=CARTESIAN_POINT('Origin',(31.25,7.9381313,0.)); #565009=CARTESIAN_POINT('',(31.25,7.9381313,0.)); #565010=CARTESIAN_POINT('',(31.25,7.9381313,0.)); #565011=CARTESIAN_POINT('',(31.25,7.9381313,0.035)); #565012=CARTESIAN_POINT('',(31.25,7.9381313,0.035)); #565013=CARTESIAN_POINT('',(31.25,7.9381313,0.)); #565014=CARTESIAN_POINT('Origin',(31.25,7.9899781,0.)); #565015=CARTESIAN_POINT('',(31.25,7.9899781,0.)); #565016=CARTESIAN_POINT('',(31.25,7.9899781,0.)); #565017=CARTESIAN_POINT('',(31.25,7.9899781,0.035)); #565018=CARTESIAN_POINT('',(31.25,7.9899781,0.035)); #565019=CARTESIAN_POINT('',(31.25,7.9899781,0.)); #565020=CARTESIAN_POINT('Origin',(31.248541,7.999175,0.)); #565021=CARTESIAN_POINT('',(31.248541,7.999175,0.)); #565022=CARTESIAN_POINT('',(31.248541,7.999175,0.)); #565023=CARTESIAN_POINT('',(31.248541,7.999175,0.035)); #565024=CARTESIAN_POINT('',(31.248541,7.999175,0.035)); #565025=CARTESIAN_POINT('',(31.248541,7.999175,0.)); #565026=CARTESIAN_POINT('Origin',(31.242016,8.,0.)); #565027=CARTESIAN_POINT('',(31.242016,8.,0.)); #565028=CARTESIAN_POINT('',(31.242016,8.,0.)); #565029=CARTESIAN_POINT('',(31.242016,8.,0.035)); #565030=CARTESIAN_POINT('',(31.242016,8.,0.035)); #565031=CARTESIAN_POINT('',(31.242016,8.,0.)); #565032=CARTESIAN_POINT('Origin',(31.021684,8.,0.)); #565033=CARTESIAN_POINT('',(31.021684,8.,0.)); #565034=CARTESIAN_POINT('',(31.021684,8.,0.)); #565035=CARTESIAN_POINT('',(31.021684,8.,0.035)); #565036=CARTESIAN_POINT('',(31.021684,8.,0.035)); #565037=CARTESIAN_POINT('',(31.021684,8.,0.)); #565038=CARTESIAN_POINT('Origin',(31.009363,8.0024,0.)); #565039=CARTESIAN_POINT('',(31.009363,8.0024,0.)); #565040=CARTESIAN_POINT('',(31.009363,8.0024,0.)); #565041=CARTESIAN_POINT('',(31.009363,8.0024,0.035)); #565042=CARTESIAN_POINT('',(31.009363,8.0024,0.035)); #565043=CARTESIAN_POINT('',(31.009363,8.0024,0.)); #565044=CARTESIAN_POINT('Origin',(30.800206,8.0024,0.)); #565045=CARTESIAN_POINT('',(30.800206,8.0024,0.)); #565046=CARTESIAN_POINT('',(30.800206,8.0024,0.)); #565047=CARTESIAN_POINT('',(30.800206,8.0024,0.035)); #565048=CARTESIAN_POINT('',(30.800206,8.0024,0.035)); #565049=CARTESIAN_POINT('',(30.800206,8.0024,0.)); #565050=CARTESIAN_POINT('Origin',(30.752544,8.0116844,0.)); #565051=CARTESIAN_POINT('',(30.752544,8.0116844,0.)); #565052=CARTESIAN_POINT('',(30.752544,8.0116844,0.)); #565053=CARTESIAN_POINT('',(30.752544,8.0116844,0.035)); #565054=CARTESIAN_POINT('',(30.752544,8.0116844,0.035)); #565055=CARTESIAN_POINT('',(30.752544,8.0116844,0.)); #565056=CARTESIAN_POINT('Origin',(30.710403,8.0395969,0.)); #565057=CARTESIAN_POINT('',(30.710403,8.0395969,0.)); #565058=CARTESIAN_POINT('',(30.710403,8.0395969,0.)); #565059=CARTESIAN_POINT('',(30.710403,8.0395969,0.035)); #565060=CARTESIAN_POINT('',(30.710403,8.0395969,0.035)); #565061=CARTESIAN_POINT('',(30.710403,8.0395969,0.)); #565062=CARTESIAN_POINT('Origin',(30.287197,8.4628031,0.)); #565063=CARTESIAN_POINT('',(30.287197,8.4628031,0.)); #565064=CARTESIAN_POINT('',(30.287197,8.4628031,0.)); #565065=CARTESIAN_POINT('',(30.287197,8.4628031,0.035)); #565066=CARTESIAN_POINT('',(30.287197,8.4628031,0.035)); #565067=CARTESIAN_POINT('',(30.287197,8.4628031,0.)); #565068=CARTESIAN_POINT('Origin',(30.260059,8.5030719,0.)); #565069=CARTESIAN_POINT('',(30.260059,8.5030719,0.)); #565070=CARTESIAN_POINT('',(30.260059,8.5030719,0.)); #565071=CARTESIAN_POINT('',(30.260059,8.5030719,0.035)); #565072=CARTESIAN_POINT('',(30.260059,8.5030719,0.035)); #565073=CARTESIAN_POINT('',(30.260059,8.5030719,0.)); #565074=CARTESIAN_POINT('Origin',(30.25,8.5526063,0.)); #565075=CARTESIAN_POINT('',(30.25,8.5526063,0.)); #565076=CARTESIAN_POINT('',(30.25,8.5526063,0.)); #565077=CARTESIAN_POINT('',(30.25,8.5526063,0.035)); #565078=CARTESIAN_POINT('',(30.25,8.5526063,0.035)); #565079=CARTESIAN_POINT('',(30.25,8.5526063,0.)); #565080=CARTESIAN_POINT('Origin',(30.25,9.9456,0.)); #565081=CARTESIAN_POINT('',(30.25,9.9456,0.)); #565082=CARTESIAN_POINT('',(30.25,9.9456,0.)); #565083=CARTESIAN_POINT('',(30.25,9.9456,0.035)); #565084=CARTESIAN_POINT('',(30.25,9.9456,0.035)); #565085=CARTESIAN_POINT('',(30.25,9.9456,0.)); #565086=CARTESIAN_POINT('Origin',(30.258538,9.9913812,0.)); #565087=CARTESIAN_POINT('',(30.258538,9.9913812,0.)); #565088=CARTESIAN_POINT('',(30.258538,9.9913812,0.)); #565089=CARTESIAN_POINT('',(30.258538,9.9913812,0.035)); #565090=CARTESIAN_POINT('',(30.258538,9.9913812,0.035)); #565091=CARTESIAN_POINT('',(30.258538,9.9913812,0.)); #565092=CARTESIAN_POINT('Origin',(30.285778,10.033959,0.)); #565093=CARTESIAN_POINT('',(30.285778,10.033959,0.)); #565094=CARTESIAN_POINT('',(30.285778,10.033959,0.)); #565095=CARTESIAN_POINT('',(30.285778,10.033959,0.035)); #565096=CARTESIAN_POINT('',(30.285778,10.033959,0.035)); #565097=CARTESIAN_POINT('',(30.285778,10.033959,0.)); #565098=CARTESIAN_POINT('Origin',(30.327466,10.062541,0.)); #565099=CARTESIAN_POINT('',(30.327466,10.062541,0.)); #565100=CARTESIAN_POINT('',(30.327466,10.062541,0.)); #565101=CARTESIAN_POINT('',(30.327466,10.062541,0.035)); #565102=CARTESIAN_POINT('',(30.327466,10.062541,0.035)); #565103=CARTESIAN_POINT('',(30.327466,10.062541,0.)); #565104=CARTESIAN_POINT('Origin',(30.377,10.0726,0.)); #565105=CARTESIAN_POINT('',(30.377,10.0726,0.)); #565106=CARTESIAN_POINT('',(30.377,10.0726,0.)); #565107=CARTESIAN_POINT('',(30.377,10.0726,0.035)); #565108=CARTESIAN_POINT('',(30.377,10.0726,0.035)); #565109=CARTESIAN_POINT('',(30.377,10.0726,0.)); #565110=CARTESIAN_POINT('Origin',(30.677034,10.0726,0.)); #565111=CARTESIAN_POINT('',(30.677034,10.0726,0.)); #565112=CARTESIAN_POINT('',(30.677034,10.0726,0.)); #565113=CARTESIAN_POINT('',(30.677034,10.0726,0.035)); #565114=CARTESIAN_POINT('',(30.677034,10.0726,0.035)); #565115=CARTESIAN_POINT('',(30.677034,10.0726,0.)); #565116=CARTESIAN_POINT('Origin',(30.838638,10.234203,0.)); #565117=CARTESIAN_POINT('',(30.838638,10.234203,0.)); #565118=CARTESIAN_POINT('',(30.838638,10.234203,0.)); #565119=CARTESIAN_POINT('',(30.838638,10.234203,0.035)); #565120=CARTESIAN_POINT('',(30.838638,10.234203,0.035)); #565121=CARTESIAN_POINT('',(30.838638,10.234203,0.)); #565122=CARTESIAN_POINT('Origin',(30.878906,10.261341,0.)); #565123=CARTESIAN_POINT('',(30.878906,10.261341,0.)); #565124=CARTESIAN_POINT('',(30.878906,10.261341,0.)); #565125=CARTESIAN_POINT('',(30.878906,10.261341,0.035)); #565126=CARTESIAN_POINT('',(30.878906,10.261341,0.035)); #565127=CARTESIAN_POINT('',(30.878906,10.261341,0.)); #565128=CARTESIAN_POINT('Origin',(30.928441,10.2714,0.)); #565129=CARTESIAN_POINT('',(30.928441,10.2714,0.)); #565130=CARTESIAN_POINT('',(30.928441,10.2714,0.)); #565131=CARTESIAN_POINT('',(30.928441,10.2714,0.035)); #565132=CARTESIAN_POINT('',(30.928441,10.2714,0.035)); #565133=CARTESIAN_POINT('',(30.928441,10.2714,0.)); #565134=CARTESIAN_POINT('Origin',(36.071559,10.2714,0.)); #565135=CARTESIAN_POINT('',(36.071559,10.2714,0.)); #565136=CARTESIAN_POINT('',(36.071559,10.2714,0.)); #565137=CARTESIAN_POINT('',(36.071559,10.2714,0.035)); #565138=CARTESIAN_POINT('',(36.071559,10.2714,0.035)); #565139=CARTESIAN_POINT('',(36.071559,10.2714,0.)); #565140=CARTESIAN_POINT('Origin',(36.119222,10.262116,0.)); #565141=CARTESIAN_POINT('',(36.119222,10.262116,0.)); #565142=CARTESIAN_POINT('',(36.119222,10.262116,0.)); #565143=CARTESIAN_POINT('',(36.119222,10.262116,0.035)); #565144=CARTESIAN_POINT('',(36.119222,10.262116,0.035)); #565145=CARTESIAN_POINT('',(36.119222,10.262116,0.)); #565146=CARTESIAN_POINT('Origin',(36.161363,10.234203,0.)); #565147=CARTESIAN_POINT('',(36.161363,10.234203,0.)); #565148=CARTESIAN_POINT('',(36.161363,10.234203,0.)); #565149=CARTESIAN_POINT('',(36.161363,10.234203,0.035)); #565150=CARTESIAN_POINT('',(36.161363,10.234203,0.035)); #565151=CARTESIAN_POINT('',(36.161363,10.234203,0.)); #565152=CARTESIAN_POINT('Origin',(36.322966,10.0726,0.)); #565153=CARTESIAN_POINT('',(36.322966,10.0726,0.)); #565154=CARTESIAN_POINT('',(36.322966,10.0726,0.)); #565155=CARTESIAN_POINT('',(36.322966,10.0726,0.035)); #565156=CARTESIAN_POINT('',(36.322966,10.0726,0.035)); #565157=CARTESIAN_POINT('',(36.322966,10.0726,0.)); #565158=CARTESIAN_POINT('Origin',(36.677034,10.0726,0.)); #565159=CARTESIAN_POINT('',(36.677034,10.0726,0.)); #565160=CARTESIAN_POINT('',(36.677034,10.0726,0.)); #565161=CARTESIAN_POINT('',(36.677034,10.0726,0.035)); #565162=CARTESIAN_POINT('',(36.677034,10.0726,0.035)); #565163=CARTESIAN_POINT('',(36.677034,10.0726,0.)); #565164=CARTESIAN_POINT('Origin',(36.9274,10.322966,0.)); #565165=CARTESIAN_POINT('',(36.9274,10.322966,0.)); #565166=CARTESIAN_POINT('',(36.9274,10.322966,0.)); #565167=CARTESIAN_POINT('',(36.9274,10.322966,0.035)); #565168=CARTESIAN_POINT('',(36.9274,10.322966,0.035)); #565169=CARTESIAN_POINT('',(36.9274,10.322966,0.)); #565170=CARTESIAN_POINT('Origin',(36.9274,10.373,0.)); #565171=CARTESIAN_POINT('',(36.9274,10.373,0.)); #565172=CARTESIAN_POINT('',(36.9274,10.373,0.)); #565173=CARTESIAN_POINT('',(36.9274,10.373,0.035)); #565174=CARTESIAN_POINT('',(36.9274,10.373,0.035)); #565175=CARTESIAN_POINT('',(36.9274,10.373,0.)); #565176=CARTESIAN_POINT('Origin',(36.935938,10.418781,0.)); #565177=CARTESIAN_POINT('',(36.935938,10.418781,0.)); #565178=CARTESIAN_POINT('',(36.935938,10.418781,0.)); #565179=CARTESIAN_POINT('',(36.935938,10.418781,0.035)); #565180=CARTESIAN_POINT('',(36.935938,10.418781,0.035)); #565181=CARTESIAN_POINT('',(36.935938,10.418781,0.)); #565182=CARTESIAN_POINT('Origin',(36.963178,10.461359,0.)); #565183=CARTESIAN_POINT('',(36.963178,10.461359,0.)); #565184=CARTESIAN_POINT('',(36.963178,10.461359,0.)); #565185=CARTESIAN_POINT('',(36.963178,10.461359,0.035)); #565186=CARTESIAN_POINT('',(36.963178,10.461359,0.035)); #565187=CARTESIAN_POINT('',(36.963178,10.461359,0.)); #565188=CARTESIAN_POINT('Origin',(37.004866,10.489941,0.)); #565189=CARTESIAN_POINT('',(37.004866,10.489941,0.)); #565190=CARTESIAN_POINT('',(37.004866,10.489941,0.)); #565191=CARTESIAN_POINT('',(37.004866,10.489941,0.035)); #565192=CARTESIAN_POINT('',(37.004866,10.489941,0.035)); #565193=CARTESIAN_POINT('',(37.004866,10.489941,0.)); #565194=CARTESIAN_POINT('Origin',(37.0544,10.5,0.)); #565195=CARTESIAN_POINT('',(37.0544,10.5,0.)); #565196=CARTESIAN_POINT('',(37.0544,10.5,0.)); #565197=CARTESIAN_POINT('',(37.0544,10.5,0.035)); #565198=CARTESIAN_POINT('',(37.0544,10.5,0.035)); #565199=CARTESIAN_POINT('',(37.0544,10.5,0.)); #565200=CARTESIAN_POINT('Origin',(37.197394,10.5,0.)); #565201=CARTESIAN_POINT('',(37.197394,10.5,0.)); #565202=CARTESIAN_POINT('',(37.197394,10.5,0.)); #565203=CARTESIAN_POINT('',(37.197394,10.5,0.035)); #565204=CARTESIAN_POINT('',(37.197394,10.5,0.035)); #565205=CARTESIAN_POINT('',(37.197394,10.5,0.)); #565206=CARTESIAN_POINT('Origin',(37.245056,10.490716,0.)); #565207=CARTESIAN_POINT('',(37.245056,10.490716,0.)); #565208=CARTESIAN_POINT('',(37.245056,10.490716,0.)); #565209=CARTESIAN_POINT('',(37.245056,10.490716,0.035)); #565210=CARTESIAN_POINT('',(37.245056,10.490716,0.035)); #565211=CARTESIAN_POINT('',(37.245056,10.490716,0.)); #565212=CARTESIAN_POINT('Origin',(37.287197,10.462803,0.)); #565213=CARTESIAN_POINT('',(37.287197,10.462803,0.)); #565214=CARTESIAN_POINT('',(37.287197,10.462803,0.)); #565215=CARTESIAN_POINT('',(37.287197,10.462803,0.035)); #565216=CARTESIAN_POINT('',(37.287197,10.462803,0.035)); #565217=CARTESIAN_POINT('',(37.287197,10.462803,0.)); #565218=CARTESIAN_POINT('Origin',(37.712803,10.037197,0.)); #565219=CARTESIAN_POINT('',(37.712803,10.037197,0.)); #565220=CARTESIAN_POINT('',(37.712803,10.037197,0.)); #565221=CARTESIAN_POINT('',(37.712803,10.037197,0.035)); #565222=CARTESIAN_POINT('',(37.712803,10.037197,0.035)); #565223=CARTESIAN_POINT('',(37.712803,10.037197,0.)); #565224=CARTESIAN_POINT('Origin',(37.739941,9.9969281,0.)); #565225=CARTESIAN_POINT('',(37.739941,9.9969281,0.)); #565226=CARTESIAN_POINT('',(37.739941,9.9969281,0.)); #565227=CARTESIAN_POINT('',(37.739941,9.9969281,0.035)); #565228=CARTESIAN_POINT('',(37.739941,9.9969281,0.035)); #565229=CARTESIAN_POINT('',(37.739941,9.9969281,0.)); #565230=CARTESIAN_POINT('Origin',(37.75,9.9473937,0.)); #565231=CARTESIAN_POINT('',(37.75,9.9473937,0.)); #565232=CARTESIAN_POINT('',(37.75,9.9473937,0.)); #565233=CARTESIAN_POINT('',(37.75,9.9473937,0.035)); #565234=CARTESIAN_POINT('',(37.75,9.9473937,0.035)); #565235=CARTESIAN_POINT('',(37.75,9.9473937,0.)); #565236=CARTESIAN_POINT('Origin',(37.75,8.5526063,0.)); #565237=CARTESIAN_POINT('',(37.75,8.5526063,0.)); #565238=CARTESIAN_POINT('',(37.75,8.5526063,0.)); #565239=CARTESIAN_POINT('',(37.75,8.5526063,0.035)); #565240=CARTESIAN_POINT('',(37.75,8.5526063,0.035)); #565241=CARTESIAN_POINT('',(37.75,8.5526063,0.)); #565242=CARTESIAN_POINT('Origin',(37.740716,8.5049438,0.)); #565243=CARTESIAN_POINT('',(37.740716,8.5049438,0.)); #565244=CARTESIAN_POINT('',(37.740716,8.5049438,0.)); #565245=CARTESIAN_POINT('',(37.740716,8.5049438,0.035)); #565246=CARTESIAN_POINT('',(37.740716,8.5049438,0.035)); #565247=CARTESIAN_POINT('',(37.740716,8.5049438,0.)); #565248=CARTESIAN_POINT('Origin',(37.712803,8.4628031,0.)); #565249=CARTESIAN_POINT('',(37.712803,8.4628031,0.)); #565250=CARTESIAN_POINT('',(37.712803,8.4628031,0.)); #565251=CARTESIAN_POINT('',(37.712803,8.4628031,0.035)); #565252=CARTESIAN_POINT('',(37.712803,8.4628031,0.035)); #565253=CARTESIAN_POINT('',(37.712803,8.4628031,0.)); #565254=CARTESIAN_POINT('Origin',(37.289597,8.0395969,0.)); #565255=CARTESIAN_POINT('',(37.289597,8.0395969,0.)); #565256=CARTESIAN_POINT('',(37.289597,8.0395969,0.)); #565257=CARTESIAN_POINT('',(37.289597,8.0395969,0.035)); #565258=CARTESIAN_POINT('',(37.289597,8.0395969,0.035)); #565259=CARTESIAN_POINT('',(37.289597,8.0395969,0.)); #565260=CARTESIAN_POINT('Origin',(37.249328,8.0124594,0.)); #565261=CARTESIAN_POINT('',(37.249328,8.0124594,0.)); #565262=CARTESIAN_POINT('',(37.249328,8.0124594,0.)); #565263=CARTESIAN_POINT('',(37.249328,8.0124594,0.035)); #565264=CARTESIAN_POINT('',(37.249328,8.0124594,0.035)); #565265=CARTESIAN_POINT('',(37.249328,8.0124594,0.)); #565266=CARTESIAN_POINT('Origin',(37.199794,8.0024,0.)); #565267=CARTESIAN_POINT('',(37.199794,8.0024,0.)); #565268=CARTESIAN_POINT('',(37.199794,8.0024,0.)); #565269=CARTESIAN_POINT('',(37.199794,8.0024,0.035)); #565270=CARTESIAN_POINT('',(37.199794,8.0024,0.035)); #565271=CARTESIAN_POINT('',(37.199794,8.0024,0.)); #565272=CARTESIAN_POINT('Origin',(36.990134,8.0024,0.)); #565273=CARTESIAN_POINT('',(36.990134,8.0024,0.)); #565274=CARTESIAN_POINT('',(36.990134,8.0024,0.)); #565275=CARTESIAN_POINT('',(36.990134,8.0024,0.035)); #565276=CARTESIAN_POINT('',(36.990134,8.0024,0.035)); #565277=CARTESIAN_POINT('',(36.990134,8.0024,0.)); #565278=CARTESIAN_POINT('Origin',(36.978316,8.,0.)); #565279=CARTESIAN_POINT('',(36.978316,8.,0.)); #565280=CARTESIAN_POINT('',(36.978316,8.,0.)); #565281=CARTESIAN_POINT('',(36.978316,8.,0.035)); #565282=CARTESIAN_POINT('',(36.978316,8.,0.035)); #565283=CARTESIAN_POINT('',(36.978316,8.,0.)); #565284=CARTESIAN_POINT('Origin',(36.760022,8.,0.)); #565285=CARTESIAN_POINT('',(36.760022,8.,0.)); #565286=CARTESIAN_POINT('',(36.760022,8.,0.)); #565287=CARTESIAN_POINT('',(36.760022,8.,0.035)); #565288=CARTESIAN_POINT('',(36.760022,8.,0.035)); #565289=CARTESIAN_POINT('',(36.760022,8.,0.)); #565290=CARTESIAN_POINT('Origin',(36.750825,7.9985406,0.)); #565291=CARTESIAN_POINT('',(36.750825,7.9985406,0.)); #565292=CARTESIAN_POINT('',(36.750825,7.9985406,0.)); #565293=CARTESIAN_POINT('',(36.750825,7.9985406,0.035)); #565294=CARTESIAN_POINT('',(36.750825,7.9985406,0.035)); #565295=CARTESIAN_POINT('',(36.750825,7.9985406,0.)); #565296=CARTESIAN_POINT('Origin',(36.75,7.9920156,0.)); #565297=CARTESIAN_POINT('',(36.75,7.9920156,0.)); #565298=CARTESIAN_POINT('',(36.75,7.9920156,0.)); #565299=CARTESIAN_POINT('',(36.75,7.9920156,0.035)); #565300=CARTESIAN_POINT('',(36.75,7.9920156,0.035)); #565301=CARTESIAN_POINT('',(36.75,7.9920156,0.)); #565302=CARTESIAN_POINT('Origin',(36.75,7.9381313,0.)); #565303=CARTESIAN_POINT('',(36.75,7.9381313,0.)); #565304=CARTESIAN_POINT('',(36.75,7.9381313,0.)); #565305=CARTESIAN_POINT('',(36.75,7.9381313,0.035)); #565306=CARTESIAN_POINT('',(36.75,7.9381313,0.035)); #565307=CARTESIAN_POINT('',(36.75,7.9381313,0.)); #565308=CARTESIAN_POINT('Origin',(36.7476,7.9258094,0.)); #565309=CARTESIAN_POINT('',(36.7476,7.9258094,0.)); #565310=CARTESIAN_POINT('',(36.7476,7.9258094,0.)); #565311=CARTESIAN_POINT('',(36.7476,7.9258094,0.035)); #565312=CARTESIAN_POINT('',(36.7476,7.9258094,0.035)); #565313=CARTESIAN_POINT('',(36.7476,7.9258094,0.)); #565314=CARTESIAN_POINT('Origin',(36.7476,7.8709656,0.)); #565315=CARTESIAN_POINT('',(36.7476,7.8709656,0.)); #565316=CARTESIAN_POINT('',(36.7476,7.8709656,0.)); #565317=CARTESIAN_POINT('',(36.7476,7.8709656,0.035)); #565318=CARTESIAN_POINT('',(36.7476,7.8709656,0.035)); #565319=CARTESIAN_POINT('',(36.7476,7.8709656,0.)); #565320=CARTESIAN_POINT('Origin',(36.746856,7.8572313,0.)); #565321=CARTESIAN_POINT('',(36.746856,7.8572313,0.)); #565322=CARTESIAN_POINT('',(36.746856,7.8572313,0.)); #565323=CARTESIAN_POINT('',(36.746856,7.8572313,0.035)); #565324=CARTESIAN_POINT('',(36.746856,7.8572313,0.035)); #565325=CARTESIAN_POINT('',(36.746856,7.8572313,0.)); #565326=CARTESIAN_POINT('Origin',(36.7426,7.8181031,0.)); #565327=CARTESIAN_POINT('',(36.7426,7.8181031,0.)); #565328=CARTESIAN_POINT('',(36.7426,7.8181031,0.)); #565329=CARTESIAN_POINT('',(36.7426,7.8181031,0.035)); #565330=CARTESIAN_POINT('',(36.7426,7.8181031,0.035)); #565331=CARTESIAN_POINT('',(36.7426,7.8181031,0.)); #565332=CARTESIAN_POINT('Origin',(36.7426,6.8803,0.)); #565333=CARTESIAN_POINT('',(36.7426,6.8803,0.)); #565334=CARTESIAN_POINT('',(36.7426,6.8803,0.)); #565335=CARTESIAN_POINT('',(36.7426,6.8803,0.035)); #565336=CARTESIAN_POINT('',(36.7426,6.8803,0.035)); #565337=CARTESIAN_POINT('',(36.7426,6.8803,0.)); #565338=CARTESIAN_POINT('Origin',(36.733694,6.833575,0.)); #565339=CARTESIAN_POINT('',(36.733694,6.833575,0.)); #565340=CARTESIAN_POINT('',(36.733694,6.833575,0.)); #565341=CARTESIAN_POINT('',(36.733694,6.833575,0.035)); #565342=CARTESIAN_POINT('',(36.733694,6.833575,0.035)); #565343=CARTESIAN_POINT('',(36.733694,6.833575,0.)); #565344=CARTESIAN_POINT('Origin',(36.706116,6.7912156,0.)); #565345=CARTESIAN_POINT('',(36.706116,6.7912156,0.)); #565346=CARTESIAN_POINT('',(36.706116,6.7912156,0.)); #565347=CARTESIAN_POINT('',(36.706116,6.7912156,0.035)); #565348=CARTESIAN_POINT('',(36.706116,6.7912156,0.035)); #565349=CARTESIAN_POINT('',(36.706116,6.7912156,0.)); #565350=CARTESIAN_POINT('Origin',(36.7,6.7870938,0.)); #565351=CARTESIAN_POINT('',(36.7,6.7870938,0.)); #565352=CARTESIAN_POINT('',(36.7,6.7870938,0.)); #565353=CARTESIAN_POINT('',(36.7,6.7870938,0.035)); #565354=CARTESIAN_POINT('',(36.7,6.7870938,0.035)); #565355=CARTESIAN_POINT('',(36.7,6.7870938,0.)); #565356=CARTESIAN_POINT('Origin',(36.7,6.67,0.)); #565357=CARTESIAN_POINT('',(36.7,6.67,0.)); #565358=CARTESIAN_POINT('',(36.7,6.67,0.)); #565359=CARTESIAN_POINT('',(36.7,6.67,0.035)); #565360=CARTESIAN_POINT('',(36.7,6.67,0.035)); #565361=CARTESIAN_POINT('',(36.7,6.67,0.)); #565362=CARTESIAN_POINT('Origin',(36.496116,6.67,0.)); #565363=CARTESIAN_POINT('',(36.496116,6.67,0.)); #565364=CARTESIAN_POINT('',(36.496116,6.67,0.)); #565365=CARTESIAN_POINT('',(36.496116,6.67,0.035)); #565366=CARTESIAN_POINT('',(36.496116,6.67,0.035)); #565367=CARTESIAN_POINT('',(36.496116,6.67,0.)); #565368=CARTESIAN_POINT('Origin',(36.36015,6.5340344,0.)); #565369=CARTESIAN_POINT('',(36.36015,6.5340344,0.)); #565370=CARTESIAN_POINT('',(36.36015,6.5340344,0.)); #565371=CARTESIAN_POINT('',(36.36015,6.5340344,0.035)); #565372=CARTESIAN_POINT('',(36.36015,6.5340344,0.035)); #565373=CARTESIAN_POINT('',(36.36015,6.5340344,0.)); #565374=CARTESIAN_POINT('Origin',(36.265,6.3043156,0.)); #565375=CARTESIAN_POINT('',(36.265,6.3043156,0.)); #565376=CARTESIAN_POINT('',(36.265,6.3043156,0.)); #565377=CARTESIAN_POINT('',(36.265,6.3043156,0.035)); #565378=CARTESIAN_POINT('',(36.265,6.3043156,0.035)); #565379=CARTESIAN_POINT('',(36.265,6.3043156,0.)); #565380=CARTESIAN_POINT('Origin',(36.265,6.0556844,0.)); #565381=CARTESIAN_POINT('',(36.265,6.0556844,0.)); #565382=CARTESIAN_POINT('',(36.265,6.0556844,0.)); #565383=CARTESIAN_POINT('',(36.265,6.0556844,0.035)); #565384=CARTESIAN_POINT('',(36.265,6.0556844,0.035)); #565385=CARTESIAN_POINT('',(36.265,6.0556844,0.)); #565386=CARTESIAN_POINT('Origin',(36.36015,5.8259656,0.)); #565387=CARTESIAN_POINT('',(36.36015,5.8259656,0.)); #565388=CARTESIAN_POINT('',(36.36015,5.8259656,0.)); #565389=CARTESIAN_POINT('',(36.36015,5.8259656,0.035)); #565390=CARTESIAN_POINT('',(36.36015,5.8259656,0.035)); #565391=CARTESIAN_POINT('',(36.36015,5.8259656,0.)); #565392=CARTESIAN_POINT('Origin',(36.378253,5.8078656,0.)); #565393=CARTESIAN_POINT('',(36.378253,5.8078656,0.)); #565394=CARTESIAN_POINT('',(36.378253,5.8078656,0.)); #565395=CARTESIAN_POINT('',(36.378253,5.8078656,0.035)); #565396=CARTESIAN_POINT('',(36.378253,5.8078656,0.035)); #565397=CARTESIAN_POINT('',(36.378253,5.8078656,0.)); #565398=CARTESIAN_POINT('Origin',(36.404591,5.7694531,0.)); #565399=CARTESIAN_POINT('',(36.404591,5.7694531,0.)); #565400=CARTESIAN_POINT('',(36.404591,5.7694531,0.)); #565401=CARTESIAN_POINT('',(36.404591,5.7694531,0.035)); #565402=CARTESIAN_POINT('',(36.404591,5.7694531,0.035)); #565403=CARTESIAN_POINT('',(36.404591,5.7694531,0.)); #565404=CARTESIAN_POINT('Origin',(36.415438,5.7200844,0.)); #565405=CARTESIAN_POINT('',(36.415438,5.7200844,0.)); #565406=CARTESIAN_POINT('',(36.415438,5.7200844,0.)); #565407=CARTESIAN_POINT('',(36.415438,5.7200844,0.035)); #565408=CARTESIAN_POINT('',(36.415438,5.7200844,0.035)); #565409=CARTESIAN_POINT('',(36.415438,5.7200844,0.)); #565410=CARTESIAN_POINT('Origin',(36.406169,5.6703969,0.)); #565411=CARTESIAN_POINT('',(36.406169,5.6703969,0.)); #565412=CARTESIAN_POINT('',(36.406169,5.6703969,0.)); #565413=CARTESIAN_POINT('',(36.406169,5.6703969,0.035)); #565414=CARTESIAN_POINT('',(36.406169,5.6703969,0.035)); #565415=CARTESIAN_POINT('',(36.406169,5.6703969,0.)); #565416=CARTESIAN_POINT('Origin',(36.378256,5.6282563,0.)); #565417=CARTESIAN_POINT('',(36.378256,5.6282563,0.)); #565418=CARTESIAN_POINT('',(36.378256,5.6282563,0.)); #565419=CARTESIAN_POINT('',(36.378256,5.6282563,0.035)); #565420=CARTESIAN_POINT('',(36.378256,5.6282563,0.035)); #565421=CARTESIAN_POINT('',(36.378256,5.6282563,0.)); #565422=CARTESIAN_POINT('Origin',(35.787197,5.0371969,0.)); #565423=CARTESIAN_POINT('',(35.787197,5.0371969,0.)); #565424=CARTESIAN_POINT('',(35.787197,5.0371969,0.)); #565425=CARTESIAN_POINT('',(35.787197,5.0371969,0.035)); #565426=CARTESIAN_POINT('',(35.787197,5.0371969,0.035)); #565427=CARTESIAN_POINT('',(35.787197,5.0371969,0.)); #565428=CARTESIAN_POINT('Origin',(35.746928,5.0100594,0.)); #565429=CARTESIAN_POINT('',(35.746928,5.0100594,0.)); #565430=CARTESIAN_POINT('',(35.746928,5.0100594,0.035)); #565431=CARTESIAN_POINT('Origin',(34.0492692586076,8.01873236923598,0.035)); #565432=CARTESIAN_POINT('Origin',(34.0492692586076,8.01873236923598,0.)); #565433=CARTESIAN_POINT('Origin',(32.73,45.,-200.)); #565434=CARTESIAN_POINT('',(32.165,45.,-0.0349999999999895)); #565435=CARTESIAN_POINT('Origin',(32.73,45.,-0.0349999999999895)); #565436=CARTESIAN_POINT('',(32.165,45.,0.)); #565437=CARTESIAN_POINT('',(32.165,45.,-200.)); #565438=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #565439=CARTESIAN_POINT('Origin',(32.769294,44.1,-0.035)); #565440=CARTESIAN_POINT('',(32.769294,44.1,-0.035)); #565441=CARTESIAN_POINT('',(32.847584,44.10685,-0.035)); #565442=CARTESIAN_POINT('',(32.769294,44.1,-0.035)); #565443=CARTESIAN_POINT('',(32.847584,44.10685,0.)); #565444=CARTESIAN_POINT('',(32.847584,44.10685,-0.035)); #565445=CARTESIAN_POINT('',(32.769294,44.1,0.)); #565446=CARTESIAN_POINT('',(32.769294,44.1,0.)); #565447=CARTESIAN_POINT('',(32.769294,44.1,-0.035)); #565448=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,-0.035)); #565449=CARTESIAN_POINT('',(32.612416,45.89315,-0.035)); #565450=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,-0.035)); #565451=CARTESIAN_POINT('',(32.612416,45.89315,0.)); #565452=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,0.)); #565453=CARTESIAN_POINT('',(32.612416,45.89315,-0.035)); #565454=CARTESIAN_POINT('Origin',(32.73,45.,-0.035)); #565455=CARTESIAN_POINT('Origin',(32.73,45.,-0.035)); #565456=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #565457=CARTESIAN_POINT('Origin',(32.7282590237178,44.9986240251875,0.)); #565458=CARTESIAN_POINT('Origin',(32.7282590237178,44.9986240251875,-0.035)); #565459=CARTESIAN_POINT('Origin',(35.27,45.,-200.)); #565460=CARTESIAN_POINT('',(34.705,45.,-0.0349999999999895)); #565461=CARTESIAN_POINT('Origin',(35.27,45.,-0.0349999999999895)); #565462=CARTESIAN_POINT('',(34.705,45.,0.)); #565463=CARTESIAN_POINT('',(34.705,45.,-200.)); #565464=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #565465=CARTESIAN_POINT('Origin',(35.309294,44.1,-0.035)); #565466=CARTESIAN_POINT('',(35.309294,44.1,-0.035)); #565467=CARTESIAN_POINT('',(35.387584,44.10685,-0.035)); #565468=CARTESIAN_POINT('',(35.309294,44.1,-0.035)); #565469=CARTESIAN_POINT('',(35.387584,44.10685,0.)); #565470=CARTESIAN_POINT('',(35.387584,44.10685,-0.035)); #565471=CARTESIAN_POINT('',(35.309294,44.1,0.)); #565472=CARTESIAN_POINT('',(35.309294,44.1,0.)); #565473=CARTESIAN_POINT('',(35.309294,44.1,-0.035)); #565474=CARTESIAN_POINT('Origin',(35.270000340126,44.9999997233462,-0.035)); #565475=CARTESIAN_POINT('',(35.152416,45.89315,-0.035)); #565476=CARTESIAN_POINT('Origin',(35.270000340126,44.9999997233462,-0.035)); #565477=CARTESIAN_POINT('',(35.152416,45.89315,0.)); #565478=CARTESIAN_POINT('Origin',(35.270000340126,44.9999997233462,0.)); #565479=CARTESIAN_POINT('',(35.152416,45.89315,-0.035)); #565480=CARTESIAN_POINT('Origin',(35.27,45.,-0.035)); #565481=CARTESIAN_POINT('Origin',(35.27,45.,-0.035)); #565482=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #565483=CARTESIAN_POINT('Origin',(35.2682590237178,44.9986240251875,0.)); #565484=CARTESIAN_POINT('Origin',(35.2682590237178,44.9986240251875,-0.035)); #565485=CARTESIAN_POINT('Origin',(36.5,27.75,-200.)); #565486=CARTESIAN_POINT('',(36.035,27.75,-0.0349999999999895)); #565487=CARTESIAN_POINT('Origin',(36.5,27.75,-0.0349999999999895)); #565488=CARTESIAN_POINT('',(36.035,27.75,0.)); #565489=CARTESIAN_POINT('',(36.035,27.75,-200.)); #565490=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #565491=CARTESIAN_POINT('Origin',(36.536844,27.,-0.035)); #565492=CARTESIAN_POINT('',(36.536844,27.,-0.035)); #565493=CARTESIAN_POINT('',(36.610181,27.007222,-0.035)); #565494=CARTESIAN_POINT('',(36.536844,27.,-0.035)); #565495=CARTESIAN_POINT('',(36.610181,27.007222,0.)); #565496=CARTESIAN_POINT('',(36.610181,27.007222,-0.035)); #565497=CARTESIAN_POINT('',(36.536844,27.,0.)); #565498=CARTESIAN_POINT('',(36.536844,27.,0.)); #565499=CARTESIAN_POINT('',(36.536844,27.,-0.035)); #565500=CARTESIAN_POINT('Origin',(36.5000001874156,27.7500005308286,-0.035)); #565501=CARTESIAN_POINT('',(36.389819,28.492778,-0.035)); #565502=CARTESIAN_POINT('Origin',(36.5000001874156,27.7500005308286,-0.035)); #565503=CARTESIAN_POINT('',(36.389819,28.492778,0.)); #565504=CARTESIAN_POINT('Origin',(36.5000001874156,27.7500005308286,0.)); #565505=CARTESIAN_POINT('',(36.389819,28.492778,-0.035)); #565506=CARTESIAN_POINT('Origin',(36.5,27.75,-0.035)); #565507=CARTESIAN_POINT('Origin',(36.5,27.75,-0.035)); #565508=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #565509=CARTESIAN_POINT('Origin',(36.4983877860344,27.7486960162912,0.)); #565510=CARTESIAN_POINT('Origin',(36.4983877860344,27.7486960162912,-0.035)); #565511=CARTESIAN_POINT('Origin',(46.25,34.,-200.)); #565512=CARTESIAN_POINT('',(45.735,34.,-0.0349999999999895)); #565513=CARTESIAN_POINT('Origin',(46.25,34.,-0.0349999999999895)); #565514=CARTESIAN_POINT('',(45.735,34.,0.)); #565515=CARTESIAN_POINT('',(45.735,34.,-200.)); #565516=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #565517=CARTESIAN_POINT('Origin',(46.290528,33.175,-0.035)); #565518=CARTESIAN_POINT('',(46.290528,33.175,-0.035)); #565519=CARTESIAN_POINT('',(46.3712,33.182944,-0.035)); #565520=CARTESIAN_POINT('',(46.290528,33.175,-0.035)); #565521=CARTESIAN_POINT('',(46.3712,33.182944,0.)); #565522=CARTESIAN_POINT('',(46.3712,33.182944,-0.035)); #565523=CARTESIAN_POINT('',(46.290528,33.175,0.)); #565524=CARTESIAN_POINT('',(46.290528,33.175,0.)); #565525=CARTESIAN_POINT('',(46.290528,33.175,-0.035)); #565526=CARTESIAN_POINT('Origin',(46.24999984599,34.0000007242556,-0.035)); #565527=CARTESIAN_POINT('',(46.1288,34.817056,-0.035)); #565528=CARTESIAN_POINT('Origin',(46.24999984599,34.0000007242556,-0.035)); #565529=CARTESIAN_POINT('',(46.1288,34.817056,0.)); #565530=CARTESIAN_POINT('Origin',(46.24999984599,34.0000007242556,0.)); #565531=CARTESIAN_POINT('',(46.1288,34.817056,-0.035)); #565532=CARTESIAN_POINT('Origin',(46.25,34.,-0.035)); #565533=CARTESIAN_POINT('Origin',(46.25,34.,-0.035)); #565534=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #565535=CARTESIAN_POINT('Origin',(46.24822648407,33.9985656780324,0.)); #565536=CARTESIAN_POINT('Origin',(46.24822648407,33.9985656780324,-0.035)); #565537=CARTESIAN_POINT('Origin',(21.75,34.,-200.)); #565538=CARTESIAN_POINT('',(21.235,34.,-0.0349999999999895)); #565539=CARTESIAN_POINT('Origin',(21.75,34.,-0.0349999999999895)); #565540=CARTESIAN_POINT('',(21.235,34.,0.)); #565541=CARTESIAN_POINT('',(21.235,34.,-200.)); #565542=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #565543=CARTESIAN_POINT('Origin',(22.575,33.175,-0.035)); #565544=CARTESIAN_POINT('',(22.575,33.175,-0.035)); #565545=CARTESIAN_POINT('',(22.575,34.825,-0.035)); #565546=CARTESIAN_POINT('',(22.575,33.175,-0.035)); #565547=CARTESIAN_POINT('',(22.575,34.825,0.)); #565548=CARTESIAN_POINT('',(22.575,34.825,-0.035)); #565549=CARTESIAN_POINT('',(22.575,33.175,0.)); #565550=CARTESIAN_POINT('',(22.575,33.175,0.)); #565551=CARTESIAN_POINT('',(22.575,33.175,-0.035)); #565552=CARTESIAN_POINT('Origin',(20.925,33.175,-0.035)); #565553=CARTESIAN_POINT('',(20.925,33.175,-0.035)); #565554=CARTESIAN_POINT('',(20.925,33.175,-0.035)); #565555=CARTESIAN_POINT('',(20.925,33.175,0.)); #565556=CARTESIAN_POINT('',(20.925,33.175,0.)); #565557=CARTESIAN_POINT('',(20.925,33.175,-0.035)); #565558=CARTESIAN_POINT('Origin',(20.925,34.825,-0.035)); #565559=CARTESIAN_POINT('',(20.925,34.825,-0.035)); #565560=CARTESIAN_POINT('',(20.925,34.825,-0.035)); #565561=CARTESIAN_POINT('',(20.925,34.825,0.)); #565562=CARTESIAN_POINT('',(20.925,34.825,0.)); #565563=CARTESIAN_POINT('',(20.925,34.825,-0.035)); #565564=CARTESIAN_POINT('Origin',(22.575,34.825,-0.035)); #565565=CARTESIAN_POINT('',(22.575,34.825,-0.035)); #565566=CARTESIAN_POINT('',(22.575,34.825,0.)); #565567=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #565568=CARTESIAN_POINT('Origin',(21.75,34.,-0.035)); #565569=CARTESIAN_POINT('Origin',(31.5,27.75,-200.)); #565570=CARTESIAN_POINT('',(31.035,27.75,-0.0349999999999895)); #565571=CARTESIAN_POINT('Origin',(31.5,27.75,-0.0349999999999895)); #565572=CARTESIAN_POINT('',(31.035,27.75,0.)); #565573=CARTESIAN_POINT('',(31.035,27.75,-200.)); #565574=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #565575=CARTESIAN_POINT('Origin',(31.536844,27.,-0.035)); #565576=CARTESIAN_POINT('',(31.536844,27.,-0.035)); #565577=CARTESIAN_POINT('',(31.610181,27.007222,-0.035)); #565578=CARTESIAN_POINT('',(31.536844,27.,-0.035)); #565579=CARTESIAN_POINT('',(31.610181,27.007222,0.)); #565580=CARTESIAN_POINT('',(31.610181,27.007222,-0.035)); #565581=CARTESIAN_POINT('',(31.536844,27.,0.)); #565582=CARTESIAN_POINT('',(31.536844,27.,0.)); #565583=CARTESIAN_POINT('',(31.536844,27.,-0.035)); #565584=CARTESIAN_POINT('Origin',(31.5000001874156,27.7500005308286,-0.035)); #565585=CARTESIAN_POINT('',(31.389819,28.492778,-0.035)); #565586=CARTESIAN_POINT('Origin',(31.5000001874156,27.7500005308286,-0.035)); #565587=CARTESIAN_POINT('',(31.389819,28.492778,0.)); #565588=CARTESIAN_POINT('Origin',(31.5000001874156,27.7500005308286,0.)); #565589=CARTESIAN_POINT('',(31.389819,28.492778,-0.035)); #565590=CARTESIAN_POINT('Origin',(31.5,27.75,-0.035)); #565591=CARTESIAN_POINT('Origin',(31.5,27.75,-0.035)); #565592=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #565593=CARTESIAN_POINT('Origin',(31.4983877860344,27.7486960162912,0.)); #565594=CARTESIAN_POINT('Origin',(31.4983877860344,27.7486960162912,-0.035)); #565595=CARTESIAN_POINT('Origin',(15.75,34.,-200.)); #565596=CARTESIAN_POINT('',(15.285,34.,-0.0349999999999895)); #565597=CARTESIAN_POINT('Origin',(15.75,34.,-0.0349999999999895)); #565598=CARTESIAN_POINT('',(15.285,34.,0.)); #565599=CARTESIAN_POINT('',(15.285,34.,-200.)); #565600=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #565601=CARTESIAN_POINT('Origin',(15.786844,33.25,-0.035)); #565602=CARTESIAN_POINT('',(15.786844,33.25,-0.035)); #565603=CARTESIAN_POINT('',(15.860181,33.257222,-0.035)); #565604=CARTESIAN_POINT('',(15.786844,33.25,-0.035)); #565605=CARTESIAN_POINT('',(15.860181,33.257222,0.)); #565606=CARTESIAN_POINT('',(15.860181,33.257222,-0.035)); #565607=CARTESIAN_POINT('',(15.786844,33.25,0.)); #565608=CARTESIAN_POINT('',(15.786844,33.25,0.)); #565609=CARTESIAN_POINT('',(15.786844,33.25,-0.035)); #565610=CARTESIAN_POINT('Origin',(15.7500001874156,34.0000005308286,-0.035)); #565611=CARTESIAN_POINT('',(15.639819,34.742778,-0.035)); #565612=CARTESIAN_POINT('Origin',(15.7500001874156,34.0000005308286,-0.035)); #565613=CARTESIAN_POINT('',(15.639819,34.742778,0.)); #565614=CARTESIAN_POINT('Origin',(15.7500001874156,34.0000005308286,0.)); #565615=CARTESIAN_POINT('',(15.639819,34.742778,-0.035)); #565616=CARTESIAN_POINT('Origin',(15.75,34.,-0.035)); #565617=CARTESIAN_POINT('Origin',(15.75,34.,-0.035)); #565618=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #565619=CARTESIAN_POINT('Origin',(15.7483877860344,33.9986960162912,0.)); #565620=CARTESIAN_POINT('Origin',(15.7483877860344,33.9986960162912,-0.035)); #565621=CARTESIAN_POINT('Origin',(37.81,45.,-200.)); #565622=CARTESIAN_POINT('',(37.245,45.,-0.0349999999999895)); #565623=CARTESIAN_POINT('Origin',(37.81,45.,-0.0349999999999895)); #565624=CARTESIAN_POINT('',(37.245,45.,0.)); #565625=CARTESIAN_POINT('',(37.245,45.,-200.)); #565626=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #565627=CARTESIAN_POINT('Origin',(37.849294,44.1,-0.035)); #565628=CARTESIAN_POINT('',(37.849294,44.1,-0.035)); #565629=CARTESIAN_POINT('',(37.927584,44.10685,-0.035)); #565630=CARTESIAN_POINT('',(37.849294,44.1,-0.035)); #565631=CARTESIAN_POINT('',(37.927584,44.10685,0.)); #565632=CARTESIAN_POINT('',(37.927584,44.10685,-0.035)); #565633=CARTESIAN_POINT('',(37.849294,44.1,0.)); #565634=CARTESIAN_POINT('',(37.849294,44.1,0.)); #565635=CARTESIAN_POINT('',(37.849294,44.1,-0.035)); #565636=CARTESIAN_POINT('Origin',(37.810000340126,44.9999997233462,-0.035)); #565637=CARTESIAN_POINT('',(37.692416,45.89315,-0.035)); #565638=CARTESIAN_POINT('Origin',(37.810000340126,44.9999997233462,-0.035)); #565639=CARTESIAN_POINT('',(37.692416,45.89315,0.)); #565640=CARTESIAN_POINT('Origin',(37.810000340126,44.9999997233462,0.)); #565641=CARTESIAN_POINT('',(37.692416,45.89315,-0.035)); #565642=CARTESIAN_POINT('Origin',(37.81,45.,-0.035)); #565643=CARTESIAN_POINT('Origin',(37.81,45.,-0.035)); #565644=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #565645=CARTESIAN_POINT('Origin',(37.8082590237178,44.9986240251875,0.)); #565646=CARTESIAN_POINT('Origin',(37.8082590237178,44.9986240251875,-0.035)); #565647=CARTESIAN_POINT('Origin',(55.75,34.,-200.)); #565648=CARTESIAN_POINT('',(55.285,34.,-0.0349999999999895)); #565649=CARTESIAN_POINT('Origin',(55.75,34.,-0.0349999999999895)); #565650=CARTESIAN_POINT('',(55.285,34.,0.)); #565651=CARTESIAN_POINT('',(55.285,34.,-200.)); #565652=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #565653=CARTESIAN_POINT('Origin',(55.786844,33.25,-0.035)); #565654=CARTESIAN_POINT('',(55.786844,33.25,-0.035)); #565655=CARTESIAN_POINT('',(55.860181,33.257222,-0.035)); #565656=CARTESIAN_POINT('',(55.786844,33.25,-0.035)); #565657=CARTESIAN_POINT('',(55.860181,33.257222,0.)); #565658=CARTESIAN_POINT('',(55.860181,33.257222,-0.035)); #565659=CARTESIAN_POINT('',(55.786844,33.25,0.)); #565660=CARTESIAN_POINT('',(55.786844,33.25,0.)); #565661=CARTESIAN_POINT('',(55.786844,33.25,-0.035)); #565662=CARTESIAN_POINT('Origin',(55.7500001874156,34.0000005308286,-0.035)); #565663=CARTESIAN_POINT('',(55.639819,34.742778,-0.035)); #565664=CARTESIAN_POINT('Origin',(55.7500001874156,34.0000005308286,-0.035)); #565665=CARTESIAN_POINT('',(55.639819,34.742778,0.)); #565666=CARTESIAN_POINT('Origin',(55.7500001874156,34.0000005308286,0.)); #565667=CARTESIAN_POINT('',(55.639819,34.742778,-0.035)); #565668=CARTESIAN_POINT('Origin',(55.75,34.,-0.035)); #565669=CARTESIAN_POINT('Origin',(55.75,34.,-0.035)); #565670=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #565671=CARTESIAN_POINT('Origin',(55.7483877860344,33.9986960162912,0.)); #565672=CARTESIAN_POINT('Origin',(55.7483877860344,33.9986960162912,-0.035)); #565673=CARTESIAN_POINT('Origin',(38.635,2.09999997467882,-200.)); #565674=CARTESIAN_POINT('',(38.635,2.89999999155961,0.)); #565675=CARTESIAN_POINT('',(38.635,2.09999997467882,0.)); #565676=CARTESIAN_POINT('',(38.635,2.29999998733941,0.)); #565677=CARTESIAN_POINT('',(38.635,2.89999999155961,-0.0349999999999895)); #565678=CARTESIAN_POINT('',(38.635,2.89999999155961,-200.)); #565679=CARTESIAN_POINT('',(38.635,2.09999997467882,-0.0349999999999895)); #565680=CARTESIAN_POINT('',(38.635,2.29999998733941,-0.0350000000000072)); #565681=CARTESIAN_POINT('',(38.635,2.09999997467882,-200.)); #565682=CARTESIAN_POINT('Origin',(38.32,2.1,-200.)); #565683=CARTESIAN_POINT('',(38.005,2.10000000844039,0.)); #565684=CARTESIAN_POINT('Origin',(38.32,2.1,0.)); #565685=CARTESIAN_POINT('',(38.005,2.10000000844039,-0.0349999999999895)); #565686=CARTESIAN_POINT('Origin',(38.32,2.1,-0.0349999999999895)); #565687=CARTESIAN_POINT('',(38.005,2.10000000844039,-200.)); #565688=CARTESIAN_POINT('Origin',(38.005,2.90000002532118,-200.)); #565689=CARTESIAN_POINT('',(38.005,2.90000002532118,0.)); #565690=CARTESIAN_POINT('',(38.005,2.70000001266059,0.)); #565691=CARTESIAN_POINT('',(38.005,2.90000002532118,-0.0349999999999895)); #565692=CARTESIAN_POINT('',(38.005,2.70000001266059,-0.0350000000000072)); #565693=CARTESIAN_POINT('',(38.005,2.90000002532118,-200.)); #565694=CARTESIAN_POINT('Origin',(38.32,2.9,-200.)); #565695=CARTESIAN_POINT('Origin',(38.32,2.9,0.)); #565696=CARTESIAN_POINT('Origin',(38.32,2.9,-0.0349999999999895)); #565697=CARTESIAN_POINT('Origin',(38.350887,1.55,-0.035)); #565698=CARTESIAN_POINT('',(38.350887,1.55,-0.035)); #565699=CARTESIAN_POINT('',(38.412275,1.5569156,-0.035)); #565700=CARTESIAN_POINT('',(38.350887,1.55,-0.035)); #565701=CARTESIAN_POINT('',(38.412275,1.5569156,0.)); #565702=CARTESIAN_POINT('',(38.412275,1.5569156,-0.035)); #565703=CARTESIAN_POINT('',(38.350887,1.55,0.)); #565704=CARTESIAN_POINT('',(38.350887,1.55,0.)); #565705=CARTESIAN_POINT('',(38.350887,1.55,-0.035)); #565706=CARTESIAN_POINT('Origin',(38.289113,1.55,-0.035)); #565707=CARTESIAN_POINT('',(38.289113,1.55,-0.035)); #565708=CARTESIAN_POINT('',(38.289113,1.55,-0.035)); #565709=CARTESIAN_POINT('',(38.289113,1.55,0.)); #565710=CARTESIAN_POINT('',(38.289113,1.55,0.)); #565711=CARTESIAN_POINT('',(38.289113,1.55,-0.035)); #565712=CARTESIAN_POINT('Origin',(38.227725,1.5569156,-0.035)); #565713=CARTESIAN_POINT('',(38.227725,1.5569156,-0.035)); #565714=CARTESIAN_POINT('',(38.227725,1.5569156,-0.035)); #565715=CARTESIAN_POINT('',(38.227725,1.5569156,0.)); #565716=CARTESIAN_POINT('',(38.227725,1.5569156,0.)); #565717=CARTESIAN_POINT('',(38.227725,1.5569156,-0.035)); #565718=CARTESIAN_POINT('Origin',(38.1675,1.5706625,-0.035)); #565719=CARTESIAN_POINT('',(38.1675,1.5706625,-0.035)); #565720=CARTESIAN_POINT('',(38.1675,1.5706625,-0.035)); #565721=CARTESIAN_POINT('',(38.1675,1.5706625,0.)); #565722=CARTESIAN_POINT('',(38.1675,1.5706625,0.)); #565723=CARTESIAN_POINT('',(38.1675,1.5706625,-0.035)); #565724=CARTESIAN_POINT('Origin',(38.109194,1.5910656,-0.035)); #565725=CARTESIAN_POINT('',(38.109194,1.5910656,-0.035)); #565726=CARTESIAN_POINT('',(38.109194,1.5910656,-0.035)); #565727=CARTESIAN_POINT('',(38.109194,1.5910656,0.)); #565728=CARTESIAN_POINT('',(38.109194,1.5910656,0.)); #565729=CARTESIAN_POINT('',(38.109194,1.5910656,-0.035)); #565730=CARTESIAN_POINT('Origin',(38.053534,1.6178687,-0.035)); #565731=CARTESIAN_POINT('',(38.053534,1.6178687,-0.035)); #565732=CARTESIAN_POINT('',(38.053534,1.6178687,-0.035)); #565733=CARTESIAN_POINT('',(38.053534,1.6178687,0.)); #565734=CARTESIAN_POINT('',(38.053534,1.6178687,0.)); #565735=CARTESIAN_POINT('',(38.053534,1.6178687,-0.035)); #565736=CARTESIAN_POINT('Origin',(38.001228,1.6507344,-0.035)); #565737=CARTESIAN_POINT('',(38.001228,1.6507344,-0.035)); #565738=CARTESIAN_POINT('',(38.001228,1.6507344,-0.035)); #565739=CARTESIAN_POINT('',(38.001228,1.6507344,0.)); #565740=CARTESIAN_POINT('',(38.001228,1.6507344,0.)); #565741=CARTESIAN_POINT('',(38.001228,1.6507344,-0.035)); #565742=CARTESIAN_POINT('Origin',(37.952931,1.68925,-0.035)); #565743=CARTESIAN_POINT('',(37.952931,1.68925,-0.035)); #565744=CARTESIAN_POINT('',(37.952931,1.68925,-0.035)); #565745=CARTESIAN_POINT('',(37.952931,1.68925,0.)); #565746=CARTESIAN_POINT('',(37.952931,1.68925,0.)); #565747=CARTESIAN_POINT('',(37.952931,1.68925,-0.035)); #565748=CARTESIAN_POINT('Origin',(37.90925,1.7329313,-0.035)); #565749=CARTESIAN_POINT('',(37.90925,1.7329313,-0.035)); #565750=CARTESIAN_POINT('',(37.90925,1.7329313,-0.035)); #565751=CARTESIAN_POINT('',(37.90925,1.7329313,0.)); #565752=CARTESIAN_POINT('',(37.90925,1.7329313,0.)); #565753=CARTESIAN_POINT('',(37.90925,1.7329313,-0.035)); #565754=CARTESIAN_POINT('Origin',(37.870734,1.7812281,-0.035)); #565755=CARTESIAN_POINT('',(37.870734,1.7812281,-0.035)); #565756=CARTESIAN_POINT('',(37.870734,1.7812281,-0.035)); #565757=CARTESIAN_POINT('',(37.870734,1.7812281,0.)); #565758=CARTESIAN_POINT('',(37.870734,1.7812281,0.)); #565759=CARTESIAN_POINT('',(37.870734,1.7812281,-0.035)); #565760=CARTESIAN_POINT('Origin',(37.837869,1.8335344,-0.035)); #565761=CARTESIAN_POINT('',(37.837869,1.8335344,-0.035)); #565762=CARTESIAN_POINT('',(37.837869,1.8335344,-0.035)); #565763=CARTESIAN_POINT('',(37.837869,1.8335344,0.)); #565764=CARTESIAN_POINT('',(37.837869,1.8335344,0.)); #565765=CARTESIAN_POINT('',(37.837869,1.8335344,-0.035)); #565766=CARTESIAN_POINT('Origin',(37.811066,1.8891938,-0.035)); #565767=CARTESIAN_POINT('',(37.811066,1.8891938,-0.035)); #565768=CARTESIAN_POINT('',(37.811066,1.8891938,-0.035)); #565769=CARTESIAN_POINT('',(37.811066,1.8891938,0.)); #565770=CARTESIAN_POINT('',(37.811066,1.8891938,0.)); #565771=CARTESIAN_POINT('',(37.811066,1.8891938,-0.035)); #565772=CARTESIAN_POINT('Origin',(37.790663,1.9475,-0.035)); #565773=CARTESIAN_POINT('',(37.790663,1.9475,-0.035)); #565774=CARTESIAN_POINT('',(37.790663,1.9475,-0.035)); #565775=CARTESIAN_POINT('',(37.790663,1.9475,0.)); #565776=CARTESIAN_POINT('',(37.790663,1.9475,0.)); #565777=CARTESIAN_POINT('',(37.790663,1.9475,-0.035)); #565778=CARTESIAN_POINT('Origin',(37.776916,2.007725,-0.035)); #565779=CARTESIAN_POINT('',(37.776916,2.007725,-0.035)); #565780=CARTESIAN_POINT('',(37.776916,2.007725,-0.035)); #565781=CARTESIAN_POINT('',(37.776916,2.007725,0.)); #565782=CARTESIAN_POINT('',(37.776916,2.007725,0.)); #565783=CARTESIAN_POINT('',(37.776916,2.007725,-0.035)); #565784=CARTESIAN_POINT('Origin',(37.77,2.0691125,-0.035)); #565785=CARTESIAN_POINT('',(37.77,2.0691125,-0.035)); #565786=CARTESIAN_POINT('',(37.77,2.0691125,-0.035)); #565787=CARTESIAN_POINT('',(37.77,2.0691125,0.)); #565788=CARTESIAN_POINT('',(37.77,2.0691125,0.)); #565789=CARTESIAN_POINT('',(37.77,2.0691125,-0.035)); #565790=CARTESIAN_POINT('Origin',(37.77,2.9308875,-0.035)); #565791=CARTESIAN_POINT('',(37.77,2.9308875,-0.035)); #565792=CARTESIAN_POINT('',(37.77,2.9308875,-0.035)); #565793=CARTESIAN_POINT('',(37.77,2.9308875,0.)); #565794=CARTESIAN_POINT('',(37.77,2.9308875,0.)); #565795=CARTESIAN_POINT('',(37.77,2.9308875,-0.035)); #565796=CARTESIAN_POINT('Origin',(37.776916,2.992275,-0.035)); #565797=CARTESIAN_POINT('',(37.776916,2.992275,-0.035)); #565798=CARTESIAN_POINT('',(37.776916,2.992275,-0.035)); #565799=CARTESIAN_POINT('',(37.776916,2.992275,0.)); #565800=CARTESIAN_POINT('',(37.776916,2.992275,0.)); #565801=CARTESIAN_POINT('',(37.776916,2.992275,-0.035)); #565802=CARTESIAN_POINT('Origin',(37.790663,3.0525,-0.035)); #565803=CARTESIAN_POINT('',(37.790663,3.0525,-0.035)); #565804=CARTESIAN_POINT('',(37.790663,3.0525,-0.035)); #565805=CARTESIAN_POINT('',(37.790663,3.0525,0.)); #565806=CARTESIAN_POINT('',(37.790663,3.0525,0.)); #565807=CARTESIAN_POINT('',(37.790663,3.0525,-0.035)); #565808=CARTESIAN_POINT('Origin',(37.811066,3.1108062,-0.035)); #565809=CARTESIAN_POINT('',(37.811066,3.1108062,-0.035)); #565810=CARTESIAN_POINT('',(37.811066,3.1108062,-0.035)); #565811=CARTESIAN_POINT('',(37.811066,3.1108062,0.)); #565812=CARTESIAN_POINT('',(37.811066,3.1108062,0.)); #565813=CARTESIAN_POINT('',(37.811066,3.1108062,-0.035)); #565814=CARTESIAN_POINT('Origin',(37.837869,3.1664656,-0.035)); #565815=CARTESIAN_POINT('',(37.837869,3.1664656,-0.035)); #565816=CARTESIAN_POINT('',(37.837869,3.1664656,-0.035)); #565817=CARTESIAN_POINT('',(37.837869,3.1664656,0.)); #565818=CARTESIAN_POINT('',(37.837869,3.1664656,0.)); #565819=CARTESIAN_POINT('',(37.837869,3.1664656,-0.035)); #565820=CARTESIAN_POINT('Origin',(37.870734,3.2187719,-0.035)); #565821=CARTESIAN_POINT('',(37.870734,3.2187719,-0.035)); #565822=CARTESIAN_POINT('',(37.870734,3.2187719,-0.035)); #565823=CARTESIAN_POINT('',(37.870734,3.2187719,0.)); #565824=CARTESIAN_POINT('',(37.870734,3.2187719,0.)); #565825=CARTESIAN_POINT('',(37.870734,3.2187719,-0.035)); #565826=CARTESIAN_POINT('Origin',(37.90925,3.2670687,-0.035)); #565827=CARTESIAN_POINT('',(37.90925,3.2670687,-0.035)); #565828=CARTESIAN_POINT('',(37.90925,3.2670687,-0.035)); #565829=CARTESIAN_POINT('',(37.90925,3.2670687,0.)); #565830=CARTESIAN_POINT('',(37.90925,3.2670687,0.)); #565831=CARTESIAN_POINT('',(37.90925,3.2670687,-0.035)); #565832=CARTESIAN_POINT('Origin',(37.952931,3.31075,-0.035)); #565833=CARTESIAN_POINT('',(37.952931,3.31075,-0.035)); #565834=CARTESIAN_POINT('',(37.952931,3.31075,-0.035)); #565835=CARTESIAN_POINT('',(37.952931,3.31075,0.)); #565836=CARTESIAN_POINT('',(37.952931,3.31075,0.)); #565837=CARTESIAN_POINT('',(37.952931,3.31075,-0.035)); #565838=CARTESIAN_POINT('Origin',(38.001228,3.3492656,-0.035)); #565839=CARTESIAN_POINT('',(38.001228,3.3492656,-0.035)); #565840=CARTESIAN_POINT('',(38.001228,3.3492656,-0.035)); #565841=CARTESIAN_POINT('',(38.001228,3.3492656,0.)); #565842=CARTESIAN_POINT('',(38.001228,3.3492656,0.)); #565843=CARTESIAN_POINT('',(38.001228,3.3492656,-0.035)); #565844=CARTESIAN_POINT('Origin',(38.053534,3.3821313,-0.035)); #565845=CARTESIAN_POINT('',(38.053534,3.3821313,-0.035)); #565846=CARTESIAN_POINT('',(38.053534,3.3821313,-0.035)); #565847=CARTESIAN_POINT('',(38.053534,3.3821313,0.)); #565848=CARTESIAN_POINT('',(38.053534,3.3821313,0.)); #565849=CARTESIAN_POINT('',(38.053534,3.3821313,-0.035)); #565850=CARTESIAN_POINT('Origin',(38.109194,3.4089344,-0.035)); #565851=CARTESIAN_POINT('',(38.109194,3.4089344,-0.035)); #565852=CARTESIAN_POINT('',(38.109194,3.4089344,-0.035)); #565853=CARTESIAN_POINT('',(38.109194,3.4089344,0.)); #565854=CARTESIAN_POINT('',(38.109194,3.4089344,0.)); #565855=CARTESIAN_POINT('',(38.109194,3.4089344,-0.035)); #565856=CARTESIAN_POINT('Origin',(38.1675,3.4293375,-0.035)); #565857=CARTESIAN_POINT('',(38.1675,3.4293375,-0.035)); #565858=CARTESIAN_POINT('',(38.1675,3.4293375,-0.035)); #565859=CARTESIAN_POINT('',(38.1675,3.4293375,0.)); #565860=CARTESIAN_POINT('',(38.1675,3.4293375,0.)); #565861=CARTESIAN_POINT('',(38.1675,3.4293375,-0.035)); #565862=CARTESIAN_POINT('Origin',(38.227725,3.4430844,-0.035)); #565863=CARTESIAN_POINT('',(38.227725,3.4430844,-0.035)); #565864=CARTESIAN_POINT('',(38.227725,3.4430844,-0.035)); #565865=CARTESIAN_POINT('',(38.227725,3.4430844,0.)); #565866=CARTESIAN_POINT('',(38.227725,3.4430844,0.)); #565867=CARTESIAN_POINT('',(38.227725,3.4430844,-0.035)); #565868=CARTESIAN_POINT('Origin',(38.289113,3.45,-0.035)); #565869=CARTESIAN_POINT('',(38.289113,3.45,-0.035)); #565870=CARTESIAN_POINT('',(38.289113,3.45,-0.035)); #565871=CARTESIAN_POINT('',(38.289113,3.45,0.)); #565872=CARTESIAN_POINT('',(38.289113,3.45,0.)); #565873=CARTESIAN_POINT('',(38.289113,3.45,-0.035)); #565874=CARTESIAN_POINT('Origin',(38.350887,3.45,-0.035)); #565875=CARTESIAN_POINT('',(38.350887,3.45,-0.035)); #565876=CARTESIAN_POINT('',(38.350887,3.45,-0.035)); #565877=CARTESIAN_POINT('',(38.350887,3.45,0.)); #565878=CARTESIAN_POINT('',(38.350887,3.45,0.)); #565879=CARTESIAN_POINT('',(38.350887,3.45,-0.035)); #565880=CARTESIAN_POINT('Origin',(38.412275,3.4430844,-0.035)); #565881=CARTESIAN_POINT('',(38.412275,3.4430844,-0.035)); #565882=CARTESIAN_POINT('',(38.412275,3.4430844,-0.035)); #565883=CARTESIAN_POINT('',(38.412275,3.4430844,0.)); #565884=CARTESIAN_POINT('',(38.412275,3.4430844,0.)); #565885=CARTESIAN_POINT('',(38.412275,3.4430844,-0.035)); #565886=CARTESIAN_POINT('Origin',(38.4725,3.4293375,-0.035)); #565887=CARTESIAN_POINT('',(38.4725,3.4293375,-0.035)); #565888=CARTESIAN_POINT('',(38.4725,3.4293375,-0.035)); #565889=CARTESIAN_POINT('',(38.4725,3.4293375,0.)); #565890=CARTESIAN_POINT('',(38.4725,3.4293375,0.)); #565891=CARTESIAN_POINT('',(38.4725,3.4293375,-0.035)); #565892=CARTESIAN_POINT('Origin',(38.530806,3.4089344,-0.035)); #565893=CARTESIAN_POINT('',(38.530806,3.4089344,-0.035)); #565894=CARTESIAN_POINT('',(38.530806,3.4089344,-0.035)); #565895=CARTESIAN_POINT('',(38.530806,3.4089344,0.)); #565896=CARTESIAN_POINT('',(38.530806,3.4089344,0.)); #565897=CARTESIAN_POINT('',(38.530806,3.4089344,-0.035)); #565898=CARTESIAN_POINT('Origin',(38.586466,3.3821313,-0.035)); #565899=CARTESIAN_POINT('',(38.586466,3.3821313,-0.035)); #565900=CARTESIAN_POINT('',(38.586466,3.3821313,-0.035)); #565901=CARTESIAN_POINT('',(38.586466,3.3821313,0.)); #565902=CARTESIAN_POINT('',(38.586466,3.3821313,0.)); #565903=CARTESIAN_POINT('',(38.586466,3.3821313,-0.035)); #565904=CARTESIAN_POINT('Origin',(38.638772,3.3492656,-0.035)); #565905=CARTESIAN_POINT('',(38.638772,3.3492656,-0.035)); #565906=CARTESIAN_POINT('',(38.638772,3.3492656,-0.035)); #565907=CARTESIAN_POINT('',(38.638772,3.3492656,0.)); #565908=CARTESIAN_POINT('',(38.638772,3.3492656,0.)); #565909=CARTESIAN_POINT('',(38.638772,3.3492656,-0.035)); #565910=CARTESIAN_POINT('Origin',(38.687069,3.31075,-0.035)); #565911=CARTESIAN_POINT('',(38.687069,3.31075,-0.035)); #565912=CARTESIAN_POINT('',(38.687069,3.31075,-0.035)); #565913=CARTESIAN_POINT('',(38.687069,3.31075,0.)); #565914=CARTESIAN_POINT('',(38.687069,3.31075,0.)); #565915=CARTESIAN_POINT('',(38.687069,3.31075,-0.035)); #565916=CARTESIAN_POINT('Origin',(38.73075,3.2670687,-0.035)); #565917=CARTESIAN_POINT('',(38.73075,3.2670687,-0.035)); #565918=CARTESIAN_POINT('',(38.73075,3.2670687,-0.035)); #565919=CARTESIAN_POINT('',(38.73075,3.2670687,0.)); #565920=CARTESIAN_POINT('',(38.73075,3.2670687,0.)); #565921=CARTESIAN_POINT('',(38.73075,3.2670687,-0.035)); #565922=CARTESIAN_POINT('Origin',(38.769266,3.2187719,-0.035)); #565923=CARTESIAN_POINT('',(38.769266,3.2187719,-0.035)); #565924=CARTESIAN_POINT('',(38.769266,3.2187719,-0.035)); #565925=CARTESIAN_POINT('',(38.769266,3.2187719,0.)); #565926=CARTESIAN_POINT('',(38.769266,3.2187719,0.)); #565927=CARTESIAN_POINT('',(38.769266,3.2187719,-0.035)); #565928=CARTESIAN_POINT('Origin',(38.802131,3.1664656,-0.035)); #565929=CARTESIAN_POINT('',(38.802131,3.1664656,-0.035)); #565930=CARTESIAN_POINT('',(38.802131,3.1664656,-0.035)); #565931=CARTESIAN_POINT('',(38.802131,3.1664656,0.)); #565932=CARTESIAN_POINT('',(38.802131,3.1664656,0.)); #565933=CARTESIAN_POINT('',(38.802131,3.1664656,-0.035)); #565934=CARTESIAN_POINT('Origin',(38.828934,3.1108062,-0.035)); #565935=CARTESIAN_POINT('',(38.828934,3.1108062,-0.035)); #565936=CARTESIAN_POINT('',(38.828934,3.1108062,-0.035)); #565937=CARTESIAN_POINT('',(38.828934,3.1108062,0.)); #565938=CARTESIAN_POINT('',(38.828934,3.1108062,0.)); #565939=CARTESIAN_POINT('',(38.828934,3.1108062,-0.035)); #565940=CARTESIAN_POINT('Origin',(38.849338,3.0525,-0.035)); #565941=CARTESIAN_POINT('',(38.849338,3.0525,-0.035)); #565942=CARTESIAN_POINT('',(38.849338,3.0525,-0.035)); #565943=CARTESIAN_POINT('',(38.849338,3.0525,0.)); #565944=CARTESIAN_POINT('',(38.849338,3.0525,0.)); #565945=CARTESIAN_POINT('',(38.849338,3.0525,-0.035)); #565946=CARTESIAN_POINT('Origin',(38.863084,2.992275,-0.035)); #565947=CARTESIAN_POINT('',(38.863084,2.992275,-0.035)); #565948=CARTESIAN_POINT('',(38.863084,2.992275,-0.035)); #565949=CARTESIAN_POINT('',(38.863084,2.992275,0.)); #565950=CARTESIAN_POINT('',(38.863084,2.992275,0.)); #565951=CARTESIAN_POINT('',(38.863084,2.992275,-0.035)); #565952=CARTESIAN_POINT('Origin',(38.87,2.9308875,-0.035)); #565953=CARTESIAN_POINT('',(38.87,2.9308875,-0.035)); #565954=CARTESIAN_POINT('',(38.87,2.9308875,-0.035)); #565955=CARTESIAN_POINT('',(38.87,2.9308875,0.)); #565956=CARTESIAN_POINT('',(38.87,2.9308875,0.)); #565957=CARTESIAN_POINT('',(38.87,2.9308875,-0.035)); #565958=CARTESIAN_POINT('Origin',(38.87,2.0691125,-0.035)); #565959=CARTESIAN_POINT('',(38.87,2.0691125,-0.035)); #565960=CARTESIAN_POINT('',(38.87,2.0691125,-0.035)); #565961=CARTESIAN_POINT('',(38.87,2.0691125,0.)); #565962=CARTESIAN_POINT('',(38.87,2.0691125,0.)); #565963=CARTESIAN_POINT('',(38.87,2.0691125,-0.035)); #565964=CARTESIAN_POINT('Origin',(38.863084,2.007725,-0.035)); #565965=CARTESIAN_POINT('',(38.863084,2.007725,-0.035)); #565966=CARTESIAN_POINT('',(38.863084,2.007725,-0.035)); #565967=CARTESIAN_POINT('',(38.863084,2.007725,0.)); #565968=CARTESIAN_POINT('',(38.863084,2.007725,0.)); #565969=CARTESIAN_POINT('',(38.863084,2.007725,-0.035)); #565970=CARTESIAN_POINT('Origin',(38.849338,1.9475,-0.035)); #565971=CARTESIAN_POINT('',(38.849338,1.9475,-0.035)); #565972=CARTESIAN_POINT('',(38.849338,1.9475,-0.035)); #565973=CARTESIAN_POINT('',(38.849338,1.9475,0.)); #565974=CARTESIAN_POINT('',(38.849338,1.9475,0.)); #565975=CARTESIAN_POINT('',(38.849338,1.9475,-0.035)); #565976=CARTESIAN_POINT('Origin',(38.828934,1.8891938,-0.035)); #565977=CARTESIAN_POINT('',(38.828934,1.8891938,-0.035)); #565978=CARTESIAN_POINT('',(38.828934,1.8891938,-0.035)); #565979=CARTESIAN_POINT('',(38.828934,1.8891938,0.)); #565980=CARTESIAN_POINT('',(38.828934,1.8891938,0.)); #565981=CARTESIAN_POINT('',(38.828934,1.8891938,-0.035)); #565982=CARTESIAN_POINT('Origin',(38.802131,1.8335344,-0.035)); #565983=CARTESIAN_POINT('',(38.802131,1.8335344,-0.035)); #565984=CARTESIAN_POINT('',(38.802131,1.8335344,-0.035)); #565985=CARTESIAN_POINT('',(38.802131,1.8335344,0.)); #565986=CARTESIAN_POINT('',(38.802131,1.8335344,0.)); #565987=CARTESIAN_POINT('',(38.802131,1.8335344,-0.035)); #565988=CARTESIAN_POINT('Origin',(38.769266,1.7812281,-0.035)); #565989=CARTESIAN_POINT('',(38.769266,1.7812281,-0.035)); #565990=CARTESIAN_POINT('',(38.769266,1.7812281,-0.035)); #565991=CARTESIAN_POINT('',(38.769266,1.7812281,0.)); #565992=CARTESIAN_POINT('',(38.769266,1.7812281,0.)); #565993=CARTESIAN_POINT('',(38.769266,1.7812281,-0.035)); #565994=CARTESIAN_POINT('Origin',(38.73075,1.7329313,-0.035)); #565995=CARTESIAN_POINT('',(38.73075,1.7329313,-0.035)); #565996=CARTESIAN_POINT('',(38.73075,1.7329313,-0.035)); #565997=CARTESIAN_POINT('',(38.73075,1.7329313,0.)); #565998=CARTESIAN_POINT('',(38.73075,1.7329313,0.)); #565999=CARTESIAN_POINT('',(38.73075,1.7329313,-0.035)); #566000=CARTESIAN_POINT('Origin',(38.687069,1.68925,-0.035)); #566001=CARTESIAN_POINT('',(38.687069,1.68925,-0.035)); #566002=CARTESIAN_POINT('',(38.687069,1.68925,-0.035)); #566003=CARTESIAN_POINT('',(38.687069,1.68925,0.)); #566004=CARTESIAN_POINT('',(38.687069,1.68925,0.)); #566005=CARTESIAN_POINT('',(38.687069,1.68925,-0.035)); #566006=CARTESIAN_POINT('Origin',(38.638772,1.6507344,-0.035)); #566007=CARTESIAN_POINT('',(38.638772,1.6507344,-0.035)); #566008=CARTESIAN_POINT('',(38.638772,1.6507344,-0.035)); #566009=CARTESIAN_POINT('',(38.638772,1.6507344,0.)); #566010=CARTESIAN_POINT('',(38.638772,1.6507344,0.)); #566011=CARTESIAN_POINT('',(38.638772,1.6507344,-0.035)); #566012=CARTESIAN_POINT('Origin',(38.586466,1.6178687,-0.035)); #566013=CARTESIAN_POINT('',(38.586466,1.6178687,-0.035)); #566014=CARTESIAN_POINT('',(38.586466,1.6178687,-0.035)); #566015=CARTESIAN_POINT('',(38.586466,1.6178687,0.)); #566016=CARTESIAN_POINT('',(38.586466,1.6178687,0.)); #566017=CARTESIAN_POINT('',(38.586466,1.6178687,-0.035)); #566018=CARTESIAN_POINT('Origin',(38.530806,1.5910656,-0.035)); #566019=CARTESIAN_POINT('',(38.530806,1.5910656,-0.035)); #566020=CARTESIAN_POINT('',(38.530806,1.5910656,-0.035)); #566021=CARTESIAN_POINT('',(38.530806,1.5910656,0.)); #566022=CARTESIAN_POINT('',(38.530806,1.5910656,0.)); #566023=CARTESIAN_POINT('',(38.530806,1.5910656,-0.035)); #566024=CARTESIAN_POINT('Origin',(38.4725,1.5706625,-0.035)); #566025=CARTESIAN_POINT('',(38.4725,1.5706625,-0.035)); #566026=CARTESIAN_POINT('',(38.4725,1.5706625,-0.035)); #566027=CARTESIAN_POINT('',(38.4725,1.5706625,0.)); #566028=CARTESIAN_POINT('',(38.4725,1.5706625,0.)); #566029=CARTESIAN_POINT('',(38.4725,1.5706625,-0.035)); #566030=CARTESIAN_POINT('Origin',(38.412275,1.5569156,-0.035)); #566031=CARTESIAN_POINT('',(38.412275,1.5569156,-0.035)); #566032=CARTESIAN_POINT('',(38.412275,1.5569156,0.)); #566033=CARTESIAN_POINT('Origin',(38.3200000450332,2.5,0.)); #566034=CARTESIAN_POINT('Origin',(38.3200000450332,2.5,-0.035)); #566035=CARTESIAN_POINT('Origin',(29.4500000454174,34.565,-200.)); #566036=CARTESIAN_POINT('',(28.8500000151391,34.565,0.)); #566037=CARTESIAN_POINT('',(29.4500000454174,34.565,0.)); #566038=CARTESIAN_POINT('',(29.3000000471749,34.565,0.)); #566039=CARTESIAN_POINT('',(28.8500000151391,34.565,-0.0349999999999895)); #566040=CARTESIAN_POINT('',(28.8500000151391,34.565,-200.)); #566041=CARTESIAN_POINT('',(29.4500000454174,34.565,-0.0349999999999895)); #566042=CARTESIAN_POINT('',(29.3000000471749,34.565,-0.0350000000000072)); #566043=CARTESIAN_POINT('',(29.4500000454174,34.565,-200.)); #566044=CARTESIAN_POINT('Origin',(29.45,34.,-200.)); #566045=CARTESIAN_POINT('',(29.4499999848609,33.435,0.)); #566046=CARTESIAN_POINT('Origin',(29.45,34.,0.)); #566047=CARTESIAN_POINT('',(29.4499999848609,33.435,-0.0349999999999895)); #566048=CARTESIAN_POINT('Origin',(29.45,34.,-0.0349999999999895)); #566049=CARTESIAN_POINT('',(29.4499999848609,33.435,-200.)); #566050=CARTESIAN_POINT('Origin',(28.8499999545827,33.435,-200.)); #566051=CARTESIAN_POINT('',(28.8499999545827,33.435,0.)); #566052=CARTESIAN_POINT('',(29.0000000017575,33.435,0.)); #566053=CARTESIAN_POINT('',(28.8499999545827,33.435,-0.0349999999999895)); #566054=CARTESIAN_POINT('',(29.0000000017575,33.435,-0.0350000000000072)); #566055=CARTESIAN_POINT('',(28.8499999545827,33.435,-200.)); #566056=CARTESIAN_POINT('Origin',(28.85,34.,-200.)); #566057=CARTESIAN_POINT('Origin',(28.85,34.,0.)); #566058=CARTESIAN_POINT('Origin',(28.85,34.,-0.0349999999999895)); #566059=CARTESIAN_POINT('Origin',(29.489294,33.1,-0.035)); #566060=CARTESIAN_POINT('',(29.489294,33.1,-0.035)); #566061=CARTESIAN_POINT('',(29.567584,33.10685,-0.035)); #566062=CARTESIAN_POINT('',(29.489294,33.1,-0.035)); #566063=CARTESIAN_POINT('',(29.567584,33.10685,0.)); #566064=CARTESIAN_POINT('',(29.567584,33.10685,-0.035)); #566065=CARTESIAN_POINT('',(29.489294,33.1,0.)); #566066=CARTESIAN_POINT('',(29.489294,33.1,0.)); #566067=CARTESIAN_POINT('',(29.489294,33.1,-0.035)); #566068=CARTESIAN_POINT('Origin',(28.810706,33.1,-0.035)); #566069=CARTESIAN_POINT('',(28.810706,33.1,-0.035)); #566070=CARTESIAN_POINT('',(28.810706,33.1,-0.035)); #566071=CARTESIAN_POINT('',(28.810706,33.1,0.)); #566072=CARTESIAN_POINT('',(28.810706,33.1,0.)); #566073=CARTESIAN_POINT('',(28.810706,33.1,-0.035)); #566074=CARTESIAN_POINT('Origin',(28.732416,33.10685,-0.035)); #566075=CARTESIAN_POINT('',(28.732416,33.10685,-0.035)); #566076=CARTESIAN_POINT('',(28.732416,33.10685,-0.035)); #566077=CARTESIAN_POINT('',(28.732416,33.10685,0.)); #566078=CARTESIAN_POINT('',(28.732416,33.10685,0.)); #566079=CARTESIAN_POINT('',(28.732416,33.10685,-0.035)); #566080=CARTESIAN_POINT('Origin',(28.655019,33.120497,-0.035)); #566081=CARTESIAN_POINT('',(28.655019,33.120497,-0.035)); #566082=CARTESIAN_POINT('',(28.655019,33.120497,-0.035)); #566083=CARTESIAN_POINT('',(28.655019,33.120497,0.)); #566084=CARTESIAN_POINT('',(28.655019,33.120497,0.)); #566085=CARTESIAN_POINT('',(28.655019,33.120497,-0.035)); #566086=CARTESIAN_POINT('Origin',(28.579106,33.140838,-0.035)); #566087=CARTESIAN_POINT('',(28.579106,33.140838,-0.035)); #566088=CARTESIAN_POINT('',(28.579106,33.140838,-0.035)); #566089=CARTESIAN_POINT('',(28.579106,33.140838,0.)); #566090=CARTESIAN_POINT('',(28.579106,33.140838,0.)); #566091=CARTESIAN_POINT('',(28.579106,33.140838,-0.035)); #566092=CARTESIAN_POINT('Origin',(28.505256,33.167716,-0.035)); #566093=CARTESIAN_POINT('',(28.505256,33.167716,-0.035)); #566094=CARTESIAN_POINT('',(28.505256,33.167716,-0.035)); #566095=CARTESIAN_POINT('',(28.505256,33.167716,0.)); #566096=CARTESIAN_POINT('',(28.505256,33.167716,0.)); #566097=CARTESIAN_POINT('',(28.505256,33.167716,-0.035)); #566098=CARTESIAN_POINT('Origin',(28.434031,33.200931,-0.035)); #566099=CARTESIAN_POINT('',(28.434031,33.200931,-0.035)); #566100=CARTESIAN_POINT('',(28.434031,33.200931,-0.035)); #566101=CARTESIAN_POINT('',(28.434031,33.200931,0.)); #566102=CARTESIAN_POINT('',(28.434031,33.200931,0.)); #566103=CARTESIAN_POINT('',(28.434031,33.200931,-0.035)); #566104=CARTESIAN_POINT('Origin',(28.365969,33.240225,-0.035)); #566105=CARTESIAN_POINT('',(28.365969,33.240225,-0.035)); #566106=CARTESIAN_POINT('',(28.365969,33.240225,-0.035)); #566107=CARTESIAN_POINT('',(28.365969,33.240225,0.)); #566108=CARTESIAN_POINT('',(28.365969,33.240225,0.)); #566109=CARTESIAN_POINT('',(28.365969,33.240225,-0.035)); #566110=CARTESIAN_POINT('Origin',(28.301594,33.285303,-0.035)); #566111=CARTESIAN_POINT('',(28.301594,33.285303,-0.035)); #566112=CARTESIAN_POINT('',(28.301594,33.285303,-0.035)); #566113=CARTESIAN_POINT('',(28.301594,33.285303,0.)); #566114=CARTESIAN_POINT('',(28.301594,33.285303,0.)); #566115=CARTESIAN_POINT('',(28.301594,33.285303,-0.035)); #566116=CARTESIAN_POINT('Origin',(28.241391,33.335819,-0.035)); #566117=CARTESIAN_POINT('',(28.241391,33.335819,-0.035)); #566118=CARTESIAN_POINT('',(28.241391,33.335819,-0.035)); #566119=CARTESIAN_POINT('',(28.241391,33.335819,0.)); #566120=CARTESIAN_POINT('',(28.241391,33.335819,0.)); #566121=CARTESIAN_POINT('',(28.241391,33.335819,-0.035)); #566122=CARTESIAN_POINT('Origin',(28.185819,33.391391,-0.035)); #566123=CARTESIAN_POINT('',(28.185819,33.391391,-0.035)); #566124=CARTESIAN_POINT('',(28.185819,33.391391,-0.035)); #566125=CARTESIAN_POINT('',(28.185819,33.391391,0.)); #566126=CARTESIAN_POINT('',(28.185819,33.391391,0.)); #566127=CARTESIAN_POINT('',(28.185819,33.391391,-0.035)); #566128=CARTESIAN_POINT('Origin',(28.135303,33.451594,-0.035)); #566129=CARTESIAN_POINT('',(28.135303,33.451594,-0.035)); #566130=CARTESIAN_POINT('',(28.135303,33.451594,-0.035)); #566131=CARTESIAN_POINT('',(28.135303,33.451594,0.)); #566132=CARTESIAN_POINT('',(28.135303,33.451594,0.)); #566133=CARTESIAN_POINT('',(28.135303,33.451594,-0.035)); #566134=CARTESIAN_POINT('Origin',(28.090225,33.515969,-0.035)); #566135=CARTESIAN_POINT('',(28.090225,33.515969,-0.035)); #566136=CARTESIAN_POINT('',(28.090225,33.515969,-0.035)); #566137=CARTESIAN_POINT('',(28.090225,33.515969,0.)); #566138=CARTESIAN_POINT('',(28.090225,33.515969,0.)); #566139=CARTESIAN_POINT('',(28.090225,33.515969,-0.035)); #566140=CARTESIAN_POINT('Origin',(28.050931,33.584031,-0.035)); #566141=CARTESIAN_POINT('',(28.050931,33.584031,-0.035)); #566142=CARTESIAN_POINT('',(28.050931,33.584031,-0.035)); #566143=CARTESIAN_POINT('',(28.050931,33.584031,0.)); #566144=CARTESIAN_POINT('',(28.050931,33.584031,0.)); #566145=CARTESIAN_POINT('',(28.050931,33.584031,-0.035)); #566146=CARTESIAN_POINT('Origin',(28.017716,33.655256,-0.035)); #566147=CARTESIAN_POINT('',(28.017716,33.655256,-0.035)); #566148=CARTESIAN_POINT('',(28.017716,33.655256,-0.035)); #566149=CARTESIAN_POINT('',(28.017716,33.655256,0.)); #566150=CARTESIAN_POINT('',(28.017716,33.655256,0.)); #566151=CARTESIAN_POINT('',(28.017716,33.655256,-0.035)); #566152=CARTESIAN_POINT('Origin',(27.990838,33.729106,-0.035)); #566153=CARTESIAN_POINT('',(27.990838,33.729106,-0.035)); #566154=CARTESIAN_POINT('',(27.990838,33.729106,-0.035)); #566155=CARTESIAN_POINT('',(27.990838,33.729106,0.)); #566156=CARTESIAN_POINT('',(27.990838,33.729106,0.)); #566157=CARTESIAN_POINT('',(27.990838,33.729106,-0.035)); #566158=CARTESIAN_POINT('Origin',(27.970497,33.805019,-0.035)); #566159=CARTESIAN_POINT('',(27.970497,33.805019,-0.035)); #566160=CARTESIAN_POINT('',(27.970497,33.805019,-0.035)); #566161=CARTESIAN_POINT('',(27.970497,33.805019,0.)); #566162=CARTESIAN_POINT('',(27.970497,33.805019,0.)); #566163=CARTESIAN_POINT('',(27.970497,33.805019,-0.035)); #566164=CARTESIAN_POINT('Origin',(27.95685,33.882416,-0.035)); #566165=CARTESIAN_POINT('',(27.95685,33.882416,-0.035)); #566166=CARTESIAN_POINT('',(27.95685,33.882416,-0.035)); #566167=CARTESIAN_POINT('',(27.95685,33.882416,0.)); #566168=CARTESIAN_POINT('',(27.95685,33.882416,0.)); #566169=CARTESIAN_POINT('',(27.95685,33.882416,-0.035)); #566170=CARTESIAN_POINT('Origin',(27.95,33.960706,-0.035)); #566171=CARTESIAN_POINT('',(27.95,33.960706,-0.035)); #566172=CARTESIAN_POINT('',(27.95,33.960706,-0.035)); #566173=CARTESIAN_POINT('',(27.95,33.960706,0.)); #566174=CARTESIAN_POINT('',(27.95,33.960706,0.)); #566175=CARTESIAN_POINT('',(27.95,33.960706,-0.035)); #566176=CARTESIAN_POINT('Origin',(27.95,34.039294,-0.035)); #566177=CARTESIAN_POINT('',(27.95,34.039294,-0.035)); #566178=CARTESIAN_POINT('',(27.95,34.039294,-0.035)); #566179=CARTESIAN_POINT('',(27.95,34.039294,0.)); #566180=CARTESIAN_POINT('',(27.95,34.039294,0.)); #566181=CARTESIAN_POINT('',(27.95,34.039294,-0.035)); #566182=CARTESIAN_POINT('Origin',(27.95685,34.117584,-0.035)); #566183=CARTESIAN_POINT('',(27.95685,34.117584,-0.035)); #566184=CARTESIAN_POINT('',(27.95685,34.117584,-0.035)); #566185=CARTESIAN_POINT('',(27.95685,34.117584,0.)); #566186=CARTESIAN_POINT('',(27.95685,34.117584,0.)); #566187=CARTESIAN_POINT('',(27.95685,34.117584,-0.035)); #566188=CARTESIAN_POINT('Origin',(27.970497,34.194981,-0.035)); #566189=CARTESIAN_POINT('',(27.970497,34.194981,-0.035)); #566190=CARTESIAN_POINT('',(27.970497,34.194981,-0.035)); #566191=CARTESIAN_POINT('',(27.970497,34.194981,0.)); #566192=CARTESIAN_POINT('',(27.970497,34.194981,0.)); #566193=CARTESIAN_POINT('',(27.970497,34.194981,-0.035)); #566194=CARTESIAN_POINT('Origin',(27.990838,34.270894,-0.035)); #566195=CARTESIAN_POINT('',(27.990838,34.270894,-0.035)); #566196=CARTESIAN_POINT('',(27.990838,34.270894,-0.035)); #566197=CARTESIAN_POINT('',(27.990838,34.270894,0.)); #566198=CARTESIAN_POINT('',(27.990838,34.270894,0.)); #566199=CARTESIAN_POINT('',(27.990838,34.270894,-0.035)); #566200=CARTESIAN_POINT('Origin',(28.017716,34.344744,-0.035)); #566201=CARTESIAN_POINT('',(28.017716,34.344744,-0.035)); #566202=CARTESIAN_POINT('',(28.017716,34.344744,-0.035)); #566203=CARTESIAN_POINT('',(28.017716,34.344744,0.)); #566204=CARTESIAN_POINT('',(28.017716,34.344744,0.)); #566205=CARTESIAN_POINT('',(28.017716,34.344744,-0.035)); #566206=CARTESIAN_POINT('Origin',(28.050931,34.415969,-0.035)); #566207=CARTESIAN_POINT('',(28.050931,34.415969,-0.035)); #566208=CARTESIAN_POINT('',(28.050931,34.415969,-0.035)); #566209=CARTESIAN_POINT('',(28.050931,34.415969,0.)); #566210=CARTESIAN_POINT('',(28.050931,34.415969,0.)); #566211=CARTESIAN_POINT('',(28.050931,34.415969,-0.035)); #566212=CARTESIAN_POINT('Origin',(28.090225,34.484031,-0.035)); #566213=CARTESIAN_POINT('',(28.090225,34.484031,-0.035)); #566214=CARTESIAN_POINT('',(28.090225,34.484031,-0.035)); #566215=CARTESIAN_POINT('',(28.090225,34.484031,0.)); #566216=CARTESIAN_POINT('',(28.090225,34.484031,0.)); #566217=CARTESIAN_POINT('',(28.090225,34.484031,-0.035)); #566218=CARTESIAN_POINT('Origin',(28.135303,34.548406,-0.035)); #566219=CARTESIAN_POINT('',(28.135303,34.548406,-0.035)); #566220=CARTESIAN_POINT('',(28.135303,34.548406,-0.035)); #566221=CARTESIAN_POINT('',(28.135303,34.548406,0.)); #566222=CARTESIAN_POINT('',(28.135303,34.548406,0.)); #566223=CARTESIAN_POINT('',(28.135303,34.548406,-0.035)); #566224=CARTESIAN_POINT('Origin',(28.185819,34.608609,-0.035)); #566225=CARTESIAN_POINT('',(28.185819,34.608609,-0.035)); #566226=CARTESIAN_POINT('',(28.185819,34.608609,-0.035)); #566227=CARTESIAN_POINT('',(28.185819,34.608609,0.)); #566228=CARTESIAN_POINT('',(28.185819,34.608609,0.)); #566229=CARTESIAN_POINT('',(28.185819,34.608609,-0.035)); #566230=CARTESIAN_POINT('Origin',(28.241391,34.664181,-0.035)); #566231=CARTESIAN_POINT('',(28.241391,34.664181,-0.035)); #566232=CARTESIAN_POINT('',(28.241391,34.664181,-0.035)); #566233=CARTESIAN_POINT('',(28.241391,34.664181,0.)); #566234=CARTESIAN_POINT('',(28.241391,34.664181,0.)); #566235=CARTESIAN_POINT('',(28.241391,34.664181,-0.035)); #566236=CARTESIAN_POINT('Origin',(28.301594,34.714697,-0.035)); #566237=CARTESIAN_POINT('',(28.301594,34.714697,-0.035)); #566238=CARTESIAN_POINT('',(28.301594,34.714697,-0.035)); #566239=CARTESIAN_POINT('',(28.301594,34.714697,0.)); #566240=CARTESIAN_POINT('',(28.301594,34.714697,0.)); #566241=CARTESIAN_POINT('',(28.301594,34.714697,-0.035)); #566242=CARTESIAN_POINT('Origin',(28.365969,34.759775,-0.035)); #566243=CARTESIAN_POINT('',(28.365969,34.759775,-0.035)); #566244=CARTESIAN_POINT('',(28.365969,34.759775,-0.035)); #566245=CARTESIAN_POINT('',(28.365969,34.759775,0.)); #566246=CARTESIAN_POINT('',(28.365969,34.759775,0.)); #566247=CARTESIAN_POINT('',(28.365969,34.759775,-0.035)); #566248=CARTESIAN_POINT('Origin',(28.434031,34.799069,-0.035)); #566249=CARTESIAN_POINT('',(28.434031,34.799069,-0.035)); #566250=CARTESIAN_POINT('',(28.434031,34.799069,-0.035)); #566251=CARTESIAN_POINT('',(28.434031,34.799069,0.)); #566252=CARTESIAN_POINT('',(28.434031,34.799069,0.)); #566253=CARTESIAN_POINT('',(28.434031,34.799069,-0.035)); #566254=CARTESIAN_POINT('Origin',(28.505256,34.832284,-0.035)); #566255=CARTESIAN_POINT('',(28.505256,34.832284,-0.035)); #566256=CARTESIAN_POINT('',(28.505256,34.832284,-0.035)); #566257=CARTESIAN_POINT('',(28.505256,34.832284,0.)); #566258=CARTESIAN_POINT('',(28.505256,34.832284,0.)); #566259=CARTESIAN_POINT('',(28.505256,34.832284,-0.035)); #566260=CARTESIAN_POINT('Origin',(28.579106,34.859163,-0.035)); #566261=CARTESIAN_POINT('',(28.579106,34.859163,-0.035)); #566262=CARTESIAN_POINT('',(28.579106,34.859163,-0.035)); #566263=CARTESIAN_POINT('',(28.579106,34.859163,0.)); #566264=CARTESIAN_POINT('',(28.579106,34.859163,0.)); #566265=CARTESIAN_POINT('',(28.579106,34.859163,-0.035)); #566266=CARTESIAN_POINT('Origin',(28.655019,34.879503,-0.035)); #566267=CARTESIAN_POINT('',(28.655019,34.879503,-0.035)); #566268=CARTESIAN_POINT('',(28.655019,34.879503,-0.035)); #566269=CARTESIAN_POINT('',(28.655019,34.879503,0.)); #566270=CARTESIAN_POINT('',(28.655019,34.879503,0.)); #566271=CARTESIAN_POINT('',(28.655019,34.879503,-0.035)); #566272=CARTESIAN_POINT('Origin',(28.732416,34.89315,-0.035)); #566273=CARTESIAN_POINT('',(28.732416,34.89315,-0.035)); #566274=CARTESIAN_POINT('',(28.732416,34.89315,-0.035)); #566275=CARTESIAN_POINT('',(28.732416,34.89315,0.)); #566276=CARTESIAN_POINT('',(28.732416,34.89315,0.)); #566277=CARTESIAN_POINT('',(28.732416,34.89315,-0.035)); #566278=CARTESIAN_POINT('Origin',(28.810706,34.9,-0.035)); #566279=CARTESIAN_POINT('',(28.810706,34.9,-0.035)); #566280=CARTESIAN_POINT('',(28.810706,34.9,-0.035)); #566281=CARTESIAN_POINT('',(28.810706,34.9,0.)); #566282=CARTESIAN_POINT('',(28.810706,34.9,0.)); #566283=CARTESIAN_POINT('',(28.810706,34.9,-0.035)); #566284=CARTESIAN_POINT('Origin',(29.489294,34.9,-0.035)); #566285=CARTESIAN_POINT('',(29.489294,34.9,-0.035)); #566286=CARTESIAN_POINT('',(29.489294,34.9,-0.035)); #566287=CARTESIAN_POINT('',(29.489294,34.9,0.)); #566288=CARTESIAN_POINT('',(29.489294,34.9,0.)); #566289=CARTESIAN_POINT('',(29.489294,34.9,-0.035)); #566290=CARTESIAN_POINT('Origin',(29.567584,34.89315,-0.035)); #566291=CARTESIAN_POINT('',(29.567584,34.89315,-0.035)); #566292=CARTESIAN_POINT('',(29.567584,34.89315,-0.035)); #566293=CARTESIAN_POINT('',(29.567584,34.89315,0.)); #566294=CARTESIAN_POINT('',(29.567584,34.89315,0.)); #566295=CARTESIAN_POINT('',(29.567584,34.89315,-0.035)); #566296=CARTESIAN_POINT('Origin',(29.644981,34.879503,-0.035)); #566297=CARTESIAN_POINT('',(29.644981,34.879503,-0.035)); #566298=CARTESIAN_POINT('',(29.644981,34.879503,-0.035)); #566299=CARTESIAN_POINT('',(29.644981,34.879503,0.)); #566300=CARTESIAN_POINT('',(29.644981,34.879503,0.)); #566301=CARTESIAN_POINT('',(29.644981,34.879503,-0.035)); #566302=CARTESIAN_POINT('Origin',(29.720894,34.859163,-0.035)); #566303=CARTESIAN_POINT('',(29.720894,34.859163,-0.035)); #566304=CARTESIAN_POINT('',(29.720894,34.859163,-0.035)); #566305=CARTESIAN_POINT('',(29.720894,34.859163,0.)); #566306=CARTESIAN_POINT('',(29.720894,34.859163,0.)); #566307=CARTESIAN_POINT('',(29.720894,34.859163,-0.035)); #566308=CARTESIAN_POINT('Origin',(29.794744,34.832284,-0.035)); #566309=CARTESIAN_POINT('',(29.794744,34.832284,-0.035)); #566310=CARTESIAN_POINT('',(29.794744,34.832284,-0.035)); #566311=CARTESIAN_POINT('',(29.794744,34.832284,0.)); #566312=CARTESIAN_POINT('',(29.794744,34.832284,0.)); #566313=CARTESIAN_POINT('',(29.794744,34.832284,-0.035)); #566314=CARTESIAN_POINT('Origin',(29.865969,34.799069,-0.035)); #566315=CARTESIAN_POINT('',(29.865969,34.799069,-0.035)); #566316=CARTESIAN_POINT('',(29.865969,34.799069,-0.035)); #566317=CARTESIAN_POINT('',(29.865969,34.799069,0.)); #566318=CARTESIAN_POINT('',(29.865969,34.799069,0.)); #566319=CARTESIAN_POINT('',(29.865969,34.799069,-0.035)); #566320=CARTESIAN_POINT('Origin',(29.934031,34.759775,-0.035)); #566321=CARTESIAN_POINT('',(29.934031,34.759775,-0.035)); #566322=CARTESIAN_POINT('',(29.934031,34.759775,-0.035)); #566323=CARTESIAN_POINT('',(29.934031,34.759775,0.)); #566324=CARTESIAN_POINT('',(29.934031,34.759775,0.)); #566325=CARTESIAN_POINT('',(29.934031,34.759775,-0.035)); #566326=CARTESIAN_POINT('Origin',(29.998406,34.714697,-0.035)); #566327=CARTESIAN_POINT('',(29.998406,34.714697,-0.035)); #566328=CARTESIAN_POINT('',(29.998406,34.714697,-0.035)); #566329=CARTESIAN_POINT('',(29.998406,34.714697,0.)); #566330=CARTESIAN_POINT('',(29.998406,34.714697,0.)); #566331=CARTESIAN_POINT('',(29.998406,34.714697,-0.035)); #566332=CARTESIAN_POINT('Origin',(30.058609,34.664181,-0.035)); #566333=CARTESIAN_POINT('',(30.058609,34.664181,-0.035)); #566334=CARTESIAN_POINT('',(30.058609,34.664181,-0.035)); #566335=CARTESIAN_POINT('',(30.058609,34.664181,0.)); #566336=CARTESIAN_POINT('',(30.058609,34.664181,0.)); #566337=CARTESIAN_POINT('',(30.058609,34.664181,-0.035)); #566338=CARTESIAN_POINT('Origin',(30.114181,34.608609,-0.035)); #566339=CARTESIAN_POINT('',(30.114181,34.608609,-0.035)); #566340=CARTESIAN_POINT('',(30.114181,34.608609,-0.035)); #566341=CARTESIAN_POINT('',(30.114181,34.608609,0.)); #566342=CARTESIAN_POINT('',(30.114181,34.608609,0.)); #566343=CARTESIAN_POINT('',(30.114181,34.608609,-0.035)); #566344=CARTESIAN_POINT('Origin',(30.164697,34.548406,-0.035)); #566345=CARTESIAN_POINT('',(30.164697,34.548406,-0.035)); #566346=CARTESIAN_POINT('',(30.164697,34.548406,-0.035)); #566347=CARTESIAN_POINT('',(30.164697,34.548406,0.)); #566348=CARTESIAN_POINT('',(30.164697,34.548406,0.)); #566349=CARTESIAN_POINT('',(30.164697,34.548406,-0.035)); #566350=CARTESIAN_POINT('Origin',(30.209775,34.484031,-0.035)); #566351=CARTESIAN_POINT('',(30.209775,34.484031,-0.035)); #566352=CARTESIAN_POINT('',(30.209775,34.484031,-0.035)); #566353=CARTESIAN_POINT('',(30.209775,34.484031,0.)); #566354=CARTESIAN_POINT('',(30.209775,34.484031,0.)); #566355=CARTESIAN_POINT('',(30.209775,34.484031,-0.035)); #566356=CARTESIAN_POINT('Origin',(30.249069,34.415969,-0.035)); #566357=CARTESIAN_POINT('',(30.249069,34.415969,-0.035)); #566358=CARTESIAN_POINT('',(30.249069,34.415969,-0.035)); #566359=CARTESIAN_POINT('',(30.249069,34.415969,0.)); #566360=CARTESIAN_POINT('',(30.249069,34.415969,0.)); #566361=CARTESIAN_POINT('',(30.249069,34.415969,-0.035)); #566362=CARTESIAN_POINT('Origin',(30.282284,34.344744,-0.035)); #566363=CARTESIAN_POINT('',(30.282284,34.344744,-0.035)); #566364=CARTESIAN_POINT('',(30.282284,34.344744,-0.035)); #566365=CARTESIAN_POINT('',(30.282284,34.344744,0.)); #566366=CARTESIAN_POINT('',(30.282284,34.344744,0.)); #566367=CARTESIAN_POINT('',(30.282284,34.344744,-0.035)); #566368=CARTESIAN_POINT('Origin',(30.309163,34.270894,-0.035)); #566369=CARTESIAN_POINT('',(30.309163,34.270894,-0.035)); #566370=CARTESIAN_POINT('',(30.309163,34.270894,-0.035)); #566371=CARTESIAN_POINT('',(30.309163,34.270894,0.)); #566372=CARTESIAN_POINT('',(30.309163,34.270894,0.)); #566373=CARTESIAN_POINT('',(30.309163,34.270894,-0.035)); #566374=CARTESIAN_POINT('Origin',(30.329503,34.194981,-0.035)); #566375=CARTESIAN_POINT('',(30.329503,34.194981,-0.035)); #566376=CARTESIAN_POINT('',(30.329503,34.194981,-0.035)); #566377=CARTESIAN_POINT('',(30.329503,34.194981,0.)); #566378=CARTESIAN_POINT('',(30.329503,34.194981,0.)); #566379=CARTESIAN_POINT('',(30.329503,34.194981,-0.035)); #566380=CARTESIAN_POINT('Origin',(30.34315,34.117584,-0.035)); #566381=CARTESIAN_POINT('',(30.34315,34.117584,-0.035)); #566382=CARTESIAN_POINT('',(30.34315,34.117584,-0.035)); #566383=CARTESIAN_POINT('',(30.34315,34.117584,0.)); #566384=CARTESIAN_POINT('',(30.34315,34.117584,0.)); #566385=CARTESIAN_POINT('',(30.34315,34.117584,-0.035)); #566386=CARTESIAN_POINT('Origin',(30.35,34.039294,-0.035)); #566387=CARTESIAN_POINT('',(30.35,34.039294,-0.035)); #566388=CARTESIAN_POINT('',(30.35,34.039294,-0.035)); #566389=CARTESIAN_POINT('',(30.35,34.039294,0.)); #566390=CARTESIAN_POINT('',(30.35,34.039294,0.)); #566391=CARTESIAN_POINT('',(30.35,34.039294,-0.035)); #566392=CARTESIAN_POINT('Origin',(30.35,33.960706,-0.035)); #566393=CARTESIAN_POINT('',(30.35,33.960706,-0.035)); #566394=CARTESIAN_POINT('',(30.35,33.960706,-0.035)); #566395=CARTESIAN_POINT('',(30.35,33.960706,0.)); #566396=CARTESIAN_POINT('',(30.35,33.960706,0.)); #566397=CARTESIAN_POINT('',(30.35,33.960706,-0.035)); #566398=CARTESIAN_POINT('Origin',(30.34315,33.882416,-0.035)); #566399=CARTESIAN_POINT('',(30.34315,33.882416,-0.035)); #566400=CARTESIAN_POINT('',(30.34315,33.882416,-0.035)); #566401=CARTESIAN_POINT('',(30.34315,33.882416,0.)); #566402=CARTESIAN_POINT('',(30.34315,33.882416,0.)); #566403=CARTESIAN_POINT('',(30.34315,33.882416,-0.035)); #566404=CARTESIAN_POINT('Origin',(30.329503,33.805019,-0.035)); #566405=CARTESIAN_POINT('',(30.329503,33.805019,-0.035)); #566406=CARTESIAN_POINT('',(30.329503,33.805019,-0.035)); #566407=CARTESIAN_POINT('',(30.329503,33.805019,0.)); #566408=CARTESIAN_POINT('',(30.329503,33.805019,0.)); #566409=CARTESIAN_POINT('',(30.329503,33.805019,-0.035)); #566410=CARTESIAN_POINT('Origin',(30.309163,33.729106,-0.035)); #566411=CARTESIAN_POINT('',(30.309163,33.729106,-0.035)); #566412=CARTESIAN_POINT('',(30.309163,33.729106,-0.035)); #566413=CARTESIAN_POINT('',(30.309163,33.729106,0.)); #566414=CARTESIAN_POINT('',(30.309163,33.729106,0.)); #566415=CARTESIAN_POINT('',(30.309163,33.729106,-0.035)); #566416=CARTESIAN_POINT('Origin',(30.282284,33.655256,-0.035)); #566417=CARTESIAN_POINT('',(30.282284,33.655256,-0.035)); #566418=CARTESIAN_POINT('',(30.282284,33.655256,-0.035)); #566419=CARTESIAN_POINT('',(30.282284,33.655256,0.)); #566420=CARTESIAN_POINT('',(30.282284,33.655256,0.)); #566421=CARTESIAN_POINT('',(30.282284,33.655256,-0.035)); #566422=CARTESIAN_POINT('Origin',(30.249069,33.584031,-0.035)); #566423=CARTESIAN_POINT('',(30.249069,33.584031,-0.035)); #566424=CARTESIAN_POINT('',(30.249069,33.584031,-0.035)); #566425=CARTESIAN_POINT('',(30.249069,33.584031,0.)); #566426=CARTESIAN_POINT('',(30.249069,33.584031,0.)); #566427=CARTESIAN_POINT('',(30.249069,33.584031,-0.035)); #566428=CARTESIAN_POINT('Origin',(30.209775,33.515969,-0.035)); #566429=CARTESIAN_POINT('',(30.209775,33.515969,-0.035)); #566430=CARTESIAN_POINT('',(30.209775,33.515969,-0.035)); #566431=CARTESIAN_POINT('',(30.209775,33.515969,0.)); #566432=CARTESIAN_POINT('',(30.209775,33.515969,0.)); #566433=CARTESIAN_POINT('',(30.209775,33.515969,-0.035)); #566434=CARTESIAN_POINT('Origin',(30.164697,33.451594,-0.035)); #566435=CARTESIAN_POINT('',(30.164697,33.451594,-0.035)); #566436=CARTESIAN_POINT('',(30.164697,33.451594,-0.035)); #566437=CARTESIAN_POINT('',(30.164697,33.451594,0.)); #566438=CARTESIAN_POINT('',(30.164697,33.451594,0.)); #566439=CARTESIAN_POINT('',(30.164697,33.451594,-0.035)); #566440=CARTESIAN_POINT('Origin',(30.114181,33.391391,-0.035)); #566441=CARTESIAN_POINT('',(30.114181,33.391391,-0.035)); #566442=CARTESIAN_POINT('',(30.114181,33.391391,-0.035)); #566443=CARTESIAN_POINT('',(30.114181,33.391391,0.)); #566444=CARTESIAN_POINT('',(30.114181,33.391391,0.)); #566445=CARTESIAN_POINT('',(30.114181,33.391391,-0.035)); #566446=CARTESIAN_POINT('Origin',(30.058609,33.335819,-0.035)); #566447=CARTESIAN_POINT('',(30.058609,33.335819,-0.035)); #566448=CARTESIAN_POINT('',(30.058609,33.335819,-0.035)); #566449=CARTESIAN_POINT('',(30.058609,33.335819,0.)); #566450=CARTESIAN_POINT('',(30.058609,33.335819,0.)); #566451=CARTESIAN_POINT('',(30.058609,33.335819,-0.035)); #566452=CARTESIAN_POINT('Origin',(29.998406,33.285303,-0.035)); #566453=CARTESIAN_POINT('',(29.998406,33.285303,-0.035)); #566454=CARTESIAN_POINT('',(29.998406,33.285303,-0.035)); #566455=CARTESIAN_POINT('',(29.998406,33.285303,0.)); #566456=CARTESIAN_POINT('',(29.998406,33.285303,0.)); #566457=CARTESIAN_POINT('',(29.998406,33.285303,-0.035)); #566458=CARTESIAN_POINT('Origin',(29.934031,33.240225,-0.035)); #566459=CARTESIAN_POINT('',(29.934031,33.240225,-0.035)); #566460=CARTESIAN_POINT('',(29.934031,33.240225,-0.035)); #566461=CARTESIAN_POINT('',(29.934031,33.240225,0.)); #566462=CARTESIAN_POINT('',(29.934031,33.240225,0.)); #566463=CARTESIAN_POINT('',(29.934031,33.240225,-0.035)); #566464=CARTESIAN_POINT('Origin',(29.865969,33.200931,-0.035)); #566465=CARTESIAN_POINT('',(29.865969,33.200931,-0.035)); #566466=CARTESIAN_POINT('',(29.865969,33.200931,-0.035)); #566467=CARTESIAN_POINT('',(29.865969,33.200931,0.)); #566468=CARTESIAN_POINT('',(29.865969,33.200931,0.)); #566469=CARTESIAN_POINT('',(29.865969,33.200931,-0.035)); #566470=CARTESIAN_POINT('Origin',(29.794744,33.167716,-0.035)); #566471=CARTESIAN_POINT('',(29.794744,33.167716,-0.035)); #566472=CARTESIAN_POINT('',(29.794744,33.167716,-0.035)); #566473=CARTESIAN_POINT('',(29.794744,33.167716,0.)); #566474=CARTESIAN_POINT('',(29.794744,33.167716,0.)); #566475=CARTESIAN_POINT('',(29.794744,33.167716,-0.035)); #566476=CARTESIAN_POINT('Origin',(29.720894,33.140838,-0.035)); #566477=CARTESIAN_POINT('',(29.720894,33.140838,-0.035)); #566478=CARTESIAN_POINT('',(29.720894,33.140838,-0.035)); #566479=CARTESIAN_POINT('',(29.720894,33.140838,0.)); #566480=CARTESIAN_POINT('',(29.720894,33.140838,0.)); #566481=CARTESIAN_POINT('',(29.720894,33.140838,-0.035)); #566482=CARTESIAN_POINT('Origin',(29.644981,33.120497,-0.035)); #566483=CARTESIAN_POINT('',(29.644981,33.120497,-0.035)); #566484=CARTESIAN_POINT('',(29.644981,33.120497,-0.035)); #566485=CARTESIAN_POINT('',(29.644981,33.120497,0.)); #566486=CARTESIAN_POINT('',(29.644981,33.120497,0.)); #566487=CARTESIAN_POINT('',(29.644981,33.120497,-0.035)); #566488=CARTESIAN_POINT('Origin',(29.567584,33.10685,-0.035)); #566489=CARTESIAN_POINT('',(29.567584,33.10685,-0.035)); #566490=CARTESIAN_POINT('',(29.567584,33.10685,0.)); #566491=CARTESIAN_POINT('Origin',(29.1500000489324,34.000000041654,0.)); #566492=CARTESIAN_POINT('Origin',(29.1500000489324,34.000000041654,-0.035)); #566493=CARTESIAN_POINT('Origin',(29.995,6.27999997467882,-200.)); #566494=CARTESIAN_POINT('',(29.995,7.07999999155961,0.)); #566495=CARTESIAN_POINT('',(29.995,6.27999997467882,0.)); #566496=CARTESIAN_POINT('',(29.995,6.47999999235904,0.)); #566497=CARTESIAN_POINT('',(29.995,7.07999999155961,-0.0349999999999895)); #566498=CARTESIAN_POINT('',(29.995,7.07999999155961,-200.)); #566499=CARTESIAN_POINT('',(29.995,6.27999997467882,-0.0349999999999895)); #566500=CARTESIAN_POINT('',(29.995,6.47999999235904,-0.0350000000000072)); #566501=CARTESIAN_POINT('',(29.995,6.27999997467882,-200.)); #566502=CARTESIAN_POINT('Origin',(29.68,6.28,-200.)); #566503=CARTESIAN_POINT('',(29.365,6.28000000844039,0.)); #566504=CARTESIAN_POINT('Origin',(29.68,6.28,0.)); #566505=CARTESIAN_POINT('',(29.365,6.28000000844039,-0.0349999999999895)); #566506=CARTESIAN_POINT('Origin',(29.68,6.28,-0.0349999999999895)); #566507=CARTESIAN_POINT('',(29.365,6.28000000844039,-200.)); #566508=CARTESIAN_POINT('Origin',(29.365,7.08000002532118,-200.)); #566509=CARTESIAN_POINT('',(29.365,7.08000002532118,0.)); #566510=CARTESIAN_POINT('',(29.365,6.88000001768022,0.)); #566511=CARTESIAN_POINT('',(29.365,7.08000002532118,-0.0349999999999895)); #566512=CARTESIAN_POINT('',(29.365,6.88000001768022,-0.0350000000000072)); #566513=CARTESIAN_POINT('',(29.365,7.08000002532118,-200.)); #566514=CARTESIAN_POINT('Origin',(29.68,7.08,-200.)); #566515=CARTESIAN_POINT('Origin',(29.68,7.08,0.)); #566516=CARTESIAN_POINT('Origin',(29.68,7.08,-0.0349999999999895)); #566517=CARTESIAN_POINT('Origin',(29.710888,5.73,-0.035)); #566518=CARTESIAN_POINT('',(29.710888,5.73,-0.035)); #566519=CARTESIAN_POINT('',(29.772275,5.7369156,-0.035)); #566520=CARTESIAN_POINT('',(29.710888,5.73,-0.035)); #566521=CARTESIAN_POINT('',(29.772275,5.7369156,0.)); #566522=CARTESIAN_POINT('',(29.772275,5.7369156,-0.035)); #566523=CARTESIAN_POINT('',(29.710888,5.73,0.)); #566524=CARTESIAN_POINT('',(29.710888,5.73,0.)); #566525=CARTESIAN_POINT('',(29.710888,5.73,-0.035)); #566526=CARTESIAN_POINT('Origin',(29.649113,5.73,-0.035)); #566527=CARTESIAN_POINT('',(29.649113,5.73,-0.035)); #566528=CARTESIAN_POINT('',(29.649113,5.73,-0.035)); #566529=CARTESIAN_POINT('',(29.649113,5.73,0.)); #566530=CARTESIAN_POINT('',(29.649113,5.73,0.)); #566531=CARTESIAN_POINT('',(29.649113,5.73,-0.035)); #566532=CARTESIAN_POINT('Origin',(29.587725,5.7369156,-0.035)); #566533=CARTESIAN_POINT('',(29.587725,5.7369156,-0.035)); #566534=CARTESIAN_POINT('',(29.587725,5.7369156,-0.035)); #566535=CARTESIAN_POINT('',(29.587725,5.7369156,0.)); #566536=CARTESIAN_POINT('',(29.587725,5.7369156,0.)); #566537=CARTESIAN_POINT('',(29.587725,5.7369156,-0.035)); #566538=CARTESIAN_POINT('Origin',(29.5275,5.7506625,-0.035)); #566539=CARTESIAN_POINT('',(29.5275,5.7506625,-0.035)); #566540=CARTESIAN_POINT('',(29.5275,5.7506625,-0.035)); #566541=CARTESIAN_POINT('',(29.5275,5.7506625,0.)); #566542=CARTESIAN_POINT('',(29.5275,5.7506625,0.)); #566543=CARTESIAN_POINT('',(29.5275,5.7506625,-0.035)); #566544=CARTESIAN_POINT('Origin',(29.469194,5.7710656,-0.035)); #566545=CARTESIAN_POINT('',(29.469194,5.7710656,-0.035)); #566546=CARTESIAN_POINT('',(29.469194,5.7710656,-0.035)); #566547=CARTESIAN_POINT('',(29.469194,5.7710656,0.)); #566548=CARTESIAN_POINT('',(29.469194,5.7710656,0.)); #566549=CARTESIAN_POINT('',(29.469194,5.7710656,-0.035)); #566550=CARTESIAN_POINT('Origin',(29.413534,5.7978688,-0.035)); #566551=CARTESIAN_POINT('',(29.413534,5.7978688,-0.035)); #566552=CARTESIAN_POINT('',(29.413534,5.7978688,-0.035)); #566553=CARTESIAN_POINT('',(29.413534,5.7978688,0.)); #566554=CARTESIAN_POINT('',(29.413534,5.7978688,0.)); #566555=CARTESIAN_POINT('',(29.413534,5.7978688,-0.035)); #566556=CARTESIAN_POINT('Origin',(29.361228,5.8307344,-0.035)); #566557=CARTESIAN_POINT('',(29.361228,5.8307344,-0.035)); #566558=CARTESIAN_POINT('',(29.361228,5.8307344,-0.035)); #566559=CARTESIAN_POINT('',(29.361228,5.8307344,0.)); #566560=CARTESIAN_POINT('',(29.361228,5.8307344,0.)); #566561=CARTESIAN_POINT('',(29.361228,5.8307344,-0.035)); #566562=CARTESIAN_POINT('Origin',(29.312931,5.86925,-0.035)); #566563=CARTESIAN_POINT('',(29.312931,5.86925,-0.035)); #566564=CARTESIAN_POINT('',(29.312931,5.86925,-0.035)); #566565=CARTESIAN_POINT('',(29.312931,5.86925,0.)); #566566=CARTESIAN_POINT('',(29.312931,5.86925,0.)); #566567=CARTESIAN_POINT('',(29.312931,5.86925,-0.035)); #566568=CARTESIAN_POINT('Origin',(29.26925,5.9129313,-0.035)); #566569=CARTESIAN_POINT('',(29.26925,5.9129313,-0.035)); #566570=CARTESIAN_POINT('',(29.26925,5.9129313,-0.035)); #566571=CARTESIAN_POINT('',(29.26925,5.9129313,0.)); #566572=CARTESIAN_POINT('',(29.26925,5.9129313,0.)); #566573=CARTESIAN_POINT('',(29.26925,5.9129313,-0.035)); #566574=CARTESIAN_POINT('Origin',(29.230734,5.9612281,-0.035)); #566575=CARTESIAN_POINT('',(29.230734,5.9612281,-0.035)); #566576=CARTESIAN_POINT('',(29.230734,5.9612281,-0.035)); #566577=CARTESIAN_POINT('',(29.230734,5.9612281,0.)); #566578=CARTESIAN_POINT('',(29.230734,5.9612281,0.)); #566579=CARTESIAN_POINT('',(29.230734,5.9612281,-0.035)); #566580=CARTESIAN_POINT('Origin',(29.197869,6.0135344,-0.035)); #566581=CARTESIAN_POINT('',(29.197869,6.0135344,-0.035)); #566582=CARTESIAN_POINT('',(29.197869,6.0135344,-0.035)); #566583=CARTESIAN_POINT('',(29.197869,6.0135344,0.)); #566584=CARTESIAN_POINT('',(29.197869,6.0135344,0.)); #566585=CARTESIAN_POINT('',(29.197869,6.0135344,-0.035)); #566586=CARTESIAN_POINT('Origin',(29.171066,6.0691938,-0.035)); #566587=CARTESIAN_POINT('',(29.171066,6.0691938,-0.035)); #566588=CARTESIAN_POINT('',(29.171066,6.0691938,-0.035)); #566589=CARTESIAN_POINT('',(29.171066,6.0691938,0.)); #566590=CARTESIAN_POINT('',(29.171066,6.0691938,0.)); #566591=CARTESIAN_POINT('',(29.171066,6.0691938,-0.035)); #566592=CARTESIAN_POINT('Origin',(29.150663,6.1275,-0.035)); #566593=CARTESIAN_POINT('',(29.150663,6.1275,-0.035)); #566594=CARTESIAN_POINT('',(29.150663,6.1275,-0.035)); #566595=CARTESIAN_POINT('',(29.150663,6.1275,0.)); #566596=CARTESIAN_POINT('',(29.150663,6.1275,0.)); #566597=CARTESIAN_POINT('',(29.150663,6.1275,-0.035)); #566598=CARTESIAN_POINT('Origin',(29.136916,6.187725,-0.035)); #566599=CARTESIAN_POINT('',(29.136916,6.187725,-0.035)); #566600=CARTESIAN_POINT('',(29.136916,6.187725,-0.035)); #566601=CARTESIAN_POINT('',(29.136916,6.187725,0.)); #566602=CARTESIAN_POINT('',(29.136916,6.187725,0.)); #566603=CARTESIAN_POINT('',(29.136916,6.187725,-0.035)); #566604=CARTESIAN_POINT('Origin',(29.13,6.2491125,-0.035)); #566605=CARTESIAN_POINT('',(29.13,6.2491125,-0.035)); #566606=CARTESIAN_POINT('',(29.13,6.2491125,-0.035)); #566607=CARTESIAN_POINT('',(29.13,6.2491125,0.)); #566608=CARTESIAN_POINT('',(29.13,6.2491125,0.)); #566609=CARTESIAN_POINT('',(29.13,6.2491125,-0.035)); #566610=CARTESIAN_POINT('Origin',(29.13,7.1108875,-0.035)); #566611=CARTESIAN_POINT('',(29.13,7.1108875,-0.035)); #566612=CARTESIAN_POINT('',(29.13,7.1108875,-0.035)); #566613=CARTESIAN_POINT('',(29.13,7.1108875,0.)); #566614=CARTESIAN_POINT('',(29.13,7.1108875,0.)); #566615=CARTESIAN_POINT('',(29.13,7.1108875,-0.035)); #566616=CARTESIAN_POINT('Origin',(29.136916,7.172275,-0.035)); #566617=CARTESIAN_POINT('',(29.136916,7.172275,-0.035)); #566618=CARTESIAN_POINT('',(29.136916,7.172275,-0.035)); #566619=CARTESIAN_POINT('',(29.136916,7.172275,0.)); #566620=CARTESIAN_POINT('',(29.136916,7.172275,0.)); #566621=CARTESIAN_POINT('',(29.136916,7.172275,-0.035)); #566622=CARTESIAN_POINT('Origin',(29.150663,7.2325,-0.035)); #566623=CARTESIAN_POINT('',(29.150663,7.2325,-0.035)); #566624=CARTESIAN_POINT('',(29.150663,7.2325,-0.035)); #566625=CARTESIAN_POINT('',(29.150663,7.2325,0.)); #566626=CARTESIAN_POINT('',(29.150663,7.2325,0.)); #566627=CARTESIAN_POINT('',(29.150663,7.2325,-0.035)); #566628=CARTESIAN_POINT('Origin',(29.171066,7.2908063,-0.035)); #566629=CARTESIAN_POINT('',(29.171066,7.2908063,-0.035)); #566630=CARTESIAN_POINT('',(29.171066,7.2908063,-0.035)); #566631=CARTESIAN_POINT('',(29.171066,7.2908063,0.)); #566632=CARTESIAN_POINT('',(29.171066,7.2908063,0.)); #566633=CARTESIAN_POINT('',(29.171066,7.2908063,-0.035)); #566634=CARTESIAN_POINT('Origin',(29.197869,7.3464656,-0.035)); #566635=CARTESIAN_POINT('',(29.197869,7.3464656,-0.035)); #566636=CARTESIAN_POINT('',(29.197869,7.3464656,-0.035)); #566637=CARTESIAN_POINT('',(29.197869,7.3464656,0.)); #566638=CARTESIAN_POINT('',(29.197869,7.3464656,0.)); #566639=CARTESIAN_POINT('',(29.197869,7.3464656,-0.035)); #566640=CARTESIAN_POINT('Origin',(29.230734,7.3987719,-0.035)); #566641=CARTESIAN_POINT('',(29.230734,7.3987719,-0.035)); #566642=CARTESIAN_POINT('',(29.230734,7.3987719,-0.035)); #566643=CARTESIAN_POINT('',(29.230734,7.3987719,0.)); #566644=CARTESIAN_POINT('',(29.230734,7.3987719,0.)); #566645=CARTESIAN_POINT('',(29.230734,7.3987719,-0.035)); #566646=CARTESIAN_POINT('Origin',(29.26925,7.4470688,-0.035)); #566647=CARTESIAN_POINT('',(29.26925,7.4470688,-0.035)); #566648=CARTESIAN_POINT('',(29.26925,7.4470688,-0.035)); #566649=CARTESIAN_POINT('',(29.26925,7.4470688,0.)); #566650=CARTESIAN_POINT('',(29.26925,7.4470688,0.)); #566651=CARTESIAN_POINT('',(29.26925,7.4470688,-0.035)); #566652=CARTESIAN_POINT('Origin',(29.312931,7.49075,-0.035)); #566653=CARTESIAN_POINT('',(29.312931,7.49075,-0.035)); #566654=CARTESIAN_POINT('',(29.312931,7.49075,-0.035)); #566655=CARTESIAN_POINT('',(29.312931,7.49075,0.)); #566656=CARTESIAN_POINT('',(29.312931,7.49075,0.)); #566657=CARTESIAN_POINT('',(29.312931,7.49075,-0.035)); #566658=CARTESIAN_POINT('Origin',(29.361228,7.5292656,-0.035)); #566659=CARTESIAN_POINT('',(29.361228,7.5292656,-0.035)); #566660=CARTESIAN_POINT('',(29.361228,7.5292656,-0.035)); #566661=CARTESIAN_POINT('',(29.361228,7.5292656,0.)); #566662=CARTESIAN_POINT('',(29.361228,7.5292656,0.)); #566663=CARTESIAN_POINT('',(29.361228,7.5292656,-0.035)); #566664=CARTESIAN_POINT('Origin',(29.413534,7.5621313,-0.035)); #566665=CARTESIAN_POINT('',(29.413534,7.5621313,-0.035)); #566666=CARTESIAN_POINT('',(29.413534,7.5621313,-0.035)); #566667=CARTESIAN_POINT('',(29.413534,7.5621313,0.)); #566668=CARTESIAN_POINT('',(29.413534,7.5621313,0.)); #566669=CARTESIAN_POINT('',(29.413534,7.5621313,-0.035)); #566670=CARTESIAN_POINT('Origin',(29.469194,7.5889344,-0.035)); #566671=CARTESIAN_POINT('',(29.469194,7.5889344,-0.035)); #566672=CARTESIAN_POINT('',(29.469194,7.5889344,-0.035)); #566673=CARTESIAN_POINT('',(29.469194,7.5889344,0.)); #566674=CARTESIAN_POINT('',(29.469194,7.5889344,0.)); #566675=CARTESIAN_POINT('',(29.469194,7.5889344,-0.035)); #566676=CARTESIAN_POINT('Origin',(29.5275,7.6093375,-0.035)); #566677=CARTESIAN_POINT('',(29.5275,7.6093375,-0.035)); #566678=CARTESIAN_POINT('',(29.5275,7.6093375,-0.035)); #566679=CARTESIAN_POINT('',(29.5275,7.6093375,0.)); #566680=CARTESIAN_POINT('',(29.5275,7.6093375,0.)); #566681=CARTESIAN_POINT('',(29.5275,7.6093375,-0.035)); #566682=CARTESIAN_POINT('Origin',(29.587725,7.6230844,-0.035)); #566683=CARTESIAN_POINT('',(29.587725,7.6230844,-0.035)); #566684=CARTESIAN_POINT('',(29.587725,7.6230844,-0.035)); #566685=CARTESIAN_POINT('',(29.587725,7.6230844,0.)); #566686=CARTESIAN_POINT('',(29.587725,7.6230844,0.)); #566687=CARTESIAN_POINT('',(29.587725,7.6230844,-0.035)); #566688=CARTESIAN_POINT('Origin',(29.649113,7.63,-0.035)); #566689=CARTESIAN_POINT('',(29.649113,7.63,-0.035)); #566690=CARTESIAN_POINT('',(29.649113,7.63,-0.035)); #566691=CARTESIAN_POINT('',(29.649113,7.63,0.)); #566692=CARTESIAN_POINT('',(29.649113,7.63,0.)); #566693=CARTESIAN_POINT('',(29.649113,7.63,-0.035)); #566694=CARTESIAN_POINT('Origin',(29.710888,7.63,-0.035)); #566695=CARTESIAN_POINT('',(29.710888,7.63,-0.035)); #566696=CARTESIAN_POINT('',(29.710888,7.63,-0.035)); #566697=CARTESIAN_POINT('',(29.710888,7.63,0.)); #566698=CARTESIAN_POINT('',(29.710888,7.63,0.)); #566699=CARTESIAN_POINT('',(29.710888,7.63,-0.035)); #566700=CARTESIAN_POINT('Origin',(29.772275,7.6230844,-0.035)); #566701=CARTESIAN_POINT('',(29.772275,7.6230844,-0.035)); #566702=CARTESIAN_POINT('',(29.772275,7.6230844,-0.035)); #566703=CARTESIAN_POINT('',(29.772275,7.6230844,0.)); #566704=CARTESIAN_POINT('',(29.772275,7.6230844,0.)); #566705=CARTESIAN_POINT('',(29.772275,7.6230844,-0.035)); #566706=CARTESIAN_POINT('Origin',(29.8325,7.6093375,-0.035)); #566707=CARTESIAN_POINT('',(29.8325,7.6093375,-0.035)); #566708=CARTESIAN_POINT('',(29.8325,7.6093375,-0.035)); #566709=CARTESIAN_POINT('',(29.8325,7.6093375,0.)); #566710=CARTESIAN_POINT('',(29.8325,7.6093375,0.)); #566711=CARTESIAN_POINT('',(29.8325,7.6093375,-0.035)); #566712=CARTESIAN_POINT('Origin',(29.890806,7.5889344,-0.035)); #566713=CARTESIAN_POINT('',(29.890806,7.5889344,-0.035)); #566714=CARTESIAN_POINT('',(29.890806,7.5889344,-0.035)); #566715=CARTESIAN_POINT('',(29.890806,7.5889344,0.)); #566716=CARTESIAN_POINT('',(29.890806,7.5889344,0.)); #566717=CARTESIAN_POINT('',(29.890806,7.5889344,-0.035)); #566718=CARTESIAN_POINT('Origin',(29.946466,7.5621313,-0.035)); #566719=CARTESIAN_POINT('',(29.946466,7.5621313,-0.035)); #566720=CARTESIAN_POINT('',(29.946466,7.5621313,-0.035)); #566721=CARTESIAN_POINT('',(29.946466,7.5621313,0.)); #566722=CARTESIAN_POINT('',(29.946466,7.5621313,0.)); #566723=CARTESIAN_POINT('',(29.946466,7.5621313,-0.035)); #566724=CARTESIAN_POINT('Origin',(29.998772,7.5292656,-0.035)); #566725=CARTESIAN_POINT('',(29.998772,7.5292656,-0.035)); #566726=CARTESIAN_POINT('',(29.998772,7.5292656,-0.035)); #566727=CARTESIAN_POINT('',(29.998772,7.5292656,0.)); #566728=CARTESIAN_POINT('',(29.998772,7.5292656,0.)); #566729=CARTESIAN_POINT('',(29.998772,7.5292656,-0.035)); #566730=CARTESIAN_POINT('Origin',(30.047069,7.49075,-0.035)); #566731=CARTESIAN_POINT('',(30.047069,7.49075,-0.035)); #566732=CARTESIAN_POINT('',(30.047069,7.49075,-0.035)); #566733=CARTESIAN_POINT('',(30.047069,7.49075,0.)); #566734=CARTESIAN_POINT('',(30.047069,7.49075,0.)); #566735=CARTESIAN_POINT('',(30.047069,7.49075,-0.035)); #566736=CARTESIAN_POINT('Origin',(30.09075,7.4470688,-0.035)); #566737=CARTESIAN_POINT('',(30.09075,7.4470688,-0.035)); #566738=CARTESIAN_POINT('',(30.09075,7.4470688,-0.035)); #566739=CARTESIAN_POINT('',(30.09075,7.4470688,0.)); #566740=CARTESIAN_POINT('',(30.09075,7.4470688,0.)); #566741=CARTESIAN_POINT('',(30.09075,7.4470688,-0.035)); #566742=CARTESIAN_POINT('Origin',(30.129266,7.3987719,-0.035)); #566743=CARTESIAN_POINT('',(30.129266,7.3987719,-0.035)); #566744=CARTESIAN_POINT('',(30.129266,7.3987719,-0.035)); #566745=CARTESIAN_POINT('',(30.129266,7.3987719,0.)); #566746=CARTESIAN_POINT('',(30.129266,7.3987719,0.)); #566747=CARTESIAN_POINT('',(30.129266,7.3987719,-0.035)); #566748=CARTESIAN_POINT('Origin',(30.162131,7.3464656,-0.035)); #566749=CARTESIAN_POINT('',(30.162131,7.3464656,-0.035)); #566750=CARTESIAN_POINT('',(30.162131,7.3464656,-0.035)); #566751=CARTESIAN_POINT('',(30.162131,7.3464656,0.)); #566752=CARTESIAN_POINT('',(30.162131,7.3464656,0.)); #566753=CARTESIAN_POINT('',(30.162131,7.3464656,-0.035)); #566754=CARTESIAN_POINT('Origin',(30.188934,7.2908063,-0.035)); #566755=CARTESIAN_POINT('',(30.188934,7.2908063,-0.035)); #566756=CARTESIAN_POINT('',(30.188934,7.2908063,-0.035)); #566757=CARTESIAN_POINT('',(30.188934,7.2908063,0.)); #566758=CARTESIAN_POINT('',(30.188934,7.2908063,0.)); #566759=CARTESIAN_POINT('',(30.188934,7.2908063,-0.035)); #566760=CARTESIAN_POINT('Origin',(30.209338,7.2325,-0.035)); #566761=CARTESIAN_POINT('',(30.209338,7.2325,-0.035)); #566762=CARTESIAN_POINT('',(30.209338,7.2325,-0.035)); #566763=CARTESIAN_POINT('',(30.209338,7.2325,0.)); #566764=CARTESIAN_POINT('',(30.209338,7.2325,0.)); #566765=CARTESIAN_POINT('',(30.209338,7.2325,-0.035)); #566766=CARTESIAN_POINT('Origin',(30.223084,7.172275,-0.035)); #566767=CARTESIAN_POINT('',(30.223084,7.172275,-0.035)); #566768=CARTESIAN_POINT('',(30.223084,7.172275,-0.035)); #566769=CARTESIAN_POINT('',(30.223084,7.172275,0.)); #566770=CARTESIAN_POINT('',(30.223084,7.172275,0.)); #566771=CARTESIAN_POINT('',(30.223084,7.172275,-0.035)); #566772=CARTESIAN_POINT('Origin',(30.23,7.1108875,-0.035)); #566773=CARTESIAN_POINT('',(30.23,7.1108875,-0.035)); #566774=CARTESIAN_POINT('',(30.23,7.1108875,-0.035)); #566775=CARTESIAN_POINT('',(30.23,7.1108875,0.)); #566776=CARTESIAN_POINT('',(30.23,7.1108875,0.)); #566777=CARTESIAN_POINT('',(30.23,7.1108875,-0.035)); #566778=CARTESIAN_POINT('Origin',(30.23,6.2491125,-0.035)); #566779=CARTESIAN_POINT('',(30.23,6.2491125,-0.035)); #566780=CARTESIAN_POINT('',(30.23,6.2491125,-0.035)); #566781=CARTESIAN_POINT('',(30.23,6.2491125,0.)); #566782=CARTESIAN_POINT('',(30.23,6.2491125,0.)); #566783=CARTESIAN_POINT('',(30.23,6.2491125,-0.035)); #566784=CARTESIAN_POINT('Origin',(30.223084,6.187725,-0.035)); #566785=CARTESIAN_POINT('',(30.223084,6.187725,-0.035)); #566786=CARTESIAN_POINT('',(30.223084,6.187725,-0.035)); #566787=CARTESIAN_POINT('',(30.223084,6.187725,0.)); #566788=CARTESIAN_POINT('',(30.223084,6.187725,0.)); #566789=CARTESIAN_POINT('',(30.223084,6.187725,-0.035)); #566790=CARTESIAN_POINT('Origin',(30.209338,6.1275,-0.035)); #566791=CARTESIAN_POINT('',(30.209338,6.1275,-0.035)); #566792=CARTESIAN_POINT('',(30.209338,6.1275,-0.035)); #566793=CARTESIAN_POINT('',(30.209338,6.1275,0.)); #566794=CARTESIAN_POINT('',(30.209338,6.1275,0.)); #566795=CARTESIAN_POINT('',(30.209338,6.1275,-0.035)); #566796=CARTESIAN_POINT('Origin',(30.188934,6.0691938,-0.035)); #566797=CARTESIAN_POINT('',(30.188934,6.0691938,-0.035)); #566798=CARTESIAN_POINT('',(30.188934,6.0691938,-0.035)); #566799=CARTESIAN_POINT('',(30.188934,6.0691938,0.)); #566800=CARTESIAN_POINT('',(30.188934,6.0691938,0.)); #566801=CARTESIAN_POINT('',(30.188934,6.0691938,-0.035)); #566802=CARTESIAN_POINT('Origin',(30.162131,6.0135344,-0.035)); #566803=CARTESIAN_POINT('',(30.162131,6.0135344,-0.035)); #566804=CARTESIAN_POINT('',(30.162131,6.0135344,-0.035)); #566805=CARTESIAN_POINT('',(30.162131,6.0135344,0.)); #566806=CARTESIAN_POINT('',(30.162131,6.0135344,0.)); #566807=CARTESIAN_POINT('',(30.162131,6.0135344,-0.035)); #566808=CARTESIAN_POINT('Origin',(30.129266,5.9612281,-0.035)); #566809=CARTESIAN_POINT('',(30.129266,5.9612281,-0.035)); #566810=CARTESIAN_POINT('',(30.129266,5.9612281,-0.035)); #566811=CARTESIAN_POINT('',(30.129266,5.9612281,0.)); #566812=CARTESIAN_POINT('',(30.129266,5.9612281,0.)); #566813=CARTESIAN_POINT('',(30.129266,5.9612281,-0.035)); #566814=CARTESIAN_POINT('Origin',(30.09075,5.9129313,-0.035)); #566815=CARTESIAN_POINT('',(30.09075,5.9129313,-0.035)); #566816=CARTESIAN_POINT('',(30.09075,5.9129313,-0.035)); #566817=CARTESIAN_POINT('',(30.09075,5.9129313,0.)); #566818=CARTESIAN_POINT('',(30.09075,5.9129313,0.)); #566819=CARTESIAN_POINT('',(30.09075,5.9129313,-0.035)); #566820=CARTESIAN_POINT('Origin',(30.047069,5.86925,-0.035)); #566821=CARTESIAN_POINT('',(30.047069,5.86925,-0.035)); #566822=CARTESIAN_POINT('',(30.047069,5.86925,-0.035)); #566823=CARTESIAN_POINT('',(30.047069,5.86925,0.)); #566824=CARTESIAN_POINT('',(30.047069,5.86925,0.)); #566825=CARTESIAN_POINT('',(30.047069,5.86925,-0.035)); #566826=CARTESIAN_POINT('Origin',(29.998772,5.8307344,-0.035)); #566827=CARTESIAN_POINT('',(29.998772,5.8307344,-0.035)); #566828=CARTESIAN_POINT('',(29.998772,5.8307344,-0.035)); #566829=CARTESIAN_POINT('',(29.998772,5.8307344,0.)); #566830=CARTESIAN_POINT('',(29.998772,5.8307344,0.)); #566831=CARTESIAN_POINT('',(29.998772,5.8307344,-0.035)); #566832=CARTESIAN_POINT('Origin',(29.946466,5.7978688,-0.035)); #566833=CARTESIAN_POINT('',(29.946466,5.7978688,-0.035)); #566834=CARTESIAN_POINT('',(29.946466,5.7978688,-0.035)); #566835=CARTESIAN_POINT('',(29.946466,5.7978688,0.)); #566836=CARTESIAN_POINT('',(29.946466,5.7978688,0.)); #566837=CARTESIAN_POINT('',(29.946466,5.7978688,-0.035)); #566838=CARTESIAN_POINT('Origin',(29.890806,5.7710656,-0.035)); #566839=CARTESIAN_POINT('',(29.890806,5.7710656,-0.035)); #566840=CARTESIAN_POINT('',(29.890806,5.7710656,-0.035)); #566841=CARTESIAN_POINT('',(29.890806,5.7710656,0.)); #566842=CARTESIAN_POINT('',(29.890806,5.7710656,0.)); #566843=CARTESIAN_POINT('',(29.890806,5.7710656,-0.035)); #566844=CARTESIAN_POINT('Origin',(29.8325,5.7506625,-0.035)); #566845=CARTESIAN_POINT('',(29.8325,5.7506625,-0.035)); #566846=CARTESIAN_POINT('',(29.8325,5.7506625,-0.035)); #566847=CARTESIAN_POINT('',(29.8325,5.7506625,0.)); #566848=CARTESIAN_POINT('',(29.8325,5.7506625,0.)); #566849=CARTESIAN_POINT('',(29.8325,5.7506625,-0.035)); #566850=CARTESIAN_POINT('Origin',(29.772275,5.7369156,-0.035)); #566851=CARTESIAN_POINT('',(29.772275,5.7369156,-0.035)); #566852=CARTESIAN_POINT('',(29.772275,5.7369156,0.)); #566853=CARTESIAN_POINT('Origin',(29.680000045263,6.68000001003927,0.)); #566854=CARTESIAN_POINT('Origin',(29.680000045263,6.68000001003927,-0.035)); #566855=CARTESIAN_POINT('Origin',(43.75,34.,-200.)); #566856=CARTESIAN_POINT('',(43.235,34.,-0.0349999999999895)); #566857=CARTESIAN_POINT('Origin',(43.75,34.,-0.0349999999999895)); #566858=CARTESIAN_POINT('',(43.235,34.,0.)); #566859=CARTESIAN_POINT('',(43.235,34.,-200.)); #566860=CARTESIAN_POINT('Origin',(43.75,34.,0.)); #566861=CARTESIAN_POINT('Origin',(44.575,33.175,-0.035)); #566862=CARTESIAN_POINT('',(44.575,33.175,-0.035)); #566863=CARTESIAN_POINT('',(44.575,34.825,-0.035)); #566864=CARTESIAN_POINT('',(44.575,33.175,-0.035)); #566865=CARTESIAN_POINT('',(44.575,34.825,0.)); #566866=CARTESIAN_POINT('',(44.575,34.825,-0.035)); #566867=CARTESIAN_POINT('',(44.575,33.175,0.)); #566868=CARTESIAN_POINT('',(44.575,33.175,0.)); #566869=CARTESIAN_POINT('',(44.575,33.175,-0.035)); #566870=CARTESIAN_POINT('Origin',(42.925,33.175,-0.035)); #566871=CARTESIAN_POINT('',(42.925,33.175,-0.035)); #566872=CARTESIAN_POINT('',(42.925,33.175,-0.035)); #566873=CARTESIAN_POINT('',(42.925,33.175,0.)); #566874=CARTESIAN_POINT('',(42.925,33.175,0.)); #566875=CARTESIAN_POINT('',(42.925,33.175,-0.035)); #566876=CARTESIAN_POINT('Origin',(42.925,34.825,-0.035)); #566877=CARTESIAN_POINT('',(42.925,34.825,-0.035)); #566878=CARTESIAN_POINT('',(42.925,34.825,-0.035)); #566879=CARTESIAN_POINT('',(42.925,34.825,0.)); #566880=CARTESIAN_POINT('',(42.925,34.825,0.)); #566881=CARTESIAN_POINT('',(42.925,34.825,-0.035)); #566882=CARTESIAN_POINT('Origin',(44.575,34.825,-0.035)); #566883=CARTESIAN_POINT('',(44.575,34.825,-0.035)); #566884=CARTESIAN_POINT('',(44.575,34.825,0.)); #566885=CARTESIAN_POINT('Origin',(43.75,34.,0.)); #566886=CARTESIAN_POINT('Origin',(43.75,34.,-0.035)); #566887=CARTESIAN_POINT('Origin',(29.995,2.09999997467882,-200.)); #566888=CARTESIAN_POINT('',(29.995,2.89999999155961,0.)); #566889=CARTESIAN_POINT('',(29.995,2.09999997467882,0.)); #566890=CARTESIAN_POINT('',(29.995,2.29999998733941,0.)); #566891=CARTESIAN_POINT('',(29.995,2.89999999155961,-0.0349999999999895)); #566892=CARTESIAN_POINT('',(29.995,2.89999999155961,-200.)); #566893=CARTESIAN_POINT('',(29.995,2.09999997467882,-0.0349999999999895)); #566894=CARTESIAN_POINT('',(29.995,2.29999998733941,-0.0350000000000072)); #566895=CARTESIAN_POINT('',(29.995,2.09999997467882,-200.)); #566896=CARTESIAN_POINT('Origin',(29.68,2.1,-200.)); #566897=CARTESIAN_POINT('',(29.365,2.10000000844039,0.)); #566898=CARTESIAN_POINT('Origin',(29.68,2.1,0.)); #566899=CARTESIAN_POINT('',(29.365,2.10000000844039,-0.0349999999999895)); #566900=CARTESIAN_POINT('Origin',(29.68,2.1,-0.0349999999999895)); #566901=CARTESIAN_POINT('',(29.365,2.10000000844039,-200.)); #566902=CARTESIAN_POINT('Origin',(29.365,2.90000002532118,-200.)); #566903=CARTESIAN_POINT('',(29.365,2.90000002532118,0.)); #566904=CARTESIAN_POINT('',(29.365,2.70000001266059,0.)); #566905=CARTESIAN_POINT('',(29.365,2.90000002532118,-0.0349999999999895)); #566906=CARTESIAN_POINT('',(29.365,2.70000001266059,-0.0350000000000072)); #566907=CARTESIAN_POINT('',(29.365,2.90000002532118,-200.)); #566908=CARTESIAN_POINT('Origin',(29.68,2.9,-200.)); #566909=CARTESIAN_POINT('Origin',(29.68,2.9,0.)); #566910=CARTESIAN_POINT('Origin',(29.68,2.9,-0.0349999999999895)); #566911=CARTESIAN_POINT('Origin',(29.710888,1.55,-0.035)); #566912=CARTESIAN_POINT('',(29.710888,1.55,-0.035)); #566913=CARTESIAN_POINT('',(29.772275,1.5569156,-0.035)); #566914=CARTESIAN_POINT('',(29.710888,1.55,-0.035)); #566915=CARTESIAN_POINT('',(29.772275,1.5569156,0.)); #566916=CARTESIAN_POINT('',(29.772275,1.5569156,-0.035)); #566917=CARTESIAN_POINT('',(29.710888,1.55,0.)); #566918=CARTESIAN_POINT('',(29.710888,1.55,0.)); #566919=CARTESIAN_POINT('',(29.710888,1.55,-0.035)); #566920=CARTESIAN_POINT('Origin',(29.649113,1.55,-0.035)); #566921=CARTESIAN_POINT('',(29.649113,1.55,-0.035)); #566922=CARTESIAN_POINT('',(29.649113,1.55,-0.035)); #566923=CARTESIAN_POINT('',(29.649113,1.55,0.)); #566924=CARTESIAN_POINT('',(29.649113,1.55,0.)); #566925=CARTESIAN_POINT('',(29.649113,1.55,-0.035)); #566926=CARTESIAN_POINT('Origin',(29.587725,1.5569156,-0.035)); #566927=CARTESIAN_POINT('',(29.587725,1.5569156,-0.035)); #566928=CARTESIAN_POINT('',(29.587725,1.5569156,-0.035)); #566929=CARTESIAN_POINT('',(29.587725,1.5569156,0.)); #566930=CARTESIAN_POINT('',(29.587725,1.5569156,0.)); #566931=CARTESIAN_POINT('',(29.587725,1.5569156,-0.035)); #566932=CARTESIAN_POINT('Origin',(29.5275,1.5706625,-0.035)); #566933=CARTESIAN_POINT('',(29.5275,1.5706625,-0.035)); #566934=CARTESIAN_POINT('',(29.5275,1.5706625,-0.035)); #566935=CARTESIAN_POINT('',(29.5275,1.5706625,0.)); #566936=CARTESIAN_POINT('',(29.5275,1.5706625,0.)); #566937=CARTESIAN_POINT('',(29.5275,1.5706625,-0.035)); #566938=CARTESIAN_POINT('Origin',(29.469194,1.5910656,-0.035)); #566939=CARTESIAN_POINT('',(29.469194,1.5910656,-0.035)); #566940=CARTESIAN_POINT('',(29.469194,1.5910656,-0.035)); #566941=CARTESIAN_POINT('',(29.469194,1.5910656,0.)); #566942=CARTESIAN_POINT('',(29.469194,1.5910656,0.)); #566943=CARTESIAN_POINT('',(29.469194,1.5910656,-0.035)); #566944=CARTESIAN_POINT('Origin',(29.413534,1.6178687,-0.035)); #566945=CARTESIAN_POINT('',(29.413534,1.6178687,-0.035)); #566946=CARTESIAN_POINT('',(29.413534,1.6178687,-0.035)); #566947=CARTESIAN_POINT('',(29.413534,1.6178687,0.)); #566948=CARTESIAN_POINT('',(29.413534,1.6178687,0.)); #566949=CARTESIAN_POINT('',(29.413534,1.6178687,-0.035)); #566950=CARTESIAN_POINT('Origin',(29.361228,1.6507344,-0.035)); #566951=CARTESIAN_POINT('',(29.361228,1.6507344,-0.035)); #566952=CARTESIAN_POINT('',(29.361228,1.6507344,-0.035)); #566953=CARTESIAN_POINT('',(29.361228,1.6507344,0.)); #566954=CARTESIAN_POINT('',(29.361228,1.6507344,0.)); #566955=CARTESIAN_POINT('',(29.361228,1.6507344,-0.035)); #566956=CARTESIAN_POINT('Origin',(29.312931,1.68925,-0.035)); #566957=CARTESIAN_POINT('',(29.312931,1.68925,-0.035)); #566958=CARTESIAN_POINT('',(29.312931,1.68925,-0.035)); #566959=CARTESIAN_POINT('',(29.312931,1.68925,0.)); #566960=CARTESIAN_POINT('',(29.312931,1.68925,0.)); #566961=CARTESIAN_POINT('',(29.312931,1.68925,-0.035)); #566962=CARTESIAN_POINT('Origin',(29.26925,1.7329313,-0.035)); #566963=CARTESIAN_POINT('',(29.26925,1.7329313,-0.035)); #566964=CARTESIAN_POINT('',(29.26925,1.7329313,-0.035)); #566965=CARTESIAN_POINT('',(29.26925,1.7329313,0.)); #566966=CARTESIAN_POINT('',(29.26925,1.7329313,0.)); #566967=CARTESIAN_POINT('',(29.26925,1.7329313,-0.035)); #566968=CARTESIAN_POINT('Origin',(29.230734,1.7812281,-0.035)); #566969=CARTESIAN_POINT('',(29.230734,1.7812281,-0.035)); #566970=CARTESIAN_POINT('',(29.230734,1.7812281,-0.035)); #566971=CARTESIAN_POINT('',(29.230734,1.7812281,0.)); #566972=CARTESIAN_POINT('',(29.230734,1.7812281,0.)); #566973=CARTESIAN_POINT('',(29.230734,1.7812281,-0.035)); #566974=CARTESIAN_POINT('Origin',(29.197869,1.8335344,-0.035)); #566975=CARTESIAN_POINT('',(29.197869,1.8335344,-0.035)); #566976=CARTESIAN_POINT('',(29.197869,1.8335344,-0.035)); #566977=CARTESIAN_POINT('',(29.197869,1.8335344,0.)); #566978=CARTESIAN_POINT('',(29.197869,1.8335344,0.)); #566979=CARTESIAN_POINT('',(29.197869,1.8335344,-0.035)); #566980=CARTESIAN_POINT('Origin',(29.171066,1.8891938,-0.035)); #566981=CARTESIAN_POINT('',(29.171066,1.8891938,-0.035)); #566982=CARTESIAN_POINT('',(29.171066,1.8891938,-0.035)); #566983=CARTESIAN_POINT('',(29.171066,1.8891938,0.)); #566984=CARTESIAN_POINT('',(29.171066,1.8891938,0.)); #566985=CARTESIAN_POINT('',(29.171066,1.8891938,-0.035)); #566986=CARTESIAN_POINT('Origin',(29.150663,1.9475,-0.035)); #566987=CARTESIAN_POINT('',(29.150663,1.9475,-0.035)); #566988=CARTESIAN_POINT('',(29.150663,1.9475,-0.035)); #566989=CARTESIAN_POINT('',(29.150663,1.9475,0.)); #566990=CARTESIAN_POINT('',(29.150663,1.9475,0.)); #566991=CARTESIAN_POINT('',(29.150663,1.9475,-0.035)); #566992=CARTESIAN_POINT('Origin',(29.136916,2.007725,-0.035)); #566993=CARTESIAN_POINT('',(29.136916,2.007725,-0.035)); #566994=CARTESIAN_POINT('',(29.136916,2.007725,-0.035)); #566995=CARTESIAN_POINT('',(29.136916,2.007725,0.)); #566996=CARTESIAN_POINT('',(29.136916,2.007725,0.)); #566997=CARTESIAN_POINT('',(29.136916,2.007725,-0.035)); #566998=CARTESIAN_POINT('Origin',(29.13,2.0691125,-0.035)); #566999=CARTESIAN_POINT('',(29.13,2.0691125,-0.035)); #567000=CARTESIAN_POINT('',(29.13,2.0691125,-0.035)); #567001=CARTESIAN_POINT('',(29.13,2.0691125,0.)); #567002=CARTESIAN_POINT('',(29.13,2.0691125,0.)); #567003=CARTESIAN_POINT('',(29.13,2.0691125,-0.035)); #567004=CARTESIAN_POINT('Origin',(29.13,2.9308875,-0.035)); #567005=CARTESIAN_POINT('',(29.13,2.9308875,-0.035)); #567006=CARTESIAN_POINT('',(29.13,2.9308875,-0.035)); #567007=CARTESIAN_POINT('',(29.13,2.9308875,0.)); #567008=CARTESIAN_POINT('',(29.13,2.9308875,0.)); #567009=CARTESIAN_POINT('',(29.13,2.9308875,-0.035)); #567010=CARTESIAN_POINT('Origin',(29.136916,2.992275,-0.035)); #567011=CARTESIAN_POINT('',(29.136916,2.992275,-0.035)); #567012=CARTESIAN_POINT('',(29.136916,2.992275,-0.035)); #567013=CARTESIAN_POINT('',(29.136916,2.992275,0.)); #567014=CARTESIAN_POINT('',(29.136916,2.992275,0.)); #567015=CARTESIAN_POINT('',(29.136916,2.992275,-0.035)); #567016=CARTESIAN_POINT('Origin',(29.150663,3.0525,-0.035)); #567017=CARTESIAN_POINT('',(29.150663,3.0525,-0.035)); #567018=CARTESIAN_POINT('',(29.150663,3.0525,-0.035)); #567019=CARTESIAN_POINT('',(29.150663,3.0525,0.)); #567020=CARTESIAN_POINT('',(29.150663,3.0525,0.)); #567021=CARTESIAN_POINT('',(29.150663,3.0525,-0.035)); #567022=CARTESIAN_POINT('Origin',(29.171066,3.1108062,-0.035)); #567023=CARTESIAN_POINT('',(29.171066,3.1108062,-0.035)); #567024=CARTESIAN_POINT('',(29.171066,3.1108062,-0.035)); #567025=CARTESIAN_POINT('',(29.171066,3.1108062,0.)); #567026=CARTESIAN_POINT('',(29.171066,3.1108062,0.)); #567027=CARTESIAN_POINT('',(29.171066,3.1108062,-0.035)); #567028=CARTESIAN_POINT('Origin',(29.197869,3.1664656,-0.035)); #567029=CARTESIAN_POINT('',(29.197869,3.1664656,-0.035)); #567030=CARTESIAN_POINT('',(29.197869,3.1664656,-0.035)); #567031=CARTESIAN_POINT('',(29.197869,3.1664656,0.)); #567032=CARTESIAN_POINT('',(29.197869,3.1664656,0.)); #567033=CARTESIAN_POINT('',(29.197869,3.1664656,-0.035)); #567034=CARTESIAN_POINT('Origin',(29.230734,3.2187719,-0.035)); #567035=CARTESIAN_POINT('',(29.230734,3.2187719,-0.035)); #567036=CARTESIAN_POINT('',(29.230734,3.2187719,-0.035)); #567037=CARTESIAN_POINT('',(29.230734,3.2187719,0.)); #567038=CARTESIAN_POINT('',(29.230734,3.2187719,0.)); #567039=CARTESIAN_POINT('',(29.230734,3.2187719,-0.035)); #567040=CARTESIAN_POINT('Origin',(29.26925,3.2670687,-0.035)); #567041=CARTESIAN_POINT('',(29.26925,3.2670687,-0.035)); #567042=CARTESIAN_POINT('',(29.26925,3.2670687,-0.035)); #567043=CARTESIAN_POINT('',(29.26925,3.2670687,0.)); #567044=CARTESIAN_POINT('',(29.26925,3.2670687,0.)); #567045=CARTESIAN_POINT('',(29.26925,3.2670687,-0.035)); #567046=CARTESIAN_POINT('Origin',(29.312931,3.31075,-0.035)); #567047=CARTESIAN_POINT('',(29.312931,3.31075,-0.035)); #567048=CARTESIAN_POINT('',(29.312931,3.31075,-0.035)); #567049=CARTESIAN_POINT('',(29.312931,3.31075,0.)); #567050=CARTESIAN_POINT('',(29.312931,3.31075,0.)); #567051=CARTESIAN_POINT('',(29.312931,3.31075,-0.035)); #567052=CARTESIAN_POINT('Origin',(29.361228,3.3492656,-0.035)); #567053=CARTESIAN_POINT('',(29.361228,3.3492656,-0.035)); #567054=CARTESIAN_POINT('',(29.361228,3.3492656,-0.035)); #567055=CARTESIAN_POINT('',(29.361228,3.3492656,0.)); #567056=CARTESIAN_POINT('',(29.361228,3.3492656,0.)); #567057=CARTESIAN_POINT('',(29.361228,3.3492656,-0.035)); #567058=CARTESIAN_POINT('Origin',(29.413534,3.3821313,-0.035)); #567059=CARTESIAN_POINT('',(29.413534,3.3821313,-0.035)); #567060=CARTESIAN_POINT('',(29.413534,3.3821313,-0.035)); #567061=CARTESIAN_POINT('',(29.413534,3.3821313,0.)); #567062=CARTESIAN_POINT('',(29.413534,3.3821313,0.)); #567063=CARTESIAN_POINT('',(29.413534,3.3821313,-0.035)); #567064=CARTESIAN_POINT('Origin',(29.469194,3.4089344,-0.035)); #567065=CARTESIAN_POINT('',(29.469194,3.4089344,-0.035)); #567066=CARTESIAN_POINT('',(29.469194,3.4089344,-0.035)); #567067=CARTESIAN_POINT('',(29.469194,3.4089344,0.)); #567068=CARTESIAN_POINT('',(29.469194,3.4089344,0.)); #567069=CARTESIAN_POINT('',(29.469194,3.4089344,-0.035)); #567070=CARTESIAN_POINT('Origin',(29.5275,3.4293375,-0.035)); #567071=CARTESIAN_POINT('',(29.5275,3.4293375,-0.035)); #567072=CARTESIAN_POINT('',(29.5275,3.4293375,-0.035)); #567073=CARTESIAN_POINT('',(29.5275,3.4293375,0.)); #567074=CARTESIAN_POINT('',(29.5275,3.4293375,0.)); #567075=CARTESIAN_POINT('',(29.5275,3.4293375,-0.035)); #567076=CARTESIAN_POINT('Origin',(29.587725,3.4430844,-0.035)); #567077=CARTESIAN_POINT('',(29.587725,3.4430844,-0.035)); #567078=CARTESIAN_POINT('',(29.587725,3.4430844,-0.035)); #567079=CARTESIAN_POINT('',(29.587725,3.4430844,0.)); #567080=CARTESIAN_POINT('',(29.587725,3.4430844,0.)); #567081=CARTESIAN_POINT('',(29.587725,3.4430844,-0.035)); #567082=CARTESIAN_POINT('Origin',(29.649113,3.45,-0.035)); #567083=CARTESIAN_POINT('',(29.649113,3.45,-0.035)); #567084=CARTESIAN_POINT('',(29.649113,3.45,-0.035)); #567085=CARTESIAN_POINT('',(29.649113,3.45,0.)); #567086=CARTESIAN_POINT('',(29.649113,3.45,0.)); #567087=CARTESIAN_POINT('',(29.649113,3.45,-0.035)); #567088=CARTESIAN_POINT('Origin',(29.710888,3.45,-0.035)); #567089=CARTESIAN_POINT('',(29.710888,3.45,-0.035)); #567090=CARTESIAN_POINT('',(29.710888,3.45,-0.035)); #567091=CARTESIAN_POINT('',(29.710888,3.45,0.)); #567092=CARTESIAN_POINT('',(29.710888,3.45,0.)); #567093=CARTESIAN_POINT('',(29.710888,3.45,-0.035)); #567094=CARTESIAN_POINT('Origin',(29.772275,3.4430844,-0.035)); #567095=CARTESIAN_POINT('',(29.772275,3.4430844,-0.035)); #567096=CARTESIAN_POINT('',(29.772275,3.4430844,-0.035)); #567097=CARTESIAN_POINT('',(29.772275,3.4430844,0.)); #567098=CARTESIAN_POINT('',(29.772275,3.4430844,0.)); #567099=CARTESIAN_POINT('',(29.772275,3.4430844,-0.035)); #567100=CARTESIAN_POINT('Origin',(29.8325,3.4293375,-0.035)); #567101=CARTESIAN_POINT('',(29.8325,3.4293375,-0.035)); #567102=CARTESIAN_POINT('',(29.8325,3.4293375,-0.035)); #567103=CARTESIAN_POINT('',(29.8325,3.4293375,0.)); #567104=CARTESIAN_POINT('',(29.8325,3.4293375,0.)); #567105=CARTESIAN_POINT('',(29.8325,3.4293375,-0.035)); #567106=CARTESIAN_POINT('Origin',(29.890806,3.4089344,-0.035)); #567107=CARTESIAN_POINT('',(29.890806,3.4089344,-0.035)); #567108=CARTESIAN_POINT('',(29.890806,3.4089344,-0.035)); #567109=CARTESIAN_POINT('',(29.890806,3.4089344,0.)); #567110=CARTESIAN_POINT('',(29.890806,3.4089344,0.)); #567111=CARTESIAN_POINT('',(29.890806,3.4089344,-0.035)); #567112=CARTESIAN_POINT('Origin',(29.946466,3.3821313,-0.035)); #567113=CARTESIAN_POINT('',(29.946466,3.3821313,-0.035)); #567114=CARTESIAN_POINT('',(29.946466,3.3821313,-0.035)); #567115=CARTESIAN_POINT('',(29.946466,3.3821313,0.)); #567116=CARTESIAN_POINT('',(29.946466,3.3821313,0.)); #567117=CARTESIAN_POINT('',(29.946466,3.3821313,-0.035)); #567118=CARTESIAN_POINT('Origin',(29.998772,3.3492656,-0.035)); #567119=CARTESIAN_POINT('',(29.998772,3.3492656,-0.035)); #567120=CARTESIAN_POINT('',(29.998772,3.3492656,-0.035)); #567121=CARTESIAN_POINT('',(29.998772,3.3492656,0.)); #567122=CARTESIAN_POINT('',(29.998772,3.3492656,0.)); #567123=CARTESIAN_POINT('',(29.998772,3.3492656,-0.035)); #567124=CARTESIAN_POINT('Origin',(30.047069,3.31075,-0.035)); #567125=CARTESIAN_POINT('',(30.047069,3.31075,-0.035)); #567126=CARTESIAN_POINT('',(30.047069,3.31075,-0.035)); #567127=CARTESIAN_POINT('',(30.047069,3.31075,0.)); #567128=CARTESIAN_POINT('',(30.047069,3.31075,0.)); #567129=CARTESIAN_POINT('',(30.047069,3.31075,-0.035)); #567130=CARTESIAN_POINT('Origin',(30.09075,3.2670687,-0.035)); #567131=CARTESIAN_POINT('',(30.09075,3.2670687,-0.035)); #567132=CARTESIAN_POINT('',(30.09075,3.2670687,-0.035)); #567133=CARTESIAN_POINT('',(30.09075,3.2670687,0.)); #567134=CARTESIAN_POINT('',(30.09075,3.2670687,0.)); #567135=CARTESIAN_POINT('',(30.09075,3.2670687,-0.035)); #567136=CARTESIAN_POINT('Origin',(30.129266,3.2187719,-0.035)); #567137=CARTESIAN_POINT('',(30.129266,3.2187719,-0.035)); #567138=CARTESIAN_POINT('',(30.129266,3.2187719,-0.035)); #567139=CARTESIAN_POINT('',(30.129266,3.2187719,0.)); #567140=CARTESIAN_POINT('',(30.129266,3.2187719,0.)); #567141=CARTESIAN_POINT('',(30.129266,3.2187719,-0.035)); #567142=CARTESIAN_POINT('Origin',(30.162131,3.1664656,-0.035)); #567143=CARTESIAN_POINT('',(30.162131,3.1664656,-0.035)); #567144=CARTESIAN_POINT('',(30.162131,3.1664656,-0.035)); #567145=CARTESIAN_POINT('',(30.162131,3.1664656,0.)); #567146=CARTESIAN_POINT('',(30.162131,3.1664656,0.)); #567147=CARTESIAN_POINT('',(30.162131,3.1664656,-0.035)); #567148=CARTESIAN_POINT('Origin',(30.188934,3.1108062,-0.035)); #567149=CARTESIAN_POINT('',(30.188934,3.1108062,-0.035)); #567150=CARTESIAN_POINT('',(30.188934,3.1108062,-0.035)); #567151=CARTESIAN_POINT('',(30.188934,3.1108062,0.)); #567152=CARTESIAN_POINT('',(30.188934,3.1108062,0.)); #567153=CARTESIAN_POINT('',(30.188934,3.1108062,-0.035)); #567154=CARTESIAN_POINT('Origin',(30.209338,3.0525,-0.035)); #567155=CARTESIAN_POINT('',(30.209338,3.0525,-0.035)); #567156=CARTESIAN_POINT('',(30.209338,3.0525,-0.035)); #567157=CARTESIAN_POINT('',(30.209338,3.0525,0.)); #567158=CARTESIAN_POINT('',(30.209338,3.0525,0.)); #567159=CARTESIAN_POINT('',(30.209338,3.0525,-0.035)); #567160=CARTESIAN_POINT('Origin',(30.223084,2.992275,-0.035)); #567161=CARTESIAN_POINT('',(30.223084,2.992275,-0.035)); #567162=CARTESIAN_POINT('',(30.223084,2.992275,-0.035)); #567163=CARTESIAN_POINT('',(30.223084,2.992275,0.)); #567164=CARTESIAN_POINT('',(30.223084,2.992275,0.)); #567165=CARTESIAN_POINT('',(30.223084,2.992275,-0.035)); #567166=CARTESIAN_POINT('Origin',(30.23,2.9308875,-0.035)); #567167=CARTESIAN_POINT('',(30.23,2.9308875,-0.035)); #567168=CARTESIAN_POINT('',(30.23,2.9308875,-0.035)); #567169=CARTESIAN_POINT('',(30.23,2.9308875,0.)); #567170=CARTESIAN_POINT('',(30.23,2.9308875,0.)); #567171=CARTESIAN_POINT('',(30.23,2.9308875,-0.035)); #567172=CARTESIAN_POINT('Origin',(30.23,2.0691125,-0.035)); #567173=CARTESIAN_POINT('',(30.23,2.0691125,-0.035)); #567174=CARTESIAN_POINT('',(30.23,2.0691125,-0.035)); #567175=CARTESIAN_POINT('',(30.23,2.0691125,0.)); #567176=CARTESIAN_POINT('',(30.23,2.0691125,0.)); #567177=CARTESIAN_POINT('',(30.23,2.0691125,-0.035)); #567178=CARTESIAN_POINT('Origin',(30.223084,2.007725,-0.035)); #567179=CARTESIAN_POINT('',(30.223084,2.007725,-0.035)); #567180=CARTESIAN_POINT('',(30.223084,2.007725,-0.035)); #567181=CARTESIAN_POINT('',(30.223084,2.007725,0.)); #567182=CARTESIAN_POINT('',(30.223084,2.007725,0.)); #567183=CARTESIAN_POINT('',(30.223084,2.007725,-0.035)); #567184=CARTESIAN_POINT('Origin',(30.209338,1.9475,-0.035)); #567185=CARTESIAN_POINT('',(30.209338,1.9475,-0.035)); #567186=CARTESIAN_POINT('',(30.209338,1.9475,-0.035)); #567187=CARTESIAN_POINT('',(30.209338,1.9475,0.)); #567188=CARTESIAN_POINT('',(30.209338,1.9475,0.)); #567189=CARTESIAN_POINT('',(30.209338,1.9475,-0.035)); #567190=CARTESIAN_POINT('Origin',(30.188934,1.8891938,-0.035)); #567191=CARTESIAN_POINT('',(30.188934,1.8891938,-0.035)); #567192=CARTESIAN_POINT('',(30.188934,1.8891938,-0.035)); #567193=CARTESIAN_POINT('',(30.188934,1.8891938,0.)); #567194=CARTESIAN_POINT('',(30.188934,1.8891938,0.)); #567195=CARTESIAN_POINT('',(30.188934,1.8891938,-0.035)); #567196=CARTESIAN_POINT('Origin',(30.162131,1.8335344,-0.035)); #567197=CARTESIAN_POINT('',(30.162131,1.8335344,-0.035)); #567198=CARTESIAN_POINT('',(30.162131,1.8335344,-0.035)); #567199=CARTESIAN_POINT('',(30.162131,1.8335344,0.)); #567200=CARTESIAN_POINT('',(30.162131,1.8335344,0.)); #567201=CARTESIAN_POINT('',(30.162131,1.8335344,-0.035)); #567202=CARTESIAN_POINT('Origin',(30.129266,1.7812281,-0.035)); #567203=CARTESIAN_POINT('',(30.129266,1.7812281,-0.035)); #567204=CARTESIAN_POINT('',(30.129266,1.7812281,-0.035)); #567205=CARTESIAN_POINT('',(30.129266,1.7812281,0.)); #567206=CARTESIAN_POINT('',(30.129266,1.7812281,0.)); #567207=CARTESIAN_POINT('',(30.129266,1.7812281,-0.035)); #567208=CARTESIAN_POINT('Origin',(30.09075,1.7329313,-0.035)); #567209=CARTESIAN_POINT('',(30.09075,1.7329313,-0.035)); #567210=CARTESIAN_POINT('',(30.09075,1.7329313,-0.035)); #567211=CARTESIAN_POINT('',(30.09075,1.7329313,0.)); #567212=CARTESIAN_POINT('',(30.09075,1.7329313,0.)); #567213=CARTESIAN_POINT('',(30.09075,1.7329313,-0.035)); #567214=CARTESIAN_POINT('Origin',(30.047069,1.68925,-0.035)); #567215=CARTESIAN_POINT('',(30.047069,1.68925,-0.035)); #567216=CARTESIAN_POINT('',(30.047069,1.68925,-0.035)); #567217=CARTESIAN_POINT('',(30.047069,1.68925,0.)); #567218=CARTESIAN_POINT('',(30.047069,1.68925,0.)); #567219=CARTESIAN_POINT('',(30.047069,1.68925,-0.035)); #567220=CARTESIAN_POINT('Origin',(29.998772,1.6507344,-0.035)); #567221=CARTESIAN_POINT('',(29.998772,1.6507344,-0.035)); #567222=CARTESIAN_POINT('',(29.998772,1.6507344,-0.035)); #567223=CARTESIAN_POINT('',(29.998772,1.6507344,0.)); #567224=CARTESIAN_POINT('',(29.998772,1.6507344,0.)); #567225=CARTESIAN_POINT('',(29.998772,1.6507344,-0.035)); #567226=CARTESIAN_POINT('Origin',(29.946466,1.6178687,-0.035)); #567227=CARTESIAN_POINT('',(29.946466,1.6178687,-0.035)); #567228=CARTESIAN_POINT('',(29.946466,1.6178687,-0.035)); #567229=CARTESIAN_POINT('',(29.946466,1.6178687,0.)); #567230=CARTESIAN_POINT('',(29.946466,1.6178687,0.)); #567231=CARTESIAN_POINT('',(29.946466,1.6178687,-0.035)); #567232=CARTESIAN_POINT('Origin',(29.890806,1.5910656,-0.035)); #567233=CARTESIAN_POINT('',(29.890806,1.5910656,-0.035)); #567234=CARTESIAN_POINT('',(29.890806,1.5910656,-0.035)); #567235=CARTESIAN_POINT('',(29.890806,1.5910656,0.)); #567236=CARTESIAN_POINT('',(29.890806,1.5910656,0.)); #567237=CARTESIAN_POINT('',(29.890806,1.5910656,-0.035)); #567238=CARTESIAN_POINT('Origin',(29.8325,1.5706625,-0.035)); #567239=CARTESIAN_POINT('',(29.8325,1.5706625,-0.035)); #567240=CARTESIAN_POINT('',(29.8325,1.5706625,-0.035)); #567241=CARTESIAN_POINT('',(29.8325,1.5706625,0.)); #567242=CARTESIAN_POINT('',(29.8325,1.5706625,0.)); #567243=CARTESIAN_POINT('',(29.8325,1.5706625,-0.035)); #567244=CARTESIAN_POINT('Origin',(29.772275,1.5569156,-0.035)); #567245=CARTESIAN_POINT('',(29.772275,1.5569156,-0.035)); #567246=CARTESIAN_POINT('',(29.772275,1.5569156,0.)); #567247=CARTESIAN_POINT('Origin',(29.680000045263,2.5,0.)); #567248=CARTESIAN_POINT('Origin',(29.680000045263,2.5,-0.035)); #567249=CARTESIAN_POINT('Origin',(39.1500000454174,34.565,-200.)); #567250=CARTESIAN_POINT('',(38.5500000151391,34.565,0.)); #567251=CARTESIAN_POINT('',(39.1500000454174,34.565,0.)); #567252=CARTESIAN_POINT('',(39.0000000471749,34.565,0.)); #567253=CARTESIAN_POINT('',(38.5500000151391,34.565,-0.0349999999999895)); #567254=CARTESIAN_POINT('',(38.5500000151391,34.565,-200.)); #567255=CARTESIAN_POINT('',(39.1500000454174,34.565,-0.0349999999999895)); #567256=CARTESIAN_POINT('',(39.0000000471749,34.565,-0.0350000000000072)); #567257=CARTESIAN_POINT('',(39.1500000454174,34.565,-200.)); #567258=CARTESIAN_POINT('Origin',(39.15,34.,-200.)); #567259=CARTESIAN_POINT('',(39.1499999848609,33.435,0.)); #567260=CARTESIAN_POINT('Origin',(39.15,34.,0.)); #567261=CARTESIAN_POINT('',(39.1499999848609,33.435,-0.0349999999999895)); #567262=CARTESIAN_POINT('Origin',(39.15,34.,-0.0349999999999895)); #567263=CARTESIAN_POINT('',(39.1499999848609,33.435,-200.)); #567264=CARTESIAN_POINT('Origin',(38.5499999545827,33.435,-200.)); #567265=CARTESIAN_POINT('',(38.5499999545827,33.435,0.)); #567266=CARTESIAN_POINT('',(38.7000000017575,33.435,0.)); #567267=CARTESIAN_POINT('',(38.5499999545827,33.435,-0.0349999999999895)); #567268=CARTESIAN_POINT('',(38.7000000017575,33.435,-0.0350000000000072)); #567269=CARTESIAN_POINT('',(38.5499999545827,33.435,-200.)); #567270=CARTESIAN_POINT('Origin',(38.55,34.,-200.)); #567271=CARTESIAN_POINT('Origin',(38.55,34.,0.)); #567272=CARTESIAN_POINT('Origin',(38.55,34.,-0.0349999999999895)); #567273=CARTESIAN_POINT('Origin',(39.189294,33.1,-0.035)); #567274=CARTESIAN_POINT('',(39.189294,33.1,-0.035)); #567275=CARTESIAN_POINT('',(39.267584,33.10685,-0.035)); #567276=CARTESIAN_POINT('',(39.189294,33.1,-0.035)); #567277=CARTESIAN_POINT('',(39.267584,33.10685,0.)); #567278=CARTESIAN_POINT('',(39.267584,33.10685,-0.035)); #567279=CARTESIAN_POINT('',(39.189294,33.1,0.)); #567280=CARTESIAN_POINT('',(39.189294,33.1,0.)); #567281=CARTESIAN_POINT('',(39.189294,33.1,-0.035)); #567282=CARTESIAN_POINT('Origin',(38.510706,33.1,-0.035)); #567283=CARTESIAN_POINT('',(38.510706,33.1,-0.035)); #567284=CARTESIAN_POINT('',(38.510706,33.1,-0.035)); #567285=CARTESIAN_POINT('',(38.510706,33.1,0.)); #567286=CARTESIAN_POINT('',(38.510706,33.1,0.)); #567287=CARTESIAN_POINT('',(38.510706,33.1,-0.035)); #567288=CARTESIAN_POINT('Origin',(38.432416,33.10685,-0.035)); #567289=CARTESIAN_POINT('',(38.432416,33.10685,-0.035)); #567290=CARTESIAN_POINT('',(38.432416,33.10685,-0.035)); #567291=CARTESIAN_POINT('',(38.432416,33.10685,0.)); #567292=CARTESIAN_POINT('',(38.432416,33.10685,0.)); #567293=CARTESIAN_POINT('',(38.432416,33.10685,-0.035)); #567294=CARTESIAN_POINT('Origin',(38.355019,33.120497,-0.035)); #567295=CARTESIAN_POINT('',(38.355019,33.120497,-0.035)); #567296=CARTESIAN_POINT('',(38.355019,33.120497,-0.035)); #567297=CARTESIAN_POINT('',(38.355019,33.120497,0.)); #567298=CARTESIAN_POINT('',(38.355019,33.120497,0.)); #567299=CARTESIAN_POINT('',(38.355019,33.120497,-0.035)); #567300=CARTESIAN_POINT('Origin',(38.279106,33.140838,-0.035)); #567301=CARTESIAN_POINT('',(38.279106,33.140838,-0.035)); #567302=CARTESIAN_POINT('',(38.279106,33.140838,-0.035)); #567303=CARTESIAN_POINT('',(38.279106,33.140838,0.)); #567304=CARTESIAN_POINT('',(38.279106,33.140838,0.)); #567305=CARTESIAN_POINT('',(38.279106,33.140838,-0.035)); #567306=CARTESIAN_POINT('Origin',(38.205256,33.167716,-0.035)); #567307=CARTESIAN_POINT('',(38.205256,33.167716,-0.035)); #567308=CARTESIAN_POINT('',(38.205256,33.167716,-0.035)); #567309=CARTESIAN_POINT('',(38.205256,33.167716,0.)); #567310=CARTESIAN_POINT('',(38.205256,33.167716,0.)); #567311=CARTESIAN_POINT('',(38.205256,33.167716,-0.035)); #567312=CARTESIAN_POINT('Origin',(38.134031,33.200931,-0.035)); #567313=CARTESIAN_POINT('',(38.134031,33.200931,-0.035)); #567314=CARTESIAN_POINT('',(38.134031,33.200931,-0.035)); #567315=CARTESIAN_POINT('',(38.134031,33.200931,0.)); #567316=CARTESIAN_POINT('',(38.134031,33.200931,0.)); #567317=CARTESIAN_POINT('',(38.134031,33.200931,-0.035)); #567318=CARTESIAN_POINT('Origin',(38.065969,33.240225,-0.035)); #567319=CARTESIAN_POINT('',(38.065969,33.240225,-0.035)); #567320=CARTESIAN_POINT('',(38.065969,33.240225,-0.035)); #567321=CARTESIAN_POINT('',(38.065969,33.240225,0.)); #567322=CARTESIAN_POINT('',(38.065969,33.240225,0.)); #567323=CARTESIAN_POINT('',(38.065969,33.240225,-0.035)); #567324=CARTESIAN_POINT('Origin',(38.001594,33.285303,-0.035)); #567325=CARTESIAN_POINT('',(38.001594,33.285303,-0.035)); #567326=CARTESIAN_POINT('',(38.001594,33.285303,-0.035)); #567327=CARTESIAN_POINT('',(38.001594,33.285303,0.)); #567328=CARTESIAN_POINT('',(38.001594,33.285303,0.)); #567329=CARTESIAN_POINT('',(38.001594,33.285303,-0.035)); #567330=CARTESIAN_POINT('Origin',(37.941391,33.335819,-0.035)); #567331=CARTESIAN_POINT('',(37.941391,33.335819,-0.035)); #567332=CARTESIAN_POINT('',(37.941391,33.335819,-0.035)); #567333=CARTESIAN_POINT('',(37.941391,33.335819,0.)); #567334=CARTESIAN_POINT('',(37.941391,33.335819,0.)); #567335=CARTESIAN_POINT('',(37.941391,33.335819,-0.035)); #567336=CARTESIAN_POINT('Origin',(37.885819,33.391391,-0.035)); #567337=CARTESIAN_POINT('',(37.885819,33.391391,-0.035)); #567338=CARTESIAN_POINT('',(37.885819,33.391391,-0.035)); #567339=CARTESIAN_POINT('',(37.885819,33.391391,0.)); #567340=CARTESIAN_POINT('',(37.885819,33.391391,0.)); #567341=CARTESIAN_POINT('',(37.885819,33.391391,-0.035)); #567342=CARTESIAN_POINT('Origin',(37.835303,33.451594,-0.035)); #567343=CARTESIAN_POINT('',(37.835303,33.451594,-0.035)); #567344=CARTESIAN_POINT('',(37.835303,33.451594,-0.035)); #567345=CARTESIAN_POINT('',(37.835303,33.451594,0.)); #567346=CARTESIAN_POINT('',(37.835303,33.451594,0.)); #567347=CARTESIAN_POINT('',(37.835303,33.451594,-0.035)); #567348=CARTESIAN_POINT('Origin',(37.790225,33.515969,-0.035)); #567349=CARTESIAN_POINT('',(37.790225,33.515969,-0.035)); #567350=CARTESIAN_POINT('',(37.790225,33.515969,-0.035)); #567351=CARTESIAN_POINT('',(37.790225,33.515969,0.)); #567352=CARTESIAN_POINT('',(37.790225,33.515969,0.)); #567353=CARTESIAN_POINT('',(37.790225,33.515969,-0.035)); #567354=CARTESIAN_POINT('Origin',(37.750931,33.584031,-0.035)); #567355=CARTESIAN_POINT('',(37.750931,33.584031,-0.035)); #567356=CARTESIAN_POINT('',(37.750931,33.584031,-0.035)); #567357=CARTESIAN_POINT('',(37.750931,33.584031,0.)); #567358=CARTESIAN_POINT('',(37.750931,33.584031,0.)); #567359=CARTESIAN_POINT('',(37.750931,33.584031,-0.035)); #567360=CARTESIAN_POINT('Origin',(37.717716,33.655256,-0.035)); #567361=CARTESIAN_POINT('',(37.717716,33.655256,-0.035)); #567362=CARTESIAN_POINT('',(37.717716,33.655256,-0.035)); #567363=CARTESIAN_POINT('',(37.717716,33.655256,0.)); #567364=CARTESIAN_POINT('',(37.717716,33.655256,0.)); #567365=CARTESIAN_POINT('',(37.717716,33.655256,-0.035)); #567366=CARTESIAN_POINT('Origin',(37.690838,33.729106,-0.035)); #567367=CARTESIAN_POINT('',(37.690838,33.729106,-0.035)); #567368=CARTESIAN_POINT('',(37.690838,33.729106,-0.035)); #567369=CARTESIAN_POINT('',(37.690838,33.729106,0.)); #567370=CARTESIAN_POINT('',(37.690838,33.729106,0.)); #567371=CARTESIAN_POINT('',(37.690838,33.729106,-0.035)); #567372=CARTESIAN_POINT('Origin',(37.670497,33.805019,-0.035)); #567373=CARTESIAN_POINT('',(37.670497,33.805019,-0.035)); #567374=CARTESIAN_POINT('',(37.670497,33.805019,-0.035)); #567375=CARTESIAN_POINT('',(37.670497,33.805019,0.)); #567376=CARTESIAN_POINT('',(37.670497,33.805019,0.)); #567377=CARTESIAN_POINT('',(37.670497,33.805019,-0.035)); #567378=CARTESIAN_POINT('Origin',(37.65685,33.882416,-0.035)); #567379=CARTESIAN_POINT('',(37.65685,33.882416,-0.035)); #567380=CARTESIAN_POINT('',(37.65685,33.882416,-0.035)); #567381=CARTESIAN_POINT('',(37.65685,33.882416,0.)); #567382=CARTESIAN_POINT('',(37.65685,33.882416,0.)); #567383=CARTESIAN_POINT('',(37.65685,33.882416,-0.035)); #567384=CARTESIAN_POINT('Origin',(37.65,33.960706,-0.035)); #567385=CARTESIAN_POINT('',(37.65,33.960706,-0.035)); #567386=CARTESIAN_POINT('',(37.65,33.960706,-0.035)); #567387=CARTESIAN_POINT('',(37.65,33.960706,0.)); #567388=CARTESIAN_POINT('',(37.65,33.960706,0.)); #567389=CARTESIAN_POINT('',(37.65,33.960706,-0.035)); #567390=CARTESIAN_POINT('Origin',(37.65,34.039294,-0.035)); #567391=CARTESIAN_POINT('',(37.65,34.039294,-0.035)); #567392=CARTESIAN_POINT('',(37.65,34.039294,-0.035)); #567393=CARTESIAN_POINT('',(37.65,34.039294,0.)); #567394=CARTESIAN_POINT('',(37.65,34.039294,0.)); #567395=CARTESIAN_POINT('',(37.65,34.039294,-0.035)); #567396=CARTESIAN_POINT('Origin',(37.65685,34.117584,-0.035)); #567397=CARTESIAN_POINT('',(37.65685,34.117584,-0.035)); #567398=CARTESIAN_POINT('',(37.65685,34.117584,-0.035)); #567399=CARTESIAN_POINT('',(37.65685,34.117584,0.)); #567400=CARTESIAN_POINT('',(37.65685,34.117584,0.)); #567401=CARTESIAN_POINT('',(37.65685,34.117584,-0.035)); #567402=CARTESIAN_POINT('Origin',(37.670497,34.194981,-0.035)); #567403=CARTESIAN_POINT('',(37.670497,34.194981,-0.035)); #567404=CARTESIAN_POINT('',(37.670497,34.194981,-0.035)); #567405=CARTESIAN_POINT('',(37.670497,34.194981,0.)); #567406=CARTESIAN_POINT('',(37.670497,34.194981,0.)); #567407=CARTESIAN_POINT('',(37.670497,34.194981,-0.035)); #567408=CARTESIAN_POINT('Origin',(37.690838,34.270894,-0.035)); #567409=CARTESIAN_POINT('',(37.690838,34.270894,-0.035)); #567410=CARTESIAN_POINT('',(37.690838,34.270894,-0.035)); #567411=CARTESIAN_POINT('',(37.690838,34.270894,0.)); #567412=CARTESIAN_POINT('',(37.690838,34.270894,0.)); #567413=CARTESIAN_POINT('',(37.690838,34.270894,-0.035)); #567414=CARTESIAN_POINT('Origin',(37.717716,34.344744,-0.035)); #567415=CARTESIAN_POINT('',(37.717716,34.344744,-0.035)); #567416=CARTESIAN_POINT('',(37.717716,34.344744,-0.035)); #567417=CARTESIAN_POINT('',(37.717716,34.344744,0.)); #567418=CARTESIAN_POINT('',(37.717716,34.344744,0.)); #567419=CARTESIAN_POINT('',(37.717716,34.344744,-0.035)); #567420=CARTESIAN_POINT('Origin',(37.750931,34.415969,-0.035)); #567421=CARTESIAN_POINT('',(37.750931,34.415969,-0.035)); #567422=CARTESIAN_POINT('',(37.750931,34.415969,-0.035)); #567423=CARTESIAN_POINT('',(37.750931,34.415969,0.)); #567424=CARTESIAN_POINT('',(37.750931,34.415969,0.)); #567425=CARTESIAN_POINT('',(37.750931,34.415969,-0.035)); #567426=CARTESIAN_POINT('Origin',(37.790225,34.484031,-0.035)); #567427=CARTESIAN_POINT('',(37.790225,34.484031,-0.035)); #567428=CARTESIAN_POINT('',(37.790225,34.484031,-0.035)); #567429=CARTESIAN_POINT('',(37.790225,34.484031,0.)); #567430=CARTESIAN_POINT('',(37.790225,34.484031,0.)); #567431=CARTESIAN_POINT('',(37.790225,34.484031,-0.035)); #567432=CARTESIAN_POINT('Origin',(37.835303,34.548406,-0.035)); #567433=CARTESIAN_POINT('',(37.835303,34.548406,-0.035)); #567434=CARTESIAN_POINT('',(37.835303,34.548406,-0.035)); #567435=CARTESIAN_POINT('',(37.835303,34.548406,0.)); #567436=CARTESIAN_POINT('',(37.835303,34.548406,0.)); #567437=CARTESIAN_POINT('',(37.835303,34.548406,-0.035)); #567438=CARTESIAN_POINT('Origin',(37.885819,34.608609,-0.035)); #567439=CARTESIAN_POINT('',(37.885819,34.608609,-0.035)); #567440=CARTESIAN_POINT('',(37.885819,34.608609,-0.035)); #567441=CARTESIAN_POINT('',(37.885819,34.608609,0.)); #567442=CARTESIAN_POINT('',(37.885819,34.608609,0.)); #567443=CARTESIAN_POINT('',(37.885819,34.608609,-0.035)); #567444=CARTESIAN_POINT('Origin',(37.941391,34.664181,-0.035)); #567445=CARTESIAN_POINT('',(37.941391,34.664181,-0.035)); #567446=CARTESIAN_POINT('',(37.941391,34.664181,-0.035)); #567447=CARTESIAN_POINT('',(37.941391,34.664181,0.)); #567448=CARTESIAN_POINT('',(37.941391,34.664181,0.)); #567449=CARTESIAN_POINT('',(37.941391,34.664181,-0.035)); #567450=CARTESIAN_POINT('Origin',(38.001594,34.714697,-0.035)); #567451=CARTESIAN_POINT('',(38.001594,34.714697,-0.035)); #567452=CARTESIAN_POINT('',(38.001594,34.714697,-0.035)); #567453=CARTESIAN_POINT('',(38.001594,34.714697,0.)); #567454=CARTESIAN_POINT('',(38.001594,34.714697,0.)); #567455=CARTESIAN_POINT('',(38.001594,34.714697,-0.035)); #567456=CARTESIAN_POINT('Origin',(38.065969,34.759775,-0.035)); #567457=CARTESIAN_POINT('',(38.065969,34.759775,-0.035)); #567458=CARTESIAN_POINT('',(38.065969,34.759775,-0.035)); #567459=CARTESIAN_POINT('',(38.065969,34.759775,0.)); #567460=CARTESIAN_POINT('',(38.065969,34.759775,0.)); #567461=CARTESIAN_POINT('',(38.065969,34.759775,-0.035)); #567462=CARTESIAN_POINT('Origin',(38.134031,34.799069,-0.035)); #567463=CARTESIAN_POINT('',(38.134031,34.799069,-0.035)); #567464=CARTESIAN_POINT('',(38.134031,34.799069,-0.035)); #567465=CARTESIAN_POINT('',(38.134031,34.799069,0.)); #567466=CARTESIAN_POINT('',(38.134031,34.799069,0.)); #567467=CARTESIAN_POINT('',(38.134031,34.799069,-0.035)); #567468=CARTESIAN_POINT('Origin',(38.205256,34.832284,-0.035)); #567469=CARTESIAN_POINT('',(38.205256,34.832284,-0.035)); #567470=CARTESIAN_POINT('',(38.205256,34.832284,-0.035)); #567471=CARTESIAN_POINT('',(38.205256,34.832284,0.)); #567472=CARTESIAN_POINT('',(38.205256,34.832284,0.)); #567473=CARTESIAN_POINT('',(38.205256,34.832284,-0.035)); #567474=CARTESIAN_POINT('Origin',(38.279106,34.859163,-0.035)); #567475=CARTESIAN_POINT('',(38.279106,34.859163,-0.035)); #567476=CARTESIAN_POINT('',(38.279106,34.859163,-0.035)); #567477=CARTESIAN_POINT('',(38.279106,34.859163,0.)); #567478=CARTESIAN_POINT('',(38.279106,34.859163,0.)); #567479=CARTESIAN_POINT('',(38.279106,34.859163,-0.035)); #567480=CARTESIAN_POINT('Origin',(38.355019,34.879503,-0.035)); #567481=CARTESIAN_POINT('',(38.355019,34.879503,-0.035)); #567482=CARTESIAN_POINT('',(38.355019,34.879503,-0.035)); #567483=CARTESIAN_POINT('',(38.355019,34.879503,0.)); #567484=CARTESIAN_POINT('',(38.355019,34.879503,0.)); #567485=CARTESIAN_POINT('',(38.355019,34.879503,-0.035)); #567486=CARTESIAN_POINT('Origin',(38.432416,34.89315,-0.035)); #567487=CARTESIAN_POINT('',(38.432416,34.89315,-0.035)); #567488=CARTESIAN_POINT('',(38.432416,34.89315,-0.035)); #567489=CARTESIAN_POINT('',(38.432416,34.89315,0.)); #567490=CARTESIAN_POINT('',(38.432416,34.89315,0.)); #567491=CARTESIAN_POINT('',(38.432416,34.89315,-0.035)); #567492=CARTESIAN_POINT('Origin',(38.510706,34.9,-0.035)); #567493=CARTESIAN_POINT('',(38.510706,34.9,-0.035)); #567494=CARTESIAN_POINT('',(38.510706,34.9,-0.035)); #567495=CARTESIAN_POINT('',(38.510706,34.9,0.)); #567496=CARTESIAN_POINT('',(38.510706,34.9,0.)); #567497=CARTESIAN_POINT('',(38.510706,34.9,-0.035)); #567498=CARTESIAN_POINT('Origin',(39.189294,34.9,-0.035)); #567499=CARTESIAN_POINT('',(39.189294,34.9,-0.035)); #567500=CARTESIAN_POINT('',(39.189294,34.9,-0.035)); #567501=CARTESIAN_POINT('',(39.189294,34.9,0.)); #567502=CARTESIAN_POINT('',(39.189294,34.9,0.)); #567503=CARTESIAN_POINT('',(39.189294,34.9,-0.035)); #567504=CARTESIAN_POINT('Origin',(39.267584,34.89315,-0.035)); #567505=CARTESIAN_POINT('',(39.267584,34.89315,-0.035)); #567506=CARTESIAN_POINT('',(39.267584,34.89315,-0.035)); #567507=CARTESIAN_POINT('',(39.267584,34.89315,0.)); #567508=CARTESIAN_POINT('',(39.267584,34.89315,0.)); #567509=CARTESIAN_POINT('',(39.267584,34.89315,-0.035)); #567510=CARTESIAN_POINT('Origin',(39.344981,34.879503,-0.035)); #567511=CARTESIAN_POINT('',(39.344981,34.879503,-0.035)); #567512=CARTESIAN_POINT('',(39.344981,34.879503,-0.035)); #567513=CARTESIAN_POINT('',(39.344981,34.879503,0.)); #567514=CARTESIAN_POINT('',(39.344981,34.879503,0.)); #567515=CARTESIAN_POINT('',(39.344981,34.879503,-0.035)); #567516=CARTESIAN_POINT('Origin',(39.420894,34.859163,-0.035)); #567517=CARTESIAN_POINT('',(39.420894,34.859163,-0.035)); #567518=CARTESIAN_POINT('',(39.420894,34.859163,-0.035)); #567519=CARTESIAN_POINT('',(39.420894,34.859163,0.)); #567520=CARTESIAN_POINT('',(39.420894,34.859163,0.)); #567521=CARTESIAN_POINT('',(39.420894,34.859163,-0.035)); #567522=CARTESIAN_POINT('Origin',(39.494744,34.832284,-0.035)); #567523=CARTESIAN_POINT('',(39.494744,34.832284,-0.035)); #567524=CARTESIAN_POINT('',(39.494744,34.832284,-0.035)); #567525=CARTESIAN_POINT('',(39.494744,34.832284,0.)); #567526=CARTESIAN_POINT('',(39.494744,34.832284,0.)); #567527=CARTESIAN_POINT('',(39.494744,34.832284,-0.035)); #567528=CARTESIAN_POINT('Origin',(39.565969,34.799069,-0.035)); #567529=CARTESIAN_POINT('',(39.565969,34.799069,-0.035)); #567530=CARTESIAN_POINT('',(39.565969,34.799069,-0.035)); #567531=CARTESIAN_POINT('',(39.565969,34.799069,0.)); #567532=CARTESIAN_POINT('',(39.565969,34.799069,0.)); #567533=CARTESIAN_POINT('',(39.565969,34.799069,-0.035)); #567534=CARTESIAN_POINT('Origin',(39.634031,34.759775,-0.035)); #567535=CARTESIAN_POINT('',(39.634031,34.759775,-0.035)); #567536=CARTESIAN_POINT('',(39.634031,34.759775,-0.035)); #567537=CARTESIAN_POINT('',(39.634031,34.759775,0.)); #567538=CARTESIAN_POINT('',(39.634031,34.759775,0.)); #567539=CARTESIAN_POINT('',(39.634031,34.759775,-0.035)); #567540=CARTESIAN_POINT('Origin',(39.698406,34.714697,-0.035)); #567541=CARTESIAN_POINT('',(39.698406,34.714697,-0.035)); #567542=CARTESIAN_POINT('',(39.698406,34.714697,-0.035)); #567543=CARTESIAN_POINT('',(39.698406,34.714697,0.)); #567544=CARTESIAN_POINT('',(39.698406,34.714697,0.)); #567545=CARTESIAN_POINT('',(39.698406,34.714697,-0.035)); #567546=CARTESIAN_POINT('Origin',(39.758609,34.664181,-0.035)); #567547=CARTESIAN_POINT('',(39.758609,34.664181,-0.035)); #567548=CARTESIAN_POINT('',(39.758609,34.664181,-0.035)); #567549=CARTESIAN_POINT('',(39.758609,34.664181,0.)); #567550=CARTESIAN_POINT('',(39.758609,34.664181,0.)); #567551=CARTESIAN_POINT('',(39.758609,34.664181,-0.035)); #567552=CARTESIAN_POINT('Origin',(39.814181,34.608609,-0.035)); #567553=CARTESIAN_POINT('',(39.814181,34.608609,-0.035)); #567554=CARTESIAN_POINT('',(39.814181,34.608609,-0.035)); #567555=CARTESIAN_POINT('',(39.814181,34.608609,0.)); #567556=CARTESIAN_POINT('',(39.814181,34.608609,0.)); #567557=CARTESIAN_POINT('',(39.814181,34.608609,-0.035)); #567558=CARTESIAN_POINT('Origin',(39.864697,34.548406,-0.035)); #567559=CARTESIAN_POINT('',(39.864697,34.548406,-0.035)); #567560=CARTESIAN_POINT('',(39.864697,34.548406,-0.035)); #567561=CARTESIAN_POINT('',(39.864697,34.548406,0.)); #567562=CARTESIAN_POINT('',(39.864697,34.548406,0.)); #567563=CARTESIAN_POINT('',(39.864697,34.548406,-0.035)); #567564=CARTESIAN_POINT('Origin',(39.909775,34.484031,-0.035)); #567565=CARTESIAN_POINT('',(39.909775,34.484031,-0.035)); #567566=CARTESIAN_POINT('',(39.909775,34.484031,-0.035)); #567567=CARTESIAN_POINT('',(39.909775,34.484031,0.)); #567568=CARTESIAN_POINT('',(39.909775,34.484031,0.)); #567569=CARTESIAN_POINT('',(39.909775,34.484031,-0.035)); #567570=CARTESIAN_POINT('Origin',(39.949069,34.415969,-0.035)); #567571=CARTESIAN_POINT('',(39.949069,34.415969,-0.035)); #567572=CARTESIAN_POINT('',(39.949069,34.415969,-0.035)); #567573=CARTESIAN_POINT('',(39.949069,34.415969,0.)); #567574=CARTESIAN_POINT('',(39.949069,34.415969,0.)); #567575=CARTESIAN_POINT('',(39.949069,34.415969,-0.035)); #567576=CARTESIAN_POINT('Origin',(39.982284,34.344744,-0.035)); #567577=CARTESIAN_POINT('',(39.982284,34.344744,-0.035)); #567578=CARTESIAN_POINT('',(39.982284,34.344744,-0.035)); #567579=CARTESIAN_POINT('',(39.982284,34.344744,0.)); #567580=CARTESIAN_POINT('',(39.982284,34.344744,0.)); #567581=CARTESIAN_POINT('',(39.982284,34.344744,-0.035)); #567582=CARTESIAN_POINT('Origin',(40.009163,34.270894,-0.035)); #567583=CARTESIAN_POINT('',(40.009163,34.270894,-0.035)); #567584=CARTESIAN_POINT('',(40.009163,34.270894,-0.035)); #567585=CARTESIAN_POINT('',(40.009163,34.270894,0.)); #567586=CARTESIAN_POINT('',(40.009163,34.270894,0.)); #567587=CARTESIAN_POINT('',(40.009163,34.270894,-0.035)); #567588=CARTESIAN_POINT('Origin',(40.029503,34.194981,-0.035)); #567589=CARTESIAN_POINT('',(40.029503,34.194981,-0.035)); #567590=CARTESIAN_POINT('',(40.029503,34.194981,-0.035)); #567591=CARTESIAN_POINT('',(40.029503,34.194981,0.)); #567592=CARTESIAN_POINT('',(40.029503,34.194981,0.)); #567593=CARTESIAN_POINT('',(40.029503,34.194981,-0.035)); #567594=CARTESIAN_POINT('Origin',(40.04315,34.117584,-0.035)); #567595=CARTESIAN_POINT('',(40.04315,34.117584,-0.035)); #567596=CARTESIAN_POINT('',(40.04315,34.117584,-0.035)); #567597=CARTESIAN_POINT('',(40.04315,34.117584,0.)); #567598=CARTESIAN_POINT('',(40.04315,34.117584,0.)); #567599=CARTESIAN_POINT('',(40.04315,34.117584,-0.035)); #567600=CARTESIAN_POINT('Origin',(40.05,34.039294,-0.035)); #567601=CARTESIAN_POINT('',(40.05,34.039294,-0.035)); #567602=CARTESIAN_POINT('',(40.05,34.039294,-0.035)); #567603=CARTESIAN_POINT('',(40.05,34.039294,0.)); #567604=CARTESIAN_POINT('',(40.05,34.039294,0.)); #567605=CARTESIAN_POINT('',(40.05,34.039294,-0.035)); #567606=CARTESIAN_POINT('Origin',(40.05,33.960706,-0.035)); #567607=CARTESIAN_POINT('',(40.05,33.960706,-0.035)); #567608=CARTESIAN_POINT('',(40.05,33.960706,-0.035)); #567609=CARTESIAN_POINT('',(40.05,33.960706,0.)); #567610=CARTESIAN_POINT('',(40.05,33.960706,0.)); #567611=CARTESIAN_POINT('',(40.05,33.960706,-0.035)); #567612=CARTESIAN_POINT('Origin',(40.04315,33.882416,-0.035)); #567613=CARTESIAN_POINT('',(40.04315,33.882416,-0.035)); #567614=CARTESIAN_POINT('',(40.04315,33.882416,-0.035)); #567615=CARTESIAN_POINT('',(40.04315,33.882416,0.)); #567616=CARTESIAN_POINT('',(40.04315,33.882416,0.)); #567617=CARTESIAN_POINT('',(40.04315,33.882416,-0.035)); #567618=CARTESIAN_POINT('Origin',(40.029503,33.805019,-0.035)); #567619=CARTESIAN_POINT('',(40.029503,33.805019,-0.035)); #567620=CARTESIAN_POINT('',(40.029503,33.805019,-0.035)); #567621=CARTESIAN_POINT('',(40.029503,33.805019,0.)); #567622=CARTESIAN_POINT('',(40.029503,33.805019,0.)); #567623=CARTESIAN_POINT('',(40.029503,33.805019,-0.035)); #567624=CARTESIAN_POINT('Origin',(40.009163,33.729106,-0.035)); #567625=CARTESIAN_POINT('',(40.009163,33.729106,-0.035)); #567626=CARTESIAN_POINT('',(40.009163,33.729106,-0.035)); #567627=CARTESIAN_POINT('',(40.009163,33.729106,0.)); #567628=CARTESIAN_POINT('',(40.009163,33.729106,0.)); #567629=CARTESIAN_POINT('',(40.009163,33.729106,-0.035)); #567630=CARTESIAN_POINT('Origin',(39.982284,33.655256,-0.035)); #567631=CARTESIAN_POINT('',(39.982284,33.655256,-0.035)); #567632=CARTESIAN_POINT('',(39.982284,33.655256,-0.035)); #567633=CARTESIAN_POINT('',(39.982284,33.655256,0.)); #567634=CARTESIAN_POINT('',(39.982284,33.655256,0.)); #567635=CARTESIAN_POINT('',(39.982284,33.655256,-0.035)); #567636=CARTESIAN_POINT('Origin',(39.949069,33.584031,-0.035)); #567637=CARTESIAN_POINT('',(39.949069,33.584031,-0.035)); #567638=CARTESIAN_POINT('',(39.949069,33.584031,-0.035)); #567639=CARTESIAN_POINT('',(39.949069,33.584031,0.)); #567640=CARTESIAN_POINT('',(39.949069,33.584031,0.)); #567641=CARTESIAN_POINT('',(39.949069,33.584031,-0.035)); #567642=CARTESIAN_POINT('Origin',(39.909775,33.515969,-0.035)); #567643=CARTESIAN_POINT('',(39.909775,33.515969,-0.035)); #567644=CARTESIAN_POINT('',(39.909775,33.515969,-0.035)); #567645=CARTESIAN_POINT('',(39.909775,33.515969,0.)); #567646=CARTESIAN_POINT('',(39.909775,33.515969,0.)); #567647=CARTESIAN_POINT('',(39.909775,33.515969,-0.035)); #567648=CARTESIAN_POINT('Origin',(39.864697,33.451594,-0.035)); #567649=CARTESIAN_POINT('',(39.864697,33.451594,-0.035)); #567650=CARTESIAN_POINT('',(39.864697,33.451594,-0.035)); #567651=CARTESIAN_POINT('',(39.864697,33.451594,0.)); #567652=CARTESIAN_POINT('',(39.864697,33.451594,0.)); #567653=CARTESIAN_POINT('',(39.864697,33.451594,-0.035)); #567654=CARTESIAN_POINT('Origin',(39.814181,33.391391,-0.035)); #567655=CARTESIAN_POINT('',(39.814181,33.391391,-0.035)); #567656=CARTESIAN_POINT('',(39.814181,33.391391,-0.035)); #567657=CARTESIAN_POINT('',(39.814181,33.391391,0.)); #567658=CARTESIAN_POINT('',(39.814181,33.391391,0.)); #567659=CARTESIAN_POINT('',(39.814181,33.391391,-0.035)); #567660=CARTESIAN_POINT('Origin',(39.758609,33.335819,-0.035)); #567661=CARTESIAN_POINT('',(39.758609,33.335819,-0.035)); #567662=CARTESIAN_POINT('',(39.758609,33.335819,-0.035)); #567663=CARTESIAN_POINT('',(39.758609,33.335819,0.)); #567664=CARTESIAN_POINT('',(39.758609,33.335819,0.)); #567665=CARTESIAN_POINT('',(39.758609,33.335819,-0.035)); #567666=CARTESIAN_POINT('Origin',(39.698406,33.285303,-0.035)); #567667=CARTESIAN_POINT('',(39.698406,33.285303,-0.035)); #567668=CARTESIAN_POINT('',(39.698406,33.285303,-0.035)); #567669=CARTESIAN_POINT('',(39.698406,33.285303,0.)); #567670=CARTESIAN_POINT('',(39.698406,33.285303,0.)); #567671=CARTESIAN_POINT('',(39.698406,33.285303,-0.035)); #567672=CARTESIAN_POINT('Origin',(39.634031,33.240225,-0.035)); #567673=CARTESIAN_POINT('',(39.634031,33.240225,-0.035)); #567674=CARTESIAN_POINT('',(39.634031,33.240225,-0.035)); #567675=CARTESIAN_POINT('',(39.634031,33.240225,0.)); #567676=CARTESIAN_POINT('',(39.634031,33.240225,0.)); #567677=CARTESIAN_POINT('',(39.634031,33.240225,-0.035)); #567678=CARTESIAN_POINT('Origin',(39.565969,33.200931,-0.035)); #567679=CARTESIAN_POINT('',(39.565969,33.200931,-0.035)); #567680=CARTESIAN_POINT('',(39.565969,33.200931,-0.035)); #567681=CARTESIAN_POINT('',(39.565969,33.200931,0.)); #567682=CARTESIAN_POINT('',(39.565969,33.200931,0.)); #567683=CARTESIAN_POINT('',(39.565969,33.200931,-0.035)); #567684=CARTESIAN_POINT('Origin',(39.494744,33.167716,-0.035)); #567685=CARTESIAN_POINT('',(39.494744,33.167716,-0.035)); #567686=CARTESIAN_POINT('',(39.494744,33.167716,-0.035)); #567687=CARTESIAN_POINT('',(39.494744,33.167716,0.)); #567688=CARTESIAN_POINT('',(39.494744,33.167716,0.)); #567689=CARTESIAN_POINT('',(39.494744,33.167716,-0.035)); #567690=CARTESIAN_POINT('Origin',(39.420894,33.140838,-0.035)); #567691=CARTESIAN_POINT('',(39.420894,33.140838,-0.035)); #567692=CARTESIAN_POINT('',(39.420894,33.140838,-0.035)); #567693=CARTESIAN_POINT('',(39.420894,33.140838,0.)); #567694=CARTESIAN_POINT('',(39.420894,33.140838,0.)); #567695=CARTESIAN_POINT('',(39.420894,33.140838,-0.035)); #567696=CARTESIAN_POINT('Origin',(39.344981,33.120497,-0.035)); #567697=CARTESIAN_POINT('',(39.344981,33.120497,-0.035)); #567698=CARTESIAN_POINT('',(39.344981,33.120497,-0.035)); #567699=CARTESIAN_POINT('',(39.344981,33.120497,0.)); #567700=CARTESIAN_POINT('',(39.344981,33.120497,0.)); #567701=CARTESIAN_POINT('',(39.344981,33.120497,-0.035)); #567702=CARTESIAN_POINT('Origin',(39.267584,33.10685,-0.035)); #567703=CARTESIAN_POINT('',(39.267584,33.10685,-0.035)); #567704=CARTESIAN_POINT('',(39.267584,33.10685,0.)); #567705=CARTESIAN_POINT('Origin',(38.8500000489324,34.0000000416541,0.)); #567706=CARTESIAN_POINT('Origin',(38.8500000489324,34.0000000416541,-0.035)); #567707=CARTESIAN_POINT('Origin',(12.25,34.,-200.)); #567708=CARTESIAN_POINT('',(11.785,34.,-0.0349999999999895)); #567709=CARTESIAN_POINT('Origin',(12.25,34.,-0.0349999999999895)); #567710=CARTESIAN_POINT('',(11.785,34.,0.)); #567711=CARTESIAN_POINT('',(11.785,34.,-200.)); #567712=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #567713=CARTESIAN_POINT('Origin',(12.286844,33.25,-0.035)); #567714=CARTESIAN_POINT('',(12.286844,33.25,-0.035)); #567715=CARTESIAN_POINT('',(12.360181,33.257222,-0.035)); #567716=CARTESIAN_POINT('',(12.286844,33.25,-0.035)); #567717=CARTESIAN_POINT('',(12.360181,33.257222,0.)); #567718=CARTESIAN_POINT('',(12.360181,33.257222,-0.035)); #567719=CARTESIAN_POINT('',(12.286844,33.25,0.)); #567720=CARTESIAN_POINT('',(12.286844,33.25,0.)); #567721=CARTESIAN_POINT('',(12.286844,33.25,-0.035)); #567722=CARTESIAN_POINT('Origin',(12.2500001874156,34.0000005308286,-0.035)); #567723=CARTESIAN_POINT('',(12.139819,34.742778,-0.035)); #567724=CARTESIAN_POINT('Origin',(12.2500001874156,34.0000005308286,-0.035)); #567725=CARTESIAN_POINT('',(12.139819,34.742778,0.)); #567726=CARTESIAN_POINT('Origin',(12.2500001874156,34.0000005308286,0.)); #567727=CARTESIAN_POINT('',(12.139819,34.742778,-0.035)); #567728=CARTESIAN_POINT('Origin',(12.25,34.,-0.035)); #567729=CARTESIAN_POINT('Origin',(12.25,34.,-0.035)); #567730=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #567731=CARTESIAN_POINT('Origin',(12.2483877860344,33.9986960162912,0.)); #567732=CARTESIAN_POINT('Origin',(12.2483877860344,33.9986960162912,-0.035)); #567733=CARTESIAN_POINT('Origin',(24.25,34.,-200.)); #567734=CARTESIAN_POINT('',(23.735,34.,-0.0349999999999895)); #567735=CARTESIAN_POINT('Origin',(24.25,34.,-0.0349999999999895)); #567736=CARTESIAN_POINT('',(23.735,34.,0.)); #567737=CARTESIAN_POINT('',(23.735,34.,-200.)); #567738=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #567739=CARTESIAN_POINT('Origin',(24.290528,33.175,-0.035)); #567740=CARTESIAN_POINT('',(24.290528,33.175,-0.035)); #567741=CARTESIAN_POINT('',(24.3712,33.182944,-0.035)); #567742=CARTESIAN_POINT('',(24.290528,33.175,-0.035)); #567743=CARTESIAN_POINT('',(24.3712,33.182944,0.)); #567744=CARTESIAN_POINT('',(24.3712,33.182944,-0.035)); #567745=CARTESIAN_POINT('',(24.290528,33.175,0.)); #567746=CARTESIAN_POINT('',(24.290528,33.175,0.)); #567747=CARTESIAN_POINT('',(24.290528,33.175,-0.035)); #567748=CARTESIAN_POINT('Origin',(24.24999984599,34.0000007242556,-0.035)); #567749=CARTESIAN_POINT('',(24.1288,34.817056,-0.035)); #567750=CARTESIAN_POINT('Origin',(24.24999984599,34.0000007242556,-0.035)); #567751=CARTESIAN_POINT('',(24.1288,34.817056,0.)); #567752=CARTESIAN_POINT('Origin',(24.24999984599,34.0000007242556,0.)); #567753=CARTESIAN_POINT('',(24.1288,34.817056,-0.035)); #567754=CARTESIAN_POINT('Origin',(24.25,34.,-0.035)); #567755=CARTESIAN_POINT('Origin',(24.25,34.,-0.035)); #567756=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #567757=CARTESIAN_POINT('Origin',(24.24822648407,33.9985656780324,0.)); #567758=CARTESIAN_POINT('Origin',(24.24822648407,33.9985656780324,-0.035)); #567759=CARTESIAN_POINT('Origin',(38.635,6.27999997467882,-200.)); #567760=CARTESIAN_POINT('',(38.635,7.07999999155961,0.)); #567761=CARTESIAN_POINT('',(38.635,6.27999997467882,0.)); #567762=CARTESIAN_POINT('',(38.635,6.47999999235904,0.)); #567763=CARTESIAN_POINT('',(38.635,7.07999999155961,-0.0349999999999895)); #567764=CARTESIAN_POINT('',(38.635,7.07999999155961,-200.)); #567765=CARTESIAN_POINT('',(38.635,6.27999997467882,-0.0349999999999895)); #567766=CARTESIAN_POINT('',(38.635,6.47999999235904,-0.0350000000000072)); #567767=CARTESIAN_POINT('',(38.635,6.27999997467882,-200.)); #567768=CARTESIAN_POINT('Origin',(38.32,6.28,-200.)); #567769=CARTESIAN_POINT('',(38.005,6.28000000844039,0.)); #567770=CARTESIAN_POINT('Origin',(38.32,6.28,0.)); #567771=CARTESIAN_POINT('',(38.005,6.28000000844039,-0.0349999999999895)); #567772=CARTESIAN_POINT('Origin',(38.32,6.28,-0.0349999999999895)); #567773=CARTESIAN_POINT('',(38.005,6.28000000844039,-200.)); #567774=CARTESIAN_POINT('Origin',(38.005,7.08000002532118,-200.)); #567775=CARTESIAN_POINT('',(38.005,7.08000002532118,0.)); #567776=CARTESIAN_POINT('',(38.005,6.88000001768022,0.)); #567777=CARTESIAN_POINT('',(38.005,7.08000002532118,-0.0349999999999895)); #567778=CARTESIAN_POINT('',(38.005,6.88000001768022,-0.0350000000000072)); #567779=CARTESIAN_POINT('',(38.005,7.08000002532118,-200.)); #567780=CARTESIAN_POINT('Origin',(38.32,7.08,-200.)); #567781=CARTESIAN_POINT('Origin',(38.32,7.08,0.)); #567782=CARTESIAN_POINT('Origin',(38.32,7.08,-0.0349999999999895)); #567783=CARTESIAN_POINT('Origin',(38.350887,5.73,-0.035)); #567784=CARTESIAN_POINT('',(38.350887,5.73,-0.035)); #567785=CARTESIAN_POINT('',(38.412275,5.7369156,-0.035)); #567786=CARTESIAN_POINT('',(38.350887,5.73,-0.035)); #567787=CARTESIAN_POINT('',(38.412275,5.7369156,0.)); #567788=CARTESIAN_POINT('',(38.412275,5.7369156,-0.035)); #567789=CARTESIAN_POINT('',(38.350887,5.73,0.)); #567790=CARTESIAN_POINT('',(38.350887,5.73,0.)); #567791=CARTESIAN_POINT('',(38.350887,5.73,-0.035)); #567792=CARTESIAN_POINT('Origin',(38.289113,5.73,-0.035)); #567793=CARTESIAN_POINT('',(38.289113,5.73,-0.035)); #567794=CARTESIAN_POINT('',(38.289113,5.73,-0.035)); #567795=CARTESIAN_POINT('',(38.289113,5.73,0.)); #567796=CARTESIAN_POINT('',(38.289113,5.73,0.)); #567797=CARTESIAN_POINT('',(38.289113,5.73,-0.035)); #567798=CARTESIAN_POINT('Origin',(38.227725,5.7369156,-0.035)); #567799=CARTESIAN_POINT('',(38.227725,5.7369156,-0.035)); #567800=CARTESIAN_POINT('',(38.227725,5.7369156,-0.035)); #567801=CARTESIAN_POINT('',(38.227725,5.7369156,0.)); #567802=CARTESIAN_POINT('',(38.227725,5.7369156,0.)); #567803=CARTESIAN_POINT('',(38.227725,5.7369156,-0.035)); #567804=CARTESIAN_POINT('Origin',(38.1675,5.7506625,-0.035)); #567805=CARTESIAN_POINT('',(38.1675,5.7506625,-0.035)); #567806=CARTESIAN_POINT('',(38.1675,5.7506625,-0.035)); #567807=CARTESIAN_POINT('',(38.1675,5.7506625,0.)); #567808=CARTESIAN_POINT('',(38.1675,5.7506625,0.)); #567809=CARTESIAN_POINT('',(38.1675,5.7506625,-0.035)); #567810=CARTESIAN_POINT('Origin',(38.109194,5.7710656,-0.035)); #567811=CARTESIAN_POINT('',(38.109194,5.7710656,-0.035)); #567812=CARTESIAN_POINT('',(38.109194,5.7710656,-0.035)); #567813=CARTESIAN_POINT('',(38.109194,5.7710656,0.)); #567814=CARTESIAN_POINT('',(38.109194,5.7710656,0.)); #567815=CARTESIAN_POINT('',(38.109194,5.7710656,-0.035)); #567816=CARTESIAN_POINT('Origin',(38.053534,5.7978688,-0.035)); #567817=CARTESIAN_POINT('',(38.053534,5.7978688,-0.035)); #567818=CARTESIAN_POINT('',(38.053534,5.7978688,-0.035)); #567819=CARTESIAN_POINT('',(38.053534,5.7978688,0.)); #567820=CARTESIAN_POINT('',(38.053534,5.7978688,0.)); #567821=CARTESIAN_POINT('',(38.053534,5.7978688,-0.035)); #567822=CARTESIAN_POINT('Origin',(38.001228,5.8307344,-0.035)); #567823=CARTESIAN_POINT('',(38.001228,5.8307344,-0.035)); #567824=CARTESIAN_POINT('',(38.001228,5.8307344,-0.035)); #567825=CARTESIAN_POINT('',(38.001228,5.8307344,0.)); #567826=CARTESIAN_POINT('',(38.001228,5.8307344,0.)); #567827=CARTESIAN_POINT('',(38.001228,5.8307344,-0.035)); #567828=CARTESIAN_POINT('Origin',(37.952931,5.86925,-0.035)); #567829=CARTESIAN_POINT('',(37.952931,5.86925,-0.035)); #567830=CARTESIAN_POINT('',(37.952931,5.86925,-0.035)); #567831=CARTESIAN_POINT('',(37.952931,5.86925,0.)); #567832=CARTESIAN_POINT('',(37.952931,5.86925,0.)); #567833=CARTESIAN_POINT('',(37.952931,5.86925,-0.035)); #567834=CARTESIAN_POINT('Origin',(37.90925,5.9129313,-0.035)); #567835=CARTESIAN_POINT('',(37.90925,5.9129313,-0.035)); #567836=CARTESIAN_POINT('',(37.90925,5.9129313,-0.035)); #567837=CARTESIAN_POINT('',(37.90925,5.9129313,0.)); #567838=CARTESIAN_POINT('',(37.90925,5.9129313,0.)); #567839=CARTESIAN_POINT('',(37.90925,5.9129313,-0.035)); #567840=CARTESIAN_POINT('Origin',(37.870734,5.9612281,-0.035)); #567841=CARTESIAN_POINT('',(37.870734,5.9612281,-0.035)); #567842=CARTESIAN_POINT('',(37.870734,5.9612281,-0.035)); #567843=CARTESIAN_POINT('',(37.870734,5.9612281,0.)); #567844=CARTESIAN_POINT('',(37.870734,5.9612281,0.)); #567845=CARTESIAN_POINT('',(37.870734,5.9612281,-0.035)); #567846=CARTESIAN_POINT('Origin',(37.837869,6.0135344,-0.035)); #567847=CARTESIAN_POINT('',(37.837869,6.0135344,-0.035)); #567848=CARTESIAN_POINT('',(37.837869,6.0135344,-0.035)); #567849=CARTESIAN_POINT('',(37.837869,6.0135344,0.)); #567850=CARTESIAN_POINT('',(37.837869,6.0135344,0.)); #567851=CARTESIAN_POINT('',(37.837869,6.0135344,-0.035)); #567852=CARTESIAN_POINT('Origin',(37.811066,6.0691938,-0.035)); #567853=CARTESIAN_POINT('',(37.811066,6.0691938,-0.035)); #567854=CARTESIAN_POINT('',(37.811066,6.0691938,-0.035)); #567855=CARTESIAN_POINT('',(37.811066,6.0691938,0.)); #567856=CARTESIAN_POINT('',(37.811066,6.0691938,0.)); #567857=CARTESIAN_POINT('',(37.811066,6.0691938,-0.035)); #567858=CARTESIAN_POINT('Origin',(37.790663,6.1275,-0.035)); #567859=CARTESIAN_POINT('',(37.790663,6.1275,-0.035)); #567860=CARTESIAN_POINT('',(37.790663,6.1275,-0.035)); #567861=CARTESIAN_POINT('',(37.790663,6.1275,0.)); #567862=CARTESIAN_POINT('',(37.790663,6.1275,0.)); #567863=CARTESIAN_POINT('',(37.790663,6.1275,-0.035)); #567864=CARTESIAN_POINT('Origin',(37.776916,6.187725,-0.035)); #567865=CARTESIAN_POINT('',(37.776916,6.187725,-0.035)); #567866=CARTESIAN_POINT('',(37.776916,6.187725,-0.035)); #567867=CARTESIAN_POINT('',(37.776916,6.187725,0.)); #567868=CARTESIAN_POINT('',(37.776916,6.187725,0.)); #567869=CARTESIAN_POINT('',(37.776916,6.187725,-0.035)); #567870=CARTESIAN_POINT('Origin',(37.77,6.2491125,-0.035)); #567871=CARTESIAN_POINT('',(37.77,6.2491125,-0.035)); #567872=CARTESIAN_POINT('',(37.77,6.2491125,-0.035)); #567873=CARTESIAN_POINT('',(37.77,6.2491125,0.)); #567874=CARTESIAN_POINT('',(37.77,6.2491125,0.)); #567875=CARTESIAN_POINT('',(37.77,6.2491125,-0.035)); #567876=CARTESIAN_POINT('Origin',(37.77,7.1108875,-0.035)); #567877=CARTESIAN_POINT('',(37.77,7.1108875,-0.035)); #567878=CARTESIAN_POINT('',(37.77,7.1108875,-0.035)); #567879=CARTESIAN_POINT('',(37.77,7.1108875,0.)); #567880=CARTESIAN_POINT('',(37.77,7.1108875,0.)); #567881=CARTESIAN_POINT('',(37.77,7.1108875,-0.035)); #567882=CARTESIAN_POINT('Origin',(37.776916,7.172275,-0.035)); #567883=CARTESIAN_POINT('',(37.776916,7.172275,-0.035)); #567884=CARTESIAN_POINT('',(37.776916,7.172275,-0.035)); #567885=CARTESIAN_POINT('',(37.776916,7.172275,0.)); #567886=CARTESIAN_POINT('',(37.776916,7.172275,0.)); #567887=CARTESIAN_POINT('',(37.776916,7.172275,-0.035)); #567888=CARTESIAN_POINT('Origin',(37.790663,7.2325,-0.035)); #567889=CARTESIAN_POINT('',(37.790663,7.2325,-0.035)); #567890=CARTESIAN_POINT('',(37.790663,7.2325,-0.035)); #567891=CARTESIAN_POINT('',(37.790663,7.2325,0.)); #567892=CARTESIAN_POINT('',(37.790663,7.2325,0.)); #567893=CARTESIAN_POINT('',(37.790663,7.2325,-0.035)); #567894=CARTESIAN_POINT('Origin',(37.811066,7.2908063,-0.035)); #567895=CARTESIAN_POINT('',(37.811066,7.2908063,-0.035)); #567896=CARTESIAN_POINT('',(37.811066,7.2908063,-0.035)); #567897=CARTESIAN_POINT('',(37.811066,7.2908063,0.)); #567898=CARTESIAN_POINT('',(37.811066,7.2908063,0.)); #567899=CARTESIAN_POINT('',(37.811066,7.2908063,-0.035)); #567900=CARTESIAN_POINT('Origin',(37.837869,7.3464656,-0.035)); #567901=CARTESIAN_POINT('',(37.837869,7.3464656,-0.035)); #567902=CARTESIAN_POINT('',(37.837869,7.3464656,-0.035)); #567903=CARTESIAN_POINT('',(37.837869,7.3464656,0.)); #567904=CARTESIAN_POINT('',(37.837869,7.3464656,0.)); #567905=CARTESIAN_POINT('',(37.837869,7.3464656,-0.035)); #567906=CARTESIAN_POINT('Origin',(37.870734,7.3987719,-0.035)); #567907=CARTESIAN_POINT('',(37.870734,7.3987719,-0.035)); #567908=CARTESIAN_POINT('',(37.870734,7.3987719,-0.035)); #567909=CARTESIAN_POINT('',(37.870734,7.3987719,0.)); #567910=CARTESIAN_POINT('',(37.870734,7.3987719,0.)); #567911=CARTESIAN_POINT('',(37.870734,7.3987719,-0.035)); #567912=CARTESIAN_POINT('Origin',(37.90925,7.4470688,-0.035)); #567913=CARTESIAN_POINT('',(37.90925,7.4470688,-0.035)); #567914=CARTESIAN_POINT('',(37.90925,7.4470688,-0.035)); #567915=CARTESIAN_POINT('',(37.90925,7.4470688,0.)); #567916=CARTESIAN_POINT('',(37.90925,7.4470688,0.)); #567917=CARTESIAN_POINT('',(37.90925,7.4470688,-0.035)); #567918=CARTESIAN_POINT('Origin',(37.952931,7.49075,-0.035)); #567919=CARTESIAN_POINT('',(37.952931,7.49075,-0.035)); #567920=CARTESIAN_POINT('',(37.952931,7.49075,-0.035)); #567921=CARTESIAN_POINT('',(37.952931,7.49075,0.)); #567922=CARTESIAN_POINT('',(37.952931,7.49075,0.)); #567923=CARTESIAN_POINT('',(37.952931,7.49075,-0.035)); #567924=CARTESIAN_POINT('Origin',(38.001228,7.5292656,-0.035)); #567925=CARTESIAN_POINT('',(38.001228,7.5292656,-0.035)); #567926=CARTESIAN_POINT('',(38.001228,7.5292656,-0.035)); #567927=CARTESIAN_POINT('',(38.001228,7.5292656,0.)); #567928=CARTESIAN_POINT('',(38.001228,7.5292656,0.)); #567929=CARTESIAN_POINT('',(38.001228,7.5292656,-0.035)); #567930=CARTESIAN_POINT('Origin',(38.053534,7.5621313,-0.035)); #567931=CARTESIAN_POINT('',(38.053534,7.5621313,-0.035)); #567932=CARTESIAN_POINT('',(38.053534,7.5621313,-0.035)); #567933=CARTESIAN_POINT('',(38.053534,7.5621313,0.)); #567934=CARTESIAN_POINT('',(38.053534,7.5621313,0.)); #567935=CARTESIAN_POINT('',(38.053534,7.5621313,-0.035)); #567936=CARTESIAN_POINT('Origin',(38.109194,7.5889344,-0.035)); #567937=CARTESIAN_POINT('',(38.109194,7.5889344,-0.035)); #567938=CARTESIAN_POINT('',(38.109194,7.5889344,-0.035)); #567939=CARTESIAN_POINT('',(38.109194,7.5889344,0.)); #567940=CARTESIAN_POINT('',(38.109194,7.5889344,0.)); #567941=CARTESIAN_POINT('',(38.109194,7.5889344,-0.035)); #567942=CARTESIAN_POINT('Origin',(38.1675,7.6093375,-0.035)); #567943=CARTESIAN_POINT('',(38.1675,7.6093375,-0.035)); #567944=CARTESIAN_POINT('',(38.1675,7.6093375,-0.035)); #567945=CARTESIAN_POINT('',(38.1675,7.6093375,0.)); #567946=CARTESIAN_POINT('',(38.1675,7.6093375,0.)); #567947=CARTESIAN_POINT('',(38.1675,7.6093375,-0.035)); #567948=CARTESIAN_POINT('Origin',(38.227725,7.6230844,-0.035)); #567949=CARTESIAN_POINT('',(38.227725,7.6230844,-0.035)); #567950=CARTESIAN_POINT('',(38.227725,7.6230844,-0.035)); #567951=CARTESIAN_POINT('',(38.227725,7.6230844,0.)); #567952=CARTESIAN_POINT('',(38.227725,7.6230844,0.)); #567953=CARTESIAN_POINT('',(38.227725,7.6230844,-0.035)); #567954=CARTESIAN_POINT('Origin',(38.289113,7.63,-0.035)); #567955=CARTESIAN_POINT('',(38.289113,7.63,-0.035)); #567956=CARTESIAN_POINT('',(38.289113,7.63,-0.035)); #567957=CARTESIAN_POINT('',(38.289113,7.63,0.)); #567958=CARTESIAN_POINT('',(38.289113,7.63,0.)); #567959=CARTESIAN_POINT('',(38.289113,7.63,-0.035)); #567960=CARTESIAN_POINT('Origin',(38.350887,7.63,-0.035)); #567961=CARTESIAN_POINT('',(38.350887,7.63,-0.035)); #567962=CARTESIAN_POINT('',(38.350887,7.63,-0.035)); #567963=CARTESIAN_POINT('',(38.350887,7.63,0.)); #567964=CARTESIAN_POINT('',(38.350887,7.63,0.)); #567965=CARTESIAN_POINT('',(38.350887,7.63,-0.035)); #567966=CARTESIAN_POINT('Origin',(38.412275,7.6230844,-0.035)); #567967=CARTESIAN_POINT('',(38.412275,7.6230844,-0.035)); #567968=CARTESIAN_POINT('',(38.412275,7.6230844,-0.035)); #567969=CARTESIAN_POINT('',(38.412275,7.6230844,0.)); #567970=CARTESIAN_POINT('',(38.412275,7.6230844,0.)); #567971=CARTESIAN_POINT('',(38.412275,7.6230844,-0.035)); #567972=CARTESIAN_POINT('Origin',(38.4725,7.6093375,-0.035)); #567973=CARTESIAN_POINT('',(38.4725,7.6093375,-0.035)); #567974=CARTESIAN_POINT('',(38.4725,7.6093375,-0.035)); #567975=CARTESIAN_POINT('',(38.4725,7.6093375,0.)); #567976=CARTESIAN_POINT('',(38.4725,7.6093375,0.)); #567977=CARTESIAN_POINT('',(38.4725,7.6093375,-0.035)); #567978=CARTESIAN_POINT('Origin',(38.530806,7.5889344,-0.035)); #567979=CARTESIAN_POINT('',(38.530806,7.5889344,-0.035)); #567980=CARTESIAN_POINT('',(38.530806,7.5889344,-0.035)); #567981=CARTESIAN_POINT('',(38.530806,7.5889344,0.)); #567982=CARTESIAN_POINT('',(38.530806,7.5889344,0.)); #567983=CARTESIAN_POINT('',(38.530806,7.5889344,-0.035)); #567984=CARTESIAN_POINT('Origin',(38.586466,7.5621313,-0.035)); #567985=CARTESIAN_POINT('',(38.586466,7.5621313,-0.035)); #567986=CARTESIAN_POINT('',(38.586466,7.5621313,-0.035)); #567987=CARTESIAN_POINT('',(38.586466,7.5621313,0.)); #567988=CARTESIAN_POINT('',(38.586466,7.5621313,0.)); #567989=CARTESIAN_POINT('',(38.586466,7.5621313,-0.035)); #567990=CARTESIAN_POINT('Origin',(38.638772,7.5292656,-0.035)); #567991=CARTESIAN_POINT('',(38.638772,7.5292656,-0.035)); #567992=CARTESIAN_POINT('',(38.638772,7.5292656,-0.035)); #567993=CARTESIAN_POINT('',(38.638772,7.5292656,0.)); #567994=CARTESIAN_POINT('',(38.638772,7.5292656,0.)); #567995=CARTESIAN_POINT('',(38.638772,7.5292656,-0.035)); #567996=CARTESIAN_POINT('Origin',(38.687069,7.49075,-0.035)); #567997=CARTESIAN_POINT('',(38.687069,7.49075,-0.035)); #567998=CARTESIAN_POINT('',(38.687069,7.49075,-0.035)); #567999=CARTESIAN_POINT('',(38.687069,7.49075,0.)); #568000=CARTESIAN_POINT('',(38.687069,7.49075,0.)); #568001=CARTESIAN_POINT('',(38.687069,7.49075,-0.035)); #568002=CARTESIAN_POINT('Origin',(38.73075,7.4470688,-0.035)); #568003=CARTESIAN_POINT('',(38.73075,7.4470688,-0.035)); #568004=CARTESIAN_POINT('',(38.73075,7.4470688,-0.035)); #568005=CARTESIAN_POINT('',(38.73075,7.4470688,0.)); #568006=CARTESIAN_POINT('',(38.73075,7.4470688,0.)); #568007=CARTESIAN_POINT('',(38.73075,7.4470688,-0.035)); #568008=CARTESIAN_POINT('Origin',(38.769266,7.3987719,-0.035)); #568009=CARTESIAN_POINT('',(38.769266,7.3987719,-0.035)); #568010=CARTESIAN_POINT('',(38.769266,7.3987719,-0.035)); #568011=CARTESIAN_POINT('',(38.769266,7.3987719,0.)); #568012=CARTESIAN_POINT('',(38.769266,7.3987719,0.)); #568013=CARTESIAN_POINT('',(38.769266,7.3987719,-0.035)); #568014=CARTESIAN_POINT('Origin',(38.802131,7.3464656,-0.035)); #568015=CARTESIAN_POINT('',(38.802131,7.3464656,-0.035)); #568016=CARTESIAN_POINT('',(38.802131,7.3464656,-0.035)); #568017=CARTESIAN_POINT('',(38.802131,7.3464656,0.)); #568018=CARTESIAN_POINT('',(38.802131,7.3464656,0.)); #568019=CARTESIAN_POINT('',(38.802131,7.3464656,-0.035)); #568020=CARTESIAN_POINT('Origin',(38.828934,7.2908063,-0.035)); #568021=CARTESIAN_POINT('',(38.828934,7.2908063,-0.035)); #568022=CARTESIAN_POINT('',(38.828934,7.2908063,-0.035)); #568023=CARTESIAN_POINT('',(38.828934,7.2908063,0.)); #568024=CARTESIAN_POINT('',(38.828934,7.2908063,0.)); #568025=CARTESIAN_POINT('',(38.828934,7.2908063,-0.035)); #568026=CARTESIAN_POINT('Origin',(38.849338,7.2325,-0.035)); #568027=CARTESIAN_POINT('',(38.849338,7.2325,-0.035)); #568028=CARTESIAN_POINT('',(38.849338,7.2325,-0.035)); #568029=CARTESIAN_POINT('',(38.849338,7.2325,0.)); #568030=CARTESIAN_POINT('',(38.849338,7.2325,0.)); #568031=CARTESIAN_POINT('',(38.849338,7.2325,-0.035)); #568032=CARTESIAN_POINT('Origin',(38.863084,7.172275,-0.035)); #568033=CARTESIAN_POINT('',(38.863084,7.172275,-0.035)); #568034=CARTESIAN_POINT('',(38.863084,7.172275,-0.035)); #568035=CARTESIAN_POINT('',(38.863084,7.172275,0.)); #568036=CARTESIAN_POINT('',(38.863084,7.172275,0.)); #568037=CARTESIAN_POINT('',(38.863084,7.172275,-0.035)); #568038=CARTESIAN_POINT('Origin',(38.87,7.1108875,-0.035)); #568039=CARTESIAN_POINT('',(38.87,7.1108875,-0.035)); #568040=CARTESIAN_POINT('',(38.87,7.1108875,-0.035)); #568041=CARTESIAN_POINT('',(38.87,7.1108875,0.)); #568042=CARTESIAN_POINT('',(38.87,7.1108875,0.)); #568043=CARTESIAN_POINT('',(38.87,7.1108875,-0.035)); #568044=CARTESIAN_POINT('Origin',(38.87,6.2491125,-0.035)); #568045=CARTESIAN_POINT('',(38.87,6.2491125,-0.035)); #568046=CARTESIAN_POINT('',(38.87,6.2491125,-0.035)); #568047=CARTESIAN_POINT('',(38.87,6.2491125,0.)); #568048=CARTESIAN_POINT('',(38.87,6.2491125,0.)); #568049=CARTESIAN_POINT('',(38.87,6.2491125,-0.035)); #568050=CARTESIAN_POINT('Origin',(38.863084,6.187725,-0.035)); #568051=CARTESIAN_POINT('',(38.863084,6.187725,-0.035)); #568052=CARTESIAN_POINT('',(38.863084,6.187725,-0.035)); #568053=CARTESIAN_POINT('',(38.863084,6.187725,0.)); #568054=CARTESIAN_POINT('',(38.863084,6.187725,0.)); #568055=CARTESIAN_POINT('',(38.863084,6.187725,-0.035)); #568056=CARTESIAN_POINT('Origin',(38.849338,6.1275,-0.035)); #568057=CARTESIAN_POINT('',(38.849338,6.1275,-0.035)); #568058=CARTESIAN_POINT('',(38.849338,6.1275,-0.035)); #568059=CARTESIAN_POINT('',(38.849338,6.1275,0.)); #568060=CARTESIAN_POINT('',(38.849338,6.1275,0.)); #568061=CARTESIAN_POINT('',(38.849338,6.1275,-0.035)); #568062=CARTESIAN_POINT('Origin',(38.828934,6.0691938,-0.035)); #568063=CARTESIAN_POINT('',(38.828934,6.0691938,-0.035)); #568064=CARTESIAN_POINT('',(38.828934,6.0691938,-0.035)); #568065=CARTESIAN_POINT('',(38.828934,6.0691938,0.)); #568066=CARTESIAN_POINT('',(38.828934,6.0691938,0.)); #568067=CARTESIAN_POINT('',(38.828934,6.0691938,-0.035)); #568068=CARTESIAN_POINT('Origin',(38.802131,6.0135344,-0.035)); #568069=CARTESIAN_POINT('',(38.802131,6.0135344,-0.035)); #568070=CARTESIAN_POINT('',(38.802131,6.0135344,-0.035)); #568071=CARTESIAN_POINT('',(38.802131,6.0135344,0.)); #568072=CARTESIAN_POINT('',(38.802131,6.0135344,0.)); #568073=CARTESIAN_POINT('',(38.802131,6.0135344,-0.035)); #568074=CARTESIAN_POINT('Origin',(38.769266,5.9612281,-0.035)); #568075=CARTESIAN_POINT('',(38.769266,5.9612281,-0.035)); #568076=CARTESIAN_POINT('',(38.769266,5.9612281,-0.035)); #568077=CARTESIAN_POINT('',(38.769266,5.9612281,0.)); #568078=CARTESIAN_POINT('',(38.769266,5.9612281,0.)); #568079=CARTESIAN_POINT('',(38.769266,5.9612281,-0.035)); #568080=CARTESIAN_POINT('Origin',(38.73075,5.9129313,-0.035)); #568081=CARTESIAN_POINT('',(38.73075,5.9129313,-0.035)); #568082=CARTESIAN_POINT('',(38.73075,5.9129313,-0.035)); #568083=CARTESIAN_POINT('',(38.73075,5.9129313,0.)); #568084=CARTESIAN_POINT('',(38.73075,5.9129313,0.)); #568085=CARTESIAN_POINT('',(38.73075,5.9129313,-0.035)); #568086=CARTESIAN_POINT('Origin',(38.687069,5.86925,-0.035)); #568087=CARTESIAN_POINT('',(38.687069,5.86925,-0.035)); #568088=CARTESIAN_POINT('',(38.687069,5.86925,-0.035)); #568089=CARTESIAN_POINT('',(38.687069,5.86925,0.)); #568090=CARTESIAN_POINT('',(38.687069,5.86925,0.)); #568091=CARTESIAN_POINT('',(38.687069,5.86925,-0.035)); #568092=CARTESIAN_POINT('Origin',(38.638772,5.8307344,-0.035)); #568093=CARTESIAN_POINT('',(38.638772,5.8307344,-0.035)); #568094=CARTESIAN_POINT('',(38.638772,5.8307344,-0.035)); #568095=CARTESIAN_POINT('',(38.638772,5.8307344,0.)); #568096=CARTESIAN_POINT('',(38.638772,5.8307344,0.)); #568097=CARTESIAN_POINT('',(38.638772,5.8307344,-0.035)); #568098=CARTESIAN_POINT('Origin',(38.586466,5.7978688,-0.035)); #568099=CARTESIAN_POINT('',(38.586466,5.7978688,-0.035)); #568100=CARTESIAN_POINT('',(38.586466,5.7978688,-0.035)); #568101=CARTESIAN_POINT('',(38.586466,5.7978688,0.)); #568102=CARTESIAN_POINT('',(38.586466,5.7978688,0.)); #568103=CARTESIAN_POINT('',(38.586466,5.7978688,-0.035)); #568104=CARTESIAN_POINT('Origin',(38.530806,5.7710656,-0.035)); #568105=CARTESIAN_POINT('',(38.530806,5.7710656,-0.035)); #568106=CARTESIAN_POINT('',(38.530806,5.7710656,-0.035)); #568107=CARTESIAN_POINT('',(38.530806,5.7710656,0.)); #568108=CARTESIAN_POINT('',(38.530806,5.7710656,0.)); #568109=CARTESIAN_POINT('',(38.530806,5.7710656,-0.035)); #568110=CARTESIAN_POINT('Origin',(38.4725,5.7506625,-0.035)); #568111=CARTESIAN_POINT('',(38.4725,5.7506625,-0.035)); #568112=CARTESIAN_POINT('',(38.4725,5.7506625,-0.035)); #568113=CARTESIAN_POINT('',(38.4725,5.7506625,0.)); #568114=CARTESIAN_POINT('',(38.4725,5.7506625,0.)); #568115=CARTESIAN_POINT('',(38.4725,5.7506625,-0.035)); #568116=CARTESIAN_POINT('Origin',(38.412275,5.7369156,-0.035)); #568117=CARTESIAN_POINT('',(38.412275,5.7369156,-0.035)); #568118=CARTESIAN_POINT('',(38.412275,5.7369156,0.)); #568119=CARTESIAN_POINT('Origin',(38.3200000450332,6.68000001003927,0.)); #568120=CARTESIAN_POINT('Origin',(38.3200000450332,6.68000001003927,-0.035)); #568121=CARTESIAN_POINT('Origin',(31.5,25.25,-200.)); #568122=CARTESIAN_POINT('',(31.035,25.25,-0.0349999999999895)); #568123=CARTESIAN_POINT('Origin',(31.5,25.25,-0.0349999999999895)); #568124=CARTESIAN_POINT('',(31.035,25.25,0.)); #568125=CARTESIAN_POINT('',(31.035,25.25,-200.)); #568126=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #568127=CARTESIAN_POINT('Origin',(31.536844,24.5,-0.035)); #568128=CARTESIAN_POINT('',(31.536844,24.5,-0.035)); #568129=CARTESIAN_POINT('',(31.610181,24.507222,-0.035)); #568130=CARTESIAN_POINT('',(31.536844,24.5,-0.035)); #568131=CARTESIAN_POINT('',(31.610181,24.507222,0.)); #568132=CARTESIAN_POINT('',(31.610181,24.507222,-0.035)); #568133=CARTESIAN_POINT('',(31.536844,24.5,0.)); #568134=CARTESIAN_POINT('',(31.536844,24.5,0.)); #568135=CARTESIAN_POINT('',(31.536844,24.5,-0.035)); #568136=CARTESIAN_POINT('Origin',(31.5000001874156,25.2500005308286,-0.035)); #568137=CARTESIAN_POINT('',(31.389819,25.992778,-0.035)); #568138=CARTESIAN_POINT('Origin',(31.5000001874156,25.2500005308286,-0.035)); #568139=CARTESIAN_POINT('',(31.389819,25.992778,0.)); #568140=CARTESIAN_POINT('Origin',(31.5000001874156,25.2500005308286,0.)); #568141=CARTESIAN_POINT('',(31.389819,25.992778,-0.035)); #568142=CARTESIAN_POINT('Origin',(31.5,25.25,-0.035)); #568143=CARTESIAN_POINT('Origin',(31.5,25.25,-0.035)); #568144=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #568145=CARTESIAN_POINT('Origin',(31.4983877860344,25.2486960162912,0.)); #568146=CARTESIAN_POINT('Origin',(31.4983877860344,25.2486960162912,-0.035)); #568147=CARTESIAN_POINT('Origin',(34.,25.25,-200.)); #568148=CARTESIAN_POINT('',(33.535,25.25,-0.0349999999999895)); #568149=CARTESIAN_POINT('Origin',(34.,25.25,-0.0349999999999895)); #568150=CARTESIAN_POINT('',(33.535,25.25,0.)); #568151=CARTESIAN_POINT('',(33.535,25.25,-200.)); #568152=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #568153=CARTESIAN_POINT('Origin',(34.036844,24.5,-0.035)); #568154=CARTESIAN_POINT('',(34.036844,24.5,-0.035)); #568155=CARTESIAN_POINT('',(34.110181,24.507222,-0.035)); #568156=CARTESIAN_POINT('',(34.036844,24.5,-0.035)); #568157=CARTESIAN_POINT('',(34.110181,24.507222,0.)); #568158=CARTESIAN_POINT('',(34.110181,24.507222,-0.035)); #568159=CARTESIAN_POINT('',(34.036844,24.5,0.)); #568160=CARTESIAN_POINT('',(34.036844,24.5,0.)); #568161=CARTESIAN_POINT('',(34.036844,24.5,-0.035)); #568162=CARTESIAN_POINT('Origin',(34.0000001874156,25.2500005308286,-0.035)); #568163=CARTESIAN_POINT('',(33.889819,25.992778,-0.035)); #568164=CARTESIAN_POINT('Origin',(34.0000001874156,25.2500005308286,-0.035)); #568165=CARTESIAN_POINT('',(33.889819,25.992778,0.)); #568166=CARTESIAN_POINT('Origin',(34.0000001874156,25.2500005308286,0.)); #568167=CARTESIAN_POINT('',(33.889819,25.992778,-0.035)); #568168=CARTESIAN_POINT('Origin',(34.,25.25,-0.035)); #568169=CARTESIAN_POINT('Origin',(34.,25.25,-0.035)); #568170=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #568171=CARTESIAN_POINT('Origin',(33.9983877860344,25.2486960162912,0.)); #568172=CARTESIAN_POINT('Origin',(33.9983877860344,25.2486960162912,-0.035)); #568173=CARTESIAN_POINT('Origin',(36.5,25.25,-200.)); #568174=CARTESIAN_POINT('',(36.035,25.25,-0.0349999999999895)); #568175=CARTESIAN_POINT('Origin',(36.5,25.25,-0.0349999999999895)); #568176=CARTESIAN_POINT('',(36.035,25.25,0.)); #568177=CARTESIAN_POINT('',(36.035,25.25,-200.)); #568178=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #568179=CARTESIAN_POINT('Origin',(36.536844,24.5,-0.035)); #568180=CARTESIAN_POINT('',(36.536844,24.5,-0.035)); #568181=CARTESIAN_POINT('',(36.610181,24.507222,-0.035)); #568182=CARTESIAN_POINT('',(36.536844,24.5,-0.035)); #568183=CARTESIAN_POINT('',(36.610181,24.507222,0.)); #568184=CARTESIAN_POINT('',(36.610181,24.507222,-0.035)); #568185=CARTESIAN_POINT('',(36.536844,24.5,0.)); #568186=CARTESIAN_POINT('',(36.536844,24.5,0.)); #568187=CARTESIAN_POINT('',(36.536844,24.5,-0.035)); #568188=CARTESIAN_POINT('Origin',(36.5000001874156,25.2500005308286,-0.035)); #568189=CARTESIAN_POINT('',(36.389819,25.992778,-0.035)); #568190=CARTESIAN_POINT('Origin',(36.5000001874156,25.2500005308286,-0.035)); #568191=CARTESIAN_POINT('',(36.389819,25.992778,0.)); #568192=CARTESIAN_POINT('Origin',(36.5000001874156,25.2500005308286,0.)); #568193=CARTESIAN_POINT('',(36.389819,25.992778,-0.035)); #568194=CARTESIAN_POINT('Origin',(36.5,25.25,-0.035)); #568195=CARTESIAN_POINT('Origin',(36.5,25.25,-0.035)); #568196=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #568197=CARTESIAN_POINT('Origin',(36.4983877860344,25.2486960162912,0.)); #568198=CARTESIAN_POINT('Origin',(36.4983877860344,25.2486960162912,-0.035)); #568199=CARTESIAN_POINT('Origin',(30.19,45.,-200.)); #568200=CARTESIAN_POINT('',(29.625,45.,-0.0349999999999895)); #568201=CARTESIAN_POINT('Origin',(30.19,45.,-0.0349999999999895)); #568202=CARTESIAN_POINT('',(29.625,45.,0.)); #568203=CARTESIAN_POINT('',(29.625,45.,-200.)); #568204=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #568205=CARTESIAN_POINT('Origin',(31.09,44.1,-0.035)); #568206=CARTESIAN_POINT('',(31.09,44.1,-0.035)); #568207=CARTESIAN_POINT('',(31.09,45.9,-0.035)); #568208=CARTESIAN_POINT('',(31.09,44.1,-0.035)); #568209=CARTESIAN_POINT('',(31.09,45.9,0.)); #568210=CARTESIAN_POINT('',(31.09,45.9,-0.035)); #568211=CARTESIAN_POINT('',(31.09,44.1,0.)); #568212=CARTESIAN_POINT('',(31.09,44.1,0.)); #568213=CARTESIAN_POINT('',(31.09,44.1,-0.035)); #568214=CARTESIAN_POINT('Origin',(29.29,44.1,-0.035)); #568215=CARTESIAN_POINT('',(29.29,44.1,-0.035)); #568216=CARTESIAN_POINT('',(29.29,44.1,-0.035)); #568217=CARTESIAN_POINT('',(29.29,44.1,0.)); #568218=CARTESIAN_POINT('',(29.29,44.1,0.)); #568219=CARTESIAN_POINT('',(29.29,44.1,-0.035)); #568220=CARTESIAN_POINT('Origin',(29.29,45.9,-0.035)); #568221=CARTESIAN_POINT('',(29.29,45.9,-0.035)); #568222=CARTESIAN_POINT('',(29.29,45.9,-0.035)); #568223=CARTESIAN_POINT('',(29.29,45.9,0.)); #568224=CARTESIAN_POINT('',(29.29,45.9,0.)); #568225=CARTESIAN_POINT('',(29.29,45.9,-0.035)); #568226=CARTESIAN_POINT('Origin',(31.09,45.9,-0.035)); #568227=CARTESIAN_POINT('',(31.09,45.9,-0.035)); #568228=CARTESIAN_POINT('',(31.09,45.9,0.)); #568229=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #568230=CARTESIAN_POINT('Origin',(30.19,45.,-0.035)); #568231=CARTESIAN_POINT('Origin',(52.25,34.,-200.)); #568232=CARTESIAN_POINT('',(51.785,34.,-0.0349999999999895)); #568233=CARTESIAN_POINT('Origin',(52.25,34.,-0.0349999999999895)); #568234=CARTESIAN_POINT('',(51.785,34.,0.)); #568235=CARTESIAN_POINT('',(51.785,34.,-200.)); #568236=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #568237=CARTESIAN_POINT('Origin',(52.286844,33.25,-0.035)); #568238=CARTESIAN_POINT('',(52.286844,33.25,-0.035)); #568239=CARTESIAN_POINT('',(52.360181,33.257222,-0.035)); #568240=CARTESIAN_POINT('',(52.286844,33.25,-0.035)); #568241=CARTESIAN_POINT('',(52.360181,33.257222,0.)); #568242=CARTESIAN_POINT('',(52.360181,33.257222,-0.035)); #568243=CARTESIAN_POINT('',(52.286844,33.25,0.)); #568244=CARTESIAN_POINT('',(52.286844,33.25,0.)); #568245=CARTESIAN_POINT('',(52.286844,33.25,-0.035)); #568246=CARTESIAN_POINT('Origin',(52.2500001874156,34.0000005308286,-0.035)); #568247=CARTESIAN_POINT('',(52.139819,34.742778,-0.035)); #568248=CARTESIAN_POINT('Origin',(52.2500001874156,34.0000005308286,-0.035)); #568249=CARTESIAN_POINT('',(52.139819,34.742778,0.)); #568250=CARTESIAN_POINT('Origin',(52.2500001874156,34.0000005308286,0.)); #568251=CARTESIAN_POINT('',(52.139819,34.742778,-0.035)); #568252=CARTESIAN_POINT('Origin',(52.25,34.,-0.035)); #568253=CARTESIAN_POINT('Origin',(52.25,34.,-0.035)); #568254=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #568255=CARTESIAN_POINT('Origin',(52.2483877860344,33.9986960162912,0.)); #568256=CARTESIAN_POINT('Origin',(52.2483877860344,33.9986960162912,-0.035)); #568257=CARTESIAN_POINT('Origin',(21.2675,21.215,-0.035)); #568258=CARTESIAN_POINT('',(21.2675,21.215,-0.035)); #568259=CARTESIAN_POINT('',(21.2675,22.485,-0.035)); #568260=CARTESIAN_POINT('',(21.2675,21.215,-0.035)); #568261=CARTESIAN_POINT('',(21.2675,22.485,0.)); #568262=CARTESIAN_POINT('',(21.2675,22.485,-0.035)); #568263=CARTESIAN_POINT('',(21.2675,21.215,0.)); #568264=CARTESIAN_POINT('',(21.2675,21.215,0.)); #568265=CARTESIAN_POINT('',(21.2675,21.215,-0.035)); #568266=CARTESIAN_POINT('Origin',(20.6325,21.215,-0.035)); #568267=CARTESIAN_POINT('',(20.6325,21.215,-0.035)); #568268=CARTESIAN_POINT('',(20.6325,21.215,-0.035)); #568269=CARTESIAN_POINT('',(20.6325,21.215,0.)); #568270=CARTESIAN_POINT('',(20.6325,21.215,0.)); #568271=CARTESIAN_POINT('',(20.6325,21.215,-0.035)); #568272=CARTESIAN_POINT('Origin',(20.6325,22.485,-0.035)); #568273=CARTESIAN_POINT('',(20.6325,22.485,-0.035)); #568274=CARTESIAN_POINT('',(20.6325,22.485,-0.035)); #568275=CARTESIAN_POINT('',(20.6325,22.485,0.)); #568276=CARTESIAN_POINT('',(20.6325,22.485,0.)); #568277=CARTESIAN_POINT('',(20.6325,22.485,-0.035)); #568278=CARTESIAN_POINT('Origin',(21.2675,22.485,-0.035)); #568279=CARTESIAN_POINT('',(21.2675,22.485,-0.035)); #568280=CARTESIAN_POINT('',(21.2675,22.485,0.)); #568281=CARTESIAN_POINT('Origin',(20.95,21.85,0.)); #568282=CARTESIAN_POINT('Origin',(20.95,21.85,-0.035)); #568283=CARTESIAN_POINT('Origin',(32.9,6.67,-0.035)); #568284=CARTESIAN_POINT('',(32.9,6.67,-0.035)); #568285=CARTESIAN_POINT('',(32.9,7.82,-0.035)); #568286=CARTESIAN_POINT('',(32.9,6.67,-0.035)); #568287=CARTESIAN_POINT('',(32.9,7.82,0.)); #568288=CARTESIAN_POINT('',(32.9,7.82,-0.035)); #568289=CARTESIAN_POINT('',(32.9,6.67,0.)); #568290=CARTESIAN_POINT('',(32.9,6.67,0.)); #568291=CARTESIAN_POINT('',(32.9,6.67,-0.035)); #568292=CARTESIAN_POINT('Origin',(32.6,6.67,-0.035)); #568293=CARTESIAN_POINT('',(32.6,6.67,-0.035)); #568294=CARTESIAN_POINT('',(32.6,6.67,-0.035)); #568295=CARTESIAN_POINT('',(32.6,6.67,0.)); #568296=CARTESIAN_POINT('',(32.6,6.67,0.)); #568297=CARTESIAN_POINT('',(32.6,6.67,-0.035)); #568298=CARTESIAN_POINT('Origin',(32.6,7.82,-0.035)); #568299=CARTESIAN_POINT('',(32.6,7.82,-0.035)); #568300=CARTESIAN_POINT('',(32.6,7.82,-0.035)); #568301=CARTESIAN_POINT('',(32.6,7.82,0.)); #568302=CARTESIAN_POINT('',(32.6,7.82,0.)); #568303=CARTESIAN_POINT('',(32.6,7.82,-0.035)); #568304=CARTESIAN_POINT('Origin',(32.9,7.82,-0.035)); #568305=CARTESIAN_POINT('',(32.9,7.82,-0.035)); #568306=CARTESIAN_POINT('',(32.9,7.82,0.)); #568307=CARTESIAN_POINT('Origin',(32.75,7.245,0.)); #568308=CARTESIAN_POINT('Origin',(32.75,7.245,-0.035)); #568309=CARTESIAN_POINT('Origin',(48.9398,17.5585,-0.035)); #568310=CARTESIAN_POINT('',(48.9398,17.5585,-0.035)); #568311=CARTESIAN_POINT('',(48.9398,19.2095,-0.035)); #568312=CARTESIAN_POINT('',(48.9398,17.5585,-0.035)); #568313=CARTESIAN_POINT('',(48.9398,19.2095,0.)); #568314=CARTESIAN_POINT('',(48.9398,19.2095,-0.035)); #568315=CARTESIAN_POINT('',(48.9398,17.5585,0.)); #568316=CARTESIAN_POINT('',(48.9398,17.5585,0.)); #568317=CARTESIAN_POINT('',(48.9398,17.5585,-0.035)); #568318=CARTESIAN_POINT('Origin',(48.3302,17.5585,-0.035)); #568319=CARTESIAN_POINT('',(48.3302,17.5585,-0.035)); #568320=CARTESIAN_POINT('',(48.3302,17.5585,-0.035)); #568321=CARTESIAN_POINT('',(48.3302,17.5585,0.)); #568322=CARTESIAN_POINT('',(48.3302,17.5585,0.)); #568323=CARTESIAN_POINT('',(48.3302,17.5585,-0.035)); #568324=CARTESIAN_POINT('Origin',(48.3302,19.2095,-0.035)); #568325=CARTESIAN_POINT('',(48.3302,19.2095,-0.035)); #568326=CARTESIAN_POINT('',(48.3302,19.2095,-0.035)); #568327=CARTESIAN_POINT('',(48.3302,19.2095,0.)); #568328=CARTESIAN_POINT('',(48.3302,19.2095,0.)); #568329=CARTESIAN_POINT('',(48.3302,19.2095,-0.035)); #568330=CARTESIAN_POINT('Origin',(48.9398,19.2095,-0.035)); #568331=CARTESIAN_POINT('',(48.9398,19.2095,-0.035)); #568332=CARTESIAN_POINT('',(48.9398,19.2095,0.)); #568333=CARTESIAN_POINT('Origin',(48.635,18.384,0.)); #568334=CARTESIAN_POINT('Origin',(48.635,18.384,-0.035)); #568335=CARTESIAN_POINT('Origin',(35.9,6.67,-0.035)); #568336=CARTESIAN_POINT('',(35.9,6.67,-0.035)); #568337=CARTESIAN_POINT('',(35.9,7.82,-0.035)); #568338=CARTESIAN_POINT('',(35.9,6.67,-0.035)); #568339=CARTESIAN_POINT('',(35.9,7.82,0.)); #568340=CARTESIAN_POINT('',(35.9,7.82,-0.035)); #568341=CARTESIAN_POINT('',(35.9,6.67,0.)); #568342=CARTESIAN_POINT('',(35.9,6.67,0.)); #568343=CARTESIAN_POINT('',(35.9,6.67,-0.035)); #568344=CARTESIAN_POINT('Origin',(35.6,6.67,-0.035)); #568345=CARTESIAN_POINT('',(35.6,6.67,-0.035)); #568346=CARTESIAN_POINT('',(35.6,6.67,-0.035)); #568347=CARTESIAN_POINT('',(35.6,6.67,0.)); #568348=CARTESIAN_POINT('',(35.6,6.67,0.)); #568349=CARTESIAN_POINT('',(35.6,6.67,-0.035)); #568350=CARTESIAN_POINT('Origin',(35.6,7.82,-0.035)); #568351=CARTESIAN_POINT('',(35.6,7.82,-0.035)); #568352=CARTESIAN_POINT('',(35.6,7.82,-0.035)); #568353=CARTESIAN_POINT('',(35.6,7.82,0.)); #568354=CARTESIAN_POINT('',(35.6,7.82,0.)); #568355=CARTESIAN_POINT('',(35.6,7.82,-0.035)); #568356=CARTESIAN_POINT('Origin',(35.9,7.82,-0.035)); #568357=CARTESIAN_POINT('',(35.9,7.82,-0.035)); #568358=CARTESIAN_POINT('',(35.9,7.82,0.)); #568359=CARTESIAN_POINT('Origin',(35.75,7.245,0.)); #568360=CARTESIAN_POINT('Origin',(35.75,7.245,-0.035)); #568361=CARTESIAN_POINT('Origin',(50.2098,17.5585,-0.035)); #568362=CARTESIAN_POINT('',(50.2098,17.5585,-0.035)); #568363=CARTESIAN_POINT('',(50.2098,19.2095,-0.035)); #568364=CARTESIAN_POINT('',(50.2098,17.5585,-0.035)); #568365=CARTESIAN_POINT('',(50.2098,19.2095,0.)); #568366=CARTESIAN_POINT('',(50.2098,19.2095,-0.035)); #568367=CARTESIAN_POINT('',(50.2098,17.5585,0.)); #568368=CARTESIAN_POINT('',(50.2098,17.5585,0.)); #568369=CARTESIAN_POINT('',(50.2098,17.5585,-0.035)); #568370=CARTESIAN_POINT('Origin',(49.6002,17.5585,-0.035)); #568371=CARTESIAN_POINT('',(49.6002,17.5585,-0.035)); #568372=CARTESIAN_POINT('',(49.6002,17.5585,-0.035)); #568373=CARTESIAN_POINT('',(49.6002,17.5585,0.)); #568374=CARTESIAN_POINT('',(49.6002,17.5585,0.)); #568375=CARTESIAN_POINT('',(49.6002,17.5585,-0.035)); #568376=CARTESIAN_POINT('Origin',(49.6002,19.2095,-0.035)); #568377=CARTESIAN_POINT('',(49.6002,19.2095,-0.035)); #568378=CARTESIAN_POINT('',(49.6002,19.2095,-0.035)); #568379=CARTESIAN_POINT('',(49.6002,19.2095,0.)); #568380=CARTESIAN_POINT('',(49.6002,19.2095,0.)); #568381=CARTESIAN_POINT('',(49.6002,19.2095,-0.035)); #568382=CARTESIAN_POINT('Origin',(50.2098,19.2095,-0.035)); #568383=CARTESIAN_POINT('',(50.2098,19.2095,-0.035)); #568384=CARTESIAN_POINT('',(50.2098,19.2095,0.)); #568385=CARTESIAN_POINT('Origin',(49.905,18.384,0.)); #568386=CARTESIAN_POINT('Origin',(49.905,18.384,-0.035)); #568387=CARTESIAN_POINT('Origin',(46.3998,17.5585,-0.035)); #568388=CARTESIAN_POINT('',(46.3998,17.5585,-0.035)); #568389=CARTESIAN_POINT('',(46.3998,19.2095,-0.035)); #568390=CARTESIAN_POINT('',(46.3998,17.5585,-0.035)); #568391=CARTESIAN_POINT('',(46.3998,19.2095,0.)); #568392=CARTESIAN_POINT('',(46.3998,19.2095,-0.035)); #568393=CARTESIAN_POINT('',(46.3998,17.5585,0.)); #568394=CARTESIAN_POINT('',(46.3998,17.5585,0.)); #568395=CARTESIAN_POINT('',(46.3998,17.5585,-0.035)); #568396=CARTESIAN_POINT('Origin',(45.7902,17.5585,-0.035)); #568397=CARTESIAN_POINT('',(45.7902,17.5585,-0.035)); #568398=CARTESIAN_POINT('',(45.7902,17.5585,-0.035)); #568399=CARTESIAN_POINT('',(45.7902,17.5585,0.)); #568400=CARTESIAN_POINT('',(45.7902,17.5585,0.)); #568401=CARTESIAN_POINT('',(45.7902,17.5585,-0.035)); #568402=CARTESIAN_POINT('Origin',(45.7902,19.2095,-0.035)); #568403=CARTESIAN_POINT('',(45.7902,19.2095,-0.035)); #568404=CARTESIAN_POINT('',(45.7902,19.2095,-0.035)); #568405=CARTESIAN_POINT('',(45.7902,19.2095,0.)); #568406=CARTESIAN_POINT('',(45.7902,19.2095,0.)); #568407=CARTESIAN_POINT('',(45.7902,19.2095,-0.035)); #568408=CARTESIAN_POINT('Origin',(46.3998,19.2095,-0.035)); #568409=CARTESIAN_POINT('',(46.3998,19.2095,-0.035)); #568410=CARTESIAN_POINT('',(46.3998,19.2095,0.)); #568411=CARTESIAN_POINT('Origin',(46.095,18.384,0.)); #568412=CARTESIAN_POINT('Origin',(46.095,18.384,-0.035)); #568413=CARTESIAN_POINT('Origin',(21.1811,25.5555,-0.035)); #568414=CARTESIAN_POINT('',(21.1811,25.5555,-0.035)); #568415=CARTESIAN_POINT('',(21.1811,26.4445,-0.035)); #568416=CARTESIAN_POINT('',(21.1811,25.5555,-0.035)); #568417=CARTESIAN_POINT('',(21.1811,26.4445,0.)); #568418=CARTESIAN_POINT('',(21.1811,26.4445,-0.035)); #568419=CARTESIAN_POINT('',(21.1811,25.5555,0.)); #568420=CARTESIAN_POINT('',(21.1811,25.5555,0.)); #568421=CARTESIAN_POINT('',(21.1811,25.5555,-0.035)); #568422=CARTESIAN_POINT('Origin',(20.3429,25.5555,-0.035)); #568423=CARTESIAN_POINT('',(20.3429,25.5555,-0.035)); #568424=CARTESIAN_POINT('',(20.3429,25.5555,-0.035)); #568425=CARTESIAN_POINT('',(20.3429,25.5555,0.)); #568426=CARTESIAN_POINT('',(20.3429,25.5555,0.)); #568427=CARTESIAN_POINT('',(20.3429,25.5555,-0.035)); #568428=CARTESIAN_POINT('Origin',(20.3429,26.4445,-0.035)); #568429=CARTESIAN_POINT('',(20.3429,26.4445,-0.035)); #568430=CARTESIAN_POINT('',(20.3429,26.4445,-0.035)); #568431=CARTESIAN_POINT('',(20.3429,26.4445,0.)); #568432=CARTESIAN_POINT('',(20.3429,26.4445,0.)); #568433=CARTESIAN_POINT('',(20.3429,26.4445,-0.035)); #568434=CARTESIAN_POINT('Origin',(21.1811,26.4445,-0.035)); #568435=CARTESIAN_POINT('',(21.1811,26.4445,-0.035)); #568436=CARTESIAN_POINT('',(21.1811,26.4445,0.)); #568437=CARTESIAN_POINT('Origin',(20.762,26.,0.)); #568438=CARTESIAN_POINT('Origin',(20.762,26.,-0.035)); #568439=CARTESIAN_POINT('Origin',(19.3675,23.515,-0.035)); #568440=CARTESIAN_POINT('',(19.3675,23.515,-0.035)); #568441=CARTESIAN_POINT('',(19.3675,24.785,-0.035)); #568442=CARTESIAN_POINT('',(19.3675,23.515,-0.035)); #568443=CARTESIAN_POINT('',(19.3675,24.785,0.)); #568444=CARTESIAN_POINT('',(19.3675,24.785,-0.035)); #568445=CARTESIAN_POINT('',(19.3675,23.515,0.)); #568446=CARTESIAN_POINT('',(19.3675,23.515,0.)); #568447=CARTESIAN_POINT('',(19.3675,23.515,-0.035)); #568448=CARTESIAN_POINT('Origin',(18.7325,23.515,-0.035)); #568449=CARTESIAN_POINT('',(18.7325,23.515,-0.035)); #568450=CARTESIAN_POINT('',(18.7325,23.515,-0.035)); #568451=CARTESIAN_POINT('',(18.7325,23.515,0.)); #568452=CARTESIAN_POINT('',(18.7325,23.515,0.)); #568453=CARTESIAN_POINT('',(18.7325,23.515,-0.035)); #568454=CARTESIAN_POINT('Origin',(18.7325,24.785,-0.035)); #568455=CARTESIAN_POINT('',(18.7325,24.785,-0.035)); #568456=CARTESIAN_POINT('',(18.7325,24.785,-0.035)); #568457=CARTESIAN_POINT('',(18.7325,24.785,0.)); #568458=CARTESIAN_POINT('',(18.7325,24.785,0.)); #568459=CARTESIAN_POINT('',(18.7325,24.785,-0.035)); #568460=CARTESIAN_POINT('Origin',(19.3675,24.785,-0.035)); #568461=CARTESIAN_POINT('',(19.3675,24.785,-0.035)); #568462=CARTESIAN_POINT('',(19.3675,24.785,0.)); #568463=CARTESIAN_POINT('Origin',(19.05,24.15,0.)); #568464=CARTESIAN_POINT('Origin',(19.05,24.15,-0.035)); #568465=CARTESIAN_POINT('Origin',(21.2675,23.515,-0.035)); #568466=CARTESIAN_POINT('',(21.2675,23.515,-0.035)); #568467=CARTESIAN_POINT('',(21.2675,24.785,-0.035)); #568468=CARTESIAN_POINT('',(21.2675,23.515,-0.035)); #568469=CARTESIAN_POINT('',(21.2675,24.785,0.)); #568470=CARTESIAN_POINT('',(21.2675,24.785,-0.035)); #568471=CARTESIAN_POINT('',(21.2675,23.515,0.)); #568472=CARTESIAN_POINT('',(21.2675,23.515,0.)); #568473=CARTESIAN_POINT('',(21.2675,23.515,-0.035)); #568474=CARTESIAN_POINT('Origin',(20.6325,23.515,-0.035)); #568475=CARTESIAN_POINT('',(20.6325,23.515,-0.035)); #568476=CARTESIAN_POINT('',(20.6325,23.515,-0.035)); #568477=CARTESIAN_POINT('',(20.6325,23.515,0.)); #568478=CARTESIAN_POINT('',(20.6325,23.515,0.)); #568479=CARTESIAN_POINT('',(20.6325,23.515,-0.035)); #568480=CARTESIAN_POINT('Origin',(20.6325,24.785,-0.035)); #568481=CARTESIAN_POINT('',(20.6325,24.785,-0.035)); #568482=CARTESIAN_POINT('',(20.6325,24.785,-0.035)); #568483=CARTESIAN_POINT('',(20.6325,24.785,0.)); #568484=CARTESIAN_POINT('',(20.6325,24.785,0.)); #568485=CARTESIAN_POINT('',(20.6325,24.785,-0.035)); #568486=CARTESIAN_POINT('Origin',(21.2675,24.785,-0.035)); #568487=CARTESIAN_POINT('',(21.2675,24.785,-0.035)); #568488=CARTESIAN_POINT('',(21.2675,24.785,0.)); #568489=CARTESIAN_POINT('Origin',(20.95,24.15,0.)); #568490=CARTESIAN_POINT('Origin',(20.95,24.15,-0.035)); #568491=CARTESIAN_POINT('Origin',(50.2098,22.7905,-0.035)); #568492=CARTESIAN_POINT('',(50.2098,22.7905,-0.035)); #568493=CARTESIAN_POINT('',(50.2098,24.4415,-0.035)); #568494=CARTESIAN_POINT('',(50.2098,22.7905,-0.035)); #568495=CARTESIAN_POINT('',(50.2098,24.4415,0.)); #568496=CARTESIAN_POINT('',(50.2098,24.4415,-0.035)); #568497=CARTESIAN_POINT('',(50.2098,22.7905,0.)); #568498=CARTESIAN_POINT('',(50.2098,22.7905,0.)); #568499=CARTESIAN_POINT('',(50.2098,22.7905,-0.035)); #568500=CARTESIAN_POINT('Origin',(49.6002,22.7905,-0.035)); #568501=CARTESIAN_POINT('',(49.6002,22.7905,-0.035)); #568502=CARTESIAN_POINT('',(49.6002,22.7905,-0.035)); #568503=CARTESIAN_POINT('',(49.6002,22.7905,0.)); #568504=CARTESIAN_POINT('',(49.6002,22.7905,0.)); #568505=CARTESIAN_POINT('',(49.6002,22.7905,-0.035)); #568506=CARTESIAN_POINT('Origin',(49.6002,24.4415,-0.035)); #568507=CARTESIAN_POINT('',(49.6002,24.4415,-0.035)); #568508=CARTESIAN_POINT('',(49.6002,24.4415,-0.035)); #568509=CARTESIAN_POINT('',(49.6002,24.4415,0.)); #568510=CARTESIAN_POINT('',(49.6002,24.4415,0.)); #568511=CARTESIAN_POINT('',(49.6002,24.4415,-0.035)); #568512=CARTESIAN_POINT('Origin',(50.2098,24.4415,-0.035)); #568513=CARTESIAN_POINT('',(50.2098,24.4415,-0.035)); #568514=CARTESIAN_POINT('',(50.2098,24.4415,0.)); #568515=CARTESIAN_POINT('Origin',(49.905,23.616,0.)); #568516=CARTESIAN_POINT('Origin',(49.905,23.616,-0.035)); #568517=CARTESIAN_POINT('Origin',(19.3675,21.215,-0.035)); #568518=CARTESIAN_POINT('',(19.3675,21.215,-0.035)); #568519=CARTESIAN_POINT('',(19.3675,22.485,-0.035)); #568520=CARTESIAN_POINT('',(19.3675,21.215,-0.035)); #568521=CARTESIAN_POINT('',(19.3675,22.485,0.)); #568522=CARTESIAN_POINT('',(19.3675,22.485,-0.035)); #568523=CARTESIAN_POINT('',(19.3675,21.215,0.)); #568524=CARTESIAN_POINT('',(19.3675,21.215,0.)); #568525=CARTESIAN_POINT('',(19.3675,21.215,-0.035)); #568526=CARTESIAN_POINT('Origin',(18.7325,21.215,-0.035)); #568527=CARTESIAN_POINT('',(18.7325,21.215,-0.035)); #568528=CARTESIAN_POINT('',(18.7325,21.215,-0.035)); #568529=CARTESIAN_POINT('',(18.7325,21.215,0.)); #568530=CARTESIAN_POINT('',(18.7325,21.215,0.)); #568531=CARTESIAN_POINT('',(18.7325,21.215,-0.035)); #568532=CARTESIAN_POINT('Origin',(18.7325,22.485,-0.035)); #568533=CARTESIAN_POINT('',(18.7325,22.485,-0.035)); #568534=CARTESIAN_POINT('',(18.7325,22.485,-0.035)); #568535=CARTESIAN_POINT('',(18.7325,22.485,0.)); #568536=CARTESIAN_POINT('',(18.7325,22.485,0.)); #568537=CARTESIAN_POINT('',(18.7325,22.485,-0.035)); #568538=CARTESIAN_POINT('Origin',(19.3675,22.485,-0.035)); #568539=CARTESIAN_POINT('',(19.3675,22.485,-0.035)); #568540=CARTESIAN_POINT('',(19.3675,22.485,0.)); #568541=CARTESIAN_POINT('Origin',(19.05,21.85,0.)); #568542=CARTESIAN_POINT('Origin',(19.05,21.85,-0.035)); #568543=CARTESIAN_POINT('Origin',(26.6571,22.5555,-0.035)); #568544=CARTESIAN_POINT('',(26.6571,22.5555,-0.035)); #568545=CARTESIAN_POINT('',(26.6571,23.4445,-0.035)); #568546=CARTESIAN_POINT('',(26.6571,22.5555,-0.035)); #568547=CARTESIAN_POINT('',(26.6571,23.4445,0.)); #568548=CARTESIAN_POINT('',(26.6571,23.4445,-0.035)); #568549=CARTESIAN_POINT('',(26.6571,22.5555,0.)); #568550=CARTESIAN_POINT('',(26.6571,22.5555,0.)); #568551=CARTESIAN_POINT('',(26.6571,22.5555,-0.035)); #568552=CARTESIAN_POINT('Origin',(25.8189,22.5555,-0.035)); #568553=CARTESIAN_POINT('',(25.8189,22.5555,-0.035)); #568554=CARTESIAN_POINT('',(25.8189,22.5555,-0.035)); #568555=CARTESIAN_POINT('',(25.8189,22.5555,0.)); #568556=CARTESIAN_POINT('',(25.8189,22.5555,0.)); #568557=CARTESIAN_POINT('',(25.8189,22.5555,-0.035)); #568558=CARTESIAN_POINT('Origin',(25.8189,23.4445,-0.035)); #568559=CARTESIAN_POINT('',(25.8189,23.4445,-0.035)); #568560=CARTESIAN_POINT('',(25.8189,23.4445,-0.035)); #568561=CARTESIAN_POINT('',(25.8189,23.4445,0.)); #568562=CARTESIAN_POINT('',(25.8189,23.4445,0.)); #568563=CARTESIAN_POINT('',(25.8189,23.4445,-0.035)); #568564=CARTESIAN_POINT('Origin',(26.6571,23.4445,-0.035)); #568565=CARTESIAN_POINT('',(26.6571,23.4445,-0.035)); #568566=CARTESIAN_POINT('',(26.6571,23.4445,0.)); #568567=CARTESIAN_POINT('Origin',(26.238,23.,0.)); #568568=CARTESIAN_POINT('Origin',(26.238,23.,-0.035)); #568569=CARTESIAN_POINT('Origin',(19.6571,19.5555,-0.035)); #568570=CARTESIAN_POINT('',(19.6571,19.5555,-0.035)); #568571=CARTESIAN_POINT('',(19.6571,20.4445,-0.035)); #568572=CARTESIAN_POINT('',(19.6571,19.5555,-0.035)); #568573=CARTESIAN_POINT('',(19.6571,20.4445,0.)); #568574=CARTESIAN_POINT('',(19.6571,20.4445,-0.035)); #568575=CARTESIAN_POINT('',(19.6571,19.5555,0.)); #568576=CARTESIAN_POINT('',(19.6571,19.5555,0.)); #568577=CARTESIAN_POINT('',(19.6571,19.5555,-0.035)); #568578=CARTESIAN_POINT('Origin',(18.8189,19.5555,-0.035)); #568579=CARTESIAN_POINT('',(18.8189,19.5555,-0.035)); #568580=CARTESIAN_POINT('',(18.8189,19.5555,-0.035)); #568581=CARTESIAN_POINT('',(18.8189,19.5555,0.)); #568582=CARTESIAN_POINT('',(18.8189,19.5555,0.)); #568583=CARTESIAN_POINT('',(18.8189,19.5555,-0.035)); #568584=CARTESIAN_POINT('Origin',(18.8189,20.4445,-0.035)); #568585=CARTESIAN_POINT('',(18.8189,20.4445,-0.035)); #568586=CARTESIAN_POINT('',(18.8189,20.4445,-0.035)); #568587=CARTESIAN_POINT('',(18.8189,20.4445,0.)); #568588=CARTESIAN_POINT('',(18.8189,20.4445,0.)); #568589=CARTESIAN_POINT('',(18.8189,20.4445,-0.035)); #568590=CARTESIAN_POINT('Origin',(19.6571,20.4445,-0.035)); #568591=CARTESIAN_POINT('',(19.6571,20.4445,-0.035)); #568592=CARTESIAN_POINT('',(19.6571,20.4445,0.)); #568593=CARTESIAN_POINT('Origin',(19.238,20.,0.)); #568594=CARTESIAN_POINT('Origin',(19.238,20.,-0.035)); #568595=CARTESIAN_POINT('Origin',(16.3622,24.6665,-0.035)); #568596=CARTESIAN_POINT('',(16.3622,24.6665,-0.035)); #568597=CARTESIAN_POINT('',(16.3622,27.3335,-0.035)); #568598=CARTESIAN_POINT('',(16.3622,24.6665,-0.035)); #568599=CARTESIAN_POINT('',(16.3622,27.3335,0.)); #568600=CARTESIAN_POINT('',(16.3622,27.3335,-0.035)); #568601=CARTESIAN_POINT('',(16.3622,24.6665,0.)); #568602=CARTESIAN_POINT('',(16.3622,24.6665,0.)); #568603=CARTESIAN_POINT('',(16.3622,24.6665,-0.035)); #568604=CARTESIAN_POINT('Origin',(14.6858,24.6665,-0.035)); #568605=CARTESIAN_POINT('',(14.6858,24.6665,-0.035)); #568606=CARTESIAN_POINT('',(14.6858,24.6665,-0.035)); #568607=CARTESIAN_POINT('',(14.6858,24.6665,0.)); #568608=CARTESIAN_POINT('',(14.6858,24.6665,0.)); #568609=CARTESIAN_POINT('',(14.6858,24.6665,-0.035)); #568610=CARTESIAN_POINT('Origin',(14.6858,27.3335,-0.035)); #568611=CARTESIAN_POINT('',(14.6858,27.3335,-0.035)); #568612=CARTESIAN_POINT('',(14.6858,27.3335,-0.035)); #568613=CARTESIAN_POINT('',(14.6858,27.3335,0.)); #568614=CARTESIAN_POINT('',(14.6858,27.3335,0.)); #568615=CARTESIAN_POINT('',(14.6858,27.3335,-0.035)); #568616=CARTESIAN_POINT('Origin',(16.3622,27.3335,-0.035)); #568617=CARTESIAN_POINT('',(16.3622,27.3335,-0.035)); #568618=CARTESIAN_POINT('',(16.3622,27.3335,0.)); #568619=CARTESIAN_POINT('Origin',(15.524,26.,0.)); #568620=CARTESIAN_POINT('Origin',(15.524,26.,-0.035)); #568621=CARTESIAN_POINT('Origin',(35.4,6.67,-0.035)); #568622=CARTESIAN_POINT('',(35.4,6.67,-0.035)); #568623=CARTESIAN_POINT('',(35.4,7.82,-0.035)); #568624=CARTESIAN_POINT('',(35.4,6.67,-0.035)); #568625=CARTESIAN_POINT('',(35.4,7.82,0.)); #568626=CARTESIAN_POINT('',(35.4,7.82,-0.035)); #568627=CARTESIAN_POINT('',(35.4,6.67,0.)); #568628=CARTESIAN_POINT('',(35.4,6.67,0.)); #568629=CARTESIAN_POINT('',(35.4,6.67,-0.035)); #568630=CARTESIAN_POINT('Origin',(35.1,6.67,-0.035)); #568631=CARTESIAN_POINT('',(35.1,6.67,-0.035)); #568632=CARTESIAN_POINT('',(35.1,6.67,-0.035)); #568633=CARTESIAN_POINT('',(35.1,6.67,0.)); #568634=CARTESIAN_POINT('',(35.1,6.67,0.)); #568635=CARTESIAN_POINT('',(35.1,6.67,-0.035)); #568636=CARTESIAN_POINT('Origin',(35.1,7.82,-0.035)); #568637=CARTESIAN_POINT('',(35.1,7.82,-0.035)); #568638=CARTESIAN_POINT('',(35.1,7.82,-0.035)); #568639=CARTESIAN_POINT('',(35.1,7.82,0.)); #568640=CARTESIAN_POINT('',(35.1,7.82,0.)); #568641=CARTESIAN_POINT('',(35.1,7.82,-0.035)); #568642=CARTESIAN_POINT('Origin',(35.4,7.82,-0.035)); #568643=CARTESIAN_POINT('',(35.4,7.82,-0.035)); #568644=CARTESIAN_POINT('',(35.4,7.82,0.)); #568645=CARTESIAN_POINT('Origin',(35.25,7.245,0.)); #568646=CARTESIAN_POINT('Origin',(35.25,7.245,-0.035)); #568647=CARTESIAN_POINT('Origin',(32.4,6.67,-0.035)); #568648=CARTESIAN_POINT('',(32.4,6.67,-0.035)); #568649=CARTESIAN_POINT('',(32.4,7.82,-0.035)); #568650=CARTESIAN_POINT('',(32.4,6.67,-0.035)); #568651=CARTESIAN_POINT('',(32.4,7.82,0.)); #568652=CARTESIAN_POINT('',(32.4,7.82,-0.035)); #568653=CARTESIAN_POINT('',(32.4,6.67,0.)); #568654=CARTESIAN_POINT('',(32.4,6.67,0.)); #568655=CARTESIAN_POINT('',(32.4,6.67,-0.035)); #568656=CARTESIAN_POINT('Origin',(32.1,6.67,-0.035)); #568657=CARTESIAN_POINT('',(32.1,6.67,-0.035)); #568658=CARTESIAN_POINT('',(32.1,6.67,-0.035)); #568659=CARTESIAN_POINT('',(32.1,6.67,0.)); #568660=CARTESIAN_POINT('',(32.1,6.67,0.)); #568661=CARTESIAN_POINT('',(32.1,6.67,-0.035)); #568662=CARTESIAN_POINT('Origin',(32.1,7.82,-0.035)); #568663=CARTESIAN_POINT('',(32.1,7.82,-0.035)); #568664=CARTESIAN_POINT('',(32.1,7.82,-0.035)); #568665=CARTESIAN_POINT('',(32.1,7.82,0.)); #568666=CARTESIAN_POINT('',(32.1,7.82,0.)); #568667=CARTESIAN_POINT('',(32.1,7.82,-0.035)); #568668=CARTESIAN_POINT('Origin',(32.4,7.82,-0.035)); #568669=CARTESIAN_POINT('',(32.4,7.82,-0.035)); #568670=CARTESIAN_POINT('',(32.4,7.82,0.)); #568671=CARTESIAN_POINT('Origin',(32.25,7.245,0.)); #568672=CARTESIAN_POINT('Origin',(32.25,7.245,-0.035)); #568673=CARTESIAN_POINT('Origin',(34.9,6.67,-0.035)); #568674=CARTESIAN_POINT('',(34.9,6.67,-0.035)); #568675=CARTESIAN_POINT('',(34.9,7.82,-0.035)); #568676=CARTESIAN_POINT('',(34.9,6.67,-0.035)); #568677=CARTESIAN_POINT('',(34.9,7.82,0.)); #568678=CARTESIAN_POINT('',(34.9,7.82,-0.035)); #568679=CARTESIAN_POINT('',(34.9,6.67,0.)); #568680=CARTESIAN_POINT('',(34.9,6.67,0.)); #568681=CARTESIAN_POINT('',(34.9,6.67,-0.035)); #568682=CARTESIAN_POINT('Origin',(34.6,6.67,-0.035)); #568683=CARTESIAN_POINT('',(34.6,6.67,-0.035)); #568684=CARTESIAN_POINT('',(34.6,6.67,-0.035)); #568685=CARTESIAN_POINT('',(34.6,6.67,0.)); #568686=CARTESIAN_POINT('',(34.6,6.67,0.)); #568687=CARTESIAN_POINT('',(34.6,6.67,-0.035)); #568688=CARTESIAN_POINT('Origin',(34.6,7.82,-0.035)); #568689=CARTESIAN_POINT('',(34.6,7.82,-0.035)); #568690=CARTESIAN_POINT('',(34.6,7.82,-0.035)); #568691=CARTESIAN_POINT('',(34.6,7.82,0.)); #568692=CARTESIAN_POINT('',(34.6,7.82,0.)); #568693=CARTESIAN_POINT('',(34.6,7.82,-0.035)); #568694=CARTESIAN_POINT('Origin',(34.9,7.82,-0.035)); #568695=CARTESIAN_POINT('',(34.9,7.82,-0.035)); #568696=CARTESIAN_POINT('',(34.9,7.82,0.)); #568697=CARTESIAN_POINT('Origin',(34.75,7.245,0.)); #568698=CARTESIAN_POINT('Origin',(34.75,7.245,-0.035)); #568699=CARTESIAN_POINT('Origin',(33.9,6.67,-0.035)); #568700=CARTESIAN_POINT('',(33.9,6.67,-0.035)); #568701=CARTESIAN_POINT('',(33.9,7.82,-0.035)); #568702=CARTESIAN_POINT('',(33.9,6.67,-0.035)); #568703=CARTESIAN_POINT('',(33.9,7.82,0.)); #568704=CARTESIAN_POINT('',(33.9,7.82,-0.035)); #568705=CARTESIAN_POINT('',(33.9,6.67,0.)); #568706=CARTESIAN_POINT('',(33.9,6.67,0.)); #568707=CARTESIAN_POINT('',(33.9,6.67,-0.035)); #568708=CARTESIAN_POINT('Origin',(33.6,6.67,-0.035)); #568709=CARTESIAN_POINT('',(33.6,6.67,-0.035)); #568710=CARTESIAN_POINT('',(33.6,6.67,-0.035)); #568711=CARTESIAN_POINT('',(33.6,6.67,0.)); #568712=CARTESIAN_POINT('',(33.6,6.67,0.)); #568713=CARTESIAN_POINT('',(33.6,6.67,-0.035)); #568714=CARTESIAN_POINT('Origin',(33.6,7.82,-0.035)); #568715=CARTESIAN_POINT('',(33.6,7.82,-0.035)); #568716=CARTESIAN_POINT('',(33.6,7.82,-0.035)); #568717=CARTESIAN_POINT('',(33.6,7.82,0.)); #568718=CARTESIAN_POINT('',(33.6,7.82,0.)); #568719=CARTESIAN_POINT('',(33.6,7.82,-0.035)); #568720=CARTESIAN_POINT('Origin',(33.9,7.82,-0.035)); #568721=CARTESIAN_POINT('',(33.9,7.82,-0.035)); #568722=CARTESIAN_POINT('',(33.9,7.82,0.)); #568723=CARTESIAN_POINT('Origin',(33.75,7.245,0.)); #568724=CARTESIAN_POINT('Origin',(33.75,7.245,-0.035)); #568725=CARTESIAN_POINT('Origin',(34.4,6.67,-0.035)); #568726=CARTESIAN_POINT('',(34.4,6.67,-0.035)); #568727=CARTESIAN_POINT('',(34.4,7.82,-0.035)); #568728=CARTESIAN_POINT('',(34.4,6.67,-0.035)); #568729=CARTESIAN_POINT('',(34.4,7.82,0.)); #568730=CARTESIAN_POINT('',(34.4,7.82,-0.035)); #568731=CARTESIAN_POINT('',(34.4,6.67,0.)); #568732=CARTESIAN_POINT('',(34.4,6.67,0.)); #568733=CARTESIAN_POINT('',(34.4,6.67,-0.035)); #568734=CARTESIAN_POINT('Origin',(34.1,6.67,-0.035)); #568735=CARTESIAN_POINT('',(34.1,6.67,-0.035)); #568736=CARTESIAN_POINT('',(34.1,6.67,-0.035)); #568737=CARTESIAN_POINT('',(34.1,6.67,0.)); #568738=CARTESIAN_POINT('',(34.1,6.67,0.)); #568739=CARTESIAN_POINT('',(34.1,6.67,-0.035)); #568740=CARTESIAN_POINT('Origin',(34.1,7.82,-0.035)); #568741=CARTESIAN_POINT('',(34.1,7.82,-0.035)); #568742=CARTESIAN_POINT('',(34.1,7.82,-0.035)); #568743=CARTESIAN_POINT('',(34.1,7.82,0.)); #568744=CARTESIAN_POINT('',(34.1,7.82,0.)); #568745=CARTESIAN_POINT('',(34.1,7.82,-0.035)); #568746=CARTESIAN_POINT('Origin',(34.4,7.82,-0.035)); #568747=CARTESIAN_POINT('',(34.4,7.82,-0.035)); #568748=CARTESIAN_POINT('',(34.4,7.82,0.)); #568749=CARTESIAN_POINT('Origin',(34.25,7.245,0.)); #568750=CARTESIAN_POINT('Origin',(34.25,7.245,-0.035)); #568751=CARTESIAN_POINT('Origin',(33.4,6.67,-0.035)); #568752=CARTESIAN_POINT('',(33.4,6.67,-0.035)); #568753=CARTESIAN_POINT('',(33.4,7.82,-0.035)); #568754=CARTESIAN_POINT('',(33.4,6.67,-0.035)); #568755=CARTESIAN_POINT('',(33.4,7.82,0.)); #568756=CARTESIAN_POINT('',(33.4,7.82,-0.035)); #568757=CARTESIAN_POINT('',(33.4,6.67,0.)); #568758=CARTESIAN_POINT('',(33.4,6.67,0.)); #568759=CARTESIAN_POINT('',(33.4,6.67,-0.035)); #568760=CARTESIAN_POINT('Origin',(33.1,6.67,-0.035)); #568761=CARTESIAN_POINT('',(33.1,6.67,-0.035)); #568762=CARTESIAN_POINT('',(33.1,6.67,-0.035)); #568763=CARTESIAN_POINT('',(33.1,6.67,0.)); #568764=CARTESIAN_POINT('',(33.1,6.67,0.)); #568765=CARTESIAN_POINT('',(33.1,6.67,-0.035)); #568766=CARTESIAN_POINT('Origin',(33.1,7.82,-0.035)); #568767=CARTESIAN_POINT('',(33.1,7.82,-0.035)); #568768=CARTESIAN_POINT('',(33.1,7.82,-0.035)); #568769=CARTESIAN_POINT('',(33.1,7.82,0.)); #568770=CARTESIAN_POINT('',(33.1,7.82,0.)); #568771=CARTESIAN_POINT('',(33.1,7.82,-0.035)); #568772=CARTESIAN_POINT('Origin',(33.4,7.82,-0.035)); #568773=CARTESIAN_POINT('',(33.4,7.82,-0.035)); #568774=CARTESIAN_POINT('',(33.4,7.82,0.)); #568775=CARTESIAN_POINT('Origin',(33.25,7.245,0.)); #568776=CARTESIAN_POINT('Origin',(33.25,7.245,-0.035)); #568777=CARTESIAN_POINT('Origin',(29.6571,65.5555,-0.035)); #568778=CARTESIAN_POINT('',(29.6571,65.5555,-0.035)); #568779=CARTESIAN_POINT('',(29.6571,66.4445,-0.035)); #568780=CARTESIAN_POINT('',(29.6571,65.5555,-0.035)); #568781=CARTESIAN_POINT('',(29.6571,66.4445,0.)); #568782=CARTESIAN_POINT('',(29.6571,66.4445,-0.035)); #568783=CARTESIAN_POINT('',(29.6571,65.5555,0.)); #568784=CARTESIAN_POINT('',(29.6571,65.5555,0.)); #568785=CARTESIAN_POINT('',(29.6571,65.5555,-0.035)); #568786=CARTESIAN_POINT('Origin',(28.8189,65.5555,-0.035)); #568787=CARTESIAN_POINT('',(28.8189,65.5555,-0.035)); #568788=CARTESIAN_POINT('',(28.8189,65.5555,-0.035)); #568789=CARTESIAN_POINT('',(28.8189,65.5555,0.)); #568790=CARTESIAN_POINT('',(28.8189,65.5555,0.)); #568791=CARTESIAN_POINT('',(28.8189,65.5555,-0.035)); #568792=CARTESIAN_POINT('Origin',(28.8189,66.4445,-0.035)); #568793=CARTESIAN_POINT('',(28.8189,66.4445,-0.035)); #568794=CARTESIAN_POINT('',(28.8189,66.4445,-0.035)); #568795=CARTESIAN_POINT('',(28.8189,66.4445,0.)); #568796=CARTESIAN_POINT('',(28.8189,66.4445,0.)); #568797=CARTESIAN_POINT('',(28.8189,66.4445,-0.035)); #568798=CARTESIAN_POINT('Origin',(29.6571,66.4445,-0.035)); #568799=CARTESIAN_POINT('',(29.6571,66.4445,-0.035)); #568800=CARTESIAN_POINT('',(29.6571,66.4445,0.)); #568801=CARTESIAN_POINT('Origin',(29.238,66.,0.)); #568802=CARTESIAN_POINT('Origin',(29.238,66.,-0.035)); #568803=CARTESIAN_POINT('Origin',(55.1811,41.5555,-0.035)); #568804=CARTESIAN_POINT('',(55.1811,41.5555,-0.035)); #568805=CARTESIAN_POINT('',(55.1811,42.4445,-0.035)); #568806=CARTESIAN_POINT('',(55.1811,41.5555,-0.035)); #568807=CARTESIAN_POINT('',(55.1811,42.4445,0.)); #568808=CARTESIAN_POINT('',(55.1811,42.4445,-0.035)); #568809=CARTESIAN_POINT('',(55.1811,41.5555,0.)); #568810=CARTESIAN_POINT('',(55.1811,41.5555,0.)); #568811=CARTESIAN_POINT('',(55.1811,41.5555,-0.035)); #568812=CARTESIAN_POINT('Origin',(54.3429,41.5555,-0.035)); #568813=CARTESIAN_POINT('',(54.3429,41.5555,-0.035)); #568814=CARTESIAN_POINT('',(54.3429,41.5555,-0.035)); #568815=CARTESIAN_POINT('',(54.3429,41.5555,0.)); #568816=CARTESIAN_POINT('',(54.3429,41.5555,0.)); #568817=CARTESIAN_POINT('',(54.3429,41.5555,-0.035)); #568818=CARTESIAN_POINT('Origin',(54.3429,42.4445,-0.035)); #568819=CARTESIAN_POINT('',(54.3429,42.4445,-0.035)); #568820=CARTESIAN_POINT('',(54.3429,42.4445,-0.035)); #568821=CARTESIAN_POINT('',(54.3429,42.4445,0.)); #568822=CARTESIAN_POINT('',(54.3429,42.4445,0.)); #568823=CARTESIAN_POINT('',(54.3429,42.4445,-0.035)); #568824=CARTESIAN_POINT('Origin',(55.1811,42.4445,-0.035)); #568825=CARTESIAN_POINT('',(55.1811,42.4445,-0.035)); #568826=CARTESIAN_POINT('',(55.1811,42.4445,0.)); #568827=CARTESIAN_POINT('Origin',(54.762,42.,0.)); #568828=CARTESIAN_POINT('Origin',(54.762,42.,-0.035)); #568829=CARTESIAN_POINT('Origin',(46.935,58.15,-0.035)); #568830=CARTESIAN_POINT('',(46.935,58.15,-0.035)); #568831=CARTESIAN_POINT('',(46.935,59.35,-0.035)); #568832=CARTESIAN_POINT('',(46.935,58.15,-0.035)); #568833=CARTESIAN_POINT('',(46.935,59.35,0.)); #568834=CARTESIAN_POINT('',(46.935,59.35,-0.035)); #568835=CARTESIAN_POINT('',(46.935,58.15,0.)); #568836=CARTESIAN_POINT('',(46.935,58.15,0.)); #568837=CARTESIAN_POINT('',(46.935,58.15,-0.035)); #568838=CARTESIAN_POINT('Origin',(46.335,58.15,-0.035)); #568839=CARTESIAN_POINT('',(46.335,58.15,-0.035)); #568840=CARTESIAN_POINT('',(46.335,58.15,-0.035)); #568841=CARTESIAN_POINT('',(46.335,58.15,0.)); #568842=CARTESIAN_POINT('',(46.335,58.15,0.)); #568843=CARTESIAN_POINT('',(46.335,58.15,-0.035)); #568844=CARTESIAN_POINT('Origin',(46.335,59.35,-0.035)); #568845=CARTESIAN_POINT('',(46.335,59.35,-0.035)); #568846=CARTESIAN_POINT('',(46.335,59.35,-0.035)); #568847=CARTESIAN_POINT('',(46.335,59.35,0.)); #568848=CARTESIAN_POINT('',(46.335,59.35,0.)); #568849=CARTESIAN_POINT('',(46.335,59.35,-0.035)); #568850=CARTESIAN_POINT('Origin',(46.935,59.35,-0.035)); #568851=CARTESIAN_POINT('',(46.935,59.35,-0.035)); #568852=CARTESIAN_POINT('',(46.935,59.35,0.)); #568853=CARTESIAN_POINT('Origin',(46.635,58.75,0.)); #568854=CARTESIAN_POINT('Origin',(46.635,58.75,-0.035)); #568855=CARTESIAN_POINT('Origin',(37.2,7.1,-200.)); #568856=CARTESIAN_POINT('',(37.085,7.1,-0.0349999999999895)); #568857=CARTESIAN_POINT('Origin',(37.2,7.1,-0.0349999999999895)); #568858=CARTESIAN_POINT('',(37.085,7.1,0.)); #568859=CARTESIAN_POINT('',(37.085,7.1,-200.)); #568860=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #568861=CARTESIAN_POINT('Origin',(37.2,7.6,-200.)); #568862=CARTESIAN_POINT('',(37.085,7.6,-0.0349999999999895)); #568863=CARTESIAN_POINT('Origin',(37.2,7.6,-0.0349999999999895)); #568864=CARTESIAN_POINT('',(37.085,7.6,0.)); #568865=CARTESIAN_POINT('',(37.085,7.6,-200.)); #568866=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #568867=CARTESIAN_POINT('Origin',(36.9,7.82,-0.035)); #568868=CARTESIAN_POINT('',(36.9,7.82,-0.035)); #568869=CARTESIAN_POINT('',(36.9,6.67,-0.035)); #568870=CARTESIAN_POINT('',(36.9,7.82,-0.035)); #568871=CARTESIAN_POINT('',(36.9,6.67,0.)); #568872=CARTESIAN_POINT('',(36.9,6.67,-0.035)); #568873=CARTESIAN_POINT('',(36.9,7.82,0.)); #568874=CARTESIAN_POINT('',(36.9,7.82,0.)); #568875=CARTESIAN_POINT('',(36.9,7.82,-0.035)); #568876=CARTESIAN_POINT('Origin',(37.5,7.82,-0.035)); #568877=CARTESIAN_POINT('',(37.5,7.82,-0.035)); #568878=CARTESIAN_POINT('',(37.5,7.82,-0.035)); #568879=CARTESIAN_POINT('',(37.5,7.82,0.)); #568880=CARTESIAN_POINT('',(37.5,7.82,0.)); #568881=CARTESIAN_POINT('',(37.5,7.82,-0.035)); #568882=CARTESIAN_POINT('Origin',(37.5,6.67,-0.035)); #568883=CARTESIAN_POINT('',(37.5,6.67,-0.035)); #568884=CARTESIAN_POINT('',(37.5,6.67,-0.035)); #568885=CARTESIAN_POINT('',(37.5,6.67,0.)); #568886=CARTESIAN_POINT('',(37.5,6.67,0.)); #568887=CARTESIAN_POINT('',(37.5,6.67,-0.035)); #568888=CARTESIAN_POINT('Origin',(36.9,6.67,-0.035)); #568889=CARTESIAN_POINT('',(36.9,6.67,-0.035)); #568890=CARTESIAN_POINT('',(36.9,6.67,0.)); #568891=CARTESIAN_POINT('Origin',(37.2,7.245,0.)); #568892=CARTESIAN_POINT('Origin',(37.2,7.245,-0.035)); #568893=CARTESIAN_POINT('Origin',(13.6571,44.5555,-0.035)); #568894=CARTESIAN_POINT('',(13.6571,44.5555,-0.035)); #568895=CARTESIAN_POINT('',(13.6571,45.4445,-0.035)); #568896=CARTESIAN_POINT('',(13.6571,44.5555,-0.035)); #568897=CARTESIAN_POINT('',(13.6571,45.4445,0.)); #568898=CARTESIAN_POINT('',(13.6571,45.4445,-0.035)); #568899=CARTESIAN_POINT('',(13.6571,44.5555,0.)); #568900=CARTESIAN_POINT('',(13.6571,44.5555,0.)); #568901=CARTESIAN_POINT('',(13.6571,44.5555,-0.035)); #568902=CARTESIAN_POINT('Origin',(12.8189,44.5555,-0.035)); #568903=CARTESIAN_POINT('',(12.8189,44.5555,-0.035)); #568904=CARTESIAN_POINT('',(12.8189,44.5555,-0.035)); #568905=CARTESIAN_POINT('',(12.8189,44.5555,0.)); #568906=CARTESIAN_POINT('',(12.8189,44.5555,0.)); #568907=CARTESIAN_POINT('',(12.8189,44.5555,-0.035)); #568908=CARTESIAN_POINT('Origin',(12.8189,45.4445,-0.035)); #568909=CARTESIAN_POINT('',(12.8189,45.4445,-0.035)); #568910=CARTESIAN_POINT('',(12.8189,45.4445,-0.035)); #568911=CARTESIAN_POINT('',(12.8189,45.4445,0.)); #568912=CARTESIAN_POINT('',(12.8189,45.4445,0.)); #568913=CARTESIAN_POINT('',(12.8189,45.4445,-0.035)); #568914=CARTESIAN_POINT('Origin',(13.6571,45.4445,-0.035)); #568915=CARTESIAN_POINT('',(13.6571,45.4445,-0.035)); #568916=CARTESIAN_POINT('',(13.6571,45.4445,0.)); #568917=CARTESIAN_POINT('Origin',(13.238,45.,0.)); #568918=CARTESIAN_POINT('Origin',(13.238,45.,-0.035)); #568919=CARTESIAN_POINT('Origin',(30.8,7.6,-200.)); #568920=CARTESIAN_POINT('',(30.685,7.6,-0.0349999999999895)); #568921=CARTESIAN_POINT('Origin',(30.8,7.6,-0.0349999999999895)); #568922=CARTESIAN_POINT('',(30.685,7.6,0.)); #568923=CARTESIAN_POINT('',(30.685,7.6,-200.)); #568924=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #568925=CARTESIAN_POINT('Origin',(30.8,7.1,-200.)); #568926=CARTESIAN_POINT('',(30.685,7.1,-0.0349999999999895)); #568927=CARTESIAN_POINT('Origin',(30.8,7.1,-0.0349999999999895)); #568928=CARTESIAN_POINT('',(30.685,7.1,0.)); #568929=CARTESIAN_POINT('',(30.685,7.1,-200.)); #568930=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #568931=CARTESIAN_POINT('Origin',(30.5,7.82,-0.035)); #568932=CARTESIAN_POINT('',(30.5,7.82,-0.035)); #568933=CARTESIAN_POINT('',(30.5,6.67,-0.035)); #568934=CARTESIAN_POINT('',(30.5,7.82,-0.035)); #568935=CARTESIAN_POINT('',(30.5,6.67,0.)); #568936=CARTESIAN_POINT('',(30.5,6.67,-0.035)); #568937=CARTESIAN_POINT('',(30.5,7.82,0.)); #568938=CARTESIAN_POINT('',(30.5,7.82,0.)); #568939=CARTESIAN_POINT('',(30.5,7.82,-0.035)); #568940=CARTESIAN_POINT('Origin',(31.1,7.82,-0.035)); #568941=CARTESIAN_POINT('',(31.1,7.82,-0.035)); #568942=CARTESIAN_POINT('',(31.1,7.82,-0.035)); #568943=CARTESIAN_POINT('',(31.1,7.82,0.)); #568944=CARTESIAN_POINT('',(31.1,7.82,0.)); #568945=CARTESIAN_POINT('',(31.1,7.82,-0.035)); #568946=CARTESIAN_POINT('Origin',(31.1,6.67,-0.035)); #568947=CARTESIAN_POINT('',(31.1,6.67,-0.035)); #568948=CARTESIAN_POINT('',(31.1,6.67,-0.035)); #568949=CARTESIAN_POINT('',(31.1,6.67,0.)); #568950=CARTESIAN_POINT('',(31.1,6.67,0.)); #568951=CARTESIAN_POINT('',(31.1,6.67,-0.035)); #568952=CARTESIAN_POINT('Origin',(30.5,6.67,-0.035)); #568953=CARTESIAN_POINT('',(30.5,6.67,-0.035)); #568954=CARTESIAN_POINT('',(30.5,6.67,0.)); #568955=CARTESIAN_POINT('Origin',(30.8,7.245,0.)); #568956=CARTESIAN_POINT('Origin',(30.8,7.245,-0.035)); #568957=CARTESIAN_POINT('Origin',(37.6571,65.5555,-0.035)); #568958=CARTESIAN_POINT('',(37.6571,65.5555,-0.035)); #568959=CARTESIAN_POINT('',(37.6571,66.4445,-0.035)); #568960=CARTESIAN_POINT('',(37.6571,65.5555,-0.035)); #568961=CARTESIAN_POINT('',(37.6571,66.4445,0.)); #568962=CARTESIAN_POINT('',(37.6571,66.4445,-0.035)); #568963=CARTESIAN_POINT('',(37.6571,65.5555,0.)); #568964=CARTESIAN_POINT('',(37.6571,65.5555,0.)); #568965=CARTESIAN_POINT('',(37.6571,65.5555,-0.035)); #568966=CARTESIAN_POINT('Origin',(36.8189,65.5555,-0.035)); #568967=CARTESIAN_POINT('',(36.8189,65.5555,-0.035)); #568968=CARTESIAN_POINT('',(36.8189,65.5555,-0.035)); #568969=CARTESIAN_POINT('',(36.8189,65.5555,0.)); #568970=CARTESIAN_POINT('',(36.8189,65.5555,0.)); #568971=CARTESIAN_POINT('',(36.8189,65.5555,-0.035)); #568972=CARTESIAN_POINT('Origin',(36.8189,66.4445,-0.035)); #568973=CARTESIAN_POINT('',(36.8189,66.4445,-0.035)); #568974=CARTESIAN_POINT('',(36.8189,66.4445,-0.035)); #568975=CARTESIAN_POINT('',(36.8189,66.4445,0.)); #568976=CARTESIAN_POINT('',(36.8189,66.4445,0.)); #568977=CARTESIAN_POINT('',(36.8189,66.4445,-0.035)); #568978=CARTESIAN_POINT('Origin',(37.6571,66.4445,-0.035)); #568979=CARTESIAN_POINT('',(37.6571,66.4445,-0.035)); #568980=CARTESIAN_POINT('',(37.6571,66.4445,0.)); #568981=CARTESIAN_POINT('Origin',(37.238,66.,0.)); #568982=CARTESIAN_POINT('Origin',(37.238,66.,-0.035)); #568983=CARTESIAN_POINT('Origin',(46.3998,22.7905,-0.035)); #568984=CARTESIAN_POINT('',(46.3998,22.7905,-0.035)); #568985=CARTESIAN_POINT('',(46.3998,24.4415,-0.035)); #568986=CARTESIAN_POINT('',(46.3998,22.7905,-0.035)); #568987=CARTESIAN_POINT('',(46.3998,24.4415,0.)); #568988=CARTESIAN_POINT('',(46.3998,24.4415,-0.035)); #568989=CARTESIAN_POINT('',(46.3998,22.7905,0.)); #568990=CARTESIAN_POINT('',(46.3998,22.7905,0.)); #568991=CARTESIAN_POINT('',(46.3998,22.7905,-0.035)); #568992=CARTESIAN_POINT('Origin',(45.7902,22.7905,-0.035)); #568993=CARTESIAN_POINT('',(45.7902,22.7905,-0.035)); #568994=CARTESIAN_POINT('',(45.7902,22.7905,-0.035)); #568995=CARTESIAN_POINT('',(45.7902,22.7905,0.)); #568996=CARTESIAN_POINT('',(45.7902,22.7905,0.)); #568997=CARTESIAN_POINT('',(45.7902,22.7905,-0.035)); #568998=CARTESIAN_POINT('Origin',(45.7902,24.4415,-0.035)); #568999=CARTESIAN_POINT('',(45.7902,24.4415,-0.035)); #569000=CARTESIAN_POINT('',(45.7902,24.4415,-0.035)); #569001=CARTESIAN_POINT('',(45.7902,24.4415,0.)); #569002=CARTESIAN_POINT('',(45.7902,24.4415,0.)); #569003=CARTESIAN_POINT('',(45.7902,24.4415,-0.035)); #569004=CARTESIAN_POINT('Origin',(46.3998,24.4415,-0.035)); #569005=CARTESIAN_POINT('',(46.3998,24.4415,-0.035)); #569006=CARTESIAN_POINT('',(46.3998,24.4415,0.)); #569007=CARTESIAN_POINT('Origin',(46.095,23.616,0.)); #569008=CARTESIAN_POINT('Origin',(46.095,23.616,-0.035)); #569009=CARTESIAN_POINT('Origin',(22.935,58.15,-0.035)); #569010=CARTESIAN_POINT('',(22.935,58.15,-0.035)); #569011=CARTESIAN_POINT('',(22.935,59.35,-0.035)); #569012=CARTESIAN_POINT('',(22.935,58.15,-0.035)); #569013=CARTESIAN_POINT('',(22.935,59.35,0.)); #569014=CARTESIAN_POINT('',(22.935,59.35,-0.035)); #569015=CARTESIAN_POINT('',(22.935,58.15,0.)); #569016=CARTESIAN_POINT('',(22.935,58.15,0.)); #569017=CARTESIAN_POINT('',(22.935,58.15,-0.035)); #569018=CARTESIAN_POINT('Origin',(22.335,58.15,-0.035)); #569019=CARTESIAN_POINT('',(22.335,58.15,-0.035)); #569020=CARTESIAN_POINT('',(22.335,58.15,-0.035)); #569021=CARTESIAN_POINT('',(22.335,58.15,0.)); #569022=CARTESIAN_POINT('',(22.335,58.15,0.)); #569023=CARTESIAN_POINT('',(22.335,58.15,-0.035)); #569024=CARTESIAN_POINT('Origin',(22.335,59.35,-0.035)); #569025=CARTESIAN_POINT('',(22.335,59.35,-0.035)); #569026=CARTESIAN_POINT('',(22.335,59.35,-0.035)); #569027=CARTESIAN_POINT('',(22.335,59.35,0.)); #569028=CARTESIAN_POINT('',(22.335,59.35,0.)); #569029=CARTESIAN_POINT('',(22.335,59.35,-0.035)); #569030=CARTESIAN_POINT('Origin',(22.935,59.35,-0.035)); #569031=CARTESIAN_POINT('',(22.935,59.35,-0.035)); #569032=CARTESIAN_POINT('',(22.935,59.35,0.)); #569033=CARTESIAN_POINT('Origin',(22.635,58.75,0.)); #569034=CARTESIAN_POINT('Origin',(22.635,58.75,-0.035)); #569035=CARTESIAN_POINT('Origin',(20.3175,23.515,-0.035)); #569036=CARTESIAN_POINT('',(20.3175,23.515,-0.035)); #569037=CARTESIAN_POINT('',(20.3175,24.785,-0.035)); #569038=CARTESIAN_POINT('',(20.3175,23.515,-0.035)); #569039=CARTESIAN_POINT('',(20.3175,24.785,0.)); #569040=CARTESIAN_POINT('',(20.3175,24.785,-0.035)); #569041=CARTESIAN_POINT('',(20.3175,23.515,0.)); #569042=CARTESIAN_POINT('',(20.3175,23.515,0.)); #569043=CARTESIAN_POINT('',(20.3175,23.515,-0.035)); #569044=CARTESIAN_POINT('Origin',(19.6825,23.515,-0.035)); #569045=CARTESIAN_POINT('',(19.6825,23.515,-0.035)); #569046=CARTESIAN_POINT('',(19.6825,23.515,-0.035)); #569047=CARTESIAN_POINT('',(19.6825,23.515,0.)); #569048=CARTESIAN_POINT('',(19.6825,23.515,0.)); #569049=CARTESIAN_POINT('',(19.6825,23.515,-0.035)); #569050=CARTESIAN_POINT('Origin',(19.6825,24.785,-0.035)); #569051=CARTESIAN_POINT('',(19.6825,24.785,-0.035)); #569052=CARTESIAN_POINT('',(19.6825,24.785,-0.035)); #569053=CARTESIAN_POINT('',(19.6825,24.785,0.)); #569054=CARTESIAN_POINT('',(19.6825,24.785,0.)); #569055=CARTESIAN_POINT('',(19.6825,24.785,-0.035)); #569056=CARTESIAN_POINT('Origin',(20.3175,24.785,-0.035)); #569057=CARTESIAN_POINT('',(20.3175,24.785,-0.035)); #569058=CARTESIAN_POINT('',(20.3175,24.785,0.)); #569059=CARTESIAN_POINT('Origin',(20.,24.15,0.)); #569060=CARTESIAN_POINT('Origin',(20.,24.15,-0.035)); #569061=CARTESIAN_POINT('Origin',(45.665,58.15,-0.035)); #569062=CARTESIAN_POINT('',(45.665,58.15,-0.035)); #569063=CARTESIAN_POINT('',(45.665,59.35,-0.035)); #569064=CARTESIAN_POINT('',(45.665,58.15,-0.035)); #569065=CARTESIAN_POINT('',(45.665,59.35,0.)); #569066=CARTESIAN_POINT('',(45.665,59.35,-0.035)); #569067=CARTESIAN_POINT('',(45.665,58.15,0.)); #569068=CARTESIAN_POINT('',(45.665,58.15,0.)); #569069=CARTESIAN_POINT('',(45.665,58.15,-0.035)); #569070=CARTESIAN_POINT('Origin',(45.065,58.15,-0.035)); #569071=CARTESIAN_POINT('',(45.065,58.15,-0.035)); #569072=CARTESIAN_POINT('',(45.065,58.15,-0.035)); #569073=CARTESIAN_POINT('',(45.065,58.15,0.)); #569074=CARTESIAN_POINT('',(45.065,58.15,0.)); #569075=CARTESIAN_POINT('',(45.065,58.15,-0.035)); #569076=CARTESIAN_POINT('Origin',(45.065,59.35,-0.035)); #569077=CARTESIAN_POINT('',(45.065,59.35,-0.035)); #569078=CARTESIAN_POINT('',(45.065,59.35,-0.035)); #569079=CARTESIAN_POINT('',(45.065,59.35,0.)); #569080=CARTESIAN_POINT('',(45.065,59.35,0.)); #569081=CARTESIAN_POINT('',(45.065,59.35,-0.035)); #569082=CARTESIAN_POINT('Origin',(45.665,59.35,-0.035)); #569083=CARTESIAN_POINT('',(45.665,59.35,-0.035)); #569084=CARTESIAN_POINT('',(45.665,59.35,0.)); #569085=CARTESIAN_POINT('Origin',(45.365,58.75,0.)); #569086=CARTESIAN_POINT('Origin',(45.365,58.75,-0.035)); #569087=CARTESIAN_POINT('Origin',(42.1811,22.5555,-0.035)); #569088=CARTESIAN_POINT('',(42.1811,22.5555,-0.035)); #569089=CARTESIAN_POINT('',(42.1811,23.4445,-0.035)); #569090=CARTESIAN_POINT('',(42.1811,22.5555,-0.035)); #569091=CARTESIAN_POINT('',(42.1811,23.4445,0.)); #569092=CARTESIAN_POINT('',(42.1811,23.4445,-0.035)); #569093=CARTESIAN_POINT('',(42.1811,22.5555,0.)); #569094=CARTESIAN_POINT('',(42.1811,22.5555,0.)); #569095=CARTESIAN_POINT('',(42.1811,22.5555,-0.035)); #569096=CARTESIAN_POINT('Origin',(41.3429,22.5555,-0.035)); #569097=CARTESIAN_POINT('',(41.3429,22.5555,-0.035)); #569098=CARTESIAN_POINT('',(41.3429,22.5555,-0.035)); #569099=CARTESIAN_POINT('',(41.3429,22.5555,0.)); #569100=CARTESIAN_POINT('',(41.3429,22.5555,0.)); #569101=CARTESIAN_POINT('',(41.3429,22.5555,-0.035)); #569102=CARTESIAN_POINT('Origin',(41.3429,23.4445,-0.035)); #569103=CARTESIAN_POINT('',(41.3429,23.4445,-0.035)); #569104=CARTESIAN_POINT('',(41.3429,23.4445,-0.035)); #569105=CARTESIAN_POINT('',(41.3429,23.4445,0.)); #569106=CARTESIAN_POINT('',(41.3429,23.4445,0.)); #569107=CARTESIAN_POINT('',(41.3429,23.4445,-0.035)); #569108=CARTESIAN_POINT('Origin',(42.1811,23.4445,-0.035)); #569109=CARTESIAN_POINT('',(42.1811,23.4445,-0.035)); #569110=CARTESIAN_POINT('',(42.1811,23.4445,0.)); #569111=CARTESIAN_POINT('Origin',(41.762,23.,0.)); #569112=CARTESIAN_POINT('Origin',(41.762,23.,-0.035)); #569113=CARTESIAN_POINT('Origin',(55.1811,44.5555,-0.035)); #569114=CARTESIAN_POINT('',(55.1811,44.5555,-0.035)); #569115=CARTESIAN_POINT('',(55.1811,45.4445,-0.035)); #569116=CARTESIAN_POINT('',(55.1811,44.5555,-0.035)); #569117=CARTESIAN_POINT('',(55.1811,45.4445,0.)); #569118=CARTESIAN_POINT('',(55.1811,45.4445,-0.035)); #569119=CARTESIAN_POINT('',(55.1811,44.5555,0.)); #569120=CARTESIAN_POINT('',(55.1811,44.5555,0.)); #569121=CARTESIAN_POINT('',(55.1811,44.5555,-0.035)); #569122=CARTESIAN_POINT('Origin',(54.3429,44.5555,-0.035)); #569123=CARTESIAN_POINT('',(54.3429,44.5555,-0.035)); #569124=CARTESIAN_POINT('',(54.3429,44.5555,-0.035)); #569125=CARTESIAN_POINT('',(54.3429,44.5555,0.)); #569126=CARTESIAN_POINT('',(54.3429,44.5555,0.)); #569127=CARTESIAN_POINT('',(54.3429,44.5555,-0.035)); #569128=CARTESIAN_POINT('Origin',(54.3429,45.4445,-0.035)); #569129=CARTESIAN_POINT('',(54.3429,45.4445,-0.035)); #569130=CARTESIAN_POINT('',(54.3429,45.4445,-0.035)); #569131=CARTESIAN_POINT('',(54.3429,45.4445,0.)); #569132=CARTESIAN_POINT('',(54.3429,45.4445,0.)); #569133=CARTESIAN_POINT('',(54.3429,45.4445,-0.035)); #569134=CARTESIAN_POINT('Origin',(55.1811,45.4445,-0.035)); #569135=CARTESIAN_POINT('',(55.1811,45.4445,-0.035)); #569136=CARTESIAN_POINT('',(55.1811,45.4445,0.)); #569137=CARTESIAN_POINT('Origin',(54.762,45.,0.)); #569138=CARTESIAN_POINT('Origin',(54.762,45.,-0.035)); #569139=CARTESIAN_POINT('Origin',(21.1811,19.5555,-0.035)); #569140=CARTESIAN_POINT('',(21.1811,19.5555,-0.035)); #569141=CARTESIAN_POINT('',(21.1811,20.4445,-0.035)); #569142=CARTESIAN_POINT('',(21.1811,19.5555,-0.035)); #569143=CARTESIAN_POINT('',(21.1811,20.4445,0.)); #569144=CARTESIAN_POINT('',(21.1811,20.4445,-0.035)); #569145=CARTESIAN_POINT('',(21.1811,19.5555,0.)); #569146=CARTESIAN_POINT('',(21.1811,19.5555,0.)); #569147=CARTESIAN_POINT('',(21.1811,19.5555,-0.035)); #569148=CARTESIAN_POINT('Origin',(20.3429,19.5555,-0.035)); #569149=CARTESIAN_POINT('',(20.3429,19.5555,-0.035)); #569150=CARTESIAN_POINT('',(20.3429,19.5555,-0.035)); #569151=CARTESIAN_POINT('',(20.3429,19.5555,0.)); #569152=CARTESIAN_POINT('',(20.3429,19.5555,0.)); #569153=CARTESIAN_POINT('',(20.3429,19.5555,-0.035)); #569154=CARTESIAN_POINT('Origin',(20.3429,20.4445,-0.035)); #569155=CARTESIAN_POINT('',(20.3429,20.4445,-0.035)); #569156=CARTESIAN_POINT('',(20.3429,20.4445,-0.035)); #569157=CARTESIAN_POINT('',(20.3429,20.4445,0.)); #569158=CARTESIAN_POINT('',(20.3429,20.4445,0.)); #569159=CARTESIAN_POINT('',(20.3429,20.4445,-0.035)); #569160=CARTESIAN_POINT('Origin',(21.1811,20.4445,-0.035)); #569161=CARTESIAN_POINT('',(21.1811,20.4445,-0.035)); #569162=CARTESIAN_POINT('',(21.1811,20.4445,0.)); #569163=CARTESIAN_POINT('Origin',(20.762,20.,0.)); #569164=CARTESIAN_POINT('Origin',(20.762,20.,-0.035)); #569165=CARTESIAN_POINT('Origin',(33.6571,65.5555,-0.035)); #569166=CARTESIAN_POINT('',(33.6571,65.5555,-0.035)); #569167=CARTESIAN_POINT('',(33.6571,66.4445,-0.035)); #569168=CARTESIAN_POINT('',(33.6571,65.5555,-0.035)); #569169=CARTESIAN_POINT('',(33.6571,66.4445,0.)); #569170=CARTESIAN_POINT('',(33.6571,66.4445,-0.035)); #569171=CARTESIAN_POINT('',(33.6571,65.5555,0.)); #569172=CARTESIAN_POINT('',(33.6571,65.5555,0.)); #569173=CARTESIAN_POINT('',(33.6571,65.5555,-0.035)); #569174=CARTESIAN_POINT('Origin',(32.8189,65.5555,-0.035)); #569175=CARTESIAN_POINT('',(32.8189,65.5555,-0.035)); #569176=CARTESIAN_POINT('',(32.8189,65.5555,-0.035)); #569177=CARTESIAN_POINT('',(32.8189,65.5555,0.)); #569178=CARTESIAN_POINT('',(32.8189,65.5555,0.)); #569179=CARTESIAN_POINT('',(32.8189,65.5555,-0.035)); #569180=CARTESIAN_POINT('Origin',(32.8189,66.4445,-0.035)); #569181=CARTESIAN_POINT('',(32.8189,66.4445,-0.035)); #569182=CARTESIAN_POINT('',(32.8189,66.4445,-0.035)); #569183=CARTESIAN_POINT('',(32.8189,66.4445,0.)); #569184=CARTESIAN_POINT('',(32.8189,66.4445,0.)); #569185=CARTESIAN_POINT('',(32.8189,66.4445,-0.035)); #569186=CARTESIAN_POINT('Origin',(33.6571,66.4445,-0.035)); #569187=CARTESIAN_POINT('',(33.6571,66.4445,-0.035)); #569188=CARTESIAN_POINT('',(33.6571,66.4445,0.)); #569189=CARTESIAN_POINT('Origin',(33.238,66.,0.)); #569190=CARTESIAN_POINT('Origin',(33.238,66.,-0.035)); #569191=CARTESIAN_POINT('Origin',(56.3622,24.6665,-0.035)); #569192=CARTESIAN_POINT('',(56.3622,24.6665,-0.035)); #569193=CARTESIAN_POINT('',(56.3622,27.3335,-0.035)); #569194=CARTESIAN_POINT('',(56.3622,24.6665,-0.035)); #569195=CARTESIAN_POINT('',(56.3622,27.3335,0.)); #569196=CARTESIAN_POINT('',(56.3622,27.3335,-0.035)); #569197=CARTESIAN_POINT('',(56.3622,24.6665,0.)); #569198=CARTESIAN_POINT('',(56.3622,24.6665,0.)); #569199=CARTESIAN_POINT('',(56.3622,24.6665,-0.035)); #569200=CARTESIAN_POINT('Origin',(54.6858,24.6665,-0.035)); #569201=CARTESIAN_POINT('',(54.6858,24.6665,-0.035)); #569202=CARTESIAN_POINT('',(54.6858,24.6665,-0.035)); #569203=CARTESIAN_POINT('',(54.6858,24.6665,0.)); #569204=CARTESIAN_POINT('',(54.6858,24.6665,0.)); #569205=CARTESIAN_POINT('',(54.6858,24.6665,-0.035)); #569206=CARTESIAN_POINT('Origin',(54.6858,27.3335,-0.035)); #569207=CARTESIAN_POINT('',(54.6858,27.3335,-0.035)); #569208=CARTESIAN_POINT('',(54.6858,27.3335,-0.035)); #569209=CARTESIAN_POINT('',(54.6858,27.3335,0.)); #569210=CARTESIAN_POINT('',(54.6858,27.3335,0.)); #569211=CARTESIAN_POINT('',(54.6858,27.3335,-0.035)); #569212=CARTESIAN_POINT('Origin',(56.3622,27.3335,-0.035)); #569213=CARTESIAN_POINT('',(56.3622,27.3335,-0.035)); #569214=CARTESIAN_POINT('',(56.3622,27.3335,0.)); #569215=CARTESIAN_POINT('Origin',(55.524,26.,0.)); #569216=CARTESIAN_POINT('Origin',(55.524,26.,-0.035)); #569217=CARTESIAN_POINT('Origin',(20.395,58.15,-0.035)); #569218=CARTESIAN_POINT('',(20.395,58.15,-0.035)); #569219=CARTESIAN_POINT('',(20.395,59.35,-0.035)); #569220=CARTESIAN_POINT('',(20.395,58.15,-0.035)); #569221=CARTESIAN_POINT('',(20.395,59.35,0.)); #569222=CARTESIAN_POINT('',(20.395,59.35,-0.035)); #569223=CARTESIAN_POINT('',(20.395,58.15,0.)); #569224=CARTESIAN_POINT('',(20.395,58.15,0.)); #569225=CARTESIAN_POINT('',(20.395,58.15,-0.035)); #569226=CARTESIAN_POINT('Origin',(19.795,58.15,-0.035)); #569227=CARTESIAN_POINT('',(19.795,58.15,-0.035)); #569228=CARTESIAN_POINT('',(19.795,58.15,-0.035)); #569229=CARTESIAN_POINT('',(19.795,58.15,0.)); #569230=CARTESIAN_POINT('',(19.795,58.15,0.)); #569231=CARTESIAN_POINT('',(19.795,58.15,-0.035)); #569232=CARTESIAN_POINT('Origin',(19.795,59.35,-0.035)); #569233=CARTESIAN_POINT('',(19.795,59.35,-0.035)); #569234=CARTESIAN_POINT('',(19.795,59.35,-0.035)); #569235=CARTESIAN_POINT('',(19.795,59.35,0.)); #569236=CARTESIAN_POINT('',(19.795,59.35,0.)); #569237=CARTESIAN_POINT('',(19.795,59.35,-0.035)); #569238=CARTESIAN_POINT('Origin',(20.395,59.35,-0.035)); #569239=CARTESIAN_POINT('',(20.395,59.35,-0.035)); #569240=CARTESIAN_POINT('',(20.395,59.35,0.)); #569241=CARTESIAN_POINT('Origin',(20.095,58.75,0.)); #569242=CARTESIAN_POINT('Origin',(20.095,58.75,-0.035)); #569243=CARTESIAN_POINT('Origin',(13.6571,41.5555,-0.035)); #569244=CARTESIAN_POINT('',(13.6571,41.5555,-0.035)); #569245=CARTESIAN_POINT('',(13.6571,42.4445,-0.035)); #569246=CARTESIAN_POINT('',(13.6571,41.5555,-0.035)); #569247=CARTESIAN_POINT('',(13.6571,42.4445,0.)); #569248=CARTESIAN_POINT('',(13.6571,42.4445,-0.035)); #569249=CARTESIAN_POINT('',(13.6571,41.5555,0.)); #569250=CARTESIAN_POINT('',(13.6571,41.5555,0.)); #569251=CARTESIAN_POINT('',(13.6571,41.5555,-0.035)); #569252=CARTESIAN_POINT('Origin',(12.8189,41.5555,-0.035)); #569253=CARTESIAN_POINT('',(12.8189,41.5555,-0.035)); #569254=CARTESIAN_POINT('',(12.8189,41.5555,-0.035)); #569255=CARTESIAN_POINT('',(12.8189,41.5555,0.)); #569256=CARTESIAN_POINT('',(12.8189,41.5555,0.)); #569257=CARTESIAN_POINT('',(12.8189,41.5555,-0.035)); #569258=CARTESIAN_POINT('Origin',(12.8189,42.4445,-0.035)); #569259=CARTESIAN_POINT('',(12.8189,42.4445,-0.035)); #569260=CARTESIAN_POINT('',(12.8189,42.4445,-0.035)); #569261=CARTESIAN_POINT('',(12.8189,42.4445,0.)); #569262=CARTESIAN_POINT('',(12.8189,42.4445,0.)); #569263=CARTESIAN_POINT('',(12.8189,42.4445,-0.035)); #569264=CARTESIAN_POINT('Origin',(13.6571,42.4445,-0.035)); #569265=CARTESIAN_POINT('',(13.6571,42.4445,-0.035)); #569266=CARTESIAN_POINT('',(13.6571,42.4445,0.)); #569267=CARTESIAN_POINT('Origin',(13.238,42.,0.)); #569268=CARTESIAN_POINT('Origin',(13.238,42.,-0.035)); #569269=CARTESIAN_POINT('Origin',(44.395,58.15,-0.035)); #569270=CARTESIAN_POINT('',(44.395,58.15,-0.035)); #569271=CARTESIAN_POINT('',(44.395,59.35,-0.035)); #569272=CARTESIAN_POINT('',(44.395,58.15,-0.035)); #569273=CARTESIAN_POINT('',(44.395,59.35,0.)); #569274=CARTESIAN_POINT('',(44.395,59.35,-0.035)); #569275=CARTESIAN_POINT('',(44.395,58.15,0.)); #569276=CARTESIAN_POINT('',(44.395,58.15,0.)); #569277=CARTESIAN_POINT('',(44.395,58.15,-0.035)); #569278=CARTESIAN_POINT('Origin',(43.795,58.15,-0.035)); #569279=CARTESIAN_POINT('',(43.795,58.15,-0.035)); #569280=CARTESIAN_POINT('',(43.795,58.15,-0.035)); #569281=CARTESIAN_POINT('',(43.795,58.15,0.)); #569282=CARTESIAN_POINT('',(43.795,58.15,0.)); #569283=CARTESIAN_POINT('',(43.795,58.15,-0.035)); #569284=CARTESIAN_POINT('Origin',(43.795,59.35,-0.035)); #569285=CARTESIAN_POINT('',(43.795,59.35,-0.035)); #569286=CARTESIAN_POINT('',(43.795,59.35,-0.035)); #569287=CARTESIAN_POINT('',(43.795,59.35,0.)); #569288=CARTESIAN_POINT('',(43.795,59.35,0.)); #569289=CARTESIAN_POINT('',(43.795,59.35,-0.035)); #569290=CARTESIAN_POINT('Origin',(44.395,59.35,-0.035)); #569291=CARTESIAN_POINT('',(44.395,59.35,-0.035)); #569292=CARTESIAN_POINT('',(44.395,59.35,0.)); #569293=CARTESIAN_POINT('Origin',(44.095,58.75,0.)); #569294=CARTESIAN_POINT('Origin',(44.095,58.75,-0.035)); #569295=CARTESIAN_POINT('Origin',(13.3142,24.6665,-0.035)); #569296=CARTESIAN_POINT('',(13.3142,24.6665,-0.035)); #569297=CARTESIAN_POINT('',(13.3142,27.3335,-0.035)); #569298=CARTESIAN_POINT('',(13.3142,24.6665,-0.035)); #569299=CARTESIAN_POINT('',(13.3142,27.3335,0.)); #569300=CARTESIAN_POINT('',(13.3142,27.3335,-0.035)); #569301=CARTESIAN_POINT('',(13.3142,24.6665,0.)); #569302=CARTESIAN_POINT('',(13.3142,24.6665,0.)); #569303=CARTESIAN_POINT('',(13.3142,24.6665,-0.035)); #569304=CARTESIAN_POINT('Origin',(11.6378,24.6665,-0.035)); #569305=CARTESIAN_POINT('',(11.6378,24.6665,-0.035)); #569306=CARTESIAN_POINT('',(11.6378,24.6665,-0.035)); #569307=CARTESIAN_POINT('',(11.6378,24.6665,0.)); #569308=CARTESIAN_POINT('',(11.6378,24.6665,0.)); #569309=CARTESIAN_POINT('',(11.6378,24.6665,-0.035)); #569310=CARTESIAN_POINT('Origin',(11.6378,27.3335,-0.035)); #569311=CARTESIAN_POINT('',(11.6378,27.3335,-0.035)); #569312=CARTESIAN_POINT('',(11.6378,27.3335,-0.035)); #569313=CARTESIAN_POINT('',(11.6378,27.3335,0.)); #569314=CARTESIAN_POINT('',(11.6378,27.3335,0.)); #569315=CARTESIAN_POINT('',(11.6378,27.3335,-0.035)); #569316=CARTESIAN_POINT('Origin',(13.3142,27.3335,-0.035)); #569317=CARTESIAN_POINT('',(13.3142,27.3335,-0.035)); #569318=CARTESIAN_POINT('',(13.3142,27.3335,0.)); #569319=CARTESIAN_POINT('Origin',(12.476,26.,0.)); #569320=CARTESIAN_POINT('Origin',(12.476,26.,-0.035)); #569321=CARTESIAN_POINT('Origin',(21.665,58.15,-0.035)); #569322=CARTESIAN_POINT('',(21.665,58.15,-0.035)); #569323=CARTESIAN_POINT('',(21.665,59.35,-0.035)); #569324=CARTESIAN_POINT('',(21.665,58.15,-0.035)); #569325=CARTESIAN_POINT('',(21.665,59.35,0.)); #569326=CARTESIAN_POINT('',(21.665,59.35,-0.035)); #569327=CARTESIAN_POINT('',(21.665,58.15,0.)); #569328=CARTESIAN_POINT('',(21.665,58.15,0.)); #569329=CARTESIAN_POINT('',(21.665,58.15,-0.035)); #569330=CARTESIAN_POINT('Origin',(21.065,58.15,-0.035)); #569331=CARTESIAN_POINT('',(21.065,58.15,-0.035)); #569332=CARTESIAN_POINT('',(21.065,58.15,-0.035)); #569333=CARTESIAN_POINT('',(21.065,58.15,0.)); #569334=CARTESIAN_POINT('',(21.065,58.15,0.)); #569335=CARTESIAN_POINT('',(21.065,58.15,-0.035)); #569336=CARTESIAN_POINT('Origin',(21.065,59.35,-0.035)); #569337=CARTESIAN_POINT('',(21.065,59.35,-0.035)); #569338=CARTESIAN_POINT('',(21.065,59.35,-0.035)); #569339=CARTESIAN_POINT('',(21.065,59.35,0.)); #569340=CARTESIAN_POINT('',(21.065,59.35,0.)); #569341=CARTESIAN_POINT('',(21.065,59.35,-0.035)); #569342=CARTESIAN_POINT('Origin',(21.665,59.35,-0.035)); #569343=CARTESIAN_POINT('',(21.665,59.35,-0.035)); #569344=CARTESIAN_POINT('',(21.665,59.35,0.)); #569345=CARTESIAN_POINT('Origin',(21.365,58.75,0.)); #569346=CARTESIAN_POINT('Origin',(21.365,58.75,-0.035)); #569347=CARTESIAN_POINT('Origin',(19.6571,25.5555,-0.035)); #569348=CARTESIAN_POINT('',(19.6571,25.5555,-0.035)); #569349=CARTESIAN_POINT('',(19.6571,26.4445,-0.035)); #569350=CARTESIAN_POINT('',(19.6571,25.5555,-0.035)); #569351=CARTESIAN_POINT('',(19.6571,26.4445,0.)); #569352=CARTESIAN_POINT('',(19.6571,26.4445,-0.035)); #569353=CARTESIAN_POINT('',(19.6571,25.5555,0.)); #569354=CARTESIAN_POINT('',(19.6571,25.5555,0.)); #569355=CARTESIAN_POINT('',(19.6571,25.5555,-0.035)); #569356=CARTESIAN_POINT('Origin',(18.8189,25.5555,-0.035)); #569357=CARTESIAN_POINT('',(18.8189,25.5555,-0.035)); #569358=CARTESIAN_POINT('',(18.8189,25.5555,-0.035)); #569359=CARTESIAN_POINT('',(18.8189,25.5555,0.)); #569360=CARTESIAN_POINT('',(18.8189,25.5555,0.)); #569361=CARTESIAN_POINT('',(18.8189,25.5555,-0.035)); #569362=CARTESIAN_POINT('Origin',(18.8189,26.4445,-0.035)); #569363=CARTESIAN_POINT('',(18.8189,26.4445,-0.035)); #569364=CARTESIAN_POINT('',(18.8189,26.4445,-0.035)); #569365=CARTESIAN_POINT('',(18.8189,26.4445,0.)); #569366=CARTESIAN_POINT('',(18.8189,26.4445,0.)); #569367=CARTESIAN_POINT('',(18.8189,26.4445,-0.035)); #569368=CARTESIAN_POINT('Origin',(19.6571,26.4445,-0.035)); #569369=CARTESIAN_POINT('',(19.6571,26.4445,-0.035)); #569370=CARTESIAN_POINT('',(19.6571,26.4445,0.)); #569371=CARTESIAN_POINT('Origin',(19.238,26.,0.)); #569372=CARTESIAN_POINT('Origin',(19.238,26.,-0.035)); #569373=CARTESIAN_POINT('Origin',(38.512,53.,-200.)); #569374=CARTESIAN_POINT('',(38.372,53.,-0.0349999999999895)); #569375=CARTESIAN_POINT('Origin',(38.512,53.,-0.0349999999999895)); #569376=CARTESIAN_POINT('',(38.372,53.,0.)); #569377=CARTESIAN_POINT('',(38.372,53.,-200.)); #569378=CARTESIAN_POINT('Origin',(38.512,53.,0.)); #569379=CARTESIAN_POINT('Origin',(41.25,52.25,-0.035)); #569380=CARTESIAN_POINT('',(41.25,52.25,-0.035)); #569381=CARTESIAN_POINT('',(41.25,57.75,-0.035)); #569382=CARTESIAN_POINT('',(41.25,52.25,-0.035)); #569383=CARTESIAN_POINT('',(41.25,57.75,0.)); #569384=CARTESIAN_POINT('',(41.25,57.75,-0.035)); #569385=CARTESIAN_POINT('',(41.25,52.25,0.)); #569386=CARTESIAN_POINT('',(41.25,52.25,0.)); #569387=CARTESIAN_POINT('',(41.25,52.25,-0.035)); #569388=CARTESIAN_POINT('Origin',(38.,52.25,-0.035)); #569389=CARTESIAN_POINT('',(38.,52.25,-0.035)); #569390=CARTESIAN_POINT('',(38.,52.25,-0.035)); #569391=CARTESIAN_POINT('',(38.,52.25,0.)); #569392=CARTESIAN_POINT('',(38.,52.25,0.)); #569393=CARTESIAN_POINT('',(38.,52.25,-0.035)); #569394=CARTESIAN_POINT('Origin',(38.,57.75,-0.035)); #569395=CARTESIAN_POINT('',(38.,57.75,-0.035)); #569396=CARTESIAN_POINT('',(38.,57.75,-0.035)); #569397=CARTESIAN_POINT('',(38.,57.75,0.)); #569398=CARTESIAN_POINT('',(38.,57.75,0.)); #569399=CARTESIAN_POINT('',(38.,57.75,-0.035)); #569400=CARTESIAN_POINT('Origin',(41.25,57.75,-0.035)); #569401=CARTESIAN_POINT('',(41.25,57.75,-0.035)); #569402=CARTESIAN_POINT('',(41.25,57.75,0.)); #569403=CARTESIAN_POINT('Origin',(39.625,55.,0.)); #569404=CARTESIAN_POINT('Origin',(39.625,55.,-0.035)); #569405=CARTESIAN_POINT('Origin',(48.205,52.65,-0.035)); #569406=CARTESIAN_POINT('',(48.205,52.65,-0.035)); #569407=CARTESIAN_POINT('',(48.205,53.85,-0.035)); #569408=CARTESIAN_POINT('',(48.205,52.65,-0.035)); #569409=CARTESIAN_POINT('',(48.205,53.85,0.)); #569410=CARTESIAN_POINT('',(48.205,53.85,-0.035)); #569411=CARTESIAN_POINT('',(48.205,52.65,0.)); #569412=CARTESIAN_POINT('',(48.205,52.65,0.)); #569413=CARTESIAN_POINT('',(48.205,52.65,-0.035)); #569414=CARTESIAN_POINT('Origin',(47.605,52.65,-0.035)); #569415=CARTESIAN_POINT('',(47.605,52.65,-0.035)); #569416=CARTESIAN_POINT('',(47.605,52.65,-0.035)); #569417=CARTESIAN_POINT('',(47.605,52.65,0.)); #569418=CARTESIAN_POINT('',(47.605,52.65,0.)); #569419=CARTESIAN_POINT('',(47.605,52.65,-0.035)); #569420=CARTESIAN_POINT('Origin',(47.605,53.85,-0.035)); #569421=CARTESIAN_POINT('',(47.605,53.85,-0.035)); #569422=CARTESIAN_POINT('',(47.605,53.85,-0.035)); #569423=CARTESIAN_POINT('',(47.605,53.85,0.)); #569424=CARTESIAN_POINT('',(47.605,53.85,0.)); #569425=CARTESIAN_POINT('',(47.605,53.85,-0.035)); #569426=CARTESIAN_POINT('Origin',(48.205,53.85,-0.035)); #569427=CARTESIAN_POINT('',(48.205,53.85,-0.035)); #569428=CARTESIAN_POINT('',(48.205,53.85,0.)); #569429=CARTESIAN_POINT('Origin',(47.905,53.25,0.)); #569430=CARTESIAN_POINT('Origin',(47.905,53.25,-0.035)); #569431=CARTESIAN_POINT('Origin',(44.395,52.65,-0.035)); #569432=CARTESIAN_POINT('',(44.395,52.65,-0.035)); #569433=CARTESIAN_POINT('',(44.395,53.85,-0.035)); #569434=CARTESIAN_POINT('',(44.395,52.65,-0.035)); #569435=CARTESIAN_POINT('',(44.395,53.85,0.)); #569436=CARTESIAN_POINT('',(44.395,53.85,-0.035)); #569437=CARTESIAN_POINT('',(44.395,52.65,0.)); #569438=CARTESIAN_POINT('',(44.395,52.65,0.)); #569439=CARTESIAN_POINT('',(44.395,52.65,-0.035)); #569440=CARTESIAN_POINT('Origin',(43.795,52.65,-0.035)); #569441=CARTESIAN_POINT('',(43.795,52.65,-0.035)); #569442=CARTESIAN_POINT('',(43.795,52.65,-0.035)); #569443=CARTESIAN_POINT('',(43.795,52.65,0.)); #569444=CARTESIAN_POINT('',(43.795,52.65,0.)); #569445=CARTESIAN_POINT('',(43.795,52.65,-0.035)); #569446=CARTESIAN_POINT('Origin',(43.795,53.85,-0.035)); #569447=CARTESIAN_POINT('',(43.795,53.85,-0.035)); #569448=CARTESIAN_POINT('',(43.795,53.85,-0.035)); #569449=CARTESIAN_POINT('',(43.795,53.85,0.)); #569450=CARTESIAN_POINT('',(43.795,53.85,0.)); #569451=CARTESIAN_POINT('',(43.795,53.85,-0.035)); #569452=CARTESIAN_POINT('Origin',(44.395,53.85,-0.035)); #569453=CARTESIAN_POINT('',(44.395,53.85,-0.035)); #569454=CARTESIAN_POINT('',(44.395,53.85,0.)); #569455=CARTESIAN_POINT('Origin',(44.095,53.25,0.)); #569456=CARTESIAN_POINT('Origin',(44.095,53.25,-0.035)); #569457=CARTESIAN_POINT('Origin',(46.935,49.15,-0.035)); #569458=CARTESIAN_POINT('',(46.935,49.15,-0.035)); #569459=CARTESIAN_POINT('',(46.935,50.35,-0.035)); #569460=CARTESIAN_POINT('',(46.935,49.15,-0.035)); #569461=CARTESIAN_POINT('',(46.935,50.35,0.)); #569462=CARTESIAN_POINT('',(46.935,50.35,-0.035)); #569463=CARTESIAN_POINT('',(46.935,49.15,0.)); #569464=CARTESIAN_POINT('',(46.935,49.15,0.)); #569465=CARTESIAN_POINT('',(46.935,49.15,-0.035)); #569466=CARTESIAN_POINT('Origin',(46.335,49.15,-0.035)); #569467=CARTESIAN_POINT('',(46.335,49.15,-0.035)); #569468=CARTESIAN_POINT('',(46.335,49.15,-0.035)); #569469=CARTESIAN_POINT('',(46.335,49.15,0.)); #569470=CARTESIAN_POINT('',(46.335,49.15,0.)); #569471=CARTESIAN_POINT('',(46.335,49.15,-0.035)); #569472=CARTESIAN_POINT('Origin',(46.335,50.35,-0.035)); #569473=CARTESIAN_POINT('',(46.335,50.35,-0.035)); #569474=CARTESIAN_POINT('',(46.335,50.35,-0.035)); #569475=CARTESIAN_POINT('',(46.335,50.35,0.)); #569476=CARTESIAN_POINT('',(46.335,50.35,0.)); #569477=CARTESIAN_POINT('',(46.335,50.35,-0.035)); #569478=CARTESIAN_POINT('Origin',(46.935,50.35,-0.035)); #569479=CARTESIAN_POINT('',(46.935,50.35,-0.035)); #569480=CARTESIAN_POINT('',(46.935,50.35,0.)); #569481=CARTESIAN_POINT('Origin',(46.635,49.75,0.)); #569482=CARTESIAN_POINT('Origin',(46.635,49.75,-0.035)); #569483=CARTESIAN_POINT('Origin',(46.935,52.65,-0.035)); #569484=CARTESIAN_POINT('',(46.935,52.65,-0.035)); #569485=CARTESIAN_POINT('',(46.935,53.85,-0.035)); #569486=CARTESIAN_POINT('',(46.935,52.65,-0.035)); #569487=CARTESIAN_POINT('',(46.935,53.85,0.)); #569488=CARTESIAN_POINT('',(46.935,53.85,-0.035)); #569489=CARTESIAN_POINT('',(46.935,52.65,0.)); #569490=CARTESIAN_POINT('',(46.935,52.65,0.)); #569491=CARTESIAN_POINT('',(46.935,52.65,-0.035)); #569492=CARTESIAN_POINT('Origin',(46.335,52.65,-0.035)); #569493=CARTESIAN_POINT('',(46.335,52.65,-0.035)); #569494=CARTESIAN_POINT('',(46.335,52.65,-0.035)); #569495=CARTESIAN_POINT('',(46.335,52.65,0.)); #569496=CARTESIAN_POINT('',(46.335,52.65,0.)); #569497=CARTESIAN_POINT('',(46.335,52.65,-0.035)); #569498=CARTESIAN_POINT('Origin',(46.335,53.85,-0.035)); #569499=CARTESIAN_POINT('',(46.335,53.85,-0.035)); #569500=CARTESIAN_POINT('',(46.335,53.85,-0.035)); #569501=CARTESIAN_POINT('',(46.335,53.85,0.)); #569502=CARTESIAN_POINT('',(46.335,53.85,0.)); #569503=CARTESIAN_POINT('',(46.335,53.85,-0.035)); #569504=CARTESIAN_POINT('Origin',(46.935,53.85,-0.035)); #569505=CARTESIAN_POINT('',(46.935,53.85,-0.035)); #569506=CARTESIAN_POINT('',(46.935,53.85,0.)); #569507=CARTESIAN_POINT('Origin',(46.635,53.25,0.)); #569508=CARTESIAN_POINT('Origin',(46.635,53.25,-0.035)); #569509=CARTESIAN_POINT('Origin',(45.125,56.75,-200.)); #569510=CARTESIAN_POINT('',(44.985,56.75,-0.0349999999999895)); #569511=CARTESIAN_POINT('Origin',(45.125,56.75,-0.0349999999999895)); #569512=CARTESIAN_POINT('',(44.985,56.75,0.)); #569513=CARTESIAN_POINT('',(44.985,56.75,-200.)); #569514=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #569515=CARTESIAN_POINT('Origin',(47.75,56.75,-200.)); #569516=CARTESIAN_POINT('',(47.61,56.75,-0.0349999999999895)); #569517=CARTESIAN_POINT('Origin',(47.75,56.75,-0.0349999999999895)); #569518=CARTESIAN_POINT('',(47.61,56.75,0.)); #569519=CARTESIAN_POINT('',(47.61,56.75,-200.)); #569520=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #569521=CARTESIAN_POINT('Origin',(47.75,55.25,-200.)); #569522=CARTESIAN_POINT('',(47.61,55.25,-0.0349999999999895)); #569523=CARTESIAN_POINT('Origin',(47.75,55.25,-0.0349999999999895)); #569524=CARTESIAN_POINT('',(47.61,55.25,0.)); #569525=CARTESIAN_POINT('',(47.61,55.25,-200.)); #569526=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #569527=CARTESIAN_POINT('Origin',(47.75,56.,-200.)); #569528=CARTESIAN_POINT('',(47.61,56.,-0.0349999999999895)); #569529=CARTESIAN_POINT('Origin',(47.75,56.,-0.0349999999999895)); #569530=CARTESIAN_POINT('',(47.61,56.,0.)); #569531=CARTESIAN_POINT('',(47.61,56.,-200.)); #569532=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #569533=CARTESIAN_POINT('Origin',(46.,56.,-200.)); #569534=CARTESIAN_POINT('',(45.86,56.,-0.0349999999999895)); #569535=CARTESIAN_POINT('Origin',(46.,56.,-0.0349999999999895)); #569536=CARTESIAN_POINT('',(45.86,56.,0.)); #569537=CARTESIAN_POINT('',(45.86,56.,-200.)); #569538=CARTESIAN_POINT('Origin',(46.,56.,0.)); #569539=CARTESIAN_POINT('Origin',(44.25,56.,-200.)); #569540=CARTESIAN_POINT('',(44.11,56.,-0.0349999999999895)); #569541=CARTESIAN_POINT('Origin',(44.25,56.,-0.0349999999999895)); #569542=CARTESIAN_POINT('',(44.11,56.,0.)); #569543=CARTESIAN_POINT('',(44.11,56.,-200.)); #569544=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #569545=CARTESIAN_POINT('Origin',(46.,56.75,-200.)); #569546=CARTESIAN_POINT('',(45.86,56.75,-0.0349999999999895)); #569547=CARTESIAN_POINT('Origin',(46.,56.75,-0.0349999999999895)); #569548=CARTESIAN_POINT('',(45.86,56.75,0.)); #569549=CARTESIAN_POINT('',(45.86,56.75,-200.)); #569550=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #569551=CARTESIAN_POINT('Origin',(46.,55.25,-200.)); #569552=CARTESIAN_POINT('',(45.86,55.25,-0.0349999999999895)); #569553=CARTESIAN_POINT('Origin',(46.,55.25,-0.0349999999999895)); #569554=CARTESIAN_POINT('',(45.86,55.25,0.)); #569555=CARTESIAN_POINT('',(45.86,55.25,-200.)); #569556=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #569557=CARTESIAN_POINT('Origin',(44.25,56.75,-200.)); #569558=CARTESIAN_POINT('',(44.11,56.75,-0.0349999999999895)); #569559=CARTESIAN_POINT('Origin',(44.25,56.75,-0.0349999999999895)); #569560=CARTESIAN_POINT('',(44.11,56.75,0.)); #569561=CARTESIAN_POINT('',(44.11,56.75,-200.)); #569562=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #569563=CARTESIAN_POINT('Origin',(44.25,55.25,-200.)); #569564=CARTESIAN_POINT('',(44.11,55.25,-0.0349999999999895)); #569565=CARTESIAN_POINT('Origin',(44.25,55.25,-0.0349999999999895)); #569566=CARTESIAN_POINT('',(44.11,55.25,0.)); #569567=CARTESIAN_POINT('',(44.11,55.25,-200.)); #569568=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #569569=CARTESIAN_POINT('Origin',(46.875,56.,-200.)); #569570=CARTESIAN_POINT('',(46.735,56.,-0.0349999999999895)); #569571=CARTESIAN_POINT('Origin',(46.875,56.,-0.0349999999999895)); #569572=CARTESIAN_POINT('',(46.735,56.,0.)); #569573=CARTESIAN_POINT('',(46.735,56.,-200.)); #569574=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #569575=CARTESIAN_POINT('Origin',(46.875,55.25,-200.)); #569576=CARTESIAN_POINT('',(46.735,55.25,-0.0349999999999895)); #569577=CARTESIAN_POINT('Origin',(46.875,55.25,-0.0349999999999895)); #569578=CARTESIAN_POINT('',(46.735,55.25,0.)); #569579=CARTESIAN_POINT('',(46.735,55.25,-200.)); #569580=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #569581=CARTESIAN_POINT('Origin',(45.125,56.,-200.)); #569582=CARTESIAN_POINT('',(44.985,56.,-0.0349999999999895)); #569583=CARTESIAN_POINT('Origin',(45.125,56.,-0.0349999999999895)); #569584=CARTESIAN_POINT('',(44.985,56.,0.)); #569585=CARTESIAN_POINT('',(44.985,56.,-200.)); #569586=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #569587=CARTESIAN_POINT('Origin',(45.125,55.25,-200.)); #569588=CARTESIAN_POINT('',(44.985,55.25,-0.0349999999999895)); #569589=CARTESIAN_POINT('Origin',(45.125,55.25,-0.0349999999999895)); #569590=CARTESIAN_POINT('',(44.985,55.25,0.)); #569591=CARTESIAN_POINT('',(44.985,55.25,-200.)); #569592=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #569593=CARTESIAN_POINT('Origin',(46.875,56.75,-200.)); #569594=CARTESIAN_POINT('',(46.735,56.75,-0.0349999999999895)); #569595=CARTESIAN_POINT('Origin',(46.875,56.75,-0.0349999999999895)); #569596=CARTESIAN_POINT('',(46.735,56.75,0.)); #569597=CARTESIAN_POINT('',(46.735,56.75,-200.)); #569598=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #569599=CARTESIAN_POINT('Origin',(48.205,54.75,-0.035)); #569600=CARTESIAN_POINT('',(48.205,54.75,-0.035)); #569601=CARTESIAN_POINT('',(48.205,57.25,-0.035)); #569602=CARTESIAN_POINT('',(48.205,54.75,-0.035)); #569603=CARTESIAN_POINT('',(48.205,57.25,0.)); #569604=CARTESIAN_POINT('',(48.205,57.25,-0.035)); #569605=CARTESIAN_POINT('',(48.205,54.75,0.)); #569606=CARTESIAN_POINT('',(48.205,54.75,0.)); #569607=CARTESIAN_POINT('',(48.205,54.75,-0.035)); #569608=CARTESIAN_POINT('Origin',(43.795,54.75,-0.035)); #569609=CARTESIAN_POINT('',(43.795,54.75,-0.035)); #569610=CARTESIAN_POINT('',(43.795,54.75,-0.035)); #569611=CARTESIAN_POINT('',(43.795,54.75,0.)); #569612=CARTESIAN_POINT('',(43.795,54.75,0.)); #569613=CARTESIAN_POINT('',(43.795,54.75,-0.035)); #569614=CARTESIAN_POINT('Origin',(43.795,57.25,-0.035)); #569615=CARTESIAN_POINT('',(43.795,57.25,-0.035)); #569616=CARTESIAN_POINT('',(43.795,57.25,-0.035)); #569617=CARTESIAN_POINT('',(43.795,57.25,0.)); #569618=CARTESIAN_POINT('',(43.795,57.25,0.)); #569619=CARTESIAN_POINT('',(43.795,57.25,-0.035)); #569620=CARTESIAN_POINT('Origin',(48.205,57.25,-0.035)); #569621=CARTESIAN_POINT('',(48.205,57.25,-0.035)); #569622=CARTESIAN_POINT('',(48.205,57.25,0.)); #569623=CARTESIAN_POINT('Origin',(46.,56.,0.)); #569624=CARTESIAN_POINT('Origin',(46.,56.,-0.035)); #569625=CARTESIAN_POINT('Origin',(44.395,49.15,-0.035)); #569626=CARTESIAN_POINT('',(44.395,49.15,-0.035)); #569627=CARTESIAN_POINT('',(44.395,50.35,-0.035)); #569628=CARTESIAN_POINT('',(44.395,49.15,-0.035)); #569629=CARTESIAN_POINT('',(44.395,50.35,0.)); #569630=CARTESIAN_POINT('',(44.395,50.35,-0.035)); #569631=CARTESIAN_POINT('',(44.395,49.15,0.)); #569632=CARTESIAN_POINT('',(44.395,49.15,0.)); #569633=CARTESIAN_POINT('',(44.395,49.15,-0.035)); #569634=CARTESIAN_POINT('Origin',(43.795,49.15,-0.035)); #569635=CARTESIAN_POINT('',(43.795,49.15,-0.035)); #569636=CARTESIAN_POINT('',(43.795,49.15,-0.035)); #569637=CARTESIAN_POINT('',(43.795,49.15,0.)); #569638=CARTESIAN_POINT('',(43.795,49.15,0.)); #569639=CARTESIAN_POINT('',(43.795,49.15,-0.035)); #569640=CARTESIAN_POINT('Origin',(43.795,50.35,-0.035)); #569641=CARTESIAN_POINT('',(43.795,50.35,-0.035)); #569642=CARTESIAN_POINT('',(43.795,50.35,-0.035)); #569643=CARTESIAN_POINT('',(43.795,50.35,0.)); #569644=CARTESIAN_POINT('',(43.795,50.35,0.)); #569645=CARTESIAN_POINT('',(43.795,50.35,-0.035)); #569646=CARTESIAN_POINT('Origin',(44.395,50.35,-0.035)); #569647=CARTESIAN_POINT('',(44.395,50.35,-0.035)); #569648=CARTESIAN_POINT('',(44.395,50.35,0.)); #569649=CARTESIAN_POINT('Origin',(44.095,49.75,0.)); #569650=CARTESIAN_POINT('Origin',(44.095,49.75,-0.035)); #569651=CARTESIAN_POINT('Origin',(45.665,52.65,-0.035)); #569652=CARTESIAN_POINT('',(45.665,52.65,-0.035)); #569653=CARTESIAN_POINT('',(45.665,53.85,-0.035)); #569654=CARTESIAN_POINT('',(45.665,52.65,-0.035)); #569655=CARTESIAN_POINT('',(45.665,53.85,0.)); #569656=CARTESIAN_POINT('',(45.665,53.85,-0.035)); #569657=CARTESIAN_POINT('',(45.665,52.65,0.)); #569658=CARTESIAN_POINT('',(45.665,52.65,0.)); #569659=CARTESIAN_POINT('',(45.665,52.65,-0.035)); #569660=CARTESIAN_POINT('Origin',(45.065,52.65,-0.035)); #569661=CARTESIAN_POINT('',(45.065,52.65,-0.035)); #569662=CARTESIAN_POINT('',(45.065,52.65,-0.035)); #569663=CARTESIAN_POINT('',(45.065,52.65,0.)); #569664=CARTESIAN_POINT('',(45.065,52.65,0.)); #569665=CARTESIAN_POINT('',(45.065,52.65,-0.035)); #569666=CARTESIAN_POINT('Origin',(45.065,53.85,-0.035)); #569667=CARTESIAN_POINT('',(45.065,53.85,-0.035)); #569668=CARTESIAN_POINT('',(45.065,53.85,-0.035)); #569669=CARTESIAN_POINT('',(45.065,53.85,0.)); #569670=CARTESIAN_POINT('',(45.065,53.85,0.)); #569671=CARTESIAN_POINT('',(45.065,53.85,-0.035)); #569672=CARTESIAN_POINT('Origin',(45.665,53.85,-0.035)); #569673=CARTESIAN_POINT('',(45.665,53.85,-0.035)); #569674=CARTESIAN_POINT('',(45.665,53.85,0.)); #569675=CARTESIAN_POINT('Origin',(45.365,53.25,0.)); #569676=CARTESIAN_POINT('Origin',(45.365,53.25,-0.035)); #569677=CARTESIAN_POINT('Origin',(45.665,49.15,-0.035)); #569678=CARTESIAN_POINT('',(45.665,49.15,-0.035)); #569679=CARTESIAN_POINT('',(45.665,50.35,-0.035)); #569680=CARTESIAN_POINT('',(45.665,49.15,-0.035)); #569681=CARTESIAN_POINT('',(45.665,50.35,0.)); #569682=CARTESIAN_POINT('',(45.665,50.35,-0.035)); #569683=CARTESIAN_POINT('',(45.665,49.15,0.)); #569684=CARTESIAN_POINT('',(45.665,49.15,0.)); #569685=CARTESIAN_POINT('',(45.665,49.15,-0.035)); #569686=CARTESIAN_POINT('Origin',(45.065,49.15,-0.035)); #569687=CARTESIAN_POINT('',(45.065,49.15,-0.035)); #569688=CARTESIAN_POINT('',(45.065,49.15,-0.035)); #569689=CARTESIAN_POINT('',(45.065,49.15,0.)); #569690=CARTESIAN_POINT('',(45.065,49.15,0.)); #569691=CARTESIAN_POINT('',(45.065,49.15,-0.035)); #569692=CARTESIAN_POINT('Origin',(45.065,50.35,-0.035)); #569693=CARTESIAN_POINT('',(45.065,50.35,-0.035)); #569694=CARTESIAN_POINT('',(45.065,50.35,-0.035)); #569695=CARTESIAN_POINT('',(45.065,50.35,0.)); #569696=CARTESIAN_POINT('',(45.065,50.35,0.)); #569697=CARTESIAN_POINT('',(45.065,50.35,-0.035)); #569698=CARTESIAN_POINT('Origin',(45.665,50.35,-0.035)); #569699=CARTESIAN_POINT('',(45.665,50.35,-0.035)); #569700=CARTESIAN_POINT('',(45.665,50.35,0.)); #569701=CARTESIAN_POINT('Origin',(45.365,49.75,0.)); #569702=CARTESIAN_POINT('Origin',(45.365,49.75,-0.035)); #569703=CARTESIAN_POINT('Origin',(29.488,53.,-200.)); #569704=CARTESIAN_POINT('',(29.348,53.,-0.0349999999999895)); #569705=CARTESIAN_POINT('Origin',(29.488,53.,-0.0349999999999895)); #569706=CARTESIAN_POINT('',(29.348,53.,0.)); #569707=CARTESIAN_POINT('',(29.348,53.,-200.)); #569708=CARTESIAN_POINT('Origin',(29.488,53.,0.)); #569709=CARTESIAN_POINT('Origin',(30.,52.25,-0.035)); #569710=CARTESIAN_POINT('',(30.,52.25,-0.035)); #569711=CARTESIAN_POINT('',(30.,57.75,-0.035)); #569712=CARTESIAN_POINT('',(30.,52.25,-0.035)); #569713=CARTESIAN_POINT('',(30.,57.75,0.)); #569714=CARTESIAN_POINT('',(30.,57.75,-0.035)); #569715=CARTESIAN_POINT('',(30.,52.25,0.)); #569716=CARTESIAN_POINT('',(30.,52.25,0.)); #569717=CARTESIAN_POINT('',(30.,52.25,-0.035)); #569718=CARTESIAN_POINT('Origin',(26.75,52.25,-0.035)); #569719=CARTESIAN_POINT('',(26.75,52.25,-0.035)); #569720=CARTESIAN_POINT('',(26.75,52.25,-0.035)); #569721=CARTESIAN_POINT('',(26.75,52.25,0.)); #569722=CARTESIAN_POINT('',(26.75,52.25,0.)); #569723=CARTESIAN_POINT('',(26.75,52.25,-0.035)); #569724=CARTESIAN_POINT('Origin',(26.75,57.75,-0.035)); #569725=CARTESIAN_POINT('',(26.75,57.75,-0.035)); #569726=CARTESIAN_POINT('',(26.75,57.75,-0.035)); #569727=CARTESIAN_POINT('',(26.75,57.75,0.)); #569728=CARTESIAN_POINT('',(26.75,57.75,0.)); #569729=CARTESIAN_POINT('',(26.75,57.75,-0.035)); #569730=CARTESIAN_POINT('Origin',(30.,57.75,-0.035)); #569731=CARTESIAN_POINT('',(30.,57.75,-0.035)); #569732=CARTESIAN_POINT('',(30.,57.75,0.)); #569733=CARTESIAN_POINT('Origin',(28.375,55.,0.)); #569734=CARTESIAN_POINT('Origin',(28.375,55.,-0.035)); #569735=CARTESIAN_POINT('Origin',(24.205,52.65,-0.035)); #569736=CARTESIAN_POINT('',(24.205,52.65,-0.035)); #569737=CARTESIAN_POINT('',(24.205,53.85,-0.035)); #569738=CARTESIAN_POINT('',(24.205,52.65,-0.035)); #569739=CARTESIAN_POINT('',(24.205,53.85,0.)); #569740=CARTESIAN_POINT('',(24.205,53.85,-0.035)); #569741=CARTESIAN_POINT('',(24.205,52.65,0.)); #569742=CARTESIAN_POINT('',(24.205,52.65,0.)); #569743=CARTESIAN_POINT('',(24.205,52.65,-0.035)); #569744=CARTESIAN_POINT('Origin',(23.605,52.65,-0.035)); #569745=CARTESIAN_POINT('',(23.605,52.65,-0.035)); #569746=CARTESIAN_POINT('',(23.605,52.65,-0.035)); #569747=CARTESIAN_POINT('',(23.605,52.65,0.)); #569748=CARTESIAN_POINT('',(23.605,52.65,0.)); #569749=CARTESIAN_POINT('',(23.605,52.65,-0.035)); #569750=CARTESIAN_POINT('Origin',(23.605,53.85,-0.035)); #569751=CARTESIAN_POINT('',(23.605,53.85,-0.035)); #569752=CARTESIAN_POINT('',(23.605,53.85,-0.035)); #569753=CARTESIAN_POINT('',(23.605,53.85,0.)); #569754=CARTESIAN_POINT('',(23.605,53.85,0.)); #569755=CARTESIAN_POINT('',(23.605,53.85,-0.035)); #569756=CARTESIAN_POINT('Origin',(24.205,53.85,-0.035)); #569757=CARTESIAN_POINT('',(24.205,53.85,-0.035)); #569758=CARTESIAN_POINT('',(24.205,53.85,0.)); #569759=CARTESIAN_POINT('Origin',(23.905,53.25,0.)); #569760=CARTESIAN_POINT('Origin',(23.905,53.25,-0.035)); #569761=CARTESIAN_POINT('Origin',(20.395,52.65,-0.035)); #569762=CARTESIAN_POINT('',(20.395,52.65,-0.035)); #569763=CARTESIAN_POINT('',(20.395,53.85,-0.035)); #569764=CARTESIAN_POINT('',(20.395,52.65,-0.035)); #569765=CARTESIAN_POINT('',(20.395,53.85,0.)); #569766=CARTESIAN_POINT('',(20.395,53.85,-0.035)); #569767=CARTESIAN_POINT('',(20.395,52.65,0.)); #569768=CARTESIAN_POINT('',(20.395,52.65,0.)); #569769=CARTESIAN_POINT('',(20.395,52.65,-0.035)); #569770=CARTESIAN_POINT('Origin',(19.795,52.65,-0.035)); #569771=CARTESIAN_POINT('',(19.795,52.65,-0.035)); #569772=CARTESIAN_POINT('',(19.795,52.65,-0.035)); #569773=CARTESIAN_POINT('',(19.795,52.65,0.)); #569774=CARTESIAN_POINT('',(19.795,52.65,0.)); #569775=CARTESIAN_POINT('',(19.795,52.65,-0.035)); #569776=CARTESIAN_POINT('Origin',(19.795,53.85,-0.035)); #569777=CARTESIAN_POINT('',(19.795,53.85,-0.035)); #569778=CARTESIAN_POINT('',(19.795,53.85,-0.035)); #569779=CARTESIAN_POINT('',(19.795,53.85,0.)); #569780=CARTESIAN_POINT('',(19.795,53.85,0.)); #569781=CARTESIAN_POINT('',(19.795,53.85,-0.035)); #569782=CARTESIAN_POINT('Origin',(20.395,53.85,-0.035)); #569783=CARTESIAN_POINT('',(20.395,53.85,-0.035)); #569784=CARTESIAN_POINT('',(20.395,53.85,0.)); #569785=CARTESIAN_POINT('Origin',(20.095,53.25,0.)); #569786=CARTESIAN_POINT('Origin',(20.095,53.25,-0.035)); #569787=CARTESIAN_POINT('Origin',(22.935,49.15,-0.035)); #569788=CARTESIAN_POINT('',(22.935,49.15,-0.035)); #569789=CARTESIAN_POINT('',(22.935,50.35,-0.035)); #569790=CARTESIAN_POINT('',(22.935,49.15,-0.035)); #569791=CARTESIAN_POINT('',(22.935,50.35,0.)); #569792=CARTESIAN_POINT('',(22.935,50.35,-0.035)); #569793=CARTESIAN_POINT('',(22.935,49.15,0.)); #569794=CARTESIAN_POINT('',(22.935,49.15,0.)); #569795=CARTESIAN_POINT('',(22.935,49.15,-0.035)); #569796=CARTESIAN_POINT('Origin',(22.335,49.15,-0.035)); #569797=CARTESIAN_POINT('',(22.335,49.15,-0.035)); #569798=CARTESIAN_POINT('',(22.335,49.15,-0.035)); #569799=CARTESIAN_POINT('',(22.335,49.15,0.)); #569800=CARTESIAN_POINT('',(22.335,49.15,0.)); #569801=CARTESIAN_POINT('',(22.335,49.15,-0.035)); #569802=CARTESIAN_POINT('Origin',(22.335,50.35,-0.035)); #569803=CARTESIAN_POINT('',(22.335,50.35,-0.035)); #569804=CARTESIAN_POINT('',(22.335,50.35,-0.035)); #569805=CARTESIAN_POINT('',(22.335,50.35,0.)); #569806=CARTESIAN_POINT('',(22.335,50.35,0.)); #569807=CARTESIAN_POINT('',(22.335,50.35,-0.035)); #569808=CARTESIAN_POINT('Origin',(22.935,50.35,-0.035)); #569809=CARTESIAN_POINT('',(22.935,50.35,-0.035)); #569810=CARTESIAN_POINT('',(22.935,50.35,0.)); #569811=CARTESIAN_POINT('Origin',(22.635,49.75,0.)); #569812=CARTESIAN_POINT('Origin',(22.635,49.75,-0.035)); #569813=CARTESIAN_POINT('Origin',(22.935,52.65,-0.035)); #569814=CARTESIAN_POINT('',(22.935,52.65,-0.035)); #569815=CARTESIAN_POINT('',(22.935,53.85,-0.035)); #569816=CARTESIAN_POINT('',(22.935,52.65,-0.035)); #569817=CARTESIAN_POINT('',(22.935,53.85,0.)); #569818=CARTESIAN_POINT('',(22.935,53.85,-0.035)); #569819=CARTESIAN_POINT('',(22.935,52.65,0.)); #569820=CARTESIAN_POINT('',(22.935,52.65,0.)); #569821=CARTESIAN_POINT('',(22.935,52.65,-0.035)); #569822=CARTESIAN_POINT('Origin',(22.335,52.65,-0.035)); #569823=CARTESIAN_POINT('',(22.335,52.65,-0.035)); #569824=CARTESIAN_POINT('',(22.335,52.65,-0.035)); #569825=CARTESIAN_POINT('',(22.335,52.65,0.)); #569826=CARTESIAN_POINT('',(22.335,52.65,0.)); #569827=CARTESIAN_POINT('',(22.335,52.65,-0.035)); #569828=CARTESIAN_POINT('Origin',(22.335,53.85,-0.035)); #569829=CARTESIAN_POINT('',(22.335,53.85,-0.035)); #569830=CARTESIAN_POINT('',(22.335,53.85,-0.035)); #569831=CARTESIAN_POINT('',(22.335,53.85,0.)); #569832=CARTESIAN_POINT('',(22.335,53.85,0.)); #569833=CARTESIAN_POINT('',(22.335,53.85,-0.035)); #569834=CARTESIAN_POINT('Origin',(22.935,53.85,-0.035)); #569835=CARTESIAN_POINT('',(22.935,53.85,-0.035)); #569836=CARTESIAN_POINT('',(22.935,53.85,0.)); #569837=CARTESIAN_POINT('Origin',(22.635,53.25,0.)); #569838=CARTESIAN_POINT('Origin',(22.635,53.25,-0.035)); #569839=CARTESIAN_POINT('Origin',(23.75,56.,-200.)); #569840=CARTESIAN_POINT('',(23.61,56.,-0.0349999999999895)); #569841=CARTESIAN_POINT('Origin',(23.75,56.,-0.0349999999999895)); #569842=CARTESIAN_POINT('',(23.61,56.,0.)); #569843=CARTESIAN_POINT('',(23.61,56.,-200.)); #569844=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #569845=CARTESIAN_POINT('Origin',(23.75,55.25,-200.)); #569846=CARTESIAN_POINT('',(23.61,55.25,-0.0349999999999895)); #569847=CARTESIAN_POINT('Origin',(23.75,55.25,-0.0349999999999895)); #569848=CARTESIAN_POINT('',(23.61,55.25,0.)); #569849=CARTESIAN_POINT('',(23.61,55.25,-200.)); #569850=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #569851=CARTESIAN_POINT('Origin',(22.,56.75,-200.)); #569852=CARTESIAN_POINT('',(21.86,56.75,-0.0349999999999895)); #569853=CARTESIAN_POINT('Origin',(22.,56.75,-0.0349999999999895)); #569854=CARTESIAN_POINT('',(21.86,56.75,0.)); #569855=CARTESIAN_POINT('',(21.86,56.75,-200.)); #569856=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #569857=CARTESIAN_POINT('Origin',(22.,55.25,-200.)); #569858=CARTESIAN_POINT('',(21.86,55.25,-0.0349999999999895)); #569859=CARTESIAN_POINT('Origin',(22.,55.25,-0.0349999999999895)); #569860=CARTESIAN_POINT('',(21.86,55.25,0.)); #569861=CARTESIAN_POINT('',(21.86,55.25,-200.)); #569862=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #569863=CARTESIAN_POINT('Origin',(20.25,55.25,-200.)); #569864=CARTESIAN_POINT('',(20.11,55.25,-0.0349999999999895)); #569865=CARTESIAN_POINT('Origin',(20.25,55.25,-0.0349999999999895)); #569866=CARTESIAN_POINT('',(20.11,55.25,0.)); #569867=CARTESIAN_POINT('',(20.11,55.25,-200.)); #569868=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #569869=CARTESIAN_POINT('Origin',(20.25,56.75,-200.)); #569870=CARTESIAN_POINT('',(20.11,56.75,-0.0349999999999895)); #569871=CARTESIAN_POINT('Origin',(20.25,56.75,-0.0349999999999895)); #569872=CARTESIAN_POINT('',(20.11,56.75,0.)); #569873=CARTESIAN_POINT('',(20.11,56.75,-200.)); #569874=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #569875=CARTESIAN_POINT('Origin',(20.25,56.,-200.)); #569876=CARTESIAN_POINT('',(20.11,56.,-0.0349999999999895)); #569877=CARTESIAN_POINT('Origin',(20.25,56.,-0.0349999999999895)); #569878=CARTESIAN_POINT('',(20.11,56.,0.)); #569879=CARTESIAN_POINT('',(20.11,56.,-200.)); #569880=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #569881=CARTESIAN_POINT('Origin',(22.,56.,-200.)); #569882=CARTESIAN_POINT('',(21.86,56.,-0.0349999999999895)); #569883=CARTESIAN_POINT('Origin',(22.,56.,-0.0349999999999895)); #569884=CARTESIAN_POINT('',(21.86,56.,0.)); #569885=CARTESIAN_POINT('',(21.86,56.,-200.)); #569886=CARTESIAN_POINT('Origin',(22.,56.,0.)); #569887=CARTESIAN_POINT('Origin',(21.125,56.75,-200.)); #569888=CARTESIAN_POINT('',(20.985,56.75,-0.0349999999999895)); #569889=CARTESIAN_POINT('Origin',(21.125,56.75,-0.0349999999999895)); #569890=CARTESIAN_POINT('',(20.985,56.75,0.)); #569891=CARTESIAN_POINT('',(20.985,56.75,-200.)); #569892=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #569893=CARTESIAN_POINT('Origin',(21.125,55.25,-200.)); #569894=CARTESIAN_POINT('',(20.985,55.25,-0.0349999999999895)); #569895=CARTESIAN_POINT('Origin',(21.125,55.25,-0.0349999999999895)); #569896=CARTESIAN_POINT('',(20.985,55.25,0.)); #569897=CARTESIAN_POINT('',(20.985,55.25,-200.)); #569898=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #569899=CARTESIAN_POINT('Origin',(21.125,56.,-200.)); #569900=CARTESIAN_POINT('',(20.985,56.,-0.0349999999999895)); #569901=CARTESIAN_POINT('Origin',(21.125,56.,-0.0349999999999895)); #569902=CARTESIAN_POINT('',(20.985,56.,0.)); #569903=CARTESIAN_POINT('',(20.985,56.,-200.)); #569904=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #569905=CARTESIAN_POINT('Origin',(22.875,56.75,-200.)); #569906=CARTESIAN_POINT('',(22.735,56.75,-0.0349999999999895)); #569907=CARTESIAN_POINT('Origin',(22.875,56.75,-0.0349999999999895)); #569908=CARTESIAN_POINT('',(22.735,56.75,0.)); #569909=CARTESIAN_POINT('',(22.735,56.75,-200.)); #569910=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #569911=CARTESIAN_POINT('Origin',(23.75,56.75,-200.)); #569912=CARTESIAN_POINT('',(23.61,56.75,-0.0349999999999895)); #569913=CARTESIAN_POINT('Origin',(23.75,56.75,-0.0349999999999895)); #569914=CARTESIAN_POINT('',(23.61,56.75,0.)); #569915=CARTESIAN_POINT('',(23.61,56.75,-200.)); #569916=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #569917=CARTESIAN_POINT('Origin',(22.875,56.,-200.)); #569918=CARTESIAN_POINT('',(22.735,56.,-0.0349999999999895)); #569919=CARTESIAN_POINT('Origin',(22.875,56.,-0.0349999999999895)); #569920=CARTESIAN_POINT('',(22.735,56.,0.)); #569921=CARTESIAN_POINT('',(22.735,56.,-200.)); #569922=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #569923=CARTESIAN_POINT('Origin',(22.875,55.25,-200.)); #569924=CARTESIAN_POINT('',(22.735,55.25,-0.0349999999999895)); #569925=CARTESIAN_POINT('Origin',(22.875,55.25,-0.0349999999999895)); #569926=CARTESIAN_POINT('',(22.735,55.25,0.)); #569927=CARTESIAN_POINT('',(22.735,55.25,-200.)); #569928=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #569929=CARTESIAN_POINT('Origin',(24.205,54.75,-0.035)); #569930=CARTESIAN_POINT('',(24.205,54.75,-0.035)); #569931=CARTESIAN_POINT('',(24.205,57.25,-0.035)); #569932=CARTESIAN_POINT('',(24.205,54.75,-0.035)); #569933=CARTESIAN_POINT('',(24.205,57.25,0.)); #569934=CARTESIAN_POINT('',(24.205,57.25,-0.035)); #569935=CARTESIAN_POINT('',(24.205,54.75,0.)); #569936=CARTESIAN_POINT('',(24.205,54.75,0.)); #569937=CARTESIAN_POINT('',(24.205,54.75,-0.035)); #569938=CARTESIAN_POINT('Origin',(19.795,54.75,-0.035)); #569939=CARTESIAN_POINT('',(19.795,54.75,-0.035)); #569940=CARTESIAN_POINT('',(19.795,54.75,-0.035)); #569941=CARTESIAN_POINT('',(19.795,54.75,0.)); #569942=CARTESIAN_POINT('',(19.795,54.75,0.)); #569943=CARTESIAN_POINT('',(19.795,54.75,-0.035)); #569944=CARTESIAN_POINT('Origin',(19.795,57.25,-0.035)); #569945=CARTESIAN_POINT('',(19.795,57.25,-0.035)); #569946=CARTESIAN_POINT('',(19.795,57.25,-0.035)); #569947=CARTESIAN_POINT('',(19.795,57.25,0.)); #569948=CARTESIAN_POINT('',(19.795,57.25,0.)); #569949=CARTESIAN_POINT('',(19.795,57.25,-0.035)); #569950=CARTESIAN_POINT('Origin',(24.205,57.25,-0.035)); #569951=CARTESIAN_POINT('',(24.205,57.25,-0.035)); #569952=CARTESIAN_POINT('',(24.205,57.25,0.)); #569953=CARTESIAN_POINT('Origin',(22.,56.,0.)); #569954=CARTESIAN_POINT('Origin',(22.,56.,-0.035)); #569955=CARTESIAN_POINT('Origin',(20.395,49.15,-0.035)); #569956=CARTESIAN_POINT('',(20.395,49.15,-0.035)); #569957=CARTESIAN_POINT('',(20.395,50.35,-0.035)); #569958=CARTESIAN_POINT('',(20.395,49.15,-0.035)); #569959=CARTESIAN_POINT('',(20.395,50.35,0.)); #569960=CARTESIAN_POINT('',(20.395,50.35,-0.035)); #569961=CARTESIAN_POINT('',(20.395,49.15,0.)); #569962=CARTESIAN_POINT('',(20.395,49.15,0.)); #569963=CARTESIAN_POINT('',(20.395,49.15,-0.035)); #569964=CARTESIAN_POINT('Origin',(19.795,49.15,-0.035)); #569965=CARTESIAN_POINT('',(19.795,49.15,-0.035)); #569966=CARTESIAN_POINT('',(19.795,49.15,-0.035)); #569967=CARTESIAN_POINT('',(19.795,49.15,0.)); #569968=CARTESIAN_POINT('',(19.795,49.15,0.)); #569969=CARTESIAN_POINT('',(19.795,49.15,-0.035)); #569970=CARTESIAN_POINT('Origin',(19.795,50.35,-0.035)); #569971=CARTESIAN_POINT('',(19.795,50.35,-0.035)); #569972=CARTESIAN_POINT('',(19.795,50.35,-0.035)); #569973=CARTESIAN_POINT('',(19.795,50.35,0.)); #569974=CARTESIAN_POINT('',(19.795,50.35,0.)); #569975=CARTESIAN_POINT('',(19.795,50.35,-0.035)); #569976=CARTESIAN_POINT('Origin',(20.395,50.35,-0.035)); #569977=CARTESIAN_POINT('',(20.395,50.35,-0.035)); #569978=CARTESIAN_POINT('',(20.395,50.35,0.)); #569979=CARTESIAN_POINT('Origin',(20.095,49.75,0.)); #569980=CARTESIAN_POINT('Origin',(20.095,49.75,-0.035)); #569981=CARTESIAN_POINT('Origin',(21.665,52.65,-0.035)); #569982=CARTESIAN_POINT('',(21.665,52.65,-0.035)); #569983=CARTESIAN_POINT('',(21.665,53.85,-0.035)); #569984=CARTESIAN_POINT('',(21.665,52.65,-0.035)); #569985=CARTESIAN_POINT('',(21.665,53.85,0.)); #569986=CARTESIAN_POINT('',(21.665,53.85,-0.035)); #569987=CARTESIAN_POINT('',(21.665,52.65,0.)); #569988=CARTESIAN_POINT('',(21.665,52.65,0.)); #569989=CARTESIAN_POINT('',(21.665,52.65,-0.035)); #569990=CARTESIAN_POINT('Origin',(21.065,52.65,-0.035)); #569991=CARTESIAN_POINT('',(21.065,52.65,-0.035)); #569992=CARTESIAN_POINT('',(21.065,52.65,-0.035)); #569993=CARTESIAN_POINT('',(21.065,52.65,0.)); #569994=CARTESIAN_POINT('',(21.065,52.65,0.)); #569995=CARTESIAN_POINT('',(21.065,52.65,-0.035)); #569996=CARTESIAN_POINT('Origin',(21.065,53.85,-0.035)); #569997=CARTESIAN_POINT('',(21.065,53.85,-0.035)); #569998=CARTESIAN_POINT('',(21.065,53.85,-0.035)); #569999=CARTESIAN_POINT('',(21.065,53.85,0.)); #570000=CARTESIAN_POINT('',(21.065,53.85,0.)); #570001=CARTESIAN_POINT('',(21.065,53.85,-0.035)); #570002=CARTESIAN_POINT('Origin',(21.665,53.85,-0.035)); #570003=CARTESIAN_POINT('',(21.665,53.85,-0.035)); #570004=CARTESIAN_POINT('',(21.665,53.85,0.)); #570005=CARTESIAN_POINT('Origin',(21.365,53.25,0.)); #570006=CARTESIAN_POINT('Origin',(21.365,53.25,-0.035)); #570007=CARTESIAN_POINT('Origin',(21.665,49.15,-0.035)); #570008=CARTESIAN_POINT('',(21.665,49.15,-0.035)); #570009=CARTESIAN_POINT('',(21.665,50.35,-0.035)); #570010=CARTESIAN_POINT('',(21.665,49.15,-0.035)); #570011=CARTESIAN_POINT('',(21.665,50.35,0.)); #570012=CARTESIAN_POINT('',(21.665,50.35,-0.035)); #570013=CARTESIAN_POINT('',(21.665,49.15,0.)); #570014=CARTESIAN_POINT('',(21.665,49.15,0.)); #570015=CARTESIAN_POINT('',(21.665,49.15,-0.035)); #570016=CARTESIAN_POINT('Origin',(21.065,49.15,-0.035)); #570017=CARTESIAN_POINT('',(21.065,49.15,-0.035)); #570018=CARTESIAN_POINT('',(21.065,49.15,-0.035)); #570019=CARTESIAN_POINT('',(21.065,49.15,0.)); #570020=CARTESIAN_POINT('',(21.065,49.15,0.)); #570021=CARTESIAN_POINT('',(21.065,49.15,-0.035)); #570022=CARTESIAN_POINT('Origin',(21.065,50.35,-0.035)); #570023=CARTESIAN_POINT('',(21.065,50.35,-0.035)); #570024=CARTESIAN_POINT('',(21.065,50.35,-0.035)); #570025=CARTESIAN_POINT('',(21.065,50.35,0.)); #570026=CARTESIAN_POINT('',(21.065,50.35,0.)); #570027=CARTESIAN_POINT('',(21.065,50.35,-0.035)); #570028=CARTESIAN_POINT('Origin',(21.665,50.35,-0.035)); #570029=CARTESIAN_POINT('',(21.665,50.35,-0.035)); #570030=CARTESIAN_POINT('',(21.665,50.35,0.)); #570031=CARTESIAN_POINT('Origin',(21.365,49.75,0.)); #570032=CARTESIAN_POINT('Origin',(21.365,49.75,-0.035)); #570033=CARTESIAN_POINT('Origin',(23.905,49.75,-200.)); #570034=CARTESIAN_POINT('',(23.765,49.75,-0.0349999999999895)); #570035=CARTESIAN_POINT('Origin',(23.905,49.75,-0.0349999999999895)); #570036=CARTESIAN_POINT('',(23.765,49.75,0.)); #570037=CARTESIAN_POINT('',(23.765,49.75,-200.)); #570038=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #570039=CARTESIAN_POINT('Origin',(24.205,49.15,-0.035)); #570040=CARTESIAN_POINT('',(24.205,49.15,-0.035)); #570041=CARTESIAN_POINT('',(24.205,50.35,-0.035)); #570042=CARTESIAN_POINT('',(24.205,49.15,-0.035)); #570043=CARTESIAN_POINT('',(24.205,50.35,0.)); #570044=CARTESIAN_POINT('',(24.205,50.35,-0.035)); #570045=CARTESIAN_POINT('',(24.205,49.15,0.)); #570046=CARTESIAN_POINT('',(24.205,49.15,0.)); #570047=CARTESIAN_POINT('',(24.205,49.15,-0.035)); #570048=CARTESIAN_POINT('Origin',(23.605,49.15,-0.035)); #570049=CARTESIAN_POINT('',(23.605,49.15,-0.035)); #570050=CARTESIAN_POINT('',(23.605,49.15,-0.035)); #570051=CARTESIAN_POINT('',(23.605,49.15,0.)); #570052=CARTESIAN_POINT('',(23.605,49.15,0.)); #570053=CARTESIAN_POINT('',(23.605,49.15,-0.035)); #570054=CARTESIAN_POINT('Origin',(23.605,50.35,-0.035)); #570055=CARTESIAN_POINT('',(23.605,50.35,-0.035)); #570056=CARTESIAN_POINT('',(23.605,50.35,-0.035)); #570057=CARTESIAN_POINT('',(23.605,50.35,0.)); #570058=CARTESIAN_POINT('',(23.605,50.35,0.)); #570059=CARTESIAN_POINT('',(23.605,50.35,-0.035)); #570060=CARTESIAN_POINT('Origin',(24.205,50.35,-0.035)); #570061=CARTESIAN_POINT('',(24.205,50.35,-0.035)); #570062=CARTESIAN_POINT('',(24.205,50.35,0.)); #570063=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #570064=CARTESIAN_POINT('Origin',(23.905,49.75,-0.035)); #570065=CARTESIAN_POINT('Origin',(23.905,58.75,-200.)); #570066=CARTESIAN_POINT('',(23.765,58.75,-0.0349999999999895)); #570067=CARTESIAN_POINT('Origin',(23.905,58.75,-0.0349999999999895)); #570068=CARTESIAN_POINT('',(23.765,58.75,0.)); #570069=CARTESIAN_POINT('',(23.765,58.75,-200.)); #570070=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #570071=CARTESIAN_POINT('Origin',(24.205,58.15,-0.035)); #570072=CARTESIAN_POINT('',(24.205,58.15,-0.035)); #570073=CARTESIAN_POINT('',(24.205,59.35,-0.035)); #570074=CARTESIAN_POINT('',(24.205,58.15,-0.035)); #570075=CARTESIAN_POINT('',(24.205,59.35,0.)); #570076=CARTESIAN_POINT('',(24.205,59.35,-0.035)); #570077=CARTESIAN_POINT('',(24.205,58.15,0.)); #570078=CARTESIAN_POINT('',(24.205,58.15,0.)); #570079=CARTESIAN_POINT('',(24.205,58.15,-0.035)); #570080=CARTESIAN_POINT('Origin',(23.605,58.15,-0.035)); #570081=CARTESIAN_POINT('',(23.605,58.15,-0.035)); #570082=CARTESIAN_POINT('',(23.605,58.15,-0.035)); #570083=CARTESIAN_POINT('',(23.605,58.15,0.)); #570084=CARTESIAN_POINT('',(23.605,58.15,0.)); #570085=CARTESIAN_POINT('',(23.605,58.15,-0.035)); #570086=CARTESIAN_POINT('Origin',(23.605,59.35,-0.035)); #570087=CARTESIAN_POINT('',(23.605,59.35,-0.035)); #570088=CARTESIAN_POINT('',(23.605,59.35,-0.035)); #570089=CARTESIAN_POINT('',(23.605,59.35,0.)); #570090=CARTESIAN_POINT('',(23.605,59.35,0.)); #570091=CARTESIAN_POINT('',(23.605,59.35,-0.035)); #570092=CARTESIAN_POINT('Origin',(24.205,59.35,-0.035)); #570093=CARTESIAN_POINT('',(24.205,59.35,-0.035)); #570094=CARTESIAN_POINT('',(24.205,59.35,0.)); #570095=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #570096=CARTESIAN_POINT('Origin',(23.905,58.75,-0.035)); #570097=CARTESIAN_POINT('Origin',(47.905,49.75,-200.)); #570098=CARTESIAN_POINT('',(47.765,49.75,-0.0349999999999895)); #570099=CARTESIAN_POINT('Origin',(47.905,49.75,-0.0349999999999895)); #570100=CARTESIAN_POINT('',(47.765,49.75,0.)); #570101=CARTESIAN_POINT('',(47.765,49.75,-200.)); #570102=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #570103=CARTESIAN_POINT('Origin',(48.205,49.15,-0.035)); #570104=CARTESIAN_POINT('',(48.205,49.15,-0.035)); #570105=CARTESIAN_POINT('',(48.205,50.35,-0.035)); #570106=CARTESIAN_POINT('',(48.205,49.15,-0.035)); #570107=CARTESIAN_POINT('',(48.205,50.35,0.)); #570108=CARTESIAN_POINT('',(48.205,50.35,-0.035)); #570109=CARTESIAN_POINT('',(48.205,49.15,0.)); #570110=CARTESIAN_POINT('',(48.205,49.15,0.)); #570111=CARTESIAN_POINT('',(48.205,49.15,-0.035)); #570112=CARTESIAN_POINT('Origin',(47.605,49.15,-0.035)); #570113=CARTESIAN_POINT('',(47.605,49.15,-0.035)); #570114=CARTESIAN_POINT('',(47.605,49.15,-0.035)); #570115=CARTESIAN_POINT('',(47.605,49.15,0.)); #570116=CARTESIAN_POINT('',(47.605,49.15,0.)); #570117=CARTESIAN_POINT('',(47.605,49.15,-0.035)); #570118=CARTESIAN_POINT('Origin',(47.605,50.35,-0.035)); #570119=CARTESIAN_POINT('',(47.605,50.35,-0.035)); #570120=CARTESIAN_POINT('',(47.605,50.35,-0.035)); #570121=CARTESIAN_POINT('',(47.605,50.35,0.)); #570122=CARTESIAN_POINT('',(47.605,50.35,0.)); #570123=CARTESIAN_POINT('',(47.605,50.35,-0.035)); #570124=CARTESIAN_POINT('Origin',(48.205,50.35,-0.035)); #570125=CARTESIAN_POINT('',(48.205,50.35,-0.035)); #570126=CARTESIAN_POINT('',(48.205,50.35,0.)); #570127=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #570128=CARTESIAN_POINT('Origin',(47.905,49.75,-0.035)); #570129=CARTESIAN_POINT('Origin',(23.75,47.,-200.)); #570130=CARTESIAN_POINT('',(23.61,47.,-0.0349999999999895)); #570131=CARTESIAN_POINT('Origin',(23.75,47.,-0.0349999999999895)); #570132=CARTESIAN_POINT('',(23.61,47.,0.)); #570133=CARTESIAN_POINT('',(23.61,47.,-200.)); #570134=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #570135=CARTESIAN_POINT('Origin',(20.25,47.,-200.)); #570136=CARTESIAN_POINT('',(20.11,47.,-0.0349999999999895)); #570137=CARTESIAN_POINT('Origin',(20.25,47.,-0.0349999999999895)); #570138=CARTESIAN_POINT('',(20.11,47.,0.)); #570139=CARTESIAN_POINT('',(20.11,47.,-200.)); #570140=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #570141=CARTESIAN_POINT('Origin',(23.75,46.25,-200.)); #570142=CARTESIAN_POINT('',(23.61,46.25,-0.0349999999999895)); #570143=CARTESIAN_POINT('Origin',(23.75,46.25,-0.0349999999999895)); #570144=CARTESIAN_POINT('',(23.61,46.25,0.)); #570145=CARTESIAN_POINT('',(23.61,46.25,-200.)); #570146=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #570147=CARTESIAN_POINT('Origin',(22.,46.25,-200.)); #570148=CARTESIAN_POINT('',(21.86,46.25,-0.0349999999999895)); #570149=CARTESIAN_POINT('Origin',(22.,46.25,-0.0349999999999895)); #570150=CARTESIAN_POINT('',(21.86,46.25,0.)); #570151=CARTESIAN_POINT('',(21.86,46.25,-200.)); #570152=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #570153=CARTESIAN_POINT('Origin',(20.25,46.25,-200.)); #570154=CARTESIAN_POINT('',(20.11,46.25,-0.0349999999999895)); #570155=CARTESIAN_POINT('Origin',(20.25,46.25,-0.0349999999999895)); #570156=CARTESIAN_POINT('',(20.11,46.25,0.)); #570157=CARTESIAN_POINT('',(20.11,46.25,-200.)); #570158=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #570159=CARTESIAN_POINT('Origin',(20.25,47.75,-200.)); #570160=CARTESIAN_POINT('',(20.11,47.75,-0.0349999999999895)); #570161=CARTESIAN_POINT('Origin',(20.25,47.75,-0.0349999999999895)); #570162=CARTESIAN_POINT('',(20.11,47.75,0.)); #570163=CARTESIAN_POINT('',(20.11,47.75,-200.)); #570164=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #570165=CARTESIAN_POINT('Origin',(23.75,47.75,-200.)); #570166=CARTESIAN_POINT('',(23.61,47.75,-0.0349999999999895)); #570167=CARTESIAN_POINT('Origin',(23.75,47.75,-0.0349999999999895)); #570168=CARTESIAN_POINT('',(23.61,47.75,0.)); #570169=CARTESIAN_POINT('',(23.61,47.75,-200.)); #570170=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #570171=CARTESIAN_POINT('Origin',(21.125,47.,-200.)); #570172=CARTESIAN_POINT('',(20.985,47.,-0.0349999999999895)); #570173=CARTESIAN_POINT('Origin',(21.125,47.,-0.0349999999999895)); #570174=CARTESIAN_POINT('',(20.985,47.,0.)); #570175=CARTESIAN_POINT('',(20.985,47.,-200.)); #570176=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #570177=CARTESIAN_POINT('Origin',(21.125,47.75,-200.)); #570178=CARTESIAN_POINT('',(20.985,47.75,-0.0349999999999895)); #570179=CARTESIAN_POINT('Origin',(21.125,47.75,-0.0349999999999895)); #570180=CARTESIAN_POINT('',(20.985,47.75,0.)); #570181=CARTESIAN_POINT('',(20.985,47.75,-200.)); #570182=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #570183=CARTESIAN_POINT('Origin',(22.,47.,-200.)); #570184=CARTESIAN_POINT('',(21.86,47.,-0.0349999999999895)); #570185=CARTESIAN_POINT('Origin',(22.,47.,-0.0349999999999895)); #570186=CARTESIAN_POINT('',(21.86,47.,0.)); #570187=CARTESIAN_POINT('',(21.86,47.,-200.)); #570188=CARTESIAN_POINT('Origin',(22.,47.,0.)); #570189=CARTESIAN_POINT('Origin',(22.,47.75,-200.)); #570190=CARTESIAN_POINT('',(21.86,47.75,-0.0349999999999895)); #570191=CARTESIAN_POINT('Origin',(22.,47.75,-0.0349999999999895)); #570192=CARTESIAN_POINT('',(21.86,47.75,0.)); #570193=CARTESIAN_POINT('',(21.86,47.75,-200.)); #570194=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #570195=CARTESIAN_POINT('Origin',(22.875,46.25,-200.)); #570196=CARTESIAN_POINT('',(22.735,46.25,-0.0349999999999895)); #570197=CARTESIAN_POINT('Origin',(22.875,46.25,-0.0349999999999895)); #570198=CARTESIAN_POINT('',(22.735,46.25,0.)); #570199=CARTESIAN_POINT('',(22.735,46.25,-200.)); #570200=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #570201=CARTESIAN_POINT('Origin',(21.125,46.25,-200.)); #570202=CARTESIAN_POINT('',(20.985,46.25,-0.0349999999999895)); #570203=CARTESIAN_POINT('Origin',(21.125,46.25,-0.0349999999999895)); #570204=CARTESIAN_POINT('',(20.985,46.25,0.)); #570205=CARTESIAN_POINT('',(20.985,46.25,-200.)); #570206=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #570207=CARTESIAN_POINT('Origin',(22.875,47.,-200.)); #570208=CARTESIAN_POINT('',(22.735,47.,-0.0349999999999895)); #570209=CARTESIAN_POINT('Origin',(22.875,47.,-0.0349999999999895)); #570210=CARTESIAN_POINT('',(22.735,47.,0.)); #570211=CARTESIAN_POINT('',(22.735,47.,-200.)); #570212=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #570213=CARTESIAN_POINT('Origin',(22.875,47.75,-200.)); #570214=CARTESIAN_POINT('',(22.735,47.75,-0.0349999999999895)); #570215=CARTESIAN_POINT('Origin',(22.875,47.75,-0.0349999999999895)); #570216=CARTESIAN_POINT('',(22.735,47.75,0.)); #570217=CARTESIAN_POINT('',(22.735,47.75,-200.)); #570218=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #570219=CARTESIAN_POINT('Origin',(24.205,45.75,-0.035)); #570220=CARTESIAN_POINT('',(24.205,45.75,-0.035)); #570221=CARTESIAN_POINT('',(24.205,48.25,-0.035)); #570222=CARTESIAN_POINT('',(24.205,45.75,-0.035)); #570223=CARTESIAN_POINT('',(24.205,48.25,0.)); #570224=CARTESIAN_POINT('',(24.205,48.25,-0.035)); #570225=CARTESIAN_POINT('',(24.205,45.75,0.)); #570226=CARTESIAN_POINT('',(24.205,45.75,0.)); #570227=CARTESIAN_POINT('',(24.205,45.75,-0.035)); #570228=CARTESIAN_POINT('Origin',(19.795,45.75,-0.035)); #570229=CARTESIAN_POINT('',(19.795,45.75,-0.035)); #570230=CARTESIAN_POINT('',(19.795,45.75,-0.035)); #570231=CARTESIAN_POINT('',(19.795,45.75,0.)); #570232=CARTESIAN_POINT('',(19.795,45.75,0.)); #570233=CARTESIAN_POINT('',(19.795,45.75,-0.035)); #570234=CARTESIAN_POINT('Origin',(19.795,48.25,-0.035)); #570235=CARTESIAN_POINT('',(19.795,48.25,-0.035)); #570236=CARTESIAN_POINT('',(19.795,48.25,-0.035)); #570237=CARTESIAN_POINT('',(19.795,48.25,0.)); #570238=CARTESIAN_POINT('',(19.795,48.25,0.)); #570239=CARTESIAN_POINT('',(19.795,48.25,-0.035)); #570240=CARTESIAN_POINT('Origin',(24.205,48.25,-0.035)); #570241=CARTESIAN_POINT('',(24.205,48.25,-0.035)); #570242=CARTESIAN_POINT('',(24.205,48.25,0.)); #570243=CARTESIAN_POINT('Origin',(22.,47.,0.)); #570244=CARTESIAN_POINT('Origin',(22.,47.,-0.035)); #570245=CARTESIAN_POINT('Origin',(24.205,43.65,-0.035)); #570246=CARTESIAN_POINT('',(24.205,43.65,-0.035)); #570247=CARTESIAN_POINT('',(24.205,44.85,-0.035)); #570248=CARTESIAN_POINT('',(24.205,43.65,-0.035)); #570249=CARTESIAN_POINT('',(24.205,44.85,0.)); #570250=CARTESIAN_POINT('',(24.205,44.85,-0.035)); #570251=CARTESIAN_POINT('',(24.205,43.65,0.)); #570252=CARTESIAN_POINT('',(24.205,43.65,0.)); #570253=CARTESIAN_POINT('',(24.205,43.65,-0.035)); #570254=CARTESIAN_POINT('Origin',(23.605,43.65,-0.035)); #570255=CARTESIAN_POINT('',(23.605,43.65,-0.035)); #570256=CARTESIAN_POINT('',(23.605,43.65,-0.035)); #570257=CARTESIAN_POINT('',(23.605,43.65,0.)); #570258=CARTESIAN_POINT('',(23.605,43.65,0.)); #570259=CARTESIAN_POINT('',(23.605,43.65,-0.035)); #570260=CARTESIAN_POINT('Origin',(23.605,44.85,-0.035)); #570261=CARTESIAN_POINT('',(23.605,44.85,-0.035)); #570262=CARTESIAN_POINT('',(23.605,44.85,-0.035)); #570263=CARTESIAN_POINT('',(23.605,44.85,0.)); #570264=CARTESIAN_POINT('',(23.605,44.85,0.)); #570265=CARTESIAN_POINT('',(23.605,44.85,-0.035)); #570266=CARTESIAN_POINT('Origin',(24.205,44.85,-0.035)); #570267=CARTESIAN_POINT('',(24.205,44.85,-0.035)); #570268=CARTESIAN_POINT('',(24.205,44.85,0.)); #570269=CARTESIAN_POINT('Origin',(23.905,44.25,0.)); #570270=CARTESIAN_POINT('Origin',(23.905,44.25,-0.035)); #570271=CARTESIAN_POINT('Origin',(20.395,43.65,-0.035)); #570272=CARTESIAN_POINT('',(20.395,43.65,-0.035)); #570273=CARTESIAN_POINT('',(20.395,44.85,-0.035)); #570274=CARTESIAN_POINT('',(20.395,43.65,-0.035)); #570275=CARTESIAN_POINT('',(20.395,44.85,0.)); #570276=CARTESIAN_POINT('',(20.395,44.85,-0.035)); #570277=CARTESIAN_POINT('',(20.395,43.65,0.)); #570278=CARTESIAN_POINT('',(20.395,43.65,0.)); #570279=CARTESIAN_POINT('',(20.395,43.65,-0.035)); #570280=CARTESIAN_POINT('Origin',(19.795,43.65,-0.035)); #570281=CARTESIAN_POINT('',(19.795,43.65,-0.035)); #570282=CARTESIAN_POINT('',(19.795,43.65,-0.035)); #570283=CARTESIAN_POINT('',(19.795,43.65,0.)); #570284=CARTESIAN_POINT('',(19.795,43.65,0.)); #570285=CARTESIAN_POINT('',(19.795,43.65,-0.035)); #570286=CARTESIAN_POINT('Origin',(19.795,44.85,-0.035)); #570287=CARTESIAN_POINT('',(19.795,44.85,-0.035)); #570288=CARTESIAN_POINT('',(19.795,44.85,-0.035)); #570289=CARTESIAN_POINT('',(19.795,44.85,0.)); #570290=CARTESIAN_POINT('',(19.795,44.85,0.)); #570291=CARTESIAN_POINT('',(19.795,44.85,-0.035)); #570292=CARTESIAN_POINT('Origin',(20.395,44.85,-0.035)); #570293=CARTESIAN_POINT('',(20.395,44.85,-0.035)); #570294=CARTESIAN_POINT('',(20.395,44.85,0.)); #570295=CARTESIAN_POINT('Origin',(20.095,44.25,0.)); #570296=CARTESIAN_POINT('Origin',(20.095,44.25,-0.035)); #570297=CARTESIAN_POINT('Origin',(22.935,43.65,-0.035)); #570298=CARTESIAN_POINT('',(22.935,43.65,-0.035)); #570299=CARTESIAN_POINT('',(22.935,44.85,-0.035)); #570300=CARTESIAN_POINT('',(22.935,43.65,-0.035)); #570301=CARTESIAN_POINT('',(22.935,44.85,0.)); #570302=CARTESIAN_POINT('',(22.935,44.85,-0.035)); #570303=CARTESIAN_POINT('',(22.935,43.65,0.)); #570304=CARTESIAN_POINT('',(22.935,43.65,0.)); #570305=CARTESIAN_POINT('',(22.935,43.65,-0.035)); #570306=CARTESIAN_POINT('Origin',(22.335,43.65,-0.035)); #570307=CARTESIAN_POINT('',(22.335,43.65,-0.035)); #570308=CARTESIAN_POINT('',(22.335,43.65,-0.035)); #570309=CARTESIAN_POINT('',(22.335,43.65,0.)); #570310=CARTESIAN_POINT('',(22.335,43.65,0.)); #570311=CARTESIAN_POINT('',(22.335,43.65,-0.035)); #570312=CARTESIAN_POINT('Origin',(22.335,44.85,-0.035)); #570313=CARTESIAN_POINT('',(22.335,44.85,-0.035)); #570314=CARTESIAN_POINT('',(22.335,44.85,-0.035)); #570315=CARTESIAN_POINT('',(22.335,44.85,0.)); #570316=CARTESIAN_POINT('',(22.335,44.85,0.)); #570317=CARTESIAN_POINT('',(22.335,44.85,-0.035)); #570318=CARTESIAN_POINT('Origin',(22.935,44.85,-0.035)); #570319=CARTESIAN_POINT('',(22.935,44.85,-0.035)); #570320=CARTESIAN_POINT('',(22.935,44.85,0.)); #570321=CARTESIAN_POINT('Origin',(22.635,44.25,0.)); #570322=CARTESIAN_POINT('Origin',(22.635,44.25,-0.035)); #570323=CARTESIAN_POINT('Origin',(15.1811,44.5555,-0.035)); #570324=CARTESIAN_POINT('',(15.1811,44.5555,-0.035)); #570325=CARTESIAN_POINT('',(15.1811,45.4445,-0.035)); #570326=CARTESIAN_POINT('',(15.1811,44.5555,-0.035)); #570327=CARTESIAN_POINT('',(15.1811,45.4445,0.)); #570328=CARTESIAN_POINT('',(15.1811,45.4445,-0.035)); #570329=CARTESIAN_POINT('',(15.1811,44.5555,0.)); #570330=CARTESIAN_POINT('',(15.1811,44.5555,0.)); #570331=CARTESIAN_POINT('',(15.1811,44.5555,-0.035)); #570332=CARTESIAN_POINT('Origin',(14.3429,44.5555,-0.035)); #570333=CARTESIAN_POINT('',(14.3429,44.5555,-0.035)); #570334=CARTESIAN_POINT('',(14.3429,44.5555,-0.035)); #570335=CARTESIAN_POINT('',(14.3429,44.5555,0.)); #570336=CARTESIAN_POINT('',(14.3429,44.5555,0.)); #570337=CARTESIAN_POINT('',(14.3429,44.5555,-0.035)); #570338=CARTESIAN_POINT('Origin',(14.3429,45.4445,-0.035)); #570339=CARTESIAN_POINT('',(14.3429,45.4445,-0.035)); #570340=CARTESIAN_POINT('',(14.3429,45.4445,-0.035)); #570341=CARTESIAN_POINT('',(14.3429,45.4445,0.)); #570342=CARTESIAN_POINT('',(14.3429,45.4445,0.)); #570343=CARTESIAN_POINT('',(14.3429,45.4445,-0.035)); #570344=CARTESIAN_POINT('Origin',(15.1811,45.4445,-0.035)); #570345=CARTESIAN_POINT('',(15.1811,45.4445,-0.035)); #570346=CARTESIAN_POINT('',(15.1811,45.4445,0.)); #570347=CARTESIAN_POINT('Origin',(14.762,45.,0.)); #570348=CARTESIAN_POINT('Origin',(14.762,45.,-0.035)); #570349=CARTESIAN_POINT('Origin',(15.1811,41.5555,-0.035)); #570350=CARTESIAN_POINT('',(15.1811,41.5555,-0.035)); #570351=CARTESIAN_POINT('',(15.1811,42.4445,-0.035)); #570352=CARTESIAN_POINT('',(15.1811,41.5555,-0.035)); #570353=CARTESIAN_POINT('',(15.1811,42.4445,0.)); #570354=CARTESIAN_POINT('',(15.1811,42.4445,-0.035)); #570355=CARTESIAN_POINT('',(15.1811,41.5555,0.)); #570356=CARTESIAN_POINT('',(15.1811,41.5555,0.)); #570357=CARTESIAN_POINT('',(15.1811,41.5555,-0.035)); #570358=CARTESIAN_POINT('Origin',(14.3429,41.5555,-0.035)); #570359=CARTESIAN_POINT('',(14.3429,41.5555,-0.035)); #570360=CARTESIAN_POINT('',(14.3429,41.5555,-0.035)); #570361=CARTESIAN_POINT('',(14.3429,41.5555,0.)); #570362=CARTESIAN_POINT('',(14.3429,41.5555,0.)); #570363=CARTESIAN_POINT('',(14.3429,41.5555,-0.035)); #570364=CARTESIAN_POINT('Origin',(14.3429,42.4445,-0.035)); #570365=CARTESIAN_POINT('',(14.3429,42.4445,-0.035)); #570366=CARTESIAN_POINT('',(14.3429,42.4445,-0.035)); #570367=CARTESIAN_POINT('',(14.3429,42.4445,0.)); #570368=CARTESIAN_POINT('',(14.3429,42.4445,0.)); #570369=CARTESIAN_POINT('',(14.3429,42.4445,-0.035)); #570370=CARTESIAN_POINT('Origin',(15.1811,42.4445,-0.035)); #570371=CARTESIAN_POINT('',(15.1811,42.4445,-0.035)); #570372=CARTESIAN_POINT('',(15.1811,42.4445,0.)); #570373=CARTESIAN_POINT('Origin',(14.762,42.,0.)); #570374=CARTESIAN_POINT('Origin',(14.762,42.,-0.035)); #570375=CARTESIAN_POINT('Origin',(21.665,43.65,-0.035)); #570376=CARTESIAN_POINT('',(21.665,43.65,-0.035)); #570377=CARTESIAN_POINT('',(21.665,44.85,-0.035)); #570378=CARTESIAN_POINT('',(21.665,43.65,-0.035)); #570379=CARTESIAN_POINT('',(21.665,44.85,0.)); #570380=CARTESIAN_POINT('',(21.665,44.85,-0.035)); #570381=CARTESIAN_POINT('',(21.665,43.65,0.)); #570382=CARTESIAN_POINT('',(21.665,43.65,0.)); #570383=CARTESIAN_POINT('',(21.665,43.65,-0.035)); #570384=CARTESIAN_POINT('Origin',(21.065,43.65,-0.035)); #570385=CARTESIAN_POINT('',(21.065,43.65,-0.035)); #570386=CARTESIAN_POINT('',(21.065,43.65,-0.035)); #570387=CARTESIAN_POINT('',(21.065,43.65,0.)); #570388=CARTESIAN_POINT('',(21.065,43.65,0.)); #570389=CARTESIAN_POINT('',(21.065,43.65,-0.035)); #570390=CARTESIAN_POINT('Origin',(21.065,44.85,-0.035)); #570391=CARTESIAN_POINT('',(21.065,44.85,-0.035)); #570392=CARTESIAN_POINT('',(21.065,44.85,-0.035)); #570393=CARTESIAN_POINT('',(21.065,44.85,0.)); #570394=CARTESIAN_POINT('',(21.065,44.85,0.)); #570395=CARTESIAN_POINT('',(21.065,44.85,-0.035)); #570396=CARTESIAN_POINT('Origin',(21.665,44.85,-0.035)); #570397=CARTESIAN_POINT('',(21.665,44.85,-0.035)); #570398=CARTESIAN_POINT('',(21.665,44.85,0.)); #570399=CARTESIAN_POINT('Origin',(21.365,44.25,0.)); #570400=CARTESIAN_POINT('Origin',(21.365,44.25,-0.035)); #570401=CARTESIAN_POINT('Origin',(31.1811,65.5555,-0.035)); #570402=CARTESIAN_POINT('',(31.1811,65.5555,-0.035)); #570403=CARTESIAN_POINT('',(31.1811,66.4445,-0.035)); #570404=CARTESIAN_POINT('',(31.1811,65.5555,-0.035)); #570405=CARTESIAN_POINT('',(31.1811,66.4445,0.)); #570406=CARTESIAN_POINT('',(31.1811,66.4445,-0.035)); #570407=CARTESIAN_POINT('',(31.1811,65.5555,0.)); #570408=CARTESIAN_POINT('',(31.1811,65.5555,0.)); #570409=CARTESIAN_POINT('',(31.1811,65.5555,-0.035)); #570410=CARTESIAN_POINT('Origin',(30.3429,65.5555,-0.035)); #570411=CARTESIAN_POINT('',(30.3429,65.5555,-0.035)); #570412=CARTESIAN_POINT('',(30.3429,65.5555,-0.035)); #570413=CARTESIAN_POINT('',(30.3429,65.5555,0.)); #570414=CARTESIAN_POINT('',(30.3429,65.5555,0.)); #570415=CARTESIAN_POINT('',(30.3429,65.5555,-0.035)); #570416=CARTESIAN_POINT('Origin',(30.3429,66.4445,-0.035)); #570417=CARTESIAN_POINT('',(30.3429,66.4445,-0.035)); #570418=CARTESIAN_POINT('',(30.3429,66.4445,-0.035)); #570419=CARTESIAN_POINT('',(30.3429,66.4445,0.)); #570420=CARTESIAN_POINT('',(30.3429,66.4445,0.)); #570421=CARTESIAN_POINT('',(30.3429,66.4445,-0.035)); #570422=CARTESIAN_POINT('Origin',(31.1811,66.4445,-0.035)); #570423=CARTESIAN_POINT('',(31.1811,66.4445,-0.035)); #570424=CARTESIAN_POINT('',(31.1811,66.4445,0.)); #570425=CARTESIAN_POINT('Origin',(30.762,66.,0.)); #570426=CARTESIAN_POINT('Origin',(30.762,66.,-0.035)); #570427=CARTESIAN_POINT('Origin',(35.1811,65.5555,-0.035)); #570428=CARTESIAN_POINT('',(35.1811,65.5555,-0.035)); #570429=CARTESIAN_POINT('',(35.1811,66.4445,-0.035)); #570430=CARTESIAN_POINT('',(35.1811,65.5555,-0.035)); #570431=CARTESIAN_POINT('',(35.1811,66.4445,0.)); #570432=CARTESIAN_POINT('',(35.1811,66.4445,-0.035)); #570433=CARTESIAN_POINT('',(35.1811,65.5555,0.)); #570434=CARTESIAN_POINT('',(35.1811,65.5555,0.)); #570435=CARTESIAN_POINT('',(35.1811,65.5555,-0.035)); #570436=CARTESIAN_POINT('Origin',(34.3429,65.5555,-0.035)); #570437=CARTESIAN_POINT('',(34.3429,65.5555,-0.035)); #570438=CARTESIAN_POINT('',(34.3429,65.5555,-0.035)); #570439=CARTESIAN_POINT('',(34.3429,65.5555,0.)); #570440=CARTESIAN_POINT('',(34.3429,65.5555,0.)); #570441=CARTESIAN_POINT('',(34.3429,65.5555,-0.035)); #570442=CARTESIAN_POINT('Origin',(34.3429,66.4445,-0.035)); #570443=CARTESIAN_POINT('',(34.3429,66.4445,-0.035)); #570444=CARTESIAN_POINT('',(34.3429,66.4445,-0.035)); #570445=CARTESIAN_POINT('',(34.3429,66.4445,0.)); #570446=CARTESIAN_POINT('',(34.3429,66.4445,0.)); #570447=CARTESIAN_POINT('',(34.3429,66.4445,-0.035)); #570448=CARTESIAN_POINT('Origin',(35.1811,66.4445,-0.035)); #570449=CARTESIAN_POINT('',(35.1811,66.4445,-0.035)); #570450=CARTESIAN_POINT('',(35.1811,66.4445,0.)); #570451=CARTESIAN_POINT('Origin',(34.762,66.,0.)); #570452=CARTESIAN_POINT('Origin',(34.762,66.,-0.035)); #570453=CARTESIAN_POINT('Origin',(39.1811,65.5555,-0.035)); #570454=CARTESIAN_POINT('',(39.1811,65.5555,-0.035)); #570455=CARTESIAN_POINT('',(39.1811,66.4445,-0.035)); #570456=CARTESIAN_POINT('',(39.1811,65.5555,-0.035)); #570457=CARTESIAN_POINT('',(39.1811,66.4445,0.)); #570458=CARTESIAN_POINT('',(39.1811,66.4445,-0.035)); #570459=CARTESIAN_POINT('',(39.1811,65.5555,0.)); #570460=CARTESIAN_POINT('',(39.1811,65.5555,0.)); #570461=CARTESIAN_POINT('',(39.1811,65.5555,-0.035)); #570462=CARTESIAN_POINT('Origin',(38.3429,65.5555,-0.035)); #570463=CARTESIAN_POINT('',(38.3429,65.5555,-0.035)); #570464=CARTESIAN_POINT('',(38.3429,65.5555,-0.035)); #570465=CARTESIAN_POINT('',(38.3429,65.5555,0.)); #570466=CARTESIAN_POINT('',(38.3429,65.5555,0.)); #570467=CARTESIAN_POINT('',(38.3429,65.5555,-0.035)); #570468=CARTESIAN_POINT('Origin',(38.3429,66.4445,-0.035)); #570469=CARTESIAN_POINT('',(38.3429,66.4445,-0.035)); #570470=CARTESIAN_POINT('',(38.3429,66.4445,-0.035)); #570471=CARTESIAN_POINT('',(38.3429,66.4445,0.)); #570472=CARTESIAN_POINT('',(38.3429,66.4445,0.)); #570473=CARTESIAN_POINT('',(38.3429,66.4445,-0.035)); #570474=CARTESIAN_POINT('Origin',(39.1811,66.4445,-0.035)); #570475=CARTESIAN_POINT('',(39.1811,66.4445,-0.035)); #570476=CARTESIAN_POINT('',(39.1811,66.4445,0.)); #570477=CARTESIAN_POINT('Origin',(38.762,66.,0.)); #570478=CARTESIAN_POINT('Origin',(38.762,66.,-0.035)); #570479=CARTESIAN_POINT('Origin',(26.6571,18.5555,-0.035)); #570480=CARTESIAN_POINT('',(26.6571,18.5555,-0.035)); #570481=CARTESIAN_POINT('',(26.6571,19.4445,-0.035)); #570482=CARTESIAN_POINT('',(26.6571,18.5555,-0.035)); #570483=CARTESIAN_POINT('',(26.6571,19.4445,0.)); #570484=CARTESIAN_POINT('',(26.6571,19.4445,-0.035)); #570485=CARTESIAN_POINT('',(26.6571,18.5555,0.)); #570486=CARTESIAN_POINT('',(26.6571,18.5555,0.)); #570487=CARTESIAN_POINT('',(26.6571,18.5555,-0.035)); #570488=CARTESIAN_POINT('Origin',(25.8189,18.5555,-0.035)); #570489=CARTESIAN_POINT('',(25.8189,18.5555,-0.035)); #570490=CARTESIAN_POINT('',(25.8189,18.5555,-0.035)); #570491=CARTESIAN_POINT('',(25.8189,18.5555,0.)); #570492=CARTESIAN_POINT('',(25.8189,18.5555,0.)); #570493=CARTESIAN_POINT('',(25.8189,18.5555,-0.035)); #570494=CARTESIAN_POINT('Origin',(25.8189,19.4445,-0.035)); #570495=CARTESIAN_POINT('',(25.8189,19.4445,-0.035)); #570496=CARTESIAN_POINT('',(25.8189,19.4445,-0.035)); #570497=CARTESIAN_POINT('',(25.8189,19.4445,0.)); #570498=CARTESIAN_POINT('',(25.8189,19.4445,0.)); #570499=CARTESIAN_POINT('',(25.8189,19.4445,-0.035)); #570500=CARTESIAN_POINT('Origin',(26.6571,19.4445,-0.035)); #570501=CARTESIAN_POINT('',(26.6571,19.4445,-0.035)); #570502=CARTESIAN_POINT('',(26.6571,19.4445,0.)); #570503=CARTESIAN_POINT('Origin',(26.238,19.,0.)); #570504=CARTESIAN_POINT('Origin',(26.238,19.,-0.035)); #570505=CARTESIAN_POINT('Origin',(28.1811,22.5555,-0.035)); #570506=CARTESIAN_POINT('',(28.1811,22.5555,-0.035)); #570507=CARTESIAN_POINT('',(28.1811,23.4445,-0.035)); #570508=CARTESIAN_POINT('',(28.1811,22.5555,-0.035)); #570509=CARTESIAN_POINT('',(28.1811,23.4445,0.)); #570510=CARTESIAN_POINT('',(28.1811,23.4445,-0.035)); #570511=CARTESIAN_POINT('',(28.1811,22.5555,0.)); #570512=CARTESIAN_POINT('',(28.1811,22.5555,0.)); #570513=CARTESIAN_POINT('',(28.1811,22.5555,-0.035)); #570514=CARTESIAN_POINT('Origin',(27.3429,22.5555,-0.035)); #570515=CARTESIAN_POINT('',(27.3429,22.5555,-0.035)); #570516=CARTESIAN_POINT('',(27.3429,22.5555,-0.035)); #570517=CARTESIAN_POINT('',(27.3429,22.5555,0.)); #570518=CARTESIAN_POINT('',(27.3429,22.5555,0.)); #570519=CARTESIAN_POINT('',(27.3429,22.5555,-0.035)); #570520=CARTESIAN_POINT('Origin',(27.3429,23.4445,-0.035)); #570521=CARTESIAN_POINT('',(27.3429,23.4445,-0.035)); #570522=CARTESIAN_POINT('',(27.3429,23.4445,-0.035)); #570523=CARTESIAN_POINT('',(27.3429,23.4445,0.)); #570524=CARTESIAN_POINT('',(27.3429,23.4445,0.)); #570525=CARTESIAN_POINT('',(27.3429,23.4445,-0.035)); #570526=CARTESIAN_POINT('Origin',(28.1811,23.4445,-0.035)); #570527=CARTESIAN_POINT('',(28.1811,23.4445,-0.035)); #570528=CARTESIAN_POINT('',(28.1811,23.4445,0.)); #570529=CARTESIAN_POINT('Origin',(27.762,23.,0.)); #570530=CARTESIAN_POINT('Origin',(27.762,23.,-0.035)); #570531=CARTESIAN_POINT('Origin',(47.6698,17.5585,-0.035)); #570532=CARTESIAN_POINT('',(47.6698,17.5585,-0.035)); #570533=CARTESIAN_POINT('',(47.6698,19.2095,-0.035)); #570534=CARTESIAN_POINT('',(47.6698,17.5585,-0.035)); #570535=CARTESIAN_POINT('',(47.6698,19.2095,0.)); #570536=CARTESIAN_POINT('',(47.6698,19.2095,-0.035)); #570537=CARTESIAN_POINT('',(47.6698,17.5585,0.)); #570538=CARTESIAN_POINT('',(47.6698,17.5585,0.)); #570539=CARTESIAN_POINT('',(47.6698,17.5585,-0.035)); #570540=CARTESIAN_POINT('Origin',(47.0602,17.5585,-0.035)); #570541=CARTESIAN_POINT('',(47.0602,17.5585,-0.035)); #570542=CARTESIAN_POINT('',(47.0602,17.5585,-0.035)); #570543=CARTESIAN_POINT('',(47.0602,17.5585,0.)); #570544=CARTESIAN_POINT('',(47.0602,17.5585,0.)); #570545=CARTESIAN_POINT('',(47.0602,17.5585,-0.035)); #570546=CARTESIAN_POINT('Origin',(47.0602,19.2095,-0.035)); #570547=CARTESIAN_POINT('',(47.0602,19.2095,-0.035)); #570548=CARTESIAN_POINT('',(47.0602,19.2095,-0.035)); #570549=CARTESIAN_POINT('',(47.0602,19.2095,0.)); #570550=CARTESIAN_POINT('',(47.0602,19.2095,0.)); #570551=CARTESIAN_POINT('',(47.0602,19.2095,-0.035)); #570552=CARTESIAN_POINT('Origin',(47.6698,19.2095,-0.035)); #570553=CARTESIAN_POINT('',(47.6698,19.2095,-0.035)); #570554=CARTESIAN_POINT('',(47.6698,19.2095,0.)); #570555=CARTESIAN_POINT('Origin',(47.365,18.384,0.)); #570556=CARTESIAN_POINT('Origin',(47.365,18.384,-0.035)); #570557=CARTESIAN_POINT('Origin',(40.6571,22.5555,-0.035)); #570558=CARTESIAN_POINT('',(40.6571,22.5555,-0.035)); #570559=CARTESIAN_POINT('',(40.6571,23.4445,-0.035)); #570560=CARTESIAN_POINT('',(40.6571,22.5555,-0.035)); #570561=CARTESIAN_POINT('',(40.6571,23.4445,0.)); #570562=CARTESIAN_POINT('',(40.6571,23.4445,-0.035)); #570563=CARTESIAN_POINT('',(40.6571,22.5555,0.)); #570564=CARTESIAN_POINT('',(40.6571,22.5555,0.)); #570565=CARTESIAN_POINT('',(40.6571,22.5555,-0.035)); #570566=CARTESIAN_POINT('Origin',(39.8189,22.5555,-0.035)); #570567=CARTESIAN_POINT('',(39.8189,22.5555,-0.035)); #570568=CARTESIAN_POINT('',(39.8189,22.5555,-0.035)); #570569=CARTESIAN_POINT('',(39.8189,22.5555,0.)); #570570=CARTESIAN_POINT('',(39.8189,22.5555,0.)); #570571=CARTESIAN_POINT('',(39.8189,22.5555,-0.035)); #570572=CARTESIAN_POINT('Origin',(39.8189,23.4445,-0.035)); #570573=CARTESIAN_POINT('',(39.8189,23.4445,-0.035)); #570574=CARTESIAN_POINT('',(39.8189,23.4445,-0.035)); #570575=CARTESIAN_POINT('',(39.8189,23.4445,0.)); #570576=CARTESIAN_POINT('',(39.8189,23.4445,0.)); #570577=CARTESIAN_POINT('',(39.8189,23.4445,-0.035)); #570578=CARTESIAN_POINT('Origin',(40.6571,23.4445,-0.035)); #570579=CARTESIAN_POINT('',(40.6571,23.4445,-0.035)); #570580=CARTESIAN_POINT('',(40.6571,23.4445,0.)); #570581=CARTESIAN_POINT('Origin',(40.238,23.,0.)); #570582=CARTESIAN_POINT('Origin',(40.238,23.,-0.035)); #570583=CARTESIAN_POINT('Origin',(49.6945,25.8189,-0.035)); #570584=CARTESIAN_POINT('',(49.6945,25.8189,-0.035)); #570585=CARTESIAN_POINT('',(49.6945,26.6571,-0.035)); #570586=CARTESIAN_POINT('',(49.6945,25.8189,-0.035)); #570587=CARTESIAN_POINT('',(49.6945,26.6571,0.)); #570588=CARTESIAN_POINT('',(49.6945,26.6571,-0.035)); #570589=CARTESIAN_POINT('',(49.6945,25.8189,0.)); #570590=CARTESIAN_POINT('',(49.6945,25.8189,0.)); #570591=CARTESIAN_POINT('',(49.6945,25.8189,-0.035)); #570592=CARTESIAN_POINT('Origin',(48.8055,25.8189,-0.035)); #570593=CARTESIAN_POINT('',(48.8055,25.8189,-0.035)); #570594=CARTESIAN_POINT('',(48.8055,25.8189,-0.035)); #570595=CARTESIAN_POINT('',(48.8055,25.8189,0.)); #570596=CARTESIAN_POINT('',(48.8055,25.8189,0.)); #570597=CARTESIAN_POINT('',(48.8055,25.8189,-0.035)); #570598=CARTESIAN_POINT('Origin',(48.8055,26.6571,-0.035)); #570599=CARTESIAN_POINT('',(48.8055,26.6571,-0.035)); #570600=CARTESIAN_POINT('',(48.8055,26.6571,-0.035)); #570601=CARTESIAN_POINT('',(48.8055,26.6571,0.)); #570602=CARTESIAN_POINT('',(48.8055,26.6571,0.)); #570603=CARTESIAN_POINT('',(48.8055,26.6571,-0.035)); #570604=CARTESIAN_POINT('Origin',(49.6945,26.6571,-0.035)); #570605=CARTESIAN_POINT('',(49.6945,26.6571,-0.035)); #570606=CARTESIAN_POINT('',(49.6945,26.6571,0.)); #570607=CARTESIAN_POINT('Origin',(49.25,26.238,0.)); #570608=CARTESIAN_POINT('Origin',(49.25,26.238,-0.035)); #570609=CARTESIAN_POINT('Origin',(48.9398,22.7905,-0.035)); #570610=CARTESIAN_POINT('',(48.9398,22.7905,-0.035)); #570611=CARTESIAN_POINT('',(48.9398,24.4415,-0.035)); #570612=CARTESIAN_POINT('',(48.9398,22.7905,-0.035)); #570613=CARTESIAN_POINT('',(48.9398,24.4415,0.)); #570614=CARTESIAN_POINT('',(48.9398,24.4415,-0.035)); #570615=CARTESIAN_POINT('',(48.9398,22.7905,0.)); #570616=CARTESIAN_POINT('',(48.9398,22.7905,0.)); #570617=CARTESIAN_POINT('',(48.9398,22.7905,-0.035)); #570618=CARTESIAN_POINT('Origin',(48.3302,22.7905,-0.035)); #570619=CARTESIAN_POINT('',(48.3302,22.7905,-0.035)); #570620=CARTESIAN_POINT('',(48.3302,22.7905,-0.035)); #570621=CARTESIAN_POINT('',(48.3302,22.7905,0.)); #570622=CARTESIAN_POINT('',(48.3302,22.7905,0.)); #570623=CARTESIAN_POINT('',(48.3302,22.7905,-0.035)); #570624=CARTESIAN_POINT('Origin',(48.3302,24.4415,-0.035)); #570625=CARTESIAN_POINT('',(48.3302,24.4415,-0.035)); #570626=CARTESIAN_POINT('',(48.3302,24.4415,-0.035)); #570627=CARTESIAN_POINT('',(48.3302,24.4415,0.)); #570628=CARTESIAN_POINT('',(48.3302,24.4415,0.)); #570629=CARTESIAN_POINT('',(48.3302,24.4415,-0.035)); #570630=CARTESIAN_POINT('Origin',(48.9398,24.4415,-0.035)); #570631=CARTESIAN_POINT('',(48.9398,24.4415,-0.035)); #570632=CARTESIAN_POINT('',(48.9398,24.4415,0.)); #570633=CARTESIAN_POINT('Origin',(48.635,23.616,0.)); #570634=CARTESIAN_POINT('Origin',(48.635,23.616,-0.035)); #570635=CARTESIAN_POINT('Origin',(47.1945,25.8189,-0.035)); #570636=CARTESIAN_POINT('',(47.1945,25.8189,-0.035)); #570637=CARTESIAN_POINT('',(47.1945,26.6571,-0.035)); #570638=CARTESIAN_POINT('',(47.1945,25.8189,-0.035)); #570639=CARTESIAN_POINT('',(47.1945,26.6571,0.)); #570640=CARTESIAN_POINT('',(47.1945,26.6571,-0.035)); #570641=CARTESIAN_POINT('',(47.1945,25.8189,0.)); #570642=CARTESIAN_POINT('',(47.1945,25.8189,0.)); #570643=CARTESIAN_POINT('',(47.1945,25.8189,-0.035)); #570644=CARTESIAN_POINT('Origin',(46.3055,25.8189,-0.035)); #570645=CARTESIAN_POINT('',(46.3055,25.8189,-0.035)); #570646=CARTESIAN_POINT('',(46.3055,25.8189,-0.035)); #570647=CARTESIAN_POINT('',(46.3055,25.8189,0.)); #570648=CARTESIAN_POINT('',(46.3055,25.8189,0.)); #570649=CARTESIAN_POINT('',(46.3055,25.8189,-0.035)); #570650=CARTESIAN_POINT('Origin',(46.3055,26.6571,-0.035)); #570651=CARTESIAN_POINT('',(46.3055,26.6571,-0.035)); #570652=CARTESIAN_POINT('',(46.3055,26.6571,-0.035)); #570653=CARTESIAN_POINT('',(46.3055,26.6571,0.)); #570654=CARTESIAN_POINT('',(46.3055,26.6571,0.)); #570655=CARTESIAN_POINT('',(46.3055,26.6571,-0.035)); #570656=CARTESIAN_POINT('Origin',(47.1945,26.6571,-0.035)); #570657=CARTESIAN_POINT('',(47.1945,26.6571,-0.035)); #570658=CARTESIAN_POINT('',(47.1945,26.6571,0.)); #570659=CARTESIAN_POINT('Origin',(46.75,26.238,0.)); #570660=CARTESIAN_POINT('Origin',(46.75,26.238,-0.035)); #570661=CARTESIAN_POINT('Origin',(47.6698,22.7905,-0.035)); #570662=CARTESIAN_POINT('',(47.6698,22.7905,-0.035)); #570663=CARTESIAN_POINT('',(47.6698,24.4415,-0.035)); #570664=CARTESIAN_POINT('',(47.6698,22.7905,-0.035)); #570665=CARTESIAN_POINT('',(47.6698,24.4415,0.)); #570666=CARTESIAN_POINT('',(47.6698,24.4415,-0.035)); #570667=CARTESIAN_POINT('',(47.6698,22.7905,0.)); #570668=CARTESIAN_POINT('',(47.6698,22.7905,0.)); #570669=CARTESIAN_POINT('',(47.6698,22.7905,-0.035)); #570670=CARTESIAN_POINT('Origin',(47.0602,22.7905,-0.035)); #570671=CARTESIAN_POINT('',(47.0602,22.7905,-0.035)); #570672=CARTESIAN_POINT('',(47.0602,22.7905,-0.035)); #570673=CARTESIAN_POINT('',(47.0602,22.7905,0.)); #570674=CARTESIAN_POINT('',(47.0602,22.7905,0.)); #570675=CARTESIAN_POINT('',(47.0602,22.7905,-0.035)); #570676=CARTESIAN_POINT('Origin',(47.0602,24.4415,-0.035)); #570677=CARTESIAN_POINT('',(47.0602,24.4415,-0.035)); #570678=CARTESIAN_POINT('',(47.0602,24.4415,-0.035)); #570679=CARTESIAN_POINT('',(47.0602,24.4415,0.)); #570680=CARTESIAN_POINT('',(47.0602,24.4415,0.)); #570681=CARTESIAN_POINT('',(47.0602,24.4415,-0.035)); #570682=CARTESIAN_POINT('Origin',(47.6698,24.4415,-0.035)); #570683=CARTESIAN_POINT('',(47.6698,24.4415,-0.035)); #570684=CARTESIAN_POINT('',(47.6698,24.4415,0.)); #570685=CARTESIAN_POINT('Origin',(47.365,23.616,0.)); #570686=CARTESIAN_POINT('Origin',(47.365,23.616,-0.035)); #570687=CARTESIAN_POINT('Origin',(49.6945,27.3429,-0.035)); #570688=CARTESIAN_POINT('',(49.6945,27.3429,-0.035)); #570689=CARTESIAN_POINT('',(49.6945,28.1811,-0.035)); #570690=CARTESIAN_POINT('',(49.6945,27.3429,-0.035)); #570691=CARTESIAN_POINT('',(49.6945,28.1811,0.)); #570692=CARTESIAN_POINT('',(49.6945,28.1811,-0.035)); #570693=CARTESIAN_POINT('',(49.6945,27.3429,0.)); #570694=CARTESIAN_POINT('',(49.6945,27.3429,0.)); #570695=CARTESIAN_POINT('',(49.6945,27.3429,-0.035)); #570696=CARTESIAN_POINT('Origin',(48.8055,27.3429,-0.035)); #570697=CARTESIAN_POINT('',(48.8055,27.3429,-0.035)); #570698=CARTESIAN_POINT('',(48.8055,27.3429,-0.035)); #570699=CARTESIAN_POINT('',(48.8055,27.3429,0.)); #570700=CARTESIAN_POINT('',(48.8055,27.3429,0.)); #570701=CARTESIAN_POINT('',(48.8055,27.3429,-0.035)); #570702=CARTESIAN_POINT('Origin',(48.8055,28.1811,-0.035)); #570703=CARTESIAN_POINT('',(48.8055,28.1811,-0.035)); #570704=CARTESIAN_POINT('',(48.8055,28.1811,-0.035)); #570705=CARTESIAN_POINT('',(48.8055,28.1811,0.)); #570706=CARTESIAN_POINT('',(48.8055,28.1811,0.)); #570707=CARTESIAN_POINT('',(48.8055,28.1811,-0.035)); #570708=CARTESIAN_POINT('Origin',(49.6945,28.1811,-0.035)); #570709=CARTESIAN_POINT('',(49.6945,28.1811,-0.035)); #570710=CARTESIAN_POINT('',(49.6945,28.1811,0.)); #570711=CARTESIAN_POINT('Origin',(49.25,27.762,0.)); #570712=CARTESIAN_POINT('Origin',(49.25,27.762,-0.035)); #570713=CARTESIAN_POINT('Origin',(47.1945,27.3429,-0.035)); #570714=CARTESIAN_POINT('',(47.1945,27.3429,-0.035)); #570715=CARTESIAN_POINT('',(47.1945,28.1811,-0.035)); #570716=CARTESIAN_POINT('',(47.1945,27.3429,-0.035)); #570717=CARTESIAN_POINT('',(47.1945,28.1811,0.)); #570718=CARTESIAN_POINT('',(47.1945,28.1811,-0.035)); #570719=CARTESIAN_POINT('',(47.1945,27.3429,0.)); #570720=CARTESIAN_POINT('',(47.1945,27.3429,0.)); #570721=CARTESIAN_POINT('',(47.1945,27.3429,-0.035)); #570722=CARTESIAN_POINT('Origin',(46.3055,27.3429,-0.035)); #570723=CARTESIAN_POINT('',(46.3055,27.3429,-0.035)); #570724=CARTESIAN_POINT('',(46.3055,27.3429,-0.035)); #570725=CARTESIAN_POINT('',(46.3055,27.3429,0.)); #570726=CARTESIAN_POINT('',(46.3055,27.3429,0.)); #570727=CARTESIAN_POINT('',(46.3055,27.3429,-0.035)); #570728=CARTESIAN_POINT('Origin',(46.3055,28.1811,-0.035)); #570729=CARTESIAN_POINT('',(46.3055,28.1811,-0.035)); #570730=CARTESIAN_POINT('',(46.3055,28.1811,-0.035)); #570731=CARTESIAN_POINT('',(46.3055,28.1811,0.)); #570732=CARTESIAN_POINT('',(46.3055,28.1811,0.)); #570733=CARTESIAN_POINT('',(46.3055,28.1811,-0.035)); #570734=CARTESIAN_POINT('Origin',(47.1945,28.1811,-0.035)); #570735=CARTESIAN_POINT('',(47.1945,28.1811,-0.035)); #570736=CARTESIAN_POINT('',(47.1945,28.1811,0.)); #570737=CARTESIAN_POINT('Origin',(46.75,27.762,0.)); #570738=CARTESIAN_POINT('Origin',(46.75,27.762,-0.035)); #570739=CARTESIAN_POINT('Origin',(53.3142,24.6665,-0.035)); #570740=CARTESIAN_POINT('',(53.3142,24.6665,-0.035)); #570741=CARTESIAN_POINT('',(53.3142,27.3335,-0.035)); #570742=CARTESIAN_POINT('',(53.3142,24.6665,-0.035)); #570743=CARTESIAN_POINT('',(53.3142,27.3335,0.)); #570744=CARTESIAN_POINT('',(53.3142,27.3335,-0.035)); #570745=CARTESIAN_POINT('',(53.3142,24.6665,0.)); #570746=CARTESIAN_POINT('',(53.3142,24.6665,0.)); #570747=CARTESIAN_POINT('',(53.3142,24.6665,-0.035)); #570748=CARTESIAN_POINT('Origin',(51.6378,24.6665,-0.035)); #570749=CARTESIAN_POINT('',(51.6378,24.6665,-0.035)); #570750=CARTESIAN_POINT('',(51.6378,24.6665,-0.035)); #570751=CARTESIAN_POINT('',(51.6378,24.6665,0.)); #570752=CARTESIAN_POINT('',(51.6378,24.6665,0.)); #570753=CARTESIAN_POINT('',(51.6378,24.6665,-0.035)); #570754=CARTESIAN_POINT('Origin',(51.6378,27.3335,-0.035)); #570755=CARTESIAN_POINT('',(51.6378,27.3335,-0.035)); #570756=CARTESIAN_POINT('',(51.6378,27.3335,-0.035)); #570757=CARTESIAN_POINT('',(51.6378,27.3335,0.)); #570758=CARTESIAN_POINT('',(51.6378,27.3335,0.)); #570759=CARTESIAN_POINT('',(51.6378,27.3335,-0.035)); #570760=CARTESIAN_POINT('Origin',(53.3142,27.3335,-0.035)); #570761=CARTESIAN_POINT('',(53.3142,27.3335,-0.035)); #570762=CARTESIAN_POINT('',(53.3142,27.3335,0.)); #570763=CARTESIAN_POINT('Origin',(52.476,26.,0.)); #570764=CARTESIAN_POINT('Origin',(52.476,26.,-0.035)); #570765=CARTESIAN_POINT('Origin',(45.125,47.75,-200.)); #570766=CARTESIAN_POINT('',(44.985,47.75,-0.0349999999999895)); #570767=CARTESIAN_POINT('Origin',(45.125,47.75,-0.0349999999999895)); #570768=CARTESIAN_POINT('',(44.985,47.75,0.)); #570769=CARTESIAN_POINT('',(44.985,47.75,-200.)); #570770=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #570771=CARTESIAN_POINT('Origin',(46.,47.,-200.)); #570772=CARTESIAN_POINT('',(45.86,47.,-0.0349999999999895)); #570773=CARTESIAN_POINT('Origin',(46.,47.,-0.0349999999999895)); #570774=CARTESIAN_POINT('',(45.86,47.,0.)); #570775=CARTESIAN_POINT('',(45.86,47.,-200.)); #570776=CARTESIAN_POINT('Origin',(46.,47.,0.)); #570777=CARTESIAN_POINT('Origin',(46.,47.75,-200.)); #570778=CARTESIAN_POINT('',(45.86,47.75,-0.0349999999999895)); #570779=CARTESIAN_POINT('Origin',(46.,47.75,-0.0349999999999895)); #570780=CARTESIAN_POINT('',(45.86,47.75,0.)); #570781=CARTESIAN_POINT('',(45.86,47.75,-200.)); #570782=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #570783=CARTESIAN_POINT('Origin',(47.75,47.75,-200.)); #570784=CARTESIAN_POINT('',(47.61,47.75,-0.0349999999999895)); #570785=CARTESIAN_POINT('Origin',(47.75,47.75,-0.0349999999999895)); #570786=CARTESIAN_POINT('',(47.61,47.75,0.)); #570787=CARTESIAN_POINT('',(47.61,47.75,-200.)); #570788=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #570789=CARTESIAN_POINT('Origin',(47.75,46.25,-200.)); #570790=CARTESIAN_POINT('',(47.61,46.25,-0.0349999999999895)); #570791=CARTESIAN_POINT('Origin',(47.75,46.25,-0.0349999999999895)); #570792=CARTESIAN_POINT('',(47.61,46.25,0.)); #570793=CARTESIAN_POINT('',(47.61,46.25,-200.)); #570794=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #570795=CARTESIAN_POINT('Origin',(46.,46.25,-200.)); #570796=CARTESIAN_POINT('',(45.86,46.25,-0.0349999999999895)); #570797=CARTESIAN_POINT('Origin',(46.,46.25,-0.0349999999999895)); #570798=CARTESIAN_POINT('',(45.86,46.25,0.)); #570799=CARTESIAN_POINT('',(45.86,46.25,-200.)); #570800=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #570801=CARTESIAN_POINT('Origin',(47.75,47.,-200.)); #570802=CARTESIAN_POINT('',(47.61,47.,-0.0349999999999895)); #570803=CARTESIAN_POINT('Origin',(47.75,47.,-0.0349999999999895)); #570804=CARTESIAN_POINT('',(47.61,47.,0.)); #570805=CARTESIAN_POINT('',(47.61,47.,-200.)); #570806=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #570807=CARTESIAN_POINT('Origin',(44.25,47.,-200.)); #570808=CARTESIAN_POINT('',(44.11,47.,-0.0349999999999895)); #570809=CARTESIAN_POINT('Origin',(44.25,47.,-0.0349999999999895)); #570810=CARTESIAN_POINT('',(44.11,47.,0.)); #570811=CARTESIAN_POINT('',(44.11,47.,-200.)); #570812=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #570813=CARTESIAN_POINT('Origin',(44.25,47.75,-200.)); #570814=CARTESIAN_POINT('',(44.11,47.75,-0.0349999999999895)); #570815=CARTESIAN_POINT('Origin',(44.25,47.75,-0.0349999999999895)); #570816=CARTESIAN_POINT('',(44.11,47.75,0.)); #570817=CARTESIAN_POINT('',(44.11,47.75,-200.)); #570818=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #570819=CARTESIAN_POINT('Origin',(44.25,46.25,-200.)); #570820=CARTESIAN_POINT('',(44.11,46.25,-0.0349999999999895)); #570821=CARTESIAN_POINT('Origin',(44.25,46.25,-0.0349999999999895)); #570822=CARTESIAN_POINT('',(44.11,46.25,0.)); #570823=CARTESIAN_POINT('',(44.11,46.25,-200.)); #570824=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #570825=CARTESIAN_POINT('Origin',(46.875,47.75,-200.)); #570826=CARTESIAN_POINT('',(46.735,47.75,-0.0349999999999895)); #570827=CARTESIAN_POINT('Origin',(46.875,47.75,-0.0349999999999895)); #570828=CARTESIAN_POINT('',(46.735,47.75,0.)); #570829=CARTESIAN_POINT('',(46.735,47.75,-200.)); #570830=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #570831=CARTESIAN_POINT('Origin',(45.125,46.25,-200.)); #570832=CARTESIAN_POINT('',(44.985,46.25,-0.0349999999999895)); #570833=CARTESIAN_POINT('Origin',(45.125,46.25,-0.0349999999999895)); #570834=CARTESIAN_POINT('',(44.985,46.25,0.)); #570835=CARTESIAN_POINT('',(44.985,46.25,-200.)); #570836=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #570837=CARTESIAN_POINT('Origin',(45.125,47.,-200.)); #570838=CARTESIAN_POINT('',(44.985,47.,-0.0349999999999895)); #570839=CARTESIAN_POINT('Origin',(45.125,47.,-0.0349999999999895)); #570840=CARTESIAN_POINT('',(44.985,47.,0.)); #570841=CARTESIAN_POINT('',(44.985,47.,-200.)); #570842=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #570843=CARTESIAN_POINT('Origin',(46.875,46.25,-200.)); #570844=CARTESIAN_POINT('',(46.735,46.25,-0.0349999999999895)); #570845=CARTESIAN_POINT('Origin',(46.875,46.25,-0.0349999999999895)); #570846=CARTESIAN_POINT('',(46.735,46.25,0.)); #570847=CARTESIAN_POINT('',(46.735,46.25,-200.)); #570848=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #570849=CARTESIAN_POINT('Origin',(46.875,47.,-200.)); #570850=CARTESIAN_POINT('',(46.735,47.,-0.0349999999999895)); #570851=CARTESIAN_POINT('Origin',(46.875,47.,-0.0349999999999895)); #570852=CARTESIAN_POINT('',(46.735,47.,0.)); #570853=CARTESIAN_POINT('',(46.735,47.,-200.)); #570854=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #570855=CARTESIAN_POINT('Origin',(48.205,45.75,-0.035)); #570856=CARTESIAN_POINT('',(48.205,45.75,-0.035)); #570857=CARTESIAN_POINT('',(48.205,48.25,-0.035)); #570858=CARTESIAN_POINT('',(48.205,45.75,-0.035)); #570859=CARTESIAN_POINT('',(48.205,48.25,0.)); #570860=CARTESIAN_POINT('',(48.205,48.25,-0.035)); #570861=CARTESIAN_POINT('',(48.205,45.75,0.)); #570862=CARTESIAN_POINT('',(48.205,45.75,0.)); #570863=CARTESIAN_POINT('',(48.205,45.75,-0.035)); #570864=CARTESIAN_POINT('Origin',(43.795,45.75,-0.035)); #570865=CARTESIAN_POINT('',(43.795,45.75,-0.035)); #570866=CARTESIAN_POINT('',(43.795,45.75,-0.035)); #570867=CARTESIAN_POINT('',(43.795,45.75,0.)); #570868=CARTESIAN_POINT('',(43.795,45.75,0.)); #570869=CARTESIAN_POINT('',(43.795,45.75,-0.035)); #570870=CARTESIAN_POINT('Origin',(43.795,48.25,-0.035)); #570871=CARTESIAN_POINT('',(43.795,48.25,-0.035)); #570872=CARTESIAN_POINT('',(43.795,48.25,-0.035)); #570873=CARTESIAN_POINT('',(43.795,48.25,0.)); #570874=CARTESIAN_POINT('',(43.795,48.25,0.)); #570875=CARTESIAN_POINT('',(43.795,48.25,-0.035)); #570876=CARTESIAN_POINT('Origin',(48.205,48.25,-0.035)); #570877=CARTESIAN_POINT('',(48.205,48.25,-0.035)); #570878=CARTESIAN_POINT('',(48.205,48.25,0.)); #570879=CARTESIAN_POINT('Origin',(46.,47.,0.)); #570880=CARTESIAN_POINT('Origin',(46.,47.,-0.035)); #570881=CARTESIAN_POINT('Origin',(48.205,43.65,-0.035)); #570882=CARTESIAN_POINT('',(48.205,43.65,-0.035)); #570883=CARTESIAN_POINT('',(48.205,44.85,-0.035)); #570884=CARTESIAN_POINT('',(48.205,43.65,-0.035)); #570885=CARTESIAN_POINT('',(48.205,44.85,0.)); #570886=CARTESIAN_POINT('',(48.205,44.85,-0.035)); #570887=CARTESIAN_POINT('',(48.205,43.65,0.)); #570888=CARTESIAN_POINT('',(48.205,43.65,0.)); #570889=CARTESIAN_POINT('',(48.205,43.65,-0.035)); #570890=CARTESIAN_POINT('Origin',(47.605,43.65,-0.035)); #570891=CARTESIAN_POINT('',(47.605,43.65,-0.035)); #570892=CARTESIAN_POINT('',(47.605,43.65,-0.035)); #570893=CARTESIAN_POINT('',(47.605,43.65,0.)); #570894=CARTESIAN_POINT('',(47.605,43.65,0.)); #570895=CARTESIAN_POINT('',(47.605,43.65,-0.035)); #570896=CARTESIAN_POINT('Origin',(47.605,44.85,-0.035)); #570897=CARTESIAN_POINT('',(47.605,44.85,-0.035)); #570898=CARTESIAN_POINT('',(47.605,44.85,-0.035)); #570899=CARTESIAN_POINT('',(47.605,44.85,0.)); #570900=CARTESIAN_POINT('',(47.605,44.85,0.)); #570901=CARTESIAN_POINT('',(47.605,44.85,-0.035)); #570902=CARTESIAN_POINT('Origin',(48.205,44.85,-0.035)); #570903=CARTESIAN_POINT('',(48.205,44.85,-0.035)); #570904=CARTESIAN_POINT('',(48.205,44.85,0.)); #570905=CARTESIAN_POINT('Origin',(47.905,44.25,0.)); #570906=CARTESIAN_POINT('Origin',(47.905,44.25,-0.035)); #570907=CARTESIAN_POINT('Origin',(44.395,43.65,-0.035)); #570908=CARTESIAN_POINT('',(44.395,43.65,-0.035)); #570909=CARTESIAN_POINT('',(44.395,44.85,-0.035)); #570910=CARTESIAN_POINT('',(44.395,43.65,-0.035)); #570911=CARTESIAN_POINT('',(44.395,44.85,0.)); #570912=CARTESIAN_POINT('',(44.395,44.85,-0.035)); #570913=CARTESIAN_POINT('',(44.395,43.65,0.)); #570914=CARTESIAN_POINT('',(44.395,43.65,0.)); #570915=CARTESIAN_POINT('',(44.395,43.65,-0.035)); #570916=CARTESIAN_POINT('Origin',(43.795,43.65,-0.035)); #570917=CARTESIAN_POINT('',(43.795,43.65,-0.035)); #570918=CARTESIAN_POINT('',(43.795,43.65,-0.035)); #570919=CARTESIAN_POINT('',(43.795,43.65,0.)); #570920=CARTESIAN_POINT('',(43.795,43.65,0.)); #570921=CARTESIAN_POINT('',(43.795,43.65,-0.035)); #570922=CARTESIAN_POINT('Origin',(43.795,44.85,-0.035)); #570923=CARTESIAN_POINT('',(43.795,44.85,-0.035)); #570924=CARTESIAN_POINT('',(43.795,44.85,-0.035)); #570925=CARTESIAN_POINT('',(43.795,44.85,0.)); #570926=CARTESIAN_POINT('',(43.795,44.85,0.)); #570927=CARTESIAN_POINT('',(43.795,44.85,-0.035)); #570928=CARTESIAN_POINT('Origin',(44.395,44.85,-0.035)); #570929=CARTESIAN_POINT('',(44.395,44.85,-0.035)); #570930=CARTESIAN_POINT('',(44.395,44.85,0.)); #570931=CARTESIAN_POINT('Origin',(44.095,44.25,0.)); #570932=CARTESIAN_POINT('Origin',(44.095,44.25,-0.035)); #570933=CARTESIAN_POINT('Origin',(46.935,43.65,-0.035)); #570934=CARTESIAN_POINT('',(46.935,43.65,-0.035)); #570935=CARTESIAN_POINT('',(46.935,44.85,-0.035)); #570936=CARTESIAN_POINT('',(46.935,43.65,-0.035)); #570937=CARTESIAN_POINT('',(46.935,44.85,0.)); #570938=CARTESIAN_POINT('',(46.935,44.85,-0.035)); #570939=CARTESIAN_POINT('',(46.935,43.65,0.)); #570940=CARTESIAN_POINT('',(46.935,43.65,0.)); #570941=CARTESIAN_POINT('',(46.935,43.65,-0.035)); #570942=CARTESIAN_POINT('Origin',(46.335,43.65,-0.035)); #570943=CARTESIAN_POINT('',(46.335,43.65,-0.035)); #570944=CARTESIAN_POINT('',(46.335,43.65,-0.035)); #570945=CARTESIAN_POINT('',(46.335,43.65,0.)); #570946=CARTESIAN_POINT('',(46.335,43.65,0.)); #570947=CARTESIAN_POINT('',(46.335,43.65,-0.035)); #570948=CARTESIAN_POINT('Origin',(46.335,44.85,-0.035)); #570949=CARTESIAN_POINT('',(46.335,44.85,-0.035)); #570950=CARTESIAN_POINT('',(46.335,44.85,-0.035)); #570951=CARTESIAN_POINT('',(46.335,44.85,0.)); #570952=CARTESIAN_POINT('',(46.335,44.85,0.)); #570953=CARTESIAN_POINT('',(46.335,44.85,-0.035)); #570954=CARTESIAN_POINT('Origin',(46.935,44.85,-0.035)); #570955=CARTESIAN_POINT('',(46.935,44.85,-0.035)); #570956=CARTESIAN_POINT('',(46.935,44.85,0.)); #570957=CARTESIAN_POINT('Origin',(46.635,44.25,0.)); #570958=CARTESIAN_POINT('Origin',(46.635,44.25,-0.035)); #570959=CARTESIAN_POINT('Origin',(53.6571,44.5555,-0.035)); #570960=CARTESIAN_POINT('',(53.6571,44.5555,-0.035)); #570961=CARTESIAN_POINT('',(53.6571,45.4445,-0.035)); #570962=CARTESIAN_POINT('',(53.6571,44.5555,-0.035)); #570963=CARTESIAN_POINT('',(53.6571,45.4445,0.)); #570964=CARTESIAN_POINT('',(53.6571,45.4445,-0.035)); #570965=CARTESIAN_POINT('',(53.6571,44.5555,0.)); #570966=CARTESIAN_POINT('',(53.6571,44.5555,0.)); #570967=CARTESIAN_POINT('',(53.6571,44.5555,-0.035)); #570968=CARTESIAN_POINT('Origin',(52.8189,44.5555,-0.035)); #570969=CARTESIAN_POINT('',(52.8189,44.5555,-0.035)); #570970=CARTESIAN_POINT('',(52.8189,44.5555,-0.035)); #570971=CARTESIAN_POINT('',(52.8189,44.5555,0.)); #570972=CARTESIAN_POINT('',(52.8189,44.5555,0.)); #570973=CARTESIAN_POINT('',(52.8189,44.5555,-0.035)); #570974=CARTESIAN_POINT('Origin',(52.8189,45.4445,-0.035)); #570975=CARTESIAN_POINT('',(52.8189,45.4445,-0.035)); #570976=CARTESIAN_POINT('',(52.8189,45.4445,-0.035)); #570977=CARTESIAN_POINT('',(52.8189,45.4445,0.)); #570978=CARTESIAN_POINT('',(52.8189,45.4445,0.)); #570979=CARTESIAN_POINT('',(52.8189,45.4445,-0.035)); #570980=CARTESIAN_POINT('Origin',(53.6571,45.4445,-0.035)); #570981=CARTESIAN_POINT('',(53.6571,45.4445,-0.035)); #570982=CARTESIAN_POINT('',(53.6571,45.4445,0.)); #570983=CARTESIAN_POINT('Origin',(53.238,45.,0.)); #570984=CARTESIAN_POINT('Origin',(53.238,45.,-0.035)); #570985=CARTESIAN_POINT('Origin',(53.6571,41.5555,-0.035)); #570986=CARTESIAN_POINT('',(53.6571,41.5555,-0.035)); #570987=CARTESIAN_POINT('',(53.6571,42.4445,-0.035)); #570988=CARTESIAN_POINT('',(53.6571,41.5555,-0.035)); #570989=CARTESIAN_POINT('',(53.6571,42.4445,0.)); #570990=CARTESIAN_POINT('',(53.6571,42.4445,-0.035)); #570991=CARTESIAN_POINT('',(53.6571,41.5555,0.)); #570992=CARTESIAN_POINT('',(53.6571,41.5555,0.)); #570993=CARTESIAN_POINT('',(53.6571,41.5555,-0.035)); #570994=CARTESIAN_POINT('Origin',(52.8189,41.5555,-0.035)); #570995=CARTESIAN_POINT('',(52.8189,41.5555,-0.035)); #570996=CARTESIAN_POINT('',(52.8189,41.5555,-0.035)); #570997=CARTESIAN_POINT('',(52.8189,41.5555,0.)); #570998=CARTESIAN_POINT('',(52.8189,41.5555,0.)); #570999=CARTESIAN_POINT('',(52.8189,41.5555,-0.035)); #571000=CARTESIAN_POINT('Origin',(52.8189,42.4445,-0.035)); #571001=CARTESIAN_POINT('',(52.8189,42.4445,-0.035)); #571002=CARTESIAN_POINT('',(52.8189,42.4445,-0.035)); #571003=CARTESIAN_POINT('',(52.8189,42.4445,0.)); #571004=CARTESIAN_POINT('',(52.8189,42.4445,0.)); #571005=CARTESIAN_POINT('',(52.8189,42.4445,-0.035)); #571006=CARTESIAN_POINT('Origin',(53.6571,42.4445,-0.035)); #571007=CARTESIAN_POINT('',(53.6571,42.4445,-0.035)); #571008=CARTESIAN_POINT('',(53.6571,42.4445,0.)); #571009=CARTESIAN_POINT('Origin',(53.238,42.,0.)); #571010=CARTESIAN_POINT('Origin',(53.238,42.,-0.035)); #571011=CARTESIAN_POINT('Origin',(45.665,43.65,-0.035)); #571012=CARTESIAN_POINT('',(45.665,43.65,-0.035)); #571013=CARTESIAN_POINT('',(45.665,44.85,-0.035)); #571014=CARTESIAN_POINT('',(45.665,43.65,-0.035)); #571015=CARTESIAN_POINT('',(45.665,44.85,0.)); #571016=CARTESIAN_POINT('',(45.665,44.85,-0.035)); #571017=CARTESIAN_POINT('',(45.665,43.65,0.)); #571018=CARTESIAN_POINT('',(45.665,43.65,0.)); #571019=CARTESIAN_POINT('',(45.665,43.65,-0.035)); #571020=CARTESIAN_POINT('Origin',(45.065,43.65,-0.035)); #571021=CARTESIAN_POINT('',(45.065,43.65,-0.035)); #571022=CARTESIAN_POINT('',(45.065,43.65,-0.035)); #571023=CARTESIAN_POINT('',(45.065,43.65,0.)); #571024=CARTESIAN_POINT('',(45.065,43.65,0.)); #571025=CARTESIAN_POINT('',(45.065,43.65,-0.035)); #571026=CARTESIAN_POINT('Origin',(45.065,44.85,-0.035)); #571027=CARTESIAN_POINT('',(45.065,44.85,-0.035)); #571028=CARTESIAN_POINT('',(45.065,44.85,-0.035)); #571029=CARTESIAN_POINT('',(45.065,44.85,0.)); #571030=CARTESIAN_POINT('',(45.065,44.85,0.)); #571031=CARTESIAN_POINT('',(45.065,44.85,-0.035)); #571032=CARTESIAN_POINT('Origin',(45.665,44.85,-0.035)); #571033=CARTESIAN_POINT('',(45.665,44.85,-0.035)); #571034=CARTESIAN_POINT('',(45.665,44.85,0.)); #571035=CARTESIAN_POINT('Origin',(45.365,44.25,0.)); #571036=CARTESIAN_POINT('Origin',(45.365,44.25,-0.035)); #571037=CARTESIAN_POINT('Origin',(47.905,58.75,-200.)); #571038=CARTESIAN_POINT('',(47.765,58.75,-0.0349999999999895)); #571039=CARTESIAN_POINT('Origin',(47.905,58.75,-0.0349999999999895)); #571040=CARTESIAN_POINT('',(47.765,58.75,0.)); #571041=CARTESIAN_POINT('',(47.765,58.75,-200.)); #571042=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #571043=CARTESIAN_POINT('Origin',(48.205,58.15,-0.035)); #571044=CARTESIAN_POINT('',(48.205,58.15,-0.035)); #571045=CARTESIAN_POINT('',(48.205,59.35,-0.035)); #571046=CARTESIAN_POINT('',(48.205,58.15,-0.035)); #571047=CARTESIAN_POINT('',(48.205,59.35,0.)); #571048=CARTESIAN_POINT('',(48.205,59.35,-0.035)); #571049=CARTESIAN_POINT('',(48.205,58.15,0.)); #571050=CARTESIAN_POINT('',(48.205,58.15,0.)); #571051=CARTESIAN_POINT('',(48.205,58.15,-0.035)); #571052=CARTESIAN_POINT('Origin',(47.605,58.15,-0.035)); #571053=CARTESIAN_POINT('',(47.605,58.15,-0.035)); #571054=CARTESIAN_POINT('',(47.605,58.15,-0.035)); #571055=CARTESIAN_POINT('',(47.605,58.15,0.)); #571056=CARTESIAN_POINT('',(47.605,58.15,0.)); #571057=CARTESIAN_POINT('',(47.605,58.15,-0.035)); #571058=CARTESIAN_POINT('Origin',(47.605,59.35,-0.035)); #571059=CARTESIAN_POINT('',(47.605,59.35,-0.035)); #571060=CARTESIAN_POINT('',(47.605,59.35,-0.035)); #571061=CARTESIAN_POINT('',(47.605,59.35,0.)); #571062=CARTESIAN_POINT('',(47.605,59.35,0.)); #571063=CARTESIAN_POINT('',(47.605,59.35,-0.035)); #571064=CARTESIAN_POINT('Origin',(48.205,59.35,-0.035)); #571065=CARTESIAN_POINT('',(48.205,59.35,-0.035)); #571066=CARTESIAN_POINT('',(48.205,59.35,0.)); #571067=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #571068=CARTESIAN_POINT('Origin',(47.905,58.75,-0.035)); #571069=CARTESIAN_POINT('Origin',(36.4,7.1,-200.)); #571070=CARTESIAN_POINT('',(36.285,7.1,-0.0349999999999895)); #571071=CARTESIAN_POINT('Origin',(36.4,7.1,-0.0349999999999895)); #571072=CARTESIAN_POINT('',(36.285,7.1,0.)); #571073=CARTESIAN_POINT('',(36.285,7.1,-200.)); #571074=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #571075=CARTESIAN_POINT('Origin',(36.4,7.6,-200.)); #571076=CARTESIAN_POINT('',(36.285,7.6,-0.0349999999999895)); #571077=CARTESIAN_POINT('Origin',(36.4,7.6,-0.0349999999999895)); #571078=CARTESIAN_POINT('',(36.285,7.6,0.)); #571079=CARTESIAN_POINT('',(36.285,7.6,-200.)); #571080=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #571081=CARTESIAN_POINT('Origin',(36.1,7.82,-0.035)); #571082=CARTESIAN_POINT('',(36.1,7.82,-0.035)); #571083=CARTESIAN_POINT('',(36.1,6.67,-0.035)); #571084=CARTESIAN_POINT('',(36.1,7.82,-0.035)); #571085=CARTESIAN_POINT('',(36.1,6.67,0.)); #571086=CARTESIAN_POINT('',(36.1,6.67,-0.035)); #571087=CARTESIAN_POINT('',(36.1,7.82,0.)); #571088=CARTESIAN_POINT('',(36.1,7.82,0.)); #571089=CARTESIAN_POINT('',(36.1,7.82,-0.035)); #571090=CARTESIAN_POINT('Origin',(36.7,7.82,-0.035)); #571091=CARTESIAN_POINT('',(36.7,7.82,-0.035)); #571092=CARTESIAN_POINT('',(36.7,7.82,-0.035)); #571093=CARTESIAN_POINT('',(36.7,7.82,0.)); #571094=CARTESIAN_POINT('',(36.7,7.82,0.)); #571095=CARTESIAN_POINT('',(36.7,7.82,-0.035)); #571096=CARTESIAN_POINT('Origin',(36.7,6.67,-0.035)); #571097=CARTESIAN_POINT('',(36.7,6.67,-0.035)); #571098=CARTESIAN_POINT('',(36.7,6.67,-0.035)); #571099=CARTESIAN_POINT('',(36.7,6.67,0.)); #571100=CARTESIAN_POINT('',(36.7,6.67,0.)); #571101=CARTESIAN_POINT('',(36.7,6.67,-0.035)); #571102=CARTESIAN_POINT('Origin',(36.1,6.67,-0.035)); #571103=CARTESIAN_POINT('',(36.1,6.67,-0.035)); #571104=CARTESIAN_POINT('',(36.1,6.67,0.)); #571105=CARTESIAN_POINT('Origin',(36.4,7.245,0.)); #571106=CARTESIAN_POINT('Origin',(36.4,7.245,-0.035)); #571107=CARTESIAN_POINT('Origin',(31.6,7.6,-200.)); #571108=CARTESIAN_POINT('',(31.485,7.6,-0.0349999999999895)); #571109=CARTESIAN_POINT('Origin',(31.6,7.6,-0.0349999999999895)); #571110=CARTESIAN_POINT('',(31.485,7.6,0.)); #571111=CARTESIAN_POINT('',(31.485,7.6,-200.)); #571112=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #571113=CARTESIAN_POINT('Origin',(31.6,7.1,-200.)); #571114=CARTESIAN_POINT('',(31.485,7.1,-0.0349999999999895)); #571115=CARTESIAN_POINT('Origin',(31.6,7.1,-0.0349999999999895)); #571116=CARTESIAN_POINT('',(31.485,7.1,0.)); #571117=CARTESIAN_POINT('',(31.485,7.1,-200.)); #571118=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #571119=CARTESIAN_POINT('Origin',(31.3,7.82,-0.035)); #571120=CARTESIAN_POINT('',(31.3,7.82,-0.035)); #571121=CARTESIAN_POINT('',(31.3,6.67,-0.035)); #571122=CARTESIAN_POINT('',(31.3,7.82,-0.035)); #571123=CARTESIAN_POINT('',(31.3,6.67,0.)); #571124=CARTESIAN_POINT('',(31.3,6.67,-0.035)); #571125=CARTESIAN_POINT('',(31.3,7.82,0.)); #571126=CARTESIAN_POINT('',(31.3,7.82,0.)); #571127=CARTESIAN_POINT('',(31.3,7.82,-0.035)); #571128=CARTESIAN_POINT('Origin',(31.9,7.82,-0.035)); #571129=CARTESIAN_POINT('',(31.9,7.82,-0.035)); #571130=CARTESIAN_POINT('',(31.9,7.82,-0.035)); #571131=CARTESIAN_POINT('',(31.9,7.82,0.)); #571132=CARTESIAN_POINT('',(31.9,7.82,0.)); #571133=CARTESIAN_POINT('',(31.9,7.82,-0.035)); #571134=CARTESIAN_POINT('Origin',(31.9,6.67,-0.035)); #571135=CARTESIAN_POINT('',(31.9,6.67,-0.035)); #571136=CARTESIAN_POINT('',(31.9,6.67,-0.035)); #571137=CARTESIAN_POINT('',(31.9,6.67,0.)); #571138=CARTESIAN_POINT('',(31.9,6.67,0.)); #571139=CARTESIAN_POINT('',(31.9,6.67,-0.035)); #571140=CARTESIAN_POINT('Origin',(31.3,6.67,-0.035)); #571141=CARTESIAN_POINT('',(31.3,6.67,-0.035)); #571142=CARTESIAN_POINT('',(31.3,6.67,0.)); #571143=CARTESIAN_POINT('Origin',(31.6,7.245,0.)); #571144=CARTESIAN_POINT('Origin',(31.6,7.245,-0.035)); #571145=CARTESIAN_POINT('Origin',(27.762,19.,-200.)); #571146=CARTESIAN_POINT('',(27.622,19.,-0.0349999999999895)); #571147=CARTESIAN_POINT('Origin',(27.762,19.,-0.0349999999999895)); #571148=CARTESIAN_POINT('',(27.622,19.,0.)); #571149=CARTESIAN_POINT('',(27.622,19.,-200.)); #571150=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #571151=CARTESIAN_POINT('Origin',(28.1811,18.5555,-0.035)); #571152=CARTESIAN_POINT('',(28.1811,18.5555,-0.035)); #571153=CARTESIAN_POINT('',(28.1811,19.4445,-0.035)); #571154=CARTESIAN_POINT('',(28.1811,18.5555,-0.035)); #571155=CARTESIAN_POINT('',(28.1811,19.4445,0.)); #571156=CARTESIAN_POINT('',(28.1811,19.4445,-0.035)); #571157=CARTESIAN_POINT('',(28.1811,18.5555,0.)); #571158=CARTESIAN_POINT('',(28.1811,18.5555,0.)); #571159=CARTESIAN_POINT('',(28.1811,18.5555,-0.035)); #571160=CARTESIAN_POINT('Origin',(27.3429,18.5555,-0.035)); #571161=CARTESIAN_POINT('',(27.3429,18.5555,-0.035)); #571162=CARTESIAN_POINT('',(27.3429,18.5555,-0.035)); #571163=CARTESIAN_POINT('',(27.3429,18.5555,0.)); #571164=CARTESIAN_POINT('',(27.3429,18.5555,0.)); #571165=CARTESIAN_POINT('',(27.3429,18.5555,-0.035)); #571166=CARTESIAN_POINT('Origin',(27.3429,19.4445,-0.035)); #571167=CARTESIAN_POINT('',(27.3429,19.4445,-0.035)); #571168=CARTESIAN_POINT('',(27.3429,19.4445,-0.035)); #571169=CARTESIAN_POINT('',(27.3429,19.4445,0.)); #571170=CARTESIAN_POINT('',(27.3429,19.4445,0.)); #571171=CARTESIAN_POINT('',(27.3429,19.4445,-0.035)); #571172=CARTESIAN_POINT('Origin',(28.1811,19.4445,-0.035)); #571173=CARTESIAN_POINT('',(28.1811,19.4445,-0.035)); #571174=CARTESIAN_POINT('',(28.1811,19.4445,0.)); #571175=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #571176=CARTESIAN_POINT('Origin',(27.762,19.,-0.035)); #571177=CARTESIAN_POINT('',(0.,0.,0.)); #571178=CARTESIAN_POINT('Origin',(31.11,6.18,-0.750499999999998)); #571179=CARTESIAN_POINT('',(30.785,6.18,0.0525)); #571180=CARTESIAN_POINT('Origin',(31.11,6.18,0.0525)); #571181=CARTESIAN_POINT('',(30.785,6.18,0.)); #571182=CARTESIAN_POINT('',(30.785,6.18,-0.750499999999998)); #571183=CARTESIAN_POINT('Origin',(31.11,6.18,0.)); #571184=CARTESIAN_POINT('Origin',(36.89,6.18,-0.750499999999998)); #571185=CARTESIAN_POINT('',(36.565,6.18,0.0525)); #571186=CARTESIAN_POINT('Origin',(36.89,6.18,0.0525)); #571187=CARTESIAN_POINT('',(36.565,6.18,0.)); #571188=CARTESIAN_POINT('',(36.565,6.18,-0.750499999999998)); #571189=CARTESIAN_POINT('Origin',(36.89,6.18,0.)); #571190=CARTESIAN_POINT('Origin',(30.19,45.,-200.)); #571191=CARTESIAN_POINT('',(29.59,45.,0.)); #571192=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #571193=CARTESIAN_POINT('',(29.59,45.,0.052500000000002)); #571194=CARTESIAN_POINT('',(29.59,45.,-200.)); #571195=CARTESIAN_POINT('Origin',(30.19,45.,0.052500000000002)); #571196=CARTESIAN_POINT('Origin',(45.125,47.75,-200.)); #571197=CARTESIAN_POINT('',(44.95,47.75,0.)); #571198=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #571199=CARTESIAN_POINT('',(44.95,47.75,0.052500000000002)); #571200=CARTESIAN_POINT('',(44.95,47.75,-200.)); #571201=CARTESIAN_POINT('Origin',(45.125,47.75,0.052500000000002)); #571202=CARTESIAN_POINT('Origin',(41.,25.25,-200.)); #571203=CARTESIAN_POINT('',(40.825,25.25,0.)); #571204=CARTESIAN_POINT('Origin',(41.,25.25,0.)); #571205=CARTESIAN_POINT('',(40.825,25.25,0.052500000000002)); #571206=CARTESIAN_POINT('',(40.825,25.25,-200.)); #571207=CARTESIAN_POINT('Origin',(41.,25.25,0.052500000000002)); #571208=CARTESIAN_POINT('Origin',(46.,47.,-200.)); #571209=CARTESIAN_POINT('',(45.825,47.,0.)); #571210=CARTESIAN_POINT('Origin',(46.,47.,0.)); #571211=CARTESIAN_POINT('',(45.825,47.,0.052500000000002)); #571212=CARTESIAN_POINT('',(45.825,47.,-200.)); #571213=CARTESIAN_POINT('Origin',(46.,47.,0.052500000000002)); #571214=CARTESIAN_POINT('Origin',(32.5,18.5,-200.)); #571215=CARTESIAN_POINT('',(32.325,18.5,0.)); #571216=CARTESIAN_POINT('Origin',(32.5,18.5,0.)); #571217=CARTESIAN_POINT('',(32.325,18.5,0.052500000000002)); #571218=CARTESIAN_POINT('',(32.325,18.5,-200.)); #571219=CARTESIAN_POINT('Origin',(32.5,18.5,0.052500000000002)); #571220=CARTESIAN_POINT('Origin',(46.,47.75,-200.)); #571221=CARTESIAN_POINT('',(45.825,47.75,0.)); #571222=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #571223=CARTESIAN_POINT('',(45.825,47.75,0.052500000000002)); #571224=CARTESIAN_POINT('',(45.825,47.75,-200.)); #571225=CARTESIAN_POINT('Origin',(46.,47.75,0.052500000000002)); #571226=CARTESIAN_POINT('Origin',(33.25,48.5,-200.)); #571227=CARTESIAN_POINT('',(33.075,48.5,0.)); #571228=CARTESIAN_POINT('Origin',(33.25,48.5,0.)); #571229=CARTESIAN_POINT('',(33.075,48.5,0.052500000000002)); #571230=CARTESIAN_POINT('',(33.075,48.5,-200.)); #571231=CARTESIAN_POINT('Origin',(33.25,48.5,0.052500000000002)); #571232=CARTESIAN_POINT('Origin',(47.75,47.75,-200.)); #571233=CARTESIAN_POINT('',(47.575,47.75,0.)); #571234=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #571235=CARTESIAN_POINT('',(47.575,47.75,0.052500000000002)); #571236=CARTESIAN_POINT('',(47.575,47.75,-200.)); #571237=CARTESIAN_POINT('Origin',(47.75,47.75,0.052500000000002)); #571238=CARTESIAN_POINT('Origin',(33.25,5.5,-200.)); #571239=CARTESIAN_POINT('',(33.075,5.5,0.)); #571240=CARTESIAN_POINT('Origin',(33.25,5.5,0.)); #571241=CARTESIAN_POINT('',(33.075,5.5,0.052500000000002)); #571242=CARTESIAN_POINT('',(33.075,5.5,-200.)); #571243=CARTESIAN_POINT('Origin',(33.25,5.5,0.052500000000002)); #571244=CARTESIAN_POINT('Origin',(47.75,46.25,-200.)); #571245=CARTESIAN_POINT('',(47.575,46.25,0.)); #571246=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #571247=CARTESIAN_POINT('',(47.575,46.25,0.052500000000002)); #571248=CARTESIAN_POINT('',(47.575,46.25,-200.)); #571249=CARTESIAN_POINT('Origin',(47.75,46.25,0.052500000000002)); #571250=CARTESIAN_POINT('Origin',(33.25,50.,-200.)); #571251=CARTESIAN_POINT('',(33.075,50.,0.)); #571252=CARTESIAN_POINT('Origin',(33.25,50.,0.)); #571253=CARTESIAN_POINT('',(33.075,50.,0.052500000000002)); #571254=CARTESIAN_POINT('',(33.075,50.,-200.)); #571255=CARTESIAN_POINT('Origin',(33.25,50.,0.052500000000002)); #571256=CARTESIAN_POINT('Origin',(46.,46.25,-200.)); #571257=CARTESIAN_POINT('',(45.825,46.25,0.)); #571258=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #571259=CARTESIAN_POINT('',(45.825,46.25,0.052500000000002)); #571260=CARTESIAN_POINT('',(45.825,46.25,-200.)); #571261=CARTESIAN_POINT('Origin',(46.,46.25,0.052500000000002)); #571262=CARTESIAN_POINT('Origin',(33.25,18.5,-200.)); #571263=CARTESIAN_POINT('',(33.075,18.5,0.)); #571264=CARTESIAN_POINT('Origin',(33.25,18.5,0.)); #571265=CARTESIAN_POINT('',(33.075,18.5,0.052500000000002)); #571266=CARTESIAN_POINT('',(33.075,18.5,-200.)); #571267=CARTESIAN_POINT('Origin',(33.25,18.5,0.052500000000002)); #571268=CARTESIAN_POINT('Origin',(47.75,47.,-200.)); #571269=CARTESIAN_POINT('',(47.575,47.,0.)); #571270=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #571271=CARTESIAN_POINT('',(47.575,47.,0.052500000000002)); #571272=CARTESIAN_POINT('',(47.575,47.,-200.)); #571273=CARTESIAN_POINT('Origin',(47.75,47.,0.052500000000002)); #571274=CARTESIAN_POINT('Origin',(33.25,49.25,-200.)); #571275=CARTESIAN_POINT('',(33.075,49.25,0.)); #571276=CARTESIAN_POINT('Origin',(33.25,49.25,0.)); #571277=CARTESIAN_POINT('',(33.075,49.25,0.052500000000002)); #571278=CARTESIAN_POINT('',(33.075,49.25,-200.)); #571279=CARTESIAN_POINT('Origin',(33.25,49.25,0.052500000000002)); #571280=CARTESIAN_POINT('Origin',(44.25,47.,-200.)); #571281=CARTESIAN_POINT('',(44.075,47.,0.)); #571282=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #571283=CARTESIAN_POINT('',(44.075,47.,0.052500000000002)); #571284=CARTESIAN_POINT('',(44.075,47.,-200.)); #571285=CARTESIAN_POINT('Origin',(44.25,47.,0.052500000000002)); #571286=CARTESIAN_POINT('Origin',(12.25,34.,-200.)); #571287=CARTESIAN_POINT('',(11.75,34.,0.)); #571288=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #571289=CARTESIAN_POINT('',(11.75,34.,0.052500000000002)); #571290=CARTESIAN_POINT('',(11.75,34.,-200.)); #571291=CARTESIAN_POINT('Origin',(12.25,34.,0.052500000000002)); #571292=CARTESIAN_POINT('Origin',(53.5,20.25,-200.)); #571293=CARTESIAN_POINT('',(53.325,20.25,0.)); #571294=CARTESIAN_POINT('Origin',(53.5,20.25,0.)); #571295=CARTESIAN_POINT('',(53.325,20.25,0.052500000000002)); #571296=CARTESIAN_POINT('',(53.325,20.25,-200.)); #571297=CARTESIAN_POINT('Origin',(53.5,20.25,0.052500000000002)); #571298=CARTESIAN_POINT('Origin',(34.75,48.5,-200.)); #571299=CARTESIAN_POINT('',(34.575,48.5,0.)); #571300=CARTESIAN_POINT('Origin',(34.75,48.5,0.)); #571301=CARTESIAN_POINT('',(34.575,48.5,0.052500000000002)); #571302=CARTESIAN_POINT('',(34.575,48.5,-200.)); #571303=CARTESIAN_POINT('Origin',(34.75,48.5,0.052500000000002)); #571304=CARTESIAN_POINT('Origin',(52.75,20.25,-200.)); #571305=CARTESIAN_POINT('',(52.575,20.25,0.)); #571306=CARTESIAN_POINT('Origin',(52.75,20.25,0.)); #571307=CARTESIAN_POINT('',(52.575,20.25,0.052500000000002)); #571308=CARTESIAN_POINT('',(52.575,20.25,-200.)); #571309=CARTESIAN_POINT('Origin',(52.75,20.25,0.052500000000002)); #571310=CARTESIAN_POINT('Origin',(34.75,18.5,-200.)); #571311=CARTESIAN_POINT('',(34.575,18.5,0.)); #571312=CARTESIAN_POINT('Origin',(34.75,18.5,0.)); #571313=CARTESIAN_POINT('',(34.575,18.5,0.052500000000002)); #571314=CARTESIAN_POINT('',(34.575,18.5,-200.)); #571315=CARTESIAN_POINT('Origin',(34.75,18.5,0.052500000000002)); #571316=CARTESIAN_POINT('Origin',(52.,21.75,-200.)); #571317=CARTESIAN_POINT('',(51.825,21.75,0.)); #571318=CARTESIAN_POINT('Origin',(52.,21.75,0.)); #571319=CARTESIAN_POINT('',(51.825,21.75,0.052500000000002)); #571320=CARTESIAN_POINT('',(51.825,21.75,-200.)); #571321=CARTESIAN_POINT('Origin',(52.,21.75,0.052500000000002)); #571322=CARTESIAN_POINT('Origin',(34.,48.5,-200.)); #571323=CARTESIAN_POINT('',(33.825,48.5,0.)); #571324=CARTESIAN_POINT('Origin',(34.,48.5,0.)); #571325=CARTESIAN_POINT('',(33.825,48.5,0.052500000000002)); #571326=CARTESIAN_POINT('',(33.825,48.5,-200.)); #571327=CARTESIAN_POINT('Origin',(34.,48.5,0.052500000000002)); #571328=CARTESIAN_POINT('Origin',(52.,20.25,-200.)); #571329=CARTESIAN_POINT('',(51.825,20.25,0.)); #571330=CARTESIAN_POINT('Origin',(52.,20.25,0.)); #571331=CARTESIAN_POINT('',(51.825,20.25,0.052500000000002)); #571332=CARTESIAN_POINT('',(51.825,20.25,-200.)); #571333=CARTESIAN_POINT('Origin',(52.,20.25,0.052500000000002)); #571334=CARTESIAN_POINT('Origin',(34.,5.5,-200.)); #571335=CARTESIAN_POINT('',(33.825,5.5,0.)); #571336=CARTESIAN_POINT('Origin',(34.,5.5,0.)); #571337=CARTESIAN_POINT('',(33.825,5.5,0.052500000000002)); #571338=CARTESIAN_POINT('',(33.825,5.5,-200.)); #571339=CARTESIAN_POINT('Origin',(34.,5.5,0.052500000000002)); #571340=CARTESIAN_POINT('Origin',(42.,31.,-200.)); #571341=CARTESIAN_POINT('',(41.825,31.,0.)); #571342=CARTESIAN_POINT('Origin',(42.,31.,0.)); #571343=CARTESIAN_POINT('',(41.825,31.,0.052500000000002)); #571344=CARTESIAN_POINT('',(41.825,31.,-200.)); #571345=CARTESIAN_POINT('Origin',(42.,31.,0.052500000000002)); #571346=CARTESIAN_POINT('Origin',(34.75,50.,-200.)); #571347=CARTESIAN_POINT('',(34.575,50.,0.)); #571348=CARTESIAN_POINT('Origin',(34.75,50.,0.)); #571349=CARTESIAN_POINT('',(34.575,50.,0.052500000000002)); #571350=CARTESIAN_POINT('',(34.575,50.,-200.)); #571351=CARTESIAN_POINT('Origin',(34.75,50.,0.052500000000002)); #571352=CARTESIAN_POINT('Origin',(42.,36.,-200.)); #571353=CARTESIAN_POINT('',(41.825,36.,0.)); #571354=CARTESIAN_POINT('Origin',(42.,36.,0.)); #571355=CARTESIAN_POINT('',(41.825,36.,0.052500000000002)); #571356=CARTESIAN_POINT('',(41.825,36.,-200.)); #571357=CARTESIAN_POINT('Origin',(42.,36.,0.052500000000002)); #571358=CARTESIAN_POINT('Origin',(34.,18.5,-200.)); #571359=CARTESIAN_POINT('',(33.825,18.5,0.)); #571360=CARTESIAN_POINT('Origin',(34.,18.5,0.)); #571361=CARTESIAN_POINT('',(33.825,18.5,0.052500000000002)); #571362=CARTESIAN_POINT('',(33.825,18.5,-200.)); #571363=CARTESIAN_POINT('Origin',(34.,18.5,0.052500000000002)); #571364=CARTESIAN_POINT('Origin',(44.25,47.75,-200.)); #571365=CARTESIAN_POINT('',(44.075,47.75,0.)); #571366=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #571367=CARTESIAN_POINT('',(44.075,47.75,0.052500000000002)); #571368=CARTESIAN_POINT('',(44.075,47.75,-200.)); #571369=CARTESIAN_POINT('Origin',(44.25,47.75,0.052500000000002)); #571370=CARTESIAN_POINT('Origin',(34.,50.,-200.)); #571371=CARTESIAN_POINT('',(33.825,50.,0.)); #571372=CARTESIAN_POINT('Origin',(34.,50.,0.)); #571373=CARTESIAN_POINT('',(33.825,50.,0.052500000000002)); #571374=CARTESIAN_POINT('',(33.825,50.,-200.)); #571375=CARTESIAN_POINT('Origin',(34.,50.,0.052500000000002)); #571376=CARTESIAN_POINT('Origin',(44.25,46.25,-200.)); #571377=CARTESIAN_POINT('',(44.075,46.25,0.)); #571378=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #571379=CARTESIAN_POINT('',(44.075,46.25,0.052500000000002)); #571380=CARTESIAN_POINT('',(44.075,46.25,-200.)); #571381=CARTESIAN_POINT('Origin',(44.25,46.25,0.052500000000002)); #571382=CARTESIAN_POINT('Origin',(24.25,34.,-200.)); #571383=CARTESIAN_POINT('',(23.7,34.,0.)); #571384=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #571385=CARTESIAN_POINT('',(23.7,34.,0.052500000000002)); #571386=CARTESIAN_POINT('',(23.7,34.,-200.)); #571387=CARTESIAN_POINT('Origin',(24.25,34.,0.052500000000002)); #571388=CARTESIAN_POINT('Origin',(14.5,21.75,-200.)); #571389=CARTESIAN_POINT('',(14.325,21.75,0.)); #571390=CARTESIAN_POINT('Origin',(14.5,21.75,0.)); #571391=CARTESIAN_POINT('',(14.325,21.75,0.052500000000002)); #571392=CARTESIAN_POINT('',(14.325,21.75,-200.)); #571393=CARTESIAN_POINT('Origin',(14.5,21.75,0.052500000000002)); #571394=CARTESIAN_POINT('Origin',(25.,26.625,-200.)); #571395=CARTESIAN_POINT('',(24.825,26.625,0.)); #571396=CARTESIAN_POINT('Origin',(25.,26.625,0.)); #571397=CARTESIAN_POINT('',(24.825,26.625,0.052500000000002)); #571398=CARTESIAN_POINT('',(24.825,26.625,-200.)); #571399=CARTESIAN_POINT('Origin',(25.,26.625,0.052500000000002)); #571400=CARTESIAN_POINT('Origin',(14.5,21.,-200.)); #571401=CARTESIAN_POINT('',(14.325,21.,0.)); #571402=CARTESIAN_POINT('Origin',(14.5,21.,0.)); #571403=CARTESIAN_POINT('',(14.325,21.,0.052500000000002)); #571404=CARTESIAN_POINT('',(14.325,21.,-200.)); #571405=CARTESIAN_POINT('Origin',(14.5,21.,0.052500000000002)); #571406=CARTESIAN_POINT('Origin',(34.75,16.25,-200.)); #571407=CARTESIAN_POINT('',(34.575,16.25,0.)); #571408=CARTESIAN_POINT('Origin',(34.75,16.25,0.)); #571409=CARTESIAN_POINT('',(34.575,16.25,0.052500000000002)); #571410=CARTESIAN_POINT('',(34.575,16.25,-200.)); #571411=CARTESIAN_POINT('Origin',(34.75,16.25,0.052500000000002)); #571412=CARTESIAN_POINT('Origin',(16.,20.25,-200.)); #571413=CARTESIAN_POINT('',(15.825,20.25,0.)); #571414=CARTESIAN_POINT('Origin',(16.,20.25,0.)); #571415=CARTESIAN_POINT('',(15.825,20.25,0.052500000000002)); #571416=CARTESIAN_POINT('',(15.825,20.25,-200.)); #571417=CARTESIAN_POINT('Origin',(16.,20.25,0.052500000000002)); #571418=CARTESIAN_POINT('Origin',(27.25,24.375,-200.)); #571419=CARTESIAN_POINT('',(27.075,24.375,0.)); #571420=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #571421=CARTESIAN_POINT('',(27.075,24.375,0.052500000000002)); #571422=CARTESIAN_POINT('',(27.075,24.375,-200.)); #571423=CARTESIAN_POINT('Origin',(27.25,24.375,0.052500000000002)); #571424=CARTESIAN_POINT('Origin',(14.5,20.25,-200.)); #571425=CARTESIAN_POINT('',(14.325,20.25,0.)); #571426=CARTESIAN_POINT('Origin',(14.5,20.25,0.)); #571427=CARTESIAN_POINT('',(14.325,20.25,0.052500000000002)); #571428=CARTESIAN_POINT('',(14.325,20.25,-200.)); #571429=CARTESIAN_POINT('Origin',(14.5,20.25,0.052500000000002)); #571430=CARTESIAN_POINT('Origin',(34.0625,12.25,-200.)); #571431=CARTESIAN_POINT('',(33.8875,12.25,0.)); #571432=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #571433=CARTESIAN_POINT('',(33.8875,12.25,0.052500000000002)); #571434=CARTESIAN_POINT('',(33.8875,12.25,-200.)); #571435=CARTESIAN_POINT('Origin',(34.0625,12.25,0.052500000000002)); #571436=CARTESIAN_POINT('Origin',(15.25,20.25,-200.)); #571437=CARTESIAN_POINT('',(15.075,20.25,0.)); #571438=CARTESIAN_POINT('Origin',(15.25,20.25,0.)); #571439=CARTESIAN_POINT('',(15.075,20.25,0.052500000000002)); #571440=CARTESIAN_POINT('',(15.075,20.25,-200.)); #571441=CARTESIAN_POINT('Origin',(15.25,20.25,0.052500000000002)); #571442=CARTESIAN_POINT('Origin',(31.75,18.5,-200.)); #571443=CARTESIAN_POINT('',(31.575,18.5,0.)); #571444=CARTESIAN_POINT('Origin',(31.75,18.5,0.)); #571445=CARTESIAN_POINT('',(31.575,18.5,0.052500000000002)); #571446=CARTESIAN_POINT('',(31.575,18.5,-200.)); #571447=CARTESIAN_POINT('Origin',(31.75,18.5,0.052500000000002)); #571448=CARTESIAN_POINT('Origin',(15.25,21.75,-200.)); #571449=CARTESIAN_POINT('',(15.075,21.75,0.)); #571450=CARTESIAN_POINT('Origin',(15.25,21.75,0.)); #571451=CARTESIAN_POINT('',(15.075,21.75,0.052500000000002)); #571452=CARTESIAN_POINT('',(15.075,21.75,-200.)); #571453=CARTESIAN_POINT('Origin',(15.25,21.75,0.052500000000002)); #571454=CARTESIAN_POINT('Origin',(33.25,16.25,-200.)); #571455=CARTESIAN_POINT('',(33.075,16.25,0.)); #571456=CARTESIAN_POINT('Origin',(33.25,16.25,0.)); #571457=CARTESIAN_POINT('',(33.075,16.25,0.052500000000002)); #571458=CARTESIAN_POINT('',(33.075,16.25,-200.)); #571459=CARTESIAN_POINT('Origin',(33.25,16.25,0.052500000000002)); #571460=CARTESIAN_POINT('Origin',(16.,21.75,-200.)); #571461=CARTESIAN_POINT('',(15.825,21.75,0.)); #571462=CARTESIAN_POINT('Origin',(16.,21.75,0.)); #571463=CARTESIAN_POINT('',(15.825,21.75,0.052500000000002)); #571464=CARTESIAN_POINT('',(15.825,21.75,-200.)); #571465=CARTESIAN_POINT('Origin',(16.,21.75,0.052500000000002)); #571466=CARTESIAN_POINT('Origin',(31.75,19.25,-200.)); #571467=CARTESIAN_POINT('',(31.575,19.25,0.)); #571468=CARTESIAN_POINT('Origin',(31.75,19.25,0.)); #571469=CARTESIAN_POINT('',(31.575,19.25,0.052500000000002)); #571470=CARTESIAN_POINT('',(31.575,19.25,-200.)); #571471=CARTESIAN_POINT('Origin',(31.75,19.25,0.052500000000002)); #571472=CARTESIAN_POINT('Origin',(16.,21.,-200.)); #571473=CARTESIAN_POINT('',(15.825,21.,0.)); #571474=CARTESIAN_POINT('Origin',(16.,21.,0.)); #571475=CARTESIAN_POINT('',(15.825,21.,0.052500000000002)); #571476=CARTESIAN_POINT('',(15.825,21.,-200.)); #571477=CARTESIAN_POINT('Origin',(16.,21.,0.052500000000002)); #571478=CARTESIAN_POINT('Origin',(15.75,34.,-200.)); #571479=CARTESIAN_POINT('',(15.25,34.,0.)); #571480=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #571481=CARTESIAN_POINT('',(15.25,34.,0.052500000000002)); #571482=CARTESIAN_POINT('',(15.25,34.,-200.)); #571483=CARTESIAN_POINT('Origin',(15.75,34.,0.052500000000002)); #571484=CARTESIAN_POINT('Origin',(52.75,21.,-200.)); #571485=CARTESIAN_POINT('',(52.575,21.,0.)); #571486=CARTESIAN_POINT('Origin',(52.75,21.,0.)); #571487=CARTESIAN_POINT('',(52.575,21.,0.052500000000002)); #571488=CARTESIAN_POINT('',(52.575,21.,-200.)); #571489=CARTESIAN_POINT('Origin',(52.75,21.,0.052500000000002)); #571490=CARTESIAN_POINT('Origin',(37.5,21.25,-200.)); #571491=CARTESIAN_POINT('',(37.325,21.25,0.)); #571492=CARTESIAN_POINT('Origin',(37.5,21.25,0.)); #571493=CARTESIAN_POINT('',(37.325,21.25,0.052500000000002)); #571494=CARTESIAN_POINT('',(37.325,21.25,-200.)); #571495=CARTESIAN_POINT('Origin',(37.5,21.25,0.052500000000002)); #571496=CARTESIAN_POINT('Origin',(39.25,19.,-200.)); #571497=CARTESIAN_POINT('',(39.075,19.,0.)); #571498=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #571499=CARTESIAN_POINT('',(39.075,19.,0.052500000000002)); #571500=CARTESIAN_POINT('',(39.075,19.,-200.)); #571501=CARTESIAN_POINT('Origin',(39.25,19.,0.052500000000002)); #571502=CARTESIAN_POINT('Origin',(35.5,17.75,-200.)); #571503=CARTESIAN_POINT('',(35.325,17.75,0.)); #571504=CARTESIAN_POINT('Origin',(35.5,17.75,0.)); #571505=CARTESIAN_POINT('',(35.325,17.75,0.052500000000002)); #571506=CARTESIAN_POINT('',(35.325,17.75,-200.)); #571507=CARTESIAN_POINT('Origin',(35.5,17.75,0.052500000000002)); #571508=CARTESIAN_POINT('Origin',(39.25,18.25,-200.)); #571509=CARTESIAN_POINT('',(39.075,18.25,0.)); #571510=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #571511=CARTESIAN_POINT('',(39.075,18.25,0.052500000000002)); #571512=CARTESIAN_POINT('',(39.075,18.25,-200.)); #571513=CARTESIAN_POINT('Origin',(39.25,18.25,0.052500000000002)); #571514=CARTESIAN_POINT('Origin',(31.,13.,-200.)); #571515=CARTESIAN_POINT('',(30.825,13.,0.)); #571516=CARTESIAN_POINT('Origin',(31.,13.,0.)); #571517=CARTESIAN_POINT('',(30.825,13.,0.052500000000002)); #571518=CARTESIAN_POINT('',(30.825,13.,-200.)); #571519=CARTESIAN_POINT('Origin',(31.,13.,0.052500000000002)); #571520=CARTESIAN_POINT('Origin',(42.5,16.,-200.)); #571521=CARTESIAN_POINT('',(42.325,16.,0.)); #571522=CARTESIAN_POINT('Origin',(42.5,16.,0.)); #571523=CARTESIAN_POINT('',(42.325,16.,0.052500000000002)); #571524=CARTESIAN_POINT('',(42.325,16.,-200.)); #571525=CARTESIAN_POINT('Origin',(42.5,16.,0.052500000000002)); #571526=CARTESIAN_POINT('Origin',(27.762,19.,-200.)); #571527=CARTESIAN_POINT('',(27.587,19.,0.)); #571528=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #571529=CARTESIAN_POINT('',(27.587,19.,0.052500000000002)); #571530=CARTESIAN_POINT('',(27.587,19.,-200.)); #571531=CARTESIAN_POINT('Origin',(27.762,19.,0.052500000000002)); #571532=CARTESIAN_POINT('Origin',(53.5,21.,-200.)); #571533=CARTESIAN_POINT('',(53.325,21.,0.)); #571534=CARTESIAN_POINT('Origin',(53.5,21.,0.)); #571535=CARTESIAN_POINT('',(53.325,21.,0.052500000000002)); #571536=CARTESIAN_POINT('',(53.325,21.,-200.)); #571537=CARTESIAN_POINT('Origin',(53.5,21.,0.052500000000002)); #571538=CARTESIAN_POINT('Origin',(34.75,49.25,-200.)); #571539=CARTESIAN_POINT('',(34.575,49.25,0.)); #571540=CARTESIAN_POINT('Origin',(34.75,49.25,0.)); #571541=CARTESIAN_POINT('',(34.575,49.25,0.052500000000002)); #571542=CARTESIAN_POINT('',(34.575,49.25,-200.)); #571543=CARTESIAN_POINT('Origin',(34.75,49.25,0.052500000000002)); #571544=CARTESIAN_POINT('Origin',(52.,21.,-200.)); #571545=CARTESIAN_POINT('',(51.825,21.,0.)); #571546=CARTESIAN_POINT('Origin',(52.,21.,0.)); #571547=CARTESIAN_POINT('',(51.825,21.,0.052500000000002)); #571548=CARTESIAN_POINT('',(51.825,21.,-200.)); #571549=CARTESIAN_POINT('Origin',(52.,21.,0.052500000000002)); #571550=CARTESIAN_POINT('Origin',(35.5,18.5,-200.)); #571551=CARTESIAN_POINT('',(35.325,18.5,0.)); #571552=CARTESIAN_POINT('Origin',(35.5,18.5,0.)); #571553=CARTESIAN_POINT('',(35.325,18.5,0.052500000000002)); #571554=CARTESIAN_POINT('',(35.325,18.5,-200.)); #571555=CARTESIAN_POINT('Origin',(35.5,18.5,0.052500000000002)); #571556=CARTESIAN_POINT('Origin',(53.5,21.75,-200.)); #571557=CARTESIAN_POINT('',(53.325,21.75,0.)); #571558=CARTESIAN_POINT('Origin',(53.5,21.75,0.)); #571559=CARTESIAN_POINT('',(53.325,21.75,0.052500000000002)); #571560=CARTESIAN_POINT('',(53.325,21.75,-200.)); #571561=CARTESIAN_POINT('Origin',(53.5,21.75,0.052500000000002)); #571562=CARTESIAN_POINT('Origin',(34.,49.25,-200.)); #571563=CARTESIAN_POINT('',(33.825,49.25,0.)); #571564=CARTESIAN_POINT('Origin',(34.,49.25,0.)); #571565=CARTESIAN_POINT('',(33.825,49.25,0.052500000000002)); #571566=CARTESIAN_POINT('',(33.825,49.25,-200.)); #571567=CARTESIAN_POINT('Origin',(34.,49.25,0.052500000000002)); #571568=CARTESIAN_POINT('Origin',(52.75,21.75,-200.)); #571569=CARTESIAN_POINT('',(52.575,21.75,0.)); #571570=CARTESIAN_POINT('Origin',(52.75,21.75,0.)); #571571=CARTESIAN_POINT('',(52.575,21.75,0.052500000000002)); #571572=CARTESIAN_POINT('',(52.575,21.75,-200.)); #571573=CARTESIAN_POINT('Origin',(52.75,21.75,0.052500000000002)); #571574=CARTESIAN_POINT('Origin',(35.27,45.,-200.)); #571575=CARTESIAN_POINT('',(34.67,45.,0.)); #571576=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #571577=CARTESIAN_POINT('',(34.67,45.,0.052500000000002)); #571578=CARTESIAN_POINT('',(34.67,45.,-200.)); #571579=CARTESIAN_POINT('Origin',(35.27,45.,0.052500000000002)); #571580=CARTESIAN_POINT('Origin',(26.,31.,-200.)); #571581=CARTESIAN_POINT('',(25.825,31.,0.)); #571582=CARTESIAN_POINT('Origin',(26.,31.,0.)); #571583=CARTESIAN_POINT('',(25.825,31.,0.052500000000002)); #571584=CARTESIAN_POINT('',(25.825,31.,-200.)); #571585=CARTESIAN_POINT('Origin',(26.,31.,0.052500000000002)); #571586=CARTESIAN_POINT('Origin',(10.25,33.25,-200.)); #571587=CARTESIAN_POINT('',(10.075,33.25,0.)); #571588=CARTESIAN_POINT('Origin',(10.25,33.25,0.)); #571589=CARTESIAN_POINT('',(10.075,33.25,0.052500000000002)); #571590=CARTESIAN_POINT('',(10.075,33.25,-200.)); #571591=CARTESIAN_POINT('Origin',(10.25,33.25,0.052500000000002)); #571592=CARTESIAN_POINT('Origin',(26.,36.,-200.)); #571593=CARTESIAN_POINT('',(25.825,36.,0.)); #571594=CARTESIAN_POINT('Origin',(26.,36.,0.)); #571595=CARTESIAN_POINT('',(25.825,36.,0.052500000000002)); #571596=CARTESIAN_POINT('',(25.825,36.,-200.)); #571597=CARTESIAN_POINT('Origin',(26.,36.,0.052500000000002)); #571598=CARTESIAN_POINT('Origin',(34.75,14.75,-200.)); #571599=CARTESIAN_POINT('',(34.575,14.75,0.)); #571600=CARTESIAN_POINT('Origin',(34.75,14.75,0.)); #571601=CARTESIAN_POINT('',(34.575,14.75,0.052500000000002)); #571602=CARTESIAN_POINT('',(34.575,14.75,-200.)); #571603=CARTESIAN_POINT('Origin',(34.75,14.75,0.052500000000002)); #571604=CARTESIAN_POINT('Origin',(26.75,36.,-200.)); #571605=CARTESIAN_POINT('',(26.575,36.,0.)); #571606=CARTESIAN_POINT('Origin',(26.75,36.,0.)); #571607=CARTESIAN_POINT('',(26.575,36.,0.052500000000002)); #571608=CARTESIAN_POINT('',(26.575,36.,-200.)); #571609=CARTESIAN_POINT('Origin',(26.75,36.,0.052500000000002)); #571610=CARTESIAN_POINT('Origin',(9.5,33.25,-200.)); #571611=CARTESIAN_POINT('',(9.325,33.25,0.)); #571612=CARTESIAN_POINT('Origin',(9.5,33.25,0.)); #571613=CARTESIAN_POINT('',(9.325,33.25,0.052500000000002)); #571614=CARTESIAN_POINT('',(9.325,33.25,-200.)); #571615=CARTESIAN_POINT('Origin',(9.5,33.25,0.052500000000002)); #571616=CARTESIAN_POINT('Origin',(26.75,31.,-200.)); #571617=CARTESIAN_POINT('',(26.575,31.,0.)); #571618=CARTESIAN_POINT('Origin',(26.75,31.,0.)); #571619=CARTESIAN_POINT('',(26.575,31.,0.052500000000002)); #571620=CARTESIAN_POINT('',(26.575,31.,-200.)); #571621=CARTESIAN_POINT('Origin',(26.75,31.,0.052500000000002)); #571622=CARTESIAN_POINT('Origin',(34.75,17.,-200.)); #571623=CARTESIAN_POINT('',(34.575,17.,0.)); #571624=CARTESIAN_POINT('Origin',(34.75,17.,0.)); #571625=CARTESIAN_POINT('',(34.575,17.,0.052500000000002)); #571626=CARTESIAN_POINT('',(34.575,17.,-200.)); #571627=CARTESIAN_POINT('Origin',(34.75,17.,0.052500000000002)); #571628=CARTESIAN_POINT('Origin',(16.625,47.75,-200.)); #571629=CARTESIAN_POINT('',(16.45,47.75,0.)); #571630=CARTESIAN_POINT('Origin',(16.625,47.75,0.)); #571631=CARTESIAN_POINT('',(16.45,47.75,0.052500000000002)); #571632=CARTESIAN_POINT('',(16.45,47.75,-200.)); #571633=CARTESIAN_POINT('Origin',(16.625,47.75,0.052500000000002)); #571634=CARTESIAN_POINT('Origin',(10.25,34.,-200.)); #571635=CARTESIAN_POINT('',(10.075,34.,0.)); #571636=CARTESIAN_POINT('Origin',(10.25,34.,0.)); #571637=CARTESIAN_POINT('',(10.075,34.,0.052500000000002)); #571638=CARTESIAN_POINT('',(10.075,34.,-200.)); #571639=CARTESIAN_POINT('Origin',(10.25,34.,0.052500000000002)); #571640=CARTESIAN_POINT('Origin',(15.75,47.75,-200.)); #571641=CARTESIAN_POINT('',(15.575,47.75,0.)); #571642=CARTESIAN_POINT('Origin',(15.75,47.75,0.)); #571643=CARTESIAN_POINT('',(15.575,47.75,0.052500000000002)); #571644=CARTESIAN_POINT('',(15.575,47.75,-200.)); #571645=CARTESIAN_POINT('Origin',(15.75,47.75,0.052500000000002)); #571646=CARTESIAN_POINT('Origin',(35.5,14.75,-200.)); #571647=CARTESIAN_POINT('',(35.325,14.75,0.)); #571648=CARTESIAN_POINT('Origin',(35.5,14.75,0.)); #571649=CARTESIAN_POINT('',(35.325,14.75,0.052500000000002)); #571650=CARTESIAN_POINT('',(35.325,14.75,-200.)); #571651=CARTESIAN_POINT('Origin',(35.5,14.75,0.052500000000002)); #571652=CARTESIAN_POINT('Origin',(16.625,47.,-200.)); #571653=CARTESIAN_POINT('',(16.45,47.,0.)); #571654=CARTESIAN_POINT('Origin',(16.625,47.,0.)); #571655=CARTESIAN_POINT('',(16.45,47.,0.052500000000002)); #571656=CARTESIAN_POINT('',(16.45,47.,-200.)); #571657=CARTESIAN_POINT('Origin',(16.625,47.,0.052500000000002)); #571658=CARTESIAN_POINT('Origin',(9.5,34.,-200.)); #571659=CARTESIAN_POINT('',(9.325,34.,0.)); #571660=CARTESIAN_POINT('Origin',(9.5,34.,0.)); #571661=CARTESIAN_POINT('',(9.325,34.,0.052500000000002)); #571662=CARTESIAN_POINT('',(9.325,34.,-200.)); #571663=CARTESIAN_POINT('Origin',(9.5,34.,0.052500000000002)); #571664=CARTESIAN_POINT('Origin',(16.625,46.25,-200.)); #571665=CARTESIAN_POINT('',(16.45,46.25,0.)); #571666=CARTESIAN_POINT('Origin',(16.625,46.25,0.)); #571667=CARTESIAN_POINT('',(16.45,46.25,0.052500000000002)); #571668=CARTESIAN_POINT('',(16.45,46.25,-200.)); #571669=CARTESIAN_POINT('Origin',(16.625,46.25,0.052500000000002)); #571670=CARTESIAN_POINT('Origin',(39.25,17.5,-200.)); #571671=CARTESIAN_POINT('',(39.075,17.5,0.)); #571672=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #571673=CARTESIAN_POINT('',(39.075,17.5,0.052500000000002)); #571674=CARTESIAN_POINT('',(39.075,17.5,-200.)); #571675=CARTESIAN_POINT('Origin',(39.25,17.5,0.052500000000002)); #571676=CARTESIAN_POINT('Origin',(17.5,47.75,-200.)); #571677=CARTESIAN_POINT('',(17.325,47.75,0.)); #571678=CARTESIAN_POINT('Origin',(17.5,47.75,0.)); #571679=CARTESIAN_POINT('',(17.325,47.75,0.052500000000002)); #571680=CARTESIAN_POINT('',(17.325,47.75,-200.)); #571681=CARTESIAN_POINT('Origin',(17.5,47.75,0.052500000000002)); #571682=CARTESIAN_POINT('Origin',(57.75,33.25,-200.)); #571683=CARTESIAN_POINT('',(57.575,33.25,0.)); #571684=CARTESIAN_POINT('Origin',(57.75,33.25,0.)); #571685=CARTESIAN_POINT('',(57.575,33.25,0.052500000000002)); #571686=CARTESIAN_POINT('',(57.575,33.25,-200.)); #571687=CARTESIAN_POINT('Origin',(57.75,33.25,0.052500000000002)); #571688=CARTESIAN_POINT('Origin',(19.375,46.25,-200.)); #571689=CARTESIAN_POINT('',(19.2,46.25,0.)); #571690=CARTESIAN_POINT('Origin',(19.375,46.25,0.)); #571691=CARTESIAN_POINT('',(19.2,46.25,0.052500000000002)); #571692=CARTESIAN_POINT('',(19.2,46.25,-200.)); #571693=CARTESIAN_POINT('Origin',(19.375,46.25,0.052500000000002)); #571694=CARTESIAN_POINT('Origin',(36.25,15.5,-200.)); #571695=CARTESIAN_POINT('',(36.075,15.5,0.)); #571696=CARTESIAN_POINT('Origin',(36.25,15.5,0.)); #571697=CARTESIAN_POINT('',(36.075,15.5,0.052500000000002)); #571698=CARTESIAN_POINT('',(36.075,15.5,-200.)); #571699=CARTESIAN_POINT('Origin',(36.25,15.5,0.052500000000002)); #571700=CARTESIAN_POINT('Origin',(19.375,47.75,-200.)); #571701=CARTESIAN_POINT('',(19.2,47.75,0.)); #571702=CARTESIAN_POINT('Origin',(19.375,47.75,0.)); #571703=CARTESIAN_POINT('',(19.2,47.75,0.052500000000002)); #571704=CARTESIAN_POINT('',(19.2,47.75,-200.)); #571705=CARTESIAN_POINT('Origin',(19.375,47.75,0.052500000000002)); #571706=CARTESIAN_POINT('Origin',(62.,33.25,-200.)); #571707=CARTESIAN_POINT('',(61.825,33.25,0.)); #571708=CARTESIAN_POINT('Origin',(62.,33.25,0.)); #571709=CARTESIAN_POINT('',(61.825,33.25,0.052500000000002)); #571710=CARTESIAN_POINT('',(61.825,33.25,-200.)); #571711=CARTESIAN_POINT('Origin',(62.,33.25,0.052500000000002)); #571712=CARTESIAN_POINT('Origin',(19.375,47.,-200.)); #571713=CARTESIAN_POINT('',(19.2,47.,0.)); #571714=CARTESIAN_POINT('Origin',(19.375,47.,0.)); #571715=CARTESIAN_POINT('',(19.2,47.,0.052500000000002)); #571716=CARTESIAN_POINT('',(19.2,47.,-200.)); #571717=CARTESIAN_POINT('Origin',(19.375,47.,0.052500000000002)); #571718=CARTESIAN_POINT('Origin',(34.,17.75,-200.)); #571719=CARTESIAN_POINT('',(33.825,17.75,0.)); #571720=CARTESIAN_POINT('Origin',(34.,17.75,0.)); #571721=CARTESIAN_POINT('',(33.825,17.75,0.052500000000002)); #571722=CARTESIAN_POINT('',(33.825,17.75,-200.)); #571723=CARTESIAN_POINT('Origin',(34.,17.75,0.052500000000002)); #571724=CARTESIAN_POINT('Origin',(17.5,46.25,-200.)); #571725=CARTESIAN_POINT('',(17.325,46.25,0.)); #571726=CARTESIAN_POINT('Origin',(17.5,46.25,0.)); #571727=CARTESIAN_POINT('',(17.325,46.25,0.052500000000002)); #571728=CARTESIAN_POINT('',(17.325,46.25,-200.)); #571729=CARTESIAN_POINT('Origin',(17.5,46.25,0.052500000000002)); #571730=CARTESIAN_POINT('Origin',(57.75,34.,-200.)); #571731=CARTESIAN_POINT('',(57.575,34.,0.)); #571732=CARTESIAN_POINT('Origin',(57.75,34.,0.)); #571733=CARTESIAN_POINT('',(57.575,34.,0.052500000000002)); #571734=CARTESIAN_POINT('',(57.575,34.,-200.)); #571735=CARTESIAN_POINT('Origin',(57.75,34.,0.052500000000002)); #571736=CARTESIAN_POINT('Origin',(17.5,47.,-200.)); #571737=CARTESIAN_POINT('',(17.325,47.,0.)); #571738=CARTESIAN_POINT('Origin',(17.5,47.,0.)); #571739=CARTESIAN_POINT('',(17.325,47.,0.052500000000002)); #571740=CARTESIAN_POINT('',(17.325,47.,-200.)); #571741=CARTESIAN_POINT('Origin',(17.5,47.,0.052500000000002)); #571742=CARTESIAN_POINT('Origin',(36.25,14.75,-200.)); #571743=CARTESIAN_POINT('',(36.075,14.75,0.)); #571744=CARTESIAN_POINT('Origin',(36.25,14.75,0.)); #571745=CARTESIAN_POINT('',(36.075,14.75,0.052500000000002)); #571746=CARTESIAN_POINT('',(36.075,14.75,-200.)); #571747=CARTESIAN_POINT('Origin',(36.25,14.75,0.052500000000002)); #571748=CARTESIAN_POINT('Origin',(15.75,46.25,-200.)); #571749=CARTESIAN_POINT('',(15.575,46.25,0.)); #571750=CARTESIAN_POINT('Origin',(15.75,46.25,0.)); #571751=CARTESIAN_POINT('',(15.575,46.25,0.052500000000002)); #571752=CARTESIAN_POINT('',(15.575,46.25,-200.)); #571753=CARTESIAN_POINT('Origin',(15.75,46.25,0.052500000000002)); #571754=CARTESIAN_POINT('Origin',(57.75,34.75,-200.)); #571755=CARTESIAN_POINT('',(57.575,34.75,0.)); #571756=CARTESIAN_POINT('Origin',(57.75,34.75,0.)); #571757=CARTESIAN_POINT('',(57.575,34.75,0.052500000000002)); #571758=CARTESIAN_POINT('',(57.575,34.75,-200.)); #571759=CARTESIAN_POINT('Origin',(57.75,34.75,0.052500000000002)); #571760=CARTESIAN_POINT('Origin',(15.75,47.,-200.)); #571761=CARTESIAN_POINT('',(15.575,47.,0.)); #571762=CARTESIAN_POINT('Origin',(15.75,47.,0.)); #571763=CARTESIAN_POINT('',(15.575,47.,0.052500000000002)); #571764=CARTESIAN_POINT('',(15.575,47.,-200.)); #571765=CARTESIAN_POINT('Origin',(15.75,47.,0.052500000000002)); #571766=CARTESIAN_POINT('Origin',(52.25,34.,-200.)); #571767=CARTESIAN_POINT('',(51.75,34.,0.)); #571768=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #571769=CARTESIAN_POINT('',(51.75,34.,0.052500000000002)); #571770=CARTESIAN_POINT('',(51.75,34.,-200.)); #571771=CARTESIAN_POINT('Origin',(52.25,34.,0.052500000000002)); #571772=CARTESIAN_POINT('Origin',(23.75,47.,-200.)); #571773=CARTESIAN_POINT('',(23.575,47.,0.)); #571774=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #571775=CARTESIAN_POINT('',(23.575,47.,0.052500000000002)); #571776=CARTESIAN_POINT('',(23.575,47.,-200.)); #571777=CARTESIAN_POINT('Origin',(23.75,47.,0.052500000000002)); #571778=CARTESIAN_POINT('Origin',(61.25,33.25,-200.)); #571779=CARTESIAN_POINT('',(61.075,33.25,0.)); #571780=CARTESIAN_POINT('Origin',(61.25,33.25,0.)); #571781=CARTESIAN_POINT('',(61.075,33.25,0.052500000000002)); #571782=CARTESIAN_POINT('',(61.075,33.25,-200.)); #571783=CARTESIAN_POINT('Origin',(61.25,33.25,0.052500000000002)); #571784=CARTESIAN_POINT('Origin',(20.25,47.,-200.)); #571785=CARTESIAN_POINT('',(20.075,47.,0.)); #571786=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #571787=CARTESIAN_POINT('',(20.075,47.,0.052500000000002)); #571788=CARTESIAN_POINT('',(20.075,47.,-200.)); #571789=CARTESIAN_POINT('Origin',(20.25,47.,0.052500000000002)); #571790=CARTESIAN_POINT('Origin',(36.25,17.75,-200.)); #571791=CARTESIAN_POINT('',(36.075,17.75,0.)); #571792=CARTESIAN_POINT('Origin',(36.25,17.75,0.)); #571793=CARTESIAN_POINT('',(36.075,17.75,0.052500000000002)); #571794=CARTESIAN_POINT('',(36.075,17.75,-200.)); #571795=CARTESIAN_POINT('Origin',(36.25,17.75,0.052500000000002)); #571796=CARTESIAN_POINT('Origin',(23.75,46.25,-200.)); #571797=CARTESIAN_POINT('',(23.575,46.25,0.)); #571798=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #571799=CARTESIAN_POINT('',(23.575,46.25,0.052500000000002)); #571800=CARTESIAN_POINT('',(23.575,46.25,-200.)); #571801=CARTESIAN_POINT('Origin',(23.75,46.25,0.052500000000002)); #571802=CARTESIAN_POINT('Origin',(58.5,33.25,-200.)); #571803=CARTESIAN_POINT('',(58.325,33.25,0.)); #571804=CARTESIAN_POINT('Origin',(58.5,33.25,0.)); #571805=CARTESIAN_POINT('',(58.325,33.25,0.052500000000002)); #571806=CARTESIAN_POINT('',(58.325,33.25,-200.)); #571807=CARTESIAN_POINT('Origin',(58.5,33.25,0.052500000000002)); #571808=CARTESIAN_POINT('Origin',(22.,46.25,-200.)); #571809=CARTESIAN_POINT('',(21.825,46.25,0.)); #571810=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #571811=CARTESIAN_POINT('',(21.825,46.25,0.052500000000002)); #571812=CARTESIAN_POINT('',(21.825,46.25,-200.)); #571813=CARTESIAN_POINT('Origin',(22.,46.25,0.052500000000002)); #571814=CARTESIAN_POINT('Origin',(37.25,8.75,-200.)); #571815=CARTESIAN_POINT('',(37.075,8.75,0.)); #571816=CARTESIAN_POINT('Origin',(37.25,8.75,0.)); #571817=CARTESIAN_POINT('',(37.075,8.75,0.052500000000002)); #571818=CARTESIAN_POINT('',(37.075,8.75,-200.)); #571819=CARTESIAN_POINT('Origin',(37.25,8.75,0.052500000000002)); #571820=CARTESIAN_POINT('Origin',(20.25,46.25,-200.)); #571821=CARTESIAN_POINT('',(20.075,46.25,0.)); #571822=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #571823=CARTESIAN_POINT('',(20.075,46.25,0.052500000000002)); #571824=CARTESIAN_POINT('',(20.075,46.25,-200.)); #571825=CARTESIAN_POINT('Origin',(20.25,46.25,0.052500000000002)); #571826=CARTESIAN_POINT('Origin',(58.5,34.,-200.)); #571827=CARTESIAN_POINT('',(58.325,34.,0.)); #571828=CARTESIAN_POINT('Origin',(58.5,34.,0.)); #571829=CARTESIAN_POINT('',(58.325,34.,0.052500000000002)); #571830=CARTESIAN_POINT('',(58.325,34.,-200.)); #571831=CARTESIAN_POINT('Origin',(58.5,34.,0.052500000000002)); #571832=CARTESIAN_POINT('Origin',(18.5,47.75,-200.)); #571833=CARTESIAN_POINT('',(18.325,47.75,0.)); #571834=CARTESIAN_POINT('Origin',(18.5,47.75,0.)); #571835=CARTESIAN_POINT('',(18.325,47.75,0.052500000000002)); #571836=CARTESIAN_POINT('',(18.325,47.75,-200.)); #571837=CARTESIAN_POINT('Origin',(18.5,47.75,0.052500000000002)); #571838=CARTESIAN_POINT('Origin',(36.25,18.5,-200.)); #571839=CARTESIAN_POINT('',(36.075,18.5,0.)); #571840=CARTESIAN_POINT('Origin',(36.25,18.5,0.)); #571841=CARTESIAN_POINT('',(36.075,18.5,0.052500000000002)); #571842=CARTESIAN_POINT('',(36.075,18.5,-200.)); #571843=CARTESIAN_POINT('Origin',(36.25,18.5,0.052500000000002)); #571844=CARTESIAN_POINT('Origin',(20.25,47.75,-200.)); #571845=CARTESIAN_POINT('',(20.075,47.75,0.)); #571846=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #571847=CARTESIAN_POINT('',(20.075,47.75,0.052500000000002)); #571848=CARTESIAN_POINT('',(20.075,47.75,-200.)); #571849=CARTESIAN_POINT('Origin',(20.25,47.75,0.052500000000002)); #571850=CARTESIAN_POINT('Origin',(58.5,34.75,-200.)); #571851=CARTESIAN_POINT('',(58.325,34.75,0.)); #571852=CARTESIAN_POINT('Origin',(58.5,34.75,0.)); #571853=CARTESIAN_POINT('',(58.325,34.75,0.052500000000002)); #571854=CARTESIAN_POINT('',(58.325,34.75,-200.)); #571855=CARTESIAN_POINT('Origin',(58.5,34.75,0.052500000000002)); #571856=CARTESIAN_POINT('Origin',(23.75,47.75,-200.)); #571857=CARTESIAN_POINT('',(23.575,47.75,0.)); #571858=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #571859=CARTESIAN_POINT('',(23.575,47.75,0.052500000000002)); #571860=CARTESIAN_POINT('',(23.575,47.75,-200.)); #571861=CARTESIAN_POINT('Origin',(23.75,47.75,0.052500000000002)); #571862=CARTESIAN_POINT('Origin',(31.5,27.75,-200.)); #571863=CARTESIAN_POINT('',(31.,27.75,0.)); #571864=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #571865=CARTESIAN_POINT('',(31.,27.75,0.052500000000002)); #571866=CARTESIAN_POINT('',(31.,27.75,-200.)); #571867=CARTESIAN_POINT('Origin',(31.5,27.75,0.052500000000002)); #571868=CARTESIAN_POINT('Origin',(21.125,47.,-200.)); #571869=CARTESIAN_POINT('',(20.95,47.,0.)); #571870=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #571871=CARTESIAN_POINT('',(20.95,47.,0.052500000000002)); #571872=CARTESIAN_POINT('',(20.95,47.,-200.)); #571873=CARTESIAN_POINT('Origin',(21.125,47.,0.052500000000002)); #571874=CARTESIAN_POINT('Origin',(61.25,34.75,-200.)); #571875=CARTESIAN_POINT('',(61.075,34.75,0.)); #571876=CARTESIAN_POINT('Origin',(61.25,34.75,0.)); #571877=CARTESIAN_POINT('',(61.075,34.75,0.052500000000002)); #571878=CARTESIAN_POINT('',(61.075,34.75,-200.)); #571879=CARTESIAN_POINT('Origin',(61.25,34.75,0.052500000000002)); #571880=CARTESIAN_POINT('Origin',(21.125,47.75,-200.)); #571881=CARTESIAN_POINT('',(20.95,47.75,0.)); #571882=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #571883=CARTESIAN_POINT('',(20.95,47.75,0.052500000000002)); #571884=CARTESIAN_POINT('',(20.95,47.75,-200.)); #571885=CARTESIAN_POINT('Origin',(21.125,47.75,0.052500000000002)); #571886=CARTESIAN_POINT('Origin',(36.25,17.,-200.)); #571887=CARTESIAN_POINT('',(36.075,17.,0.)); #571888=CARTESIAN_POINT('Origin',(36.25,17.,0.)); #571889=CARTESIAN_POINT('',(36.075,17.,0.052500000000002)); #571890=CARTESIAN_POINT('',(36.075,17.,-200.)); #571891=CARTESIAN_POINT('Origin',(36.25,17.,0.052500000000002)); #571892=CARTESIAN_POINT('Origin',(22.,47.,-200.)); #571893=CARTESIAN_POINT('',(21.825,47.,0.)); #571894=CARTESIAN_POINT('Origin',(22.,47.,0.)); #571895=CARTESIAN_POINT('',(21.825,47.,0.052500000000002)); #571896=CARTESIAN_POINT('',(21.825,47.,-200.)); #571897=CARTESIAN_POINT('Origin',(22.,47.,0.052500000000002)); #571898=CARTESIAN_POINT('Origin',(61.25,34.,-200.)); #571899=CARTESIAN_POINT('',(61.075,34.,0.)); #571900=CARTESIAN_POINT('Origin',(61.25,34.,0.)); #571901=CARTESIAN_POINT('',(61.075,34.,0.052500000000002)); #571902=CARTESIAN_POINT('',(61.075,34.,-200.)); #571903=CARTESIAN_POINT('Origin',(61.25,34.,0.052500000000002)); #571904=CARTESIAN_POINT('Origin',(22.,47.75,-200.)); #571905=CARTESIAN_POINT('',(21.825,47.75,0.)); #571906=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #571907=CARTESIAN_POINT('',(21.825,47.75,0.052500000000002)); #571908=CARTESIAN_POINT('',(21.825,47.75,-200.)); #571909=CARTESIAN_POINT('Origin',(22.,47.75,0.052500000000002)); #571910=CARTESIAN_POINT('Origin',(34.,17.,-200.)); #571911=CARTESIAN_POINT('',(33.825,17.,0.)); #571912=CARTESIAN_POINT('Origin',(34.,17.,0.)); #571913=CARTESIAN_POINT('',(33.825,17.,0.052500000000002)); #571914=CARTESIAN_POINT('',(33.825,17.,-200.)); #571915=CARTESIAN_POINT('Origin',(34.,17.,0.052500000000002)); #571916=CARTESIAN_POINT('Origin',(22.875,46.25,-200.)); #571917=CARTESIAN_POINT('',(22.7,46.25,0.)); #571918=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #571919=CARTESIAN_POINT('',(22.7,46.25,0.052500000000002)); #571920=CARTESIAN_POINT('',(22.7,46.25,-200.)); #571921=CARTESIAN_POINT('Origin',(22.875,46.25,0.052500000000002)); #571922=CARTESIAN_POINT('Origin',(62.,34.75,-200.)); #571923=CARTESIAN_POINT('',(61.825,34.75,0.)); #571924=CARTESIAN_POINT('Origin',(62.,34.75,0.)); #571925=CARTESIAN_POINT('',(61.825,34.75,0.052500000000002)); #571926=CARTESIAN_POINT('',(61.825,34.75,-200.)); #571927=CARTESIAN_POINT('Origin',(62.,34.75,0.052500000000002)); #571928=CARTESIAN_POINT('Origin',(21.125,46.25,-200.)); #571929=CARTESIAN_POINT('',(20.95,46.25,0.)); #571930=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #571931=CARTESIAN_POINT('',(20.95,46.25,0.052500000000002)); #571932=CARTESIAN_POINT('',(20.95,46.25,-200.)); #571933=CARTESIAN_POINT('Origin',(21.125,46.25,0.052500000000002)); #571934=CARTESIAN_POINT('Origin',(36.25,16.25,-200.)); #571935=CARTESIAN_POINT('',(36.075,16.25,0.)); #571936=CARTESIAN_POINT('Origin',(36.25,16.25,0.)); #571937=CARTESIAN_POINT('',(36.075,16.25,0.052500000000002)); #571938=CARTESIAN_POINT('',(36.075,16.25,-200.)); #571939=CARTESIAN_POINT('Origin',(36.25,16.25,0.052500000000002)); #571940=CARTESIAN_POINT('Origin',(22.875,47.,-200.)); #571941=CARTESIAN_POINT('',(22.7,47.,0.)); #571942=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #571943=CARTESIAN_POINT('',(22.7,47.,0.052500000000002)); #571944=CARTESIAN_POINT('',(22.7,47.,-200.)); #571945=CARTESIAN_POINT('Origin',(22.875,47.,0.052500000000002)); #571946=CARTESIAN_POINT('Origin',(62.,34.,-200.)); #571947=CARTESIAN_POINT('',(61.825,34.,0.)); #571948=CARTESIAN_POINT('Origin',(62.,34.,0.)); #571949=CARTESIAN_POINT('',(61.825,34.,0.052500000000002)); #571950=CARTESIAN_POINT('',(61.825,34.,-200.)); #571951=CARTESIAN_POINT('Origin',(62.,34.,0.052500000000002)); #571952=CARTESIAN_POINT('Origin',(22.875,47.75,-200.)); #571953=CARTESIAN_POINT('',(22.7,47.75,0.)); #571954=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #571955=CARTESIAN_POINT('',(22.7,47.75,0.052500000000002)); #571956=CARTESIAN_POINT('',(22.7,47.75,-200.)); #571957=CARTESIAN_POINT('Origin',(22.875,47.75,0.052500000000002)); #571958=CARTESIAN_POINT('Origin',(21.75,34.,-200.)); #571959=CARTESIAN_POINT('',(21.2,34.,0.)); #571960=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #571961=CARTESIAN_POINT('',(21.2,34.,0.052500000000002)); #571962=CARTESIAN_POINT('',(21.2,34.,-200.)); #571963=CARTESIAN_POINT('Origin',(21.75,34.,0.052500000000002)); #571964=CARTESIAN_POINT('Origin',(31.6,7.6,-200.)); #571965=CARTESIAN_POINT('',(31.45,7.6,0.)); #571966=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #571967=CARTESIAN_POINT('',(31.45,7.6,0.052500000000002)); #571968=CARTESIAN_POINT('',(31.45,7.6,-200.)); #571969=CARTESIAN_POINT('Origin',(31.6,7.6,0.052500000000002)); #571970=CARTESIAN_POINT('Origin',(6.5,34.75,-200.)); #571971=CARTESIAN_POINT('',(6.325,34.75,0.)); #571972=CARTESIAN_POINT('Origin',(6.5,34.75,0.)); #571973=CARTESIAN_POINT('',(6.325,34.75,0.052500000000002)); #571974=CARTESIAN_POINT('',(6.325,34.75,-200.)); #571975=CARTESIAN_POINT('Origin',(6.5,34.75,0.052500000000002)); #571976=CARTESIAN_POINT('Origin',(36.4,7.1,-200.)); #571977=CARTESIAN_POINT('',(36.25,7.1,0.)); #571978=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #571979=CARTESIAN_POINT('',(36.25,7.1,0.052500000000002)); #571980=CARTESIAN_POINT('',(36.25,7.1,-200.)); #571981=CARTESIAN_POINT('Origin',(36.4,7.1,0.052500000000002)); #571982=CARTESIAN_POINT('Origin',(31.75,14.75,-200.)); #571983=CARTESIAN_POINT('',(31.575,14.75,0.)); #571984=CARTESIAN_POINT('Origin',(31.75,14.75,0.)); #571985=CARTESIAN_POINT('',(31.575,14.75,0.052500000000002)); #571986=CARTESIAN_POINT('',(31.575,14.75,-200.)); #571987=CARTESIAN_POINT('Origin',(31.75,14.75,0.052500000000002)); #571988=CARTESIAN_POINT('Origin',(41.25,13.0029,-200.)); #571989=CARTESIAN_POINT('',(41.075,13.0029,0.)); #571990=CARTESIAN_POINT('Origin',(41.25,13.0029,0.)); #571991=CARTESIAN_POINT('',(41.075,13.0029,0.052500000000002)); #571992=CARTESIAN_POINT('',(41.075,13.0029,-200.)); #571993=CARTESIAN_POINT('Origin',(41.25,13.0029,0.052500000000002)); #571994=CARTESIAN_POINT('Origin',(6.5,34.,-200.)); #571995=CARTESIAN_POINT('',(6.325,34.,0.)); #571996=CARTESIAN_POINT('Origin',(6.5,34.,0.)); #571997=CARTESIAN_POINT('',(6.325,34.,0.052500000000002)); #571998=CARTESIAN_POINT('',(6.325,34.,-200.)); #571999=CARTESIAN_POINT('Origin',(6.5,34.,0.052500000000002)); #572000=CARTESIAN_POINT('Origin',(36.4,7.6,-200.)); #572001=CARTESIAN_POINT('',(36.25,7.6,0.)); #572002=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #572003=CARTESIAN_POINT('',(36.25,7.6,0.052500000000002)); #572004=CARTESIAN_POINT('',(36.25,7.6,-200.)); #572005=CARTESIAN_POINT('Origin',(36.4,7.6,0.052500000000002)); #572006=CARTESIAN_POINT('Origin',(33.25,17.,-200.)); #572007=CARTESIAN_POINT('',(33.075,17.,0.)); #572008=CARTESIAN_POINT('Origin',(33.25,17.,0.)); #572009=CARTESIAN_POINT('',(33.075,17.,0.052500000000002)); #572010=CARTESIAN_POINT('',(33.075,17.,-200.)); #572011=CARTESIAN_POINT('Origin',(33.25,17.,0.052500000000002)); #572012=CARTESIAN_POINT('Origin',(34.9375,12.25,-200.)); #572013=CARTESIAN_POINT('',(34.7625,12.25,0.)); #572014=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #572015=CARTESIAN_POINT('',(34.7625,12.25,0.052500000000002)); #572016=CARTESIAN_POINT('',(34.7625,12.25,-200.)); #572017=CARTESIAN_POINT('Origin',(34.9375,12.25,0.052500000000002)); #572018=CARTESIAN_POINT('Origin',(7.25,33.25,-200.)); #572019=CARTESIAN_POINT('',(7.075,33.25,0.)); #572020=CARTESIAN_POINT('Origin',(7.25,33.25,0.)); #572021=CARTESIAN_POINT('',(7.075,33.25,0.052500000000002)); #572022=CARTESIAN_POINT('',(7.075,33.25,-200.)); #572023=CARTESIAN_POINT('Origin',(7.25,33.25,0.052500000000002)); #572024=CARTESIAN_POINT('Origin',(38.762,60.25,-200.)); #572025=CARTESIAN_POINT('',(38.587,60.25,0.)); #572026=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #572027=CARTESIAN_POINT('',(38.587,60.25,0.052500000000002)); #572028=CARTESIAN_POINT('',(38.587,60.25,-200.)); #572029=CARTESIAN_POINT('Origin',(38.762,60.25,0.052500000000002)); #572030=CARTESIAN_POINT('Origin',(32.5,14.75,-200.)); #572031=CARTESIAN_POINT('',(32.325,14.75,0.)); #572032=CARTESIAN_POINT('Origin',(32.5,14.75,0.)); #572033=CARTESIAN_POINT('',(32.325,14.75,0.052500000000002)); #572034=CARTESIAN_POINT('',(32.325,14.75,-200.)); #572035=CARTESIAN_POINT('Origin',(32.5,14.75,0.052500000000002)); #572036=CARTESIAN_POINT('Origin',(34.,55.,-200.)); #572037=CARTESIAN_POINT('',(33.825,55.,0.)); #572038=CARTESIAN_POINT('Origin',(34.,55.,0.)); #572039=CARTESIAN_POINT('',(33.825,55.,0.052500000000002)); #572040=CARTESIAN_POINT('',(33.825,55.,-200.)); #572041=CARTESIAN_POINT('Origin',(34.,55.,0.052500000000002)); #572042=CARTESIAN_POINT('Origin',(6.5,33.25,-200.)); #572043=CARTESIAN_POINT('',(6.325,33.25,0.)); #572044=CARTESIAN_POINT('Origin',(6.5,33.25,0.)); #572045=CARTESIAN_POINT('',(6.325,33.25,0.052500000000002)); #572046=CARTESIAN_POINT('',(6.325,33.25,-200.)); #572047=CARTESIAN_POINT('Origin',(6.5,33.25,0.052500000000002)); #572048=CARTESIAN_POINT('Origin',(42.5,14.25,-200.)); #572049=CARTESIAN_POINT('',(42.325,14.25,0.)); #572050=CARTESIAN_POINT('Origin',(42.5,14.25,0.)); #572051=CARTESIAN_POINT('',(42.325,14.25,0.052500000000002)); #572052=CARTESIAN_POINT('',(42.325,14.25,-200.)); #572053=CARTESIAN_POINT('Origin',(42.5,14.25,0.052500000000002)); #572054=CARTESIAN_POINT('Origin',(39.25,15.75,-200.)); #572055=CARTESIAN_POINT('',(39.075,15.75,0.)); #572056=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #572057=CARTESIAN_POINT('',(39.075,15.75,0.052500000000002)); #572058=CARTESIAN_POINT('',(39.075,15.75,-200.)); #572059=CARTESIAN_POINT('Origin',(39.25,15.75,0.052500000000002)); #572060=CARTESIAN_POINT('Origin',(30.5,10.5,-200.)); #572061=CARTESIAN_POINT('',(30.325,10.5,0.)); #572062=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #572063=CARTESIAN_POINT('',(30.325,10.5,0.052500000000002)); #572064=CARTESIAN_POINT('',(30.325,10.5,-200.)); #572065=CARTESIAN_POINT('Origin',(30.5,10.5,0.052500000000002)); #572066=CARTESIAN_POINT('Origin',(9.5,34.75,-200.)); #572067=CARTESIAN_POINT('',(9.325,34.75,0.)); #572068=CARTESIAN_POINT('Origin',(9.5,34.75,0.)); #572069=CARTESIAN_POINT('',(9.325,34.75,0.052500000000002)); #572070=CARTESIAN_POINT('',(9.325,34.75,-200.)); #572071=CARTESIAN_POINT('Origin',(9.5,34.75,0.052500000000002)); #572072=CARTESIAN_POINT('Origin',(36.5,10.5,-200.)); #572073=CARTESIAN_POINT('',(36.325,10.5,0.)); #572074=CARTESIAN_POINT('Origin',(36.5,10.5,0.)); #572075=CARTESIAN_POINT('',(36.325,10.5,0.052500000000002)); #572076=CARTESIAN_POINT('',(36.325,10.5,-200.)); #572077=CARTESIAN_POINT('Origin',(36.5,10.5,0.052500000000002)); #572078=CARTESIAN_POINT('Origin',(34.,14.75,-200.)); #572079=CARTESIAN_POINT('',(33.825,14.75,0.)); #572080=CARTESIAN_POINT('Origin',(34.,14.75,0.)); #572081=CARTESIAN_POINT('',(33.825,14.75,0.052500000000002)); #572082=CARTESIAN_POINT('',(33.825,14.75,-200.)); #572083=CARTESIAN_POINT('Origin',(34.,14.75,0.052500000000002)); #572084=CARTESIAN_POINT('Origin',(35.75,11.25,-200.)); #572085=CARTESIAN_POINT('',(35.575,11.25,0.)); #572086=CARTESIAN_POINT('Origin',(35.75,11.25,0.)); #572087=CARTESIAN_POINT('',(35.575,11.25,0.052500000000002)); #572088=CARTESIAN_POINT('',(35.575,11.25,-200.)); #572089=CARTESIAN_POINT('Origin',(35.75,11.25,0.052500000000002)); #572090=CARTESIAN_POINT('Origin',(10.25,34.75,-200.)); #572091=CARTESIAN_POINT('',(10.075,34.75,0.)); #572092=CARTESIAN_POINT('Origin',(10.25,34.75,0.)); #572093=CARTESIAN_POINT('',(10.075,34.75,0.052500000000002)); #572094=CARTESIAN_POINT('',(10.075,34.75,-200.)); #572095=CARTESIAN_POINT('Origin',(10.25,34.75,0.052500000000002)); #572096=CARTESIAN_POINT('Origin',(30.5,11.25,-200.)); #572097=CARTESIAN_POINT('',(30.325,11.25,0.)); #572098=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #572099=CARTESIAN_POINT('',(30.325,11.25,0.052500000000002)); #572100=CARTESIAN_POINT('',(30.325,11.25,-200.)); #572101=CARTESIAN_POINT('Origin',(30.5,11.25,0.052500000000002)); #572102=CARTESIAN_POINT('Origin',(34.,16.25,-200.)); #572103=CARTESIAN_POINT('',(33.825,16.25,0.)); #572104=CARTESIAN_POINT('Origin',(34.,16.25,0.)); #572105=CARTESIAN_POINT('',(33.825,16.25,0.052500000000002)); #572106=CARTESIAN_POINT('',(33.825,16.25,-200.)); #572107=CARTESIAN_POINT('Origin',(34.,16.25,0.052500000000002)); #572108=CARTESIAN_POINT('Origin',(39.25,16.5,-200.)); #572109=CARTESIAN_POINT('',(39.075,16.5,0.)); #572110=CARTESIAN_POINT('Origin',(39.25,16.5,0.)); #572111=CARTESIAN_POINT('',(39.075,16.5,0.052500000000002)); #572112=CARTESIAN_POINT('',(39.075,16.5,-200.)); #572113=CARTESIAN_POINT('Origin',(39.25,16.5,0.052500000000002)); #572114=CARTESIAN_POINT('Origin',(7.25,34.75,-200.)); #572115=CARTESIAN_POINT('',(7.075,34.75,0.)); #572116=CARTESIAN_POINT('Origin',(7.25,34.75,0.)); #572117=CARTESIAN_POINT('',(7.075,34.75,0.052500000000002)); #572118=CARTESIAN_POINT('',(7.075,34.75,-200.)); #572119=CARTESIAN_POINT('Origin',(7.25,34.75,0.052500000000002)); #572120=CARTESIAN_POINT('Origin',(30.762,60.25,-200.)); #572121=CARTESIAN_POINT('',(30.587,60.25,0.)); #572122=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #572123=CARTESIAN_POINT('',(30.587,60.25,0.052500000000002)); #572124=CARTESIAN_POINT('',(30.587,60.25,-200.)); #572125=CARTESIAN_POINT('Origin',(30.762,60.25,0.052500000000002)); #572126=CARTESIAN_POINT('Origin',(33.25,14.75,-200.)); #572127=CARTESIAN_POINT('',(33.075,14.75,0.)); #572128=CARTESIAN_POINT('Origin',(33.25,14.75,0.)); #572129=CARTESIAN_POINT('',(33.075,14.75,0.052500000000002)); #572130=CARTESIAN_POINT('',(33.075,14.75,-200.)); #572131=CARTESIAN_POINT('Origin',(33.25,14.75,0.052500000000002)); #572132=CARTESIAN_POINT('Origin',(33.25,55.,-200.)); #572133=CARTESIAN_POINT('',(33.075,55.,0.)); #572134=CARTESIAN_POINT('Origin',(33.25,55.,0.)); #572135=CARTESIAN_POINT('',(33.075,55.,0.052500000000002)); #572136=CARTESIAN_POINT('',(33.075,55.,-200.)); #572137=CARTESIAN_POINT('Origin',(33.25,55.,0.052500000000002)); #572138=CARTESIAN_POINT('Origin',(7.25,34.,-200.)); #572139=CARTESIAN_POINT('',(7.075,34.,0.)); #572140=CARTESIAN_POINT('Origin',(7.25,34.,0.)); #572141=CARTESIAN_POINT('',(7.075,34.,0.052500000000002)); #572142=CARTESIAN_POINT('',(7.075,34.,-200.)); #572143=CARTESIAN_POINT('Origin',(7.25,34.,0.052500000000002)); #572144=CARTESIAN_POINT('Origin',(34.762,60.25,-200.)); #572145=CARTESIAN_POINT('',(34.587,60.25,0.)); #572146=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #572147=CARTESIAN_POINT('',(34.587,60.25,0.052500000000002)); #572148=CARTESIAN_POINT('',(34.587,60.25,-200.)); #572149=CARTESIAN_POINT('Origin',(34.762,60.25,0.052500000000002)); #572150=CARTESIAN_POINT('Origin',(55.75,34.,-200.)); #572151=CARTESIAN_POINT('',(55.25,34.,0.)); #572152=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #572153=CARTESIAN_POINT('',(55.25,34.,0.052500000000002)); #572154=CARTESIAN_POINT('',(55.25,34.,-200.)); #572155=CARTESIAN_POINT('Origin',(55.75,34.,0.052500000000002)); #572156=CARTESIAN_POINT('Origin',(30.03,2.09999997186536,-200.)); #572157=CARTESIAN_POINT('',(30.03,2.89999999062179,0.052500000000002)); #572158=CARTESIAN_POINT('',(30.03,2.09999997186536,0.052500000000002)); #572159=CARTESIAN_POINT('',(30.0300000000001,18.0499999859327,0.052500000000002)); #572160=CARTESIAN_POINT('',(30.03,2.89999999062179,0.)); #572161=CARTESIAN_POINT('',(30.03,2.89999999062179,-200.)); #572162=CARTESIAN_POINT('',(30.03,2.09999997186536,0.)); #572163=CARTESIAN_POINT('',(30.0300000000001,18.0499999859327,0.)); #572164=CARTESIAN_POINT('',(30.03,2.09999997186536,-200.)); #572165=CARTESIAN_POINT('Origin',(29.68,2.1,-200.)); #572166=CARTESIAN_POINT('',(29.33,2.10000000937821,0.052500000000002)); #572167=CARTESIAN_POINT('Origin',(29.68,2.1,0.052500000000002)); #572168=CARTESIAN_POINT('',(29.33,2.10000000937821,0.)); #572169=CARTESIAN_POINT('Origin',(29.68,2.1,0.)); #572170=CARTESIAN_POINT('',(29.33,2.10000000937821,-200.)); #572171=CARTESIAN_POINT('Origin',(29.33,2.90000002813464,-200.)); #572172=CARTESIAN_POINT('',(29.33,2.90000002813464,0.052500000000002)); #572173=CARTESIAN_POINT('',(29.3300000000001,18.4500000140673,0.052500000000002)); #572174=CARTESIAN_POINT('',(29.33,2.90000002813464,0.)); #572175=CARTESIAN_POINT('',(29.3300000000001,18.4500000140673,0.)); #572176=CARTESIAN_POINT('',(29.33,2.90000002813464,-200.)); #572177=CARTESIAN_POINT('Origin',(29.68,2.9,-200.)); #572178=CARTESIAN_POINT('Origin',(29.68,2.9,0.052500000000002)); #572179=CARTESIAN_POINT('Origin',(29.68,2.9,0.)); #572180=CARTESIAN_POINT('Origin',(35.25,6.25,-200.)); #572181=CARTESIAN_POINT('',(35.075,6.25,0.)); #572182=CARTESIAN_POINT('Origin',(35.25,6.25,0.)); #572183=CARTESIAN_POINT('',(35.075,6.25,0.052500000000002)); #572184=CARTESIAN_POINT('',(35.075,6.25,-200.)); #572185=CARTESIAN_POINT('Origin',(35.25,6.25,0.052500000000002)); #572186=CARTESIAN_POINT('Origin',(29.4500000482308,34.6,-200.)); #572187=CARTESIAN_POINT('',(28.8500000160769,34.6,0.052500000000002)); #572188=CARTESIAN_POINT('',(29.4500000482308,34.6,0.052500000000002)); #572189=CARTESIAN_POINT('',(31.7250000241154,34.6,0.052500000000002)); #572190=CARTESIAN_POINT('',(28.8500000160769,34.6,0.)); #572191=CARTESIAN_POINT('',(28.8500000160769,34.6,-200.)); #572192=CARTESIAN_POINT('',(29.4500000482308,34.6,0.)); #572193=CARTESIAN_POINT('',(31.7250000241154,34.6,0.)); #572194=CARTESIAN_POINT('',(29.4500000482308,34.6,-200.)); #572195=CARTESIAN_POINT('Origin',(29.45,34.,-200.)); #572196=CARTESIAN_POINT('',(29.4499999839231,33.4,0.052500000000002)); #572197=CARTESIAN_POINT('Origin',(29.45,34.,0.052500000000002)); #572198=CARTESIAN_POINT('',(29.4499999839231,33.4,0.)); #572199=CARTESIAN_POINT('Origin',(29.45,34.,0.)); #572200=CARTESIAN_POINT('',(29.4499999839231,33.4,-200.)); #572201=CARTESIAN_POINT('Origin',(28.8499999517692,33.4,-200.)); #572202=CARTESIAN_POINT('',(28.8499999517692,33.4,0.052500000000002)); #572203=CARTESIAN_POINT('',(31.4249999758846,33.4,0.052500000000002)); #572204=CARTESIAN_POINT('',(28.8499999517692,33.4,0.)); #572205=CARTESIAN_POINT('',(31.4249999758846,33.4,0.)); #572206=CARTESIAN_POINT('',(28.8499999517692,33.4,-200.)); #572207=CARTESIAN_POINT('Origin',(28.85,34.,-200.)); #572208=CARTESIAN_POINT('Origin',(28.85,34.,0.052500000000002)); #572209=CARTESIAN_POINT('Origin',(28.85,34.,0.)); #572210=CARTESIAN_POINT('Origin',(31.75,16.25,-200.)); #572211=CARTESIAN_POINT('',(31.575,16.25,0.)); #572212=CARTESIAN_POINT('Origin',(31.75,16.25,0.)); #572213=CARTESIAN_POINT('',(31.575,16.25,0.052500000000002)); #572214=CARTESIAN_POINT('',(31.575,16.25,-200.)); #572215=CARTESIAN_POINT('Origin',(31.75,16.25,0.052500000000002)); #572216=CARTESIAN_POINT('Origin',(30.8,7.6,-200.)); #572217=CARTESIAN_POINT('',(30.65,7.6,0.)); #572218=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #572219=CARTESIAN_POINT('',(30.65,7.6,0.052500000000002)); #572220=CARTESIAN_POINT('',(30.65,7.6,-200.)); #572221=CARTESIAN_POINT('Origin',(30.8,7.6,0.052500000000002)); #572222=CARTESIAN_POINT('Origin',(34.25,8.25,-200.)); #572223=CARTESIAN_POINT('',(34.075,8.25,0.)); #572224=CARTESIAN_POINT('Origin',(34.25,8.25,0.)); #572225=CARTESIAN_POINT('',(34.075,8.25,0.052500000000002)); #572226=CARTESIAN_POINT('',(34.075,8.25,-200.)); #572227=CARTESIAN_POINT('Origin',(34.25,8.25,0.052500000000002)); #572228=CARTESIAN_POINT('Origin',(39.1500000482308,34.6,-200.)); #572229=CARTESIAN_POINT('',(38.5500000160769,34.6,0.052500000000002)); #572230=CARTESIAN_POINT('',(39.1500000482308,34.6,0.052500000000002)); #572231=CARTESIAN_POINT('',(36.5750000241154,34.6,0.052500000000002)); #572232=CARTESIAN_POINT('',(38.5500000160769,34.6,0.)); #572233=CARTESIAN_POINT('',(38.5500000160769,34.6,-200.)); #572234=CARTESIAN_POINT('',(39.1500000482308,34.6,0.)); #572235=CARTESIAN_POINT('',(36.5750000241154,34.6,0.)); #572236=CARTESIAN_POINT('',(39.1500000482308,34.6,-200.)); #572237=CARTESIAN_POINT('Origin',(39.15,34.,-200.)); #572238=CARTESIAN_POINT('',(39.1499999839231,33.4,0.052500000000002)); #572239=CARTESIAN_POINT('Origin',(39.15,34.,0.052500000000002)); #572240=CARTESIAN_POINT('',(39.1499999839231,33.4,0.)); #572241=CARTESIAN_POINT('Origin',(39.15,34.,0.)); #572242=CARTESIAN_POINT('',(39.1499999839231,33.4,-200.)); #572243=CARTESIAN_POINT('Origin',(38.5499999517692,33.4,-200.)); #572244=CARTESIAN_POINT('',(38.5499999517692,33.4,0.052500000000002)); #572245=CARTESIAN_POINT('',(36.2749999758846,33.4,0.052500000000002)); #572246=CARTESIAN_POINT('',(38.5499999517692,33.4,0.)); #572247=CARTESIAN_POINT('',(36.2749999758846,33.4,0.)); #572248=CARTESIAN_POINT('',(38.5499999517692,33.4,-200.)); #572249=CARTESIAN_POINT('Origin',(38.55,34.,-200.)); #572250=CARTESIAN_POINT('Origin',(38.55,34.,0.052500000000002)); #572251=CARTESIAN_POINT('Origin',(38.55,34.,0.)); #572252=CARTESIAN_POINT('Origin',(34.75,17.75,-200.)); #572253=CARTESIAN_POINT('',(34.575,17.75,0.)); #572254=CARTESIAN_POINT('Origin',(34.75,17.75,0.)); #572255=CARTESIAN_POINT('',(34.575,17.75,0.052500000000002)); #572256=CARTESIAN_POINT('',(34.575,17.75,-200.)); #572257=CARTESIAN_POINT('Origin',(34.75,17.75,0.052500000000002)); #572258=CARTESIAN_POINT('Origin',(37.2,7.1,-200.)); #572259=CARTESIAN_POINT('',(37.05,7.1,0.)); #572260=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #572261=CARTESIAN_POINT('',(37.05,7.1,0.052500000000002)); #572262=CARTESIAN_POINT('',(37.05,7.1,-200.)); #572263=CARTESIAN_POINT('Origin',(37.2,7.1,0.052500000000002)); #572264=CARTESIAN_POINT('Origin',(32.75,8.25,-200.)); #572265=CARTESIAN_POINT('',(32.575,8.25,0.)); #572266=CARTESIAN_POINT('Origin',(32.75,8.25,0.)); #572267=CARTESIAN_POINT('',(32.575,8.25,0.052500000000002)); #572268=CARTESIAN_POINT('',(32.575,8.25,-200.)); #572269=CARTESIAN_POINT('Origin',(32.75,8.25,0.052500000000002)); #572270=CARTESIAN_POINT('Origin',(31.6,7.1,-200.)); #572271=CARTESIAN_POINT('',(31.45,7.1,0.)); #572272=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #572273=CARTESIAN_POINT('',(31.45,7.1,0.052500000000002)); #572274=CARTESIAN_POINT('',(31.45,7.1,-200.)); #572275=CARTESIAN_POINT('Origin',(31.6,7.1,0.052500000000002)); #572276=CARTESIAN_POINT('Origin',(31.75,15.5,-200.)); #572277=CARTESIAN_POINT('',(31.575,15.5,0.)); #572278=CARTESIAN_POINT('Origin',(31.75,15.5,0.)); #572279=CARTESIAN_POINT('',(31.575,15.5,0.052500000000002)); #572280=CARTESIAN_POINT('',(31.575,15.5,-200.)); #572281=CARTESIAN_POINT('Origin',(31.75,15.5,0.052500000000002)); #572282=CARTESIAN_POINT('Origin',(37.2,7.6,-200.)); #572283=CARTESIAN_POINT('',(37.05,7.6,0.)); #572284=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #572285=CARTESIAN_POINT('',(37.05,7.6,0.052500000000002)); #572286=CARTESIAN_POINT('',(37.05,7.6,-200.)); #572287=CARTESIAN_POINT('Origin',(37.2,7.6,0.052500000000002)); #572288=CARTESIAN_POINT('Origin',(33.75,6.2426,-200.)); #572289=CARTESIAN_POINT('',(33.575,6.2426,0.)); #572290=CARTESIAN_POINT('Origin',(33.75,6.2426,0.)); #572291=CARTESIAN_POINT('',(33.575,6.2426,0.052500000000002)); #572292=CARTESIAN_POINT('',(33.575,6.2426,-200.)); #572293=CARTESIAN_POINT('Origin',(33.75,6.2426,0.052500000000002)); #572294=CARTESIAN_POINT('Origin',(30.8,7.1,-200.)); #572295=CARTESIAN_POINT('',(30.65,7.1,0.)); #572296=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #572297=CARTESIAN_POINT('',(30.65,7.1,0.052500000000002)); #572298=CARTESIAN_POINT('',(30.65,7.1,-200.)); #572299=CARTESIAN_POINT('Origin',(30.8,7.1,0.052500000000002)); #572300=CARTESIAN_POINT('Origin',(34.75,55.,-200.)); #572301=CARTESIAN_POINT('',(34.575,55.,0.)); #572302=CARTESIAN_POINT('Origin',(34.75,55.,0.)); #572303=CARTESIAN_POINT('',(34.575,55.,0.052500000000002)); #572304=CARTESIAN_POINT('',(34.575,55.,-200.)); #572305=CARTESIAN_POINT('Origin',(34.75,55.,0.052500000000002)); #572306=CARTESIAN_POINT('Origin',(42.25,28.5,-200.)); #572307=CARTESIAN_POINT('',(42.075,28.5,0.)); #572308=CARTESIAN_POINT('Origin',(42.25,28.5,0.)); #572309=CARTESIAN_POINT('',(42.075,28.5,0.052500000000002)); #572310=CARTESIAN_POINT('',(42.075,28.5,-200.)); #572311=CARTESIAN_POINT('Origin',(42.25,28.5,0.052500000000002)); #572312=CARTESIAN_POINT('Origin',(30.03,6.27999997186536,-200.)); #572313=CARTESIAN_POINT('',(30.03,7.07999999062179,0.052500000000002)); #572314=CARTESIAN_POINT('',(30.03,6.27999997186536,0.052500000000002)); #572315=CARTESIAN_POINT('',(30.0300000000001,20.1399999859327,0.052500000000002)); #572316=CARTESIAN_POINT('',(30.03,7.07999999062179,0.)); #572317=CARTESIAN_POINT('',(30.03,7.07999999062179,-200.)); #572318=CARTESIAN_POINT('',(30.03,6.27999997186536,0.)); #572319=CARTESIAN_POINT('',(30.0300000000001,20.1399999859327,0.)); #572320=CARTESIAN_POINT('',(30.03,6.27999997186536,-200.)); #572321=CARTESIAN_POINT('Origin',(29.68,6.28,-200.)); #572322=CARTESIAN_POINT('',(29.33,6.28000000937821,0.052500000000002)); #572323=CARTESIAN_POINT('Origin',(29.68,6.28,0.052500000000002)); #572324=CARTESIAN_POINT('',(29.33,6.28000000937821,0.)); #572325=CARTESIAN_POINT('Origin',(29.68,6.28,0.)); #572326=CARTESIAN_POINT('',(29.33,6.28000000937821,-200.)); #572327=CARTESIAN_POINT('Origin',(29.33,7.08000002813464,-200.)); #572328=CARTESIAN_POINT('',(29.33,7.08000002813464,0.052500000000002)); #572329=CARTESIAN_POINT('',(29.3300000000001,20.5400000140673,0.052500000000002)); #572330=CARTESIAN_POINT('',(29.33,7.08000002813464,0.)); #572331=CARTESIAN_POINT('',(29.3300000000001,20.5400000140673,0.)); #572332=CARTESIAN_POINT('',(29.33,7.08000002813464,-200.)); #572333=CARTESIAN_POINT('Origin',(29.68,7.08,-200.)); #572334=CARTESIAN_POINT('Origin',(29.68,7.08,0.052500000000002)); #572335=CARTESIAN_POINT('Origin',(29.68,7.08,0.)); #572336=CARTESIAN_POINT('Origin',(31.75,17.,-200.)); #572337=CARTESIAN_POINT('',(31.575,17.,0.)); #572338=CARTESIAN_POINT('Origin',(31.75,17.,0.)); #572339=CARTESIAN_POINT('',(31.575,17.,0.052500000000002)); #572340=CARTESIAN_POINT('',(31.575,17.,-200.)); #572341=CARTESIAN_POINT('Origin',(31.75,17.,0.052500000000002)); #572342=CARTESIAN_POINT('Origin',(38.67,2.09999997186536,-200.)); #572343=CARTESIAN_POINT('',(38.67,2.89999999062179,0.052500000000002)); #572344=CARTESIAN_POINT('',(38.67,2.09999997186536,0.052500000000002)); #572345=CARTESIAN_POINT('',(38.6700000000001,18.0499999859327,0.052500000000002)); #572346=CARTESIAN_POINT('',(38.67,2.89999999062179,0.)); #572347=CARTESIAN_POINT('',(38.67,2.89999999062179,-200.)); #572348=CARTESIAN_POINT('',(38.67,2.09999997186536,0.)); #572349=CARTESIAN_POINT('',(38.6700000000001,18.0499999859327,0.)); #572350=CARTESIAN_POINT('',(38.67,2.09999997186536,-200.)); #572351=CARTESIAN_POINT('Origin',(38.32,2.1,-200.)); #572352=CARTESIAN_POINT('',(37.97,2.10000000937821,0.052500000000002)); #572353=CARTESIAN_POINT('Origin',(38.32,2.1,0.052500000000002)); #572354=CARTESIAN_POINT('',(37.97,2.10000000937821,0.)); #572355=CARTESIAN_POINT('Origin',(38.32,2.1,0.)); #572356=CARTESIAN_POINT('',(37.97,2.10000000937821,-200.)); #572357=CARTESIAN_POINT('Origin',(37.97,2.90000002813464,-200.)); #572358=CARTESIAN_POINT('',(37.97,2.90000002813464,0.052500000000002)); #572359=CARTESIAN_POINT('',(37.9700000000001,18.4500000140673,0.052500000000002)); #572360=CARTESIAN_POINT('',(37.97,2.90000002813464,0.)); #572361=CARTESIAN_POINT('',(37.9700000000001,18.4500000140673,0.)); #572362=CARTESIAN_POINT('',(37.97,2.90000002813464,-200.)); #572363=CARTESIAN_POINT('Origin',(38.32,2.9,-200.)); #572364=CARTESIAN_POINT('Origin',(38.32,2.9,0.052500000000002)); #572365=CARTESIAN_POINT('Origin',(38.32,2.9,0.)); #572366=CARTESIAN_POINT('Origin',(34.,23.125,-200.)); #572367=CARTESIAN_POINT('',(33.825,23.125,0.)); #572368=CARTESIAN_POINT('Origin',(34.,23.125,0.)); #572369=CARTESIAN_POINT('',(33.825,23.125,0.052500000000002)); #572370=CARTESIAN_POINT('',(33.825,23.125,-200.)); #572371=CARTESIAN_POINT('Origin',(34.,23.125,0.052500000000002)); #572372=CARTESIAN_POINT('Origin',(38.67,6.27999997186536,-200.)); #572373=CARTESIAN_POINT('',(38.67,7.07999999062179,0.052500000000002)); #572374=CARTESIAN_POINT('',(38.67,6.27999997186536,0.052500000000002)); #572375=CARTESIAN_POINT('',(38.6700000000001,20.1399999859327,0.052500000000002)); #572376=CARTESIAN_POINT('',(38.67,7.07999999062179,0.)); #572377=CARTESIAN_POINT('',(38.67,7.07999999062179,-200.)); #572378=CARTESIAN_POINT('',(38.67,6.27999997186536,0.)); #572379=CARTESIAN_POINT('',(38.6700000000001,20.1399999859327,0.)); #572380=CARTESIAN_POINT('',(38.67,6.27999997186536,-200.)); #572381=CARTESIAN_POINT('Origin',(38.32,6.28,-200.)); #572382=CARTESIAN_POINT('',(37.97,6.28000000937821,0.052500000000002)); #572383=CARTESIAN_POINT('Origin',(38.32,6.28,0.052500000000002)); #572384=CARTESIAN_POINT('',(37.97,6.28000000937821,0.)); #572385=CARTESIAN_POINT('Origin',(38.32,6.28,0.)); #572386=CARTESIAN_POINT('',(37.97,6.28000000937821,-200.)); #572387=CARTESIAN_POINT('Origin',(37.97,7.08000002813464,-200.)); #572388=CARTESIAN_POINT('',(37.97,7.08000002813464,0.052500000000002)); #572389=CARTESIAN_POINT('',(37.9700000000001,20.5400000140673,0.052500000000002)); #572390=CARTESIAN_POINT('',(37.97,7.08000002813464,0.)); #572391=CARTESIAN_POINT('',(37.9700000000001,20.5400000140673,0.)); #572392=CARTESIAN_POINT('',(37.97,7.08000002813464,-200.)); #572393=CARTESIAN_POINT('Origin',(38.32,7.08,-200.)); #572394=CARTESIAN_POINT('Origin',(38.32,7.08,0.052500000000002)); #572395=CARTESIAN_POINT('Origin',(38.32,7.08,0.)); #572396=CARTESIAN_POINT('Origin',(33.25,17.75,-200.)); #572397=CARTESIAN_POINT('',(33.075,17.75,0.)); #572398=CARTESIAN_POINT('Origin',(33.25,17.75,0.)); #572399=CARTESIAN_POINT('',(33.075,17.75,0.052500000000002)); #572400=CARTESIAN_POINT('',(33.075,17.75,-200.)); #572401=CARTESIAN_POINT('Origin',(33.25,17.75,0.052500000000002)); #572402=CARTESIAN_POINT('Origin',(40.75,27.,-200.)); #572403=CARTESIAN_POINT('',(40.575,27.,0.)); #572404=CARTESIAN_POINT('Origin',(40.75,27.,0.)); #572405=CARTESIAN_POINT('',(40.575,27.,0.052500000000002)); #572406=CARTESIAN_POINT('',(40.575,27.,-200.)); #572407=CARTESIAN_POINT('Origin',(40.75,27.,0.052500000000002)); #572408=CARTESIAN_POINT('Origin',(31.75,17.75,-200.)); #572409=CARTESIAN_POINT('',(31.575,17.75,0.)); #572410=CARTESIAN_POINT('Origin',(31.75,17.75,0.)); #572411=CARTESIAN_POINT('',(31.575,17.75,0.052500000000002)); #572412=CARTESIAN_POINT('',(31.575,17.75,-200.)); #572413=CARTESIAN_POINT('Origin',(31.75,17.75,0.052500000000002)); #572414=CARTESIAN_POINT('Origin',(15.25,21.,-200.)); #572415=CARTESIAN_POINT('',(15.075,21.,0.)); #572416=CARTESIAN_POINT('Origin',(15.25,21.,0.)); #572417=CARTESIAN_POINT('',(15.075,21.,0.052500000000002)); #572418=CARTESIAN_POINT('',(15.075,21.,-200.)); #572419=CARTESIAN_POINT('Origin',(15.25,21.,0.052500000000002)); #572420=CARTESIAN_POINT('Origin',(32.73,45.,-200.)); #572421=CARTESIAN_POINT('',(32.13,45.,0.)); #572422=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #572423=CARTESIAN_POINT('',(32.13,45.,0.052500000000002)); #572424=CARTESIAN_POINT('',(32.13,45.,-200.)); #572425=CARTESIAN_POINT('Origin',(32.73,45.,0.052500000000002)); #572426=CARTESIAN_POINT('Origin',(45.125,56.75,-200.)); #572427=CARTESIAN_POINT('',(44.95,56.75,0.)); #572428=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #572429=CARTESIAN_POINT('',(44.95,56.75,0.052500000000002)); #572430=CARTESIAN_POINT('',(44.95,56.75,-200.)); #572431=CARTESIAN_POINT('Origin',(45.125,56.75,0.052500000000002)); #572432=CARTESIAN_POINT('Origin',(55.25,21.75,-200.)); #572433=CARTESIAN_POINT('',(55.075,21.75,0.)); #572434=CARTESIAN_POINT('Origin',(55.25,21.75,0.)); #572435=CARTESIAN_POINT('',(55.075,21.75,0.052500000000002)); #572436=CARTESIAN_POINT('',(55.075,21.75,-200.)); #572437=CARTESIAN_POINT('Origin',(55.25,21.75,0.052500000000002)); #572438=CARTESIAN_POINT('Origin',(47.75,56.75,-200.)); #572439=CARTESIAN_POINT('',(47.575,56.75,0.)); #572440=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #572441=CARTESIAN_POINT('',(47.575,56.75,0.052500000000002)); #572442=CARTESIAN_POINT('',(47.575,56.75,-200.)); #572443=CARTESIAN_POINT('Origin',(47.75,56.75,0.052500000000002)); #572444=CARTESIAN_POINT('Origin',(35.5,15.5,-200.)); #572445=CARTESIAN_POINT('',(35.325,15.5,0.)); #572446=CARTESIAN_POINT('Origin',(35.5,15.5,0.)); #572447=CARTESIAN_POINT('',(35.325,15.5,0.052500000000002)); #572448=CARTESIAN_POINT('',(35.325,15.5,-200.)); #572449=CARTESIAN_POINT('Origin',(35.5,15.5,0.052500000000002)); #572450=CARTESIAN_POINT('Origin',(47.75,55.25,-200.)); #572451=CARTESIAN_POINT('',(47.575,55.25,0.)); #572452=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #572453=CARTESIAN_POINT('',(47.575,55.25,0.052500000000002)); #572454=CARTESIAN_POINT('',(47.575,55.25,-200.)); #572455=CARTESIAN_POINT('Origin',(47.75,55.25,0.052500000000002)); #572456=CARTESIAN_POINT('Origin',(55.25,21.,-200.)); #572457=CARTESIAN_POINT('',(55.075,21.,0.)); #572458=CARTESIAN_POINT('Origin',(55.25,21.,0.)); #572459=CARTESIAN_POINT('',(55.075,21.,0.052500000000002)); #572460=CARTESIAN_POINT('',(55.075,21.,-200.)); #572461=CARTESIAN_POINT('Origin',(55.25,21.,0.052500000000002)); #572462=CARTESIAN_POINT('Origin',(47.75,56.,-200.)); #572463=CARTESIAN_POINT('',(47.575,56.,0.)); #572464=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #572465=CARTESIAN_POINT('',(47.575,56.,0.052500000000002)); #572466=CARTESIAN_POINT('',(47.575,56.,-200.)); #572467=CARTESIAN_POINT('Origin',(47.75,56.,0.052500000000002)); #572468=CARTESIAN_POINT('Origin',(30.75,9.5,-200.)); #572469=CARTESIAN_POINT('',(30.575,9.5,0.)); #572470=CARTESIAN_POINT('Origin',(30.75,9.5,0.)); #572471=CARTESIAN_POINT('',(30.575,9.5,0.052500000000002)); #572472=CARTESIAN_POINT('',(30.575,9.5,-200.)); #572473=CARTESIAN_POINT('Origin',(30.75,9.5,0.052500000000002)); #572474=CARTESIAN_POINT('Origin',(46.,56.,-200.)); #572475=CARTESIAN_POINT('',(45.825,56.,0.)); #572476=CARTESIAN_POINT('Origin',(46.,56.,0.)); #572477=CARTESIAN_POINT('',(45.825,56.,0.052500000000002)); #572478=CARTESIAN_POINT('',(45.825,56.,-200.)); #572479=CARTESIAN_POINT('Origin',(46.,56.,0.052500000000002)); #572480=CARTESIAN_POINT('Origin',(40.,38.75,-200.)); #572481=CARTESIAN_POINT('',(39.825,38.75,0.)); #572482=CARTESIAN_POINT('Origin',(40.,38.75,0.)); #572483=CARTESIAN_POINT('',(39.825,38.75,0.052500000000002)); #572484=CARTESIAN_POINT('',(39.825,38.75,-200.)); #572485=CARTESIAN_POINT('Origin',(40.,38.75,0.052500000000002)); #572486=CARTESIAN_POINT('Origin',(44.25,56.,-200.)); #572487=CARTESIAN_POINT('',(44.075,56.,0.)); #572488=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #572489=CARTESIAN_POINT('',(44.075,56.,0.052500000000002)); #572490=CARTESIAN_POINT('',(44.075,56.,-200.)); #572491=CARTESIAN_POINT('Origin',(44.25,56.,0.052500000000002)); #572492=CARTESIAN_POINT('Origin',(34.75,15.5,-200.)); #572493=CARTESIAN_POINT('',(34.575,15.5,0.)); #572494=CARTESIAN_POINT('Origin',(34.75,15.5,0.)); #572495=CARTESIAN_POINT('',(34.575,15.5,0.052500000000002)); #572496=CARTESIAN_POINT('',(34.575,15.5,-200.)); #572497=CARTESIAN_POINT('Origin',(34.75,15.5,0.052500000000002)); #572498=CARTESIAN_POINT('Origin',(46.,56.75,-200.)); #572499=CARTESIAN_POINT('',(45.825,56.75,0.)); #572500=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #572501=CARTESIAN_POINT('',(45.825,56.75,0.052500000000002)); #572502=CARTESIAN_POINT('',(45.825,56.75,-200.)); #572503=CARTESIAN_POINT('Origin',(46.,56.75,0.052500000000002)); #572504=CARTESIAN_POINT('Origin',(40.,39.5,-200.)); #572505=CARTESIAN_POINT('',(39.825,39.5,0.)); #572506=CARTESIAN_POINT('Origin',(40.,39.5,0.)); #572507=CARTESIAN_POINT('',(39.825,39.5,0.052500000000002)); #572508=CARTESIAN_POINT('',(39.825,39.5,-200.)); #572509=CARTESIAN_POINT('Origin',(40.,39.5,0.052500000000002)); #572510=CARTESIAN_POINT('Origin',(46.,55.25,-200.)); #572511=CARTESIAN_POINT('',(45.825,55.25,0.)); #572512=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #572513=CARTESIAN_POINT('',(45.825,55.25,0.052500000000002)); #572514=CARTESIAN_POINT('',(45.825,55.25,-200.)); #572515=CARTESIAN_POINT('Origin',(46.,55.25,0.052500000000002)); #572516=CARTESIAN_POINT('Origin',(34.,25.25,-200.)); #572517=CARTESIAN_POINT('',(33.5,25.25,0.)); #572518=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #572519=CARTESIAN_POINT('',(33.5,25.25,0.052500000000002)); #572520=CARTESIAN_POINT('',(33.5,25.25,-200.)); #572521=CARTESIAN_POINT('Origin',(34.,25.25,0.052500000000002)); #572522=CARTESIAN_POINT('Origin',(52.125,47.75,-200.)); #572523=CARTESIAN_POINT('',(51.95,47.75,0.)); #572524=CARTESIAN_POINT('Origin',(52.125,47.75,0.)); #572525=CARTESIAN_POINT('',(51.95,47.75,0.052500000000002)); #572526=CARTESIAN_POINT('',(51.95,47.75,-200.)); #572527=CARTESIAN_POINT('Origin',(52.125,47.75,0.052500000000002)); #572528=CARTESIAN_POINT('Origin',(28.,38.75,-200.)); #572529=CARTESIAN_POINT('',(27.825,38.75,0.)); #572530=CARTESIAN_POINT('Origin',(28.,38.75,0.)); #572531=CARTESIAN_POINT('',(27.825,38.75,0.052500000000002)); #572532=CARTESIAN_POINT('',(27.825,38.75,-200.)); #572533=CARTESIAN_POINT('Origin',(28.,38.75,0.052500000000002)); #572534=CARTESIAN_POINT('Origin',(52.125,47.,-200.)); #572535=CARTESIAN_POINT('',(51.95,47.,0.)); #572536=CARTESIAN_POINT('Origin',(52.125,47.,0.)); #572537=CARTESIAN_POINT('',(51.95,47.,0.052500000000002)); #572538=CARTESIAN_POINT('',(51.95,47.,-200.)); #572539=CARTESIAN_POINT('Origin',(52.125,47.,0.052500000000002)); #572540=CARTESIAN_POINT('Origin',(33.25,15.5,-200.)); #572541=CARTESIAN_POINT('',(33.075,15.5,0.)); #572542=CARTESIAN_POINT('Origin',(33.25,15.5,0.)); #572543=CARTESIAN_POINT('',(33.075,15.5,0.052500000000002)); #572544=CARTESIAN_POINT('',(33.075,15.5,-200.)); #572545=CARTESIAN_POINT('Origin',(33.25,15.5,0.052500000000002)); #572546=CARTESIAN_POINT('Origin',(51.25,46.25,-200.)); #572547=CARTESIAN_POINT('',(51.075,46.25,0.)); #572548=CARTESIAN_POINT('Origin',(51.25,46.25,0.)); #572549=CARTESIAN_POINT('',(51.075,46.25,0.052500000000002)); #572550=CARTESIAN_POINT('',(51.075,46.25,-200.)); #572551=CARTESIAN_POINT('Origin',(51.25,46.25,0.052500000000002)); #572552=CARTESIAN_POINT('Origin',(28.,38.,-200.)); #572553=CARTESIAN_POINT('',(27.825,38.,0.)); #572554=CARTESIAN_POINT('Origin',(28.,38.,0.)); #572555=CARTESIAN_POINT('',(27.825,38.,0.052500000000002)); #572556=CARTESIAN_POINT('',(27.825,38.,-200.)); #572557=CARTESIAN_POINT('Origin',(28.,38.,0.052500000000002)); #572558=CARTESIAN_POINT('Origin',(52.125,46.25,-200.)); #572559=CARTESIAN_POINT('',(51.95,46.25,0.)); #572560=CARTESIAN_POINT('Origin',(52.125,46.25,0.)); #572561=CARTESIAN_POINT('',(51.95,46.25,0.052500000000002)); #572562=CARTESIAN_POINT('',(51.95,46.25,-200.)); #572563=CARTESIAN_POINT('Origin',(52.125,46.25,0.052500000000002)); #572564=CARTESIAN_POINT('Origin',(30.75,8.75,-200.)); #572565=CARTESIAN_POINT('',(30.575,8.75,0.)); #572566=CARTESIAN_POINT('Origin',(30.75,8.75,0.)); #572567=CARTESIAN_POINT('',(30.575,8.75,0.052500000000002)); #572568=CARTESIAN_POINT('',(30.575,8.75,-200.)); #572569=CARTESIAN_POINT('Origin',(30.75,8.75,0.052500000000002)); #572570=CARTESIAN_POINT('Origin',(41.25,31.,-200.)); #572571=CARTESIAN_POINT('',(41.075,31.,0.)); #572572=CARTESIAN_POINT('Origin',(41.25,31.,0.)); #572573=CARTESIAN_POINT('',(41.075,31.,0.052500000000002)); #572574=CARTESIAN_POINT('',(41.075,31.,-200.)); #572575=CARTESIAN_POINT('Origin',(41.25,31.,0.052500000000002)); #572576=CARTESIAN_POINT('Origin',(28.,39.5,-200.)); #572577=CARTESIAN_POINT('',(27.825,39.5,0.)); #572578=CARTESIAN_POINT('Origin',(28.,39.5,0.)); #572579=CARTESIAN_POINT('',(27.825,39.5,0.052500000000002)); #572580=CARTESIAN_POINT('',(27.825,39.5,-200.)); #572581=CARTESIAN_POINT('Origin',(28.,39.5,0.052500000000002)); #572582=CARTESIAN_POINT('Origin',(41.25,36.,-200.)); #572583=CARTESIAN_POINT('',(41.075,36.,0.)); #572584=CARTESIAN_POINT('Origin',(41.25,36.,0.)); #572585=CARTESIAN_POINT('',(41.075,36.,0.052500000000002)); #572586=CARTESIAN_POINT('',(41.075,36.,-200.)); #572587=CARTESIAN_POINT('Origin',(41.25,36.,0.052500000000002)); #572588=CARTESIAN_POINT('Origin',(34.,15.5,-200.)); #572589=CARTESIAN_POINT('',(33.825,15.5,0.)); #572590=CARTESIAN_POINT('Origin',(34.,15.5,0.)); #572591=CARTESIAN_POINT('',(33.825,15.5,0.052500000000002)); #572592=CARTESIAN_POINT('',(33.825,15.5,-200.)); #572593=CARTESIAN_POINT('Origin',(34.,15.5,0.052500000000002)); #572594=CARTESIAN_POINT('Origin',(44.25,56.75,-200.)); #572595=CARTESIAN_POINT('',(44.075,56.75,0.)); #572596=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #572597=CARTESIAN_POINT('',(44.075,56.75,0.052500000000002)); #572598=CARTESIAN_POINT('',(44.075,56.75,-200.)); #572599=CARTESIAN_POINT('Origin',(44.25,56.75,0.052500000000002)); #572600=CARTESIAN_POINT('Origin',(40.,38.,-200.)); #572601=CARTESIAN_POINT('',(39.825,38.,0.)); #572602=CARTESIAN_POINT('Origin',(40.,38.,0.)); #572603=CARTESIAN_POINT('',(39.825,38.,0.052500000000002)); #572604=CARTESIAN_POINT('',(39.825,38.,-200.)); #572605=CARTESIAN_POINT('Origin',(40.,38.,0.052500000000002)); #572606=CARTESIAN_POINT('Origin',(44.25,55.25,-200.)); #572607=CARTESIAN_POINT('',(44.075,55.25,0.)); #572608=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #572609=CARTESIAN_POINT('',(44.075,55.25,0.052500000000002)); #572610=CARTESIAN_POINT('',(44.075,55.25,-200.)); #572611=CARTESIAN_POINT('Origin',(44.25,55.25,0.052500000000002)); #572612=CARTESIAN_POINT('Origin',(43.75,34.,-200.)); #572613=CARTESIAN_POINT('',(43.2,34.,0.)); #572614=CARTESIAN_POINT('Origin',(43.75,34.,0.)); #572615=CARTESIAN_POINT('',(43.2,34.,0.052500000000002)); #572616=CARTESIAN_POINT('',(43.2,34.,-200.)); #572617=CARTESIAN_POINT('Origin',(43.75,34.,0.052500000000002)); #572618=CARTESIAN_POINT('Origin',(48.625,47.75,-200.)); #572619=CARTESIAN_POINT('',(48.45,47.75,0.)); #572620=CARTESIAN_POINT('Origin',(48.625,47.75,0.)); #572621=CARTESIAN_POINT('',(48.45,47.75,0.052500000000002)); #572622=CARTESIAN_POINT('',(48.45,47.75,-200.)); #572623=CARTESIAN_POINT('Origin',(48.625,47.75,0.052500000000002)); #572624=CARTESIAN_POINT('Origin',(41.,24.5,-200.)); #572625=CARTESIAN_POINT('',(40.825,24.5,0.)); #572626=CARTESIAN_POINT('Origin',(41.,24.5,0.)); #572627=CARTESIAN_POINT('',(40.825,24.5,0.052500000000002)); #572628=CARTESIAN_POINT('',(40.825,24.5,-200.)); #572629=CARTESIAN_POINT('Origin',(41.,24.5,0.052500000000002)); #572630=CARTESIAN_POINT('Origin',(48.625,47.,-200.)); #572631=CARTESIAN_POINT('',(48.45,47.,0.)); #572632=CARTESIAN_POINT('Origin',(48.625,47.,0.)); #572633=CARTESIAN_POINT('',(48.45,47.,0.052500000000002)); #572634=CARTESIAN_POINT('',(48.45,47.,-200.)); #572635=CARTESIAN_POINT('Origin',(48.625,47.,0.052500000000002)); #572636=CARTESIAN_POINT('Origin',(32.5,17.,-200.)); #572637=CARTESIAN_POINT('',(32.325,17.,0.)); #572638=CARTESIAN_POINT('Origin',(32.5,17.,0.)); #572639=CARTESIAN_POINT('',(32.325,17.,0.052500000000002)); #572640=CARTESIAN_POINT('',(32.325,17.,-200.)); #572641=CARTESIAN_POINT('Origin',(32.5,17.,0.052500000000002)); #572642=CARTESIAN_POINT('Origin',(46.875,47.75,-200.)); #572643=CARTESIAN_POINT('',(46.7,47.75,0.)); #572644=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #572645=CARTESIAN_POINT('',(46.7,47.75,0.052500000000002)); #572646=CARTESIAN_POINT('',(46.7,47.75,-200.)); #572647=CARTESIAN_POINT('Origin',(46.875,47.75,0.052500000000002)); #572648=CARTESIAN_POINT('Origin',(41.,26.,-200.)); #572649=CARTESIAN_POINT('',(40.825,26.,0.)); #572650=CARTESIAN_POINT('Origin',(41.,26.,0.)); #572651=CARTESIAN_POINT('',(40.825,26.,0.052500000000002)); #572652=CARTESIAN_POINT('',(40.825,26.,-200.)); #572653=CARTESIAN_POINT('Origin',(41.,26.,0.052500000000002)); #572654=CARTESIAN_POINT('Origin',(48.625,46.25,-200.)); #572655=CARTESIAN_POINT('',(48.45,46.25,0.)); #572656=CARTESIAN_POINT('Origin',(48.625,46.25,0.)); #572657=CARTESIAN_POINT('',(48.45,46.25,0.052500000000002)); #572658=CARTESIAN_POINT('',(48.45,46.25,-200.)); #572659=CARTESIAN_POINT('Origin',(48.625,46.25,0.052500000000002)); #572660=CARTESIAN_POINT('Origin',(34.75,5.5,-200.)); #572661=CARTESIAN_POINT('',(34.575,5.5,0.)); #572662=CARTESIAN_POINT('Origin',(34.75,5.5,0.)); #572663=CARTESIAN_POINT('',(34.575,5.5,0.052500000000002)); #572664=CARTESIAN_POINT('',(34.575,5.5,-200.)); #572665=CARTESIAN_POINT('Origin',(34.75,5.5,0.052500000000002)); #572666=CARTESIAN_POINT('Origin',(45.125,46.25,-200.)); #572667=CARTESIAN_POINT('',(44.95,46.25,0.)); #572668=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #572669=CARTESIAN_POINT('',(44.95,46.25,0.052500000000002)); #572670=CARTESIAN_POINT('',(44.95,46.25,-200.)); #572671=CARTESIAN_POINT('Origin',(45.125,46.25,0.052500000000002)); #572672=CARTESIAN_POINT('Origin',(41.75,25.25,-200.)); #572673=CARTESIAN_POINT('',(41.575,25.25,0.)); #572674=CARTESIAN_POINT('Origin',(41.75,25.25,0.)); #572675=CARTESIAN_POINT('',(41.575,25.25,0.052500000000002)); #572676=CARTESIAN_POINT('',(41.575,25.25,-200.)); #572677=CARTESIAN_POINT('Origin',(41.75,25.25,0.052500000000002)); #572678=CARTESIAN_POINT('Origin',(45.125,47.,-200.)); #572679=CARTESIAN_POINT('',(44.95,47.,0.)); #572680=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #572681=CARTESIAN_POINT('',(44.95,47.,0.052500000000002)); #572682=CARTESIAN_POINT('',(44.95,47.,-200.)); #572683=CARTESIAN_POINT('Origin',(45.125,47.,0.052500000000002)); #572684=CARTESIAN_POINT('Origin',(32.5,17.75,-200.)); #572685=CARTESIAN_POINT('',(32.325,17.75,0.)); #572686=CARTESIAN_POINT('Origin',(32.5,17.75,0.)); #572687=CARTESIAN_POINT('',(32.325,17.75,0.052500000000002)); #572688=CARTESIAN_POINT('',(32.325,17.75,-200.)); #572689=CARTESIAN_POINT('Origin',(32.5,17.75,0.052500000000002)); #572690=CARTESIAN_POINT('Origin',(46.875,46.25,-200.)); #572691=CARTESIAN_POINT('',(46.7,46.25,0.)); #572692=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #572693=CARTESIAN_POINT('',(46.7,46.25,0.052500000000002)); #572694=CARTESIAN_POINT('',(46.7,46.25,-200.)); #572695=CARTESIAN_POINT('Origin',(46.875,46.25,0.052500000000002)); #572696=CARTESIAN_POINT('Origin',(41.75,26.,-200.)); #572697=CARTESIAN_POINT('',(41.575,26.,0.)); #572698=CARTESIAN_POINT('Origin',(41.75,26.,0.)); #572699=CARTESIAN_POINT('',(41.575,26.,0.052500000000002)); #572700=CARTESIAN_POINT('',(41.575,26.,-200.)); #572701=CARTESIAN_POINT('Origin',(41.75,26.,0.052500000000002)); #572702=CARTESIAN_POINT('Origin',(46.875,47.,-200.)); #572703=CARTESIAN_POINT('',(46.7,47.,0.)); #572704=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #572705=CARTESIAN_POINT('',(46.7,47.,0.052500000000002)); #572706=CARTESIAN_POINT('',(46.7,47.,-200.)); #572707=CARTESIAN_POINT('Origin',(46.875,47.,0.052500000000002)); #572708=CARTESIAN_POINT('Origin',(36.5,25.25,-200.)); #572709=CARTESIAN_POINT('',(36.,25.25,0.)); #572710=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #572711=CARTESIAN_POINT('',(36.,25.25,0.052500000000002)); #572712=CARTESIAN_POINT('',(36.,25.25,-200.)); #572713=CARTESIAN_POINT('Origin',(36.5,25.25,0.052500000000002)); #572714=CARTESIAN_POINT('Origin',(50.375,46.25,-200.)); #572715=CARTESIAN_POINT('',(50.2,46.25,0.)); #572716=CARTESIAN_POINT('Origin',(50.375,46.25,0.)); #572717=CARTESIAN_POINT('',(50.2,46.25,0.052500000000002)); #572718=CARTESIAN_POINT('',(50.2,46.25,-200.)); #572719=CARTESIAN_POINT('Origin',(50.375,46.25,0.052500000000002)); #572720=CARTESIAN_POINT('Origin',(42.5,24.5,-200.)); #572721=CARTESIAN_POINT('',(42.325,24.5,0.)); #572722=CARTESIAN_POINT('Origin',(42.5,24.5,0.)); #572723=CARTESIAN_POINT('',(42.325,24.5,0.052500000000002)); #572724=CARTESIAN_POINT('',(42.325,24.5,-200.)); #572725=CARTESIAN_POINT('Origin',(42.5,24.5,0.052500000000002)); #572726=CARTESIAN_POINT('Origin',(49.5,46.25,-200.)); #572727=CARTESIAN_POINT('',(49.325,46.25,0.)); #572728=CARTESIAN_POINT('Origin',(49.5,46.25,0.)); #572729=CARTESIAN_POINT('',(49.325,46.25,0.052500000000002)); #572730=CARTESIAN_POINT('',(49.325,46.25,-200.)); #572731=CARTESIAN_POINT('Origin',(49.5,46.25,0.052500000000002)); #572732=CARTESIAN_POINT('Origin',(32.5,16.25,-200.)); #572733=CARTESIAN_POINT('',(32.325,16.25,0.)); #572734=CARTESIAN_POINT('Origin',(32.5,16.25,0.)); #572735=CARTESIAN_POINT('',(32.325,16.25,0.052500000000002)); #572736=CARTESIAN_POINT('',(32.325,16.25,-200.)); #572737=CARTESIAN_POINT('Origin',(32.5,16.25,0.052500000000002)); #572738=CARTESIAN_POINT('Origin',(49.5,47.75,-200.)); #572739=CARTESIAN_POINT('',(49.325,47.75,0.)); #572740=CARTESIAN_POINT('Origin',(49.5,47.75,0.)); #572741=CARTESIAN_POINT('',(49.325,47.75,0.052500000000002)); #572742=CARTESIAN_POINT('',(49.325,47.75,-200.)); #572743=CARTESIAN_POINT('Origin',(49.5,47.75,0.052500000000002)); #572744=CARTESIAN_POINT('Origin',(41.75,24.5,-200.)); #572745=CARTESIAN_POINT('',(41.575,24.5,0.)); #572746=CARTESIAN_POINT('Origin',(41.75,24.5,0.)); #572747=CARTESIAN_POINT('',(41.575,24.5,0.052500000000002)); #572748=CARTESIAN_POINT('',(41.575,24.5,-200.)); #572749=CARTESIAN_POINT('Origin',(41.75,24.5,0.052500000000002)); #572750=CARTESIAN_POINT('Origin',(49.5,47.,-200.)); #572751=CARTESIAN_POINT('',(49.325,47.,0.)); #572752=CARTESIAN_POINT('Origin',(49.5,47.,0.)); #572753=CARTESIAN_POINT('',(49.325,47.,0.052500000000002)); #572754=CARTESIAN_POINT('',(49.325,47.,-200.)); #572755=CARTESIAN_POINT('Origin',(49.5,47.,0.052500000000002)); #572756=CARTESIAN_POINT('Origin',(31.5,8.75,-200.)); #572757=CARTESIAN_POINT('',(31.325,8.75,0.)); #572758=CARTESIAN_POINT('Origin',(31.5,8.75,0.)); #572759=CARTESIAN_POINT('',(31.325,8.75,0.052500000000002)); #572760=CARTESIAN_POINT('',(31.325,8.75,-200.)); #572761=CARTESIAN_POINT('Origin',(31.5,8.75,0.052500000000002)); #572762=CARTESIAN_POINT('Origin',(50.375,47.75,-200.)); #572763=CARTESIAN_POINT('',(50.2,47.75,0.)); #572764=CARTESIAN_POINT('Origin',(50.375,47.75,0.)); #572765=CARTESIAN_POINT('',(50.2,47.75,0.052500000000002)); #572766=CARTESIAN_POINT('',(50.2,47.75,-200.)); #572767=CARTESIAN_POINT('Origin',(50.375,47.75,0.052500000000002)); #572768=CARTESIAN_POINT('Origin',(42.5,25.25,-200.)); #572769=CARTESIAN_POINT('',(42.325,25.25,0.)); #572770=CARTESIAN_POINT('Origin',(42.5,25.25,0.)); #572771=CARTESIAN_POINT('',(42.325,25.25,0.052500000000002)); #572772=CARTESIAN_POINT('',(42.325,25.25,-200.)); #572773=CARTESIAN_POINT('Origin',(42.5,25.25,0.052500000000002)); #572774=CARTESIAN_POINT('Origin',(50.375,47.,-200.)); #572775=CARTESIAN_POINT('',(50.2,47.,0.)); #572776=CARTESIAN_POINT('Origin',(50.375,47.,0.)); #572777=CARTESIAN_POINT('',(50.2,47.,0.052500000000002)); #572778=CARTESIAN_POINT('',(50.2,47.,-200.)); #572779=CARTESIAN_POINT('Origin',(50.375,47.,0.052500000000002)); #572780=CARTESIAN_POINT('Origin',(32.5,15.5,-200.)); #572781=CARTESIAN_POINT('',(32.325,15.5,0.)); #572782=CARTESIAN_POINT('Origin',(32.5,15.5,0.)); #572783=CARTESIAN_POINT('',(32.325,15.5,0.052500000000002)); #572784=CARTESIAN_POINT('',(32.325,15.5,-200.)); #572785=CARTESIAN_POINT('Origin',(32.5,15.5,0.052500000000002)); #572786=CARTESIAN_POINT('Origin',(51.25,47.75,-200.)); #572787=CARTESIAN_POINT('',(51.075,47.75,0.)); #572788=CARTESIAN_POINT('Origin',(51.25,47.75,0.)); #572789=CARTESIAN_POINT('',(51.075,47.75,0.052500000000002)); #572790=CARTESIAN_POINT('',(51.075,47.75,-200.)); #572791=CARTESIAN_POINT('Origin',(51.25,47.75,0.052500000000002)); #572792=CARTESIAN_POINT('Origin',(42.5,26.,-200.)); #572793=CARTESIAN_POINT('',(42.325,26.,0.)); #572794=CARTESIAN_POINT('Origin',(42.5,26.,0.)); #572795=CARTESIAN_POINT('',(42.325,26.,0.052500000000002)); #572796=CARTESIAN_POINT('',(42.325,26.,-200.)); #572797=CARTESIAN_POINT('Origin',(42.5,26.,0.052500000000002)); #572798=CARTESIAN_POINT('Origin',(51.25,47.,-200.)); #572799=CARTESIAN_POINT('',(51.075,47.,0.)); #572800=CARTESIAN_POINT('Origin',(51.25,47.,0.)); #572801=CARTESIAN_POINT('',(51.075,47.,0.052500000000002)); #572802=CARTESIAN_POINT('',(51.075,47.,-200.)); #572803=CARTESIAN_POINT('Origin',(51.25,47.,0.052500000000002)); #572804=CARTESIAN_POINT('Origin',(37.81,45.,-200.)); #572805=CARTESIAN_POINT('',(37.21,45.,0.)); #572806=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #572807=CARTESIAN_POINT('',(37.21,45.,0.052500000000002)); #572808=CARTESIAN_POINT('',(37.21,45.,-200.)); #572809=CARTESIAN_POINT('Origin',(37.81,45.,0.052500000000002)); #572810=CARTESIAN_POINT('Origin',(23.75,56.,-200.)); #572811=CARTESIAN_POINT('',(23.575,56.,0.)); #572812=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #572813=CARTESIAN_POINT('',(23.575,56.,0.052500000000002)); #572814=CARTESIAN_POINT('',(23.575,56.,-200.)); #572815=CARTESIAN_POINT('Origin',(23.75,56.,0.052500000000002)); #572816=CARTESIAN_POINT('Origin',(12.75,21.,-200.)); #572817=CARTESIAN_POINT('',(12.575,21.,0.)); #572818=CARTESIAN_POINT('Origin',(12.75,21.,0.)); #572819=CARTESIAN_POINT('',(12.575,21.,0.052500000000002)); #572820=CARTESIAN_POINT('',(12.575,21.,-200.)); #572821=CARTESIAN_POINT('Origin',(12.75,21.,0.052500000000002)); #572822=CARTESIAN_POINT('Origin',(23.75,55.25,-200.)); #572823=CARTESIAN_POINT('',(23.575,55.25,0.)); #572824=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #572825=CARTESIAN_POINT('',(23.575,55.25,0.052500000000002)); #572826=CARTESIAN_POINT('',(23.575,55.25,-200.)); #572827=CARTESIAN_POINT('Origin',(23.75,55.25,0.052500000000002)); #572828=CARTESIAN_POINT('Origin',(33.25,19.25,-200.)); #572829=CARTESIAN_POINT('',(33.075,19.25,0.)); #572830=CARTESIAN_POINT('Origin',(33.25,19.25,0.)); #572831=CARTESIAN_POINT('',(33.075,19.25,0.052500000000002)); #572832=CARTESIAN_POINT('',(33.075,19.25,-200.)); #572833=CARTESIAN_POINT('Origin',(33.25,19.25,0.052500000000002)); #572834=CARTESIAN_POINT('Origin',(22.,56.75,-200.)); #572835=CARTESIAN_POINT('',(21.825,56.75,0.)); #572836=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #572837=CARTESIAN_POINT('',(21.825,56.75,0.052500000000002)); #572838=CARTESIAN_POINT('',(21.825,56.75,-200.)); #572839=CARTESIAN_POINT('Origin',(22.,56.75,0.052500000000002)); #572840=CARTESIAN_POINT('Origin',(56.,20.25,-200.)); #572841=CARTESIAN_POINT('',(55.825,20.25,0.)); #572842=CARTESIAN_POINT('Origin',(56.,20.25,0.)); #572843=CARTESIAN_POINT('',(55.825,20.25,0.052500000000002)); #572844=CARTESIAN_POINT('',(55.825,20.25,-200.)); #572845=CARTESIAN_POINT('Origin',(56.,20.25,0.052500000000002)); #572846=CARTESIAN_POINT('Origin',(22.,55.25,-200.)); #572847=CARTESIAN_POINT('',(21.825,55.25,0.)); #572848=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #572849=CARTESIAN_POINT('',(21.825,55.25,0.052500000000002)); #572850=CARTESIAN_POINT('',(21.825,55.25,-200.)); #572851=CARTESIAN_POINT('Origin',(22.,55.25,0.052500000000002)); #572852=CARTESIAN_POINT('Origin',(36.5,8.75,-200.)); #572853=CARTESIAN_POINT('',(36.325,8.75,0.)); #572854=CARTESIAN_POINT('Origin',(36.5,8.75,0.)); #572855=CARTESIAN_POINT('',(36.325,8.75,0.052500000000002)); #572856=CARTESIAN_POINT('',(36.325,8.75,-200.)); #572857=CARTESIAN_POINT('Origin',(36.5,8.75,0.052500000000002)); #572858=CARTESIAN_POINT('Origin',(20.25,55.25,-200.)); #572859=CARTESIAN_POINT('',(20.075,55.25,0.)); #572860=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #572861=CARTESIAN_POINT('',(20.075,55.25,0.052500000000002)); #572862=CARTESIAN_POINT('',(20.075,55.25,-200.)); #572863=CARTESIAN_POINT('Origin',(20.25,55.25,0.052500000000002)); #572864=CARTESIAN_POINT('Origin',(56.,21.75,-200.)); #572865=CARTESIAN_POINT('',(55.825,21.75,0.)); #572866=CARTESIAN_POINT('Origin',(56.,21.75,0.)); #572867=CARTESIAN_POINT('',(55.825,21.75,0.052500000000002)); #572868=CARTESIAN_POINT('',(55.825,21.75,-200.)); #572869=CARTESIAN_POINT('Origin',(56.,21.75,0.052500000000002)); #572870=CARTESIAN_POINT('Origin',(20.25,56.75,-200.)); #572871=CARTESIAN_POINT('',(20.075,56.75,0.)); #572872=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #572873=CARTESIAN_POINT('',(20.075,56.75,0.052500000000002)); #572874=CARTESIAN_POINT('',(20.075,56.75,-200.)); #572875=CARTESIAN_POINT('Origin',(20.25,56.75,0.052500000000002)); #572876=CARTESIAN_POINT('Origin',(32.5,19.25,-200.)); #572877=CARTESIAN_POINT('',(32.325,19.25,0.)); #572878=CARTESIAN_POINT('Origin',(32.5,19.25,0.)); #572879=CARTESIAN_POINT('',(32.325,19.25,0.052500000000002)); #572880=CARTESIAN_POINT('',(32.325,19.25,-200.)); #572881=CARTESIAN_POINT('Origin',(32.5,19.25,0.052500000000002)); #572882=CARTESIAN_POINT('Origin',(20.25,56.,-200.)); #572883=CARTESIAN_POINT('',(20.075,56.,0.)); #572884=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #572885=CARTESIAN_POINT('',(20.075,56.,0.052500000000002)); #572886=CARTESIAN_POINT('',(20.075,56.,-200.)); #572887=CARTESIAN_POINT('Origin',(20.25,56.,0.052500000000002)); #572888=CARTESIAN_POINT('Origin',(56.,21.,-200.)); #572889=CARTESIAN_POINT('',(55.825,21.,0.)); #572890=CARTESIAN_POINT('Origin',(56.,21.,0.)); #572891=CARTESIAN_POINT('',(55.825,21.,0.052500000000002)); #572892=CARTESIAN_POINT('',(55.825,21.,-200.)); #572893=CARTESIAN_POINT('Origin',(56.,21.,0.052500000000002)); #572894=CARTESIAN_POINT('Origin',(22.,56.,-200.)); #572895=CARTESIAN_POINT('',(21.825,56.,0.)); #572896=CARTESIAN_POINT('Origin',(22.,56.,0.)); #572897=CARTESIAN_POINT('',(21.825,56.,0.052500000000002)); #572898=CARTESIAN_POINT('',(21.825,56.,-200.)); #572899=CARTESIAN_POINT('Origin',(22.,56.,0.052500000000002)); #572900=CARTESIAN_POINT('Origin',(31.5,25.25,-200.)); #572901=CARTESIAN_POINT('',(31.,25.25,0.)); #572902=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #572903=CARTESIAN_POINT('',(31.,25.25,0.052500000000002)); #572904=CARTESIAN_POINT('',(31.,25.25,-200.)); #572905=CARTESIAN_POINT('Origin',(31.5,25.25,0.052500000000002)); #572906=CARTESIAN_POINT('Origin',(46.875,56.,-200.)); #572907=CARTESIAN_POINT('',(46.7,56.,0.)); #572908=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #572909=CARTESIAN_POINT('',(46.7,56.,0.052500000000002)); #572910=CARTESIAN_POINT('',(46.7,56.,-200.)); #572911=CARTESIAN_POINT('Origin',(46.875,56.,0.052500000000002)); #572912=CARTESIAN_POINT('Origin',(54.5,20.25,-200.)); #572913=CARTESIAN_POINT('',(54.325,20.25,0.)); #572914=CARTESIAN_POINT('Origin',(54.5,20.25,0.)); #572915=CARTESIAN_POINT('',(54.325,20.25,0.052500000000002)); #572916=CARTESIAN_POINT('',(54.325,20.25,-200.)); #572917=CARTESIAN_POINT('Origin',(54.5,20.25,0.052500000000002)); #572918=CARTESIAN_POINT('Origin',(46.875,55.25,-200.)); #572919=CARTESIAN_POINT('',(46.7,55.25,0.)); #572920=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #572921=CARTESIAN_POINT('',(46.7,55.25,0.052500000000002)); #572922=CARTESIAN_POINT('',(46.7,55.25,-200.)); #572923=CARTESIAN_POINT('Origin',(46.875,55.25,0.052500000000002)); #572924=CARTESIAN_POINT('Origin',(35.5,16.25,-200.)); #572925=CARTESIAN_POINT('',(35.325,16.25,0.)); #572926=CARTESIAN_POINT('Origin',(35.5,16.25,0.)); #572927=CARTESIAN_POINT('',(35.325,16.25,0.052500000000002)); #572928=CARTESIAN_POINT('',(35.325,16.25,-200.)); #572929=CARTESIAN_POINT('Origin',(35.5,16.25,0.052500000000002)); #572930=CARTESIAN_POINT('Origin',(45.125,56.,-200.)); #572931=CARTESIAN_POINT('',(44.95,56.,0.)); #572932=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #572933=CARTESIAN_POINT('',(44.95,56.,0.052500000000002)); #572934=CARTESIAN_POINT('',(44.95,56.,-200.)); #572935=CARTESIAN_POINT('Origin',(45.125,56.,0.052500000000002)); #572936=CARTESIAN_POINT('Origin',(55.25,20.25,-200.)); #572937=CARTESIAN_POINT('',(55.075,20.25,0.)); #572938=CARTESIAN_POINT('Origin',(55.25,20.25,0.)); #572939=CARTESIAN_POINT('',(55.075,20.25,0.052500000000002)); #572940=CARTESIAN_POINT('',(55.075,20.25,-200.)); #572941=CARTESIAN_POINT('Origin',(55.25,20.25,0.052500000000002)); #572942=CARTESIAN_POINT('Origin',(45.125,55.25,-200.)); #572943=CARTESIAN_POINT('',(44.95,55.25,0.)); #572944=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #572945=CARTESIAN_POINT('',(44.95,55.25,0.052500000000002)); #572946=CARTESIAN_POINT('',(44.95,55.25,-200.)); #572947=CARTESIAN_POINT('Origin',(45.125,55.25,0.052500000000002)); #572948=CARTESIAN_POINT('Origin',(31.5,9.5,-200.)); #572949=CARTESIAN_POINT('',(31.325,9.5,0.)); #572950=CARTESIAN_POINT('Origin',(31.5,9.5,0.)); #572951=CARTESIAN_POINT('',(31.325,9.5,0.052500000000002)); #572952=CARTESIAN_POINT('',(31.325,9.5,-200.)); #572953=CARTESIAN_POINT('Origin',(31.5,9.5,0.052500000000002)); #572954=CARTESIAN_POINT('Origin',(38.512,53.,-200.)); #572955=CARTESIAN_POINT('',(38.337,53.,0.)); #572956=CARTESIAN_POINT('Origin',(38.512,53.,0.)); #572957=CARTESIAN_POINT('',(38.337,53.,0.052500000000002)); #572958=CARTESIAN_POINT('',(38.337,53.,-200.)); #572959=CARTESIAN_POINT('Origin',(38.512,53.,0.052500000000002)); #572960=CARTESIAN_POINT('Origin',(54.5,21.,-200.)); #572961=CARTESIAN_POINT('',(54.325,21.,0.)); #572962=CARTESIAN_POINT('Origin',(54.5,21.,0.)); #572963=CARTESIAN_POINT('',(54.325,21.,0.052500000000002)); #572964=CARTESIAN_POINT('',(54.325,21.,-200.)); #572965=CARTESIAN_POINT('Origin',(54.5,21.,0.052500000000002)); #572966=CARTESIAN_POINT('Origin',(46.875,56.75,-200.)); #572967=CARTESIAN_POINT('',(46.7,56.75,0.)); #572968=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #572969=CARTESIAN_POINT('',(46.7,56.75,0.052500000000002)); #572970=CARTESIAN_POINT('',(46.7,56.75,-200.)); #572971=CARTESIAN_POINT('Origin',(46.875,56.75,0.052500000000002)); #572972=CARTESIAN_POINT('Origin',(35.5,17.,-200.)); #572973=CARTESIAN_POINT('',(35.325,17.,0.)); #572974=CARTESIAN_POINT('Origin',(35.5,17.,0.)); #572975=CARTESIAN_POINT('',(35.325,17.,0.052500000000002)); #572976=CARTESIAN_POINT('',(35.325,17.,-200.)); #572977=CARTESIAN_POINT('Origin',(35.5,17.,0.052500000000002)); #572978=CARTESIAN_POINT('Origin',(38.75,36.75,-200.)); #572979=CARTESIAN_POINT('',(38.575,36.75,0.)); #572980=CARTESIAN_POINT('Origin',(38.75,36.75,0.)); #572981=CARTESIAN_POINT('',(38.575,36.75,0.052500000000002)); #572982=CARTESIAN_POINT('',(38.575,36.75,-200.)); #572983=CARTESIAN_POINT('Origin',(38.75,36.75,0.052500000000002)); #572984=CARTESIAN_POINT('Origin',(54.5,21.75,-200.)); #572985=CARTESIAN_POINT('',(54.325,21.75,0.)); #572986=CARTESIAN_POINT('Origin',(54.5,21.75,0.)); #572987=CARTESIAN_POINT('',(54.325,21.75,0.052500000000002)); #572988=CARTESIAN_POINT('',(54.325,21.75,-200.)); #572989=CARTESIAN_POINT('Origin',(54.5,21.75,0.052500000000002)); #572990=CARTESIAN_POINT('Origin',(37.1875,36.75,-200.)); #572991=CARTESIAN_POINT('',(37.0125,36.75,0.)); #572992=CARTESIAN_POINT('Origin',(37.1875,36.75,0.)); #572993=CARTESIAN_POINT('',(37.0125,36.75,0.052500000000002)); #572994=CARTESIAN_POINT('',(37.0125,36.75,-200.)); #572995=CARTESIAN_POINT('Origin',(37.1875,36.75,0.052500000000002)); #572996=CARTESIAN_POINT('Origin',(46.25,34.,-200.)); #572997=CARTESIAN_POINT('',(45.7,34.,0.)); #572998=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #572999=CARTESIAN_POINT('',(45.7,34.,0.052500000000002)); #573000=CARTESIAN_POINT('',(45.7,34.,-200.)); #573001=CARTESIAN_POINT('Origin',(46.25,34.,0.052500000000002)); #573002=CARTESIAN_POINT('Origin',(29.488,53.,-200.)); #573003=CARTESIAN_POINT('',(29.313,53.,0.)); #573004=CARTESIAN_POINT('Origin',(29.488,53.,0.)); #573005=CARTESIAN_POINT('',(29.313,53.,0.052500000000002)); #573006=CARTESIAN_POINT('',(29.313,53.,-200.)); #573007=CARTESIAN_POINT('Origin',(29.488,53.,0.052500000000002)); #573008=CARTESIAN_POINT('Origin',(12.,21.,-200.)); #573009=CARTESIAN_POINT('',(11.825,21.,0.)); #573010=CARTESIAN_POINT('Origin',(12.,21.,0.)); #573011=CARTESIAN_POINT('',(11.825,21.,0.052500000000002)); #573012=CARTESIAN_POINT('',(11.825,21.,-200.)); #573013=CARTESIAN_POINT('Origin',(12.,21.,0.052500000000002)); #573014=CARTESIAN_POINT('Origin',(21.125,56.75,-200.)); #573015=CARTESIAN_POINT('',(20.95,56.75,0.)); #573016=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #573017=CARTESIAN_POINT('',(20.95,56.75,0.052500000000002)); #573018=CARTESIAN_POINT('',(20.95,56.75,-200.)); #573019=CARTESIAN_POINT('Origin',(21.125,56.75,0.052500000000002)); #573020=CARTESIAN_POINT('Origin',(34.75,19.25,-200.)); #573021=CARTESIAN_POINT('',(34.575,19.25,0.)); #573022=CARTESIAN_POINT('Origin',(34.75,19.25,0.)); #573023=CARTESIAN_POINT('',(34.575,19.25,0.052500000000002)); #573024=CARTESIAN_POINT('',(34.575,19.25,-200.)); #573025=CARTESIAN_POINT('Origin',(34.75,19.25,0.052500000000002)); #573026=CARTESIAN_POINT('Origin',(21.125,55.25,-200.)); #573027=CARTESIAN_POINT('',(20.95,55.25,0.)); #573028=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #573029=CARTESIAN_POINT('',(20.95,55.25,0.052500000000002)); #573030=CARTESIAN_POINT('',(20.95,55.25,-200.)); #573031=CARTESIAN_POINT('Origin',(21.125,55.25,0.052500000000002)); #573032=CARTESIAN_POINT('Origin',(12.,20.25,-200.)); #573033=CARTESIAN_POINT('',(11.825,20.25,0.)); #573034=CARTESIAN_POINT('Origin',(12.,20.25,0.)); #573035=CARTESIAN_POINT('',(11.825,20.25,0.052500000000002)); #573036=CARTESIAN_POINT('',(11.825,20.25,-200.)); #573037=CARTESIAN_POINT('Origin',(12.,20.25,0.052500000000002)); #573038=CARTESIAN_POINT('Origin',(21.125,56.,-200.)); #573039=CARTESIAN_POINT('',(20.95,56.,0.)); #573040=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #573041=CARTESIAN_POINT('',(20.95,56.,0.052500000000002)); #573042=CARTESIAN_POINT('',(20.95,56.,-200.)); #573043=CARTESIAN_POINT('Origin',(21.125,56.,0.052500000000002)); #573044=CARTESIAN_POINT('Origin',(36.5,9.5,-200.)); #573045=CARTESIAN_POINT('',(36.325,9.5,0.)); #573046=CARTESIAN_POINT('Origin',(36.5,9.5,0.)); #573047=CARTESIAN_POINT('',(36.325,9.5,0.052500000000002)); #573048=CARTESIAN_POINT('',(36.325,9.5,-200.)); #573049=CARTESIAN_POINT('Origin',(36.5,9.5,0.052500000000002)); #573050=CARTESIAN_POINT('Origin',(22.875,56.75,-200.)); #573051=CARTESIAN_POINT('',(22.7,56.75,0.)); #573052=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #573053=CARTESIAN_POINT('',(22.7,56.75,0.052500000000002)); #573054=CARTESIAN_POINT('',(22.7,56.75,-200.)); #573055=CARTESIAN_POINT('Origin',(22.875,56.75,0.052500000000002)); #573056=CARTESIAN_POINT('Origin',(12.75,21.75,-200.)); #573057=CARTESIAN_POINT('',(12.575,21.75,0.)); #573058=CARTESIAN_POINT('Origin',(12.75,21.75,0.)); #573059=CARTESIAN_POINT('',(12.575,21.75,0.052500000000002)); #573060=CARTESIAN_POINT('',(12.575,21.75,-200.)); #573061=CARTESIAN_POINT('Origin',(12.75,21.75,0.052500000000002)); #573062=CARTESIAN_POINT('Origin',(23.75,56.75,-200.)); #573063=CARTESIAN_POINT('',(23.575,56.75,0.)); #573064=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #573065=CARTESIAN_POINT('',(23.575,56.75,0.052500000000002)); #573066=CARTESIAN_POINT('',(23.575,56.75,-200.)); #573067=CARTESIAN_POINT('Origin',(23.75,56.75,0.052500000000002)); #573068=CARTESIAN_POINT('Origin',(34.,19.25,-200.)); #573069=CARTESIAN_POINT('',(33.825,19.25,0.)); #573070=CARTESIAN_POINT('Origin',(34.,19.25,0.)); #573071=CARTESIAN_POINT('',(33.825,19.25,0.052500000000002)); #573072=CARTESIAN_POINT('',(33.825,19.25,-200.)); #573073=CARTESIAN_POINT('Origin',(34.,19.25,0.052500000000002)); #573074=CARTESIAN_POINT('Origin',(22.875,56.,-200.)); #573075=CARTESIAN_POINT('',(22.7,56.,0.)); #573076=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #573077=CARTESIAN_POINT('',(22.7,56.,0.052500000000002)); #573078=CARTESIAN_POINT('',(22.7,56.,-200.)); #573079=CARTESIAN_POINT('Origin',(22.875,56.,0.052500000000002)); #573080=CARTESIAN_POINT('Origin',(12.75,20.25,-200.)); #573081=CARTESIAN_POINT('',(12.575,20.25,0.)); #573082=CARTESIAN_POINT('Origin',(12.75,20.25,0.)); #573083=CARTESIAN_POINT('',(12.575,20.25,0.052500000000002)); #573084=CARTESIAN_POINT('',(12.575,20.25,-200.)); #573085=CARTESIAN_POINT('Origin',(12.75,20.25,0.052500000000002)); #573086=CARTESIAN_POINT('Origin',(22.875,55.25,-200.)); #573087=CARTESIAN_POINT('',(22.7,55.25,0.)); #573088=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #573089=CARTESIAN_POINT('',(22.7,55.25,0.052500000000002)); #573090=CARTESIAN_POINT('',(22.7,55.25,-200.)); #573091=CARTESIAN_POINT('Origin',(22.875,55.25,0.052500000000002)); #573092=CARTESIAN_POINT('Origin',(36.5,27.75,-200.)); #573093=CARTESIAN_POINT('',(36.,27.75,0.)); #573094=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #573095=CARTESIAN_POINT('',(36.,27.75,0.052500000000002)); #573096=CARTESIAN_POINT('',(36.,27.75,-200.)); #573097=CARTESIAN_POINT('Origin',(36.5,27.75,0.052500000000002)); #573098=CARTESIAN_POINT('Origin',(23.905,49.75,-200.)); #573099=CARTESIAN_POINT('',(23.73,49.75,0.)); #573100=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #573101=CARTESIAN_POINT('',(23.73,49.75,0.052500000000002)); #573102=CARTESIAN_POINT('',(23.73,49.75,-200.)); #573103=CARTESIAN_POINT('Origin',(23.905,49.75,0.052500000000002)); #573104=CARTESIAN_POINT('Origin',(13.5,21.75,-200.)); #573105=CARTESIAN_POINT('',(13.325,21.75,0.)); #573106=CARTESIAN_POINT('Origin',(13.5,21.75,0.)); #573107=CARTESIAN_POINT('',(13.325,21.75,0.052500000000002)); #573108=CARTESIAN_POINT('',(13.325,21.75,-200.)); #573109=CARTESIAN_POINT('Origin',(13.5,21.75,0.052500000000002)); #573110=CARTESIAN_POINT('Origin',(47.905,58.75,-200.)); #573111=CARTESIAN_POINT('',(47.73,58.75,0.)); #573112=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #573113=CARTESIAN_POINT('',(47.73,58.75,0.052500000000002)); #573114=CARTESIAN_POINT('',(47.73,58.75,-200.)); #573115=CARTESIAN_POINT('Origin',(47.905,58.75,0.052500000000002)); #573116=CARTESIAN_POINT('Origin',(35.5,19.25,-200.)); #573117=CARTESIAN_POINT('',(35.325,19.25,0.)); #573118=CARTESIAN_POINT('Origin',(35.5,19.25,0.)); #573119=CARTESIAN_POINT('',(35.325,19.25,0.052500000000002)); #573120=CARTESIAN_POINT('',(35.325,19.25,-200.)); #573121=CARTESIAN_POINT('Origin',(35.5,19.25,0.052500000000002)); #573122=CARTESIAN_POINT('Origin',(29.25,36.75,-200.)); #573123=CARTESIAN_POINT('',(29.075,36.75,0.)); #573124=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #573125=CARTESIAN_POINT('',(29.075,36.75,0.052500000000002)); #573126=CARTESIAN_POINT('',(29.075,36.75,-200.)); #573127=CARTESIAN_POINT('Origin',(29.25,36.75,0.052500000000002)); #573128=CARTESIAN_POINT('Origin',(12.,21.75,-200.)); #573129=CARTESIAN_POINT('',(11.825,21.75,0.)); #573130=CARTESIAN_POINT('Origin',(12.,21.75,0.)); #573131=CARTESIAN_POINT('',(11.825,21.75,0.052500000000002)); #573132=CARTESIAN_POINT('',(11.825,21.75,-200.)); #573133=CARTESIAN_POINT('Origin',(12.,21.75,0.052500000000002)); #573134=CARTESIAN_POINT('Origin',(30.8125,36.75,-200.)); #573135=CARTESIAN_POINT('',(30.6375,36.75,0.)); #573136=CARTESIAN_POINT('Origin',(30.8125,36.75,0.)); #573137=CARTESIAN_POINT('',(30.6375,36.75,0.052500000000002)); #573138=CARTESIAN_POINT('',(30.6375,36.75,-200.)); #573139=CARTESIAN_POINT('Origin',(30.8125,36.75,0.052500000000002)); #573140=CARTESIAN_POINT('Origin',(37.25,9.5,-200.)); #573141=CARTESIAN_POINT('',(37.075,9.5,0.)); #573142=CARTESIAN_POINT('Origin',(37.25,9.5,0.)); #573143=CARTESIAN_POINT('',(37.075,9.5,0.052500000000002)); #573144=CARTESIAN_POINT('',(37.075,9.5,-200.)); #573145=CARTESIAN_POINT('Origin',(37.25,9.5,0.052500000000002)); #573146=CARTESIAN_POINT('Origin',(47.905,49.75,-200.)); #573147=CARTESIAN_POINT('',(47.73,49.75,0.)); #573148=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #573149=CARTESIAN_POINT('',(47.73,49.75,0.052500000000002)); #573150=CARTESIAN_POINT('',(47.73,49.75,-200.)); #573151=CARTESIAN_POINT('Origin',(47.905,49.75,0.052500000000002)); #573152=CARTESIAN_POINT('Origin',(13.5,21.,-200.)); #573153=CARTESIAN_POINT('',(13.325,21.,0.)); #573154=CARTESIAN_POINT('Origin',(13.5,21.,0.)); #573155=CARTESIAN_POINT('',(13.325,21.,0.052500000000002)); #573156=CARTESIAN_POINT('',(13.325,21.,-200.)); #573157=CARTESIAN_POINT('Origin',(13.5,21.,0.052500000000002)); #573158=CARTESIAN_POINT('Origin',(23.905,58.75,-200.)); #573159=CARTESIAN_POINT('',(23.73,58.75,0.)); #573160=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #573161=CARTESIAN_POINT('',(23.73,58.75,0.052500000000002)); #573162=CARTESIAN_POINT('',(23.73,58.75,-200.)); #573163=CARTESIAN_POINT('Origin',(23.905,58.75,0.052500000000002)); #573164=CARTESIAN_POINT('Origin',(36.25,19.25,-200.)); #573165=CARTESIAN_POINT('',(36.075,19.25,0.)); #573166=CARTESIAN_POINT('Origin',(36.25,19.25,0.)); #573167=CARTESIAN_POINT('',(36.075,19.25,0.052500000000002)); #573168=CARTESIAN_POINT('',(36.075,19.25,-200.)); #573169=CARTESIAN_POINT('Origin',(36.25,19.25,0.052500000000002)); #573170=CARTESIAN_POINT('Origin',(18.5,47.,-200.)); #573171=CARTESIAN_POINT('',(18.325,47.,0.)); #573172=CARTESIAN_POINT('Origin',(18.5,47.,0.)); #573173=CARTESIAN_POINT('',(18.325,47.,0.052500000000002)); #573174=CARTESIAN_POINT('',(18.325,47.,-200.)); #573175=CARTESIAN_POINT('Origin',(18.5,47.,0.052500000000002)); #573176=CARTESIAN_POINT('Origin',(13.5,20.25,-200.)); #573177=CARTESIAN_POINT('',(13.325,20.25,0.)); #573178=CARTESIAN_POINT('Origin',(13.5,20.25,0.)); #573179=CARTESIAN_POINT('',(13.325,20.25,0.052500000000002)); #573180=CARTESIAN_POINT('',(13.325,20.25,-200.)); #573181=CARTESIAN_POINT('Origin',(13.5,20.25,0.052500000000002)); #573182=CARTESIAN_POINT('Origin',(18.5,46.25,-200.)); #573183=CARTESIAN_POINT('',(18.325,46.25,0.)); #573184=CARTESIAN_POINT('Origin',(18.5,46.25,0.)); #573185=CARTESIAN_POINT('',(18.325,46.25,0.052500000000002)); #573186=CARTESIAN_POINT('',(18.325,46.25,-200.)); #573187=CARTESIAN_POINT('Origin',(18.5,46.25,0.052500000000002)); #573188=CARTESIAN_POINT('Origin',(34.,34.,0.)); #573189=CARTESIAN_POINT('',(0.,34.,0.0525)); #573190=CARTESIAN_POINT('Origin',(34.,34.,0.0525)); #573191=CARTESIAN_POINT('',(0.,34.,0.)); #573192=CARTESIAN_POINT('',(0.,34.,0.)); #573193=CARTESIAN_POINT('Origin',(34.,34.,0.)); #573194=CARTESIAN_POINT('Origin',(34.,34.,0.0525)); #573195=CARTESIAN_POINT('Origin',(34.,34.,0.)); #573196=CARTESIAN_POINT('',(0.,0.,0.)); #573197=CARTESIAN_POINT('Origin',(31.11,6.18,-0.803)); #573198=CARTESIAN_POINT('',(30.785,6.18,0.)); #573199=CARTESIAN_POINT('Origin',(31.11,6.18,0.)); #573200=CARTESIAN_POINT('',(30.785,6.18,-0.0525)); #573201=CARTESIAN_POINT('',(30.785,6.18,-0.803)); #573202=CARTESIAN_POINT('Origin',(31.11,6.18,-0.0525)); #573203=CARTESIAN_POINT('Origin',(36.89,6.18,-0.803)); #573204=CARTESIAN_POINT('',(36.565,6.18,0.)); #573205=CARTESIAN_POINT('Origin',(36.89,6.18,0.)); #573206=CARTESIAN_POINT('',(36.565,6.18,-0.0525)); #573207=CARTESIAN_POINT('',(36.565,6.18,-0.803)); #573208=CARTESIAN_POINT('Origin',(36.89,6.18,-0.0525)); #573209=CARTESIAN_POINT('Origin',(30.19,45.,-200.)); #573210=CARTESIAN_POINT('',(29.59,45.,-0.052500000000002)); #573211=CARTESIAN_POINT('Origin',(30.19,45.,-0.052500000000002)); #573212=CARTESIAN_POINT('',(29.59,45.,0.)); #573213=CARTESIAN_POINT('',(29.59,45.,-200.)); #573214=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #573215=CARTESIAN_POINT('Origin',(45.125,47.75,-200.)); #573216=CARTESIAN_POINT('',(44.95,47.75,-0.052500000000002)); #573217=CARTESIAN_POINT('Origin',(45.125,47.75,-0.052500000000002)); #573218=CARTESIAN_POINT('',(44.95,47.75,0.)); #573219=CARTESIAN_POINT('',(44.95,47.75,-200.)); #573220=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #573221=CARTESIAN_POINT('Origin',(41.,25.25,-200.)); #573222=CARTESIAN_POINT('',(40.825,25.25,-0.052500000000002)); #573223=CARTESIAN_POINT('Origin',(41.,25.25,-0.052500000000002)); #573224=CARTESIAN_POINT('',(40.825,25.25,0.)); #573225=CARTESIAN_POINT('',(40.825,25.25,-200.)); #573226=CARTESIAN_POINT('Origin',(41.,25.25,0.)); #573227=CARTESIAN_POINT('Origin',(46.,47.,-200.)); #573228=CARTESIAN_POINT('',(45.825,47.,-0.052500000000002)); #573229=CARTESIAN_POINT('Origin',(46.,47.,-0.052500000000002)); #573230=CARTESIAN_POINT('',(45.825,47.,0.)); #573231=CARTESIAN_POINT('',(45.825,47.,-200.)); #573232=CARTESIAN_POINT('Origin',(46.,47.,0.)); #573233=CARTESIAN_POINT('Origin',(32.5,18.5,-200.)); #573234=CARTESIAN_POINT('',(32.325,18.5,-0.052500000000002)); #573235=CARTESIAN_POINT('Origin',(32.5,18.5,-0.052500000000002)); #573236=CARTESIAN_POINT('',(32.325,18.5,0.)); #573237=CARTESIAN_POINT('',(32.325,18.5,-200.)); #573238=CARTESIAN_POINT('Origin',(32.5,18.5,0.)); #573239=CARTESIAN_POINT('Origin',(46.,47.75,-200.)); #573240=CARTESIAN_POINT('',(45.825,47.75,-0.052500000000002)); #573241=CARTESIAN_POINT('Origin',(46.,47.75,-0.052500000000002)); #573242=CARTESIAN_POINT('',(45.825,47.75,0.)); #573243=CARTESIAN_POINT('',(45.825,47.75,-200.)); #573244=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #573245=CARTESIAN_POINT('Origin',(33.25,48.5,-200.)); #573246=CARTESIAN_POINT('',(33.075,48.5,-0.052500000000002)); #573247=CARTESIAN_POINT('Origin',(33.25,48.5,-0.052500000000002)); #573248=CARTESIAN_POINT('',(33.075,48.5,0.)); #573249=CARTESIAN_POINT('',(33.075,48.5,-200.)); #573250=CARTESIAN_POINT('Origin',(33.25,48.5,0.)); #573251=CARTESIAN_POINT('Origin',(47.75,47.75,-200.)); #573252=CARTESIAN_POINT('',(47.575,47.75,-0.052500000000002)); #573253=CARTESIAN_POINT('Origin',(47.75,47.75,-0.052500000000002)); #573254=CARTESIAN_POINT('',(47.575,47.75,0.)); #573255=CARTESIAN_POINT('',(47.575,47.75,-200.)); #573256=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #573257=CARTESIAN_POINT('Origin',(33.25,5.5,-200.)); #573258=CARTESIAN_POINT('',(33.075,5.5,-0.052500000000002)); #573259=CARTESIAN_POINT('Origin',(33.25,5.5,-0.052500000000002)); #573260=CARTESIAN_POINT('',(33.075,5.5,0.)); #573261=CARTESIAN_POINT('',(33.075,5.5,-200.)); #573262=CARTESIAN_POINT('Origin',(33.25,5.5,0.)); #573263=CARTESIAN_POINT('Origin',(47.75,46.25,-200.)); #573264=CARTESIAN_POINT('',(47.575,46.25,-0.052500000000002)); #573265=CARTESIAN_POINT('Origin',(47.75,46.25,-0.052500000000002)); #573266=CARTESIAN_POINT('',(47.575,46.25,0.)); #573267=CARTESIAN_POINT('',(47.575,46.25,-200.)); #573268=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #573269=CARTESIAN_POINT('Origin',(33.25,50.,-200.)); #573270=CARTESIAN_POINT('',(33.075,50.,-0.052500000000002)); #573271=CARTESIAN_POINT('Origin',(33.25,50.,-0.052500000000002)); #573272=CARTESIAN_POINT('',(33.075,50.,0.)); #573273=CARTESIAN_POINT('',(33.075,50.,-200.)); #573274=CARTESIAN_POINT('Origin',(33.25,50.,0.)); #573275=CARTESIAN_POINT('Origin',(46.,46.25,-200.)); #573276=CARTESIAN_POINT('',(45.825,46.25,-0.052500000000002)); #573277=CARTESIAN_POINT('Origin',(46.,46.25,-0.052500000000002)); #573278=CARTESIAN_POINT('',(45.825,46.25,0.)); #573279=CARTESIAN_POINT('',(45.825,46.25,-200.)); #573280=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #573281=CARTESIAN_POINT('Origin',(33.25,18.5,-200.)); #573282=CARTESIAN_POINT('',(33.075,18.5,-0.052500000000002)); #573283=CARTESIAN_POINT('Origin',(33.25,18.5,-0.052500000000002)); #573284=CARTESIAN_POINT('',(33.075,18.5,0.)); #573285=CARTESIAN_POINT('',(33.075,18.5,-200.)); #573286=CARTESIAN_POINT('Origin',(33.25,18.5,0.)); #573287=CARTESIAN_POINT('Origin',(47.75,47.,-200.)); #573288=CARTESIAN_POINT('',(47.575,47.,-0.052500000000002)); #573289=CARTESIAN_POINT('Origin',(47.75,47.,-0.052500000000002)); #573290=CARTESIAN_POINT('',(47.575,47.,0.)); #573291=CARTESIAN_POINT('',(47.575,47.,-200.)); #573292=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #573293=CARTESIAN_POINT('Origin',(33.25,49.25,-200.)); #573294=CARTESIAN_POINT('',(33.075,49.25,-0.052500000000002)); #573295=CARTESIAN_POINT('Origin',(33.25,49.25,-0.052500000000002)); #573296=CARTESIAN_POINT('',(33.075,49.25,0.)); #573297=CARTESIAN_POINT('',(33.075,49.25,-200.)); #573298=CARTESIAN_POINT('Origin',(33.25,49.25,0.)); #573299=CARTESIAN_POINT('Origin',(44.25,47.,-200.)); #573300=CARTESIAN_POINT('',(44.075,47.,-0.052500000000002)); #573301=CARTESIAN_POINT('Origin',(44.25,47.,-0.052500000000002)); #573302=CARTESIAN_POINT('',(44.075,47.,0.)); #573303=CARTESIAN_POINT('',(44.075,47.,-200.)); #573304=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #573305=CARTESIAN_POINT('Origin',(12.25,34.,-200.)); #573306=CARTESIAN_POINT('',(11.75,34.,-0.052500000000002)); #573307=CARTESIAN_POINT('Origin',(12.25,34.,-0.052500000000002)); #573308=CARTESIAN_POINT('',(11.75,34.,0.)); #573309=CARTESIAN_POINT('',(11.75,34.,-200.)); #573310=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #573311=CARTESIAN_POINT('Origin',(53.5,20.25,-200.)); #573312=CARTESIAN_POINT('',(53.325,20.25,-0.052500000000002)); #573313=CARTESIAN_POINT('Origin',(53.5,20.25,-0.052500000000002)); #573314=CARTESIAN_POINT('',(53.325,20.25,0.)); #573315=CARTESIAN_POINT('',(53.325,20.25,-200.)); #573316=CARTESIAN_POINT('Origin',(53.5,20.25,0.)); #573317=CARTESIAN_POINT('Origin',(34.75,48.5,-200.)); #573318=CARTESIAN_POINT('',(34.575,48.5,-0.052500000000002)); #573319=CARTESIAN_POINT('Origin',(34.75,48.5,-0.052500000000002)); #573320=CARTESIAN_POINT('',(34.575,48.5,0.)); #573321=CARTESIAN_POINT('',(34.575,48.5,-200.)); #573322=CARTESIAN_POINT('Origin',(34.75,48.5,0.)); #573323=CARTESIAN_POINT('Origin',(52.75,20.25,-200.)); #573324=CARTESIAN_POINT('',(52.575,20.25,-0.052500000000002)); #573325=CARTESIAN_POINT('Origin',(52.75,20.25,-0.052500000000002)); #573326=CARTESIAN_POINT('',(52.575,20.25,0.)); #573327=CARTESIAN_POINT('',(52.575,20.25,-200.)); #573328=CARTESIAN_POINT('Origin',(52.75,20.25,0.)); #573329=CARTESIAN_POINT('Origin',(34.75,18.5,-200.)); #573330=CARTESIAN_POINT('',(34.575,18.5,-0.052500000000002)); #573331=CARTESIAN_POINT('Origin',(34.75,18.5,-0.052500000000002)); #573332=CARTESIAN_POINT('',(34.575,18.5,0.)); #573333=CARTESIAN_POINT('',(34.575,18.5,-200.)); #573334=CARTESIAN_POINT('Origin',(34.75,18.5,0.)); #573335=CARTESIAN_POINT('Origin',(52.,21.75,-200.)); #573336=CARTESIAN_POINT('',(51.825,21.75,-0.052500000000002)); #573337=CARTESIAN_POINT('Origin',(52.,21.75,-0.052500000000002)); #573338=CARTESIAN_POINT('',(51.825,21.75,0.)); #573339=CARTESIAN_POINT('',(51.825,21.75,-200.)); #573340=CARTESIAN_POINT('Origin',(52.,21.75,0.)); #573341=CARTESIAN_POINT('Origin',(34.,48.5,-200.)); #573342=CARTESIAN_POINT('',(33.825,48.5,-0.052500000000002)); #573343=CARTESIAN_POINT('Origin',(34.,48.5,-0.052500000000002)); #573344=CARTESIAN_POINT('',(33.825,48.5,0.)); #573345=CARTESIAN_POINT('',(33.825,48.5,-200.)); #573346=CARTESIAN_POINT('Origin',(34.,48.5,0.)); #573347=CARTESIAN_POINT('Origin',(52.,20.25,-200.)); #573348=CARTESIAN_POINT('',(51.825,20.25,-0.052500000000002)); #573349=CARTESIAN_POINT('Origin',(52.,20.25,-0.052500000000002)); #573350=CARTESIAN_POINT('',(51.825,20.25,0.)); #573351=CARTESIAN_POINT('',(51.825,20.25,-200.)); #573352=CARTESIAN_POINT('Origin',(52.,20.25,0.)); #573353=CARTESIAN_POINT('Origin',(34.,5.5,-200.)); #573354=CARTESIAN_POINT('',(33.825,5.5,-0.052500000000002)); #573355=CARTESIAN_POINT('Origin',(34.,5.5,-0.052500000000002)); #573356=CARTESIAN_POINT('',(33.825,5.5,0.)); #573357=CARTESIAN_POINT('',(33.825,5.5,-200.)); #573358=CARTESIAN_POINT('Origin',(34.,5.5,0.)); #573359=CARTESIAN_POINT('Origin',(42.,31.,-200.)); #573360=CARTESIAN_POINT('',(41.825,31.,-0.052500000000002)); #573361=CARTESIAN_POINT('Origin',(42.,31.,-0.052500000000002)); #573362=CARTESIAN_POINT('',(41.825,31.,0.)); #573363=CARTESIAN_POINT('',(41.825,31.,-200.)); #573364=CARTESIAN_POINT('Origin',(42.,31.,0.)); #573365=CARTESIAN_POINT('Origin',(34.75,50.,-200.)); #573366=CARTESIAN_POINT('',(34.575,50.,-0.052500000000002)); #573367=CARTESIAN_POINT('Origin',(34.75,50.,-0.052500000000002)); #573368=CARTESIAN_POINT('',(34.575,50.,0.)); #573369=CARTESIAN_POINT('',(34.575,50.,-200.)); #573370=CARTESIAN_POINT('Origin',(34.75,50.,0.)); #573371=CARTESIAN_POINT('Origin',(42.,36.,-200.)); #573372=CARTESIAN_POINT('',(41.825,36.,-0.052500000000002)); #573373=CARTESIAN_POINT('Origin',(42.,36.,-0.052500000000002)); #573374=CARTESIAN_POINT('',(41.825,36.,0.)); #573375=CARTESIAN_POINT('',(41.825,36.,-200.)); #573376=CARTESIAN_POINT('Origin',(42.,36.,0.)); #573377=CARTESIAN_POINT('Origin',(34.,18.5,-200.)); #573378=CARTESIAN_POINT('',(33.825,18.5,-0.052500000000002)); #573379=CARTESIAN_POINT('Origin',(34.,18.5,-0.052500000000002)); #573380=CARTESIAN_POINT('',(33.825,18.5,0.)); #573381=CARTESIAN_POINT('',(33.825,18.5,-200.)); #573382=CARTESIAN_POINT('Origin',(34.,18.5,0.)); #573383=CARTESIAN_POINT('Origin',(44.25,47.75,-200.)); #573384=CARTESIAN_POINT('',(44.075,47.75,-0.052500000000002)); #573385=CARTESIAN_POINT('Origin',(44.25,47.75,-0.052500000000002)); #573386=CARTESIAN_POINT('',(44.075,47.75,0.)); #573387=CARTESIAN_POINT('',(44.075,47.75,-200.)); #573388=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #573389=CARTESIAN_POINT('Origin',(34.,50.,-200.)); #573390=CARTESIAN_POINT('',(33.825,50.,-0.052500000000002)); #573391=CARTESIAN_POINT('Origin',(34.,50.,-0.052500000000002)); #573392=CARTESIAN_POINT('',(33.825,50.,0.)); #573393=CARTESIAN_POINT('',(33.825,50.,-200.)); #573394=CARTESIAN_POINT('Origin',(34.,50.,0.)); #573395=CARTESIAN_POINT('Origin',(44.25,46.25,-200.)); #573396=CARTESIAN_POINT('',(44.075,46.25,-0.052500000000002)); #573397=CARTESIAN_POINT('Origin',(44.25,46.25,-0.052500000000002)); #573398=CARTESIAN_POINT('',(44.075,46.25,0.)); #573399=CARTESIAN_POINT('',(44.075,46.25,-200.)); #573400=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #573401=CARTESIAN_POINT('Origin',(24.25,34.,-200.)); #573402=CARTESIAN_POINT('',(23.7,34.,-0.052500000000002)); #573403=CARTESIAN_POINT('Origin',(24.25,34.,-0.052500000000002)); #573404=CARTESIAN_POINT('',(23.7,34.,0.)); #573405=CARTESIAN_POINT('',(23.7,34.,-200.)); #573406=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #573407=CARTESIAN_POINT('Origin',(14.5,21.75,-200.)); #573408=CARTESIAN_POINT('',(14.325,21.75,-0.052500000000002)); #573409=CARTESIAN_POINT('Origin',(14.5,21.75,-0.052500000000002)); #573410=CARTESIAN_POINT('',(14.325,21.75,0.)); #573411=CARTESIAN_POINT('',(14.325,21.75,-200.)); #573412=CARTESIAN_POINT('Origin',(14.5,21.75,0.)); #573413=CARTESIAN_POINT('Origin',(25.,26.625,-200.)); #573414=CARTESIAN_POINT('',(24.825,26.625,-0.052500000000002)); #573415=CARTESIAN_POINT('Origin',(25.,26.625,-0.052500000000002)); #573416=CARTESIAN_POINT('',(24.825,26.625,0.)); #573417=CARTESIAN_POINT('',(24.825,26.625,-200.)); #573418=CARTESIAN_POINT('Origin',(25.,26.625,0.)); #573419=CARTESIAN_POINT('Origin',(14.5,21.,-200.)); #573420=CARTESIAN_POINT('',(14.325,21.,-0.052500000000002)); #573421=CARTESIAN_POINT('Origin',(14.5,21.,-0.052500000000002)); #573422=CARTESIAN_POINT('',(14.325,21.,0.)); #573423=CARTESIAN_POINT('',(14.325,21.,-200.)); #573424=CARTESIAN_POINT('Origin',(14.5,21.,0.)); #573425=CARTESIAN_POINT('Origin',(34.75,16.25,-200.)); #573426=CARTESIAN_POINT('',(34.575,16.25,-0.052500000000002)); #573427=CARTESIAN_POINT('Origin',(34.75,16.25,-0.052500000000002)); #573428=CARTESIAN_POINT('',(34.575,16.25,0.)); #573429=CARTESIAN_POINT('',(34.575,16.25,-200.)); #573430=CARTESIAN_POINT('Origin',(34.75,16.25,0.)); #573431=CARTESIAN_POINT('Origin',(16.,20.25,-200.)); #573432=CARTESIAN_POINT('',(15.825,20.25,-0.052500000000002)); #573433=CARTESIAN_POINT('Origin',(16.,20.25,-0.052500000000002)); #573434=CARTESIAN_POINT('',(15.825,20.25,0.)); #573435=CARTESIAN_POINT('',(15.825,20.25,-200.)); #573436=CARTESIAN_POINT('Origin',(16.,20.25,0.)); #573437=CARTESIAN_POINT('Origin',(27.25,24.375,-200.)); #573438=CARTESIAN_POINT('',(27.075,24.375,-0.052500000000002)); #573439=CARTESIAN_POINT('Origin',(27.25,24.375,-0.052500000000002)); #573440=CARTESIAN_POINT('',(27.075,24.375,0.)); #573441=CARTESIAN_POINT('',(27.075,24.375,-200.)); #573442=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #573443=CARTESIAN_POINT('Origin',(14.5,20.25,-200.)); #573444=CARTESIAN_POINT('',(14.325,20.25,-0.052500000000002)); #573445=CARTESIAN_POINT('Origin',(14.5,20.25,-0.052500000000002)); #573446=CARTESIAN_POINT('',(14.325,20.25,0.)); #573447=CARTESIAN_POINT('',(14.325,20.25,-200.)); #573448=CARTESIAN_POINT('Origin',(14.5,20.25,0.)); #573449=CARTESIAN_POINT('Origin',(34.0625,12.25,-200.)); #573450=CARTESIAN_POINT('',(33.8875,12.25,-0.052500000000002)); #573451=CARTESIAN_POINT('Origin',(34.0625,12.25,-0.052500000000002)); #573452=CARTESIAN_POINT('',(33.8875,12.25,0.)); #573453=CARTESIAN_POINT('',(33.8875,12.25,-200.)); #573454=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #573455=CARTESIAN_POINT('Origin',(15.25,20.25,-200.)); #573456=CARTESIAN_POINT('',(15.075,20.25,-0.052500000000002)); #573457=CARTESIAN_POINT('Origin',(15.25,20.25,-0.052500000000002)); #573458=CARTESIAN_POINT('',(15.075,20.25,0.)); #573459=CARTESIAN_POINT('',(15.075,20.25,-200.)); #573460=CARTESIAN_POINT('Origin',(15.25,20.25,0.)); #573461=CARTESIAN_POINT('Origin',(31.75,18.5,-200.)); #573462=CARTESIAN_POINT('',(31.575,18.5,-0.052500000000002)); #573463=CARTESIAN_POINT('Origin',(31.75,18.5,-0.052500000000002)); #573464=CARTESIAN_POINT('',(31.575,18.5,0.)); #573465=CARTESIAN_POINT('',(31.575,18.5,-200.)); #573466=CARTESIAN_POINT('Origin',(31.75,18.5,0.)); #573467=CARTESIAN_POINT('Origin',(15.25,21.75,-200.)); #573468=CARTESIAN_POINT('',(15.075,21.75,-0.052500000000002)); #573469=CARTESIAN_POINT('Origin',(15.25,21.75,-0.052500000000002)); #573470=CARTESIAN_POINT('',(15.075,21.75,0.)); #573471=CARTESIAN_POINT('',(15.075,21.75,-200.)); #573472=CARTESIAN_POINT('Origin',(15.25,21.75,0.)); #573473=CARTESIAN_POINT('Origin',(33.25,16.25,-200.)); #573474=CARTESIAN_POINT('',(33.075,16.25,-0.052500000000002)); #573475=CARTESIAN_POINT('Origin',(33.25,16.25,-0.052500000000002)); #573476=CARTESIAN_POINT('',(33.075,16.25,0.)); #573477=CARTESIAN_POINT('',(33.075,16.25,-200.)); #573478=CARTESIAN_POINT('Origin',(33.25,16.25,0.)); #573479=CARTESIAN_POINT('Origin',(16.,21.75,-200.)); #573480=CARTESIAN_POINT('',(15.825,21.75,-0.052500000000002)); #573481=CARTESIAN_POINT('Origin',(16.,21.75,-0.052500000000002)); #573482=CARTESIAN_POINT('',(15.825,21.75,0.)); #573483=CARTESIAN_POINT('',(15.825,21.75,-200.)); #573484=CARTESIAN_POINT('Origin',(16.,21.75,0.)); #573485=CARTESIAN_POINT('Origin',(31.75,19.25,-200.)); #573486=CARTESIAN_POINT('',(31.575,19.25,-0.052500000000002)); #573487=CARTESIAN_POINT('Origin',(31.75,19.25,-0.052500000000002)); #573488=CARTESIAN_POINT('',(31.575,19.25,0.)); #573489=CARTESIAN_POINT('',(31.575,19.25,-200.)); #573490=CARTESIAN_POINT('Origin',(31.75,19.25,0.)); #573491=CARTESIAN_POINT('Origin',(16.,21.,-200.)); #573492=CARTESIAN_POINT('',(15.825,21.,-0.052500000000002)); #573493=CARTESIAN_POINT('Origin',(16.,21.,-0.052500000000002)); #573494=CARTESIAN_POINT('',(15.825,21.,0.)); #573495=CARTESIAN_POINT('',(15.825,21.,-200.)); #573496=CARTESIAN_POINT('Origin',(16.,21.,0.)); #573497=CARTESIAN_POINT('Origin',(15.75,34.,-200.)); #573498=CARTESIAN_POINT('',(15.25,34.,-0.052500000000002)); #573499=CARTESIAN_POINT('Origin',(15.75,34.,-0.052500000000002)); #573500=CARTESIAN_POINT('',(15.25,34.,0.)); #573501=CARTESIAN_POINT('',(15.25,34.,-200.)); #573502=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #573503=CARTESIAN_POINT('Origin',(52.75,21.,-200.)); #573504=CARTESIAN_POINT('',(52.575,21.,-0.052500000000002)); #573505=CARTESIAN_POINT('Origin',(52.75,21.,-0.052500000000002)); #573506=CARTESIAN_POINT('',(52.575,21.,0.)); #573507=CARTESIAN_POINT('',(52.575,21.,-200.)); #573508=CARTESIAN_POINT('Origin',(52.75,21.,0.)); #573509=CARTESIAN_POINT('Origin',(37.5,21.25,-200.)); #573510=CARTESIAN_POINT('',(37.325,21.25,-0.052500000000002)); #573511=CARTESIAN_POINT('Origin',(37.5,21.25,-0.052500000000002)); #573512=CARTESIAN_POINT('',(37.325,21.25,0.)); #573513=CARTESIAN_POINT('',(37.325,21.25,-200.)); #573514=CARTESIAN_POINT('Origin',(37.5,21.25,0.)); #573515=CARTESIAN_POINT('Origin',(39.25,19.,-200.)); #573516=CARTESIAN_POINT('',(39.075,19.,-0.052500000000002)); #573517=CARTESIAN_POINT('Origin',(39.25,19.,-0.052500000000002)); #573518=CARTESIAN_POINT('',(39.075,19.,0.)); #573519=CARTESIAN_POINT('',(39.075,19.,-200.)); #573520=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #573521=CARTESIAN_POINT('Origin',(35.5,17.75,-200.)); #573522=CARTESIAN_POINT('',(35.325,17.75,-0.052500000000002)); #573523=CARTESIAN_POINT('Origin',(35.5,17.75,-0.052500000000002)); #573524=CARTESIAN_POINT('',(35.325,17.75,0.)); #573525=CARTESIAN_POINT('',(35.325,17.75,-200.)); #573526=CARTESIAN_POINT('Origin',(35.5,17.75,0.)); #573527=CARTESIAN_POINT('Origin',(39.25,18.25,-200.)); #573528=CARTESIAN_POINT('',(39.075,18.25,-0.052500000000002)); #573529=CARTESIAN_POINT('Origin',(39.25,18.25,-0.052500000000002)); #573530=CARTESIAN_POINT('',(39.075,18.25,0.)); #573531=CARTESIAN_POINT('',(39.075,18.25,-200.)); #573532=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #573533=CARTESIAN_POINT('Origin',(31.,13.,-200.)); #573534=CARTESIAN_POINT('',(30.825,13.,-0.052500000000002)); #573535=CARTESIAN_POINT('Origin',(31.,13.,-0.052500000000002)); #573536=CARTESIAN_POINT('',(30.825,13.,0.)); #573537=CARTESIAN_POINT('',(30.825,13.,-200.)); #573538=CARTESIAN_POINT('Origin',(31.,13.,0.)); #573539=CARTESIAN_POINT('Origin',(42.5,16.,-200.)); #573540=CARTESIAN_POINT('',(42.325,16.,-0.052500000000002)); #573541=CARTESIAN_POINT('Origin',(42.5,16.,-0.052500000000002)); #573542=CARTESIAN_POINT('',(42.325,16.,0.)); #573543=CARTESIAN_POINT('',(42.325,16.,-200.)); #573544=CARTESIAN_POINT('Origin',(42.5,16.,0.)); #573545=CARTESIAN_POINT('Origin',(27.762,19.,-200.)); #573546=CARTESIAN_POINT('',(27.587,19.,-0.052500000000002)); #573547=CARTESIAN_POINT('Origin',(27.762,19.,-0.052500000000002)); #573548=CARTESIAN_POINT('',(27.587,19.,0.)); #573549=CARTESIAN_POINT('',(27.587,19.,-200.)); #573550=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #573551=CARTESIAN_POINT('Origin',(53.5,21.,-200.)); #573552=CARTESIAN_POINT('',(53.325,21.,-0.052500000000002)); #573553=CARTESIAN_POINT('Origin',(53.5,21.,-0.052500000000002)); #573554=CARTESIAN_POINT('',(53.325,21.,0.)); #573555=CARTESIAN_POINT('',(53.325,21.,-200.)); #573556=CARTESIAN_POINT('Origin',(53.5,21.,0.)); #573557=CARTESIAN_POINT('Origin',(34.75,49.25,-200.)); #573558=CARTESIAN_POINT('',(34.575,49.25,-0.052500000000002)); #573559=CARTESIAN_POINT('Origin',(34.75,49.25,-0.052500000000002)); #573560=CARTESIAN_POINT('',(34.575,49.25,0.)); #573561=CARTESIAN_POINT('',(34.575,49.25,-200.)); #573562=CARTESIAN_POINT('Origin',(34.75,49.25,0.)); #573563=CARTESIAN_POINT('Origin',(52.,21.,-200.)); #573564=CARTESIAN_POINT('',(51.825,21.,-0.052500000000002)); #573565=CARTESIAN_POINT('Origin',(52.,21.,-0.052500000000002)); #573566=CARTESIAN_POINT('',(51.825,21.,0.)); #573567=CARTESIAN_POINT('',(51.825,21.,-200.)); #573568=CARTESIAN_POINT('Origin',(52.,21.,0.)); #573569=CARTESIAN_POINT('Origin',(35.5,18.5,-200.)); #573570=CARTESIAN_POINT('',(35.325,18.5,-0.052500000000002)); #573571=CARTESIAN_POINT('Origin',(35.5,18.5,-0.052500000000002)); #573572=CARTESIAN_POINT('',(35.325,18.5,0.)); #573573=CARTESIAN_POINT('',(35.325,18.5,-200.)); #573574=CARTESIAN_POINT('Origin',(35.5,18.5,0.)); #573575=CARTESIAN_POINT('Origin',(53.5,21.75,-200.)); #573576=CARTESIAN_POINT('',(53.325,21.75,-0.052500000000002)); #573577=CARTESIAN_POINT('Origin',(53.5,21.75,-0.052500000000002)); #573578=CARTESIAN_POINT('',(53.325,21.75,0.)); #573579=CARTESIAN_POINT('',(53.325,21.75,-200.)); #573580=CARTESIAN_POINT('Origin',(53.5,21.75,0.)); #573581=CARTESIAN_POINT('Origin',(34.,49.25,-200.)); #573582=CARTESIAN_POINT('',(33.825,49.25,-0.052500000000002)); #573583=CARTESIAN_POINT('Origin',(34.,49.25,-0.052500000000002)); #573584=CARTESIAN_POINT('',(33.825,49.25,0.)); #573585=CARTESIAN_POINT('',(33.825,49.25,-200.)); #573586=CARTESIAN_POINT('Origin',(34.,49.25,0.)); #573587=CARTESIAN_POINT('Origin',(52.75,21.75,-200.)); #573588=CARTESIAN_POINT('',(52.575,21.75,-0.052500000000002)); #573589=CARTESIAN_POINT('Origin',(52.75,21.75,-0.052500000000002)); #573590=CARTESIAN_POINT('',(52.575,21.75,0.)); #573591=CARTESIAN_POINT('',(52.575,21.75,-200.)); #573592=CARTESIAN_POINT('Origin',(52.75,21.75,0.)); #573593=CARTESIAN_POINT('Origin',(35.27,45.,-200.)); #573594=CARTESIAN_POINT('',(34.67,45.,-0.052500000000002)); #573595=CARTESIAN_POINT('Origin',(35.27,45.,-0.052500000000002)); #573596=CARTESIAN_POINT('',(34.67,45.,0.)); #573597=CARTESIAN_POINT('',(34.67,45.,-200.)); #573598=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #573599=CARTESIAN_POINT('Origin',(26.,31.,-200.)); #573600=CARTESIAN_POINT('',(25.825,31.,-0.052500000000002)); #573601=CARTESIAN_POINT('Origin',(26.,31.,-0.052500000000002)); #573602=CARTESIAN_POINT('',(25.825,31.,0.)); #573603=CARTESIAN_POINT('',(25.825,31.,-200.)); #573604=CARTESIAN_POINT('Origin',(26.,31.,0.)); #573605=CARTESIAN_POINT('Origin',(10.25,33.25,-200.)); #573606=CARTESIAN_POINT('',(10.075,33.25,-0.052500000000002)); #573607=CARTESIAN_POINT('Origin',(10.25,33.25,-0.052500000000002)); #573608=CARTESIAN_POINT('',(10.075,33.25,0.)); #573609=CARTESIAN_POINT('',(10.075,33.25,-200.)); #573610=CARTESIAN_POINT('Origin',(10.25,33.25,0.)); #573611=CARTESIAN_POINT('Origin',(26.,36.,-200.)); #573612=CARTESIAN_POINT('',(25.825,36.,-0.052500000000002)); #573613=CARTESIAN_POINT('Origin',(26.,36.,-0.052500000000002)); #573614=CARTESIAN_POINT('',(25.825,36.,0.)); #573615=CARTESIAN_POINT('',(25.825,36.,-200.)); #573616=CARTESIAN_POINT('Origin',(26.,36.,0.)); #573617=CARTESIAN_POINT('Origin',(34.75,14.75,-200.)); #573618=CARTESIAN_POINT('',(34.575,14.75,-0.052500000000002)); #573619=CARTESIAN_POINT('Origin',(34.75,14.75,-0.052500000000002)); #573620=CARTESIAN_POINT('',(34.575,14.75,0.)); #573621=CARTESIAN_POINT('',(34.575,14.75,-200.)); #573622=CARTESIAN_POINT('Origin',(34.75,14.75,0.)); #573623=CARTESIAN_POINT('Origin',(26.75,36.,-200.)); #573624=CARTESIAN_POINT('',(26.575,36.,-0.052500000000002)); #573625=CARTESIAN_POINT('Origin',(26.75,36.,-0.052500000000002)); #573626=CARTESIAN_POINT('',(26.575,36.,0.)); #573627=CARTESIAN_POINT('',(26.575,36.,-200.)); #573628=CARTESIAN_POINT('Origin',(26.75,36.,0.)); #573629=CARTESIAN_POINT('Origin',(9.5,33.25,-200.)); #573630=CARTESIAN_POINT('',(9.325,33.25,-0.052500000000002)); #573631=CARTESIAN_POINT('Origin',(9.5,33.25,-0.052500000000002)); #573632=CARTESIAN_POINT('',(9.325,33.25,0.)); #573633=CARTESIAN_POINT('',(9.325,33.25,-200.)); #573634=CARTESIAN_POINT('Origin',(9.5,33.25,0.)); #573635=CARTESIAN_POINT('Origin',(26.75,31.,-200.)); #573636=CARTESIAN_POINT('',(26.575,31.,-0.052500000000002)); #573637=CARTESIAN_POINT('Origin',(26.75,31.,-0.052500000000002)); #573638=CARTESIAN_POINT('',(26.575,31.,0.)); #573639=CARTESIAN_POINT('',(26.575,31.,-200.)); #573640=CARTESIAN_POINT('Origin',(26.75,31.,0.)); #573641=CARTESIAN_POINT('Origin',(34.75,17.,-200.)); #573642=CARTESIAN_POINT('',(34.575,17.,-0.052500000000002)); #573643=CARTESIAN_POINT('Origin',(34.75,17.,-0.052500000000002)); #573644=CARTESIAN_POINT('',(34.575,17.,0.)); #573645=CARTESIAN_POINT('',(34.575,17.,-200.)); #573646=CARTESIAN_POINT('Origin',(34.75,17.,0.)); #573647=CARTESIAN_POINT('Origin',(16.625,47.75,-200.)); #573648=CARTESIAN_POINT('',(16.45,47.75,-0.052500000000002)); #573649=CARTESIAN_POINT('Origin',(16.625,47.75,-0.052500000000002)); #573650=CARTESIAN_POINT('',(16.45,47.75,0.)); #573651=CARTESIAN_POINT('',(16.45,47.75,-200.)); #573652=CARTESIAN_POINT('Origin',(16.625,47.75,0.)); #573653=CARTESIAN_POINT('Origin',(10.25,34.,-200.)); #573654=CARTESIAN_POINT('',(10.075,34.,-0.052500000000002)); #573655=CARTESIAN_POINT('Origin',(10.25,34.,-0.052500000000002)); #573656=CARTESIAN_POINT('',(10.075,34.,0.)); #573657=CARTESIAN_POINT('',(10.075,34.,-200.)); #573658=CARTESIAN_POINT('Origin',(10.25,34.,0.)); #573659=CARTESIAN_POINT('Origin',(15.75,47.75,-200.)); #573660=CARTESIAN_POINT('',(15.575,47.75,-0.052500000000002)); #573661=CARTESIAN_POINT('Origin',(15.75,47.75,-0.052500000000002)); #573662=CARTESIAN_POINT('',(15.575,47.75,0.)); #573663=CARTESIAN_POINT('',(15.575,47.75,-200.)); #573664=CARTESIAN_POINT('Origin',(15.75,47.75,0.)); #573665=CARTESIAN_POINT('Origin',(35.5,14.75,-200.)); #573666=CARTESIAN_POINT('',(35.325,14.75,-0.052500000000002)); #573667=CARTESIAN_POINT('Origin',(35.5,14.75,-0.052500000000002)); #573668=CARTESIAN_POINT('',(35.325,14.75,0.)); #573669=CARTESIAN_POINT('',(35.325,14.75,-200.)); #573670=CARTESIAN_POINT('Origin',(35.5,14.75,0.)); #573671=CARTESIAN_POINT('Origin',(16.625,47.,-200.)); #573672=CARTESIAN_POINT('',(16.45,47.,-0.052500000000002)); #573673=CARTESIAN_POINT('Origin',(16.625,47.,-0.052500000000002)); #573674=CARTESIAN_POINT('',(16.45,47.,0.)); #573675=CARTESIAN_POINT('',(16.45,47.,-200.)); #573676=CARTESIAN_POINT('Origin',(16.625,47.,0.)); #573677=CARTESIAN_POINT('Origin',(9.5,34.,-200.)); #573678=CARTESIAN_POINT('',(9.325,34.,-0.052500000000002)); #573679=CARTESIAN_POINT('Origin',(9.5,34.,-0.052500000000002)); #573680=CARTESIAN_POINT('',(9.325,34.,0.)); #573681=CARTESIAN_POINT('',(9.325,34.,-200.)); #573682=CARTESIAN_POINT('Origin',(9.5,34.,0.)); #573683=CARTESIAN_POINT('Origin',(16.625,46.25,-200.)); #573684=CARTESIAN_POINT('',(16.45,46.25,-0.052500000000002)); #573685=CARTESIAN_POINT('Origin',(16.625,46.25,-0.052500000000002)); #573686=CARTESIAN_POINT('',(16.45,46.25,0.)); #573687=CARTESIAN_POINT('',(16.45,46.25,-200.)); #573688=CARTESIAN_POINT('Origin',(16.625,46.25,0.)); #573689=CARTESIAN_POINT('Origin',(39.25,17.5,-200.)); #573690=CARTESIAN_POINT('',(39.075,17.5,-0.052500000000002)); #573691=CARTESIAN_POINT('Origin',(39.25,17.5,-0.052500000000002)); #573692=CARTESIAN_POINT('',(39.075,17.5,0.)); #573693=CARTESIAN_POINT('',(39.075,17.5,-200.)); #573694=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #573695=CARTESIAN_POINT('Origin',(17.5,47.75,-200.)); #573696=CARTESIAN_POINT('',(17.325,47.75,-0.052500000000002)); #573697=CARTESIAN_POINT('Origin',(17.5,47.75,-0.052500000000002)); #573698=CARTESIAN_POINT('',(17.325,47.75,0.)); #573699=CARTESIAN_POINT('',(17.325,47.75,-200.)); #573700=CARTESIAN_POINT('Origin',(17.5,47.75,0.)); #573701=CARTESIAN_POINT('Origin',(57.75,33.25,-200.)); #573702=CARTESIAN_POINT('',(57.575,33.25,-0.052500000000002)); #573703=CARTESIAN_POINT('Origin',(57.75,33.25,-0.052500000000002)); #573704=CARTESIAN_POINT('',(57.575,33.25,0.)); #573705=CARTESIAN_POINT('',(57.575,33.25,-200.)); #573706=CARTESIAN_POINT('Origin',(57.75,33.25,0.)); #573707=CARTESIAN_POINT('Origin',(19.375,46.25,-200.)); #573708=CARTESIAN_POINT('',(19.2,46.25,-0.052500000000002)); #573709=CARTESIAN_POINT('Origin',(19.375,46.25,-0.052500000000002)); #573710=CARTESIAN_POINT('',(19.2,46.25,0.)); #573711=CARTESIAN_POINT('',(19.2,46.25,-200.)); #573712=CARTESIAN_POINT('Origin',(19.375,46.25,0.)); #573713=CARTESIAN_POINT('Origin',(36.25,15.5,-200.)); #573714=CARTESIAN_POINT('',(36.075,15.5,-0.052500000000002)); #573715=CARTESIAN_POINT('Origin',(36.25,15.5,-0.052500000000002)); #573716=CARTESIAN_POINT('',(36.075,15.5,0.)); #573717=CARTESIAN_POINT('',(36.075,15.5,-200.)); #573718=CARTESIAN_POINT('Origin',(36.25,15.5,0.)); #573719=CARTESIAN_POINT('Origin',(19.375,47.75,-200.)); #573720=CARTESIAN_POINT('',(19.2,47.75,-0.052500000000002)); #573721=CARTESIAN_POINT('Origin',(19.375,47.75,-0.052500000000002)); #573722=CARTESIAN_POINT('',(19.2,47.75,0.)); #573723=CARTESIAN_POINT('',(19.2,47.75,-200.)); #573724=CARTESIAN_POINT('Origin',(19.375,47.75,0.)); #573725=CARTESIAN_POINT('Origin',(62.,33.25,-200.)); #573726=CARTESIAN_POINT('',(61.825,33.25,-0.052500000000002)); #573727=CARTESIAN_POINT('Origin',(62.,33.25,-0.052500000000002)); #573728=CARTESIAN_POINT('',(61.825,33.25,0.)); #573729=CARTESIAN_POINT('',(61.825,33.25,-200.)); #573730=CARTESIAN_POINT('Origin',(62.,33.25,0.)); #573731=CARTESIAN_POINT('Origin',(19.375,47.,-200.)); #573732=CARTESIAN_POINT('',(19.2,47.,-0.052500000000002)); #573733=CARTESIAN_POINT('Origin',(19.375,47.,-0.052500000000002)); #573734=CARTESIAN_POINT('',(19.2,47.,0.)); #573735=CARTESIAN_POINT('',(19.2,47.,-200.)); #573736=CARTESIAN_POINT('Origin',(19.375,47.,0.)); #573737=CARTESIAN_POINT('Origin',(34.,17.75,-200.)); #573738=CARTESIAN_POINT('',(33.825,17.75,-0.052500000000002)); #573739=CARTESIAN_POINT('Origin',(34.,17.75,-0.052500000000002)); #573740=CARTESIAN_POINT('',(33.825,17.75,0.)); #573741=CARTESIAN_POINT('',(33.825,17.75,-200.)); #573742=CARTESIAN_POINT('Origin',(34.,17.75,0.)); #573743=CARTESIAN_POINT('Origin',(17.5,46.25,-200.)); #573744=CARTESIAN_POINT('',(17.325,46.25,-0.052500000000002)); #573745=CARTESIAN_POINT('Origin',(17.5,46.25,-0.052500000000002)); #573746=CARTESIAN_POINT('',(17.325,46.25,0.)); #573747=CARTESIAN_POINT('',(17.325,46.25,-200.)); #573748=CARTESIAN_POINT('Origin',(17.5,46.25,0.)); #573749=CARTESIAN_POINT('Origin',(57.75,34.,-200.)); #573750=CARTESIAN_POINT('',(57.575,34.,-0.052500000000002)); #573751=CARTESIAN_POINT('Origin',(57.75,34.,-0.052500000000002)); #573752=CARTESIAN_POINT('',(57.575,34.,0.)); #573753=CARTESIAN_POINT('',(57.575,34.,-200.)); #573754=CARTESIAN_POINT('Origin',(57.75,34.,0.)); #573755=CARTESIAN_POINT('Origin',(17.5,47.,-200.)); #573756=CARTESIAN_POINT('',(17.325,47.,-0.052500000000002)); #573757=CARTESIAN_POINT('Origin',(17.5,47.,-0.052500000000002)); #573758=CARTESIAN_POINT('',(17.325,47.,0.)); #573759=CARTESIAN_POINT('',(17.325,47.,-200.)); #573760=CARTESIAN_POINT('Origin',(17.5,47.,0.)); #573761=CARTESIAN_POINT('Origin',(36.25,14.75,-200.)); #573762=CARTESIAN_POINT('',(36.075,14.75,-0.052500000000002)); #573763=CARTESIAN_POINT('Origin',(36.25,14.75,-0.052500000000002)); #573764=CARTESIAN_POINT('',(36.075,14.75,0.)); #573765=CARTESIAN_POINT('',(36.075,14.75,-200.)); #573766=CARTESIAN_POINT('Origin',(36.25,14.75,0.)); #573767=CARTESIAN_POINT('Origin',(15.75,46.25,-200.)); #573768=CARTESIAN_POINT('',(15.575,46.25,-0.052500000000002)); #573769=CARTESIAN_POINT('Origin',(15.75,46.25,-0.052500000000002)); #573770=CARTESIAN_POINT('',(15.575,46.25,0.)); #573771=CARTESIAN_POINT('',(15.575,46.25,-200.)); #573772=CARTESIAN_POINT('Origin',(15.75,46.25,0.)); #573773=CARTESIAN_POINT('Origin',(57.75,34.75,-200.)); #573774=CARTESIAN_POINT('',(57.575,34.75,-0.052500000000002)); #573775=CARTESIAN_POINT('Origin',(57.75,34.75,-0.052500000000002)); #573776=CARTESIAN_POINT('',(57.575,34.75,0.)); #573777=CARTESIAN_POINT('',(57.575,34.75,-200.)); #573778=CARTESIAN_POINT('Origin',(57.75,34.75,0.)); #573779=CARTESIAN_POINT('Origin',(15.75,47.,-200.)); #573780=CARTESIAN_POINT('',(15.575,47.,-0.052500000000002)); #573781=CARTESIAN_POINT('Origin',(15.75,47.,-0.052500000000002)); #573782=CARTESIAN_POINT('',(15.575,47.,0.)); #573783=CARTESIAN_POINT('',(15.575,47.,-200.)); #573784=CARTESIAN_POINT('Origin',(15.75,47.,0.)); #573785=CARTESIAN_POINT('Origin',(52.25,34.,-200.)); #573786=CARTESIAN_POINT('',(51.75,34.,-0.052500000000002)); #573787=CARTESIAN_POINT('Origin',(52.25,34.,-0.052500000000002)); #573788=CARTESIAN_POINT('',(51.75,34.,0.)); #573789=CARTESIAN_POINT('',(51.75,34.,-200.)); #573790=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #573791=CARTESIAN_POINT('Origin',(23.75,47.,-200.)); #573792=CARTESIAN_POINT('',(23.575,47.,-0.052500000000002)); #573793=CARTESIAN_POINT('Origin',(23.75,47.,-0.052500000000002)); #573794=CARTESIAN_POINT('',(23.575,47.,0.)); #573795=CARTESIAN_POINT('',(23.575,47.,-200.)); #573796=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #573797=CARTESIAN_POINT('Origin',(61.25,33.25,-200.)); #573798=CARTESIAN_POINT('',(61.075,33.25,-0.052500000000002)); #573799=CARTESIAN_POINT('Origin',(61.25,33.25,-0.052500000000002)); #573800=CARTESIAN_POINT('',(61.075,33.25,0.)); #573801=CARTESIAN_POINT('',(61.075,33.25,-200.)); #573802=CARTESIAN_POINT('Origin',(61.25,33.25,0.)); #573803=CARTESIAN_POINT('Origin',(20.25,47.,-200.)); #573804=CARTESIAN_POINT('',(20.075,47.,-0.052500000000002)); #573805=CARTESIAN_POINT('Origin',(20.25,47.,-0.052500000000002)); #573806=CARTESIAN_POINT('',(20.075,47.,0.)); #573807=CARTESIAN_POINT('',(20.075,47.,-200.)); #573808=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #573809=CARTESIAN_POINT('Origin',(36.25,17.75,-200.)); #573810=CARTESIAN_POINT('',(36.075,17.75,-0.052500000000002)); #573811=CARTESIAN_POINT('Origin',(36.25,17.75,-0.052500000000002)); #573812=CARTESIAN_POINT('',(36.075,17.75,0.)); #573813=CARTESIAN_POINT('',(36.075,17.75,-200.)); #573814=CARTESIAN_POINT('Origin',(36.25,17.75,0.)); #573815=CARTESIAN_POINT('Origin',(23.75,46.25,-200.)); #573816=CARTESIAN_POINT('',(23.575,46.25,-0.052500000000002)); #573817=CARTESIAN_POINT('Origin',(23.75,46.25,-0.052500000000002)); #573818=CARTESIAN_POINT('',(23.575,46.25,0.)); #573819=CARTESIAN_POINT('',(23.575,46.25,-200.)); #573820=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #573821=CARTESIAN_POINT('Origin',(58.5,33.25,-200.)); #573822=CARTESIAN_POINT('',(58.325,33.25,-0.052500000000002)); #573823=CARTESIAN_POINT('Origin',(58.5,33.25,-0.052500000000002)); #573824=CARTESIAN_POINT('',(58.325,33.25,0.)); #573825=CARTESIAN_POINT('',(58.325,33.25,-200.)); #573826=CARTESIAN_POINT('Origin',(58.5,33.25,0.)); #573827=CARTESIAN_POINT('Origin',(22.,46.25,-200.)); #573828=CARTESIAN_POINT('',(21.825,46.25,-0.052500000000002)); #573829=CARTESIAN_POINT('Origin',(22.,46.25,-0.052500000000002)); #573830=CARTESIAN_POINT('',(21.825,46.25,0.)); #573831=CARTESIAN_POINT('',(21.825,46.25,-200.)); #573832=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #573833=CARTESIAN_POINT('Origin',(37.25,8.75,-200.)); #573834=CARTESIAN_POINT('',(37.075,8.75,-0.052500000000002)); #573835=CARTESIAN_POINT('Origin',(37.25,8.75,-0.052500000000002)); #573836=CARTESIAN_POINT('',(37.075,8.75,0.)); #573837=CARTESIAN_POINT('',(37.075,8.75,-200.)); #573838=CARTESIAN_POINT('Origin',(37.25,8.75,0.)); #573839=CARTESIAN_POINT('Origin',(20.25,46.25,-200.)); #573840=CARTESIAN_POINT('',(20.075,46.25,-0.052500000000002)); #573841=CARTESIAN_POINT('Origin',(20.25,46.25,-0.052500000000002)); #573842=CARTESIAN_POINT('',(20.075,46.25,0.)); #573843=CARTESIAN_POINT('',(20.075,46.25,-200.)); #573844=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #573845=CARTESIAN_POINT('Origin',(58.5,34.,-200.)); #573846=CARTESIAN_POINT('',(58.325,34.,-0.052500000000002)); #573847=CARTESIAN_POINT('Origin',(58.5,34.,-0.052500000000002)); #573848=CARTESIAN_POINT('',(58.325,34.,0.)); #573849=CARTESIAN_POINT('',(58.325,34.,-200.)); #573850=CARTESIAN_POINT('Origin',(58.5,34.,0.)); #573851=CARTESIAN_POINT('Origin',(18.5,47.75,-200.)); #573852=CARTESIAN_POINT('',(18.325,47.75,-0.052500000000002)); #573853=CARTESIAN_POINT('Origin',(18.5,47.75,-0.052500000000002)); #573854=CARTESIAN_POINT('',(18.325,47.75,0.)); #573855=CARTESIAN_POINT('',(18.325,47.75,-200.)); #573856=CARTESIAN_POINT('Origin',(18.5,47.75,0.)); #573857=CARTESIAN_POINT('Origin',(36.25,18.5,-200.)); #573858=CARTESIAN_POINT('',(36.075,18.5,-0.052500000000002)); #573859=CARTESIAN_POINT('Origin',(36.25,18.5,-0.052500000000002)); #573860=CARTESIAN_POINT('',(36.075,18.5,0.)); #573861=CARTESIAN_POINT('',(36.075,18.5,-200.)); #573862=CARTESIAN_POINT('Origin',(36.25,18.5,0.)); #573863=CARTESIAN_POINT('Origin',(20.25,47.75,-200.)); #573864=CARTESIAN_POINT('',(20.075,47.75,-0.052500000000002)); #573865=CARTESIAN_POINT('Origin',(20.25,47.75,-0.052500000000002)); #573866=CARTESIAN_POINT('',(20.075,47.75,0.)); #573867=CARTESIAN_POINT('',(20.075,47.75,-200.)); #573868=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #573869=CARTESIAN_POINT('Origin',(58.5,34.75,-200.)); #573870=CARTESIAN_POINT('',(58.325,34.75,-0.052500000000002)); #573871=CARTESIAN_POINT('Origin',(58.5,34.75,-0.052500000000002)); #573872=CARTESIAN_POINT('',(58.325,34.75,0.)); #573873=CARTESIAN_POINT('',(58.325,34.75,-200.)); #573874=CARTESIAN_POINT('Origin',(58.5,34.75,0.)); #573875=CARTESIAN_POINT('Origin',(23.75,47.75,-200.)); #573876=CARTESIAN_POINT('',(23.575,47.75,-0.052500000000002)); #573877=CARTESIAN_POINT('Origin',(23.75,47.75,-0.052500000000002)); #573878=CARTESIAN_POINT('',(23.575,47.75,0.)); #573879=CARTESIAN_POINT('',(23.575,47.75,-200.)); #573880=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #573881=CARTESIAN_POINT('Origin',(31.5,27.75,-200.)); #573882=CARTESIAN_POINT('',(31.,27.75,-0.052500000000002)); #573883=CARTESIAN_POINT('Origin',(31.5,27.75,-0.052500000000002)); #573884=CARTESIAN_POINT('',(31.,27.75,0.)); #573885=CARTESIAN_POINT('',(31.,27.75,-200.)); #573886=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #573887=CARTESIAN_POINT('Origin',(21.125,47.,-200.)); #573888=CARTESIAN_POINT('',(20.95,47.,-0.052500000000002)); #573889=CARTESIAN_POINT('Origin',(21.125,47.,-0.052500000000002)); #573890=CARTESIAN_POINT('',(20.95,47.,0.)); #573891=CARTESIAN_POINT('',(20.95,47.,-200.)); #573892=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #573893=CARTESIAN_POINT('Origin',(61.25,34.75,-200.)); #573894=CARTESIAN_POINT('',(61.075,34.75,-0.052500000000002)); #573895=CARTESIAN_POINT('Origin',(61.25,34.75,-0.052500000000002)); #573896=CARTESIAN_POINT('',(61.075,34.75,0.)); #573897=CARTESIAN_POINT('',(61.075,34.75,-200.)); #573898=CARTESIAN_POINT('Origin',(61.25,34.75,0.)); #573899=CARTESIAN_POINT('Origin',(21.125,47.75,-200.)); #573900=CARTESIAN_POINT('',(20.95,47.75,-0.052500000000002)); #573901=CARTESIAN_POINT('Origin',(21.125,47.75,-0.052500000000002)); #573902=CARTESIAN_POINT('',(20.95,47.75,0.)); #573903=CARTESIAN_POINT('',(20.95,47.75,-200.)); #573904=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #573905=CARTESIAN_POINT('Origin',(36.25,17.,-200.)); #573906=CARTESIAN_POINT('',(36.075,17.,-0.052500000000002)); #573907=CARTESIAN_POINT('Origin',(36.25,17.,-0.052500000000002)); #573908=CARTESIAN_POINT('',(36.075,17.,0.)); #573909=CARTESIAN_POINT('',(36.075,17.,-200.)); #573910=CARTESIAN_POINT('Origin',(36.25,17.,0.)); #573911=CARTESIAN_POINT('Origin',(22.,47.,-200.)); #573912=CARTESIAN_POINT('',(21.825,47.,-0.052500000000002)); #573913=CARTESIAN_POINT('Origin',(22.,47.,-0.052500000000002)); #573914=CARTESIAN_POINT('',(21.825,47.,0.)); #573915=CARTESIAN_POINT('',(21.825,47.,-200.)); #573916=CARTESIAN_POINT('Origin',(22.,47.,0.)); #573917=CARTESIAN_POINT('Origin',(61.25,34.,-200.)); #573918=CARTESIAN_POINT('',(61.075,34.,-0.052500000000002)); #573919=CARTESIAN_POINT('Origin',(61.25,34.,-0.052500000000002)); #573920=CARTESIAN_POINT('',(61.075,34.,0.)); #573921=CARTESIAN_POINT('',(61.075,34.,-200.)); #573922=CARTESIAN_POINT('Origin',(61.25,34.,0.)); #573923=CARTESIAN_POINT('Origin',(22.,47.75,-200.)); #573924=CARTESIAN_POINT('',(21.825,47.75,-0.052500000000002)); #573925=CARTESIAN_POINT('Origin',(22.,47.75,-0.052500000000002)); #573926=CARTESIAN_POINT('',(21.825,47.75,0.)); #573927=CARTESIAN_POINT('',(21.825,47.75,-200.)); #573928=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #573929=CARTESIAN_POINT('Origin',(34.,17.,-200.)); #573930=CARTESIAN_POINT('',(33.825,17.,-0.052500000000002)); #573931=CARTESIAN_POINT('Origin',(34.,17.,-0.052500000000002)); #573932=CARTESIAN_POINT('',(33.825,17.,0.)); #573933=CARTESIAN_POINT('',(33.825,17.,-200.)); #573934=CARTESIAN_POINT('Origin',(34.,17.,0.)); #573935=CARTESIAN_POINT('Origin',(22.875,46.25,-200.)); #573936=CARTESIAN_POINT('',(22.7,46.25,-0.052500000000002)); #573937=CARTESIAN_POINT('Origin',(22.875,46.25,-0.052500000000002)); #573938=CARTESIAN_POINT('',(22.7,46.25,0.)); #573939=CARTESIAN_POINT('',(22.7,46.25,-200.)); #573940=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #573941=CARTESIAN_POINT('Origin',(62.,34.75,-200.)); #573942=CARTESIAN_POINT('',(61.825,34.75,-0.052500000000002)); #573943=CARTESIAN_POINT('Origin',(62.,34.75,-0.052500000000002)); #573944=CARTESIAN_POINT('',(61.825,34.75,0.)); #573945=CARTESIAN_POINT('',(61.825,34.75,-200.)); #573946=CARTESIAN_POINT('Origin',(62.,34.75,0.)); #573947=CARTESIAN_POINT('Origin',(21.125,46.25,-200.)); #573948=CARTESIAN_POINT('',(20.95,46.25,-0.052500000000002)); #573949=CARTESIAN_POINT('Origin',(21.125,46.25,-0.052500000000002)); #573950=CARTESIAN_POINT('',(20.95,46.25,0.)); #573951=CARTESIAN_POINT('',(20.95,46.25,-200.)); #573952=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #573953=CARTESIAN_POINT('Origin',(36.25,16.25,-200.)); #573954=CARTESIAN_POINT('',(36.075,16.25,-0.052500000000002)); #573955=CARTESIAN_POINT('Origin',(36.25,16.25,-0.052500000000002)); #573956=CARTESIAN_POINT('',(36.075,16.25,0.)); #573957=CARTESIAN_POINT('',(36.075,16.25,-200.)); #573958=CARTESIAN_POINT('Origin',(36.25,16.25,0.)); #573959=CARTESIAN_POINT('Origin',(22.875,47.,-200.)); #573960=CARTESIAN_POINT('',(22.7,47.,-0.052500000000002)); #573961=CARTESIAN_POINT('Origin',(22.875,47.,-0.052500000000002)); #573962=CARTESIAN_POINT('',(22.7,47.,0.)); #573963=CARTESIAN_POINT('',(22.7,47.,-200.)); #573964=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #573965=CARTESIAN_POINT('Origin',(62.,34.,-200.)); #573966=CARTESIAN_POINT('',(61.825,34.,-0.052500000000002)); #573967=CARTESIAN_POINT('Origin',(62.,34.,-0.052500000000002)); #573968=CARTESIAN_POINT('',(61.825,34.,0.)); #573969=CARTESIAN_POINT('',(61.825,34.,-200.)); #573970=CARTESIAN_POINT('Origin',(62.,34.,0.)); #573971=CARTESIAN_POINT('Origin',(22.875,47.75,-200.)); #573972=CARTESIAN_POINT('',(22.7,47.75,-0.052500000000002)); #573973=CARTESIAN_POINT('Origin',(22.875,47.75,-0.052500000000002)); #573974=CARTESIAN_POINT('',(22.7,47.75,0.)); #573975=CARTESIAN_POINT('',(22.7,47.75,-200.)); #573976=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #573977=CARTESIAN_POINT('Origin',(21.75,34.,-200.)); #573978=CARTESIAN_POINT('',(21.2,34.,-0.052500000000002)); #573979=CARTESIAN_POINT('Origin',(21.75,34.,-0.052500000000002)); #573980=CARTESIAN_POINT('',(21.2,34.,0.)); #573981=CARTESIAN_POINT('',(21.2,34.,-200.)); #573982=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #573983=CARTESIAN_POINT('Origin',(31.6,7.6,-200.)); #573984=CARTESIAN_POINT('',(31.45,7.6,-0.052500000000002)); #573985=CARTESIAN_POINT('Origin',(31.6,7.6,-0.052500000000002)); #573986=CARTESIAN_POINT('',(31.45,7.6,0.)); #573987=CARTESIAN_POINT('',(31.45,7.6,-200.)); #573988=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #573989=CARTESIAN_POINT('Origin',(6.5,34.75,-200.)); #573990=CARTESIAN_POINT('',(6.325,34.75,-0.052500000000002)); #573991=CARTESIAN_POINT('Origin',(6.5,34.75,-0.052500000000002)); #573992=CARTESIAN_POINT('',(6.325,34.75,0.)); #573993=CARTESIAN_POINT('',(6.325,34.75,-200.)); #573994=CARTESIAN_POINT('Origin',(6.5,34.75,0.)); #573995=CARTESIAN_POINT('Origin',(36.4,7.1,-200.)); #573996=CARTESIAN_POINT('',(36.25,7.1,-0.052500000000002)); #573997=CARTESIAN_POINT('Origin',(36.4,7.1,-0.052500000000002)); #573998=CARTESIAN_POINT('',(36.25,7.1,0.)); #573999=CARTESIAN_POINT('',(36.25,7.1,-200.)); #574000=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #574001=CARTESIAN_POINT('Origin',(31.75,14.75,-200.)); #574002=CARTESIAN_POINT('',(31.575,14.75,-0.052500000000002)); #574003=CARTESIAN_POINT('Origin',(31.75,14.75,-0.052500000000002)); #574004=CARTESIAN_POINT('',(31.575,14.75,0.)); #574005=CARTESIAN_POINT('',(31.575,14.75,-200.)); #574006=CARTESIAN_POINT('Origin',(31.75,14.75,0.)); #574007=CARTESIAN_POINT('Origin',(41.25,13.0029,-200.)); #574008=CARTESIAN_POINT('',(41.075,13.0029,-0.052500000000002)); #574009=CARTESIAN_POINT('Origin',(41.25,13.0029,-0.052500000000002)); #574010=CARTESIAN_POINT('',(41.075,13.0029,0.)); #574011=CARTESIAN_POINT('',(41.075,13.0029,-200.)); #574012=CARTESIAN_POINT('Origin',(41.25,13.0029,0.)); #574013=CARTESIAN_POINT('Origin',(6.5,34.,-200.)); #574014=CARTESIAN_POINT('',(6.325,34.,-0.052500000000002)); #574015=CARTESIAN_POINT('Origin',(6.5,34.,-0.052500000000002)); #574016=CARTESIAN_POINT('',(6.325,34.,0.)); #574017=CARTESIAN_POINT('',(6.325,34.,-200.)); #574018=CARTESIAN_POINT('Origin',(6.5,34.,0.)); #574019=CARTESIAN_POINT('Origin',(36.4,7.6,-200.)); #574020=CARTESIAN_POINT('',(36.25,7.6,-0.052500000000002)); #574021=CARTESIAN_POINT('Origin',(36.4,7.6,-0.052500000000002)); #574022=CARTESIAN_POINT('',(36.25,7.6,0.)); #574023=CARTESIAN_POINT('',(36.25,7.6,-200.)); #574024=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #574025=CARTESIAN_POINT('Origin',(33.25,17.,-200.)); #574026=CARTESIAN_POINT('',(33.075,17.,-0.052500000000002)); #574027=CARTESIAN_POINT('Origin',(33.25,17.,-0.052500000000002)); #574028=CARTESIAN_POINT('',(33.075,17.,0.)); #574029=CARTESIAN_POINT('',(33.075,17.,-200.)); #574030=CARTESIAN_POINT('Origin',(33.25,17.,0.)); #574031=CARTESIAN_POINT('Origin',(34.9375,12.25,-200.)); #574032=CARTESIAN_POINT('',(34.7625,12.25,-0.052500000000002)); #574033=CARTESIAN_POINT('Origin',(34.9375,12.25,-0.052500000000002)); #574034=CARTESIAN_POINT('',(34.7625,12.25,0.)); #574035=CARTESIAN_POINT('',(34.7625,12.25,-200.)); #574036=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #574037=CARTESIAN_POINT('Origin',(7.25,33.25,-200.)); #574038=CARTESIAN_POINT('',(7.075,33.25,-0.052500000000002)); #574039=CARTESIAN_POINT('Origin',(7.25,33.25,-0.052500000000002)); #574040=CARTESIAN_POINT('',(7.075,33.25,0.)); #574041=CARTESIAN_POINT('',(7.075,33.25,-200.)); #574042=CARTESIAN_POINT('Origin',(7.25,33.25,0.)); #574043=CARTESIAN_POINT('Origin',(38.762,60.25,-200.)); #574044=CARTESIAN_POINT('',(38.587,60.25,-0.052500000000002)); #574045=CARTESIAN_POINT('Origin',(38.762,60.25,-0.052500000000002)); #574046=CARTESIAN_POINT('',(38.587,60.25,0.)); #574047=CARTESIAN_POINT('',(38.587,60.25,-200.)); #574048=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #574049=CARTESIAN_POINT('Origin',(32.5,14.75,-200.)); #574050=CARTESIAN_POINT('',(32.325,14.75,-0.052500000000002)); #574051=CARTESIAN_POINT('Origin',(32.5,14.75,-0.052500000000002)); #574052=CARTESIAN_POINT('',(32.325,14.75,0.)); #574053=CARTESIAN_POINT('',(32.325,14.75,-200.)); #574054=CARTESIAN_POINT('Origin',(32.5,14.75,0.)); #574055=CARTESIAN_POINT('Origin',(34.,55.,-200.)); #574056=CARTESIAN_POINT('',(33.825,55.,-0.052500000000002)); #574057=CARTESIAN_POINT('Origin',(34.,55.,-0.052500000000002)); #574058=CARTESIAN_POINT('',(33.825,55.,0.)); #574059=CARTESIAN_POINT('',(33.825,55.,-200.)); #574060=CARTESIAN_POINT('Origin',(34.,55.,0.)); #574061=CARTESIAN_POINT('Origin',(6.5,33.25,-200.)); #574062=CARTESIAN_POINT('',(6.325,33.25,-0.052500000000002)); #574063=CARTESIAN_POINT('Origin',(6.5,33.25,-0.052500000000002)); #574064=CARTESIAN_POINT('',(6.325,33.25,0.)); #574065=CARTESIAN_POINT('',(6.325,33.25,-200.)); #574066=CARTESIAN_POINT('Origin',(6.5,33.25,0.)); #574067=CARTESIAN_POINT('Origin',(42.5,14.25,-200.)); #574068=CARTESIAN_POINT('',(42.325,14.25,-0.052500000000002)); #574069=CARTESIAN_POINT('Origin',(42.5,14.25,-0.052500000000002)); #574070=CARTESIAN_POINT('',(42.325,14.25,0.)); #574071=CARTESIAN_POINT('',(42.325,14.25,-200.)); #574072=CARTESIAN_POINT('Origin',(42.5,14.25,0.)); #574073=CARTESIAN_POINT('Origin',(39.25,15.75,-200.)); #574074=CARTESIAN_POINT('',(39.075,15.75,-0.052500000000002)); #574075=CARTESIAN_POINT('Origin',(39.25,15.75,-0.052500000000002)); #574076=CARTESIAN_POINT('',(39.075,15.75,0.)); #574077=CARTESIAN_POINT('',(39.075,15.75,-200.)); #574078=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #574079=CARTESIAN_POINT('Origin',(30.5,10.5,-200.)); #574080=CARTESIAN_POINT('',(30.325,10.5,-0.052500000000002)); #574081=CARTESIAN_POINT('Origin',(30.5,10.5,-0.052500000000002)); #574082=CARTESIAN_POINT('',(30.325,10.5,0.)); #574083=CARTESIAN_POINT('',(30.325,10.5,-200.)); #574084=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #574085=CARTESIAN_POINT('Origin',(9.5,34.75,-200.)); #574086=CARTESIAN_POINT('',(9.325,34.75,-0.052500000000002)); #574087=CARTESIAN_POINT('Origin',(9.5,34.75,-0.052500000000002)); #574088=CARTESIAN_POINT('',(9.325,34.75,0.)); #574089=CARTESIAN_POINT('',(9.325,34.75,-200.)); #574090=CARTESIAN_POINT('Origin',(9.5,34.75,0.)); #574091=CARTESIAN_POINT('Origin',(36.5,10.5,-200.)); #574092=CARTESIAN_POINT('',(36.325,10.5,-0.052500000000002)); #574093=CARTESIAN_POINT('Origin',(36.5,10.5,-0.052500000000002)); #574094=CARTESIAN_POINT('',(36.325,10.5,0.)); #574095=CARTESIAN_POINT('',(36.325,10.5,-200.)); #574096=CARTESIAN_POINT('Origin',(36.5,10.5,0.)); #574097=CARTESIAN_POINT('Origin',(34.,14.75,-200.)); #574098=CARTESIAN_POINT('',(33.825,14.75,-0.052500000000002)); #574099=CARTESIAN_POINT('Origin',(34.,14.75,-0.052500000000002)); #574100=CARTESIAN_POINT('',(33.825,14.75,0.)); #574101=CARTESIAN_POINT('',(33.825,14.75,-200.)); #574102=CARTESIAN_POINT('Origin',(34.,14.75,0.)); #574103=CARTESIAN_POINT('Origin',(35.75,11.25,-200.)); #574104=CARTESIAN_POINT('',(35.575,11.25,-0.052500000000002)); #574105=CARTESIAN_POINT('Origin',(35.75,11.25,-0.052500000000002)); #574106=CARTESIAN_POINT('',(35.575,11.25,0.)); #574107=CARTESIAN_POINT('',(35.575,11.25,-200.)); #574108=CARTESIAN_POINT('Origin',(35.75,11.25,0.)); #574109=CARTESIAN_POINT('Origin',(10.25,34.75,-200.)); #574110=CARTESIAN_POINT('',(10.075,34.75,-0.052500000000002)); #574111=CARTESIAN_POINT('Origin',(10.25,34.75,-0.052500000000002)); #574112=CARTESIAN_POINT('',(10.075,34.75,0.)); #574113=CARTESIAN_POINT('',(10.075,34.75,-200.)); #574114=CARTESIAN_POINT('Origin',(10.25,34.75,0.)); #574115=CARTESIAN_POINT('Origin',(30.5,11.25,-200.)); #574116=CARTESIAN_POINT('',(30.325,11.25,-0.052500000000002)); #574117=CARTESIAN_POINT('Origin',(30.5,11.25,-0.052500000000002)); #574118=CARTESIAN_POINT('',(30.325,11.25,0.)); #574119=CARTESIAN_POINT('',(30.325,11.25,-200.)); #574120=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #574121=CARTESIAN_POINT('Origin',(34.,16.25,-200.)); #574122=CARTESIAN_POINT('',(33.825,16.25,-0.052500000000002)); #574123=CARTESIAN_POINT('Origin',(34.,16.25,-0.052500000000002)); #574124=CARTESIAN_POINT('',(33.825,16.25,0.)); #574125=CARTESIAN_POINT('',(33.825,16.25,-200.)); #574126=CARTESIAN_POINT('Origin',(34.,16.25,0.)); #574127=CARTESIAN_POINT('Origin',(39.25,16.5,-200.)); #574128=CARTESIAN_POINT('',(39.075,16.5,-0.052500000000002)); #574129=CARTESIAN_POINT('Origin',(39.25,16.5,-0.052500000000002)); #574130=CARTESIAN_POINT('',(39.075,16.5,0.)); #574131=CARTESIAN_POINT('',(39.075,16.5,-200.)); #574132=CARTESIAN_POINT('Origin',(39.25,16.5,0.)); #574133=CARTESIAN_POINT('Origin',(7.25,34.75,-200.)); #574134=CARTESIAN_POINT('',(7.075,34.75,-0.052500000000002)); #574135=CARTESIAN_POINT('Origin',(7.25,34.75,-0.052500000000002)); #574136=CARTESIAN_POINT('',(7.075,34.75,0.)); #574137=CARTESIAN_POINT('',(7.075,34.75,-200.)); #574138=CARTESIAN_POINT('Origin',(7.25,34.75,0.)); #574139=CARTESIAN_POINT('Origin',(30.762,60.25,-200.)); #574140=CARTESIAN_POINT('',(30.587,60.25,-0.052500000000002)); #574141=CARTESIAN_POINT('Origin',(30.762,60.25,-0.052500000000002)); #574142=CARTESIAN_POINT('',(30.587,60.25,0.)); #574143=CARTESIAN_POINT('',(30.587,60.25,-200.)); #574144=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #574145=CARTESIAN_POINT('Origin',(33.25,14.75,-200.)); #574146=CARTESIAN_POINT('',(33.075,14.75,-0.052500000000002)); #574147=CARTESIAN_POINT('Origin',(33.25,14.75,-0.052500000000002)); #574148=CARTESIAN_POINT('',(33.075,14.75,0.)); #574149=CARTESIAN_POINT('',(33.075,14.75,-200.)); #574150=CARTESIAN_POINT('Origin',(33.25,14.75,0.)); #574151=CARTESIAN_POINT('Origin',(33.25,55.,-200.)); #574152=CARTESIAN_POINT('',(33.075,55.,-0.052500000000002)); #574153=CARTESIAN_POINT('Origin',(33.25,55.,-0.052500000000002)); #574154=CARTESIAN_POINT('',(33.075,55.,0.)); #574155=CARTESIAN_POINT('',(33.075,55.,-200.)); #574156=CARTESIAN_POINT('Origin',(33.25,55.,0.)); #574157=CARTESIAN_POINT('Origin',(7.25,34.,-200.)); #574158=CARTESIAN_POINT('',(7.075,34.,-0.052500000000002)); #574159=CARTESIAN_POINT('Origin',(7.25,34.,-0.052500000000002)); #574160=CARTESIAN_POINT('',(7.075,34.,0.)); #574161=CARTESIAN_POINT('',(7.075,34.,-200.)); #574162=CARTESIAN_POINT('Origin',(7.25,34.,0.)); #574163=CARTESIAN_POINT('Origin',(34.762,60.25,-200.)); #574164=CARTESIAN_POINT('',(34.587,60.25,-0.052500000000002)); #574165=CARTESIAN_POINT('Origin',(34.762,60.25,-0.052500000000002)); #574166=CARTESIAN_POINT('',(34.587,60.25,0.)); #574167=CARTESIAN_POINT('',(34.587,60.25,-200.)); #574168=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #574169=CARTESIAN_POINT('Origin',(55.75,34.,-200.)); #574170=CARTESIAN_POINT('',(55.25,34.,-0.052500000000002)); #574171=CARTESIAN_POINT('Origin',(55.75,34.,-0.052500000000002)); #574172=CARTESIAN_POINT('',(55.25,34.,0.)); #574173=CARTESIAN_POINT('',(55.25,34.,-200.)); #574174=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #574175=CARTESIAN_POINT('Origin',(30.03,2.09999997186536,-200.)); #574176=CARTESIAN_POINT('',(30.03,2.89999999062179,0.)); #574177=CARTESIAN_POINT('',(30.03,2.09999997186536,0.)); #574178=CARTESIAN_POINT('',(30.0300000000001,18.0499999859327,0.)); #574179=CARTESIAN_POINT('',(30.03,2.89999999062179,-0.052500000000002)); #574180=CARTESIAN_POINT('',(30.03,2.89999999062179,-200.)); #574181=CARTESIAN_POINT('',(30.03,2.09999997186536,-0.052500000000002)); #574182=CARTESIAN_POINT('',(30.0300000000001,18.0499999859327,-0.052500000000002)); #574183=CARTESIAN_POINT('',(30.03,2.09999997186536,-200.)); #574184=CARTESIAN_POINT('Origin',(29.68,2.1,-200.)); #574185=CARTESIAN_POINT('',(29.33,2.10000000937821,0.)); #574186=CARTESIAN_POINT('Origin',(29.68,2.1,0.)); #574187=CARTESIAN_POINT('',(29.33,2.10000000937821,-0.052500000000002)); #574188=CARTESIAN_POINT('Origin',(29.68,2.1,-0.052500000000002)); #574189=CARTESIAN_POINT('',(29.33,2.10000000937821,-200.)); #574190=CARTESIAN_POINT('Origin',(29.33,2.90000002813464,-200.)); #574191=CARTESIAN_POINT('',(29.33,2.90000002813464,0.)); #574192=CARTESIAN_POINT('',(29.3300000000001,18.4500000140673,0.)); #574193=CARTESIAN_POINT('',(29.33,2.90000002813464,-0.052500000000002)); #574194=CARTESIAN_POINT('',(29.3300000000001,18.4500000140673,-0.052500000000002)); #574195=CARTESIAN_POINT('',(29.33,2.90000002813464,-200.)); #574196=CARTESIAN_POINT('Origin',(29.68,2.9,-200.)); #574197=CARTESIAN_POINT('Origin',(29.68,2.9,0.)); #574198=CARTESIAN_POINT('Origin',(29.68,2.9,-0.052500000000002)); #574199=CARTESIAN_POINT('Origin',(35.25,6.25,-200.)); #574200=CARTESIAN_POINT('',(35.075,6.25,-0.052500000000002)); #574201=CARTESIAN_POINT('Origin',(35.25,6.25,-0.052500000000002)); #574202=CARTESIAN_POINT('',(35.075,6.25,0.)); #574203=CARTESIAN_POINT('',(35.075,6.25,-200.)); #574204=CARTESIAN_POINT('Origin',(35.25,6.25,0.)); #574205=CARTESIAN_POINT('Origin',(29.4500000482308,34.6,-200.)); #574206=CARTESIAN_POINT('',(28.8500000160769,34.6,0.)); #574207=CARTESIAN_POINT('',(29.4500000482308,34.6,0.)); #574208=CARTESIAN_POINT('',(31.7250000241154,34.6,0.)); #574209=CARTESIAN_POINT('',(28.8500000160769,34.6,-0.052500000000002)); #574210=CARTESIAN_POINT('',(28.8500000160769,34.6,-200.)); #574211=CARTESIAN_POINT('',(29.4500000482308,34.6,-0.052500000000002)); #574212=CARTESIAN_POINT('',(31.7250000241154,34.6,-0.052500000000002)); #574213=CARTESIAN_POINT('',(29.4500000482308,34.6,-200.)); #574214=CARTESIAN_POINT('Origin',(29.45,34.,-200.)); #574215=CARTESIAN_POINT('',(29.4499999839231,33.4,0.)); #574216=CARTESIAN_POINT('Origin',(29.45,34.,0.)); #574217=CARTESIAN_POINT('',(29.4499999839231,33.4,-0.052500000000002)); #574218=CARTESIAN_POINT('Origin',(29.45,34.,-0.052500000000002)); #574219=CARTESIAN_POINT('',(29.4499999839231,33.4,-200.)); #574220=CARTESIAN_POINT('Origin',(28.8499999517692,33.4,-200.)); #574221=CARTESIAN_POINT('',(28.8499999517692,33.4,0.)); #574222=CARTESIAN_POINT('',(31.4249999758846,33.4,0.)); #574223=CARTESIAN_POINT('',(28.8499999517692,33.4,-0.052500000000002)); #574224=CARTESIAN_POINT('',(31.4249999758846,33.4,-0.052500000000002)); #574225=CARTESIAN_POINT('',(28.8499999517692,33.4,-200.)); #574226=CARTESIAN_POINT('Origin',(28.85,34.,-200.)); #574227=CARTESIAN_POINT('Origin',(28.85,34.,0.)); #574228=CARTESIAN_POINT('Origin',(28.85,34.,-0.052500000000002)); #574229=CARTESIAN_POINT('Origin',(31.75,16.25,-200.)); #574230=CARTESIAN_POINT('',(31.575,16.25,-0.052500000000002)); #574231=CARTESIAN_POINT('Origin',(31.75,16.25,-0.052500000000002)); #574232=CARTESIAN_POINT('',(31.575,16.25,0.)); #574233=CARTESIAN_POINT('',(31.575,16.25,-200.)); #574234=CARTESIAN_POINT('Origin',(31.75,16.25,0.)); #574235=CARTESIAN_POINT('Origin',(30.8,7.6,-200.)); #574236=CARTESIAN_POINT('',(30.65,7.6,-0.052500000000002)); #574237=CARTESIAN_POINT('Origin',(30.8,7.6,-0.052500000000002)); #574238=CARTESIAN_POINT('',(30.65,7.6,0.)); #574239=CARTESIAN_POINT('',(30.65,7.6,-200.)); #574240=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #574241=CARTESIAN_POINT('Origin',(34.25,8.25,-200.)); #574242=CARTESIAN_POINT('',(34.075,8.25,-0.052500000000002)); #574243=CARTESIAN_POINT('Origin',(34.25,8.25,-0.052500000000002)); #574244=CARTESIAN_POINT('',(34.075,8.25,0.)); #574245=CARTESIAN_POINT('',(34.075,8.25,-200.)); #574246=CARTESIAN_POINT('Origin',(34.25,8.25,0.)); #574247=CARTESIAN_POINT('Origin',(39.1500000482308,34.6,-200.)); #574248=CARTESIAN_POINT('',(38.5500000160769,34.6,0.)); #574249=CARTESIAN_POINT('',(39.1500000482308,34.6,0.)); #574250=CARTESIAN_POINT('',(36.5750000241154,34.6,0.)); #574251=CARTESIAN_POINT('',(38.5500000160769,34.6,-0.052500000000002)); #574252=CARTESIAN_POINT('',(38.5500000160769,34.6,-200.)); #574253=CARTESIAN_POINT('',(39.1500000482308,34.6,-0.052500000000002)); #574254=CARTESIAN_POINT('',(36.5750000241154,34.6,-0.052500000000002)); #574255=CARTESIAN_POINT('',(39.1500000482308,34.6,-200.)); #574256=CARTESIAN_POINT('Origin',(39.15,34.,-200.)); #574257=CARTESIAN_POINT('',(39.1499999839231,33.4,0.)); #574258=CARTESIAN_POINT('Origin',(39.15,34.,0.)); #574259=CARTESIAN_POINT('',(39.1499999839231,33.4,-0.052500000000002)); #574260=CARTESIAN_POINT('Origin',(39.15,34.,-0.052500000000002)); #574261=CARTESIAN_POINT('',(39.1499999839231,33.4,-200.)); #574262=CARTESIAN_POINT('Origin',(38.5499999517692,33.4,-200.)); #574263=CARTESIAN_POINT('',(38.5499999517692,33.4,0.)); #574264=CARTESIAN_POINT('',(36.2749999758846,33.4,0.)); #574265=CARTESIAN_POINT('',(38.5499999517692,33.4,-0.052500000000002)); #574266=CARTESIAN_POINT('',(36.2749999758846,33.4,-0.052500000000002)); #574267=CARTESIAN_POINT('',(38.5499999517692,33.4,-200.)); #574268=CARTESIAN_POINT('Origin',(38.55,34.,-200.)); #574269=CARTESIAN_POINT('Origin',(38.55,34.,0.)); #574270=CARTESIAN_POINT('Origin',(38.55,34.,-0.052500000000002)); #574271=CARTESIAN_POINT('Origin',(34.75,17.75,-200.)); #574272=CARTESIAN_POINT('',(34.575,17.75,-0.052500000000002)); #574273=CARTESIAN_POINT('Origin',(34.75,17.75,-0.052500000000002)); #574274=CARTESIAN_POINT('',(34.575,17.75,0.)); #574275=CARTESIAN_POINT('',(34.575,17.75,-200.)); #574276=CARTESIAN_POINT('Origin',(34.75,17.75,0.)); #574277=CARTESIAN_POINT('Origin',(37.2,7.1,-200.)); #574278=CARTESIAN_POINT('',(37.05,7.1,-0.052500000000002)); #574279=CARTESIAN_POINT('Origin',(37.2,7.1,-0.052500000000002)); #574280=CARTESIAN_POINT('',(37.05,7.1,0.)); #574281=CARTESIAN_POINT('',(37.05,7.1,-200.)); #574282=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #574283=CARTESIAN_POINT('Origin',(32.75,8.25,-200.)); #574284=CARTESIAN_POINT('',(32.575,8.25,-0.052500000000002)); #574285=CARTESIAN_POINT('Origin',(32.75,8.25,-0.052500000000002)); #574286=CARTESIAN_POINT('',(32.575,8.25,0.)); #574287=CARTESIAN_POINT('',(32.575,8.25,-200.)); #574288=CARTESIAN_POINT('Origin',(32.75,8.25,0.)); #574289=CARTESIAN_POINT('Origin',(31.6,7.1,-200.)); #574290=CARTESIAN_POINT('',(31.45,7.1,-0.052500000000002)); #574291=CARTESIAN_POINT('Origin',(31.6,7.1,-0.052500000000002)); #574292=CARTESIAN_POINT('',(31.45,7.1,0.)); #574293=CARTESIAN_POINT('',(31.45,7.1,-200.)); #574294=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #574295=CARTESIAN_POINT('Origin',(31.75,15.5,-200.)); #574296=CARTESIAN_POINT('',(31.575,15.5,-0.052500000000002)); #574297=CARTESIAN_POINT('Origin',(31.75,15.5,-0.052500000000002)); #574298=CARTESIAN_POINT('',(31.575,15.5,0.)); #574299=CARTESIAN_POINT('',(31.575,15.5,-200.)); #574300=CARTESIAN_POINT('Origin',(31.75,15.5,0.)); #574301=CARTESIAN_POINT('Origin',(37.2,7.6,-200.)); #574302=CARTESIAN_POINT('',(37.05,7.6,-0.052500000000002)); #574303=CARTESIAN_POINT('Origin',(37.2,7.6,-0.052500000000002)); #574304=CARTESIAN_POINT('',(37.05,7.6,0.)); #574305=CARTESIAN_POINT('',(37.05,7.6,-200.)); #574306=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #574307=CARTESIAN_POINT('Origin',(33.75,6.2426,-200.)); #574308=CARTESIAN_POINT('',(33.575,6.2426,-0.052500000000002)); #574309=CARTESIAN_POINT('Origin',(33.75,6.2426,-0.052500000000002)); #574310=CARTESIAN_POINT('',(33.575,6.2426,0.)); #574311=CARTESIAN_POINT('',(33.575,6.2426,-200.)); #574312=CARTESIAN_POINT('Origin',(33.75,6.2426,0.)); #574313=CARTESIAN_POINT('Origin',(30.8,7.1,-200.)); #574314=CARTESIAN_POINT('',(30.65,7.1,-0.052500000000002)); #574315=CARTESIAN_POINT('Origin',(30.8,7.1,-0.052500000000002)); #574316=CARTESIAN_POINT('',(30.65,7.1,0.)); #574317=CARTESIAN_POINT('',(30.65,7.1,-200.)); #574318=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #574319=CARTESIAN_POINT('Origin',(34.75,55.,-200.)); #574320=CARTESIAN_POINT('',(34.575,55.,-0.052500000000002)); #574321=CARTESIAN_POINT('Origin',(34.75,55.,-0.052500000000002)); #574322=CARTESIAN_POINT('',(34.575,55.,0.)); #574323=CARTESIAN_POINT('',(34.575,55.,-200.)); #574324=CARTESIAN_POINT('Origin',(34.75,55.,0.)); #574325=CARTESIAN_POINT('Origin',(42.25,28.5,-200.)); #574326=CARTESIAN_POINT('',(42.075,28.5,-0.052500000000002)); #574327=CARTESIAN_POINT('Origin',(42.25,28.5,-0.052500000000002)); #574328=CARTESIAN_POINT('',(42.075,28.5,0.)); #574329=CARTESIAN_POINT('',(42.075,28.5,-200.)); #574330=CARTESIAN_POINT('Origin',(42.25,28.5,0.)); #574331=CARTESIAN_POINT('Origin',(30.03,6.27999997186536,-200.)); #574332=CARTESIAN_POINT('',(30.03,7.07999999062179,0.)); #574333=CARTESIAN_POINT('',(30.03,6.27999997186536,0.)); #574334=CARTESIAN_POINT('',(30.0300000000001,20.1399999859327,0.)); #574335=CARTESIAN_POINT('',(30.03,7.07999999062179,-0.052500000000002)); #574336=CARTESIAN_POINT('',(30.03,7.07999999062179,-200.)); #574337=CARTESIAN_POINT('',(30.03,6.27999997186536,-0.052500000000002)); #574338=CARTESIAN_POINT('',(30.0300000000001,20.1399999859327,-0.052500000000002)); #574339=CARTESIAN_POINT('',(30.03,6.27999997186536,-200.)); #574340=CARTESIAN_POINT('Origin',(29.68,6.28,-200.)); #574341=CARTESIAN_POINT('',(29.33,6.28000000937821,0.)); #574342=CARTESIAN_POINT('Origin',(29.68,6.28,0.)); #574343=CARTESIAN_POINT('',(29.33,6.28000000937821,-0.052500000000002)); #574344=CARTESIAN_POINT('Origin',(29.68,6.28,-0.052500000000002)); #574345=CARTESIAN_POINT('',(29.33,6.28000000937821,-200.)); #574346=CARTESIAN_POINT('Origin',(29.33,7.08000002813464,-200.)); #574347=CARTESIAN_POINT('',(29.33,7.08000002813464,0.)); #574348=CARTESIAN_POINT('',(29.3300000000001,20.5400000140673,0.)); #574349=CARTESIAN_POINT('',(29.33,7.08000002813464,-0.052500000000002)); #574350=CARTESIAN_POINT('',(29.3300000000001,20.5400000140673,-0.052500000000002)); #574351=CARTESIAN_POINT('',(29.33,7.08000002813464,-200.)); #574352=CARTESIAN_POINT('Origin',(29.68,7.08,-200.)); #574353=CARTESIAN_POINT('Origin',(29.68,7.08,0.)); #574354=CARTESIAN_POINT('Origin',(29.68,7.08,-0.052500000000002)); #574355=CARTESIAN_POINT('Origin',(31.75,17.,-200.)); #574356=CARTESIAN_POINT('',(31.575,17.,-0.052500000000002)); #574357=CARTESIAN_POINT('Origin',(31.75,17.,-0.052500000000002)); #574358=CARTESIAN_POINT('',(31.575,17.,0.)); #574359=CARTESIAN_POINT('',(31.575,17.,-200.)); #574360=CARTESIAN_POINT('Origin',(31.75,17.,0.)); #574361=CARTESIAN_POINT('Origin',(38.67,2.09999997186536,-200.)); #574362=CARTESIAN_POINT('',(38.67,2.89999999062179,0.)); #574363=CARTESIAN_POINT('',(38.67,2.09999997186536,0.)); #574364=CARTESIAN_POINT('',(38.6700000000001,18.0499999859327,0.)); #574365=CARTESIAN_POINT('',(38.67,2.89999999062179,-0.052500000000002)); #574366=CARTESIAN_POINT('',(38.67,2.89999999062179,-200.)); #574367=CARTESIAN_POINT('',(38.67,2.09999997186536,-0.052500000000002)); #574368=CARTESIAN_POINT('',(38.6700000000001,18.0499999859327,-0.052500000000002)); #574369=CARTESIAN_POINT('',(38.67,2.09999997186536,-200.)); #574370=CARTESIAN_POINT('Origin',(38.32,2.1,-200.)); #574371=CARTESIAN_POINT('',(37.97,2.10000000937821,0.)); #574372=CARTESIAN_POINT('Origin',(38.32,2.1,0.)); #574373=CARTESIAN_POINT('',(37.97,2.10000000937821,-0.052500000000002)); #574374=CARTESIAN_POINT('Origin',(38.32,2.1,-0.052500000000002)); #574375=CARTESIAN_POINT('',(37.97,2.10000000937821,-200.)); #574376=CARTESIAN_POINT('Origin',(37.97,2.90000002813464,-200.)); #574377=CARTESIAN_POINT('',(37.97,2.90000002813464,0.)); #574378=CARTESIAN_POINT('',(37.9700000000001,18.4500000140673,0.)); #574379=CARTESIAN_POINT('',(37.97,2.90000002813464,-0.052500000000002)); #574380=CARTESIAN_POINT('',(37.9700000000001,18.4500000140673,-0.052500000000002)); #574381=CARTESIAN_POINT('',(37.97,2.90000002813464,-200.)); #574382=CARTESIAN_POINT('Origin',(38.32,2.9,-200.)); #574383=CARTESIAN_POINT('Origin',(38.32,2.9,0.)); #574384=CARTESIAN_POINT('Origin',(38.32,2.9,-0.052500000000002)); #574385=CARTESIAN_POINT('Origin',(34.,23.125,-200.)); #574386=CARTESIAN_POINT('',(33.825,23.125,-0.052500000000002)); #574387=CARTESIAN_POINT('Origin',(34.,23.125,-0.052500000000002)); #574388=CARTESIAN_POINT('',(33.825,23.125,0.)); #574389=CARTESIAN_POINT('',(33.825,23.125,-200.)); #574390=CARTESIAN_POINT('Origin',(34.,23.125,0.)); #574391=CARTESIAN_POINT('Origin',(38.67,6.27999997186536,-200.)); #574392=CARTESIAN_POINT('',(38.67,7.07999999062179,0.)); #574393=CARTESIAN_POINT('',(38.67,6.27999997186536,0.)); #574394=CARTESIAN_POINT('',(38.6700000000001,20.1399999859327,0.)); #574395=CARTESIAN_POINT('',(38.67,7.07999999062179,-0.052500000000002)); #574396=CARTESIAN_POINT('',(38.67,7.07999999062179,-200.)); #574397=CARTESIAN_POINT('',(38.67,6.27999997186536,-0.052500000000002)); #574398=CARTESIAN_POINT('',(38.6700000000001,20.1399999859327,-0.052500000000002)); #574399=CARTESIAN_POINT('',(38.67,6.27999997186536,-200.)); #574400=CARTESIAN_POINT('Origin',(38.32,6.28,-200.)); #574401=CARTESIAN_POINT('',(37.97,6.28000000937821,0.)); #574402=CARTESIAN_POINT('Origin',(38.32,6.28,0.)); #574403=CARTESIAN_POINT('',(37.97,6.28000000937821,-0.052500000000002)); #574404=CARTESIAN_POINT('Origin',(38.32,6.28,-0.052500000000002)); #574405=CARTESIAN_POINT('',(37.97,6.28000000937821,-200.)); #574406=CARTESIAN_POINT('Origin',(37.97,7.08000002813464,-200.)); #574407=CARTESIAN_POINT('',(37.97,7.08000002813464,0.)); #574408=CARTESIAN_POINT('',(37.9700000000001,20.5400000140673,0.)); #574409=CARTESIAN_POINT('',(37.97,7.08000002813464,-0.052500000000002)); #574410=CARTESIAN_POINT('',(37.9700000000001,20.5400000140673,-0.052500000000002)); #574411=CARTESIAN_POINT('',(37.97,7.08000002813464,-200.)); #574412=CARTESIAN_POINT('Origin',(38.32,7.08,-200.)); #574413=CARTESIAN_POINT('Origin',(38.32,7.08,0.)); #574414=CARTESIAN_POINT('Origin',(38.32,7.08,-0.052500000000002)); #574415=CARTESIAN_POINT('Origin',(33.25,17.75,-200.)); #574416=CARTESIAN_POINT('',(33.075,17.75,-0.052500000000002)); #574417=CARTESIAN_POINT('Origin',(33.25,17.75,-0.052500000000002)); #574418=CARTESIAN_POINT('',(33.075,17.75,0.)); #574419=CARTESIAN_POINT('',(33.075,17.75,-200.)); #574420=CARTESIAN_POINT('Origin',(33.25,17.75,0.)); #574421=CARTESIAN_POINT('Origin',(40.75,27.,-200.)); #574422=CARTESIAN_POINT('',(40.575,27.,-0.052500000000002)); #574423=CARTESIAN_POINT('Origin',(40.75,27.,-0.052500000000002)); #574424=CARTESIAN_POINT('',(40.575,27.,0.)); #574425=CARTESIAN_POINT('',(40.575,27.,-200.)); #574426=CARTESIAN_POINT('Origin',(40.75,27.,0.)); #574427=CARTESIAN_POINT('Origin',(31.75,17.75,-200.)); #574428=CARTESIAN_POINT('',(31.575,17.75,-0.052500000000002)); #574429=CARTESIAN_POINT('Origin',(31.75,17.75,-0.052500000000002)); #574430=CARTESIAN_POINT('',(31.575,17.75,0.)); #574431=CARTESIAN_POINT('',(31.575,17.75,-200.)); #574432=CARTESIAN_POINT('Origin',(31.75,17.75,0.)); #574433=CARTESIAN_POINT('Origin',(15.25,21.,-200.)); #574434=CARTESIAN_POINT('',(15.075,21.,-0.052500000000002)); #574435=CARTESIAN_POINT('Origin',(15.25,21.,-0.052500000000002)); #574436=CARTESIAN_POINT('',(15.075,21.,0.)); #574437=CARTESIAN_POINT('',(15.075,21.,-200.)); #574438=CARTESIAN_POINT('Origin',(15.25,21.,0.)); #574439=CARTESIAN_POINT('Origin',(32.73,45.,-200.)); #574440=CARTESIAN_POINT('',(32.13,45.,-0.052500000000002)); #574441=CARTESIAN_POINT('Origin',(32.73,45.,-0.052500000000002)); #574442=CARTESIAN_POINT('',(32.13,45.,0.)); #574443=CARTESIAN_POINT('',(32.13,45.,-200.)); #574444=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #574445=CARTESIAN_POINT('Origin',(45.125,56.75,-200.)); #574446=CARTESIAN_POINT('',(44.95,56.75,-0.052500000000002)); #574447=CARTESIAN_POINT('Origin',(45.125,56.75,-0.052500000000002)); #574448=CARTESIAN_POINT('',(44.95,56.75,0.)); #574449=CARTESIAN_POINT('',(44.95,56.75,-200.)); #574450=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #574451=CARTESIAN_POINT('Origin',(55.25,21.75,-200.)); #574452=CARTESIAN_POINT('',(55.075,21.75,-0.052500000000002)); #574453=CARTESIAN_POINT('Origin',(55.25,21.75,-0.052500000000002)); #574454=CARTESIAN_POINT('',(55.075,21.75,0.)); #574455=CARTESIAN_POINT('',(55.075,21.75,-200.)); #574456=CARTESIAN_POINT('Origin',(55.25,21.75,0.)); #574457=CARTESIAN_POINT('Origin',(47.75,56.75,-200.)); #574458=CARTESIAN_POINT('',(47.575,56.75,-0.052500000000002)); #574459=CARTESIAN_POINT('Origin',(47.75,56.75,-0.052500000000002)); #574460=CARTESIAN_POINT('',(47.575,56.75,0.)); #574461=CARTESIAN_POINT('',(47.575,56.75,-200.)); #574462=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #574463=CARTESIAN_POINT('Origin',(35.5,15.5,-200.)); #574464=CARTESIAN_POINT('',(35.325,15.5,-0.052500000000002)); #574465=CARTESIAN_POINT('Origin',(35.5,15.5,-0.052500000000002)); #574466=CARTESIAN_POINT('',(35.325,15.5,0.)); #574467=CARTESIAN_POINT('',(35.325,15.5,-200.)); #574468=CARTESIAN_POINT('Origin',(35.5,15.5,0.)); #574469=CARTESIAN_POINT('Origin',(47.75,55.25,-200.)); #574470=CARTESIAN_POINT('',(47.575,55.25,-0.052500000000002)); #574471=CARTESIAN_POINT('Origin',(47.75,55.25,-0.052500000000002)); #574472=CARTESIAN_POINT('',(47.575,55.25,0.)); #574473=CARTESIAN_POINT('',(47.575,55.25,-200.)); #574474=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #574475=CARTESIAN_POINT('Origin',(55.25,21.,-200.)); #574476=CARTESIAN_POINT('',(55.075,21.,-0.052500000000002)); #574477=CARTESIAN_POINT('Origin',(55.25,21.,-0.052500000000002)); #574478=CARTESIAN_POINT('',(55.075,21.,0.)); #574479=CARTESIAN_POINT('',(55.075,21.,-200.)); #574480=CARTESIAN_POINT('Origin',(55.25,21.,0.)); #574481=CARTESIAN_POINT('Origin',(47.75,56.,-200.)); #574482=CARTESIAN_POINT('',(47.575,56.,-0.052500000000002)); #574483=CARTESIAN_POINT('Origin',(47.75,56.,-0.052500000000002)); #574484=CARTESIAN_POINT('',(47.575,56.,0.)); #574485=CARTESIAN_POINT('',(47.575,56.,-200.)); #574486=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #574487=CARTESIAN_POINT('Origin',(30.75,9.5,-200.)); #574488=CARTESIAN_POINT('',(30.575,9.5,-0.052500000000002)); #574489=CARTESIAN_POINT('Origin',(30.75,9.5,-0.052500000000002)); #574490=CARTESIAN_POINT('',(30.575,9.5,0.)); #574491=CARTESIAN_POINT('',(30.575,9.5,-200.)); #574492=CARTESIAN_POINT('Origin',(30.75,9.5,0.)); #574493=CARTESIAN_POINT('Origin',(46.,56.,-200.)); #574494=CARTESIAN_POINT('',(45.825,56.,-0.052500000000002)); #574495=CARTESIAN_POINT('Origin',(46.,56.,-0.052500000000002)); #574496=CARTESIAN_POINT('',(45.825,56.,0.)); #574497=CARTESIAN_POINT('',(45.825,56.,-200.)); #574498=CARTESIAN_POINT('Origin',(46.,56.,0.)); #574499=CARTESIAN_POINT('Origin',(40.,38.75,-200.)); #574500=CARTESIAN_POINT('',(39.825,38.75,-0.052500000000002)); #574501=CARTESIAN_POINT('Origin',(40.,38.75,-0.052500000000002)); #574502=CARTESIAN_POINT('',(39.825,38.75,0.)); #574503=CARTESIAN_POINT('',(39.825,38.75,-200.)); #574504=CARTESIAN_POINT('Origin',(40.,38.75,0.)); #574505=CARTESIAN_POINT('Origin',(44.25,56.,-200.)); #574506=CARTESIAN_POINT('',(44.075,56.,-0.052500000000002)); #574507=CARTESIAN_POINT('Origin',(44.25,56.,-0.052500000000002)); #574508=CARTESIAN_POINT('',(44.075,56.,0.)); #574509=CARTESIAN_POINT('',(44.075,56.,-200.)); #574510=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #574511=CARTESIAN_POINT('Origin',(34.75,15.5,-200.)); #574512=CARTESIAN_POINT('',(34.575,15.5,-0.052500000000002)); #574513=CARTESIAN_POINT('Origin',(34.75,15.5,-0.052500000000002)); #574514=CARTESIAN_POINT('',(34.575,15.5,0.)); #574515=CARTESIAN_POINT('',(34.575,15.5,-200.)); #574516=CARTESIAN_POINT('Origin',(34.75,15.5,0.)); #574517=CARTESIAN_POINT('Origin',(46.,56.75,-200.)); #574518=CARTESIAN_POINT('',(45.825,56.75,-0.052500000000002)); #574519=CARTESIAN_POINT('Origin',(46.,56.75,-0.052500000000002)); #574520=CARTESIAN_POINT('',(45.825,56.75,0.)); #574521=CARTESIAN_POINT('',(45.825,56.75,-200.)); #574522=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #574523=CARTESIAN_POINT('Origin',(40.,39.5,-200.)); #574524=CARTESIAN_POINT('',(39.825,39.5,-0.052500000000002)); #574525=CARTESIAN_POINT('Origin',(40.,39.5,-0.052500000000002)); #574526=CARTESIAN_POINT('',(39.825,39.5,0.)); #574527=CARTESIAN_POINT('',(39.825,39.5,-200.)); #574528=CARTESIAN_POINT('Origin',(40.,39.5,0.)); #574529=CARTESIAN_POINT('Origin',(46.,55.25,-200.)); #574530=CARTESIAN_POINT('',(45.825,55.25,-0.052500000000002)); #574531=CARTESIAN_POINT('Origin',(46.,55.25,-0.052500000000002)); #574532=CARTESIAN_POINT('',(45.825,55.25,0.)); #574533=CARTESIAN_POINT('',(45.825,55.25,-200.)); #574534=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #574535=CARTESIAN_POINT('Origin',(34.,25.25,-200.)); #574536=CARTESIAN_POINT('',(33.5,25.25,-0.052500000000002)); #574537=CARTESIAN_POINT('Origin',(34.,25.25,-0.052500000000002)); #574538=CARTESIAN_POINT('',(33.5,25.25,0.)); #574539=CARTESIAN_POINT('',(33.5,25.25,-200.)); #574540=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #574541=CARTESIAN_POINT('Origin',(52.125,47.75,-200.)); #574542=CARTESIAN_POINT('',(51.95,47.75,-0.052500000000002)); #574543=CARTESIAN_POINT('Origin',(52.125,47.75,-0.052500000000002)); #574544=CARTESIAN_POINT('',(51.95,47.75,0.)); #574545=CARTESIAN_POINT('',(51.95,47.75,-200.)); #574546=CARTESIAN_POINT('Origin',(52.125,47.75,0.)); #574547=CARTESIAN_POINT('Origin',(28.,38.75,-200.)); #574548=CARTESIAN_POINT('',(27.825,38.75,-0.052500000000002)); #574549=CARTESIAN_POINT('Origin',(28.,38.75,-0.052500000000002)); #574550=CARTESIAN_POINT('',(27.825,38.75,0.)); #574551=CARTESIAN_POINT('',(27.825,38.75,-200.)); #574552=CARTESIAN_POINT('Origin',(28.,38.75,0.)); #574553=CARTESIAN_POINT('Origin',(52.125,47.,-200.)); #574554=CARTESIAN_POINT('',(51.95,47.,-0.052500000000002)); #574555=CARTESIAN_POINT('Origin',(52.125,47.,-0.052500000000002)); #574556=CARTESIAN_POINT('',(51.95,47.,0.)); #574557=CARTESIAN_POINT('',(51.95,47.,-200.)); #574558=CARTESIAN_POINT('Origin',(52.125,47.,0.)); #574559=CARTESIAN_POINT('Origin',(33.25,15.5,-200.)); #574560=CARTESIAN_POINT('',(33.075,15.5,-0.052500000000002)); #574561=CARTESIAN_POINT('Origin',(33.25,15.5,-0.052500000000002)); #574562=CARTESIAN_POINT('',(33.075,15.5,0.)); #574563=CARTESIAN_POINT('',(33.075,15.5,-200.)); #574564=CARTESIAN_POINT('Origin',(33.25,15.5,0.)); #574565=CARTESIAN_POINT('Origin',(51.25,46.25,-200.)); #574566=CARTESIAN_POINT('',(51.075,46.25,-0.052500000000002)); #574567=CARTESIAN_POINT('Origin',(51.25,46.25,-0.052500000000002)); #574568=CARTESIAN_POINT('',(51.075,46.25,0.)); #574569=CARTESIAN_POINT('',(51.075,46.25,-200.)); #574570=CARTESIAN_POINT('Origin',(51.25,46.25,0.)); #574571=CARTESIAN_POINT('Origin',(28.,38.,-200.)); #574572=CARTESIAN_POINT('',(27.825,38.,-0.052500000000002)); #574573=CARTESIAN_POINT('Origin',(28.,38.,-0.052500000000002)); #574574=CARTESIAN_POINT('',(27.825,38.,0.)); #574575=CARTESIAN_POINT('',(27.825,38.,-200.)); #574576=CARTESIAN_POINT('Origin',(28.,38.,0.)); #574577=CARTESIAN_POINT('Origin',(52.125,46.25,-200.)); #574578=CARTESIAN_POINT('',(51.95,46.25,-0.052500000000002)); #574579=CARTESIAN_POINT('Origin',(52.125,46.25,-0.052500000000002)); #574580=CARTESIAN_POINT('',(51.95,46.25,0.)); #574581=CARTESIAN_POINT('',(51.95,46.25,-200.)); #574582=CARTESIAN_POINT('Origin',(52.125,46.25,0.)); #574583=CARTESIAN_POINT('Origin',(30.75,8.75,-200.)); #574584=CARTESIAN_POINT('',(30.575,8.75,-0.052500000000002)); #574585=CARTESIAN_POINT('Origin',(30.75,8.75,-0.052500000000002)); #574586=CARTESIAN_POINT('',(30.575,8.75,0.)); #574587=CARTESIAN_POINT('',(30.575,8.75,-200.)); #574588=CARTESIAN_POINT('Origin',(30.75,8.75,0.)); #574589=CARTESIAN_POINT('Origin',(41.25,31.,-200.)); #574590=CARTESIAN_POINT('',(41.075,31.,-0.052500000000002)); #574591=CARTESIAN_POINT('Origin',(41.25,31.,-0.052500000000002)); #574592=CARTESIAN_POINT('',(41.075,31.,0.)); #574593=CARTESIAN_POINT('',(41.075,31.,-200.)); #574594=CARTESIAN_POINT('Origin',(41.25,31.,0.)); #574595=CARTESIAN_POINT('Origin',(28.,39.5,-200.)); #574596=CARTESIAN_POINT('',(27.825,39.5,-0.052500000000002)); #574597=CARTESIAN_POINT('Origin',(28.,39.5,-0.052500000000002)); #574598=CARTESIAN_POINT('',(27.825,39.5,0.)); #574599=CARTESIAN_POINT('',(27.825,39.5,-200.)); #574600=CARTESIAN_POINT('Origin',(28.,39.5,0.)); #574601=CARTESIAN_POINT('Origin',(41.25,36.,-200.)); #574602=CARTESIAN_POINT('',(41.075,36.,-0.052500000000002)); #574603=CARTESIAN_POINT('Origin',(41.25,36.,-0.052500000000002)); #574604=CARTESIAN_POINT('',(41.075,36.,0.)); #574605=CARTESIAN_POINT('',(41.075,36.,-200.)); #574606=CARTESIAN_POINT('Origin',(41.25,36.,0.)); #574607=CARTESIAN_POINT('Origin',(34.,15.5,-200.)); #574608=CARTESIAN_POINT('',(33.825,15.5,-0.052500000000002)); #574609=CARTESIAN_POINT('Origin',(34.,15.5,-0.052500000000002)); #574610=CARTESIAN_POINT('',(33.825,15.5,0.)); #574611=CARTESIAN_POINT('',(33.825,15.5,-200.)); #574612=CARTESIAN_POINT('Origin',(34.,15.5,0.)); #574613=CARTESIAN_POINT('Origin',(44.25,56.75,-200.)); #574614=CARTESIAN_POINT('',(44.075,56.75,-0.052500000000002)); #574615=CARTESIAN_POINT('Origin',(44.25,56.75,-0.052500000000002)); #574616=CARTESIAN_POINT('',(44.075,56.75,0.)); #574617=CARTESIAN_POINT('',(44.075,56.75,-200.)); #574618=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #574619=CARTESIAN_POINT('Origin',(40.,38.,-200.)); #574620=CARTESIAN_POINT('',(39.825,38.,-0.052500000000002)); #574621=CARTESIAN_POINT('Origin',(40.,38.,-0.052500000000002)); #574622=CARTESIAN_POINT('',(39.825,38.,0.)); #574623=CARTESIAN_POINT('',(39.825,38.,-200.)); #574624=CARTESIAN_POINT('Origin',(40.,38.,0.)); #574625=CARTESIAN_POINT('Origin',(44.25,55.25,-200.)); #574626=CARTESIAN_POINT('',(44.075,55.25,-0.052500000000002)); #574627=CARTESIAN_POINT('Origin',(44.25,55.25,-0.052500000000002)); #574628=CARTESIAN_POINT('',(44.075,55.25,0.)); #574629=CARTESIAN_POINT('',(44.075,55.25,-200.)); #574630=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #574631=CARTESIAN_POINT('Origin',(43.75,34.,-200.)); #574632=CARTESIAN_POINT('',(43.2,34.,-0.052500000000002)); #574633=CARTESIAN_POINT('Origin',(43.75,34.,-0.052500000000002)); #574634=CARTESIAN_POINT('',(43.2,34.,0.)); #574635=CARTESIAN_POINT('',(43.2,34.,-200.)); #574636=CARTESIAN_POINT('Origin',(43.75,34.,0.)); #574637=CARTESIAN_POINT('Origin',(48.625,47.75,-200.)); #574638=CARTESIAN_POINT('',(48.45,47.75,-0.052500000000002)); #574639=CARTESIAN_POINT('Origin',(48.625,47.75,-0.052500000000002)); #574640=CARTESIAN_POINT('',(48.45,47.75,0.)); #574641=CARTESIAN_POINT('',(48.45,47.75,-200.)); #574642=CARTESIAN_POINT('Origin',(48.625,47.75,0.)); #574643=CARTESIAN_POINT('Origin',(41.,24.5,-200.)); #574644=CARTESIAN_POINT('',(40.825,24.5,-0.052500000000002)); #574645=CARTESIAN_POINT('Origin',(41.,24.5,-0.052500000000002)); #574646=CARTESIAN_POINT('',(40.825,24.5,0.)); #574647=CARTESIAN_POINT('',(40.825,24.5,-200.)); #574648=CARTESIAN_POINT('Origin',(41.,24.5,0.)); #574649=CARTESIAN_POINT('Origin',(48.625,47.,-200.)); #574650=CARTESIAN_POINT('',(48.45,47.,-0.052500000000002)); #574651=CARTESIAN_POINT('Origin',(48.625,47.,-0.052500000000002)); #574652=CARTESIAN_POINT('',(48.45,47.,0.)); #574653=CARTESIAN_POINT('',(48.45,47.,-200.)); #574654=CARTESIAN_POINT('Origin',(48.625,47.,0.)); #574655=CARTESIAN_POINT('Origin',(32.5,17.,-200.)); #574656=CARTESIAN_POINT('',(32.325,17.,-0.052500000000002)); #574657=CARTESIAN_POINT('Origin',(32.5,17.,-0.052500000000002)); #574658=CARTESIAN_POINT('',(32.325,17.,0.)); #574659=CARTESIAN_POINT('',(32.325,17.,-200.)); #574660=CARTESIAN_POINT('Origin',(32.5,17.,0.)); #574661=CARTESIAN_POINT('Origin',(46.875,47.75,-200.)); #574662=CARTESIAN_POINT('',(46.7,47.75,-0.052500000000002)); #574663=CARTESIAN_POINT('Origin',(46.875,47.75,-0.052500000000002)); #574664=CARTESIAN_POINT('',(46.7,47.75,0.)); #574665=CARTESIAN_POINT('',(46.7,47.75,-200.)); #574666=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #574667=CARTESIAN_POINT('Origin',(41.,26.,-200.)); #574668=CARTESIAN_POINT('',(40.825,26.,-0.052500000000002)); #574669=CARTESIAN_POINT('Origin',(41.,26.,-0.052500000000002)); #574670=CARTESIAN_POINT('',(40.825,26.,0.)); #574671=CARTESIAN_POINT('',(40.825,26.,-200.)); #574672=CARTESIAN_POINT('Origin',(41.,26.,0.)); #574673=CARTESIAN_POINT('Origin',(48.625,46.25,-200.)); #574674=CARTESIAN_POINT('',(48.45,46.25,-0.052500000000002)); #574675=CARTESIAN_POINT('Origin',(48.625,46.25,-0.052500000000002)); #574676=CARTESIAN_POINT('',(48.45,46.25,0.)); #574677=CARTESIAN_POINT('',(48.45,46.25,-200.)); #574678=CARTESIAN_POINT('Origin',(48.625,46.25,0.)); #574679=CARTESIAN_POINT('Origin',(34.75,5.5,-200.)); #574680=CARTESIAN_POINT('',(34.575,5.5,-0.052500000000002)); #574681=CARTESIAN_POINT('Origin',(34.75,5.5,-0.052500000000002)); #574682=CARTESIAN_POINT('',(34.575,5.5,0.)); #574683=CARTESIAN_POINT('',(34.575,5.5,-200.)); #574684=CARTESIAN_POINT('Origin',(34.75,5.5,0.)); #574685=CARTESIAN_POINT('Origin',(45.125,46.25,-200.)); #574686=CARTESIAN_POINT('',(44.95,46.25,-0.052500000000002)); #574687=CARTESIAN_POINT('Origin',(45.125,46.25,-0.052500000000002)); #574688=CARTESIAN_POINT('',(44.95,46.25,0.)); #574689=CARTESIAN_POINT('',(44.95,46.25,-200.)); #574690=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #574691=CARTESIAN_POINT('Origin',(41.75,25.25,-200.)); #574692=CARTESIAN_POINT('',(41.575,25.25,-0.052500000000002)); #574693=CARTESIAN_POINT('Origin',(41.75,25.25,-0.052500000000002)); #574694=CARTESIAN_POINT('',(41.575,25.25,0.)); #574695=CARTESIAN_POINT('',(41.575,25.25,-200.)); #574696=CARTESIAN_POINT('Origin',(41.75,25.25,0.)); #574697=CARTESIAN_POINT('Origin',(45.125,47.,-200.)); #574698=CARTESIAN_POINT('',(44.95,47.,-0.052500000000002)); #574699=CARTESIAN_POINT('Origin',(45.125,47.,-0.052500000000002)); #574700=CARTESIAN_POINT('',(44.95,47.,0.)); #574701=CARTESIAN_POINT('',(44.95,47.,-200.)); #574702=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #574703=CARTESIAN_POINT('Origin',(32.5,17.75,-200.)); #574704=CARTESIAN_POINT('',(32.325,17.75,-0.052500000000002)); #574705=CARTESIAN_POINT('Origin',(32.5,17.75,-0.052500000000002)); #574706=CARTESIAN_POINT('',(32.325,17.75,0.)); #574707=CARTESIAN_POINT('',(32.325,17.75,-200.)); #574708=CARTESIAN_POINT('Origin',(32.5,17.75,0.)); #574709=CARTESIAN_POINT('Origin',(46.875,46.25,-200.)); #574710=CARTESIAN_POINT('',(46.7,46.25,-0.052500000000002)); #574711=CARTESIAN_POINT('Origin',(46.875,46.25,-0.052500000000002)); #574712=CARTESIAN_POINT('',(46.7,46.25,0.)); #574713=CARTESIAN_POINT('',(46.7,46.25,-200.)); #574714=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #574715=CARTESIAN_POINT('Origin',(41.75,26.,-200.)); #574716=CARTESIAN_POINT('',(41.575,26.,-0.052500000000002)); #574717=CARTESIAN_POINT('Origin',(41.75,26.,-0.052500000000002)); #574718=CARTESIAN_POINT('',(41.575,26.,0.)); #574719=CARTESIAN_POINT('',(41.575,26.,-200.)); #574720=CARTESIAN_POINT('Origin',(41.75,26.,0.)); #574721=CARTESIAN_POINT('Origin',(46.875,47.,-200.)); #574722=CARTESIAN_POINT('',(46.7,47.,-0.052500000000002)); #574723=CARTESIAN_POINT('Origin',(46.875,47.,-0.052500000000002)); #574724=CARTESIAN_POINT('',(46.7,47.,0.)); #574725=CARTESIAN_POINT('',(46.7,47.,-200.)); #574726=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #574727=CARTESIAN_POINT('Origin',(36.5,25.25,-200.)); #574728=CARTESIAN_POINT('',(36.,25.25,-0.052500000000002)); #574729=CARTESIAN_POINT('Origin',(36.5,25.25,-0.052500000000002)); #574730=CARTESIAN_POINT('',(36.,25.25,0.)); #574731=CARTESIAN_POINT('',(36.,25.25,-200.)); #574732=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #574733=CARTESIAN_POINT('Origin',(50.375,46.25,-200.)); #574734=CARTESIAN_POINT('',(50.2,46.25,-0.052500000000002)); #574735=CARTESIAN_POINT('Origin',(50.375,46.25,-0.052500000000002)); #574736=CARTESIAN_POINT('',(50.2,46.25,0.)); #574737=CARTESIAN_POINT('',(50.2,46.25,-200.)); #574738=CARTESIAN_POINT('Origin',(50.375,46.25,0.)); #574739=CARTESIAN_POINT('Origin',(42.5,24.5,-200.)); #574740=CARTESIAN_POINT('',(42.325,24.5,-0.052500000000002)); #574741=CARTESIAN_POINT('Origin',(42.5,24.5,-0.052500000000002)); #574742=CARTESIAN_POINT('',(42.325,24.5,0.)); #574743=CARTESIAN_POINT('',(42.325,24.5,-200.)); #574744=CARTESIAN_POINT('Origin',(42.5,24.5,0.)); #574745=CARTESIAN_POINT('Origin',(49.5,46.25,-200.)); #574746=CARTESIAN_POINT('',(49.325,46.25,-0.052500000000002)); #574747=CARTESIAN_POINT('Origin',(49.5,46.25,-0.052500000000002)); #574748=CARTESIAN_POINT('',(49.325,46.25,0.)); #574749=CARTESIAN_POINT('',(49.325,46.25,-200.)); #574750=CARTESIAN_POINT('Origin',(49.5,46.25,0.)); #574751=CARTESIAN_POINT('Origin',(32.5,16.25,-200.)); #574752=CARTESIAN_POINT('',(32.325,16.25,-0.052500000000002)); #574753=CARTESIAN_POINT('Origin',(32.5,16.25,-0.052500000000002)); #574754=CARTESIAN_POINT('',(32.325,16.25,0.)); #574755=CARTESIAN_POINT('',(32.325,16.25,-200.)); #574756=CARTESIAN_POINT('Origin',(32.5,16.25,0.)); #574757=CARTESIAN_POINT('Origin',(49.5,47.75,-200.)); #574758=CARTESIAN_POINT('',(49.325,47.75,-0.052500000000002)); #574759=CARTESIAN_POINT('Origin',(49.5,47.75,-0.052500000000002)); #574760=CARTESIAN_POINT('',(49.325,47.75,0.)); #574761=CARTESIAN_POINT('',(49.325,47.75,-200.)); #574762=CARTESIAN_POINT('Origin',(49.5,47.75,0.)); #574763=CARTESIAN_POINT('Origin',(41.75,24.5,-200.)); #574764=CARTESIAN_POINT('',(41.575,24.5,-0.052500000000002)); #574765=CARTESIAN_POINT('Origin',(41.75,24.5,-0.052500000000002)); #574766=CARTESIAN_POINT('',(41.575,24.5,0.)); #574767=CARTESIAN_POINT('',(41.575,24.5,-200.)); #574768=CARTESIAN_POINT('Origin',(41.75,24.5,0.)); #574769=CARTESIAN_POINT('Origin',(49.5,47.,-200.)); #574770=CARTESIAN_POINT('',(49.325,47.,-0.052500000000002)); #574771=CARTESIAN_POINT('Origin',(49.5,47.,-0.052500000000002)); #574772=CARTESIAN_POINT('',(49.325,47.,0.)); #574773=CARTESIAN_POINT('',(49.325,47.,-200.)); #574774=CARTESIAN_POINT('Origin',(49.5,47.,0.)); #574775=CARTESIAN_POINT('Origin',(31.5,8.75,-200.)); #574776=CARTESIAN_POINT('',(31.325,8.75,-0.052500000000002)); #574777=CARTESIAN_POINT('Origin',(31.5,8.75,-0.052500000000002)); #574778=CARTESIAN_POINT('',(31.325,8.75,0.)); #574779=CARTESIAN_POINT('',(31.325,8.75,-200.)); #574780=CARTESIAN_POINT('Origin',(31.5,8.75,0.)); #574781=CARTESIAN_POINT('Origin',(50.375,47.75,-200.)); #574782=CARTESIAN_POINT('',(50.2,47.75,-0.052500000000002)); #574783=CARTESIAN_POINT('Origin',(50.375,47.75,-0.052500000000002)); #574784=CARTESIAN_POINT('',(50.2,47.75,0.)); #574785=CARTESIAN_POINT('',(50.2,47.75,-200.)); #574786=CARTESIAN_POINT('Origin',(50.375,47.75,0.)); #574787=CARTESIAN_POINT('Origin',(42.5,25.25,-200.)); #574788=CARTESIAN_POINT('',(42.325,25.25,-0.052500000000002)); #574789=CARTESIAN_POINT('Origin',(42.5,25.25,-0.052500000000002)); #574790=CARTESIAN_POINT('',(42.325,25.25,0.)); #574791=CARTESIAN_POINT('',(42.325,25.25,-200.)); #574792=CARTESIAN_POINT('Origin',(42.5,25.25,0.)); #574793=CARTESIAN_POINT('Origin',(50.375,47.,-200.)); #574794=CARTESIAN_POINT('',(50.2,47.,-0.052500000000002)); #574795=CARTESIAN_POINT('Origin',(50.375,47.,-0.052500000000002)); #574796=CARTESIAN_POINT('',(50.2,47.,0.)); #574797=CARTESIAN_POINT('',(50.2,47.,-200.)); #574798=CARTESIAN_POINT('Origin',(50.375,47.,0.)); #574799=CARTESIAN_POINT('Origin',(32.5,15.5,-200.)); #574800=CARTESIAN_POINT('',(32.325,15.5,-0.052500000000002)); #574801=CARTESIAN_POINT('Origin',(32.5,15.5,-0.052500000000002)); #574802=CARTESIAN_POINT('',(32.325,15.5,0.)); #574803=CARTESIAN_POINT('',(32.325,15.5,-200.)); #574804=CARTESIAN_POINT('Origin',(32.5,15.5,0.)); #574805=CARTESIAN_POINT('Origin',(51.25,47.75,-200.)); #574806=CARTESIAN_POINT('',(51.075,47.75,-0.052500000000002)); #574807=CARTESIAN_POINT('Origin',(51.25,47.75,-0.052500000000002)); #574808=CARTESIAN_POINT('',(51.075,47.75,0.)); #574809=CARTESIAN_POINT('',(51.075,47.75,-200.)); #574810=CARTESIAN_POINT('Origin',(51.25,47.75,0.)); #574811=CARTESIAN_POINT('Origin',(42.5,26.,-200.)); #574812=CARTESIAN_POINT('',(42.325,26.,-0.052500000000002)); #574813=CARTESIAN_POINT('Origin',(42.5,26.,-0.052500000000002)); #574814=CARTESIAN_POINT('',(42.325,26.,0.)); #574815=CARTESIAN_POINT('',(42.325,26.,-200.)); #574816=CARTESIAN_POINT('Origin',(42.5,26.,0.)); #574817=CARTESIAN_POINT('Origin',(51.25,47.,-200.)); #574818=CARTESIAN_POINT('',(51.075,47.,-0.052500000000002)); #574819=CARTESIAN_POINT('Origin',(51.25,47.,-0.052500000000002)); #574820=CARTESIAN_POINT('',(51.075,47.,0.)); #574821=CARTESIAN_POINT('',(51.075,47.,-200.)); #574822=CARTESIAN_POINT('Origin',(51.25,47.,0.)); #574823=CARTESIAN_POINT('Origin',(37.81,45.,-200.)); #574824=CARTESIAN_POINT('',(37.21,45.,-0.052500000000002)); #574825=CARTESIAN_POINT('Origin',(37.81,45.,-0.052500000000002)); #574826=CARTESIAN_POINT('',(37.21,45.,0.)); #574827=CARTESIAN_POINT('',(37.21,45.,-200.)); #574828=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #574829=CARTESIAN_POINT('Origin',(23.75,56.,-200.)); #574830=CARTESIAN_POINT('',(23.575,56.,-0.052500000000002)); #574831=CARTESIAN_POINT('Origin',(23.75,56.,-0.052500000000002)); #574832=CARTESIAN_POINT('',(23.575,56.,0.)); #574833=CARTESIAN_POINT('',(23.575,56.,-200.)); #574834=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #574835=CARTESIAN_POINT('Origin',(12.75,21.,-200.)); #574836=CARTESIAN_POINT('',(12.575,21.,-0.052500000000002)); #574837=CARTESIAN_POINT('Origin',(12.75,21.,-0.052500000000002)); #574838=CARTESIAN_POINT('',(12.575,21.,0.)); #574839=CARTESIAN_POINT('',(12.575,21.,-200.)); #574840=CARTESIAN_POINT('Origin',(12.75,21.,0.)); #574841=CARTESIAN_POINT('Origin',(23.75,55.25,-200.)); #574842=CARTESIAN_POINT('',(23.575,55.25,-0.052500000000002)); #574843=CARTESIAN_POINT('Origin',(23.75,55.25,-0.052500000000002)); #574844=CARTESIAN_POINT('',(23.575,55.25,0.)); #574845=CARTESIAN_POINT('',(23.575,55.25,-200.)); #574846=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #574847=CARTESIAN_POINT('Origin',(33.25,19.25,-200.)); #574848=CARTESIAN_POINT('',(33.075,19.25,-0.052500000000002)); #574849=CARTESIAN_POINT('Origin',(33.25,19.25,-0.052500000000002)); #574850=CARTESIAN_POINT('',(33.075,19.25,0.)); #574851=CARTESIAN_POINT('',(33.075,19.25,-200.)); #574852=CARTESIAN_POINT('Origin',(33.25,19.25,0.)); #574853=CARTESIAN_POINT('Origin',(22.,56.75,-200.)); #574854=CARTESIAN_POINT('',(21.825,56.75,-0.052500000000002)); #574855=CARTESIAN_POINT('Origin',(22.,56.75,-0.052500000000002)); #574856=CARTESIAN_POINT('',(21.825,56.75,0.)); #574857=CARTESIAN_POINT('',(21.825,56.75,-200.)); #574858=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #574859=CARTESIAN_POINT('Origin',(56.,20.25,-200.)); #574860=CARTESIAN_POINT('',(55.825,20.25,-0.052500000000002)); #574861=CARTESIAN_POINT('Origin',(56.,20.25,-0.052500000000002)); #574862=CARTESIAN_POINT('',(55.825,20.25,0.)); #574863=CARTESIAN_POINT('',(55.825,20.25,-200.)); #574864=CARTESIAN_POINT('Origin',(56.,20.25,0.)); #574865=CARTESIAN_POINT('Origin',(22.,55.25,-200.)); #574866=CARTESIAN_POINT('',(21.825,55.25,-0.052500000000002)); #574867=CARTESIAN_POINT('Origin',(22.,55.25,-0.052500000000002)); #574868=CARTESIAN_POINT('',(21.825,55.25,0.)); #574869=CARTESIAN_POINT('',(21.825,55.25,-200.)); #574870=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #574871=CARTESIAN_POINT('Origin',(36.5,8.75,-200.)); #574872=CARTESIAN_POINT('',(36.325,8.75,-0.052500000000002)); #574873=CARTESIAN_POINT('Origin',(36.5,8.75,-0.052500000000002)); #574874=CARTESIAN_POINT('',(36.325,8.75,0.)); #574875=CARTESIAN_POINT('',(36.325,8.75,-200.)); #574876=CARTESIAN_POINT('Origin',(36.5,8.75,0.)); #574877=CARTESIAN_POINT('Origin',(20.25,55.25,-200.)); #574878=CARTESIAN_POINT('',(20.075,55.25,-0.052500000000002)); #574879=CARTESIAN_POINT('Origin',(20.25,55.25,-0.052500000000002)); #574880=CARTESIAN_POINT('',(20.075,55.25,0.)); #574881=CARTESIAN_POINT('',(20.075,55.25,-200.)); #574882=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #574883=CARTESIAN_POINT('Origin',(56.,21.75,-200.)); #574884=CARTESIAN_POINT('',(55.825,21.75,-0.052500000000002)); #574885=CARTESIAN_POINT('Origin',(56.,21.75,-0.052500000000002)); #574886=CARTESIAN_POINT('',(55.825,21.75,0.)); #574887=CARTESIAN_POINT('',(55.825,21.75,-200.)); #574888=CARTESIAN_POINT('Origin',(56.,21.75,0.)); #574889=CARTESIAN_POINT('Origin',(20.25,56.75,-200.)); #574890=CARTESIAN_POINT('',(20.075,56.75,-0.052500000000002)); #574891=CARTESIAN_POINT('Origin',(20.25,56.75,-0.052500000000002)); #574892=CARTESIAN_POINT('',(20.075,56.75,0.)); #574893=CARTESIAN_POINT('',(20.075,56.75,-200.)); #574894=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #574895=CARTESIAN_POINT('Origin',(32.5,19.25,-200.)); #574896=CARTESIAN_POINT('',(32.325,19.25,-0.052500000000002)); #574897=CARTESIAN_POINT('Origin',(32.5,19.25,-0.052500000000002)); #574898=CARTESIAN_POINT('',(32.325,19.25,0.)); #574899=CARTESIAN_POINT('',(32.325,19.25,-200.)); #574900=CARTESIAN_POINT('Origin',(32.5,19.25,0.)); #574901=CARTESIAN_POINT('Origin',(20.25,56.,-200.)); #574902=CARTESIAN_POINT('',(20.075,56.,-0.052500000000002)); #574903=CARTESIAN_POINT('Origin',(20.25,56.,-0.052500000000002)); #574904=CARTESIAN_POINT('',(20.075,56.,0.)); #574905=CARTESIAN_POINT('',(20.075,56.,-200.)); #574906=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #574907=CARTESIAN_POINT('Origin',(56.,21.,-200.)); #574908=CARTESIAN_POINT('',(55.825,21.,-0.052500000000002)); #574909=CARTESIAN_POINT('Origin',(56.,21.,-0.052500000000002)); #574910=CARTESIAN_POINT('',(55.825,21.,0.)); #574911=CARTESIAN_POINT('',(55.825,21.,-200.)); #574912=CARTESIAN_POINT('Origin',(56.,21.,0.)); #574913=CARTESIAN_POINT('Origin',(22.,56.,-200.)); #574914=CARTESIAN_POINT('',(21.825,56.,-0.052500000000002)); #574915=CARTESIAN_POINT('Origin',(22.,56.,-0.052500000000002)); #574916=CARTESIAN_POINT('',(21.825,56.,0.)); #574917=CARTESIAN_POINT('',(21.825,56.,-200.)); #574918=CARTESIAN_POINT('Origin',(22.,56.,0.)); #574919=CARTESIAN_POINT('Origin',(31.5,25.25,-200.)); #574920=CARTESIAN_POINT('',(31.,25.25,-0.052500000000002)); #574921=CARTESIAN_POINT('Origin',(31.5,25.25,-0.052500000000002)); #574922=CARTESIAN_POINT('',(31.,25.25,0.)); #574923=CARTESIAN_POINT('',(31.,25.25,-200.)); #574924=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #574925=CARTESIAN_POINT('Origin',(46.875,56.,-200.)); #574926=CARTESIAN_POINT('',(46.7,56.,-0.052500000000002)); #574927=CARTESIAN_POINT('Origin',(46.875,56.,-0.052500000000002)); #574928=CARTESIAN_POINT('',(46.7,56.,0.)); #574929=CARTESIAN_POINT('',(46.7,56.,-200.)); #574930=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #574931=CARTESIAN_POINT('Origin',(54.5,20.25,-200.)); #574932=CARTESIAN_POINT('',(54.325,20.25,-0.052500000000002)); #574933=CARTESIAN_POINT('Origin',(54.5,20.25,-0.052500000000002)); #574934=CARTESIAN_POINT('',(54.325,20.25,0.)); #574935=CARTESIAN_POINT('',(54.325,20.25,-200.)); #574936=CARTESIAN_POINT('Origin',(54.5,20.25,0.)); #574937=CARTESIAN_POINT('Origin',(46.875,55.25,-200.)); #574938=CARTESIAN_POINT('',(46.7,55.25,-0.052500000000002)); #574939=CARTESIAN_POINT('Origin',(46.875,55.25,-0.052500000000002)); #574940=CARTESIAN_POINT('',(46.7,55.25,0.)); #574941=CARTESIAN_POINT('',(46.7,55.25,-200.)); #574942=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #574943=CARTESIAN_POINT('Origin',(35.5,16.25,-200.)); #574944=CARTESIAN_POINT('',(35.325,16.25,-0.052500000000002)); #574945=CARTESIAN_POINT('Origin',(35.5,16.25,-0.052500000000002)); #574946=CARTESIAN_POINT('',(35.325,16.25,0.)); #574947=CARTESIAN_POINT('',(35.325,16.25,-200.)); #574948=CARTESIAN_POINT('Origin',(35.5,16.25,0.)); #574949=CARTESIAN_POINT('Origin',(45.125,56.,-200.)); #574950=CARTESIAN_POINT('',(44.95,56.,-0.052500000000002)); #574951=CARTESIAN_POINT('Origin',(45.125,56.,-0.052500000000002)); #574952=CARTESIAN_POINT('',(44.95,56.,0.)); #574953=CARTESIAN_POINT('',(44.95,56.,-200.)); #574954=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #574955=CARTESIAN_POINT('Origin',(55.25,20.25,-200.)); #574956=CARTESIAN_POINT('',(55.075,20.25,-0.052500000000002)); #574957=CARTESIAN_POINT('Origin',(55.25,20.25,-0.052500000000002)); #574958=CARTESIAN_POINT('',(55.075,20.25,0.)); #574959=CARTESIAN_POINT('',(55.075,20.25,-200.)); #574960=CARTESIAN_POINT('Origin',(55.25,20.25,0.)); #574961=CARTESIAN_POINT('Origin',(45.125,55.25,-200.)); #574962=CARTESIAN_POINT('',(44.95,55.25,-0.052500000000002)); #574963=CARTESIAN_POINT('Origin',(45.125,55.25,-0.052500000000002)); #574964=CARTESIAN_POINT('',(44.95,55.25,0.)); #574965=CARTESIAN_POINT('',(44.95,55.25,-200.)); #574966=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #574967=CARTESIAN_POINT('Origin',(31.5,9.5,-200.)); #574968=CARTESIAN_POINT('',(31.325,9.5,-0.052500000000002)); #574969=CARTESIAN_POINT('Origin',(31.5,9.5,-0.052500000000002)); #574970=CARTESIAN_POINT('',(31.325,9.5,0.)); #574971=CARTESIAN_POINT('',(31.325,9.5,-200.)); #574972=CARTESIAN_POINT('Origin',(31.5,9.5,0.)); #574973=CARTESIAN_POINT('Origin',(38.512,53.,-200.)); #574974=CARTESIAN_POINT('',(38.337,53.,-0.052500000000002)); #574975=CARTESIAN_POINT('Origin',(38.512,53.,-0.052500000000002)); #574976=CARTESIAN_POINT('',(38.337,53.,0.)); #574977=CARTESIAN_POINT('',(38.337,53.,-200.)); #574978=CARTESIAN_POINT('Origin',(38.512,53.,0.)); #574979=CARTESIAN_POINT('Origin',(54.5,21.,-200.)); #574980=CARTESIAN_POINT('',(54.325,21.,-0.052500000000002)); #574981=CARTESIAN_POINT('Origin',(54.5,21.,-0.052500000000002)); #574982=CARTESIAN_POINT('',(54.325,21.,0.)); #574983=CARTESIAN_POINT('',(54.325,21.,-200.)); #574984=CARTESIAN_POINT('Origin',(54.5,21.,0.)); #574985=CARTESIAN_POINT('Origin',(46.875,56.75,-200.)); #574986=CARTESIAN_POINT('',(46.7,56.75,-0.052500000000002)); #574987=CARTESIAN_POINT('Origin',(46.875,56.75,-0.052500000000002)); #574988=CARTESIAN_POINT('',(46.7,56.75,0.)); #574989=CARTESIAN_POINT('',(46.7,56.75,-200.)); #574990=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #574991=CARTESIAN_POINT('Origin',(35.5,17.,-200.)); #574992=CARTESIAN_POINT('',(35.325,17.,-0.052500000000002)); #574993=CARTESIAN_POINT('Origin',(35.5,17.,-0.052500000000002)); #574994=CARTESIAN_POINT('',(35.325,17.,0.)); #574995=CARTESIAN_POINT('',(35.325,17.,-200.)); #574996=CARTESIAN_POINT('Origin',(35.5,17.,0.)); #574997=CARTESIAN_POINT('Origin',(38.75,36.75,-200.)); #574998=CARTESIAN_POINT('',(38.575,36.75,-0.052500000000002)); #574999=CARTESIAN_POINT('Origin',(38.75,36.75,-0.052500000000002)); #575000=CARTESIAN_POINT('',(38.575,36.75,0.)); #575001=CARTESIAN_POINT('',(38.575,36.75,-200.)); #575002=CARTESIAN_POINT('Origin',(38.75,36.75,0.)); #575003=CARTESIAN_POINT('Origin',(54.5,21.75,-200.)); #575004=CARTESIAN_POINT('',(54.325,21.75,-0.052500000000002)); #575005=CARTESIAN_POINT('Origin',(54.5,21.75,-0.052500000000002)); #575006=CARTESIAN_POINT('',(54.325,21.75,0.)); #575007=CARTESIAN_POINT('',(54.325,21.75,-200.)); #575008=CARTESIAN_POINT('Origin',(54.5,21.75,0.)); #575009=CARTESIAN_POINT('Origin',(37.1875,36.75,-200.)); #575010=CARTESIAN_POINT('',(37.0125,36.75,-0.052500000000002)); #575011=CARTESIAN_POINT('Origin',(37.1875,36.75,-0.052500000000002)); #575012=CARTESIAN_POINT('',(37.0125,36.75,0.)); #575013=CARTESIAN_POINT('',(37.0125,36.75,-200.)); #575014=CARTESIAN_POINT('Origin',(37.1875,36.75,0.)); #575015=CARTESIAN_POINT('Origin',(46.25,34.,-200.)); #575016=CARTESIAN_POINT('',(45.7,34.,-0.052500000000002)); #575017=CARTESIAN_POINT('Origin',(46.25,34.,-0.052500000000002)); #575018=CARTESIAN_POINT('',(45.7,34.,0.)); #575019=CARTESIAN_POINT('',(45.7,34.,-200.)); #575020=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #575021=CARTESIAN_POINT('Origin',(29.488,53.,-200.)); #575022=CARTESIAN_POINT('',(29.313,53.,-0.052500000000002)); #575023=CARTESIAN_POINT('Origin',(29.488,53.,-0.052500000000002)); #575024=CARTESIAN_POINT('',(29.313,53.,0.)); #575025=CARTESIAN_POINT('',(29.313,53.,-200.)); #575026=CARTESIAN_POINT('Origin',(29.488,53.,0.)); #575027=CARTESIAN_POINT('Origin',(12.,21.,-200.)); #575028=CARTESIAN_POINT('',(11.825,21.,-0.052500000000002)); #575029=CARTESIAN_POINT('Origin',(12.,21.,-0.052500000000002)); #575030=CARTESIAN_POINT('',(11.825,21.,0.)); #575031=CARTESIAN_POINT('',(11.825,21.,-200.)); #575032=CARTESIAN_POINT('Origin',(12.,21.,0.)); #575033=CARTESIAN_POINT('Origin',(21.125,56.75,-200.)); #575034=CARTESIAN_POINT('',(20.95,56.75,-0.052500000000002)); #575035=CARTESIAN_POINT('Origin',(21.125,56.75,-0.052500000000002)); #575036=CARTESIAN_POINT('',(20.95,56.75,0.)); #575037=CARTESIAN_POINT('',(20.95,56.75,-200.)); #575038=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #575039=CARTESIAN_POINT('Origin',(34.75,19.25,-200.)); #575040=CARTESIAN_POINT('',(34.575,19.25,-0.052500000000002)); #575041=CARTESIAN_POINT('Origin',(34.75,19.25,-0.052500000000002)); #575042=CARTESIAN_POINT('',(34.575,19.25,0.)); #575043=CARTESIAN_POINT('',(34.575,19.25,-200.)); #575044=CARTESIAN_POINT('Origin',(34.75,19.25,0.)); #575045=CARTESIAN_POINT('Origin',(21.125,55.25,-200.)); #575046=CARTESIAN_POINT('',(20.95,55.25,-0.052500000000002)); #575047=CARTESIAN_POINT('Origin',(21.125,55.25,-0.052500000000002)); #575048=CARTESIAN_POINT('',(20.95,55.25,0.)); #575049=CARTESIAN_POINT('',(20.95,55.25,-200.)); #575050=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #575051=CARTESIAN_POINT('Origin',(12.,20.25,-200.)); #575052=CARTESIAN_POINT('',(11.825,20.25,-0.052500000000002)); #575053=CARTESIAN_POINT('Origin',(12.,20.25,-0.052500000000002)); #575054=CARTESIAN_POINT('',(11.825,20.25,0.)); #575055=CARTESIAN_POINT('',(11.825,20.25,-200.)); #575056=CARTESIAN_POINT('Origin',(12.,20.25,0.)); #575057=CARTESIAN_POINT('Origin',(21.125,56.,-200.)); #575058=CARTESIAN_POINT('',(20.95,56.,-0.052500000000002)); #575059=CARTESIAN_POINT('Origin',(21.125,56.,-0.052500000000002)); #575060=CARTESIAN_POINT('',(20.95,56.,0.)); #575061=CARTESIAN_POINT('',(20.95,56.,-200.)); #575062=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #575063=CARTESIAN_POINT('Origin',(36.5,9.5,-200.)); #575064=CARTESIAN_POINT('',(36.325,9.5,-0.052500000000002)); #575065=CARTESIAN_POINT('Origin',(36.5,9.5,-0.052500000000002)); #575066=CARTESIAN_POINT('',(36.325,9.5,0.)); #575067=CARTESIAN_POINT('',(36.325,9.5,-200.)); #575068=CARTESIAN_POINT('Origin',(36.5,9.5,0.)); #575069=CARTESIAN_POINT('Origin',(22.875,56.75,-200.)); #575070=CARTESIAN_POINT('',(22.7,56.75,-0.052500000000002)); #575071=CARTESIAN_POINT('Origin',(22.875,56.75,-0.052500000000002)); #575072=CARTESIAN_POINT('',(22.7,56.75,0.)); #575073=CARTESIAN_POINT('',(22.7,56.75,-200.)); #575074=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #575075=CARTESIAN_POINT('Origin',(12.75,21.75,-200.)); #575076=CARTESIAN_POINT('',(12.575,21.75,-0.052500000000002)); #575077=CARTESIAN_POINT('Origin',(12.75,21.75,-0.052500000000002)); #575078=CARTESIAN_POINT('',(12.575,21.75,0.)); #575079=CARTESIAN_POINT('',(12.575,21.75,-200.)); #575080=CARTESIAN_POINT('Origin',(12.75,21.75,0.)); #575081=CARTESIAN_POINT('Origin',(23.75,56.75,-200.)); #575082=CARTESIAN_POINT('',(23.575,56.75,-0.052500000000002)); #575083=CARTESIAN_POINT('Origin',(23.75,56.75,-0.052500000000002)); #575084=CARTESIAN_POINT('',(23.575,56.75,0.)); #575085=CARTESIAN_POINT('',(23.575,56.75,-200.)); #575086=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #575087=CARTESIAN_POINT('Origin',(34.,19.25,-200.)); #575088=CARTESIAN_POINT('',(33.825,19.25,-0.052500000000002)); #575089=CARTESIAN_POINT('Origin',(34.,19.25,-0.052500000000002)); #575090=CARTESIAN_POINT('',(33.825,19.25,0.)); #575091=CARTESIAN_POINT('',(33.825,19.25,-200.)); #575092=CARTESIAN_POINT('Origin',(34.,19.25,0.)); #575093=CARTESIAN_POINT('Origin',(22.875,56.,-200.)); #575094=CARTESIAN_POINT('',(22.7,56.,-0.052500000000002)); #575095=CARTESIAN_POINT('Origin',(22.875,56.,-0.052500000000002)); #575096=CARTESIAN_POINT('',(22.7,56.,0.)); #575097=CARTESIAN_POINT('',(22.7,56.,-200.)); #575098=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #575099=CARTESIAN_POINT('Origin',(12.75,20.25,-200.)); #575100=CARTESIAN_POINT('',(12.575,20.25,-0.052500000000002)); #575101=CARTESIAN_POINT('Origin',(12.75,20.25,-0.052500000000002)); #575102=CARTESIAN_POINT('',(12.575,20.25,0.)); #575103=CARTESIAN_POINT('',(12.575,20.25,-200.)); #575104=CARTESIAN_POINT('Origin',(12.75,20.25,0.)); #575105=CARTESIAN_POINT('Origin',(22.875,55.25,-200.)); #575106=CARTESIAN_POINT('',(22.7,55.25,-0.052500000000002)); #575107=CARTESIAN_POINT('Origin',(22.875,55.25,-0.052500000000002)); #575108=CARTESIAN_POINT('',(22.7,55.25,0.)); #575109=CARTESIAN_POINT('',(22.7,55.25,-200.)); #575110=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #575111=CARTESIAN_POINT('Origin',(36.5,27.75,-200.)); #575112=CARTESIAN_POINT('',(36.,27.75,-0.052500000000002)); #575113=CARTESIAN_POINT('Origin',(36.5,27.75,-0.052500000000002)); #575114=CARTESIAN_POINT('',(36.,27.75,0.)); #575115=CARTESIAN_POINT('',(36.,27.75,-200.)); #575116=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #575117=CARTESIAN_POINT('Origin',(23.905,49.75,-200.)); #575118=CARTESIAN_POINT('',(23.73,49.75,-0.052500000000002)); #575119=CARTESIAN_POINT('Origin',(23.905,49.75,-0.052500000000002)); #575120=CARTESIAN_POINT('',(23.73,49.75,0.)); #575121=CARTESIAN_POINT('',(23.73,49.75,-200.)); #575122=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #575123=CARTESIAN_POINT('Origin',(13.5,21.75,-200.)); #575124=CARTESIAN_POINT('',(13.325,21.75,-0.052500000000002)); #575125=CARTESIAN_POINT('Origin',(13.5,21.75,-0.052500000000002)); #575126=CARTESIAN_POINT('',(13.325,21.75,0.)); #575127=CARTESIAN_POINT('',(13.325,21.75,-200.)); #575128=CARTESIAN_POINT('Origin',(13.5,21.75,0.)); #575129=CARTESIAN_POINT('Origin',(47.905,58.75,-200.)); #575130=CARTESIAN_POINT('',(47.73,58.75,-0.052500000000002)); #575131=CARTESIAN_POINT('Origin',(47.905,58.75,-0.052500000000002)); #575132=CARTESIAN_POINT('',(47.73,58.75,0.)); #575133=CARTESIAN_POINT('',(47.73,58.75,-200.)); #575134=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #575135=CARTESIAN_POINT('Origin',(35.5,19.25,-200.)); #575136=CARTESIAN_POINT('',(35.325,19.25,-0.052500000000002)); #575137=CARTESIAN_POINT('Origin',(35.5,19.25,-0.052500000000002)); #575138=CARTESIAN_POINT('',(35.325,19.25,0.)); #575139=CARTESIAN_POINT('',(35.325,19.25,-200.)); #575140=CARTESIAN_POINT('Origin',(35.5,19.25,0.)); #575141=CARTESIAN_POINT('Origin',(29.25,36.75,-200.)); #575142=CARTESIAN_POINT('',(29.075,36.75,-0.052500000000002)); #575143=CARTESIAN_POINT('Origin',(29.25,36.75,-0.052500000000002)); #575144=CARTESIAN_POINT('',(29.075,36.75,0.)); #575145=CARTESIAN_POINT('',(29.075,36.75,-200.)); #575146=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #575147=CARTESIAN_POINT('Origin',(12.,21.75,-200.)); #575148=CARTESIAN_POINT('',(11.825,21.75,-0.052500000000002)); #575149=CARTESIAN_POINT('Origin',(12.,21.75,-0.052500000000002)); #575150=CARTESIAN_POINT('',(11.825,21.75,0.)); #575151=CARTESIAN_POINT('',(11.825,21.75,-200.)); #575152=CARTESIAN_POINT('Origin',(12.,21.75,0.)); #575153=CARTESIAN_POINT('Origin',(30.8125,36.75,-200.)); #575154=CARTESIAN_POINT('',(30.6375,36.75,-0.052500000000002)); #575155=CARTESIAN_POINT('Origin',(30.8125,36.75,-0.052500000000002)); #575156=CARTESIAN_POINT('',(30.6375,36.75,0.)); #575157=CARTESIAN_POINT('',(30.6375,36.75,-200.)); #575158=CARTESIAN_POINT('Origin',(30.8125,36.75,0.)); #575159=CARTESIAN_POINT('Origin',(37.25,9.5,-200.)); #575160=CARTESIAN_POINT('',(37.075,9.5,-0.052500000000002)); #575161=CARTESIAN_POINT('Origin',(37.25,9.5,-0.052500000000002)); #575162=CARTESIAN_POINT('',(37.075,9.5,0.)); #575163=CARTESIAN_POINT('',(37.075,9.5,-200.)); #575164=CARTESIAN_POINT('Origin',(37.25,9.5,0.)); #575165=CARTESIAN_POINT('Origin',(47.905,49.75,-200.)); #575166=CARTESIAN_POINT('',(47.73,49.75,-0.052500000000002)); #575167=CARTESIAN_POINT('Origin',(47.905,49.75,-0.052500000000002)); #575168=CARTESIAN_POINT('',(47.73,49.75,0.)); #575169=CARTESIAN_POINT('',(47.73,49.75,-200.)); #575170=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #575171=CARTESIAN_POINT('Origin',(13.5,21.,-200.)); #575172=CARTESIAN_POINT('',(13.325,21.,-0.052500000000002)); #575173=CARTESIAN_POINT('Origin',(13.5,21.,-0.052500000000002)); #575174=CARTESIAN_POINT('',(13.325,21.,0.)); #575175=CARTESIAN_POINT('',(13.325,21.,-200.)); #575176=CARTESIAN_POINT('Origin',(13.5,21.,0.)); #575177=CARTESIAN_POINT('Origin',(23.905,58.75,-200.)); #575178=CARTESIAN_POINT('',(23.73,58.75,-0.052500000000002)); #575179=CARTESIAN_POINT('Origin',(23.905,58.75,-0.052500000000002)); #575180=CARTESIAN_POINT('',(23.73,58.75,0.)); #575181=CARTESIAN_POINT('',(23.73,58.75,-200.)); #575182=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #575183=CARTESIAN_POINT('Origin',(36.25,19.25,-200.)); #575184=CARTESIAN_POINT('',(36.075,19.25,-0.052500000000002)); #575185=CARTESIAN_POINT('Origin',(36.25,19.25,-0.052500000000002)); #575186=CARTESIAN_POINT('',(36.075,19.25,0.)); #575187=CARTESIAN_POINT('',(36.075,19.25,-200.)); #575188=CARTESIAN_POINT('Origin',(36.25,19.25,0.)); #575189=CARTESIAN_POINT('Origin',(18.5,47.,-200.)); #575190=CARTESIAN_POINT('',(18.325,47.,-0.052500000000002)); #575191=CARTESIAN_POINT('Origin',(18.5,47.,-0.052500000000002)); #575192=CARTESIAN_POINT('',(18.325,47.,0.)); #575193=CARTESIAN_POINT('',(18.325,47.,-200.)); #575194=CARTESIAN_POINT('Origin',(18.5,47.,0.)); #575195=CARTESIAN_POINT('Origin',(13.5,20.25,-200.)); #575196=CARTESIAN_POINT('',(13.325,20.25,-0.052500000000002)); #575197=CARTESIAN_POINT('Origin',(13.5,20.25,-0.052500000000002)); #575198=CARTESIAN_POINT('',(13.325,20.25,0.)); #575199=CARTESIAN_POINT('',(13.325,20.25,-200.)); #575200=CARTESIAN_POINT('Origin',(13.5,20.25,0.)); #575201=CARTESIAN_POINT('Origin',(18.5,46.25,-200.)); #575202=CARTESIAN_POINT('',(18.325,46.25,-0.052500000000002)); #575203=CARTESIAN_POINT('Origin',(18.5,46.25,-0.052500000000002)); #575204=CARTESIAN_POINT('',(18.325,46.25,0.)); #575205=CARTESIAN_POINT('',(18.325,46.25,-200.)); #575206=CARTESIAN_POINT('Origin',(18.5,46.25,0.)); #575207=CARTESIAN_POINT('Origin',(34.,34.,0.)); #575208=CARTESIAN_POINT('',(0.,34.,-0.0525)); #575209=CARTESIAN_POINT('Origin',(34.,34.,-0.0525)); #575210=CARTESIAN_POINT('',(0.,34.,0.)); #575211=CARTESIAN_POINT('',(0.,34.,0.)); #575212=CARTESIAN_POINT('Origin',(34.,34.,0.)); #575213=CARTESIAN_POINT('Origin',(34.,34.,0.)); #575214=CARTESIAN_POINT('Origin',(34.,34.,-0.0525)); #575215=CARTESIAN_POINT('',(0.,0.,0.)); #575216=CARTESIAN_POINT('',(0.,0.,0.)); #575217=CARTESIAN_POINT('',(0.,0.,0.)); #575218=CARTESIAN_POINT('Origin',(3.559998152217,-0.350012700025019,11.350043525364)); #575219=CARTESIAN_POINT('',(3.809998152217,2.54998589352503,11.100043525364)); #575220=CARTESIAN_POINT('',(3.30999815221698,2.54998589352493,11.600043525364)); #575221=CARTESIAN_POINT('',(4.23749782364124,2.5499858935251,10.6725438539397)); #575222=CARTESIAN_POINT('',(3.30999815221699,1.64998633000993,11.600043525364)); #575223=CARTESIAN_POINT('',(3.309998152217,-0.35001270002502,11.600043525364)); #575224=CARTESIAN_POINT('',(3.809998152217,1.64998633001003,11.100043525364)); #575225=CARTESIAN_POINT('',(8.49881610053498,1.64998633000998,6.41122557704599)); #575226=CARTESIAN_POINT('',(3.809998152217,-0.350012700025018,11.100043525364)); #575227=CARTESIAN_POINT('Origin',(13.565274795314,-0.350012700025,11.600043525364)); #575228=CARTESIAN_POINT('',(-0.76999815221702,2.5499858935249,11.600043525364)); #575229=CARTESIAN_POINT('',(13.565274795314,2.549985893525,11.600043525364)); #575230=CARTESIAN_POINT('',(-0.769998152217013,1.6499863300099,11.600043525364)); #575231=CARTESIAN_POINT('',(-0.769998152217,-0.350012700025022,11.600043525364)); #575232=CARTESIAN_POINT('',(13.565274795314,1.64998633001,11.600043525364)); #575233=CARTESIAN_POINT('Origin',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #575234=CARTESIAN_POINT('',(3.809998152217,2.549985893525,3.200047599217)); #575235=CARTESIAN_POINT('',(3.809998152217,2.549985893525,1.600048375189)); #575236=CARTESIAN_POINT('',(5.079997536289,2.549985893525,3.200047599217)); #575237=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,3.200047599217)); #575238=CARTESIAN_POINT('',(5.079997536289,2.549985893525,4.91511609997802E-5)); #575239=CARTESIAN_POINT('',(5.079997536289,2.549985893525,4.91511609997802E-5)); #575240=CARTESIAN_POINT('',(4.359997885476,2.549985893525,4.91511609997802E-5)); #575241=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #575242=CARTESIAN_POINT('',(4.359997885476,2.549985893525,1.200048569182)); #575243=CARTESIAN_POINT('',(4.359997885476,2.549985893525,4.91511609997802E-5)); #575244=CARTESIAN_POINT('',(3.259998418957,2.549985893525,1.200048569182)); #575245=CARTESIAN_POINT('',(3.259998418957,2.549985893525,1.200048569182)); #575246=CARTESIAN_POINT('',(3.259998418957,2.549985893525,4.91511609997802E-5)); #575247=CARTESIAN_POINT('',(3.259998418957,2.549985893525,-1.19995026686)); #575248=CARTESIAN_POINT('',(1.819999117332,2.549985893525,4.91511609997802E-5)); #575249=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #575250=CARTESIAN_POINT('',(1.819999117332,2.549985893525,1.200048569182)); #575251=CARTESIAN_POINT('',(1.819999117332,2.549985893525,4.91511609997802E-5)); #575252=CARTESIAN_POINT('',(0.719999650813,2.549985893525,1.200048569182)); #575253=CARTESIAN_POINT('',(0.719999650813,2.549985893525,1.200048569182)); #575254=CARTESIAN_POINT('',(0.719999650813,2.549985893525,4.91511609997802E-5)); #575255=CARTESIAN_POINT('',(0.719999650813,2.549985893525,-1.19995026686)); #575256=CARTESIAN_POINT('',(-0.719999650813,2.549985893525,4.91511609997802E-5)); #575257=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #575258=CARTESIAN_POINT('',(-0.719999650813,2.549985893525,1.200048569182)); #575259=CARTESIAN_POINT('',(-0.719999650813,2.549985893525,4.91511609997802E-5)); #575260=CARTESIAN_POINT('',(-1.819999117332,2.549985893525,1.200048569182)); #575261=CARTESIAN_POINT('',(-1.819999117332,2.549985893525,1.200048569182)); #575262=CARTESIAN_POINT('',(-1.819999117332,2.549985893525,4.91511609997802E-5)); #575263=CARTESIAN_POINT('',(-1.819999117332,2.549985893525,-1.19995026686)); #575264=CARTESIAN_POINT('',(-3.259998418957,2.549985893525,4.91511609997802E-5)); #575265=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #575266=CARTESIAN_POINT('',(-3.259998418957,2.549985893525,1.200048569182)); #575267=CARTESIAN_POINT('',(-3.259998418957,2.549985893525,-1.19995026686)); #575268=CARTESIAN_POINT('',(-4.359997885476,2.549985893525,1.200048569182)); #575269=CARTESIAN_POINT('',(-4.359997885476,2.549985893525,1.200048569182)); #575270=CARTESIAN_POINT('',(-4.359997885476,2.549985893525,4.91511609997802E-5)); #575271=CARTESIAN_POINT('',(-4.359997885476,2.549985893525,-1.19995026686)); #575272=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #575273=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #575274=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,3.200047599217)); #575275=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #575276=CARTESIAN_POINT('',(-1.269998152217,2.54998589352502,3.200047599217)); #575277=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,3.200047599217)); #575278=CARTESIAN_POINT('',(-1.26999815221702,2.54998589352507,11.100043525364)); #575279=CARTESIAN_POINT('',(-1.26999815221702,2.54998589352503,0.800048763174995)); #575280=CARTESIAN_POINT('',(-4.87249659178576,2.54998589352503,7.49754508579525)); #575281=CARTESIAN_POINT('Origin',(-1.019998152217,-0.350012700025025,11.350043525364)); #575282=CARTESIAN_POINT('',(-1.26999815221701,1.64998633000993,11.100043525364)); #575283=CARTESIAN_POINT('',(0.188821297121992,1.64998633000995,12.558862974703)); #575284=CARTESIAN_POINT('',(-1.269998152217,-0.350012700025027,11.100043525364)); #575285=CARTESIAN_POINT('Origin',(13.565274795314,1.64998633001,1.600048375189)); #575286=CARTESIAN_POINT('',(-1.269998152217,1.64998633001002,9.200044689322)); #575287=CARTESIAN_POINT('',(-1.26999815221701,1.6499863300099,1.600048375189)); #575288=CARTESIAN_POINT('',(3.809998152217,1.64998633001,9.200044689322)); #575289=CARTESIAN_POINT('',(13.565274795314,1.64998633001,9.200044689322)); #575290=CARTESIAN_POINT('',(3.809998152217,1.64998633001,1.600048375189)); #575291=CARTESIAN_POINT('Origin',(-1.269998152217,-0.350012700024982,1.600048375189)); #575292=CARTESIAN_POINT('',(-1.269998152217,1.64998633001002,3.200047599217)); #575293=CARTESIAN_POINT('',(-1.269998152217,-0.350012700024982,3.200047599217)); #575294=CARTESIAN_POINT('',(-1.269998152217,1.64998633001002,6.20004614427)); #575295=CARTESIAN_POINT('',(-1.26999815221701,1.6499863300099,1.600048375189)); #575296=CARTESIAN_POINT('',(-1.269998152217,1.34998647550402,6.500045998775)); #575297=CARTESIAN_POINT('',(-1.26999815221702,2.94998569953218,4.90004677474672)); #575298=CARTESIAN_POINT('',(-1.269998152217,1.34998647550402,8.900044834817)); #575299=CARTESIAN_POINT('',(-1.26999815221701,1.3499864755039,1.600048375189)); #575300=CARTESIAN_POINT('',(-1.26999815221698,-3.15001134207407,4.40004701723803)); #575301=CARTESIAN_POINT('Origin',(-5.079997536289,2.549985893525,3.200047599217)); #575302=CARTESIAN_POINT('',(3.809998152217,1.64998633001,3.200047599217)); #575303=CARTESIAN_POINT('',(3.809998152217,-0.350012700025,3.200047599217)); #575304=CARTESIAN_POINT('',(13.565274795314,1.64998633001,3.200047599217)); #575305=CARTESIAN_POINT('',(-5.079997536289,-3.250011293576,3.200047599217)); #575306=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,3.200047599217)); #575307=CARTESIAN_POINT('',(5.079997536289,-3.250011293576,3.200047599217)); #575308=CARTESIAN_POINT('',(-5.079997536289,-3.250011293576,3.200047599217)); #575309=CARTESIAN_POINT('',(5.079997536289,2.549985893525,3.200047599217)); #575310=CARTESIAN_POINT('',(-1.589999228878,0.269986999285,3.200047599217)); #575311=CARTESIAN_POINT('',(-1.589999228878,-0.370012690326,3.200047599217)); #575312=CARTESIAN_POINT('',(-1.589999228878,2.549985893525,3.200047599217)); #575313=CARTESIAN_POINT('',(-0.949999539267,0.269986999285,3.200047599217)); #575314=CARTESIAN_POINT('',(-5.079997536289,0.269986999285,3.200047599217)); #575315=CARTESIAN_POINT('',(-0.949999539267,-0.370012690326,3.200047599217)); #575316=CARTESIAN_POINT('',(-0.949999539267,2.549985893525,3.200047599217)); #575317=CARTESIAN_POINT('',(-5.079997536289,-0.370012690326,3.200047599217)); #575318=CARTESIAN_POINT('',(3.489998307411,0.269986999285,3.200047599217)); #575319=CARTESIAN_POINT('',(3.489998307411,-0.370012690326,3.200047599217)); #575320=CARTESIAN_POINT('',(3.489998307411,2.549985893525,3.200047599217)); #575321=CARTESIAN_POINT('',(4.129997997022,0.269986999285,3.200047599217)); #575322=CARTESIAN_POINT('',(-5.079997536289,0.269986999285,3.200047599217)); #575323=CARTESIAN_POINT('',(4.129997997022,-0.370012690326,3.200047599217)); #575324=CARTESIAN_POINT('',(4.129997997022,2.549985893525,3.200047599217)); #575325=CARTESIAN_POINT('',(-5.079997536289,-0.370012690326,3.200047599217)); #575326=CARTESIAN_POINT('',(0.949999539267,0.269986999285,3.200047599217)); #575327=CARTESIAN_POINT('',(0.949999539267,-0.370012690326,3.200047599217)); #575328=CARTESIAN_POINT('',(0.949999539267,2.549985893525,3.200047599217)); #575329=CARTESIAN_POINT('',(1.589999228878,0.269986999285,3.200047599217)); #575330=CARTESIAN_POINT('',(-5.079997536289,0.269986999285,3.200047599217)); #575331=CARTESIAN_POINT('',(1.589999228878,-0.370012690326,3.200047599217)); #575332=CARTESIAN_POINT('',(1.589999228878,2.549985893525,3.200047599217)); #575333=CARTESIAN_POINT('',(-5.079997536289,-0.370012690326,3.200047599217)); #575334=CARTESIAN_POINT('',(-4.129997997022,0.269986999285,3.200047599217)); #575335=CARTESIAN_POINT('',(-4.129997997022,-0.370012690326,3.200047599217)); #575336=CARTESIAN_POINT('',(-4.129997997022,2.549985893525,3.200047599217)); #575337=CARTESIAN_POINT('',(-3.489998307411,0.269986999285,3.200047599217)); #575338=CARTESIAN_POINT('',(-5.079997536289,0.269986999285,3.200047599217)); #575339=CARTESIAN_POINT('',(-3.489998307411,-0.370012690326,3.200047599217)); #575340=CARTESIAN_POINT('',(-3.489998307411,2.549985893525,3.200047599217)); #575341=CARTESIAN_POINT('',(-5.079997536289,-0.370012690326,3.200047599217)); #575342=CARTESIAN_POINT('Origin',(3.259998418957,2.549985893525,1.200048569182)); #575343=CARTESIAN_POINT('',(4.359997885476,-3.250011293576,1.200048569182)); #575344=CARTESIAN_POINT('',(3.259998418957,-3.250011293576,1.200048569182)); #575345=CARTESIAN_POINT('',(3.259998418957,-3.250011293576,1.200048569182)); #575346=CARTESIAN_POINT('',(3.259998418957,2.549985893525,1.200048569182)); #575347=CARTESIAN_POINT('',(4.359997885476,2.549985893525,1.200048569182)); #575348=CARTESIAN_POINT('',(3.489998307411,-0.370012690326,1.200048569182)); #575349=CARTESIAN_POINT('',(3.489998307411,0.269986999285,1.200048569182)); #575350=CARTESIAN_POINT('',(3.489998307411,2.549985893525,1.200048569182)); #575351=CARTESIAN_POINT('',(4.129997997022,-0.370012690326,1.200048569182)); #575352=CARTESIAN_POINT('',(3.259998418957,-0.370012690326,1.200048569182)); #575353=CARTESIAN_POINT('',(4.129997997022,0.269986999285,1.200048569182)); #575354=CARTESIAN_POINT('',(4.129997997022,2.549985893525,1.200048569182)); #575355=CARTESIAN_POINT('',(3.259998418957,0.269986999285,1.200048569182)); #575356=CARTESIAN_POINT('Origin',(0.719999650813,2.549985893525,1.200048569182)); #575357=CARTESIAN_POINT('',(1.819999117332,-3.250011293576,1.200048569182)); #575358=CARTESIAN_POINT('',(0.719999650813,-3.250011293576,1.200048569182)); #575359=CARTESIAN_POINT('',(0.719999650813,-3.250011293576,1.200048569182)); #575360=CARTESIAN_POINT('',(0.719999650813,2.549985893525,1.200048569182)); #575361=CARTESIAN_POINT('',(1.819999117332,2.549985893525,1.200048569182)); #575362=CARTESIAN_POINT('',(0.949999539267,-0.370012690326,1.200048569182)); #575363=CARTESIAN_POINT('',(0.949999539267,0.269986999285,1.200048569182)); #575364=CARTESIAN_POINT('',(0.949999539267,2.549985893525,1.200048569182)); #575365=CARTESIAN_POINT('',(1.589999228878,-0.370012690326,1.200048569182)); #575366=CARTESIAN_POINT('',(0.719999650813,-0.370012690326,1.200048569182)); #575367=CARTESIAN_POINT('',(1.589999228878,0.269986999285,1.200048569182)); #575368=CARTESIAN_POINT('',(1.589999228878,2.549985893525,1.200048569182)); #575369=CARTESIAN_POINT('',(0.719999650813,0.269986999285,1.200048569182)); #575370=CARTESIAN_POINT('Origin',(-1.819999117332,2.549985893525,1.200048569182)); #575371=CARTESIAN_POINT('',(-0.719999650813,-3.250011293576,1.200048569182)); #575372=CARTESIAN_POINT('',(-1.819999117332,-3.250011293576,1.200048569182)); #575373=CARTESIAN_POINT('',(-1.819999117332,-3.250011293576,1.200048569182)); #575374=CARTESIAN_POINT('',(-1.819999117332,2.549985893525,1.200048569182)); #575375=CARTESIAN_POINT('',(-0.719999650813,2.549985893525,1.200048569182)); #575376=CARTESIAN_POINT('',(-1.589999228878,-0.370012690326,1.200048569182)); #575377=CARTESIAN_POINT('',(-1.589999228878,0.269986999285,1.200048569182)); #575378=CARTESIAN_POINT('',(-1.589999228878,2.549985893525,1.200048569182)); #575379=CARTESIAN_POINT('',(-0.949999539267,-0.370012690326,1.200048569182)); #575380=CARTESIAN_POINT('',(-1.819999117332,-0.370012690326,1.200048569182)); #575381=CARTESIAN_POINT('',(-0.949999539267,0.269986999285,1.200048569182)); #575382=CARTESIAN_POINT('',(-0.949999539267,2.549985893525,1.200048569182)); #575383=CARTESIAN_POINT('',(-1.819999117332,0.269986999285,1.200048569182)); #575384=CARTESIAN_POINT('Origin',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #575385=CARTESIAN_POINT('',(1.819999117332,-3.250011293576,4.91511609997802E-5)); #575386=CARTESIAN_POINT('',(3.259998418957,-3.250011293576,4.91511609997802E-5)); #575387=CARTESIAN_POINT('',(-5.079997536289,-3.250011293576,4.91511609997802E-5)); #575388=CARTESIAN_POINT('',(1.819999117332,2.549985893525,4.91511609997802E-5)); #575389=CARTESIAN_POINT('',(3.259998418957,2.549985893525,4.91511609997802E-5)); #575390=CARTESIAN_POINT('Origin',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #575391=CARTESIAN_POINT('',(4.359997885476,-3.250011293576,4.91511609997802E-5)); #575392=CARTESIAN_POINT('',(5.079997536289,-3.250011293576,4.91511609997802E-5)); #575393=CARTESIAN_POINT('',(-5.079997536289,-3.250011293576,4.91511609997802E-5)); #575394=CARTESIAN_POINT('',(4.359997885476,2.549985893525,4.91511609997802E-5)); #575395=CARTESIAN_POINT('',(5.079997536289,2.549985893525,4.91511609997802E-5)); #575396=CARTESIAN_POINT('Origin',(-4.359997885476,2.549985893525,1.200048569182)); #575397=CARTESIAN_POINT('',(-3.259998418957,-3.250011293576,1.200048569182)); #575398=CARTESIAN_POINT('',(-4.359997885476,-3.250011293576,1.200048569182)); #575399=CARTESIAN_POINT('',(-4.359997885476,-3.250011293576,1.200048569182)); #575400=CARTESIAN_POINT('',(-4.359997885476,2.549985893525,1.200048569182)); #575401=CARTESIAN_POINT('',(-3.259998418957,2.549985893525,1.200048569182)); #575402=CARTESIAN_POINT('',(-4.129997997022,-0.370012690326,1.200048569182)); #575403=CARTESIAN_POINT('',(-4.129997997022,0.269986999285,1.200048569182)); #575404=CARTESIAN_POINT('',(-4.129997997022,2.549985893525,1.200048569182)); #575405=CARTESIAN_POINT('',(-3.489998307411,-0.370012690326,1.200048569182)); #575406=CARTESIAN_POINT('',(-4.359997885476,-0.370012690326,1.200048569182)); #575407=CARTESIAN_POINT('',(-3.489998307411,0.269986999285,1.200048569182)); #575408=CARTESIAN_POINT('',(-3.489998307411,2.549985893525,1.200048569182)); #575409=CARTESIAN_POINT('',(-4.359997885476,0.269986999285,1.200048569182)); #575410=CARTESIAN_POINT('Origin',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #575411=CARTESIAN_POINT('',(-1.819999117332,-3.250011293576,4.91511609997802E-5)); #575412=CARTESIAN_POINT('',(-1.819999117332,2.549985893525,4.91511609997802E-5)); #575413=CARTESIAN_POINT('',(-3.259998418957,-3.250011293576,4.91511609997802E-5)); #575414=CARTESIAN_POINT('',(-5.079997536289,-3.250011293576,4.91511609997802E-5)); #575415=CARTESIAN_POINT('',(-3.259998418957,2.549985893525,4.91511609997802E-5)); #575416=CARTESIAN_POINT('Origin',(-5.079997536289,-3.250011293576,4.91511609997802E-5)); #575417=CARTESIAN_POINT('',(-0.719999650813,-3.250011293576,4.91511609997802E-5)); #575418=CARTESIAN_POINT('',(-0.719999650813,-3.250011293576,-1.19995026686)); #575419=CARTESIAN_POINT('',(0.719999650813,-3.250011293576,4.91511609997802E-5)); #575420=CARTESIAN_POINT('',(-5.079997536289,-3.250011293576,4.91511609997802E-5)); #575421=CARTESIAN_POINT('',(0.719999650813,-3.250011293576,-1.19995026686)); #575422=CARTESIAN_POINT('',(1.819999117332,-3.250011293576,-1.19995026686)); #575423=CARTESIAN_POINT('',(3.259998418957,-3.250011293576,-1.19995026686)); #575424=CARTESIAN_POINT('',(4.359997885476,-3.250011293576,-1.19995026686)); #575425=CARTESIAN_POINT('',(5.079997536289,-3.250011293576,4.91511609997802E-5)); #575426=CARTESIAN_POINT('',(-5.079997536289,-3.250011293576,4.91511609997802E-5)); #575427=CARTESIAN_POINT('',(-5.079997536289,-3.250011293576,4.91511609997802E-5)); #575428=CARTESIAN_POINT('',(-4.359997885476,-3.250011293576,4.91511609997802E-5)); #575429=CARTESIAN_POINT('',(-5.079997536289,-3.250011293576,4.91511609997802E-5)); #575430=CARTESIAN_POINT('',(-4.359997885476,-3.250011293576,-1.19995026686)); #575431=CARTESIAN_POINT('',(-3.259998418957,-3.250011293576,-1.19995026686)); #575432=CARTESIAN_POINT('',(-1.819999117332,-3.250011293576,-1.19995026686)); #575433=CARTESIAN_POINT('Origin',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #575434=CARTESIAN_POINT('',(-4.359997885476,2.549985893525,4.91511609997802E-5)); #575435=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #575436=CARTESIAN_POINT('Origin',(-5.079997536289,-0.350012700025,1.600048375189)); #575437=CARTESIAN_POINT('Origin',(5.079997536289,-0.350012700025,1.600048375189)); #575438=CARTESIAN_POINT('Origin',(3.809998152217,-0.350012700025,1.600048375189)); #575439=CARTESIAN_POINT('',(3.809998152217,1.349986475504,8.900044834817)); #575440=CARTESIAN_POINT('',(3.809998152217,-3.150011342074,4.400047017238)); #575441=CARTESIAN_POINT('',(3.809998152217,1.349986475504,6.500045998775)); #575442=CARTESIAN_POINT('',(3.809998152217,1.349986475504,1.600048375189)); #575443=CARTESIAN_POINT('',(3.809998152217,1.64998633001,6.20004614427)); #575444=CARTESIAN_POINT('',(3.809998152217,2.949985699532,4.900046774747)); #575445=CARTESIAN_POINT('',(3.809998152217,1.64998633001,1.600048375189)); #575446=CARTESIAN_POINT('Origin',(13.565274795314,1.64998633001,1.600048375189)); #575447=CARTESIAN_POINT('',(13.565274795314,1.64998633001,6.20004614427)); #575448=CARTESIAN_POINT('Origin',(13.565274795314,2.949985699532,4.900046774747)); #575449=CARTESIAN_POINT('',(13.565274795314,1.349986475504,6.500045998775)); #575450=CARTESIAN_POINT('Origin',(13.565274795314,1.349986475504,1.600048375189)); #575451=CARTESIAN_POINT('',(13.565274795314,1.349986475504,8.900044834817)); #575452=CARTESIAN_POINT('Origin',(13.565274795314,-3.150011342074,4.400047017238)); #575453=CARTESIAN_POINT('Origin',(-3.259998418957,2.549985893525,-1.19995026686)); #575454=CARTESIAN_POINT('Origin',(-4.359997885476,2.549985893525,-1.19995026686)); #575455=CARTESIAN_POINT('Origin',(-4.129997997022,-0.370012690326,-3.399949199898)); #575456=CARTESIAN_POINT('',(-3.97999806977,-0.220012763073,-3.399949199898)); #575457=CARTESIAN_POINT('',(-3.97999806977,0.119987072033,-3.399949199898)); #575458=CARTESIAN_POINT('',(-3.97999806977,0.269986999285,-3.399949199898)); #575459=CARTESIAN_POINT('',(-3.639998234664,0.119987072033,-3.399949199898)); #575460=CARTESIAN_POINT('',(-3.489998307411,0.119987072033,-3.399949199898)); #575461=CARTESIAN_POINT('',(-3.639998234664,-0.220012763073,-3.399949199898)); #575462=CARTESIAN_POINT('',(-3.639998234664,-0.370012690326,-3.399949199898)); #575463=CARTESIAN_POINT('',(-4.129997997022,-0.220012763073,-3.399949199898)); #575464=CARTESIAN_POINT('Origin',(-3.489998307411,-0.350012700025,1.600048375189)); #575465=CARTESIAN_POINT('',(-3.489998307411,-0.370012690326,-2.987827786852)); #575466=CARTESIAN_POINT('',(-3.489998307411,-0.370012690326,10.100044252838)); #575467=CARTESIAN_POINT('',(-3.489998307411,0.269986999285,-2.987827786852)); #575468=CARTESIAN_POINT('',(-3.489998307411,-0.350012700025,-2.987827786852)); #575469=CARTESIAN_POINT('',(-3.489998307411,0.269986999285,10.100044252838)); #575470=CARTESIAN_POINT('Origin',(-4.129997997022,-0.350012700025,1.600048375189)); #575471=CARTESIAN_POINT('',(-4.129997997022,0.269986999285,-2.987827786852)); #575472=CARTESIAN_POINT('',(-4.129997997022,0.269986999285,10.100044252838)); #575473=CARTESIAN_POINT('',(-4.129997997022,-0.370012690326,-2.987827786852)); #575474=CARTESIAN_POINT('',(-4.129997997022,-0.370012690326,-2.987827786852)); #575475=CARTESIAN_POINT('',(-4.129997997022,-0.370012690326,10.100044252838)); #575476=CARTESIAN_POINT('Origin',(-4.129997997022,-0.370012690326,10.100044252838)); #575477=CARTESIAN_POINT('',(-4.129997997022,-0.370012690326,-2.987827786852)); #575478=CARTESIAN_POINT('Origin',(-4.129997997022,0.269986999285,10.100044252838)); #575479=CARTESIAN_POINT('',(-4.129997997022,0.269986999285,-2.987827786852)); #575480=CARTESIAN_POINT('Origin',(-4.129997997022,0.269986999285,10.100044252838)); #575481=CARTESIAN_POINT('',(-4.129997997022,0.269986999285,9.687922839792)); #575482=CARTESIAN_POINT('',(-4.129997997022,0.269986999285,10.100044252838)); #575483=CARTESIAN_POINT('',(-3.489998307411,0.269986999285,9.687922839792)); #575484=CARTESIAN_POINT('',(-3.489998307411,0.269986999285,9.687922839792)); #575485=CARTESIAN_POINT('',(-3.489998307411,0.269986999285,10.100044252838)); #575486=CARTESIAN_POINT('Origin',(-4.129997997022,-0.370012690326,10.100044252838)); #575487=CARTESIAN_POINT('',(-3.489998307411,-0.370012690326,9.687922839792)); #575488=CARTESIAN_POINT('',(-3.489998307411,-0.370012690326,10.100044252838)); #575489=CARTESIAN_POINT('',(-4.129997997022,-0.370012690326,9.687922839792)); #575490=CARTESIAN_POINT('',(-4.129997997022,-0.370012690326,9.687922839792)); #575491=CARTESIAN_POINT('',(-4.129997997022,-0.370012690326,10.100044252838)); #575492=CARTESIAN_POINT('Origin',(-4.129997997022,-0.350012700025,1.600048375189)); #575493=CARTESIAN_POINT('',(-4.129997997022,0.269986999285,9.687922839792)); #575494=CARTESIAN_POINT('Origin',(-3.489998307411,-0.350012700025,1.600048375189)); #575495=CARTESIAN_POINT('',(-3.489998307411,-0.350012700025,9.687922839792)); #575496=CARTESIAN_POINT('Origin',(-4.129997997022,0.269986999285,10.100044252838)); #575497=CARTESIAN_POINT('',(-3.97999806977,0.119987072033,10.100044252838)); #575498=CARTESIAN_POINT('',(-3.97999806977,-0.220012763073,10.100044252838)); #575499=CARTESIAN_POINT('',(-3.97999806977,-0.370012690326,10.100044252838)); #575500=CARTESIAN_POINT('',(-3.639998234664,-0.220012763073,10.100044252838)); #575501=CARTESIAN_POINT('',(-4.129997997022,-0.220012763073,10.100044252838)); #575502=CARTESIAN_POINT('',(-3.639998234664,0.119987072033,10.100044252838)); #575503=CARTESIAN_POINT('',(-3.639998234664,0.269986999285,10.100044252838)); #575504=CARTESIAN_POINT('',(-4.129997997022,0.119987072033,10.100044252838)); #575505=CARTESIAN_POINT('Origin',(-4.129997997022,-0.370012690326,-2.987827786852)); #575506=CARTESIAN_POINT('',(-3.49209284723,-0.367918150507,-2.993582487709)); #575507=CARTESIAN_POINT('',(-4.129997997022,-0.370012690326,-2.987827786852)); #575508=CARTESIAN_POINT('Origin',(-3.97999806977,-0.370012690326,-3.399949199898)); #575509=CARTESIAN_POINT('',(-3.928681844198,0.06867084646102,-3.540939370908)); #575510=CARTESIAN_POINT('Origin',(-3.489998307411,-0.350012700025,-2.987827786852)); #575511=CARTESIAN_POINT('',(-3.554929041808,0.205056264888,-3.166223513436)); #575512=CARTESIAN_POINT('Origin',(-4.129997997022,0.119987072033,-3.399949199898)); #575513=CARTESIAN_POINT('Origin',(-4.129997997022,0.269986999285,9.687922839792)); #575514=CARTESIAN_POINT('',(-3.554929041808,0.205056264889,9.866318566376)); #575515=CARTESIAN_POINT('',(-4.129997997022,0.269986999285,9.687922839792)); #575516=CARTESIAN_POINT('Origin',(-3.97999806977,0.269986999285,10.100044252838)); #575517=CARTESIAN_POINT('',(-3.928681844198,-0.168696537501,10.241034423848)); #575518=CARTESIAN_POINT('Origin',(-3.489998307411,-0.350012700025,9.687922839792)); #575519=CARTESIAN_POINT('',(-3.49209284723,-0.367918150506,9.693677540649)); #575520=CARTESIAN_POINT('Origin',(-4.129997997022,-0.220012763073,10.100044252838)); #575521=CARTESIAN_POINT('Origin',(4.359997885476,2.549985893525,-1.19995026686)); #575522=CARTESIAN_POINT('Origin',(3.259998418957,2.549985893525,-1.19995026686)); #575523=CARTESIAN_POINT('Origin',(1.819999117332,2.549985893525,-1.19995026686)); #575524=CARTESIAN_POINT('Origin',(0.719999650813,2.549985893525,-1.19995026686)); #575525=CARTESIAN_POINT('',(0.719999650813,2.549985893525,4.91511609997802E-5)); #575526=CARTESIAN_POINT('Origin',(-0.719999650813,2.549985893525,-1.19995026686)); #575527=CARTESIAN_POINT('',(-0.719999650813,2.549985893525,4.91511609997802E-5)); #575528=CARTESIAN_POINT('Origin',(-1.819999117332,2.549985893525,-1.19995026686)); #575529=CARTESIAN_POINT('Origin',(-1.589999228878,-0.370012690326,-3.399949199898)); #575530=CARTESIAN_POINT('',(-1.439999301625,-0.220012763073,-3.399949199898)); #575531=CARTESIAN_POINT('',(-1.439999301625,0.119987072033,-3.399949199898)); #575532=CARTESIAN_POINT('',(-1.439999301625,0.269986999285,-3.399949199898)); #575533=CARTESIAN_POINT('',(-1.099999466519,0.119987072033,-3.399949199898)); #575534=CARTESIAN_POINT('',(-0.949999539267,0.119987072033,-3.399949199898)); #575535=CARTESIAN_POINT('',(-1.099999466519,-0.220012763073,-3.399949199898)); #575536=CARTESIAN_POINT('',(-1.099999466519,-0.370012690326,-3.399949199898)); #575537=CARTESIAN_POINT('',(-1.589999228878,-0.220012763073,-3.399949199898)); #575538=CARTESIAN_POINT('Origin',(-0.949999539267,-0.350012700025,1.600048375189)); #575539=CARTESIAN_POINT('',(-0.949999539267,-0.370012690326,-2.987827786852)); #575540=CARTESIAN_POINT('',(-0.949999539267,-0.370012690326,10.100044252838)); #575541=CARTESIAN_POINT('',(-0.949999539267,0.269986999285,-2.987827786852)); #575542=CARTESIAN_POINT('',(-0.949999539267,-0.350012700025,-2.987827786852)); #575543=CARTESIAN_POINT('',(-0.949999539267,0.269986999285,10.100044252838)); #575544=CARTESIAN_POINT('Origin',(-1.589999228878,-0.350012700025,1.600048375189)); #575545=CARTESIAN_POINT('',(-1.589999228878,0.269986999285,-2.987827786852)); #575546=CARTESIAN_POINT('',(-1.589999228878,0.269986999285,10.100044252838)); #575547=CARTESIAN_POINT('',(-1.589999228878,-0.370012690326,-2.987827786852)); #575548=CARTESIAN_POINT('',(-1.589999228878,-0.370012690326,-2.987827786852)); #575549=CARTESIAN_POINT('',(-1.589999228878,-0.370012690326,10.100044252838)); #575550=CARTESIAN_POINT('Origin',(-1.589999228878,-0.370012690326,10.100044252838)); #575551=CARTESIAN_POINT('',(-1.589999228878,-0.370012690326,-2.987827786852)); #575552=CARTESIAN_POINT('Origin',(-1.589999228878,0.269986999285,10.100044252838)); #575553=CARTESIAN_POINT('',(-1.589999228878,0.269986999285,-2.987827786852)); #575554=CARTESIAN_POINT('Origin',(-1.589999228878,0.269986999285,10.100044252838)); #575555=CARTESIAN_POINT('',(-1.589999228878,0.269986999285,9.687922839792)); #575556=CARTESIAN_POINT('',(-1.589999228878,0.269986999285,10.100044252838)); #575557=CARTESIAN_POINT('',(-0.949999539267,0.269986999285,9.687922839792)); #575558=CARTESIAN_POINT('',(-0.949999539267,0.269986999285,9.687922839792)); #575559=CARTESIAN_POINT('',(-0.949999539267,0.269986999285,10.100044252838)); #575560=CARTESIAN_POINT('Origin',(-1.589999228878,-0.370012690326,10.100044252838)); #575561=CARTESIAN_POINT('',(-0.949999539267,-0.370012690326,9.687922839792)); #575562=CARTESIAN_POINT('',(-0.949999539267,-0.370012690326,10.100044252838)); #575563=CARTESIAN_POINT('',(-1.589999228878,-0.370012690326,9.687922839792)); #575564=CARTESIAN_POINT('',(-1.589999228878,-0.370012690326,9.687922839792)); #575565=CARTESIAN_POINT('',(-1.589999228878,-0.370012690326,10.100044252838)); #575566=CARTESIAN_POINT('Origin',(-1.589999228878,-0.350012700025,1.600048375189)); #575567=CARTESIAN_POINT('',(-1.589999228878,0.269986999285,9.687922839792)); #575568=CARTESIAN_POINT('Origin',(-0.949999539267,-0.350012700025,1.600048375189)); #575569=CARTESIAN_POINT('',(-0.949999539267,-0.350012700025,9.687922839792)); #575570=CARTESIAN_POINT('Origin',(-1.589999228878,0.269986999285,10.100044252838)); #575571=CARTESIAN_POINT('',(-1.439999301625,0.119987072033,10.100044252838)); #575572=CARTESIAN_POINT('',(-1.439999301625,-0.220012763073,10.100044252838)); #575573=CARTESIAN_POINT('',(-1.439999301625,-0.370012690326,10.100044252838)); #575574=CARTESIAN_POINT('',(-1.099999466519,-0.220012763073,10.100044252838)); #575575=CARTESIAN_POINT('',(-1.589999228878,-0.220012763073,10.100044252838)); #575576=CARTESIAN_POINT('',(-1.099999466519,0.119987072033,10.100044252838)); #575577=CARTESIAN_POINT('',(-1.099999466519,0.269986999285,10.100044252838)); #575578=CARTESIAN_POINT('',(-1.589999228878,0.119987072033,10.100044252838)); #575579=CARTESIAN_POINT('Origin',(0.949999539267,-0.370012690326,-3.399949199898)); #575580=CARTESIAN_POINT('',(1.099999466519,-0.220012763073,-3.399949199898)); #575581=CARTESIAN_POINT('',(1.099999466519,0.119987072033,-3.399949199898)); #575582=CARTESIAN_POINT('',(1.099999466519,0.269986999285,-3.399949199898)); #575583=CARTESIAN_POINT('',(1.439999301625,0.119987072033,-3.399949199898)); #575584=CARTESIAN_POINT('',(1.589999228878,0.119987072033,-3.399949199898)); #575585=CARTESIAN_POINT('',(1.439999301625,-0.220012763073,-3.399949199898)); #575586=CARTESIAN_POINT('',(1.439999301625,-0.370012690326,-3.399949199898)); #575587=CARTESIAN_POINT('',(0.949999539267,-0.220012763073,-3.399949199898)); #575588=CARTESIAN_POINT('Origin',(1.589999228878,-0.350012700025,1.600048375189)); #575589=CARTESIAN_POINT('',(1.589999228878,-0.370012690326,-2.987827786852)); #575590=CARTESIAN_POINT('',(1.589999228878,-0.370012690326,10.100044252838)); #575591=CARTESIAN_POINT('',(1.589999228878,0.269986999285,-2.987827786852)); #575592=CARTESIAN_POINT('',(1.589999228878,-0.350012700025,-2.987827786852)); #575593=CARTESIAN_POINT('',(1.589999228878,0.269986999285,10.100044252838)); #575594=CARTESIAN_POINT('Origin',(0.949999539267,-0.350012700025,1.600048375189)); #575595=CARTESIAN_POINT('',(0.949999539267,0.269986999285,-2.987827786852)); #575596=CARTESIAN_POINT('',(0.949999539267,0.269986999285,10.100044252838)); #575597=CARTESIAN_POINT('',(0.949999539267,-0.370012690326,-2.987827786852)); #575598=CARTESIAN_POINT('',(0.949999539267,-0.370012690326,-2.987827786852)); #575599=CARTESIAN_POINT('',(0.949999539267,-0.370012690326,10.100044252838)); #575600=CARTESIAN_POINT('Origin',(0.949999539267,-0.370012690326,10.100044252838)); #575601=CARTESIAN_POINT('',(0.949999539267,-0.370012690326,-2.987827786852)); #575602=CARTESIAN_POINT('Origin',(0.949999539267,0.269986999285,10.100044252838)); #575603=CARTESIAN_POINT('',(0.949999539267,0.269986999285,-2.987827786852)); #575604=CARTESIAN_POINT('Origin',(0.949999539267,0.269986999285,10.100044252838)); #575605=CARTESIAN_POINT('',(0.949999539267,0.269986999285,9.687922839792)); #575606=CARTESIAN_POINT('',(0.949999539267,0.269986999285,10.100044252838)); #575607=CARTESIAN_POINT('',(1.589999228878,0.269986999285,9.687922839792)); #575608=CARTESIAN_POINT('',(1.589999228878,0.269986999285,9.687922839792)); #575609=CARTESIAN_POINT('',(1.589999228878,0.269986999285,10.100044252838)); #575610=CARTESIAN_POINT('Origin',(0.949999539267,-0.370012690326,10.100044252838)); #575611=CARTESIAN_POINT('',(1.589999228878,-0.370012690326,9.687922839792)); #575612=CARTESIAN_POINT('',(1.589999228878,-0.370012690326,10.100044252838)); #575613=CARTESIAN_POINT('',(0.949999539267,-0.370012690326,9.687922839792)); #575614=CARTESIAN_POINT('',(0.949999539267,-0.370012690326,9.687922839792)); #575615=CARTESIAN_POINT('',(0.949999539267,-0.370012690326,10.100044252838)); #575616=CARTESIAN_POINT('Origin',(0.949999539267,-0.350012700025,1.600048375189)); #575617=CARTESIAN_POINT('',(0.949999539267,0.269986999285,9.687922839792)); #575618=CARTESIAN_POINT('Origin',(1.589999228878,-0.350012700025,1.600048375189)); #575619=CARTESIAN_POINT('',(1.589999228878,-0.350012700025,9.687922839792)); #575620=CARTESIAN_POINT('Origin',(0.949999539267,0.269986999285,10.100044252838)); #575621=CARTESIAN_POINT('',(1.099999466519,0.119987072033,10.100044252838)); #575622=CARTESIAN_POINT('',(1.099999466519,-0.220012763073,10.100044252838)); #575623=CARTESIAN_POINT('',(1.099999466519,-0.370012690326,10.100044252838)); #575624=CARTESIAN_POINT('',(1.439999301625,-0.220012763073,10.100044252838)); #575625=CARTESIAN_POINT('',(0.949999539267,-0.220012763073,10.100044252838)); #575626=CARTESIAN_POINT('',(1.439999301625,0.119987072033,10.100044252838)); #575627=CARTESIAN_POINT('',(1.439999301625,0.269986999285,10.100044252838)); #575628=CARTESIAN_POINT('',(0.949999539267,0.119987072033,10.100044252838)); #575629=CARTESIAN_POINT('Origin',(3.489998307411,-0.370012690326,-3.399949199898)); #575630=CARTESIAN_POINT('',(3.639998234664,-0.220012763073,-3.399949199898)); #575631=CARTESIAN_POINT('',(3.639998234664,0.119987072033,-3.399949199898)); #575632=CARTESIAN_POINT('',(3.639998234664,0.269986999285,-3.399949199898)); #575633=CARTESIAN_POINT('',(3.97999806977,0.119987072033,-3.399949199898)); #575634=CARTESIAN_POINT('',(4.129997997022,0.119987072033,-3.399949199898)); #575635=CARTESIAN_POINT('',(3.97999806977,-0.220012763073,-3.399949199898)); #575636=CARTESIAN_POINT('',(3.97999806977,-0.370012690326,-3.399949199898)); #575637=CARTESIAN_POINT('',(3.489998307411,-0.220012763073,-3.399949199898)); #575638=CARTESIAN_POINT('Origin',(4.129997997022,-0.350012700025,1.600048375189)); #575639=CARTESIAN_POINT('',(4.129997997022,-0.370012690326,-2.987827786852)); #575640=CARTESIAN_POINT('',(4.129997997022,-0.370012690326,10.100044252838)); #575641=CARTESIAN_POINT('',(4.129997997022,0.269986999285,-2.987827786852)); #575642=CARTESIAN_POINT('',(4.129997997022,-0.350012700025,-2.987827786852)); #575643=CARTESIAN_POINT('',(4.129997997022,0.269986999285,10.100044252838)); #575644=CARTESIAN_POINT('Origin',(3.489998307411,-0.350012700025,1.600048375189)); #575645=CARTESIAN_POINT('',(3.489998307411,0.269986999285,-2.987827786852)); #575646=CARTESIAN_POINT('',(3.489998307411,0.269986999285,10.100044252838)); #575647=CARTESIAN_POINT('',(3.489998307411,-0.370012690326,-2.987827786852)); #575648=CARTESIAN_POINT('',(3.489998307411,-0.370012690326,-2.987827786852)); #575649=CARTESIAN_POINT('',(3.489998307411,-0.370012690326,10.100044252838)); #575650=CARTESIAN_POINT('Origin',(3.489998307411,-0.370012690326,10.100044252838)); #575651=CARTESIAN_POINT('',(3.489998307411,-0.370012690326,-2.987827786852)); #575652=CARTESIAN_POINT('Origin',(3.489998307411,0.269986999285,10.100044252838)); #575653=CARTESIAN_POINT('',(3.489998307411,0.269986999285,-2.987827786852)); #575654=CARTESIAN_POINT('Origin',(3.489998307411,0.269986999285,10.100044252838)); #575655=CARTESIAN_POINT('',(3.489998307411,0.269986999285,9.687922839792)); #575656=CARTESIAN_POINT('',(3.489998307411,0.269986999285,10.100044252838)); #575657=CARTESIAN_POINT('',(4.129997997022,0.269986999285,9.687922839792)); #575658=CARTESIAN_POINT('',(4.129997997022,0.269986999285,9.687922839792)); #575659=CARTESIAN_POINT('',(4.129997997022,0.269986999285,10.100044252838)); #575660=CARTESIAN_POINT('Origin',(3.489998307411,-0.370012690326,10.100044252838)); #575661=CARTESIAN_POINT('',(4.129997997022,-0.370012690326,9.687922839792)); #575662=CARTESIAN_POINT('',(4.129997997022,-0.370012690326,10.100044252838)); #575663=CARTESIAN_POINT('',(3.489998307411,-0.370012690326,9.687922839792)); #575664=CARTESIAN_POINT('',(3.489998307411,-0.370012690326,9.687922839792)); #575665=CARTESIAN_POINT('',(3.489998307411,-0.370012690326,10.100044252838)); #575666=CARTESIAN_POINT('Origin',(3.489998307411,-0.350012700025,1.600048375189)); #575667=CARTESIAN_POINT('',(3.489998307411,0.269986999285,9.687922839792)); #575668=CARTESIAN_POINT('Origin',(4.129997997022,-0.350012700025,1.600048375189)); #575669=CARTESIAN_POINT('',(4.129997997022,-0.350012700025,9.687922839792)); #575670=CARTESIAN_POINT('Origin',(3.489998307411,0.269986999285,10.100044252838)); #575671=CARTESIAN_POINT('',(3.639998234664,0.119987072033,10.100044252838)); #575672=CARTESIAN_POINT('',(3.639998234664,-0.220012763073,10.100044252838)); #575673=CARTESIAN_POINT('',(3.639998234664,-0.370012690326,10.100044252838)); #575674=CARTESIAN_POINT('',(3.97999806977,-0.220012763073,10.100044252838)); #575675=CARTESIAN_POINT('',(3.489998307411,-0.220012763073,10.100044252838)); #575676=CARTESIAN_POINT('',(3.97999806977,0.119987072033,10.100044252838)); #575677=CARTESIAN_POINT('',(3.97999806977,0.269986999285,10.100044252838)); #575678=CARTESIAN_POINT('',(3.489998307411,0.119987072033,10.100044252838)); #575679=CARTESIAN_POINT('Origin',(-1.589999228878,-0.370012690326,-2.987827786852)); #575680=CARTESIAN_POINT('',(-0.952094079086,-0.367918150507,-2.993582487709)); #575681=CARTESIAN_POINT('',(-1.589999228878,-0.370012690326,-2.987827786852)); #575682=CARTESIAN_POINT('Origin',(-1.439999301625,-0.370012690326,-3.399949199898)); #575683=CARTESIAN_POINT('',(-1.388683076053,0.06867084646104,-3.540939370908)); #575684=CARTESIAN_POINT('Origin',(-0.949999539267,-0.350012700025,-2.987827786852)); #575685=CARTESIAN_POINT('',(-1.014930273664,0.205056264889,-3.166223513436)); #575686=CARTESIAN_POINT('Origin',(-1.589999228878,0.119987072033,-3.399949199898)); #575687=CARTESIAN_POINT('Origin',(-1.589999228878,0.269986999285,9.687922839792)); #575688=CARTESIAN_POINT('',(-1.014930273664,0.205056264889,9.866318566376)); #575689=CARTESIAN_POINT('',(-1.589999228878,0.269986999285,9.687922839792)); #575690=CARTESIAN_POINT('Origin',(-1.439999301625,0.269986999285,10.100044252838)); #575691=CARTESIAN_POINT('',(-1.388683076053,-0.168696537501,10.241034423848)); #575692=CARTESIAN_POINT('Origin',(-0.949999539267,-0.350012700025,9.687922839792)); #575693=CARTESIAN_POINT('',(-0.952094079086,-0.367918150506,9.693677540649)); #575694=CARTESIAN_POINT('Origin',(-1.589999228878,-0.220012763073,10.100044252838)); #575695=CARTESIAN_POINT('Origin',(0.949999539267,-0.370012690326,-2.987827786852)); #575696=CARTESIAN_POINT('',(1.587904689059,-0.367918150507,-2.993582487709)); #575697=CARTESIAN_POINT('',(0.949999539267,-0.370012690326,-2.987827786852)); #575698=CARTESIAN_POINT('Origin',(1.099999466519,-0.370012690326,-3.399949199898)); #575699=CARTESIAN_POINT('',(1.151315692091,0.06867084646106,-3.540939370908)); #575700=CARTESIAN_POINT('Origin',(1.589999228878,-0.350012700025,-2.987827786852)); #575701=CARTESIAN_POINT('',(1.525068494481,0.205056264889,-3.166223513436)); #575702=CARTESIAN_POINT('Origin',(0.949999539267,0.119987072033,-3.399949199898)); #575703=CARTESIAN_POINT('Origin',(0.949999539267,0.269986999285,9.687922839792)); #575704=CARTESIAN_POINT('',(1.525068494481,0.205056264889,9.866318566376)); #575705=CARTESIAN_POINT('',(0.949999539267,0.269986999285,9.687922839792)); #575706=CARTESIAN_POINT('Origin',(1.099999466519,0.269986999285,10.100044252838)); #575707=CARTESIAN_POINT('',(1.151315692091,-0.168696537501,10.241034423848)); #575708=CARTESIAN_POINT('Origin',(1.589999228878,-0.350012700025,9.687922839792)); #575709=CARTESIAN_POINT('',(1.587904689059,-0.367918150506,9.693677540649)); #575710=CARTESIAN_POINT('Origin',(0.949999539267,-0.220012763073,10.100044252838)); #575711=CARTESIAN_POINT('Origin',(3.489998307411,-0.370012690326,-2.987827786852)); #575712=CARTESIAN_POINT('',(4.127903457203,-0.367918150506,-2.993582487709)); #575713=CARTESIAN_POINT('',(3.489998307411,-0.370012690326,-2.987827786852)); #575714=CARTESIAN_POINT('Origin',(3.639998234664,-0.370012690326,-3.399949199898)); #575715=CARTESIAN_POINT('',(3.691314460235,0.06867084646107,-3.540939370908)); #575716=CARTESIAN_POINT('Origin',(4.129997997022,-0.350012700025,-2.987827786852)); #575717=CARTESIAN_POINT('',(4.065067262625,0.205056264889,-3.166223513436)); #575718=CARTESIAN_POINT('Origin',(3.489998307411,0.119987072033,-3.399949199898)); #575719=CARTESIAN_POINT('Origin',(3.489998307411,0.269986999285,9.687922839792)); #575720=CARTESIAN_POINT('',(4.065067262625,0.205056264889,9.866318566376)); #575721=CARTESIAN_POINT('',(3.489998307411,0.269986999285,9.687922839792)); #575722=CARTESIAN_POINT('Origin',(3.639998234664,0.269986999285,10.100044252838)); #575723=CARTESIAN_POINT('',(3.691314460235,-0.168696537501,10.241034423848)); #575724=CARTESIAN_POINT('Origin',(4.129997997022,-0.350012700025,9.687922839792)); #575725=CARTESIAN_POINT('',(4.127903457203,-0.367918150506,9.693677540649)); #575726=CARTESIAN_POINT('Origin',(3.489998307411,-0.220012763073,10.100044252838)); #575727=CARTESIAN_POINT('Origin',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #575728=CARTESIAN_POINT('',(0.,0.,0.)); #575729=CARTESIAN_POINT('',(0.,0.,0.)); #575730=CARTESIAN_POINT('',(0.,0.,0.)); #575731=CARTESIAN_POINT('',(0.,0.,0.)); #575732=CARTESIAN_POINT('',(0.,0.,0.)); #575733=CARTESIAN_POINT('Origin',(-0.834,-0.434,0.164)); #575734=CARTESIAN_POINT('',(-0.85,-0.434,0.164)); #575735=CARTESIAN_POINT('',(-0.834,-0.434,0.18)); #575736=CARTESIAN_POINT('Origin',(-0.834,-0.434,0.164)); #575737=CARTESIAN_POINT('',(-0.834,-0.45,0.164)); #575738=CARTESIAN_POINT('Origin',(-0.834,-0.434,0.164)); #575739=CARTESIAN_POINT('Origin',(-0.834,-0.434,0.164)); #575740=CARTESIAN_POINT('Origin',(-0.666,-0.434,0.164)); #575741=CARTESIAN_POINT('',(-0.666,-0.45,0.164)); #575742=CARTESIAN_POINT('',(-0.666,-0.434,0.18)); #575743=CARTESIAN_POINT('Origin',(-0.666,-0.434,0.164)); #575744=CARTESIAN_POINT('',(-0.65,-0.434,0.164)); #575745=CARTESIAN_POINT('Origin',(-0.666,-0.434,0.164)); #575746=CARTESIAN_POINT('Origin',(-0.666,-0.434,0.164)); #575747=CARTESIAN_POINT('Origin',(-0.834,-0.434,0.016)); #575748=CARTESIAN_POINT('',(-0.85,-0.434,0.016)); #575749=CARTESIAN_POINT('',(-0.834,-0.45,0.016)); #575750=CARTESIAN_POINT('Origin',(-0.834,-0.434,0.016)); #575751=CARTESIAN_POINT('',(-0.834,-0.434,0.)); #575752=CARTESIAN_POINT('Origin',(-0.834,-0.434,0.016)); #575753=CARTESIAN_POINT('Origin',(-0.834,-0.434,0.016)); #575754=CARTESIAN_POINT('Origin',(-0.834,0.434,0.164)); #575755=CARTESIAN_POINT('',(-0.834,0.45,0.164)); #575756=CARTESIAN_POINT('',(-0.834,0.434,0.18)); #575757=CARTESIAN_POINT('Origin',(-0.834,0.434,0.164)); #575758=CARTESIAN_POINT('',(-0.85,0.434,0.164)); #575759=CARTESIAN_POINT('Origin',(-0.834,0.434,0.164)); #575760=CARTESIAN_POINT('Origin',(-0.834,0.434,0.164)); #575761=CARTESIAN_POINT('Origin',(-0.666,-0.434,0.016)); #575762=CARTESIAN_POINT('',(-0.666,-0.45,0.016)); #575763=CARTESIAN_POINT('',(-0.65,-0.434,0.016)); #575764=CARTESIAN_POINT('Origin',(-0.666,-0.434,0.016)); #575765=CARTESIAN_POINT('',(-0.666,-0.434,0.)); #575766=CARTESIAN_POINT('Origin',(-0.666,-0.434,0.016)); #575767=CARTESIAN_POINT('Origin',(-0.666,-0.434,0.016)); #575768=CARTESIAN_POINT('Origin',(-0.8,-0.434,0.016)); #575769=CARTESIAN_POINT('',(-0.8,-0.45,0.016)); #575770=CARTESIAN_POINT('',(-0.8,-0.434,0.)); #575771=CARTESIAN_POINT('Origin',(-0.666,0.434,0.164)); #575772=CARTESIAN_POINT('',(-0.65,0.434,0.164)); #575773=CARTESIAN_POINT('',(-0.666,0.434,0.18)); #575774=CARTESIAN_POINT('Origin',(-0.666,0.434,0.164)); #575775=CARTESIAN_POINT('',(-0.666,0.45,0.164)); #575776=CARTESIAN_POINT('Origin',(-0.666,0.434,0.164)); #575777=CARTESIAN_POINT('Origin',(-0.666,0.434,0.164)); #575778=CARTESIAN_POINT('Origin',(-0.834,0.434,0.016)); #575779=CARTESIAN_POINT('',(-0.834,0.434,0.)); #575780=CARTESIAN_POINT('',(-0.834,0.45,0.016)); #575781=CARTESIAN_POINT('Origin',(-0.834,0.434,0.016)); #575782=CARTESIAN_POINT('',(-0.85,0.434,0.016)); #575783=CARTESIAN_POINT('Origin',(-0.834,0.434,0.016)); #575784=CARTESIAN_POINT('Origin',(-0.834,0.434,0.016)); #575785=CARTESIAN_POINT('Origin',(-0.834,0.225,0.016)); #575786=CARTESIAN_POINT('',(-0.85,0.225,0.016)); #575787=CARTESIAN_POINT('',(-0.834,0.225,0.)); #575788=CARTESIAN_POINT('Origin',(-0.834,0.434,0.)); #575789=CARTESIAN_POINT('',(-0.834,0.45,0.)); #575790=CARTESIAN_POINT('',(-0.85,0.434,0.)); #575791=CARTESIAN_POINT('Origin',(-0.666,0.434,0.016)); #575792=CARTESIAN_POINT('',(-0.65,0.434,0.016)); #575793=CARTESIAN_POINT('',(-0.666,0.45,0.016)); #575794=CARTESIAN_POINT('Origin',(-0.666,0.434,0.016)); #575795=CARTESIAN_POINT('',(-0.666,0.434,0.)); #575796=CARTESIAN_POINT('Origin',(-0.666,0.434,0.016)); #575797=CARTESIAN_POINT('Origin',(-0.666,0.434,0.016)); #575798=CARTESIAN_POINT('Origin',(-0.666,-0.225,0.016)); #575799=CARTESIAN_POINT('',(-0.666,-0.225,0.)); #575800=CARTESIAN_POINT('',(-0.65,-0.225,0.016)); #575801=CARTESIAN_POINT('Origin',(-0.7,0.434,0.016)); #575802=CARTESIAN_POINT('',(-0.7,0.45,0.016)); #575803=CARTESIAN_POINT('',(-0.7,0.434,0.)); #575804=CARTESIAN_POINT('Origin',(-0.666,0.434,0.)); #575805=CARTESIAN_POINT('',(-0.65,0.434,0.)); #575806=CARTESIAN_POINT('',(-0.666,0.45,0.)); #575807=CARTESIAN_POINT('Origin',(-0.7,0.434,0.164)); #575808=CARTESIAN_POINT('',(-0.7,0.434,0.18)); #575809=CARTESIAN_POINT('',(-0.7,0.45,0.164)); #575810=CARTESIAN_POINT('Origin',(-0.666,-0.225,0.164)); #575811=CARTESIAN_POINT('',(-0.666,-0.225,0.18)); #575812=CARTESIAN_POINT('',(-0.65,-0.225,0.164)); #575813=CARTESIAN_POINT('Origin',(-0.666,-0.434,0.)); #575814=CARTESIAN_POINT('',(-0.666,-0.45,0.)); #575815=CARTESIAN_POINT('',(-0.65,-0.434,0.)); #575816=CARTESIAN_POINT('Origin',(-0.834,0.225,0.164)); #575817=CARTESIAN_POINT('',(-0.834,0.225,0.18)); #575818=CARTESIAN_POINT('',(-0.85,0.225,0.164)); #575819=CARTESIAN_POINT('Origin',(-0.834,-0.434,0.)); #575820=CARTESIAN_POINT('',(-0.85,-0.434,0.)); #575821=CARTESIAN_POINT('',(-0.834,-0.45,0.)); #575822=CARTESIAN_POINT('Origin',(-0.8,-0.434,0.164)); #575823=CARTESIAN_POINT('',(-0.8,-0.434,0.18)); #575824=CARTESIAN_POINT('',(-0.8,-0.45,0.164)); #575825=CARTESIAN_POINT('Origin',(-0.85,-0.45,0.)); #575826=CARTESIAN_POINT('Origin',(-0.75,0.,0.)); #575827=CARTESIAN_POINT('Origin',(-0.85,0.45,0.)); #575828=CARTESIAN_POINT('Origin',(-0.65,0.45,0.)); #575829=CARTESIAN_POINT('Origin',(-0.65,-0.45,0.)); #575830=CARTESIAN_POINT('Origin',(-0.75,0.,0.18)); #575831=CARTESIAN_POINT('Origin',(0.666,-0.434,0.164)); #575832=CARTESIAN_POINT('',(0.65,-0.434,0.164)); #575833=CARTESIAN_POINT('',(0.666,-0.434,0.18)); #575834=CARTESIAN_POINT('Origin',(0.666,-0.434,0.164)); #575835=CARTESIAN_POINT('',(0.666,-0.45,0.164)); #575836=CARTESIAN_POINT('Origin',(0.666,-0.434,0.164)); #575837=CARTESIAN_POINT('Origin',(0.666,-0.434,0.164)); #575838=CARTESIAN_POINT('Origin',(0.834,-0.434,0.164)); #575839=CARTESIAN_POINT('',(0.834,-0.45,0.164)); #575840=CARTESIAN_POINT('',(0.834,-0.434,0.18)); #575841=CARTESIAN_POINT('Origin',(0.834,-0.434,0.164)); #575842=CARTESIAN_POINT('',(0.85,-0.434,0.164)); #575843=CARTESIAN_POINT('Origin',(0.834,-0.434,0.164)); #575844=CARTESIAN_POINT('Origin',(0.834,-0.434,0.164)); #575845=CARTESIAN_POINT('Origin',(0.666,-0.434,0.016)); #575846=CARTESIAN_POINT('',(0.65,-0.434,0.016)); #575847=CARTESIAN_POINT('',(0.666,-0.45,0.016)); #575848=CARTESIAN_POINT('Origin',(0.666,-0.434,0.016)); #575849=CARTESIAN_POINT('',(0.666,-0.434,0.)); #575850=CARTESIAN_POINT('Origin',(0.666,-0.434,0.016)); #575851=CARTESIAN_POINT('Origin',(0.666,-0.434,0.016)); #575852=CARTESIAN_POINT('Origin',(0.666,0.434,0.164)); #575853=CARTESIAN_POINT('',(0.666,0.45,0.164)); #575854=CARTESIAN_POINT('',(0.666,0.434,0.18)); #575855=CARTESIAN_POINT('Origin',(0.666,0.434,0.164)); #575856=CARTESIAN_POINT('',(0.65,0.434,0.164)); #575857=CARTESIAN_POINT('Origin',(0.666,0.434,0.164)); #575858=CARTESIAN_POINT('Origin',(0.666,0.434,0.164)); #575859=CARTESIAN_POINT('Origin',(0.834,-0.434,0.016)); #575860=CARTESIAN_POINT('',(0.834,-0.45,0.016)); #575861=CARTESIAN_POINT('',(0.85,-0.434,0.016)); #575862=CARTESIAN_POINT('Origin',(0.834,-0.434,0.016)); #575863=CARTESIAN_POINT('',(0.834,-0.434,0.)); #575864=CARTESIAN_POINT('Origin',(0.834,-0.434,0.016)); #575865=CARTESIAN_POINT('Origin',(0.834,-0.434,0.016)); #575866=CARTESIAN_POINT('Origin',(0.7,-0.434,0.016)); #575867=CARTESIAN_POINT('',(0.7,-0.45,0.016)); #575868=CARTESIAN_POINT('',(0.7,-0.434,0.)); #575869=CARTESIAN_POINT('Origin',(0.834,0.434,0.164)); #575870=CARTESIAN_POINT('',(0.85,0.434,0.164)); #575871=CARTESIAN_POINT('',(0.834,0.434,0.18)); #575872=CARTESIAN_POINT('Origin',(0.834,0.434,0.164)); #575873=CARTESIAN_POINT('',(0.834,0.45,0.164)); #575874=CARTESIAN_POINT('Origin',(0.834,0.434,0.164)); #575875=CARTESIAN_POINT('Origin',(0.834,0.434,0.164)); #575876=CARTESIAN_POINT('Origin',(0.666,0.434,0.016)); #575877=CARTESIAN_POINT('',(0.666,0.434,0.)); #575878=CARTESIAN_POINT('',(0.666,0.45,0.016)); #575879=CARTESIAN_POINT('Origin',(0.666,0.434,0.016)); #575880=CARTESIAN_POINT('',(0.65,0.434,0.016)); #575881=CARTESIAN_POINT('Origin',(0.666,0.434,0.016)); #575882=CARTESIAN_POINT('Origin',(0.666,0.434,0.016)); #575883=CARTESIAN_POINT('Origin',(0.666,0.225,0.016)); #575884=CARTESIAN_POINT('',(0.65,0.225,0.016)); #575885=CARTESIAN_POINT('',(0.666,0.225,0.)); #575886=CARTESIAN_POINT('Origin',(0.666,0.434,0.)); #575887=CARTESIAN_POINT('',(0.666,0.45,0.)); #575888=CARTESIAN_POINT('',(0.65,0.434,0.)); #575889=CARTESIAN_POINT('Origin',(0.834,0.434,0.016)); #575890=CARTESIAN_POINT('',(0.85,0.434,0.016)); #575891=CARTESIAN_POINT('',(0.834,0.45,0.016)); #575892=CARTESIAN_POINT('Origin',(0.834,0.434,0.016)); #575893=CARTESIAN_POINT('',(0.834,0.434,0.)); #575894=CARTESIAN_POINT('Origin',(0.834,0.434,0.016)); #575895=CARTESIAN_POINT('Origin',(0.834,0.434,0.016)); #575896=CARTESIAN_POINT('Origin',(0.834,-0.225,0.016)); #575897=CARTESIAN_POINT('',(0.85,-0.225,0.016)); #575898=CARTESIAN_POINT('',(0.834,-0.225,0.)); #575899=CARTESIAN_POINT('Origin',(0.8,0.434,0.016)); #575900=CARTESIAN_POINT('',(0.8,0.45,0.016)); #575901=CARTESIAN_POINT('',(0.8,0.434,0.)); #575902=CARTESIAN_POINT('Origin',(0.834,0.434,0.)); #575903=CARTESIAN_POINT('',(0.85,0.434,0.)); #575904=CARTESIAN_POINT('',(0.834,0.45,0.)); #575905=CARTESIAN_POINT('Origin',(0.8,0.434,0.164)); #575906=CARTESIAN_POINT('',(0.8,0.434,0.18)); #575907=CARTESIAN_POINT('',(0.8,0.45,0.164)); #575908=CARTESIAN_POINT('Origin',(0.834,-0.225,0.164)); #575909=CARTESIAN_POINT('',(0.834,-0.225,0.18)); #575910=CARTESIAN_POINT('',(0.85,-0.225,0.164)); #575911=CARTESIAN_POINT('Origin',(0.834,-0.434,0.)); #575912=CARTESIAN_POINT('',(0.834,-0.45,0.)); #575913=CARTESIAN_POINT('',(0.85,-0.434,0.)); #575914=CARTESIAN_POINT('Origin',(0.666,0.225,0.164)); #575915=CARTESIAN_POINT('',(0.666,0.225,0.18)); #575916=CARTESIAN_POINT('',(0.65,0.225,0.164)); #575917=CARTESIAN_POINT('Origin',(0.666,-0.434,0.)); #575918=CARTESIAN_POINT('',(0.65,-0.434,0.)); #575919=CARTESIAN_POINT('',(0.666,-0.45,0.)); #575920=CARTESIAN_POINT('Origin',(0.7,-0.434,0.164)); #575921=CARTESIAN_POINT('',(0.7,-0.434,0.18)); #575922=CARTESIAN_POINT('',(0.7,-0.45,0.164)); #575923=CARTESIAN_POINT('Origin',(0.65,-0.45,0.)); #575924=CARTESIAN_POINT('Origin',(0.75,0.,0.)); #575925=CARTESIAN_POINT('Origin',(0.65,0.45,0.)); #575926=CARTESIAN_POINT('Origin',(0.85,0.45,0.)); #575927=CARTESIAN_POINT('Origin',(0.85,-0.45,0.)); #575928=CARTESIAN_POINT('Origin',(0.75,0.,0.18)); #575929=CARTESIAN_POINT('Origin',(0.65,-0.45,0.)); #575930=CARTESIAN_POINT('',(0.65,0.45,0.)); #575931=CARTESIAN_POINT('',(0.65,-0.45,0.)); #575932=CARTESIAN_POINT('',(0.65,0.45,0.)); #575933=CARTESIAN_POINT('',(0.65,0.45,0.18)); #575934=CARTESIAN_POINT('',(0.65,0.45,0.)); #575935=CARTESIAN_POINT('',(0.65,-0.45,0.18)); #575936=CARTESIAN_POINT('',(0.65,0.45,0.18)); #575937=CARTESIAN_POINT('',(0.65,-0.45,0.)); #575938=CARTESIAN_POINT('Origin',(-0.65,-0.45,0.)); #575939=CARTESIAN_POINT('',(-0.65,-0.45,0.)); #575940=CARTESIAN_POINT('',(-0.85,-0.45,0.)); #575941=CARTESIAN_POINT('',(-0.65,-0.45,0.18)); #575942=CARTESIAN_POINT('',(-0.85,-0.45,0.18)); #575943=CARTESIAN_POINT('',(-0.65,-0.45,0.)); #575944=CARTESIAN_POINT('Origin',(-0.65,0.45,0.)); #575945=CARTESIAN_POINT('',(-0.65,0.45,0.)); #575946=CARTESIAN_POINT('',(-0.65,0.45,0.)); #575947=CARTESIAN_POINT('',(-0.65,0.45,0.18)); #575948=CARTESIAN_POINT('',(-0.65,0.45,0.18)); #575949=CARTESIAN_POINT('',(-0.65,0.45,0.)); #575950=CARTESIAN_POINT('Origin',(0.65,0.45,0.)); #575951=CARTESIAN_POINT('',(0.85,0.45,0.)); #575952=CARTESIAN_POINT('',(0.85,0.45,0.18)); #575953=CARTESIAN_POINT('Origin',(0.,0.,0.18)); #575954=CARTESIAN_POINT('Origin',(0.,0.,0.)); #575955=CARTESIAN_POINT('Origin',(-1.62579519772896E-18,0.,0.4)); #575956=CARTESIAN_POINT('',(0.565,0.405,0.4)); #575957=CARTESIAN_POINT('',(0.565,-0.405,0.4)); #575958=CARTESIAN_POINT('',(0.565,0.405,0.4)); #575959=CARTESIAN_POINT('',(-0.565,0.405,0.4)); #575960=CARTESIAN_POINT('',(0.765,0.405,0.4)); #575961=CARTESIAN_POINT('',(-0.565,-0.405,0.4)); #575962=CARTESIAN_POINT('',(-0.565,0.405,0.4)); #575963=CARTESIAN_POINT('',(-0.765,-0.405,0.4)); #575964=CARTESIAN_POINT('Origin',(1.29029018874308E-18,0.,0.18)); #575965=CARTESIAN_POINT('',(0.65,0.45,0.18)); #575966=CARTESIAN_POINT('',(0.65,-0.45,0.18)); #575967=CARTESIAN_POINT('',(0.65,0.45,0.18)); #575968=CARTESIAN_POINT('',(-0.65,-0.45,0.18)); #575969=CARTESIAN_POINT('',(-0.85,-0.45,0.18)); #575970=CARTESIAN_POINT('',(-0.65,0.45,0.18)); #575971=CARTESIAN_POINT('',(-0.65,0.45,0.18)); #575972=CARTESIAN_POINT('',(0.85,0.45,0.18)); #575973=CARTESIAN_POINT('Origin',(0.65,0.45,0.18)); #575974=CARTESIAN_POINT('',(0.65,0.45,0.18)); #575975=CARTESIAN_POINT('',(0.65,-0.45,0.18)); #575976=CARTESIAN_POINT('Origin',(0.65,-0.45,0.18)); #575977=CARTESIAN_POINT('',(-0.65,-0.45,0.18)); #575978=CARTESIAN_POINT('Origin',(-0.65,-0.45,0.18)); #575979=CARTESIAN_POINT('',(-0.65,0.45,0.18)); #575980=CARTESIAN_POINT('Origin',(-0.65,0.45,0.18)); #575981=CARTESIAN_POINT('Origin',(-0.085,-0.045,0.18)); #575982=CARTESIAN_POINT('',(-0.085,-0.045,0.18)); #575983=CARTESIAN_POINT('',(0.085,-0.045,0.18)); #575984=CARTESIAN_POINT('',(-0.085,-0.045,0.18)); #575985=CARTESIAN_POINT('',(0.085,-0.045,0.198)); #575986=CARTESIAN_POINT('',(0.085,-0.045,0.18)); #575987=CARTESIAN_POINT('',(-0.085,-0.045,0.198)); #575988=CARTESIAN_POINT('',(-0.085,-0.045,0.198)); #575989=CARTESIAN_POINT('',(-0.085,-0.045,0.18)); #575990=CARTESIAN_POINT('Origin',(-0.085,0.045,0.18)); #575991=CARTESIAN_POINT('',(-0.085,0.045,0.18)); #575992=CARTESIAN_POINT('',(-0.085,0.045,0.18)); #575993=CARTESIAN_POINT('',(-0.085,0.045,0.198)); #575994=CARTESIAN_POINT('',(-0.085,0.045,0.198)); #575995=CARTESIAN_POINT('',(-0.085,0.045,0.18)); #575996=CARTESIAN_POINT('Origin',(0.085,0.045,0.18)); #575997=CARTESIAN_POINT('',(0.085,0.045,0.18)); #575998=CARTESIAN_POINT('',(0.085,0.045,0.18)); #575999=CARTESIAN_POINT('',(0.085,0.045,0.198)); #576000=CARTESIAN_POINT('',(0.085,0.045,0.198)); #576001=CARTESIAN_POINT('',(0.085,0.045,0.18)); #576002=CARTESIAN_POINT('Origin',(0.085,-0.045,0.18)); #576003=CARTESIAN_POINT('',(0.085,-0.045,0.18)); #576004=CARTESIAN_POINT('',(0.085,-0.045,0.198)); #576005=CARTESIAN_POINT('Origin',(0.,0.,0.198)); #576006=CARTESIAN_POINT('Origin',(0.,0.,0.18)); #576007=CARTESIAN_POINT('',(0.,0.,0.)); #576008=CARTESIAN_POINT('',(0.,0.,0.)); #576009=CARTESIAN_POINT('',(0.,0.,0.)); #576010=CARTESIAN_POINT('',(0.,0.,0.)); #576011=CARTESIAN_POINT('',(0.,0.,0.)); #576012=CARTESIAN_POINT('',(0.,0.,0.)); #576013=CARTESIAN_POINT('',(0.,0.,0.)); #576014=CARTESIAN_POINT('',(0.,0.,0.)); #576015=CARTESIAN_POINT('',(0.,0.,0.)); #576016=CARTESIAN_POINT('',(0.,0.,0.)); #576017=CARTESIAN_POINT('',(0.,0.,0.)); #576018=CARTESIAN_POINT('',(0.,0.,0.)); #576019=CARTESIAN_POINT('',(0.,0.,0.)); #576020=CARTESIAN_POINT('',(0.,0.,0.)); #576021=CARTESIAN_POINT('',(0.,0.,0.)); #576022=CARTESIAN_POINT('',(0.,0.,0.)); #576023=CARTESIAN_POINT('',(0.,0.,0.)); #576024=CARTESIAN_POINT('',(0.,0.,0.)); #576025=CARTESIAN_POINT('',(0.,0.,0.)); #576026=CARTESIAN_POINT('',(0.,0.,0.)); #576027=CARTESIAN_POINT('',(0.,0.,0.)); #576028=CARTESIAN_POINT('',(0.,0.,0.)); #576029=CARTESIAN_POINT('',(0.,0.,0.)); #576030=CARTESIAN_POINT('',(0.,0.,0.)); #576031=CARTESIAN_POINT('',(0.,0.,0.)); #576032=CARTESIAN_POINT('',(0.,0.,0.)); #576033=CARTESIAN_POINT('',(0.,0.,0.)); #576034=CARTESIAN_POINT('',(0.,0.,0.)); #576035=CARTESIAN_POINT('',(0.,0.,0.)); #576036=CARTESIAN_POINT('Origin',(-3.6,2.58533538461547,-1.04)); #576037=CARTESIAN_POINT('',(3.32213604519991,2.42,-0.869999999999998)); #576038=CARTESIAN_POINT('',(3.32213604519991,2.47,-0.921410652473266)); #576039=CARTESIAN_POINT('',(3.32213604519991,2.58533538461547,-1.04)); #576040=CARTESIAN_POINT('',(3.6,2.42,-0.869999999999998)); #576041=CARTESIAN_POINT('',(3.6,2.42,-0.869999999999998)); #576042=CARTESIAN_POINT('',(3.6,2.58533538461547,-1.04)); #576043=CARTESIAN_POINT('',(3.6,2.58533538461547,-1.04)); #576044=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.04)); #576045=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.04)); #576046=CARTESIAN_POINT('',(-3.6,2.42,-0.869999999999999)); #576047=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.04)); #576048=CARTESIAN_POINT('',(-3.32213604519992,2.42,-0.869999999999999)); #576049=CARTESIAN_POINT('',(-3.6,2.42,-0.869999999999999)); #576050=CARTESIAN_POINT('',(-3.32213604519992,2.47,-0.921410652473267)); #576051=CARTESIAN_POINT('',(-3.32213604519992,2.58533538461547,-1.04)); #576052=CARTESIAN_POINT('',(-3.1,2.47,-0.921410652473267)); #576053=CARTESIAN_POINT('',(-3.6,2.47,-0.921410652473267)); #576054=CARTESIAN_POINT('',(-3.1,2.42,-0.869999999999998)); #576055=CARTESIAN_POINT('',(-3.1,2.58533538461547,-1.04)); #576056=CARTESIAN_POINT('',(-0.17,2.42,-0.869999999999999)); #576057=CARTESIAN_POINT('',(-0.17,2.42,-0.869999999999998)); #576058=CARTESIAN_POINT('',(-0.17,2.47,-0.921410652473266)); #576059=CARTESIAN_POINT('',(-0.17,2.58533538461547,-1.04)); #576060=CARTESIAN_POINT('',(0.17,2.47,-0.921410652473266)); #576061=CARTESIAN_POINT('',(-3.6,2.47,-0.921410652473267)); #576062=CARTESIAN_POINT('',(0.17,2.42,-0.869999999999999)); #576063=CARTESIAN_POINT('',(0.17,2.58533538461547,-1.04)); #576064=CARTESIAN_POINT('',(3.1,2.42,-0.869999999999998)); #576065=CARTESIAN_POINT('',(3.1,2.42,-0.869999999999998)); #576066=CARTESIAN_POINT('',(3.1,2.47,-0.921410652473266)); #576067=CARTESIAN_POINT('',(3.1,2.58533538461547,-1.04)); #576068=CARTESIAN_POINT('',(-3.6,2.47,-0.921410652473267)); #576069=CARTESIAN_POINT('Origin',(3.345,-1.58,-0.15)); #576070=CARTESIAN_POINT('',(3.345,-0.38,0.15)); #576071=CARTESIAN_POINT('',(3.345,0.,0.15)); #576072=CARTESIAN_POINT('',(3.345,-1.58,0.15)); #576073=CARTESIAN_POINT('',(3.345,-0.38,-0.149999999999999)); #576074=CARTESIAN_POINT('',(3.345,-0.38,-10.8234987569897)); #576075=CARTESIAN_POINT('',(3.345,0.,-0.15)); #576076=CARTESIAN_POINT('',(3.345,-1.58,-0.15)); #576077=CARTESIAN_POINT('',(3.345,0.,-0.15)); #576078=CARTESIAN_POINT('Origin',(-2.895,-1.58,-0.15)); #576079=CARTESIAN_POINT('',(-3.345,-0.38,-0.15)); #576080=CARTESIAN_POINT('',(-3.145,-0.38,-0.524165738677395)); #576081=CARTESIAN_POINT('Origin',(-2.895,-0.38,-0.15)); #576082=CARTESIAN_POINT('',(-3.345,0.,-0.15)); #576083=CARTESIAN_POINT('',(-3.345,-1.58,-0.15)); #576084=CARTESIAN_POINT('',(-2.895,0.,-0.6)); #576085=CARTESIAN_POINT('Origin',(-2.895,0.,-0.15)); #576086=CARTESIAN_POINT('',(-2.895,-1.35,-0.6)); #576087=CARTESIAN_POINT('',(-2.895,-1.58,-0.6)); #576088=CARTESIAN_POINT('',(-3.345,-1.35,-0.15)); #576089=CARTESIAN_POINT('Origin',(-2.895,-1.35,-0.15)); #576090=CARTESIAN_POINT('',(-3.345,-1.12,-0.150000000000001)); #576091=CARTESIAN_POINT('',(-3.345,-1.58,-0.15)); #576092=CARTESIAN_POINT('',(-3.145,-1.12,-0.524165738677395)); #576093=CARTESIAN_POINT('Origin',(-2.895,-1.12,-0.15)); #576094=CARTESIAN_POINT('',(-3.145,-1.58,-0.524165738677395)); #576095=CARTESIAN_POINT('Origin',(-2.895,-1.58,0.15)); #576096=CARTESIAN_POINT('',(-3.145,-0.38,0.524165738677395)); #576097=CARTESIAN_POINT('',(-3.345,-0.38,0.150000000000001)); #576098=CARTESIAN_POINT('Origin',(-2.895,-0.38,0.15)); #576099=CARTESIAN_POINT('',(-3.145,-1.12,0.524165738677395)); #576100=CARTESIAN_POINT('',(-3.145,-1.58,0.524165738677395)); #576101=CARTESIAN_POINT('',(-3.345,-1.12,0.150000000000001)); #576102=CARTESIAN_POINT('Origin',(-2.895,-1.12,0.15)); #576103=CARTESIAN_POINT('',(-3.345,-1.35,0.15)); #576104=CARTESIAN_POINT('',(-3.345,-1.58,0.15)); #576105=CARTESIAN_POINT('',(-2.895,-1.35,0.6)); #576106=CARTESIAN_POINT('Origin',(-2.895,-1.35,0.15)); #576107=CARTESIAN_POINT('',(-2.895,0.,0.6)); #576108=CARTESIAN_POINT('',(-2.895,-1.58,0.6)); #576109=CARTESIAN_POINT('',(-3.345,0.,0.15)); #576110=CARTESIAN_POINT('Origin',(-2.895,0.,0.15)); #576111=CARTESIAN_POINT('',(-3.345,-1.58,0.15)); #576112=CARTESIAN_POINT('Origin',(-3.345,-1.58,0.15)); #576113=CARTESIAN_POINT('',(-3.345,-0.38,0.15)); #576114=CARTESIAN_POINT('',(-3.345,0.,0.15)); #576115=CARTESIAN_POINT('Origin',(-3.345,-1.58,0.15)); #576116=CARTESIAN_POINT('',(-3.345,-1.12,0.15)); #576117=CARTESIAN_POINT('',(-3.345,-1.35,0.15)); #576118=CARTESIAN_POINT('Origin',(3.19,2.42,1.26)); #576119=CARTESIAN_POINT('',(-3.1,1.855,1.26)); #576120=CARTESIAN_POINT('',(3.1,1.855,1.26)); #576121=CARTESIAN_POINT('',(3.1,1.855,1.26)); #576122=CARTESIAN_POINT('',(-3.1,2.22,1.26)); #576123=CARTESIAN_POINT('',(-3.1,1.855,1.26)); #576124=CARTESIAN_POINT('',(-3.19,2.22,1.26)); #576125=CARTESIAN_POINT('',(-3.19,2.22,1.26)); #576126=CARTESIAN_POINT('',(-3.19,0.150000000000001,1.26)); #576127=CARTESIAN_POINT('',(-3.19,2.42,1.26)); #576128=CARTESIAN_POINT('',(-2.65,0.15,1.26)); #576129=CARTESIAN_POINT('',(3.19,0.15,1.26)); #576130=CARTESIAN_POINT('',(-2.65,0.55,1.26)); #576131=CARTESIAN_POINT('',(-2.65,0.55,1.26)); #576132=CARTESIAN_POINT('',(-1.35,0.55,1.26)); #576133=CARTESIAN_POINT('',(-2.65,0.55,1.26)); #576134=CARTESIAN_POINT('',(-1.35,0.15,1.26)); #576135=CARTESIAN_POINT('',(-1.35,0.55,1.26)); #576136=CARTESIAN_POINT('',(1.35,0.15,1.26)); #576137=CARTESIAN_POINT('',(3.19,0.15,1.26)); #576138=CARTESIAN_POINT('',(1.35,0.55,1.26)); #576139=CARTESIAN_POINT('',(1.35,0.55,1.26)); #576140=CARTESIAN_POINT('',(2.65,0.55,1.26)); #576141=CARTESIAN_POINT('',(2.65,0.55,1.26)); #576142=CARTESIAN_POINT('',(2.65,0.15,1.26)); #576143=CARTESIAN_POINT('',(2.65,0.55,1.26)); #576144=CARTESIAN_POINT('',(3.19,0.150000000000001,1.26)); #576145=CARTESIAN_POINT('',(3.19,0.15,1.26)); #576146=CARTESIAN_POINT('',(3.19,2.22,1.26)); #576147=CARTESIAN_POINT('',(3.19,2.42,1.26)); #576148=CARTESIAN_POINT('',(3.1,2.22,1.26)); #576149=CARTESIAN_POINT('',(-3.19,2.22,1.26)); #576150=CARTESIAN_POINT('',(3.1,1.855,1.26)); #576151=CARTESIAN_POINT('Origin',(3.19,2.22,1.26)); #576152=CARTESIAN_POINT('',(3.1,2.47,1.01)); #576153=CARTESIAN_POINT('',(3.1,2.22,1.26)); #576154=CARTESIAN_POINT('',(3.19,2.47,1.01)); #576155=CARTESIAN_POINT('',(3.19,2.42,1.06)); #576156=CARTESIAN_POINT('',(3.19,2.47,1.01)); #576157=CARTESIAN_POINT('Origin',(0.,2.355,0.)); #576158=CARTESIAN_POINT('',(3.1,2.355,-0.0400000000000008)); #576159=CARTESIAN_POINT('',(2.72229406209047,2.355,-0.0400000000000008)); #576160=CARTESIAN_POINT('',(3.1,2.355,-0.0400000000000008)); #576161=CARTESIAN_POINT('',(3.1,2.355,-0.869999999999998)); #576162=CARTESIAN_POINT('',(3.1,2.355,1.26)); #576163=CARTESIAN_POINT('',(0.17,2.355,-0.869999999999998)); #576164=CARTESIAN_POINT('',(3.1,2.355,-0.869999999999998)); #576165=CARTESIAN_POINT('',(0.17,2.355,0.369999999999999)); #576166=CARTESIAN_POINT('',(0.17,2.355,-0.869999999999998)); #576167=CARTESIAN_POINT('',(0.379348936836007,2.355,0.369999999999999)); #576168=CARTESIAN_POINT('',(0.379348936836007,2.355,0.369999999999999)); #576169=CARTESIAN_POINT('',(0.449879729119392,2.355,-0.0300000000000006)); #576170=CARTESIAN_POINT('',(0.449879729119392,2.355,-0.0300000000000006)); #576171=CARTESIAN_POINT('',(1.05946920771661,2.355,-0.0300000000000006)); #576172=CARTESIAN_POINT('',(1.05946920771661,2.355,-0.0300000000000006)); #576173=CARTESIAN_POINT('',(1.13,2.355,0.369999999999999)); #576174=CARTESIAN_POINT('',(1.13,2.355,0.369999999999999)); #576175=CARTESIAN_POINT('',(1.37,2.355,0.369999999999999)); #576176=CARTESIAN_POINT('',(1.37,2.355,0.369999999999999)); #576177=CARTESIAN_POINT('',(1.47226964881091,2.355,-0.21)); #576178=CARTESIAN_POINT('',(1.47226964881091,2.355,-0.21)); #576179=CARTESIAN_POINT('',(1.89226964881091,2.355,-0.21)); #576180=CARTESIAN_POINT('',(1.89226964881091,2.355,-0.21)); #576181=CARTESIAN_POINT('',(1.89226964881091,2.355,-0.358466407912088)); #576182=CARTESIAN_POINT('',(1.89226964881091,2.355,-0.358466407912088)); #576183=CARTESIAN_POINT('',(1.98427092032134,2.355,-0.508466407912088)); #576184=CARTESIAN_POINT('',(1.98427092032134,2.355,-0.508466407912088)); #576185=CARTESIAN_POINT('',(2.04754028675967,2.355,-0.508466407912088)); #576186=CARTESIAN_POINT('',(2.04754028675967,2.355,-0.508466407912088)); #576187=CARTESIAN_POINT('',(2.1395415582701,2.355,-0.358466407912088)); #576188=CARTESIAN_POINT('',(2.1395415582701,2.355,-0.358466407912088)); #576189=CARTESIAN_POINT('',(2.1395415582701,2.355,-0.21)); #576190=CARTESIAN_POINT('',(2.1395415582701,2.355,-0.21)); #576191=CARTESIAN_POINT('',(2.41,2.355,0.369999999999999)); #576192=CARTESIAN_POINT('',(2.41,2.355,0.369999999999999)); #576193=CARTESIAN_POINT('',(2.65,2.355,0.369999999999999)); #576194=CARTESIAN_POINT('',(2.65,2.355,0.369999999999999)); #576195=CARTESIAN_POINT('',(2.72229406209047,2.355,-0.0400000000000008)); #576196=CARTESIAN_POINT('Origin',(-3.19,2.42,-0.3)); #576197=CARTESIAN_POINT('',(3.6,2.42,0.939921870231046)); #576198=CARTESIAN_POINT('',(3.6,2.42,1.25086280059687)); #576199=CARTESIAN_POINT('',(3.95,2.42,0.299999999999999)); #576200=CARTESIAN_POINT('Origin',(3.19,2.42,0.299999999999999)); #576201=CARTESIAN_POINT('',(3.95,2.42,-1.26)); #576202=CARTESIAN_POINT('',(3.95,2.42,-1.26)); #576203=CARTESIAN_POINT('',(3.6,2.42,-1.26)); #576204=CARTESIAN_POINT('',(-3.19,2.42,-1.26)); #576205=CARTESIAN_POINT('',(3.6,2.42,-1.26)); #576206=CARTESIAN_POINT('Origin',(-3.19,2.42,-0.3)); #576207=CARTESIAN_POINT('',(-3.95,2.42,0.3)); #576208=CARTESIAN_POINT('',(-3.6,2.42,0.939921870231047)); #576209=CARTESIAN_POINT('Origin',(-3.19,2.42,0.3)); #576210=CARTESIAN_POINT('',(-3.6,2.42,1.25086280059687)); #576211=CARTESIAN_POINT('',(-3.6,2.42,-1.26)); #576212=CARTESIAN_POINT('',(-3.6,2.42,-1.26)); #576213=CARTESIAN_POINT('',(-3.95,2.42,-1.26)); #576214=CARTESIAN_POINT('',(-3.19,2.42,-1.26)); #576215=CARTESIAN_POINT('',(-3.95,2.42,0.3)); #576216=CARTESIAN_POINT('Origin',(-3.19,2.22,0.3)); #576217=CARTESIAN_POINT('',(-3.6,2.355,1.01590851370828)); #576218=CARTESIAN_POINT('',(-3.32213604519992,2.355,1.11434947384948)); #576219=CARTESIAN_POINT('Origin',(-3.19,2.355,0.3)); #576220=CARTESIAN_POINT('Ctrl Pts',(-3.6,2.35500000000019,1.01590851370843)); #576221=CARTESIAN_POINT('Ctrl Pts',(-3.6,2.37685164369348,0.990727075435561)); #576222=CARTESIAN_POINT('Ctrl Pts',(-3.6,2.39853659842912,0.965412771468694)); #576223=CARTESIAN_POINT('Ctrl Pts',(-3.6,2.42,0.939921870231046)); #576224=CARTESIAN_POINT('',(-4.15,2.22,0.3)); #576225=CARTESIAN_POINT('',(-3.95,2.42,0.3)); #576226=CARTESIAN_POINT('Origin',(-3.19,2.22,0.3)); #576227=CARTESIAN_POINT('',(-3.19,2.47,1.01)); #576228=CARTESIAN_POINT('',(-3.19,2.42,1.06)); #576229=CARTESIAN_POINT('',(-3.32213604519992,2.47,0.997595918536602)); #576230=CARTESIAN_POINT('Origin',(-3.19,2.47,0.3)); #576231=CARTESIAN_POINT('Ctrl Pts',(-3.32213604519992,2.47,0.997595918536603)); #576232=CARTESIAN_POINT('Ctrl Pts',(-3.32213604519992,2.43171513226147, 1.03656153655711)); #576233=CARTESIAN_POINT('Ctrl Pts',(-3.32213604519992,2.39337494808504, 1.07547263634076)); #576234=CARTESIAN_POINT('Ctrl Pts',(-3.32213604519992,2.355,1.11434947384948)); #576235=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #576236=CARTESIAN_POINT('',(-0.13,2.19497564091726,-1.56)); #576237=CARTESIAN_POINT('',(-0.13,2.58533538461547,-1.56)); #576238=CARTESIAN_POINT('',(-0.13,2.08533538461547,-1.56)); #576239=CARTESIAN_POINT('',(-0.37,2.19497564091726,-1.56)); #576240=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #576241=CARTESIAN_POINT('',(-0.37,2.58533538461547,-1.56)); #576242=CARTESIAN_POINT('',(-0.37,2.08533538461547,-1.56)); #576243=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #576244=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #576245=CARTESIAN_POINT('',(-0.63,2.19497564091726,-1.56)); #576246=CARTESIAN_POINT('',(-0.63,2.58533538461547,-1.56)); #576247=CARTESIAN_POINT('',(-0.63,2.08533538461547,-1.56)); #576248=CARTESIAN_POINT('',(-0.87,2.19497564091726,-1.56)); #576249=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #576250=CARTESIAN_POINT('',(-0.87,2.58533538461547,-1.56)); #576251=CARTESIAN_POINT('',(-0.87,2.08533538461547,-1.56)); #576252=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #576253=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #576254=CARTESIAN_POINT('',(-1.13,2.19497564091726,-1.56)); #576255=CARTESIAN_POINT('',(-1.13,2.58533538461547,-1.56)); #576256=CARTESIAN_POINT('',(-1.13,2.08533538461547,-1.56)); #576257=CARTESIAN_POINT('',(-1.37149064031341,2.19497564091726,-1.56)); #576258=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #576259=CARTESIAN_POINT('',(-1.37149064031341,2.58533538461547,-1.56)); #576260=CARTESIAN_POINT('',(-1.37149064031341,2.08533538461547,-1.56)); #576261=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #576262=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #576263=CARTESIAN_POINT('',(-1.63149064031341,2.19497564091726,-1.56)); #576264=CARTESIAN_POINT('',(-1.63149064031341,2.58533538461547,-1.56)); #576265=CARTESIAN_POINT('',(-1.63149064031341,2.08533538461547,-1.56)); #576266=CARTESIAN_POINT('',(-1.87149064031341,2.19497564091726,-1.56)); #576267=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #576268=CARTESIAN_POINT('',(-1.87149064031341,2.58533538461547,-1.56)); #576269=CARTESIAN_POINT('',(-1.87149064031341,2.08533538461547,-1.56)); #576270=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #576271=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #576272=CARTESIAN_POINT('',(-2.15,2.19497564091726,-1.56)); #576273=CARTESIAN_POINT('',(-2.15,2.58533538461547,-1.56)); #576274=CARTESIAN_POINT('',(-2.15,2.08533538461547,-1.56)); #576275=CARTESIAN_POINT('',(-2.65,2.19497564091726,-1.56)); #576276=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #576277=CARTESIAN_POINT('',(-2.65,2.58533538461547,-1.56)); #576278=CARTESIAN_POINT('',(-2.65,2.08533538461547,-1.56)); #576279=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #576280=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #576281=CARTESIAN_POINT('',(-2.95,2.19497564091726,-1.56)); #576282=CARTESIAN_POINT('',(-2.95,2.58533538461547,-1.56)); #576283=CARTESIAN_POINT('',(-2.95,2.08533538461547,-1.56)); #576284=CARTESIAN_POINT('',(-3.45,2.19497564091726,-1.56)); #576285=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #576286=CARTESIAN_POINT('',(-3.45,2.58533538461547,-1.56)); #576287=CARTESIAN_POINT('',(-3.45,2.08533538461547,-1.56)); #576288=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #576289=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #576290=CARTESIAN_POINT('',(0.37,2.19497564091726,-1.56)); #576291=CARTESIAN_POINT('',(0.37,2.58533538461547,-1.56)); #576292=CARTESIAN_POINT('',(0.37,2.08533538461547,-1.56)); #576293=CARTESIAN_POINT('',(0.13,2.19497564091726,-1.56)); #576294=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #576295=CARTESIAN_POINT('',(0.13,2.58533538461547,-1.56)); #576296=CARTESIAN_POINT('',(0.13,2.08533538461547,-1.56)); #576297=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #576298=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #576299=CARTESIAN_POINT('',(0.87,2.19497564091726,-1.56)); #576300=CARTESIAN_POINT('',(0.87,2.58533538461547,-1.56)); #576301=CARTESIAN_POINT('',(0.87,2.08533538461547,-1.56)); #576302=CARTESIAN_POINT('',(0.63,2.19497564091726,-1.56)); #576303=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #576304=CARTESIAN_POINT('',(0.63,2.58533538461547,-1.56)); #576305=CARTESIAN_POINT('',(0.63,2.08533538461547,-1.56)); #576306=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #576307=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #576308=CARTESIAN_POINT('',(1.37149064031341,2.19497564091726,-1.56)); #576309=CARTESIAN_POINT('',(1.37149064031341,2.58533538461547,-1.56)); #576310=CARTESIAN_POINT('',(1.37149064031341,2.08533538461547,-1.56)); #576311=CARTESIAN_POINT('',(1.13,2.19497564091726,-1.56)); #576312=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #576313=CARTESIAN_POINT('',(1.13,2.58533538461547,-1.56)); #576314=CARTESIAN_POINT('',(1.13,2.08533538461547,-1.56)); #576315=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #576316=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #576317=CARTESIAN_POINT('',(1.87149064031341,2.19497564091726,-1.56)); #576318=CARTESIAN_POINT('',(1.87149064031341,2.58533538461547,-1.56)); #576319=CARTESIAN_POINT('',(1.87149064031341,2.08533538461547,-1.56)); #576320=CARTESIAN_POINT('',(1.63149064031341,2.19497564091726,-1.56)); #576321=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #576322=CARTESIAN_POINT('',(1.63149064031341,2.58533538461547,-1.56)); #576323=CARTESIAN_POINT('',(1.63149064031341,2.08533538461547,-1.56)); #576324=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #576325=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #576326=CARTESIAN_POINT('',(2.65,2.19497564091726,-1.56)); #576327=CARTESIAN_POINT('',(2.65,2.58533538461547,-1.56)); #576328=CARTESIAN_POINT('',(2.65,2.08533538461547,-1.56)); #576329=CARTESIAN_POINT('',(2.15,2.19497564091726,-1.56)); #576330=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #576331=CARTESIAN_POINT('',(2.15,2.58533538461547,-1.56)); #576332=CARTESIAN_POINT('',(2.15,2.08533538461547,-1.56)); #576333=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #576334=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #576335=CARTESIAN_POINT('',(3.45,2.19497564091726,-1.56)); #576336=CARTESIAN_POINT('',(3.45,2.58533538461547,-1.56)); #576337=CARTESIAN_POINT('',(3.45,2.08533538461547,-1.56)); #576338=CARTESIAN_POINT('',(2.95,2.19497564091726,-1.56)); #576339=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #576340=CARTESIAN_POINT('',(2.95,2.58533538461547,-1.56)); #576341=CARTESIAN_POINT('',(2.95,2.08533538461547,-1.56)); #576342=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #576343=CARTESIAN_POINT('Origin',(2.95,2.08533538461547,-1.56)); #576344=CARTESIAN_POINT('',(2.95,2.17733538461547,-1.46)); #576345=CARTESIAN_POINT('',(2.95,2.19166683333174,-1.54124285991705)); #576346=CARTESIAN_POINT('',(2.95,2.58533538461547,-1.46)); #576347=CARTESIAN_POINT('',(2.95,2.08533538461547,-1.46)); #576348=CARTESIAN_POINT('',(2.95,2.58533538461547,-1.56)); #576349=CARTESIAN_POINT('Origin',(2.95,2.08533538461547,-1.46)); #576350=CARTESIAN_POINT('',(2.9,2.12733538461547,-1.41)); #576351=CARTESIAN_POINT('',(2.91933333333333,2.14666871794881,-1.42933333333333)); #576352=CARTESIAN_POINT('',(2.9,2.58533538461547,-1.41)); #576353=CARTESIAN_POINT('',(2.9,2.08533538461547,-1.41)); #576354=CARTESIAN_POINT('',(2.95,2.58533538461547,-1.46)); #576355=CARTESIAN_POINT('Origin',(2.7,2.08533538461547,-1.41)); #576356=CARTESIAN_POINT('',(2.7,2.12733538461547,-1.41)); #576357=CARTESIAN_POINT('',(2.7,2.58533538461547,-1.41)); #576358=CARTESIAN_POINT('',(2.7,2.08533538461547,-1.41)); #576359=CARTESIAN_POINT('',(2.65,2.17733538461547,-1.46)); #576360=CARTESIAN_POINT('',(2.714,2.11333538461547,-1.396)); #576361=CARTESIAN_POINT('',(2.65,2.58533538461547,-1.46)); #576362=CARTESIAN_POINT('',(2.65,2.08533538461547,-1.46)); #576363=CARTESIAN_POINT('',(2.7,2.58533538461547,-1.41)); #576364=CARTESIAN_POINT('Origin',(2.65,2.08533538461547,-1.46)); #576365=CARTESIAN_POINT('',(2.65,2.17455893818844,-1.44426073646817)); #576366=CARTESIAN_POINT('',(2.65,2.58533538461547,-1.46)); #576367=CARTESIAN_POINT('Origin',(2.15,2.08533538461547,-1.56)); #576368=CARTESIAN_POINT('',(2.15,2.17733538461547,-1.46)); #576369=CARTESIAN_POINT('',(2.15,2.19166683333174,-1.54124285991705)); #576370=CARTESIAN_POINT('',(2.15,2.58533538461547,-1.46)); #576371=CARTESIAN_POINT('',(2.15,2.08533538461547,-1.46)); #576372=CARTESIAN_POINT('',(2.15,2.58533538461547,-1.56)); #576373=CARTESIAN_POINT('Origin',(2.15,2.08533538461547,-1.46)); #576374=CARTESIAN_POINT('',(2.1,2.12733538461547,-1.41)); #576375=CARTESIAN_POINT('',(2.11933333333333,2.1466687179488,-1.42933333333333)); #576376=CARTESIAN_POINT('',(2.1,2.58533538461547,-1.41)); #576377=CARTESIAN_POINT('',(2.1,2.08533538461547,-1.41)); #576378=CARTESIAN_POINT('',(2.15,2.58533538461547,-1.46)); #576379=CARTESIAN_POINT('Origin',(1.92149064031341,2.08533538461547,-1.41)); #576380=CARTESIAN_POINT('',(1.92149064031341,2.12733538461547,-1.41)); #576381=CARTESIAN_POINT('',(1.92149064031341,2.58533538461547,-1.41)); #576382=CARTESIAN_POINT('',(1.92149064031341,2.08533538461547,-1.41)); #576383=CARTESIAN_POINT('',(1.87149064031341,2.17733538461547,-1.46)); #576384=CARTESIAN_POINT('',(1.93549064031341,2.11333538461547,-1.396)); #576385=CARTESIAN_POINT('',(1.87149064031341,2.58533538461547,-1.46)); #576386=CARTESIAN_POINT('',(1.87149064031341,2.08533538461547,-1.46)); #576387=CARTESIAN_POINT('',(1.92149064031341,2.58533538461547,-1.41)); #576388=CARTESIAN_POINT('Origin',(1.87149064031341,2.08533538461547,-1.46)); #576389=CARTESIAN_POINT('',(1.87149064031341,2.17455893818844,-1.44426073646817)); #576390=CARTESIAN_POINT('',(1.87149064031341,2.58533538461547,-1.46)); #576391=CARTESIAN_POINT('Origin',(1.63149064031341,2.08533538461547,-1.56)); #576392=CARTESIAN_POINT('',(1.63149064031341,2.17733538461547,-1.46)); #576393=CARTESIAN_POINT('',(1.63149064031341,2.19166683333174,-1.54124285991705)); #576394=CARTESIAN_POINT('',(1.63149064031341,2.58533538461547,-1.46)); #576395=CARTESIAN_POINT('',(1.63149064031341,2.08533538461547,-1.46)); #576396=CARTESIAN_POINT('',(1.63149064031341,2.58533538461547,-1.56)); #576397=CARTESIAN_POINT('Origin',(1.63149064031341,2.08533538461547,-1.46)); #576398=CARTESIAN_POINT('',(1.58149064031341,2.12733538461547,-1.41)); #576399=CARTESIAN_POINT('',(1.60082397364674,2.1466687179488,-1.42933333333333)); #576400=CARTESIAN_POINT('',(1.58149064031341,2.58533538461547,-1.41)); #576401=CARTESIAN_POINT('',(1.58149064031341,2.08533538461547,-1.41)); #576402=CARTESIAN_POINT('',(1.63149064031341,2.58533538461547,-1.46)); #576403=CARTESIAN_POINT('Origin',(1.42149064031341,2.08533538461547,-1.41)); #576404=CARTESIAN_POINT('',(1.42149064031341,2.12733538461547,-1.41)); #576405=CARTESIAN_POINT('',(1.42149064031341,2.58533538461547,-1.41)); #576406=CARTESIAN_POINT('',(1.42149064031341,2.08533538461547,-1.41)); #576407=CARTESIAN_POINT('',(1.37149064031341,2.17733538461547,-1.46)); #576408=CARTESIAN_POINT('',(1.43549064031341,2.11333538461547,-1.396)); #576409=CARTESIAN_POINT('',(1.37149064031341,2.58533538461547,-1.46)); #576410=CARTESIAN_POINT('',(1.37149064031341,2.08533538461547,-1.46)); #576411=CARTESIAN_POINT('',(1.42149064031341,2.58533538461547,-1.41)); #576412=CARTESIAN_POINT('Origin',(1.37149064031341,2.08533538461547,-1.46)); #576413=CARTESIAN_POINT('',(1.37149064031341,2.17455893818844,-1.44426073646817)); #576414=CARTESIAN_POINT('',(1.37149064031341,2.58533538461547,-1.46)); #576415=CARTESIAN_POINT('Origin',(1.13,2.08533538461547,-1.56)); #576416=CARTESIAN_POINT('',(1.13,2.17733538461547,-1.46)); #576417=CARTESIAN_POINT('',(1.13,2.19166683333174,-1.54124285991705)); #576418=CARTESIAN_POINT('',(1.13,2.58533538461547,-1.46)); #576419=CARTESIAN_POINT('',(1.13,2.08533538461547,-1.46)); #576420=CARTESIAN_POINT('',(1.13,2.58533538461547,-1.56)); #576421=CARTESIAN_POINT('Origin',(1.13,2.08533538461547,-1.46)); #576422=CARTESIAN_POINT('',(1.08,2.12733538461547,-1.41)); #576423=CARTESIAN_POINT('',(1.09933333333333,2.1466687179488,-1.42933333333333)); #576424=CARTESIAN_POINT('',(1.08,2.58533538461547,-1.41)); #576425=CARTESIAN_POINT('',(1.08,2.08533538461547,-1.41)); #576426=CARTESIAN_POINT('',(1.13,2.58533538461547,-1.46)); #576427=CARTESIAN_POINT('Origin',(0.92,2.08533538461547,-1.41)); #576428=CARTESIAN_POINT('',(0.92,2.12733538461547,-1.41)); #576429=CARTESIAN_POINT('',(0.92,2.58533538461547,-1.41)); #576430=CARTESIAN_POINT('',(0.92,2.08533538461547,-1.41)); #576431=CARTESIAN_POINT('',(0.87,2.17733538461547,-1.46)); #576432=CARTESIAN_POINT('',(0.934,2.11333538461547,-1.396)); #576433=CARTESIAN_POINT('',(0.87,2.58533538461547,-1.46)); #576434=CARTESIAN_POINT('',(0.87,2.08533538461547,-1.46)); #576435=CARTESIAN_POINT('',(0.92,2.58533538461547,-1.41)); #576436=CARTESIAN_POINT('Origin',(0.87,2.08533538461547,-1.46)); #576437=CARTESIAN_POINT('',(0.87,2.17455893818844,-1.44426073646817)); #576438=CARTESIAN_POINT('',(0.87,2.58533538461547,-1.46)); #576439=CARTESIAN_POINT('Origin',(0.63,2.08533538461547,-1.56)); #576440=CARTESIAN_POINT('',(0.630000000000001,2.17733538461547,-1.46)); #576441=CARTESIAN_POINT('',(0.63,2.19166683333174,-1.54124285991705)); #576442=CARTESIAN_POINT('',(0.63,2.58533538461547,-1.46)); #576443=CARTESIAN_POINT('',(0.63,2.08533538461547,-1.46)); #576444=CARTESIAN_POINT('',(0.63,2.58533538461547,-1.56)); #576445=CARTESIAN_POINT('Origin',(0.63,2.08533538461547,-1.46)); #576446=CARTESIAN_POINT('',(0.58,2.12733538461547,-1.41)); #576447=CARTESIAN_POINT('',(0.599333333333333,2.1466687179488,-1.42933333333333)); #576448=CARTESIAN_POINT('',(0.58,2.58533538461547,-1.41)); #576449=CARTESIAN_POINT('',(0.58,2.08533538461547,-1.41)); #576450=CARTESIAN_POINT('',(0.63,2.58533538461547,-1.46)); #576451=CARTESIAN_POINT('Origin',(0.42,2.08533538461547,-1.41)); #576452=CARTESIAN_POINT('',(0.420000000000001,2.12733538461547,-1.41)); #576453=CARTESIAN_POINT('',(0.42,2.58533538461547,-1.41)); #576454=CARTESIAN_POINT('',(0.42,2.08533538461547,-1.41)); #576455=CARTESIAN_POINT('',(0.37,2.17733538461547,-1.46)); #576456=CARTESIAN_POINT('',(0.434,2.11333538461547,-1.396)); #576457=CARTESIAN_POINT('',(0.37,2.58533538461547,-1.46)); #576458=CARTESIAN_POINT('',(0.37,2.08533538461547,-1.46)); #576459=CARTESIAN_POINT('',(0.42,2.58533538461547,-1.41)); #576460=CARTESIAN_POINT('Origin',(0.37,2.08533538461547,-1.46)); #576461=CARTESIAN_POINT('',(0.37,2.17455893818844,-1.44426073646817)); #576462=CARTESIAN_POINT('',(0.37,2.58533538461547,-1.46)); #576463=CARTESIAN_POINT('Origin',(0.13,2.08533538461547,-1.56)); #576464=CARTESIAN_POINT('',(0.130000000000001,2.17733538461547,-1.46)); #576465=CARTESIAN_POINT('',(0.13,2.19166683333174,-1.54124285991705)); #576466=CARTESIAN_POINT('',(0.13,2.58533538461547,-1.46)); #576467=CARTESIAN_POINT('',(0.13,2.08533538461547,-1.46)); #576468=CARTESIAN_POINT('',(0.13,2.58533538461547,-1.56)); #576469=CARTESIAN_POINT('Origin',(0.13,2.08533538461547,-1.46)); #576470=CARTESIAN_POINT('',(0.0800000000000003,2.12733538461547,-1.41)); #576471=CARTESIAN_POINT('',(0.0993333333333333,2.1466687179488,-1.42933333333333)); #576472=CARTESIAN_POINT('',(0.0800000000000003,2.58533538461547,-1.41)); #576473=CARTESIAN_POINT('',(0.0800000000000003,2.08533538461547,-1.41)); #576474=CARTESIAN_POINT('',(0.13,2.58533538461547,-1.46)); #576475=CARTESIAN_POINT('Origin',(-0.0800000000000003,2.08533538461547, -1.41)); #576476=CARTESIAN_POINT('',(-0.0799999999999999,2.12733538461547,-1.41)); #576477=CARTESIAN_POINT('',(-0.0800000000000003,2.58533538461547,-1.41)); #576478=CARTESIAN_POINT('',(-0.0800000000000003,2.08533538461547,-1.41)); #576479=CARTESIAN_POINT('',(-0.13,2.17733538461547,-1.46)); #576480=CARTESIAN_POINT('',(-0.0660000000000001,2.11333538461547,-1.396)); #576481=CARTESIAN_POINT('',(-0.13,2.58533538461547,-1.46)); #576482=CARTESIAN_POINT('',(-0.13,2.08533538461547,-1.46)); #576483=CARTESIAN_POINT('',(-0.0800000000000003,2.58533538461547,-1.41)); #576484=CARTESIAN_POINT('Origin',(-0.13,2.08533538461547,-1.46)); #576485=CARTESIAN_POINT('',(-0.13,2.17455893818844,-1.44426073646817)); #576486=CARTESIAN_POINT('',(-0.13,2.58533538461547,-1.46)); #576487=CARTESIAN_POINT('Origin',(-3.45,2.08533538461547,-1.56)); #576488=CARTESIAN_POINT('',(-3.45,2.17733538461547,-1.46)); #576489=CARTESIAN_POINT('',(-3.45,2.19166683333174,-1.54124285991705)); #576490=CARTESIAN_POINT('',(-3.45,2.58533538461547,-1.46)); #576491=CARTESIAN_POINT('',(-3.45,2.08533538461547,-1.46)); #576492=CARTESIAN_POINT('',(-3.45,2.58533538461547,-1.56)); #576493=CARTESIAN_POINT('Origin',(-3.45,2.08533538461547,-1.46)); #576494=CARTESIAN_POINT('',(-3.5,2.12733538461547,-1.41)); #576495=CARTESIAN_POINT('',(-3.48066666666667,2.1466687179488,-1.42933333333333)); #576496=CARTESIAN_POINT('',(-3.5,2.58533538461547,-1.41)); #576497=CARTESIAN_POINT('',(-3.5,2.08533538461547,-1.41)); #576498=CARTESIAN_POINT('',(-3.45,2.58533538461547,-1.46)); #576499=CARTESIAN_POINT('Origin',(-2.95,2.08533538461547,-1.56)); #576500=CARTESIAN_POINT('',(-2.95,2.17733538461547,-1.46)); #576501=CARTESIAN_POINT('',(-2.95,2.19166683333174,-1.54124285991705)); #576502=CARTESIAN_POINT('',(-2.95,2.58533538461547,-1.46)); #576503=CARTESIAN_POINT('',(-2.95,2.58533538461547,-1.56)); #576504=CARTESIAN_POINT('',(-2.95,2.08533538461547,-1.46)); #576505=CARTESIAN_POINT('Origin',(-2.95,2.08533538461547,-1.46)); #576506=CARTESIAN_POINT('',(-2.9,2.12733538461547,-1.41)); #576507=CARTESIAN_POINT('',(-2.91933333333333,2.1466687179488,-1.42933333333333)); #576508=CARTESIAN_POINT('',(-2.9,2.58533538461547,-1.41)); #576509=CARTESIAN_POINT('',(-2.95,2.58533538461547,-1.46)); #576510=CARTESIAN_POINT('',(-2.9,2.08533538461547,-1.41)); #576511=CARTESIAN_POINT('Origin',(-2.7,2.08533538461547,-1.41)); #576512=CARTESIAN_POINT('',(-2.7,2.12733538461547,-1.41)); #576513=CARTESIAN_POINT('',(-2.65,2.17733538461547,-1.46)); #576514=CARTESIAN_POINT('',(-2.714,2.11333538461547,-1.396)); #576515=CARTESIAN_POINT('',(-2.7,2.58533538461547,-1.41)); #576516=CARTESIAN_POINT('',(-2.7,2.08533538461547,-1.41)); #576517=CARTESIAN_POINT('',(-2.65,2.58533538461547,-1.46)); #576518=CARTESIAN_POINT('',(-2.7,2.58533538461547,-1.41)); #576519=CARTESIAN_POINT('',(-2.65,2.08533538461547,-1.46)); #576520=CARTESIAN_POINT('Origin',(-2.65,2.08533538461547,-1.46)); #576521=CARTESIAN_POINT('',(-2.65,2.17455893818844,-1.44426073646817)); #576522=CARTESIAN_POINT('',(-2.65,2.58533538461547,-1.46)); #576523=CARTESIAN_POINT('Origin',(-2.15,2.08533538461547,-1.56)); #576524=CARTESIAN_POINT('',(-2.15,2.17733538461547,-1.46)); #576525=CARTESIAN_POINT('',(-2.15,2.19166683333174,-1.54124285991705)); #576526=CARTESIAN_POINT('',(-2.15,2.58533538461547,-1.46)); #576527=CARTESIAN_POINT('',(-2.15,2.58533538461547,-1.56)); #576528=CARTESIAN_POINT('',(-2.15,2.08533538461547,-1.46)); #576529=CARTESIAN_POINT('Origin',(-2.15,2.08533538461547,-1.46)); #576530=CARTESIAN_POINT('',(-2.1,2.12733538461547,-1.41)); #576531=CARTESIAN_POINT('',(-2.11933333333333,2.1466687179488,-1.42933333333333)); #576532=CARTESIAN_POINT('',(-2.1,2.58533538461547,-1.41)); #576533=CARTESIAN_POINT('',(-2.15,2.58533538461547,-1.46)); #576534=CARTESIAN_POINT('',(-2.1,2.08533538461547,-1.41)); #576535=CARTESIAN_POINT('Origin',(-1.92149064031341,2.08533538461547,-1.41)); #576536=CARTESIAN_POINT('',(-1.92149064031341,2.12733538461547,-1.41)); #576537=CARTESIAN_POINT('',(-1.87149064031341,2.17733538461547,-1.46)); #576538=CARTESIAN_POINT('',(-1.93549064031341,2.11333538461547,-1.396)); #576539=CARTESIAN_POINT('',(-1.92149064031341,2.58533538461547,-1.41)); #576540=CARTESIAN_POINT('',(-1.92149064031341,2.08533538461547,-1.41)); #576541=CARTESIAN_POINT('',(-1.87149064031341,2.58533538461547,-1.46)); #576542=CARTESIAN_POINT('',(-1.92149064031341,2.58533538461547,-1.41)); #576543=CARTESIAN_POINT('',(-1.87149064031341,2.08533538461547,-1.46)); #576544=CARTESIAN_POINT('Origin',(-1.87149064031341,2.08533538461547,-1.46)); #576545=CARTESIAN_POINT('',(-1.87149064031341,2.17455893818844,-1.44426073646817)); #576546=CARTESIAN_POINT('',(-1.87149064031341,2.58533538461547,-1.46)); #576547=CARTESIAN_POINT('Origin',(-1.63149064031341,2.08533538461547,-1.56)); #576548=CARTESIAN_POINT('',(-1.63149064031341,2.17733538461547,-1.46)); #576549=CARTESIAN_POINT('',(-1.63149064031341,2.19166683333174,-1.54124285991705)); #576550=CARTESIAN_POINT('',(-1.63149064031341,2.58533538461547,-1.46)); #576551=CARTESIAN_POINT('',(-1.63149064031341,2.58533538461547,-1.56)); #576552=CARTESIAN_POINT('',(-1.63149064031341,2.08533538461547,-1.46)); #576553=CARTESIAN_POINT('Origin',(-1.63149064031341,2.08533538461547,-1.46)); #576554=CARTESIAN_POINT('',(-1.58149064031341,2.12733538461547,-1.41)); #576555=CARTESIAN_POINT('',(-1.60082397364674,2.1466687179488,-1.42933333333333)); #576556=CARTESIAN_POINT('',(-1.58149064031341,2.58533538461547,-1.41)); #576557=CARTESIAN_POINT('',(-1.63149064031341,2.58533538461547,-1.46)); #576558=CARTESIAN_POINT('',(-1.58149064031341,2.08533538461547,-1.41)); #576559=CARTESIAN_POINT('Origin',(-1.42149064031341,2.08533538461547,-1.41)); #576560=CARTESIAN_POINT('',(-1.42149064031341,2.12733538461547,-1.41)); #576561=CARTESIAN_POINT('',(-1.37149064031341,2.17733538461547,-1.46)); #576562=CARTESIAN_POINT('',(-1.43549064031341,2.11333538461547,-1.396)); #576563=CARTESIAN_POINT('',(-1.42149064031341,2.58533538461547,-1.41)); #576564=CARTESIAN_POINT('',(-1.42149064031341,2.08533538461547,-1.41)); #576565=CARTESIAN_POINT('',(-1.37149064031341,2.58533538461547,-1.46)); #576566=CARTESIAN_POINT('',(-1.42149064031341,2.58533538461547,-1.41)); #576567=CARTESIAN_POINT('',(-1.37149064031341,2.08533538461547,-1.46)); #576568=CARTESIAN_POINT('Origin',(-1.37149064031341,2.08533538461547,-1.46)); #576569=CARTESIAN_POINT('',(-1.37149064031341,2.17455893818844,-1.44426073646817)); #576570=CARTESIAN_POINT('',(-1.37149064031341,2.58533538461547,-1.46)); #576571=CARTESIAN_POINT('Origin',(-1.13,2.08533538461547,-1.56)); #576572=CARTESIAN_POINT('',(-1.13,2.17733538461547,-1.46)); #576573=CARTESIAN_POINT('',(-1.13,2.19166683333174,-1.54124285991705)); #576574=CARTESIAN_POINT('',(-1.13,2.58533538461547,-1.46)); #576575=CARTESIAN_POINT('',(-1.13,2.58533538461547,-1.56)); #576576=CARTESIAN_POINT('',(-1.13,2.08533538461547,-1.46)); #576577=CARTESIAN_POINT('Origin',(-1.13,2.08533538461547,-1.46)); #576578=CARTESIAN_POINT('',(-1.08,2.12733538461547,-1.41)); #576579=CARTESIAN_POINT('',(-1.09933333333333,2.1466687179488,-1.42933333333333)); #576580=CARTESIAN_POINT('',(-1.08,2.58533538461547,-1.41)); #576581=CARTESIAN_POINT('',(-1.13,2.58533538461547,-1.46)); #576582=CARTESIAN_POINT('',(-1.08,2.08533538461547,-1.41)); #576583=CARTESIAN_POINT('Origin',(-0.92,2.08533538461547,-1.41)); #576584=CARTESIAN_POINT('',(-0.919999999999999,2.12733538461547,-1.41)); #576585=CARTESIAN_POINT('',(-0.87,2.17733538461547,-1.46)); #576586=CARTESIAN_POINT('',(-0.934,2.11333538461547,-1.396)); #576587=CARTESIAN_POINT('',(-0.92,2.58533538461547,-1.41)); #576588=CARTESIAN_POINT('',(-0.92,2.08533538461547,-1.41)); #576589=CARTESIAN_POINT('',(-0.87,2.58533538461547,-1.46)); #576590=CARTESIAN_POINT('',(-0.92,2.58533538461547,-1.41)); #576591=CARTESIAN_POINT('',(-0.87,2.08533538461547,-1.46)); #576592=CARTESIAN_POINT('Origin',(-0.87,2.08533538461547,-1.46)); #576593=CARTESIAN_POINT('',(-0.87,2.17455893818844,-1.44426073646817)); #576594=CARTESIAN_POINT('',(-0.87,2.58533538461547,-1.46)); #576595=CARTESIAN_POINT('Origin',(-0.63,2.08533538461547,-1.56)); #576596=CARTESIAN_POINT('',(-0.63,2.17733538461547,-1.46)); #576597=CARTESIAN_POINT('',(-0.63,2.19166683333174,-1.54124285991705)); #576598=CARTESIAN_POINT('',(-0.63,2.58533538461547,-1.46)); #576599=CARTESIAN_POINT('',(-0.63,2.58533538461547,-1.56)); #576600=CARTESIAN_POINT('',(-0.63,2.08533538461547,-1.46)); #576601=CARTESIAN_POINT('Origin',(-0.63,2.08533538461547,-1.46)); #576602=CARTESIAN_POINT('',(-0.58,2.12733538461547,-1.41)); #576603=CARTESIAN_POINT('',(-0.599333333333333,2.1466687179488,-1.42933333333333)); #576604=CARTESIAN_POINT('',(-0.58,2.58533538461547,-1.41)); #576605=CARTESIAN_POINT('',(-0.63,2.58533538461547,-1.46)); #576606=CARTESIAN_POINT('',(-0.58,2.08533538461547,-1.41)); #576607=CARTESIAN_POINT('Origin',(-0.42,2.08533538461547,-1.41)); #576608=CARTESIAN_POINT('',(-0.42,2.12733538461547,-1.41)); #576609=CARTESIAN_POINT('',(-0.37,2.17733538461547,-1.46)); #576610=CARTESIAN_POINT('',(-0.434,2.11333538461547,-1.396)); #576611=CARTESIAN_POINT('',(-0.42,2.58533538461547,-1.41)); #576612=CARTESIAN_POINT('',(-0.42,2.08533538461547,-1.41)); #576613=CARTESIAN_POINT('',(-0.37,2.58533538461547,-1.46)); #576614=CARTESIAN_POINT('',(-0.42,2.58533538461547,-1.41)); #576615=CARTESIAN_POINT('',(-0.37,2.08533538461547,-1.46)); #576616=CARTESIAN_POINT('Origin',(-0.37,2.08533538461547,-1.46)); #576617=CARTESIAN_POINT('',(-0.37,2.17455893818844,-1.44426073646817)); #576618=CARTESIAN_POINT('',(-0.37,2.58533538461547,-1.46)); #576619=CARTESIAN_POINT('Origin',(3.45,2.08533538461547,-1.56)); #576620=CARTESIAN_POINT('',(3.45,2.17733538461547,-1.46)); #576621=CARTESIAN_POINT('',(3.45,2.19166683333174,-1.54124285991705)); #576622=CARTESIAN_POINT('',(3.45,2.58533538461547,-1.46)); #576623=CARTESIAN_POINT('',(3.45,2.58533538461547,-1.56)); #576624=CARTESIAN_POINT('',(3.45,2.08533538461547,-1.46)); #576625=CARTESIAN_POINT('Origin',(3.45,2.08533538461547,-1.46)); #576626=CARTESIAN_POINT('',(3.5,2.12733538461547,-1.41)); #576627=CARTESIAN_POINT('',(3.48066666666667,2.14666871794881,-1.42933333333333)); #576628=CARTESIAN_POINT('',(3.5,2.58533538461547,-1.41)); #576629=CARTESIAN_POINT('',(3.45,2.58533538461547,-1.46)); #576630=CARTESIAN_POINT('',(3.5,2.08533538461547,-1.41)); #576631=CARTESIAN_POINT('Origin',(3.6,0.,3.67065312314842E-16)); #576632=CARTESIAN_POINT('',(3.6,2.08533538461547,-1.26)); #576633=CARTESIAN_POINT('',(3.6,2.08533538461547,-1.41)); #576634=CARTESIAN_POINT('',(3.6,2.08533538461547,-1.26)); #576635=CARTESIAN_POINT('',(3.6,2.58533538461547,-1.41)); #576636=CARTESIAN_POINT('',(3.6,2.08533538461547,-1.41)); #576637=CARTESIAN_POINT('',(3.6,2.58533538461547,-1.56)); #576638=CARTESIAN_POINT('',(3.6,2.42,-1.26)); #576639=CARTESIAN_POINT('Origin',(3.6,2.08533538461547,-1.16)); #576640=CARTESIAN_POINT('',(-3.6,2.08533538461547,-1.41)); #576641=CARTESIAN_POINT('',(2.9,2.08533538461547,-1.41)); #576642=CARTESIAN_POINT('',(3.6,2.08533538461547,-1.16)); #576643=CARTESIAN_POINT('',(3.6,2.08533538461547,-1.16)); #576644=CARTESIAN_POINT('',(-3.6,2.08533538461547,-1.16)); #576645=CARTESIAN_POINT('',(3.6,2.08533538461547,-1.16)); #576646=CARTESIAN_POINT('',(-3.6,2.08533538461547,-1.26)); #576647=CARTESIAN_POINT('',(-3.6,2.08533538461547,-1.16)); #576648=CARTESIAN_POINT('',(-3.6,2.08533538461547,-1.26)); #576649=CARTESIAN_POINT('Origin',(-3.6,0.,-3.67065312314842E-16)); #576650=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.41)); #576651=CARTESIAN_POINT('',(-3.6,2.08533538461547,-1.41)); #576652=CARTESIAN_POINT('',(-3.6,2.42,-1.26)); #576653=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #576654=CARTESIAN_POINT('Origin',(-3.6,2.58533538461547,-1.56)); #576655=CARTESIAN_POINT('',(2.9,2.58533538461547,-1.41)); #576656=CARTESIAN_POINT('',(2.1,2.58533538461547,-1.41)); #576657=CARTESIAN_POINT('',(1.58149064031341,2.58533538461547,-1.41)); #576658=CARTESIAN_POINT('',(1.08,2.58533538461547,-1.41)); #576659=CARTESIAN_POINT('',(0.58,2.58533538461547,-1.41)); #576660=CARTESIAN_POINT('',(0.0800000000000003,2.58533538461547,-1.41)); #576661=CARTESIAN_POINT('',(-0.58,2.58533538461547,-1.41)); #576662=CARTESIAN_POINT('',(-1.08,2.58533538461547,-1.41)); #576663=CARTESIAN_POINT('',(-1.58149064031341,2.58533538461547,-1.41)); #576664=CARTESIAN_POINT('',(-2.1,2.58533538461547,-1.41)); #576665=CARTESIAN_POINT('',(-2.9,2.58533538461547,-1.41)); #576666=CARTESIAN_POINT('',(-3.5,2.58533538461547,-1.41)); #576667=CARTESIAN_POINT('',(3.5,2.58533538461547,-1.41)); #576668=CARTESIAN_POINT('Origin',(-3.19,2.42,-1.26)); #576669=CARTESIAN_POINT('',(-3.6,1.88533538461547,-1.26)); #576670=CARTESIAN_POINT('',(-3.6,2.08533538461547,-1.26)); #576671=CARTESIAN_POINT('',(-3.6,1.08533538461547,-1.26)); #576672=CARTESIAN_POINT('',(-3.6,1.08533538461547,-1.26)); #576673=CARTESIAN_POINT('',(-4.15,1.08533538461547,-1.26)); #576674=CARTESIAN_POINT('',(-4.15,1.08533538461547,-1.26)); #576675=CARTESIAN_POINT('',(-4.15,2.22,-1.26)); #576676=CARTESIAN_POINT('',(-4.15,2.42,-1.26)); #576677=CARTESIAN_POINT('',(-3.57,2.8,-1.26)); #576678=CARTESIAN_POINT('Origin',(-3.19,2.42,-1.26)); #576679=CARTESIAN_POINT('',(4.15,2.22,-1.26)); #576680=CARTESIAN_POINT('',(0.380000000000008,5.99,-1.26)); #576681=CARTESIAN_POINT('',(4.15,1.08533538461547,-1.26)); #576682=CARTESIAN_POINT('',(4.15,2.42,-1.26)); #576683=CARTESIAN_POINT('',(3.6,1.08533538461547,-1.26)); #576684=CARTESIAN_POINT('',(4.15,1.08533538461547,-1.26)); #576685=CARTESIAN_POINT('',(3.6,1.88533538461547,-1.26)); #576686=CARTESIAN_POINT('',(3.6,1.88533538461547,-1.26)); #576687=CARTESIAN_POINT('',(3.6,1.88533538461547,-1.26)); #576688=CARTESIAN_POINT('Origin',(-3.19,2.42,-0.3)); #576689=CARTESIAN_POINT('',(-4.15,1.08533538461547,-0.3)); #576690=CARTESIAN_POINT('',(-4.15,0.150000000000001,-0.3)); #576691=CARTESIAN_POINT('',(-4.15,2.42,-0.3)); #576692=CARTESIAN_POINT('',(-3.19,1.08533538461547,-1.26)); #576693=CARTESIAN_POINT('Origin',(-3.19,1.08533538461547,-0.3)); #576694=CARTESIAN_POINT('',(-3.19,0.150000000000001,-1.26)); #576695=CARTESIAN_POINT('',(-3.19,2.42,-1.26)); #576696=CARTESIAN_POINT('Origin',(-3.19,0.150000000000001,-0.3)); #576697=CARTESIAN_POINT('Origin',(-3.19,2.42,-1.26)); #576698=CARTESIAN_POINT('',(-2.65,1.08533538461547,-1.26)); #576699=CARTESIAN_POINT('',(-2.35,1.08533538461547,-1.26)); #576700=CARTESIAN_POINT('',(-2.65,0.15,-1.26)); #576701=CARTESIAN_POINT('',(-2.65,0.,-1.26)); #576702=CARTESIAN_POINT('',(-3.19,0.15,-1.26)); #576703=CARTESIAN_POINT('Origin',(-3.19,2.42,-1.26)); #576704=CARTESIAN_POINT('',(2.65,0.15,-1.26)); #576705=CARTESIAN_POINT('',(2.65,1.08533538461547,-1.26)); #576706=CARTESIAN_POINT('',(2.65,0.,-1.26)); #576707=CARTESIAN_POINT('',(3.19,1.08533538461547,-1.26)); #576708=CARTESIAN_POINT('',(3.6,1.08533538461547,-1.26)); #576709=CARTESIAN_POINT('',(3.19,0.150000000000001,-1.26)); #576710=CARTESIAN_POINT('',(3.19,2.42,-1.26)); #576711=CARTESIAN_POINT('',(-3.19,0.15,-1.26)); #576712=CARTESIAN_POINT('Origin',(-3.19,2.42,-1.26)); #576713=CARTESIAN_POINT('',(-2.35,1.08533538461547,-1.26)); #576714=CARTESIAN_POINT('',(-2.25,1.08533538461547,-1.26)); #576715=CARTESIAN_POINT('',(-2.65,1.08533538461547,-1.26)); #576716=CARTESIAN_POINT('',(-1.97,1.47,-1.26)); #576717=CARTESIAN_POINT('',(-1.97,1.47,-1.26)); #576718=CARTESIAN_POINT('',(-1.07,1.47,-1.26)); #576719=CARTESIAN_POINT('',(1.97,1.47,-1.26)); #576720=CARTESIAN_POINT('',(-1.07,0.749999999999999,-1.26)); #576721=CARTESIAN_POINT('',(-1.07,0.869999999999999,-1.26)); #576722=CARTESIAN_POINT('',(1.07,0.749999999999999,-1.26)); #576723=CARTESIAN_POINT('',(1.07,0.749999999999999,-1.26)); #576724=CARTESIAN_POINT('',(1.07,1.47,-1.26)); #576725=CARTESIAN_POINT('',(1.07,1.47,-1.26)); #576726=CARTESIAN_POINT('',(1.97,1.47,-1.26)); #576727=CARTESIAN_POINT('',(1.97,1.47,-1.26)); #576728=CARTESIAN_POINT('',(2.35,1.08533538461547,-1.26)); #576729=CARTESIAN_POINT('',(1.97,1.47,-1.26)); #576730=CARTESIAN_POINT('',(2.25,1.08533538461547,-1.26)); #576731=CARTESIAN_POINT('',(2.65,1.08533538461547,-1.26)); #576732=CARTESIAN_POINT('',(1.35,0.45,-1.26)); #576733=CARTESIAN_POINT('',(2.25,1.08533538461547,-1.26)); #576734=CARTESIAN_POINT('',(1.35,0.15,-1.26)); #576735=CARTESIAN_POINT('',(1.35,0.45,-1.26)); #576736=CARTESIAN_POINT('',(-1.35,0.15,-1.26)); #576737=CARTESIAN_POINT('',(-3.19,0.15,-1.26)); #576738=CARTESIAN_POINT('',(-1.35,0.45,-1.26)); #576739=CARTESIAN_POINT('',(-1.35,0.45,-1.26)); #576740=CARTESIAN_POINT('',(-2.25,1.08533538461547,-1.26)); #576741=CARTESIAN_POINT('Origin',(-3.19,0.,-0.3)); #576742=CARTESIAN_POINT('',(-1.35,0.,-0.6)); #576743=CARTESIAN_POINT('',(1.35,0.,-0.6)); #576744=CARTESIAN_POINT('',(-2.895,0.,-0.6)); #576745=CARTESIAN_POINT('',(-1.35,2.834968878138E-33,-1.11)); #576746=CARTESIAN_POINT('',(-1.35,0.,-0.6)); #576747=CARTESIAN_POINT('',(1.35,0.,-1.11)); #576748=CARTESIAN_POINT('',(-3.19,0.,-1.11)); #576749=CARTESIAN_POINT('',(1.35,0.,-0.6)); #576750=CARTESIAN_POINT('Origin',(-3.19,0.,-1.11)); #576751=CARTESIAN_POINT('',(-2.65,-5.42101086242752E-17,-1.11)); #576752=CARTESIAN_POINT('',(-2.65,3.32800694390114E-33,-1.11)); #576753=CARTESIAN_POINT('',(-3.19,0.,-1.11)); #576754=CARTESIAN_POINT('',(-3.19,0.,-1.11)); #576755=CARTESIAN_POINT('',(-3.19,0.,-1.11)); #576756=CARTESIAN_POINT('Origin',(-3.19,0.,-0.3)); #576757=CARTESIAN_POINT('',(2.65,0.,-0.6)); #576758=CARTESIAN_POINT('',(2.895,0.,-0.6)); #576759=CARTESIAN_POINT('',(-2.895,0.,-0.6)); #576760=CARTESIAN_POINT('',(2.65,-3.35655325655722E-17,-1.11)); #576761=CARTESIAN_POINT('',(2.65,0.,-0.6)); #576762=CARTESIAN_POINT('',(3.19,0.,-1.11)); #576763=CARTESIAN_POINT('',(-3.19,0.,-1.11)); #576764=CARTESIAN_POINT('',(4.,0.,-0.299999999999999)); #576765=CARTESIAN_POINT('Origin',(3.19,0.,-0.299999999999999)); #576766=CARTESIAN_POINT('',(4.,0.,0.299999999999999)); #576767=CARTESIAN_POINT('',(4.,0.,-0.3)); #576768=CARTESIAN_POINT('',(3.19,0.,1.11)); #576769=CARTESIAN_POINT('Origin',(3.19,0.,0.299999999999999)); #576770=CARTESIAN_POINT('',(2.65,-3.39875289180411E-17,1.11)); #576771=CARTESIAN_POINT('',(-3.19,0.,1.11)); #576772=CARTESIAN_POINT('',(2.65,0.,0.6)); #576773=CARTESIAN_POINT('',(2.65,0.,0.6)); #576774=CARTESIAN_POINT('',(2.895,0.,0.6)); #576775=CARTESIAN_POINT('',(2.895,0.,0.599999999999999)); #576776=CARTESIAN_POINT('Origin',(2.895,0.,0.15)); #576777=CARTESIAN_POINT('Origin',(2.895,0.,-0.15)); #576778=CARTESIAN_POINT('Origin',(-3.19,0.,-1.11)); #576779=CARTESIAN_POINT('',(2.65,1.16678047672032E-15,-1.11)); #576780=CARTESIAN_POINT('',(3.19,0.,-1.11)); #576781=CARTESIAN_POINT('Origin',(-3.19,0.,-1.11)); #576782=CARTESIAN_POINT('',(-1.35,1.1339875512552E-32,-1.11)); #576783=CARTESIAN_POINT('',(1.35,-1.09383952512982E-15,-1.11)); #576784=CARTESIAN_POINT('Origin',(-3.19,0.,-0.3)); #576785=CARTESIAN_POINT('',(-2.65,0.,-0.6)); #576786=CARTESIAN_POINT('',(-2.65,0.,-0.6)); #576787=CARTESIAN_POINT('',(-2.895,0.,-0.6)); #576788=CARTESIAN_POINT('',(-2.65,0.,0.6)); #576789=CARTESIAN_POINT('',(2.895,0.,0.599999999999999)); #576790=CARTESIAN_POINT('',(-2.65,-4.7327634018372E-17,1.11)); #576791=CARTESIAN_POINT('',(-2.65,0.,0.6)); #576792=CARTESIAN_POINT('',(-3.19,0.,1.11)); #576793=CARTESIAN_POINT('',(-3.19,0.,1.11)); #576794=CARTESIAN_POINT('',(-4.,0.,0.3)); #576795=CARTESIAN_POINT('Origin',(-3.19,0.,0.3)); #576796=CARTESIAN_POINT('',(-4.,0.,-0.3)); #576797=CARTESIAN_POINT('',(-4.,0.,-0.3)); #576798=CARTESIAN_POINT('Origin',(-3.19,0.,-0.3)); #576799=CARTESIAN_POINT('Origin',(-3.19,0.,-0.3)); #576800=CARTESIAN_POINT('',(1.35,3.65365935868924E-18,1.11)); #576801=CARTESIAN_POINT('',(-1.35,0.,1.11)); #576802=CARTESIAN_POINT('',(-3.19,0.,1.11)); #576803=CARTESIAN_POINT('',(-1.35,0.,0.6)); #576804=CARTESIAN_POINT('',(-1.35,0.,1.26)); #576805=CARTESIAN_POINT('',(1.35,0.,0.6)); #576806=CARTESIAN_POINT('',(2.895,0.,0.599999999999999)); #576807=CARTESIAN_POINT('',(1.35,0.,1.26)); #576808=CARTESIAN_POINT('Origin',(-3.19,0.,1.11)); #576809=CARTESIAN_POINT('',(-2.65,2.75298984236131E-17,1.11)); #576810=CARTESIAN_POINT('',(-3.19,0.15,1.26)); #576811=CARTESIAN_POINT('Origin',(-3.19,0.,1.11)); #576812=CARTESIAN_POINT('',(-1.35,9.38055798137929E-17,1.11)); #576813=CARTESIAN_POINT('',(1.35,2.31455071931858E-16,1.11)); #576814=CARTESIAN_POINT('Origin',(-3.19,0.,1.11)); #576815=CARTESIAN_POINT('',(2.65,2.97730753322038E-16,1.11)); #576816=CARTESIAN_POINT('',(3.19,0.15,1.26)); #576817=CARTESIAN_POINT('Origin',(3.19,2.42,-0.299999999999999)); #576818=CARTESIAN_POINT('',(4.15,1.08533538461547,-0.299999999999999)); #576819=CARTESIAN_POINT('Origin',(3.19,1.08533538461547,-0.299999999999999)); #576820=CARTESIAN_POINT('',(4.15,0.150000000000001,-0.299999999999999)); #576821=CARTESIAN_POINT('',(4.15,2.42,-0.299999999999999)); #576822=CARTESIAN_POINT('Origin',(3.19,0.150000000000001,-0.299999999999999)); #576823=CARTESIAN_POINT('Origin',(4.15,2.42,-0.299999999999999)); #576824=CARTESIAN_POINT('',(4.15,2.22,0.299999999999999)); #576825=CARTESIAN_POINT('',(4.15,2.22,0.299999999999999)); #576826=CARTESIAN_POINT('',(4.15,0.150000000000001,0.299999999999999)); #576827=CARTESIAN_POINT('',(4.15,2.42,0.299999999999999)); #576828=CARTESIAN_POINT('',(4.15,0.15,-0.299999999999999)); #576829=CARTESIAN_POINT('',(4.15,1.08533538461547,-0.299999999999999)); #576830=CARTESIAN_POINT('Origin',(3.19,2.42,0.299999999999999)); #576831=CARTESIAN_POINT('Origin',(3.19,2.22,0.299999999999999)); #576832=CARTESIAN_POINT('Origin',(3.19,0.150000000000001,0.299999999999999)); #576833=CARTESIAN_POINT('Origin',(-3.19,2.42,0.3)); #576834=CARTESIAN_POINT('',(-4.15,0.150000000000001,0.3)); #576835=CARTESIAN_POINT('',(-4.15,2.42,0.3)); #576836=CARTESIAN_POINT('Origin',(-3.19,0.150000000000001,0.3)); #576837=CARTESIAN_POINT('Origin',(-4.15,2.42,0.3)); #576838=CARTESIAN_POINT('',(-4.15,2.22,-1.26)); #576839=CARTESIAN_POINT('',(-4.15,1.08533538461547,-0.3)); #576840=CARTESIAN_POINT('',(-4.15,0.15,0.3)); #576841=CARTESIAN_POINT('Origin',(-2.895,-1.58,-0.6)); #576842=CARTESIAN_POINT('',(2.895,-1.35,-0.6)); #576843=CARTESIAN_POINT('',(2.895,-1.58,-0.6)); #576844=CARTESIAN_POINT('',(-2.895,-1.35,-0.6)); #576845=CARTESIAN_POINT('',(-2.65,1.08533538461547,-0.6)); #576846=CARTESIAN_POINT('',(-2.65,0.,-0.6)); #576847=CARTESIAN_POINT('',(-2.25,1.08533538461547,-0.6)); #576848=CARTESIAN_POINT('',(-2.65,1.08533538461547,-0.6)); #576849=CARTESIAN_POINT('',(-1.35,0.45,-0.6)); #576850=CARTESIAN_POINT('',(-2.25,1.08533538461547,-0.6)); #576851=CARTESIAN_POINT('',(-1.35,0.45,-0.6)); #576852=CARTESIAN_POINT('',(1.35,0.45,-0.6)); #576853=CARTESIAN_POINT('',(1.35,0.45,-0.6)); #576854=CARTESIAN_POINT('',(2.25,1.08533538461547,-0.6)); #576855=CARTESIAN_POINT('',(2.25,1.08533538461547,-0.6)); #576856=CARTESIAN_POINT('',(2.65,1.08533538461547,-0.6)); #576857=CARTESIAN_POINT('',(2.65,1.08533538461547,-0.6)); #576858=CARTESIAN_POINT('',(2.65,0.,-0.6)); #576859=CARTESIAN_POINT('Origin',(2.895,-1.58,-0.15)); #576860=CARTESIAN_POINT('',(3.145,-0.38,-0.524165738677394)); #576861=CARTESIAN_POINT('Origin',(2.895,-0.38,-0.15)); #576862=CARTESIAN_POINT('',(3.145,-1.12,-0.524165738677394)); #576863=CARTESIAN_POINT('',(3.145,-1.58,-0.524165738677394)); #576864=CARTESIAN_POINT('',(3.345,-1.12,-0.149999999999999)); #576865=CARTESIAN_POINT('Origin',(2.895,-1.12,-0.15)); #576866=CARTESIAN_POINT('',(3.345,-1.35,-0.15)); #576867=CARTESIAN_POINT('',(3.345,-1.58,-0.15)); #576868=CARTESIAN_POINT('Origin',(2.895,-1.35,-0.15)); #576869=CARTESIAN_POINT('Origin',(3.345,-1.58,-0.15)); #576870=CARTESIAN_POINT('',(3.345,-1.12,0.15)); #576871=CARTESIAN_POINT('',(3.345,-1.12,-10.8234987569897)); #576872=CARTESIAN_POINT('',(3.345,-1.35,0.15)); #576873=CARTESIAN_POINT('',(3.345,-1.58,0.15)); #576874=CARTESIAN_POINT('',(3.345,-1.35,-0.15)); #576875=CARTESIAN_POINT('Origin',(2.895,-1.58,0.15)); #576876=CARTESIAN_POINT('',(3.145,-1.12,0.524165738677394)); #576877=CARTESIAN_POINT('',(3.145,-0.38,0.524165738677394)); #576878=CARTESIAN_POINT('',(3.145,-1.58,0.524165738677394)); #576879=CARTESIAN_POINT('Origin',(2.895,-0.38,0.15)); #576880=CARTESIAN_POINT('',(2.895,-1.35,0.6)); #576881=CARTESIAN_POINT('',(2.895,-1.58,0.6)); #576882=CARTESIAN_POINT('Origin',(2.895,-1.35,0.15)); #576883=CARTESIAN_POINT('Origin',(2.895,-1.12,0.15)); #576884=CARTESIAN_POINT('Origin',(2.895,-1.58,0.599999999999999)); #576885=CARTESIAN_POINT('',(2.895,-1.35,0.599999999999999)); #576886=CARTESIAN_POINT('',(2.65,0.55,0.6)); #576887=CARTESIAN_POINT('',(2.65,0.55,0.6)); #576888=CARTESIAN_POINT('',(1.35,0.55,0.6)); #576889=CARTESIAN_POINT('',(1.35,0.55,0.6)); #576890=CARTESIAN_POINT('',(1.35,0.55,0.6)); #576891=CARTESIAN_POINT('',(-1.35,0.55,0.6)); #576892=CARTESIAN_POINT('',(-1.35,0.55,0.6)); #576893=CARTESIAN_POINT('',(-2.65,0.55,0.6)); #576894=CARTESIAN_POINT('',(-1.35,0.55,0.6)); #576895=CARTESIAN_POINT('',(-2.65,0.55,0.6)); #576896=CARTESIAN_POINT('Origin',(-2.895,-1.58,-0.15)); #576897=CARTESIAN_POINT('',(3.115,-1.58,-0.15)); #576898=CARTESIAN_POINT('',(3.115,-1.58,0.15)); #576899=CARTESIAN_POINT('',(3.115,-1.58,0.15)); #576900=CARTESIAN_POINT('',(2.895,-1.58,0.369999999999999)); #576901=CARTESIAN_POINT('Origin',(2.895,-1.58,0.15)); #576902=CARTESIAN_POINT('',(-2.895,-1.58,0.37)); #576903=CARTESIAN_POINT('',(-2.895,-1.58,0.37)); #576904=CARTESIAN_POINT('',(-3.115,-1.58,0.15)); #576905=CARTESIAN_POINT('Origin',(-2.895,-1.58,0.15)); #576906=CARTESIAN_POINT('',(-3.115,-1.58,-0.15)); #576907=CARTESIAN_POINT('',(-3.115,-1.58,-0.15)); #576908=CARTESIAN_POINT('',(-2.895,-1.58,-0.37)); #576909=CARTESIAN_POINT('Origin',(-2.895,-1.58,-0.15)); #576910=CARTESIAN_POINT('',(2.895,-1.58,-0.37)); #576911=CARTESIAN_POINT('',(2.895,-1.58,-0.37)); #576912=CARTESIAN_POINT('Origin',(2.895,-1.58,-0.15)); #576913=CARTESIAN_POINT('',(-3.04269098028187,-1.58,-0.3085)); #576914=CARTESIAN_POINT('',(3.04269098028187,-1.58,-0.3085)); #576915=CARTESIAN_POINT('',(-3.04269098028187,-1.58,-0.3085)); #576916=CARTESIAN_POINT('',(-3.04269098028187,-1.58,0.3085)); #576917=CARTESIAN_POINT('',(-3.04269098028187,-1.58,0.3085)); #576918=CARTESIAN_POINT('',(3.04269098028187,-1.58,0.3085)); #576919=CARTESIAN_POINT('',(-3.04269098028187,-1.58,0.3085)); #576920=CARTESIAN_POINT('',(3.04269098028187,-1.58,0.3085)); #576921=CARTESIAN_POINT('Origin',(-3.04269098028187,-3.01,0.3085)); #576922=CARTESIAN_POINT('',(-3.04269098028186,-3.01,-0.3085)); #576923=CARTESIAN_POINT('',(-3.04269098028187,-3.01,-0.3085)); #576924=CARTESIAN_POINT('',(-3.04269098028186,-3.01,0.3085)); #576925=CARTESIAN_POINT('',(-3.04269098028186,-3.01,0.3085)); #576926=CARTESIAN_POINT('',(-3.04269098028187,-3.01,0.3085)); #576927=CARTESIAN_POINT('Origin',(3.04269098028187,-1.58,0.3085)); #576928=CARTESIAN_POINT('',(3.04269098028187,-3.01,-0.3085)); #576929=CARTESIAN_POINT('',(3.04269098028187,-1.58,-0.3085)); #576930=CARTESIAN_POINT('',(3.04269098028187,-3.01,0.3085)); #576931=CARTESIAN_POINT('',(3.04269098028187,-1.58,0.3085)); #576932=CARTESIAN_POINT('',(3.04269098028187,-3.01,0.3085)); #576933=CARTESIAN_POINT('Origin',(3.29269098028187,-3.01,0.3085)); #576934=CARTESIAN_POINT('',(3.29269098028187,-3.26,-0.3085)); #576935=CARTESIAN_POINT('Origin',(3.29269098028187,-3.01,-0.3085)); #576936=CARTESIAN_POINT('',(3.29269098028187,-3.26,0.3085)); #576937=CARTESIAN_POINT('Origin',(3.29269098028187,-3.01,0.3085)); #576938=CARTESIAN_POINT('',(3.29269098028187,-3.26,0.3085)); #576939=CARTESIAN_POINT('Origin',(3.29269098028187,-3.57,0.3085)); #576940=CARTESIAN_POINT('',(3.29269098028187,-3.57,-0.3085)); #576941=CARTESIAN_POINT('',(3.29269098028187,-3.57,-0.3085)); #576942=CARTESIAN_POINT('',(3.29269098028187,-3.57,0.3085)); #576943=CARTESIAN_POINT('',(3.29269098028187,-3.57,0.3085)); #576944=CARTESIAN_POINT('',(3.29269098028187,-3.57,0.3085)); #576945=CARTESIAN_POINT('Origin',(3.04269098028187,-4.42,0.3085)); #576946=CARTESIAN_POINT('',(3.07224883752928,-4.31950328535882,0.3085)); #576947=CARTESIAN_POINT('',(3.04269098028187,-4.42,0.3085)); #576948=CARTESIAN_POINT('',(3.07224883752928,-4.31950328535882,-0.3085)); #576949=CARTESIAN_POINT('',(3.07224883752928,-4.31950328535882,0.3085)); #576950=CARTESIAN_POINT('',(3.04269098028187,-4.42,-0.3085)); #576951=CARTESIAN_POINT('Origin',(3.04269098028187,-4.42,0.3085)); #576952=CARTESIAN_POINT('',(-2.9379376673093,-4.42,-0.2085)); #576953=CARTESIAN_POINT('',(2.9379376673093,-4.42,-0.2085)); #576954=CARTESIAN_POINT('',(3.04269098028187,-4.42,-0.2085)); #576955=CARTESIAN_POINT('',(2.9379376673093,-4.42,0.2085)); #576956=CARTESIAN_POINT('',(2.9379376673093,-4.42,0.3085)); #576957=CARTESIAN_POINT('',(-2.9379376673093,-4.42,0.2085)); #576958=CARTESIAN_POINT('',(3.04269098028187,-4.42,0.2085)); #576959=CARTESIAN_POINT('',(-2.9379376673093,-4.42,0.3085)); #576960=CARTESIAN_POINT('Origin',(-3.04269098028187,-4.42,0.3085)); #576961=CARTESIAN_POINT('',(-3.07224883752928,-4.31950328535881,-0.3085)); #576962=CARTESIAN_POINT('',(-3.29269098028184,-3.56999999999999,-0.3085)); #576963=CARTESIAN_POINT('',(-3.04269098028187,-4.42,-0.3085)); #576964=CARTESIAN_POINT('',(-3.07224883752928,-4.31950328535881,0.3085)); #576965=CARTESIAN_POINT('',(-3.07224883752928,-4.31950328535881,0.3085)); #576966=CARTESIAN_POINT('',(-3.29269098028184,-3.56999999999999,0.3085)); #576967=CARTESIAN_POINT('',(-3.04269098028187,-4.42,0.3085)); #576968=CARTESIAN_POINT('',(-3.29269098028184,-3.56999999999999,0.3085)); #576969=CARTESIAN_POINT('Origin',(-3.29269098028184,-3.56999999999999,0.3085)); #576970=CARTESIAN_POINT('',(-3.29269098028186,-3.26,-0.3085)); #576971=CARTESIAN_POINT('',(-3.29269098028184,-3.56999999999999,-0.3085)); #576972=CARTESIAN_POINT('',(-3.29269098028186,-3.26,0.3085)); #576973=CARTESIAN_POINT('',(-3.29269098028184,-3.56999999999999,0.3085)); #576974=CARTESIAN_POINT('',(-3.29269098028186,-3.26,0.3085)); #576975=CARTESIAN_POINT('Origin',(-3.29269098028186,-3.01,0.3085)); #576976=CARTESIAN_POINT('Origin',(-3.29269098028186,-3.01,-0.3085)); #576977=CARTESIAN_POINT('Origin',(-3.29269098028186,-3.01,0.3085)); #576978=CARTESIAN_POINT('Origin',(3.29269098028187,-3.01,0.3085)); #576979=CARTESIAN_POINT('',(-3.07210222674766,-4.32000004150885,0.308500018387281)); #576980=CARTESIAN_POINT('',(-3.04269098028187,-4.42,0.3085)); #576981=CARTESIAN_POINT('',(3.07210222674766,-4.32000004150885,0.308500018387281)); #576982=CARTESIAN_POINT('',(3.29269098028187,-4.32,0.3085)); #576983=CARTESIAN_POINT('',(3.04269098028187,-4.42,0.3085)); #576984=CARTESIAN_POINT('Origin',(3.29269098028187,-3.01,-0.3085)); #576985=CARTESIAN_POINT('',(3.07210222674766,-4.32000004150885,-0.308500018387281)); #576986=CARTESIAN_POINT('',(3.04269098028187,-4.42,-0.3085)); #576987=CARTESIAN_POINT('',(-3.07210222674766,-4.32000004150885,-0.308500018387281)); #576988=CARTESIAN_POINT('',(-3.04269098028187,-4.32,-0.3085)); #576989=CARTESIAN_POINT('',(-3.04269098028187,-4.42,-0.3085)); #576990=CARTESIAN_POINT('Origin',(-2.895,-1.58,-0.15)); #576991=CARTESIAN_POINT('',(-3.345,-1.35,-0.15)); #576992=CARTESIAN_POINT('',(-2.895,-1.58,-0.37)); #576993=CARTESIAN_POINT('Origin',(-2.895,-1.58,-0.37)); #576994=CARTESIAN_POINT('',(2.895,-1.58,-0.37)); #576995=CARTESIAN_POINT('Origin',(-3.115,-1.58,-0.15)); #576996=CARTESIAN_POINT('',(-3.345,-1.35,0.15)); #576997=CARTESIAN_POINT('Origin',(2.895,-1.58,-0.15)); #576998=CARTESIAN_POINT('',(3.115,-1.58,-0.15)); #576999=CARTESIAN_POINT('Origin',(-2.895,-1.58,0.15)); #577000=CARTESIAN_POINT('',(-2.895,-1.35,0.600000000000001)); #577001=CARTESIAN_POINT('Origin',(3.115,-1.58,-0.15)); #577002=CARTESIAN_POINT('',(3.115,-1.58,0.15)); #577003=CARTESIAN_POINT('Origin',(-2.895,-1.58,0.37)); #577004=CARTESIAN_POINT('',(2.895,-1.35,0.599999999999999)); #577005=CARTESIAN_POINT('Origin',(2.895,-1.58,0.15)); #577006=CARTESIAN_POINT('Origin',(-3.19,0.,-0.3)); #577007=CARTESIAN_POINT('',(-4.15,0.15,-0.3)); #577008=CARTESIAN_POINT('Origin',(-4.,0.,-0.3)); #577009=CARTESIAN_POINT('',(-4.15,0.15,0.3)); #577010=CARTESIAN_POINT('Origin',(3.19,0.,-0.299999999999999)); #577011=CARTESIAN_POINT('',(4.,0.,-0.299999999999999)); #577012=CARTESIAN_POINT('Origin',(-3.19,0.,0.3)); #577013=CARTESIAN_POINT('Origin',(4.,0.,-0.3)); #577014=CARTESIAN_POINT('',(4.,0.,0.299999999999999)); #577015=CARTESIAN_POINT('Origin',(3.19,0.,0.299999999999999)); #577016=CARTESIAN_POINT('Origin',(3.29269098028187,-4.32,-0.3085)); #577017=CARTESIAN_POINT('Origin',(2.9379376673093,-4.28,-0.3485)); #577018=CARTESIAN_POINT('Origin',(-2.9379376673093,-4.28,-0.3485)); #577019=CARTESIAN_POINT('Origin',(3.04269098028187,-4.42,0.2085)); #577020=CARTESIAN_POINT('Origin',(2.9379376673093,-4.28,0.3485)); #577021=CARTESIAN_POINT('Origin',(-2.9379376673093,-4.28,0.3485)); #577022=CARTESIAN_POINT('Origin',(-2.9379376673093,-4.28,0.3085)); #577023=CARTESIAN_POINT('Origin',(2.9379376673093,-4.28,0.3085)); #577024=CARTESIAN_POINT('Origin',(2.65,0.55,0.6)); #577025=CARTESIAN_POINT('',(2.65,0.55,0.6)); #577026=CARTESIAN_POINT('Origin',(1.35,0.55,1.26)); #577027=CARTESIAN_POINT('',(1.35,0.55,1.26)); #577028=CARTESIAN_POINT('Origin',(0.,0.55,0.)); #577029=CARTESIAN_POINT('Origin',(-2.65,0.55,0.6)); #577030=CARTESIAN_POINT('',(-2.65,0.55,0.6)); #577031=CARTESIAN_POINT('Origin',(-1.35,0.55,1.26)); #577032=CARTESIAN_POINT('',(-1.35,0.55,1.26)); #577033=CARTESIAN_POINT('Origin',(0.,0.55,0.)); #577034=CARTESIAN_POINT('Origin',(2.65,0.,-0.6)); #577035=CARTESIAN_POINT('',(2.65,1.08533538461547,-0.6)); #577036=CARTESIAN_POINT('Origin',(2.65,1.08533538461547,-0.6)); #577037=CARTESIAN_POINT('',(2.35,1.08533538461547,-1.63)); #577038=CARTESIAN_POINT('',(2.35,1.08533538461547,-1.63)); #577039=CARTESIAN_POINT('',(3.6,1.08533538461547,-1.63)); #577040=CARTESIAN_POINT('',(3.6,1.08533538461547,-1.63)); #577041=CARTESIAN_POINT('',(3.6,1.08533538461547,-1.63)); #577042=CARTESIAN_POINT('',(2.25,1.08533538461547,-0.6)); #577043=CARTESIAN_POINT('Origin',(2.25,1.08533538461547,-0.6)); #577044=CARTESIAN_POINT('',(1.35,0.45,-0.6)); #577045=CARTESIAN_POINT('Origin',(1.35,0.45,-0.6)); #577046=CARTESIAN_POINT('Origin',(-0.43,1.47,-0.6)); #577047=CARTESIAN_POINT('',(-0.43,1.47,-0.6)); #577048=CARTESIAN_POINT('',(-0.43,1.47,-0.6)); #577049=CARTESIAN_POINT('',(-1.97,1.47,-1.63)); #577050=CARTESIAN_POINT('',(-1.97,1.47,-1.63)); #577051=CARTESIAN_POINT('',(1.97,1.47,-1.63)); #577052=CARTESIAN_POINT('',(1.97,1.47,-1.63)); #577053=CARTESIAN_POINT('',(1.97,1.47,-1.63)); #577054=CARTESIAN_POINT('',(0.43,1.47,-0.6)); #577055=CARTESIAN_POINT('',(0.0132276384287744,1.47,-0.170203502129673)); #577056=CARTESIAN_POINT('',(-0.43,1.47,-0.6)); #577057=CARTESIAN_POINT('Origin',(0.43,0.869999999999999,-0.6)); #577058=CARTESIAN_POINT('',(0.43,0.869999999999999,-0.6)); #577059=CARTESIAN_POINT('',(0.953636363636364,0.869999999999999,-1.14)); #577060=CARTESIAN_POINT('',(0.43,0.869999999999999,-0.6)); #577061=CARTESIAN_POINT('',(-0.953636363636364,0.869999999999999,-1.14)); #577062=CARTESIAN_POINT('',(-1.07,0.869999999999999,-1.14)); #577063=CARTESIAN_POINT('',(-0.43,0.869999999999999,-0.6)); #577064=CARTESIAN_POINT('',(-0.0132276384287736,0.869999999999999,-0.170203502129673)); #577065=CARTESIAN_POINT('',(0.43,0.869999999999999,-0.6)); #577066=CARTESIAN_POINT('Origin',(6.11775520524736E-17,0.,-0.6)); #577067=CARTESIAN_POINT('',(-0.43,0.869999999999999,-0.6)); #577068=CARTESIAN_POINT('',(0.43,1.47,-0.6)); #577069=CARTESIAN_POINT('Origin',(-2.65,0.,-0.6)); #577070=CARTESIAN_POINT('',(-2.65,1.08533538461547,-0.6)); #577071=CARTESIAN_POINT('Origin',(-2.65,1.08533538461547,-0.6)); #577072=CARTESIAN_POINT('',(-3.6,1.08533538461547,-1.63)); #577073=CARTESIAN_POINT('',(-3.6,1.08533538461547,-1.63)); #577074=CARTESIAN_POINT('',(-2.35,1.08533538461547,-1.63)); #577075=CARTESIAN_POINT('',(-2.35,1.08533538461547,-1.63)); #577076=CARTESIAN_POINT('',(-2.35,1.08533538461547,-1.63)); #577077=CARTESIAN_POINT('',(-2.25,1.08533538461547,-0.6)); #577078=CARTESIAN_POINT('Origin',(-2.25,1.08533538461547,-0.6)); #577079=CARTESIAN_POINT('',(-1.35,0.45,-0.6)); #577080=CARTESIAN_POINT('Origin',(-1.35,0.45,-0.6)); #577081=CARTESIAN_POINT('Origin',(0.43,1.47,-0.6)); #577082=CARTESIAN_POINT('',(0.786177389131793,1.04269206745784,-0.967307932542162)); #577083=CARTESIAN_POINT('Origin',(-0.43,0.869999999999999,-0.6)); #577084=CARTESIAN_POINT('',(-0.511149281698939,1.32631480324797,-0.68368519675203)); #577085=CARTESIAN_POINT('Origin',(0.43,0.869999999999999,-1.14)); #577086=CARTESIAN_POINT('Origin',(-1.97,1.47,-1.63)); #577087=CARTESIAN_POINT('',(-1.97,1.47,-1.63)); #577088=CARTESIAN_POINT('Origin',(-3.6,1.08533538461547,-1.63)); #577089=CARTESIAN_POINT('',(-3.6,1.88533538461547,-1.63)); #577090=CARTESIAN_POINT('',(-3.6,1.88533538461547,-1.63)); #577091=CARTESIAN_POINT('',(-3.6,1.08533538461547,-1.63)); #577092=CARTESIAN_POINT('Origin',(-3.6,1.88533538461548,-1.63)); #577093=CARTESIAN_POINT('',(-3.6,1.88533538461547,-1.16)); #577094=CARTESIAN_POINT('',(-3.6,1.88533538461547,-1.16)); #577095=CARTESIAN_POINT('',(3.6,1.88533538461547,-1.16)); #577096=CARTESIAN_POINT('',(-3.6,1.88533538461547,-1.16)); #577097=CARTESIAN_POINT('',(3.6,1.88533538461547,-1.16)); #577098=CARTESIAN_POINT('',(3.6,1.88533538461547,-1.63)); #577099=CARTESIAN_POINT('',(3.6,1.88533538461547,-1.63)); #577100=CARTESIAN_POINT('',(-3.6,1.88533538461548,-1.63)); #577101=CARTESIAN_POINT('Origin',(3.6,1.88533538461547,-1.63)); #577102=CARTESIAN_POINT('',(3.6,1.88533538461547,-1.63)); #577103=CARTESIAN_POINT('Origin',(1.97,1.47,-1.63)); #577104=CARTESIAN_POINT('',(1.97,1.47,-1.63)); #577105=CARTESIAN_POINT('Origin',(1.6619901640922E-16,0.,-1.63)); #577106=CARTESIAN_POINT('',(2.64,1.53533538461547,-1.63)); #577107=CARTESIAN_POINT('Origin',(2.89,1.53533538461547,-1.63)); #577108=CARTESIAN_POINT('',(-3.14,1.53533538461547,-1.63)); #577109=CARTESIAN_POINT('Origin',(-2.89,1.53533538461547,-1.63)); #577110=CARTESIAN_POINT('Origin',(-2.89,1.53533538461547,-2.28)); #577111=CARTESIAN_POINT('',(-3.14,1.53533538461547,-2.18)); #577112=CARTESIAN_POINT('',(-3.14,1.53533538461547,-2.28)); #577113=CARTESIAN_POINT('Origin',(-2.89,1.53533538461547,-2.18)); #577114=CARTESIAN_POINT('Origin',(-2.89,1.53533538461547,-2.28)); #577115=CARTESIAN_POINT('',(-3.04,1.53533538461547,-2.28)); #577116=CARTESIAN_POINT('Origin',(-2.89,1.53533538461547,-2.28)); #577117=CARTESIAN_POINT('Origin',(2.89,1.53533538461547,-2.28)); #577118=CARTESIAN_POINT('',(2.64,1.53533538461547,-2.18)); #577119=CARTESIAN_POINT('',(2.64,1.53533538461547,-2.28)); #577120=CARTESIAN_POINT('Origin',(2.89,1.53533538461547,-2.18)); #577121=CARTESIAN_POINT('Origin',(2.89,1.53533538461547,-2.28)); #577122=CARTESIAN_POINT('',(2.74,1.53533538461547,-2.28)); #577123=CARTESIAN_POINT('Origin',(2.89,1.53533538461547,-2.28)); #577124=CARTESIAN_POINT('Origin',(2.89,1.53533538461547,-2.28)); #577125=CARTESIAN_POINT('',(2.74,1.53533538461547,-2.28)); #577126=CARTESIAN_POINT('Origin',(-2.89,1.53533538461547,-2.18)); #577127=CARTESIAN_POINT('',(-3.14,1.53533538461547,-2.18)); #577128=CARTESIAN_POINT('Origin',(-4.15,2.22,0.3)); #577129=CARTESIAN_POINT('Origin',(3.19,2.22,1.26)); #577130=CARTESIAN_POINT('',(-3.1,2.47,1.01)); #577131=CARTESIAN_POINT('',(-3.1,2.22,1.26)); #577132=CARTESIAN_POINT('',(-3.1,2.47,1.01)); #577133=CARTESIAN_POINT('Origin',(3.19,2.22,0.299999999999999)); #577134=CARTESIAN_POINT('',(3.6,2.355,1.01590851370828)); #577135=CARTESIAN_POINT('Ctrl Pts',(3.6,2.35500000000019,1.01590851370843)); #577136=CARTESIAN_POINT('Ctrl Pts',(3.6,2.37685164369348,0.990727075435561)); #577137=CARTESIAN_POINT('Ctrl Pts',(3.6,2.39853659842912,0.965412771468694)); #577138=CARTESIAN_POINT('Ctrl Pts',(3.6,2.42,0.939921870231046)); #577139=CARTESIAN_POINT('',(3.32213604519991,2.355,1.11434947384948)); #577140=CARTESIAN_POINT('Origin',(3.19,2.355,0.299999999999999)); #577141=CARTESIAN_POINT('',(3.32213604519991,2.47,0.997595918536604)); #577142=CARTESIAN_POINT('Ctrl Pts',(3.32213604519991,2.47,0.997595918536604)); #577143=CARTESIAN_POINT('Ctrl Pts',(3.32213604519991,2.43171513226147,1.03656153655711)); #577144=CARTESIAN_POINT('Ctrl Pts',(3.32213604519991,2.39337494808504,1.07547263634076)); #577145=CARTESIAN_POINT('Ctrl Pts',(3.32213604519991,2.355,1.11434947384948)); #577146=CARTESIAN_POINT('Origin',(3.19,2.47,0.299999999999999)); #577147=CARTESIAN_POINT('',(3.95,2.42,0.299999999999999)); #577148=CARTESIAN_POINT('Origin',(4.15,2.22,-0.299999999999999)); #577149=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.16)); #577150=CARTESIAN_POINT('',(-3.6,2.08533538461547,-1.16)); #577151=CARTESIAN_POINT('Origin',(3.6,1.88533538461547,-1.16)); #577152=CARTESIAN_POINT('',(3.6,1.88533538461547,-1.16)); #577153=CARTESIAN_POINT('Origin',(9.05193895539827E-17,0.,-1.16)); #577154=CARTESIAN_POINT('Origin',(2.9,2.08533538461547,-1.41)); #577155=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #577156=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #577157=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #577158=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #577159=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #577160=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #577161=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #577162=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #577163=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #577164=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #577165=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #577166=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #577167=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #577168=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #577169=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #577170=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #577171=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #577172=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #577173=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #577174=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #577175=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #577176=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #577177=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #577178=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #577179=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #577180=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #577181=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #577182=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #577183=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #577184=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #577185=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #577186=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #577187=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #577188=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #577189=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #577190=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #577191=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #577192=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #577193=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #577194=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #577195=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #577196=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #577197=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #577198=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #577199=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #577200=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #577201=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #577202=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #577203=CARTESIAN_POINT('Origin',(-0.17,2.355,0.37)); #577204=CARTESIAN_POINT('',(-0.17,2.355,0.369999999999999)); #577205=CARTESIAN_POINT('',(-0.17,2.37,0.37)); #577206=CARTESIAN_POINT('',(-0.17,2.355,0.37)); #577207=CARTESIAN_POINT('',(-0.17,2.47,0.27)); #577208=CARTESIAN_POINT('',(-0.17,2.37,0.369999999999999)); #577209=CARTESIAN_POINT('',(-0.17,2.47,0.369999999999999)); #577210=CARTESIAN_POINT('',(-0.17,2.355,-0.869999999999998)); #577211=CARTESIAN_POINT('',(-0.17,2.355,-0.869999999999998)); #577212=CARTESIAN_POINT('',(-0.17,2.355,0.37)); #577213=CARTESIAN_POINT('Origin',(-0.17,2.355,-0.869999999999998)); #577214=CARTESIAN_POINT('',(-3.1,2.355,-0.869999999999998)); #577215=CARTESIAN_POINT('',(-3.1,2.355,-0.869999999999998)); #577216=CARTESIAN_POINT('',(-0.17,2.355,-0.869999999999998)); #577217=CARTESIAN_POINT('Origin',(-3.1,2.355,-0.869999999999998)); #577218=CARTESIAN_POINT('',(-3.1,2.47,-1.04)); #577219=CARTESIAN_POINT('',(-3.1,1.855,-0.0400000000000008)); #577220=CARTESIAN_POINT('',(-3.1,1.855,1.26)); #577221=CARTESIAN_POINT('',(-3.1,2.355,-0.0400000000000008)); #577222=CARTESIAN_POINT('',(-3.1,1.855,-0.0400000000000008)); #577223=CARTESIAN_POINT('',(-3.1,2.355,-0.869999999999998)); #577224=CARTESIAN_POINT('Origin',(3.1,2.355,1.26)); #577225=CARTESIAN_POINT('',(3.1,2.47,1.06)); #577226=CARTESIAN_POINT('',(3.1,2.355,-0.869999999999998)); #577227=CARTESIAN_POINT('',(3.1,1.855,-0.0400000000000008)); #577228=CARTESIAN_POINT('',(3.1,1.855,-0.0400000000000008)); #577229=CARTESIAN_POINT('',(3.1,1.855,1.26)); #577230=CARTESIAN_POINT('Origin',(3.1,2.355,-0.869999999999998)); #577231=CARTESIAN_POINT('',(0.17,2.355,-0.869999999999998)); #577232=CARTESIAN_POINT('Origin',(0.17,2.355,-0.869999999999998)); #577233=CARTESIAN_POINT('',(0.17,2.47,0.27)); #577234=CARTESIAN_POINT('',(0.17,2.47,-1.04)); #577235=CARTESIAN_POINT('',(0.17,2.37,0.37)); #577236=CARTESIAN_POINT('',(0.17,2.37,0.369999999999999)); #577237=CARTESIAN_POINT('',(0.17,2.355,0.37)); #577238=CARTESIAN_POINT('Origin',(0.17,2.355,0.369999999999999)); #577239=CARTESIAN_POINT('',(0.17,2.37,0.369999999999999)); #577240=CARTESIAN_POINT('',(-0.379348936836007,2.355,0.369999999999999)); #577241=CARTESIAN_POINT('',(0.379348936836007,2.355,0.369999999999999)); #577242=CARTESIAN_POINT('',(-0.379348936836007,1.855,0.369999999999999)); #577243=CARTESIAN_POINT('',(-0.379348936836007,1.855,0.369999999999999)); #577244=CARTESIAN_POINT('',(0.379348936836007,1.855,0.369999999999999)); #577245=CARTESIAN_POINT('',(0.379348936836007,1.855,0.369999999999999)); #577246=CARTESIAN_POINT('',(0.379348936836007,1.855,0.369999999999999)); #577247=CARTESIAN_POINT('Origin',(0.,2.355,0.)); #577248=CARTESIAN_POINT('',(-2.72229406209047,2.355,-0.0400000000000008)); #577249=CARTESIAN_POINT('',(-3.1,2.355,-0.0400000000000008)); #577250=CARTESIAN_POINT('',(-2.65,2.355,0.369999999999999)); #577251=CARTESIAN_POINT('',(-2.72229406209047,2.355,-0.0400000000000008)); #577252=CARTESIAN_POINT('',(-2.41,2.355,0.369999999999999)); #577253=CARTESIAN_POINT('',(-2.65,2.355,0.369999999999999)); #577254=CARTESIAN_POINT('',(-2.1395415582701,2.355,-0.21)); #577255=CARTESIAN_POINT('',(-2.41,2.355,0.369999999999999)); #577256=CARTESIAN_POINT('',(-2.1395415582701,2.355,-0.358466407912088)); #577257=CARTESIAN_POINT('',(-2.1395415582701,2.355,-0.21)); #577258=CARTESIAN_POINT('',(-2.04754028675967,2.355,-0.508466407912088)); #577259=CARTESIAN_POINT('',(-2.1395415582701,2.355,-0.358466407912088)); #577260=CARTESIAN_POINT('',(-1.98427092032134,2.355,-0.508466407912088)); #577261=CARTESIAN_POINT('',(-2.04754028675967,2.355,-0.508466407912088)); #577262=CARTESIAN_POINT('',(-1.89226964881091,2.355,-0.358466407912088)); #577263=CARTESIAN_POINT('',(-1.98427092032134,2.355,-0.508466407912088)); #577264=CARTESIAN_POINT('',(-1.89226964881091,2.355,-0.21)); #577265=CARTESIAN_POINT('',(-1.89226964881091,2.355,-0.358466407912088)); #577266=CARTESIAN_POINT('',(-1.47226964881091,2.355,-0.21)); #577267=CARTESIAN_POINT('',(-1.89226964881091,2.355,-0.21)); #577268=CARTESIAN_POINT('',(-1.37,2.355,0.369999999999999)); #577269=CARTESIAN_POINT('',(-1.47226964881091,2.355,-0.21)); #577270=CARTESIAN_POINT('',(-1.13,2.355,0.369999999999999)); #577271=CARTESIAN_POINT('',(-1.37,2.355,0.369999999999999)); #577272=CARTESIAN_POINT('',(-1.05946920771661,2.355,-0.0300000000000006)); #577273=CARTESIAN_POINT('',(-1.13,2.355,0.369999999999999)); #577274=CARTESIAN_POINT('',(-0.449879729119392,2.355,-0.0300000000000006)); #577275=CARTESIAN_POINT('',(-1.05946920771661,2.355,-0.0300000000000006)); #577276=CARTESIAN_POINT('',(-0.449879729119392,2.355,-0.0300000000000006)); #577277=CARTESIAN_POINT('Origin',(-3.6,2.355,1.25086280059687)); #577278=CARTESIAN_POINT('',(-3.6,2.355,-0.869999999999999)); #577279=CARTESIAN_POINT('',(-3.6,2.355,1.25086280059687)); #577280=CARTESIAN_POINT('',(-3.6,2.355,-0.869999999999999)); #577281=CARTESIAN_POINT('Origin',(-3.6,2.355,-0.869999999999999)); #577282=CARTESIAN_POINT('',(-3.32213604519992,2.355,-0.869999999999999)); #577283=CARTESIAN_POINT('',(-3.6,2.355,-0.869999999999999)); #577284=CARTESIAN_POINT('',(-3.32213604519992,2.355,-0.869999999999999)); #577285=CARTESIAN_POINT('Origin',(-3.32213604519992,2.355,-0.869999999999999)); #577286=CARTESIAN_POINT('',(-3.32213604519992,2.47,-1.04)); #577287=CARTESIAN_POINT('',(-3.32213604519992,2.355,-0.869999999999999)); #577288=CARTESIAN_POINT('Origin',(0.,2.355,0.)); #577289=CARTESIAN_POINT('Origin',(3.6,2.355,1.25086280059687)); #577290=CARTESIAN_POINT('',(3.6,2.355,-0.869999999999998)); #577291=CARTESIAN_POINT('',(3.6,2.355,-0.869999999999998)); #577292=CARTESIAN_POINT('',(3.6,2.355,1.25086280059687)); #577293=CARTESIAN_POINT('Origin',(3.6,2.355,-0.869999999999998)); #577294=CARTESIAN_POINT('',(3.32213604519991,2.355,-0.869999999999998)); #577295=CARTESIAN_POINT('',(3.32213604519991,2.355,-0.869999999999998)); #577296=CARTESIAN_POINT('',(3.6,2.355,-0.869999999999998)); #577297=CARTESIAN_POINT('Origin',(3.32213604519991,2.355,-0.869999999999998)); #577298=CARTESIAN_POINT('',(3.32213604519991,2.47,-1.04)); #577299=CARTESIAN_POINT('',(3.32213604519991,2.355,-0.869999999999998)); #577300=CARTESIAN_POINT('Origin',(0.,2.355,0.)); #577301=CARTESIAN_POINT('Origin',(3.1,1.855,-0.0400000000000008)); #577302=CARTESIAN_POINT('',(2.72229406209047,1.855,-0.0400000000000008)); #577303=CARTESIAN_POINT('',(2.72229406209047,1.855,-0.0400000000000008)); #577304=CARTESIAN_POINT('',(3.1,1.855,-0.0400000000000008)); #577305=CARTESIAN_POINT('Origin',(2.72229406209047,1.855,-0.0400000000000008)); #577306=CARTESIAN_POINT('',(2.65,1.855,0.369999999999999)); #577307=CARTESIAN_POINT('',(2.65,1.855,0.369999999999999)); #577308=CARTESIAN_POINT('',(2.72229406209047,1.855,-0.0400000000000008)); #577309=CARTESIAN_POINT('Origin',(2.65,1.855,0.369999999999999)); #577310=CARTESIAN_POINT('',(2.41,1.855,0.369999999999999)); #577311=CARTESIAN_POINT('',(2.41,1.855,0.369999999999999)); #577312=CARTESIAN_POINT('',(2.65,1.855,0.369999999999999)); #577313=CARTESIAN_POINT('Origin',(2.41,1.855,0.369999999999999)); #577314=CARTESIAN_POINT('',(2.1395415582701,1.855,-0.21)); #577315=CARTESIAN_POINT('',(2.1395415582701,1.855,-0.21)); #577316=CARTESIAN_POINT('',(2.41,1.855,0.369999999999999)); #577317=CARTESIAN_POINT('Origin',(2.1395415582701,1.855,-0.21)); #577318=CARTESIAN_POINT('',(2.1395415582701,1.855,-0.358466407912088)); #577319=CARTESIAN_POINT('',(2.1395415582701,1.855,-0.358466407912088)); #577320=CARTESIAN_POINT('',(2.1395415582701,1.855,-0.21)); #577321=CARTESIAN_POINT('Origin',(2.1395415582701,1.855,-0.358466407912088)); #577322=CARTESIAN_POINT('',(2.04754028675967,1.855,-0.508466407912088)); #577323=CARTESIAN_POINT('',(2.04754028675967,1.855,-0.508466407912088)); #577324=CARTESIAN_POINT('',(2.1395415582701,1.855,-0.358466407912088)); #577325=CARTESIAN_POINT('Origin',(2.04754028675967,1.855,-0.508466407912088)); #577326=CARTESIAN_POINT('',(1.98427092032134,1.855,-0.508466407912088)); #577327=CARTESIAN_POINT('',(1.98427092032134,1.855,-0.508466407912088)); #577328=CARTESIAN_POINT('',(2.04754028675967,1.855,-0.508466407912088)); #577329=CARTESIAN_POINT('Origin',(1.98427092032134,1.855,-0.508466407912088)); #577330=CARTESIAN_POINT('',(1.89226964881091,1.855,-0.358466407912088)); #577331=CARTESIAN_POINT('',(1.89226964881091,1.855,-0.358466407912088)); #577332=CARTESIAN_POINT('',(1.98427092032134,1.855,-0.508466407912088)); #577333=CARTESIAN_POINT('Origin',(1.89226964881091,1.855,-0.358466407912088)); #577334=CARTESIAN_POINT('',(1.89226964881091,1.855,-0.21)); #577335=CARTESIAN_POINT('',(1.89226964881091,1.855,-0.21)); #577336=CARTESIAN_POINT('',(1.89226964881091,1.855,-0.358466407912088)); #577337=CARTESIAN_POINT('Origin',(1.89226964881091,1.855,-0.21)); #577338=CARTESIAN_POINT('',(1.47226964881091,1.855,-0.21)); #577339=CARTESIAN_POINT('',(1.47226964881091,1.855,-0.21)); #577340=CARTESIAN_POINT('',(1.89226964881091,1.855,-0.21)); #577341=CARTESIAN_POINT('Origin',(1.47226964881091,1.855,-0.21)); #577342=CARTESIAN_POINT('',(1.37,1.855,0.369999999999999)); #577343=CARTESIAN_POINT('',(1.37,1.855,0.369999999999999)); #577344=CARTESIAN_POINT('',(1.47226964881091,1.855,-0.21)); #577345=CARTESIAN_POINT('Origin',(1.37,1.855,0.369999999999999)); #577346=CARTESIAN_POINT('',(1.13,1.855,0.369999999999999)); #577347=CARTESIAN_POINT('',(1.13,1.855,0.369999999999999)); #577348=CARTESIAN_POINT('',(1.37,1.855,0.369999999999999)); #577349=CARTESIAN_POINT('Origin',(1.13,1.855,0.369999999999999)); #577350=CARTESIAN_POINT('',(1.05946920771661,1.855,-0.0300000000000006)); #577351=CARTESIAN_POINT('',(1.05946920771661,1.855,-0.0300000000000006)); #577352=CARTESIAN_POINT('',(1.13,1.855,0.369999999999999)); #577353=CARTESIAN_POINT('Origin',(1.05946920771661,1.855,-0.0300000000000006)); #577354=CARTESIAN_POINT('',(0.449879729119392,1.855,-0.0300000000000006)); #577355=CARTESIAN_POINT('',(0.449879729119392,1.855,-0.0300000000000006)); #577356=CARTESIAN_POINT('',(1.05946920771661,1.855,-0.0300000000000006)); #577357=CARTESIAN_POINT('Origin',(0.449879729119392,1.855,-0.0300000000000006)); #577358=CARTESIAN_POINT('',(0.449879729119392,1.855,-0.0300000000000006)); #577359=CARTESIAN_POINT('Origin',(-0.449879729119392,1.855,-0.0300000000000006)); #577360=CARTESIAN_POINT('',(-0.449879729119392,1.855,-0.0300000000000006)); #577361=CARTESIAN_POINT('',(-0.449879729119392,1.855,-0.0300000000000006)); #577362=CARTESIAN_POINT('',(-0.449879729119392,1.855,-0.0300000000000006)); #577363=CARTESIAN_POINT('Origin',(-1.05946920771661,1.855,-0.0300000000000006)); #577364=CARTESIAN_POINT('',(-1.05946920771661,1.855,-0.0300000000000006)); #577365=CARTESIAN_POINT('',(-1.05946920771661,1.855,-0.0300000000000006)); #577366=CARTESIAN_POINT('',(-1.05946920771661,1.855,-0.0300000000000006)); #577367=CARTESIAN_POINT('Origin',(-1.13,1.855,0.369999999999999)); #577368=CARTESIAN_POINT('',(-1.13,1.855,0.369999999999999)); #577369=CARTESIAN_POINT('',(-1.13,1.855,0.369999999999999)); #577370=CARTESIAN_POINT('',(-1.13,1.855,0.369999999999999)); #577371=CARTESIAN_POINT('Origin',(-1.37,1.855,0.369999999999999)); #577372=CARTESIAN_POINT('',(-1.37,1.855,0.369999999999999)); #577373=CARTESIAN_POINT('',(-1.37,1.855,0.369999999999999)); #577374=CARTESIAN_POINT('',(-1.37,1.855,0.369999999999999)); #577375=CARTESIAN_POINT('Origin',(-1.47226964881091,1.855,-0.21)); #577376=CARTESIAN_POINT('',(-1.47226964881091,1.855,-0.21)); #577377=CARTESIAN_POINT('',(-1.47226964881091,1.855,-0.21)); #577378=CARTESIAN_POINT('',(-1.47226964881091,1.855,-0.21)); #577379=CARTESIAN_POINT('Origin',(-1.89226964881091,1.855,-0.21)); #577380=CARTESIAN_POINT('',(-1.89226964881091,1.855,-0.21)); #577381=CARTESIAN_POINT('',(-1.89226964881091,1.855,-0.21)); #577382=CARTESIAN_POINT('',(-1.89226964881091,1.855,-0.21)); #577383=CARTESIAN_POINT('Origin',(-1.89226964881091,1.855,-0.358466407912088)); #577384=CARTESIAN_POINT('',(-1.89226964881091,1.855,-0.358466407912088)); #577385=CARTESIAN_POINT('',(-1.89226964881091,1.855,-0.358466407912088)); #577386=CARTESIAN_POINT('',(-1.89226964881091,1.855,-0.358466407912088)); #577387=CARTESIAN_POINT('Origin',(-1.98427092032134,1.855,-0.508466407912088)); #577388=CARTESIAN_POINT('',(-1.98427092032134,1.855,-0.508466407912088)); #577389=CARTESIAN_POINT('',(-1.98427092032134,1.855,-0.508466407912088)); #577390=CARTESIAN_POINT('',(-1.98427092032134,1.855,-0.508466407912088)); #577391=CARTESIAN_POINT('Origin',(-2.04754028675967,1.855,-0.508466407912088)); #577392=CARTESIAN_POINT('',(-2.04754028675967,1.855,-0.508466407912088)); #577393=CARTESIAN_POINT('',(-2.04754028675967,1.855,-0.508466407912088)); #577394=CARTESIAN_POINT('',(-2.04754028675967,1.855,-0.508466407912088)); #577395=CARTESIAN_POINT('Origin',(-2.1395415582701,1.855,-0.358466407912088)); #577396=CARTESIAN_POINT('',(-2.1395415582701,1.855,-0.358466407912088)); #577397=CARTESIAN_POINT('',(-2.1395415582701,1.855,-0.358466407912088)); #577398=CARTESIAN_POINT('',(-2.1395415582701,1.855,-0.358466407912088)); #577399=CARTESIAN_POINT('Origin',(-2.1395415582701,1.855,-0.21)); #577400=CARTESIAN_POINT('',(-2.1395415582701,1.855,-0.21)); #577401=CARTESIAN_POINT('',(-2.1395415582701,1.855,-0.21)); #577402=CARTESIAN_POINT('',(-2.1395415582701,1.855,-0.21)); #577403=CARTESIAN_POINT('Origin',(-2.41,1.855,0.369999999999999)); #577404=CARTESIAN_POINT('',(-2.41,1.855,0.369999999999999)); #577405=CARTESIAN_POINT('',(-2.41,1.855,0.369999999999999)); #577406=CARTESIAN_POINT('',(-2.41,1.855,0.369999999999999)); #577407=CARTESIAN_POINT('Origin',(-2.65,1.855,0.369999999999999)); #577408=CARTESIAN_POINT('',(-2.65,1.855,0.369999999999999)); #577409=CARTESIAN_POINT('',(-2.65,1.855,0.369999999999999)); #577410=CARTESIAN_POINT('',(-2.65,1.855,0.369999999999999)); #577411=CARTESIAN_POINT('Origin',(-2.72229406209047,1.855,-0.0400000000000008)); #577412=CARTESIAN_POINT('',(-2.72229406209047,1.855,-0.0400000000000008)); #577413=CARTESIAN_POINT('',(-2.72229406209047,1.855,-0.0400000000000008)); #577414=CARTESIAN_POINT('',(-2.72229406209047,1.855,-0.0400000000000008)); #577415=CARTESIAN_POINT('Origin',(-3.1,1.855,-0.0400000000000008)); #577416=CARTESIAN_POINT('',(-3.1,1.855,-0.0400000000000008)); #577417=CARTESIAN_POINT('Origin',(0.,1.855,0.)); #577418=CARTESIAN_POINT('Origin',(-3.345,-0.38,-10.8234987569897)); #577419=CARTESIAN_POINT('',(-3.145,-0.38,-10.8234987569897)); #577420=CARTESIAN_POINT('Origin',(-3.145,-0.38,-10.8234987569897)); #577421=CARTESIAN_POINT('',(-3.145,-1.12,-10.8234987569897)); #577422=CARTESIAN_POINT('Origin',(-3.145,-1.12,-10.8234987569897)); #577423=CARTESIAN_POINT('Origin',(3.345,-0.38,-10.8234987569897)); #577424=CARTESIAN_POINT('',(3.145,-0.38,-10.8234987569897)); #577425=CARTESIAN_POINT('Origin',(3.145,-1.12,-10.8234987569897)); #577426=CARTESIAN_POINT('',(3.145,-1.12,-10.8234987569897)); #577427=CARTESIAN_POINT('Origin',(3.145,-0.38,-10.8234987569897)); #577428=CARTESIAN_POINT('Origin',(-3.19,2.47,0.3)); #577429=CARTESIAN_POINT('Origin',(0.,2.47,0.)); #577430=CARTESIAN_POINT('',(4.30492039075126E-17,2.47,0.27)); #577431=CARTESIAN_POINT('Origin',(3.19,2.47,0.299999999999999)); #577432=CARTESIAN_POINT('Origin',(0.17,2.37,0.369999999999999)); #577433=CARTESIAN_POINT('',(0.,0.,0.)); #577434=CARTESIAN_POINT('Origin',(0.41,-1.5,-0.12)); #577435=CARTESIAN_POINT('',(0.41,-1.5,0.)); #577436=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #577437=CARTESIAN_POINT('',(0.41,-1.5,0.)); #577438=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #577439=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #577440=CARTESIAN_POINT('',(0.41,-1.5,-0.12)); #577441=CARTESIAN_POINT('',(0.41,-1.5,-0.12)); #577442=CARTESIAN_POINT('',(0.41,-1.5,-0.12)); #577443=CARTESIAN_POINT('Origin',(-0.120000000000002,-0.5,-0.12)); #577444=CARTESIAN_POINT('',(-0.12,-1.41361293861773E-16,0.)); #577445=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #577446=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #577447=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #577448=CARTESIAN_POINT('',(-0.12,0.22,-1.826)); #577449=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #577450=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.906)); #577451=CARTESIAN_POINT('Origin',(-0.12,0.3,-1.826)); #577452=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.906)); #577453=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.906)); #577454=CARTESIAN_POINT('',(-0.119999999999998,0.888,-2.026)); #577455=CARTESIAN_POINT('',(-0.119999999999998,0.888,-2.026)); #577456=CARTESIAN_POINT('',(-0.119999999999999,0.3,-2.026)); #577457=CARTESIAN_POINT('',(-0.119999999999999,0.3,-2.026)); #577458=CARTESIAN_POINT('',(-0.12,0.1,-1.826)); #577459=CARTESIAN_POINT('Origin',(-0.119999999999999,0.3,-1.826)); #577460=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #577461=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #577462=CARTESIAN_POINT('',(-0.12,4.33680868994202E-16,-0.12)); #577463=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #577464=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #577465=CARTESIAN_POINT('Origin',(0.12,2.71050543121376E-17,-0.12)); #577466=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,0.)); #577467=CARTESIAN_POINT('',(0.12,-0.5,0.)); #577468=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,0.)); #577469=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #577470=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #577471=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,-0.12)); #577472=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,-0.12)); #577473=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #577474=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #577475=CARTESIAN_POINT('',(0.12,0.1,-1.826)); #577476=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #577477=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #577478=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #577479=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #577480=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #577481=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.906)); #577482=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #577483=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #577484=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #577485=CARTESIAN_POINT('',(0.12,0.22,-1.826)); #577486=CARTESIAN_POINT('Origin',(0.12,0.3,-1.826)); #577487=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #577488=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #577489=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #577490=CARTESIAN_POINT('Origin',(0.12,-0.5,-0.12)); #577491=CARTESIAN_POINT('',(0.76,-1.5,0.)); #577492=CARTESIAN_POINT('',(0.12,-0.5,0.)); #577493=CARTESIAN_POINT('',(0.76,-1.5,-0.12)); #577494=CARTESIAN_POINT('',(0.76,-1.5,-0.12)); #577495=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #577496=CARTESIAN_POINT('Origin',(0.76,-1.5,-0.12)); #577497=CARTESIAN_POINT('',(0.76,-6.03,-0.08)); #577498=CARTESIAN_POINT('',(0.76,-6.03,-0.04)); #577499=CARTESIAN_POINT('',(0.76,-6.03,-0.12)); #577500=CARTESIAN_POINT('',(0.76,-5.99,-0.12)); #577501=CARTESIAN_POINT('',(0.76,-5.99,-0.12)); #577502=CARTESIAN_POINT('',(0.76,-1.5,-0.12)); #577503=CARTESIAN_POINT('',(0.76,-5.99,0.)); #577504=CARTESIAN_POINT('',(0.76,-1.5,0.)); #577505=CARTESIAN_POINT('',(0.76,-6.03,-0.04)); #577506=CARTESIAN_POINT('Origin',(0.76,-6.03,-0.12)); #577507=CARTESIAN_POINT('',(0.41,-6.03,-0.08)); #577508=CARTESIAN_POINT('',(0.41,-6.03,-0.04)); #577509=CARTESIAN_POINT('',(0.41,-6.03,-0.12)); #577510=CARTESIAN_POINT('',(0.76,-6.03,-0.08)); #577511=CARTESIAN_POINT('',(0.76,-6.03,-0.04)); #577512=CARTESIAN_POINT('Origin',(0.41,-6.03,-0.12)); #577513=CARTESIAN_POINT('',(0.41,-5.99,-0.12)); #577514=CARTESIAN_POINT('',(0.41,-6.03,-0.12)); #577515=CARTESIAN_POINT('',(0.41,-5.99,-0.12)); #577516=CARTESIAN_POINT('',(0.41,-5.99,0.)); #577517=CARTESIAN_POINT('',(0.41,-6.03,-0.04)); #577518=CARTESIAN_POINT('',(0.41,-6.03,0.)); #577519=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #577520=CARTESIAN_POINT('',(0.41,-5.99,-0.12)); #577521=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,-0.12)); #577522=CARTESIAN_POINT('Origin',(0.,0.,0.)); #577523=CARTESIAN_POINT('',(0.,-5.99,0.)); #577524=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,0.)); #577525=CARTESIAN_POINT('Origin',(0.120000000000002,0.888,-2.026)); #577526=CARTESIAN_POINT('',(0.120000000000001,0.888,-1.906)); #577527=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #577528=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #577529=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #577530=CARTESIAN_POINT('Origin',(0.12,0.0999999999999999,-0.22)); #577531=CARTESIAN_POINT('',(0.12,0.1,-1.826)); #577532=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #577533=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #577534=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-2.026)); #577535=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #577536=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #577537=CARTESIAN_POINT('Origin',(0.12,0.22,-0.22)); #577538=CARTESIAN_POINT('',(0.120000000000001,0.22,-1.826)); #577539=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #577540=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #577541=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.906)); #577542=CARTESIAN_POINT('Origin',(0.,-5.99,-0.12)); #577543=CARTESIAN_POINT('Origin',(0.76,-6.03,-0.04)); #577544=CARTESIAN_POINT('',(0.,0.,0.)); #577545=CARTESIAN_POINT('Origin',(0.375,-1.5,-0.12)); #577546=CARTESIAN_POINT('',(0.375,-1.5,0.)); #577547=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #577548=CARTESIAN_POINT('',(0.375,-1.5,0.)); #577549=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #577550=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #577551=CARTESIAN_POINT('',(0.375,-1.5,-0.12)); #577552=CARTESIAN_POINT('',(0.375,-1.5,-0.12)); #577553=CARTESIAN_POINT('',(0.375,-1.5,-0.12)); #577554=CARTESIAN_POINT('Origin',(-0.120000000000002,-0.5,-0.12)); #577555=CARTESIAN_POINT('',(-0.12,-1.41361293861773E-16,0.)); #577556=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #577557=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #577558=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #577559=CARTESIAN_POINT('',(-0.12,0.22,-1.248)); #577560=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #577561=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.328)); #577562=CARTESIAN_POINT('Origin',(-0.12,0.3,-1.248)); #577563=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.328)); #577564=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.328)); #577565=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.448)); #577566=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.448)); #577567=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.448)); #577568=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.448)); #577569=CARTESIAN_POINT('',(-0.12,0.1,-1.248)); #577570=CARTESIAN_POINT('Origin',(-0.119999999999999,0.3,-1.248)); #577571=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #577572=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #577573=CARTESIAN_POINT('',(-0.12,4.33680868994202E-16,-0.12)); #577574=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #577575=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #577576=CARTESIAN_POINT('Origin',(0.12,2.71050543121376E-17,-0.12)); #577577=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,0.)); #577578=CARTESIAN_POINT('',(0.12,-0.5,0.)); #577579=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,0.)); #577580=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #577581=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #577582=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,-0.12)); #577583=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,-0.12)); #577584=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #577585=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #577586=CARTESIAN_POINT('',(0.12,0.1,-1.248)); #577587=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #577588=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #577589=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #577590=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #577591=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #577592=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.328)); #577593=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #577594=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #577595=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #577596=CARTESIAN_POINT('',(0.12,0.22,-1.248)); #577597=CARTESIAN_POINT('Origin',(0.12,0.3,-1.248)); #577598=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #577599=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #577600=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #577601=CARTESIAN_POINT('Origin',(0.12,-0.5,-0.12)); #577602=CARTESIAN_POINT('',(0.625,-1.5,0.)); #577603=CARTESIAN_POINT('',(0.12,-0.5,0.)); #577604=CARTESIAN_POINT('',(0.625,-1.5,-0.12)); #577605=CARTESIAN_POINT('',(0.625,-1.5,-0.12)); #577606=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #577607=CARTESIAN_POINT('Origin',(0.625,-1.5,-0.12)); #577608=CARTESIAN_POINT('',(0.625,-5.51,-0.08)); #577609=CARTESIAN_POINT('',(0.625,-5.51,-0.04)); #577610=CARTESIAN_POINT('',(0.625,-5.51,-0.12)); #577611=CARTESIAN_POINT('',(0.625,-5.47,-0.12)); #577612=CARTESIAN_POINT('',(0.625,-5.47,-0.12)); #577613=CARTESIAN_POINT('',(0.625,-1.5,-0.12)); #577614=CARTESIAN_POINT('',(0.625,-5.47,0.)); #577615=CARTESIAN_POINT('',(0.625,-1.5,0.)); #577616=CARTESIAN_POINT('',(0.625,-5.51,-0.04)); #577617=CARTESIAN_POINT('Origin',(0.625,-5.51,-0.12)); #577618=CARTESIAN_POINT('',(0.375,-5.51,-0.08)); #577619=CARTESIAN_POINT('',(0.375,-5.51,-0.04)); #577620=CARTESIAN_POINT('',(0.375,-5.51,-0.12)); #577621=CARTESIAN_POINT('',(0.625,-5.51,-0.08)); #577622=CARTESIAN_POINT('',(0.625,-5.51,-0.04)); #577623=CARTESIAN_POINT('Origin',(0.375,-5.51,-0.12)); #577624=CARTESIAN_POINT('',(0.375,-5.47,-0.12)); #577625=CARTESIAN_POINT('',(0.375,-5.51,-0.12)); #577626=CARTESIAN_POINT('',(0.375,-5.47,-0.12)); #577627=CARTESIAN_POINT('',(0.375,-5.47,0.)); #577628=CARTESIAN_POINT('',(0.375,-5.51,-0.04)); #577629=CARTESIAN_POINT('',(0.375,-5.51,0.)); #577630=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #577631=CARTESIAN_POINT('',(0.375,-5.47,-0.12)); #577632=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,-0.12)); #577633=CARTESIAN_POINT('Origin',(0.,0.,0.)); #577634=CARTESIAN_POINT('',(0.,-5.47,0.)); #577635=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,0.)); #577636=CARTESIAN_POINT('Origin',(0.120000000000002,0.888,-1.448)); #577637=CARTESIAN_POINT('',(0.120000000000001,0.888,-1.328)); #577638=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #577639=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #577640=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #577641=CARTESIAN_POINT('Origin',(0.12,0.0999999999999999,-0.22)); #577642=CARTESIAN_POINT('',(0.12,0.1,-1.248)); #577643=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #577644=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #577645=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.448)); #577646=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #577647=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #577648=CARTESIAN_POINT('Origin',(0.12,0.22,-0.22)); #577649=CARTESIAN_POINT('',(0.120000000000001,0.22,-1.248)); #577650=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #577651=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #577652=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.328)); #577653=CARTESIAN_POINT('Origin',(0.,-5.47,-0.12)); #577654=CARTESIAN_POINT('Origin',(0.625,-5.51,-0.04)); #577655=CARTESIAN_POINT('',(0.,0.,0.)); #577656=CARTESIAN_POINT('Origin',(0.15,-1.5,-0.12)); #577657=CARTESIAN_POINT('',(0.15,-1.5,0.)); #577658=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #577659=CARTESIAN_POINT('',(0.15,-1.5,0.)); #577660=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #577661=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #577662=CARTESIAN_POINT('',(0.15,-1.5,-0.12)); #577663=CARTESIAN_POINT('',(0.15,-1.5,-0.12)); #577664=CARTESIAN_POINT('',(0.15,-1.5,-0.12)); #577665=CARTESIAN_POINT('Origin',(-0.120000000000002,-0.5,-0.12)); #577666=CARTESIAN_POINT('',(-0.12,-1.41361293861773E-16,0.)); #577667=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #577668=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #577669=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #577670=CARTESIAN_POINT('',(-0.12,0.22,-1.248)); #577671=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #577672=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.328)); #577673=CARTESIAN_POINT('Origin',(-0.12,0.3,-1.248)); #577674=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.328)); #577675=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.328)); #577676=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.448)); #577677=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.448)); #577678=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.448)); #577679=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.448)); #577680=CARTESIAN_POINT('',(-0.12,0.1,-1.248)); #577681=CARTESIAN_POINT('Origin',(-0.119999999999999,0.3,-1.248)); #577682=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #577683=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #577684=CARTESIAN_POINT('',(-0.12,4.33680868994202E-16,-0.12)); #577685=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #577686=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #577687=CARTESIAN_POINT('Origin',(0.12,2.71050543121376E-17,-0.12)); #577688=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,0.)); #577689=CARTESIAN_POINT('',(0.12,-0.5,0.)); #577690=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,0.)); #577691=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #577692=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #577693=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,-0.12)); #577694=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,-0.12)); #577695=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #577696=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #577697=CARTESIAN_POINT('',(0.12,0.1,-1.248)); #577698=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #577699=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #577700=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #577701=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #577702=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #577703=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.328)); #577704=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #577705=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #577706=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #577707=CARTESIAN_POINT('',(0.12,0.22,-1.248)); #577708=CARTESIAN_POINT('Origin',(0.12,0.3,-1.248)); #577709=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #577710=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #577711=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #577712=CARTESIAN_POINT('Origin',(0.12,-0.5,-0.12)); #577713=CARTESIAN_POINT('',(0.5,-1.5,0.)); #577714=CARTESIAN_POINT('',(0.12,-0.5,0.)); #577715=CARTESIAN_POINT('',(0.5,-1.5,-0.12)); #577716=CARTESIAN_POINT('',(0.5,-1.5,-0.12)); #577717=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #577718=CARTESIAN_POINT('Origin',(0.5,-1.5,-0.12)); #577719=CARTESIAN_POINT('',(0.5,-6.03,-0.08)); #577720=CARTESIAN_POINT('',(0.5,-6.03,-0.04)); #577721=CARTESIAN_POINT('',(0.5,-6.03,-0.12)); #577722=CARTESIAN_POINT('',(0.5,-5.99,-0.12)); #577723=CARTESIAN_POINT('',(0.5,-5.99,-0.12)); #577724=CARTESIAN_POINT('',(0.5,-1.5,-0.12)); #577725=CARTESIAN_POINT('',(0.5,-5.99,0.)); #577726=CARTESIAN_POINT('',(0.5,-1.5,0.)); #577727=CARTESIAN_POINT('',(0.5,-6.03,-0.04)); #577728=CARTESIAN_POINT('Origin',(0.5,-6.03,-0.12)); #577729=CARTESIAN_POINT('',(0.15,-6.03,-0.08)); #577730=CARTESIAN_POINT('',(0.15,-6.03,-0.04)); #577731=CARTESIAN_POINT('',(0.15,-6.03,-0.12)); #577732=CARTESIAN_POINT('',(0.5,-6.03,-0.08)); #577733=CARTESIAN_POINT('',(0.5,-6.03,-0.04)); #577734=CARTESIAN_POINT('Origin',(0.15,-6.03,-0.12)); #577735=CARTESIAN_POINT('',(0.15,-5.99,-0.12)); #577736=CARTESIAN_POINT('',(0.15,-6.03,-0.12)); #577737=CARTESIAN_POINT('',(0.15,-5.99,-0.12)); #577738=CARTESIAN_POINT('',(0.15,-5.99,0.)); #577739=CARTESIAN_POINT('',(0.15,-6.03,-0.04)); #577740=CARTESIAN_POINT('',(0.15,-6.03,0.)); #577741=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #577742=CARTESIAN_POINT('',(0.15,-5.99,-0.12)); #577743=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,-0.12)); #577744=CARTESIAN_POINT('Origin',(0.,0.,0.)); #577745=CARTESIAN_POINT('',(0.,-5.99,0.)); #577746=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,0.)); #577747=CARTESIAN_POINT('Origin',(0.120000000000002,0.888,-1.448)); #577748=CARTESIAN_POINT('',(0.120000000000001,0.888,-1.328)); #577749=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #577750=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #577751=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #577752=CARTESIAN_POINT('Origin',(0.12,0.0999999999999999,-0.22)); #577753=CARTESIAN_POINT('',(0.12,0.1,-1.248)); #577754=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #577755=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #577756=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.448)); #577757=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #577758=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #577759=CARTESIAN_POINT('Origin',(0.12,0.22,-0.22)); #577760=CARTESIAN_POINT('',(0.120000000000001,0.22,-1.248)); #577761=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #577762=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #577763=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.328)); #577764=CARTESIAN_POINT('Origin',(0.,-5.99,-0.12)); #577765=CARTESIAN_POINT('Origin',(0.5,-6.03,-0.04)); #577766=CARTESIAN_POINT('',(0.,0.,0.)); #577767=CARTESIAN_POINT('Origin',(0.875,-1.5,-0.12)); #577768=CARTESIAN_POINT('',(0.875,-1.5,0.)); #577769=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #577770=CARTESIAN_POINT('',(0.875,-1.5,0.)); #577771=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #577772=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #577773=CARTESIAN_POINT('',(0.875,-1.5,-0.12)); #577774=CARTESIAN_POINT('',(0.875,-1.5,-0.12)); #577775=CARTESIAN_POINT('',(0.875,-1.5,-0.12)); #577776=CARTESIAN_POINT('Origin',(-0.120000000000002,-0.5,-0.12)); #577777=CARTESIAN_POINT('',(-0.12,-1.41361293861773E-16,0.)); #577778=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #577779=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #577780=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #577781=CARTESIAN_POINT('',(-0.12,0.22,-1.248)); #577782=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #577783=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.328)); #577784=CARTESIAN_POINT('Origin',(-0.12,0.3,-1.248)); #577785=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.328)); #577786=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.328)); #577787=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.448)); #577788=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.448)); #577789=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.448)); #577790=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.448)); #577791=CARTESIAN_POINT('',(-0.12,0.1,-1.248)); #577792=CARTESIAN_POINT('Origin',(-0.119999999999999,0.3,-1.248)); #577793=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #577794=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #577795=CARTESIAN_POINT('',(-0.12,4.33680868994202E-16,-0.12)); #577796=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #577797=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #577798=CARTESIAN_POINT('Origin',(0.12,2.71050543121376E-17,-0.12)); #577799=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,0.)); #577800=CARTESIAN_POINT('',(0.12,-0.5,0.)); #577801=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,0.)); #577802=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #577803=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #577804=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,-0.12)); #577805=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,-0.12)); #577806=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #577807=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #577808=CARTESIAN_POINT('',(0.12,0.1,-1.248)); #577809=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #577810=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #577811=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #577812=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #577813=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #577814=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.328)); #577815=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #577816=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #577817=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #577818=CARTESIAN_POINT('',(0.12,0.22,-1.248)); #577819=CARTESIAN_POINT('Origin',(0.12,0.3,-1.248)); #577820=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #577821=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #577822=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #577823=CARTESIAN_POINT('Origin',(0.12,-0.5,-0.12)); #577824=CARTESIAN_POINT('',(1.125,-1.5,0.)); #577825=CARTESIAN_POINT('',(0.12,-0.5,0.)); #577826=CARTESIAN_POINT('',(1.125,-1.5,-0.12)); #577827=CARTESIAN_POINT('',(1.125,-1.5,-0.12)); #577828=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #577829=CARTESIAN_POINT('Origin',(1.125,-1.5,-0.12)); #577830=CARTESIAN_POINT('',(1.125,-5.51,-0.08)); #577831=CARTESIAN_POINT('',(1.125,-5.51,-0.04)); #577832=CARTESIAN_POINT('',(1.125,-5.51,-0.12)); #577833=CARTESIAN_POINT('',(1.125,-5.47,-0.12)); #577834=CARTESIAN_POINT('',(1.125,-5.47,-0.12)); #577835=CARTESIAN_POINT('',(1.125,-1.5,-0.12)); #577836=CARTESIAN_POINT('',(1.125,-5.47,0.)); #577837=CARTESIAN_POINT('',(1.125,-1.5,0.)); #577838=CARTESIAN_POINT('',(1.125,-5.51,-0.04)); #577839=CARTESIAN_POINT('Origin',(1.125,-5.51,-0.12)); #577840=CARTESIAN_POINT('',(0.875,-5.51,-0.08)); #577841=CARTESIAN_POINT('',(0.875,-5.51,-0.04)); #577842=CARTESIAN_POINT('',(0.875,-5.51,-0.12)); #577843=CARTESIAN_POINT('',(1.125,-5.51,-0.08)); #577844=CARTESIAN_POINT('',(1.125,-5.51,-0.04)); #577845=CARTESIAN_POINT('Origin',(0.875,-5.51,-0.12)); #577846=CARTESIAN_POINT('',(0.875,-5.47,-0.12)); #577847=CARTESIAN_POINT('',(0.875,-5.51,-0.12)); #577848=CARTESIAN_POINT('',(0.875,-5.47,-0.12)); #577849=CARTESIAN_POINT('',(0.875,-5.47,0.)); #577850=CARTESIAN_POINT('',(0.875,-5.51,-0.04)); #577851=CARTESIAN_POINT('',(0.875,-5.51,0.)); #577852=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #577853=CARTESIAN_POINT('',(0.875,-5.47,-0.12)); #577854=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,-0.12)); #577855=CARTESIAN_POINT('Origin',(0.,0.,0.)); #577856=CARTESIAN_POINT('',(0.,-5.47,0.)); #577857=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,0.)); #577858=CARTESIAN_POINT('Origin',(0.120000000000002,0.888,-1.448)); #577859=CARTESIAN_POINT('',(0.120000000000001,0.888,-1.328)); #577860=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #577861=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #577862=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #577863=CARTESIAN_POINT('Origin',(0.12,0.0999999999999999,-0.22)); #577864=CARTESIAN_POINT('',(0.12,0.1,-1.248)); #577865=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #577866=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #577867=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.448)); #577868=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #577869=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #577870=CARTESIAN_POINT('Origin',(0.12,0.22,-0.22)); #577871=CARTESIAN_POINT('',(0.120000000000001,0.22,-1.248)); #577872=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #577873=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #577874=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.328)); #577875=CARTESIAN_POINT('Origin',(0.,-5.47,-0.12)); #577876=CARTESIAN_POINT('Origin',(1.125,-5.51,-0.04)); #577877=CARTESIAN_POINT('',(0.,0.,0.)); #577878=CARTESIAN_POINT('Origin',(0.375,-1.5,-0.12)); #577879=CARTESIAN_POINT('',(0.375,-1.5,0.)); #577880=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #577881=CARTESIAN_POINT('',(0.375,-1.5,0.)); #577882=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #577883=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #577884=CARTESIAN_POINT('',(0.375,-1.5,-0.12)); #577885=CARTESIAN_POINT('',(0.375,-1.5,-0.12)); #577886=CARTESIAN_POINT('',(0.375,-1.5,-0.12)); #577887=CARTESIAN_POINT('Origin',(-0.120000000000002,-0.5,-0.12)); #577888=CARTESIAN_POINT('',(-0.12,-1.41361293861773E-16,0.)); #577889=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #577890=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #577891=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #577892=CARTESIAN_POINT('',(-0.12,0.22,-1.826)); #577893=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #577894=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.906)); #577895=CARTESIAN_POINT('Origin',(-0.12,0.3,-1.826)); #577896=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.906)); #577897=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.906)); #577898=CARTESIAN_POINT('',(-0.119999999999998,0.888,-2.026)); #577899=CARTESIAN_POINT('',(-0.119999999999998,0.888,-2.026)); #577900=CARTESIAN_POINT('',(-0.119999999999999,0.3,-2.026)); #577901=CARTESIAN_POINT('',(-0.119999999999999,0.3,-2.026)); #577902=CARTESIAN_POINT('',(-0.12,0.1,-1.826)); #577903=CARTESIAN_POINT('Origin',(-0.119999999999999,0.3,-1.826)); #577904=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #577905=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #577906=CARTESIAN_POINT('',(-0.12,4.33680868994202E-16,-0.12)); #577907=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #577908=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #577909=CARTESIAN_POINT('Origin',(0.12,2.71050543121376E-17,-0.12)); #577910=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,0.)); #577911=CARTESIAN_POINT('',(0.12,-0.5,0.)); #577912=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,0.)); #577913=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #577914=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #577915=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,-0.12)); #577916=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,-0.12)); #577917=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #577918=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #577919=CARTESIAN_POINT('',(0.12,0.1,-1.826)); #577920=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #577921=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #577922=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #577923=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #577924=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #577925=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.906)); #577926=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #577927=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #577928=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #577929=CARTESIAN_POINT('',(0.12,0.22,-1.826)); #577930=CARTESIAN_POINT('Origin',(0.12,0.3,-1.826)); #577931=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #577932=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #577933=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #577934=CARTESIAN_POINT('Origin',(0.12,-0.5,-0.12)); #577935=CARTESIAN_POINT('',(0.625,-1.5,0.)); #577936=CARTESIAN_POINT('',(0.12,-0.5,0.)); #577937=CARTESIAN_POINT('',(0.625,-1.5,-0.12)); #577938=CARTESIAN_POINT('',(0.625,-1.5,-0.12)); #577939=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #577940=CARTESIAN_POINT('Origin',(0.625,-1.5,-0.12)); #577941=CARTESIAN_POINT('',(0.625,-5.51,-0.08)); #577942=CARTESIAN_POINT('',(0.625,-5.51,-0.04)); #577943=CARTESIAN_POINT('',(0.625,-5.51,-0.12)); #577944=CARTESIAN_POINT('',(0.625,-5.47,-0.12)); #577945=CARTESIAN_POINT('',(0.625,-5.47,-0.12)); #577946=CARTESIAN_POINT('',(0.625,-1.5,-0.12)); #577947=CARTESIAN_POINT('',(0.625,-5.47,0.)); #577948=CARTESIAN_POINT('',(0.625,-1.5,0.)); #577949=CARTESIAN_POINT('',(0.625,-5.51,-0.04)); #577950=CARTESIAN_POINT('Origin',(0.625,-5.51,-0.12)); #577951=CARTESIAN_POINT('',(0.375,-5.51,-0.08)); #577952=CARTESIAN_POINT('',(0.375,-5.51,-0.04)); #577953=CARTESIAN_POINT('',(0.375,-5.51,-0.12)); #577954=CARTESIAN_POINT('',(0.625,-5.51,-0.08)); #577955=CARTESIAN_POINT('',(0.625,-5.51,-0.04)); #577956=CARTESIAN_POINT('Origin',(0.375,-5.51,-0.12)); #577957=CARTESIAN_POINT('',(0.375,-5.47,-0.12)); #577958=CARTESIAN_POINT('',(0.375,-5.51,-0.12)); #577959=CARTESIAN_POINT('',(0.375,-5.47,-0.12)); #577960=CARTESIAN_POINT('',(0.375,-5.47,0.)); #577961=CARTESIAN_POINT('',(0.375,-5.51,-0.04)); #577962=CARTESIAN_POINT('',(0.375,-5.51,0.)); #577963=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #577964=CARTESIAN_POINT('',(0.375,-5.47,-0.12)); #577965=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,-0.12)); #577966=CARTESIAN_POINT('Origin',(0.,0.,0.)); #577967=CARTESIAN_POINT('',(0.,-5.47,0.)); #577968=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,0.)); #577969=CARTESIAN_POINT('Origin',(0.120000000000002,0.888,-2.026)); #577970=CARTESIAN_POINT('',(0.120000000000001,0.888,-1.906)); #577971=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #577972=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #577973=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #577974=CARTESIAN_POINT('Origin',(0.12,0.0999999999999999,-0.22)); #577975=CARTESIAN_POINT('',(0.12,0.1,-1.826)); #577976=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #577977=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #577978=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-2.026)); #577979=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #577980=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #577981=CARTESIAN_POINT('Origin',(0.12,0.22,-0.22)); #577982=CARTESIAN_POINT('',(0.120000000000001,0.22,-1.826)); #577983=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #577984=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #577985=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.906)); #577986=CARTESIAN_POINT('Origin',(0.,-5.47,-0.12)); #577987=CARTESIAN_POINT('Origin',(0.625,-5.51,-0.04)); #577988=CARTESIAN_POINT('',(0.,0.,0.)); #577989=CARTESIAN_POINT('Origin',(1.105,-1.5,-0.12)); #577990=CARTESIAN_POINT('',(1.105,-1.5,0.)); #577991=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #577992=CARTESIAN_POINT('',(1.105,-1.5,0.)); #577993=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #577994=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #577995=CARTESIAN_POINT('',(1.105,-1.5,-0.12)); #577996=CARTESIAN_POINT('',(1.105,-1.5,-0.12)); #577997=CARTESIAN_POINT('',(1.105,-1.5,-0.12)); #577998=CARTESIAN_POINT('Origin',(-0.120000000000002,-0.5,-0.12)); #577999=CARTESIAN_POINT('',(-0.12,-1.41361293861773E-16,0.)); #578000=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #578001=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #578002=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #578003=CARTESIAN_POINT('',(-0.12,0.22,-1.826)); #578004=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #578005=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.906)); #578006=CARTESIAN_POINT('Origin',(-0.12,0.3,-1.826)); #578007=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.906)); #578008=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.906)); #578009=CARTESIAN_POINT('',(-0.119999999999998,0.888,-2.026)); #578010=CARTESIAN_POINT('',(-0.119999999999998,0.888,-2.026)); #578011=CARTESIAN_POINT('',(-0.119999999999999,0.3,-2.026)); #578012=CARTESIAN_POINT('',(-0.119999999999999,0.3,-2.026)); #578013=CARTESIAN_POINT('',(-0.12,0.1,-1.826)); #578014=CARTESIAN_POINT('Origin',(-0.119999999999999,0.3,-1.826)); #578015=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #578016=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #578017=CARTESIAN_POINT('',(-0.12,4.33680868994202E-16,-0.12)); #578018=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #578019=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #578020=CARTESIAN_POINT('Origin',(0.12,2.71050543121376E-17,-0.12)); #578021=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,0.)); #578022=CARTESIAN_POINT('',(0.12,-0.5,0.)); #578023=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,0.)); #578024=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #578025=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #578026=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,-0.12)); #578027=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,-0.12)); #578028=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #578029=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #578030=CARTESIAN_POINT('',(0.12,0.1,-1.826)); #578031=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #578032=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #578033=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #578034=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #578035=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #578036=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.906)); #578037=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #578038=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #578039=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #578040=CARTESIAN_POINT('',(0.12,0.22,-1.826)); #578041=CARTESIAN_POINT('Origin',(0.12,0.3,-1.826)); #578042=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #578043=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #578044=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #578045=CARTESIAN_POINT('Origin',(0.12,-0.5,-0.12)); #578046=CARTESIAN_POINT('',(1.455,-1.5,0.)); #578047=CARTESIAN_POINT('',(0.12,-0.5,0.)); #578048=CARTESIAN_POINT('',(1.455,-1.5,-0.12)); #578049=CARTESIAN_POINT('',(1.455,-1.5,-0.12)); #578050=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #578051=CARTESIAN_POINT('Origin',(1.455,-1.5,-0.12)); #578052=CARTESIAN_POINT('',(1.455,-5.69,-0.08)); #578053=CARTESIAN_POINT('',(1.455,-5.69,-0.04)); #578054=CARTESIAN_POINT('',(1.455,-5.69,-0.12)); #578055=CARTESIAN_POINT('',(1.455,-5.65,-0.12)); #578056=CARTESIAN_POINT('',(1.455,-5.65,-0.12)); #578057=CARTESIAN_POINT('',(1.455,-1.5,-0.12)); #578058=CARTESIAN_POINT('',(1.455,-5.65,0.)); #578059=CARTESIAN_POINT('',(1.455,-1.5,0.)); #578060=CARTESIAN_POINT('',(1.455,-5.69,-0.04)); #578061=CARTESIAN_POINT('Origin',(1.455,-5.69,-0.12)); #578062=CARTESIAN_POINT('',(1.105,-5.69,-0.08)); #578063=CARTESIAN_POINT('',(1.105,-5.69,-0.04)); #578064=CARTESIAN_POINT('',(1.105,-5.69,-0.12)); #578065=CARTESIAN_POINT('',(1.455,-5.69,-0.08)); #578066=CARTESIAN_POINT('',(1.455,-5.69,-0.04)); #578067=CARTESIAN_POINT('Origin',(1.105,-5.69,-0.12)); #578068=CARTESIAN_POINT('',(1.105,-5.65,-0.12)); #578069=CARTESIAN_POINT('',(1.105,-5.69,-0.12)); #578070=CARTESIAN_POINT('',(1.105,-5.65,-0.12)); #578071=CARTESIAN_POINT('',(1.105,-5.65,0.)); #578072=CARTESIAN_POINT('',(1.105,-5.69,-0.04)); #578073=CARTESIAN_POINT('',(1.105,-5.69,0.)); #578074=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #578075=CARTESIAN_POINT('',(1.105,-5.65,-0.12)); #578076=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,-0.12)); #578077=CARTESIAN_POINT('Origin',(0.,0.,0.)); #578078=CARTESIAN_POINT('',(0.,-5.65,0.)); #578079=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,0.)); #578080=CARTESIAN_POINT('Origin',(0.120000000000002,0.888,-2.026)); #578081=CARTESIAN_POINT('',(0.120000000000001,0.888,-1.906)); #578082=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #578083=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #578084=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #578085=CARTESIAN_POINT('Origin',(0.12,0.0999999999999999,-0.22)); #578086=CARTESIAN_POINT('',(0.12,0.1,-1.826)); #578087=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #578088=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #578089=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-2.026)); #578090=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #578091=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #578092=CARTESIAN_POINT('Origin',(0.12,0.22,-0.22)); #578093=CARTESIAN_POINT('',(0.120000000000001,0.22,-1.826)); #578094=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #578095=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #578096=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.906)); #578097=CARTESIAN_POINT('Origin',(0.,-5.65,-0.12)); #578098=CARTESIAN_POINT('Origin',(1.455,-5.69,-0.04)); #578099=CARTESIAN_POINT('',(0.,0.,0.)); #578100=CARTESIAN_POINT('Origin',(0.845,-1.5,-0.12)); #578101=CARTESIAN_POINT('',(0.845,-1.5,0.)); #578102=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #578103=CARTESIAN_POINT('',(0.845,-1.5,0.)); #578104=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #578105=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #578106=CARTESIAN_POINT('',(0.845,-1.5,-0.12)); #578107=CARTESIAN_POINT('',(0.845,-1.5,-0.12)); #578108=CARTESIAN_POINT('',(0.845,-1.5,-0.12)); #578109=CARTESIAN_POINT('Origin',(-0.120000000000002,-0.5,-0.12)); #578110=CARTESIAN_POINT('',(-0.12,-1.41361293861773E-16,0.)); #578111=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #578112=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #578113=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #578114=CARTESIAN_POINT('',(-0.12,0.22,-1.248)); #578115=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #578116=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.328)); #578117=CARTESIAN_POINT('Origin',(-0.12,0.3,-1.248)); #578118=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.328)); #578119=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.328)); #578120=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.448)); #578121=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.448)); #578122=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.448)); #578123=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.448)); #578124=CARTESIAN_POINT('',(-0.12,0.1,-1.248)); #578125=CARTESIAN_POINT('Origin',(-0.119999999999999,0.3,-1.248)); #578126=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #578127=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #578128=CARTESIAN_POINT('',(-0.12,4.33680868994202E-16,-0.12)); #578129=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #578130=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #578131=CARTESIAN_POINT('Origin',(0.12,2.71050543121376E-17,-0.12)); #578132=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,0.)); #578133=CARTESIAN_POINT('',(0.12,-0.5,0.)); #578134=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,0.)); #578135=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #578136=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #578137=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,-0.12)); #578138=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,-0.12)); #578139=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #578140=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #578141=CARTESIAN_POINT('',(0.12,0.1,-1.248)); #578142=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #578143=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #578144=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #578145=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #578146=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #578147=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.328)); #578148=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #578149=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #578150=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #578151=CARTESIAN_POINT('',(0.12,0.22,-1.248)); #578152=CARTESIAN_POINT('Origin',(0.12,0.3,-1.248)); #578153=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #578154=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #578155=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #578156=CARTESIAN_POINT('Origin',(0.12,-0.5,-0.12)); #578157=CARTESIAN_POINT('',(1.195,-1.5,0.)); #578158=CARTESIAN_POINT('',(0.12,-0.5,0.)); #578159=CARTESIAN_POINT('',(1.195,-1.5,-0.12)); #578160=CARTESIAN_POINT('',(1.195,-1.5,-0.12)); #578161=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #578162=CARTESIAN_POINT('Origin',(1.195,-1.5,-0.12)); #578163=CARTESIAN_POINT('',(1.195,-5.69,-0.08)); #578164=CARTESIAN_POINT('',(1.195,-5.69,-0.04)); #578165=CARTESIAN_POINT('',(1.195,-5.69,-0.12)); #578166=CARTESIAN_POINT('',(1.195,-5.65,-0.12)); #578167=CARTESIAN_POINT('',(1.195,-5.65,-0.12)); #578168=CARTESIAN_POINT('',(1.195,-1.5,-0.12)); #578169=CARTESIAN_POINT('',(1.195,-5.65,0.)); #578170=CARTESIAN_POINT('',(1.195,-1.5,0.)); #578171=CARTESIAN_POINT('',(1.195,-5.69,-0.04)); #578172=CARTESIAN_POINT('Origin',(1.195,-5.69,-0.12)); #578173=CARTESIAN_POINT('',(0.845,-5.69,-0.08)); #578174=CARTESIAN_POINT('',(0.845,-5.69,-0.04)); #578175=CARTESIAN_POINT('',(0.845,-5.69,-0.12)); #578176=CARTESIAN_POINT('',(1.195,-5.69,-0.08)); #578177=CARTESIAN_POINT('',(1.195,-5.69,-0.04)); #578178=CARTESIAN_POINT('Origin',(0.845,-5.69,-0.12)); #578179=CARTESIAN_POINT('',(0.845,-5.65,-0.12)); #578180=CARTESIAN_POINT('',(0.845,-5.69,-0.12)); #578181=CARTESIAN_POINT('',(0.845,-5.65,-0.12)); #578182=CARTESIAN_POINT('',(0.845,-5.65,0.)); #578183=CARTESIAN_POINT('',(0.845,-5.69,-0.04)); #578184=CARTESIAN_POINT('',(0.845,-5.69,0.)); #578185=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #578186=CARTESIAN_POINT('',(0.845,-5.65,-0.12)); #578187=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,-0.12)); #578188=CARTESIAN_POINT('Origin',(0.,0.,0.)); #578189=CARTESIAN_POINT('',(0.,-5.65,0.)); #578190=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,0.)); #578191=CARTESIAN_POINT('Origin',(0.120000000000002,0.888,-1.448)); #578192=CARTESIAN_POINT('',(0.120000000000001,0.888,-1.328)); #578193=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #578194=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #578195=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #578196=CARTESIAN_POINT('Origin',(0.12,0.0999999999999999,-0.22)); #578197=CARTESIAN_POINT('',(0.12,0.1,-1.248)); #578198=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #578199=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #578200=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.448)); #578201=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #578202=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #578203=CARTESIAN_POINT('Origin',(0.12,0.22,-0.22)); #578204=CARTESIAN_POINT('',(0.120000000000001,0.22,-1.248)); #578205=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #578206=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #578207=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.328)); #578208=CARTESIAN_POINT('Origin',(0.,-5.65,-0.12)); #578209=CARTESIAN_POINT('Origin',(1.195,-5.69,-0.04)); #578210=CARTESIAN_POINT('',(0.,0.,0.)); #578211=CARTESIAN_POINT('Origin',(0.41,1.5,-0.12)); #578212=CARTESIAN_POINT('',(0.41,1.5,0.)); #578213=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #578214=CARTESIAN_POINT('',(0.41,1.5,0.)); #578215=CARTESIAN_POINT('',(0.41,1.5,-0.12)); #578216=CARTESIAN_POINT('',(0.41,1.5,-0.12)); #578217=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #578218=CARTESIAN_POINT('',(0.41,1.5,-0.12)); #578219=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #578220=CARTESIAN_POINT('Origin',(-0.120000000000002,0.5,-0.12)); #578221=CARTESIAN_POINT('',(-0.12,1.41361293861773E-16,0.)); #578222=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #578223=CARTESIAN_POINT('',(-0.12,-4.33680868994202E-16,-0.12)); #578224=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #578225=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #578226=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #578227=CARTESIAN_POINT('',(-0.12,-0.1,-1.826)); #578228=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #578229=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-2.026)); #578230=CARTESIAN_POINT('Origin',(-0.119999999999999,-0.3,-1.826)); #578231=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-2.026)); #578232=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-2.026)); #578233=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.906)); #578234=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-2.026)); #578235=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.906)); #578236=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.906)); #578237=CARTESIAN_POINT('',(-0.12,-0.22,-1.826)); #578238=CARTESIAN_POINT('Origin',(-0.12,-0.3,-1.826)); #578239=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #578240=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #578241=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #578242=CARTESIAN_POINT('Origin',(0.12,-2.71050543121376E-17,-0.12)); #578243=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,0.)); #578244=CARTESIAN_POINT('',(0.12,0.5,0.)); #578245=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,0.)); #578246=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #578247=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #578248=CARTESIAN_POINT('',(0.12,-0.22,-1.826)); #578249=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #578250=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.906)); #578251=CARTESIAN_POINT('Origin',(0.12,-0.3,-1.826)); #578252=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.906)); #578253=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.906)); #578254=CARTESIAN_POINT('',(0.120000000000002,-0.888,-2.026)); #578255=CARTESIAN_POINT('',(0.120000000000002,-0.888,-2.026)); #578256=CARTESIAN_POINT('',(0.120000000000001,-0.3,-2.026)); #578257=CARTESIAN_POINT('',(0.120000000000001,-0.3,-2.026)); #578258=CARTESIAN_POINT('',(0.12,-0.1,-1.826)); #578259=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.826)); #578260=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #578261=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #578262=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,-0.12)); #578263=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #578264=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #578265=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,-0.12)); #578266=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #578267=CARTESIAN_POINT('Origin',(0.12,0.5,-0.12)); #578268=CARTESIAN_POINT('',(0.76,1.5,0.)); #578269=CARTESIAN_POINT('',(0.12,0.5,0.)); #578270=CARTESIAN_POINT('',(0.76,1.5,-0.12)); #578271=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #578272=CARTESIAN_POINT('',(0.76,1.5,-0.12)); #578273=CARTESIAN_POINT('Origin',(0.76,1.5,-0.12)); #578274=CARTESIAN_POINT('',(0.76,6.03,-0.08)); #578275=CARTESIAN_POINT('',(0.76,6.03,-0.04)); #578276=CARTESIAN_POINT('',(0.76,6.03,-0.12)); #578277=CARTESIAN_POINT('',(0.76,5.99,0.)); #578278=CARTESIAN_POINT('',(0.76,6.03,-0.04)); #578279=CARTESIAN_POINT('',(0.76,1.5,0.)); #578280=CARTESIAN_POINT('',(0.76,5.99,-0.12)); #578281=CARTESIAN_POINT('',(0.76,1.5,-0.12)); #578282=CARTESIAN_POINT('',(0.76,5.99,-0.12)); #578283=CARTESIAN_POINT('Origin',(0.76,6.03,-0.12)); #578284=CARTESIAN_POINT('',(0.41,6.03,-0.08)); #578285=CARTESIAN_POINT('',(0.41,6.03,-0.04)); #578286=CARTESIAN_POINT('',(0.41,6.03,-0.12)); #578287=CARTESIAN_POINT('',(0.76,6.03,-0.04)); #578288=CARTESIAN_POINT('',(0.76,6.03,-0.08)); #578289=CARTESIAN_POINT('Origin',(0.41,6.03,-0.12)); #578290=CARTESIAN_POINT('',(0.41,5.99,-0.12)); #578291=CARTESIAN_POINT('',(0.41,6.03,-0.12)); #578292=CARTESIAN_POINT('',(0.41,5.99,0.)); #578293=CARTESIAN_POINT('',(0.41,6.03,0.)); #578294=CARTESIAN_POINT('',(0.41,6.03,-0.04)); #578295=CARTESIAN_POINT('',(0.41,5.99,-0.12)); #578296=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #578297=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,-0.12)); #578298=CARTESIAN_POINT('',(0.41,5.99,-0.12)); #578299=CARTESIAN_POINT('Origin',(0.,0.,0.)); #578300=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,0.)); #578301=CARTESIAN_POINT('',(0.,5.99,0.)); #578302=CARTESIAN_POINT('Origin',(0.120000000000002,-0.888,-2.026)); #578303=CARTESIAN_POINT('',(0.120000000000002,-0.888,-2.026)); #578304=CARTESIAN_POINT('',(0.120000000000001,-0.888,-1.906)); #578305=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #578306=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #578307=CARTESIAN_POINT('Origin',(0.12,-0.0999999999999999,-0.22)); #578308=CARTESIAN_POINT('',(0.12,-0.1,-1.826)); #578309=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.826)); #578310=CARTESIAN_POINT('',(0.120000000000001,-0.3,-2.026)); #578311=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-2.026)); #578312=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #578313=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #578314=CARTESIAN_POINT('Origin',(0.12,-0.22,-0.22)); #578315=CARTESIAN_POINT('',(0.120000000000001,-0.22,-1.826)); #578316=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.826)); #578317=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.906)); #578318=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.906)); #578319=CARTESIAN_POINT('Origin',(0.,5.99,-0.12)); #578320=CARTESIAN_POINT('Origin',(0.76,6.03,-0.04)); #578321=CARTESIAN_POINT('',(0.,0.,0.)); #578322=CARTESIAN_POINT('Origin',(0.15,1.5,-0.12)); #578323=CARTESIAN_POINT('',(0.15,1.5,0.)); #578324=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #578325=CARTESIAN_POINT('',(0.15,1.5,0.)); #578326=CARTESIAN_POINT('',(0.15,1.5,-0.12)); #578327=CARTESIAN_POINT('',(0.15,1.5,-0.12)); #578328=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #578329=CARTESIAN_POINT('',(0.15,1.5,-0.12)); #578330=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #578331=CARTESIAN_POINT('Origin',(-0.120000000000002,0.5,-0.12)); #578332=CARTESIAN_POINT('',(-0.12,1.41361293861773E-16,0.)); #578333=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #578334=CARTESIAN_POINT('',(-0.12,-4.33680868994202E-16,-0.12)); #578335=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #578336=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #578337=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #578338=CARTESIAN_POINT('',(-0.12,-0.1,-1.248)); #578339=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #578340=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.448)); #578341=CARTESIAN_POINT('Origin',(-0.119999999999999,-0.3,-1.248)); #578342=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.448)); #578343=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.448)); #578344=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.328)); #578345=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.448)); #578346=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.328)); #578347=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.328)); #578348=CARTESIAN_POINT('',(-0.12,-0.22,-1.248)); #578349=CARTESIAN_POINT('Origin',(-0.12,-0.3,-1.248)); #578350=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #578351=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #578352=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #578353=CARTESIAN_POINT('Origin',(0.12,-2.71050543121376E-17,-0.12)); #578354=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,0.)); #578355=CARTESIAN_POINT('',(0.12,0.5,0.)); #578356=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,0.)); #578357=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #578358=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #578359=CARTESIAN_POINT('',(0.12,-0.22,-1.248)); #578360=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #578361=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #578362=CARTESIAN_POINT('Origin',(0.12,-0.3,-1.248)); #578363=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.328)); #578364=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #578365=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #578366=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #578367=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #578368=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #578369=CARTESIAN_POINT('',(0.12,-0.1,-1.248)); #578370=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #578371=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #578372=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #578373=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,-0.12)); #578374=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #578375=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #578376=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,-0.12)); #578377=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #578378=CARTESIAN_POINT('Origin',(0.12,0.5,-0.12)); #578379=CARTESIAN_POINT('',(0.5,1.5,0.)); #578380=CARTESIAN_POINT('',(0.12,0.5,0.)); #578381=CARTESIAN_POINT('',(0.5,1.5,-0.12)); #578382=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #578383=CARTESIAN_POINT('',(0.5,1.5,-0.12)); #578384=CARTESIAN_POINT('Origin',(0.5,1.5,-0.12)); #578385=CARTESIAN_POINT('',(0.5,6.03,-0.08)); #578386=CARTESIAN_POINT('',(0.5,6.03,-0.04)); #578387=CARTESIAN_POINT('',(0.5,6.03,-0.12)); #578388=CARTESIAN_POINT('',(0.5,5.99,0.)); #578389=CARTESIAN_POINT('',(0.5,6.03,-0.04)); #578390=CARTESIAN_POINT('',(0.5,1.5,0.)); #578391=CARTESIAN_POINT('',(0.5,5.99,-0.12)); #578392=CARTESIAN_POINT('',(0.5,1.5,-0.12)); #578393=CARTESIAN_POINT('',(0.5,5.99,-0.12)); #578394=CARTESIAN_POINT('Origin',(0.5,6.03,-0.12)); #578395=CARTESIAN_POINT('',(0.15,6.03,-0.08)); #578396=CARTESIAN_POINT('',(0.15,6.03,-0.04)); #578397=CARTESIAN_POINT('',(0.15,6.03,-0.12)); #578398=CARTESIAN_POINT('',(0.5,6.03,-0.04)); #578399=CARTESIAN_POINT('',(0.5,6.03,-0.08)); #578400=CARTESIAN_POINT('Origin',(0.15,6.03,-0.12)); #578401=CARTESIAN_POINT('',(0.15,5.99,-0.12)); #578402=CARTESIAN_POINT('',(0.15,6.03,-0.12)); #578403=CARTESIAN_POINT('',(0.15,5.99,0.)); #578404=CARTESIAN_POINT('',(0.15,6.03,0.)); #578405=CARTESIAN_POINT('',(0.15,6.03,-0.04)); #578406=CARTESIAN_POINT('',(0.15,5.99,-0.12)); #578407=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #578408=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,-0.12)); #578409=CARTESIAN_POINT('',(0.15,5.99,-0.12)); #578410=CARTESIAN_POINT('Origin',(0.,0.,0.)); #578411=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,0.)); #578412=CARTESIAN_POINT('',(0.,5.99,0.)); #578413=CARTESIAN_POINT('Origin',(0.120000000000002,-0.888,-1.448)); #578414=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #578415=CARTESIAN_POINT('',(0.120000000000001,-0.888,-1.328)); #578416=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #578417=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #578418=CARTESIAN_POINT('Origin',(0.12,-0.0999999999999999,-0.22)); #578419=CARTESIAN_POINT('',(0.12,-0.1,-1.248)); #578420=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #578421=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #578422=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.448)); #578423=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #578424=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #578425=CARTESIAN_POINT('Origin',(0.12,-0.22,-0.22)); #578426=CARTESIAN_POINT('',(0.120000000000001,-0.22,-1.248)); #578427=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #578428=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #578429=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.328)); #578430=CARTESIAN_POINT('Origin',(0.,5.99,-0.12)); #578431=CARTESIAN_POINT('Origin',(0.5,6.03,-0.04)); #578432=CARTESIAN_POINT('',(0.,0.,0.)); #578433=CARTESIAN_POINT('Origin',(1.105,1.5,-0.12)); #578434=CARTESIAN_POINT('',(1.105,1.5,0.)); #578435=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #578436=CARTESIAN_POINT('',(1.105,1.5,0.)); #578437=CARTESIAN_POINT('',(1.105,1.5,-0.12)); #578438=CARTESIAN_POINT('',(1.105,1.5,-0.12)); #578439=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #578440=CARTESIAN_POINT('',(1.105,1.5,-0.12)); #578441=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #578442=CARTESIAN_POINT('Origin',(-0.120000000000002,0.5,-0.12)); #578443=CARTESIAN_POINT('',(-0.12,1.41361293861773E-16,0.)); #578444=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #578445=CARTESIAN_POINT('',(-0.12,-4.33680868994202E-16,-0.12)); #578446=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #578447=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #578448=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #578449=CARTESIAN_POINT('',(-0.12,-0.1,-1.826)); #578450=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #578451=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-2.026)); #578452=CARTESIAN_POINT('Origin',(-0.119999999999999,-0.3,-1.826)); #578453=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-2.026)); #578454=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-2.026)); #578455=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.906)); #578456=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-2.026)); #578457=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.906)); #578458=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.906)); #578459=CARTESIAN_POINT('',(-0.12,-0.22,-1.826)); #578460=CARTESIAN_POINT('Origin',(-0.12,-0.3,-1.826)); #578461=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #578462=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #578463=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #578464=CARTESIAN_POINT('Origin',(0.12,-2.71050543121376E-17,-0.12)); #578465=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,0.)); #578466=CARTESIAN_POINT('',(0.12,0.5,0.)); #578467=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,0.)); #578468=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #578469=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #578470=CARTESIAN_POINT('',(0.12,-0.22,-1.826)); #578471=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #578472=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.906)); #578473=CARTESIAN_POINT('Origin',(0.12,-0.3,-1.826)); #578474=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.906)); #578475=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.906)); #578476=CARTESIAN_POINT('',(0.120000000000002,-0.888,-2.026)); #578477=CARTESIAN_POINT('',(0.120000000000002,-0.888,-2.026)); #578478=CARTESIAN_POINT('',(0.120000000000001,-0.3,-2.026)); #578479=CARTESIAN_POINT('',(0.120000000000001,-0.3,-2.026)); #578480=CARTESIAN_POINT('',(0.12,-0.1,-1.826)); #578481=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.826)); #578482=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #578483=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #578484=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,-0.12)); #578485=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #578486=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #578487=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,-0.12)); #578488=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #578489=CARTESIAN_POINT('Origin',(0.12,0.5,-0.12)); #578490=CARTESIAN_POINT('',(1.455,1.5,0.)); #578491=CARTESIAN_POINT('',(0.12,0.5,0.)); #578492=CARTESIAN_POINT('',(1.455,1.5,-0.12)); #578493=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #578494=CARTESIAN_POINT('',(1.455,1.5,-0.12)); #578495=CARTESIAN_POINT('Origin',(1.455,1.5,-0.12)); #578496=CARTESIAN_POINT('',(1.455,5.69,-0.08)); #578497=CARTESIAN_POINT('',(1.455,5.69,-0.04)); #578498=CARTESIAN_POINT('',(1.455,5.69,-0.12)); #578499=CARTESIAN_POINT('',(1.455,5.65,0.)); #578500=CARTESIAN_POINT('',(1.455,5.69,-0.04)); #578501=CARTESIAN_POINT('',(1.455,1.5,0.)); #578502=CARTESIAN_POINT('',(1.455,5.65,-0.12)); #578503=CARTESIAN_POINT('',(1.455,1.5,-0.12)); #578504=CARTESIAN_POINT('',(1.455,5.65,-0.12)); #578505=CARTESIAN_POINT('Origin',(1.455,5.69,-0.12)); #578506=CARTESIAN_POINT('',(1.105,5.69,-0.08)); #578507=CARTESIAN_POINT('',(1.105,5.69,-0.04)); #578508=CARTESIAN_POINT('',(1.105,5.69,-0.12)); #578509=CARTESIAN_POINT('',(1.455,5.69,-0.04)); #578510=CARTESIAN_POINT('',(1.455,5.69,-0.08)); #578511=CARTESIAN_POINT('Origin',(1.105,5.69,-0.12)); #578512=CARTESIAN_POINT('',(1.105,5.65,-0.12)); #578513=CARTESIAN_POINT('',(1.105,5.69,-0.12)); #578514=CARTESIAN_POINT('',(1.105,5.65,0.)); #578515=CARTESIAN_POINT('',(1.105,5.69,0.)); #578516=CARTESIAN_POINT('',(1.105,5.69,-0.04)); #578517=CARTESIAN_POINT('',(1.105,5.65,-0.12)); #578518=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #578519=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,-0.12)); #578520=CARTESIAN_POINT('',(1.105,5.65,-0.12)); #578521=CARTESIAN_POINT('Origin',(0.,0.,0.)); #578522=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,0.)); #578523=CARTESIAN_POINT('',(0.,5.65,0.)); #578524=CARTESIAN_POINT('Origin',(0.120000000000002,-0.888,-2.026)); #578525=CARTESIAN_POINT('',(0.120000000000002,-0.888,-2.026)); #578526=CARTESIAN_POINT('',(0.120000000000001,-0.888,-1.906)); #578527=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #578528=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #578529=CARTESIAN_POINT('Origin',(0.12,-0.0999999999999999,-0.22)); #578530=CARTESIAN_POINT('',(0.12,-0.1,-1.826)); #578531=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.826)); #578532=CARTESIAN_POINT('',(0.120000000000001,-0.3,-2.026)); #578533=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-2.026)); #578534=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #578535=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #578536=CARTESIAN_POINT('Origin',(0.12,-0.22,-0.22)); #578537=CARTESIAN_POINT('',(0.120000000000001,-0.22,-1.826)); #578538=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.826)); #578539=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.906)); #578540=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.906)); #578541=CARTESIAN_POINT('Origin',(0.,5.65,-0.12)); #578542=CARTESIAN_POINT('Origin',(1.455,5.69,-0.04)); #578543=CARTESIAN_POINT('',(0.,0.,0.)); #578544=CARTESIAN_POINT('Origin',(0.845,1.5,-0.12)); #578545=CARTESIAN_POINT('',(0.845,1.5,0.)); #578546=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #578547=CARTESIAN_POINT('',(0.845,1.5,0.)); #578548=CARTESIAN_POINT('',(0.845,1.5,-0.12)); #578549=CARTESIAN_POINT('',(0.845,1.5,-0.12)); #578550=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #578551=CARTESIAN_POINT('',(0.845,1.5,-0.12)); #578552=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #578553=CARTESIAN_POINT('Origin',(-0.120000000000002,0.5,-0.12)); #578554=CARTESIAN_POINT('',(-0.12,1.41361293861773E-16,0.)); #578555=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #578556=CARTESIAN_POINT('',(-0.12,-4.33680868994202E-16,-0.12)); #578557=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #578558=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #578559=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #578560=CARTESIAN_POINT('',(-0.12,-0.1,-1.248)); #578561=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #578562=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.448)); #578563=CARTESIAN_POINT('Origin',(-0.119999999999999,-0.3,-1.248)); #578564=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.448)); #578565=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.448)); #578566=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.328)); #578567=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.448)); #578568=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.328)); #578569=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.328)); #578570=CARTESIAN_POINT('',(-0.12,-0.22,-1.248)); #578571=CARTESIAN_POINT('Origin',(-0.12,-0.3,-1.248)); #578572=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #578573=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #578574=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #578575=CARTESIAN_POINT('Origin',(0.12,-2.71050543121376E-17,-0.12)); #578576=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,0.)); #578577=CARTESIAN_POINT('',(0.12,0.5,0.)); #578578=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,0.)); #578579=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #578580=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #578581=CARTESIAN_POINT('',(0.12,-0.22,-1.248)); #578582=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #578583=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #578584=CARTESIAN_POINT('Origin',(0.12,-0.3,-1.248)); #578585=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.328)); #578586=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #578587=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #578588=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #578589=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #578590=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #578591=CARTESIAN_POINT('',(0.12,-0.1,-1.248)); #578592=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #578593=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #578594=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #578595=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,-0.12)); #578596=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #578597=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #578598=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,-0.12)); #578599=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #578600=CARTESIAN_POINT('Origin',(0.12,0.5,-0.12)); #578601=CARTESIAN_POINT('',(1.195,1.5,0.)); #578602=CARTESIAN_POINT('',(0.12,0.5,0.)); #578603=CARTESIAN_POINT('',(1.195,1.5,-0.12)); #578604=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #578605=CARTESIAN_POINT('',(1.195,1.5,-0.12)); #578606=CARTESIAN_POINT('Origin',(1.195,1.5,-0.12)); #578607=CARTESIAN_POINT('',(1.195,5.69,-0.08)); #578608=CARTESIAN_POINT('',(1.195,5.69,-0.04)); #578609=CARTESIAN_POINT('',(1.195,5.69,-0.12)); #578610=CARTESIAN_POINT('',(1.195,5.65,0.)); #578611=CARTESIAN_POINT('',(1.195,5.69,-0.04)); #578612=CARTESIAN_POINT('',(1.195,1.5,0.)); #578613=CARTESIAN_POINT('',(1.195,5.65,-0.12)); #578614=CARTESIAN_POINT('',(1.195,1.5,-0.12)); #578615=CARTESIAN_POINT('',(1.195,5.65,-0.12)); #578616=CARTESIAN_POINT('Origin',(1.195,5.69,-0.12)); #578617=CARTESIAN_POINT('',(0.845,5.69,-0.08)); #578618=CARTESIAN_POINT('',(0.845,5.69,-0.04)); #578619=CARTESIAN_POINT('',(0.845,5.69,-0.12)); #578620=CARTESIAN_POINT('',(1.195,5.69,-0.04)); #578621=CARTESIAN_POINT('',(1.195,5.69,-0.08)); #578622=CARTESIAN_POINT('Origin',(0.845,5.69,-0.12)); #578623=CARTESIAN_POINT('',(0.845,5.65,-0.12)); #578624=CARTESIAN_POINT('',(0.845,5.69,-0.12)); #578625=CARTESIAN_POINT('',(0.845,5.65,0.)); #578626=CARTESIAN_POINT('',(0.845,5.69,0.)); #578627=CARTESIAN_POINT('',(0.845,5.69,-0.04)); #578628=CARTESIAN_POINT('',(0.845,5.65,-0.12)); #578629=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #578630=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,-0.12)); #578631=CARTESIAN_POINT('',(0.845,5.65,-0.12)); #578632=CARTESIAN_POINT('Origin',(0.,0.,0.)); #578633=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,0.)); #578634=CARTESIAN_POINT('',(0.,5.65,0.)); #578635=CARTESIAN_POINT('Origin',(0.120000000000002,-0.888,-1.448)); #578636=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #578637=CARTESIAN_POINT('',(0.120000000000001,-0.888,-1.328)); #578638=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #578639=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #578640=CARTESIAN_POINT('Origin',(0.12,-0.0999999999999999,-0.22)); #578641=CARTESIAN_POINT('',(0.12,-0.1,-1.248)); #578642=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #578643=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #578644=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.448)); #578645=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #578646=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #578647=CARTESIAN_POINT('Origin',(0.12,-0.22,-0.22)); #578648=CARTESIAN_POINT('',(0.120000000000001,-0.22,-1.248)); #578649=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #578650=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #578651=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.328)); #578652=CARTESIAN_POINT('Origin',(0.,5.65,-0.12)); #578653=CARTESIAN_POINT('Origin',(1.195,5.69,-0.04)); #578654=CARTESIAN_POINT('',(0.,0.,0.)); #578655=CARTESIAN_POINT('Origin',(0.375,1.5,-0.12)); #578656=CARTESIAN_POINT('',(0.375,1.5,0.)); #578657=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #578658=CARTESIAN_POINT('',(0.375,1.5,0.)); #578659=CARTESIAN_POINT('',(0.375,1.5,-0.12)); #578660=CARTESIAN_POINT('',(0.375,1.5,-0.12)); #578661=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #578662=CARTESIAN_POINT('',(0.375,1.5,-0.12)); #578663=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #578664=CARTESIAN_POINT('Origin',(-0.120000000000002,0.5,-0.12)); #578665=CARTESIAN_POINT('',(-0.12,1.41361293861773E-16,0.)); #578666=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #578667=CARTESIAN_POINT('',(-0.12,-4.33680868994202E-16,-0.12)); #578668=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #578669=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #578670=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #578671=CARTESIAN_POINT('',(-0.12,-0.1,-1.826)); #578672=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #578673=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-2.026)); #578674=CARTESIAN_POINT('Origin',(-0.119999999999999,-0.3,-1.826)); #578675=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-2.026)); #578676=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-2.026)); #578677=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.906)); #578678=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-2.026)); #578679=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.906)); #578680=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.906)); #578681=CARTESIAN_POINT('',(-0.12,-0.22,-1.826)); #578682=CARTESIAN_POINT('Origin',(-0.12,-0.3,-1.826)); #578683=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #578684=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #578685=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #578686=CARTESIAN_POINT('Origin',(0.12,-2.71050543121376E-17,-0.12)); #578687=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,0.)); #578688=CARTESIAN_POINT('',(0.12,0.5,0.)); #578689=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,0.)); #578690=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #578691=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #578692=CARTESIAN_POINT('',(0.12,-0.22,-1.826)); #578693=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #578694=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.906)); #578695=CARTESIAN_POINT('Origin',(0.12,-0.3,-1.826)); #578696=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.906)); #578697=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.906)); #578698=CARTESIAN_POINT('',(0.120000000000002,-0.888,-2.026)); #578699=CARTESIAN_POINT('',(0.120000000000002,-0.888,-2.026)); #578700=CARTESIAN_POINT('',(0.120000000000001,-0.3,-2.026)); #578701=CARTESIAN_POINT('',(0.120000000000001,-0.3,-2.026)); #578702=CARTESIAN_POINT('',(0.12,-0.1,-1.826)); #578703=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.826)); #578704=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #578705=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #578706=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,-0.12)); #578707=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #578708=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #578709=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,-0.12)); #578710=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #578711=CARTESIAN_POINT('Origin',(0.12,0.5,-0.12)); #578712=CARTESIAN_POINT('',(0.625,1.5,0.)); #578713=CARTESIAN_POINT('',(0.12,0.5,0.)); #578714=CARTESIAN_POINT('',(0.625,1.5,-0.12)); #578715=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #578716=CARTESIAN_POINT('',(0.625,1.5,-0.12)); #578717=CARTESIAN_POINT('Origin',(0.625,1.5,-0.12)); #578718=CARTESIAN_POINT('',(0.625,5.51,-0.08)); #578719=CARTESIAN_POINT('',(0.625,5.51,-0.04)); #578720=CARTESIAN_POINT('',(0.625,5.51,-0.12)); #578721=CARTESIAN_POINT('',(0.625,5.47,0.)); #578722=CARTESIAN_POINT('',(0.625,5.51,-0.04)); #578723=CARTESIAN_POINT('',(0.625,1.5,0.)); #578724=CARTESIAN_POINT('',(0.625,5.47,-0.12)); #578725=CARTESIAN_POINT('',(0.625,1.5,-0.12)); #578726=CARTESIAN_POINT('',(0.625,5.47,-0.12)); #578727=CARTESIAN_POINT('Origin',(0.625,5.51,-0.12)); #578728=CARTESIAN_POINT('',(0.375,5.51,-0.08)); #578729=CARTESIAN_POINT('',(0.375,5.51,-0.04)); #578730=CARTESIAN_POINT('',(0.375,5.51,-0.12)); #578731=CARTESIAN_POINT('',(0.625,5.51,-0.04)); #578732=CARTESIAN_POINT('',(0.625,5.51,-0.08)); #578733=CARTESIAN_POINT('Origin',(0.375,5.51,-0.12)); #578734=CARTESIAN_POINT('',(0.375,5.47,-0.12)); #578735=CARTESIAN_POINT('',(0.375,5.51,-0.12)); #578736=CARTESIAN_POINT('',(0.375,5.47,0.)); #578737=CARTESIAN_POINT('',(0.375,5.51,0.)); #578738=CARTESIAN_POINT('',(0.375,5.51,-0.04)); #578739=CARTESIAN_POINT('',(0.375,5.47,-0.12)); #578740=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #578741=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,-0.12)); #578742=CARTESIAN_POINT('',(0.375,5.47,-0.12)); #578743=CARTESIAN_POINT('Origin',(0.,0.,0.)); #578744=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,0.)); #578745=CARTESIAN_POINT('',(0.,5.47,0.)); #578746=CARTESIAN_POINT('Origin',(0.120000000000002,-0.888,-2.026)); #578747=CARTESIAN_POINT('',(0.120000000000002,-0.888,-2.026)); #578748=CARTESIAN_POINT('',(0.120000000000001,-0.888,-1.906)); #578749=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #578750=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #578751=CARTESIAN_POINT('Origin',(0.12,-0.0999999999999999,-0.22)); #578752=CARTESIAN_POINT('',(0.12,-0.1,-1.826)); #578753=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.826)); #578754=CARTESIAN_POINT('',(0.120000000000001,-0.3,-2.026)); #578755=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-2.026)); #578756=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #578757=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #578758=CARTESIAN_POINT('Origin',(0.12,-0.22,-0.22)); #578759=CARTESIAN_POINT('',(0.120000000000001,-0.22,-1.826)); #578760=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.826)); #578761=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.906)); #578762=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.906)); #578763=CARTESIAN_POINT('Origin',(0.,5.47,-0.12)); #578764=CARTESIAN_POINT('Origin',(0.625,5.51,-0.04)); #578765=CARTESIAN_POINT('',(0.,0.,0.)); #578766=CARTESIAN_POINT('Origin',(0.875,1.5,-0.12)); #578767=CARTESIAN_POINT('',(0.875,1.5,0.)); #578768=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #578769=CARTESIAN_POINT('',(0.875,1.5,0.)); #578770=CARTESIAN_POINT('',(0.875,1.5,-0.12)); #578771=CARTESIAN_POINT('',(0.875,1.5,-0.12)); #578772=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #578773=CARTESIAN_POINT('',(0.875,1.5,-0.12)); #578774=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #578775=CARTESIAN_POINT('Origin',(-0.120000000000002,0.5,-0.12)); #578776=CARTESIAN_POINT('',(-0.12,1.41361293861773E-16,0.)); #578777=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #578778=CARTESIAN_POINT('',(-0.12,-4.33680868994202E-16,-0.12)); #578779=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #578780=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #578781=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #578782=CARTESIAN_POINT('',(-0.12,-0.1,-1.248)); #578783=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #578784=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.448)); #578785=CARTESIAN_POINT('Origin',(-0.119999999999999,-0.3,-1.248)); #578786=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.448)); #578787=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.448)); #578788=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.328)); #578789=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.448)); #578790=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.328)); #578791=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.328)); #578792=CARTESIAN_POINT('',(-0.12,-0.22,-1.248)); #578793=CARTESIAN_POINT('Origin',(-0.12,-0.3,-1.248)); #578794=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #578795=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #578796=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #578797=CARTESIAN_POINT('Origin',(0.12,-2.71050543121376E-17,-0.12)); #578798=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,0.)); #578799=CARTESIAN_POINT('',(0.12,0.5,0.)); #578800=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,0.)); #578801=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #578802=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #578803=CARTESIAN_POINT('',(0.12,-0.22,-1.248)); #578804=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #578805=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #578806=CARTESIAN_POINT('Origin',(0.12,-0.3,-1.248)); #578807=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.328)); #578808=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #578809=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #578810=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #578811=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #578812=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #578813=CARTESIAN_POINT('',(0.12,-0.1,-1.248)); #578814=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #578815=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #578816=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #578817=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,-0.12)); #578818=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #578819=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #578820=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,-0.12)); #578821=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #578822=CARTESIAN_POINT('Origin',(0.12,0.5,-0.12)); #578823=CARTESIAN_POINT('',(1.125,1.5,0.)); #578824=CARTESIAN_POINT('',(0.12,0.5,0.)); #578825=CARTESIAN_POINT('',(1.125,1.5,-0.12)); #578826=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #578827=CARTESIAN_POINT('',(1.125,1.5,-0.12)); #578828=CARTESIAN_POINT('Origin',(1.125,1.5,-0.12)); #578829=CARTESIAN_POINT('',(1.125,5.51,-0.08)); #578830=CARTESIAN_POINT('',(1.125,5.51,-0.04)); #578831=CARTESIAN_POINT('',(1.125,5.51,-0.12)); #578832=CARTESIAN_POINT('',(1.125,5.47,0.)); #578833=CARTESIAN_POINT('',(1.125,5.51,-0.04)); #578834=CARTESIAN_POINT('',(1.125,1.5,0.)); #578835=CARTESIAN_POINT('',(1.125,5.47,-0.12)); #578836=CARTESIAN_POINT('',(1.125,1.5,-0.12)); #578837=CARTESIAN_POINT('',(1.125,5.47,-0.12)); #578838=CARTESIAN_POINT('Origin',(1.125,5.51,-0.12)); #578839=CARTESIAN_POINT('',(0.875,5.51,-0.08)); #578840=CARTESIAN_POINT('',(0.875,5.51,-0.04)); #578841=CARTESIAN_POINT('',(0.875,5.51,-0.12)); #578842=CARTESIAN_POINT('',(1.125,5.51,-0.04)); #578843=CARTESIAN_POINT('',(1.125,5.51,-0.08)); #578844=CARTESIAN_POINT('Origin',(0.875,5.51,-0.12)); #578845=CARTESIAN_POINT('',(0.875,5.47,-0.12)); #578846=CARTESIAN_POINT('',(0.875,5.51,-0.12)); #578847=CARTESIAN_POINT('',(0.875,5.47,0.)); #578848=CARTESIAN_POINT('',(0.875,5.51,0.)); #578849=CARTESIAN_POINT('',(0.875,5.51,-0.04)); #578850=CARTESIAN_POINT('',(0.875,5.47,-0.12)); #578851=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #578852=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,-0.12)); #578853=CARTESIAN_POINT('',(0.875,5.47,-0.12)); #578854=CARTESIAN_POINT('Origin',(0.,0.,0.)); #578855=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,0.)); #578856=CARTESIAN_POINT('',(0.,5.47,0.)); #578857=CARTESIAN_POINT('Origin',(0.120000000000002,-0.888,-1.448)); #578858=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #578859=CARTESIAN_POINT('',(0.120000000000001,-0.888,-1.328)); #578860=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #578861=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #578862=CARTESIAN_POINT('Origin',(0.12,-0.0999999999999999,-0.22)); #578863=CARTESIAN_POINT('',(0.12,-0.1,-1.248)); #578864=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #578865=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #578866=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.448)); #578867=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #578868=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #578869=CARTESIAN_POINT('Origin',(0.12,-0.22,-0.22)); #578870=CARTESIAN_POINT('',(0.120000000000001,-0.22,-1.248)); #578871=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #578872=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #578873=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.328)); #578874=CARTESIAN_POINT('Origin',(0.,5.47,-0.12)); #578875=CARTESIAN_POINT('Origin',(1.125,5.51,-0.04)); #578876=CARTESIAN_POINT('',(0.,0.,0.)); #578877=CARTESIAN_POINT('Origin',(0.375,1.5,-0.12)); #578878=CARTESIAN_POINT('',(0.375,1.5,0.)); #578879=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #578880=CARTESIAN_POINT('',(0.375,1.5,0.)); #578881=CARTESIAN_POINT('',(0.375,1.5,-0.12)); #578882=CARTESIAN_POINT('',(0.375,1.5,-0.12)); #578883=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #578884=CARTESIAN_POINT('',(0.375,1.5,-0.12)); #578885=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #578886=CARTESIAN_POINT('Origin',(-0.120000000000002,0.5,-0.12)); #578887=CARTESIAN_POINT('',(-0.12,1.41361293861773E-16,0.)); #578888=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #578889=CARTESIAN_POINT('',(-0.12,-4.33680868994202E-16,-0.12)); #578890=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #578891=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #578892=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #578893=CARTESIAN_POINT('',(-0.12,-0.1,-1.248)); #578894=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #578895=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.448)); #578896=CARTESIAN_POINT('Origin',(-0.119999999999999,-0.3,-1.248)); #578897=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.448)); #578898=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.448)); #578899=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.328)); #578900=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.448)); #578901=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.328)); #578902=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.328)); #578903=CARTESIAN_POINT('',(-0.12,-0.22,-1.248)); #578904=CARTESIAN_POINT('Origin',(-0.12,-0.3,-1.248)); #578905=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #578906=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #578907=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #578908=CARTESIAN_POINT('Origin',(0.12,-2.71050543121376E-17,-0.12)); #578909=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,0.)); #578910=CARTESIAN_POINT('',(0.12,0.5,0.)); #578911=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,0.)); #578912=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #578913=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #578914=CARTESIAN_POINT('',(0.12,-0.22,-1.248)); #578915=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #578916=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #578917=CARTESIAN_POINT('Origin',(0.12,-0.3,-1.248)); #578918=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.328)); #578919=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #578920=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #578921=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #578922=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #578923=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #578924=CARTESIAN_POINT('',(0.12,-0.1,-1.248)); #578925=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #578926=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #578927=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #578928=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,-0.12)); #578929=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #578930=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #578931=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,-0.12)); #578932=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #578933=CARTESIAN_POINT('Origin',(0.12,0.5,-0.12)); #578934=CARTESIAN_POINT('',(0.625,1.5,0.)); #578935=CARTESIAN_POINT('',(0.12,0.5,0.)); #578936=CARTESIAN_POINT('',(0.625,1.5,-0.12)); #578937=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #578938=CARTESIAN_POINT('',(0.625,1.5,-0.12)); #578939=CARTESIAN_POINT('Origin',(0.625,1.5,-0.12)); #578940=CARTESIAN_POINT('',(0.625,5.51,-0.08)); #578941=CARTESIAN_POINT('',(0.625,5.51,-0.04)); #578942=CARTESIAN_POINT('',(0.625,5.51,-0.12)); #578943=CARTESIAN_POINT('',(0.625,5.47,0.)); #578944=CARTESIAN_POINT('',(0.625,5.51,-0.04)); #578945=CARTESIAN_POINT('',(0.625,1.5,0.)); #578946=CARTESIAN_POINT('',(0.625,5.47,-0.12)); #578947=CARTESIAN_POINT('',(0.625,1.5,-0.12)); #578948=CARTESIAN_POINT('',(0.625,5.47,-0.12)); #578949=CARTESIAN_POINT('Origin',(0.625,5.51,-0.12)); #578950=CARTESIAN_POINT('',(0.375,5.51,-0.08)); #578951=CARTESIAN_POINT('',(0.375,5.51,-0.04)); #578952=CARTESIAN_POINT('',(0.375,5.51,-0.12)); #578953=CARTESIAN_POINT('',(0.625,5.51,-0.04)); #578954=CARTESIAN_POINT('',(0.625,5.51,-0.08)); #578955=CARTESIAN_POINT('Origin',(0.375,5.51,-0.12)); #578956=CARTESIAN_POINT('',(0.375,5.47,-0.12)); #578957=CARTESIAN_POINT('',(0.375,5.51,-0.12)); #578958=CARTESIAN_POINT('',(0.375,5.47,0.)); #578959=CARTESIAN_POINT('',(0.375,5.51,0.)); #578960=CARTESIAN_POINT('',(0.375,5.51,-0.04)); #578961=CARTESIAN_POINT('',(0.375,5.47,-0.12)); #578962=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #578963=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,-0.12)); #578964=CARTESIAN_POINT('',(0.375,5.47,-0.12)); #578965=CARTESIAN_POINT('Origin',(0.,0.,0.)); #578966=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,0.)); #578967=CARTESIAN_POINT('',(0.,5.47,0.)); #578968=CARTESIAN_POINT('Origin',(0.120000000000002,-0.888,-1.448)); #578969=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #578970=CARTESIAN_POINT('',(0.120000000000001,-0.888,-1.328)); #578971=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #578972=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #578973=CARTESIAN_POINT('Origin',(0.12,-0.0999999999999999,-0.22)); #578974=CARTESIAN_POINT('',(0.12,-0.1,-1.248)); #578975=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #578976=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #578977=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.448)); #578978=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #578979=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #578980=CARTESIAN_POINT('Origin',(0.12,-0.22,-0.22)); #578981=CARTESIAN_POINT('',(0.120000000000001,-0.22,-1.248)); #578982=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #578983=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #578984=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.328)); #578985=CARTESIAN_POINT('Origin',(0.,5.47,-0.12)); #578986=CARTESIAN_POINT('Origin',(0.625,5.51,-0.04)); #578987=CARTESIAN_POINT('',(0.,0.,0.)); #578988=CARTESIAN_POINT('Origin',(2.895,-0.1,3.11694747782438)); #578989=CARTESIAN_POINT('',(2.895,0.05,3.11694747782438)); #578990=CARTESIAN_POINT('',(2.9195,0.05,2.76694747782438)); #578991=CARTESIAN_POINT('',(2.895,0.05,3.11694747782438)); #578992=CARTESIAN_POINT('',(2.9195,-0.05,2.76694747782438)); #578993=CARTESIAN_POINT('',(2.9195,-0.1,2.76694747782438)); #578994=CARTESIAN_POINT('',(2.895,-0.05,3.11694747782438)); #578995=CARTESIAN_POINT('',(2.895,-0.05,3.11694747782438)); #578996=CARTESIAN_POINT('',(2.895,-0.1,3.11694747782438)); #578997=CARTESIAN_POINT('Origin',(2.9195,-0.1,2.76694747782438)); #578998=CARTESIAN_POINT('',(-2.9195,0.05,2.76694747782438)); #578999=CARTESIAN_POINT('',(2.9195,0.05,2.76694747782438)); #579000=CARTESIAN_POINT('',(-2.9195,-0.05,2.76694747782438)); #579001=CARTESIAN_POINT('',(-2.9195,-0.1,2.76694747782438)); #579002=CARTESIAN_POINT('',(2.9195,-0.05,2.76694747782438)); #579003=CARTESIAN_POINT('Origin',(-2.895,-0.1,3.11694747782438)); #579004=CARTESIAN_POINT('',(-2.895,0.05,3.11694747782438)); #579005=CARTESIAN_POINT('',(-2.895,0.05,3.11694747782438)); #579006=CARTESIAN_POINT('',(-2.895,-0.05,3.11694747782438)); #579007=CARTESIAN_POINT('',(-2.895,-0.1,3.11694747782438)); #579008=CARTESIAN_POINT('',(-2.895,-0.05,3.11694747782438)); #579009=CARTESIAN_POINT('Origin',(-2.895,-0.1,3.11694747782438)); #579010=CARTESIAN_POINT('',(-2.975,0.05,3.11694747782438)); #579011=CARTESIAN_POINT('',(-2.895,0.05,3.11694747782438)); #579012=CARTESIAN_POINT('',(-2.975,-0.05,3.11694747782438)); #579013=CARTESIAN_POINT('',(-2.975,-0.1,3.11694747782438)); #579014=CARTESIAN_POINT('',(-2.895,-0.05,3.11694747782438)); #579015=CARTESIAN_POINT('Origin',(-2.975,-0.1,3.0176067609581)); #579016=CARTESIAN_POINT('',(-3.07,0.05,3.04665117569667)); #579017=CARTESIAN_POINT('Origin',(-2.975,0.05,3.0176067609581)); #579018=CARTESIAN_POINT('',(-3.07,-0.05,3.04665117569667)); #579019=CARTESIAN_POINT('',(-3.07,-0.1,3.04665117569667)); #579020=CARTESIAN_POINT('Origin',(-2.975,-0.05,3.0176067609581)); #579021=CARTESIAN_POINT('Origin',(-3.34,-0.1,2.16352096870596)); #579022=CARTESIAN_POINT('',(-3.34,0.05,2.16352096870596)); #579023=CARTESIAN_POINT('',(-3.34,0.05,2.16352096870596)); #579024=CARTESIAN_POINT('',(-3.34,-0.05,2.16352096870596)); #579025=CARTESIAN_POINT('',(-3.34,-0.1,2.16352096870596)); #579026=CARTESIAN_POINT('',(-3.34,-0.05,2.16352096870596)); #579027=CARTESIAN_POINT('Origin',(-3.34,-0.1,1.81694747782438)); #579028=CARTESIAN_POINT('',(-3.34,0.05,1.81694747782438)); #579029=CARTESIAN_POINT('',(-3.34,0.05,1.81694747782438)); #579030=CARTESIAN_POINT('',(-3.34,-0.05,1.81694747782438)); #579031=CARTESIAN_POINT('',(-3.34,-0.1,1.81694747782438)); #579032=CARTESIAN_POINT('',(-3.34,-0.05,1.81694747782438)); #579033=CARTESIAN_POINT('Origin',(-3.34,-0.1,1.81694747782438)); #579034=CARTESIAN_POINT('',(-3.295,0.05,1.81694747782438)); #579035=CARTESIAN_POINT('',(-3.34,0.05,1.81694747782438)); #579036=CARTESIAN_POINT('',(-3.295,-0.05,1.81694747782438)); #579037=CARTESIAN_POINT('',(-3.295,-0.1,1.81694747782438)); #579038=CARTESIAN_POINT('',(-3.34,-0.05,1.81694747782438)); #579039=CARTESIAN_POINT('Origin',(-3.295,-0.1,1.61694747782438)); #579040=CARTESIAN_POINT('',(-3.095,0.05,1.61694747782438)); #579041=CARTESIAN_POINT('Origin',(-3.295,0.05,1.61694747782438)); #579042=CARTESIAN_POINT('',(-3.095,-0.05,1.61694747782438)); #579043=CARTESIAN_POINT('',(-3.095,-0.1,1.61694747782438)); #579044=CARTESIAN_POINT('Origin',(-3.295,-0.05,1.61694747782438)); #579045=CARTESIAN_POINT('Origin',(-3.095,-0.1,1.61694747782438)); #579046=CARTESIAN_POINT('',(-3.095,0.05,0.)); #579047=CARTESIAN_POINT('',(-3.095,0.05,1.61694747782438)); #579048=CARTESIAN_POINT('',(-3.095,-0.05,0.)); #579049=CARTESIAN_POINT('',(-3.095,-0.1,0.)); #579050=CARTESIAN_POINT('',(-3.095,-0.05,1.61694747782438)); #579051=CARTESIAN_POINT('Origin',(-3.165,-0.1,0.)); #579052=CARTESIAN_POINT('',(-3.161,0.05,-0.0698856208386246)); #579053=CARTESIAN_POINT('Origin',(-3.165,0.05,0.)); #579054=CARTESIAN_POINT('',(-3.161,-0.05,-0.0698856208386246)); #579055=CARTESIAN_POINT('',(-3.161,-0.1,-0.0698856208386246)); #579056=CARTESIAN_POINT('Origin',(-3.165,-0.05,0.)); #579057=CARTESIAN_POINT('Origin',(-3.157,-0.1,-0.139771241677249)); #579058=CARTESIAN_POINT('',(-3.227,0.05,-0.139771241677249)); #579059=CARTESIAN_POINT('Origin',(-3.157,0.05,-0.139771241677249)); #579060=CARTESIAN_POINT('',(-3.227,-0.05,-0.139771241677249)); #579061=CARTESIAN_POINT('',(-3.227,-0.1,-0.139771241677249)); #579062=CARTESIAN_POINT('Origin',(-3.157,-0.05,-0.139771241677249)); #579063=CARTESIAN_POINT('Origin',(-3.227,-0.1,-0.139771241677249)); #579064=CARTESIAN_POINT('',(-3.227,0.05,-0.296087403728294)); #579065=CARTESIAN_POINT('',(-3.227,0.05,-0.139771241677249)); #579066=CARTESIAN_POINT('',(-3.227,-0.05,-0.296087403728294)); #579067=CARTESIAN_POINT('',(-3.227,-0.1,-0.296087403728294)); #579068=CARTESIAN_POINT('',(-3.227,-0.05,-0.139771241677249)); #579069=CARTESIAN_POINT('Origin',(-3.30805882352942,-0.1,-0.296087403728294)); #579070=CARTESIAN_POINT('',(-3.295,0.05,-0.376087403728294)); #579071=CARTESIAN_POINT('Origin',(-3.30805882352942,0.05,-0.296087403728294)); #579072=CARTESIAN_POINT('',(-3.295,-0.05,-0.376087403728294)); #579073=CARTESIAN_POINT('',(-3.295,-0.1,-0.376087403728294)); #579074=CARTESIAN_POINT('Origin',(-3.30805882352942,-0.05,-0.296087403728294)); #579075=CARTESIAN_POINT('Origin',(-3.295,-0.1,-0.376087403728294)); #579076=CARTESIAN_POINT('',(-3.295,0.05,-0.813348973774195)); #579077=CARTESIAN_POINT('',(-3.295,0.05,-0.376087403728294)); #579078=CARTESIAN_POINT('',(-3.295,-0.05,-0.813348973774195)); #579079=CARTESIAN_POINT('',(-3.295,-0.1,-0.813348973774195)); #579080=CARTESIAN_POINT('',(-3.295,-0.05,-0.376087403728294)); #579081=CARTESIAN_POINT('Origin',(-3.30805882352941,-0.1,-0.893348973774195)); #579082=CARTESIAN_POINT('',(-3.227,0.05,-0.893348973774195)); #579083=CARTESIAN_POINT('Origin',(-3.30805882352941,0.05,-0.893348973774195)); #579084=CARTESIAN_POINT('',(-3.227,-0.05,-0.893348973774195)); #579085=CARTESIAN_POINT('',(-3.227,-0.1,-0.893348973774195)); #579086=CARTESIAN_POINT('Origin',(-3.30805882352941,-0.05,-0.893348973774195)); #579087=CARTESIAN_POINT('Origin',(-3.227,-0.1,-0.893348973774195)); #579088=CARTESIAN_POINT('',(-3.227,0.05,-1.72340514389884)); #579089=CARTESIAN_POINT('',(-3.227,0.05,-0.893348973774195)); #579090=CARTESIAN_POINT('',(-3.227,-0.05,-1.72340514389884)); #579091=CARTESIAN_POINT('',(-3.227,-0.1,-1.72340514389884)); #579092=CARTESIAN_POINT('',(-3.227,-0.05,-0.893348973774195)); #579093=CARTESIAN_POINT('Origin',(-3.227,-0.1,-1.72340514389884)); #579094=CARTESIAN_POINT('',(-4.155,0.05,-1.72340514389884)); #579095=CARTESIAN_POINT('',(-3.227,0.05,-1.72340514389884)); #579096=CARTESIAN_POINT('',(-4.155,-0.05,-1.72340514389884)); #579097=CARTESIAN_POINT('',(-4.155,-0.1,-1.72340514389884)); #579098=CARTESIAN_POINT('',(-3.227,-0.05,-1.72340514389884)); #579099=CARTESIAN_POINT('Origin',(-4.155,-0.1,-1.72340514389884)); #579100=CARTESIAN_POINT('',(-4.155,0.05,-2.48305252217562)); #579101=CARTESIAN_POINT('',(-4.155,0.05,-1.72340514389884)); #579102=CARTESIAN_POINT('',(-4.155,-0.05,-2.48305252217562)); #579103=CARTESIAN_POINT('',(-4.155,-0.1,-2.48305252217562)); #579104=CARTESIAN_POINT('',(-4.155,-0.05,-1.72340514389884)); #579105=CARTESIAN_POINT('Origin',(4.155,-0.1,-2.48305252217562)); #579106=CARTESIAN_POINT('',(4.155,0.05,-2.48305252217562)); #579107=CARTESIAN_POINT('',(4.155,0.05,-2.48305252217562)); #579108=CARTESIAN_POINT('',(4.155,-0.05,-2.48305252217562)); #579109=CARTESIAN_POINT('',(4.155,-0.1,-2.48305252217562)); #579110=CARTESIAN_POINT('',(4.155,-0.05,-2.48305252217562)); #579111=CARTESIAN_POINT('Origin',(4.155,-0.1,-1.72340514389884)); #579112=CARTESIAN_POINT('',(4.155,0.05,-1.72340514389884)); #579113=CARTESIAN_POINT('',(4.155,0.05,-1.72340514389884)); #579114=CARTESIAN_POINT('',(4.155,-0.05,-1.72340514389884)); #579115=CARTESIAN_POINT('',(4.155,-0.1,-1.72340514389884)); #579116=CARTESIAN_POINT('',(4.155,-0.05,-1.72340514389884)); #579117=CARTESIAN_POINT('Origin',(3.227,-0.1,-1.72340514389884)); #579118=CARTESIAN_POINT('',(3.227,0.05,-1.72340514389884)); #579119=CARTESIAN_POINT('',(3.227,0.05,-1.72340514389884)); #579120=CARTESIAN_POINT('',(3.227,-0.05,-1.72340514389884)); #579121=CARTESIAN_POINT('',(3.227,-0.1,-1.72340514389884)); #579122=CARTESIAN_POINT('',(3.227,-0.05,-1.72340514389884)); #579123=CARTESIAN_POINT('Origin',(3.227,-0.1,-0.893348973774195)); #579124=CARTESIAN_POINT('',(3.227,0.05,-0.893348973774195)); #579125=CARTESIAN_POINT('',(3.227,0.05,-0.893348973774195)); #579126=CARTESIAN_POINT('',(3.227,-0.05,-0.893348973774195)); #579127=CARTESIAN_POINT('',(3.227,-0.1,-0.893348973774195)); #579128=CARTESIAN_POINT('',(3.227,-0.05,-0.893348973774195)); #579129=CARTESIAN_POINT('Origin',(3.30805882352941,-0.1,-0.893348973774195)); #579130=CARTESIAN_POINT('',(3.295,0.05,-0.813348973774195)); #579131=CARTESIAN_POINT('Origin',(3.30805882352941,0.05,-0.893348973774195)); #579132=CARTESIAN_POINT('',(3.295,-0.05,-0.813348973774195)); #579133=CARTESIAN_POINT('',(3.295,-0.1,-0.813348973774195)); #579134=CARTESIAN_POINT('Origin',(3.30805882352941,-0.05,-0.893348973774195)); #579135=CARTESIAN_POINT('Origin',(3.295,-0.1,-0.376087403728294)); #579136=CARTESIAN_POINT('',(3.295,0.05,-0.376087403728294)); #579137=CARTESIAN_POINT('',(3.295,0.05,-0.376087403728294)); #579138=CARTESIAN_POINT('',(3.295,-0.05,-0.376087403728294)); #579139=CARTESIAN_POINT('',(3.295,-0.1,-0.376087403728294)); #579140=CARTESIAN_POINT('',(3.295,-0.05,-0.376087403728294)); #579141=CARTESIAN_POINT('Origin',(3.30805882352942,-0.1,-0.296087403728294)); #579142=CARTESIAN_POINT('',(3.227,0.05,-0.296087403728294)); #579143=CARTESIAN_POINT('Origin',(3.30805882352942,0.05,-0.296087403728294)); #579144=CARTESIAN_POINT('',(3.227,-0.05,-0.296087403728294)); #579145=CARTESIAN_POINT('',(3.227,-0.1,-0.296087403728294)); #579146=CARTESIAN_POINT('Origin',(3.30805882352942,-0.05,-0.296087403728294)); #579147=CARTESIAN_POINT('Origin',(3.227,-0.1,-0.139771241677249)); #579148=CARTESIAN_POINT('',(3.227,0.05,-0.139771241677249)); #579149=CARTESIAN_POINT('',(3.227,0.05,-0.139771241677249)); #579150=CARTESIAN_POINT('',(3.227,-0.05,-0.139771241677249)); #579151=CARTESIAN_POINT('',(3.227,-0.1,-0.139771241677249)); #579152=CARTESIAN_POINT('',(3.227,-0.05,-0.139771241677249)); #579153=CARTESIAN_POINT('Origin',(3.157,-0.1,-0.139771241677249)); #579154=CARTESIAN_POINT('',(3.161,0.05,-0.0698856208386246)); #579155=CARTESIAN_POINT('Origin',(3.157,0.05,-0.139771241677249)); #579156=CARTESIAN_POINT('',(3.161,-0.05,-0.0698856208386246)); #579157=CARTESIAN_POINT('',(3.161,-0.1,-0.0698856208386246)); #579158=CARTESIAN_POINT('Origin',(3.157,-0.05,-0.139771241677249)); #579159=CARTESIAN_POINT('Origin',(3.165,-0.1,0.)); #579160=CARTESIAN_POINT('',(3.095,0.05,-8.57252759403147E-18)); #579161=CARTESIAN_POINT('Origin',(3.165,0.05,0.)); #579162=CARTESIAN_POINT('',(3.095,-0.05,-8.57252759403147E-18)); #579163=CARTESIAN_POINT('',(3.095,-0.1,-8.57252759403147E-18)); #579164=CARTESIAN_POINT('Origin',(3.165,-0.05,0.)); #579165=CARTESIAN_POINT('Origin',(3.095,-0.1,1.61694747782438)); #579166=CARTESIAN_POINT('',(3.095,0.05,1.61694747782438)); #579167=CARTESIAN_POINT('',(3.095,0.05,1.61694747782438)); #579168=CARTESIAN_POINT('',(3.095,-0.05,1.61694747782438)); #579169=CARTESIAN_POINT('',(3.095,-0.1,1.61694747782438)); #579170=CARTESIAN_POINT('',(3.095,-0.05,1.61694747782438)); #579171=CARTESIAN_POINT('Origin',(3.295,-0.1,1.61694747782438)); #579172=CARTESIAN_POINT('',(3.295,0.05,1.81694747782438)); #579173=CARTESIAN_POINT('Origin',(3.295,0.05,1.61694747782438)); #579174=CARTESIAN_POINT('',(3.295,-0.05,1.81694747782438)); #579175=CARTESIAN_POINT('',(3.295,-0.1,1.81694747782438)); #579176=CARTESIAN_POINT('Origin',(3.295,-0.05,1.61694747782438)); #579177=CARTESIAN_POINT('Origin',(3.34,-0.1,1.81694747782438)); #579178=CARTESIAN_POINT('',(3.34,0.05,1.81694747782438)); #579179=CARTESIAN_POINT('',(3.34,0.05,1.81694747782438)); #579180=CARTESIAN_POINT('',(3.34,-0.05,1.81694747782438)); #579181=CARTESIAN_POINT('',(3.34,-0.1,1.81694747782438)); #579182=CARTESIAN_POINT('',(3.34,-0.05,1.81694747782438)); #579183=CARTESIAN_POINT('Origin',(3.34,-0.1,1.81694747782438)); #579184=CARTESIAN_POINT('',(3.34,0.05,2.16352096870596)); #579185=CARTESIAN_POINT('',(3.34,0.05,1.81694747782438)); #579186=CARTESIAN_POINT('',(3.34,-0.05,2.16352096870596)); #579187=CARTESIAN_POINT('',(3.34,-0.1,2.16352096870596)); #579188=CARTESIAN_POINT('',(3.34,-0.05,1.81694747782438)); #579189=CARTESIAN_POINT('Origin',(3.34,-0.1,2.16352096870596)); #579190=CARTESIAN_POINT('',(3.07,0.05,3.04665117569667)); #579191=CARTESIAN_POINT('',(3.34,0.05,2.16352096870596)); #579192=CARTESIAN_POINT('',(3.07,-0.05,3.04665117569667)); #579193=CARTESIAN_POINT('',(3.07,-0.1,3.04665117569667)); #579194=CARTESIAN_POINT('',(3.34,-0.05,2.16352096870596)); #579195=CARTESIAN_POINT('Origin',(2.975,-0.1,3.0176067609581)); #579196=CARTESIAN_POINT('',(2.975,0.05,3.11694747782438)); #579197=CARTESIAN_POINT('Origin',(2.975,0.05,3.0176067609581)); #579198=CARTESIAN_POINT('',(2.975,-0.05,3.11694747782438)); #579199=CARTESIAN_POINT('',(2.975,-0.1,3.11694747782438)); #579200=CARTESIAN_POINT('Origin',(2.975,-0.05,3.0176067609581)); #579201=CARTESIAN_POINT('Origin',(2.895,-0.1,3.11694747782438)); #579202=CARTESIAN_POINT('',(2.895,0.05,3.11694747782438)); #579203=CARTESIAN_POINT('',(2.895,-0.05,3.11694747782438)); #579204=CARTESIAN_POINT('Origin',(-2.975,-0.05,3.0176067609581)); #579205=CARTESIAN_POINT('Origin',(-2.975,0.05,3.0176067609581)); #579206=CARTESIAN_POINT('',(0.,0.,0.)); #579207=CARTESIAN_POINT('Origin',(-0.51,3.49,-1.28)); #579208=CARTESIAN_POINT('',(-0.51,1.89,-1.28)); #579209=CARTESIAN_POINT('',(-0.510000000000001,1.04,-1.28)); #579210=CARTESIAN_POINT('',(-0.51,3.49,-1.28)); #579211=CARTESIAN_POINT('',(-0.51,1.04,-1.58)); #579212=CARTESIAN_POINT('',(-0.510000000000001,1.04,-1.28)); #579213=CARTESIAN_POINT('',(-0.51,1.89,-1.58)); #579214=CARTESIAN_POINT('',(-0.51,3.49,-1.58)); #579215=CARTESIAN_POINT('',(-0.51,1.89,-1.28)); #579216=CARTESIAN_POINT('Origin',(-0.51,3.49,-1.28)); #579217=CARTESIAN_POINT('',(-0.51,-0.0800000000000011,-1.28)); #579218=CARTESIAN_POINT('',(-0.51,-0.0800000000000011,-1.58)); #579219=CARTESIAN_POINT('',(-0.51,-0.0800000000000011,-1.28)); #579220=CARTESIAN_POINT('',(-0.51,-1.27,-1.28)); #579221=CARTESIAN_POINT('',(-0.51,3.49,-1.28)); #579222=CARTESIAN_POINT('',(-0.51,-1.27,-1.58)); #579223=CARTESIAN_POINT('',(-0.51,-1.27,-1.28)); #579224=CARTESIAN_POINT('',(-0.51,3.49,-1.58)); #579225=CARTESIAN_POINT('Origin',(-0.5,3.49,-1.28)); #579226=CARTESIAN_POINT('',(-0.299420132394812,0.91378558069326,-1.28)); #579227=CARTESIAN_POINT('',(-0.500000000000001,1.04,-1.28)); #579228=CARTESIAN_POINT('Origin',(-0.360000000000001,1.04,-1.28)); #579229=CARTESIAN_POINT('',(0.299420132394811,1.20121441930674,-1.28)); #579230=CARTESIAN_POINT('',(0.54255018588915,1.31791096263698,-1.28)); #579231=CARTESIAN_POINT('',(0.499999999999999,1.075,-1.28)); #579232=CARTESIAN_POINT('Origin',(0.359999999999999,1.075,-1.28)); #579233=CARTESIAN_POINT('',(0.5,-0.115,-1.28)); #579234=CARTESIAN_POINT('',(0.499999999999998,3.49,-1.28)); #579235=CARTESIAN_POINT('',(0.299420132394811,-0.241214419306741,-1.28)); #579236=CARTESIAN_POINT('Origin',(0.36,-0.115,-1.28)); #579237=CARTESIAN_POINT('',(-0.299420132394812,0.0462144193067397,-1.28)); #579238=CARTESIAN_POINT('',(-1.80588032049035,0.769278861932123,-1.28)); #579239=CARTESIAN_POINT('',(-0.5,-0.0800000000000011,-1.28)); #579240=CARTESIAN_POINT('Origin',(-0.36,-0.080000000000001,-1.28)); #579241=CARTESIAN_POINT('',(-0.5,-1.27,-1.28)); #579242=CARTESIAN_POINT('',(-0.5,3.49,-1.28)); #579243=CARTESIAN_POINT('',(-0.299420132394812,-1.39621441930674,-1.28)); #579244=CARTESIAN_POINT('Origin',(-0.36,-1.27,-1.28)); #579245=CARTESIAN_POINT('',(0.299420132394811,-1.10878558069326,-1.28)); #579246=CARTESIAN_POINT('',(1.44369212438361,-0.559562709330698,-1.28)); #579247=CARTESIAN_POINT('',(0.5,-1.235,-1.28)); #579248=CARTESIAN_POINT('Origin',(0.36,-1.235,-1.28)); #579249=CARTESIAN_POINT('',(0.5,-2.425,-1.28)); #579250=CARTESIAN_POINT('',(0.5,3.49,-1.28)); #579251=CARTESIAN_POINT('',(0.299420132394811,-2.55121441930674,-1.28)); #579252=CARTESIAN_POINT('Origin',(0.36,-2.425,-1.28)); #579253=CARTESIAN_POINT('',(-0.299420132394812,-2.26378558069326,-1.28)); #579254=CARTESIAN_POINT('',(-2.70702225898481,-1.10819481003555,-1.28)); #579255=CARTESIAN_POINT('',(-0.5,-2.39,-1.28)); #579256=CARTESIAN_POINT('Origin',(-0.36,-2.39,-1.28)); #579257=CARTESIAN_POINT('',(-0.5,-3.39,-1.28)); #579258=CARTESIAN_POINT('',(-0.5,3.49,-1.28)); #579259=CARTESIAN_POINT('',(3.19,-3.39,-1.28)); #579260=CARTESIAN_POINT('',(-0.5,-3.39,-1.28)); #579261=CARTESIAN_POINT('',(3.19,-1.69,-1.28)); #579262=CARTESIAN_POINT('',(3.19,3.49,-1.28)); #579263=CARTESIAN_POINT('',(2.46,-1.69,-1.28)); #579264=CARTESIAN_POINT('',(-0.500000000000001,-1.69,-1.28)); #579265=CARTESIAN_POINT('',(2.26,-1.49,-1.28)); #579266=CARTESIAN_POINT('Origin',(2.46,-1.49,-1.28)); #579267=CARTESIAN_POINT('',(2.26,-0.804854114414314,-1.28)); #579268=CARTESIAN_POINT('',(2.26,3.49,-1.28)); #579269=CARTESIAN_POINT('',(2.207,-0.804854114414314,-1.28)); #579270=CARTESIAN_POINT('',(-0.5,-0.804854114414314,-1.28)); #579271=CARTESIAN_POINT('',(2.057,-0.654854114414314,-1.28)); #579272=CARTESIAN_POINT('Origin',(2.207,-0.654854114414314,-1.28)); #579273=CARTESIAN_POINT('',(2.057,-0.104854114414314,-1.28)); #579274=CARTESIAN_POINT('',(2.057,3.49,-1.28)); #579275=CARTESIAN_POINT('',(2.257,0.0951458855856859,-1.28)); #579276=CARTESIAN_POINT('Origin',(2.257,-0.104854114414314,-1.28)); #579277=CARTESIAN_POINT('',(2.857,0.0951458855856859,-1.28)); #579278=CARTESIAN_POINT('',(-0.5,0.095145885585686,-1.28)); #579279=CARTESIAN_POINT('',(3.05241633642548,0.252572942792843,-1.28)); #579280=CARTESIAN_POINT('Origin',(2.857,0.295145885585686,-1.28)); #579281=CARTESIAN_POINT('',(3.19,0.401455953030751,-1.28)); #579282=CARTESIAN_POINT('Origin',(3.24783267285096,0.21,-1.28)); #579283=CARTESIAN_POINT('',(3.19,2.14,-1.28)); #579284=CARTESIAN_POINT('',(3.19,3.49,-1.28)); #579285=CARTESIAN_POINT('',(2.99,2.34,-1.28)); #579286=CARTESIAN_POINT('Origin',(2.99,2.14,-1.28)); #579287=CARTESIAN_POINT('',(2.23,2.34,-1.28)); #579288=CARTESIAN_POINT('',(-0.500000000000001,2.34,-1.28)); #579289=CARTESIAN_POINT('',(2.086,2.39974148115528,-1.28)); #579290=CARTESIAN_POINT('Origin',(2.23,2.54341849666777,-1.28)); #579291=CARTESIAN_POINT('',(1.856,2.63025851884472,-1.28)); #579292=CARTESIAN_POINT('',(0.250775498836637,4.23909154856077,-1.28)); #579293=CARTESIAN_POINT('',(1.712,2.69,-1.28)); #579294=CARTESIAN_POINT('Origin',(1.712,2.48658150333223,-1.28)); #579295=CARTESIAN_POINT('',(1.042,2.69,-1.28)); #579296=CARTESIAN_POINT('',(-0.500000000000001,2.69,-1.28)); #579297=CARTESIAN_POINT('',(1.042,2.09385164807134,-1.28)); #579298=CARTESIAN_POINT('',(1.042,2.69,-1.28)); #579299=CARTESIAN_POINT('',(0.902,1.89,-1.28)); #579300=CARTESIAN_POINT('Origin',(0.902,2.04,-1.28)); #579301=CARTESIAN_POINT('',(-0.5,1.89,-1.28)); #579302=CARTESIAN_POINT('',(-0.5,1.89,-1.28)); #579303=CARTESIAN_POINT('',(-0.5,3.49,-1.28)); #579304=CARTESIAN_POINT('',(2.64,1.29,-1.28)); #579305=CARTESIAN_POINT('',(1.36,1.29,-1.28)); #579306=CARTESIAN_POINT('',(2.64,1.29,-1.28)); #579307=CARTESIAN_POINT('',(1.36,1.292,-1.28)); #579308=CARTESIAN_POINT('',(1.36,1.29,-1.28)); #579309=CARTESIAN_POINT('',(1.36,1.788,-1.28)); #579310=CARTESIAN_POINT('',(1.36,1.788,-1.28)); #579311=CARTESIAN_POINT('',(1.36,1.79,-1.28)); #579312=CARTESIAN_POINT('',(1.36,1.29,-1.28)); #579313=CARTESIAN_POINT('',(2.64,1.79,-1.28)); #579314=CARTESIAN_POINT('',(1.36,1.79,-1.28)); #579315=CARTESIAN_POINT('',(2.64,1.788,-1.28)); #579316=CARTESIAN_POINT('',(2.64,1.79,-1.28)); #579317=CARTESIAN_POINT('',(2.64,1.292,-1.28)); #579318=CARTESIAN_POINT('',(2.64,1.788,-1.28)); #579319=CARTESIAN_POINT('',(2.64,1.79,-1.28)); #579320=CARTESIAN_POINT('Origin',(-0.5,3.49,-1.58)); #579321=CARTESIAN_POINT('',(-0.299420132394812,0.913785580693259,-1.58)); #579322=CARTESIAN_POINT('',(0.299420132394811,1.20121441930674,-1.58)); #579323=CARTESIAN_POINT('',(-0.299420132394812,0.913785580693259,-1.58)); #579324=CARTESIAN_POINT('',(-0.500000000000001,1.04,-1.58)); #579325=CARTESIAN_POINT('Origin',(-0.360000000000001,1.04,-1.58)); #579326=CARTESIAN_POINT('',(-0.5,1.89,-1.58)); #579327=CARTESIAN_POINT('',(-0.5,3.49,-1.58)); #579328=CARTESIAN_POINT('',(0.902,1.89,-1.58)); #579329=CARTESIAN_POINT('',(-0.902,1.89,-1.58)); #579330=CARTESIAN_POINT('',(1.042,2.09385164807134,-1.58)); #579331=CARTESIAN_POINT('Origin',(0.902,2.04,-1.58)); #579332=CARTESIAN_POINT('',(1.042,2.69,-1.58)); #579333=CARTESIAN_POINT('',(1.042,2.69,-1.58)); #579334=CARTESIAN_POINT('',(1.712,2.69,-1.58)); #579335=CARTESIAN_POINT('',(1.712,2.69,-1.58)); #579336=CARTESIAN_POINT('',(1.856,2.63025851884472,-1.58)); #579337=CARTESIAN_POINT('Origin',(1.712,2.48658150333223,-1.58)); #579338=CARTESIAN_POINT('',(2.086,2.39974148115528,-1.58)); #579339=CARTESIAN_POINT('',(2.086,2.39974148115528,-1.58)); #579340=CARTESIAN_POINT('',(2.23,2.34,-1.58)); #579341=CARTESIAN_POINT('Origin',(2.23,2.54341849666777,-1.58)); #579342=CARTESIAN_POINT('',(2.99,2.34,-1.58)); #579343=CARTESIAN_POINT('',(2.99,2.34,-1.58)); #579344=CARTESIAN_POINT('',(3.19,2.14,-1.58)); #579345=CARTESIAN_POINT('Origin',(2.99,2.14,-1.58)); #579346=CARTESIAN_POINT('',(3.19,0.401455953030752,-1.58)); #579347=CARTESIAN_POINT('',(3.19,3.49,-1.58)); #579348=CARTESIAN_POINT('',(3.05241633642548,0.252572942792843,-1.58)); #579349=CARTESIAN_POINT('Origin',(3.24783267285096,0.21,-1.58)); #579350=CARTESIAN_POINT('',(2.857,0.0951458855856859,-1.58)); #579351=CARTESIAN_POINT('Origin',(2.857,0.295145885585686,-1.58)); #579352=CARTESIAN_POINT('',(2.257,0.0951458855856859,-1.58)); #579353=CARTESIAN_POINT('',(2.257,0.0951458855856859,-1.58)); #579354=CARTESIAN_POINT('',(2.057,-0.104854114414314,-1.58)); #579355=CARTESIAN_POINT('Origin',(2.257,-0.104854114414314,-1.58)); #579356=CARTESIAN_POINT('',(2.057,-0.654854114414314,-1.58)); #579357=CARTESIAN_POINT('',(2.057,-0.654854114414314,-1.58)); #579358=CARTESIAN_POINT('',(2.207,-0.804854114414314,-1.58)); #579359=CARTESIAN_POINT('Origin',(2.207,-0.654854114414314,-1.58)); #579360=CARTESIAN_POINT('',(2.26,-0.804854114414314,-1.58)); #579361=CARTESIAN_POINT('',(2.26,-0.804854114414314,-1.58)); #579362=CARTESIAN_POINT('',(2.26,-1.49,-1.58)); #579363=CARTESIAN_POINT('',(2.26,-1.49,-1.58)); #579364=CARTESIAN_POINT('',(2.46,-1.69,-1.58)); #579365=CARTESIAN_POINT('Origin',(2.46,-1.49,-1.58)); #579366=CARTESIAN_POINT('',(3.19,-1.69,-1.58)); #579367=CARTESIAN_POINT('',(4.47,-1.69,-1.58)); #579368=CARTESIAN_POINT('',(3.19,-3.49,-1.58)); #579369=CARTESIAN_POINT('',(3.19,3.49,-1.58)); #579370=CARTESIAN_POINT('',(-0.5,-3.49,-1.58)); #579371=CARTESIAN_POINT('',(-0.5,-3.49,-1.58)); #579372=CARTESIAN_POINT('',(-0.5,-2.39,-1.58)); #579373=CARTESIAN_POINT('',(-0.5,3.49,-1.58)); #579374=CARTESIAN_POINT('',(-0.299420132394812,-2.26378558069326,-1.58)); #579375=CARTESIAN_POINT('Origin',(-0.36,-2.39,-1.58)); #579376=CARTESIAN_POINT('',(0.299420132394811,-2.55121441930674,-1.58)); #579377=CARTESIAN_POINT('',(-0.299420132394812,-2.26378558069326,-1.58)); #579378=CARTESIAN_POINT('',(0.5,-2.425,-1.58)); #579379=CARTESIAN_POINT('Origin',(0.36,-2.425,-1.58)); #579380=CARTESIAN_POINT('',(0.5,-1.235,-1.58)); #579381=CARTESIAN_POINT('',(0.5,-2.425,-1.58)); #579382=CARTESIAN_POINT('',(0.299420132394811,-1.10878558069326,-1.58)); #579383=CARTESIAN_POINT('Origin',(0.36,-1.235,-1.58)); #579384=CARTESIAN_POINT('',(-0.299420132394812,-1.39621441930674,-1.58)); #579385=CARTESIAN_POINT('',(0.299420132394811,-1.10878558069326,-1.58)); #579386=CARTESIAN_POINT('',(-0.5,-1.27,-1.58)); #579387=CARTESIAN_POINT('Origin',(-0.36,-1.27,-1.58)); #579388=CARTESIAN_POINT('',(-0.5,-0.0800000000000011,-1.58)); #579389=CARTESIAN_POINT('',(-0.5,3.49,-1.58)); #579390=CARTESIAN_POINT('',(-0.299420132394812,0.0462144193067397,-1.58)); #579391=CARTESIAN_POINT('Origin',(-0.36,-0.080000000000001,-1.58)); #579392=CARTESIAN_POINT('',(0.299420132394811,-0.241214419306741,-1.58)); #579393=CARTESIAN_POINT('',(0.299420132394811,-0.241214419306741,-1.58)); #579394=CARTESIAN_POINT('',(0.5,-0.115,-1.58)); #579395=CARTESIAN_POINT('Origin',(0.36,-0.115,-1.58)); #579396=CARTESIAN_POINT('',(0.499999999999999,1.075,-1.58)); #579397=CARTESIAN_POINT('',(0.499999999999999,1.075,-1.58)); #579398=CARTESIAN_POINT('Origin',(0.359999999999999,1.075,-1.58)); #579399=CARTESIAN_POINT('',(1.36,1.29,-1.58)); #579400=CARTESIAN_POINT('',(1.36,1.292,-1.58)); #579401=CARTESIAN_POINT('',(1.36,1.29,-1.58)); #579402=CARTESIAN_POINT('',(2.64,1.29,-1.58)); #579403=CARTESIAN_POINT('',(2.64,1.29,-1.58)); #579404=CARTESIAN_POINT('',(2.64,1.292,-1.58)); #579405=CARTESIAN_POINT('',(2.64,1.79,-1.58)); #579406=CARTESIAN_POINT('',(2.64,1.788,-1.58)); #579407=CARTESIAN_POINT('',(2.64,1.788,-1.58)); #579408=CARTESIAN_POINT('',(2.64,1.79,-1.58)); #579409=CARTESIAN_POINT('',(2.64,1.79,-1.58)); #579410=CARTESIAN_POINT('',(1.36,1.79,-1.58)); #579411=CARTESIAN_POINT('',(1.36,1.79,-1.58)); #579412=CARTESIAN_POINT('',(1.36,1.788,-1.58)); #579413=CARTESIAN_POINT('',(1.36,1.29,-1.58)); #579414=CARTESIAN_POINT('',(1.36,1.788,-1.58)); #579415=CARTESIAN_POINT('Origin',(-0.5,3.49,-1.28)); #579416=CARTESIAN_POINT('',(-0.499999999999999,1.04,11.2985643801531)); #579417=CARTESIAN_POINT('',(-0.5,1.89,-1.28)); #579418=CARTESIAN_POINT('Origin',(-0.5,3.49,-1.28)); #579419=CARTESIAN_POINT('',(-0.499999999999999,-2.39,11.2985643801531)); #579420=CARTESIAN_POINT('',(-0.5,-3.49,-1.38)); #579421=CARTESIAN_POINT('',(-0.5,-3.49,-1.28)); #579422=CARTESIAN_POINT('',(-0.5,0.050000000000004,2.16)); #579423=CARTESIAN_POINT('Origin',(2.75,3.64,1.28)); #579424=CARTESIAN_POINT('',(2.75,3.49,1.28)); #579425=CARTESIAN_POINT('',(2.60857864376269,3.59,1.28)); #579426=CARTESIAN_POINT('Origin',(2.75,3.64,1.28)); #579427=CARTESIAN_POINT('',(2.60857864376269,3.59,1.37903336799206)); #579428=CARTESIAN_POINT('',(2.60857864376269,3.59,1.58)); #579429=CARTESIAN_POINT('',(2.60857864376269,3.59,1.58)); #579430=CARTESIAN_POINT('',(2.60857864376269,3.59,1.58)); #579431=CARTESIAN_POINT('',(2.75,3.49,1.58)); #579432=CARTESIAN_POINT('Origin',(2.75,3.64,1.58)); #579433=CARTESIAN_POINT('',(2.75,3.49,1.28)); #579434=CARTESIAN_POINT('Origin',(-1.6,3.64,1.28)); #579435=CARTESIAN_POINT('',(-1.6,3.49,1.28)); #579436=CARTESIAN_POINT('',(-1.74142135623731,3.59,1.28)); #579437=CARTESIAN_POINT('Origin',(-1.6,3.64,1.28)); #579438=CARTESIAN_POINT('',(-1.74142135623731,3.59,1.37903336799205)); #579439=CARTESIAN_POINT('',(-1.74142135623731,3.59,1.58)); #579440=CARTESIAN_POINT('',(-1.74142135623731,3.59,1.58)); #579441=CARTESIAN_POINT('',(-1.74142135623731,3.59,1.58)); #579442=CARTESIAN_POINT('',(-1.6,3.49,1.58)); #579443=CARTESIAN_POINT('Origin',(-1.6,3.64,1.58)); #579444=CARTESIAN_POINT('',(-1.6,3.49,1.28)); #579445=CARTESIAN_POINT('Origin',(3.19,3.49,1.28)); #579446=CARTESIAN_POINT('',(-2.60857864376269,3.59,1.28)); #579447=CARTESIAN_POINT('',(-2.75,3.49,1.28)); #579448=CARTESIAN_POINT('Origin',(-2.75,3.64,1.28)); #579449=CARTESIAN_POINT('',(-2.60857864376269,3.59,1.28)); #579450=CARTESIAN_POINT('',(1.6,3.49,1.28)); #579451=CARTESIAN_POINT('',(3.19,3.49,1.28)); #579452=CARTESIAN_POINT('',(1.74142135623731,3.59,1.28)); #579453=CARTESIAN_POINT('Origin',(1.6,3.64,1.28)); #579454=CARTESIAN_POINT('',(-2.60857864376269,3.59,1.28)); #579455=CARTESIAN_POINT('',(3.19,3.49,1.28)); #579456=CARTESIAN_POINT('',(3.19,3.49,1.28)); #579457=CARTESIAN_POINT('',(3.19,-3.39,1.28)); #579458=CARTESIAN_POINT('',(3.19,3.49,1.28)); #579459=CARTESIAN_POINT('',(-3.19,-3.39,1.28)); #579460=CARTESIAN_POINT('',(3.19,-3.39,1.28)); #579461=CARTESIAN_POINT('',(-3.19,3.49,1.28)); #579462=CARTESIAN_POINT('',(-3.19,3.49,1.28)); #579463=CARTESIAN_POINT('',(3.19,3.49,1.28)); #579464=CARTESIAN_POINT('',(1.36,1.79,1.28)); #579465=CARTESIAN_POINT('',(1.36,1.788,1.28)); #579466=CARTESIAN_POINT('',(1.36,1.79,1.28)); #579467=CARTESIAN_POINT('',(1.36,1.292,1.28)); #579468=CARTESIAN_POINT('',(1.36,1.788,1.28)); #579469=CARTESIAN_POINT('',(1.36,1.29,1.28)); #579470=CARTESIAN_POINT('',(1.36,1.79,1.28)); #579471=CARTESIAN_POINT('',(2.64,1.29,1.28)); #579472=CARTESIAN_POINT('',(1.36,1.29,1.28)); #579473=CARTESIAN_POINT('',(2.64,1.292,1.28)); #579474=CARTESIAN_POINT('',(2.64,1.29,1.28)); #579475=CARTESIAN_POINT('',(2.64,1.788,1.28)); #579476=CARTESIAN_POINT('',(2.64,1.788,1.28)); #579477=CARTESIAN_POINT('',(2.64,1.79,1.28)); #579478=CARTESIAN_POINT('',(2.64,1.29,1.28)); #579479=CARTESIAN_POINT('',(2.64,1.79,1.28)); #579480=CARTESIAN_POINT('',(-2.64,1.29,1.28)); #579481=CARTESIAN_POINT('',(-1.36,1.29,1.28)); #579482=CARTESIAN_POINT('',(-2.64,1.29,1.28)); #579483=CARTESIAN_POINT('',(-1.36,1.292,1.28)); #579484=CARTESIAN_POINT('',(-1.36,1.29,1.28)); #579485=CARTESIAN_POINT('',(-1.36,1.788,1.28)); #579486=CARTESIAN_POINT('',(-1.36,1.788,1.28)); #579487=CARTESIAN_POINT('',(-1.36,1.79,1.28)); #579488=CARTESIAN_POINT('',(-1.36,1.29,1.28)); #579489=CARTESIAN_POINT('',(-2.64,1.79,1.28)); #579490=CARTESIAN_POINT('',(-1.36,1.79,1.28)); #579491=CARTESIAN_POINT('',(-2.64,1.788,1.28)); #579492=CARTESIAN_POINT('',(-2.64,1.79,1.28)); #579493=CARTESIAN_POINT('',(-2.64,1.292,1.28)); #579494=CARTESIAN_POINT('',(-2.64,1.788,1.28)); #579495=CARTESIAN_POINT('',(-2.64,1.79,1.28)); #579496=CARTESIAN_POINT('Origin',(-4.17,3.49,0.300000000000001)); #579497=CARTESIAN_POINT('',(-4.17,1.94,-0.279999999999999)); #579498=CARTESIAN_POINT('',(-4.17,1.94,-0.300000000000001)); #579499=CARTESIAN_POINT('',(-4.17,1.94,0.300000000000001)); #579500=CARTESIAN_POINT('',(-4.17,2.34,-0.279999999999999)); #579501=CARTESIAN_POINT('Origin',(-4.17,2.14,-0.279999999999999)); #579502=CARTESIAN_POINT('',(-4.17,2.34,-1.076)); #579503=CARTESIAN_POINT('',(-4.17,2.34,-0.0800000000000004)); #579504=CARTESIAN_POINT('',(-4.17,2.53999999999999,-1.276)); #579505=CARTESIAN_POINT('Origin',(-4.17,2.53999999999999,-1.076)); #579506=CARTESIAN_POINT('',(-4.17,2.73999999999998,-1.476)); #579507=CARTESIAN_POINT('Origin',(-4.17,2.53999999999998,-1.476)); #579508=CARTESIAN_POINT('',(-4.17,2.74,-2.03)); #579509=CARTESIAN_POINT('',(-4.17,2.74,-1.476)); #579510=CARTESIAN_POINT('',(-4.17,2.75430509862945,-2.09255811788715)); #579511=CARTESIAN_POINT('Origin',(-4.17,2.8839400757397,-2.03)); #579512=CARTESIAN_POINT('',(-4.17,2.935,-2.467)); #579513=CARTESIAN_POINT('',(-4.17,2.75430509862951,-2.09255811788712)); #579514=CARTESIAN_POINT('',(-4.17,3.115,-2.58000000000004)); #579515=CARTESIAN_POINT('Origin',(-4.17,3.115,-2.38013716814167)); #579516=CARTESIAN_POINT('',(-4.17,3.465,-2.58)); #579517=CARTESIAN_POINT('',(-4.17,3.115,-2.58)); #579518=CARTESIAN_POINT('',(-4.17,3.645,-2.467)); #579519=CARTESIAN_POINT('Origin',(-4.17,3.465,-2.38013716814167)); #579520=CARTESIAN_POINT('',(-4.17,3.82569490137048,-2.09255811788713)); #579521=CARTESIAN_POINT('',(-4.17,3.645,-2.467)); #579522=CARTESIAN_POINT('',(-4.17,3.83999999999999,-2.03)); #579523=CARTESIAN_POINT('Origin',(-4.17,3.69605992426026,-2.03)); #579524=CARTESIAN_POINT('',(-4.17,3.84,-1.58)); #579525=CARTESIAN_POINT('',(-4.17,3.84,-2.03)); #579526=CARTESIAN_POINT('',(-4.17,3.69,-1.426)); #579527=CARTESIAN_POINT('',(-4.17,3.84,-1.58)); #579528=CARTESIAN_POINT('',(-4.17,3.69,0.157)); #579529=CARTESIAN_POINT('',(-4.17,3.69,-1.426)); #579530=CARTESIAN_POINT('',(-4.17,3.59,0.330500000000001)); #579531=CARTESIAN_POINT('Origin',(-4.17,3.48948875,0.157)); #579532=CARTESIAN_POINT('',(-4.17,3.49,0.504000000000001)); #579533=CARTESIAN_POINT('Origin',(-4.17,3.69051125,0.504)); #579534=CARTESIAN_POINT('',(-4.17,3.49,0.300000000000001)); #579535=CARTESIAN_POINT('',(-4.17,3.49,0.504)); #579536=CARTESIAN_POINT('',(-4.17,-3.39,0.300000000000001)); #579537=CARTESIAN_POINT('',(-4.17,3.49,0.300000000000001)); #579538=CARTESIAN_POINT('',(-4.17,-3.39,-0.300000000000001)); #579539=CARTESIAN_POINT('',(-4.17,-3.39,0.300000000000001)); #579540=CARTESIAN_POINT('',(-4.17,-1.69,-0.300000000000001)); #579541=CARTESIAN_POINT('',(-4.17,3.49,-0.300000000000001)); #579542=CARTESIAN_POINT('',(-4.17,-1.69,-0.279999999999999)); #579543=CARTESIAN_POINT('',(-4.17,-1.69,0.300000000000001)); #579544=CARTESIAN_POINT('',(-4.17,-1.29,-0.279999999999999)); #579545=CARTESIAN_POINT('Origin',(-4.17,-1.49,-0.279999999999999)); #579546=CARTESIAN_POINT('',(-4.17,-1.29,-2.147)); #579547=CARTESIAN_POINT('',(-4.17,-1.29,-2.147)); #579548=CARTESIAN_POINT('',(-4.17,-1.28072335600907,-2.20012987012987)); #579549=CARTESIAN_POINT('Origin',(-4.17,-1.13321704990536,-2.147)); #579550=CARTESIAN_POINT('',(-4.17,-1.19072335600907,-2.45)); #579551=CARTESIAN_POINT('',(-4.17,-1.19072335600907,-2.45)); #579552=CARTESIAN_POINT('',(-4.17,-1.00572335600907,-2.58)); #579553=CARTESIAN_POINT('Origin',(-4.17,-1.00572335600907,-2.38336538461539)); #579554=CARTESIAN_POINT('',(-4.17,-0.77427664399093,-2.58)); #579555=CARTESIAN_POINT('',(-4.17,-0.77427664399093,-2.58)); #579556=CARTESIAN_POINT('',(-4.17,-0.58927664399093,-2.45)); #579557=CARTESIAN_POINT('Origin',(-4.17,-0.77427664399093,-2.38336538461539)); #579558=CARTESIAN_POINT('',(-4.17,-0.499276643990934,-2.20012987012986)); #579559=CARTESIAN_POINT('',(-4.17,-0.49927664399093,-2.20012987012987)); #579560=CARTESIAN_POINT('',(-4.17,-0.49,-2.147)); #579561=CARTESIAN_POINT('Origin',(-4.17,-0.646782950094636,-2.147)); #579562=CARTESIAN_POINT('',(-4.17,-0.49,-1.931)); #579563=CARTESIAN_POINT('',(-4.17,-0.49,-1.931)); #579564=CARTESIAN_POINT('',(-4.17,-0.522000000000001,-1.841)); #579565=CARTESIAN_POINT('Origin',(-4.17,-0.6325625,-1.931)); #579566=CARTESIAN_POINT('',(-4.17,-0.382999999999999,-1.69905776454236)); #579567=CARTESIAN_POINT('Origin',(-4.17,-0.444471864358245,-1.77789060750473)); #579568=CARTESIAN_POINT('',(-4.17,-0.292999999999999,-1.73)); #579569=CARTESIAN_POINT('Origin',(-4.17,-0.293,-1.58363982593444)); #579570=CARTESIAN_POINT('',(-4.17,-0.139999999999999,-1.73)); #579571=CARTESIAN_POINT('',(-4.17,-0.139999999999999,-1.73)); #579572=CARTESIAN_POINT('',(-4.17,0.0100000000000011,-1.58)); #579573=CARTESIAN_POINT('Origin',(-4.17,-0.139999999999999,-1.58)); #579574=CARTESIAN_POINT('',(-4.17,0.0100000000000011,-0.279999999999999)); #579575=CARTESIAN_POINT('',(-4.17,0.0100000000000011,-0.0800000000000004)); #579576=CARTESIAN_POINT('',(-4.17,0.41,-0.279999999999999)); #579577=CARTESIAN_POINT('Origin',(-4.17,0.210000000000001,-0.279999999999999)); #579578=CARTESIAN_POINT('',(-4.17,0.41,-0.300000000000001)); #579579=CARTESIAN_POINT('',(-4.17,0.41,0.300000000000001)); #579580=CARTESIAN_POINT('',(-4.17,3.49,-0.300000000000001)); #579581=CARTESIAN_POINT('',(-4.17,2.87,-0.530000000000001)); #579582=CARTESIAN_POINT('',(-4.17,2.87,-0.730000000000002)); #579583=CARTESIAN_POINT('',(-4.17,2.87,-0.530000000000001)); #579584=CARTESIAN_POINT('',(-4.17,3.28,-0.530000000000001)); #579585=CARTESIAN_POINT('',(-4.17,2.87,-0.530000000000001)); #579586=CARTESIAN_POINT('',(-4.17,3.28,-0.730000000000002)); #579587=CARTESIAN_POINT('',(-4.17,3.28,-0.530000000000001)); #579588=CARTESIAN_POINT('',(-4.17,2.87,-0.730000000000002)); #579589=CARTESIAN_POINT('Origin',(-1.712,2.69,-0.0799999999999996)); #579590=CARTESIAN_POINT('',(-1.712,2.69,-1.28)); #579591=CARTESIAN_POINT('',(-1.042,2.69,-1.28)); #579592=CARTESIAN_POINT('',(-0.499999999999999,2.69,-1.28)); #579593=CARTESIAN_POINT('',(-0.967,2.69,-1.25990381056767)); #579594=CARTESIAN_POINT('Origin',(-1.042,2.69,-1.13)); #579595=CARTESIAN_POINT('',(-0.553365564922642,2.69,-1.02109185812965)); #579596=CARTESIAN_POINT('',(-0.610523241572414,2.69,-1.05409185812965)); #579597=CARTESIAN_POINT('',(-0.403365564922642,2.69,-1.28089947926499)); #579598=CARTESIAN_POINT('',(-1.15336556492264,2.69,0.0181386264116724)); #579599=CARTESIAN_POINT('',(-0.817,2.69,-1.519711431703)); #579600=CARTESIAN_POINT('',(-1.51014603095916,2.69,-1.91989947926499)); #579601=CARTESIAN_POINT('',(-1.042,2.69,-1.58)); #579602=CARTESIAN_POINT('Origin',(-1.042,2.69,-1.13)); #579603=CARTESIAN_POINT('',(-1.712,2.69,-1.58)); #579604=CARTESIAN_POINT('',(-1.712,2.69,-1.58)); #579605=CARTESIAN_POINT('',(-1.712,2.69,-0.0799999999999996)); #579606=CARTESIAN_POINT('Origin',(-0.5,3.49,-1.28)); #579607=CARTESIAN_POINT('',(-1.042,2.09385164807135,-1.28)); #579608=CARTESIAN_POINT('',(-0.902,1.89,-1.28)); #579609=CARTESIAN_POINT('Origin',(-0.902,2.04,-1.28)); #579610=CARTESIAN_POINT('',(-1.042,2.09385164807134,-1.28)); #579611=CARTESIAN_POINT('',(-1.856,2.63025851884472,-1.28)); #579612=CARTESIAN_POINT('Origin',(-1.712,2.48658150333223,-1.28)); #579613=CARTESIAN_POINT('',(-2.086,2.39974148115528,-1.28)); #579614=CARTESIAN_POINT('',(-2.09163261917534,2.39409619990861,-1.28)); #579615=CARTESIAN_POINT('',(-2.23,2.33999999999999,-1.28)); #579616=CARTESIAN_POINT('Origin',(-2.23,2.54341849666777,-1.28)); #579617=CARTESIAN_POINT('',(-2.99,2.34,-1.28)); #579618=CARTESIAN_POINT('',(-3.19,2.34,-1.28)); #579619=CARTESIAN_POINT('',(-3.19,2.14,-1.28)); #579620=CARTESIAN_POINT('Origin',(-2.99,2.14,-1.28)); #579621=CARTESIAN_POINT('',(-3.19,0.401455953030751,-1.28)); #579622=CARTESIAN_POINT('',(-3.19,3.49,-1.28)); #579623=CARTESIAN_POINT('',(-3.05241633642548,0.252572942792843,-1.28)); #579624=CARTESIAN_POINT('Origin',(-3.24783267285097,0.21,-1.28)); #579625=CARTESIAN_POINT('',(-2.857,0.0951458855856859,-1.28)); #579626=CARTESIAN_POINT('Origin',(-2.857,0.295145885585686,-1.28)); #579627=CARTESIAN_POINT('',(-2.257,0.0951458855856859,-1.28)); #579628=CARTESIAN_POINT('',(-3.19,0.095145885585686,-1.28)); #579629=CARTESIAN_POINT('',(-2.057,-0.104854114414314,-1.28)); #579630=CARTESIAN_POINT('Origin',(-2.257,-0.104854114414314,-1.28)); #579631=CARTESIAN_POINT('',(-2.057,-0.654854114414314,-1.28)); #579632=CARTESIAN_POINT('',(-2.057,3.49,-1.28)); #579633=CARTESIAN_POINT('',(-2.207,-0.804854114414314,-1.28)); #579634=CARTESIAN_POINT('Origin',(-2.207,-0.654854114414314,-1.28)); #579635=CARTESIAN_POINT('',(-2.26,-0.804854114414314,-1.28)); #579636=CARTESIAN_POINT('',(-3.19,-0.804854114414314,-1.28)); #579637=CARTESIAN_POINT('',(-2.26,-1.49,-1.28)); #579638=CARTESIAN_POINT('',(-2.26,3.49,-1.28)); #579639=CARTESIAN_POINT('',(-2.46,-1.69,-1.28)); #579640=CARTESIAN_POINT('Origin',(-2.46,-1.49,-1.28)); #579641=CARTESIAN_POINT('',(-3.19,-1.69,-1.28)); #579642=CARTESIAN_POINT('',(-3.19,-1.69,-1.28)); #579643=CARTESIAN_POINT('',(-3.19,-3.39,-1.28)); #579644=CARTESIAN_POINT('',(-3.19,3.49,-1.28)); #579645=CARTESIAN_POINT('',(-0.51,-3.39,-1.28)); #579646=CARTESIAN_POINT('',(-0.5,-3.39,-1.28)); #579647=CARTESIAN_POINT('',(-0.51,-2.39,-1.28)); #579648=CARTESIAN_POINT('',(-0.51,3.49,-1.28)); #579649=CARTESIAN_POINT('',(-0.295092998994441,-2.25477026502849,-1.28)); #579650=CARTESIAN_POINT('Origin',(-0.36,-2.39,-1.28)); #579651=CARTESIAN_POINT('',(0.303747265795182,-2.54219910364197,-1.28)); #579652=CARTESIAN_POINT('',(0.303747265795182,-2.54219910364198,-1.28)); #579653=CARTESIAN_POINT('',(0.49,-2.425,-1.28)); #579654=CARTESIAN_POINT('Origin',(0.36,-2.425,-1.28)); #579655=CARTESIAN_POINT('',(0.49,-1.235,-1.28)); #579656=CARTESIAN_POINT('',(0.49,-1.235,-1.28)); #579657=CARTESIAN_POINT('',(0.303747265795183,-1.11780089635803,-1.28)); #579658=CARTESIAN_POINT('Origin',(0.36,-1.235,-1.28)); #579659=CARTESIAN_POINT('',(-0.295092998994441,-1.40522973497151,-1.28)); #579660=CARTESIAN_POINT('',(-0.295092998994441,-1.40522973497151,-1.28)); #579661=CARTESIAN_POINT('Origin',(-0.36,-1.27,-1.28)); #579662=CARTESIAN_POINT('',(-0.295092998994442,0.0552297349715073,-1.28)); #579663=CARTESIAN_POINT('Origin',(-0.36,-0.080000000000001,-1.28)); #579664=CARTESIAN_POINT('',(0.303747265795182,-0.232199103641974,-1.28)); #579665=CARTESIAN_POINT('',(-0.295092998994442,0.0552297349715068,-1.28)); #579666=CARTESIAN_POINT('',(0.49,-0.115,-1.28)); #579667=CARTESIAN_POINT('Origin',(0.36,-0.115,-1.28)); #579668=CARTESIAN_POINT('',(0.489999999999999,1.075,-1.28)); #579669=CARTESIAN_POINT('',(0.49,-0.115,-1.28)); #579670=CARTESIAN_POINT('',(0.303747265795181,1.19219910364197,-1.28)); #579671=CARTESIAN_POINT('Origin',(0.359999999999999,1.075,-1.28)); #579672=CARTESIAN_POINT('',(-0.295092998994442,0.904770265028493,-1.28)); #579673=CARTESIAN_POINT('',(0.303747265795181,1.19219910364197,-1.28)); #579674=CARTESIAN_POINT('Origin',(-0.360000000000001,1.04,-1.28)); #579675=CARTESIAN_POINT('',(-3.19,1.89,-1.28)); #579676=CARTESIAN_POINT('',(-1.36,1.79,-1.28)); #579677=CARTESIAN_POINT('',(-1.36,1.788,-1.28)); #579678=CARTESIAN_POINT('',(-1.36,1.79,-1.28)); #579679=CARTESIAN_POINT('',(-1.36,1.292,-1.28)); #579680=CARTESIAN_POINT('',(-1.36,1.788,-1.28)); #579681=CARTESIAN_POINT('',(-1.36,1.29,-1.28)); #579682=CARTESIAN_POINT('',(-1.36,1.79,-1.28)); #579683=CARTESIAN_POINT('',(-2.64,1.29,-1.28)); #579684=CARTESIAN_POINT('',(-1.36,1.29,-1.28)); #579685=CARTESIAN_POINT('',(-2.64,1.292,-1.28)); #579686=CARTESIAN_POINT('',(-2.64,1.29,-1.28)); #579687=CARTESIAN_POINT('',(-2.64,1.788,-1.28)); #579688=CARTESIAN_POINT('',(-2.64,1.788,-1.28)); #579689=CARTESIAN_POINT('',(-2.64,1.79,-1.28)); #579690=CARTESIAN_POINT('',(-2.64,1.29,-1.28)); #579691=CARTESIAN_POINT('',(-2.64,1.79,-1.28)); #579692=CARTESIAN_POINT('Origin',(-1.36,1.79,-1.28)); #579693=CARTESIAN_POINT('',(-1.36,1.788,-1.58)); #579694=CARTESIAN_POINT('',(-1.36,1.788,-1.58)); #579695=CARTESIAN_POINT('',(-1.36,1.79,-1.58)); #579696=CARTESIAN_POINT('',(-1.36,1.79,-1.28)); #579697=CARTESIAN_POINT('',(-1.36,1.79,-1.58)); #579698=CARTESIAN_POINT('Origin',(-2.64,1.29,-1.28)); #579699=CARTESIAN_POINT('',(-2.64,1.292,-1.58)); #579700=CARTESIAN_POINT('',(-2.64,1.292,-1.58)); #579701=CARTESIAN_POINT('',(-2.64,1.29,-1.58)); #579702=CARTESIAN_POINT('',(-2.64,1.29,-1.28)); #579703=CARTESIAN_POINT('',(-2.64,1.29,-1.58)); #579704=CARTESIAN_POINT('Origin',(-1.36,1.29,1.28)); #579705=CARTESIAN_POINT('',(-1.36,1.292,1.58)); #579706=CARTESIAN_POINT('',(-1.36,1.292,1.58)); #579707=CARTESIAN_POINT('',(-1.36,1.29,1.58)); #579708=CARTESIAN_POINT('',(-1.36,1.29,1.28)); #579709=CARTESIAN_POINT('',(-1.36,1.29,1.58)); #579710=CARTESIAN_POINT('Origin',(-2.64,1.79,1.28)); #579711=CARTESIAN_POINT('',(-2.64,1.788,1.58)); #579712=CARTESIAN_POINT('',(-2.64,1.788,1.58)); #579713=CARTESIAN_POINT('',(-2.64,1.79,1.58)); #579714=CARTESIAN_POINT('',(-2.64,1.79,1.28)); #579715=CARTESIAN_POINT('',(-2.64,1.79,1.58)); #579716=CARTESIAN_POINT('Origin',(-4.32,3.69,-1.426)); #579717=CARTESIAN_POINT('',(-4.46811668758587,3.54188331241413,0.369409890610287)); #579718=CARTESIAN_POINT('',(-4.47,3.54,0.300000000000001)); #579719=CARTESIAN_POINT('Origin',(-3.19,4.82,0.300000000000001)); #579720=CARTESIAN_POINT('',(-4.42,3.59,0.330500000000001)); #579721=CARTESIAN_POINT('Origin',(-4.31948875000001,3.69051125,0.504)); #579722=CARTESIAN_POINT('',(-4.32,3.69,0.157)); #579723=CARTESIAN_POINT('Origin',(-4.52051125,3.48948875,0.157)); #579724=CARTESIAN_POINT('',(-4.32,3.69,-1.426)); #579725=CARTESIAN_POINT('',(-4.32,3.69,-1.426)); #579726=CARTESIAN_POINT('',(-4.47,3.54,-1.48697906874856)); #579727=CARTESIAN_POINT('',(-4.27963780374704,3.73036219625297,-1.40959167239898)); #579728=CARTESIAN_POINT('',(-4.47,3.54,0.300000000000001)); #579729=CARTESIAN_POINT('Origin',(-0.5,3.49,-1.28)); #579730=CARTESIAN_POINT('',(-4.45363918900927,3.49,0.504)); #579731=CARTESIAN_POINT('',(-4.17,3.49,0.504)); #579732=CARTESIAN_POINT('',(-3.19,3.49,1.58)); #579733=CARTESIAN_POINT('Origin',(-3.19,3.49,0.300000000000001)); #579734=CARTESIAN_POINT('',(-2.75,3.49,1.58)); #579735=CARTESIAN_POINT('',(3.19,3.49,1.58)); #579736=CARTESIAN_POINT('',(-2.75,3.49,1.28)); #579737=CARTESIAN_POINT('Origin',(-3.19,3.49,0.300000000000001)); #579738=CARTESIAN_POINT('Origin',(4.17,3.49,-0.300000000000001)); #579739=CARTESIAN_POINT('',(4.17,-1.69,-0.300000000000001)); #579740=CARTESIAN_POINT('',(4.17,-3.39,-0.300000000000001)); #579741=CARTESIAN_POINT('',(4.17,3.49,-0.300000000000001)); #579742=CARTESIAN_POINT('',(4.17,-3.39,0.300000000000001)); #579743=CARTESIAN_POINT('',(4.17,-3.39,-0.300000000000001)); #579744=CARTESIAN_POINT('',(4.17,3.49,0.300000000000001)); #579745=CARTESIAN_POINT('',(4.17,3.49,0.300000000000001)); #579746=CARTESIAN_POINT('',(4.17,3.49,0.504)); #579747=CARTESIAN_POINT('',(4.17,3.49,-0.0800000000000004)); #579748=CARTESIAN_POINT('',(4.17,3.59,0.3305)); #579749=CARTESIAN_POINT('Origin',(4.17,3.69051125,0.504)); #579750=CARTESIAN_POINT('',(4.17,3.69,0.157)); #579751=CARTESIAN_POINT('Origin',(4.17,3.48948875,0.157)); #579752=CARTESIAN_POINT('',(4.17,3.69,-1.426)); #579753=CARTESIAN_POINT('',(4.17,3.69,0.157)); #579754=CARTESIAN_POINT('',(4.17,3.84,-1.58)); #579755=CARTESIAN_POINT('',(4.17,3.69,-1.426)); #579756=CARTESIAN_POINT('',(4.17,3.84,-2.03)); #579757=CARTESIAN_POINT('',(4.17,3.84,-1.58)); #579758=CARTESIAN_POINT('',(4.17,3.82569490137052,-2.09255811788715)); #579759=CARTESIAN_POINT('Origin',(4.17,3.69605992426026,-2.03)); #579760=CARTESIAN_POINT('',(4.17,3.645,-2.467)); #579761=CARTESIAN_POINT('',(4.17,3.82569490137048,-2.09255811788713)); #579762=CARTESIAN_POINT('',(4.17,3.465,-2.58000000000004)); #579763=CARTESIAN_POINT('Origin',(4.17,3.465,-2.38013716814167)); #579764=CARTESIAN_POINT('',(4.17,3.115,-2.58)); #579765=CARTESIAN_POINT('',(4.17,3.465,-2.58)); #579766=CARTESIAN_POINT('',(4.17,2.935,-2.467)); #579767=CARTESIAN_POINT('Origin',(4.17,3.115,-2.38013716814167)); #579768=CARTESIAN_POINT('',(4.17,2.75430509862951,-2.09255811788712)); #579769=CARTESIAN_POINT('',(4.17,2.935,-2.467)); #579770=CARTESIAN_POINT('',(4.17,2.73999999999998,-2.03)); #579771=CARTESIAN_POINT('Origin',(4.17,2.8839400757397,-2.03)); #579772=CARTESIAN_POINT('',(4.17,2.74,-1.476)); #579773=CARTESIAN_POINT('',(4.17,2.74,-2.03)); #579774=CARTESIAN_POINT('',(4.17,2.53999999999999,-1.276)); #579775=CARTESIAN_POINT('Origin',(4.17,2.53999999999998,-1.476)); #579776=CARTESIAN_POINT('',(4.17,2.33999999999999,-1.076)); #579777=CARTESIAN_POINT('Origin',(4.17,2.53999999999999,-1.076)); #579778=CARTESIAN_POINT('',(4.17,2.34,-0.280000000000001)); #579779=CARTESIAN_POINT('',(4.17,2.34,-1.076)); #579780=CARTESIAN_POINT('',(4.17,1.94,-0.28)); #579781=CARTESIAN_POINT('Origin',(4.17,2.14,-0.28)); #579782=CARTESIAN_POINT('',(4.17,1.94,-0.300000000000001)); #579783=CARTESIAN_POINT('',(4.17,1.94,-0.300000000000001)); #579784=CARTESIAN_POINT('',(4.17,0.41,-0.300000000000001)); #579785=CARTESIAN_POINT('',(4.17,3.49,-0.300000000000001)); #579786=CARTESIAN_POINT('',(4.17,0.41,-0.28)); #579787=CARTESIAN_POINT('',(4.17,0.41,-0.300000000000001)); #579788=CARTESIAN_POINT('',(4.17,0.0100000000000011,-0.28)); #579789=CARTESIAN_POINT('Origin',(4.17,0.210000000000001,-0.28)); #579790=CARTESIAN_POINT('',(4.17,0.0100000000000011,-1.58)); #579791=CARTESIAN_POINT('',(4.17,0.0100000000000011,-1.58)); #579792=CARTESIAN_POINT('',(4.17,-0.139999999999999,-1.73)); #579793=CARTESIAN_POINT('Origin',(4.17,-0.139999999999999,-1.58)); #579794=CARTESIAN_POINT('',(4.17,-0.292999999999999,-1.73)); #579795=CARTESIAN_POINT('',(4.17,-0.292999999999999,-1.73)); #579796=CARTESIAN_POINT('',(4.17,-0.383,-1.69905776454236)); #579797=CARTESIAN_POINT('Origin',(4.17,-0.293,-1.58363982593444)); #579798=CARTESIAN_POINT('',(4.17,-0.522,-1.841)); #579799=CARTESIAN_POINT('Origin',(4.17,-0.444471864358245,-1.77789060750473)); #579800=CARTESIAN_POINT('',(4.17,-0.49,-1.931)); #579801=CARTESIAN_POINT('Origin',(4.17,-0.6325625,-1.931)); #579802=CARTESIAN_POINT('',(4.17,-0.490000000000006,-2.147)); #579803=CARTESIAN_POINT('',(4.17,-0.49,-2.147)); #579804=CARTESIAN_POINT('',(4.17,-0.49927664399093,-2.20012987012987)); #579805=CARTESIAN_POINT('Origin',(4.17,-0.646782950094636,-2.147)); #579806=CARTESIAN_POINT('',(4.17,-0.58927664399093,-2.45)); #579807=CARTESIAN_POINT('',(4.17,-0.58927664399093,-2.45)); #579808=CARTESIAN_POINT('',(4.17,-0.77427664399093,-2.58)); #579809=CARTESIAN_POINT('Origin',(4.17,-0.77427664399093,-2.38336538461539)); #579810=CARTESIAN_POINT('',(4.17,-1.00572335600907,-2.58)); #579811=CARTESIAN_POINT('',(4.17,-1.00572335600907,-2.58)); #579812=CARTESIAN_POINT('',(4.17,-1.19072335600907,-2.45)); #579813=CARTESIAN_POINT('Origin',(4.17,-1.00572335600907,-2.38336538461539)); #579814=CARTESIAN_POINT('',(4.17,-1.28072335600907,-2.20012987012987)); #579815=CARTESIAN_POINT('',(4.17,-1.28072335600907,-2.20012987012987)); #579816=CARTESIAN_POINT('',(4.17,-1.29,-2.147)); #579817=CARTESIAN_POINT('Origin',(4.17,-1.13321704990536,-2.147)); #579818=CARTESIAN_POINT('',(4.17,-1.29,-0.28)); #579819=CARTESIAN_POINT('',(4.17,-1.29,-0.0800000000000004)); #579820=CARTESIAN_POINT('',(4.17,-1.69,-0.28)); #579821=CARTESIAN_POINT('Origin',(4.17,-1.49,-0.28)); #579822=CARTESIAN_POINT('',(4.17,-1.69,-0.300000000000001)); #579823=CARTESIAN_POINT('',(4.17,3.28,-0.530000000000001)); #579824=CARTESIAN_POINT('',(4.17,3.28,-0.730000000000002)); #579825=CARTESIAN_POINT('',(4.17,3.28,-0.530000000000001)); #579826=CARTESIAN_POINT('',(4.17,2.87,-0.530000000000001)); #579827=CARTESIAN_POINT('',(4.17,3.28,-0.530000000000001)); #579828=CARTESIAN_POINT('',(4.17,2.87,-0.730000000000002)); #579829=CARTESIAN_POINT('',(4.17,2.87,-0.530000000000001)); #579830=CARTESIAN_POINT('',(4.17,3.28,-0.730000000000002)); #579831=CARTESIAN_POINT('Origin',(0.902,2.04,-0.08)); #579832=CARTESIAN_POINT('',(1.042,2.09385164807134,-1.37923304152418)); #579833=CARTESIAN_POINT('',(1.042,2.09385164807134,-1.58)); #579834=CARTESIAN_POINT('',(1.042,2.09385164807134,-1.58)); #579835=CARTESIAN_POINT('',(0.902,1.89,-0.08)); #579836=CARTESIAN_POINT('Origin',(1.36,1.29,-1.28)); #579837=CARTESIAN_POINT('',(1.36,1.292,-1.58)); #579838=CARTESIAN_POINT('',(1.36,1.29,-1.28)); #579839=CARTESIAN_POINT('Origin',(2.64,1.79,-1.28)); #579840=CARTESIAN_POINT('',(2.64,1.788,-1.58)); #579841=CARTESIAN_POINT('',(2.64,1.79,-1.28)); #579842=CARTESIAN_POINT('Origin',(1.36,1.79,1.28)); #579843=CARTESIAN_POINT('',(1.36,1.788,1.58)); #579844=CARTESIAN_POINT('',(1.36,1.788,1.58)); #579845=CARTESIAN_POINT('',(1.36,1.79,1.58)); #579846=CARTESIAN_POINT('',(1.36,1.79,1.28)); #579847=CARTESIAN_POINT('',(1.36,1.79,1.58)); #579848=CARTESIAN_POINT('Origin',(2.64,1.29,1.28)); #579849=CARTESIAN_POINT('',(2.64,1.292,1.58)); #579850=CARTESIAN_POINT('',(2.64,1.292,1.58)); #579851=CARTESIAN_POINT('',(2.64,1.29,1.58)); #579852=CARTESIAN_POINT('',(2.64,1.29,1.28)); #579853=CARTESIAN_POINT('',(2.64,1.29,1.58)); #579854=CARTESIAN_POINT('Origin',(4.47,3.49,-0.300000000000001)); #579855=CARTESIAN_POINT('',(4.47,3.54,-1.48697906874856)); #579856=CARTESIAN_POINT('',(4.47,3.54,0.300000000000001)); #579857=CARTESIAN_POINT('',(4.47,3.54,-0.300000000000001)); #579858=CARTESIAN_POINT('',(4.47,-3.49,0.300000000000001)); #579859=CARTESIAN_POINT('',(4.47,3.49,0.300000000000001)); #579860=CARTESIAN_POINT('',(4.47,-3.49,-0.300000000000001)); #579861=CARTESIAN_POINT('',(4.47,-3.49,-0.300000000000001)); #579862=CARTESIAN_POINT('',(4.47,-1.69,-0.300000000000001)); #579863=CARTESIAN_POINT('',(4.47,3.49,-0.300000000000001)); #579864=CARTESIAN_POINT('',(4.47,-1.69,-0.28)); #579865=CARTESIAN_POINT('',(4.47,-1.69,-0.0800000000000004)); #579866=CARTESIAN_POINT('',(4.47,-1.29,-0.28)); #579867=CARTESIAN_POINT('Origin',(4.47,-1.49,-0.28)); #579868=CARTESIAN_POINT('',(4.47,-1.29,-2.147)); #579869=CARTESIAN_POINT('',(4.47,-1.29,-0.0800000000000004)); #579870=CARTESIAN_POINT('',(4.47,-1.28072335600907,-2.20012987012987)); #579871=CARTESIAN_POINT('Origin',(4.47,-1.13321704990536,-2.147)); #579872=CARTESIAN_POINT('',(4.47,-1.19072335600907,-2.45)); #579873=CARTESIAN_POINT('',(4.47,-1.28072335600907,-2.20012987012987)); #579874=CARTESIAN_POINT('',(4.47,-1.00572335600907,-2.58)); #579875=CARTESIAN_POINT('Origin',(4.47,-1.00572335600907,-2.38336538461539)); #579876=CARTESIAN_POINT('',(4.47,-0.77427664399093,-2.58)); #579877=CARTESIAN_POINT('',(4.47,-1.00572335600907,-2.58)); #579878=CARTESIAN_POINT('',(4.47,-0.58927664399093,-2.45)); #579879=CARTESIAN_POINT('Origin',(4.47,-0.77427664399093,-2.38336538461539)); #579880=CARTESIAN_POINT('',(4.47,-0.49927664399093,-2.20012987012987)); #579881=CARTESIAN_POINT('',(4.47,-0.58927664399093,-2.45)); #579882=CARTESIAN_POINT('',(4.47,-0.490000000000006,-2.147)); #579883=CARTESIAN_POINT('Origin',(4.47,-0.646782950094636,-2.147)); #579884=CARTESIAN_POINT('',(4.47,-0.49,-1.931)); #579885=CARTESIAN_POINT('',(4.47,-0.49,-2.147)); #579886=CARTESIAN_POINT('',(4.47,-0.522,-1.841)); #579887=CARTESIAN_POINT('Origin',(4.47,-0.6325625,-1.931)); #579888=CARTESIAN_POINT('',(4.47,-0.383,-1.69905776454236)); #579889=CARTESIAN_POINT('Origin',(4.47,-0.444471864358245,-1.77789060750473)); #579890=CARTESIAN_POINT('',(4.47,-0.292999999999999,-1.73)); #579891=CARTESIAN_POINT('Origin',(4.47,-0.293,-1.58363982593444)); #579892=CARTESIAN_POINT('',(4.47,-0.139999999999999,-1.73)); #579893=CARTESIAN_POINT('',(4.47,-0.292999999999999,-1.73)); #579894=CARTESIAN_POINT('',(4.47,0.0100000000000011,-1.58)); #579895=CARTESIAN_POINT('Origin',(4.47,-0.139999999999999,-1.58)); #579896=CARTESIAN_POINT('',(4.47,0.0100000000000011,-0.28)); #579897=CARTESIAN_POINT('',(4.47,0.0100000000000011,-1.58)); #579898=CARTESIAN_POINT('',(4.47,0.41,-0.28)); #579899=CARTESIAN_POINT('Origin',(4.47,0.210000000000001,-0.28)); #579900=CARTESIAN_POINT('',(4.47,0.41,-0.300000000000001)); #579901=CARTESIAN_POINT('',(4.47,0.41,-0.0800000000000004)); #579902=CARTESIAN_POINT('',(4.47,1.94,-0.300000000000001)); #579903=CARTESIAN_POINT('',(4.47,3.49,-0.300000000000001)); #579904=CARTESIAN_POINT('',(4.47,1.94,-0.28)); #579905=CARTESIAN_POINT('',(4.47,1.94,-0.0800000000000004)); #579906=CARTESIAN_POINT('',(4.47,2.34,-0.280000000000001)); #579907=CARTESIAN_POINT('Origin',(4.47,2.14,-0.28)); #579908=CARTESIAN_POINT('',(4.47,2.33999999999999,-1.076)); #579909=CARTESIAN_POINT('',(4.47,2.34,-1.076)); #579910=CARTESIAN_POINT('',(4.47,2.53999999999999,-1.276)); #579911=CARTESIAN_POINT('Origin',(4.47,2.53999999999999,-1.076)); #579912=CARTESIAN_POINT('',(4.47,2.74,-1.476)); #579913=CARTESIAN_POINT('Origin',(4.47,2.53999999999998,-1.476)); #579914=CARTESIAN_POINT('',(4.47,2.73999999999998,-2.03)); #579915=CARTESIAN_POINT('',(4.47,2.74,-2.03)); #579916=CARTESIAN_POINT('',(4.47,2.75430509862951,-2.09255811788712)); #579917=CARTESIAN_POINT('Origin',(4.47,2.8839400757397,-2.03)); #579918=CARTESIAN_POINT('',(4.47,2.935,-2.467)); #579919=CARTESIAN_POINT('',(4.47,2.935,-2.467)); #579920=CARTESIAN_POINT('',(4.47,3.115,-2.58)); #579921=CARTESIAN_POINT('Origin',(4.47,3.115,-2.38013716814167)); #579922=CARTESIAN_POINT('',(4.47,3.465,-2.58000000000004)); #579923=CARTESIAN_POINT('',(4.47,3.465,-2.58)); #579924=CARTESIAN_POINT('',(4.47,3.645,-2.467)); #579925=CARTESIAN_POINT('Origin',(4.47,3.465,-2.38013716814167)); #579926=CARTESIAN_POINT('',(4.47,3.82569490137052,-2.09255811788715)); #579927=CARTESIAN_POINT('',(4.47,3.82569490137048,-2.09255811788713)); #579928=CARTESIAN_POINT('',(4.47,3.84,-2.03)); #579929=CARTESIAN_POINT('Origin',(4.47,3.69605992426026,-2.03)); #579930=CARTESIAN_POINT('',(4.47,3.84,-1.79497906874857)); #579931=CARTESIAN_POINT('',(4.47,3.84,-1.58)); #579932=CARTESIAN_POINT('',(4.47,2.92237371282474,-0.852882747248623)); #579933=CARTESIAN_POINT('',(4.47,3.33,-0.380000000000001)); #579934=CARTESIAN_POINT('',(4.47,2.82,-0.380000000000001)); #579935=CARTESIAN_POINT('',(4.47,3.33,-0.380000000000001)); #579936=CARTESIAN_POINT('',(4.47,3.33,-0.880000000000001)); #579937=CARTESIAN_POINT('',(4.47,3.33,-0.380000000000001)); #579938=CARTESIAN_POINT('',(4.47,2.82,-0.880000000000001)); #579939=CARTESIAN_POINT('',(4.47,3.33,-0.880000000000001)); #579940=CARTESIAN_POINT('',(4.47,2.67,-0.730000000000001)); #579941=CARTESIAN_POINT('Origin',(4.47,2.82,-0.730000000000001)); #579942=CARTESIAN_POINT('',(4.47,2.67,-0.530000000000001)); #579943=CARTESIAN_POINT('',(4.47,2.67,-0.380000000000001)); #579944=CARTESIAN_POINT('Origin',(4.47,2.82,-0.530000000000001)); #579945=CARTESIAN_POINT('Origin',(4.32,3.69,0.157)); #579946=CARTESIAN_POINT('',(4.46811668758587,3.54188331241413,0.369409890610287)); #579947=CARTESIAN_POINT('Origin',(3.19,4.82,0.300000000000001)); #579948=CARTESIAN_POINT('',(4.32,3.69,-1.426)); #579949=CARTESIAN_POINT('',(4.32,3.69,-1.426)); #579950=CARTESIAN_POINT('',(4.32,3.69,0.157)); #579951=CARTESIAN_POINT('',(4.32,3.69,0.157)); #579952=CARTESIAN_POINT('',(4.42,3.59,0.3305)); #579953=CARTESIAN_POINT('Origin',(4.52051125,3.48948875,0.157)); #579954=CARTESIAN_POINT('Origin',(4.31948875000001,3.69051125,0.504)); #579955=CARTESIAN_POINT('Origin',(-3.19,3.49,-1.58)); #579956=CARTESIAN_POINT('',(-1.042,2.09385164807135,-1.58)); #579957=CARTESIAN_POINT('',(-1.042,2.09385164807134,-1.58)); #579958=CARTESIAN_POINT('',(-0.902,1.89,-1.58)); #579959=CARTESIAN_POINT('Origin',(-0.902,2.04,-1.58)); #579960=CARTESIAN_POINT('',(-0.902,1.89,-1.58)); #579961=CARTESIAN_POINT('',(-0.295092998994442,0.904770265028493,-1.58)); #579962=CARTESIAN_POINT('Origin',(-0.360000000000001,1.04,-1.58)); #579963=CARTESIAN_POINT('',(0.303747265795181,1.19219910364197,-1.58)); #579964=CARTESIAN_POINT('',(0.303747265795181,1.19219910364197,-1.58)); #579965=CARTESIAN_POINT('',(0.489999999999999,1.075,-1.58)); #579966=CARTESIAN_POINT('Origin',(0.359999999999999,1.075,-1.58)); #579967=CARTESIAN_POINT('',(0.49,-0.115,-1.58)); #579968=CARTESIAN_POINT('',(0.49,-0.115,-1.58)); #579969=CARTESIAN_POINT('',(0.303747265795182,-0.232199103641974,-1.58)); #579970=CARTESIAN_POINT('Origin',(0.36,-0.115,-1.58)); #579971=CARTESIAN_POINT('',(-0.295092998994441,0.0552297349715073,-1.58)); #579972=CARTESIAN_POINT('',(-0.295092998994442,0.0552297349715068,-1.58)); #579973=CARTESIAN_POINT('Origin',(-0.36,-0.080000000000001,-1.58)); #579974=CARTESIAN_POINT('',(-0.295092998994441,-1.40522973497151,-1.58)); #579975=CARTESIAN_POINT('Origin',(-0.36,-1.27,-1.58)); #579976=CARTESIAN_POINT('',(0.303747265795183,-1.11780089635803,-1.58)); #579977=CARTESIAN_POINT('',(-0.295092998994441,-1.40522973497151,-1.58)); #579978=CARTESIAN_POINT('',(0.49,-1.235,-1.58)); #579979=CARTESIAN_POINT('Origin',(0.36,-1.235,-1.58)); #579980=CARTESIAN_POINT('',(0.49,-2.425,-1.58)); #579981=CARTESIAN_POINT('',(0.49,-1.235,-1.58)); #579982=CARTESIAN_POINT('',(0.303747265795182,-2.54219910364197,-1.58)); #579983=CARTESIAN_POINT('Origin',(0.36,-2.425,-1.58)); #579984=CARTESIAN_POINT('',(-0.295092998994441,-2.25477026502849,-1.58)); #579985=CARTESIAN_POINT('',(0.303747265795182,-2.54219910364198,-1.58)); #579986=CARTESIAN_POINT('',(-0.51,-2.39,-1.58)); #579987=CARTESIAN_POINT('Origin',(-0.36,-2.39,-1.58)); #579988=CARTESIAN_POINT('',(-0.51,-3.49,-1.58)); #579989=CARTESIAN_POINT('',(-0.51,3.49,-1.58)); #579990=CARTESIAN_POINT('',(-3.19,-3.49,-1.58)); #579991=CARTESIAN_POINT('',(-3.19,-3.49,-1.58)); #579992=CARTESIAN_POINT('',(-3.19,-1.69,-1.58)); #579993=CARTESIAN_POINT('',(-3.19,3.49,-1.58)); #579994=CARTESIAN_POINT('',(-2.46,-1.69,-1.58)); #579995=CARTESIAN_POINT('',(-4.47,-1.69,-1.58)); #579996=CARTESIAN_POINT('',(-2.26,-1.49,-1.58)); #579997=CARTESIAN_POINT('Origin',(-2.46,-1.49,-1.58)); #579998=CARTESIAN_POINT('',(-2.26,-0.804854114414314,-1.58)); #579999=CARTESIAN_POINT('',(-2.26,-1.49,-1.58)); #580000=CARTESIAN_POINT('',(-2.207,-0.804854114414314,-1.58)); #580001=CARTESIAN_POINT('',(-2.26,-0.804854114414314,-1.58)); #580002=CARTESIAN_POINT('',(-2.057,-0.654854114414314,-1.58)); #580003=CARTESIAN_POINT('Origin',(-2.207,-0.654854114414314,-1.58)); #580004=CARTESIAN_POINT('',(-2.057,-0.104854114414314,-1.58)); #580005=CARTESIAN_POINT('',(-2.057,-0.654854114414314,-1.58)); #580006=CARTESIAN_POINT('',(-2.257,0.0951458855856859,-1.58)); #580007=CARTESIAN_POINT('Origin',(-2.257,-0.104854114414314,-1.58)); #580008=CARTESIAN_POINT('',(-2.857,0.0951458855856859,-1.58)); #580009=CARTESIAN_POINT('',(-2.257,0.0951458855856859,-1.58)); #580010=CARTESIAN_POINT('',(-3.05241633642548,0.252572942792843,-1.58)); #580011=CARTESIAN_POINT('Origin',(-2.857,0.295145885585686,-1.58)); #580012=CARTESIAN_POINT('',(-3.19,0.401455953030751,-1.58)); #580013=CARTESIAN_POINT('Origin',(-3.24783267285097,0.21,-1.58)); #580014=CARTESIAN_POINT('',(-3.19,2.14,-1.58)); #580015=CARTESIAN_POINT('',(-3.19,3.49,-1.58)); #580016=CARTESIAN_POINT('',(-2.99,2.34,-1.58)); #580017=CARTESIAN_POINT('Origin',(-2.99,2.14,-1.58)); #580018=CARTESIAN_POINT('',(-2.23,2.33999999999999,-1.58)); #580019=CARTESIAN_POINT('',(-2.99,2.34,-1.58)); #580020=CARTESIAN_POINT('',(-2.086,2.39974148115528,-1.58)); #580021=CARTESIAN_POINT('Origin',(-2.23,2.54341849666777,-1.58)); #580022=CARTESIAN_POINT('',(-1.856,2.63025851884472,-1.58)); #580023=CARTESIAN_POINT('',(-2.086,2.39974148115528,-1.58)); #580024=CARTESIAN_POINT('Origin',(-1.712,2.48658150333223,-1.58)); #580025=CARTESIAN_POINT('',(-1.36,1.29,-1.58)); #580026=CARTESIAN_POINT('',(-1.36,1.29,-1.58)); #580027=CARTESIAN_POINT('',(-1.36,1.292,-1.58)); #580028=CARTESIAN_POINT('',(-1.36,1.79,-1.58)); #580029=CARTESIAN_POINT('',(-1.36,1.788,-1.58)); #580030=CARTESIAN_POINT('',(-2.64,1.79,-1.58)); #580031=CARTESIAN_POINT('',(-2.64,1.79,-1.58)); #580032=CARTESIAN_POINT('',(-2.64,1.788,-1.58)); #580033=CARTESIAN_POINT('',(-2.64,1.29,-1.58)); #580034=CARTESIAN_POINT('',(-2.64,1.788,-1.58)); #580035=CARTESIAN_POINT('Origin',(-3.19,3.49,-0.300000000000001)); #580036=CARTESIAN_POINT('Origin',(-3.19,-3.39,-0.300000000000001)); #580037=CARTESIAN_POINT('Origin',(-3.19,-1.69,-0.300000000000001)); #580038=CARTESIAN_POINT('Origin',(-3.19,3.49,-0.300000000000001)); #580039=CARTESIAN_POINT('',(-3.24783267285097,0.41,-1.27829207394873)); #580040=CARTESIAN_POINT('Origin',(-3.19,0.41,-0.300000000000001)); #580041=CARTESIAN_POINT('Ctrl Pts',(-3.24783267285097,0.41,-1.27829207394873)); #580042=CARTESIAN_POINT('Ctrl Pts',(-3.2289730108334,0.41,-1.27940698093059)); #580043=CARTESIAN_POINT('Ctrl Pts',(-3.20913227357147,0.407235196250084, -1.28)); #580044=CARTESIAN_POINT('Ctrl Pts',(-3.19000000000001,0.401455953030752, -1.28)); #580045=CARTESIAN_POINT('',(-3.39,1.94,-1.25937479641692)); #580046=CARTESIAN_POINT('Ctrl Pts',(-3.39,1.94,-1.25937479641692)); #580047=CARTESIAN_POINT('Ctrl Pts',(-3.36519686531833,1.94,-1.26454548357157)); #580048=CARTESIAN_POINT('Ctrl Pts',(-3.33849993428259,1.94490530848043, -1.26899477174075)); #580049=CARTESIAN_POINT('Ctrl Pts',(-3.28914035571771,1.96524807119069, -1.27529808220488)); #580050=CARTESIAN_POINT('Ctrl Pts',(-3.26647812210656,1.98067916541882, -1.27717584753705)); #580051=CARTESIAN_POINT('Ctrl Pts',(-3.23083240946533,2.01632487806005, -1.2793103555194)); #580052=CARTESIAN_POINT('Ctrl Pts',(-3.2154380450618,2.03881010650387,-1.27971547233723)); #580053=CARTESIAN_POINT('Ctrl Pts',(-3.19502193171258,2.08808806060494, -1.28004839950087)); #580054=CARTESIAN_POINT('Ctrl Pts',(-3.19,2.11488054694031,-1.28)); #580055=CARTESIAN_POINT('Ctrl Pts',(-3.19,2.14,-1.28)); #580056=CARTESIAN_POINT('Origin',(-3.19,1.94,-0.300000000000001)); #580057=CARTESIAN_POINT('Origin',(-3.19,3.49,-0.300000000000001)); #580058=CARTESIAN_POINT('',(-4.47,-1.69,-0.3)); #580059=CARTESIAN_POINT('Origin',(-3.19,-1.69,-0.300000000000001)); #580060=CARTESIAN_POINT('',(-4.47,-3.49,-0.3)); #580061=CARTESIAN_POINT('Origin',(-3.19,-3.49,-0.300000000000001)); #580062=CARTESIAN_POINT('',(-4.47,3.49,-0.3)); #580063=CARTESIAN_POINT('Origin',(-3.19,3.49,-0.300000000000001)); #580064=CARTESIAN_POINT('',(-3.24783267285097,0.41,-1.57869284112758)); #580065=CARTESIAN_POINT('Ctrl Pts',(-3.24783267285097,0.41,-1.57869284112758)); #580066=CARTESIAN_POINT('Ctrl Pts',(-3.2289686612236,0.41,-1.57954602195257)); #580067=CARTESIAN_POINT('Ctrl Pts',(-3.20913008495689,0.407234535140157, -1.58)); #580068=CARTESIAN_POINT('Ctrl Pts',(-3.19000000000001,0.401455953030752, -1.58)); #580069=CARTESIAN_POINT('',(-4.47,0.41,-0.3)); #580070=CARTESIAN_POINT('Origin',(-3.19,0.41,-0.300000000000001)); #580071=CARTESIAN_POINT('',(-4.47,1.94,-0.3)); #580072=CARTESIAN_POINT('',(-4.47,3.49,-0.3)); #580073=CARTESIAN_POINT('',(-3.39,1.94,-1.56427845034233)); #580074=CARTESIAN_POINT('Origin',(-3.19,1.94,-0.300000000000001)); #580075=CARTESIAN_POINT('Ctrl Pts',(-3.39,1.94,-1.56427845034233)); #580076=CARTESIAN_POINT('Ctrl Pts',(-3.36506752775227,1.94,-1.56822259290697)); #580077=CARTESIAN_POINT('Ctrl Pts',(-3.3383329271105,1.94495271953366,-1.57161146824546)); #580078=CARTESIAN_POINT('Ctrl Pts',(-3.28900826586259,1.96532401922365, -1.57641183151976)); #580079=CARTESIAN_POINT('Ctrl Pts',(-3.26641843741876,1.98073885010662, -1.5778415976238)); #580080=CARTESIAN_POINT('Ctrl Pts',(-3.23082787289051,2.01632941463487, -1.57947209268886)); #580081=CARTESIAN_POINT('Ctrl Pts',(-3.21543448680069,2.03881643638359, -1.57978223475825)); #580082=CARTESIAN_POINT('Ctrl Pts',(-3.1950206038618,2.08809352480655,-1.5800370414955)); #580083=CARTESIAN_POINT('Ctrl Pts',(-3.19,2.11488345085534,-1.58)); #580084=CARTESIAN_POINT('Ctrl Pts',(-3.19,2.14,-1.58)); #580085=CARTESIAN_POINT('Origin',(3.19,3.49,-0.300000000000001)); #580086=CARTESIAN_POINT('Origin',(3.19,-3.39,-0.300000000000001)); #580087=CARTESIAN_POINT('Origin',(3.19,-1.69,-0.300000000000001)); #580088=CARTESIAN_POINT('Origin',(3.19,3.49,-0.300000000000001)); #580089=CARTESIAN_POINT('Origin',(3.19,-1.69,-0.300000000000001)); #580090=CARTESIAN_POINT('Origin',(3.19,-3.49,-0.300000000000001)); #580091=CARTESIAN_POINT('Origin',(-0.5,3.49,-1.28)); #580092=CARTESIAN_POINT('',(-0.499999999999999,-0.0800000000000011,11.2985643801531)); #580093=CARTESIAN_POINT('',(-0.499999999999999,-1.27,11.2985643801531)); #580094=CARTESIAN_POINT('Origin',(-0.51,3.49,-1.28)); #580095=CARTESIAN_POINT('',(-0.51,-2.39,-1.28)); #580096=CARTESIAN_POINT('',(-0.51,-3.49,-1.38)); #580097=CARTESIAN_POINT('',(-0.510000000000001,0.050000000000004,2.16)); #580098=CARTESIAN_POINT('',(-0.51,-3.49,-1.28)); #580099=CARTESIAN_POINT('Origin',(-0.5,3.49,-1.28)); #580100=CARTESIAN_POINT('',(4.45363918900927,3.49,0.504)); #580101=CARTESIAN_POINT('',(4.17,3.49,0.504)); #580102=CARTESIAN_POINT('Origin',(3.19,3.49,0.300000000000001)); #580103=CARTESIAN_POINT('',(3.19,3.49,1.58)); #580104=CARTESIAN_POINT('',(3.19,3.49,1.58)); #580105=CARTESIAN_POINT('Origin',(3.19,3.49,0.300000000000001)); #580106=CARTESIAN_POINT('Origin',(-0.5,3.49,-1.28)); #580107=CARTESIAN_POINT('',(1.6,3.49,1.58)); #580108=CARTESIAN_POINT('',(1.6,3.49,1.28)); #580109=CARTESIAN_POINT('',(3.19,3.49,1.58)); #580110=CARTESIAN_POINT('Origin',(-3.19,-3.49,-1.28)); #580111=CARTESIAN_POINT('',(-3.19,-3.49,-1.38)); #580112=CARTESIAN_POINT('',(-3.19,-3.49,-1.38)); #580113=CARTESIAN_POINT('',(-4.27,-3.49,-0.300000000000001)); #580114=CARTESIAN_POINT('Origin',(-3.19,-3.49,-0.300000000000001)); #580115=CARTESIAN_POINT('',(-4.27,-3.49,0.300000000000001)); #580116=CARTESIAN_POINT('',(-4.27,-3.49,-1.28)); #580117=CARTESIAN_POINT('',(-3.19,-3.49,1.38)); #580118=CARTESIAN_POINT('Origin',(-3.19,-3.49,0.300000000000001)); #580119=CARTESIAN_POINT('',(3.19,-3.49,1.38)); #580120=CARTESIAN_POINT('',(-3.19,-3.49,1.38)); #580121=CARTESIAN_POINT('',(4.27,-3.49,0.300000000000001)); #580122=CARTESIAN_POINT('Origin',(3.19,-3.49,0.300000000000001)); #580123=CARTESIAN_POINT('',(4.27,-3.49,-0.300000000000001)); #580124=CARTESIAN_POINT('',(4.27,-3.49,-1.28)); #580125=CARTESIAN_POINT('',(3.19,-3.49,-1.38)); #580126=CARTESIAN_POINT('Origin',(3.19,-3.49,-0.300000000000001)); #580127=CARTESIAN_POINT('',(-3.19,-3.49,-1.38)); #580128=CARTESIAN_POINT('',(3.19,-3.49,1.58)); #580129=CARTESIAN_POINT('Origin',(3.19,-3.49,0.300000000000001)); #580130=CARTESIAN_POINT('',(-3.19,-3.49,1.58)); #580131=CARTESIAN_POINT('',(3.19,-3.49,1.58)); #580132=CARTESIAN_POINT('',(-4.47,-3.49,0.300000000000001)); #580133=CARTESIAN_POINT('Origin',(-3.19,-3.49,0.300000000000001)); #580134=CARTESIAN_POINT('',(-4.47,-3.49,0.300000000000001)); #580135=CARTESIAN_POINT('Origin',(-3.19,3.49,0.300000000000001)); #580136=CARTESIAN_POINT('Origin',(-3.19,-3.39,0.300000000000001)); #580137=CARTESIAN_POINT('Origin',(3.19,3.49,0.300000000000001)); #580138=CARTESIAN_POINT('Origin',(3.19,-3.39,0.300000000000001)); #580139=CARTESIAN_POINT('Origin',(3.19,3.49,-0.300000000000001)); #580140=CARTESIAN_POINT('',(3.24783267285096,0.41,-1.27829207394873)); #580141=CARTESIAN_POINT('Ctrl Pts',(3.24783267285096,0.41,-1.27829207394873)); #580142=CARTESIAN_POINT('Ctrl Pts',(3.22897301083339,0.41,-1.27940698093059)); #580143=CARTESIAN_POINT('Ctrl Pts',(3.20913227357147,0.407235196250085, -1.28)); #580144=CARTESIAN_POINT('Ctrl Pts',(3.19,0.401455953030754,-1.28)); #580145=CARTESIAN_POINT('Origin',(3.19,0.41,-0.300000000000001)); #580146=CARTESIAN_POINT('',(3.39,1.94,-1.25937479641692)); #580147=CARTESIAN_POINT('Origin',(3.19,1.94,-0.300000000000001)); #580148=CARTESIAN_POINT('Ctrl Pts',(3.19,2.14,-1.28)); #580149=CARTESIAN_POINT('Ctrl Pts',(3.19,2.11488054694031,-1.28)); #580150=CARTESIAN_POINT('Ctrl Pts',(3.19502193171258,2.08808806060494,-1.28004839950087)); #580151=CARTESIAN_POINT('Ctrl Pts',(3.2154380450618,2.03881010650387,-1.27971547233723)); #580152=CARTESIAN_POINT('Ctrl Pts',(3.23083240946533,2.01632487806005,-1.2793103555194)); #580153=CARTESIAN_POINT('Ctrl Pts',(3.26647812210656,1.98067916541882,-1.27717584753705)); #580154=CARTESIAN_POINT('Ctrl Pts',(3.28914035571771,1.96524807119069,-1.27529808220488)); #580155=CARTESIAN_POINT('Ctrl Pts',(3.33849993428259,1.94490530848043,-1.26899477174075)); #580156=CARTESIAN_POINT('Ctrl Pts',(3.36519686531833,1.94,-1.26454548357157)); #580157=CARTESIAN_POINT('Ctrl Pts',(3.39,1.94,-1.25937479641692)); #580158=CARTESIAN_POINT('Origin',(-4.47,3.49,0.300000000000001)); #580159=CARTESIAN_POINT('',(-4.47,3.49,0.300000000000001)); #580160=CARTESIAN_POINT('',(-4.47,3.84,-1.79497906874857)); #580161=CARTESIAN_POINT('',(-4.47,2.62247757295976,-0.544989376987237)); #580162=CARTESIAN_POINT('',(-4.47,3.83999999999999,-2.03)); #580163=CARTESIAN_POINT('',(-4.47,3.84,-2.03)); #580164=CARTESIAN_POINT('',(-4.47,3.82569490137048,-2.09255811788713)); #580165=CARTESIAN_POINT('Origin',(-4.47,3.69605992426026,-2.03)); #580166=CARTESIAN_POINT('',(-4.47,3.645,-2.467)); #580167=CARTESIAN_POINT('',(-4.47,3.645,-2.467)); #580168=CARTESIAN_POINT('',(-4.47,3.465,-2.58)); #580169=CARTESIAN_POINT('Origin',(-4.47,3.465,-2.38013716814167)); #580170=CARTESIAN_POINT('',(-4.47,3.115,-2.58000000000004)); #580171=CARTESIAN_POINT('',(-4.47,3.115,-2.58)); #580172=CARTESIAN_POINT('',(-4.47,2.935,-2.467)); #580173=CARTESIAN_POINT('Origin',(-4.47,3.115,-2.38013716814167)); #580174=CARTESIAN_POINT('',(-4.47,2.75430509862945,-2.09255811788715)); #580175=CARTESIAN_POINT('',(-4.47,2.75430509862951,-2.09255811788712)); #580176=CARTESIAN_POINT('',(-4.47,2.74,-2.03)); #580177=CARTESIAN_POINT('Origin',(-4.47,2.8839400757397,-2.03)); #580178=CARTESIAN_POINT('',(-4.47,2.73999999999998,-1.476)); #580179=CARTESIAN_POINT('',(-4.47,2.74,-1.476)); #580180=CARTESIAN_POINT('',(-4.47,2.53999999999999,-1.276)); #580181=CARTESIAN_POINT('Origin',(-4.47,2.53999999999998,-1.476)); #580182=CARTESIAN_POINT('',(-4.47,2.34,-1.076)); #580183=CARTESIAN_POINT('Origin',(-4.47,2.53999999999999,-1.076)); #580184=CARTESIAN_POINT('',(-4.47,2.34,-0.279999999999999)); #580185=CARTESIAN_POINT('',(-4.47,2.34,-0.0800000000000004)); #580186=CARTESIAN_POINT('',(-4.47,1.94,-0.279999999999999)); #580187=CARTESIAN_POINT('Origin',(-4.47,2.14,-0.279999999999999)); #580188=CARTESIAN_POINT('',(-4.47,1.94,-0.0799999999999993)); #580189=CARTESIAN_POINT('',(-4.47,0.41,-0.279999999999999)); #580190=CARTESIAN_POINT('',(-4.47,0.41,-0.0799999999999993)); #580191=CARTESIAN_POINT('',(-4.47,0.0100000000000011,-0.279999999999999)); #580192=CARTESIAN_POINT('Origin',(-4.47,0.210000000000001,-0.279999999999999)); #580193=CARTESIAN_POINT('',(-4.47,0.0100000000000011,-1.58)); #580194=CARTESIAN_POINT('',(-4.47,0.0100000000000011,-0.0800000000000004)); #580195=CARTESIAN_POINT('',(-4.47,-0.139999999999999,-1.73)); #580196=CARTESIAN_POINT('Origin',(-4.47,-0.139999999999999,-1.58)); #580197=CARTESIAN_POINT('',(-4.47,-0.292999999999999,-1.73)); #580198=CARTESIAN_POINT('',(-4.47,-0.139999999999999,-1.73)); #580199=CARTESIAN_POINT('',(-4.47,-0.382999999999999,-1.69905776454236)); #580200=CARTESIAN_POINT('Origin',(-4.47,-0.293,-1.58363982593444)); #580201=CARTESIAN_POINT('',(-4.47,-0.522000000000001,-1.841)); #580202=CARTESIAN_POINT('Origin',(-4.47,-0.444471864358245,-1.77789060750473)); #580203=CARTESIAN_POINT('',(-4.47,-0.49,-1.931)); #580204=CARTESIAN_POINT('Origin',(-4.47,-0.6325625,-1.931)); #580205=CARTESIAN_POINT('',(-4.47,-0.49,-2.147)); #580206=CARTESIAN_POINT('',(-4.47,-0.49,-1.931)); #580207=CARTESIAN_POINT('',(-4.47,-0.499276643990934,-2.20012987012986)); #580208=CARTESIAN_POINT('Origin',(-4.47,-0.646782950094636,-2.147)); #580209=CARTESIAN_POINT('',(-4.47,-0.58927664399093,-2.45)); #580210=CARTESIAN_POINT('',(-4.47,-0.49927664399093,-2.20012987012987)); #580211=CARTESIAN_POINT('',(-4.47,-0.77427664399093,-2.58)); #580212=CARTESIAN_POINT('Origin',(-4.47,-0.77427664399093,-2.38336538461539)); #580213=CARTESIAN_POINT('',(-4.47,-1.00572335600907,-2.58)); #580214=CARTESIAN_POINT('',(-4.47,-0.77427664399093,-2.58)); #580215=CARTESIAN_POINT('',(-4.47,-1.19072335600907,-2.45)); #580216=CARTESIAN_POINT('Origin',(-4.47,-1.00572335600907,-2.38336538461539)); #580217=CARTESIAN_POINT('',(-4.47,-1.28072335600907,-2.20012987012987)); #580218=CARTESIAN_POINT('',(-4.47,-1.19072335600907,-2.45)); #580219=CARTESIAN_POINT('',(-4.47,-1.29,-2.147)); #580220=CARTESIAN_POINT('Origin',(-4.47,-1.13321704990536,-2.147)); #580221=CARTESIAN_POINT('',(-4.47,-1.29,-0.279999999999999)); #580222=CARTESIAN_POINT('',(-4.47,-1.29,-2.147)); #580223=CARTESIAN_POINT('',(-4.47,-1.69,-0.279999999999999)); #580224=CARTESIAN_POINT('Origin',(-4.47,-1.49,-0.279999999999999)); #580225=CARTESIAN_POINT('',(-4.47,-1.69,-0.0799999999999993)); #580226=CARTESIAN_POINT('',(-4.47,3.33,-0.880000000000001)); #580227=CARTESIAN_POINT('',(-4.47,2.82,-0.880000000000001)); #580228=CARTESIAN_POINT('',(-4.47,3.33,-0.880000000000001)); #580229=CARTESIAN_POINT('',(-4.47,3.33,-0.380000000000001)); #580230=CARTESIAN_POINT('',(-4.47,3.33,-0.880000000000001)); #580231=CARTESIAN_POINT('',(-4.47,2.82,-0.380000000000001)); #580232=CARTESIAN_POINT('',(-4.47,3.33,-0.380000000000001)); #580233=CARTESIAN_POINT('',(-4.47,2.67,-0.530000000000001)); #580234=CARTESIAN_POINT('Origin',(-4.47,2.82,-0.530000000000001)); #580235=CARTESIAN_POINT('',(-4.47,2.67,-0.730000000000001)); #580236=CARTESIAN_POINT('',(-4.47,2.67,-0.880000000000001)); #580237=CARTESIAN_POINT('Origin',(-4.47,2.82,-0.730000000000001)); #580238=CARTESIAN_POINT('Origin',(-3.19,3.49,0.300000000000001)); #580239=CARTESIAN_POINT('',(-3.19,3.49,1.58)); #580240=CARTESIAN_POINT('Ctrl Pts',(-4.46811668758587,3.54188331241414, 0.369409890610291)); #580241=CARTESIAN_POINT('Ctrl Pts',(-4.46721665650384,3.5268754324413,0.385983101361166)); #580242=CARTESIAN_POINT('Ctrl Pts',(-4.4657950509735,3.5140609185661,0.405964141122854)); #580243=CARTESIAN_POINT('Ctrl Pts',(-4.46115502763314,3.49496358688436, 0.45220637805056)); #580244=CARTESIAN_POINT('Ctrl Pts',(-4.45767378375569,3.49,0.479008470424729)); #580245=CARTESIAN_POINT('Ctrl Pts',(-4.45363918900927,3.49,0.504)); #580246=CARTESIAN_POINT('Origin',(3.19,3.49,1.58)); #580247=CARTESIAN_POINT('',(-2.60857864376269,3.59,1.58)); #580248=CARTESIAN_POINT('',(-2.60857864376269,3.59,1.58)); #580249=CARTESIAN_POINT('Origin',(-2.75,3.64,1.58)); #580250=CARTESIAN_POINT('',(3.19,3.49,1.58)); #580251=CARTESIAN_POINT('',(1.74142135623731,3.59,1.58)); #580252=CARTESIAN_POINT('',(-2.60857864376269,3.59,1.58)); #580253=CARTESIAN_POINT('Origin',(1.6,3.64,1.58)); #580254=CARTESIAN_POINT('',(1.36,1.29,1.58)); #580255=CARTESIAN_POINT('',(1.36,1.29,1.58)); #580256=CARTESIAN_POINT('',(1.36,1.292,1.58)); #580257=CARTESIAN_POINT('',(1.36,1.79,1.58)); #580258=CARTESIAN_POINT('',(1.36,1.788,1.58)); #580259=CARTESIAN_POINT('',(2.64,1.79,1.58)); #580260=CARTESIAN_POINT('',(2.64,1.79,1.58)); #580261=CARTESIAN_POINT('',(2.64,1.788,1.58)); #580262=CARTESIAN_POINT('',(2.64,1.29,1.58)); #580263=CARTESIAN_POINT('',(2.64,1.788,1.58)); #580264=CARTESIAN_POINT('',(-2.64,1.29,1.58)); #580265=CARTESIAN_POINT('',(-2.64,1.29,1.58)); #580266=CARTESIAN_POINT('',(-2.64,1.292,1.58)); #580267=CARTESIAN_POINT('',(-2.64,1.79,1.58)); #580268=CARTESIAN_POINT('',(-2.64,1.788,1.58)); #580269=CARTESIAN_POINT('',(-1.36,1.79,1.58)); #580270=CARTESIAN_POINT('',(-1.36,1.79,1.58)); #580271=CARTESIAN_POINT('',(-1.36,1.788,1.58)); #580272=CARTESIAN_POINT('',(-1.36,1.29,1.58)); #580273=CARTESIAN_POINT('',(-1.36,1.788,1.58)); #580274=CARTESIAN_POINT('Origin',(3.19,3.49,0.300000000000001)); #580275=CARTESIAN_POINT('Ctrl Pts',(4.45363918900927,3.49,0.504)); #580276=CARTESIAN_POINT('Ctrl Pts',(4.45767378375569,3.49,0.479008470424729)); #580277=CARTESIAN_POINT('Ctrl Pts',(4.46115502763314,3.49496358688436,0.45220637805056)); #580278=CARTESIAN_POINT('Ctrl Pts',(4.4657950509735,3.5140609185661,0.405964141122854)); #580279=CARTESIAN_POINT('Ctrl Pts',(4.46721665650384,3.5268754324413,0.385983101361166)); #580280=CARTESIAN_POINT('Ctrl Pts',(4.46811668758587,3.54188331241414,0.369409890610291)); #580281=CARTESIAN_POINT('Origin',(3.19,3.49,-0.300000000000001)); #580282=CARTESIAN_POINT('',(3.24783267285096,0.41,-1.57869284112758)); #580283=CARTESIAN_POINT('Origin',(3.19,0.41,-0.300000000000001)); #580284=CARTESIAN_POINT('Ctrl Pts',(3.24783267285096,0.41,-1.57869284112758)); #580285=CARTESIAN_POINT('Ctrl Pts',(3.22896866122359,0.41,-1.57954602195257)); #580286=CARTESIAN_POINT('Ctrl Pts',(3.20913008495689,0.407234535140158, -1.58)); #580287=CARTESIAN_POINT('Ctrl Pts',(3.19,0.401455953030754,-1.58)); #580288=CARTESIAN_POINT('',(3.39,1.94,-1.56427845034233)); #580289=CARTESIAN_POINT('Ctrl Pts',(3.19,2.14,-1.58)); #580290=CARTESIAN_POINT('Ctrl Pts',(3.19,2.11488345085534,-1.58)); #580291=CARTESIAN_POINT('Ctrl Pts',(3.1950206038618,2.08809352480655,-1.5800370414955)); #580292=CARTESIAN_POINT('Ctrl Pts',(3.21543448680069,2.03881643638359,-1.57978223475825)); #580293=CARTESIAN_POINT('Ctrl Pts',(3.23082787289051,2.01632941463487,-1.57947209268886)); #580294=CARTESIAN_POINT('Ctrl Pts',(3.26641843741876,1.98073885010662,-1.5778415976238)); #580295=CARTESIAN_POINT('Ctrl Pts',(3.28900826586259,1.96532401922365,-1.57641183151976)); #580296=CARTESIAN_POINT('Ctrl Pts',(3.3383329271105,1.94495271953366,-1.57161146824546)); #580297=CARTESIAN_POINT('Ctrl Pts',(3.36506752775227,1.94,-1.56822259290697)); #580298=CARTESIAN_POINT('Ctrl Pts',(3.39,1.94,-1.56427845034233)); #580299=CARTESIAN_POINT('Origin',(3.19,1.94,-0.300000000000001)); #580300=CARTESIAN_POINT('Origin',(1.6,3.64,1.28)); #580301=CARTESIAN_POINT('',(1.74142135623731,3.59,1.37903336799205)); #580302=CARTESIAN_POINT('',(1.74142135623731,3.59,1.58)); #580303=CARTESIAN_POINT('',(1.74142135623731,3.59,1.58)); #580304=CARTESIAN_POINT('Origin',(-2.75,3.64,1.28)); #580305=CARTESIAN_POINT('',(-2.60857864376269,3.59,1.37903336799206)); #580306=CARTESIAN_POINT('',(-2.60857864376269,3.59,1.58)); #580307=CARTESIAN_POINT('',(-2.60857864376269,3.59,1.58)); #580308=CARTESIAN_POINT('Origin',(3.39,2.14,-0.0800000000000002)); #580309=CARTESIAN_POINT('',(3.39,1.94,-0.0800000000000002)); #580310=CARTESIAN_POINT('',(3.19,2.14,-0.0800000000000002)); #580311=CARTESIAN_POINT('Origin',(4.47,1.94,-0.0800000000000004)); #580312=CARTESIAN_POINT('',(4.47,1.94,-0.28)); #580313=CARTESIAN_POINT('Origin',(1.712,2.69,-0.08)); #580314=CARTESIAN_POINT('',(0.817,2.69,-1.519711431703)); #580315=CARTESIAN_POINT('Origin',(1.042,2.69,-1.13)); #580316=CARTESIAN_POINT('',(0.403365564922641,2.69,-1.28089947926499)); #580317=CARTESIAN_POINT('',(1.51014603095915,2.69,-1.91989947926499)); #580318=CARTESIAN_POINT('',(0.553365564922641,2.69,-1.02109185812965)); #580319=CARTESIAN_POINT('',(1.15336556492264,2.69,0.0181386264116725)); #580320=CARTESIAN_POINT('',(0.967,2.69,-1.25990381056767)); #580321=CARTESIAN_POINT('',(-0.255502162212025,2.69,-0.554091858129654)); #580322=CARTESIAN_POINT('Origin',(1.042,2.69,-1.13)); #580323=CARTESIAN_POINT('',(1.712,2.69,-0.08)); #580324=CARTESIAN_POINT('Origin',(1.712,2.48658150333223,-0.08)); #580325=CARTESIAN_POINT('',(1.856,2.63025851884472,-0.08)); #580326=CARTESIAN_POINT('Origin',(2.086,2.39974148115528,-0.08)); #580327=CARTESIAN_POINT('',(2.086,2.39974148115528,-0.08)); #580328=CARTESIAN_POINT('Origin',(2.23,2.54341849666777,-0.08)); #580329=CARTESIAN_POINT('',(2.23,2.34,-0.0800000000000002)); #580330=CARTESIAN_POINT('Origin',(2.99,2.34,-0.0800000000000002)); #580331=CARTESIAN_POINT('',(2.99,2.34,-0.0800000000000002)); #580332=CARTESIAN_POINT('Origin',(-0.902,1.89,-0.0799999999999998)); #580333=CARTESIAN_POINT('Origin',(2.99,2.14,-0.0800000000000002)); #580334=CARTESIAN_POINT('Origin',(-2.99,2.34,-0.0799999999999996)); #580335=CARTESIAN_POINT('',(-2.23,2.33999999999999,-0.0799999999999996)); #580336=CARTESIAN_POINT('',(-2.99,2.34,-0.0799999999999996)); #580337=CARTESIAN_POINT('Origin',(-2.23,2.54341849666777,-0.0799999999999996)); #580338=CARTESIAN_POINT('',(-2.086,2.39974148115528,-0.0799999999999996)); #580339=CARTESIAN_POINT('Origin',(-2.086,2.39974148115528,-0.0799999999999996)); #580340=CARTESIAN_POINT('',(-1.856,2.63025851884472,-0.0799999999999996)); #580341=CARTESIAN_POINT('Origin',(-1.712,2.48658150333223,-0.0799999999999996)); #580342=CARTESIAN_POINT('Origin',(-4.47,1.94,-0.0799999999999993)); #580343=CARTESIAN_POINT('',(-4.47,1.94,-0.279999999999999)); #580344=CARTESIAN_POINT('',(-3.39,1.94,-0.0799999999999993)); #580345=CARTESIAN_POINT('Origin',(-3.39,2.14,-0.0799999999999993)); #580346=CARTESIAN_POINT('',(-3.19,2.14,-0.0799999999999996)); #580347=CARTESIAN_POINT('Origin',(-2.99,2.14,-0.0799999999999996)); #580348=CARTESIAN_POINT('Origin',(-0.902,1.89,-0.0799999999999998)); #580349=CARTESIAN_POINT('',(-0.902,1.89,-0.0799999999999998)); #580350=CARTESIAN_POINT('Origin',(-0.902,2.04,-0.0799999999999998)); #580351=CARTESIAN_POINT('',(-1.042,2.09385164807135,-1.37923304152413)); #580352=CARTESIAN_POINT('',(-1.042,2.09385164807135,-1.58)); #580353=CARTESIAN_POINT('',(-1.042,2.09385164807135,-1.58)); #580354=CARTESIAN_POINT('Origin',(4.47,-1.69,-0.0800000000000004)); #580355=CARTESIAN_POINT('',(4.47,-1.69,-0.28)); #580356=CARTESIAN_POINT('',(2.46,-1.69,-0.0800000000000002)); #580357=CARTESIAN_POINT('Origin',(3.24783267285096,0.21,-0.0800000000000002)); #580358=CARTESIAN_POINT('',(3.05241633642548,0.252572942792843,-0.0800000000000002)); #580359=CARTESIAN_POINT('',(3.24783267285096,0.41,-0.0800000000000002)); #580360=CARTESIAN_POINT('Origin',(3.24783267285096,0.41,-0.0800000000000002)); #580361=CARTESIAN_POINT('',(3.24783267285096,0.41,-0.28)); #580362=CARTESIAN_POINT('Origin',(2.46,-1.49,-0.0800000000000002)); #580363=CARTESIAN_POINT('',(2.26,-1.49,-0.0800000000000002)); #580364=CARTESIAN_POINT('Origin',(2.26,-1.49,-0.08)); #580365=CARTESIAN_POINT('',(2.26,-0.804854114414314,-0.08)); #580366=CARTESIAN_POINT('Origin',(2.26,-0.804854114414314,-0.08)); #580367=CARTESIAN_POINT('',(2.207,-0.804854114414314,-0.08)); #580368=CARTESIAN_POINT('Origin',(2.207,-0.654854114414314,-0.08)); #580369=CARTESIAN_POINT('',(2.057,-0.654854114414314,-0.08)); #580370=CARTESIAN_POINT('Origin',(2.057,-0.654854114414314,-0.08)); #580371=CARTESIAN_POINT('',(2.057,-0.104854114414314,-0.08)); #580372=CARTESIAN_POINT('Origin',(2.257,-0.104854114414314,-0.08)); #580373=CARTESIAN_POINT('',(2.257,0.0951458855856859,-0.08)); #580374=CARTESIAN_POINT('Origin',(2.257,0.0951458855856859,-0.08)); #580375=CARTESIAN_POINT('',(2.857,0.0951458855856859,-0.08)); #580376=CARTESIAN_POINT('Origin',(2.857,0.295145885585686,-0.0800000000000002)); #580377=CARTESIAN_POINT('Origin',(-4.47,-1.69,-0.0799999999999993)); #580378=CARTESIAN_POINT('',(-4.47,-1.69,-0.279999999999999)); #580379=CARTESIAN_POINT('',(-2.46,-1.69,-0.0799999999999996)); #580380=CARTESIAN_POINT('Origin',(-3.24783267285097,0.21,-0.0799999999999996)); #580381=CARTESIAN_POINT('',(-3.24783267285097,0.41,-0.0799999999999996)); #580382=CARTESIAN_POINT('',(-3.05241633642548,0.252572942792843,-0.0799999999999996)); #580383=CARTESIAN_POINT('Origin',(-3.24783267285097,0.41,-0.0799999999999996)); #580384=CARTESIAN_POINT('',(-3.24783267285097,0.41,-0.279999999999999)); #580385=CARTESIAN_POINT('Origin',(-2.46,-1.49,-0.0799999999999996)); #580386=CARTESIAN_POINT('',(-2.26,-1.49,-0.0799999999999996)); #580387=CARTESIAN_POINT('Origin',(-2.26,-1.49,-0.0799999999999996)); #580388=CARTESIAN_POINT('',(-2.26,-0.804854114414314,-0.0799999999999996)); #580389=CARTESIAN_POINT('Origin',(-2.26,-0.804854114414314,-0.0799999999999996)); #580390=CARTESIAN_POINT('',(-2.207,-0.804854114414314,-0.0799999999999996)); #580391=CARTESIAN_POINT('Origin',(-2.207,-0.654854114414314,-0.0799999999999996)); #580392=CARTESIAN_POINT('',(-2.057,-0.654854114414314,-0.0799999999999996)); #580393=CARTESIAN_POINT('Origin',(-2.057,-0.654854114414314,-0.0799999999999996)); #580394=CARTESIAN_POINT('',(-2.057,-0.104854114414314,-0.0799999999999996)); #580395=CARTESIAN_POINT('Origin',(-2.257,-0.104854114414314,-0.0799999999999996)); #580396=CARTESIAN_POINT('',(-2.257,0.0951458855856859,-0.0799999999999996)); #580397=CARTESIAN_POINT('Origin',(-2.257,0.0951458855856859,-0.0799999999999996)); #580398=CARTESIAN_POINT('',(-2.857,0.0951458855856859,-0.0799999999999996)); #580399=CARTESIAN_POINT('Origin',(-2.857,0.295145885585686,-0.0799999999999996)); #580400=CARTESIAN_POINT('Origin',(4.17,-1.29,-0.0800000000000004)); #580401=CARTESIAN_POINT('',(4.17,-1.29,-0.28)); #580402=CARTESIAN_POINT('',(4.17,-1.29,-2.147)); #580403=CARTESIAN_POINT('Origin',(4.17,-1.13321704990536,-2.147)); #580404=CARTESIAN_POINT('',(4.17,-1.28072335600907,-2.20012987012987)); #580405=CARTESIAN_POINT('Origin',(4.17,-1.28072335600907,-2.20012987012987)); #580406=CARTESIAN_POINT('',(4.17,-1.19072335600907,-2.45)); #580407=CARTESIAN_POINT('Origin',(4.17,-1.00572335600907,-2.38336538461539)); #580408=CARTESIAN_POINT('',(4.17,-1.00572335600907,-2.58)); #580409=CARTESIAN_POINT('Origin',(4.17,-1.00572335600907,-2.58)); #580410=CARTESIAN_POINT('',(4.17,-0.77427664399093,-2.58)); #580411=CARTESIAN_POINT('Origin',(4.17,-0.77427664399093,-2.38336538461539)); #580412=CARTESIAN_POINT('',(4.17,-0.58927664399093,-2.45)); #580413=CARTESIAN_POINT('Origin',(4.17,-0.58927664399093,-2.45)); #580414=CARTESIAN_POINT('',(4.17,-0.49927664399093,-2.20012987012987)); #580415=CARTESIAN_POINT('Origin',(4.17,-0.646782950094636,-2.147)); #580416=CARTESIAN_POINT('',(4.17,-0.490000000000006,-2.147)); #580417=CARTESIAN_POINT('Origin',(4.17,-0.49,-2.147)); #580418=CARTESIAN_POINT('',(4.17,-0.49,-1.931)); #580419=CARTESIAN_POINT('Origin',(4.17,-0.6325625,-1.931)); #580420=CARTESIAN_POINT('',(4.17,-0.522,-1.841)); #580421=CARTESIAN_POINT('Origin',(4.17,-0.444471864358245,-1.77789060750473)); #580422=CARTESIAN_POINT('',(4.17,-0.383,-1.69905776454236)); #580423=CARTESIAN_POINT('Origin',(4.17,-0.293,-1.58363982593444)); #580424=CARTESIAN_POINT('',(4.17,-0.292999999999999,-1.73)); #580425=CARTESIAN_POINT('Origin',(4.17,-0.292999999999999,-1.73)); #580426=CARTESIAN_POINT('',(4.17,-0.139999999999999,-1.73)); #580427=CARTESIAN_POINT('Origin',(4.17,-0.139999999999999,-1.58)); #580428=CARTESIAN_POINT('',(4.17,0.0100000000000011,-1.58)); #580429=CARTESIAN_POINT('Origin',(4.17,0.0100000000000011,-1.58)); #580430=CARTESIAN_POINT('',(4.47,0.0100000000000011,-0.28)); #580431=CARTESIAN_POINT('Origin',(4.17,3.69051125,0.504)); #580432=CARTESIAN_POINT('',(4.17,3.59,0.3305)); #580433=CARTESIAN_POINT('Origin',(4.17,3.48948875,0.157)); #580434=CARTESIAN_POINT('',(4.17,3.69,0.157)); #580435=CARTESIAN_POINT('Origin',(4.17,3.69,0.157)); #580436=CARTESIAN_POINT('',(4.17,3.69,-1.426)); #580437=CARTESIAN_POINT('Origin',(4.17,3.69,-1.426)); #580438=CARTESIAN_POINT('',(4.32,3.84,-1.58)); #580439=CARTESIAN_POINT('',(4.32,3.69,-1.426)); #580440=CARTESIAN_POINT('',(4.17,3.84,-1.58)); #580441=CARTESIAN_POINT('Origin',(4.17,3.84,-1.58)); #580442=CARTESIAN_POINT('',(4.2477312927398,3.84,-1.4764249374236)); #580443=CARTESIAN_POINT('',(4.17,3.84,-2.03)); #580444=CARTESIAN_POINT('Origin',(4.17,3.69605992426026,-2.03)); #580445=CARTESIAN_POINT('',(4.17,3.82569490137052,-2.09255811788715)); #580446=CARTESIAN_POINT('Origin',(4.17,3.82569490137048,-2.09255811788713)); #580447=CARTESIAN_POINT('',(4.17,3.645,-2.467)); #580448=CARTESIAN_POINT('Origin',(4.17,3.465,-2.38013716814167)); #580449=CARTESIAN_POINT('',(4.17,3.465,-2.58000000000004)); #580450=CARTESIAN_POINT('Origin',(4.17,3.465,-2.58)); #580451=CARTESIAN_POINT('',(4.17,3.115,-2.58)); #580452=CARTESIAN_POINT('Origin',(4.17,3.115,-2.38013716814167)); #580453=CARTESIAN_POINT('',(4.17,2.935,-2.467)); #580454=CARTESIAN_POINT('Origin',(4.17,2.935,-2.467)); #580455=CARTESIAN_POINT('',(4.17,2.75430509862951,-2.09255811788712)); #580456=CARTESIAN_POINT('Origin',(4.17,2.8839400757397,-2.03)); #580457=CARTESIAN_POINT('',(4.17,2.73999999999998,-2.03)); #580458=CARTESIAN_POINT('Origin',(4.17,2.74,-2.03)); #580459=CARTESIAN_POINT('',(4.17,2.74,-1.476)); #580460=CARTESIAN_POINT('Origin',(4.17,2.53999999999998,-1.476)); #580461=CARTESIAN_POINT('',(4.17,2.53999999999999,-1.276)); #580462=CARTESIAN_POINT('Origin',(4.17,2.53999999999999,-1.076)); #580463=CARTESIAN_POINT('',(4.17,2.33999999999999,-1.076)); #580464=CARTESIAN_POINT('Origin',(4.17,2.34,-1.076)); #580465=CARTESIAN_POINT('',(4.17,2.34,-0.280000000000001)); #580466=CARTESIAN_POINT('Origin',(4.32,3.69,-1.426)); #580467=CARTESIAN_POINT('Origin',(3.39,2.14,-0.28)); #580468=CARTESIAN_POINT('Origin',(2.46,0.21,-0.28)); #580469=CARTESIAN_POINT('Origin',(2.46,-1.49,-0.28)); #580470=CARTESIAN_POINT('Origin',(-3.19,-3.49,-1.38)); #580471=CARTESIAN_POINT('',(3.19,-3.39,-1.28)); #580472=CARTESIAN_POINT('Origin',(3.19,-3.49,-0.300000000000001)); #580473=CARTESIAN_POINT('',(4.17,-3.39,-0.300000000000001)); #580474=CARTESIAN_POINT('Origin',(4.27,-3.49,-1.28)); #580475=CARTESIAN_POINT('',(4.17,-3.39,0.300000000000001)); #580476=CARTESIAN_POINT('Origin',(3.19,-3.49,0.300000000000001)); #580477=CARTESIAN_POINT('',(3.19,-3.39,1.28)); #580478=CARTESIAN_POINT('Origin',(-3.19,-3.49,1.38)); #580479=CARTESIAN_POINT('',(-3.19,-3.39,1.28)); #580480=CARTESIAN_POINT('Origin',(-3.19,-3.49,0.300000000000001)); #580481=CARTESIAN_POINT('',(-4.17,-3.39,0.300000000000001)); #580482=CARTESIAN_POINT('Origin',(-4.27,-3.49,-1.28)); #580483=CARTESIAN_POINT('',(-4.17,-3.39,-0.300000000000001)); #580484=CARTESIAN_POINT('Origin',(-3.19,-3.49,-0.300000000000001)); #580485=CARTESIAN_POINT('',(-3.19,-3.39,-1.28)); #580486=CARTESIAN_POINT('Origin',(-3.19,-3.49,-1.38)); #580487=CARTESIAN_POINT('Origin',(1.36,1.79,1.28)); #580488=CARTESIAN_POINT('',(1.36,1.292,1.58)); #580489=CARTESIAN_POINT('',(1.36,1.29,1.28)); #580490=CARTESIAN_POINT('Origin',(1.36,1.29,1.28)); #580491=CARTESIAN_POINT('Origin',(2.64,1.29,1.28)); #580492=CARTESIAN_POINT('',(2.64,1.788,1.58)); #580493=CARTESIAN_POINT('',(2.64,1.79,1.28)); #580494=CARTESIAN_POINT('Origin',(2.64,1.79,1.28)); #580495=CARTESIAN_POINT('Origin',(1.36,1.788,1.16928079650541)); #580496=CARTESIAN_POINT('',(1.73835222916963,1.788,1.32909273223488)); #580497=CARTESIAN_POINT('Origin',(1.36,1.788,1.16928079650541)); #580498=CARTESIAN_POINT('',(1.81695580930159,1.788,1.143)); #580499=CARTESIAN_POINT('',(1.73835222916963,1.788,1.32909273223488)); #580500=CARTESIAN_POINT('',(1.91195580930159,1.788,1.08)); #580501=CARTESIAN_POINT('Origin',(1.91195580930159,1.788,1.18312698412698)); #580502=CARTESIAN_POINT('',(2.08804419069841,1.788,1.08)); #580503=CARTESIAN_POINT('',(1.91195580930159,1.788,1.08)); #580504=CARTESIAN_POINT('',(2.18304419069841,1.788,1.143)); #580505=CARTESIAN_POINT('Origin',(2.08804419069841,1.788,1.18312698412698)); #580506=CARTESIAN_POINT('',(2.26164777083037,1.788,1.32909273223488)); #580507=CARTESIAN_POINT('',(2.18304419069841,1.788,1.143)); #580508=CARTESIAN_POINT('Origin',(2.64,1.788,1.16928079650541)); #580509=CARTESIAN_POINT('',(2.53800609005463,1.788,1.21236193340465)); #580510=CARTESIAN_POINT('Origin',(2.64,1.788,1.16928079650541)); #580511=CARTESIAN_POINT('',(2.45940250992267,1.788,1.02626920116977)); #580512=CARTESIAN_POINT('',(2.45940250992267,1.788,1.02626920116977)); #580513=CARTESIAN_POINT('',(2.08804419069841,1.788,0.78)); #580514=CARTESIAN_POINT('Origin',(2.08804419069841,1.788,1.18312698412698)); #580515=CARTESIAN_POINT('',(1.91195580930159,1.788,0.78)); #580516=CARTESIAN_POINT('',(1.91195580930159,1.788,0.78)); #580517=CARTESIAN_POINT('',(1.54059749007733,1.788,1.02626920116977)); #580518=CARTESIAN_POINT('Origin',(1.91195580930159,1.788,1.18312698412698)); #580519=CARTESIAN_POINT('',(1.46199390994537,1.788,1.21236193340465)); #580520=CARTESIAN_POINT('',(1.46199390994537,1.788,1.21236193340465)); #580521=CARTESIAN_POINT('Origin',(1.36,1.788,1.16928079650541)); #580522=CARTESIAN_POINT('Origin',(1.73835222916963,1.292,1.32909273223488)); #580523=CARTESIAN_POINT('',(1.46199390994537,1.292,1.21236193340465)); #580524=CARTESIAN_POINT('',(1.54059749007733,1.292,1.02626920116977)); #580525=CARTESIAN_POINT('',(1.46199390994537,1.292,1.21236193340465)); #580526=CARTESIAN_POINT('',(1.91195580930159,1.292,0.78)); #580527=CARTESIAN_POINT('Origin',(1.91195580930159,1.292,1.18312698412698)); #580528=CARTESIAN_POINT('',(2.08804419069841,1.292,0.78)); #580529=CARTESIAN_POINT('',(1.91195580930159,1.292,0.78)); #580530=CARTESIAN_POINT('',(2.45940250992267,1.292,1.02626920116977)); #580531=CARTESIAN_POINT('Origin',(2.08804419069841,1.292,1.18312698412698)); #580532=CARTESIAN_POINT('',(2.53800609005463,1.292,1.21236193340465)); #580533=CARTESIAN_POINT('',(2.45940250992267,1.292,1.02626920116977)); #580534=CARTESIAN_POINT('Origin',(2.64,1.292,1.16928079650541)); #580535=CARTESIAN_POINT('',(2.26164777083037,1.292,1.32909273223488)); #580536=CARTESIAN_POINT('Origin',(2.64,1.292,1.16928079650541)); #580537=CARTESIAN_POINT('',(2.18304419069841,1.292,1.143)); #580538=CARTESIAN_POINT('',(2.18304419069841,1.292,1.143)); #580539=CARTESIAN_POINT('',(2.08804419069841,1.292,1.08)); #580540=CARTESIAN_POINT('Origin',(2.08804419069841,1.292,1.18312698412698)); #580541=CARTESIAN_POINT('',(1.91195580930159,1.292,1.08)); #580542=CARTESIAN_POINT('',(1.91195580930159,1.292,1.08)); #580543=CARTESIAN_POINT('',(1.81695580930159,1.292,1.143)); #580544=CARTESIAN_POINT('Origin',(1.91195580930159,1.292,1.18312698412698)); #580545=CARTESIAN_POINT('',(1.73835222916963,1.292,1.32909273223488)); #580546=CARTESIAN_POINT('',(1.73835222916963,1.292,1.32909273223488)); #580547=CARTESIAN_POINT('Origin',(1.36,1.292,1.16928079650541)); #580548=CARTESIAN_POINT('Origin',(1.36,1.292,1.16928079650541)); #580549=CARTESIAN_POINT('Origin',(1.36,1.788,1.16928079650541)); #580550=CARTESIAN_POINT('',(1.73835222916963,1.788,1.32909273223488)); #580551=CARTESIAN_POINT('Origin',(1.73835222916963,1.788,1.32909273223488)); #580552=CARTESIAN_POINT('',(1.81695580930159,1.788,1.143)); #580553=CARTESIAN_POINT('Origin',(1.91195580930159,1.788,1.18312698412698)); #580554=CARTESIAN_POINT('',(1.91195580930159,1.788,1.08)); #580555=CARTESIAN_POINT('Origin',(1.91195580930159,1.788,1.08)); #580556=CARTESIAN_POINT('',(2.08804419069841,1.788,1.08)); #580557=CARTESIAN_POINT('Origin',(2.08804419069841,1.788,1.18312698412698)); #580558=CARTESIAN_POINT('',(2.18304419069841,1.788,1.143)); #580559=CARTESIAN_POINT('Origin',(2.18304419069841,1.788,1.143)); #580560=CARTESIAN_POINT('',(2.26164777083037,1.788,1.32909273223488)); #580561=CARTESIAN_POINT('Origin',(2.64,1.788,1.16928079650541)); #580562=CARTESIAN_POINT('Origin',(1.36,1.788,1.16928079650541)); #580563=CARTESIAN_POINT('',(1.46199390994537,1.788,1.21236193340465)); #580564=CARTESIAN_POINT('Origin',(1.46199390994537,1.788,1.21236193340465)); #580565=CARTESIAN_POINT('',(1.54059749007733,1.788,1.02626920116977)); #580566=CARTESIAN_POINT('Origin',(1.91195580930159,1.788,1.18312698412698)); #580567=CARTESIAN_POINT('',(1.91195580930159,1.788,0.78)); #580568=CARTESIAN_POINT('Origin',(1.91195580930159,1.788,0.78)); #580569=CARTESIAN_POINT('',(2.08804419069841,1.788,0.78)); #580570=CARTESIAN_POINT('Origin',(2.08804419069841,1.788,1.18312698412698)); #580571=CARTESIAN_POINT('',(2.45940250992267,1.788,1.02626920116977)); #580572=CARTESIAN_POINT('Origin',(2.45940250992267,1.788,1.02626920116977)); #580573=CARTESIAN_POINT('',(2.53800609005463,1.788,1.21236193340465)); #580574=CARTESIAN_POINT('Origin',(2.64,1.788,1.16928079650541)); #580575=CARTESIAN_POINT('Origin',(2.64,1.29,-1.28)); #580576=CARTESIAN_POINT('',(2.64,1.29,-1.28)); #580577=CARTESIAN_POINT('Origin',(1.36,1.29,-1.28)); #580578=CARTESIAN_POINT('',(1.36,1.788,-1.58)); #580579=CARTESIAN_POINT('',(1.36,1.79,-1.28)); #580580=CARTESIAN_POINT('Origin',(1.36,1.79,-1.28)); #580581=CARTESIAN_POINT('Origin',(2.64,1.79,-1.28)); #580582=CARTESIAN_POINT('',(2.64,1.292,-1.58)); #580583=CARTESIAN_POINT('Origin',(2.64,1.788,-1.16928079650541)); #580584=CARTESIAN_POINT('',(2.26164777083037,1.788,-1.32909273223488)); #580585=CARTESIAN_POINT('Origin',(2.64,1.788,-1.16928079650541)); #580586=CARTESIAN_POINT('',(2.18304419069841,1.788,-1.143)); #580587=CARTESIAN_POINT('',(2.26164777083037,1.788,-1.32909273223488)); #580588=CARTESIAN_POINT('',(2.08804419069841,1.788,-1.08)); #580589=CARTESIAN_POINT('Origin',(2.08804419069841,1.788,-1.18312698412698)); #580590=CARTESIAN_POINT('',(1.91195580930159,1.788,-1.08)); #580591=CARTESIAN_POINT('',(2.08804419069841,1.788,-1.08)); #580592=CARTESIAN_POINT('',(1.81695580930159,1.788,-1.143)); #580593=CARTESIAN_POINT('Origin',(1.91195580930159,1.788,-1.18312698412698)); #580594=CARTESIAN_POINT('',(1.73835222916963,1.788,-1.32909273223488)); #580595=CARTESIAN_POINT('',(1.81695580930159,1.788,-1.143)); #580596=CARTESIAN_POINT('Origin',(1.36,1.788,-1.16928079650541)); #580597=CARTESIAN_POINT('',(1.46199390994537,1.788,-1.21236193340465)); #580598=CARTESIAN_POINT('Origin',(1.36,1.788,-1.16928079650541)); #580599=CARTESIAN_POINT('',(1.54059749007733,1.788,-1.02626920116977)); #580600=CARTESIAN_POINT('',(1.54059749007733,1.788,-1.02626920116977)); #580601=CARTESIAN_POINT('',(1.91195580930159,1.788,-0.78)); #580602=CARTESIAN_POINT('Origin',(1.91195580930159,1.788,-1.18312698412698)); #580603=CARTESIAN_POINT('',(2.08804419069841,1.788,-0.78)); #580604=CARTESIAN_POINT('',(2.08804419069841,1.788,-0.78)); #580605=CARTESIAN_POINT('',(2.45940250992267,1.788,-1.02626920116977)); #580606=CARTESIAN_POINT('Origin',(2.08804419069841,1.788,-1.18312698412698)); #580607=CARTESIAN_POINT('',(2.53800609005463,1.788,-1.21236193340465)); #580608=CARTESIAN_POINT('',(2.53800609005463,1.788,-1.21236193340465)); #580609=CARTESIAN_POINT('Origin',(2.64,1.788,-1.16928079650541)); #580610=CARTESIAN_POINT('Origin',(2.26164777083037,1.292,-1.32909273223488)); #580611=CARTESIAN_POINT('',(2.53800609005463,1.292,-1.21236193340465)); #580612=CARTESIAN_POINT('',(2.45940250992267,1.292,-1.02626920116977)); #580613=CARTESIAN_POINT('',(2.53800609005463,1.292,-1.21236193340465)); #580614=CARTESIAN_POINT('',(2.08804419069841,1.292,-0.78)); #580615=CARTESIAN_POINT('Origin',(2.08804419069841,1.292,-1.18312698412698)); #580616=CARTESIAN_POINT('',(1.91195580930159,1.292,-0.78)); #580617=CARTESIAN_POINT('',(2.08804419069841,1.292,-0.78)); #580618=CARTESIAN_POINT('',(1.54059749007733,1.292,-1.02626920116977)); #580619=CARTESIAN_POINT('Origin',(1.91195580930159,1.292,-1.18312698412698)); #580620=CARTESIAN_POINT('',(1.46199390994537,1.292,-1.21236193340465)); #580621=CARTESIAN_POINT('',(1.54059749007733,1.292,-1.02626920116977)); #580622=CARTESIAN_POINT('Origin',(1.36,1.292,-1.16928079650541)); #580623=CARTESIAN_POINT('',(1.73835222916963,1.292,-1.32909273223488)); #580624=CARTESIAN_POINT('Origin',(1.36,1.292,-1.16928079650541)); #580625=CARTESIAN_POINT('',(1.81695580930159,1.292,-1.143)); #580626=CARTESIAN_POINT('',(1.81695580930159,1.292,-1.143)); #580627=CARTESIAN_POINT('',(1.91195580930159,1.292,-1.08)); #580628=CARTESIAN_POINT('Origin',(1.91195580930159,1.292,-1.18312698412698)); #580629=CARTESIAN_POINT('',(2.08804419069841,1.292,-1.08)); #580630=CARTESIAN_POINT('',(2.08804419069841,1.292,-1.08)); #580631=CARTESIAN_POINT('',(2.18304419069841,1.292,-1.143)); #580632=CARTESIAN_POINT('Origin',(2.08804419069841,1.292,-1.18312698412698)); #580633=CARTESIAN_POINT('',(2.26164777083037,1.292,-1.32909273223488)); #580634=CARTESIAN_POINT('',(2.26164777083037,1.292,-1.32909273223488)); #580635=CARTESIAN_POINT('Origin',(2.64,1.292,-1.16928079650541)); #580636=CARTESIAN_POINT('Origin',(2.64,1.292,-1.16928079650541)); #580637=CARTESIAN_POINT('Origin',(2.64,1.788,-1.16928079650541)); #580638=CARTESIAN_POINT('',(2.26164777083037,1.788,-1.32909273223488)); #580639=CARTESIAN_POINT('Origin',(2.26164777083037,1.788,-1.32909273223488)); #580640=CARTESIAN_POINT('',(2.18304419069841,1.788,-1.143)); #580641=CARTESIAN_POINT('Origin',(2.08804419069841,1.788,-1.18312698412698)); #580642=CARTESIAN_POINT('',(2.08804419069841,1.788,-1.08)); #580643=CARTESIAN_POINT('Origin',(2.08804419069841,1.788,-1.08)); #580644=CARTESIAN_POINT('',(1.91195580930159,1.788,-1.08)); #580645=CARTESIAN_POINT('Origin',(1.91195580930159,1.788,-1.18312698412698)); #580646=CARTESIAN_POINT('',(1.81695580930159,1.788,-1.143)); #580647=CARTESIAN_POINT('Origin',(1.81695580930159,1.788,-1.143)); #580648=CARTESIAN_POINT('',(1.73835222916963,1.788,-1.32909273223488)); #580649=CARTESIAN_POINT('Origin',(1.36,1.788,-1.16928079650541)); #580650=CARTESIAN_POINT('Origin',(2.64,1.788,-1.16928079650541)); #580651=CARTESIAN_POINT('',(2.53800609005463,1.788,-1.21236193340465)); #580652=CARTESIAN_POINT('Origin',(2.53800609005463,1.788,-1.21236193340465)); #580653=CARTESIAN_POINT('',(2.45940250992267,1.788,-1.02626920116977)); #580654=CARTESIAN_POINT('Origin',(2.08804419069841,1.788,-1.18312698412698)); #580655=CARTESIAN_POINT('',(2.08804419069841,1.788,-0.78)); #580656=CARTESIAN_POINT('Origin',(2.08804419069841,1.788,-0.78)); #580657=CARTESIAN_POINT('',(1.91195580930159,1.788,-0.78)); #580658=CARTESIAN_POINT('Origin',(1.91195580930159,1.788,-1.18312698412698)); #580659=CARTESIAN_POINT('',(1.54059749007733,1.788,-1.02626920116977)); #580660=CARTESIAN_POINT('Origin',(1.54059749007733,1.788,-1.02626920116977)); #580661=CARTESIAN_POINT('',(1.46199390994537,1.788,-1.21236193340465)); #580662=CARTESIAN_POINT('Origin',(1.36,1.788,-1.16928079650541)); #580663=CARTESIAN_POINT('Origin',(1.04771046566094,2.54,0.0791386264116724)); #580664=CARTESIAN_POINT('',(0.44771046566094,2.28922666666666,-0.960091858129654)); #580665=CARTESIAN_POINT('',(0.44771046566094,2.54,-0.960091858129654)); #580666=CARTESIAN_POINT('',(0.447710465660939,3.49,-0.960091858129654)); #580667=CARTESIAN_POINT('',(0.29771046566094,2.54,-1.21989947926499)); #580668=CARTESIAN_POINT('',(1.04771046566094,2.54,0.0791386264116722)); #580669=CARTESIAN_POINT('',(0.29771046566094,2.28922666666666,-1.21989947926499)); #580670=CARTESIAN_POINT('',(0.29771046566094,2.54,-1.21989947926499)); #580671=CARTESIAN_POINT('',(1.04771046566094,2.28922666666666,0.0791386264116723)); #580672=CARTESIAN_POINT('Origin',(1.15336556492264,2.31461333333333,0.0181386264116723)); #580673=CARTESIAN_POINT('',(0.553365564922641,2.19,-1.02109185812965)); #580674=CARTESIAN_POINT('Origin',(0.553365564922641,2.31461333333333,-1.02109185812965)); #580675=CARTESIAN_POINT('',(0.403365564922641,2.19,-1.28089947926499)); #580676=CARTESIAN_POINT('Origin',(0.403365564922641,2.31461333333333,-1.28089947926499)); #580677=CARTESIAN_POINT('',(1.15336556492264,2.19,0.0181386264116723)); #580678=CARTESIAN_POINT('Origin',(1.15336556492264,2.19,0.0181386264116723)); #580679=CARTESIAN_POINT('',(0.958665453893759,2.19,-1.25509185812965)); #580680=CARTESIAN_POINT('',(-0.255502162212025,2.19,-0.554091858129654)); #580681=CARTESIAN_POINT('',(0.808665453893759,2.19,-1.51489947926499)); #580682=CARTESIAN_POINT('',(0.403365564922641,2.19,-1.28089947926499)); #580683=CARTESIAN_POINT('',(1.55866545389376,2.19,-0.215861373588328)); #580684=CARTESIAN_POINT('Origin',(1.15336556492264,2.56538666666667,0.0181386264116723)); #580685=CARTESIAN_POINT('Origin',(0.553365564922641,2.56538666666667,-1.02109185812965)); #580686=CARTESIAN_POINT('Origin',(0.403365564922641,2.56538666666667,-1.28089947926499)); #580687=CARTESIAN_POINT('Origin',(-0.405502162212024,3.49,-0.813899479264986)); #580688=CARTESIAN_POINT('',(0.817,2.18969094980972,-1.519711431703)); #580689=CARTESIAN_POINT('',(0.817,2.69,-1.519711431703)); #580690=CARTESIAN_POINT('Origin',(0.808665453893759,2.04,-1.51489947926499)); #580691=CARTESIAN_POINT('Origin',(1.55866545389376,2.04,-0.215861373588328)); #580692=CARTESIAN_POINT('',(0.967,2.18969094980972,-1.25990381056767)); #580693=CARTESIAN_POINT('',(0.917514408080425,2.18969094980972,-1.34561537001499)); #580694=CARTESIAN_POINT('',(0.817,2.18969094980972,-1.519711431703)); #580695=CARTESIAN_POINT('Origin',(0.958665453893759,2.04,-1.25509185812965)); #580696=CARTESIAN_POINT('',(0.817,2.18969094980972,-1.519711431703)); #580697=CARTESIAN_POINT('Origin',(-0.255502162212024,3.49,-0.554091858129655)); #580698=CARTESIAN_POINT('',(0.967,2.69,-1.25990381056767)); #580699=CARTESIAN_POINT('Ctrl Pts',(0.817,2.18969094980972,-1.519711431703)); #580700=CARTESIAN_POINT('Ctrl Pts',(0.861647550460583,2.18785690637733, -1.54548870698007)); #580701=CARTESIAN_POINT('Ctrl Pts',(0.909591971884417,2.17773002787251, -1.56207462916777)); #580702=CARTESIAN_POINT('Ctrl Pts',(0.990802106691831,2.14398781452219, -1.57899793629896)); #580703=CARTESIAN_POINT('Ctrl Pts',(1.02356298388176,2.12037366240352,-1.58)); #580704=CARTESIAN_POINT('Ctrl Pts',(1.042,2.09385164807134,-1.58)); #580705=CARTESIAN_POINT('Ctrl Pts',(0.967003156199884,2.18969094980972, -1.25989834386911)); #580706=CARTESIAN_POINT('Ctrl Pts',(0.981878582009259,2.18785770305493, -1.26848667496446)); #580707=CARTESIAN_POINT('Ctrl Pts',(0.997865847989041,2.17773002787251, -1.27401881543862)); #580708=CARTESIAN_POINT('Ctrl Pts',(1.02493475374521,2.14398781452219,-1.27965968042306)); #580709=CARTESIAN_POINT('Ctrl Pts',(1.03583296907771,2.12046695405389,-1.27999368760023)); #580710=CARTESIAN_POINT('Ctrl Pts',(1.042,2.09385164807134,-1.27999368760023)); #580711=CARTESIAN_POINT('Ctrl Pts',(0.967000000000004,2.18969094980972, -1.25990381056767)); #580712=CARTESIAN_POINT('Ctrl Pts',(0.970770511660205,2.18922629169909, -1.26208071648967)); #580713=CARTESIAN_POINT('Ctrl Pts',(0.974551684280639,2.1882356463707,-1.26404402835229)); #580714=CARTESIAN_POINT('Ctrl Pts',(0.97829287058369,2.18678722642523,-1.26579912246231)); #580715=CARTESIAN_POINT('Ctrl Pts',(0.982196225386989,2.18527602209233, -1.26763029430718)); #580716=CARTESIAN_POINT('Ctrl Pts',(0.986008381216334,2.18328506639892, -1.26921294259616)); #580717=CARTESIAN_POINT('Ctrl Pts',(0.989674767539365,2.18092135844651, -1.27057762996985)); #580718=CARTESIAN_POINT('Ctrl Pts',(0.995201941585423,2.17735800638922, -1.2726349321656)); #580719=CARTESIAN_POINT('Ctrl Pts',(1.00065884364745,2.1727838539401,-1.27429040110087)); #580720=CARTESIAN_POINT('Ctrl Pts',(1.00586839118512,2.16723672511816,-1.2755833329899)); #580721=CARTESIAN_POINT('Ctrl Pts',(1.00773971017691,2.16524414372038,-1.27604776641364)); #580722=CARTESIAN_POINT('Ctrl Pts',(1.00957915851179,2.16312601775248,-1.27646592986454)); #580723=CARTESIAN_POINT('Ctrl Pts',(1.01137880699386,2.1608841003324,-1.27684121539568)); #580724=CARTESIAN_POINT('Ctrl Pts',(1.01618856816892,2.15489232612079,-1.27784420781071)); #580725=CARTESIAN_POINT('Ctrl Pts',(1.0204732689578,2.14830660571331,-1.2784953982168)); #580726=CARTESIAN_POINT('Ctrl Pts',(1.02422598684638,2.14145434643594,-1.27894322561438)); #580727=CARTESIAN_POINT('Ctrl Pts',(1.02610067951941,2.13803125931819,-1.2791669404701)); #580728=CARTESIAN_POINT('Ctrl Pts',(1.02784315291006,2.13454165577825,-1.27933921192191)); #580729=CARTESIAN_POINT('Ctrl Pts',(1.02945505727925,2.13102635279781,-1.27947449418591)); #580730=CARTESIAN_POINT('Ctrl Pts',(1.03327889225303,2.12268718656875,-1.2797954171031)); #580731=CARTESIAN_POINT('Ctrl Pts',(1.03648190134414,2.11395573167925,-1.27992084478368)); #580732=CARTESIAN_POINT('Ctrl Pts',(1.03907160332681,2.10506648719001,-1.27997141225222)); #580733=CARTESIAN_POINT('Ctrl Pts',(1.04015430486917,2.10135007550117,-1.27999255347872)); #580734=CARTESIAN_POINT('Ctrl Pts',(1.04113002347144,2.09760608368246,-1.28)); #580735=CARTESIAN_POINT('Ctrl Pts',(1.042,2.09385164807134,-1.28)); #580736=CARTESIAN_POINT('Ctrl Pts',(0.817,2.18969094980972,-1.519711431703)); #580737=CARTESIAN_POINT('Ctrl Pts',(0.846804960112271,2.18846661407836, -1.536919333447)); #580738=CARTESIAN_POINT('Ctrl Pts',(0.878130528708108,2.18354320585705, -1.55005680979201)); #580739=CARTESIAN_POINT('Ctrl Pts',(0.907786436207732,2.17535650125316, -1.55940494685622)); #580740=CARTESIAN_POINT('Ctrl Pts',(0.922463325194177,2.1713048513538,-1.56403139674393)); #580741=CARTESIAN_POINT('Ctrl Pts',(0.936730421278745,2.16645420187331, -1.56772998628857)); #580742=CARTESIAN_POINT('Ctrl Pts',(0.950197039288123,2.16085892119735, -1.57053628273336)); #580743=CARTESIAN_POINT('Ctrl Pts',(0.982039643313399,2.14762855356899, -1.57717193441717)); #580744=CARTESIAN_POINT('Ctrl Pts',(1.00909614443207,2.1302330583685,-1.57922370849935)); #580745=CARTESIAN_POINT('Ctrl Pts',(1.02818448576243,2.11051871379248,-1.57979681121896)); #580746=CARTESIAN_POINT('Ctrl Pts',(1.0334081322544,2.10512375751504,-1.57995364443768)); #580747=CARTESIAN_POINT('Ctrl Pts',(1.03803503114378,2.09955533462002,-1.58)); #580748=CARTESIAN_POINT('Ctrl Pts',(1.042,2.09385164807134,-1.58)); #580749=CARTESIAN_POINT('Origin',(1.042,2.09385164807134,-1.13)); #580750=CARTESIAN_POINT('Origin',(1.042,2.09385164807134,-1.13)); #580751=CARTESIAN_POINT('Origin',(4.47,3.33,-0.730000000000001)); #580752=CARTESIAN_POINT('',(4.62,3.33,-0.730000000000001)); #580753=CARTESIAN_POINT('',(4.62,2.82,-0.730000000000001)); #580754=CARTESIAN_POINT('',(4.62,3.33,-0.730000000000001)); #580755=CARTESIAN_POINT('Origin',(4.47,2.82,-0.730000000000001)); #580756=CARTESIAN_POINT('Origin',(4.47,3.33,-0.730000000000001)); #580757=CARTESIAN_POINT('Origin',(4.62,3.33,-0.730000000000001)); #580758=CARTESIAN_POINT('',(4.62,3.33,-0.530000000000001)); #580759=CARTESIAN_POINT('',(4.62,2.82,-0.530000000000001)); #580760=CARTESIAN_POINT('',(4.62,3.33,-0.530000000000001)); #580761=CARTESIAN_POINT('',(4.62,2.82,-0.730000000000001)); #580762=CARTESIAN_POINT('',(4.62,3.33,-0.730000000000001)); #580763=CARTESIAN_POINT('Origin',(4.47,3.33,-0.530000000000001)); #580764=CARTESIAN_POINT('Origin',(4.47,2.82,-0.530000000000001)); #580765=CARTESIAN_POINT('Origin',(4.47,3.33,-0.530000000000001)); #580766=CARTESIAN_POINT('Origin',(4.47,3.33,-0.730000000000001)); #580767=CARTESIAN_POINT('Origin',(4.47,2.82,-0.530000000000001)); #580768=CARTESIAN_POINT('Origin',(4.47,2.82,-0.530000000000001)); #580769=CARTESIAN_POINT('Origin',(4.47,2.82,-0.730000000000001)); #580770=CARTESIAN_POINT('Origin',(4.47,2.82,-0.730000000000001)); #580771=CARTESIAN_POINT('Origin',(4.47,2.82,-0.730000000000001)); #580772=CARTESIAN_POINT('Origin',(4.32,3.28,-0.530000000000001)); #580773=CARTESIAN_POINT('',(4.32,3.28,-0.730000000000002)); #580774=CARTESIAN_POINT('',(4.32,3.28,-0.730000000000002)); #580775=CARTESIAN_POINT('',(4.32,3.28,-0.530000000000001)); #580776=CARTESIAN_POINT('',(4.32,3.28,-0.530000000000001)); #580777=CARTESIAN_POINT('',(4.32,3.28,-0.530000000000001)); #580778=CARTESIAN_POINT('Origin',(4.17,2.87,-0.530000000000001)); #580779=CARTESIAN_POINT('',(4.32,2.87,-0.730000000000002)); #580780=CARTESIAN_POINT('',(4.17,2.87,-0.730000000000002)); #580781=CARTESIAN_POINT('',(4.32,2.87,-0.530000000000001)); #580782=CARTESIAN_POINT('',(4.17,2.87,-0.530000000000001)); #580783=CARTESIAN_POINT('',(4.32,2.87,-0.530000000000001)); #580784=CARTESIAN_POINT('Origin',(4.32,2.87,-0.530000000000001)); #580785=CARTESIAN_POINT('',(4.32,2.87,-0.730000000000002)); #580786=CARTESIAN_POINT('',(4.32,2.87,-0.530000000000001)); #580787=CARTESIAN_POINT('Origin',(-3.24531401774049E-17,0.,-0.530000000000001)); #580788=CARTESIAN_POINT('Origin',(-4.46996081688785E-17,0.,-0.730000000000002)); #580789=CARTESIAN_POINT('Origin',(-4.17,-1.29,-2.147)); #580790=CARTESIAN_POINT('',(-4.17,-1.29,-0.279999999999999)); #580791=CARTESIAN_POINT('',(-4.17,-1.29,-2.147)); #580792=CARTESIAN_POINT('Origin',(-4.17,0.0100000000000011,-0.0800000000000004)); #580793=CARTESIAN_POINT('',(-4.17,0.0100000000000011,-0.279999999999999)); #580794=CARTESIAN_POINT('',(-4.17,0.0100000000000011,-1.58)); #580795=CARTESIAN_POINT('Origin',(-4.17,-0.139999999999999,-1.58)); #580796=CARTESIAN_POINT('',(-4.17,-0.139999999999999,-1.73)); #580797=CARTESIAN_POINT('Origin',(-4.17,-0.139999999999999,-1.73)); #580798=CARTESIAN_POINT('',(-4.17,-0.292999999999999,-1.73)); #580799=CARTESIAN_POINT('Origin',(-4.17,-0.293,-1.58363982593444)); #580800=CARTESIAN_POINT('',(-4.17,-0.382999999999999,-1.69905776454236)); #580801=CARTESIAN_POINT('Origin',(-4.17,-0.444471864358245,-1.77789060750473)); #580802=CARTESIAN_POINT('',(-4.17,-0.522000000000001,-1.841)); #580803=CARTESIAN_POINT('Origin',(-4.17,-0.6325625,-1.931)); #580804=CARTESIAN_POINT('',(-4.17,-0.49,-1.931)); #580805=CARTESIAN_POINT('Origin',(-4.17,-0.49,-1.931)); #580806=CARTESIAN_POINT('',(-4.17,-0.49,-2.147)); #580807=CARTESIAN_POINT('Origin',(-4.17,-0.646782950094636,-2.147)); #580808=CARTESIAN_POINT('',(-4.17,-0.499276643990934,-2.20012987012986)); #580809=CARTESIAN_POINT('Origin',(-4.17,-0.49927664399093,-2.20012987012987)); #580810=CARTESIAN_POINT('',(-4.17,-0.58927664399093,-2.45)); #580811=CARTESIAN_POINT('Origin',(-4.17,-0.77427664399093,-2.38336538461539)); #580812=CARTESIAN_POINT('',(-4.17,-0.77427664399093,-2.58)); #580813=CARTESIAN_POINT('Origin',(-4.17,-0.77427664399093,-2.58)); #580814=CARTESIAN_POINT('',(-4.17,-1.00572335600907,-2.58)); #580815=CARTESIAN_POINT('Origin',(-4.17,-1.00572335600907,-2.38336538461539)); #580816=CARTESIAN_POINT('',(-4.17,-1.19072335600907,-2.45)); #580817=CARTESIAN_POINT('Origin',(-4.17,-1.19072335600907,-2.45)); #580818=CARTESIAN_POINT('',(-4.17,-1.28072335600907,-2.20012987012987)); #580819=CARTESIAN_POINT('Origin',(-4.17,-1.13321704990536,-2.147)); #580820=CARTESIAN_POINT('Origin',(-4.17,2.34,-0.0800000000000004)); #580821=CARTESIAN_POINT('',(-4.17,2.34,-0.279999999999999)); #580822=CARTESIAN_POINT('',(-4.17,2.34,-1.076)); #580823=CARTESIAN_POINT('Origin',(-4.17,2.53999999999999,-1.076)); #580824=CARTESIAN_POINT('',(-4.17,2.53999999999999,-1.276)); #580825=CARTESIAN_POINT('Origin',(-4.17,2.53999999999998,-1.476)); #580826=CARTESIAN_POINT('',(-4.17,2.73999999999998,-1.476)); #580827=CARTESIAN_POINT('Origin',(-4.17,2.74,-1.476)); #580828=CARTESIAN_POINT('',(-4.17,2.74,-2.03)); #580829=CARTESIAN_POINT('Origin',(-4.17,2.8839400757397,-2.03)); #580830=CARTESIAN_POINT('',(-4.17,2.75430509862945,-2.09255811788715)); #580831=CARTESIAN_POINT('Origin',(-4.17,2.75430509862951,-2.09255811788712)); #580832=CARTESIAN_POINT('',(-4.17,2.935,-2.467)); #580833=CARTESIAN_POINT('Origin',(-4.17,3.115,-2.38013716814167)); #580834=CARTESIAN_POINT('',(-4.17,3.115,-2.58000000000004)); #580835=CARTESIAN_POINT('Origin',(-4.17,3.115,-2.58)); #580836=CARTESIAN_POINT('',(-4.17,3.465,-2.58)); #580837=CARTESIAN_POINT('Origin',(-4.17,3.465,-2.38013716814167)); #580838=CARTESIAN_POINT('',(-4.17,3.645,-2.467)); #580839=CARTESIAN_POINT('Origin',(-4.17,3.645,-2.467)); #580840=CARTESIAN_POINT('',(-4.17,3.82569490137048,-2.09255811788713)); #580841=CARTESIAN_POINT('Origin',(-4.17,3.69605992426026,-2.03)); #580842=CARTESIAN_POINT('',(-4.17,3.83999999999999,-2.03)); #580843=CARTESIAN_POINT('Origin',(-4.17,3.84,-2.03)); #580844=CARTESIAN_POINT('',(-4.32,3.84,-1.58)); #580845=CARTESIAN_POINT('',(-4.32,3.84,-1.58)); #580846=CARTESIAN_POINT('',(-4.17,3.84,-1.58)); #580847=CARTESIAN_POINT('Origin',(-4.17,3.84,-1.58)); #580848=CARTESIAN_POINT('',(-4.32,3.84,-1.58)); #580849=CARTESIAN_POINT('',(-4.17,3.69,-1.426)); #580850=CARTESIAN_POINT('Origin',(-4.17,3.69,-1.426)); #580851=CARTESIAN_POINT('',(-4.17,3.69,0.157)); #580852=CARTESIAN_POINT('Origin',(-4.17,3.48948875,0.157)); #580853=CARTESIAN_POINT('',(-4.17,3.59,0.330500000000001)); #580854=CARTESIAN_POINT('Origin',(-4.17,3.69051125,0.504)); #580855=CARTESIAN_POINT('Origin',(-4.32,3.84,-1.58)); #580856=CARTESIAN_POINT('Origin',(3.39,2.14,-0.28)); #580857=CARTESIAN_POINT('Origin',(-3.24783267285097,0.21,-0.279999999999999)); #580858=CARTESIAN_POINT('Origin',(-4.47,-1.49,-0.279999999999999)); #580859=CARTESIAN_POINT('Origin',(-2.64,1.29,1.28)); #580860=CARTESIAN_POINT('',(-2.64,1.29,1.28)); #580861=CARTESIAN_POINT('Origin',(-1.36,1.29,1.28)); #580862=CARTESIAN_POINT('',(-1.36,1.788,1.58)); #580863=CARTESIAN_POINT('',(-1.36,1.79,1.28)); #580864=CARTESIAN_POINT('Origin',(-1.36,1.79,1.28)); #580865=CARTESIAN_POINT('Origin',(-2.64,1.79,1.28)); #580866=CARTESIAN_POINT('',(-2.64,1.292,1.58)); #580867=CARTESIAN_POINT('Origin',(-2.64,1.788,1.16928079650541)); #580868=CARTESIAN_POINT('',(-2.26164777083037,1.788,1.32909273223488)); #580869=CARTESIAN_POINT('Origin',(-2.64,1.788,1.16928079650541)); #580870=CARTESIAN_POINT('',(-2.18304419069841,1.788,1.143)); #580871=CARTESIAN_POINT('',(-2.26164777083037,1.788,1.32909273223488)); #580872=CARTESIAN_POINT('',(-2.08804419069841,1.788,1.08)); #580873=CARTESIAN_POINT('Origin',(-2.08804419069841,1.788,1.18312698412698)); #580874=CARTESIAN_POINT('',(-1.91195580930159,1.788,1.08)); #580875=CARTESIAN_POINT('',(-2.08804419069841,1.788,1.08)); #580876=CARTESIAN_POINT('',(-1.81695580930159,1.788,1.143)); #580877=CARTESIAN_POINT('Origin',(-1.91195580930159,1.788,1.18312698412698)); #580878=CARTESIAN_POINT('',(-1.73835222916963,1.788,1.32909273223488)); #580879=CARTESIAN_POINT('',(-1.81695580930159,1.788,1.143)); #580880=CARTESIAN_POINT('Origin',(-1.36,1.788,1.16928079650541)); #580881=CARTESIAN_POINT('',(-1.46199390994537,1.788,1.21236193340465)); #580882=CARTESIAN_POINT('Origin',(-1.36,1.788,1.16928079650541)); #580883=CARTESIAN_POINT('',(-1.54059749007733,1.788,1.02626920116977)); #580884=CARTESIAN_POINT('',(-1.54059749007733,1.788,1.02626920116977)); #580885=CARTESIAN_POINT('',(-1.91195580930159,1.788,0.78)); #580886=CARTESIAN_POINT('Origin',(-1.91195580930159,1.788,1.18312698412698)); #580887=CARTESIAN_POINT('',(-2.08804419069841,1.788,0.78)); #580888=CARTESIAN_POINT('',(-2.08804419069841,1.788,0.78)); #580889=CARTESIAN_POINT('',(-2.45940250992267,1.788,1.02626920116977)); #580890=CARTESIAN_POINT('Origin',(-2.08804419069841,1.788,1.18312698412698)); #580891=CARTESIAN_POINT('',(-2.53800609005463,1.788,1.21236193340465)); #580892=CARTESIAN_POINT('',(-2.53800609005463,1.788,1.21236193340465)); #580893=CARTESIAN_POINT('Origin',(-2.64,1.788,1.16928079650541)); #580894=CARTESIAN_POINT('Origin',(-2.26164777083037,1.292,1.32909273223488)); #580895=CARTESIAN_POINT('',(-2.53800609005463,1.292,1.21236193340465)); #580896=CARTESIAN_POINT('',(-2.45940250992267,1.292,1.02626920116977)); #580897=CARTESIAN_POINT('',(-2.53800609005463,1.292,1.21236193340465)); #580898=CARTESIAN_POINT('',(-2.08804419069841,1.292,0.78)); #580899=CARTESIAN_POINT('Origin',(-2.08804419069841,1.292,1.18312698412698)); #580900=CARTESIAN_POINT('',(-1.91195580930159,1.292,0.78)); #580901=CARTESIAN_POINT('',(-2.08804419069841,1.292,0.78)); #580902=CARTESIAN_POINT('',(-1.54059749007733,1.292,1.02626920116977)); #580903=CARTESIAN_POINT('Origin',(-1.91195580930159,1.292,1.18312698412698)); #580904=CARTESIAN_POINT('',(-1.46199390994537,1.292,1.21236193340465)); #580905=CARTESIAN_POINT('',(-1.54059749007733,1.292,1.02626920116977)); #580906=CARTESIAN_POINT('Origin',(-1.36,1.292,1.16928079650541)); #580907=CARTESIAN_POINT('',(-1.73835222916963,1.292,1.32909273223488)); #580908=CARTESIAN_POINT('Origin',(-1.36,1.292,1.16928079650541)); #580909=CARTESIAN_POINT('',(-1.81695580930159,1.292,1.143)); #580910=CARTESIAN_POINT('',(-1.81695580930159,1.292,1.143)); #580911=CARTESIAN_POINT('',(-1.91195580930159,1.292,1.08)); #580912=CARTESIAN_POINT('Origin',(-1.91195580930159,1.292,1.18312698412698)); #580913=CARTESIAN_POINT('',(-2.08804419069841,1.292,1.08)); #580914=CARTESIAN_POINT('',(-2.08804419069841,1.292,1.08)); #580915=CARTESIAN_POINT('',(-2.18304419069841,1.292,1.143)); #580916=CARTESIAN_POINT('Origin',(-2.08804419069841,1.292,1.18312698412698)); #580917=CARTESIAN_POINT('',(-2.26164777083037,1.292,1.32909273223488)); #580918=CARTESIAN_POINT('',(-2.26164777083037,1.292,1.32909273223488)); #580919=CARTESIAN_POINT('Origin',(-2.64,1.292,1.16928079650541)); #580920=CARTESIAN_POINT('Origin',(-2.64,1.292,1.16928079650541)); #580921=CARTESIAN_POINT('Origin',(-2.64,1.788,1.16928079650541)); #580922=CARTESIAN_POINT('',(-2.26164777083037,1.788,1.32909273223488)); #580923=CARTESIAN_POINT('Origin',(-2.26164777083037,1.788,1.32909273223488)); #580924=CARTESIAN_POINT('',(-2.18304419069841,1.788,1.143)); #580925=CARTESIAN_POINT('Origin',(-2.08804419069841,1.788,1.18312698412698)); #580926=CARTESIAN_POINT('',(-2.08804419069841,1.788,1.08)); #580927=CARTESIAN_POINT('Origin',(-2.08804419069841,1.788,1.08)); #580928=CARTESIAN_POINT('',(-1.91195580930159,1.788,1.08)); #580929=CARTESIAN_POINT('Origin',(-1.91195580930159,1.788,1.18312698412698)); #580930=CARTESIAN_POINT('',(-1.81695580930159,1.788,1.143)); #580931=CARTESIAN_POINT('Origin',(-1.81695580930159,1.788,1.143)); #580932=CARTESIAN_POINT('',(-1.73835222916963,1.788,1.32909273223488)); #580933=CARTESIAN_POINT('Origin',(-1.36,1.788,1.16928079650541)); #580934=CARTESIAN_POINT('Origin',(-2.64,1.788,1.16928079650541)); #580935=CARTESIAN_POINT('',(-2.53800609005463,1.788,1.21236193340465)); #580936=CARTESIAN_POINT('Origin',(-2.53800609005463,1.788,1.21236193340465)); #580937=CARTESIAN_POINT('',(-2.45940250992267,1.788,1.02626920116977)); #580938=CARTESIAN_POINT('Origin',(-2.08804419069841,1.788,1.18312698412698)); #580939=CARTESIAN_POINT('',(-2.08804419069841,1.788,0.78)); #580940=CARTESIAN_POINT('Origin',(-2.08804419069841,1.788,0.78)); #580941=CARTESIAN_POINT('',(-1.91195580930159,1.788,0.78)); #580942=CARTESIAN_POINT('Origin',(-1.91195580930159,1.788,1.18312698412698)); #580943=CARTESIAN_POINT('',(-1.54059749007733,1.788,1.02626920116977)); #580944=CARTESIAN_POINT('Origin',(-1.54059749007733,1.788,1.02626920116977)); #580945=CARTESIAN_POINT('',(-1.46199390994537,1.788,1.21236193340465)); #580946=CARTESIAN_POINT('Origin',(-1.36,1.788,1.16928079650541)); #580947=CARTESIAN_POINT('Origin',(-1.36,1.79,-1.28)); #580948=CARTESIAN_POINT('',(-1.36,1.292,-1.58)); #580949=CARTESIAN_POINT('',(-1.36,1.29,-1.28)); #580950=CARTESIAN_POINT('Origin',(-1.36,1.29,-1.28)); #580951=CARTESIAN_POINT('Origin',(-2.64,1.29,-1.28)); #580952=CARTESIAN_POINT('',(-2.64,1.788,-1.58)); #580953=CARTESIAN_POINT('',(-2.64,1.79,-1.28)); #580954=CARTESIAN_POINT('Origin',(-2.64,1.79,-1.28)); #580955=CARTESIAN_POINT('Origin',(-1.36,1.788,-1.16928079650541)); #580956=CARTESIAN_POINT('',(-1.73835222916963,1.788,-1.32909273223488)); #580957=CARTESIAN_POINT('Origin',(-1.36,1.788,-1.16928079650541)); #580958=CARTESIAN_POINT('',(-1.81695580930159,1.788,-1.143)); #580959=CARTESIAN_POINT('',(-1.73835222916963,1.788,-1.32909273223488)); #580960=CARTESIAN_POINT('',(-1.91195580930159,1.788,-1.08)); #580961=CARTESIAN_POINT('Origin',(-1.91195580930159,1.788,-1.18312698412698)); #580962=CARTESIAN_POINT('',(-2.08804419069841,1.788,-1.08)); #580963=CARTESIAN_POINT('',(-1.91195580930159,1.788,-1.08)); #580964=CARTESIAN_POINT('',(-2.18304419069841,1.788,-1.143)); #580965=CARTESIAN_POINT('Origin',(-2.08804419069841,1.788,-1.18312698412698)); #580966=CARTESIAN_POINT('',(-2.26164777083037,1.788,-1.32909273223488)); #580967=CARTESIAN_POINT('',(-2.18304419069841,1.788,-1.143)); #580968=CARTESIAN_POINT('Origin',(-2.64,1.788,-1.16928079650541)); #580969=CARTESIAN_POINT('',(-2.53800609005463,1.788,-1.21236193340465)); #580970=CARTESIAN_POINT('Origin',(-2.64,1.788,-1.16928079650541)); #580971=CARTESIAN_POINT('',(-2.45940250992267,1.788,-1.02626920116977)); #580972=CARTESIAN_POINT('',(-2.45940250992267,1.788,-1.02626920116977)); #580973=CARTESIAN_POINT('',(-2.08804419069841,1.788,-0.78)); #580974=CARTESIAN_POINT('Origin',(-2.08804419069841,1.788,-1.18312698412698)); #580975=CARTESIAN_POINT('',(-1.91195580930159,1.788,-0.78)); #580976=CARTESIAN_POINT('',(-1.91195580930159,1.788,-0.78)); #580977=CARTESIAN_POINT('',(-1.54059749007733,1.788,-1.02626920116977)); #580978=CARTESIAN_POINT('Origin',(-1.91195580930159,1.788,-1.18312698412698)); #580979=CARTESIAN_POINT('',(-1.46199390994537,1.788,-1.21236193340465)); #580980=CARTESIAN_POINT('',(-1.46199390994537,1.788,-1.21236193340465)); #580981=CARTESIAN_POINT('Origin',(-1.36,1.788,-1.16928079650541)); #580982=CARTESIAN_POINT('Origin',(-1.73835222916963,1.292,-1.32909273223488)); #580983=CARTESIAN_POINT('',(-1.46199390994537,1.292,-1.21236193340465)); #580984=CARTESIAN_POINT('',(-1.54059749007733,1.292,-1.02626920116977)); #580985=CARTESIAN_POINT('',(-1.46199390994537,1.292,-1.21236193340465)); #580986=CARTESIAN_POINT('',(-1.91195580930159,1.292,-0.78)); #580987=CARTESIAN_POINT('Origin',(-1.91195580930159,1.292,-1.18312698412698)); #580988=CARTESIAN_POINT('',(-2.08804419069841,1.292,-0.78)); #580989=CARTESIAN_POINT('',(-1.91195580930159,1.292,-0.78)); #580990=CARTESIAN_POINT('',(-2.45940250992267,1.292,-1.02626920116977)); #580991=CARTESIAN_POINT('Origin',(-2.08804419069841,1.292,-1.18312698412698)); #580992=CARTESIAN_POINT('',(-2.53800609005463,1.292,-1.21236193340465)); #580993=CARTESIAN_POINT('',(-2.45940250992267,1.292,-1.02626920116977)); #580994=CARTESIAN_POINT('Origin',(-2.64,1.292,-1.16928079650541)); #580995=CARTESIAN_POINT('',(-2.26164777083037,1.292,-1.32909273223488)); #580996=CARTESIAN_POINT('Origin',(-2.64,1.292,-1.16928079650541)); #580997=CARTESIAN_POINT('',(-2.18304419069841,1.292,-1.143)); #580998=CARTESIAN_POINT('',(-2.18304419069841,1.292,-1.143)); #580999=CARTESIAN_POINT('',(-2.08804419069841,1.292,-1.08)); #581000=CARTESIAN_POINT('Origin',(-2.08804419069841,1.292,-1.18312698412698)); #581001=CARTESIAN_POINT('',(-1.91195580930159,1.292,-1.08)); #581002=CARTESIAN_POINT('',(-1.91195580930159,1.292,-1.08)); #581003=CARTESIAN_POINT('',(-1.81695580930159,1.292,-1.143)); #581004=CARTESIAN_POINT('Origin',(-1.91195580930159,1.292,-1.18312698412698)); #581005=CARTESIAN_POINT('',(-1.73835222916963,1.292,-1.32909273223488)); #581006=CARTESIAN_POINT('',(-1.73835222916963,1.292,-1.32909273223488)); #581007=CARTESIAN_POINT('Origin',(-1.36,1.292,-1.16928079650541)); #581008=CARTESIAN_POINT('Origin',(-1.36,1.292,-1.16928079650541)); #581009=CARTESIAN_POINT('Origin',(-1.36,1.788,-1.16928079650541)); #581010=CARTESIAN_POINT('',(-1.73835222916963,1.788,-1.32909273223488)); #581011=CARTESIAN_POINT('Origin',(-1.73835222916963,1.788,-1.32909273223488)); #581012=CARTESIAN_POINT('',(-1.81695580930159,1.788,-1.143)); #581013=CARTESIAN_POINT('Origin',(-1.91195580930159,1.788,-1.18312698412698)); #581014=CARTESIAN_POINT('',(-1.91195580930159,1.788,-1.08)); #581015=CARTESIAN_POINT('Origin',(-1.91195580930159,1.788,-1.08)); #581016=CARTESIAN_POINT('',(-2.08804419069841,1.788,-1.08)); #581017=CARTESIAN_POINT('Origin',(-2.08804419069841,1.788,-1.18312698412698)); #581018=CARTESIAN_POINT('',(-2.18304419069841,1.788,-1.143)); #581019=CARTESIAN_POINT('Origin',(-2.18304419069841,1.788,-1.143)); #581020=CARTESIAN_POINT('',(-2.26164777083037,1.788,-1.32909273223488)); #581021=CARTESIAN_POINT('Origin',(-2.64,1.788,-1.16928079650541)); #581022=CARTESIAN_POINT('Origin',(-1.36,1.788,-1.16928079650541)); #581023=CARTESIAN_POINT('',(-1.46199390994537,1.788,-1.21236193340465)); #581024=CARTESIAN_POINT('Origin',(-1.46199390994537,1.788,-1.21236193340465)); #581025=CARTESIAN_POINT('',(-1.54059749007733,1.788,-1.02626920116977)); #581026=CARTESIAN_POINT('Origin',(-1.91195580930159,1.788,-1.18312698412698)); #581027=CARTESIAN_POINT('',(-1.91195580930159,1.788,-0.78)); #581028=CARTESIAN_POINT('Origin',(-1.91195580930159,1.788,-0.78)); #581029=CARTESIAN_POINT('',(-2.08804419069841,1.788,-0.78)); #581030=CARTESIAN_POINT('Origin',(-2.08804419069841,1.788,-1.18312698412698)); #581031=CARTESIAN_POINT('',(-2.45940250992267,1.788,-1.02626920116977)); #581032=CARTESIAN_POINT('Origin',(-2.45940250992267,1.788,-1.02626920116977)); #581033=CARTESIAN_POINT('',(-2.53800609005463,1.788,-1.21236193340465)); #581034=CARTESIAN_POINT('Origin',(-2.64,1.788,-1.16928079650541)); #581035=CARTESIAN_POINT('Origin',(-1.15336556492264,2.31461333333333,0.0181386264116724)); #581036=CARTESIAN_POINT('',(-0.44771046566094,2.28922666666666,-0.960091858129655)); #581037=CARTESIAN_POINT('',(-0.553365564922642,2.19,-1.02109185812965)); #581038=CARTESIAN_POINT('Origin',(-0.553365564922642,2.31461333333333,-1.02109185812965)); #581039=CARTESIAN_POINT('',(-0.403365564922642,2.19,-1.28089947926499)); #581040=CARTESIAN_POINT('',(-1.15336556492264,2.19,0.0181386264116724)); #581041=CARTESIAN_POINT('',(-0.29771046566094,2.28922666666666,-1.21989947926499)); #581042=CARTESIAN_POINT('Origin',(-0.403365564922642,2.31461333333333,-1.28089947926499)); #581043=CARTESIAN_POINT('',(-1.04771046566094,2.28922666666666,0.0791386264116722)); #581044=CARTESIAN_POINT('Origin',(-1.04771046566094,2.54,0.0791386264116723)); #581045=CARTESIAN_POINT('',(-0.44771046566094,2.54,-0.960091858129655)); #581046=CARTESIAN_POINT('',(-0.447710465660939,3.49,-0.960091858129654)); #581047=CARTESIAN_POINT('',(-0.29771046566094,2.54,-1.21989947926499)); #581048=CARTESIAN_POINT('',(-0.29771046566094,2.54,-1.21989947926499)); #581049=CARTESIAN_POINT('',(-1.04771046566094,2.54,0.0791386264116723)); #581050=CARTESIAN_POINT('Origin',(-1.15336556492264,2.56538666666667,0.0181386264116724)); #581051=CARTESIAN_POINT('Origin',(-0.553365564922642,2.56538666666667,-1.02109185812965)); #581052=CARTESIAN_POINT('Origin',(-0.403365564922642,2.56538666666667,-1.28089947926499)); #581053=CARTESIAN_POINT('Origin',(-1.15336556492264,2.19,0.0181386264116724)); #581054=CARTESIAN_POINT('',(-0.958665453893759,2.19,-1.25509185812965)); #581055=CARTESIAN_POINT('',(-0.808665453893759,2.19,-1.51489947926499)); #581056=CARTESIAN_POINT('',(-1.55866545389376,2.19,-0.215861373588328)); #581057=CARTESIAN_POINT('',(-0.403365564922642,2.19,-1.28089947926499)); #581058=CARTESIAN_POINT('',(-0.610523241572413,2.19,-1.05409185812965)); #581059=CARTESIAN_POINT('Origin',(-1.55866545389376,2.04,-0.215861373588328)); #581060=CARTESIAN_POINT('',(-0.967,2.18969094980972,-1.25990381056767)); #581061=CARTESIAN_POINT('Origin',(-0.958665453893759,2.04,-1.25509185812965)); #581062=CARTESIAN_POINT('',(-0.917514408080421,2.18969094980972,-1.345615370015)); #581063=CARTESIAN_POINT('',(-0.817,2.18969094980972,-1.519711431703)); #581064=CARTESIAN_POINT('',(-0.817,2.18969094980972,-1.519711431703)); #581065=CARTESIAN_POINT('',(-0.817,2.18969094980972,-1.519711431703)); #581066=CARTESIAN_POINT('Origin',(-0.808665453893759,2.04,-1.51489947926499)); #581067=CARTESIAN_POINT('Origin',(-2.79013157775255,3.49,-2.65889947926499)); #581068=CARTESIAN_POINT('',(-0.817,2.09385164807134,-1.519711431703)); #581069=CARTESIAN_POINT('Origin',(-0.610523241572414,3.49,-1.05409185812965)); #581070=CARTESIAN_POINT('',(-0.967,2.09385164807134,-1.25990381056767)); #581071=CARTESIAN_POINT('Ctrl Pts',(-1.042,2.09385164807135,-1.58)); #581072=CARTESIAN_POINT('Ctrl Pts',(-1.02356298388176,2.12037366240352, -1.58)); #581073=CARTESIAN_POINT('Ctrl Pts',(-0.990802106691829,2.1439878145222, -1.57899793629896)); #581074=CARTESIAN_POINT('Ctrl Pts',(-0.909591971884418,2.17773002787251, -1.56207462916777)); #581075=CARTESIAN_POINT('Ctrl Pts',(-0.861647550460582,2.18785690637733, -1.54548870698007)); #581076=CARTESIAN_POINT('Ctrl Pts',(-0.817,2.18969094980972,-1.519711431703)); #581077=CARTESIAN_POINT('Ctrl Pts',(-1.042,2.09385164807135,-1.27999562328633)); #581078=CARTESIAN_POINT('Ctrl Pts',(-1.03583288990991,2.12046695345196, -1.27999562328633)); #581079=CARTESIAN_POINT('Ctrl Pts',(-1.02493453351621,2.1439878145222,-1.27966161179875)); #581080=CARTESIAN_POINT('Ctrl Pts',(-0.997865278432643,2.17773002787251, -1.27402067401829)); #581081=CARTESIAN_POINT('Ctrl Pts',(-0.981877806260461,2.18785770304979, -1.26848846222346)); #581082=CARTESIAN_POINT('Ctrl Pts',(-0.967002188356836,2.18969094980972, -1.25990002022245)); #581083=CARTESIAN_POINT('Ctrl Pts',(-1.042,2.09385164807135,-1.28)); #581084=CARTESIAN_POINT('Ctrl Pts',(-1.03991911265227,2.10283184156461, -1.28)); #581085=CARTESIAN_POINT('Ctrl Pts',(-1.0372324810687,2.11175228472919,-1.27996077193467)); #581086=CARTESIAN_POINT('Ctrl Pts',(-1.03392633568465,2.12037847850442, -1.27978256221778)); #581087=CARTESIAN_POINT('Ctrl Pts',(-1.03254410377898,2.12398491405868, -1.27970805637246)); #581088=CARTESIAN_POINT('Ctrl Pts',(-1.03105372463618,2.1275399176023,-1.27960866550745)); #581089=CARTESIAN_POINT('Ctrl Pts',(-1.02945505727925,2.13102635279782, -1.27947449418591)); #581090=CARTESIAN_POINT('Ctrl Pts',(-1.02622838314332,2.13806320772776, -1.27920368917376)); #581091=CARTESIAN_POINT('Ctrl Pts',(-1.02247702092014,2.14499708207631, -1.27878734849975)); #581092=CARTESIAN_POINT('Ctrl Pts',(-1.01819360051821,2.15150056851751, -1.27809880264105)); #581093=CARTESIAN_POINT('Ctrl Pts',(-1.01605379222947,2.15474942407844, -1.27775483543789)); #581094=CARTESIAN_POINT('Ctrl Pts',(-1.0137815519648,2.1578908736773,-1.27734226625733)); #581095=CARTESIAN_POINT('Ctrl Pts',(-1.01137880699386,2.16088410033241, -1.27684121539568)); #581096=CARTESIAN_POINT('Ctrl Pts',(-1.00636878232161,2.16712535338804, -1.27579646149575)); #581097=CARTESIAN_POINT('Ctrl Pts',(-1.00104954892794,2.17240721681797, -1.27441590488398)); #581098=CARTESIAN_POINT('Ctrl Pts',(-0.995595651439929,2.1766918666032, -1.27264163639946)); #581099=CARTESIAN_POINT('Ctrl Pts',(-0.993636559623551,2.17823095353117, -1.27200430229559)); #581100=CARTESIAN_POINT('Ctrl Pts',(-0.99166018099191,2.17964136851825, -1.271316632473)); #581101=CARTESIAN_POINT('Ctrl Pts',(-0.989674767539365,2.18092135844651, -1.27057762996985)); #581102=CARTESIAN_POINT('Ctrl Pts',(-0.986008381216332,2.18328506639892, -1.26921294259616)); #581103=CARTESIAN_POINT('Ctrl Pts',(-0.982196225386988,2.18527602209233, -1.26763029430718)); #581104=CARTESIAN_POINT('Ctrl Pts',(-0.97829287058369,2.18678722642523, -1.26579912246231)); #581105=CARTESIAN_POINT('Ctrl Pts',(-0.974551684280639,2.1882356463707, -1.26404402835229)); #581106=CARTESIAN_POINT('Ctrl Pts',(-0.970770511660205,2.18922629169909, -1.26208071648967)); #581107=CARTESIAN_POINT('Ctrl Pts',(-0.967000000000004,2.18969094980972, -1.25990381056767)); #581108=CARTESIAN_POINT('Ctrl Pts',(-1.042,2.09385164807135,-1.58)); #581109=CARTESIAN_POINT('Ctrl Pts',(-1.0275111407376,2.11469416025172,-1.58)); #581110=CARTESIAN_POINT('Ctrl Pts',(-1.00418298214832,2.13372839351959, -1.57937830321256)); #581111=CARTESIAN_POINT('Ctrl Pts',(-0.975198276914128,2.14909962190338, -1.57501822775026)); #581112=CARTESIAN_POINT('Ctrl Pts',(-0.967266427231351,2.1533060567108, -1.5738250652567)); #581113=CARTESIAN_POINT('Ctrl Pts',(-0.958910971221718,2.15723834660872, -1.57235217119091)); #581114=CARTESIAN_POINT('Ctrl Pts',(-0.950197039288124,2.16085892119736, -1.57053628273336)); #581115=CARTESIAN_POINT('Ctrl Pts',(-0.922986587650753,2.17216466359864, -1.56486592112973)); #581116=CARTESIAN_POINT('Ctrl Pts',(-0.892510451045024,2.1804292183697, -1.55555152507457)); #581117=CARTESIAN_POINT('Ctrl Pts',(-0.861981770484315,2.18520820134402, -1.54230372798919)); #581118=CARTESIAN_POINT('Ctrl Pts',(-0.846872940794248,2.18757334908458, -1.5357473126363)); #581119=CARTESIAN_POINT('Ctrl Pts',(-0.831750656035904,2.18908501860975, -1.52822772693605)); #581120=CARTESIAN_POINT('Ctrl Pts',(-0.817,2.18969094980972,-1.519711431703)); #581121=CARTESIAN_POINT('Origin',(-1.042,2.69,-1.13)); #581122=CARTESIAN_POINT('Origin',(-1.042,2.69,-1.13)); #581123=CARTESIAN_POINT('Origin',(-4.47,3.33,-0.730000000000001)); #581124=CARTESIAN_POINT('',(-4.62,2.82,-0.730000000000001)); #581125=CARTESIAN_POINT('Origin',(-4.47,2.82,-0.730000000000001)); #581126=CARTESIAN_POINT('',(-4.62,3.33,-0.730000000000001)); #581127=CARTESIAN_POINT('',(-4.62,3.33,-0.730000000000001)); #581128=CARTESIAN_POINT('Origin',(-4.47,3.33,-0.730000000000001)); #581129=CARTESIAN_POINT('Origin',(-4.47,3.33,-0.530000000000001)); #581130=CARTESIAN_POINT('',(-4.62,3.33,-0.530000000000001)); #581131=CARTESIAN_POINT('',(-4.62,2.82,-0.530000000000001)); #581132=CARTESIAN_POINT('',(-4.62,3.33,-0.530000000000001)); #581133=CARTESIAN_POINT('Origin',(-4.47,2.82,-0.530000000000001)); #581134=CARTESIAN_POINT('Origin',(-4.47,3.33,-0.530000000000001)); #581135=CARTESIAN_POINT('Origin',(-4.62,3.33,-0.530000000000001)); #581136=CARTESIAN_POINT('',(-4.62,2.82,-0.530000000000001)); #581137=CARTESIAN_POINT('',(-4.62,3.33,-0.530000000000001)); #581138=CARTESIAN_POINT('Origin',(-4.47,3.33,-0.730000000000001)); #581139=CARTESIAN_POINT('Origin',(-4.47,2.82,-0.530000000000001)); #581140=CARTESIAN_POINT('Origin',(-4.47,2.82,-0.530000000000001)); #581141=CARTESIAN_POINT('Origin',(-4.47,2.82,-0.730000000000001)); #581142=CARTESIAN_POINT('Origin',(-4.47,2.82,-0.730000000000001)); #581143=CARTESIAN_POINT('Origin',(-4.47,2.82,-0.730000000000001)); #581144=CARTESIAN_POINT('Origin',(-4.17,3.28,-0.530000000000001)); #581145=CARTESIAN_POINT('',(-4.32,3.28,-0.730000000000002)); #581146=CARTESIAN_POINT('',(-4.17,3.28,-0.730000000000002)); #581147=CARTESIAN_POINT('',(-4.32,3.28,-0.530000000000001)); #581148=CARTESIAN_POINT('',(-4.17,3.28,-0.530000000000001)); #581149=CARTESIAN_POINT('',(-4.32,3.28,-0.530000000000001)); #581150=CARTESIAN_POINT('Origin',(-4.32,3.28,-0.530000000000001)); #581151=CARTESIAN_POINT('',(-4.32,2.87,-0.730000000000002)); #581152=CARTESIAN_POINT('',(-4.32,3.28,-0.730000000000002)); #581153=CARTESIAN_POINT('',(-4.32,2.87,-0.530000000000001)); #581154=CARTESIAN_POINT('',(-4.32,3.28,-0.530000000000001)); #581155=CARTESIAN_POINT('',(-4.32,2.87,-0.530000000000001)); #581156=CARTESIAN_POINT('Origin',(-4.32,2.87,-0.530000000000001)); #581157=CARTESIAN_POINT('',(-4.32,2.87,-0.730000000000002)); #581158=CARTESIAN_POINT('',(-4.32,2.87,-0.530000000000001)); #581159=CARTESIAN_POINT('Origin',(3.24531401774049E-17,0.,-0.530000000000001)); #581160=CARTESIAN_POINT('Origin',(4.46996081688785E-17,0.,-0.730000000000002)); #581161=CARTESIAN_POINT('Origin',(-4.48997740356225,2.24112828537193,-0.178871714628076)); #581162=CARTESIAN_POINT('',(-4.63997740356225,2.24112828537193,-1.07887171462808)); #581163=CARTESIAN_POINT('',(-4.78997740356225,2.24112828537193,-1.07887171462808)); #581164=CARTESIAN_POINT('',(-4.48997740356225,2.24112828537193,-1.07887171462808)); #581165=CARTESIAN_POINT('',(-4.63997740356225,2.24112828537193,-0.178871714628076)); #581166=CARTESIAN_POINT('',(-4.63997740356225,2.24112828537193,-0.178871714628076)); #581167=CARTESIAN_POINT('',(-4.78997740356225,2.24112828537193,-0.178871714628076)); #581168=CARTESIAN_POINT('',(-4.48997740356225,2.24112828537193,-0.178871714628076)); #581169=CARTESIAN_POINT('',(-4.78997740356225,2.24112828537193,-0.178871714628076)); #581170=CARTESIAN_POINT('Origin',(-4.48997740356225,2.44112828537193,-0.178871714628076)); #581171=CARTESIAN_POINT('',(-4.53997740356225,2.44112828537193,0.0211282853719236)); #581172=CARTESIAN_POINT('Origin',(-4.53997740356225,2.44112828537193,-0.178871714628076)); #581173=CARTESIAN_POINT('',(-4.78997740356225,2.44112828537193,0.0211282853719236)); #581174=CARTESIAN_POINT('',(-4.48997740356225,2.44112828537193,0.0211282853719236)); #581175=CARTESIAN_POINT('Origin',(-4.78997740356225,2.44112828537193,-0.178871714628076)); #581176=CARTESIAN_POINT('Origin',(-4.48997740356225,3.192,-0.278871714628082)); #581177=CARTESIAN_POINT('',(-4.78997740356225,3.192,-0.278871714628076)); #581178=CARTESIAN_POINT('',(-4.78997740356225,2.904,-0.278871714628082)); #581179=CARTESIAN_POINT('',(-4.78997740356225,3.192,-0.278871714628082)); #581180=CARTESIAN_POINT('',(-4.48997740356225,3.192,-0.278871714628076)); #581181=CARTESIAN_POINT('',(-4.48997740356225,3.192,-0.278871714628076)); #581182=CARTESIAN_POINT('',(-4.48997740356225,2.904,-0.278871714628082)); #581183=CARTESIAN_POINT('',(-4.48997740356225,3.192,-0.278871714628082)); #581184=CARTESIAN_POINT('',(-4.48997740356225,2.904,-0.278871714628082)); #581185=CARTESIAN_POINT('Origin',(-4.48997740356225,2.904,-0.14685319610957)); #581186=CARTESIAN_POINT('',(-4.78997740356225,2.824,-0.251871714628079)); #581187=CARTESIAN_POINT('Origin',(-4.78997740356225,2.904,-0.14685319610957)); #581188=CARTESIAN_POINT('',(-4.48997740356225,2.824,-0.251871714628079)); #581189=CARTESIAN_POINT('Origin',(-4.48997740356225,2.904,-0.14685319610957)); #581190=CARTESIAN_POINT('',(-4.48997740356225,2.824,-0.251871714628079)); #581191=CARTESIAN_POINT('Origin',(-4.48997740356225,2.73430795522054,-0.369613285448516)); #581192=CARTESIAN_POINT('',(-4.78997740356225,2.617,-0.459871714628076)); #581193=CARTESIAN_POINT('Origin',(-4.78997740356225,2.73430795522054,-0.369613285448516)); #581194=CARTESIAN_POINT('',(-4.48997740356225,2.617,-0.459871714628076)); #581195=CARTESIAN_POINT('Origin',(-4.48997740356225,2.73430795522054,-0.369613285448516)); #581196=CARTESIAN_POINT('',(-4.48997740356225,2.617,-0.459871714628076)); #581197=CARTESIAN_POINT('Origin',(-4.48997740356225,2.52912795875345,-0.527481727920053)); #581198=CARTESIAN_POINT('',(-4.78997740356225,2.64,-0.527481727920053)); #581199=CARTESIAN_POINT('Origin',(-4.78997740356225,2.52912795875345,-0.527481727920053)); #581200=CARTESIAN_POINT('',(-4.48997740356225,2.64,-0.527481727920053)); #581201=CARTESIAN_POINT('Origin',(-4.48997740356225,2.52912795875345,-0.527481727920053)); #581202=CARTESIAN_POINT('',(-4.48997740356225,2.64,-0.527481727920053)); #581203=CARTESIAN_POINT('Origin',(-4.48997740356225,2.64,-0.527481727920053)); #581204=CARTESIAN_POINT('',(-4.78997740356225,2.64,-0.7302617013361)); #581205=CARTESIAN_POINT('',(-4.78997740356225,2.64,-0.527481727920053)); #581206=CARTESIAN_POINT('',(-4.48997740356225,2.64,-0.7302617013361)); #581207=CARTESIAN_POINT('',(-4.48997740356225,2.64,-0.527481727920053)); #581208=CARTESIAN_POINT('',(-4.48997740356225,2.64,-0.7302617013361)); #581209=CARTESIAN_POINT('Origin',(-4.48997740356225,2.52912795875345,-0.7302617013361)); #581210=CARTESIAN_POINT('',(-4.78997740356225,2.617,-0.797871714628077)); #581211=CARTESIAN_POINT('Origin',(-4.78997740356225,2.52912795875345,-0.7302617013361)); #581212=CARTESIAN_POINT('',(-4.48997740356225,2.617,-0.797871714628077)); #581213=CARTESIAN_POINT('Origin',(-4.48997740356225,2.52912795875345,-0.7302617013361)); #581214=CARTESIAN_POINT('',(-4.48997740356225,2.617,-0.797871714628077)); #581215=CARTESIAN_POINT('Origin',(-4.48997740356225,2.73430795522054,-0.888130143807637)); #581216=CARTESIAN_POINT('',(-4.78997740356225,2.824,-1.00587171462807)); #581217=CARTESIAN_POINT('Origin',(-4.78997740356225,2.73430795522054,-0.888130143807637)); #581218=CARTESIAN_POINT('',(-4.48997740356225,2.824,-1.00587171462807)); #581219=CARTESIAN_POINT('Origin',(-4.48997740356225,2.73430795522054,-0.888130143807637)); #581220=CARTESIAN_POINT('',(-4.48997740356225,2.824,-1.00587171462807)); #581221=CARTESIAN_POINT('Origin',(-4.48997740356225,2.904,-1.11089023314658)); #581222=CARTESIAN_POINT('',(-4.78997740356225,2.904,-0.978871714628071)); #581223=CARTESIAN_POINT('Origin',(-4.78997740356225,2.904,-1.11089023314658)); #581224=CARTESIAN_POINT('',(-4.48997740356225,2.904,-0.978871714628071)); #581225=CARTESIAN_POINT('Origin',(-4.48997740356225,2.904,-1.11089023314658)); #581226=CARTESIAN_POINT('',(-4.48997740356225,2.904,-0.978871714628071)); #581227=CARTESIAN_POINT('Origin',(-4.48997740356225,2.904,-0.978871714628071)); #581228=CARTESIAN_POINT('',(-4.78997740356225,3.192,-0.978871714628071)); #581229=CARTESIAN_POINT('',(-4.78997740356225,2.904,-0.978871714628071)); #581230=CARTESIAN_POINT('',(-4.48997740356225,3.192,-0.978871714628076)); #581231=CARTESIAN_POINT('',(-4.48997740356225,2.904,-0.978871714628071)); #581232=CARTESIAN_POINT('',(-4.48997740356225,3.192,-0.978871714628071)); #581233=CARTESIAN_POINT('Origin',(-4.48997740356225,3.192,-0.828871714628076)); #581234=CARTESIAN_POINT('',(-4.78997740356225,3.342,-0.828871714628076)); #581235=CARTESIAN_POINT('Origin',(-4.78997740356225,3.192,-0.828871714628076)); #581236=CARTESIAN_POINT('',(-4.48997740356225,3.342,-0.828871714628076)); #581237=CARTESIAN_POINT('Origin',(-4.48997740356225,3.192,-0.828871714628076)); #581238=CARTESIAN_POINT('',(-4.48997740356225,3.342,-0.828871714628076)); #581239=CARTESIAN_POINT('Origin',(-4.48997740356225,3.342,-0.828871714628076)); #581240=CARTESIAN_POINT('',(-4.78997740356225,3.342,-0.428871714628076)); #581241=CARTESIAN_POINT('',(-4.78997740356225,3.342,-0.828871714628076)); #581242=CARTESIAN_POINT('',(-4.48997740356225,3.342,-0.428871714628076)); #581243=CARTESIAN_POINT('',(-4.48997740356225,3.342,-0.828871714628076)); #581244=CARTESIAN_POINT('',(-4.48997740356225,3.342,-0.428871714628076)); #581245=CARTESIAN_POINT('Origin',(-4.48997740356225,3.192,-0.428871714628076)); #581246=CARTESIAN_POINT('Origin',(-4.78997740356225,3.192,-0.428871714628076)); #581247=CARTESIAN_POINT('Origin',(-4.48997740356225,3.192,-0.428871714628076)); #581248=CARTESIAN_POINT('Origin',(4.48997740356225,2.904,-0.278871714628082)); #581249=CARTESIAN_POINT('',(4.78997740356225,2.904,-0.278871714628082)); #581250=CARTESIAN_POINT('',(4.78997740356225,3.192,-0.278871714628082)); #581251=CARTESIAN_POINT('',(4.78997740356225,2.904,-0.278871714628082)); #581252=CARTESIAN_POINT('',(4.48997740356225,2.904,-0.278871714628082)); #581253=CARTESIAN_POINT('',(4.48997740356225,2.904,-0.278871714628082)); #581254=CARTESIAN_POINT('',(4.48997740356225,3.192,-0.278871714628082)); #581255=CARTESIAN_POINT('',(4.48997740356225,2.904,-0.278871714628082)); #581256=CARTESIAN_POINT('',(4.48997740356225,3.192,-0.278871714628082)); #581257=CARTESIAN_POINT('Origin',(4.48997740356225,2.904,-0.14685319610957)); #581258=CARTESIAN_POINT('',(4.78997740356225,2.824,-0.251871714628079)); #581259=CARTESIAN_POINT('Origin',(4.78997740356225,2.904,-0.14685319610957)); #581260=CARTESIAN_POINT('',(4.48997740356225,2.824,-0.251871714628079)); #581261=CARTESIAN_POINT('',(4.48997740356225,2.824,-0.251871714628079)); #581262=CARTESIAN_POINT('Origin',(4.48997740356225,2.904,-0.14685319610957)); #581263=CARTESIAN_POINT('Origin',(4.48997740356225,2.73430795522054,-0.369613285448516)); #581264=CARTESIAN_POINT('',(4.78997740356225,2.617,-0.459871714628076)); #581265=CARTESIAN_POINT('Origin',(4.78997740356225,2.73430795522054,-0.369613285448516)); #581266=CARTESIAN_POINT('',(4.48997740356225,2.617,-0.459871714628076)); #581267=CARTESIAN_POINT('',(4.48997740356225,2.617,-0.459871714628076)); #581268=CARTESIAN_POINT('Origin',(4.48997740356225,2.73430795522054,-0.369613285448516)); #581269=CARTESIAN_POINT('Origin',(4.48997740356225,2.52912795875345,-0.527481727920053)); #581270=CARTESIAN_POINT('',(4.78997740356225,2.64,-0.527481727920053)); #581271=CARTESIAN_POINT('Origin',(4.78997740356225,2.52912795875345,-0.527481727920053)); #581272=CARTESIAN_POINT('',(4.48997740356225,2.64,-0.527481727920053)); #581273=CARTESIAN_POINT('',(4.48997740356225,2.64,-0.527481727920053)); #581274=CARTESIAN_POINT('Origin',(4.48997740356225,2.52912795875345,-0.527481727920053)); #581275=CARTESIAN_POINT('Origin',(4.48997740356225,2.64,-0.7302617013361)); #581276=CARTESIAN_POINT('',(4.78997740356225,2.64,-0.7302617013361)); #581277=CARTESIAN_POINT('',(4.78997740356225,2.64,-0.7302617013361)); #581278=CARTESIAN_POINT('',(4.48997740356225,2.64,-0.7302617013361)); #581279=CARTESIAN_POINT('',(4.48997740356225,2.64,-0.7302617013361)); #581280=CARTESIAN_POINT('',(4.48997740356225,2.64,-0.7302617013361)); #581281=CARTESIAN_POINT('Origin',(4.48997740356225,2.52912795875345,-0.7302617013361)); #581282=CARTESIAN_POINT('',(4.78997740356225,2.617,-0.797871714628077)); #581283=CARTESIAN_POINT('Origin',(4.78997740356225,2.52912795875345,-0.7302617013361)); #581284=CARTESIAN_POINT('',(4.48997740356225,2.617,-0.797871714628077)); #581285=CARTESIAN_POINT('',(4.48997740356225,2.617,-0.797871714628077)); #581286=CARTESIAN_POINT('Origin',(4.48997740356225,2.52912795875345,-0.7302617013361)); #581287=CARTESIAN_POINT('Origin',(4.48997740356225,2.73430795522054,-0.888130143807637)); #581288=CARTESIAN_POINT('',(4.78997740356225,2.824,-1.00587171462807)); #581289=CARTESIAN_POINT('Origin',(4.78997740356225,2.73430795522054,-0.888130143807637)); #581290=CARTESIAN_POINT('',(4.48997740356225,2.824,-1.00587171462807)); #581291=CARTESIAN_POINT('',(4.48997740356225,2.824,-1.00587171462807)); #581292=CARTESIAN_POINT('Origin',(4.48997740356225,2.73430795522054,-0.888130143807637)); #581293=CARTESIAN_POINT('Origin',(4.48997740356225,2.904,-1.11089023314658)); #581294=CARTESIAN_POINT('',(4.78997740356225,2.904,-0.978871714628071)); #581295=CARTESIAN_POINT('Origin',(4.78997740356225,2.904,-1.11089023314658)); #581296=CARTESIAN_POINT('',(4.48997740356225,2.904,-0.978871714628071)); #581297=CARTESIAN_POINT('',(4.48997740356225,2.904,-0.978871714628071)); #581298=CARTESIAN_POINT('Origin',(4.48997740356225,2.904,-1.11089023314658)); #581299=CARTESIAN_POINT('Origin',(4.48997740356225,3.192,-0.978871714628071)); #581300=CARTESIAN_POINT('',(4.78997740356225,3.192,-0.978871714628076)); #581301=CARTESIAN_POINT('',(4.78997740356225,3.192,-0.978871714628071)); #581302=CARTESIAN_POINT('',(4.48997740356225,3.192,-0.978871714628076)); #581303=CARTESIAN_POINT('',(4.48997740356225,3.192,-0.978871714628076)); #581304=CARTESIAN_POINT('',(4.48997740356225,3.192,-0.978871714628071)); #581305=CARTESIAN_POINT('Origin',(4.48997740356225,3.192,-0.828871714628076)); #581306=CARTESIAN_POINT('',(4.78997740356225,3.342,-0.828871714628076)); #581307=CARTESIAN_POINT('Origin',(4.78997740356225,3.192,-0.828871714628076)); #581308=CARTESIAN_POINT('',(4.48997740356225,3.342,-0.828871714628076)); #581309=CARTESIAN_POINT('',(4.48997740356225,3.342,-0.828871714628076)); #581310=CARTESIAN_POINT('Origin',(4.48997740356225,3.192,-0.828871714628076)); #581311=CARTESIAN_POINT('Origin',(4.48997740356225,3.342,-0.428871714628076)); #581312=CARTESIAN_POINT('',(4.78997740356225,3.342,-0.428871714628076)); #581313=CARTESIAN_POINT('',(4.78997740356225,3.342,-0.428871714628076)); #581314=CARTESIAN_POINT('',(4.48997740356225,3.342,-0.428871714628076)); #581315=CARTESIAN_POINT('',(4.48997740356225,3.342,-0.428871714628076)); #581316=CARTESIAN_POINT('',(4.48997740356225,3.342,-0.428871714628076)); #581317=CARTESIAN_POINT('Origin',(4.48997740356225,3.192,-0.428871714628076)); #581318=CARTESIAN_POINT('Origin',(4.78997740356225,3.192,-0.428871714628076)); #581319=CARTESIAN_POINT('Origin',(4.48997740356225,3.192,-0.428871714628076)); #581320=CARTESIAN_POINT('Origin',(4.48997740356225,2.24112828537193,-0.178871714628076)); #581321=CARTESIAN_POINT('',(4.63997740356225,2.24112828537193,-0.178871714628076)); #581322=CARTESIAN_POINT('',(4.78997740356225,2.24112828537193,-0.178871714628076)); #581323=CARTESIAN_POINT('',(4.48997740356225,2.24112828537193,-0.178871714628076)); #581324=CARTESIAN_POINT('',(4.63997740356225,2.24112828537193,-1.07887171462808)); #581325=CARTESIAN_POINT('',(4.63997740356225,2.24112828537193,-0.178871714628076)); #581326=CARTESIAN_POINT('',(4.78997740356225,2.24112828537193,-1.07887171462808)); #581327=CARTESIAN_POINT('',(4.48997740356225,2.24112828537193,-1.07887171462808)); #581328=CARTESIAN_POINT('',(4.78997740356225,2.24112828537193,-0.178871714628076)); #581329=CARTESIAN_POINT('Origin',(4.48997740356225,2.44112828537193,-0.178871714628076)); #581330=CARTESIAN_POINT('',(4.53997740356225,2.44112828537193,0.0211282853719236)); #581331=CARTESIAN_POINT('',(4.78997740356225,2.44112828537193,0.0211282853719236)); #581332=CARTESIAN_POINT('',(4.48997740356225,2.44112828537193,0.0211282853719236)); #581333=CARTESIAN_POINT('Origin',(4.53997740356225,2.44112828537193,-0.178871714628076)); #581334=CARTESIAN_POINT('Origin',(4.78997740356225,2.44112828537193,-0.178871714628076)); #581335=CARTESIAN_POINT('Ctrl Pts',(-4.37612383502704,4.03854591235721, 0.168524745334394)); #581336=CARTESIAN_POINT('Ctrl Pts',(-4.37407970344023,4.03871064033772, 0.233892393968742)); #581337=CARTESIAN_POINT('Ctrl Pts',(-4.36199530575025,4.04,0.299140710028597)); #581338=CARTESIAN_POINT('Ctrl Pts',(-4.33997740356225,4.04,0.363375606739761)); #581339=CARTESIAN_POINT('Ctrl Pts',(-4.35202621405051,3.73951530411907, 0.168524745334394)); #581340=CARTESIAN_POINT('Ctrl Pts',(-4.35134489242778,3.73957020896752, 0.233887062607087)); #581341=CARTESIAN_POINT('Ctrl Pts',(-4.3473157973903,3.74,0.299148647393757)); #581342=CARTESIAN_POINT('Ctrl Pts',(-4.33997740356225,3.74,0.363375606739761)); #581343=CARTESIAN_POINT('',(-4.35202621405051,3.73951530411907,0.168524745334394)); #581344=CARTESIAN_POINT('',(-4.37612383502704,4.03854591235721,0.168524745334394)); #581345=CARTESIAN_POINT('',(-4.36407502453878,3.88903060823814,0.168524745334394)); #581346=CARTESIAN_POINT('',(-4.33997740356225,3.74,0.363375606739761)); #581347=CARTESIAN_POINT('Ctrl Pts',(-4.35202621405051,3.73951530411907, 0.168524745334393)); #581348=CARTESIAN_POINT('Ctrl Pts',(-4.35134490386219,3.73957020804607, 0.233885965651727)); #581349=CARTESIAN_POINT('Ctrl Pts',(-4.34731569400471,3.74,0.299149552243306)); #581350=CARTESIAN_POINT('Ctrl Pts',(-4.33997740356225,3.74,0.36337560673976)); #581351=CARTESIAN_POINT('',(-4.33997740356225,3.83899180341332,0.363375606739761)); #581352=CARTESIAN_POINT('',(-4.33997740356225,4.04,0.363375606739761)); #581353=CARTESIAN_POINT('',(-4.33997740356225,4.04,0.363375606739761)); #581354=CARTESIAN_POINT('',(-4.33997740356225,4.04,0.363375606739761)); #581355=CARTESIAN_POINT('Ctrl Pts',(-4.37612383502704,4.03854591235721, 0.168524745334394)); #581356=CARTESIAN_POINT('Ctrl Pts',(-4.3754186461376,4.03860274056846,0.191075416652656)); #581357=CARTESIAN_POINT('Ctrl Pts',(-4.37351774498315,4.03875878375092, 0.213533092953359)); #581358=CARTESIAN_POINT('Ctrl Pts',(-4.3704587639851,4.03896646496901,0.235847487530113)); #581359=CARTESIAN_POINT('Ctrl Pts',(-4.36905948221684,4.03906146540945, 0.246054849236811)); #581360=CARTESIAN_POINT('Ctrl Pts',(-4.36741783208832,4.03916652883701, 0.256232229841575)); #581361=CARTESIAN_POINT('Ctrl Pts',(-4.36553732700447,4.03927351392401, 0.266374816094833)); #581362=CARTESIAN_POINT('Ctrl Pts',(-4.36107792198631,4.03952721695196, 0.290426811711962)); #581363=CARTESIAN_POINT('Ctrl Pts',(-4.35529697886365,4.03979402409131, 0.314170360381684)); #581364=CARTESIAN_POINT('Ctrl Pts',(-4.34827296835175,4.03992353084143, 0.337568132741318)); #581365=CARTESIAN_POINT('Ctrl Pts',(-4.34567612375795,4.03997141073902, 0.346218515390418)); #581366=CARTESIAN_POINT('Ctrl Pts',(-4.34290946247777,4.04,0.354821635522089)); #581367=CARTESIAN_POINT('Ctrl Pts',(-4.33997740356225,4.04,0.36337560673976)); #581368=CARTESIAN_POINT('Ctrl Pts',(-4.62388877933813,3.9391336860073,0.0211282853719236)); #581369=CARTESIAN_POINT('Ctrl Pts',(-4.56889473649018,3.98385418990079, 0.0211282853719236)); #581370=CARTESIAN_POINT('Ctrl Pts',(-4.50423951239333,4.01192856055822, 0.0369239289767804)); #581371=CARTESIAN_POINT('Ctrl Pts',(-4.40750169157324,4.03771220794275, 0.0913675464565018)); #581372=CARTESIAN_POINT('Ctrl Pts',(-4.37734075124306,4.03844784618965, 0.129609955239916)); #581373=CARTESIAN_POINT('Ctrl Pts',(-4.37612383502704,4.03854591235721, 0.168524745334394)); #581374=CARTESIAN_POINT('Ctrl Pts',(-4.43461452882088,3.70637789533577, 0.0211282853719236)); #581375=CARTESIAN_POINT('Ctrl Pts',(-4.41628318120489,3.72128472996693, 0.0211282853719236)); #581376=CARTESIAN_POINT('Ctrl Pts',(-4.39473143983928,3.73064285351941, 0.0369239289767804)); #581377=CARTESIAN_POINT('Ctrl Pts',(-4.36248549956591,3.73923740264758, 0.0913675464565018)); #581378=CARTESIAN_POINT('Ctrl Pts',(-4.35243203566747,3.73948260065916, 0.129592410884706)); #581379=CARTESIAN_POINT('Ctrl Pts',(-4.35202621405051,3.73951530411907, 0.168524745334394)); #581380=CARTESIAN_POINT('',(-4.43461452882088,3.70637789533577,0.0211282853719236)); #581381=CARTESIAN_POINT('',(-4.62388877933813,3.9391336860073,0.0211282853719236)); #581382=CARTESIAN_POINT('',(-4.43461452882088,3.70637789533577,0.0211282853719236)); #581383=CARTESIAN_POINT('Ctrl Pts',(-4.43461452882088,3.70637789533577, 0.0211282853719235)); #581384=CARTESIAN_POINT('Ctrl Pts',(-4.42966961951917,3.71039903697636, 0.0211282853719235)); #581385=CARTESIAN_POINT('Ctrl Pts',(-4.42450135098483,3.7140726105889,0.0222793188185634)); #581386=CARTESIAN_POINT('Ctrl Pts',(-4.41924669914345,3.71734354627566, 0.0245100296415135)); #581387=CARTESIAN_POINT('Ctrl Pts',(-4.41662835759187,3.71897342149474, 0.0256215709983148)); #581388=CARTESIAN_POINT('Ctrl Pts',(-4.41398895501429,3.72050406904983, 0.0270011888302481)); #581389=CARTESIAN_POINT('Ctrl Pts',(-4.41134709935778,3.72193318961525, 0.0286400548190804)); #581390=CARTESIAN_POINT('Ctrl Pts',(-4.40617158867834,3.72473289925507, 0.031850664909188)); #581391=CARTESIAN_POINT('Ctrl Pts',(-4.40098972459307,3.72713727311113, 0.0360562379982965)); #581392=CARTESIAN_POINT('Ctrl Pts',(-4.39598237983903,3.72915258758729, 0.0411790503856035)); #581393=CARTESIAN_POINT('Ctrl Pts',(-4.39253875219596,3.7305385502043,0.0447020868877306)); #581394=CARTESIAN_POINT('Ctrl Pts',(-4.3891779466139,3.7317418285714,0.0486589338675648)); #581395=CARTESIAN_POINT('Ctrl Pts',(-4.38595855654957,3.73277861734152, 0.0530243111808961)); #581396=CARTESIAN_POINT('Ctrl Pts',(-4.3834098151445,3.73359942700234,0.0564803129964262)); #581397=CARTESIAN_POINT('Ctrl Pts',(-4.38095020748312,3.7343159819048,0.0601919787355128)); #581398=CARTESIAN_POINT('Ctrl Pts',(-4.37860846970259,3.7349401280835,0.0641457377166406)); #581399=CARTESIAN_POINT('Ctrl Pts',(-4.37347502186306,3.73630835209184, 0.0728129829003243)); #581400=CARTESIAN_POINT('Ctrl Pts',(-4.36894436109083,3.737226679877,0.0826020074058987)); #581401=CARTESIAN_POINT('Ctrl Pts',(-4.36515443916326,3.73787196109589, 0.0931699622575064)); #581402=CARTESIAN_POINT('Ctrl Pts',(-4.36274233688974,3.73828265142801, 0.0998959551436777)); #581403=CARTESIAN_POINT('Ctrl Pts',(-4.36062928887694,3.73858243962108, 0.106937469947306)); #581404=CARTESIAN_POINT('Ctrl Pts',(-4.35884310531781,3.73880888850223, 0.114206117465014)); #581405=CARTESIAN_POINT('Ctrl Pts',(-4.35635869616925,3.739123857067,0.124316105488608)); #581406=CARTESIAN_POINT('Ctrl Pts',(-4.35450796923164,3.73929896001742, 0.134864226414844)); #581407=CARTESIAN_POINT('Ctrl Pts',(-4.35336502929537,3.73940137709282, 0.145611915556029)); #581408=CARTESIAN_POINT('Ctrl Pts',(-4.35256039185654,3.739473479406,0.153178361341629)); #581409=CARTESIAN_POINT('Ctrl Pts',(-4.35210627929409,3.73950885199731, 0.160843718226532)); #581410=CARTESIAN_POINT('Ctrl Pts',(-4.35202621405051,3.73951530411907, 0.168524745334394)); #581411=CARTESIAN_POINT('Ctrl Pts',(-4.62388877933812,3.9391336860073,0.0211282853719236)); #581412=CARTESIAN_POINT('Ctrl Pts',(-4.60487390506523,3.95459635641519, 0.021128285371924)); #581413=CARTESIAN_POINT('Ctrl Pts',(-4.58462662124424,3.96812683567607, 0.0230186242363753)); #581414=CARTESIAN_POINT('Ctrl Pts',(-4.56424027112047,3.97973241443818, 0.0266504581612157)); #581415=CARTESIAN_POINT('Ctrl Pts',(-4.54058217008687,3.99320054155463, 0.0308651554174436)); #581416=CARTESIAN_POINT('Ctrl Pts',(-4.51673808624099,4.0040757312249,0.0374255639634415)); #581417=CARTESIAN_POINT('Ctrl Pts',(-4.49447626466564,4.01240146017952, 0.0460637631787654)); #581418=CARTESIAN_POINT('Ctrl Pts',(-4.48088095116448,4.01748598998229, 0.051339118837346)); #581419=CARTESIAN_POINT('Ctrl Pts',(-4.46787683226017,4.02162034929774, 0.0573886844459887)); #581420=CARTESIAN_POINT('Ctrl Pts',(-4.45587060198328,4.02482038425048, 0.0641457377166415)); #581421=CARTESIAN_POINT('Ctrl Pts',(-4.43576526471207,4.03017908390232, 0.0754609325640683)); #581422=CARTESIAN_POINT('Ctrl Pts',(-4.41879355051275,4.03343969476473, 0.0886908903166324)); #581423=CARTESIAN_POINT('Ctrl Pts',(-4.40575294046099,4.03542434644802, 0.103072128225935)); #581424=CARTESIAN_POINT('Ctrl Pts',(-4.3937581899072,4.0372498286749,0.116299988018693)); #581425=CARTESIAN_POINT('Ctrl Pts',(-4.38508985936361,4.03799717717276, 0.130500635815576)); #581426=CARTESIAN_POINT('Ctrl Pts',(-4.38032767660626,4.03831271613667, 0.145073893368756)); #581427=CARTESIAN_POINT('Ctrl Pts',(-4.37779794257926,4.03848033457858, 0.152815399185502)); #581428=CARTESIAN_POINT('Ctrl Pts',(-4.37636971171388,4.03852609818755, 0.160662051476301)); #581429=CARTESIAN_POINT('Ctrl Pts',(-4.37612383502704,4.03854591235721, 0.168524745334394)); #581430=CARTESIAN_POINT('Origin',(-4.48997740356225,3.81112828537193,0.0211282853719236)); #581431=CARTESIAN_POINT('',(-4.48997740356225,2.54112828537193,0.0211282853719236)); #581432=CARTESIAN_POINT('',(-3.98197740356225,3.55712828537193,0.0211282853719236)); #581433=CARTESIAN_POINT('',(-4.48997740356225,3.59,0.0211282853719236)); #581434=CARTESIAN_POINT('',(-4.48997740356225,3.81112828537193,0.0211282853719236)); #581435=CARTESIAN_POINT('Origin',(-4.33997740356225,3.59,0.0211282853719236)); #581436=CARTESIAN_POINT('',(-4.78997740356225,3.59,0.0211282853719236)); #581437=CARTESIAN_POINT('Origin',(-4.33997740356225,3.59,0.0211282853719236)); #581438=CARTESIAN_POINT('',(-4.78997740356225,3.81112828537193,0.0211282853719236)); #581439=CARTESIAN_POINT('Origin',(-4.78997740356225,11.5111056889342,1.62112828537193)); #581440=CARTESIAN_POINT('',(-4.78997740356225,3.59,-1.27887171462808)); #581441=CARTESIAN_POINT('',(-4.78997740356225,3.59,0.0224675978987383)); #581442=CARTESIAN_POINT('',(-4.78997740356225,2.44112828537193,-1.27887171462808)); #581443=CARTESIAN_POINT('',(-4.78997740356225,2.44112828537193,-1.27887171462808)); #581444=CARTESIAN_POINT('Origin',(-4.78997740356225,2.44112828537193,-1.07887171462808)); #581445=CARTESIAN_POINT('Origin',(-4.48997740356225,2.44112828537193,-1.07887171462808)); #581446=CARTESIAN_POINT('',(-4.53997740356225,2.44112828537193,-1.27887171462808)); #581447=CARTESIAN_POINT('',(-4.48997740356225,2.44112828537193,-1.27887171462808)); #581448=CARTESIAN_POINT('Origin',(-4.53997740356225,2.44112828537193,-1.07887171462808)); #581449=CARTESIAN_POINT('Origin',(-4.63997740356225,2.24112828537193,-0.178871714628076)); #581450=CARTESIAN_POINT('',(-4.48997740356225,2.54112828537193,-1.27887171462808)); #581451=CARTESIAN_POINT('',(-4.28842871771102,2.94422565707439,-1.27887171462808)); #581452=CARTESIAN_POINT('',(-4.48997740356225,2.54112828537193,1.62112828537193)); #581453=CARTESIAN_POINT('Origin',(-4.48997740356225,11.5111056889342,1.62112828537193)); #581454=CARTESIAN_POINT('',(-4.48997740356225,3.59,-1.27887171462808)); #581455=CARTESIAN_POINT('',(-4.48997740356225,2.44112828537193,-1.27887171462808)); #581456=CARTESIAN_POINT('',(-4.48997740356225,3.59,0.0224675978987383)); #581457=CARTESIAN_POINT('Origin',(4.48997740356225,5.13110568893418,1.62112828537193)); #581458=CARTESIAN_POINT('',(4.48997740356225,2.54112828537193,-1.27887171462808)); #581459=CARTESIAN_POINT('',(4.48997740356225,3.59,-1.27887171462808)); #581460=CARTESIAN_POINT('',(4.48997740356225,2.44112828537193,-1.27887171462808)); #581461=CARTESIAN_POINT('',(4.48997740356225,2.54112828537193,0.0211282853719236)); #581462=CARTESIAN_POINT('',(4.48997740356225,2.54112828537193,1.62112828537193)); #581463=CARTESIAN_POINT('',(4.48997740356225,3.59,0.0211282853719236)); #581464=CARTESIAN_POINT('',(4.48997740356225,3.81112828537193,0.0211282853719236)); #581465=CARTESIAN_POINT('',(4.48997740356225,3.59,-1.27887171462808)); #581466=CARTESIAN_POINT('Origin',(4.63997740356225,2.24112828537193,-0.178871714628076)); #581467=CARTESIAN_POINT('',(3.98197740356225,3.55712828537193,0.0211282853719236)); #581468=CARTESIAN_POINT('',(4.53997740356225,2.44112828537193,-1.27887171462808)); #581469=CARTESIAN_POINT('',(4.28842871771102,2.94422565707438,-1.27887171462808)); #581470=CARTESIAN_POINT('Origin',(4.53997740356225,2.44112828537193,-1.07887171462808)); #581471=CARTESIAN_POINT('Origin',(4.48997740356225,2.44112828537193,-1.07887171462808)); #581472=CARTESIAN_POINT('',(4.78997740356225,2.44112828537193,-1.27887171462808)); #581473=CARTESIAN_POINT('',(4.48997740356225,2.44112828537193,-1.27887171462808)); #581474=CARTESIAN_POINT('Origin',(4.78997740356225,2.44112828537193,-1.07887171462808)); #581475=CARTESIAN_POINT('Ctrl Pts',(4.37612383502704,4.03854591235721,0.168524745334394)); #581476=CARTESIAN_POINT('Ctrl Pts',(4.37734075124306,4.03844784618965,0.129609955239916)); #581477=CARTESIAN_POINT('Ctrl Pts',(4.40750169157324,4.03771220794275,0.0913675464565018)); #581478=CARTESIAN_POINT('Ctrl Pts',(4.50423951239333,4.01192856055822,0.0369239289767804)); #581479=CARTESIAN_POINT('Ctrl Pts',(4.56889473649018,3.98385418990079,0.0211282853719236)); #581480=CARTESIAN_POINT('Ctrl Pts',(4.62388877933813,3.9391336860073,0.0211282853719236)); #581481=CARTESIAN_POINT('Ctrl Pts',(4.35202621405051,3.73951530411907,0.168524745334394)); #581482=CARTESIAN_POINT('Ctrl Pts',(4.35243203566747,3.73948260065916,0.129592410884709)); #581483=CARTESIAN_POINT('Ctrl Pts',(4.36248549956591,3.73923740264758,0.0913675464565018)); #581484=CARTESIAN_POINT('Ctrl Pts',(4.39473143983928,3.73064285351941,0.0369239289767804)); #581485=CARTESIAN_POINT('Ctrl Pts',(4.41628318120489,3.72128472996693,0.0211282853719236)); #581486=CARTESIAN_POINT('Ctrl Pts',(4.43461452882088,3.70637789533577,0.0211282853719236)); #581487=CARTESIAN_POINT('',(4.35202621405051,3.73951530411907,0.168524745334394)); #581488=CARTESIAN_POINT('',(4.37612383502704,4.03854591235721,0.168524745334394)); #581489=CARTESIAN_POINT('',(4.36407502453878,3.88903060823814,0.168524745334394)); #581490=CARTESIAN_POINT('',(4.43461452882088,3.70637789533577,0.0211282853719236)); #581491=CARTESIAN_POINT('Ctrl Pts',(4.35202621405051,3.73951530411907,0.168524745334394)); #581492=CARTESIAN_POINT('Ctrl Pts',(4.35214000920933,3.73950613384509,0.157607852303539)); #581493=CARTESIAN_POINT('Ctrl Pts',(4.35300965013283,3.73944098513292,0.146722605425058)); #581494=CARTESIAN_POINT('Ctrl Pts',(4.35456667783703,3.73928882435111,0.136108007145529)); #581495=CARTESIAN_POINT('Ctrl Pts',(4.35568354863373,3.73917967797358,0.128494054737935)); #581496=CARTESIAN_POINT('Ctrl Pts',(4.35715405239255,3.73902429789029,0.121019359952085)); #581497=CARTESIAN_POINT('Ctrl Pts',(4.35895020758427,3.73879527111955,0.113772133663528)); #581498=CARTESIAN_POINT('Ctrl Pts',(4.36177767035966,3.73843474288023,0.102363730508737)); #581499=CARTESIAN_POINT('Ctrl Pts',(4.36541264530714,3.73789371479259,0.0915206321823993)); #581500=CARTESIAN_POINT('Ctrl Pts',(4.36974098777825,3.73701744472891,0.0815858454360989)); #581501=CARTESIAN_POINT('Ctrl Pts',(4.37243963508099,3.73647110537161,0.0753916762743149)); #581502=CARTESIAN_POINT('Ctrl Pts',(4.37540727292495,3.7357933468993,0.0695505958588464)); #581503=CARTESIAN_POINT('Ctrl Pts',(4.37860846970259,3.7349401280835,0.0641457377166409)); #581504=CARTESIAN_POINT('Ctrl Pts',(4.38305463902126,3.73375508524345,0.0566388844636941)); #581505=CARTESIAN_POINT('Ctrl Pts',(4.38792599915986,3.73223443659326,0.0500060434808132)); #581506=CARTESIAN_POINT('Ctrl Pts',(4.39302384624504,3.73030707365882,0.0443365932925027)); #581507=CARTESIAN_POINT('Ctrl Pts',(4.39632910561848,3.72905744135353,0.0406607271741144)); #581508=CARTESIAN_POINT('Ctrl Pts',(4.39972917954914,3.72763758538095,0.0373898470225831)); #581509=CARTESIAN_POINT('Ctrl Pts',(4.4031683185593,3.7260400979926,0.0345483135177831)); #581510=CARTESIAN_POINT('Ctrl Pts',(4.40827338037433,3.72366878591646,0.0303303379870986)); #581511=CARTESIAN_POINT('Ctrl Pts',(4.41346043932735,3.72090559174823,0.0270584143487259)); #581512=CARTESIAN_POINT('Ctrl Pts',(4.41857302085958,3.71776043574461,0.0248018327512707)); #581513=CARTESIAN_POINT('Ctrl Pts',(4.42138435797178,3.71603095842656,0.0235609700771721)); #581514=CARTESIAN_POINT('Ctrl Pts',(4.42417329437571,3.71418686692735,0.0226271210824183)); #581515=CARTESIAN_POINT('Ctrl Pts',(4.42691579195697,3.71223631466683,0.0220118067498326)); #581516=CARTESIAN_POINT('Ctrl Pts',(4.42952796829275,3.71037845105687,0.0214257316518545)); #581517=CARTESIAN_POINT('Ctrl Pts',(4.43209921405932,3.70842331949014,0.0211282853719235)); #581518=CARTESIAN_POINT('Ctrl Pts',(4.43461452882088,3.70637789533577,0.0211282853719235)); #581519=CARTESIAN_POINT('',(4.62388877933813,3.9391336860073,0.0211282853719236)); #581520=CARTESIAN_POINT('',(4.62388877933813,3.9391336860073,0.0211282853719236)); #581521=CARTESIAN_POINT('Ctrl Pts',(4.37612383502704,4.03854591235721,0.168524745334394)); #581522=CARTESIAN_POINT('Ctrl Pts',(4.37658205125731,4.03850898663543,0.153871815096515)); #581523=CARTESIAN_POINT('Ctrl Pts',(4.38114977590636,4.03838261597411,0.139273997098208)); #581524=CARTESIAN_POINT('Ctrl Pts',(4.38929125806214,4.03754337334594,0.125310395883257)); #581525=CARTESIAN_POINT('Ctrl Pts',(4.39818951883456,4.03662612024461,0.110048830168906)); #581526=CARTESIAN_POINT('Ctrl Pts',(4.41135635842715,4.03485590817517,0.09554612677057)); #581527=CARTESIAN_POINT('Ctrl Pts',(4.428030029951,4.03141517582364,0.0825614133656725)); #581528=CARTESIAN_POINT('Ctrl Pts',(4.43645085541935,4.02967747776631,0.0760036492174054)); #581529=CARTESIAN_POINT('Ctrl Pts',(4.44576524469488,4.02751377724621,0.0698329880809946)); #581530=CARTESIAN_POINT('Ctrl Pts',(4.45587060198329,4.02482038425048,0.0641457377166399)); #581531=CARTESIAN_POINT('Ctrl Pts',(4.47606036003302,4.01943918382732,0.0527830312286363)); #581532=CARTESIAN_POINT('Ctrl Pts',(4.49907134680602,4.01141399334396,0.0434227083751921)); #581533=CARTESIAN_POINT('Ctrl Pts',(4.52297177388024,4.00067662713836,0.0363754892800609)); #581534=CARTESIAN_POINT('Ctrl Pts',(4.54328286296987,3.99155178594148,0.0303866132473942)); #581535=CARTESIAN_POINT('Ctrl Pts',(4.56423473798704,3.98046872740882,0.0260679927779785)); #581536=CARTESIAN_POINT('Ctrl Pts',(4.58466349436997,3.96740646632199,0.0235893916589643)); #581537=CARTESIAN_POINT('Ctrl Pts',(4.59806958468527,3.95883453719574,0.0219628437754689)); #581538=CARTESIAN_POINT('Ctrl Pts',(4.61125088856515,3.94941066900856,0.0211282853719236)); #581539=CARTESIAN_POINT('Ctrl Pts',(4.62388877933813,3.9391336860073,0.0211282853719236)); #581540=CARTESIAN_POINT('Origin',(4.78997740356225,5.13110568893418,1.62112828537193)); #581541=CARTESIAN_POINT('',(4.78997740356225,3.59,-1.27887171462808)); #581542=CARTESIAN_POINT('',(4.78997740356225,2.44112828537193,-1.27887171462808)); #581543=CARTESIAN_POINT('',(4.78997740356225,3.59,0.0211282853719236)); #581544=CARTESIAN_POINT('',(4.78997740356225,3.59,-1.27887171462808)); #581545=CARTESIAN_POINT('',(4.78997740356225,3.81112828537193,0.0211282853719236)); #581546=CARTESIAN_POINT('Origin',(4.48997740356225,3.81112828537193,0.0211282853719236)); #581547=CARTESIAN_POINT('Origin',(4.33997740356225,3.59,0.0211282853719236)); #581548=CARTESIAN_POINT('Origin',(4.33997740356225,3.59,0.0211282853719236)); #581549=CARTESIAN_POINT('Ctrl Pts',(4.33997740356225,4.04,0.363375606739761)); #581550=CARTESIAN_POINT('Ctrl Pts',(4.36199530575024,4.04,0.299140710028607)); #581551=CARTESIAN_POINT('Ctrl Pts',(4.37407970344023,4.03871064033772,0.233892393968739)); #581552=CARTESIAN_POINT('Ctrl Pts',(4.37612383502704,4.03854591235721,0.168524745334394)); #581553=CARTESIAN_POINT('Ctrl Pts',(4.33997740356225,3.74,0.363375606739761)); #581554=CARTESIAN_POINT('Ctrl Pts',(4.3473157973903,3.74,0.299148647393748)); #581555=CARTESIAN_POINT('Ctrl Pts',(4.35134489242778,3.73957020896752,0.2338870626071)); #581556=CARTESIAN_POINT('Ctrl Pts',(4.35202621405051,3.73951530411907,0.168524745334394)); #581557=CARTESIAN_POINT('',(4.33997740356225,3.74,0.363375606739761)); #581558=CARTESIAN_POINT('',(4.33997740356225,3.8389918034134,0.363375606739761)); #581559=CARTESIAN_POINT('',(4.33997740356225,4.04,0.363375606739761)); #581560=CARTESIAN_POINT('Ctrl Pts',(4.33997740356225,3.74,0.363375606739761)); #581561=CARTESIAN_POINT('Ctrl Pts',(4.34731569400471,3.74,0.299149552243305)); #581562=CARTESIAN_POINT('Ctrl Pts',(4.35134490386219,3.73957020804607,0.233885965651731)); #581563=CARTESIAN_POINT('Ctrl Pts',(4.35202621405051,3.73951530411907,0.168524745334394)); #581564=CARTESIAN_POINT('',(4.33997740356225,4.04,0.363375606739761)); #581565=CARTESIAN_POINT('Ctrl Pts',(4.33997740356225,4.04,0.363375606739761)); #581566=CARTESIAN_POINT('Ctrl Pts',(4.34790811019493,4.04,0.340238610442517)); #581567=CARTESIAN_POINT('Ctrl Pts',(4.35462770557757,4.03979424864115,0.316742036808904)); #581568=CARTESIAN_POINT('Ctrl Pts',(4.36005345430453,4.03955194603804,0.292923215201604)); #581569=CARTESIAN_POINT('Ctrl Pts',(4.36205940606458,4.03946236442311,0.28411716657498)); #581570=CARTESIAN_POINT('Ctrl Pts',(4.36388864185609,4.03936731038622,0.2752670714072)); #581571=CARTESIAN_POINT('Ctrl Pts',(4.36553732700447,4.03927351392401,0.266374816094834)); #581572=CARTESIAN_POINT('Ctrl Pts',(4.36964831411852,4.03903963297477,0.244202027651819)); #581573=CARTESIAN_POINT('Ctrl Pts',(4.37261782731939,4.03881825962301,0.221862954637996)); #581574=CARTESIAN_POINT('Ctrl Pts',(4.37440906683635,4.03868080030705,0.199407883759755)); #581575=CARTESIAN_POINT('Ctrl Pts',(4.37522844060829,4.03861792174886,0.189136171936463)); #581576=CARTESIAN_POINT('Ctrl Pts',(4.37580125768616,4.03857190751049,0.178840188044819)); #581577=CARTESIAN_POINT('Ctrl Pts',(4.37612383502704,4.03854591235721,0.168524745334394)); #581578=CARTESIAN_POINT('',(4.33997740356225,4.04,0.363375606739761)); #581579=CARTESIAN_POINT('Origin',(4.33997740356225,3.59,0.363375606739761)); #581580=CARTESIAN_POINT('',(4.33997740356225,3.74,-1.27887171462808)); #581581=CARTESIAN_POINT('',(4.33997740356225,3.74,-1.27887171462808)); #581582=CARTESIAN_POINT('Origin',(4.33997740356225,3.59,-1.27887171462808)); #581583=CARTESIAN_POINT('Origin',(2.141,3.74,-0.67887171462807)); #581584=CARTESIAN_POINT('',(1.541,3.74,-0.67887171462807)); #581585=CARTESIAN_POINT('',(1.541,3.96,-0.67887171462807)); #581586=CARTESIAN_POINT('',(1.541,3.74,-0.67887171462807)); #581587=CARTESIAN_POINT('',(2.141,3.96,-0.67887171462807)); #581588=CARTESIAN_POINT('',(2.141,3.96,-0.67887171462807)); #581589=CARTESIAN_POINT('',(2.141,3.74,-0.67887171462807)); #581590=CARTESIAN_POINT('',(2.141,3.74,-0.67887171462807)); #581591=CARTESIAN_POINT('',(2.141,3.74,-0.67887171462807)); #581592=CARTESIAN_POINT('Origin',(-2.141,3.74,-0.67887171462807)); #581593=CARTESIAN_POINT('',(-2.141,3.74,-0.67887171462807)); #581594=CARTESIAN_POINT('',(-2.141,3.96,-0.67887171462807)); #581595=CARTESIAN_POINT('',(-2.141,3.74,-0.67887171462807)); #581596=CARTESIAN_POINT('',(-1.541,3.96,-0.67887171462807)); #581597=CARTESIAN_POINT('',(-1.541,3.96,-0.67887171462807)); #581598=CARTESIAN_POINT('',(-1.541,3.74,-0.67887171462807)); #581599=CARTESIAN_POINT('',(-1.541,3.74,-0.67887171462807)); #581600=CARTESIAN_POINT('',(-2.141,3.74,-0.67887171462807)); #581601=CARTESIAN_POINT('Origin',(-4.33997740356225,3.59,-1.27887171462808)); #581602=CARTESIAN_POINT('',(-4.33997740356225,3.74,-1.27887171462808)); #581603=CARTESIAN_POINT('Origin',(-4.33997740356225,3.59,-1.27887171462808)); #581604=CARTESIAN_POINT('',(-4.33997740356225,3.74,0.0224675978987383)); #581605=CARTESIAN_POINT('Origin',(-2.75,3.74,0.994524745334394)); #581606=CARTESIAN_POINT('',(-2.92,4.04,0.994524745334393)); #581607=CARTESIAN_POINT('',(-2.75,4.04,0.994524745334394)); #581608=CARTESIAN_POINT('',(-2.75,4.04,0.994524745334394)); #581609=CARTESIAN_POINT('',(-2.92,3.74,0.994524745334393)); #581610=CARTESIAN_POINT('',(-2.92,3.74,0.994524745334393)); #581611=CARTESIAN_POINT('',(-2.75,3.74,0.994524745334394)); #581612=CARTESIAN_POINT('',(-2.75,3.74,0.994524745334394)); #581613=CARTESIAN_POINT('',(-2.75,3.74,0.994524745334394)); #581614=CARTESIAN_POINT('Origin',(-2.92,3.74,1.14252474533439)); #581615=CARTESIAN_POINT('',(-3.06,4.04,1.09452474533439)); #581616=CARTESIAN_POINT('Origin',(-2.92,4.04,1.14252474533439)); #581617=CARTESIAN_POINT('',(-3.06,3.74,1.09452474533439)); #581618=CARTESIAN_POINT('',(-3.06,3.74,1.09452474533439)); #581619=CARTESIAN_POINT('Origin',(-2.92,3.74,1.14252474533439)); #581620=CARTESIAN_POINT('Origin',(-3.33622069431921,3.74,0.999820507282096)); #581621=CARTESIAN_POINT('',(-3.38,4.04,1.28852474533439)); #581622=CARTESIAN_POINT('Origin',(-3.33622069431921,4.04,0.999820507282096)); #581623=CARTESIAN_POINT('',(-3.38,3.74,1.28852474533439)); #581624=CARTESIAN_POINT('',(-3.38,3.74,1.28852474533439)); #581625=CARTESIAN_POINT('Origin',(-3.33622069431921,3.74,0.999820507282096)); #581626=CARTESIAN_POINT('Origin',(-3.20712760635963,3.74,0.148511400899072)); #581627=CARTESIAN_POINT('Origin',(-3.20712760635963,3.74,0.148511400899072)); #581628=CARTESIAN_POINT('Origin',(-3.20712760635963,4.04,0.148511400899072)); #581629=CARTESIAN_POINT('Origin',(-4.33997740356225,3.59,-1.27887171462808)); #581630=CARTESIAN_POINT('',(-4.33997740356225,4.04,-1.27887171462808)); #581631=CARTESIAN_POINT('Origin',(-4.33997740356225,3.59,-1.27887171462808)); #581632=CARTESIAN_POINT('',(-4.33997740356225,4.04,0.0224675978987383)); #581633=CARTESIAN_POINT('Origin',(-5.87997740356225,3.74,-1.27887171462808)); #581634=CARTESIAN_POINT('',(-4.2,4.04,-1.27887171462808)); #581635=CARTESIAN_POINT('',(-5.87997740356225,4.04,-1.27887171462808)); #581636=CARTESIAN_POINT('',(-4.2,3.74,-1.27887171462808)); #581637=CARTESIAN_POINT('',(-4.2,3.74,-1.27887171462808)); #581638=CARTESIAN_POINT('',(-5.87997740356225,3.74,-1.27887171462808)); #581639=CARTESIAN_POINT('Origin',(-4.2,3.74,-1.13149376486263)); #581640=CARTESIAN_POINT('',(-4.087,4.04,-1.2261048639846)); #581641=CARTESIAN_POINT('Origin',(-4.2,4.04,-1.13149376486263)); #581642=CARTESIAN_POINT('',(-4.087,3.74,-1.2261048639846)); #581643=CARTESIAN_POINT('',(-4.087,3.74,-1.2261048639846)); #581644=CARTESIAN_POINT('Origin',(-4.2,3.74,-1.13149376486263)); #581645=CARTESIAN_POINT('Origin',(-4.087,3.74,-1.2261048639846)); #581646=CARTESIAN_POINT('',(-3.673,4.04,-0.731638565271536)); #581647=CARTESIAN_POINT('',(-4.087,4.04,-1.2261048639846)); #581648=CARTESIAN_POINT('',(-3.673,3.74,-0.731638565271536)); #581649=CARTESIAN_POINT('',(-3.673,3.74,-0.731638565271536)); #581650=CARTESIAN_POINT('',(-4.087,3.74,-1.2261048639846)); #581651=CARTESIAN_POINT('Origin',(-3.56,3.74,-0.826249664393507)); #581652=CARTESIAN_POINT('',(-3.56,4.04,-0.67887171462807)); #581653=CARTESIAN_POINT('Origin',(-3.56,4.04,-0.826249664393507)); #581654=CARTESIAN_POINT('',(-3.56,3.74,-0.67887171462807)); #581655=CARTESIAN_POINT('',(-3.56,3.74,-0.67887171462807)); #581656=CARTESIAN_POINT('Origin',(-3.56,3.74,-0.826249664393507)); #581657=CARTESIAN_POINT('Origin',(-3.56,3.74,-0.67887171462807)); #581658=CARTESIAN_POINT('',(-2.605,4.04,-0.678871714628075)); #581659=CARTESIAN_POINT('',(-3.56,4.04,-0.67887171462807)); #581660=CARTESIAN_POINT('',(-2.605,3.74,-0.678871714628075)); #581661=CARTESIAN_POINT('',(-2.605,3.74,-0.678871714628075)); #581662=CARTESIAN_POINT('',(-3.56,3.74,-0.67887171462807)); #581663=CARTESIAN_POINT('Origin',(-2.605,3.74,-0.534645697888131)); #581664=CARTESIAN_POINT('',(-2.47586378246832,4.04,-0.598871714628071)); #581665=CARTESIAN_POINT('Origin',(-2.605,4.04,-0.534645697888131)); #581666=CARTESIAN_POINT('',(-2.47586378246832,3.74,-0.598871714628071)); #581667=CARTESIAN_POINT('',(-2.47586378246832,3.74,-0.598871714628071)); #581668=CARTESIAN_POINT('Origin',(-2.605,3.74,-0.534645697888131)); #581669=CARTESIAN_POINT('Origin',(-2.31767191599201,3.74,-0.677548585134494)); #581670=CARTESIAN_POINT('',(-2.1594800495157,4.04,-0.598871714628069)); #581671=CARTESIAN_POINT('Origin',(-2.31767191599201,4.04,-0.677548585134494)); #581672=CARTESIAN_POINT('Origin',(-2.31767191599201,3.96132312949358,-0.677548585134494)); #581673=CARTESIAN_POINT('Origin',(-2.31767191599201,3.74,-0.677548585134494)); #581674=CARTESIAN_POINT('Origin',(3.19,4.04,-0.598871714628069)); #581675=CARTESIAN_POINT('',(-1.52251995048431,4.04,-0.598871714628069)); #581676=CARTESIAN_POINT('Origin',(-1.364328084008,3.96132312949358,-0.677548585134489)); #581677=CARTESIAN_POINT('',(-2.141,4.04,-0.598871714628069)); #581678=CARTESIAN_POINT('Origin',(-1.364328084008,3.74,-0.677548585134489)); #581679=CARTESIAN_POINT('',(-1.20613621753169,4.04,-0.598871714628067)); #581680=CARTESIAN_POINT('Origin',(-1.364328084008,4.04,-0.677548585134489)); #581681=CARTESIAN_POINT('',(-1.20613621753169,3.74,-0.598871714628067)); #581682=CARTESIAN_POINT('',(-1.20613621753169,3.74,-0.598871714628067)); #581683=CARTESIAN_POINT('Origin',(-1.364328084008,3.74,-0.677548585134489)); #581684=CARTESIAN_POINT('Origin',(-1.077,3.74,-0.534645697888127)); #581685=CARTESIAN_POINT('',(-1.077,4.04,-0.67887171462807)); #581686=CARTESIAN_POINT('Origin',(-1.077,4.04,-0.534645697888127)); #581687=CARTESIAN_POINT('',(-1.077,3.74,-0.67887171462807)); #581688=CARTESIAN_POINT('',(-1.077,3.74,-0.67887171462807)); #581689=CARTESIAN_POINT('Origin',(-1.077,3.74,-0.534645697888127)); #581690=CARTESIAN_POINT('Origin',(1.077,3.74,-0.67887171462807)); #581691=CARTESIAN_POINT('',(1.077,4.04,-0.67887171462807)); #581692=CARTESIAN_POINT('',(1.077,4.04,-0.67887171462807)); #581693=CARTESIAN_POINT('',(1.077,3.74,-0.67887171462807)); #581694=CARTESIAN_POINT('',(1.077,3.74,-0.67887171462807)); #581695=CARTESIAN_POINT('',(1.077,3.74,-0.67887171462807)); #581696=CARTESIAN_POINT('Origin',(1.077,3.74,-0.534645697888127)); #581697=CARTESIAN_POINT('',(1.20613621753169,4.04,-0.598871714628067)); #581698=CARTESIAN_POINT('Origin',(1.077,4.04,-0.534645697888127)); #581699=CARTESIAN_POINT('',(1.20613621753169,3.74,-0.598871714628067)); #581700=CARTESIAN_POINT('',(1.20613621753169,3.74,-0.598871714628067)); #581701=CARTESIAN_POINT('Origin',(1.077,3.74,-0.534645697888127)); #581702=CARTESIAN_POINT('Origin',(1.364328084008,3.74,-0.677548585134489)); #581703=CARTESIAN_POINT('',(1.52251995048431,4.04,-0.598871714628069)); #581704=CARTESIAN_POINT('Origin',(1.364328084008,4.04,-0.677548585134489)); #581705=CARTESIAN_POINT('Origin',(1.364328084008,3.96132312949358,-0.677548585134489)); #581706=CARTESIAN_POINT('Origin',(1.364328084008,3.74,-0.677548585134489)); #581707=CARTESIAN_POINT('Origin',(3.19,4.04,-0.598871714628069)); #581708=CARTESIAN_POINT('',(2.1594800495157,4.04,-0.598871714628069)); #581709=CARTESIAN_POINT('Origin',(2.31767191599201,3.96132312949358,-0.677548585134494)); #581710=CARTESIAN_POINT('',(1.541,4.04,-0.598871714628069)); #581711=CARTESIAN_POINT('Origin',(2.31767191599201,3.74,-0.677548585134494)); #581712=CARTESIAN_POINT('',(2.47586378246831,4.04,-0.598871714628071)); #581713=CARTESIAN_POINT('Origin',(2.31767191599201,4.04,-0.677548585134494)); #581714=CARTESIAN_POINT('',(2.47586378246831,3.74,-0.598871714628071)); #581715=CARTESIAN_POINT('',(2.47586378246831,3.74,-0.598871714628071)); #581716=CARTESIAN_POINT('Origin',(2.31767191599201,3.74,-0.677548585134494)); #581717=CARTESIAN_POINT('Origin',(2.605,3.74,-0.534645697888131)); #581718=CARTESIAN_POINT('',(2.605,4.04,-0.67887171462807)); #581719=CARTESIAN_POINT('Origin',(2.605,4.04,-0.534645697888131)); #581720=CARTESIAN_POINT('',(2.605,3.74,-0.67887171462807)); #581721=CARTESIAN_POINT('',(2.605,3.74,-0.67887171462807)); #581722=CARTESIAN_POINT('Origin',(2.605,3.74,-0.534645697888131)); #581723=CARTESIAN_POINT('Origin',(3.56,3.74,-0.67887171462807)); #581724=CARTESIAN_POINT('',(3.56,4.04,-0.678871714628057)); #581725=CARTESIAN_POINT('',(3.56,4.04,-0.67887171462807)); #581726=CARTESIAN_POINT('',(3.56,3.74,-0.678871714628057)); #581727=CARTESIAN_POINT('',(3.56,3.74,-0.678871714628057)); #581728=CARTESIAN_POINT('',(3.56,3.74,-0.67887171462807)); #581729=CARTESIAN_POINT('Origin',(3.56,3.74,-0.826249664393507)); #581730=CARTESIAN_POINT('',(3.67299999999992,4.04,-0.731638565271604)); #581731=CARTESIAN_POINT('Origin',(3.56,4.04,-0.826249664393507)); #581732=CARTESIAN_POINT('',(3.67299999999992,3.74,-0.731638565271604)); #581733=CARTESIAN_POINT('',(3.67299999999992,3.74,-0.731638565271604)); #581734=CARTESIAN_POINT('Origin',(3.56,3.74,-0.826249664393507)); #581735=CARTESIAN_POINT('Origin',(4.087,3.74,-1.2261048639846)); #581736=CARTESIAN_POINT('',(4.087,4.04,-1.2261048639846)); #581737=CARTESIAN_POINT('',(4.087,4.04,-1.2261048639846)); #581738=CARTESIAN_POINT('',(4.087,3.74,-1.2261048639846)); #581739=CARTESIAN_POINT('',(4.087,3.74,-1.2261048639846)); #581740=CARTESIAN_POINT('',(4.087,3.74,-1.2261048639846)); #581741=CARTESIAN_POINT('Origin',(4.2,3.74,-1.13149376486263)); #581742=CARTESIAN_POINT('',(4.2,4.04,-1.27887171462808)); #581743=CARTESIAN_POINT('Origin',(4.2,4.04,-1.13149376486263)); #581744=CARTESIAN_POINT('',(4.2,3.74,-1.27887171462808)); #581745=CARTESIAN_POINT('',(4.2,3.74,-1.27887171462808)); #581746=CARTESIAN_POINT('Origin',(4.2,3.74,-1.13149376486263)); #581747=CARTESIAN_POINT('Origin',(5.87997740356225,3.74,-1.27887171462808)); #581748=CARTESIAN_POINT('',(5.87997740356225,3.74,-1.27887171462808)); #581749=CARTESIAN_POINT('',(4.33997740356225,4.04,-1.27887171462808)); #581750=CARTESIAN_POINT('',(5.87997740356225,4.04,-1.27887171462808)); #581751=CARTESIAN_POINT('Origin',(4.33997740356225,3.59,-1.27887171462808)); #581752=CARTESIAN_POINT('Origin',(4.33997740356225,3.59,0.363375606739761)); #581753=CARTESIAN_POINT('',(4.33997740356225,4.04,-1.27887171462808)); #581754=CARTESIAN_POINT('Origin',(3.20712760635963,3.74,0.148511400899072)); #581755=CARTESIAN_POINT('',(3.38,3.74,1.28852474533439)); #581756=CARTESIAN_POINT('Origin',(3.20712760635963,3.74,0.148511400899072)); #581757=CARTESIAN_POINT('',(3.38,4.04,1.28852474533439)); #581758=CARTESIAN_POINT('Origin',(3.20712760635963,4.04,0.148511400899072)); #581759=CARTESIAN_POINT('',(3.38,3.74,1.28852474533439)); #581760=CARTESIAN_POINT('Origin',(3.33622069431921,3.74,0.999820507282096)); #581761=CARTESIAN_POINT('',(3.06,4.04,1.09452474533439)); #581762=CARTESIAN_POINT('Origin',(3.33622069431921,4.04,0.999820507282096)); #581763=CARTESIAN_POINT('',(3.06,3.74,1.09452474533439)); #581764=CARTESIAN_POINT('',(3.06,3.74,1.09452474533439)); #581765=CARTESIAN_POINT('Origin',(3.33622069431921,3.74,0.999820507282096)); #581766=CARTESIAN_POINT('Origin',(2.92,3.74,1.14252474533439)); #581767=CARTESIAN_POINT('',(2.92,4.04,0.994524745334394)); #581768=CARTESIAN_POINT('Origin',(2.92,4.04,1.14252474533439)); #581769=CARTESIAN_POINT('',(2.92,3.74,0.994524745334394)); #581770=CARTESIAN_POINT('',(2.92,3.74,0.994524745334394)); #581771=CARTESIAN_POINT('Origin',(2.92,3.74,1.14252474533439)); #581772=CARTESIAN_POINT('Origin',(2.75,3.74,0.994524745334394)); #581773=CARTESIAN_POINT('',(2.75,4.04,0.994524745334393)); #581774=CARTESIAN_POINT('',(2.75,4.04,0.994524745334394)); #581775=CARTESIAN_POINT('',(2.75,3.74,0.994524745334393)); #581776=CARTESIAN_POINT('',(2.75,3.74,0.994524745334393)); #581777=CARTESIAN_POINT('',(2.75,3.74,0.994524745334394)); #581778=CARTESIAN_POINT('Origin',(-1.6,3.74,0.931128285371929)); #581779=CARTESIAN_POINT('',(-1.6,4.04,0.931128285371929)); #581780=CARTESIAN_POINT('',(1.6,4.04,0.931128285371929)); #581781=CARTESIAN_POINT('',(-1.6,4.04,0.931128285371929)); #581782=CARTESIAN_POINT('',(-1.6,3.74,0.931128285371929)); #581783=CARTESIAN_POINT('',(-1.6,3.74,0.931128285371929)); #581784=CARTESIAN_POINT('',(1.6,3.74,0.931128285371929)); #581785=CARTESIAN_POINT('',(-1.6,3.74,0.931128285371929)); #581786=CARTESIAN_POINT('',(1.6,3.74,0.931128285371929)); #581787=CARTESIAN_POINT('Origin',(-1.6,3.74,1.08112828537193)); #581788=CARTESIAN_POINT('',(-1.75,4.04,1.08112828537193)); #581789=CARTESIAN_POINT('Origin',(-1.6,4.04,1.08112828537193)); #581790=CARTESIAN_POINT('',(-1.75,3.74,1.08112828537193)); #581791=CARTESIAN_POINT('',(-1.75,3.74,1.08112828537193)); #581792=CARTESIAN_POINT('Origin',(-1.6,3.74,1.08112828537193)); #581793=CARTESIAN_POINT('Origin',(1.6,3.74,1.08112828537193)); #581794=CARTESIAN_POINT('',(1.75,4.04,1.08112828537193)); #581795=CARTESIAN_POINT('Origin',(1.6,4.04,1.08112828537193)); #581796=CARTESIAN_POINT('',(1.75,3.74,1.08112828537193)); #581797=CARTESIAN_POINT('Origin',(1.6,3.74,1.08112828537193)); #581798=CARTESIAN_POINT('',(1.75,3.74,1.08112828537193)); #581799=CARTESIAN_POINT('Origin',(1.75,3.74,1.08112828537193)); #581800=CARTESIAN_POINT('',(1.75,3.74,1.13)); #581801=CARTESIAN_POINT('',(1.75,3.74,1.08112828537193)); #581802=CARTESIAN_POINT('',(1.75,3.61991847030427,1.276986003193)); #581803=CARTESIAN_POINT('Origin',(1.75,3.59,1.13)); #581804=CARTESIAN_POINT('',(1.75,3.67975541091281,1.570958009579)); #581805=CARTESIAN_POINT('',(1.75,3.61991847030427,1.276986003193)); #581806=CARTESIAN_POINT('',(1.75,4.04,1.13)); #581807=CARTESIAN_POINT('Origin',(1.75,3.59,1.13)); #581808=CARTESIAN_POINT('',(1.75,4.04,1.08112828537193)); #581809=CARTESIAN_POINT('Origin',(3.19,4.04,1.62112828537193)); #581810=CARTESIAN_POINT('',(-2.60070488362652,4.04,1.13)); #581811=CARTESIAN_POINT('Origin',(-2.75,4.04,1.14452474533439)); #581812=CARTESIAN_POINT('',(-1.75,4.04,1.13)); #581813=CARTESIAN_POINT('',(-2.60857864376269,4.04,1.13)); #581814=CARTESIAN_POINT('',(-1.75,4.04,1.08112828537193)); #581815=CARTESIAN_POINT('',(2.60070488362652,4.04,1.13)); #581816=CARTESIAN_POINT('',(-2.60857864376269,4.04,1.13)); #581817=CARTESIAN_POINT('Origin',(2.75,4.04,1.14452474533439)); #581818=CARTESIAN_POINT('Origin',(2.75,3.74,1.14452474533439)); #581819=CARTESIAN_POINT('',(2.60070488362652,3.74,1.13)); #581820=CARTESIAN_POINT('',(2.60070488362652,3.83900047400574,1.13)); #581821=CARTESIAN_POINT('',(2.60070488362652,4.04,1.13)); #581822=CARTESIAN_POINT('Origin',(2.75,3.74,1.14452474533439)); #581823=CARTESIAN_POINT('',(2.60070488362652,4.04,1.13)); #581824=CARTESIAN_POINT('Origin',(3.19,3.74,1.62112828537193)); #581825=CARTESIAN_POINT('',(-2.60070488362652,3.74,1.13)); #581826=CARTESIAN_POINT('',(-1.75,3.74,1.13)); #581827=CARTESIAN_POINT('',(-2.60857864376269,3.74,1.13)); #581828=CARTESIAN_POINT('Origin',(-2.75,3.74,1.14452474533439)); #581829=CARTESIAN_POINT('',(-2.60857864376269,3.74,1.13)); #581830=CARTESIAN_POINT('',(-1.75,3.74,1.08112828537193)); #581831=CARTESIAN_POINT('Origin',(-2.75,3.74,1.14452474533439)); #581832=CARTESIAN_POINT('',(-2.60070488362652,3.83900047400574,1.13)); #581833=CARTESIAN_POINT('',(-2.60070488362652,4.04,1.13)); #581834=CARTESIAN_POINT('',(-2.60070488362652,4.04,1.13)); #581835=CARTESIAN_POINT('Origin',(-1.75,3.74,1.08112828537193)); #581836=CARTESIAN_POINT('',(-1.75,3.67975541091281,1.570958009579)); #581837=CARTESIAN_POINT('Origin',(-1.75,3.59,1.13)); #581838=CARTESIAN_POINT('',(-1.75,3.61991847030427,1.276986003193)); #581839=CARTESIAN_POINT('',(-1.75,3.67975541091281,1.570958009579)); #581840=CARTESIAN_POINT('Origin',(-1.75,3.59,1.13)); #581841=CARTESIAN_POINT('Ctrl Pts',(-2.60070488362652,4.04180013273845, 1.13)); #581842=CARTESIAN_POINT('Ctrl Pts',(-2.60023532785105,4.04180013273845, 1.13875732124636)); #581843=CARTESIAN_POINT('Ctrl Pts',(-2.6,4.04154464668182,1.14755587952074)); #581844=CARTESIAN_POINT('Ctrl Pts',(-2.6,4.04103168989984,1.15633960228591)); #581845=CARTESIAN_POINT('Ctrl Pts',(-2.60070488362652,4.04,1.13)); #581846=CARTESIAN_POINT('Ctrl Pts',(-2.60023532783092,4.04,1.13872242908181)); #581847=CARTESIAN_POINT('Ctrl Pts',(-2.6,4.0397455318963,1.14748593054733)); #581848=CARTESIAN_POINT('Ctrl Pts',(-2.6,4.03923461891151,1.15623465592366)); #581849=CARTESIAN_POINT('Ctrl Pts',(-2.60070488362652,3.74,1.13)); #581850=CARTESIAN_POINT('Ctrl Pts',(-2.60023532447539,3.74,1.13290749713794)); #581851=CARTESIAN_POINT('Ctrl Pts',(-2.6,3.73991517820697,1.13582862796395)); #581852=CARTESIAN_POINT('Ctrl Pts',(-2.6,3.7397448729705,1.13874488530789)); #581853=CARTESIAN_POINT('',(-2.6,3.7397448729705,1.13874488530789)); #581854=CARTESIAN_POINT('Origin',(-2.69143462423446,3.70681107558669,1.13604494466206)); #581855=CARTESIAN_POINT('',(-2.6,4.03923461891151,1.15623465592366)); #581856=CARTESIAN_POINT('',(-2.6,4.03923461891151,1.15623465592366)); #581857=CARTESIAN_POINT('Origin',(-2.7457722232602,3.88161594360175,1.14506560738283)); #581858=CARTESIAN_POINT('Origin',(-2.6,3.59,1.13)); #581859=CARTESIAN_POINT('',(-2.6,3.61991847030427,1.276986003193)); #581860=CARTESIAN_POINT('Origin',(-2.6,3.59,1.13)); #581861=CARTESIAN_POINT('',(-2.6,3.67975541091281,1.570958009579)); #581862=CARTESIAN_POINT('',(-2.6,3.61991847030427,1.276986003193)); #581863=CARTESIAN_POINT('Origin',(-2.6,3.59,1.13)); #581864=CARTESIAN_POINT('Ctrl Pts',(-2.6,3.67975541091281,1.570958009579)); #581865=CARTESIAN_POINT('Ctrl Pts',(-2.6,3.64964030115724,1.57708783144883)); #581866=CARTESIAN_POINT('Ctrl Pts',(-2.60291194415313,3.61896598834363, 1.58)); #581867=CARTESIAN_POINT('Ctrl Pts',(-2.60857864376269,3.59,1.58)); #581868=CARTESIAN_POINT('Ctrl Pts',(-2.6,3.61991847030427,1.276986003193)); #581869=CARTESIAN_POINT('Ctrl Pts',(-2.6,3.60987659691167,1.27902999026911)); #581870=CARTESIAN_POINT('Ctrl Pts',(-2.602909092988,3.59966018746762,1.28)); #581871=CARTESIAN_POINT('Ctrl Pts',(-2.60857864376269,3.59,1.28)); #581872=CARTESIAN_POINT('Ctrl Pts',(-2.6,3.61991847030427,1.276986003193)); #581873=CARTESIAN_POINT('Ctrl Pts',(-2.6,3.61421659669191,1.27814659898202)); #581874=CARTESIAN_POINT('Ctrl Pts',(-2.60093961213708,3.60844696781098, 1.27897087260549)); #581875=CARTESIAN_POINT('Ctrl Pts',(-2.60279349270571,3.60275700940234, 1.27945654455842)); #581876=CARTESIAN_POINT('Ctrl Pts',(-2.60420084154215,3.59843755297063, 1.27982523597761)); #581877=CARTESIAN_POINT('Ctrl Pts',(-2.60613442707989,3.59416463178578, 1.28)); #581878=CARTESIAN_POINT('Ctrl Pts',(-2.60857864376269,3.59,1.28)); #581879=CARTESIAN_POINT('Ctrl Pts',(-2.6,3.67975541091281,1.570958009579)); #581880=CARTESIAN_POINT('Ctrl Pts',(-2.6,3.65579652983356,1.57583475332685)); #581881=CARTESIAN_POINT('Ctrl Pts',(-2.60184609436138,3.63144341789715, 1.57867966564652)); #581882=CARTESIAN_POINT('Ctrl Pts',(-2.60545924891797,3.60789928371808, 1.57963471829085)); #581883=CARTESIAN_POINT('Ctrl Pts',(-2.606384031189,3.60187319279544,1.57987916278929)); #581884=CARTESIAN_POINT('Ctrl Pts',(-2.60742439253633,3.59590008821217, 1.58)); #581885=CARTESIAN_POINT('Ctrl Pts',(-2.60857864376269,3.59,1.58)); #581886=CARTESIAN_POINT('Ctrl Pts',(-1.74142135623731,3.59,1.58)); #581887=CARTESIAN_POINT('Ctrl Pts',(-1.74708805584687,3.61896598834363, 1.58)); #581888=CARTESIAN_POINT('Ctrl Pts',(-1.75,3.64964030115725,1.57708783144883)); #581889=CARTESIAN_POINT('Ctrl Pts',(-1.75,3.67975541091281,1.570958009579)); #581890=CARTESIAN_POINT('Ctrl Pts',(-1.74142135623731,3.59,1.28)); #581891=CARTESIAN_POINT('Ctrl Pts',(-1.747090907012,3.59966018746762,1.28)); #581892=CARTESIAN_POINT('Ctrl Pts',(-1.75,3.60987659691168,1.27902999026911)); #581893=CARTESIAN_POINT('Ctrl Pts',(-1.75,3.61991847030427,1.276986003193)); #581894=CARTESIAN_POINT('Ctrl Pts',(-1.74142135623731,3.59,1.28)); #581895=CARTESIAN_POINT('Ctrl Pts',(-1.74464108804541,3.5954860101087,1.28)); #581896=CARTESIAN_POINT('Ctrl Pts',(-1.74697449850219,3.6011604795177,1.27969495783221)); #581897=CARTESIAN_POINT('Ctrl Pts',(-1.74838677362004,3.60688036149803, 1.27904715159006)); #581898=CARTESIAN_POINT('Ctrl Pts',(-1.74945888353772,3.61122253401215, 1.27855537807642)); #581899=CARTESIAN_POINT('Ctrl Pts',(-1.75,3.61558996860375,1.27786705408748)); #581900=CARTESIAN_POINT('Ctrl Pts',(-1.75,3.61991847030427,1.276986003193)); #581901=CARTESIAN_POINT('Ctrl Pts',(-1.74142135623731,3.59,1.58)); #581902=CARTESIAN_POINT('Ctrl Pts',(-1.74593105350274,3.6130518374758,1.58)); #581903=CARTESIAN_POINT('Ctrl Pts',(-1.74869955782538,3.63721958372107, 1.57815258395213)); #581904=CARTESIAN_POINT('Ctrl Pts',(-1.7496388385008,3.66130141327419,1.57430602231532)); #581905=CARTESIAN_POINT('Ctrl Pts',(-1.74987924618381,3.66746512663168, 1.57332149980266)); #581906=CARTESIAN_POINT('Ctrl Pts',(-1.75,3.67362316605815,1.57220620588067)); #581907=CARTESIAN_POINT('Ctrl Pts',(-1.75,3.67975541091281,1.570958009579)); #581908=CARTESIAN_POINT('Origin',(-1.74142135623731,3.59,1.13)); #581909=CARTESIAN_POINT('Origin',(-1.74142135623731,3.59,1.13)); #581910=CARTESIAN_POINT('Ctrl Pts',(1.75,3.67975541091281,1.570958009579)); #581911=CARTESIAN_POINT('Ctrl Pts',(1.75,3.64964030115725,1.57708783144883)); #581912=CARTESIAN_POINT('Ctrl Pts',(1.74708805584687,3.61896598834363,1.58)); #581913=CARTESIAN_POINT('Ctrl Pts',(1.74142135623731,3.59,1.58)); #581914=CARTESIAN_POINT('Ctrl Pts',(1.75,3.61991847030427,1.276986003193)); #581915=CARTESIAN_POINT('Ctrl Pts',(1.75,3.60987659691167,1.27902999026911)); #581916=CARTESIAN_POINT('Ctrl Pts',(1.747090907012,3.59966018746762,1.28)); #581917=CARTESIAN_POINT('Ctrl Pts',(1.74142135623731,3.59,1.28)); #581918=CARTESIAN_POINT('Ctrl Pts',(1.75,3.61991847030427,1.276986003193)); #581919=CARTESIAN_POINT('Ctrl Pts',(1.75,3.61421659669191,1.27814659898202)); #581920=CARTESIAN_POINT('Ctrl Pts',(1.74906038786292,3.60844696781098,1.27897087260549)); #581921=CARTESIAN_POINT('Ctrl Pts',(1.74720650729429,3.60275700940234,1.27945654455842)); #581922=CARTESIAN_POINT('Ctrl Pts',(1.74579915845785,3.59843755297064,1.27982523597761)); #581923=CARTESIAN_POINT('Ctrl Pts',(1.74386557292011,3.59416463178578,1.28)); #581924=CARTESIAN_POINT('Ctrl Pts',(1.74142135623731,3.59,1.28)); #581925=CARTESIAN_POINT('Ctrl Pts',(1.75,3.67975541091281,1.570958009579)); #581926=CARTESIAN_POINT('Ctrl Pts',(1.75,3.65579652983153,1.57583475332726)); #581927=CARTESIAN_POINT('Ctrl Pts',(1.74815390563831,3.63144341789302,1.57867966564701)); #581928=CARTESIAN_POINT('Ctrl Pts',(1.74454075108111,3.60789928371209,1.5796347182911)); #581929=CARTESIAN_POINT('Ctrl Pts',(1.74361596881031,3.60187319279149,1.57987916278937)); #581930=CARTESIAN_POINT('Ctrl Pts',(1.74257560746328,3.59590008821021,1.58)); #581931=CARTESIAN_POINT('Ctrl Pts',(1.74142135623731,3.59,1.58)); #581932=CARTESIAN_POINT('Ctrl Pts',(2.60857864376269,3.59,1.58)); #581933=CARTESIAN_POINT('Ctrl Pts',(2.60291194415313,3.61896598834363,1.58)); #581934=CARTESIAN_POINT('Ctrl Pts',(2.6,3.64964030115725,1.57708783144883)); #581935=CARTESIAN_POINT('Ctrl Pts',(2.6,3.67975541091281,1.570958009579)); #581936=CARTESIAN_POINT('Ctrl Pts',(2.60857864376269,3.59,1.28)); #581937=CARTESIAN_POINT('Ctrl Pts',(2.602909092988,3.59966018746762,1.28)); #581938=CARTESIAN_POINT('Ctrl Pts',(2.6,3.60987659691167,1.27902999026911)); #581939=CARTESIAN_POINT('Ctrl Pts',(2.6,3.61991847030427,1.276986003193)); #581940=CARTESIAN_POINT('',(2.6,3.61991847030427,1.276986003193)); #581941=CARTESIAN_POINT('Ctrl Pts',(2.60857864376269,3.59,1.28)); #581942=CARTESIAN_POINT('Ctrl Pts',(2.60535891195459,3.5954860101087,1.28)); #581943=CARTESIAN_POINT('Ctrl Pts',(2.60302550149781,3.6011604795177,1.27969495783221)); #581944=CARTESIAN_POINT('Ctrl Pts',(2.60161322637996,3.60688036149803,1.27904715159006)); #581945=CARTESIAN_POINT('Ctrl Pts',(2.60054111646227,3.61122253401215,1.27855537807642)); #581946=CARTESIAN_POINT('Ctrl Pts',(2.6,3.61558996860375,1.27786705408748)); #581947=CARTESIAN_POINT('Ctrl Pts',(2.6,3.61991847030427,1.276986003193)); #581948=CARTESIAN_POINT('',(2.6,3.67975541091281,1.570958009579)); #581949=CARTESIAN_POINT('',(2.6,3.67975541091281,1.570958009579)); #581950=CARTESIAN_POINT('Ctrl Pts',(2.60857864376269,3.59,1.58)); #581951=CARTESIAN_POINT('Ctrl Pts',(2.60406894649735,3.61305183747532,1.58)); #581952=CARTESIAN_POINT('Ctrl Pts',(2.60130044217474,3.63721958372005,1.57815258395221)); #581953=CARTESIAN_POINT('Ctrl Pts',(2.60036116149926,3.66130141327266,1.57430602231557)); #581954=CARTESIAN_POINT('Ctrl Pts',(2.60012075381621,3.66746512663067,1.57332149980284)); #581955=CARTESIAN_POINT('Ctrl Pts',(2.6,3.67362316605764,1.57220620588077)); #581956=CARTESIAN_POINT('Ctrl Pts',(2.6,3.67975541091281,1.570958009579)); #581957=CARTESIAN_POINT('Origin',(2.6,3.59,1.13)); #581958=CARTESIAN_POINT('',(2.6,3.7397448729705,1.13874488530789)); #581959=CARTESIAN_POINT('Origin',(2.6,3.59,1.13)); #581960=CARTESIAN_POINT('',(2.6,4.03923461891151,1.15623465592366)); #581961=CARTESIAN_POINT('',(2.6,3.7397448729705,1.13874488530789)); #581962=CARTESIAN_POINT('Origin',(2.6,3.59,1.13)); #581963=CARTESIAN_POINT('Ctrl Pts',(2.6,4.04103168989165,1.15633960228543)); #581964=CARTESIAN_POINT('Ctrl Pts',(2.6,4.04154464667362,1.14755587952042)); #581965=CARTESIAN_POINT('Ctrl Pts',(2.60023532785106,4.04180013273025,1.1387573212462)); #581966=CARTESIAN_POINT('Ctrl Pts',(2.60070488362652,4.04180013273025,1.13)); #581967=CARTESIAN_POINT('Ctrl Pts',(2.6,4.03923461891151,1.15623465592366)); #581968=CARTESIAN_POINT('Ctrl Pts',(2.6,4.0397455318963,1.14748593054733)); #581969=CARTESIAN_POINT('Ctrl Pts',(2.60023532783092,4.04,1.13872242908181)); #581970=CARTESIAN_POINT('Ctrl Pts',(2.60070488362652,4.04,1.13)); #581971=CARTESIAN_POINT('Ctrl Pts',(2.6,3.7397448729705,1.13874488530789)); #581972=CARTESIAN_POINT('Ctrl Pts',(2.6,3.73991517820697,1.13582862796395)); #581973=CARTESIAN_POINT('Ctrl Pts',(2.60023532447539,3.74,1.13290749713794)); #581974=CARTESIAN_POINT('Ctrl Pts',(2.60070488362652,3.74,1.13)); #581975=CARTESIAN_POINT('Origin',(2.69143462416612,3.70681107561114,1.13604494466512)); #581976=CARTESIAN_POINT('Origin',(2.74577222355375,3.88161594328166,1.14506560740615)); #581977=CARTESIAN_POINT('Origin',(-1.74142135623731,3.59,1.13)); #581978=CARTESIAN_POINT('Origin',(-1.74142135623731,3.59,1.13)); #581979=CARTESIAN_POINT('Origin',(-0.359999999999999,-2.39,11.2985643801531)); #581980=CARTESIAN_POINT('',(-0.29942013239481,-2.26378558069326,11.2985643801531)); #581981=CARTESIAN_POINT('Origin',(-0.29942013239481,-2.26378558069326,11.2985643801531)); #581982=CARTESIAN_POINT('',(0.299420132394813,-2.55121441930674,11.2985643801531)); #581983=CARTESIAN_POINT('Origin',(0.360000000000001,-2.425,11.2985643801531)); #581984=CARTESIAN_POINT('',(0.500000000000001,-2.425,11.2985643801531)); #581985=CARTESIAN_POINT('Origin',(0.500000000000001,-2.425,11.2985643801531)); #581986=CARTESIAN_POINT('',(0.500000000000002,-1.235,11.2985643801531)); #581987=CARTESIAN_POINT('Origin',(0.360000000000002,-1.235,11.2985643801531)); #581988=CARTESIAN_POINT('',(0.299420132394813,-1.10878558069326,11.2985643801531)); #581989=CARTESIAN_POINT('Origin',(0.299420132394813,-1.10878558069326,11.2985643801531)); #581990=CARTESIAN_POINT('',(-0.29942013239481,-1.39621441930674,11.2985643801531)); #581991=CARTESIAN_POINT('Origin',(-0.359999999999999,-1.27,11.2985643801531)); #581992=CARTESIAN_POINT('Origin',(-0.359999999999999,1.04,11.2985643801531)); #581993=CARTESIAN_POINT('',(-0.299420132394811,0.913785580693259,11.2985643801531)); #581994=CARTESIAN_POINT('Origin',(-0.299420132394811,0.913785580693259, 11.2985643801531)); #581995=CARTESIAN_POINT('',(0.299420132394812,1.20121441930674,11.2985643801531)); #581996=CARTESIAN_POINT('Origin',(0.360000000000001,1.075,11.2985643801531)); #581997=CARTESIAN_POINT('',(0.500000000000001,1.075,11.2985643801531)); #581998=CARTESIAN_POINT('Origin',(0.500000000000001,1.075,11.2985643801531)); #581999=CARTESIAN_POINT('',(0.500000000000001,-0.115,11.2985643801531)); #582000=CARTESIAN_POINT('Origin',(0.360000000000001,-0.115,11.2985643801531)); #582001=CARTESIAN_POINT('',(0.299420132394813,-0.241214419306741,11.2985643801531)); #582002=CARTESIAN_POINT('Origin',(0.299420132394813,-0.241214419306741, 11.2985643801531)); #582003=CARTESIAN_POINT('',(-0.299420132394811,0.0462144193067397,11.2985643801531)); #582004=CARTESIAN_POINT('Origin',(-0.359999999999999,-0.080000000000001, 11.2985643801531)); #582005=CARTESIAN_POINT('Origin',(-0.36,-1.27,-1.28)); #582006=CARTESIAN_POINT('',(-0.295092998994441,-1.40522973497151,-1.28)); #582007=CARTESIAN_POINT('Origin',(-0.295092998994441,-1.40522973497151, -1.28)); #582008=CARTESIAN_POINT('',(0.303747265795183,-1.11780089635803,-1.28)); #582009=CARTESIAN_POINT('Origin',(0.36,-1.235,-1.28)); #582010=CARTESIAN_POINT('',(0.49,-1.235,-1.28)); #582011=CARTESIAN_POINT('Origin',(0.49,-1.235,-1.28)); #582012=CARTESIAN_POINT('',(0.49,-2.425,-1.28)); #582013=CARTESIAN_POINT('Origin',(0.36,-2.425,-1.28)); #582014=CARTESIAN_POINT('',(0.303747265795182,-2.54219910364197,-1.28)); #582015=CARTESIAN_POINT('Origin',(0.303747265795182,-2.54219910364198,-1.28)); #582016=CARTESIAN_POINT('',(-0.295092998994441,-2.25477026502849,-1.28)); #582017=CARTESIAN_POINT('Origin',(-0.36,-2.39,-1.28)); #582018=CARTESIAN_POINT('Origin',(-0.36,-0.080000000000001,-1.28)); #582019=CARTESIAN_POINT('',(-0.295092998994442,0.0552297349715073,-1.28)); #582020=CARTESIAN_POINT('Origin',(-0.295092998994442,0.0552297349715068, -1.28)); #582021=CARTESIAN_POINT('',(0.303747265795182,-0.232199103641974,-1.28)); #582022=CARTESIAN_POINT('Origin',(0.36,-0.115,-1.28)); #582023=CARTESIAN_POINT('',(0.49,-0.115,-1.28)); #582024=CARTESIAN_POINT('Origin',(0.49,-0.115,-1.28)); #582025=CARTESIAN_POINT('',(0.489999999999999,1.075,-1.28)); #582026=CARTESIAN_POINT('Origin',(0.359999999999999,1.075,-1.28)); #582027=CARTESIAN_POINT('',(0.303747265795181,1.19219910364197,-1.28)); #582028=CARTESIAN_POINT('Origin',(0.303747265795181,1.19219910364197,-1.28)); #582029=CARTESIAN_POINT('',(-0.295092998994442,0.904770265028493,-1.28)); #582030=CARTESIAN_POINT('Origin',(-0.360000000000001,1.04,-1.28)); #582031=CARTESIAN_POINT('',(0.,0.,0.)); #582032=CARTESIAN_POINT('Origin',(-0.125,-1.5,-0.12)); #582033=CARTESIAN_POINT('',(-0.125,-1.5,0.)); #582034=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #582035=CARTESIAN_POINT('',(-0.125,-1.5,0.)); #582036=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #582037=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #582038=CARTESIAN_POINT('',(-0.125,-1.5,-0.12)); #582039=CARTESIAN_POINT('',(-0.125,-1.5,-0.12)); #582040=CARTESIAN_POINT('',(-0.125,-1.5,-0.12)); #582041=CARTESIAN_POINT('Origin',(-0.120000000000002,-0.5,-0.12)); #582042=CARTESIAN_POINT('',(-0.12,-1.41361293861773E-16,0.)); #582043=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #582044=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #582045=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #582046=CARTESIAN_POINT('',(-0.12,0.22,-1.826)); #582047=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #582048=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.906)); #582049=CARTESIAN_POINT('Origin',(-0.12,0.3,-1.826)); #582050=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.906)); #582051=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.906)); #582052=CARTESIAN_POINT('',(-0.119999999999998,0.888,-2.026)); #582053=CARTESIAN_POINT('',(-0.119999999999998,0.888,-2.026)); #582054=CARTESIAN_POINT('',(-0.119999999999999,0.3,-2.026)); #582055=CARTESIAN_POINT('',(-0.119999999999999,0.3,-2.026)); #582056=CARTESIAN_POINT('',(-0.12,0.1,-1.826)); #582057=CARTESIAN_POINT('Origin',(-0.119999999999999,0.3,-1.826)); #582058=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #582059=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #582060=CARTESIAN_POINT('',(-0.12,4.33680868994202E-16,-0.12)); #582061=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #582062=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #582063=CARTESIAN_POINT('Origin',(0.12,2.71050543121376E-17,-0.12)); #582064=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,0.)); #582065=CARTESIAN_POINT('',(0.12,-0.5,0.)); #582066=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,0.)); #582067=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #582068=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #582069=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,-0.12)); #582070=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,-0.12)); #582071=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #582072=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #582073=CARTESIAN_POINT('',(0.12,0.1,-1.826)); #582074=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #582075=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #582076=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #582077=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #582078=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #582079=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.906)); #582080=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #582081=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #582082=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #582083=CARTESIAN_POINT('',(0.12,0.22,-1.826)); #582084=CARTESIAN_POINT('Origin',(0.12,0.3,-1.826)); #582085=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #582086=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #582087=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #582088=CARTESIAN_POINT('Origin',(0.12,-0.5,-0.12)); #582089=CARTESIAN_POINT('',(0.125,-1.5,0.)); #582090=CARTESIAN_POINT('',(0.12,-0.5,0.)); #582091=CARTESIAN_POINT('',(0.125,-1.5,-0.12)); #582092=CARTESIAN_POINT('',(0.125,-1.5,-0.12)); #582093=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #582094=CARTESIAN_POINT('Origin',(0.125,-1.5,-0.12)); #582095=CARTESIAN_POINT('',(0.125,-5.51,-0.08)); #582096=CARTESIAN_POINT('',(0.125,-5.51,-0.04)); #582097=CARTESIAN_POINT('',(0.125,-5.51,-0.12)); #582098=CARTESIAN_POINT('',(0.125,-5.47,-0.12)); #582099=CARTESIAN_POINT('',(0.125,-5.47,-0.12)); #582100=CARTESIAN_POINT('',(0.125,-1.5,-0.12)); #582101=CARTESIAN_POINT('',(0.125,-5.47,0.)); #582102=CARTESIAN_POINT('',(0.125,-1.5,0.)); #582103=CARTESIAN_POINT('',(0.125,-5.51,-0.04)); #582104=CARTESIAN_POINT('Origin',(0.125,-5.51,-0.12)); #582105=CARTESIAN_POINT('',(-0.125,-5.51,-0.08)); #582106=CARTESIAN_POINT('',(-0.125,-5.51,-0.04)); #582107=CARTESIAN_POINT('',(-0.125,-5.51,-0.12)); #582108=CARTESIAN_POINT('',(0.125,-5.51,-0.08)); #582109=CARTESIAN_POINT('',(0.125,-5.51,-0.04)); #582110=CARTESIAN_POINT('Origin',(-0.125,-5.51,-0.12)); #582111=CARTESIAN_POINT('',(-0.125,-5.47,-0.12)); #582112=CARTESIAN_POINT('',(-0.125,-5.51,-0.12)); #582113=CARTESIAN_POINT('',(-0.125,-5.47,-0.12)); #582114=CARTESIAN_POINT('',(-0.125,-5.47,0.)); #582115=CARTESIAN_POINT('',(-0.125,-5.51,-0.04)); #582116=CARTESIAN_POINT('',(-0.125,-5.51,0.)); #582117=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #582118=CARTESIAN_POINT('',(-0.125,-5.47,-0.12)); #582119=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,-0.12)); #582120=CARTESIAN_POINT('Origin',(0.,0.,0.)); #582121=CARTESIAN_POINT('',(0.,-5.47,0.)); #582122=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,0.)); #582123=CARTESIAN_POINT('Origin',(0.120000000000002,0.888,-2.026)); #582124=CARTESIAN_POINT('',(0.120000000000001,0.888,-1.906)); #582125=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #582126=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #582127=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #582128=CARTESIAN_POINT('Origin',(0.12,0.0999999999999999,-0.22)); #582129=CARTESIAN_POINT('',(0.12,0.1,-1.826)); #582130=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #582131=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #582132=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-2.026)); #582133=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #582134=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #582135=CARTESIAN_POINT('Origin',(0.12,0.22,-0.22)); #582136=CARTESIAN_POINT('',(0.120000000000001,0.22,-1.826)); #582137=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #582138=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #582139=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.906)); #582140=CARTESIAN_POINT('Origin',(0.,-5.47,-0.12)); #582141=CARTESIAN_POINT('Origin',(0.125,-5.51,-0.04)); #582142=CARTESIAN_POINT('',(0.,0.,0.)); #582143=CARTESIAN_POINT('',(-3.33,2.07733538461548,0.345999999999996)); #582144=CARTESIAN_POINT('',(-0.749999999999997,2.07733538461548,-0.232)); #582145=CARTESIAN_POINT('',(-0.249999999999999,2.07733538461548,0.346)); #582146=CARTESIAN_POINT('',(-3.07,2.07733538461548,-0.232000000000002)); #582147=CARTESIAN_POINT('',(-1.75149064031341,2.07733538461548,-0.232)); #582148=CARTESIAN_POINT('',(-1.25149064031342,2.07733538461547,0.345999999999999)); #582149=CARTESIAN_POINT('',(-2.52999999999999,2.07733538461549,0.346)); #582150=CARTESIAN_POINT('',(-2.27,2.07733538461548,-0.232000000000001)); #582151=CARTESIAN_POINT('',(3.33,2.07733538461548,0.346000000000001)); #582152=CARTESIAN_POINT('',(3.07,2.07733538461548,-0.232000000000004)); #582153=CARTESIAN_POINT('',(2.52999999999999,2.07733538461549,0.346000000000004)); #582154=CARTESIAN_POINT('',(2.27,2.07733538461548,-0.23200000000001)); #582155=CARTESIAN_POINT('',(1.25149064031342,2.07733538461547,0.346000000000003)); #582156=CARTESIAN_POINT('',(1.75149064031341,2.07733538461548,-0.23199999999999)); #582157=CARTESIAN_POINT('',(0.749999999999997,2.07733538461548,-0.231999999999996)); #582158=CARTESIAN_POINT('',(-1.23259516440783E-31,-1.35305252217564,-1.11022302462516E-15)); #582159=CARTESIAN_POINT('',(-1.23259516440783E-31,-1.25466461538453,-1.11022302462516E-15)); #582160=CARTESIAN_POINT('',(0.249999999999999,2.07733538461548,0.346)); #582161=CARTESIAN_POINT('',(2.18015297124609E-16,2.1555,1.78023)); #582162=CARTESIAN_POINT('',(0.,0.,0.)); #582163=CARTESIAN_POINT('',(0.,0.,0.)); #582164=CARTESIAN_POINT('',(0.,0.,0.)); #582165=CARTESIAN_POINT('',(0.,0.,0.)); #582166=CARTESIAN_POINT('Origin',(-3.749999945539,3.495012662024,7.000050744431)); #582167=CARTESIAN_POINT('',(0.64999999056,3.495012662024,5.08460913699604E-5)); #582168=CARTESIAN_POINT('',(-0.64999999056,3.495012662024,5.08460913699604E-5)); #582169=CARTESIAN_POINT('',(-3.749999945539,3.495012662024,5.08460913699604E-5)); #582170=CARTESIAN_POINT('',(-0.64999999056,3.495012662024,0.800050834473)); #582171=CARTESIAN_POINT('',(-0.64999999056,3.495012662024,0.800050834473)); #582172=CARTESIAN_POINT('',(-1.849999973133,3.495012662024,0.800050834473)); #582173=CARTESIAN_POINT('',(-1.849999973133,3.495012662024,0.800050834473)); #582174=CARTESIAN_POINT('',(-1.849999973133,3.495012662024,5.08460913699604E-5)); #582175=CARTESIAN_POINT('',(-1.849999973133,3.495012662024,0.800050834473)); #582176=CARTESIAN_POINT('',(-3.749999945539,3.495012662024,5.08460913699604E-5)); #582177=CARTESIAN_POINT('',(-3.749999945539,3.495012662024,5.08460913699604E-5)); #582178=CARTESIAN_POINT('',(-3.749999945539,3.495012662024,7.000050744431)); #582179=CARTESIAN_POINT('',(-3.749999945539,3.495012662024,7.000050744431)); #582180=CARTESIAN_POINT('',(3.749999945539,3.495012662024,7.000050744431)); #582181=CARTESIAN_POINT('',(-3.749999945539,3.495012662024,7.000050744431)); #582182=CARTESIAN_POINT('',(3.749999945539,3.495012662024,5.08460913699604E-5)); #582183=CARTESIAN_POINT('',(3.749999945539,3.495012662024,7.000050744431)); #582184=CARTESIAN_POINT('',(1.849999973133,3.495012662024,5.08460913699604E-5)); #582185=CARTESIAN_POINT('',(-3.749999945539,3.495012662024,5.08460913699604E-5)); #582186=CARTESIAN_POINT('',(1.849999973133,3.495012662024,0.800050834473)); #582187=CARTESIAN_POINT('',(1.849999973133,3.495012662024,0.800050834473)); #582188=CARTESIAN_POINT('',(0.64999999056,3.495012662024,0.800050834473)); #582189=CARTESIAN_POINT('',(0.64999999056,3.495012662024,0.800050834473)); #582190=CARTESIAN_POINT('',(0.64999999056,3.495012662024,0.800050834473)); #582191=CARTESIAN_POINT('Origin',(0.,0.545012704866,7.000050744431)); #582192=CARTESIAN_POINT('',(-3.749999945539,-1.604987263909,7.000050744431)); #582193=CARTESIAN_POINT('',(-2.249999967323,-1.604987263909,7.000050744431)); #582194=CARTESIAN_POINT('',(-2.949999957157,-1.604987263909,7.000050744431)); #582195=CARTESIAN_POINT('',(-3.749999945539,-2.404987252291,7.000050744431)); #582196=CARTESIAN_POINT('',(-3.749999945539,3.495012662024,7.000050744431)); #582197=CARTESIAN_POINT('',(-2.249999967323,-2.404987252291,7.000050744431)); #582198=CARTESIAN_POINT('',(-3.749999945539,-2.404987252291,7.000050744431)); #582199=CARTESIAN_POINT('',(-2.249999967323,-1.404987266814,7.000050744431)); #582200=CARTESIAN_POINT('Origin',(0.,0.545012704866,7.000050744431)); #582201=CARTESIAN_POINT('',(3.749999945539,-2.404987252291,7.000050744431)); #582202=CARTESIAN_POINT('',(3.749999945539,-1.604987263909,7.000050744431)); #582203=CARTESIAN_POINT('',(3.749999945539,3.495012662024,7.000050744431)); #582204=CARTESIAN_POINT('',(2.249999967323,-1.604987263909,7.000050744431)); #582205=CARTESIAN_POINT('',(-2.949999957157,-1.604987263909,7.000050744431)); #582206=CARTESIAN_POINT('',(2.249999967323,-2.404987252291,7.000050744431)); #582207=CARTESIAN_POINT('',(2.249999967323,-1.404987266814,7.000050744431)); #582208=CARTESIAN_POINT('',(-3.749999945539,-2.404987252291,7.000050744431)); #582209=CARTESIAN_POINT('Origin',(-2.499999963693,0.545012704866,0.500050838829999)); #582210=CARTESIAN_POINT('',(-1.849999973133,-0.993598239945,0.500050838829999)); #582211=CARTESIAN_POINT('',(-1.849999973133,2.083623649678,0.500050838829999)); #582212=CARTESIAN_POINT('',(-1.849999973133,-0.954987273349,0.500050838829999)); #582213=CARTESIAN_POINT('',(-0.64999999056,2.083623649678,0.500050838829999)); #582214=CARTESIAN_POINT('',(-1.849999973133,2.083623649678,0.500050838829999)); #582215=CARTESIAN_POINT('',(-0.64999999056,-0.993598239945,0.500050838829999)); #582216=CARTESIAN_POINT('',(-0.64999999056,-0.954987273349,0.500050838829999)); #582217=CARTESIAN_POINT('',(-1.849999973133,-0.993598239945,0.500050838829999)); #582218=CARTESIAN_POINT('',(-1.569999977199,-0.324987282499,0.500050838829999)); #582219=CARTESIAN_POINT('',(-0.929999986494,-0.324987282499,0.500050838829999)); #582220=CARTESIAN_POINT('',(-2.499999963693,-0.324987282499,0.500050838829999)); #582221=CARTESIAN_POINT('',(-0.929999986494,0.315012708207,0.500050838829999)); #582222=CARTESIAN_POINT('',(-0.929999986494,0.545012704866,0.500050838829999)); #582223=CARTESIAN_POINT('',(-1.569999977199,0.315012708207,0.500050838829999)); #582224=CARTESIAN_POINT('',(-2.499999963693,0.315012708207,0.500050838829999)); #582225=CARTESIAN_POINT('',(-1.569999977199,0.545012704866,0.500050838829999)); #582226=CARTESIAN_POINT('Origin',(0.,0.545012704866,0.500050838829999)); #582227=CARTESIAN_POINT('',(0.64999999056,-0.993598239945,0.500050838829999)); #582228=CARTESIAN_POINT('',(0.64999999056,2.083623649678,0.500050838829999)); #582229=CARTESIAN_POINT('',(0.64999999056,-0.954987273349,0.500050838829999)); #582230=CARTESIAN_POINT('',(1.849999973133,2.083623649678,0.500050838829999)); #582231=CARTESIAN_POINT('',(0.64999999056,2.083623649678,0.500050838829999)); #582232=CARTESIAN_POINT('',(1.849999973133,-0.993598239945,0.500050838829999)); #582233=CARTESIAN_POINT('',(1.849999973133,-0.954987273349,0.500050838829999)); #582234=CARTESIAN_POINT('',(0.64999999056,-0.993598239945,0.500050838829999)); #582235=CARTESIAN_POINT('',(0.929999986494,-0.324987282499,0.500050838829999)); #582236=CARTESIAN_POINT('',(1.569999977199,-0.324987282499,0.500050838829999)); #582237=CARTESIAN_POINT('',(0.,-0.324987282499,0.500050838829999)); #582238=CARTESIAN_POINT('',(1.569999977199,0.315012708207,0.500050838829999)); #582239=CARTESIAN_POINT('',(1.569999977199,0.545012704866,0.500050838829999)); #582240=CARTESIAN_POINT('',(0.929999986494,0.315012708207,0.500050838829999)); #582241=CARTESIAN_POINT('',(0.,0.315012708207,0.500050838829999)); #582242=CARTESIAN_POINT('',(0.929999986494,0.545012704866,0.500050838829999)); #582243=CARTESIAN_POINT('Origin',(0.,0.545012704866,2.000050817045)); #582244=CARTESIAN_POINT('',(-2.949999957157,-1.604987263909,2.000050817045)); #582245=CARTESIAN_POINT('',(2.949999957157,-1.604987263909,2.000050817045)); #582246=CARTESIAN_POINT('',(-2.949999957157,-1.604987263909,2.000050817045)); #582247=CARTESIAN_POINT('',(2.949999957157,2.695012673642,2.000050817045)); #582248=CARTESIAN_POINT('',(2.949999957157,-1.604987263909,2.000050817045)); #582249=CARTESIAN_POINT('',(-2.949999957157,2.695012673642,2.000050817045)); #582250=CARTESIAN_POINT('',(2.949999957157,2.695012673642,2.000050817045)); #582251=CARTESIAN_POINT('',(-2.949999957157,2.695012673642,2.000050817045)); #582252=CARTESIAN_POINT('',(1.549999977489,0.295012708497,2.000050817045)); #582253=CARTESIAN_POINT('',(0.949999986203,0.295012708497,2.000050817045)); #582254=CARTESIAN_POINT('',(0.949999986203,0.295012708497,2.000050817045)); #582255=CARTESIAN_POINT('',(1.549999977489,-0.304987282789,2.000050817045)); #582256=CARTESIAN_POINT('',(1.549999977489,0.295012708497,2.000050817045)); #582257=CARTESIAN_POINT('',(0.949999986203,-0.304987282789,2.000050817045)); #582258=CARTESIAN_POINT('',(0.949999986203,-0.304987282789,2.000050817045)); #582259=CARTESIAN_POINT('',(0.949999986203,0.295012708497,2.000050817045)); #582260=CARTESIAN_POINT('',(-0.949999986203,0.295012708497,2.000050817045)); #582261=CARTESIAN_POINT('',(-1.549999977489,0.295012708497,2.000050817045)); #582262=CARTESIAN_POINT('',(-1.549999977489,0.295012708497,2.000050817045)); #582263=CARTESIAN_POINT('',(-0.949999986203,-0.304987282789,2.000050817045)); #582264=CARTESIAN_POINT('',(-0.949999986203,0.295012708497,2.000050817045)); #582265=CARTESIAN_POINT('',(-1.549999977489,-0.304987282789,2.000050817045)); #582266=CARTESIAN_POINT('',(-1.549999977489,-0.304987282789,2.000050817045)); #582267=CARTESIAN_POINT('',(-1.549999977489,0.295012708497,2.000050817045)); #582268=CARTESIAN_POINT('Origin',(-0.64999999056,-2.404987252291,0.800050834473)); #582269=CARTESIAN_POINT('',(-0.64999999056,-2.404987252291,0.800050834473)); #582270=CARTESIAN_POINT('',(-0.64999999056,-0.954987273349,0.491843824527999)); #582271=CARTESIAN_POINT('',(-0.64999999056,2.045012683082,0.491843824527999)); #582272=CARTESIAN_POINT('',(-0.64999999056,-2.404987252291,5.08460913699604E-5)); #582273=CARTESIAN_POINT('',(-0.64999999056,-2.404987252291,5.08460913699604E-5)); #582274=CARTESIAN_POINT('',(-0.64999999056,-2.404987252291,0.800050834473)); #582275=CARTESIAN_POINT('Origin',(-1.849999973133,-2.404987252291,0.800050834473)); #582276=CARTESIAN_POINT('',(-1.849999973133,-2.404987252291,0.800050834473)); #582277=CARTESIAN_POINT('',(-1.849999973133,-0.954987273349,0.491843824527999)); #582278=CARTESIAN_POINT('',(-1.849999973133,-2.404987252291,5.08460913699604E-5)); #582279=CARTESIAN_POINT('',(-1.849999973133,-2.404987252291,0.800050834473)); #582280=CARTESIAN_POINT('',(-1.849999973133,-2.404987252291,5.08460913699604E-5)); #582281=CARTESIAN_POINT('',(-1.849999973133,2.045012683082,0.491843824527999)); #582282=CARTESIAN_POINT('Origin',(0.,0.545012704866,5.08460913699604E-5)); #582283=CARTESIAN_POINT('',(0.64999999056,-2.404987252291,5.08460913699604E-5)); #582284=CARTESIAN_POINT('',(-3.749999945539,-2.404987252291,5.08460913699604E-5)); #582285=CARTESIAN_POINT('',(0.64999999056,-2.404987252291,5.08460913699604E-5)); #582286=CARTESIAN_POINT('Origin',(1.849999973133,-2.404987252291,0.800050834473)); #582287=CARTESIAN_POINT('',(1.849999973133,-2.404987252291,0.800050834473)); #582288=CARTESIAN_POINT('',(1.849999973133,-0.954987273349,0.491843824527999)); #582289=CARTESIAN_POINT('',(1.849999973133,2.045012683082,0.491843824527999)); #582290=CARTESIAN_POINT('',(1.849999973133,-2.404987252291,5.08460913699604E-5)); #582291=CARTESIAN_POINT('',(1.849999973133,-2.404987252291,5.08460913699604E-5)); #582292=CARTESIAN_POINT('',(1.849999973133,-2.404987252291,0.800050834473)); #582293=CARTESIAN_POINT('Origin',(0.64999999056,-2.404987252291,0.800050834473)); #582294=CARTESIAN_POINT('',(0.64999999056,-2.404987252291,0.800050834473)); #582295=CARTESIAN_POINT('',(0.64999999056,-0.954987273349,0.491843824527999)); #582296=CARTESIAN_POINT('',(0.64999999056,-2.404987252291,0.800050834473)); #582297=CARTESIAN_POINT('',(0.64999999056,2.045012683082,0.491843824527999)); #582298=CARTESIAN_POINT('Origin',(0.,0.545012704866,5.08460913699604E-5)); #582299=CARTESIAN_POINT('',(3.749999945539,-2.404987252291,5.08460913699604E-5)); #582300=CARTESIAN_POINT('',(-3.749999945539,-2.404987252291,5.08460913699604E-5)); #582301=CARTESIAN_POINT('',(3.749999945539,3.495012662024,5.08460913699604E-5)); #582302=CARTESIAN_POINT('Origin',(0.,0.545012704866,7.000050744431)); #582303=CARTESIAN_POINT('',(-3.2499999528,-0.604987278432,7.000050744431)); #582304=CARTESIAN_POINT('',(-3.749999945539,-0.604987278432,7.000050744431)); #582305=CARTESIAN_POINT('',(-2.949999957157,-0.604987278432,7.000050744431)); #582306=CARTESIAN_POINT('',(-3.2499999528,2.995012669285,7.000050744431)); #582307=CARTESIAN_POINT('',(-3.2499999528,2.695012673642,7.000050744431)); #582308=CARTESIAN_POINT('',(3.2499999528,2.995012669285,7.000050744431)); #582309=CARTESIAN_POINT('',(2.949999957157,2.995012669285,7.000050744431)); #582310=CARTESIAN_POINT('',(3.2499999528,-0.604987278432,7.000050744431)); #582311=CARTESIAN_POINT('',(3.2499999528,-0.604987278432,7.000050744431)); #582312=CARTESIAN_POINT('',(3.749999945539,-0.604987278432,7.000050744431)); #582313=CARTESIAN_POINT('',(2.949999957157,-0.604987278432,7.000050744431)); #582314=CARTESIAN_POINT('',(3.749999945539,3.495012662024,7.000050744431)); #582315=CARTESIAN_POINT('',(-3.749999945539,3.495012662024,7.000050744431)); #582316=CARTESIAN_POINT('Origin',(3.749999945539,3.495012662024,7.000050744431)); #582317=CARTESIAN_POINT('',(3.749999945539,-1.104987271171,4.000050788)); #582318=CARTESIAN_POINT('',(3.749999945539,-0.604987278432,4.000050788)); #582319=CARTESIAN_POINT('',(3.749999945539,-1.604987263909,4.000050788)); #582320=CARTESIAN_POINT('',(3.749999945539,-1.604987263909,4.500050780738)); #582321=CARTESIAN_POINT('Origin',(3.749999945539,-1.104987271171,4.500050780738)); #582322=CARTESIAN_POINT('',(3.749999945539,-1.604987263909,4.000050788)); #582323=CARTESIAN_POINT('',(3.749999945539,-2.404987252291,7.000050744431)); #582324=CARTESIAN_POINT('',(3.749999945539,-0.604987278432,4.000050788)); #582325=CARTESIAN_POINT('Origin',(-3.749999945539,3.495012662024,7.000050744431)); #582326=CARTESIAN_POINT('',(-3.749999945539,-1.604987263909,4.500050780738)); #582327=CARTESIAN_POINT('',(-3.749999945539,-1.604987263909,4.000050788)); #582328=CARTESIAN_POINT('',(-3.749999945539,-1.104987271171,4.000050788)); #582329=CARTESIAN_POINT('Origin',(-3.749999945539,-1.104987271171,4.500050780738)); #582330=CARTESIAN_POINT('',(-3.749999945539,-0.604987278432,4.000050788)); #582331=CARTESIAN_POINT('',(-3.749999945539,-1.604987263909,4.000050788)); #582332=CARTESIAN_POINT('',(-3.749999945539,-0.604987278432,4.000050788)); #582333=CARTESIAN_POINT('',(-3.749999945539,-2.404987252291,5.08460913699604E-5)); #582334=CARTESIAN_POINT('',(-3.749999945539,3.495012662024,5.08460913699604E-5)); #582335=CARTESIAN_POINT('',(-3.749999945539,-2.404987252291,7.000050744431)); #582336=CARTESIAN_POINT('Origin',(-3.749999945539,-2.404987252291,7.000050744431)); #582337=CARTESIAN_POINT('',(1.249999981846,-2.404987252291,4.000050788)); #582338=CARTESIAN_POINT('',(2.249999967323,-2.404987252291,5.000050773477)); #582339=CARTESIAN_POINT('Origin',(1.249999981846,-2.404987252291,5.000050773477)); #582340=CARTESIAN_POINT('',(-1.249999981846,-2.404987252291,4.000050788)); #582341=CARTESIAN_POINT('',(-1.249999981846,-2.404987252291,4.000050788)); #582342=CARTESIAN_POINT('',(-2.249999967323,-2.404987252291,5.000050773477)); #582343=CARTESIAN_POINT('Origin',(-1.249999981846,-2.404987252291,5.000050773477)); #582344=CARTESIAN_POINT('',(-2.249999967323,-2.404987252291,6.000050758954)); #582345=CARTESIAN_POINT('',(-2.249999967323,-2.404987252291,6.000050758954)); #582346=CARTESIAN_POINT('',(-1.94999997168,-2.404987252291,6.300050754597)); #582347=CARTESIAN_POINT('',(-1.94999997168,-2.404987252291,6.300050754597)); #582348=CARTESIAN_POINT('',(-2.249999967323,-2.404987252291,6.60005075024)); #582349=CARTESIAN_POINT('',(-2.249999967323,-2.404987252291,6.60005075024)); #582350=CARTESIAN_POINT('',(-2.249999967323,-2.404987252291,7.000050744431)); #582351=CARTESIAN_POINT('',(-3.749999945539,-2.404987252291,5.08460913699604E-5)); #582352=CARTESIAN_POINT('',(-1.849999973133,-2.404987252291,0.800050834473)); #582353=CARTESIAN_POINT('',(0.64999999056,-2.404987252291,0.800050834473)); #582354=CARTESIAN_POINT('',(2.249999967323,-2.404987252291,6.60005075024)); #582355=CARTESIAN_POINT('',(2.249999967323,-2.404987252291,7.000050744431)); #582356=CARTESIAN_POINT('',(1.94999997168,-2.404987252291,6.300050754597)); #582357=CARTESIAN_POINT('',(2.249999967323,-2.404987252291,6.60005075024)); #582358=CARTESIAN_POINT('',(2.249999967323,-2.404987252291,6.000050758954)); #582359=CARTESIAN_POINT('',(1.94999997168,-2.404987252291,6.300050754597)); #582360=CARTESIAN_POINT('',(2.249999967323,-2.404987252291,6.000050758954)); #582361=CARTESIAN_POINT('Origin',(0.,0.545012704866,5.08460913699604E-5)); #582362=CARTESIAN_POINT('Origin',(-2.949999957157,-1.604987263909,2.000050817045)); #582363=CARTESIAN_POINT('',(-2.249999967323,-1.604987263909,5.000050773477)); #582364=CARTESIAN_POINT('',(-1.249999981846,-1.604987263909,4.000050788)); #582365=CARTESIAN_POINT('Origin',(-1.249999981846,-1.604987263909,5.000050773477)); #582366=CARTESIAN_POINT('',(1.249999981846,-1.604987263909,4.000050788)); #582367=CARTESIAN_POINT('',(-2.949999957157,-1.604987263909,4.000050788)); #582368=CARTESIAN_POINT('',(2.249999967323,-1.604987263909,5.000050773477)); #582369=CARTESIAN_POINT('Origin',(1.249999981846,-1.604987263909,5.000050773477)); #582370=CARTESIAN_POINT('',(2.249999967323,-1.604987263909,6.000050758954)); #582371=CARTESIAN_POINT('',(2.249999967323,-1.604987263909,2.000050817045)); #582372=CARTESIAN_POINT('',(1.94999997168,-1.604987263909,6.300050754597)); #582373=CARTESIAN_POINT('',(1.649999976037,-1.604987263909,6.60005075024)); #582374=CARTESIAN_POINT('',(2.249999967323,-1.604987263909,6.60005075024)); #582375=CARTESIAN_POINT('',(-2.649999961514,-1.604987263909,1.700050821402)); #582376=CARTESIAN_POINT('',(2.249999967323,-1.604987263909,2.000050817045)); #582377=CARTESIAN_POINT('',(2.949999957157,-1.604987263909,4.500050780738)); #582378=CARTESIAN_POINT('',(-2.949999957157,-1.604987263909,4.500050780738)); #582379=CARTESIAN_POINT('',(2.949999957157,-1.604987263909,2.000050817045)); #582380=CARTESIAN_POINT('',(-2.949999957157,-1.604987263909,4.500050780738)); #582381=CARTESIAN_POINT('',(-2.949999957157,-1.604987263909,2.000050817045)); #582382=CARTESIAN_POINT('',(-2.949999957157,-1.604987263909,4.500050780738)); #582383=CARTESIAN_POINT('',(-2.249999967323,-1.604987263909,6.60005075024)); #582384=CARTESIAN_POINT('',(-2.249999967323,-1.604987263909,2.000050817045)); #582385=CARTESIAN_POINT('',(-1.94999997168,-1.604987263909,6.300050754597)); #582386=CARTESIAN_POINT('',(-0.299999995643,-1.604987263909,4.65005077856)); #582387=CARTESIAN_POINT('',(-2.249999967323,-1.604987263909,6.000050758954)); #582388=CARTESIAN_POINT('',(-4.599999933194,-1.604987263909,3.650050793083)); #582389=CARTESIAN_POINT('',(-2.249999967323,-1.604987263909,2.000050817045)); #582390=CARTESIAN_POINT('Origin',(-2.949999957157,2.695012673642,2.000050817045)); #582391=CARTESIAN_POINT('',(-2.949999957157,2.695012673642,6.700050748788)); #582392=CARTESIAN_POINT('',(-2.949999957157,2.695012673642,2.000050817045)); #582393=CARTESIAN_POINT('',(-2.949999957157,-0.604987278432,6.700050748788)); #582394=CARTESIAN_POINT('',(-2.949999957157,-0.604987278432,6.700050748788)); #582395=CARTESIAN_POINT('',(-2.949999957157,-0.604987278432,4.000050788)); #582396=CARTESIAN_POINT('',(-2.949999957157,-0.604987278432,4.000050788)); #582397=CARTESIAN_POINT('',(-2.949999957157,-1.104987271171,4.000050788)); #582398=CARTESIAN_POINT('',(-2.949999957157,-1.604987263909,4.000050788)); #582399=CARTESIAN_POINT('Origin',(-2.949999957157,-1.104987271171,4.500050780738)); #582400=CARTESIAN_POINT('Origin',(2.949999957157,2.695012673642,2.000050817045)); #582401=CARTESIAN_POINT('',(2.949999957157,2.695012673642,6.700050748788)); #582402=CARTESIAN_POINT('',(2.949999957157,2.695012673642,2.000050817045)); #582403=CARTESIAN_POINT('',(-2.949999957157,2.695012673642,6.700050748788)); #582404=CARTESIAN_POINT('Origin',(2.949999957157,-1.604987263909,2.000050817045)); #582405=CARTESIAN_POINT('',(2.949999957157,-0.604987278432,4.000050788)); #582406=CARTESIAN_POINT('',(2.949999957157,-0.604987278432,6.700050748788)); #582407=CARTESIAN_POINT('',(2.949999957157,-0.604987278432,4.000050788)); #582408=CARTESIAN_POINT('',(2.949999957157,2.695012673642,6.700050748788)); #582409=CARTESIAN_POINT('',(2.949999957157,-1.104987271171,4.000050788)); #582410=CARTESIAN_POINT('Origin',(2.949999957157,-1.104987271171,4.500050780738)); #582411=CARTESIAN_POINT('',(2.949999957157,-1.604987263909,4.000050788)); #582412=CARTESIAN_POINT('Origin',(2.249999967323,-1.404987266814,7.000050744431)); #582413=CARTESIAN_POINT('',(2.249999967323,-1.404987266814,6.60005075024)); #582414=CARTESIAN_POINT('Origin',(2.249999967323,-1.404987266814,6.60005075024)); #582415=CARTESIAN_POINT('',(1.94999997168,-1.404987266814,6.300050754597)); #582416=CARTESIAN_POINT('Origin',(1.94999997168,-1.404987266814,6.300050754597)); #582417=CARTESIAN_POINT('',(2.249999967323,-1.404987266814,6.000050758954)); #582418=CARTESIAN_POINT('Origin',(2.249999967323,-1.404987266814,6.000050758954)); #582419=CARTESIAN_POINT('',(2.249999967323,-1.404987266814,5.000050773477)); #582420=CARTESIAN_POINT('Origin',(1.249999981846,-1.404987266814,5.000050773477)); #582421=CARTESIAN_POINT('',(1.249999981846,-1.404987266814,4.000050788)); #582422=CARTESIAN_POINT('Origin',(-2.249999967323,-1.404987266814,7.000050744431)); #582423=CARTESIAN_POINT('',(-2.249999967323,-1.404987266814,6.60005075024)); #582424=CARTESIAN_POINT('Origin',(-1.249999981846,-1.404987266814,4.000050788)); #582425=CARTESIAN_POINT('',(-1.249999981846,-1.404987266814,4.000050788)); #582426=CARTESIAN_POINT('Origin',(-1.249999981846,-1.404987266814,5.000050773477)); #582427=CARTESIAN_POINT('',(-2.249999967323,-1.404987266814,5.000050773477)); #582428=CARTESIAN_POINT('Origin',(-2.249999967323,-1.404987266814,6.000050758954)); #582429=CARTESIAN_POINT('',(-2.249999967323,-1.404987266814,6.000050758954)); #582430=CARTESIAN_POINT('Origin',(-1.94999997168,-1.404987266814,6.300050754597)); #582431=CARTESIAN_POINT('',(-1.94999997168,-1.404987266814,6.300050754597)); #582432=CARTESIAN_POINT('Origin',(-2.249999967323,-1.404987266814,6.60005075024)); #582433=CARTESIAN_POINT('Origin',(0.64999999056,-0.954987273349,0.491843824527999)); #582434=CARTESIAN_POINT('Origin',(0.64999999056,2.045012683082,0.491843824527999)); #582435=CARTESIAN_POINT('Origin',(-1.849999973133,-0.954987273349,0.491843824527999)); #582436=CARTESIAN_POINT('Origin',(-1.849999973133,2.045012683082,0.491843824527999)); #582437=CARTESIAN_POINT('Origin',(1.549999977489,0.295012708497,6.500050751692)); #582438=CARTESIAN_POINT('',(1.549999977489,0.295012708497,6.000050758954)); #582439=CARTESIAN_POINT('',(1.549999977489,0.295012708497,6.500050751692)); #582440=CARTESIAN_POINT('',(1.549999977489,-0.304987282789,6.000050758954)); #582441=CARTESIAN_POINT('',(1.549999977489,-0.304987282789,6.000050758954)); #582442=CARTESIAN_POINT('',(1.549999977489,-0.304987282789,6.500050751692)); #582443=CARTESIAN_POINT('Origin',(0.949999986203,0.295012708497,6.500050751692)); #582444=CARTESIAN_POINT('',(0.949999986203,0.295012708497,6.000050758954)); #582445=CARTESIAN_POINT('',(0.949999986203,0.295012708497,6.500050751692)); #582446=CARTESIAN_POINT('',(1.549999977489,0.295012708497,6.000050758954)); #582447=CARTESIAN_POINT('Origin',(0.949999986203,0.295012708497,6.500050751692)); #582448=CARTESIAN_POINT('',(0.949999986203,-0.304987282789,6.000050758954)); #582449=CARTESIAN_POINT('',(0.949999986203,-0.304987282789,6.500050751692)); #582450=CARTESIAN_POINT('',(0.949999986203,0.295012708497,6.000050758954)); #582451=CARTESIAN_POINT('Origin',(0.949999986203,-0.304987282789,6.500050751692)); #582452=CARTESIAN_POINT('',(0.949999986203,-0.304987282789,6.000050758954)); #582453=CARTESIAN_POINT('Origin',(0.,0.545012704866,6.500050751692)); #582454=CARTESIAN_POINT('',(1.131985100693,0.113027594007,6.500050751692)); #582455=CARTESIAN_POINT('',(1.131985100693,-0.123002168299,6.500050751692)); #582456=CARTESIAN_POINT('',(1.131985100693,-0.304987282789,6.500050751692)); #582457=CARTESIAN_POINT('',(1.368014862999,-0.123002168299,6.500050751692)); #582458=CARTESIAN_POINT('',(1.549999977489,-0.123002168299,6.500050751692)); #582459=CARTESIAN_POINT('',(1.368014862999,0.113027594007,6.500050751692)); #582460=CARTESIAN_POINT('',(1.368014862999,0.295012708497,6.500050751692)); #582461=CARTESIAN_POINT('',(0.949999986203,0.113027594007,6.500050751692)); #582462=CARTESIAN_POINT('Origin',(0.,0.113027594007,6.500050751692)); #582463=CARTESIAN_POINT('',(1.413255385741,0.158268116749,6.375753437015)); #582464=CARTESIAN_POINT('',(1.013435649789,0.231577044912,6.174338812243)); #582465=CARTESIAN_POINT('Origin',(1.131985100693,0.545012704866,6.500050751692)); #582466=CARTESIAN_POINT('',(1.201944322207,-0.05304294678499,6.692262133084)); #582467=CARTESIAN_POINT('Origin',(1.368014862999,0.545012704866,6.500050751692)); #582468=CARTESIAN_POINT('',(1.298055641485,-0.05304294678499,6.692262133084)); #582469=CARTESIAN_POINT('Origin',(0.,-0.123002168299,6.500050751692)); #582470=CARTESIAN_POINT('Origin',(-0.949999986203,0.295012708497,6.500050751692)); #582471=CARTESIAN_POINT('',(-0.949999986203,0.295012708497,6.000050758954)); #582472=CARTESIAN_POINT('',(-0.949999986203,0.295012708497,6.500050751692)); #582473=CARTESIAN_POINT('',(-0.949999986203,-0.304987282789,6.000050758954)); #582474=CARTESIAN_POINT('',(-0.949999986203,-0.304987282789,6.000050758954)); #582475=CARTESIAN_POINT('',(-0.949999986203,-0.304987282789,6.500050751692)); #582476=CARTESIAN_POINT('Origin',(-1.549999977489,0.295012708497,6.500050751692)); #582477=CARTESIAN_POINT('',(-1.549999977489,0.295012708497,6.000050758954)); #582478=CARTESIAN_POINT('',(-1.549999977489,0.295012708497,6.500050751692)); #582479=CARTESIAN_POINT('',(-0.949999986203,0.295012708497,6.000050758954)); #582480=CARTESIAN_POINT('Origin',(-1.549999977489,0.295012708497,6.500050751692)); #582481=CARTESIAN_POINT('',(-1.549999977489,-0.304987282789,6.000050758954)); #582482=CARTESIAN_POINT('',(-1.549999977489,-0.304987282789,6.500050751692)); #582483=CARTESIAN_POINT('',(-1.549999977489,0.295012708497,6.000050758954)); #582484=CARTESIAN_POINT('Origin',(-1.549999977489,-0.304987282789,6.500050751692)); #582485=CARTESIAN_POINT('',(-1.549999977489,-0.304987282789,6.000050758954)); #582486=CARTESIAN_POINT('Origin',(-2.499999963693,0.545012704866,6.500050751692)); #582487=CARTESIAN_POINT('',(-1.368014862999,0.113027594007,6.500050751692)); #582488=CARTESIAN_POINT('',(-1.368014862999,-0.123002168299,6.500050751692)); #582489=CARTESIAN_POINT('',(-1.368014862999,-0.304987282789,6.500050751692)); #582490=CARTESIAN_POINT('',(-1.131985100693,-0.123002168299,6.500050751692)); #582491=CARTESIAN_POINT('',(-0.949999986203,-0.123002168299,6.500050751692)); #582492=CARTESIAN_POINT('',(-1.131985100693,0.113027594007,6.500050751692)); #582493=CARTESIAN_POINT('',(-1.131985100693,0.295012708497,6.500050751692)); #582494=CARTESIAN_POINT('',(-1.549999977489,0.113027594007,6.500050751692)); #582495=CARTESIAN_POINT('Origin',(-2.499999963693,0.113027594007,6.500050751692)); #582496=CARTESIAN_POINT('',(-1.086744577951,0.158268116749,6.375753437015)); #582497=CARTESIAN_POINT('',(-1.486564313904,0.231577044912,6.174338812243)); #582498=CARTESIAN_POINT('Origin',(-1.368014862999,0.545012704866,6.500050751692)); #582499=CARTESIAN_POINT('',(-1.298055641485,-0.05304294678499,6.692262133084)); #582500=CARTESIAN_POINT('Origin',(-1.131985100693,0.545012704866,6.500050751692)); #582501=CARTESIAN_POINT('',(-1.201944322207,-0.05304294678499,6.692262133084)); #582502=CARTESIAN_POINT('Origin',(-2.499999963693,-0.123002168299,6.500050751692)); #582503=CARTESIAN_POINT('Origin',(1.569999977199,-0.324987282499,2.000050817045)); #582504=CARTESIAN_POINT('',(0.929999986494,-0.324987282499,-2.899949111792)); #582505=CARTESIAN_POINT('',(0.929999986494,-0.324987282499,2.000050817045)); #582506=CARTESIAN_POINT('',(1.569999977199,-0.324987282499,-2.899949111792)); #582507=CARTESIAN_POINT('',(1.569999977199,-0.324987282499,-2.899949111792)); #582508=CARTESIAN_POINT('',(1.569999977199,-0.324987282499,2.000050817045)); #582509=CARTESIAN_POINT('Origin',(1.569999977199,0.315012708207,-3.399949104531)); #582510=CARTESIAN_POINT('',(1.388014862709,0.133027593717,-3.399949104531)); #582511=CARTESIAN_POINT('',(1.388014862709,-0.143002168009,-3.399949104531)); #582512=CARTESIAN_POINT('',(1.388014862709,-0.324987282499,-3.399949104531)); #582513=CARTESIAN_POINT('',(1.111985100984,-0.143002168009,-3.399949104531)); #582514=CARTESIAN_POINT('',(0.929999986494,-0.143002168009,-3.399949104531)); #582515=CARTESIAN_POINT('',(1.111985100984,0.133027593717,-3.399949104531)); #582516=CARTESIAN_POINT('',(1.111985100984,0.315012708207,-3.399949104531)); #582517=CARTESIAN_POINT('',(1.569999977199,0.133027593717,-3.399949104531)); #582518=CARTESIAN_POINT('Origin',(1.569999977199,0.315012708207,2.000050817045)); #582519=CARTESIAN_POINT('',(1.569999977199,0.315012708207,-2.899949111792)); #582520=CARTESIAN_POINT('',(1.569999977199,0.315012708207,2.000050817045)); #582521=CARTESIAN_POINT('',(0.929999986494,0.315012708207,-2.899949111792)); #582522=CARTESIAN_POINT('',(1.569999977199,0.315012708207,-2.899949111792)); #582523=CARTESIAN_POINT('',(0.929999986494,0.315012708207,2.000050817045)); #582524=CARTESIAN_POINT('Origin',(1.569999977199,0.545012704866,5.08460913699604E-5)); #582525=CARTESIAN_POINT('',(1.569999977199,0.315012708207,-2.899949111792)); #582526=CARTESIAN_POINT('Origin',(0.929999986494,0.545012704866,5.08460913699604E-5)); #582527=CARTESIAN_POINT('',(0.929999986494,0.545012704866,-2.899949111792)); #582528=CARTESIAN_POINT('Origin',(1.569999977199,0.315012708207,-2.899949111792)); #582529=CARTESIAN_POINT('',(0.90591276724,0.33909992746,-2.833770020796)); #582530=CARTESIAN_POINT('',(1.569999977199,0.315012708207,-2.899949111792)); #582531=CARTESIAN_POINT('Origin',(1.388014862709,0.315012708207,-3.399949104531)); #582532=CARTESIAN_POINT('',(1.340048319644,-0.09503562494337,-3.531736098491)); #582533=CARTESIAN_POINT('Origin',(0.929999986494,0.545012704866,-2.899949111792)); #582534=CARTESIAN_POINT('',(1.021112511496,-0.233874757496,-3.150278716866)); #582535=CARTESIAN_POINT('Origin',(1.569999977199,-0.143002168009,-3.399949104531)); #582536=CARTESIAN_POINT('Origin',(-0.929999986494,-0.324987282499,2.000050817045)); #582537=CARTESIAN_POINT('',(-1.569999977199,-0.324987282499,-2.899949111792)); #582538=CARTESIAN_POINT('',(-1.569999977199,-0.324987282499,2.000050817045)); #582539=CARTESIAN_POINT('',(-0.929999986494,-0.324987282499,-2.899949111792)); #582540=CARTESIAN_POINT('',(-0.929999986494,-0.324987282499,-2.899949111792)); #582541=CARTESIAN_POINT('',(-0.929999986494,-0.324987282499,2.000050817045)); #582542=CARTESIAN_POINT('Origin',(-0.929999986494,0.315012708207,-3.399949104531)); #582543=CARTESIAN_POINT('',(-1.111985100984,0.133027593717,-3.399949104531)); #582544=CARTESIAN_POINT('',(-1.111985100984,-0.143002168009,-3.399949104531)); #582545=CARTESIAN_POINT('',(-1.111985100984,-0.324987282499,-3.399949104531)); #582546=CARTESIAN_POINT('',(-1.388014862709,-0.143002168009,-3.399949104531)); #582547=CARTESIAN_POINT('',(-1.569999977199,-0.143002168009,-3.399949104531)); #582548=CARTESIAN_POINT('',(-1.388014862709,0.133027593717,-3.399949104531)); #582549=CARTESIAN_POINT('',(-1.388014862709,0.315012708207,-3.399949104531)); #582550=CARTESIAN_POINT('',(-0.929999986494,0.133027593717,-3.399949104531)); #582551=CARTESIAN_POINT('Origin',(-0.929999986494,0.315012708207,2.000050817045)); #582552=CARTESIAN_POINT('',(-0.929999986494,0.315012708207,-2.899949111792)); #582553=CARTESIAN_POINT('',(-0.929999986494,0.315012708207,2.000050817045)); #582554=CARTESIAN_POINT('',(-1.569999977199,0.315012708207,-2.899949111792)); #582555=CARTESIAN_POINT('',(-0.929999986494,0.315012708207,-2.899949111792)); #582556=CARTESIAN_POINT('',(-1.569999977199,0.315012708207,2.000050817045)); #582557=CARTESIAN_POINT('Origin',(-0.929999986494,0.545012704866,5.08460913699604E-5)); #582558=CARTESIAN_POINT('',(-0.929999986494,0.315012708207,-2.899949111792)); #582559=CARTESIAN_POINT('Origin',(-1.569999977199,0.545012704866,5.08460913699604E-5)); #582560=CARTESIAN_POINT('',(-1.569999977199,0.545012704866,-2.899949111792)); #582561=CARTESIAN_POINT('Origin',(-0.929999986494,0.315012708207,-2.899949111792)); #582562=CARTESIAN_POINT('',(-1.594087196452,0.33909992746,-2.833770020796)); #582563=CARTESIAN_POINT('',(-0.929999986494,0.315012708207,-2.899949111792)); #582564=CARTESIAN_POINT('Origin',(-1.111985100984,0.315012708207,-3.399949104531)); #582565=CARTESIAN_POINT('',(-1.159951644049,-0.09503562494337,-3.531736098491)); #582566=CARTESIAN_POINT('Origin',(-1.569999977199,0.545012704866,-2.899949111792)); #582567=CARTESIAN_POINT('',(-1.478887452197,-0.233874757496,-3.150278716866)); #582568=CARTESIAN_POINT('Origin',(-0.929999986494,-0.143002168009,-3.399949104531)); #582569=CARTESIAN_POINT('Origin',(2.949999957157,-0.604987278432,4.000050788)); #582570=CARTESIAN_POINT('',(2.949999957157,-0.604987278432,6.700050748788)); #582571=CARTESIAN_POINT('',(2.949999957157,-0.604987278432,4.000050788)); #582572=CARTESIAN_POINT('Origin',(0.,0.545012704866,4.000050788)); #582573=CARTESIAN_POINT('',(0.,-1.104987271171,4.000050788)); #582574=CARTESIAN_POINT('Origin',(-2.949999957157,-0.604987278432,4.000050788)); #582575=CARTESIAN_POINT('',(-2.949999957157,-0.604987278432,6.700050748788)); #582576=CARTESIAN_POINT('',(-2.949999957157,-0.604987278432,4.000050788)); #582577=CARTESIAN_POINT('Origin',(0.,0.545012704866,4.000050788)); #582578=CARTESIAN_POINT('',(0.,-1.104987271171,4.000050788)); #582579=CARTESIAN_POINT('Origin',(0.,-1.104987271171,4.500050780738)); #582580=CARTESIAN_POINT('Origin',(0.,-1.104987271171,4.500050780738)); #582581=CARTESIAN_POINT('Origin',(2.949999957157,-1.604987263909,6.700050748788)); #582582=CARTESIAN_POINT('',(2.949999957157,2.695012673642,6.700050748788)); #582583=CARTESIAN_POINT('Origin',(2.949999957157,2.695012673642,6.700050748788)); #582584=CARTESIAN_POINT('',(-2.949999957157,2.695012673642,6.700050748788)); #582585=CARTESIAN_POINT('Origin',(-2.949999957157,2.695012673642,6.700050748788)); #582586=CARTESIAN_POINT('',(0.,0.,0.)); #582587=CARTESIAN_POINT('',(0.,0.,0.)); #582588=CARTESIAN_POINT('',(0.,0.,0.)); #582589=CARTESIAN_POINT('',(0.,0.,0.)); #582590=CARTESIAN_POINT('Origin',(-0.81,-0.41,0.41)); #582591=CARTESIAN_POINT('',(-0.85,-0.41,0.41)); #582592=CARTESIAN_POINT('',(-0.81,-0.41,0.45)); #582593=CARTESIAN_POINT('Origin',(-0.81,-0.41,0.41)); #582594=CARTESIAN_POINT('',(-0.81,-0.45,0.41)); #582595=CARTESIAN_POINT('Origin',(-0.81,-0.41,0.41)); #582596=CARTESIAN_POINT('Origin',(-0.81,-0.41,0.41)); #582597=CARTESIAN_POINT('Origin',(-0.39,-0.41,0.41)); #582598=CARTESIAN_POINT('',(-0.39,-0.45,0.41)); #582599=CARTESIAN_POINT('',(-0.39,-0.41,0.45)); #582600=CARTESIAN_POINT('Origin',(-0.39,-0.41,0.41)); #582601=CARTESIAN_POINT('',(-0.35,-0.41,0.41)); #582602=CARTESIAN_POINT('Origin',(-0.39,-0.41,0.41)); #582603=CARTESIAN_POINT('Origin',(-0.39,-0.41,0.41)); #582604=CARTESIAN_POINT('Origin',(-0.81,-0.41,0.04)); #582605=CARTESIAN_POINT('',(-0.85,-0.41,0.04)); #582606=CARTESIAN_POINT('',(-0.81,-0.45,0.04)); #582607=CARTESIAN_POINT('Origin',(-0.81,-0.41,0.04)); #582608=CARTESIAN_POINT('',(-0.81,-0.41,0.)); #582609=CARTESIAN_POINT('Origin',(-0.81,-0.41,0.04)); #582610=CARTESIAN_POINT('Origin',(-0.81,-0.41,0.04)); #582611=CARTESIAN_POINT('Origin',(-0.81,0.41,0.41)); #582612=CARTESIAN_POINT('',(-0.81,0.45,0.41)); #582613=CARTESIAN_POINT('',(-0.81,0.41,0.45)); #582614=CARTESIAN_POINT('Origin',(-0.81,0.41,0.41)); #582615=CARTESIAN_POINT('',(-0.85,0.41,0.41)); #582616=CARTESIAN_POINT('Origin',(-0.81,0.41,0.41)); #582617=CARTESIAN_POINT('Origin',(-0.81,0.41,0.41)); #582618=CARTESIAN_POINT('Origin',(-0.39,-0.41,0.04)); #582619=CARTESIAN_POINT('',(-0.39,-0.45,0.04)); #582620=CARTESIAN_POINT('',(-0.35,-0.41,0.04)); #582621=CARTESIAN_POINT('Origin',(-0.39,-0.41,0.04)); #582622=CARTESIAN_POINT('',(-0.39,-0.41,0.)); #582623=CARTESIAN_POINT('Origin',(-0.39,-0.41,0.04)); #582624=CARTESIAN_POINT('Origin',(-0.39,-0.41,0.04)); #582625=CARTESIAN_POINT('Origin',(-0.725,-0.41,0.04)); #582626=CARTESIAN_POINT('',(-0.725,-0.45,0.04)); #582627=CARTESIAN_POINT('',(-0.725,-0.41,0.)); #582628=CARTESIAN_POINT('Origin',(-0.39,0.41,0.41)); #582629=CARTESIAN_POINT('',(-0.35,0.41,0.41)); #582630=CARTESIAN_POINT('',(-0.39,0.41,0.45)); #582631=CARTESIAN_POINT('Origin',(-0.39,0.41,0.41)); #582632=CARTESIAN_POINT('',(-0.39,0.45,0.41)); #582633=CARTESIAN_POINT('Origin',(-0.39,0.41,0.41)); #582634=CARTESIAN_POINT('Origin',(-0.39,0.41,0.41)); #582635=CARTESIAN_POINT('Origin',(-0.81,0.41,0.04)); #582636=CARTESIAN_POINT('',(-0.81,0.41,0.)); #582637=CARTESIAN_POINT('',(-0.81,0.45,0.04)); #582638=CARTESIAN_POINT('Origin',(-0.81,0.41,0.04)); #582639=CARTESIAN_POINT('',(-0.85,0.41,0.04)); #582640=CARTESIAN_POINT('Origin',(-0.81,0.41,0.04)); #582641=CARTESIAN_POINT('Origin',(-0.81,0.41,0.04)); #582642=CARTESIAN_POINT('Origin',(-0.81,0.225,0.04)); #582643=CARTESIAN_POINT('',(-0.85,0.225,0.04)); #582644=CARTESIAN_POINT('',(-0.81,0.225,0.)); #582645=CARTESIAN_POINT('Origin',(-0.81,0.41,0.)); #582646=CARTESIAN_POINT('',(-0.81,0.45,0.)); #582647=CARTESIAN_POINT('',(-0.85,0.41,0.)); #582648=CARTESIAN_POINT('Origin',(-0.39,0.41,0.04)); #582649=CARTESIAN_POINT('',(-0.35,0.41,0.04)); #582650=CARTESIAN_POINT('',(-0.39,0.45,0.04)); #582651=CARTESIAN_POINT('Origin',(-0.39,0.41,0.04)); #582652=CARTESIAN_POINT('',(-0.39,0.41,0.)); #582653=CARTESIAN_POINT('Origin',(-0.39,0.41,0.04)); #582654=CARTESIAN_POINT('Origin',(-0.39,0.41,0.04)); #582655=CARTESIAN_POINT('Origin',(-0.39,-0.225,0.04)); #582656=CARTESIAN_POINT('',(-0.39,-0.225,0.)); #582657=CARTESIAN_POINT('',(-0.35,-0.225,0.04)); #582658=CARTESIAN_POINT('Origin',(-0.475,0.41,0.04)); #582659=CARTESIAN_POINT('',(-0.475,0.45,0.04)); #582660=CARTESIAN_POINT('',(-0.475,0.41,0.)); #582661=CARTESIAN_POINT('Origin',(-0.39,0.41,0.)); #582662=CARTESIAN_POINT('',(-0.35,0.41,0.)); #582663=CARTESIAN_POINT('',(-0.39,0.45,0.)); #582664=CARTESIAN_POINT('Origin',(-0.475,0.41,0.41)); #582665=CARTESIAN_POINT('',(-0.475,0.41,0.45)); #582666=CARTESIAN_POINT('',(-0.475,0.45,0.41)); #582667=CARTESIAN_POINT('Origin',(-0.39,-0.225,0.41)); #582668=CARTESIAN_POINT('',(-0.39,-0.225,0.45)); #582669=CARTESIAN_POINT('',(-0.35,-0.225,0.41)); #582670=CARTESIAN_POINT('Origin',(-0.39,-0.41,0.)); #582671=CARTESIAN_POINT('',(-0.39,-0.45,0.)); #582672=CARTESIAN_POINT('',(-0.35,-0.41,0.)); #582673=CARTESIAN_POINT('Origin',(-0.81,0.225,0.41)); #582674=CARTESIAN_POINT('',(-0.81,0.225,0.45)); #582675=CARTESIAN_POINT('',(-0.85,0.225,0.41)); #582676=CARTESIAN_POINT('Origin',(-0.81,-0.41,0.)); #582677=CARTESIAN_POINT('',(-0.85,-0.41,0.)); #582678=CARTESIAN_POINT('',(-0.81,-0.45,0.)); #582679=CARTESIAN_POINT('Origin',(-0.725,-0.41,0.41)); #582680=CARTESIAN_POINT('',(-0.725,-0.41,0.45)); #582681=CARTESIAN_POINT('',(-0.725,-0.45,0.41)); #582682=CARTESIAN_POINT('Origin',(-0.85,-0.45,0.)); #582683=CARTESIAN_POINT('Origin',(-0.6,0.,0.)); #582684=CARTESIAN_POINT('Origin',(-0.85,0.45,0.)); #582685=CARTESIAN_POINT('Origin',(-0.35,0.45,0.)); #582686=CARTESIAN_POINT('Origin',(-0.35,-0.45,0.)); #582687=CARTESIAN_POINT('Origin',(-0.6,0.,0.45)); #582688=CARTESIAN_POINT('Origin',(0.39,-0.41,0.41)); #582689=CARTESIAN_POINT('',(0.35,-0.41,0.41)); #582690=CARTESIAN_POINT('',(0.39,-0.41,0.45)); #582691=CARTESIAN_POINT('Origin',(0.39,-0.41,0.41)); #582692=CARTESIAN_POINT('',(0.39,-0.45,0.41)); #582693=CARTESIAN_POINT('Origin',(0.39,-0.41,0.41)); #582694=CARTESIAN_POINT('Origin',(0.39,-0.41,0.41)); #582695=CARTESIAN_POINT('Origin',(0.81,-0.41,0.41)); #582696=CARTESIAN_POINT('',(0.81,-0.45,0.41)); #582697=CARTESIAN_POINT('',(0.81,-0.41,0.45)); #582698=CARTESIAN_POINT('Origin',(0.81,-0.41,0.41)); #582699=CARTESIAN_POINT('',(0.85,-0.41,0.41)); #582700=CARTESIAN_POINT('Origin',(0.81,-0.41,0.41)); #582701=CARTESIAN_POINT('Origin',(0.81,-0.41,0.41)); #582702=CARTESIAN_POINT('Origin',(0.39,-0.41,0.04)); #582703=CARTESIAN_POINT('',(0.35,-0.41,0.04)); #582704=CARTESIAN_POINT('',(0.39,-0.45,0.04)); #582705=CARTESIAN_POINT('Origin',(0.39,-0.41,0.04)); #582706=CARTESIAN_POINT('',(0.39,-0.41,0.)); #582707=CARTESIAN_POINT('Origin',(0.39,-0.41,0.04)); #582708=CARTESIAN_POINT('Origin',(0.39,-0.41,0.04)); #582709=CARTESIAN_POINT('Origin',(0.39,0.41,0.41)); #582710=CARTESIAN_POINT('',(0.39,0.45,0.41)); #582711=CARTESIAN_POINT('',(0.39,0.41,0.45)); #582712=CARTESIAN_POINT('Origin',(0.39,0.41,0.41)); #582713=CARTESIAN_POINT('',(0.35,0.41,0.41)); #582714=CARTESIAN_POINT('Origin',(0.39,0.41,0.41)); #582715=CARTESIAN_POINT('Origin',(0.39,0.41,0.41)); #582716=CARTESIAN_POINT('Origin',(0.81,-0.41,0.04)); #582717=CARTESIAN_POINT('',(0.81,-0.45,0.04)); #582718=CARTESIAN_POINT('',(0.85,-0.41,0.04)); #582719=CARTESIAN_POINT('Origin',(0.81,-0.41,0.04)); #582720=CARTESIAN_POINT('',(0.81,-0.41,0.)); #582721=CARTESIAN_POINT('Origin',(0.81,-0.41,0.04)); #582722=CARTESIAN_POINT('Origin',(0.81,-0.41,0.04)); #582723=CARTESIAN_POINT('Origin',(0.475,-0.41,0.04)); #582724=CARTESIAN_POINT('',(0.475,-0.45,0.04)); #582725=CARTESIAN_POINT('',(0.475,-0.41,0.)); #582726=CARTESIAN_POINT('Origin',(0.81,0.41,0.41)); #582727=CARTESIAN_POINT('',(0.85,0.41,0.41)); #582728=CARTESIAN_POINT('',(0.81,0.41,0.45)); #582729=CARTESIAN_POINT('Origin',(0.81,0.41,0.41)); #582730=CARTESIAN_POINT('',(0.81,0.45,0.41)); #582731=CARTESIAN_POINT('Origin',(0.81,0.41,0.41)); #582732=CARTESIAN_POINT('Origin',(0.81,0.41,0.41)); #582733=CARTESIAN_POINT('Origin',(0.39,0.41,0.04)); #582734=CARTESIAN_POINT('',(0.39,0.41,0.)); #582735=CARTESIAN_POINT('',(0.39,0.45,0.04)); #582736=CARTESIAN_POINT('Origin',(0.39,0.41,0.04)); #582737=CARTESIAN_POINT('',(0.35,0.41,0.04)); #582738=CARTESIAN_POINT('Origin',(0.39,0.41,0.04)); #582739=CARTESIAN_POINT('Origin',(0.39,0.41,0.04)); #582740=CARTESIAN_POINT('Origin',(0.39,0.225,0.04)); #582741=CARTESIAN_POINT('',(0.35,0.225,0.04)); #582742=CARTESIAN_POINT('',(0.39,0.225,0.)); #582743=CARTESIAN_POINT('Origin',(0.39,0.41,0.)); #582744=CARTESIAN_POINT('',(0.39,0.45,0.)); #582745=CARTESIAN_POINT('',(0.35,0.41,0.)); #582746=CARTESIAN_POINT('Origin',(0.81,0.41,0.04)); #582747=CARTESIAN_POINT('',(0.85,0.41,0.04)); #582748=CARTESIAN_POINT('',(0.81,0.45,0.04)); #582749=CARTESIAN_POINT('Origin',(0.81,0.41,0.04)); #582750=CARTESIAN_POINT('',(0.81,0.41,0.)); #582751=CARTESIAN_POINT('Origin',(0.81,0.41,0.04)); #582752=CARTESIAN_POINT('Origin',(0.81,0.41,0.04)); #582753=CARTESIAN_POINT('Origin',(0.81,-0.225,0.04)); #582754=CARTESIAN_POINT('',(0.85,-0.225,0.04)); #582755=CARTESIAN_POINT('',(0.81,-0.225,0.)); #582756=CARTESIAN_POINT('Origin',(0.725,0.41,0.04)); #582757=CARTESIAN_POINT('',(0.725,0.45,0.04)); #582758=CARTESIAN_POINT('',(0.725,0.41,0.)); #582759=CARTESIAN_POINT('Origin',(0.81,0.41,0.)); #582760=CARTESIAN_POINT('',(0.85,0.41,0.)); #582761=CARTESIAN_POINT('',(0.81,0.45,0.)); #582762=CARTESIAN_POINT('Origin',(0.725,0.41,0.41)); #582763=CARTESIAN_POINT('',(0.725,0.41,0.45)); #582764=CARTESIAN_POINT('',(0.725,0.45,0.41)); #582765=CARTESIAN_POINT('Origin',(0.81,-0.225,0.41)); #582766=CARTESIAN_POINT('',(0.81,-0.225,0.45)); #582767=CARTESIAN_POINT('',(0.85,-0.225,0.41)); #582768=CARTESIAN_POINT('Origin',(0.81,-0.41,0.)); #582769=CARTESIAN_POINT('',(0.81,-0.45,0.)); #582770=CARTESIAN_POINT('',(0.85,-0.41,0.)); #582771=CARTESIAN_POINT('Origin',(0.39,0.225,0.41)); #582772=CARTESIAN_POINT('',(0.39,0.225,0.45)); #582773=CARTESIAN_POINT('',(0.35,0.225,0.41)); #582774=CARTESIAN_POINT('Origin',(0.39,-0.41,0.)); #582775=CARTESIAN_POINT('',(0.35,-0.41,0.)); #582776=CARTESIAN_POINT('',(0.39,-0.45,0.)); #582777=CARTESIAN_POINT('Origin',(0.475,-0.41,0.41)); #582778=CARTESIAN_POINT('',(0.475,-0.41,0.45)); #582779=CARTESIAN_POINT('',(0.475,-0.45,0.41)); #582780=CARTESIAN_POINT('Origin',(0.35,-0.45,0.)); #582781=CARTESIAN_POINT('Origin',(0.6,0.,0.)); #582782=CARTESIAN_POINT('Origin',(0.35,0.45,0.)); #582783=CARTESIAN_POINT('Origin',(0.85,0.45,0.)); #582784=CARTESIAN_POINT('Origin',(0.85,-0.45,0.)); #582785=CARTESIAN_POINT('Origin',(0.6,0.,0.45)); #582786=CARTESIAN_POINT('Origin',(0.35,-0.45,0.)); #582787=CARTESIAN_POINT('',(0.35,0.45,0.)); #582788=CARTESIAN_POINT('',(0.35,-0.45,0.)); #582789=CARTESIAN_POINT('',(0.35,0.45,0.)); #582790=CARTESIAN_POINT('',(0.35,0.45,0.45)); #582791=CARTESIAN_POINT('',(0.35,0.45,0.)); #582792=CARTESIAN_POINT('',(0.35,-0.45,0.45)); #582793=CARTESIAN_POINT('',(0.35,0.45,0.45)); #582794=CARTESIAN_POINT('',(0.35,-0.45,0.)); #582795=CARTESIAN_POINT('Origin',(-0.35,-0.45,0.)); #582796=CARTESIAN_POINT('',(-0.35,-0.45,0.)); #582797=CARTESIAN_POINT('',(-0.85,-0.45,0.)); #582798=CARTESIAN_POINT('',(-0.35,-0.45,0.45)); #582799=CARTESIAN_POINT('',(-0.85,-0.45,0.45)); #582800=CARTESIAN_POINT('',(-0.35,-0.45,0.)); #582801=CARTESIAN_POINT('Origin',(-0.35,0.45,0.)); #582802=CARTESIAN_POINT('',(-0.35,0.45,0.)); #582803=CARTESIAN_POINT('',(-0.35,0.45,0.)); #582804=CARTESIAN_POINT('',(-0.35,0.45,0.45)); #582805=CARTESIAN_POINT('',(-0.35,0.45,0.45)); #582806=CARTESIAN_POINT('',(-0.35,0.45,0.)); #582807=CARTESIAN_POINT('Origin',(0.35,0.45,0.)); #582808=CARTESIAN_POINT('',(0.85,0.45,0.)); #582809=CARTESIAN_POINT('',(0.85,0.45,0.45)); #582810=CARTESIAN_POINT('Origin',(0.,0.,0.45)); #582811=CARTESIAN_POINT('Origin',(0.,0.,0.)); #582812=CARTESIAN_POINT('',(0.,0.,0.)); #582813=CARTESIAN_POINT('',(0.,0.,0.)); #582814=CARTESIAN_POINT('',(0.,0.,0.)); #582815=CARTESIAN_POINT('',(0.,0.,0.)); #582816=CARTESIAN_POINT('',(1.11022302462516E-16,-2.22044604925031E-16, 0.)); #582817=CARTESIAN_POINT('',(1.11022302462516E-16,1.11022302462516E-16,0.)); #582818=CARTESIAN_POINT('',(0.,0.,0.)); #582819=CARTESIAN_POINT('',(0.,0.,0.)); #582820=CARTESIAN_POINT('',(0.,0.,0.)); #582821=CARTESIAN_POINT('',(0.,0.,0.)); #582822=CARTESIAN_POINT('',(0.,0.,0.)); #582823=CARTESIAN_POINT('',(0.,0.,0.)); #582824=CARTESIAN_POINT('',(0.,0.,0.)); #582825=CARTESIAN_POINT('',(0.,0.,0.)); #582826=CARTESIAN_POINT('',(0.,0.,0.)); #582827=CARTESIAN_POINT('',(0.,0.,0.)); #582828=CARTESIAN_POINT('',(0.,0.,0.)); #582829=CARTESIAN_POINT('',(0.,0.,0.)); #582830=CARTESIAN_POINT('',(0.,0.,0.)); #582831=CARTESIAN_POINT('',(0.,0.,0.)); #582832=CARTESIAN_POINT('',(0.,0.,0.)); #582833=CARTESIAN_POINT('',(-1.11022302462516E-16,2.22044604925031E-16, 0.)); #582834=CARTESIAN_POINT('',(0.,0.,0.)); #582835=CARTESIAN_POINT('',(0.,0.,0.)); #582836=CARTESIAN_POINT('',(0.,0.,0.)); #582837=CARTESIAN_POINT('Origin',(-0.818,-0.418,0.768)); #582838=CARTESIAN_POINT('',(-0.85,-0.418,0.768)); #582839=CARTESIAN_POINT('',(-0.818,-0.418,0.8)); #582840=CARTESIAN_POINT('Origin',(-0.818,-0.418,0.768)); #582841=CARTESIAN_POINT('',(-0.818,-0.45,0.768)); #582842=CARTESIAN_POINT('Origin',(-0.818,-0.418,0.768)); #582843=CARTESIAN_POINT('Origin',(-0.818,-0.418,0.768)); #582844=CARTESIAN_POINT('Origin',(-0.482,-0.418,0.768)); #582845=CARTESIAN_POINT('',(-0.482,-0.45,0.768)); #582846=CARTESIAN_POINT('',(-0.482,-0.418,0.8)); #582847=CARTESIAN_POINT('Origin',(-0.482,-0.418,0.768)); #582848=CARTESIAN_POINT('',(-0.45,-0.418,0.768)); #582849=CARTESIAN_POINT('Origin',(-0.482,-0.418,0.768)); #582850=CARTESIAN_POINT('Origin',(-0.482,-0.418,0.768)); #582851=CARTESIAN_POINT('Origin',(-0.818,-0.418,0.032)); #582852=CARTESIAN_POINT('',(-0.85,-0.418,0.032)); #582853=CARTESIAN_POINT('',(-0.818,-0.45,0.032)); #582854=CARTESIAN_POINT('Origin',(-0.818,-0.418,0.032)); #582855=CARTESIAN_POINT('',(-0.818,-0.418,0.)); #582856=CARTESIAN_POINT('Origin',(-0.818,-0.418,0.032)); #582857=CARTESIAN_POINT('Origin',(-0.818,-0.418,0.032)); #582858=CARTESIAN_POINT('Origin',(-0.818,0.418,0.768)); #582859=CARTESIAN_POINT('',(-0.818,0.45,0.768)); #582860=CARTESIAN_POINT('',(-0.818,0.418,0.8)); #582861=CARTESIAN_POINT('Origin',(-0.818,0.418,0.768)); #582862=CARTESIAN_POINT('',(-0.85,0.418,0.768)); #582863=CARTESIAN_POINT('Origin',(-0.818,0.418,0.768)); #582864=CARTESIAN_POINT('Origin',(-0.818,0.418,0.768)); #582865=CARTESIAN_POINT('Origin',(-0.482,-0.418,0.032)); #582866=CARTESIAN_POINT('',(-0.482,-0.45,0.032)); #582867=CARTESIAN_POINT('',(-0.45,-0.418,0.032)); #582868=CARTESIAN_POINT('Origin',(-0.482,-0.418,0.032)); #582869=CARTESIAN_POINT('',(-0.482,-0.418,0.)); #582870=CARTESIAN_POINT('Origin',(-0.482,-0.418,0.032)); #582871=CARTESIAN_POINT('Origin',(-0.482,-0.418,0.032)); #582872=CARTESIAN_POINT('Origin',(-0.75,-0.418,0.032)); #582873=CARTESIAN_POINT('',(-0.75,-0.45,0.032)); #582874=CARTESIAN_POINT('',(-0.75,-0.418,0.)); #582875=CARTESIAN_POINT('Origin',(-0.482,0.418,0.768)); #582876=CARTESIAN_POINT('',(-0.45,0.418,0.768)); #582877=CARTESIAN_POINT('',(-0.482,0.418,0.8)); #582878=CARTESIAN_POINT('Origin',(-0.482,0.418,0.768)); #582879=CARTESIAN_POINT('',(-0.482,0.45,0.768)); #582880=CARTESIAN_POINT('Origin',(-0.482,0.418,0.768)); #582881=CARTESIAN_POINT('Origin',(-0.482,0.418,0.768)); #582882=CARTESIAN_POINT('Origin',(-0.818,0.418,0.032)); #582883=CARTESIAN_POINT('',(-0.818,0.418,0.)); #582884=CARTESIAN_POINT('',(-0.818,0.45,0.032)); #582885=CARTESIAN_POINT('Origin',(-0.818,0.418,0.032)); #582886=CARTESIAN_POINT('',(-0.85,0.418,0.032)); #582887=CARTESIAN_POINT('Origin',(-0.818,0.418,0.032)); #582888=CARTESIAN_POINT('Origin',(-0.818,0.418,0.032)); #582889=CARTESIAN_POINT('Origin',(-0.818,0.225,0.032)); #582890=CARTESIAN_POINT('',(-0.85,0.225,0.032)); #582891=CARTESIAN_POINT('',(-0.818,0.225,0.)); #582892=CARTESIAN_POINT('Origin',(-0.818,0.418,0.)); #582893=CARTESIAN_POINT('',(-0.818,0.45,0.)); #582894=CARTESIAN_POINT('',(-0.85,0.418,0.)); #582895=CARTESIAN_POINT('Origin',(-0.482,0.418,0.032)); #582896=CARTESIAN_POINT('',(-0.45,0.418,0.032)); #582897=CARTESIAN_POINT('',(-0.482,0.45,0.032)); #582898=CARTESIAN_POINT('Origin',(-0.482,0.418,0.032)); #582899=CARTESIAN_POINT('',(-0.482,0.418,0.)); #582900=CARTESIAN_POINT('Origin',(-0.482,0.418,0.032)); #582901=CARTESIAN_POINT('Origin',(-0.482,0.418,0.032)); #582902=CARTESIAN_POINT('Origin',(-0.482,-0.225,0.032)); #582903=CARTESIAN_POINT('',(-0.482,-0.225,0.)); #582904=CARTESIAN_POINT('',(-0.45,-0.225,0.032)); #582905=CARTESIAN_POINT('Origin',(-0.55,0.418,0.032)); #582906=CARTESIAN_POINT('',(-0.55,0.45,0.032)); #582907=CARTESIAN_POINT('',(-0.55,0.418,0.)); #582908=CARTESIAN_POINT('Origin',(-0.482,0.418,0.)); #582909=CARTESIAN_POINT('',(-0.45,0.418,0.)); #582910=CARTESIAN_POINT('',(-0.482,0.45,0.)); #582911=CARTESIAN_POINT('Origin',(-0.55,0.418,0.768)); #582912=CARTESIAN_POINT('',(-0.55,0.418,0.8)); #582913=CARTESIAN_POINT('',(-0.55,0.45,0.768)); #582914=CARTESIAN_POINT('Origin',(-0.482,-0.225,0.768)); #582915=CARTESIAN_POINT('',(-0.482,-0.225,0.8)); #582916=CARTESIAN_POINT('',(-0.45,-0.225,0.768)); #582917=CARTESIAN_POINT('Origin',(-0.482,-0.418,0.)); #582918=CARTESIAN_POINT('',(-0.482,-0.45,0.)); #582919=CARTESIAN_POINT('',(-0.45,-0.418,0.)); #582920=CARTESIAN_POINT('Origin',(-0.818,0.225,0.768)); #582921=CARTESIAN_POINT('',(-0.818,0.225,0.8)); #582922=CARTESIAN_POINT('',(-0.85,0.225,0.768)); #582923=CARTESIAN_POINT('Origin',(-0.818,-0.418,0.)); #582924=CARTESIAN_POINT('',(-0.85,-0.418,0.)); #582925=CARTESIAN_POINT('',(-0.818,-0.45,0.)); #582926=CARTESIAN_POINT('Origin',(-0.75,-0.418,0.768)); #582927=CARTESIAN_POINT('',(-0.75,-0.418,0.8)); #582928=CARTESIAN_POINT('',(-0.75,-0.45,0.768)); #582929=CARTESIAN_POINT('Origin',(-0.85,-0.45,0.)); #582930=CARTESIAN_POINT('Origin',(-0.65,0.,0.)); #582931=CARTESIAN_POINT('Origin',(-0.85,0.45,0.)); #582932=CARTESIAN_POINT('Origin',(-0.45,0.45,0.)); #582933=CARTESIAN_POINT('Origin',(-0.45,-0.45,0.)); #582934=CARTESIAN_POINT('Origin',(-0.65,0.,0.8)); #582935=CARTESIAN_POINT('Origin',(0.482,-0.418,0.768)); #582936=CARTESIAN_POINT('',(0.45,-0.418,0.768)); #582937=CARTESIAN_POINT('',(0.482,-0.418,0.8)); #582938=CARTESIAN_POINT('Origin',(0.482,-0.418,0.768)); #582939=CARTESIAN_POINT('',(0.482,-0.45,0.768)); #582940=CARTESIAN_POINT('Origin',(0.482,-0.418,0.768)); #582941=CARTESIAN_POINT('Origin',(0.482,-0.418,0.768)); #582942=CARTESIAN_POINT('Origin',(0.818,-0.418,0.768)); #582943=CARTESIAN_POINT('',(0.818,-0.45,0.768)); #582944=CARTESIAN_POINT('',(0.818,-0.418,0.8)); #582945=CARTESIAN_POINT('Origin',(0.818,-0.418,0.768)); #582946=CARTESIAN_POINT('',(0.85,-0.418,0.768)); #582947=CARTESIAN_POINT('Origin',(0.818,-0.418,0.768)); #582948=CARTESIAN_POINT('Origin',(0.818,-0.418,0.768)); #582949=CARTESIAN_POINT('Origin',(0.482,-0.418,0.032)); #582950=CARTESIAN_POINT('',(0.45,-0.418,0.032)); #582951=CARTESIAN_POINT('',(0.482,-0.45,0.032)); #582952=CARTESIAN_POINT('Origin',(0.482,-0.418,0.032)); #582953=CARTESIAN_POINT('',(0.482,-0.418,0.)); #582954=CARTESIAN_POINT('Origin',(0.482,-0.418,0.032)); #582955=CARTESIAN_POINT('Origin',(0.482,-0.418,0.032)); #582956=CARTESIAN_POINT('Origin',(0.482,0.418,0.768)); #582957=CARTESIAN_POINT('',(0.482,0.45,0.768)); #582958=CARTESIAN_POINT('',(0.482,0.418,0.8)); #582959=CARTESIAN_POINT('Origin',(0.482,0.418,0.768)); #582960=CARTESIAN_POINT('',(0.45,0.418,0.768)); #582961=CARTESIAN_POINT('Origin',(0.482,0.418,0.768)); #582962=CARTESIAN_POINT('Origin',(0.482,0.418,0.768)); #582963=CARTESIAN_POINT('Origin',(0.818,-0.418,0.032)); #582964=CARTESIAN_POINT('',(0.818,-0.45,0.032)); #582965=CARTESIAN_POINT('',(0.85,-0.418,0.032)); #582966=CARTESIAN_POINT('Origin',(0.818,-0.418,0.032)); #582967=CARTESIAN_POINT('',(0.818,-0.418,0.)); #582968=CARTESIAN_POINT('Origin',(0.818,-0.418,0.032)); #582969=CARTESIAN_POINT('Origin',(0.818,-0.418,0.032)); #582970=CARTESIAN_POINT('Origin',(0.55,-0.418,0.032)); #582971=CARTESIAN_POINT('',(0.55,-0.45,0.032)); #582972=CARTESIAN_POINT('',(0.55,-0.418,0.)); #582973=CARTESIAN_POINT('Origin',(0.818,0.418,0.768)); #582974=CARTESIAN_POINT('',(0.85,0.418,0.768)); #582975=CARTESIAN_POINT('',(0.818,0.418,0.8)); #582976=CARTESIAN_POINT('Origin',(0.818,0.418,0.768)); #582977=CARTESIAN_POINT('',(0.818,0.45,0.768)); #582978=CARTESIAN_POINT('Origin',(0.818,0.418,0.768)); #582979=CARTESIAN_POINT('Origin',(0.818,0.418,0.768)); #582980=CARTESIAN_POINT('Origin',(0.482,0.418,0.032)); #582981=CARTESIAN_POINT('',(0.482,0.418,0.)); #582982=CARTESIAN_POINT('',(0.482,0.45,0.032)); #582983=CARTESIAN_POINT('Origin',(0.482,0.418,0.032)); #582984=CARTESIAN_POINT('',(0.45,0.418,0.032)); #582985=CARTESIAN_POINT('Origin',(0.482,0.418,0.032)); #582986=CARTESIAN_POINT('Origin',(0.482,0.418,0.032)); #582987=CARTESIAN_POINT('Origin',(0.482,0.225,0.032)); #582988=CARTESIAN_POINT('',(0.45,0.225,0.032)); #582989=CARTESIAN_POINT('',(0.482,0.225,0.)); #582990=CARTESIAN_POINT('Origin',(0.482,0.418,0.)); #582991=CARTESIAN_POINT('',(0.482,0.45,0.)); #582992=CARTESIAN_POINT('',(0.45,0.418,0.)); #582993=CARTESIAN_POINT('Origin',(0.818,0.418,0.032)); #582994=CARTESIAN_POINT('',(0.85,0.418,0.032)); #582995=CARTESIAN_POINT('',(0.818,0.45,0.032)); #582996=CARTESIAN_POINT('Origin',(0.818,0.418,0.032)); #582997=CARTESIAN_POINT('',(0.818,0.418,0.)); #582998=CARTESIAN_POINT('Origin',(0.818,0.418,0.032)); #582999=CARTESIAN_POINT('Origin',(0.818,0.418,0.032)); #583000=CARTESIAN_POINT('Origin',(0.818,-0.225,0.032)); #583001=CARTESIAN_POINT('',(0.85,-0.225,0.032)); #583002=CARTESIAN_POINT('',(0.818,-0.225,0.)); #583003=CARTESIAN_POINT('Origin',(0.75,0.418,0.032)); #583004=CARTESIAN_POINT('',(0.75,0.45,0.032)); #583005=CARTESIAN_POINT('',(0.75,0.418,0.)); #583006=CARTESIAN_POINT('Origin',(0.818,0.418,0.)); #583007=CARTESIAN_POINT('',(0.85,0.418,0.)); #583008=CARTESIAN_POINT('',(0.818,0.45,0.)); #583009=CARTESIAN_POINT('Origin',(0.75,0.418,0.768)); #583010=CARTESIAN_POINT('',(0.75,0.418,0.8)); #583011=CARTESIAN_POINT('',(0.75,0.45,0.768)); #583012=CARTESIAN_POINT('Origin',(0.818,-0.225,0.768)); #583013=CARTESIAN_POINT('',(0.818,-0.225,0.8)); #583014=CARTESIAN_POINT('',(0.85,-0.225,0.768)); #583015=CARTESIAN_POINT('Origin',(0.818,-0.418,0.)); #583016=CARTESIAN_POINT('',(0.818,-0.45,0.)); #583017=CARTESIAN_POINT('',(0.85,-0.418,0.)); #583018=CARTESIAN_POINT('Origin',(0.482,0.225,0.768)); #583019=CARTESIAN_POINT('',(0.482,0.225,0.8)); #583020=CARTESIAN_POINT('',(0.45,0.225,0.768)); #583021=CARTESIAN_POINT('Origin',(0.482,-0.418,0.)); #583022=CARTESIAN_POINT('',(0.45,-0.418,0.)); #583023=CARTESIAN_POINT('',(0.482,-0.45,0.)); #583024=CARTESIAN_POINT('Origin',(0.55,-0.418,0.768)); #583025=CARTESIAN_POINT('',(0.55,-0.418,0.8)); #583026=CARTESIAN_POINT('',(0.55,-0.45,0.768)); #583027=CARTESIAN_POINT('Origin',(0.45,-0.45,0.)); #583028=CARTESIAN_POINT('Origin',(0.65,0.,0.)); #583029=CARTESIAN_POINT('Origin',(0.45,0.45,0.)); #583030=CARTESIAN_POINT('Origin',(0.85,0.45,0.)); #583031=CARTESIAN_POINT('Origin',(0.85,-0.45,0.)); #583032=CARTESIAN_POINT('Origin',(0.65,0.,0.8)); #583033=CARTESIAN_POINT('Origin',(0.45,-0.45,0.)); #583034=CARTESIAN_POINT('',(0.45,0.45,0.)); #583035=CARTESIAN_POINT('',(0.45,-0.45,0.)); #583036=CARTESIAN_POINT('',(0.45,0.45,0.)); #583037=CARTESIAN_POINT('',(0.45,0.45,0.8)); #583038=CARTESIAN_POINT('',(0.45,0.45,0.)); #583039=CARTESIAN_POINT('',(0.45,-0.45,0.8)); #583040=CARTESIAN_POINT('',(0.45,0.45,0.8)); #583041=CARTESIAN_POINT('',(0.45,-0.45,0.)); #583042=CARTESIAN_POINT('Origin',(-0.45,-0.45,0.)); #583043=CARTESIAN_POINT('',(-0.45,-0.45,0.)); #583044=CARTESIAN_POINT('',(-0.85,-0.45,0.)); #583045=CARTESIAN_POINT('',(-0.45,-0.45,0.8)); #583046=CARTESIAN_POINT('',(-0.85,-0.45,0.8)); #583047=CARTESIAN_POINT('',(-0.45,-0.45,0.)); #583048=CARTESIAN_POINT('Origin',(-0.45,0.45,0.)); #583049=CARTESIAN_POINT('',(-0.45,0.45,0.)); #583050=CARTESIAN_POINT('',(-0.45,0.45,0.)); #583051=CARTESIAN_POINT('',(-0.45,0.45,0.8)); #583052=CARTESIAN_POINT('',(-0.45,0.45,0.8)); #583053=CARTESIAN_POINT('',(-0.45,0.45,0.)); #583054=CARTESIAN_POINT('Origin',(0.45,0.45,0.)); #583055=CARTESIAN_POINT('',(0.85,0.45,0.)); #583056=CARTESIAN_POINT('',(0.85,0.45,0.8)); #583057=CARTESIAN_POINT('Origin',(0.,0.,0.8)); #583058=CARTESIAN_POINT('Origin',(0.,0.,0.)); #583059=CARTESIAN_POINT('',(0.,0.,0.)); #583060=CARTESIAN_POINT('',(0.,0.,0.)); #583061=CARTESIAN_POINT('',(0.,0.,0.)); #583062=CARTESIAN_POINT('Origin',(-1.71,-1.36,2.76)); #583063=CARTESIAN_POINT('',(-1.75,-1.36,2.76)); #583064=CARTESIAN_POINT('',(-1.71,-1.36,2.8)); #583065=CARTESIAN_POINT('Origin',(-1.71,-1.36,2.76)); #583066=CARTESIAN_POINT('',(-1.71,-1.4,2.76)); #583067=CARTESIAN_POINT('Origin',(-1.71,-1.36,2.76)); #583068=CARTESIAN_POINT('Origin',(-1.71,-1.36,2.76)); #583069=CARTESIAN_POINT('Origin',(-0.89,-1.36,2.76)); #583070=CARTESIAN_POINT('',(-0.89,-1.4,2.76)); #583071=CARTESIAN_POINT('',(-0.89,-1.36,2.8)); #583072=CARTESIAN_POINT('Origin',(-0.89,-1.36,2.76)); #583073=CARTESIAN_POINT('',(-0.85,-1.36,2.76)); #583074=CARTESIAN_POINT('Origin',(-0.89,-1.36,2.76)); #583075=CARTESIAN_POINT('Origin',(-0.89,-1.36,2.76)); #583076=CARTESIAN_POINT('Origin',(-1.71,-1.36,0.04)); #583077=CARTESIAN_POINT('',(-1.75,-1.36,0.04)); #583078=CARTESIAN_POINT('',(-1.71,-1.4,0.04)); #583079=CARTESIAN_POINT('Origin',(-1.71,-1.36,0.04)); #583080=CARTESIAN_POINT('',(-1.71,-1.36,0.)); #583081=CARTESIAN_POINT('Origin',(-1.71,-1.36,0.04)); #583082=CARTESIAN_POINT('Origin',(-1.71,-1.36,0.04)); #583083=CARTESIAN_POINT('Origin',(-1.71,1.36,2.76)); #583084=CARTESIAN_POINT('',(-1.71,1.4,2.76)); #583085=CARTESIAN_POINT('',(-1.71,1.36,2.8)); #583086=CARTESIAN_POINT('Origin',(-1.71,1.36,2.76)); #583087=CARTESIAN_POINT('',(-1.75,1.36,2.76)); #583088=CARTESIAN_POINT('Origin',(-1.71,1.36,2.76)); #583089=CARTESIAN_POINT('Origin',(-1.71,1.36,2.76)); #583090=CARTESIAN_POINT('Origin',(-0.89,-1.36,0.04)); #583091=CARTESIAN_POINT('',(-0.89,-1.4,0.04)); #583092=CARTESIAN_POINT('',(-0.85,-1.36,0.04)); #583093=CARTESIAN_POINT('Origin',(-0.89,-1.36,0.04)); #583094=CARTESIAN_POINT('',(-0.89,-1.36,0.)); #583095=CARTESIAN_POINT('Origin',(-0.89,-1.36,0.04)); #583096=CARTESIAN_POINT('Origin',(-0.89,-1.36,0.04)); #583097=CARTESIAN_POINT('Origin',(-1.525,-1.36,0.04)); #583098=CARTESIAN_POINT('',(-1.525,-1.4,0.04)); #583099=CARTESIAN_POINT('',(-1.525,-1.36,0.)); #583100=CARTESIAN_POINT('Origin',(-0.89,1.36,2.76)); #583101=CARTESIAN_POINT('',(-0.85,1.36,2.76)); #583102=CARTESIAN_POINT('',(-0.89,1.36,2.8)); #583103=CARTESIAN_POINT('Origin',(-0.89,1.36,2.76)); #583104=CARTESIAN_POINT('',(-0.89,1.4,2.76)); #583105=CARTESIAN_POINT('Origin',(-0.89,1.36,2.76)); #583106=CARTESIAN_POINT('Origin',(-0.89,1.36,2.76)); #583107=CARTESIAN_POINT('Origin',(-1.71,1.36,0.04)); #583108=CARTESIAN_POINT('',(-1.71,1.36,0.)); #583109=CARTESIAN_POINT('',(-1.71,1.4,0.04)); #583110=CARTESIAN_POINT('Origin',(-1.71,1.36,0.04)); #583111=CARTESIAN_POINT('',(-1.75,1.36,0.04)); #583112=CARTESIAN_POINT('Origin',(-1.71,1.36,0.04)); #583113=CARTESIAN_POINT('Origin',(-1.71,1.36,0.04)); #583114=CARTESIAN_POINT('Origin',(-1.71,0.7,0.04)); #583115=CARTESIAN_POINT('',(-1.75,0.7,0.04)); #583116=CARTESIAN_POINT('',(-1.71,0.7,0.)); #583117=CARTESIAN_POINT('Origin',(-1.71,1.36,0.)); #583118=CARTESIAN_POINT('',(-1.71,1.4,0.)); #583119=CARTESIAN_POINT('',(-1.75,1.36,0.)); #583120=CARTESIAN_POINT('Origin',(-0.89,1.36,0.04)); #583121=CARTESIAN_POINT('',(-0.85,1.36,0.04)); #583122=CARTESIAN_POINT('',(-0.89,1.4,0.04)); #583123=CARTESIAN_POINT('Origin',(-0.89,1.36,0.04)); #583124=CARTESIAN_POINT('',(-0.89,1.36,0.)); #583125=CARTESIAN_POINT('Origin',(-0.89,1.36,0.04)); #583126=CARTESIAN_POINT('Origin',(-0.89,1.36,0.04)); #583127=CARTESIAN_POINT('Origin',(-0.89,-0.7,0.04)); #583128=CARTESIAN_POINT('',(-0.89,-0.7,0.)); #583129=CARTESIAN_POINT('',(-0.85,-0.7,0.04)); #583130=CARTESIAN_POINT('Origin',(-1.075,1.36,0.04)); #583131=CARTESIAN_POINT('',(-1.075,1.4,0.04)); #583132=CARTESIAN_POINT('',(-1.075,1.36,0.)); #583133=CARTESIAN_POINT('Origin',(-0.89,1.36,0.)); #583134=CARTESIAN_POINT('',(-0.85,1.36,0.)); #583135=CARTESIAN_POINT('',(-0.89,1.4,0.)); #583136=CARTESIAN_POINT('Origin',(-1.075,1.36,2.76)); #583137=CARTESIAN_POINT('',(-1.075,1.36,2.8)); #583138=CARTESIAN_POINT('',(-1.075,1.4,2.76)); #583139=CARTESIAN_POINT('Origin',(-0.89,-0.7,2.76)); #583140=CARTESIAN_POINT('',(-0.89,-0.7,2.8)); #583141=CARTESIAN_POINT('',(-0.85,-0.7,2.76)); #583142=CARTESIAN_POINT('Origin',(-0.89,-1.36,0.)); #583143=CARTESIAN_POINT('',(-0.89,-1.4,0.)); #583144=CARTESIAN_POINT('',(-0.85,-1.36,0.)); #583145=CARTESIAN_POINT('Origin',(-1.71,0.7,2.76)); #583146=CARTESIAN_POINT('',(-1.71,0.7,2.8)); #583147=CARTESIAN_POINT('',(-1.75,0.7,2.76)); #583148=CARTESIAN_POINT('Origin',(-1.71,-1.36,0.)); #583149=CARTESIAN_POINT('',(-1.75,-1.36,0.)); #583150=CARTESIAN_POINT('',(-1.71,-1.4,0.)); #583151=CARTESIAN_POINT('Origin',(-1.525,-1.36,2.76)); #583152=CARTESIAN_POINT('',(-1.525,-1.36,2.8)); #583153=CARTESIAN_POINT('',(-1.525,-1.4,2.76)); #583154=CARTESIAN_POINT('Origin',(-1.75,-1.4,0.)); #583155=CARTESIAN_POINT('Origin',(-1.3,0.,0.)); #583156=CARTESIAN_POINT('Origin',(-1.75,1.4,0.)); #583157=CARTESIAN_POINT('Origin',(-0.85,1.4,0.)); #583158=CARTESIAN_POINT('Origin',(-0.85,-1.4,0.)); #583159=CARTESIAN_POINT('Origin',(-1.3,0.,2.8)); #583160=CARTESIAN_POINT('Origin',(0.89,-1.36,2.76)); #583161=CARTESIAN_POINT('',(0.85,-1.36,2.76)); #583162=CARTESIAN_POINT('',(0.89,-1.36,2.8)); #583163=CARTESIAN_POINT('Origin',(0.89,-1.36,2.76)); #583164=CARTESIAN_POINT('',(0.89,-1.4,2.76)); #583165=CARTESIAN_POINT('Origin',(0.89,-1.36,2.76)); #583166=CARTESIAN_POINT('Origin',(0.89,-1.36,2.76)); #583167=CARTESIAN_POINT('Origin',(1.71,-1.36,2.76)); #583168=CARTESIAN_POINT('',(1.71,-1.4,2.76)); #583169=CARTESIAN_POINT('',(1.71,-1.36,2.8)); #583170=CARTESIAN_POINT('Origin',(1.71,-1.36,2.76)); #583171=CARTESIAN_POINT('',(1.75,-1.36,2.76)); #583172=CARTESIAN_POINT('Origin',(1.71,-1.36,2.76)); #583173=CARTESIAN_POINT('Origin',(1.71,-1.36,2.76)); #583174=CARTESIAN_POINT('Origin',(0.89,-1.36,0.04)); #583175=CARTESIAN_POINT('',(0.85,-1.36,0.04)); #583176=CARTESIAN_POINT('',(0.89,-1.4,0.04)); #583177=CARTESIAN_POINT('Origin',(0.89,-1.36,0.04)); #583178=CARTESIAN_POINT('',(0.89,-1.36,0.)); #583179=CARTESIAN_POINT('Origin',(0.89,-1.36,0.04)); #583180=CARTESIAN_POINT('Origin',(0.89,-1.36,0.04)); #583181=CARTESIAN_POINT('Origin',(0.89,1.36,2.76)); #583182=CARTESIAN_POINT('',(0.89,1.4,2.76)); #583183=CARTESIAN_POINT('',(0.89,1.36,2.8)); #583184=CARTESIAN_POINT('Origin',(0.89,1.36,2.76)); #583185=CARTESIAN_POINT('',(0.85,1.36,2.76)); #583186=CARTESIAN_POINT('Origin',(0.89,1.36,2.76)); #583187=CARTESIAN_POINT('Origin',(0.89,1.36,2.76)); #583188=CARTESIAN_POINT('Origin',(1.71,-1.36,0.04)); #583189=CARTESIAN_POINT('',(1.71,-1.4,0.04)); #583190=CARTESIAN_POINT('',(1.75,-1.36,0.04)); #583191=CARTESIAN_POINT('Origin',(1.71,-1.36,0.04)); #583192=CARTESIAN_POINT('',(1.71,-1.36,0.)); #583193=CARTESIAN_POINT('Origin',(1.71,-1.36,0.04)); #583194=CARTESIAN_POINT('Origin',(1.71,-1.36,0.04)); #583195=CARTESIAN_POINT('Origin',(1.075,-1.36,0.04)); #583196=CARTESIAN_POINT('',(1.075,-1.4,0.04)); #583197=CARTESIAN_POINT('',(1.075,-1.36,0.)); #583198=CARTESIAN_POINT('Origin',(1.71,1.36,2.76)); #583199=CARTESIAN_POINT('',(1.75,1.36,2.76)); #583200=CARTESIAN_POINT('',(1.71,1.36,2.8)); #583201=CARTESIAN_POINT('Origin',(1.71,1.36,2.76)); #583202=CARTESIAN_POINT('',(1.71,1.4,2.76)); #583203=CARTESIAN_POINT('Origin',(1.71,1.36,2.76)); #583204=CARTESIAN_POINT('Origin',(1.71,1.36,2.76)); #583205=CARTESIAN_POINT('Origin',(0.89,1.36,0.04)); #583206=CARTESIAN_POINT('',(0.89,1.36,0.)); #583207=CARTESIAN_POINT('',(0.89,1.4,0.04)); #583208=CARTESIAN_POINT('Origin',(0.89,1.36,0.04)); #583209=CARTESIAN_POINT('',(0.85,1.36,0.04)); #583210=CARTESIAN_POINT('Origin',(0.89,1.36,0.04)); #583211=CARTESIAN_POINT('Origin',(0.89,1.36,0.04)); #583212=CARTESIAN_POINT('Origin',(0.89,0.7,0.04)); #583213=CARTESIAN_POINT('',(0.85,0.7,0.04)); #583214=CARTESIAN_POINT('',(0.89,0.7,0.)); #583215=CARTESIAN_POINT('Origin',(0.89,1.36,0.)); #583216=CARTESIAN_POINT('',(0.89,1.4,0.)); #583217=CARTESIAN_POINT('',(0.85,1.36,0.)); #583218=CARTESIAN_POINT('Origin',(1.71,1.36,0.04)); #583219=CARTESIAN_POINT('',(1.75,1.36,0.04)); #583220=CARTESIAN_POINT('',(1.71,1.4,0.04)); #583221=CARTESIAN_POINT('Origin',(1.71,1.36,0.04)); #583222=CARTESIAN_POINT('',(1.71,1.36,0.)); #583223=CARTESIAN_POINT('Origin',(1.71,1.36,0.04)); #583224=CARTESIAN_POINT('Origin',(1.71,1.36,0.04)); #583225=CARTESIAN_POINT('Origin',(1.71,-0.7,0.04)); #583226=CARTESIAN_POINT('',(1.75,-0.7,0.04)); #583227=CARTESIAN_POINT('',(1.71,-0.7,0.)); #583228=CARTESIAN_POINT('Origin',(1.525,1.36,0.04)); #583229=CARTESIAN_POINT('',(1.525,1.4,0.04)); #583230=CARTESIAN_POINT('',(1.525,1.36,0.)); #583231=CARTESIAN_POINT('Origin',(1.71,1.36,0.)); #583232=CARTESIAN_POINT('',(1.75,1.36,0.)); #583233=CARTESIAN_POINT('',(1.71,1.4,0.)); #583234=CARTESIAN_POINT('Origin',(1.525,1.36,2.76)); #583235=CARTESIAN_POINT('',(1.525,1.36,2.8)); #583236=CARTESIAN_POINT('',(1.525,1.4,2.76)); #583237=CARTESIAN_POINT('Origin',(1.71,-0.7,2.76)); #583238=CARTESIAN_POINT('',(1.71,-0.7,2.8)); #583239=CARTESIAN_POINT('',(1.75,-0.7,2.76)); #583240=CARTESIAN_POINT('Origin',(1.71,-1.36,0.)); #583241=CARTESIAN_POINT('',(1.71,-1.4,0.)); #583242=CARTESIAN_POINT('',(1.75,-1.36,0.)); #583243=CARTESIAN_POINT('Origin',(0.89,0.7,2.76)); #583244=CARTESIAN_POINT('',(0.89,0.7,2.8)); #583245=CARTESIAN_POINT('',(0.85,0.7,2.76)); #583246=CARTESIAN_POINT('Origin',(0.89,-1.36,0.)); #583247=CARTESIAN_POINT('',(0.85,-1.36,0.)); #583248=CARTESIAN_POINT('',(0.89,-1.4,0.)); #583249=CARTESIAN_POINT('Origin',(1.075,-1.36,2.76)); #583250=CARTESIAN_POINT('',(1.075,-1.36,2.8)); #583251=CARTESIAN_POINT('',(1.075,-1.4,2.76)); #583252=CARTESIAN_POINT('Origin',(0.85,-1.4,0.)); #583253=CARTESIAN_POINT('Origin',(1.3,0.,0.)); #583254=CARTESIAN_POINT('Origin',(0.85,1.4,0.)); #583255=CARTESIAN_POINT('Origin',(1.75,1.4,0.)); #583256=CARTESIAN_POINT('Origin',(1.75,-1.4,0.)); #583257=CARTESIAN_POINT('Origin',(1.3,0.,2.8)); #583258=CARTESIAN_POINT('Origin',(0.85,-1.4,0.)); #583259=CARTESIAN_POINT('',(0.85,1.4,0.)); #583260=CARTESIAN_POINT('',(0.85,-1.4,0.)); #583261=CARTESIAN_POINT('',(0.85,1.4,0.)); #583262=CARTESIAN_POINT('',(0.85,1.4,2.8)); #583263=CARTESIAN_POINT('',(0.85,1.4,0.)); #583264=CARTESIAN_POINT('',(0.85,-1.4,2.8)); #583265=CARTESIAN_POINT('',(0.85,1.4,2.8)); #583266=CARTESIAN_POINT('',(0.85,-1.4,0.)); #583267=CARTESIAN_POINT('Origin',(-0.85,-1.4,0.)); #583268=CARTESIAN_POINT('',(-0.85,-1.4,0.)); #583269=CARTESIAN_POINT('',(-1.75,-1.4,0.)); #583270=CARTESIAN_POINT('',(-0.85,-1.4,2.8)); #583271=CARTESIAN_POINT('',(-1.75,-1.4,2.8)); #583272=CARTESIAN_POINT('',(-0.85,-1.4,0.)); #583273=CARTESIAN_POINT('Origin',(-0.85,1.4,0.)); #583274=CARTESIAN_POINT('',(-0.85,1.4,0.)); #583275=CARTESIAN_POINT('',(-0.85,1.4,0.)); #583276=CARTESIAN_POINT('',(-0.85,1.4,2.8)); #583277=CARTESIAN_POINT('',(-0.85,1.4,2.8)); #583278=CARTESIAN_POINT('',(-0.85,1.4,0.)); #583279=CARTESIAN_POINT('Origin',(0.85,1.4,0.)); #583280=CARTESIAN_POINT('',(1.75,1.4,0.)); #583281=CARTESIAN_POINT('',(1.75,1.4,2.8)); #583282=CARTESIAN_POINT('Origin',(0.,0.,2.8)); #583283=CARTESIAN_POINT('Origin',(0.,0.,0.)); #583284=CARTESIAN_POINT('',(0.,0.,0.)); #583285=CARTESIAN_POINT('',(0.,0.,0.)); #583286=CARTESIAN_POINT('',(0.,0.,0.)); #583287=CARTESIAN_POINT('Origin',(0.,0.,9.)); #583288=CARTESIAN_POINT('',(-3.11666666666667,-3.81681585734258E-16,9.)); #583289=CARTESIAN_POINT('Origin',(0.,0.,9.)); #583290=CARTESIAN_POINT('',(-3.11666666666667,-3.81681585734258E-16,8.9)); #583291=CARTESIAN_POINT('',(-3.11666666666667,3.81681585734258E-16,9.)); #583292=CARTESIAN_POINT('Origin',(0.,0.,8.9)); #583293=CARTESIAN_POINT('Origin',(0.,0.,8.9)); #583294=CARTESIAN_POINT('Origin',(4.10833333333333,0.,0.)); #583295=CARTESIAN_POINT('',(-4.10833333333333,-5.03125726649704E-16,0.)); #583296=CARTESIAN_POINT('Origin',(0.,0.,0.)); #583297=CARTESIAN_POINT('Origin',(0.,0.,0.141666666666667)); #583298=CARTESIAN_POINT('',(-4.25,-5.20474889637625E-16,0.141666666666667)); #583299=CARTESIAN_POINT('Origin',(0.,0.,0.141666666666667)); #583300=CARTESIAN_POINT('Origin',(-4.10833333333333,-5.03125726649704E-16, 0.141666666666667)); #583301=CARTESIAN_POINT('Origin',(0.,0.,0.671497012976974)); #583302=CARTESIAN_POINT('',(-4.25,-5.20474889637625E-16,1.20132735928728)); #583303=CARTESIAN_POINT('Origin',(0.,0.,1.20132735928728)); #583304=CARTESIAN_POINT('',(-4.25,-5.20474889637625E-16,0.671497012976974)); #583305=CARTESIAN_POINT('Origin',(0.,0.,1.20132735928728)); #583306=CARTESIAN_POINT('',(-4.14375,-5.07463017396684E-16,1.33849551946546)); #583307=CARTESIAN_POINT('Origin',(0.,0.,1.33849551946546)); #583308=CARTESIAN_POINT('Origin',(-4.10833333333333,-5.03125726649704E-16, 1.20132735928728)); #583309=CARTESIAN_POINT('Origin',(0.,0.,1.75)); #583310=CARTESIAN_POINT('',(-4.14375,-5.07463017396684E-16,2.16150448053454)); #583311=CARTESIAN_POINT('Origin',(-4.25,-5.20474889637625E-16,1.75)); #583312=CARTESIAN_POINT('Origin',(0.,0.,2.16150448053454)); #583313=CARTESIAN_POINT('Origin',(0.,0.,2.29867264071272)); #583314=CARTESIAN_POINT('',(-4.25,-5.20474889637625E-16,2.29867264071272)); #583315=CARTESIAN_POINT('Origin',(0.,0.,2.29867264071272)); #583316=CARTESIAN_POINT('Origin',(-4.10833333333333,-5.03125726649704E-16, 2.29867264071272)); #583317=CARTESIAN_POINT('Origin',(0.,0.,5.57850298702303)); #583318=CARTESIAN_POINT('',(-4.25,-5.20474889637625E-16,8.85833333333333)); #583319=CARTESIAN_POINT('Origin',(0.,0.,8.85833333333333)); #583320=CARTESIAN_POINT('',(-4.25,-5.20474889637625E-16,5.57850298702303)); #583321=CARTESIAN_POINT('Origin',(0.,0.,8.85833333333333)); #583322=CARTESIAN_POINT('',(-4.10833333333333,-5.03125726649704E-16,9.)); #583323=CARTESIAN_POINT('Origin',(0.,0.,9.)); #583324=CARTESIAN_POINT('Origin',(-4.10833333333333,-5.03125726649704E-16, 8.85833333333333)); #583325=CARTESIAN_POINT('Origin',(0.,0.,9.)); #583326=CARTESIAN_POINT('Origin',(3.99766855855882,-0.633168497250334,4.5)); #583327=CARTESIAN_POINT('',(4.21742921434124,-0.667975165721786,1.21166150673268)); #583328=CARTESIAN_POINT('',(4.11311572268108,-0.651453531726893,1.34866381789352)); #583329=CARTESIAN_POINT('Origin',(4.07750669942359,-0.645813616507753,1.21166150673268)); #583330=CARTESIAN_POINT('',(4.11311572268108,-0.651453531726893,2.15133618210648)); #583331=CARTESIAN_POINT('Origin',(4.21742921434124,-0.667975165721786,1.75)); #583332=CARTESIAN_POINT('',(4.21742921434124,-0.667975165721786,2.28833849326732)); #583333=CARTESIAN_POINT('Origin',(4.07750669942359,-0.645813616507753,2.28833849326732)); #583334=CARTESIAN_POINT('',(4.21742921434124,-0.667975165721786,8.85833333333333)); #583335=CARTESIAN_POINT('',(4.21742921434124,-0.667975165721786,8.85833333333333)); #583336=CARTESIAN_POINT('',(4.07750669942359,-0.645813616507753,9.)); #583337=CARTESIAN_POINT('Origin',(4.07750669942359,-0.645813616507753,8.85833333333333)); #583338=CARTESIAN_POINT('',(4.05775293261169,-0.642684927206948,9.)); #583339=CARTESIAN_POINT('',(0.,0.,9.)); #583340=CARTESIAN_POINT('',(4.19767544752934,-0.664846476420981,8.85833333333333)); #583341=CARTESIAN_POINT('Origin',(4.05775293261169,-0.642684927206948,8.85833333333333)); #583342=CARTESIAN_POINT('',(4.19767544752934,-0.664846476420981,2.29867264071272)); #583343=CARTESIAN_POINT('',(4.19767544752934,-0.664846476420981,2.29867264071272)); #583344=CARTESIAN_POINT('',(4.0927335613411,-0.648225314510457,2.16150448053454)); #583345=CARTESIAN_POINT('Origin',(4.05775293261169,-0.642684927206948,2.29867264071272)); #583346=CARTESIAN_POINT('',(4.0927335613411,-0.648225314510457,1.33849551946546)); #583347=CARTESIAN_POINT('Origin',(4.19767544752934,-0.664846476420981,1.75)); #583348=CARTESIAN_POINT('',(4.19767544752934,-0.664846476420981,1.20132735928728)); #583349=CARTESIAN_POINT('Origin',(4.05775293261169,-0.642684927206948,1.20132735928728)); #583350=CARTESIAN_POINT('',(4.19767544752934,-0.664846476420981,0.141666666666666)); #583351=CARTESIAN_POINT('',(4.19767544752934,-0.664846476420981,0.141666666666666)); #583352=CARTESIAN_POINT('',(4.05775293261169,-0.642684927206948,0.)); #583353=CARTESIAN_POINT('Origin',(4.05775293261169,-0.642684927206948,0.141666666666666)); #583354=CARTESIAN_POINT('',(4.07750669942359,-0.645813616507753,0.)); #583355=CARTESIAN_POINT('',(0.,0.,0.)); #583356=CARTESIAN_POINT('',(4.21742921434124,-0.667975165721786,0.141666666666667)); #583357=CARTESIAN_POINT('Origin',(4.07750669942359,-0.645813616507753,0.141666666666667)); #583358=CARTESIAN_POINT('',(4.21742921434124,-0.667975165721786,8.85833333333333)); #583359=CARTESIAN_POINT('Origin',(0.,0.,1.75)); #583360=CARTESIAN_POINT('',(4.11311572268108,0.651453531726893,1.34866381789352)); #583361=CARTESIAN_POINT('Origin',(-7.32747196252603E-14,1.00613961606655E-14, 1.34866381789352)); #583362=CARTESIAN_POINT('',(4.11311572268108,0.651453531726893,2.15133618210648)); #583363=CARTESIAN_POINT('Origin',(4.21742921434124,0.667975165721786,1.75)); #583364=CARTESIAN_POINT('Origin',(-7.32747196252603E-14,-1.00613961606655E-14, 2.15133618210648)); #583365=CARTESIAN_POINT('Origin',(0.,0.,2.28833849326732)); #583366=CARTESIAN_POINT('',(4.21742921434124,0.667975165721786,2.28833849326732)); #583367=CARTESIAN_POINT('Origin',(4.07750669942359,0.645813616507753,2.28833849326732)); #583368=CARTESIAN_POINT('Origin',(2.22044604925031E-14,9.57567358739198E-15, 2.28833849326724)); #583369=CARTESIAN_POINT('Origin',(0.,0.,5.57333591330033)); #583370=CARTESIAN_POINT('',(4.21742921434124,0.667975165721786,8.85833333333333)); #583371=CARTESIAN_POINT('',(4.21742921434124,0.667975165721786,8.85833333333333)); #583372=CARTESIAN_POINT('Origin',(2.22044604925031E-14,9.57567358739198E-15, 8.85833333333261)); #583373=CARTESIAN_POINT('Origin',(0.,0.,8.85833333333333)); #583374=CARTESIAN_POINT('',(4.07750669942359,0.645813616507753,9.)); #583375=CARTESIAN_POINT('Origin',(4.07750669942359,0.645813616507753,8.85833333333333)); #583376=CARTESIAN_POINT('Origin',(2.55351295663786E-14,2.4980018054066E-15, 8.99999999999928)); #583377=CARTESIAN_POINT('Origin',(4.10833333333333,0.,9.)); #583378=CARTESIAN_POINT('',(4.05775293261169,0.642684927206948,9.)); #583379=CARTESIAN_POINT('',(0.,0.,9.)); #583380=CARTESIAN_POINT('Origin',(-8.71525074330748E-14,1.31838984174237E-15, 8.99999999999928)); #583381=CARTESIAN_POINT('Origin',(0.,0.,8.85833333333333)); #583382=CARTESIAN_POINT('',(4.19767544752934,0.664846476420981,8.85833333333333)); #583383=CARTESIAN_POINT('Origin',(4.05775293261169,0.642684927206948,8.85833333333333)); #583384=CARTESIAN_POINT('Origin',(-2.22044604925031E-15,-5.06539254985228E-15, 8.85833333333261)); #583385=CARTESIAN_POINT('Origin',(0.,0.,5.57850298702303)); #583386=CARTESIAN_POINT('',(4.19767544752934,0.664846476420981,2.29867264071272)); #583387=CARTESIAN_POINT('',(4.19767544752934,0.664846476420981,2.29867264071272)); #583388=CARTESIAN_POINT('Origin',(-2.22044604925031E-15,-5.06539254985228E-15, 2.29867264071263)); #583389=CARTESIAN_POINT('Origin',(0.,0.,2.29867264071272)); #583390=CARTESIAN_POINT('',(4.0927335613411,0.648225314510457,2.16150448053454)); #583391=CARTESIAN_POINT('Origin',(4.05775293261169,0.642684927206948,2.29867264071272)); #583392=CARTESIAN_POINT('Origin',(7.105427357601E-14,6.52256026967279E-15, 2.16150448053445)); #583393=CARTESIAN_POINT('Origin',(0.,0.,1.75)); #583394=CARTESIAN_POINT('',(4.0927335613411,0.648225314510457,1.33849551946546)); #583395=CARTESIAN_POINT('Origin',(4.19767544752934,0.664846476420981,1.75)); #583396=CARTESIAN_POINT('Origin',(7.105427357601E-14,6.52256026967279E-15, 1.33849551946546)); #583397=CARTESIAN_POINT('Origin',(0.,0.,1.20132735928728)); #583398=CARTESIAN_POINT('',(4.19767544752934,0.664846476420981,1.20132735928728)); #583399=CARTESIAN_POINT('Origin',(4.05775293261169,0.642684927206948,1.20132735928728)); #583400=CARTESIAN_POINT('Origin',(-2.22044604925031E-15,-5.06539254985228E-15, 1.20132735928728)); #583401=CARTESIAN_POINT('Origin',(0.,0.,0.671497012976974)); #583402=CARTESIAN_POINT('',(4.19767544752934,0.664846476420981,0.141666666666666)); #583403=CARTESIAN_POINT('',(4.19767544752934,0.664846476420981,0.141666666666666)); #583404=CARTESIAN_POINT('Origin',(0.,3.81639164714898E-15,0.141666666666753)); #583405=CARTESIAN_POINT('Origin',(0.,0.,0.141666666666666)); #583406=CARTESIAN_POINT('',(4.05775293261169,0.642684927206948,0.)); #583407=CARTESIAN_POINT('Origin',(4.05775293261169,0.642684927206948,0.141666666666666)); #583408=CARTESIAN_POINT('Origin',(-8.77076189453874E-14,1.31838984174237E-15, 8.976000657716E-14)); #583409=CARTESIAN_POINT('Origin',(4.12833333333333,0.,0.)); #583410=CARTESIAN_POINT('',(4.07750669942359,0.645813616507753,0.)); #583411=CARTESIAN_POINT('',(0.,0.,0.)); #583412=CARTESIAN_POINT('Origin',(2.77555756156289E-14,1.20736753927986E-14, 1.01014701868819E-13)); #583413=CARTESIAN_POINT('Origin',(0.,0.,0.141666666666667)); #583414=CARTESIAN_POINT('',(4.21742921434124,0.667975165721786,0.141666666666667)); #583415=CARTESIAN_POINT('Origin',(4.07750669942359,0.645813616507753,0.141666666666667)); #583416=CARTESIAN_POINT('Origin',(2.66453525910038E-14,-7.21644966006352E-15, 0.141666666666768)); #583417=CARTESIAN_POINT('Origin',(0.,0.,0.676664086699671)); #583418=CARTESIAN_POINT('',(4.21742921434124,0.667975165721786,1.21166150673268)); #583419=CARTESIAN_POINT('',(4.21742921434124,0.667975165721786,8.85833333333333)); #583420=CARTESIAN_POINT('Origin',(2.66453525910038E-14,-7.21644966006352E-15, 1.21166150673268)); #583421=CARTESIAN_POINT('Origin',(0.,0.,1.21166150673268)); #583422=CARTESIAN_POINT('Origin',(4.07750669942359,0.645813616507753,1.21166150673268)); #583423=CARTESIAN_POINT('Origin',(3.99766855855882,0.633168497250334,4.5)); #583424=CARTESIAN_POINT('Origin',(1.75,0.,0.)); #583425=CARTESIAN_POINT('',(1.425,-3.9801020972289E-17,-1.922)); #583426=CARTESIAN_POINT('Origin',(1.75,0.,-1.922)); #583427=CARTESIAN_POINT('',(1.425,-3.9801020972289E-17,0.)); #583428=CARTESIAN_POINT('',(1.425,3.9801020972289E-17,0.)); #583429=CARTESIAN_POINT('Origin',(1.75,0.,0.)); #583430=CARTESIAN_POINT('Origin',(1.75,0.,0.)); #583431=CARTESIAN_POINT('Origin',(1.75,0.,-1.922)); #583432=CARTESIAN_POINT('Origin',(-1.75,0.,0.)); #583433=CARTESIAN_POINT('',(-1.425,-3.9801020972289E-17,-1.922)); #583434=CARTESIAN_POINT('Origin',(-1.75,0.,-1.922)); #583435=CARTESIAN_POINT('',(-1.425,-3.9801020972289E-17,0.)); #583436=CARTESIAN_POINT('',(-1.425,3.9801020972289E-17,0.)); #583437=CARTESIAN_POINT('Origin',(-1.75,0.,0.)); #583438=CARTESIAN_POINT('Origin',(-1.75,0.,0.)); #583439=CARTESIAN_POINT('Origin',(-1.75,0.,-1.922)); #583440=CARTESIAN_POINT('Origin',(4.26514674293679,-0.223526869113613,3.36833333333333)); #583441=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.27833333333333)); #583442=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,2.45833333333333)); #583443=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,2.45833333333333)); #583444=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,2.45833333333333)); #583445=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,2.45833333333333)); #583446=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.27833333333333)); #583447=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.27833333333333)); #583448=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.27833333333333)); #583449=CARTESIAN_POINT('Origin',(4.2715,0.,2.45833333333333)); #583450=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,2.45833333333333)); #583451=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,1.89084858881472E-14, 2.45833333333333)); #583452=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,2.45833333333333)); #583453=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,2.45833333333333)); #583454=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 2.45833333333333)); #583455=CARTESIAN_POINT('Origin',(0.,0.,3.36833333333333)); #583456=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.27833333333333)); #583457=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.27833333333333)); #583458=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 4.27833333333333)); #583459=CARTESIAN_POINT('Origin',(4.2705,0.,4.27833333333333)); #583460=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.27833333333333)); #583461=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.27833333333333)); #583462=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,-1.89084858881472E-14, 4.27833333333333)); #583463=CARTESIAN_POINT('Origin',(0.,0.,3.36833333333333)); #583464=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,2.45833333333333)); #583465=CARTESIAN_POINT('Origin',(4.26514674293679,0.223526869113613,3.36833333333333)); #583466=CARTESIAN_POINT('Origin',(4.26514674293679,-0.223526869113613,5.5875)); #583467=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.4975)); #583468=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.6775)); #583469=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.6775)); #583470=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.6775)); #583471=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.6775)); #583472=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.4975)); #583473=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.4975)); #583474=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.4975)); #583475=CARTESIAN_POINT('Origin',(4.2715,0.,4.6775)); #583476=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.6775)); #583477=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,1.89084858881472E-14, 4.6775)); #583478=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.6775)); #583479=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.6775)); #583480=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 4.6775)); #583481=CARTESIAN_POINT('Origin',(0.,0.,5.5875)); #583482=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.4975)); #583483=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.4975)); #583484=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 6.4975)); #583485=CARTESIAN_POINT('Origin',(4.2705,0.,6.4975)); #583486=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.4975)); #583487=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.4975)); #583488=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,-1.89084858881472E-14, 6.4975)); #583489=CARTESIAN_POINT('Origin',(0.,0.,5.5875)); #583490=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.6775)); #583491=CARTESIAN_POINT('Origin',(4.26514674293679,0.223526869113613,5.5875)); #583492=CARTESIAN_POINT('Origin',(4.26514674293679,-0.223526869113613,7.80666666666667)); #583493=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,8.71666666666667)); #583494=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.89666666666667)); #583495=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.89666666666667)); #583496=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.89666666666667)); #583497=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.89666666666667)); #583498=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,8.71666666666667)); #583499=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,8.71666666666667)); #583500=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,8.71666666666667)); #583501=CARTESIAN_POINT('Origin',(4.2715,0.,6.89666666666667)); #583502=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.89666666666667)); #583503=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,1.89084858881472E-14, 6.89666666666667)); #583504=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.89666666666667)); #583505=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.89666666666667)); #583506=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 6.89666666666667)); #583507=CARTESIAN_POINT('Origin',(0.,0.,7.80666666666667)); #583508=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,8.71666666666667)); #583509=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,8.71666666666667)); #583510=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 8.71666666666667)); #583511=CARTESIAN_POINT('Origin',(4.2705,0.,8.71666666666667)); #583512=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,8.71666666666667)); #583513=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,8.71666666666667)); #583514=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,-1.89084858881472E-14, 8.71666666666667)); #583515=CARTESIAN_POINT('Origin',(0.,0.,7.80666666666667)); #583516=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.89666666666667)); #583517=CARTESIAN_POINT('Origin',(4.26514674293679,0.223526869113613,7.80666666666667)); #583518=CARTESIAN_POINT('Origin',(4.26514674293679,-0.223526869113613,3.36833333333333)); #583519=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.27833333333333)); #583520=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,2.45833333333333)); #583521=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,2.45833333333333)); #583522=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,2.45833333333333)); #583523=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,2.45833333333333)); #583524=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.27833333333333)); #583525=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.27833333333333)); #583526=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.27833333333333)); #583527=CARTESIAN_POINT('Origin',(4.2715,0.,2.45833333333333)); #583528=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,2.45833333333333)); #583529=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,1.89084858881472E-14, 2.45833333333333)); #583530=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,2.45833333333333)); #583531=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,2.45833333333333)); #583532=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 2.45833333333333)); #583533=CARTESIAN_POINT('Origin',(0.,0.,3.36833333333333)); #583534=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.27833333333333)); #583535=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.27833333333333)); #583536=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 4.27833333333333)); #583537=CARTESIAN_POINT('Origin',(4.2705,0.,4.27833333333333)); #583538=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.27833333333333)); #583539=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.27833333333333)); #583540=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,-1.89084858881472E-14, 4.27833333333333)); #583541=CARTESIAN_POINT('Origin',(0.,0.,3.36833333333333)); #583542=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,2.45833333333333)); #583543=CARTESIAN_POINT('Origin',(4.26514674293679,0.223526869113613,3.36833333333333)); #583544=CARTESIAN_POINT('Origin',(4.26514674293679,-0.223526869113613,5.5875)); #583545=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.4975)); #583546=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.6775)); #583547=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.6775)); #583548=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.6775)); #583549=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.6775)); #583550=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.4975)); #583551=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.4975)); #583552=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.4975)); #583553=CARTESIAN_POINT('Origin',(4.2715,0.,4.6775)); #583554=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.6775)); #583555=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,1.89084858881472E-14, 4.6775)); #583556=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.6775)); #583557=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.6775)); #583558=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 4.6775)); #583559=CARTESIAN_POINT('Origin',(0.,0.,5.5875)); #583560=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.4975)); #583561=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.4975)); #583562=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 6.4975)); #583563=CARTESIAN_POINT('Origin',(4.2705,0.,6.4975)); #583564=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.4975)); #583565=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.4975)); #583566=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,-1.89084858881472E-14, 6.4975)); #583567=CARTESIAN_POINT('Origin',(0.,0.,5.5875)); #583568=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.6775)); #583569=CARTESIAN_POINT('Origin',(4.26514674293679,0.223526869113613,5.5875)); #583570=CARTESIAN_POINT('Origin',(4.26514674293679,-0.223526869113613,7.80666666666667)); #583571=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,8.71666666666667)); #583572=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.89666666666667)); #583573=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.89666666666667)); #583574=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.89666666666667)); #583575=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.89666666666667)); #583576=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,8.71666666666667)); #583577=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,8.71666666666667)); #583578=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,8.71666666666667)); #583579=CARTESIAN_POINT('Origin',(4.2715,0.,6.89666666666667)); #583580=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.89666666666667)); #583581=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,1.89084858881472E-14, 6.89666666666667)); #583582=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.89666666666667)); #583583=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.89666666666667)); #583584=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 6.89666666666667)); #583585=CARTESIAN_POINT('Origin',(0.,0.,7.80666666666667)); #583586=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,8.71666666666667)); #583587=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,8.71666666666667)); #583588=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 8.71666666666667)); #583589=CARTESIAN_POINT('Origin',(4.2705,0.,8.71666666666667)); #583590=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,8.71666666666667)); #583591=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,8.71666666666667)); #583592=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,-1.89084858881472E-14, 8.71666666666667)); #583593=CARTESIAN_POINT('Origin',(0.,0.,7.80666666666667)); #583594=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.89666666666667)); #583595=CARTESIAN_POINT('Origin',(4.26514674293679,0.223526869113613,7.80666666666667)); #583596=CARTESIAN_POINT('Origin',(4.26514674293679,-0.223526869113613,3.36833333333333)); #583597=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.27833333333333)); #583598=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,2.45833333333333)); #583599=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,2.45833333333333)); #583600=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,2.45833333333333)); #583601=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,2.45833333333333)); #583602=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.27833333333333)); #583603=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.27833333333333)); #583604=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.27833333333333)); #583605=CARTESIAN_POINT('Origin',(4.2715,0.,2.45833333333333)); #583606=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,2.45833333333333)); #583607=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,1.89084858881472E-14, 2.45833333333333)); #583608=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,2.45833333333333)); #583609=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,2.45833333333333)); #583610=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 2.45833333333333)); #583611=CARTESIAN_POINT('Origin',(0.,0.,3.36833333333333)); #583612=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.27833333333333)); #583613=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.27833333333333)); #583614=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 4.27833333333333)); #583615=CARTESIAN_POINT('Origin',(4.2705,0.,4.27833333333333)); #583616=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.27833333333333)); #583617=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.27833333333333)); #583618=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,-1.89084858881472E-14, 4.27833333333333)); #583619=CARTESIAN_POINT('Origin',(0.,0.,3.36833333333333)); #583620=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,2.45833333333333)); #583621=CARTESIAN_POINT('Origin',(4.26514674293679,0.223526869113613,3.36833333333333)); #583622=CARTESIAN_POINT('Origin',(4.26514674293679,-0.223526869113613,5.5875)); #583623=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.4975)); #583624=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.6775)); #583625=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.6775)); #583626=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.6775)); #583627=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.6775)); #583628=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.4975)); #583629=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.4975)); #583630=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.4975)); #583631=CARTESIAN_POINT('Origin',(4.2715,0.,4.6775)); #583632=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.6775)); #583633=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,1.89084858881472E-14, 4.6775)); #583634=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.6775)); #583635=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.6775)); #583636=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 4.6775)); #583637=CARTESIAN_POINT('Origin',(0.,0.,5.5875)); #583638=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.4975)); #583639=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.4975)); #583640=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 6.4975)); #583641=CARTESIAN_POINT('Origin',(4.2705,0.,6.4975)); #583642=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.4975)); #583643=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.4975)); #583644=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,-1.89084858881472E-14, 6.4975)); #583645=CARTESIAN_POINT('Origin',(0.,0.,5.5875)); #583646=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.6775)); #583647=CARTESIAN_POINT('Origin',(4.26514674293679,0.223526869113613,5.5875)); #583648=CARTESIAN_POINT('Origin',(4.26514674293679,-0.223526869113613,7.80666666666667)); #583649=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,8.71666666666667)); #583650=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.89666666666667)); #583651=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.89666666666667)); #583652=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.89666666666667)); #583653=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.89666666666667)); #583654=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,8.71666666666667)); #583655=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,8.71666666666667)); #583656=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,8.71666666666667)); #583657=CARTESIAN_POINT('Origin',(4.2715,0.,6.89666666666667)); #583658=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.89666666666667)); #583659=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,1.89084858881472E-14, 6.89666666666667)); #583660=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.89666666666667)); #583661=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.89666666666667)); #583662=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 6.89666666666667)); #583663=CARTESIAN_POINT('Origin',(0.,0.,7.80666666666667)); #583664=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,8.71666666666667)); #583665=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,8.71666666666667)); #583666=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 8.71666666666667)); #583667=CARTESIAN_POINT('Origin',(4.2705,0.,8.71666666666667)); #583668=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,8.71666666666667)); #583669=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,8.71666666666667)); #583670=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,-1.89084858881472E-14, 8.71666666666667)); #583671=CARTESIAN_POINT('Origin',(0.,0.,7.80666666666667)); #583672=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.89666666666667)); #583673=CARTESIAN_POINT('Origin',(4.26514674293679,0.223526869113613,7.80666666666667)); #583674=CARTESIAN_POINT('',(0.,0.,0.)); #583675=CARTESIAN_POINT('',(0.,0.,0.)); #583676=CARTESIAN_POINT('',(0.,0.,0.)); #583677=CARTESIAN_POINT('Origin',(-1.3,1.3,0.75)); #583678=CARTESIAN_POINT('',(-1.375,1.3,0.75)); #583679=CARTESIAN_POINT('Origin',(-1.3,1.3,0.75)); #583680=CARTESIAN_POINT('',(-1.375,1.3,0.675)); #583681=CARTESIAN_POINT('',(-1.375,1.3,0.75)); #583682=CARTESIAN_POINT('Origin',(-1.3,1.3,0.675)); #583683=CARTESIAN_POINT('Origin',(-1.3,1.3,0.675)); #583684=CARTESIAN_POINT('Origin',(1.5625,0.8,0.7125)); #583685=CARTESIAN_POINT('',(1.525,1.525,0.75)); #583686=CARTESIAN_POINT('',(1.525,-1.525,0.75)); #583687=CARTESIAN_POINT('',(1.525,0.8,0.75)); #583688=CARTESIAN_POINT('',(1.6,-1.6,0.675)); #583689=CARTESIAN_POINT('',(1.525,-1.525,0.75)); #583690=CARTESIAN_POINT('',(1.6,1.6,0.675)); #583691=CARTESIAN_POINT('',(1.6,0.8,0.675)); #583692=CARTESIAN_POINT('',(1.6,1.6,0.675)); #583693=CARTESIAN_POINT('Origin',(0.8,-1.5625,0.7125)); #583694=CARTESIAN_POINT('',(-1.6,-1.6,0.675)); #583695=CARTESIAN_POINT('',(0.8,-1.6,0.675)); #583696=CARTESIAN_POINT('',(-1.525,-1.525,0.75)); #583697=CARTESIAN_POINT('',(0.8,-1.525,0.75)); #583698=CARTESIAN_POINT('',(-1.6,-1.6,0.675)); #583699=CARTESIAN_POINT('Origin',(-0.8,1.5625,0.7125)); #583700=CARTESIAN_POINT('',(-1.525,1.525,0.75)); #583701=CARTESIAN_POINT('',(-0.8,1.525,0.75)); #583702=CARTESIAN_POINT('',(-1.6,1.6,0.675)); #583703=CARTESIAN_POINT('',(-0.8,1.6,0.675)); #583704=CARTESIAN_POINT('',(-1.6,1.6,0.675)); #583705=CARTESIAN_POINT('Origin',(-1.5625,-0.8,0.7125)); #583706=CARTESIAN_POINT('',(-1.525,-0.8,0.75)); #583707=CARTESIAN_POINT('',(-1.6,-0.8,0.675)); #583708=CARTESIAN_POINT('Origin',(-1.6,1.6,0.75)); #583709=CARTESIAN_POINT('',(1.6,1.6,0.05)); #583710=CARTESIAN_POINT('',(1.6,1.6,0.75)); #583711=CARTESIAN_POINT('',(-1.6,1.6,0.05)); #583712=CARTESIAN_POINT('',(1.6,1.6,0.05)); #583713=CARTESIAN_POINT('',(-1.6,1.6,0.75)); #583714=CARTESIAN_POINT('Origin',(1.6,1.6,0.75)); #583715=CARTESIAN_POINT('',(1.6,-1.6,0.05)); #583716=CARTESIAN_POINT('',(1.6,-1.6,0.75)); #583717=CARTESIAN_POINT('',(1.6,-1.6,0.05)); #583718=CARTESIAN_POINT('Origin',(0.,0.,0.75)); #583719=CARTESIAN_POINT('Origin',(-1.6,-1.6,0.75)); #583720=CARTESIAN_POINT('',(-1.6,-1.6,0.05)); #583721=CARTESIAN_POINT('',(-1.6,1.6,0.05)); #583722=CARTESIAN_POINT('',(-1.6,-1.6,0.75)); #583723=CARTESIAN_POINT('Origin',(1.6,-1.6,0.75)); #583724=CARTESIAN_POINT('',(-1.6,-1.6,0.05)); #583725=CARTESIAN_POINT('Origin',(0.,0.,0.05)); #583726=CARTESIAN_POINT('Origin',(1.601,1.15,0.)); #583727=CARTESIAN_POINT('',(1.601,1.15,0.)); #583728=CARTESIAN_POINT('',(1.6,1.15,0.)); #583729=CARTESIAN_POINT('',(1.601,1.15,0.)); #583730=CARTESIAN_POINT('',(1.6,1.15,0.14)); #583731=CARTESIAN_POINT('',(1.6,1.15,0.)); #583732=CARTESIAN_POINT('',(1.601,1.15,0.14)); #583733=CARTESIAN_POINT('',(1.601,1.15,0.14)); #583734=CARTESIAN_POINT('',(1.601,1.15,0.)); #583735=CARTESIAN_POINT('Origin',(1.601,0.8,0.)); #583736=CARTESIAN_POINT('',(1.601,0.8,0.)); #583737=CARTESIAN_POINT('',(1.601,0.8,0.)); #583738=CARTESIAN_POINT('',(1.601,0.8,0.14)); #583739=CARTESIAN_POINT('',(1.601,0.8,0.14)); #583740=CARTESIAN_POINT('',(1.601,0.8,0.)); #583741=CARTESIAN_POINT('Origin',(1.6,0.8,0.)); #583742=CARTESIAN_POINT('',(1.6,0.8,0.)); #583743=CARTESIAN_POINT('',(1.101,0.8,0.)); #583744=CARTESIAN_POINT('',(1.6,0.8,0.14)); #583745=CARTESIAN_POINT('',(1.101,0.8,0.14)); #583746=CARTESIAN_POINT('',(1.6,0.8,0.)); #583747=CARTESIAN_POINT('Origin',(1.6,1.15,0.)); #583748=CARTESIAN_POINT('',(1.6,1.15,0.)); #583749=CARTESIAN_POINT('',(1.6,1.15,0.14)); #583750=CARTESIAN_POINT('Origin',(1.6005,0.975,0.14)); #583751=CARTESIAN_POINT('Origin',(1.6005,0.975,0.)); #583752=CARTESIAN_POINT('Origin',(1.6,0.8,0.)); #583753=CARTESIAN_POINT('',(1.6,1.15,0.)); #583754=CARTESIAN_POINT('',(1.6,0.8,0.)); #583755=CARTESIAN_POINT('',(1.6,1.15,0.)); #583756=CARTESIAN_POINT('',(1.6,1.15,0.05)); #583757=CARTESIAN_POINT('',(1.6,1.15,0.)); #583758=CARTESIAN_POINT('',(1.6,0.8,0.05)); #583759=CARTESIAN_POINT('',(1.6,1.15,0.05)); #583760=CARTESIAN_POINT('',(1.6,0.8,0.)); #583761=CARTESIAN_POINT('Origin',(1.275,0.8,0.)); #583762=CARTESIAN_POINT('',(1.275,0.8,0.)); #583763=CARTESIAN_POINT('',(1.101,0.8,0.)); #583764=CARTESIAN_POINT('',(1.275,0.8,0.05)); #583765=CARTESIAN_POINT('',(1.101,0.8,0.05)); #583766=CARTESIAN_POINT('',(1.275,0.8,0.)); #583767=CARTESIAN_POINT('Origin',(1.275,0.975,0.)); #583768=CARTESIAN_POINT('',(1.101,0.956318458307731,0.)); #583769=CARTESIAN_POINT('Origin',(1.275,0.975,0.)); #583770=CARTESIAN_POINT('',(1.101,0.956318458307731,0.05)); #583771=CARTESIAN_POINT('Origin',(1.275,0.975,0.05)); #583772=CARTESIAN_POINT('',(1.101,0.956318458307731,0.)); #583773=CARTESIAN_POINT('Origin',(1.101,0.993681541692269,0.)); #583774=CARTESIAN_POINT('',(1.101,0.993681541692269,0.)); #583775=CARTESIAN_POINT('',(1.101,1.15,0.)); #583776=CARTESIAN_POINT('',(1.101,0.993681541692269,0.05)); #583777=CARTESIAN_POINT('',(1.101,1.15,0.05)); #583778=CARTESIAN_POINT('',(1.101,0.993681541692269,0.)); #583779=CARTESIAN_POINT('Origin',(1.275,0.975,0.)); #583780=CARTESIAN_POINT('',(1.275,1.15,0.)); #583781=CARTESIAN_POINT('Origin',(1.275,0.975,0.)); #583782=CARTESIAN_POINT('',(1.275,1.15,0.05)); #583783=CARTESIAN_POINT('Origin',(1.275,0.975,0.05)); #583784=CARTESIAN_POINT('',(1.275,1.15,0.)); #583785=CARTESIAN_POINT('Origin',(1.6,1.15,0.)); #583786=CARTESIAN_POINT('',(1.601,1.15,0.)); #583787=CARTESIAN_POINT('',(1.601,1.15,0.05)); #583788=CARTESIAN_POINT('Origin',(1.3505,0.975,0.05)); #583789=CARTESIAN_POINT('Origin',(1.3505,0.975,0.)); #583790=CARTESIAN_POINT('Origin',(-1.6,0.8,0.)); #583791=CARTESIAN_POINT('',(-1.6,1.15,0.)); #583792=CARTESIAN_POINT('',(-1.6,0.8,0.)); #583793=CARTESIAN_POINT('',(-1.6,1.15,0.)); #583794=CARTESIAN_POINT('',(-1.6,0.8,0.05)); #583795=CARTESIAN_POINT('',(-1.6,0.8,0.)); #583796=CARTESIAN_POINT('',(-1.6,1.15,0.05)); #583797=CARTESIAN_POINT('',(-1.6,1.15,0.05)); #583798=CARTESIAN_POINT('',(-1.6,1.15,0.)); #583799=CARTESIAN_POINT('Origin',(-1.275,0.8,0.)); #583800=CARTESIAN_POINT('',(-1.275,0.8,0.)); #583801=CARTESIAN_POINT('',(-1.101,0.8,0.)); #583802=CARTESIAN_POINT('',(-1.275,0.8,0.05)); #583803=CARTESIAN_POINT('',(-1.275,0.8,0.)); #583804=CARTESIAN_POINT('',(-1.101,0.8,0.05)); #583805=CARTESIAN_POINT('Origin',(-1.275,0.975,0.)); #583806=CARTESIAN_POINT('',(-1.101,0.956318458307731,0.)); #583807=CARTESIAN_POINT('Origin',(-1.275,0.975,0.)); #583808=CARTESIAN_POINT('',(-1.101,0.956318458307731,0.05)); #583809=CARTESIAN_POINT('',(-1.101,0.956318458307731,0.)); #583810=CARTESIAN_POINT('Origin',(-1.275,0.975,0.05)); #583811=CARTESIAN_POINT('Origin',(-1.101,0.993681541692269,0.)); #583812=CARTESIAN_POINT('',(-1.101,0.993681541692269,0.)); #583813=CARTESIAN_POINT('',(-1.101,1.15,0.)); #583814=CARTESIAN_POINT('',(-1.101,0.993681541692269,0.05)); #583815=CARTESIAN_POINT('',(-1.101,0.993681541692269,0.)); #583816=CARTESIAN_POINT('',(-1.101,1.15,0.05)); #583817=CARTESIAN_POINT('Origin',(-1.275,0.975,0.)); #583818=CARTESIAN_POINT('',(-1.275,1.15,0.)); #583819=CARTESIAN_POINT('Origin',(-1.275,0.975,0.)); #583820=CARTESIAN_POINT('',(-1.275,1.15,0.05)); #583821=CARTESIAN_POINT('',(-1.275,1.15,0.)); #583822=CARTESIAN_POINT('Origin',(-1.275,0.975,0.05)); #583823=CARTESIAN_POINT('Origin',(-1.6,1.15,0.)); #583824=CARTESIAN_POINT('',(-1.601,1.15,0.)); #583825=CARTESIAN_POINT('',(-1.601,1.15,0.05)); #583826=CARTESIAN_POINT('Origin',(-1.3505,0.975,0.05)); #583827=CARTESIAN_POINT('Origin',(-1.3505,0.975,0.)); #583828=CARTESIAN_POINT('Origin',(1.6,0.15,0.)); #583829=CARTESIAN_POINT('',(1.6,0.5,0.)); #583830=CARTESIAN_POINT('',(1.6,0.15,0.)); #583831=CARTESIAN_POINT('',(1.6,0.5,0.)); #583832=CARTESIAN_POINT('',(1.6,0.5,0.05)); #583833=CARTESIAN_POINT('',(1.6,0.5,0.)); #583834=CARTESIAN_POINT('',(1.6,0.15,0.05)); #583835=CARTESIAN_POINT('',(1.6,0.5,0.05)); #583836=CARTESIAN_POINT('',(1.6,0.15,0.)); #583837=CARTESIAN_POINT('Origin',(1.275,0.15,0.)); #583838=CARTESIAN_POINT('',(1.275,0.15,0.)); #583839=CARTESIAN_POINT('',(1.101,0.15,0.)); #583840=CARTESIAN_POINT('',(1.275,0.15,0.05)); #583841=CARTESIAN_POINT('',(1.101,0.15,0.05)); #583842=CARTESIAN_POINT('',(1.275,0.15,0.)); #583843=CARTESIAN_POINT('Origin',(1.275,0.325,0.)); #583844=CARTESIAN_POINT('',(1.101,0.306318458307731,0.)); #583845=CARTESIAN_POINT('Origin',(1.275,0.325,0.)); #583846=CARTESIAN_POINT('',(1.101,0.306318458307731,0.05)); #583847=CARTESIAN_POINT('Origin',(1.275,0.325,0.05)); #583848=CARTESIAN_POINT('',(1.101,0.306318458307731,0.)); #583849=CARTESIAN_POINT('Origin',(1.101,0.343681541692269,0.)); #583850=CARTESIAN_POINT('',(1.101,0.343681541692269,0.)); #583851=CARTESIAN_POINT('',(1.101,0.5,0.)); #583852=CARTESIAN_POINT('',(1.101,0.343681541692269,0.05)); #583853=CARTESIAN_POINT('',(1.101,0.5,0.05)); #583854=CARTESIAN_POINT('',(1.101,0.343681541692269,0.)); #583855=CARTESIAN_POINT('Origin',(1.275,0.325,0.)); #583856=CARTESIAN_POINT('',(1.275,0.5,0.)); #583857=CARTESIAN_POINT('Origin',(1.275,0.325,0.)); #583858=CARTESIAN_POINT('',(1.275,0.5,0.05)); #583859=CARTESIAN_POINT('Origin',(1.275,0.325,0.05)); #583860=CARTESIAN_POINT('',(1.275,0.5,0.)); #583861=CARTESIAN_POINT('Origin',(1.6,0.5,0.)); #583862=CARTESIAN_POINT('',(1.601,0.5,0.)); #583863=CARTESIAN_POINT('',(1.601,0.5,0.05)); #583864=CARTESIAN_POINT('Origin',(1.3505,0.325,0.05)); #583865=CARTESIAN_POINT('Origin',(1.3505,0.325,0.)); #583866=CARTESIAN_POINT('Origin',(1.6,-0.5,0.)); #583867=CARTESIAN_POINT('',(1.6,-0.15,0.)); #583868=CARTESIAN_POINT('',(1.6,-0.5,0.)); #583869=CARTESIAN_POINT('',(1.6,-0.15,0.)); #583870=CARTESIAN_POINT('',(1.6,-0.15,0.05)); #583871=CARTESIAN_POINT('',(1.6,-0.15,0.)); #583872=CARTESIAN_POINT('',(1.6,-0.5,0.05)); #583873=CARTESIAN_POINT('',(1.6,-0.15,0.05)); #583874=CARTESIAN_POINT('',(1.6,-0.5,0.)); #583875=CARTESIAN_POINT('Origin',(1.275,-0.5,0.)); #583876=CARTESIAN_POINT('',(1.275,-0.5,0.)); #583877=CARTESIAN_POINT('',(1.101,-0.5,0.)); #583878=CARTESIAN_POINT('',(1.275,-0.5,0.05)); #583879=CARTESIAN_POINT('',(1.101,-0.5,0.05)); #583880=CARTESIAN_POINT('',(1.275,-0.5,0.)); #583881=CARTESIAN_POINT('Origin',(1.275,-0.325,0.)); #583882=CARTESIAN_POINT('',(1.101,-0.343681541692269,0.)); #583883=CARTESIAN_POINT('Origin',(1.275,-0.325,0.)); #583884=CARTESIAN_POINT('',(1.101,-0.343681541692269,0.05)); #583885=CARTESIAN_POINT('Origin',(1.275,-0.325,0.05)); #583886=CARTESIAN_POINT('',(1.101,-0.343681541692269,0.)); #583887=CARTESIAN_POINT('Origin',(1.101,-0.306318458307731,0.)); #583888=CARTESIAN_POINT('',(1.101,-0.306318458307731,0.)); #583889=CARTESIAN_POINT('',(1.101,-0.15,0.)); #583890=CARTESIAN_POINT('',(1.101,-0.306318458307731,0.05)); #583891=CARTESIAN_POINT('',(1.101,-0.15,0.05)); #583892=CARTESIAN_POINT('',(1.101,-0.306318458307731,0.)); #583893=CARTESIAN_POINT('Origin',(1.275,-0.325,0.)); #583894=CARTESIAN_POINT('',(1.275,-0.15,0.)); #583895=CARTESIAN_POINT('Origin',(1.275,-0.325,0.)); #583896=CARTESIAN_POINT('',(1.275,-0.15,0.05)); #583897=CARTESIAN_POINT('Origin',(1.275,-0.325,0.05)); #583898=CARTESIAN_POINT('',(1.275,-0.15,0.)); #583899=CARTESIAN_POINT('Origin',(1.6,-0.15,0.)); #583900=CARTESIAN_POINT('',(1.601,-0.15,0.)); #583901=CARTESIAN_POINT('',(1.601,-0.15,0.05)); #583902=CARTESIAN_POINT('Origin',(1.3505,-0.325,0.05)); #583903=CARTESIAN_POINT('Origin',(1.3505,-0.325,0.)); #583904=CARTESIAN_POINT('Origin',(1.6,-1.15,0.)); #583905=CARTESIAN_POINT('',(1.6,-0.8,0.)); #583906=CARTESIAN_POINT('',(1.6,-1.15,0.)); #583907=CARTESIAN_POINT('',(1.6,-0.8,0.)); #583908=CARTESIAN_POINT('',(1.6,-0.8,0.05)); #583909=CARTESIAN_POINT('',(1.6,-0.8,0.)); #583910=CARTESIAN_POINT('',(1.6,-1.15,0.05)); #583911=CARTESIAN_POINT('',(1.6,-0.8,0.05)); #583912=CARTESIAN_POINT('',(1.6,-1.15,0.)); #583913=CARTESIAN_POINT('Origin',(1.275,-1.15,0.)); #583914=CARTESIAN_POINT('',(1.275,-1.15,0.)); #583915=CARTESIAN_POINT('',(1.101,-1.15,0.)); #583916=CARTESIAN_POINT('',(1.275,-1.15,0.05)); #583917=CARTESIAN_POINT('',(1.101,-1.15,0.05)); #583918=CARTESIAN_POINT('',(1.275,-1.15,0.)); #583919=CARTESIAN_POINT('Origin',(1.275,-0.975,0.)); #583920=CARTESIAN_POINT('',(1.101,-0.993681541692269,0.)); #583921=CARTESIAN_POINT('Origin',(1.275,-0.975,0.)); #583922=CARTESIAN_POINT('',(1.101,-0.993681541692269,0.05)); #583923=CARTESIAN_POINT('Origin',(1.275,-0.975,0.05)); #583924=CARTESIAN_POINT('',(1.101,-0.993681541692269,0.)); #583925=CARTESIAN_POINT('Origin',(1.101,-0.956318458307731,0.)); #583926=CARTESIAN_POINT('',(1.101,-0.956318458307731,0.)); #583927=CARTESIAN_POINT('',(1.101,-0.8,0.)); #583928=CARTESIAN_POINT('',(1.101,-0.956318458307731,0.05)); #583929=CARTESIAN_POINT('',(1.101,-0.8,0.05)); #583930=CARTESIAN_POINT('',(1.101,-0.956318458307731,0.)); #583931=CARTESIAN_POINT('Origin',(1.275,-0.975,0.)); #583932=CARTESIAN_POINT('',(1.275,-0.8,0.)); #583933=CARTESIAN_POINT('Origin',(1.275,-0.975,0.)); #583934=CARTESIAN_POINT('',(1.275,-0.8,0.05)); #583935=CARTESIAN_POINT('Origin',(1.275,-0.975,0.05)); #583936=CARTESIAN_POINT('',(1.275,-0.8,0.)); #583937=CARTESIAN_POINT('Origin',(1.6,-0.8,0.)); #583938=CARTESIAN_POINT('',(1.601,-0.8,0.)); #583939=CARTESIAN_POINT('',(1.601,-0.8,0.05)); #583940=CARTESIAN_POINT('Origin',(1.3505,-0.975,0.05)); #583941=CARTESIAN_POINT('Origin',(1.3505,-0.975,0.)); #583942=CARTESIAN_POINT('Origin',(-1.6,0.15,0.)); #583943=CARTESIAN_POINT('',(-1.6,0.5,0.)); #583944=CARTESIAN_POINT('',(-1.6,0.15,0.)); #583945=CARTESIAN_POINT('',(-1.6,0.5,0.)); #583946=CARTESIAN_POINT('',(-1.6,0.15,0.05)); #583947=CARTESIAN_POINT('',(-1.6,0.15,0.)); #583948=CARTESIAN_POINT('',(-1.6,0.5,0.05)); #583949=CARTESIAN_POINT('',(-1.6,0.5,0.05)); #583950=CARTESIAN_POINT('',(-1.6,0.5,0.)); #583951=CARTESIAN_POINT('Origin',(-1.275,0.15,0.)); #583952=CARTESIAN_POINT('',(-1.275,0.15,0.)); #583953=CARTESIAN_POINT('',(-1.101,0.15,0.)); #583954=CARTESIAN_POINT('',(-1.275,0.15,0.05)); #583955=CARTESIAN_POINT('',(-1.275,0.15,0.)); #583956=CARTESIAN_POINT('',(-1.101,0.15,0.05)); #583957=CARTESIAN_POINT('Origin',(-1.275,0.325,0.)); #583958=CARTESIAN_POINT('',(-1.101,0.306318458307731,0.)); #583959=CARTESIAN_POINT('Origin',(-1.275,0.325,0.)); #583960=CARTESIAN_POINT('',(-1.101,0.306318458307731,0.05)); #583961=CARTESIAN_POINT('',(-1.101,0.306318458307731,0.)); #583962=CARTESIAN_POINT('Origin',(-1.275,0.325,0.05)); #583963=CARTESIAN_POINT('Origin',(-1.101,0.343681541692269,0.)); #583964=CARTESIAN_POINT('',(-1.101,0.343681541692269,0.)); #583965=CARTESIAN_POINT('',(-1.101,0.5,0.)); #583966=CARTESIAN_POINT('',(-1.101,0.343681541692269,0.05)); #583967=CARTESIAN_POINT('',(-1.101,0.343681541692269,0.)); #583968=CARTESIAN_POINT('',(-1.101,0.5,0.05)); #583969=CARTESIAN_POINT('Origin',(-1.275,0.325,0.)); #583970=CARTESIAN_POINT('',(-1.275,0.5,0.)); #583971=CARTESIAN_POINT('Origin',(-1.275,0.325,0.)); #583972=CARTESIAN_POINT('',(-1.275,0.5,0.05)); #583973=CARTESIAN_POINT('',(-1.275,0.5,0.)); #583974=CARTESIAN_POINT('Origin',(-1.275,0.325,0.05)); #583975=CARTESIAN_POINT('Origin',(-1.6,0.5,0.)); #583976=CARTESIAN_POINT('',(-1.601,0.5,0.)); #583977=CARTESIAN_POINT('',(-1.601,0.5,0.05)); #583978=CARTESIAN_POINT('Origin',(-1.3505,0.325,0.05)); #583979=CARTESIAN_POINT('Origin',(-1.3505,0.325,0.)); #583980=CARTESIAN_POINT('Origin',(-1.6,-0.5,0.)); #583981=CARTESIAN_POINT('',(-1.6,-0.15,0.)); #583982=CARTESIAN_POINT('',(-1.6,-0.5,0.)); #583983=CARTESIAN_POINT('',(-1.6,-0.15,0.)); #583984=CARTESIAN_POINT('',(-1.6,-0.5,0.05)); #583985=CARTESIAN_POINT('',(-1.6,-0.5,0.)); #583986=CARTESIAN_POINT('',(-1.6,-0.15,0.05)); #583987=CARTESIAN_POINT('',(-1.6,-0.15,0.05)); #583988=CARTESIAN_POINT('',(-1.6,-0.15,0.)); #583989=CARTESIAN_POINT('Origin',(-1.275,-0.5,0.)); #583990=CARTESIAN_POINT('',(-1.275,-0.5,0.)); #583991=CARTESIAN_POINT('',(-1.101,-0.5,0.)); #583992=CARTESIAN_POINT('',(-1.275,-0.5,0.05)); #583993=CARTESIAN_POINT('',(-1.275,-0.5,0.)); #583994=CARTESIAN_POINT('',(-1.101,-0.5,0.05)); #583995=CARTESIAN_POINT('Origin',(-1.275,-0.325,0.)); #583996=CARTESIAN_POINT('',(-1.101,-0.343681541692269,0.)); #583997=CARTESIAN_POINT('Origin',(-1.275,-0.325,0.)); #583998=CARTESIAN_POINT('',(-1.101,-0.343681541692269,0.05)); #583999=CARTESIAN_POINT('',(-1.101,-0.343681541692269,0.)); #584000=CARTESIAN_POINT('Origin',(-1.275,-0.325,0.05)); #584001=CARTESIAN_POINT('Origin',(-1.101,-0.306318458307731,0.)); #584002=CARTESIAN_POINT('',(-1.101,-0.306318458307731,0.)); #584003=CARTESIAN_POINT('',(-1.101,-0.15,0.)); #584004=CARTESIAN_POINT('',(-1.101,-0.306318458307731,0.05)); #584005=CARTESIAN_POINT('',(-1.101,-0.306318458307731,0.)); #584006=CARTESIAN_POINT('',(-1.101,-0.15,0.05)); #584007=CARTESIAN_POINT('Origin',(-1.275,-0.325,0.)); #584008=CARTESIAN_POINT('',(-1.275,-0.15,0.)); #584009=CARTESIAN_POINT('Origin',(-1.275,-0.325,0.)); #584010=CARTESIAN_POINT('',(-1.275,-0.15,0.05)); #584011=CARTESIAN_POINT('',(-1.275,-0.15,0.)); #584012=CARTESIAN_POINT('Origin',(-1.275,-0.325,0.05)); #584013=CARTESIAN_POINT('Origin',(-1.6,-0.15,0.)); #584014=CARTESIAN_POINT('',(-1.601,-0.15,0.)); #584015=CARTESIAN_POINT('',(-1.601,-0.15,0.05)); #584016=CARTESIAN_POINT('Origin',(-1.3505,-0.325,0.05)); #584017=CARTESIAN_POINT('Origin',(-1.3505,-0.325,0.)); #584018=CARTESIAN_POINT('Origin',(-1.6,-1.15,0.)); #584019=CARTESIAN_POINT('',(-1.6,-0.8,0.)); #584020=CARTESIAN_POINT('',(-1.6,-1.15,0.)); #584021=CARTESIAN_POINT('',(-1.6,-0.8,0.)); #584022=CARTESIAN_POINT('',(-1.6,-1.15,0.05)); #584023=CARTESIAN_POINT('',(-1.6,-1.15,0.)); #584024=CARTESIAN_POINT('',(-1.6,-0.8,0.05)); #584025=CARTESIAN_POINT('',(-1.6,-0.8,0.05)); #584026=CARTESIAN_POINT('',(-1.6,-0.8,0.)); #584027=CARTESIAN_POINT('Origin',(-1.275,-1.15,0.)); #584028=CARTESIAN_POINT('',(-1.275,-1.15,0.)); #584029=CARTESIAN_POINT('',(-1.101,-1.15,0.)); #584030=CARTESIAN_POINT('',(-1.275,-1.15,0.05)); #584031=CARTESIAN_POINT('',(-1.275,-1.15,0.)); #584032=CARTESIAN_POINT('',(-1.101,-1.15,0.05)); #584033=CARTESIAN_POINT('Origin',(-1.275,-0.975,0.)); #584034=CARTESIAN_POINT('',(-1.101,-0.993681541692269,0.)); #584035=CARTESIAN_POINT('Origin',(-1.275,-0.975,0.)); #584036=CARTESIAN_POINT('',(-1.101,-0.993681541692269,0.05)); #584037=CARTESIAN_POINT('',(-1.101,-0.993681541692269,0.)); #584038=CARTESIAN_POINT('Origin',(-1.275,-0.975,0.05)); #584039=CARTESIAN_POINT('Origin',(-1.101,-0.956318458307731,0.)); #584040=CARTESIAN_POINT('',(-1.101,-0.956318458307731,0.)); #584041=CARTESIAN_POINT('',(-1.101,-0.8,0.)); #584042=CARTESIAN_POINT('',(-1.101,-0.956318458307731,0.05)); #584043=CARTESIAN_POINT('',(-1.101,-0.956318458307731,0.)); #584044=CARTESIAN_POINT('',(-1.101,-0.8,0.05)); #584045=CARTESIAN_POINT('Origin',(-1.275,-0.975,0.)); #584046=CARTESIAN_POINT('',(-1.275,-0.8,0.)); #584047=CARTESIAN_POINT('Origin',(-1.275,-0.975,0.)); #584048=CARTESIAN_POINT('',(-1.275,-0.8,0.05)); #584049=CARTESIAN_POINT('',(-1.275,-0.8,0.)); #584050=CARTESIAN_POINT('Origin',(-1.275,-0.975,0.05)); #584051=CARTESIAN_POINT('Origin',(-1.6,-0.8,0.)); #584052=CARTESIAN_POINT('',(-1.601,-0.8,0.)); #584053=CARTESIAN_POINT('',(-1.601,-0.8,0.05)); #584054=CARTESIAN_POINT('Origin',(-1.3505,-0.975,0.05)); #584055=CARTESIAN_POINT('Origin',(-1.3505,-0.975,0.)); #584056=CARTESIAN_POINT('Origin',(-1.601,1.15,0.)); #584057=CARTESIAN_POINT('',(-1.601,1.15,0.)); #584058=CARTESIAN_POINT('',(-1.6,1.15,0.)); #584059=CARTESIAN_POINT('',(-1.601,1.15,0.)); #584060=CARTESIAN_POINT('',(-1.601,1.15,0.14)); #584061=CARTESIAN_POINT('',(-1.601,1.15,0.)); #584062=CARTESIAN_POINT('',(-1.6,1.15,0.14)); #584063=CARTESIAN_POINT('',(-1.601,1.15,0.14)); #584064=CARTESIAN_POINT('',(-1.6,1.15,0.)); #584065=CARTESIAN_POINT('Origin',(-1.601,0.8,0.)); #584066=CARTESIAN_POINT('',(-1.601,0.8,0.)); #584067=CARTESIAN_POINT('',(-1.601,0.8,0.)); #584068=CARTESIAN_POINT('',(-1.601,0.8,0.14)); #584069=CARTESIAN_POINT('',(-1.601,0.8,0.)); #584070=CARTESIAN_POINT('',(-1.601,0.8,0.14)); #584071=CARTESIAN_POINT('Origin',(-1.6,0.8,0.)); #584072=CARTESIAN_POINT('',(-1.6,0.8,0.)); #584073=CARTESIAN_POINT('',(-1.101,0.8,0.)); #584074=CARTESIAN_POINT('',(-1.6,0.8,0.14)); #584075=CARTESIAN_POINT('',(-1.6,0.8,0.)); #584076=CARTESIAN_POINT('',(-1.101,0.8,0.14)); #584077=CARTESIAN_POINT('Origin',(-1.6,1.15,0.)); #584078=CARTESIAN_POINT('',(-1.6,1.15,0.)); #584079=CARTESIAN_POINT('',(-1.6,1.15,0.14)); #584080=CARTESIAN_POINT('Origin',(-1.6005,0.975,0.14)); #584081=CARTESIAN_POINT('Origin',(-1.6005,0.975,0.)); #584082=CARTESIAN_POINT('Origin',(1.601,0.5,0.)); #584083=CARTESIAN_POINT('',(1.601,0.5,0.)); #584084=CARTESIAN_POINT('',(1.6,0.5,0.)); #584085=CARTESIAN_POINT('',(1.601,0.5,0.)); #584086=CARTESIAN_POINT('',(1.6,0.5,0.14)); #584087=CARTESIAN_POINT('',(1.6,0.5,0.)); #584088=CARTESIAN_POINT('',(1.601,0.5,0.14)); #584089=CARTESIAN_POINT('',(1.601,0.5,0.14)); #584090=CARTESIAN_POINT('',(1.601,0.5,0.)); #584091=CARTESIAN_POINT('Origin',(1.601,0.15,0.)); #584092=CARTESIAN_POINT('',(1.601,0.15,0.)); #584093=CARTESIAN_POINT('',(1.601,0.15,0.)); #584094=CARTESIAN_POINT('',(1.601,0.15,0.14)); #584095=CARTESIAN_POINT('',(1.601,0.15,0.14)); #584096=CARTESIAN_POINT('',(1.601,0.15,0.)); #584097=CARTESIAN_POINT('Origin',(1.6,0.15,0.)); #584098=CARTESIAN_POINT('',(1.6,0.15,0.)); #584099=CARTESIAN_POINT('',(1.101,0.15,0.)); #584100=CARTESIAN_POINT('',(1.6,0.15,0.14)); #584101=CARTESIAN_POINT('',(1.101,0.15,0.14)); #584102=CARTESIAN_POINT('',(1.6,0.15,0.)); #584103=CARTESIAN_POINT('Origin',(1.6,0.5,0.)); #584104=CARTESIAN_POINT('',(1.6,0.5,0.)); #584105=CARTESIAN_POINT('',(1.6,0.5,0.14)); #584106=CARTESIAN_POINT('Origin',(1.6005,0.325,0.14)); #584107=CARTESIAN_POINT('Origin',(1.6005,0.325,0.)); #584108=CARTESIAN_POINT('Origin',(1.601,-0.15,0.)); #584109=CARTESIAN_POINT('',(1.601,-0.15,0.)); #584110=CARTESIAN_POINT('',(1.6,-0.15,0.)); #584111=CARTESIAN_POINT('',(1.601,-0.15,0.)); #584112=CARTESIAN_POINT('',(1.6,-0.15,0.14)); #584113=CARTESIAN_POINT('',(1.6,-0.15,0.)); #584114=CARTESIAN_POINT('',(1.601,-0.15,0.14)); #584115=CARTESIAN_POINT('',(1.601,-0.15,0.14)); #584116=CARTESIAN_POINT('',(1.601,-0.15,0.)); #584117=CARTESIAN_POINT('Origin',(1.601,-0.5,0.)); #584118=CARTESIAN_POINT('',(1.601,-0.5,0.)); #584119=CARTESIAN_POINT('',(1.601,-0.5,0.)); #584120=CARTESIAN_POINT('',(1.601,-0.5,0.14)); #584121=CARTESIAN_POINT('',(1.601,-0.5,0.14)); #584122=CARTESIAN_POINT('',(1.601,-0.5,0.)); #584123=CARTESIAN_POINT('Origin',(1.6,-0.5,0.)); #584124=CARTESIAN_POINT('',(1.6,-0.5,0.)); #584125=CARTESIAN_POINT('',(1.101,-0.5,0.)); #584126=CARTESIAN_POINT('',(1.6,-0.5,0.14)); #584127=CARTESIAN_POINT('',(1.101,-0.5,0.14)); #584128=CARTESIAN_POINT('',(1.6,-0.5,0.)); #584129=CARTESIAN_POINT('Origin',(1.6,-0.15,0.)); #584130=CARTESIAN_POINT('',(1.6,-0.15,0.)); #584131=CARTESIAN_POINT('',(1.6,-0.15,0.14)); #584132=CARTESIAN_POINT('Origin',(1.6005,-0.325,0.14)); #584133=CARTESIAN_POINT('Origin',(1.6005,-0.325,0.)); #584134=CARTESIAN_POINT('Origin',(1.601,-0.8,0.)); #584135=CARTESIAN_POINT('',(1.601,-0.8,0.)); #584136=CARTESIAN_POINT('',(1.6,-0.8,0.)); #584137=CARTESIAN_POINT('',(1.601,-0.8,0.)); #584138=CARTESIAN_POINT('',(1.6,-0.8,0.14)); #584139=CARTESIAN_POINT('',(1.6,-0.8,0.)); #584140=CARTESIAN_POINT('',(1.601,-0.8,0.14)); #584141=CARTESIAN_POINT('',(1.601,-0.8,0.14)); #584142=CARTESIAN_POINT('',(1.601,-0.8,0.)); #584143=CARTESIAN_POINT('Origin',(1.601,-1.15,0.)); #584144=CARTESIAN_POINT('',(1.601,-1.15,0.)); #584145=CARTESIAN_POINT('',(1.601,-1.15,0.)); #584146=CARTESIAN_POINT('',(1.601,-1.15,0.14)); #584147=CARTESIAN_POINT('',(1.601,-1.15,0.14)); #584148=CARTESIAN_POINT('',(1.601,-1.15,0.)); #584149=CARTESIAN_POINT('Origin',(1.6,-1.15,0.)); #584150=CARTESIAN_POINT('',(1.6,-1.15,0.)); #584151=CARTESIAN_POINT('',(1.101,-1.15,0.)); #584152=CARTESIAN_POINT('',(1.6,-1.15,0.14)); #584153=CARTESIAN_POINT('',(1.101,-1.15,0.14)); #584154=CARTESIAN_POINT('',(1.6,-1.15,0.)); #584155=CARTESIAN_POINT('Origin',(1.6,-0.8,0.)); #584156=CARTESIAN_POINT('',(1.6,-0.8,0.)); #584157=CARTESIAN_POINT('',(1.6,-0.8,0.14)); #584158=CARTESIAN_POINT('Origin',(1.6005,-0.975,0.14)); #584159=CARTESIAN_POINT('Origin',(1.6005,-0.975,0.)); #584160=CARTESIAN_POINT('Origin',(-1.601,0.5,0.)); #584161=CARTESIAN_POINT('',(-1.601,0.5,0.)); #584162=CARTESIAN_POINT('',(-1.6,0.5,0.)); #584163=CARTESIAN_POINT('',(-1.601,0.5,0.)); #584164=CARTESIAN_POINT('',(-1.601,0.5,0.14)); #584165=CARTESIAN_POINT('',(-1.601,0.5,0.)); #584166=CARTESIAN_POINT('',(-1.6,0.5,0.14)); #584167=CARTESIAN_POINT('',(-1.601,0.5,0.14)); #584168=CARTESIAN_POINT('',(-1.6,0.5,0.)); #584169=CARTESIAN_POINT('Origin',(-1.601,0.15,0.)); #584170=CARTESIAN_POINT('',(-1.601,0.15,0.)); #584171=CARTESIAN_POINT('',(-1.601,0.15,0.)); #584172=CARTESIAN_POINT('',(-1.601,0.15,0.14)); #584173=CARTESIAN_POINT('',(-1.601,0.15,0.)); #584174=CARTESIAN_POINT('',(-1.601,0.15,0.14)); #584175=CARTESIAN_POINT('Origin',(-1.6,0.15,0.)); #584176=CARTESIAN_POINT('',(-1.6,0.15,0.)); #584177=CARTESIAN_POINT('',(-1.101,0.15,0.)); #584178=CARTESIAN_POINT('',(-1.6,0.15,0.14)); #584179=CARTESIAN_POINT('',(-1.6,0.15,0.)); #584180=CARTESIAN_POINT('',(-1.101,0.15,0.14)); #584181=CARTESIAN_POINT('Origin',(-1.6,0.5,0.)); #584182=CARTESIAN_POINT('',(-1.6,0.5,0.)); #584183=CARTESIAN_POINT('',(-1.6,0.5,0.14)); #584184=CARTESIAN_POINT('Origin',(-1.6005,0.325,0.14)); #584185=CARTESIAN_POINT('Origin',(-1.6005,0.325,0.)); #584186=CARTESIAN_POINT('Origin',(-1.601,-0.15,0.)); #584187=CARTESIAN_POINT('',(-1.601,-0.15,0.)); #584188=CARTESIAN_POINT('',(-1.6,-0.15,0.)); #584189=CARTESIAN_POINT('',(-1.601,-0.15,0.)); #584190=CARTESIAN_POINT('',(-1.601,-0.15,0.14)); #584191=CARTESIAN_POINT('',(-1.601,-0.15,0.)); #584192=CARTESIAN_POINT('',(-1.6,-0.15,0.14)); #584193=CARTESIAN_POINT('',(-1.601,-0.15,0.14)); #584194=CARTESIAN_POINT('',(-1.6,-0.15,0.)); #584195=CARTESIAN_POINT('Origin',(-1.601,-0.5,0.)); #584196=CARTESIAN_POINT('',(-1.601,-0.5,0.)); #584197=CARTESIAN_POINT('',(-1.601,-0.5,0.)); #584198=CARTESIAN_POINT('',(-1.601,-0.5,0.14)); #584199=CARTESIAN_POINT('',(-1.601,-0.5,0.)); #584200=CARTESIAN_POINT('',(-1.601,-0.5,0.14)); #584201=CARTESIAN_POINT('Origin',(-1.6,-0.5,0.)); #584202=CARTESIAN_POINT('',(-1.6,-0.5,0.)); #584203=CARTESIAN_POINT('',(-1.101,-0.5,0.)); #584204=CARTESIAN_POINT('',(-1.6,-0.5,0.14)); #584205=CARTESIAN_POINT('',(-1.6,-0.5,0.)); #584206=CARTESIAN_POINT('',(-1.101,-0.5,0.14)); #584207=CARTESIAN_POINT('Origin',(-1.6,-0.15,0.)); #584208=CARTESIAN_POINT('',(-1.6,-0.15,0.)); #584209=CARTESIAN_POINT('',(-1.6,-0.15,0.14)); #584210=CARTESIAN_POINT('Origin',(-1.6005,-0.325,0.14)); #584211=CARTESIAN_POINT('Origin',(-1.6005,-0.325,0.)); #584212=CARTESIAN_POINT('Origin',(-1.601,-0.8,0.)); #584213=CARTESIAN_POINT('',(-1.601,-0.8,0.)); #584214=CARTESIAN_POINT('',(-1.6,-0.8,0.)); #584215=CARTESIAN_POINT('',(-1.601,-0.8,0.)); #584216=CARTESIAN_POINT('',(-1.601,-0.8,0.14)); #584217=CARTESIAN_POINT('',(-1.601,-0.8,0.)); #584218=CARTESIAN_POINT('',(-1.6,-0.8,0.14)); #584219=CARTESIAN_POINT('',(-1.601,-0.8,0.14)); #584220=CARTESIAN_POINT('',(-1.6,-0.8,0.)); #584221=CARTESIAN_POINT('Origin',(-1.601,-1.15,0.)); #584222=CARTESIAN_POINT('',(-1.601,-1.15,0.)); #584223=CARTESIAN_POINT('',(-1.601,-1.15,0.)); #584224=CARTESIAN_POINT('',(-1.601,-1.15,0.14)); #584225=CARTESIAN_POINT('',(-1.601,-1.15,0.)); #584226=CARTESIAN_POINT('',(-1.601,-1.15,0.14)); #584227=CARTESIAN_POINT('Origin',(-1.6,-1.15,0.)); #584228=CARTESIAN_POINT('',(-1.6,-1.15,0.)); #584229=CARTESIAN_POINT('',(-1.101,-1.15,0.)); #584230=CARTESIAN_POINT('',(-1.6,-1.15,0.14)); #584231=CARTESIAN_POINT('',(-1.6,-1.15,0.)); #584232=CARTESIAN_POINT('',(-1.101,-1.15,0.14)); #584233=CARTESIAN_POINT('Origin',(-1.6,-0.8,0.)); #584234=CARTESIAN_POINT('',(-1.6,-0.8,0.)); #584235=CARTESIAN_POINT('',(-1.6,-0.8,0.14)); #584236=CARTESIAN_POINT('Origin',(-1.6005,-0.975,0.14)); #584237=CARTESIAN_POINT('Origin',(-1.6005,-0.975,0.)); #584238=CARTESIAN_POINT('Origin',(-0.625,-1.175,0.)); #584239=CARTESIAN_POINT('',(-0.625,-1.175,0.)); #584240=CARTESIAN_POINT('',(0.625,-1.175,0.)); #584241=CARTESIAN_POINT('',(-0.625,-1.175,0.)); #584242=CARTESIAN_POINT('',(0.625,-1.175,0.05)); #584243=CARTESIAN_POINT('',(0.625,-1.175,0.)); #584244=CARTESIAN_POINT('',(-0.625,-1.175,0.05)); #584245=CARTESIAN_POINT('',(-0.625,-1.175,0.05)); #584246=CARTESIAN_POINT('',(-0.625,-1.175,0.)); #584247=CARTESIAN_POINT('Origin',(-0.625,1.175,0.)); #584248=CARTESIAN_POINT('',(-0.625,1.175,0.)); #584249=CARTESIAN_POINT('',(-0.625,1.175,0.)); #584250=CARTESIAN_POINT('',(-0.625,1.175,0.05)); #584251=CARTESIAN_POINT('',(-0.625,1.175,0.05)); #584252=CARTESIAN_POINT('',(-0.625,1.175,0.)); #584253=CARTESIAN_POINT('Origin',(0.625,1.175,0.)); #584254=CARTESIAN_POINT('',(0.625,1.175,0.)); #584255=CARTESIAN_POINT('',(0.625,1.175,0.)); #584256=CARTESIAN_POINT('',(0.625,1.175,0.05)); #584257=CARTESIAN_POINT('',(0.625,1.175,0.05)); #584258=CARTESIAN_POINT('',(0.625,1.175,0.)); #584259=CARTESIAN_POINT('Origin',(0.625,-1.175,0.)); #584260=CARTESIAN_POINT('',(0.625,-1.175,0.)); #584261=CARTESIAN_POINT('',(0.625,-1.175,0.05)); #584262=CARTESIAN_POINT('Origin',(0.,1.38777878078145E-16,0.05)); #584263=CARTESIAN_POINT('Origin',(0.,1.38777878078145E-16,0.)); #584264=CARTESIAN_POINT('',(0.,0.,0.)); #584265=CARTESIAN_POINT('',(0.,0.,0.)); #584266=CARTESIAN_POINT('',(0.,0.,0.)); #584267=CARTESIAN_POINT('Origin',(-2.7,2.2,1.)); #584268=CARTESIAN_POINT('',(-2.775,2.2,1.)); #584269=CARTESIAN_POINT('Origin',(-2.7,2.2,1.)); #584270=CARTESIAN_POINT('',(-2.775,2.2,0.9)); #584271=CARTESIAN_POINT('',(-2.775,2.2,1.)); #584272=CARTESIAN_POINT('Origin',(-2.7,2.2,0.9)); #584273=CARTESIAN_POINT('Origin',(-2.7,2.2,0.9)); #584274=CARTESIAN_POINT('Origin',(3.,1.275,0.95)); #584275=CARTESIAN_POINT('',(2.95,2.45,1.)); #584276=CARTESIAN_POINT('',(2.95,-2.45,1.)); #584277=CARTESIAN_POINT('',(2.95,1.275,1.)); #584278=CARTESIAN_POINT('',(3.05,-2.55,0.9)); #584279=CARTESIAN_POINT('',(2.95,-2.45,1.)); #584280=CARTESIAN_POINT('',(3.05,2.55,0.9)); #584281=CARTESIAN_POINT('',(3.05,1.275,0.9)); #584282=CARTESIAN_POINT('',(3.05,2.55,0.9)); #584283=CARTESIAN_POINT('Origin',(1.525,-2.5,0.95)); #584284=CARTESIAN_POINT('',(-3.05,-2.55,0.9)); #584285=CARTESIAN_POINT('',(1.525,-2.55,0.9)); #584286=CARTESIAN_POINT('',(-2.95,-2.45,1.)); #584287=CARTESIAN_POINT('',(1.525,-2.45,1.)); #584288=CARTESIAN_POINT('',(-3.05,-2.55,0.9)); #584289=CARTESIAN_POINT('Origin',(-1.525,2.5,0.95)); #584290=CARTESIAN_POINT('',(-2.95,2.45,1.)); #584291=CARTESIAN_POINT('',(-1.525,2.45,1.)); #584292=CARTESIAN_POINT('',(-3.05,2.55,0.9)); #584293=CARTESIAN_POINT('',(-1.525,2.55,0.9)); #584294=CARTESIAN_POINT('',(-3.05,2.55,0.9)); #584295=CARTESIAN_POINT('Origin',(-3.,-1.275,0.95)); #584296=CARTESIAN_POINT('',(-2.95,-1.275,1.)); #584297=CARTESIAN_POINT('',(-3.05,-1.275,0.9)); #584298=CARTESIAN_POINT('Origin',(-3.05,2.55,1.)); #584299=CARTESIAN_POINT('',(3.05,2.55,0.05)); #584300=CARTESIAN_POINT('',(3.05,2.55,1.)); #584301=CARTESIAN_POINT('',(-3.05,2.55,0.05)); #584302=CARTESIAN_POINT('',(3.05,2.55,0.05)); #584303=CARTESIAN_POINT('',(-3.05,2.55,1.)); #584304=CARTESIAN_POINT('Origin',(3.05,2.55,1.)); #584305=CARTESIAN_POINT('',(3.05,-2.55,0.05)); #584306=CARTESIAN_POINT('',(3.05,-2.55,1.)); #584307=CARTESIAN_POINT('',(3.05,-2.55,0.05)); #584308=CARTESIAN_POINT('Origin',(0.,0.,1.)); #584309=CARTESIAN_POINT('Origin',(-3.05,-2.55,1.)); #584310=CARTESIAN_POINT('',(-3.05,-2.55,0.05)); #584311=CARTESIAN_POINT('',(-3.05,2.55,0.05)); #584312=CARTESIAN_POINT('',(-3.05,-2.55,1.)); #584313=CARTESIAN_POINT('Origin',(3.05,-2.55,1.)); #584314=CARTESIAN_POINT('',(-3.05,-2.55,0.05)); #584315=CARTESIAN_POINT('Origin',(0.,0.,0.05)); #584316=CARTESIAN_POINT('Origin',(3.051,2.155,0.)); #584317=CARTESIAN_POINT('',(3.051,2.155,0.)); #584318=CARTESIAN_POINT('',(3.05,2.155,0.)); #584319=CARTESIAN_POINT('',(3.051,2.155,0.)); #584320=CARTESIAN_POINT('',(3.05,2.155,0.19)); #584321=CARTESIAN_POINT('',(3.05,2.155,0.)); #584322=CARTESIAN_POINT('',(3.051,2.155,0.19)); #584323=CARTESIAN_POINT('',(3.051,2.155,0.19)); #584324=CARTESIAN_POINT('',(3.051,2.155,0.)); #584325=CARTESIAN_POINT('Origin',(3.051,1.655,0.)); #584326=CARTESIAN_POINT('',(3.051,1.655,0.)); #584327=CARTESIAN_POINT('',(3.051,1.655,0.)); #584328=CARTESIAN_POINT('',(3.051,1.655,0.19)); #584329=CARTESIAN_POINT('',(3.051,1.655,0.19)); #584330=CARTESIAN_POINT('',(3.051,1.655,0.)); #584331=CARTESIAN_POINT('Origin',(3.05,1.655,0.)); #584332=CARTESIAN_POINT('',(3.05,1.655,0.)); #584333=CARTESIAN_POINT('',(2.151,1.655,0.)); #584334=CARTESIAN_POINT('',(3.05,1.655,0.19)); #584335=CARTESIAN_POINT('',(2.151,1.655,0.19)); #584336=CARTESIAN_POINT('',(3.05,1.655,0.)); #584337=CARTESIAN_POINT('Origin',(3.05,2.155,0.)); #584338=CARTESIAN_POINT('',(3.05,2.155,0.)); #584339=CARTESIAN_POINT('',(3.05,2.155,0.19)); #584340=CARTESIAN_POINT('Origin',(3.0505,1.905,0.19)); #584341=CARTESIAN_POINT('Origin',(3.0505,1.905,0.)); #584342=CARTESIAN_POINT('Origin',(3.05,1.655,0.)); #584343=CARTESIAN_POINT('',(3.05,2.155,0.)); #584344=CARTESIAN_POINT('',(3.05,1.655,0.)); #584345=CARTESIAN_POINT('',(3.05,2.155,0.)); #584346=CARTESIAN_POINT('',(3.05,2.155,0.05)); #584347=CARTESIAN_POINT('',(3.05,2.155,0.)); #584348=CARTESIAN_POINT('',(3.05,1.655,0.05)); #584349=CARTESIAN_POINT('',(3.05,2.155,0.05)); #584350=CARTESIAN_POINT('',(3.05,1.655,0.)); #584351=CARTESIAN_POINT('Origin',(2.4,1.655,0.)); #584352=CARTESIAN_POINT('',(2.4,1.655,0.)); #584353=CARTESIAN_POINT('',(2.151,1.655,0.)); #584354=CARTESIAN_POINT('',(2.4,1.655,0.05)); #584355=CARTESIAN_POINT('',(2.151,1.655,0.05)); #584356=CARTESIAN_POINT('',(2.4,1.655,0.)); #584357=CARTESIAN_POINT('Origin',(2.4,1.905,0.)); #584358=CARTESIAN_POINT('',(2.151,1.88266169209631,0.)); #584359=CARTESIAN_POINT('Origin',(2.4,1.905,0.)); #584360=CARTESIAN_POINT('',(2.151,1.88266169209631,0.05)); #584361=CARTESIAN_POINT('Origin',(2.4,1.905,0.05)); #584362=CARTESIAN_POINT('',(2.151,1.88266169209631,0.)); #584363=CARTESIAN_POINT('Origin',(2.151,1.92733830790369,0.)); #584364=CARTESIAN_POINT('',(2.151,1.92733830790369,0.)); #584365=CARTESIAN_POINT('',(2.151,2.155,0.)); #584366=CARTESIAN_POINT('',(2.151,1.92733830790369,0.05)); #584367=CARTESIAN_POINT('',(2.151,2.155,0.05)); #584368=CARTESIAN_POINT('',(2.151,1.92733830790369,0.)); #584369=CARTESIAN_POINT('Origin',(2.4,1.905,0.)); #584370=CARTESIAN_POINT('',(2.4,2.155,0.)); #584371=CARTESIAN_POINT('Origin',(2.4,1.905,0.)); #584372=CARTESIAN_POINT('',(2.4,2.155,0.05)); #584373=CARTESIAN_POINT('Origin',(2.4,1.905,0.05)); #584374=CARTESIAN_POINT('',(2.4,2.155,0.)); #584375=CARTESIAN_POINT('Origin',(3.05,2.155,0.)); #584376=CARTESIAN_POINT('',(3.051,2.155,0.)); #584377=CARTESIAN_POINT('',(3.051,2.155,0.05)); #584378=CARTESIAN_POINT('Origin',(2.6005,1.905,0.05)); #584379=CARTESIAN_POINT('Origin',(2.6005,1.905,0.)); #584380=CARTESIAN_POINT('Origin',(-3.05,1.655,0.)); #584381=CARTESIAN_POINT('',(-3.05,2.155,0.)); #584382=CARTESIAN_POINT('',(-3.05,1.655,0.)); #584383=CARTESIAN_POINT('',(-3.05,2.155,0.)); #584384=CARTESIAN_POINT('',(-3.05,1.655,0.05)); #584385=CARTESIAN_POINT('',(-3.05,1.655,0.)); #584386=CARTESIAN_POINT('',(-3.05,2.155,0.05)); #584387=CARTESIAN_POINT('',(-3.05,2.155,0.05)); #584388=CARTESIAN_POINT('',(-3.05,2.155,0.)); #584389=CARTESIAN_POINT('Origin',(-2.4,1.655,0.)); #584390=CARTESIAN_POINT('',(-2.4,1.655,0.)); #584391=CARTESIAN_POINT('',(-2.151,1.655,0.)); #584392=CARTESIAN_POINT('',(-2.4,1.655,0.05)); #584393=CARTESIAN_POINT('',(-2.4,1.655,0.)); #584394=CARTESIAN_POINT('',(-2.151,1.655,0.05)); #584395=CARTESIAN_POINT('Origin',(-2.4,1.905,0.)); #584396=CARTESIAN_POINT('',(-2.151,1.88266169209631,0.)); #584397=CARTESIAN_POINT('Origin',(-2.4,1.905,0.)); #584398=CARTESIAN_POINT('',(-2.151,1.88266169209631,0.05)); #584399=CARTESIAN_POINT('',(-2.151,1.88266169209631,0.)); #584400=CARTESIAN_POINT('Origin',(-2.4,1.905,0.05)); #584401=CARTESIAN_POINT('Origin',(-2.151,1.92733830790369,0.)); #584402=CARTESIAN_POINT('',(-2.151,1.92733830790369,0.)); #584403=CARTESIAN_POINT('',(-2.151,2.155,0.)); #584404=CARTESIAN_POINT('',(-2.151,1.92733830790369,0.05)); #584405=CARTESIAN_POINT('',(-2.151,1.92733830790369,0.)); #584406=CARTESIAN_POINT('',(-2.151,2.155,0.05)); #584407=CARTESIAN_POINT('Origin',(-2.4,1.905,0.)); #584408=CARTESIAN_POINT('',(-2.4,2.155,0.)); #584409=CARTESIAN_POINT('Origin',(-2.4,1.905,0.)); #584410=CARTESIAN_POINT('',(-2.4,2.155,0.05)); #584411=CARTESIAN_POINT('',(-2.4,2.155,0.)); #584412=CARTESIAN_POINT('Origin',(-2.4,1.905,0.05)); #584413=CARTESIAN_POINT('Origin',(-3.05,2.155,0.)); #584414=CARTESIAN_POINT('',(-3.051,2.155,0.)); #584415=CARTESIAN_POINT('',(-3.051,2.155,0.05)); #584416=CARTESIAN_POINT('Origin',(-2.6005,1.905,0.05)); #584417=CARTESIAN_POINT('Origin',(-2.6005,1.905,0.)); #584418=CARTESIAN_POINT('Origin',(3.05,0.385,0.)); #584419=CARTESIAN_POINT('',(3.05,0.885,0.)); #584420=CARTESIAN_POINT('',(3.05,0.385,0.)); #584421=CARTESIAN_POINT('',(3.05,0.885,0.)); #584422=CARTESIAN_POINT('',(3.05,0.885,0.05)); #584423=CARTESIAN_POINT('',(3.05,0.885,0.)); #584424=CARTESIAN_POINT('',(3.05,0.385,0.05)); #584425=CARTESIAN_POINT('',(3.05,0.885,0.05)); #584426=CARTESIAN_POINT('',(3.05,0.385,0.)); #584427=CARTESIAN_POINT('Origin',(2.4,0.385,0.)); #584428=CARTESIAN_POINT('',(2.4,0.385,0.)); #584429=CARTESIAN_POINT('',(2.151,0.385,0.)); #584430=CARTESIAN_POINT('',(2.4,0.385,0.05)); #584431=CARTESIAN_POINT('',(2.151,0.385,0.05)); #584432=CARTESIAN_POINT('',(2.4,0.385,0.)); #584433=CARTESIAN_POINT('Origin',(2.4,0.635,0.)); #584434=CARTESIAN_POINT('',(2.151,0.612661692096306,0.)); #584435=CARTESIAN_POINT('Origin',(2.4,0.635,0.)); #584436=CARTESIAN_POINT('',(2.151,0.612661692096306,0.05)); #584437=CARTESIAN_POINT('Origin',(2.4,0.635,0.05)); #584438=CARTESIAN_POINT('',(2.151,0.612661692096306,0.)); #584439=CARTESIAN_POINT('Origin',(2.151,0.657338307903694,0.)); #584440=CARTESIAN_POINT('',(2.151,0.657338307903694,0.)); #584441=CARTESIAN_POINT('',(2.151,0.885,0.)); #584442=CARTESIAN_POINT('',(2.151,0.657338307903694,0.05)); #584443=CARTESIAN_POINT('',(2.151,0.885,0.05)); #584444=CARTESIAN_POINT('',(2.151,0.657338307903694,0.)); #584445=CARTESIAN_POINT('Origin',(2.4,0.635,0.)); #584446=CARTESIAN_POINT('',(2.4,0.885,0.)); #584447=CARTESIAN_POINT('Origin',(2.4,0.635,0.)); #584448=CARTESIAN_POINT('',(2.4,0.885,0.05)); #584449=CARTESIAN_POINT('Origin',(2.4,0.635,0.05)); #584450=CARTESIAN_POINT('',(2.4,0.885,0.)); #584451=CARTESIAN_POINT('Origin',(3.05,0.885,0.)); #584452=CARTESIAN_POINT('',(3.051,0.885,0.)); #584453=CARTESIAN_POINT('',(3.051,0.885,0.05)); #584454=CARTESIAN_POINT('Origin',(2.6005,0.635,0.05)); #584455=CARTESIAN_POINT('Origin',(2.6005,0.635,0.)); #584456=CARTESIAN_POINT('Origin',(3.05,-0.885,0.)); #584457=CARTESIAN_POINT('',(3.05,-0.385,0.)); #584458=CARTESIAN_POINT('',(3.05,-0.885,0.)); #584459=CARTESIAN_POINT('',(3.05,-0.385,0.)); #584460=CARTESIAN_POINT('',(3.05,-0.385,0.05)); #584461=CARTESIAN_POINT('',(3.05,-0.385,0.)); #584462=CARTESIAN_POINT('',(3.05,-0.885,0.05)); #584463=CARTESIAN_POINT('',(3.05,-0.385,0.05)); #584464=CARTESIAN_POINT('',(3.05,-0.885,0.)); #584465=CARTESIAN_POINT('Origin',(2.4,-0.885,0.)); #584466=CARTESIAN_POINT('',(2.4,-0.885,0.)); #584467=CARTESIAN_POINT('',(2.151,-0.885,0.)); #584468=CARTESIAN_POINT('',(2.4,-0.885,0.05)); #584469=CARTESIAN_POINT('',(2.151,-0.885,0.05)); #584470=CARTESIAN_POINT('',(2.4,-0.885,0.)); #584471=CARTESIAN_POINT('Origin',(2.4,-0.635,0.)); #584472=CARTESIAN_POINT('',(2.151,-0.657338307903694,0.)); #584473=CARTESIAN_POINT('Origin',(2.4,-0.635,0.)); #584474=CARTESIAN_POINT('',(2.151,-0.657338307903694,0.05)); #584475=CARTESIAN_POINT('Origin',(2.4,-0.635,0.05)); #584476=CARTESIAN_POINT('',(2.151,-0.657338307903694,0.)); #584477=CARTESIAN_POINT('Origin',(2.151,-0.612661692096306,0.)); #584478=CARTESIAN_POINT('',(2.151,-0.612661692096306,0.)); #584479=CARTESIAN_POINT('',(2.151,-0.385,0.)); #584480=CARTESIAN_POINT('',(2.151,-0.612661692096306,0.05)); #584481=CARTESIAN_POINT('',(2.151,-0.385,0.05)); #584482=CARTESIAN_POINT('',(2.151,-0.612661692096306,0.)); #584483=CARTESIAN_POINT('Origin',(2.4,-0.635,0.)); #584484=CARTESIAN_POINT('',(2.4,-0.385,0.)); #584485=CARTESIAN_POINT('Origin',(2.4,-0.635,0.)); #584486=CARTESIAN_POINT('',(2.4,-0.385,0.05)); #584487=CARTESIAN_POINT('Origin',(2.4,-0.635,0.05)); #584488=CARTESIAN_POINT('',(2.4,-0.385,0.)); #584489=CARTESIAN_POINT('Origin',(3.05,-0.385,0.)); #584490=CARTESIAN_POINT('',(3.051,-0.385,0.)); #584491=CARTESIAN_POINT('',(3.051,-0.385,0.05)); #584492=CARTESIAN_POINT('Origin',(2.6005,-0.635,0.05)); #584493=CARTESIAN_POINT('Origin',(2.6005,-0.635,0.)); #584494=CARTESIAN_POINT('Origin',(3.05,-2.155,0.)); #584495=CARTESIAN_POINT('',(3.05,-1.655,0.)); #584496=CARTESIAN_POINT('',(3.05,-2.155,0.)); #584497=CARTESIAN_POINT('',(3.05,-1.655,0.)); #584498=CARTESIAN_POINT('',(3.05,-1.655,0.05)); #584499=CARTESIAN_POINT('',(3.05,-1.655,0.)); #584500=CARTESIAN_POINT('',(3.05,-2.155,0.05)); #584501=CARTESIAN_POINT('',(3.05,-1.655,0.05)); #584502=CARTESIAN_POINT('',(3.05,-2.155,0.)); #584503=CARTESIAN_POINT('Origin',(2.4,-2.155,0.)); #584504=CARTESIAN_POINT('',(2.4,-2.155,0.)); #584505=CARTESIAN_POINT('',(2.151,-2.155,0.)); #584506=CARTESIAN_POINT('',(2.4,-2.155,0.05)); #584507=CARTESIAN_POINT('',(2.151,-2.155,0.05)); #584508=CARTESIAN_POINT('',(2.4,-2.155,0.)); #584509=CARTESIAN_POINT('Origin',(2.4,-1.905,0.)); #584510=CARTESIAN_POINT('',(2.151,-1.92733830790369,0.)); #584511=CARTESIAN_POINT('Origin',(2.4,-1.905,0.)); #584512=CARTESIAN_POINT('',(2.151,-1.92733830790369,0.05)); #584513=CARTESIAN_POINT('Origin',(2.4,-1.905,0.05)); #584514=CARTESIAN_POINT('',(2.151,-1.92733830790369,0.)); #584515=CARTESIAN_POINT('Origin',(2.151,-1.88266169209631,0.)); #584516=CARTESIAN_POINT('',(2.151,-1.88266169209631,0.)); #584517=CARTESIAN_POINT('',(2.151,-1.655,0.)); #584518=CARTESIAN_POINT('',(2.151,-1.88266169209631,0.05)); #584519=CARTESIAN_POINT('',(2.151,-1.655,0.05)); #584520=CARTESIAN_POINT('',(2.151,-1.88266169209631,0.)); #584521=CARTESIAN_POINT('Origin',(2.4,-1.905,0.)); #584522=CARTESIAN_POINT('',(2.4,-1.655,0.)); #584523=CARTESIAN_POINT('Origin',(2.4,-1.905,0.)); #584524=CARTESIAN_POINT('',(2.4,-1.655,0.05)); #584525=CARTESIAN_POINT('Origin',(2.4,-1.905,0.05)); #584526=CARTESIAN_POINT('',(2.4,-1.655,0.)); #584527=CARTESIAN_POINT('Origin',(3.05,-1.655,0.)); #584528=CARTESIAN_POINT('',(3.051,-1.655,0.)); #584529=CARTESIAN_POINT('',(3.051,-1.655,0.05)); #584530=CARTESIAN_POINT('Origin',(2.6005,-1.905,0.05)); #584531=CARTESIAN_POINT('Origin',(2.6005,-1.905,0.)); #584532=CARTESIAN_POINT('Origin',(-3.05,0.385,0.)); #584533=CARTESIAN_POINT('',(-3.05,0.885,0.)); #584534=CARTESIAN_POINT('',(-3.05,0.385,0.)); #584535=CARTESIAN_POINT('',(-3.05,0.885,0.)); #584536=CARTESIAN_POINT('',(-3.05,0.385,0.05)); #584537=CARTESIAN_POINT('',(-3.05,0.385,0.)); #584538=CARTESIAN_POINT('',(-3.05,0.885,0.05)); #584539=CARTESIAN_POINT('',(-3.05,0.885,0.05)); #584540=CARTESIAN_POINT('',(-3.05,0.885,0.)); #584541=CARTESIAN_POINT('Origin',(-2.4,0.385,0.)); #584542=CARTESIAN_POINT('',(-2.4,0.385,0.)); #584543=CARTESIAN_POINT('',(-2.151,0.385,0.)); #584544=CARTESIAN_POINT('',(-2.4,0.385,0.05)); #584545=CARTESIAN_POINT('',(-2.4,0.385,0.)); #584546=CARTESIAN_POINT('',(-2.151,0.385,0.05)); #584547=CARTESIAN_POINT('Origin',(-2.4,0.635,0.)); #584548=CARTESIAN_POINT('',(-2.151,0.612661692096306,0.)); #584549=CARTESIAN_POINT('Origin',(-2.4,0.635,0.)); #584550=CARTESIAN_POINT('',(-2.151,0.612661692096306,0.05)); #584551=CARTESIAN_POINT('',(-2.151,0.612661692096306,0.)); #584552=CARTESIAN_POINT('Origin',(-2.4,0.635,0.05)); #584553=CARTESIAN_POINT('Origin',(-2.151,0.657338307903694,0.)); #584554=CARTESIAN_POINT('',(-2.151,0.657338307903694,0.)); #584555=CARTESIAN_POINT('',(-2.151,0.885,0.)); #584556=CARTESIAN_POINT('',(-2.151,0.657338307903694,0.05)); #584557=CARTESIAN_POINT('',(-2.151,0.657338307903694,0.)); #584558=CARTESIAN_POINT('',(-2.151,0.885,0.05)); #584559=CARTESIAN_POINT('Origin',(-2.4,0.635,0.)); #584560=CARTESIAN_POINT('',(-2.4,0.885,0.)); #584561=CARTESIAN_POINT('Origin',(-2.4,0.635,0.)); #584562=CARTESIAN_POINT('',(-2.4,0.885,0.05)); #584563=CARTESIAN_POINT('',(-2.4,0.885,0.)); #584564=CARTESIAN_POINT('Origin',(-2.4,0.635,0.05)); #584565=CARTESIAN_POINT('Origin',(-3.05,0.885,0.)); #584566=CARTESIAN_POINT('',(-3.051,0.885,0.)); #584567=CARTESIAN_POINT('',(-3.051,0.885,0.05)); #584568=CARTESIAN_POINT('Origin',(-2.6005,0.635,0.05)); #584569=CARTESIAN_POINT('Origin',(-2.6005,0.635,0.)); #584570=CARTESIAN_POINT('Origin',(-3.05,-0.885,0.)); #584571=CARTESIAN_POINT('',(-3.05,-0.385,0.)); #584572=CARTESIAN_POINT('',(-3.05,-0.885,0.)); #584573=CARTESIAN_POINT('',(-3.05,-0.385,0.)); #584574=CARTESIAN_POINT('',(-3.05,-0.885,0.05)); #584575=CARTESIAN_POINT('',(-3.05,-0.885,0.)); #584576=CARTESIAN_POINT('',(-3.05,-0.385,0.05)); #584577=CARTESIAN_POINT('',(-3.05,-0.385,0.05)); #584578=CARTESIAN_POINT('',(-3.05,-0.385,0.)); #584579=CARTESIAN_POINT('Origin',(-2.4,-0.885,0.)); #584580=CARTESIAN_POINT('',(-2.4,-0.885,0.)); #584581=CARTESIAN_POINT('',(-2.151,-0.885,0.)); #584582=CARTESIAN_POINT('',(-2.4,-0.885,0.05)); #584583=CARTESIAN_POINT('',(-2.4,-0.885,0.)); #584584=CARTESIAN_POINT('',(-2.151,-0.885,0.05)); #584585=CARTESIAN_POINT('Origin',(-2.4,-0.635,0.)); #584586=CARTESIAN_POINT('',(-2.151,-0.657338307903694,0.)); #584587=CARTESIAN_POINT('Origin',(-2.4,-0.635,0.)); #584588=CARTESIAN_POINT('',(-2.151,-0.657338307903694,0.05)); #584589=CARTESIAN_POINT('',(-2.151,-0.657338307903694,0.)); #584590=CARTESIAN_POINT('Origin',(-2.4,-0.635,0.05)); #584591=CARTESIAN_POINT('Origin',(-2.151,-0.612661692096306,0.)); #584592=CARTESIAN_POINT('',(-2.151,-0.612661692096306,0.)); #584593=CARTESIAN_POINT('',(-2.151,-0.385,0.)); #584594=CARTESIAN_POINT('',(-2.151,-0.612661692096306,0.05)); #584595=CARTESIAN_POINT('',(-2.151,-0.612661692096306,0.)); #584596=CARTESIAN_POINT('',(-2.151,-0.385,0.05)); #584597=CARTESIAN_POINT('Origin',(-2.4,-0.635,0.)); #584598=CARTESIAN_POINT('',(-2.4,-0.385,0.)); #584599=CARTESIAN_POINT('Origin',(-2.4,-0.635,0.)); #584600=CARTESIAN_POINT('',(-2.4,-0.385,0.05)); #584601=CARTESIAN_POINT('',(-2.4,-0.385,0.)); #584602=CARTESIAN_POINT('Origin',(-2.4,-0.635,0.05)); #584603=CARTESIAN_POINT('Origin',(-3.05,-0.385,0.)); #584604=CARTESIAN_POINT('',(-3.051,-0.385,0.)); #584605=CARTESIAN_POINT('',(-3.051,-0.385,0.05)); #584606=CARTESIAN_POINT('Origin',(-2.6005,-0.635,0.05)); #584607=CARTESIAN_POINT('Origin',(-2.6005,-0.635,0.)); #584608=CARTESIAN_POINT('Origin',(-3.05,-2.155,0.)); #584609=CARTESIAN_POINT('',(-3.05,-1.655,0.)); #584610=CARTESIAN_POINT('',(-3.05,-2.155,0.)); #584611=CARTESIAN_POINT('',(-3.05,-1.655,0.)); #584612=CARTESIAN_POINT('',(-3.05,-2.155,0.05)); #584613=CARTESIAN_POINT('',(-3.05,-2.155,0.)); #584614=CARTESIAN_POINT('',(-3.05,-1.655,0.05)); #584615=CARTESIAN_POINT('',(-3.05,-1.655,0.05)); #584616=CARTESIAN_POINT('',(-3.05,-1.655,0.)); #584617=CARTESIAN_POINT('Origin',(-2.4,-2.155,0.)); #584618=CARTESIAN_POINT('',(-2.4,-2.155,0.)); #584619=CARTESIAN_POINT('',(-2.151,-2.155,0.)); #584620=CARTESIAN_POINT('',(-2.4,-2.155,0.05)); #584621=CARTESIAN_POINT('',(-2.4,-2.155,0.)); #584622=CARTESIAN_POINT('',(-2.151,-2.155,0.05)); #584623=CARTESIAN_POINT('Origin',(-2.4,-1.905,0.)); #584624=CARTESIAN_POINT('',(-2.151,-1.92733830790369,0.)); #584625=CARTESIAN_POINT('Origin',(-2.4,-1.905,0.)); #584626=CARTESIAN_POINT('',(-2.151,-1.92733830790369,0.05)); #584627=CARTESIAN_POINT('',(-2.151,-1.92733830790369,0.)); #584628=CARTESIAN_POINT('Origin',(-2.4,-1.905,0.05)); #584629=CARTESIAN_POINT('Origin',(-2.151,-1.88266169209631,0.)); #584630=CARTESIAN_POINT('',(-2.151,-1.88266169209631,0.)); #584631=CARTESIAN_POINT('',(-2.151,-1.655,0.)); #584632=CARTESIAN_POINT('',(-2.151,-1.88266169209631,0.05)); #584633=CARTESIAN_POINT('',(-2.151,-1.88266169209631,0.)); #584634=CARTESIAN_POINT('',(-2.151,-1.655,0.05)); #584635=CARTESIAN_POINT('Origin',(-2.4,-1.905,0.)); #584636=CARTESIAN_POINT('',(-2.4,-1.655,0.)); #584637=CARTESIAN_POINT('Origin',(-2.4,-1.905,0.)); #584638=CARTESIAN_POINT('',(-2.4,-1.655,0.05)); #584639=CARTESIAN_POINT('',(-2.4,-1.655,0.)); #584640=CARTESIAN_POINT('Origin',(-2.4,-1.905,0.05)); #584641=CARTESIAN_POINT('Origin',(-3.05,-1.655,0.)); #584642=CARTESIAN_POINT('',(-3.051,-1.655,0.)); #584643=CARTESIAN_POINT('',(-3.051,-1.655,0.05)); #584644=CARTESIAN_POINT('Origin',(-2.6005,-1.905,0.05)); #584645=CARTESIAN_POINT('Origin',(-2.6005,-1.905,0.)); #584646=CARTESIAN_POINT('Origin',(-3.051,2.155,0.)); #584647=CARTESIAN_POINT('',(-3.051,2.155,0.)); #584648=CARTESIAN_POINT('',(-3.05,2.155,0.)); #584649=CARTESIAN_POINT('',(-3.051,2.155,0.)); #584650=CARTESIAN_POINT('',(-3.051,2.155,0.19)); #584651=CARTESIAN_POINT('',(-3.051,2.155,0.)); #584652=CARTESIAN_POINT('',(-3.05,2.155,0.19)); #584653=CARTESIAN_POINT('',(-3.051,2.155,0.19)); #584654=CARTESIAN_POINT('',(-3.05,2.155,0.)); #584655=CARTESIAN_POINT('Origin',(-3.051,1.655,0.)); #584656=CARTESIAN_POINT('',(-3.051,1.655,0.)); #584657=CARTESIAN_POINT('',(-3.051,1.655,0.)); #584658=CARTESIAN_POINT('',(-3.051,1.655,0.19)); #584659=CARTESIAN_POINT('',(-3.051,1.655,0.)); #584660=CARTESIAN_POINT('',(-3.051,1.655,0.19)); #584661=CARTESIAN_POINT('Origin',(-3.05,1.655,0.)); #584662=CARTESIAN_POINT('',(-3.05,1.655,0.)); #584663=CARTESIAN_POINT('',(-2.151,1.655,0.)); #584664=CARTESIAN_POINT('',(-3.05,1.655,0.19)); #584665=CARTESIAN_POINT('',(-3.05,1.655,0.)); #584666=CARTESIAN_POINT('',(-2.151,1.655,0.19)); #584667=CARTESIAN_POINT('Origin',(-3.05,2.155,0.)); #584668=CARTESIAN_POINT('',(-3.05,2.155,0.)); #584669=CARTESIAN_POINT('',(-3.05,2.155,0.19)); #584670=CARTESIAN_POINT('Origin',(-3.0505,1.905,0.19)); #584671=CARTESIAN_POINT('Origin',(-3.0505,1.905,0.)); #584672=CARTESIAN_POINT('Origin',(3.051,0.885,0.)); #584673=CARTESIAN_POINT('',(3.051,0.885,0.)); #584674=CARTESIAN_POINT('',(3.05,0.885,0.)); #584675=CARTESIAN_POINT('',(3.051,0.885,0.)); #584676=CARTESIAN_POINT('',(3.05,0.885,0.19)); #584677=CARTESIAN_POINT('',(3.05,0.885,0.)); #584678=CARTESIAN_POINT('',(3.051,0.885,0.19)); #584679=CARTESIAN_POINT('',(3.051,0.885,0.19)); #584680=CARTESIAN_POINT('',(3.051,0.885,0.)); #584681=CARTESIAN_POINT('Origin',(3.051,0.385,0.)); #584682=CARTESIAN_POINT('',(3.051,0.385,0.)); #584683=CARTESIAN_POINT('',(3.051,0.385,0.)); #584684=CARTESIAN_POINT('',(3.051,0.385,0.19)); #584685=CARTESIAN_POINT('',(3.051,0.385,0.19)); #584686=CARTESIAN_POINT('',(3.051,0.385,0.)); #584687=CARTESIAN_POINT('Origin',(3.05,0.385,0.)); #584688=CARTESIAN_POINT('',(3.05,0.385,0.)); #584689=CARTESIAN_POINT('',(2.151,0.385,0.)); #584690=CARTESIAN_POINT('',(3.05,0.385,0.19)); #584691=CARTESIAN_POINT('',(2.151,0.385,0.19)); #584692=CARTESIAN_POINT('',(3.05,0.385,0.)); #584693=CARTESIAN_POINT('Origin',(3.05,0.885,0.)); #584694=CARTESIAN_POINT('',(3.05,0.885,0.)); #584695=CARTESIAN_POINT('',(3.05,0.885,0.19)); #584696=CARTESIAN_POINT('Origin',(3.0505,0.635,0.19)); #584697=CARTESIAN_POINT('Origin',(3.0505,0.635,0.)); #584698=CARTESIAN_POINT('Origin',(3.051,-0.385,0.)); #584699=CARTESIAN_POINT('',(3.051,-0.385,0.)); #584700=CARTESIAN_POINT('',(3.05,-0.385,0.)); #584701=CARTESIAN_POINT('',(3.051,-0.385,0.)); #584702=CARTESIAN_POINT('',(3.05,-0.385,0.19)); #584703=CARTESIAN_POINT('',(3.05,-0.385,0.)); #584704=CARTESIAN_POINT('',(3.051,-0.385,0.19)); #584705=CARTESIAN_POINT('',(3.051,-0.385,0.19)); #584706=CARTESIAN_POINT('',(3.051,-0.385,0.)); #584707=CARTESIAN_POINT('Origin',(3.051,-0.885,0.)); #584708=CARTESIAN_POINT('',(3.051,-0.885,0.)); #584709=CARTESIAN_POINT('',(3.051,-0.885,0.)); #584710=CARTESIAN_POINT('',(3.051,-0.885,0.19)); #584711=CARTESIAN_POINT('',(3.051,-0.885,0.19)); #584712=CARTESIAN_POINT('',(3.051,-0.885,0.)); #584713=CARTESIAN_POINT('Origin',(3.05,-0.885,0.)); #584714=CARTESIAN_POINT('',(3.05,-0.885,0.)); #584715=CARTESIAN_POINT('',(2.151,-0.885,0.)); #584716=CARTESIAN_POINT('',(3.05,-0.885,0.19)); #584717=CARTESIAN_POINT('',(2.151,-0.885,0.19)); #584718=CARTESIAN_POINT('',(3.05,-0.885,0.)); #584719=CARTESIAN_POINT('Origin',(3.05,-0.385,0.)); #584720=CARTESIAN_POINT('',(3.05,-0.385,0.)); #584721=CARTESIAN_POINT('',(3.05,-0.385,0.19)); #584722=CARTESIAN_POINT('Origin',(3.0505,-0.635,0.19)); #584723=CARTESIAN_POINT('Origin',(3.0505,-0.635,0.)); #584724=CARTESIAN_POINT('Origin',(3.051,-1.655,0.)); #584725=CARTESIAN_POINT('',(3.051,-1.655,0.)); #584726=CARTESIAN_POINT('',(3.05,-1.655,0.)); #584727=CARTESIAN_POINT('',(3.051,-1.655,0.)); #584728=CARTESIAN_POINT('',(3.05,-1.655,0.19)); #584729=CARTESIAN_POINT('',(3.05,-1.655,0.)); #584730=CARTESIAN_POINT('',(3.051,-1.655,0.19)); #584731=CARTESIAN_POINT('',(3.051,-1.655,0.19)); #584732=CARTESIAN_POINT('',(3.051,-1.655,0.)); #584733=CARTESIAN_POINT('Origin',(3.051,-2.155,0.)); #584734=CARTESIAN_POINT('',(3.051,-2.155,0.)); #584735=CARTESIAN_POINT('',(3.051,-2.155,0.)); #584736=CARTESIAN_POINT('',(3.051,-2.155,0.19)); #584737=CARTESIAN_POINT('',(3.051,-2.155,0.19)); #584738=CARTESIAN_POINT('',(3.051,-2.155,0.)); #584739=CARTESIAN_POINT('Origin',(3.05,-2.155,0.)); #584740=CARTESIAN_POINT('',(3.05,-2.155,0.)); #584741=CARTESIAN_POINT('',(2.151,-2.155,0.)); #584742=CARTESIAN_POINT('',(3.05,-2.155,0.19)); #584743=CARTESIAN_POINT('',(2.151,-2.155,0.19)); #584744=CARTESIAN_POINT('',(3.05,-2.155,0.)); #584745=CARTESIAN_POINT('Origin',(3.05,-1.655,0.)); #584746=CARTESIAN_POINT('',(3.05,-1.655,0.)); #584747=CARTESIAN_POINT('',(3.05,-1.655,0.19)); #584748=CARTESIAN_POINT('Origin',(3.0505,-1.905,0.19)); #584749=CARTESIAN_POINT('Origin',(3.0505,-1.905,0.)); #584750=CARTESIAN_POINT('Origin',(-3.051,0.885,0.)); #584751=CARTESIAN_POINT('',(-3.051,0.885,0.)); #584752=CARTESIAN_POINT('',(-3.05,0.885,0.)); #584753=CARTESIAN_POINT('',(-3.051,0.885,0.)); #584754=CARTESIAN_POINT('',(-3.051,0.885,0.19)); #584755=CARTESIAN_POINT('',(-3.051,0.885,0.)); #584756=CARTESIAN_POINT('',(-3.05,0.885,0.19)); #584757=CARTESIAN_POINT('',(-3.051,0.885,0.19)); #584758=CARTESIAN_POINT('',(-3.05,0.885,0.)); #584759=CARTESIAN_POINT('Origin',(-3.051,0.385,0.)); #584760=CARTESIAN_POINT('',(-3.051,0.385,0.)); #584761=CARTESIAN_POINT('',(-3.051,0.385,0.)); #584762=CARTESIAN_POINT('',(-3.051,0.385,0.19)); #584763=CARTESIAN_POINT('',(-3.051,0.385,0.)); #584764=CARTESIAN_POINT('',(-3.051,0.385,0.19)); #584765=CARTESIAN_POINT('Origin',(-3.05,0.385,0.)); #584766=CARTESIAN_POINT('',(-3.05,0.385,0.)); #584767=CARTESIAN_POINT('',(-2.151,0.385,0.)); #584768=CARTESIAN_POINT('',(-3.05,0.385,0.19)); #584769=CARTESIAN_POINT('',(-3.05,0.385,0.)); #584770=CARTESIAN_POINT('',(-2.151,0.385,0.19)); #584771=CARTESIAN_POINT('Origin',(-3.05,0.885,0.)); #584772=CARTESIAN_POINT('',(-3.05,0.885,0.)); #584773=CARTESIAN_POINT('',(-3.05,0.885,0.19)); #584774=CARTESIAN_POINT('Origin',(-3.0505,0.635,0.19)); #584775=CARTESIAN_POINT('Origin',(-3.0505,0.635,0.)); #584776=CARTESIAN_POINT('Origin',(-3.051,-0.385,0.)); #584777=CARTESIAN_POINT('',(-3.051,-0.385,0.)); #584778=CARTESIAN_POINT('',(-3.05,-0.385,0.)); #584779=CARTESIAN_POINT('',(-3.051,-0.385,0.)); #584780=CARTESIAN_POINT('',(-3.051,-0.385,0.19)); #584781=CARTESIAN_POINT('',(-3.051,-0.385,0.)); #584782=CARTESIAN_POINT('',(-3.05,-0.385,0.19)); #584783=CARTESIAN_POINT('',(-3.051,-0.385,0.19)); #584784=CARTESIAN_POINT('',(-3.05,-0.385,0.)); #584785=CARTESIAN_POINT('Origin',(-3.051,-0.885,0.)); #584786=CARTESIAN_POINT('',(-3.051,-0.885,0.)); #584787=CARTESIAN_POINT('',(-3.051,-0.885,0.)); #584788=CARTESIAN_POINT('',(-3.051,-0.885,0.19)); #584789=CARTESIAN_POINT('',(-3.051,-0.885,0.)); #584790=CARTESIAN_POINT('',(-3.051,-0.885,0.19)); #584791=CARTESIAN_POINT('Origin',(-3.05,-0.885,0.)); #584792=CARTESIAN_POINT('',(-3.05,-0.885,0.)); #584793=CARTESIAN_POINT('',(-2.151,-0.885,0.)); #584794=CARTESIAN_POINT('',(-3.05,-0.885,0.19)); #584795=CARTESIAN_POINT('',(-3.05,-0.885,0.)); #584796=CARTESIAN_POINT('',(-2.151,-0.885,0.19)); #584797=CARTESIAN_POINT('Origin',(-3.05,-0.385,0.)); #584798=CARTESIAN_POINT('',(-3.05,-0.385,0.)); #584799=CARTESIAN_POINT('',(-3.05,-0.385,0.19)); #584800=CARTESIAN_POINT('Origin',(-3.0505,-0.635,0.19)); #584801=CARTESIAN_POINT('Origin',(-3.0505,-0.635,0.)); #584802=CARTESIAN_POINT('Origin',(-3.051,-1.655,0.)); #584803=CARTESIAN_POINT('',(-3.051,-1.655,0.)); #584804=CARTESIAN_POINT('',(-3.05,-1.655,0.)); #584805=CARTESIAN_POINT('',(-3.051,-1.655,0.)); #584806=CARTESIAN_POINT('',(-3.051,-1.655,0.19)); #584807=CARTESIAN_POINT('',(-3.051,-1.655,0.)); #584808=CARTESIAN_POINT('',(-3.05,-1.655,0.19)); #584809=CARTESIAN_POINT('',(-3.051,-1.655,0.19)); #584810=CARTESIAN_POINT('',(-3.05,-1.655,0.)); #584811=CARTESIAN_POINT('Origin',(-3.051,-2.155,0.)); #584812=CARTESIAN_POINT('',(-3.051,-2.155,0.)); #584813=CARTESIAN_POINT('',(-3.051,-2.155,0.)); #584814=CARTESIAN_POINT('',(-3.051,-2.155,0.19)); #584815=CARTESIAN_POINT('',(-3.051,-2.155,0.)); #584816=CARTESIAN_POINT('',(-3.051,-2.155,0.19)); #584817=CARTESIAN_POINT('Origin',(-3.05,-2.155,0.)); #584818=CARTESIAN_POINT('',(-3.05,-2.155,0.)); #584819=CARTESIAN_POINT('',(-2.151,-2.155,0.)); #584820=CARTESIAN_POINT('',(-3.05,-2.155,0.19)); #584821=CARTESIAN_POINT('',(-3.05,-2.155,0.)); #584822=CARTESIAN_POINT('',(-2.151,-2.155,0.19)); #584823=CARTESIAN_POINT('Origin',(-3.05,-1.655,0.)); #584824=CARTESIAN_POINT('',(-3.05,-1.655,0.)); #584825=CARTESIAN_POINT('',(-3.05,-1.655,0.19)); #584826=CARTESIAN_POINT('Origin',(-3.0505,-1.905,0.19)); #584827=CARTESIAN_POINT('Origin',(-3.0505,-1.905,0.)); #584828=CARTESIAN_POINT('Origin',(-1.25,-2.205,0.)); #584829=CARTESIAN_POINT('',(-1.25,-2.205,0.)); #584830=CARTESIAN_POINT('',(1.25,-2.205,0.)); #584831=CARTESIAN_POINT('',(-1.25,-2.205,0.)); #584832=CARTESIAN_POINT('',(1.25,-2.205,0.05)); #584833=CARTESIAN_POINT('',(1.25,-2.205,0.)); #584834=CARTESIAN_POINT('',(-1.25,-2.205,0.05)); #584835=CARTESIAN_POINT('',(-1.25,-2.205,0.05)); #584836=CARTESIAN_POINT('',(-1.25,-2.205,0.)); #584837=CARTESIAN_POINT('Origin',(-1.25,2.205,0.)); #584838=CARTESIAN_POINT('',(-1.25,2.205,0.)); #584839=CARTESIAN_POINT('',(-1.25,2.205,0.)); #584840=CARTESIAN_POINT('',(-1.25,2.205,0.05)); #584841=CARTESIAN_POINT('',(-1.25,2.205,0.05)); #584842=CARTESIAN_POINT('',(-1.25,2.205,0.)); #584843=CARTESIAN_POINT('Origin',(1.25,2.205,0.)); #584844=CARTESIAN_POINT('',(1.25,2.205,0.)); #584845=CARTESIAN_POINT('',(1.25,2.205,0.)); #584846=CARTESIAN_POINT('',(1.25,2.205,0.05)); #584847=CARTESIAN_POINT('',(1.25,2.205,0.05)); #584848=CARTESIAN_POINT('',(1.25,2.205,0.)); #584849=CARTESIAN_POINT('Origin',(1.25,-2.205,0.)); #584850=CARTESIAN_POINT('',(1.25,-2.205,0.)); #584851=CARTESIAN_POINT('',(1.25,-2.205,0.05)); #584852=CARTESIAN_POINT('Origin',(0.,0.,0.05)); #584853=CARTESIAN_POINT('Origin',(0.,0.,0.)); #584854=CARTESIAN_POINT('',(0.,0.,0.)); #584855=CARTESIAN_POINT('',(0.,0.,0.)); #584856=CARTESIAN_POINT('',(0.,0.,0.)); #584857=CARTESIAN_POINT('Origin',(-6.6,3.225,4.575)); #584858=CARTESIAN_POINT('',(-6.45,-6.15,6.)); #584859=CARTESIAN_POINT('',(-6.45,6.15,6.)); #584860=CARTESIAN_POINT('',(-6.45,3.225,6.)); #584861=CARTESIAN_POINT('',(-6.75,6.45,3.15)); #584862=CARTESIAN_POINT('',(-6.45,6.15,6.)); #584863=CARTESIAN_POINT('',(-6.75,-6.45,3.15)); #584864=CARTESIAN_POINT('',(-6.75,3.225,3.15)); #584865=CARTESIAN_POINT('',(-6.45,-6.15,6.)); #584866=CARTESIAN_POINT('Origin',(3.375,6.3,4.575)); #584867=CARTESIAN_POINT('',(6.45,6.15,6.)); #584868=CARTESIAN_POINT('',(3.375,6.15,6.)); #584869=CARTESIAN_POINT('',(6.75,6.45,3.15)); #584870=CARTESIAN_POINT('',(6.45,6.15,6.)); #584871=CARTESIAN_POINT('',(3.375,6.45,3.15)); #584872=CARTESIAN_POINT('Origin',(6.6,-3.225,4.575)); #584873=CARTESIAN_POINT('',(6.45,-6.15,6.)); #584874=CARTESIAN_POINT('',(6.45,-3.225,6.)); #584875=CARTESIAN_POINT('',(6.75,-6.45,3.15)); #584876=CARTESIAN_POINT('',(6.45,-6.15,6.)); #584877=CARTESIAN_POINT('',(6.75,-3.225,3.15)); #584878=CARTESIAN_POINT('Origin',(-3.375,-6.3,4.575)); #584879=CARTESIAN_POINT('',(-3.375,-6.45,3.15)); #584880=CARTESIAN_POINT('',(-3.375,-6.15,6.)); #584881=CARTESIAN_POINT('Origin',(-6.75,-6.45,0.15)); #584882=CARTESIAN_POINT('',(-6.75,-6.45,0.15)); #584883=CARTESIAN_POINT('',(-6.75,-6.45,0.15)); #584884=CARTESIAN_POINT('',(6.75,-6.45,0.15)); #584885=CARTESIAN_POINT('',(-6.75,-6.45,0.15)); #584886=CARTESIAN_POINT('',(6.75,-6.45,0.15)); #584887=CARTESIAN_POINT('Origin',(-6.75,6.45,0.15)); #584888=CARTESIAN_POINT('',(-6.75,6.45,0.15)); #584889=CARTESIAN_POINT('',(-6.75,6.45,0.15)); #584890=CARTESIAN_POINT('',(-6.75,6.45,0.15)); #584891=CARTESIAN_POINT('Origin',(0.,0.,6.)); #584892=CARTESIAN_POINT('Origin',(6.75,6.45,0.15)); #584893=CARTESIAN_POINT('',(6.75,6.45,0.15)); #584894=CARTESIAN_POINT('',(6.75,6.45,0.15)); #584895=CARTESIAN_POINT('',(6.75,6.45,0.15)); #584896=CARTESIAN_POINT('Origin',(6.75,-6.45,0.15)); #584897=CARTESIAN_POINT('',(6.75,-6.45,0.15)); #584898=CARTESIAN_POINT('Origin',(0.,0.,0.15)); #584899=CARTESIAN_POINT('Origin',(6.75,-2.65,0.)); #584900=CARTESIAN_POINT('',(6.75,2.65,0.)); #584901=CARTESIAN_POINT('',(6.75,-2.65,0.)); #584902=CARTESIAN_POINT('',(6.75,0.,0.)); #584903=CARTESIAN_POINT('',(6.75,2.65,0.15)); #584904=CARTESIAN_POINT('',(6.75,2.65,0.)); #584905=CARTESIAN_POINT('',(6.75,-2.65,0.15)); #584906=CARTESIAN_POINT('',(6.75,0.,0.15)); #584907=CARTESIAN_POINT('',(6.75,-2.65,0.)); #584908=CARTESIAN_POINT('Origin',(4.4,-2.65,0.)); #584909=CARTESIAN_POINT('',(4.4,-2.65,0.)); #584910=CARTESIAN_POINT('',(4.4,-2.65,0.)); #584911=CARTESIAN_POINT('',(4.4,-2.65,0.15)); #584912=CARTESIAN_POINT('',(4.4,-2.65,0.15)); #584913=CARTESIAN_POINT('',(4.4,-2.65,0.)); #584914=CARTESIAN_POINT('Origin',(4.4,2.65,0.)); #584915=CARTESIAN_POINT('',(4.4,2.65,0.)); #584916=CARTESIAN_POINT('',(4.4,2.65,0.)); #584917=CARTESIAN_POINT('',(4.4,2.65,0.15)); #584918=CARTESIAN_POINT('',(4.4,2.65,0.15)); #584919=CARTESIAN_POINT('',(4.4,2.65,0.)); #584920=CARTESIAN_POINT('Origin',(6.75,2.65,0.)); #584921=CARTESIAN_POINT('',(6.9,2.65,0.)); #584922=CARTESIAN_POINT('',(6.9,2.65,0.15)); #584923=CARTESIAN_POINT('Origin',(5.575,0.,0.15)); #584924=CARTESIAN_POINT('Origin',(5.575,0.,0.)); #584925=CARTESIAN_POINT('Origin',(6.9,2.65,0.)); #584926=CARTESIAN_POINT('',(6.9,2.65,0.)); #584927=CARTESIAN_POINT('',(6.75,2.65,0.)); #584928=CARTESIAN_POINT('',(6.9,2.65,0.)); #584929=CARTESIAN_POINT('',(6.75,2.65,3.15)); #584930=CARTESIAN_POINT('',(6.75,2.65,0.)); #584931=CARTESIAN_POINT('',(6.9,2.65,3.15)); #584932=CARTESIAN_POINT('',(6.9,2.65,3.15)); #584933=CARTESIAN_POINT('',(6.9,2.65,0.)); #584934=CARTESIAN_POINT('Origin',(6.9,-2.65,0.)); #584935=CARTESIAN_POINT('',(6.9,-2.65,0.)); #584936=CARTESIAN_POINT('',(6.9,-2.65,0.)); #584937=CARTESIAN_POINT('',(6.9,-2.65,3.15)); #584938=CARTESIAN_POINT('',(6.9,-2.65,3.15)); #584939=CARTESIAN_POINT('',(6.9,-2.65,0.)); #584940=CARTESIAN_POINT('Origin',(6.75,-2.65,0.)); #584941=CARTESIAN_POINT('',(6.75,-2.65,0.)); #584942=CARTESIAN_POINT('',(4.4,-2.65,0.)); #584943=CARTESIAN_POINT('',(6.75,-2.65,3.15)); #584944=CARTESIAN_POINT('',(4.4,-2.65,3.15)); #584945=CARTESIAN_POINT('',(6.75,-2.65,0.)); #584946=CARTESIAN_POINT('Origin',(6.75,2.65,0.)); #584947=CARTESIAN_POINT('',(6.75,0.,0.)); #584948=CARTESIAN_POINT('',(6.75,0.,3.15)); #584949=CARTESIAN_POINT('Origin',(6.825,0.,3.15)); #584950=CARTESIAN_POINT('Origin',(6.825,0.,0.)); #584951=CARTESIAN_POINT('Origin',(-4.4,2.65,0.)); #584952=CARTESIAN_POINT('',(-6.75,2.65,0.)); #584953=CARTESIAN_POINT('',(-4.4,2.65,0.)); #584954=CARTESIAN_POINT('',(-6.9,2.65,0.)); #584955=CARTESIAN_POINT('',(-6.75,2.65,0.15)); #584956=CARTESIAN_POINT('',(-6.75,2.65,0.)); #584957=CARTESIAN_POINT('',(-4.4,2.65,0.15)); #584958=CARTESIAN_POINT('',(-6.9,2.65,0.15)); #584959=CARTESIAN_POINT('',(-4.4,2.65,0.)); #584960=CARTESIAN_POINT('Origin',(-4.4,-2.65,0.)); #584961=CARTESIAN_POINT('',(-4.4,-2.65,0.)); #584962=CARTESIAN_POINT('',(-4.4,2.65,0.)); #584963=CARTESIAN_POINT('',(-4.4,-2.65,0.15)); #584964=CARTESIAN_POINT('',(-4.4,2.65,0.15)); #584965=CARTESIAN_POINT('',(-4.4,-2.65,0.)); #584966=CARTESIAN_POINT('Origin',(-6.75,-2.65,0.)); #584967=CARTESIAN_POINT('',(-6.75,-2.65,0.)); #584968=CARTESIAN_POINT('',(-4.4,-2.65,0.)); #584969=CARTESIAN_POINT('',(-6.75,-2.65,0.15)); #584970=CARTESIAN_POINT('',(-4.4,-2.65,0.15)); #584971=CARTESIAN_POINT('',(-6.75,-2.65,0.)); #584972=CARTESIAN_POINT('Origin',(-6.75,2.65,0.)); #584973=CARTESIAN_POINT('',(-6.75,0.,0.)); #584974=CARTESIAN_POINT('',(-6.75,0.,0.15)); #584975=CARTESIAN_POINT('Origin',(-5.575,0.,0.15)); #584976=CARTESIAN_POINT('Origin',(-5.575,0.,0.)); #584977=CARTESIAN_POINT('Origin',(-6.9,-2.65,0.)); #584978=CARTESIAN_POINT('',(-6.75,-2.65,0.)); #584979=CARTESIAN_POINT('',(-6.9,-2.65,0.)); #584980=CARTESIAN_POINT('',(-4.4,-2.65,0.)); #584981=CARTESIAN_POINT('',(-6.75,-2.65,3.15)); #584982=CARTESIAN_POINT('',(-6.75,-2.65,0.)); #584983=CARTESIAN_POINT('',(-6.9,-2.65,3.15)); #584984=CARTESIAN_POINT('',(-4.4,-2.65,3.15)); #584985=CARTESIAN_POINT('',(-6.9,-2.65,0.)); #584986=CARTESIAN_POINT('Origin',(-6.9,2.65,0.)); #584987=CARTESIAN_POINT('',(-6.9,2.65,0.)); #584988=CARTESIAN_POINT('',(-6.9,-2.65,0.)); #584989=CARTESIAN_POINT('',(-6.9,2.65,3.15)); #584990=CARTESIAN_POINT('',(-6.9,-2.65,3.15)); #584991=CARTESIAN_POINT('',(-6.9,2.65,0.)); #584992=CARTESIAN_POINT('Origin',(-6.75,2.65,0.)); #584993=CARTESIAN_POINT('',(-6.75,2.65,0.)); #584994=CARTESIAN_POINT('',(-6.9,2.65,0.)); #584995=CARTESIAN_POINT('',(-6.75,2.65,3.15)); #584996=CARTESIAN_POINT('',(-6.9,2.65,3.15)); #584997=CARTESIAN_POINT('',(-6.75,2.65,0.)); #584998=CARTESIAN_POINT('Origin',(-6.75,-2.65,0.)); #584999=CARTESIAN_POINT('',(-6.75,0.,0.)); #585000=CARTESIAN_POINT('',(-6.75,0.,3.15)); #585001=CARTESIAN_POINT('Origin',(-6.825,0.,3.15)); #585002=CARTESIAN_POINT('Origin',(-6.825,0.,0.)); #585003=CARTESIAN_POINT('',(0.,0.,0.)); #585004=CARTESIAN_POINT('',(0.,0.,0.)); #585005=CARTESIAN_POINT('',(0.,0.,0.)); #585006=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 16.044051007151)); #585007=CARTESIAN_POINT('',(3.11336113587006,-1.797499999801,16.044051007151)); #585008=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 16.044051007151)); #585009=CARTESIAN_POINT('',(3.11336113587006,-1.797499999801,15.674051007151)); #585010=CARTESIAN_POINT('',(3.11336113587006,-1.797499999801,16.044051007151)); #585011=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 15.674051007151)); #585012=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 16.044051007151)); #585013=CARTESIAN_POINT('',(4.98830613506337,-2.879999999801,15.674051007151)); #585014=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 15.674051007151)); #585015=CARTESIAN_POINT('',(4.98830613506337,-2.879999999801,16.044051007151)); #585016=CARTESIAN_POINT('',(4.98830613506337,-2.879999999801,16.044051007151)); #585017=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 16.044051007151)); #585018=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 16.044051007151)); #585019=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 15.674051007151)); #585020=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 14.324051007151)); #585021=CARTESIAN_POINT('',(3.50077447871504,-0.00250521355199761,17.7456121063289)); #585022=CARTESIAN_POINT('',(3.50119743520703,-0.00241438678840638,17.8774899079731)); #585023=CARTESIAN_POINT('',(3.49999980926514,1.99000689340779E-10,14.324051007151)); #585024=CARTESIAN_POINT('',(-3.0801133874021,-1.66219815155855,18.311560189113)); #585025=CARTESIAN_POINT('Ctrl Pts',(3.50119743520703,-0.00241438678840638, 17.8774899079731)); #585026=CARTESIAN_POINT('Ctrl Pts',(3.49403446034205,0.895668273932843, 17.9081585404955)); #585027=CARTESIAN_POINT('Ctrl Pts',(3.13825198486631,1.79868410977275,17.9388271730178)); #585028=CARTESIAN_POINT('Ctrl Pts',(2.51415673850413,2.44152802441589,17.9694958055401)); #585029=CARTESIAN_POINT('Ctrl Pts',(1.7407216637425,3.23819813860154,18.0075031389175)); #585030=CARTESIAN_POINT('Ctrl Pts',(0.555201100394608,3.63528580686561, 18.0455104722949)); #585031=CARTESIAN_POINT('Ctrl Pts',(-0.54175844566201,3.46312096360294, 18.0835178056723)); #585032=CARTESIAN_POINT('Ctrl Pts',(-1.63871799171863,3.29095612034027, 18.1215251390498)); #585033=CARTESIAN_POINT('Ctrl Pts',(-2.64711652048399,2.54953876555084, 18.1595324724272)); #585034=CARTESIAN_POINT('Ctrl Pts',(-3.13977797878872,1.55642229447125, 18.1975398058046)); #585035=CARTESIAN_POINT('Ctrl Pts',(-3.63243245118904,0.563319905712549, 18.2355466002407)); #585036=CARTESIAN_POINT('Ctrl Pts',(-3.60936447925496,-0.681474461235813, 18.2735533946768)); #585037=CARTESIAN_POINT('Ctrl Pts',(-3.0801133873574,-1.66219815159538, 18.3115601891129)); #585038=CARTESIAN_POINT('',(-1.75000019073497,-3.03108891304648,18.3115601891129)); #585039=CARTESIAN_POINT('Ctrl Pts',(-1.75000019073496,-3.03108891304648, 18.311560189113)); #585040=CARTESIAN_POINT('Ctrl Pts',(-1.96226300479069,-2.90853892021245, 18.311560189113)); #585041=CARTESIAN_POINT('Ctrl Pts',(-2.16106688685548,-2.76403052385329, 18.311560189113)); #585042=CARTESIAN_POINT('Ctrl Pts',(-2.5623619784978,-2.40237296536939, 18.311560189113)); #585043=CARTESIAN_POINT('Ctrl Pts',(-2.75572061995343,-2.17786563226526, 18.311560189113)); #585044=CARTESIAN_POINT('Ctrl Pts',(-2.97653747665333,-1.84424582051648, 18.311560189113)); #585045=CARTESIAN_POINT('Ctrl Pts',(-3.03041503479378,-1.75429099353694, 18.311560189113)); #585046=CARTESIAN_POINT('Ctrl Pts',(-3.08011338740337,-1.66219815155924, 18.311560189113)); #585047=CARTESIAN_POINT('',(0.0988340980890994,-3.50066939859337,18.3115601891129)); #585048=CARTESIAN_POINT('Ctrl Pts',(0.101088816931864,-3.49853983986699, 18.311560189113)); #585049=CARTESIAN_POINT('Ctrl Pts',(-0.0958496389313897,-3.50423030331309, 18.311560189113)); #585050=CARTESIAN_POINT('Ctrl Pts',(-0.29337644191164,-3.49329516625616, 18.311560189113)); #585051=CARTESIAN_POINT('Ctrl Pts',(-0.933536000759611,-3.40289951578085, 18.311560189113)); #585052=CARTESIAN_POINT('Ctrl Pts',(-1.36152796735106,-3.25537345578988, 18.311560189113)); #585053=CARTESIAN_POINT('Ctrl Pts',(-1.75000019073497,-3.03108891304648, 18.311560189113)); #585054=CARTESIAN_POINT('Ctrl Pts',(0.0988340980890994,-3.50066939859337, 18.3115601891129)); #585055=CARTESIAN_POINT('Ctrl Pts',(-0.0864533114556529,-3.50758222417586, 18.3052287684602)); #585056=CARTESIAN_POINT('Ctrl Pts',(-0.271958224637835,-3.49949651112486, 18.2988973478074)); #585057=CARTESIAN_POINT('Ctrl Pts',(-0.455372714609442,-3.47555859123774, 18.2925659271546)); #585058=CARTESIAN_POINT('Ctrl Pts',(-1.55747025421494,-3.33172086819332, 18.254521805751)); #585059=CARTESIAN_POINT('Ctrl Pts',(-2.5840921198942,-2.61553205943515, 18.2164776843474)); #585060=CARTESIAN_POINT('Ctrl Pts',(-3.10147307901249,-1.63188810682342, 18.1784335629438)); #585061=CARTESIAN_POINT('Ctrl Pts',(-3.61885403813079,-0.64824415421168, 18.1403894415403)); #585062=CARTESIAN_POINT('Ctrl Pts',(-3.62699409068811,0.602854942253622, 18.1023453201367)); #585063=CARTESIAN_POINT('Ctrl Pts',(-3.12204230325031,1.59262257477227, 18.0643011987331)); #585064=CARTESIAN_POINT('Ctrl Pts',(-2.6170905158125,2.58239020729092,18.0262570773296)); #585065=CARTESIAN_POINT('Ctrl Pts',(-1.59904688837957,3.31082637586291, 17.988212955926)); #585066=CARTESIAN_POINT('Ctrl Pts',(-0.499084890223093,3.46913681920486, 17.9501688345224)); #585067=CARTESIAN_POINT('Ctrl Pts',(0.600877107933383,3.62744726254681, 17.9121247131189)); #585068=CARTESIAN_POINT('Ctrl Pts',(1.7827574768134,3.21563198065872,17.8740805917153)); #585069=CARTESIAN_POINT('Ctrl Pts',(2.54632887788783,2.40823861177409,17.8360364703117)); #585070=CARTESIAN_POINT('Ctrl Pts',(3.15128838061191,1.76856000378031,17.8058950156508)); #585071=CARTESIAN_POINT('Ctrl Pts',(3.49367463709319,0.880576361854126, 17.7757535609898)); #585072=CARTESIAN_POINT('Ctrl Pts',(3.50077447871504,-0.00250521355199761, 17.7456121063289)); #585073=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 14.324051007151)); #585074=CARTESIAN_POINT('',(3.49669267738282,0.00186730564810401,16.9956121063289)); #585075=CARTESIAN_POINT('',(3.49632362596931,0.00162994858308695,17.1274899079731)); #585076=CARTESIAN_POINT('',(3.49999980926514,1.99000689340779E-10,14.324051007151)); #585077=CARTESIAN_POINT('Ctrl Pts',(3.49632362596931,0.00162994858308695, 17.1274899079731)); #585078=CARTESIAN_POINT('Ctrl Pts',(3.49830622939087,0.431300343431323, 17.1421072068536)); #585079=CARTESIAN_POINT('Ctrl Pts',(3.42427347066553,0.860311984961587, 17.156724505734)); #585080=CARTESIAN_POINT('Ctrl Pts',(3.27091914919329,1.25879592451337,17.1713418046145)); #585081=CARTESIAN_POINT('Ctrl Pts',(2.87217319286249,2.29491840240391,17.2093491379919)); #585082=CARTESIAN_POINT('Ctrl Pts',(1.93714698036931,3.12464802480692,17.2473564713693)); #585083=CARTESIAN_POINT('Ctrl Pts',(0.860964450354169,3.3973780583869,17.2853638047467)); #585084=CARTESIAN_POINT('Ctrl Pts',(-0.21521807966097,3.67010809196688, 17.3233711381241)); #585085=CARTESIAN_POINT('Ctrl Pts',(-1.43255692719809,3.38583853672384, 17.3613784715015)); #585086=CARTESIAN_POINT('Ctrl Pts',(-2.27663672121424,2.6646640762726,17.399385804879)); #585087=CARTESIAN_POINT('Ctrl Pts',(-3.1207165152304,1.94348961582137,17.4373931382564)); #585088=CARTESIAN_POINT('Ctrl Pts',(-3.59153725572559,0.785410250161928, 17.4754004716338)); #585089=CARTESIAN_POINT('Ctrl Pts',(-3.49013086260879,-0.320135945910613, 17.5134078050112)); #585090=CARTESIAN_POINT('Ctrl Pts',(-3.38872446949199,-1.42568214198316, 17.5514151383886)); #585091=CARTESIAN_POINT('Ctrl Pts',(-2.71509094276319,-2.4786951684688, 17.589422471766)); #585092=CARTESIAN_POINT('Ctrl Pts',(-1.75391206409369,-3.03431209934008, 17.6274298051434)); #585093=CARTESIAN_POINT('Ctrl Pts',(-0.792733185424188,-3.58992903021136, 17.6654371385208)); #585094=CARTESIAN_POINT('Ctrl Pts',(0.455991045186007,-3.64814986546828, 17.7034444718982)); #585095=CARTESIAN_POINT('Ctrl Pts',(1.4646157787945,-3.18413970130658,17.7414518052757)); #585096=CARTESIAN_POINT('Ctrl Pts',(2.47324051240299,-2.72012953714488, 17.7794591386531)); #585097=CARTESIAN_POINT('Ctrl Pts',(3.24176574900977,-1.73388837356456, 17.8174664720305)); #585098=CARTESIAN_POINT('Ctrl Pts',(3.44535357422546,-0.642641511720778, 17.8554738054079)); #585099=CARTESIAN_POINT('Ctrl Pts',(3.48466362347724,-0.431936535431168, 17.862812506263)); #585100=CARTESIAN_POINT('Ctrl Pts',(3.50291146434505,-0.217316697329021, 17.870151207118)); #585101=CARTESIAN_POINT('Ctrl Pts',(3.50119743520703,-0.00241438678840638, 17.8774899079731)); #585102=CARTESIAN_POINT('Ctrl Pts',(3.50077447871504,-0.00250521355199761, 17.7456121063289)); #585103=CARTESIAN_POINT('Ctrl Pts',(3.50263595762818,-0.23403681929203, 17.7377094395862)); #585104=CARTESIAN_POINT('Ctrl Pts',(3.48144934994456,-0.465231449692026, 17.7298067728436)); #585105=CARTESIAN_POINT('Ctrl Pts',(3.43590414231161,-0.691525539548846, 17.721904106101)); #585106=CARTESIAN_POINT('Ctrl Pts',(3.21664557239211,-1.78092486610598, 17.6838599846974)); #585107=CARTESIAN_POINT('Ctrl Pts',(2.43286599928426,-2.75675206333908, 17.6458158632939)); #585108=CARTESIAN_POINT('Ctrl Pts',(1.41639100543108,-3.2058528989913,17.6077717418903)); #585109=CARTESIAN_POINT('Ctrl Pts',(0.399916011577904,-3.65495373464352, 17.5697276204867)); #585110=CARTESIAN_POINT('Ctrl Pts',(-0.849254403020602,-3.57732820871485, 17.5316834990831)); #585111=CARTESIAN_POINT('Ctrl Pts',(-1.80231884469063,-3.00586645702327, 17.4936393776796)); #585112=CARTESIAN_POINT('Ctrl Pts',(-2.75538328636067,-2.4344047053317, 17.455595256276)); #585113=CARTESIAN_POINT('Ctrl Pts',(-3.41234175510223,-1.36910672787724, 17.4175511348724)); #585114=CARTESIAN_POINT('Ctrl Pts',(-3.49507433654449,-0.260931130896027, 17.3795070134689)); #585115=CARTESIAN_POINT('Ctrl Pts',(-3.57780691798675,0.847244466085184, 17.3414628920653)); #585116=CARTESIAN_POINT('Ctrl Pts',(-3.08631361212968,1.99829768259314, 17.3034187706617)); #585117=CARTESIAN_POINT('Ctrl Pts',(-2.22866560310931,2.7049360104527,17.2653746492581)); #585118=CARTESIAN_POINT('Ctrl Pts',(-1.37101759408895,3.41157433831226, 17.2273305278546)); #585119=CARTESIAN_POINT('Ctrl Pts',(-0.14721488190529,3.67379777752345, 17.189286406451)); #585120=CARTESIAN_POINT('Ctrl Pts',(0.9246735352013,3.3806159484567,17.1512422850474)); #585121=CARTESIAN_POINT('Ctrl Pts',(1.9965619523079,3.08743411938994,17.1131981636439)); #585122=CARTESIAN_POINT('Ctrl Pts',(2.91653607433742,2.23884702204523,17.0751540422403)); #585123=CARTESIAN_POINT('Ctrl Pts',(3.29512922508106,1.19405986672491,17.0371099208367)); #585124=CARTESIAN_POINT('Ctrl Pts',(3.43278332917453,0.814181785065222, 17.0232773160008)); #585125=CARTESIAN_POINT('Ctrl Pts',(3.49886664345197,0.408365974050336, 17.0094447111648)); #585126=CARTESIAN_POINT('Ctrl Pts',(3.49669267738282,0.00186730564810401, 16.9956121063289)); #585127=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 14.324051007151)); #585128=CARTESIAN_POINT('',(3.50378688793346,-0.00181106164791798,16.2456121063289)); #585129=CARTESIAN_POINT('',(3.50458162263321,-0.000783563652514622,16.3774899079731)); #585130=CARTESIAN_POINT('',(3.49999980926514,1.99000689340779E-10,14.324051007151)); #585131=CARTESIAN_POINT('',(1.83658619856034,-2.97942115744983,16.124051007151)); #585132=CARTESIAN_POINT('Ctrl Pts',(3.50378688793346,-0.00181106164791798, 16.2456121063289)); #585133=CARTESIAN_POINT('Ctrl Pts',(3.50410870908636,-0.0740965350522147, 16.2431358613398)); #585134=CARTESIAN_POINT('Ctrl Pts',(3.5020585515028,-0.146350152014978, 16.2406596163508)); #585135=CARTESIAN_POINT('Ctrl Pts',(3.4975778159998,-0.218436865819315, 16.2381833713617)); #585136=CARTESIAN_POINT('Ctrl Pts',(3.42873743622841,-1.32595074416319, 16.2001392499581)); #585137=CARTESIAN_POINT('Ctrl Pts',(2.78618041601978,-2.39406859304226, 16.1620951285546)); #585138=CARTESIAN_POINT('Ctrl Pts',(1.83658619851954,-2.97942115747668, 16.124051007151)); #585139=CARTESIAN_POINT('',(-1.83658657998938,-2.97942115747661,16.124051007151)); #585140=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 16.124051007151)); #585141=CARTESIAN_POINT('Ctrl Pts',(-1.83532161846428,-2.98194527446114, 16.124051007151)); #585142=CARTESIAN_POINT('Ctrl Pts',(-1.6609084695426,-3.09154162917814, 16.1310819392421)); #585143=CARTESIAN_POINT('Ctrl Pts',(-1.47707857230228,-3.18534506960692, 16.1381128713333)); #585144=CARTESIAN_POINT('Ctrl Pts',(-1.28603104466333,-3.26080257841467, 16.1451438034244)); #585145=CARTESIAN_POINT('Ctrl Pts',(-0.253279344067756,-3.66870563535021, 16.1831511368019)); #585146=CARTESIAN_POINT('Ctrl Pts',(0.990385494320833,-3.54050504188829, 16.2211584701793)); #585147=CARTESIAN_POINT('Ctrl Pts',(1.91932965035895,-2.93227166507413, 16.2591658035567)); #585148=CARTESIAN_POINT('Ctrl Pts',(2.84827380639707,-2.32403828825998, 16.2971731369341)); #585149=CARTESIAN_POINT('Ctrl Pts',(3.46249728008472,-1.23577212809358, 16.3351804703115)); #585150=CARTESIAN_POINT('Ctrl Pts',(3.50249453760997,-0.126417389765751, 16.3731878036889)); #585151=CARTESIAN_POINT('Ctrl Pts',(3.50400365315571,-0.0845609079731615, 16.3746218384503)); #585152=CARTESIAN_POINT('Ctrl Pts',(3.50469530670144,-0.04267440471726, 16.3760558732117)); #585153=CARTESIAN_POINT('Ctrl Pts',(3.50458162263321,-0.000783563652514622, 16.3774899079731)); #585154=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 16.124051007151)); #585155=CARTESIAN_POINT('',(3.1385813749323,0.00167606689432474,16.7886609023379)); #585156=CARTESIAN_POINT('',(3.14566237948984,-0.000703315520355346,16.5844411119641)); #585157=CARTESIAN_POINT('',(3.14154980926514,1.99000645443314E-10,16.124051007151)); #585158=CARTESIAN_POINT('',(-2.37141664527386,2.06051459651752,16.124051007151)); #585159=CARTESIAN_POINT('Ctrl Pts',(-2.37141664527386,2.06051459651752, 16.124051007151)); #585160=CARTESIAN_POINT('Ctrl Pts',(-3.02750405016273,1.30543308903922, 16.1620583405284)); #585161=CARTESIAN_POINT('Ctrl Pts',(-3.29207572160733,0.219682551835687, 16.2000656739058)); #585162=CARTESIAN_POINT('Ctrl Pts',(-3.0555262806193,-0.746856184112077, 16.2380730072832)); #585163=CARTESIAN_POINT('Ctrl Pts',(-2.81897683963126,-1.71339492005984, 16.2760803406606)); #585164=CARTESIAN_POINT('Ctrl Pts',(-2.08130628621058,-2.56072185475183, 16.314087674038)); #585165=CARTESIAN_POINT('Ctrl Pts',(-1.15432311335172,-2.92684981147065, 16.3520950074155)); #585166=CARTESIAN_POINT('Ctrl Pts',(-0.227339940492851,-3.29297776818946, 16.3901023407929)); #585167=CARTESIAN_POINT('Ctrl Pts',(0.888955851804198,-3.1779067469351, 16.4281096741703)); #585168=CARTESIAN_POINT('Ctrl Pts',(1.72276285563321,-2.63196515695495, 16.4661170075477)); #585169=CARTESIAN_POINT('Ctrl Pts',(2.55656985946223,-2.0860235669748,16.5041243409251)); #585170=CARTESIAN_POINT('Ctrl Pts',(3.10788807482321,-1.10921140826887, 16.5421316743025)); #585171=CARTESIAN_POINT('Ctrl Pts',(3.14378904178848,-0.113470443070646, 16.5801390076799)); #585172=CARTESIAN_POINT('Ctrl Pts',(3.14514360234355,-0.0759006629633598, 16.5815730424413)); #585173=CARTESIAN_POINT('Ctrl Pts',(3.14576442068543,-0.0383039360194823, 16.5830070772027)); #585174=CARTESIAN_POINT('Ctrl Pts',(3.14566237948984,-0.000703315520355346, 16.5844411119641)); #585175=CARTESIAN_POINT('',(2.3709648013008,2.06300092528248,16.124051007151)); #585176=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 16.124051007151)); #585177=CARTESIAN_POINT('Ctrl Pts',(2.3709648013008,2.06300092528248,16.124051007151)); #585178=CARTESIAN_POINT('Ctrl Pts',(2.24964783498891,2.20508873367577,16.1311555152945)); #585179=CARTESIAN_POINT('Ctrl Pts',(2.11578217948704,2.33594270487341,16.1382600234379)); #585180=CARTESIAN_POINT('Ctrl Pts',(1.97061002675178,2.45270010364637,16.1453645315814)); #585181=CARTESIAN_POINT('Ctrl Pts',(1.19322376840033,3.07792743310866,16.183408652985)); #585182=CARTESIAN_POINT('Ctrl Pts',(0.0916209707530859,3.29893214321143, 16.2214527743885)); #585183=CARTESIAN_POINT('Ctrl Pts',(-0.867265180916358,3.02375996935802, 16.2594968957921)); #585184=CARTESIAN_POINT('Ctrl Pts',(-1.8261513325858,2.74858779550461,16.2975410171957)); #585185=CARTESIAN_POINT('Ctrl Pts',(-2.64232083827745,1.97723873769502, 16.3355851385993)); #585186=CARTESIAN_POINT('Ctrl Pts',(-2.97055860389092,1.0354632560108,16.3736292600028)); #585187=CARTESIAN_POINT('Ctrl Pts',(-3.2987963695044,0.0936877743265825, 16.4116733814064)); #585188=CARTESIAN_POINT('Ctrl Pts',(-3.1391023950397,-1.01851413123227, 16.44971750281)); #585189=CARTESIAN_POINT('Ctrl Pts',(-2.55893144065064,-1.82991702684246, 16.4877616242135)); #585190=CARTESIAN_POINT('Ctrl Pts',(-1.97876048626159,-2.64131992245265, 16.5258057456171)); #585191=CARTESIAN_POINT('Ctrl Pts',(-0.978112551948179,-3.15192380811417, 16.5638498670207)); #585192=CARTESIAN_POINT('Ctrl Pts',(0.0193302946311844,-3.14577109118591, 16.6018939884243)); #585193=CARTESIAN_POINT('Ctrl Pts',(1.01677314121055,-3.13961837425765, 16.6399381098278)); #585194=CARTESIAN_POINT('Ctrl Pts',(2.01101090005587,-2.6167090547396,16.6779822312314)); #585195=CARTESIAN_POINT('Ctrl Pts',(2.58118779056774,-1.79830819784774, 16.716026352635)); #585196=CARTESIAN_POINT('Ctrl Pts',(2.94405192625548,-1.27747277900857, 16.7402378692026)); #585197=CARTESIAN_POINT('Ctrl Pts',(3.1351659344896,-0.636959471933993, 16.7644493857702)); #585198=CARTESIAN_POINT('Ctrl Pts',(3.1385813749323,0.00167606689432474, 16.7886609023379)); #585199=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 16.124051007151)); #585200=CARTESIAN_POINT('',(3.14224514149666,-0.00224864387512761,17.5386609023379)); #585201=CARTESIAN_POINT('',(3.13825011965571,0.00146301858357948,17.3344411119642)); #585202=CARTESIAN_POINT('',(3.14154980926514,1.99000645443314E-10,16.124051007151)); #585203=CARTESIAN_POINT('Ctrl Pts',(3.14566237948984,-0.000703315520355346, 16.5844411119641)); #585204=CARTESIAN_POINT('Ctrl Pts',(3.14305994388805,0.95825441595745,16.6210144105801)); #585205=CARTESIAN_POINT('Ctrl Pts',(2.67027894815754,1.9197446812211,16.6575877091962)); #585206=CARTESIAN_POINT('Ctrl Pts',(1.90784925334683,2.50134262618629,16.6941610078122)); #585207=CARTESIAN_POINT('Ctrl Pts',(1.11552477937034,3.10574495855448,16.7321683411896)); #585208=CARTESIAN_POINT('Ctrl Pts',(0.0103921128477903,3.29987985160032, 16.770175674567)); #585209=CARTESIAN_POINT('Ctrl Pts',(-0.940532194943093,3.0019209868773, 16.8081830079444)); #585210=CARTESIAN_POINT('Ctrl Pts',(-1.89145650273398,2.70396212215429, 16.8461903413218)); #585211=CARTESIAN_POINT('Ctrl Pts',(-2.6881724517932,1.91390949966241,16.8841976746992)); #585212=CARTESIAN_POINT('Ctrl Pts',(-2.99401171356589,0.965515570849051, 16.9222050080766)); #585213=CARTESIAN_POINT('Ctrl Pts',(-3.29985097533857,0.0171216420356902, 16.960212341454)); #585214=CARTESIAN_POINT('Ctrl Pts',(-3.11481354982473,-1.08961359309916, 16.9982196748314)); #585215=CARTESIAN_POINT('Ctrl Pts',(-2.51708896090651,-1.88695442741115, 17.0362270082088)); #585216=CARTESIAN_POINT('Ctrl Pts',(-1.9193643719883,-2.68429526172315, 17.0742343415862)); #585217=CARTESIAN_POINT('Ctrl Pts',(-0.908952619665728,-3.17224169521228, 17.1122416749637)); #585218=CARTESIAN_POINT('Ctrl Pts',(0.0871669527012596,-3.14462681073701, 17.1502490083411)); #585219=CARTESIAN_POINT('Ctrl Pts',(1.08328652506825,-3.11701192626175, 17.1882563417185)); #585220=CARTESIAN_POINT('Ctrl Pts',(2.06511391747966,-2.57383572382207, 17.2262636750959)); #585221=CARTESIAN_POINT('Ctrl Pts',(2.6177520250619,-1.74461561609168,17.2642710084733)); #585222=CARTESIAN_POINT('Ctrl Pts',(2.95785019170573,-1.23430654671334, 17.2876610429702)); #585223=CARTESIAN_POINT('Ctrl Pts',(3.13540254238041,-0.615664763467291, 17.3110510774672)); #585224=CARTESIAN_POINT('Ctrl Pts',(3.13825011965571,0.00146301858357948, 17.3344411119642)); #585225=CARTESIAN_POINT('Ctrl Pts',(3.1385813749323,0.00167606689432474, 16.7886609023379)); #585226=CARTESIAN_POINT('Ctrl Pts',(3.14053269581932,0.366543464528334, 16.8024935071738)); #585227=CARTESIAN_POINT('Ctrl Pts',(3.08121725697124,0.730797939126566, 16.8163261120097)); #585228=CARTESIAN_POINT('Ctrl Pts',(2.95766089962414,1.07177107839456,16.8301587168457)); #585229=CARTESIAN_POINT('Ctrl Pts',(2.61784109599023,2.0095571034793,16.8682028382493)); #585230=CARTESIAN_POINT('Ctrl Pts',(1.79208546654399,2.77123675938308,16.9062469596528)); #585231=CARTESIAN_POINT('Ctrl Pts',(0.829973736040782,3.03439258084156, 16.9442910810564)); #585232=CARTESIAN_POINT('Ctrl Pts',(-0.132137994462421,3.29754840230003, 16.98233520246)); #585233=CARTESIAN_POINT('Ctrl Pts',(-1.23060582602259,3.0621803893132,17.0203793238635)); #585234=CARTESIAN_POINT('Ctrl Pts',(-2.00041842680485,2.42791192105972, 17.0584234452671)); #585235=CARTESIAN_POINT('Ctrl Pts',(-2.77023102758712,1.79364345280623, 17.0964675666707)); #585236=CARTESIAN_POINT('Ctrl Pts',(-3.21138839759148,0.760474529286069, 17.1345116880743)); #585237=CARTESIAN_POINT('Ctrl Pts',(-3.13712881438293,-0.234208055484309, 17.1725558094778)); #585238=CARTESIAN_POINT('Ctrl Pts',(-3.06286923117438,-1.22889064025469, 17.2105999308814)); #585239=CARTESIAN_POINT('Ctrl Pts',(-2.47319269475294,-2.18508688627528, 17.248644052285)); #585240=CARTESIAN_POINT('Ctrl Pts',(-1.61773566711622,-2.69802279085432, 17.2866881736885)); #585241=CARTESIAN_POINT('Ctrl Pts',(-0.76227863947951,-3.21095869543337, 17.3247322950921)); #585242=CARTESIAN_POINT('Ctrl Pts',(0.358958879372473,-3.28063425857086, 17.3627764164957)); #585243=CARTESIAN_POINT('Ctrl Pts',(1.27133231278374,-2.87752776421565, 17.4008205378993)); #585244=CARTESIAN_POINT('Ctrl Pts',(2.18370574619501,-2.47442126986045, 17.4388646593028)); #585245=CARTESIAN_POINT('Ctrl Pts',(2.88721509416557,-1.59853271801255, 17.4769087807064)); #585246=CARTESIAN_POINT('Ctrl Pts',(3.08401845426004,-0.620703445342384, 17.51495290211)); #585247=CARTESIAN_POINT('Ctrl Pts',(3.12489918198555,-0.417585103059615, 17.5228555688526)); #585248=CARTESIAN_POINT('Ctrl Pts',(3.14391597837654,-0.210068105593013, 17.5307582355952)); #585249=CARTESIAN_POINT('Ctrl Pts',(3.14224514149666,-0.00224864387512761, 17.5386609023379)); #585250=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 16.124051007151)); #585251=CARTESIAN_POINT('',(3.1384377634285,-7.23539389673E-5,18.2886609023379)); #585252=CARTESIAN_POINT('',(3.14262478120164,-0.00216711906965229,18.0844411119642)); #585253=CARTESIAN_POINT('',(3.14154980926514,1.99000645443314E-10,16.124051007151)); #585254=CARTESIAN_POINT('',(3.07169836564184,0.658790089114503,18.313879451585)); #585255=CARTESIAN_POINT('Ctrl Pts',(3.13843734257202,-7.23637237550255E-5, 18.2886609023379)); #585256=CARTESIAN_POINT('Ctrl Pts',(3.13990061928093,0.221238178794897, 18.2970670854202)); #585257=CARTESIAN_POINT('Ctrl Pts',(3.11809265280788,0.442470403512261, 18.3054732685026)); #585258=CARTESIAN_POINT('Ctrl Pts',(3.07169836564184,0.658790089114501, 18.313879451585)); #585259=CARTESIAN_POINT('',(1.57077480926503,2.72066210745806,18.313879451585)); #585260=CARTESIAN_POINT('Ctrl Pts',(1.57077480926503,2.72066210745806,18.313879451585)); #585261=CARTESIAN_POINT('Ctrl Pts',(1.91946192737125,2.5193475059565,18.313879451585)); #585262=CARTESIAN_POINT('Ctrl Pts',(2.22621839667792,2.25286428343241,18.313879451585)); #585263=CARTESIAN_POINT('Ctrl Pts',(2.72762914395201,1.61195245191927,18.313879451585)); #585264=CARTESIAN_POINT('Ctrl Pts',(2.91494274079418,1.24155555674097,18.313879451585)); #585265=CARTESIAN_POINT('Ctrl Pts',(3.04289427873002,0.783745047518918, 18.313879451585)); #585266=CARTESIAN_POINT('Ctrl Pts',(3.05826161392635,0.721440774881168, 18.313879451585)); #585267=CARTESIAN_POINT('Ctrl Pts',(3.07169836564184,0.658790089114503, 18.313879451585)); #585268=CARTESIAN_POINT('',(-1.0793726629548,2.95111452644882,18.3138794515849)); #585269=CARTESIAN_POINT('Ctrl Pts',(-1.08118963110113,2.94963824861871, 18.313879451585)); #585270=CARTESIAN_POINT('Ctrl Pts',(-1.02754735142733,2.96930081787497, 18.313879451585)); #585271=CARTESIAN_POINT('Ctrl Pts',(-0.973321148270182,2.9875175224576, 18.313879451585)); #585272=CARTESIAN_POINT('Ctrl Pts',(-0.559294415507785,3.1141082915632, 18.313879451585)); #585273=CARTESIAN_POINT('Ctrl Pts',(-0.183212301633368,3.15862530286276, 18.313879451585)); #585274=CARTESIAN_POINT('Ctrl Pts',(0.456176878905867,3.11952029700139, 18.313879451585)); #585275=CARTESIAN_POINT('Ctrl Pts',(0.717471597194611,3.06997371906914, 18.313879451585)); #585276=CARTESIAN_POINT('Ctrl Pts',(1.1786979722647,2.92034351957403,18.313879451585)); #585277=CARTESIAN_POINT('Ctrl Pts',(1.38025073969482,2.83066123031154,18.313879451585)); #585278=CARTESIAN_POINT('Ctrl Pts',(1.57077480926532,2.7206621074579,18.313879451585)); #585279=CARTESIAN_POINT('Ctrl Pts',(3.14262478120164,-0.00216711906965229, 18.0844411119642)); #585280=CARTESIAN_POINT('Ctrl Pts',(3.13619539729102,0.803939047441443, 18.1151097444865)); #585281=CARTESIAN_POINT('Ctrl Pts',(2.81685012991075,1.6144731614651,18.1457783770088)); #585282=CARTESIAN_POINT('Ctrl Pts',(2.25667115242244,2.19148067576424,18.1764470095312)); #585283=CARTESIAN_POINT('Ctrl Pts',(1.56244687838888,2.90656038925561,18.2144543429086)); #585284=CARTESIAN_POINT('Ctrl Pts',(0.498340556735892,3.26298060760841, 18.252461676286)); #585285=CARTESIAN_POINT('Ctrl Pts',(-0.486274660953809,3.10844790379356, 18.2904690096635)); #585286=CARTESIAN_POINT('Ctrl Pts',(-0.688431063594321,3.076720001429,18.2982724903039)); #585287=CARTESIAN_POINT('Ctrl Pts',(-0.887236574143348,3.0234532347054, 18.3060759709444)); #585288=CARTESIAN_POINT('Ctrl Pts',(-1.0793726629548,2.95111452644882,18.3138794515849)); #585289=CARTESIAN_POINT('Ctrl Pts',(1.83658670077403,-2.97942197226418, 16.1240515597625)); #585290=CARTESIAN_POINT('Ctrl Pts',(2.78618117796146,-2.39406924775238, 16.162095681166)); #585291=CARTESIAN_POINT('Ctrl Pts',(4.07129556982109,-0.257832965794138, 16.2381839239732)); #585292=CARTESIAN_POINT('Ctrl Pts',(2.55666637361539,3.18289769857429,16.3523162881839)); #585293=CARTESIAN_POINT('Ctrl Pts',(-1.12522076442065,3.92156176270944, 16.4664486523946)); #585294=CARTESIAN_POINT('Ctrl Pts',(-3.85310418290991,1.34348173154855, 16.5805810166053)); #585295=CARTESIAN_POINT('Ctrl Pts',(-3.31935899647865,-2.37383080589438, 16.694713380816)); #585296=CARTESIAN_POINT('Ctrl Pts',(0.0251066919887215,-4.08042222274951, 16.8088457450267)); #585297=CARTESIAN_POINT('Ctrl Pts',(3.34814765036379,-2.33270235311535, 16.9229781092374)); #585298=CARTESIAN_POINT('Ctrl Pts',(3.83651124554415,1.39026030489733,17.0371104734482)); #585299=CARTESIAN_POINT('Ctrl Pts',(1.07658812469478,3.93602229312524,17.1512428376589)); #585300=CARTESIAN_POINT('Ctrl Pts',(-2.59482101588262,3.14935176035956, 17.2653752018696)); #585301=CARTESIAN_POINT('Ctrl Pts',(-4.06930133668239,-0.303808833505861, 17.3795075660803)); #585302=CARTESIAN_POINT('Ctrl Pts',(-2.09842539147896,-3.49970363985598, 17.493639930291)); #585303=CARTESIAN_POINT('Ctrl Pts',(1.649086877155,-3.73258028132716,17.6077722945018)); #585304=CARTESIAN_POINT('Ctrl Pts',(4.00042623950327,-0.805097889044338, 17.7219046587124)); #585305=CARTESIAN_POINT('Ctrl Pts',(2.96463865643817,2.80381746553527,17.8360370229232)); #585306=CARTESIAN_POINT('Ctrl Pts',(-0.58100341983456,4.03926364905909, 17.9501693871339)); #585307=CARTESIAN_POINT('Ctrl Pts',(-3.63513513735187,1.85395454572297, 18.0643017513446)); #585308=CARTESIAN_POINT('Ctrl Pts',(-3.61071497300167,-1.89934377009369, 18.1784341155553)); #585309=CARTESIAN_POINT('Ctrl Pts',(-0.530848533707648,-4.04791078394044, 18.292566479766)); #585310=CARTESIAN_POINT('Ctrl Pts',(3.00187207298658,-2.7623703443735,18.4066988439767)); #585311=CARTESIAN_POINT('Ctrl Pts',(3.65610468526816,-0.356751059959043, 18.4827870867839)); #585312=CARTESIAN_POINT('Ctrl Pts',(3.42217927080423,0.733958059681078, 18.5208312081874)); #585313=CARTESIAN_POINT('Ctrl Pts',(1.77388897228651,-2.87770993743322, 16.055067640895)); #585314=CARTESIAN_POINT('Ctrl Pts',(2.69106602507697,-2.31234008787306, 16.0931117622985)); #585315=CARTESIAN_POINT('Ctrl Pts',(3.93230895428557,-0.249031018349284, 16.1692000051057)); #585316=CARTESIAN_POINT('Ctrl Pts',(2.46938643775945,3.07423937338482,16.2833323693164)); #585317=CARTESIAN_POINT('Ctrl Pts',(-1.08680778524515,3.78768679291102, 16.3974647335271)); #585318=CARTESIAN_POINT('Ctrl Pts',(-3.7215662365963,1.29761771432751,16.5115970977378)); #585319=CARTESIAN_POINT('Ctrl Pts',(-3.2060421378441,-2.29279254952663, 16.6257294619485)); #585320=CARTESIAN_POINT('Ctrl Pts',(0.0242495887808903,-3.9411240464249, 16.7398618261592)); #585321=CARTESIAN_POINT('Ctrl Pts',(3.23384798654292,-2.25306814715075, 16.85399419037)); #585322=CARTESIAN_POINT('Ctrl Pts',(3.70553973884159,1.34279935245672,16.9681265545807)); #585323=CARTESIAN_POINT('Ctrl Pts',(1.03983536216244,3.80165366717912,17.0822589187914)); #585324=CARTESIAN_POINT('Ctrl Pts',(-2.506238563116,3.04183863234849,17.1963912830021)); #585325=CARTESIAN_POINT('Ctrl Pts',(-3.93038281365353,-0.293437353748599, 17.3105236472128)); #585326=CARTESIAN_POINT('Ctrl Pts',(-2.0267889804298,-3.38023013733594, 17.4246560114235)); #585327=CARTESIAN_POINT('Ctrl Pts',(1.59279005084482,-3.60515679478756, 17.5387883756343)); #585328=CARTESIAN_POINT('Ctrl Pts',(3.86385897371422,-0.777613314751103, 17.6529207398449)); #585329=CARTESIAN_POINT('Ctrl Pts',(2.86343129055585,2.70810024846106,17.7670531040557)); #585330=CARTESIAN_POINT('Ctrl Pts',(-0.561169028727404,3.90137055142423, 17.8811854682664)); #585331=CARTESIAN_POINT('Ctrl Pts',(-3.51103825690902,1.79066391718758, 17.9953178324771)); #585332=CARTESIAN_POINT('Ctrl Pts',(-3.48745175245323,-1.83450363616655, 18.1094501966878)); #585333=CARTESIAN_POINT('Ctrl Pts',(-0.512726338983489,-3.90972248887035, 18.2235825608985)); #585334=CARTESIAN_POINT('Ctrl Pts',(2.8993936261376,-2.66806805644652,18.3377149251092)); #585335=CARTESIAN_POINT('Ctrl Pts',(3.531291929663,-0.344572229101197,18.4138031679164)); #585336=CARTESIAN_POINT('Ctrl Pts',(3.30535230241676,0.708902069492218, 18.45184728932)); #585337=CARTESIAN_POINT('Ctrl Pts',(1.71119124379899,-2.77599790260225, 15.9860837220275)); #585338=CARTESIAN_POINT('Ctrl Pts',(2.59595087219249,-2.23061092799375, 16.024127843431)); #585339=CARTESIAN_POINT('Ctrl Pts',(3.79332233875004,-0.240229070904429, 16.1002160862382)); #585340=CARTESIAN_POINT('Ctrl Pts',(2.38210650190351,2.96558104819535,16.2143484504489)); #585341=CARTESIAN_POINT('Ctrl Pts',(-1.04839480606966,3.65381182311261, 16.3284808146596)); #585342=CARTESIAN_POINT('Ctrl Pts',(-3.59002829028269,1.25175369710647, 16.4426131788703)); #585343=CARTESIAN_POINT('Ctrl Pts',(-3.09272527920955,-2.21175429315887, 16.556745543081)); #585344=CARTESIAN_POINT('Ctrl Pts',(0.0233924855730612,-3.80182587010029, 16.6708779072918)); #585345=CARTESIAN_POINT('Ctrl Pts',(3.11954832272205,-2.17343394118615, 16.7850102715025)); #585346=CARTESIAN_POINT('Ctrl Pts',(3.57456823213903,1.29533840001611,16.8991426357132)); #585347=CARTESIAN_POINT('Ctrl Pts',(1.00308259963009,3.66728504123299,17.0132749999239)); #585348=CARTESIAN_POINT('Ctrl Pts',(-2.41765611034938,2.93432550433743, 17.1274073641346)); #585349=CARTESIAN_POINT('Ctrl Pts',(-3.79146429062468,-0.283065873991335, 17.2415397283453)); #585350=CARTESIAN_POINT('Ctrl Pts',(-1.95515256938064,-3.26075663481591, 17.355672092556)); #585351=CARTESIAN_POINT('Ctrl Pts',(1.53649322453463,-3.47773330824795, 17.4698044567668)); #585352=CARTESIAN_POINT('Ctrl Pts',(3.72729170792517,-0.750128740457872, 17.5839368209774)); #585353=CARTESIAN_POINT('Ctrl Pts',(2.76222392467353,2.61238303138684,17.6980691851882)); #585354=CARTESIAN_POINT('Ctrl Pts',(-0.541334637620248,3.76347745378937, 17.8122015493989)); #585355=CARTESIAN_POINT('Ctrl Pts',(-3.38694137646616,1.72737328865218, 17.9263339136096)); #585356=CARTESIAN_POINT('Ctrl Pts',(-3.36418853190479,-1.7696635022394, 18.0404662778203)); #585357=CARTESIAN_POINT('Ctrl Pts',(-0.494604144259334,-3.77153419380027, 18.154598642031)); #585358=CARTESIAN_POINT('Ctrl Pts',(2.79691517928862,-2.57376576851955, 18.2687310062417)); #585359=CARTESIAN_POINT('Ctrl Pts',(3.40647917405784,-0.332393398243351, 18.3448192490489)); #585360=CARTESIAN_POINT('Ctrl Pts',(3.1885253340293,0.683846079303359,18.3828633704525)); #585361=CARTESIAN_POINT('Ctrl Pts',(1.64849351531147,-2.67428586777128, 15.91709980316)); #585362=CARTESIAN_POINT('Ctrl Pts',(2.500835719308,-2.14888176811444,15.9551439245635)); #585363=CARTESIAN_POINT('Ctrl Pts',(3.65433572321452,-0.231427123459575, 16.0312321673707)); #585364=CARTESIAN_POINT('Ctrl Pts',(2.29482656604758,2.85692272300588,16.1453645315814)); #585365=CARTESIAN_POINT('Ctrl Pts',(-1.00998182689416,3.51993685331419, 16.2594968957921)); #585366=CARTESIAN_POINT('Ctrl Pts',(-3.45849034396908,1.20588967988543, 16.3736292600028)); #585367=CARTESIAN_POINT('Ctrl Pts',(-2.979408420575,-2.13071603679112,16.4877616242135)); #585368=CARTESIAN_POINT('Ctrl Pts',(0.0225353823652307,-3.66252769377569, 16.6018939884242)); #585369=CARTESIAN_POINT('Ctrl Pts',(3.00524865890118,-2.09379973522155, 16.716026352635)); #585370=CARTESIAN_POINT('Ctrl Pts',(3.44359672543647,1.2478774475755,16.8301587168457)); #585371=CARTESIAN_POINT('Ctrl Pts',(0.96632983709775,3.53291641528686,16.9442910810564)); #585372=CARTESIAN_POINT('Ctrl Pts',(-2.32907365758277,2.82681237632636, 17.0584234452671)); #585373=CARTESIAN_POINT('Ctrl Pts',(-3.65254576759582,-0.272694394234074, 17.1725558094778)); #585374=CARTESIAN_POINT('Ctrl Pts',(-1.88351615833149,-3.14128313229587, 17.2866881736885)); #585375=CARTESIAN_POINT('Ctrl Pts',(1.48019639822445,-3.35030982170834, 17.4008205378993)); #585376=CARTESIAN_POINT('Ctrl Pts',(3.59072444213613,-0.722644166164638, 17.51495290211)); #585377=CARTESIAN_POINT('Ctrl Pts',(2.66101655879121,2.51666581431262,17.6290852663207)); #585378=CARTESIAN_POINT('Ctrl Pts',(-0.521500246513092,3.6255843561545, 17.7432176305314)); #585379=CARTESIAN_POINT('Ctrl Pts',(-3.2628444960233,1.66408266011678,17.8573499947421)); #585380=CARTESIAN_POINT('Ctrl Pts',(-3.24092531135635,-1.70482336831226, 17.9714823589528)); #585381=CARTESIAN_POINT('Ctrl Pts',(-0.476481949535174,-3.63334589873018, 18.0856147231635)); #585382=CARTESIAN_POINT('Ctrl Pts',(2.69443673243964,-2.47946348059257, 18.1997470873742)); #585383=CARTESIAN_POINT('Ctrl Pts',(3.28166641845268,-0.320214567385505, 18.2758353301814)); #585384=CARTESIAN_POINT('Ctrl Pts',(3.07169836564183,0.658790089114499, 18.313879451585)); #585385=CARTESIAN_POINT('Ctrl Pts',(1.83658619855958,-2.97942115744861, 16.124051007151)); #585386=CARTESIAN_POINT('Ctrl Pts',(1.89215985003611,-2.94046286380568, 16.124051007151)); #585387=CARTESIAN_POINT('Ctrl Pts',(1.94653484304246,-2.89975409891685, 16.124051007151)); #585388=CARTESIAN_POINT('Ctrl Pts',(1.99966975293879,-2.85736659941074, 16.124051007151)); #585389=CARTESIAN_POINT('Ctrl Pts',(2.02837441557352,-2.83446792741474, 16.124051007151)); #585390=CARTESIAN_POINT('Ctrl Pts',(2.05671706358885,-2.81107925408046, 16.124051007151)); #585391=CARTESIAN_POINT('Ctrl Pts',(2.08469036598821,-2.78721139969541, 16.124051007151)); #585392=CARTESIAN_POINT('Ctrl Pts',(2.09994254159134,-2.77419768035854, 16.124051007151)); #585393=CARTESIAN_POINT('Ctrl Pts',(2.11508747355436,-2.76103910516192, 16.124051007151)); #585394=CARTESIAN_POINT('Ctrl Pts',(2.13010446571208,-2.74775495647365, 16.124051007151)); #585395=CARTESIAN_POINT('Ctrl Pts',(2.21275000662401,-2.67464606473515, 16.124051007151)); #585396=CARTESIAN_POINT('Ctrl Pts',(2.2916415100986,-2.59763872070582,16.124051007151)); #585397=CARTESIAN_POINT('Ctrl Pts',(2.36664851057009,-2.5171160537863,16.124051007151)); #585398=CARTESIAN_POINT('Ctrl Pts',(2.44327880067379,-2.43485072805431, 16.124051007151)); #585399=CARTESIAN_POINT('Ctrl Pts',(2.51585513965461,-2.34891556299222, 16.124051007151)); #585400=CARTESIAN_POINT('Ctrl Pts',(2.58419781905241,-2.25968361709366, 16.124051007151)); #585401=CARTESIAN_POINT('Ctrl Pts',(2.65225631749946,-2.17082271341126, 16.124051007151)); #585402=CARTESIAN_POINT('Ctrl Pts',(2.71611663587206,-2.07869154495977, 16.124051007151)); #585403=CARTESIAN_POINT('Ctrl Pts',(2.77555532207805,-1.98363569299008, 16.124051007151)); #585404=CARTESIAN_POINT('Ctrl Pts',(2.81717591891057,-1.91707498070094, 16.124051007151)); #585405=CARTESIAN_POINT('Ctrl Pts',(2.856627560429,-1.84907975558213,16.124051007151)); #585406=CARTESIAN_POINT('Ctrl Pts',(2.89381862766435,-1.77976527303981, 16.124051007151)); #585407=CARTESIAN_POINT('Ctrl Pts',(2.90358706192063,-1.76155945061914, 16.124051007151)); #585408=CARTESIAN_POINT('Ctrl Pts',(2.91319947471766,-1.74326260806439, 16.124051007151)); #585409=CARTESIAN_POINT('Ctrl Pts',(2.92265405537008,-1.72487682305488, 16.124051007151)); #585410=CARTESIAN_POINT('Ctrl Pts',(2.93036451978628,-1.7098827216461,16.124051007151)); #585411=CARTESIAN_POINT('Ctrl Pts',(2.9379662111014,-1.69483679481584,16.124051007151)); #585412=CARTESIAN_POINT('Ctrl Pts',(2.94545589912988,-1.67974562055623, 16.124051007151)); #585413=CARTESIAN_POINT('Ctrl Pts',(2.99468877117078,-1.58054497982919, 16.124051007151)); #585414=CARTESIAN_POINT('Ctrl Pts',(3.03912313093138,-1.47931862906617, 16.124051007151)); #585415=CARTESIAN_POINT('Ctrl Pts',(3.07858762606338,-1.37642057002605, 16.124051007151)); #585416=CARTESIAN_POINT('Ctrl Pts',(3.11750833788834,-1.27494034863077, 16.124051007151)); #585417=CARTESIAN_POINT('Ctrl Pts',(3.1515954195832,-1.17183335265399,16.124051007151)); #585418=CARTESIAN_POINT('Ctrl Pts',(3.18063671070418,-1.06742474212113, 16.124051007151)); #585419=CARTESIAN_POINT('Ctrl Pts',(3.20916981544692,-0.964843152068247, 16.124051007151)); #585420=CARTESIAN_POINT('Ctrl Pts',(3.23283233980177,-0.861004387878595, 16.124051007151)); #585421=CARTESIAN_POINT('Ctrl Pts',(3.25137384721072,-0.7562140746629,16.124051007151)); #585422=CARTESIAN_POINT('Ctrl Pts',(3.25967112214571,-0.709320689993696, 16.124051007151)); #585423=CARTESIAN_POINT('Ctrl Pts',(3.26694221467541,-0.662236780705958, 16.124051007151)); #585424=CARTESIAN_POINT('Ctrl Pts',(3.27316126747323,-0.614990116551343, 16.124051007151)); #585425=CARTESIAN_POINT('Ctrl Pts',(3.27842103343564,-0.575031234702829, 16.124051007151)); #585426=CARTESIAN_POINT('Ctrl Pts',(3.28292824757364,-0.534955936578829, 16.124051007151)); #585427=CARTESIAN_POINT('Ctrl Pts',(3.28666602562668,-0.494781289354301, 16.124051007151)); #585428=CARTESIAN_POINT('Ctrl Pts',(3.28814006086123,-0.47893796085698, 16.124051007151)); #585429=CARTESIAN_POINT('Ctrl Pts',(3.28949438972728,-0.46307865766969, 16.124051007151)); #585430=CARTESIAN_POINT('Ctrl Pts',(3.29072693505982,-0.447219949637573, 16.124051007151)); #585431=CARTESIAN_POINT('Ctrl Pts',(3.29697080996258,-0.366882304881134, 16.124051007151)); #585432=CARTESIAN_POINT('Ctrl Pts',(3.30010023844037,-0.286464189319955, 16.124051007151)); #585433=CARTESIAN_POINT('Ctrl Pts',(3.3000315586916,-0.206099361049728, 16.124051007151)); #585434=CARTESIAN_POINT('Ctrl Pts',(3.2999402523377,-0.0992582676844699, 16.124051007151)); #585435=CARTESIAN_POINT('Ctrl Pts',(3.29419850969426,0.00748921634354088, 16.124051007151)); #585436=CARTESIAN_POINT('Ctrl Pts',(3.28303919283747,0.113781382803308, 16.124051007151)); #585437=CARTESIAN_POINT('Ctrl Pts',(3.27176873039012,0.221132207760332, 16.124051007151)); #585438=CARTESIAN_POINT('Ctrl Pts',(3.25497183359767,0.328019467597519, 16.124051007151)); #585439=CARTESIAN_POINT('Ctrl Pts',(3.23283616428531,0.434057472339201, 16.124051007151)); #585440=CARTESIAN_POINT('Ctrl Pts',(3.21701129861958,0.509864404436948, 16.124051007151)); #585441=CARTESIAN_POINT('Ctrl Pts',(3.19845674800975,0.585237051476139, 16.124051007151)); #585442=CARTESIAN_POINT('Ctrl Pts',(3.17722673202067,0.660026711956177, 16.124051007151)); #585443=CARTESIAN_POINT('Ctrl Pts',(3.16882872771667,0.689611423099539, 16.124051007151)); #585444=CARTESIAN_POINT('Ctrl Pts',(3.16001195111445,0.71910482249221,16.124051007151)); #585445=CARTESIAN_POINT('Ctrl Pts',(3.15077929558574,0.748497328616704, 16.124051007151)); #585446=CARTESIAN_POINT('Ctrl Pts',(3.14420663032776,0.769421655669574, 16.124051007151)); #585447=CARTESIAN_POINT('Ctrl Pts',(3.1374220633295,0.790297894203342,16.124051007151)); #585448=CARTESIAN_POINT('Ctrl Pts',(3.13043494481488,0.811098526616193, 16.124051007151)); #585449=CARTESIAN_POINT('Ctrl Pts',(3.09622816365857,0.912932019116787, 16.124051007151)); #585450=CARTESIAN_POINT('Ctrl Pts',(3.0571356860537,1.0130712704444,16.124051007151)); #585451=CARTESIAN_POINT('Ctrl Pts',(3.01335093299407,1.11113927630149,16.124051007151)); #585452=CARTESIAN_POINT('Ctrl Pts',(2.97409533651081,1.19906298939408,16.124051007151)); #585453=CARTESIAN_POINT('Ctrl Pts',(2.93106710559657,1.28532197934821,16.124051007151)); #585454=CARTESIAN_POINT('Ctrl Pts',(2.88437622976558,1.3696243751211,16.124051007151)); #585455=CARTESIAN_POINT('Ctrl Pts',(2.86717223458524,1.40068693252133,16.124051007151)); #585456=CARTESIAN_POINT('Ctrl Pts',(2.84947072363823,1.43148362369134,16.124051007151)); #585457=CARTESIAN_POINT('Ctrl Pts',(2.83127636952416,1.46199898670638,16.124051007151)); #585458=CARTESIAN_POINT('Ctrl Pts',(2.82148273731608,1.47842475484255,16.124051007151)); #585459=CARTESIAN_POINT('Ctrl Pts',(2.81154862236839,1.4947650159177,16.124051007151)); #585460=CARTESIAN_POINT('Ctrl Pts',(2.80147921311222,1.51101073392397,16.124051007151)); #585461=CARTESIAN_POINT('Ctrl Pts',(2.74581722414915,1.60081431160736,16.124051007151)); #585462=CARTESIAN_POINT('Ctrl Pts',(2.68598627143523,1.68779556160269,16.124051007151)); #585463=CARTESIAN_POINT('Ctrl Pts',(2.62214607261027,1.77157558616152,16.124051007151)); #585464=CARTESIAN_POINT('Ctrl Pts',(2.55969098963038,1.85353786826884,16.124051007151)); #585465=CARTESIAN_POINT('Ctrl Pts',(2.49339819324477,1.93243691037874,16.124051007151)); #585466=CARTESIAN_POINT('Ctrl Pts',(2.42338487830086,2.00788018792936,16.124051007151)); #585467=CARTESIAN_POINT('Ctrl Pts',(2.40613695031394,2.02646579867117,16.124051007151)); #585468=CARTESIAN_POINT('Ctrl Pts',(2.38866309151567,2.04484147533165,16.124051007151)); #585469=CARTESIAN_POINT('Ctrl Pts',(2.37096480130085,2.06300092528242,16.124051007151)); #585470=CARTESIAN_POINT('Ctrl Pts',(3.49669267738282,0.00186730564810401, 16.9956121063289)); #585471=CARTESIAN_POINT('Ctrl Pts',(3.49288753611514,-0.70963637435034, 16.9714005897612)); #585472=CARTESIAN_POINT('Ctrl Pts',(3.27996747155483,-1.42323207544089, 16.9471890731936)); #585473=CARTESIAN_POINT('Ctrl Pts',(2.87570063674174,-2.00349467381975, 16.922977556626)); #585474=CARTESIAN_POINT('Ctrl Pts',(2.24046671820103,-2.91527484574811, 16.8849334352224)); #585475=CARTESIAN_POINT('Ctrl Pts',(1.13278670166186,-3.49784797630887, 16.8468893138189)); #585476=CARTESIAN_POINT('Ctrl Pts',(0.0215358977504794,-3.50470271656412, 16.8088451924153)); #585477=CARTESIAN_POINT('Ctrl Pts',(-1.08971490616089,-3.51155745681938, 16.7708010710117)); #585478=CARTESIAN_POINT('Ctrl Pts',(-2.20453649744446,-2.94269380676914, 16.7327569496081)); #585479=CARTESIAN_POINT('Ctrl Pts',(-2.85090479983077,-2.03871006160015, 16.6947128282046)); #585480=CARTESIAN_POINT('Ctrl Pts',(-3.49727310221707,-1.13472631643115, 16.656668706801)); #585481=CARTESIAN_POINT('Ctrl Pts',(-3.67518811570609,0.104377523856602, 16.6186245853974)); #585482=CARTESIAN_POINT('Ctrl Pts',(-3.30949851036887,1.15360933168865, 16.5805804639939)); #585483=CARTESIAN_POINT('Ctrl Pts',(-2.94380890503164,2.2028411395207,16.5425363425903)); #585484=CARTESIAN_POINT('Ctrl Pts',(-2.03451468086817,3.06220091489704, 16.5044922211867)); #585485=CARTESIAN_POINT('Ctrl Pts',(-0.9662198802624,3.36877015889664,16.4664480997831)); #585486=CARTESIAN_POINT('Ctrl Pts',(0.102074920343371,3.67533940289624, 16.4284039783796)); #585487=CARTESIAN_POINT('Ctrl Pts',(1.32937029739144,3.42911811551908,16.390359856976)); #585488=CARTESIAN_POINT('Ctrl Pts',(2.19545611624839,2.73255251792617,16.3523157355724)); #585489=CARTESIAN_POINT('Ctrl Pts',(3.00516947623622,2.08132551686146,16.3167478591579)); #585490=CARTESIAN_POINT('Ctrl Pts',(3.49916436671901,1.03647101301514,16.2811799827434)); #585491=CARTESIAN_POINT('Ctrl Pts',(3.50378688793346,-0.00181106164791798, 16.2456121063289)); #585492=CARTESIAN_POINT('Ctrl Pts',(3.07169836564184,0.658790089114501, 18.313879451585)); #585493=CARTESIAN_POINT('Ctrl Pts',(3.09948672514699,0.56094035811476,18.3138628738418)); #585494=CARTESIAN_POINT('Ctrl Pts',(3.12210615386819,0.461889142831178, 18.3138451122158)); #585495=CARTESIAN_POINT('Ctrl Pts',(3.13973720232315,0.36199781193028,18.3138261374291)); #585496=CARTESIAN_POINT('Ctrl Pts',(3.15769542828677,0.260252808125917, 18.3138068105292)); #585497=CARTESIAN_POINT('Ctrl Pts',(3.17047847189138,0.157635514392792, 18.3137862250258)); #585498=CARTESIAN_POINT('Ctrl Pts',(3.17822643044008,0.0545071814366362, 18.3137643499807)); #585499=CARTESIAN_POINT('Ctrl Pts',(3.1861029150987,-0.050331883885189, 18.3137421120639)); #585500=CARTESIAN_POINT('Ctrl Pts',(3.18877592820569,-0.155699904605395, 18.3137185414678)); #585501=CARTESIAN_POINT('Ctrl Pts',(3.18634000468336,-0.261226787175135, 18.3136936056888)); #585502=CARTESIAN_POINT('Ctrl Pts',(3.18545806517412,-0.299433375424603, 18.3136845775529)); #585503=CARTESIAN_POINT('Ctrl Pts',(3.18390592809865,-0.337660814735819, 18.313675370463)); #585504=CARTESIAN_POINT('Ctrl Pts',(3.18168640091088,-0.375891506873506, 18.3136659828767)); #585505=CARTESIAN_POINT('Ctrl Pts',(3.17539908019635,-0.484188726178672, 18.3136393903815)); #585506=CARTESIAN_POINT('Ctrl Pts',(3.16379237726326,-0.591960737539302, 18.3136114861663)); #585507=CARTESIAN_POINT('Ctrl Pts',(3.1470556990264,-0.698802318222372, 18.3135822224676)); #585508=CARTESIAN_POINT('Ctrl Pts',(3.13040038082277,-0.805124522573343, 18.313553101025)); #585509=CARTESIAN_POINT('Ctrl Pts',(3.10866465573229,-0.910526234868536, 18.3135226332842)); #585510=CARTESIAN_POINT('Ctrl Pts',(3.081981382689,-1.01461839078732,18.3134907721748)); #585511=CARTESIAN_POINT('Ctrl Pts',(3.05510259083711,-1.11947327076461, 18.3134586776066)); #585512=CARTESIAN_POINT('Ctrl Pts',(3.02320341394098,-1.22300026871316, 18.3134251691755)); #585513=CARTESIAN_POINT('Ctrl Pts',(2.98636666562221,-1.32479827598105, 18.3133901987689)); #585514=CARTESIAN_POINT('Ctrl Pts',(2.94952991730344,-1.42659628324895, 18.3133552283624)); #585515=CARTESIAN_POINT('Ctrl Pts',(2.90775547922652,-1.52666626636195, 18.3133187959804)); #585516=CARTESIAN_POINT('Ctrl Pts',(2.86107485570497,-1.62458940788037, 18.3132808535104)); #585517=CARTESIAN_POINT('Ctrl Pts',(2.81385850477636,-1.72363635852426, 18.3132424755958)); #585518=CARTESIAN_POINT('Ctrl Pts',(2.76185747909841,-1.81999259688256, 18.3132027420494)); #585519=CARTESIAN_POINT('Ctrl Pts',(2.70520716145139,-1.91326379952785, 18.3131615901987)); #585520=CARTESIAN_POINT('Ctrl Pts',(2.64895519612123,-2.00587914001362, 18.3131207277185)); #585521=CARTESIAN_POINT('Ctrl Pts',(2.58811888230296,-2.09545357734137, 18.3130784668102)); #585522=CARTESIAN_POINT('Ctrl Pts',(2.52278122568432,-2.18158945736802, 18.313034746114)); #585523=CARTESIAN_POINT('Ctrl Pts',(2.50138858385768,-2.20979178547703, 18.3130204312267)); #585524=CARTESIAN_POINT('Ctrl Pts',(2.47951300629633,-2.23762534401917, 18.3130059598476)); #585525=CARTESIAN_POINT('Ctrl Pts',(2.45715629767528,-2.26507565283712, 18.3129913298231)); #585526=CARTESIAN_POINT('Ctrl Pts',(2.38943968765183,-2.34822035399845, 18.3129470166961)); #585527=CARTESIAN_POINT('Ctrl Pts',(2.31731216846123,-2.42785148426232, 18.3129012481023)); #585528=CARTESIAN_POINT('Ctrl Pts',(2.24115458976056,-2.50385589279324, 18.3128539641938)); #585529=CARTESIAN_POINT('Ctrl Pts',(2.19583326437802,-2.54908606670771, 18.3128258255707)); #585530=CARTESIAN_POINT('Ctrl Pts',(2.14908387538874,-2.59303125349991, 18.3127971503091)); #585531=CARTESIAN_POINT('Ctrl Pts',(2.10098037214153,-2.63566160771841, 18.3127679257962)); #585532=CARTESIAN_POINT('Ctrl Pts',(2.01989347652605,-2.70752255025312, 18.3127186627516)); #585533=CARTESIAN_POINT('Ctrl Pts',(1.9353367515444,-2.77531635014735,18.3126680654504)); #585534=CARTESIAN_POINT('Ctrl Pts',(1.84774456000939,-2.8389716332409,18.3126160620018)); #585535=CARTESIAN_POINT('Ctrl Pts',(1.75858573015443,-2.90376542884354, 18.3125631284407)); #585536=CARTESIAN_POINT('Ctrl Pts',(1.66628125824312,-2.96427181068222, 18.3125087379828)); #585537=CARTESIAN_POINT('Ctrl Pts',(1.5712443126537,-3.02038332255174,18.3124528148104)); #585538=CARTESIAN_POINT('Ctrl Pts',(1.47501793909359,-3.07719709396129, 18.3123961917355)); #585539=CARTESIAN_POINT('Ctrl Pts',(1.37598953523807,-3.12950579627697, 18.312337997341)); #585540=CARTESIAN_POINT('Ctrl Pts',(1.27455271384306,-3.17715788828015, 18.3122781529264)); #585541=CARTESIAN_POINT('Ctrl Pts',(1.1921461516537,-3.21587011357577,18.3122295357438)); #585542=CARTESIAN_POINT('Ctrl Pts',(1.10814922325215,-3.25150840927214, 18.3121798295771)); #585543=CARTESIAN_POINT('Ctrl Pts',(1.02276137037514,-3.2839690096504,18.3121289922301)); #585544=CARTESIAN_POINT('Ctrl Pts',(0.916477915690889,-3.32437317292519, 18.3120657142732)); #585545=CARTESIAN_POINT('Ctrl Pts',(0.808524752464887,-3.35967084910363, 18.3120009679371)); #585546=CARTESIAN_POINT('Ctrl Pts',(0.699330282149988,-3.38975166233564, 18.3119346609001)); #585547=CARTESIAN_POINT('Ctrl Pts',(0.589779838635767,-3.41993053880559, 18.3118681377027)); #585548=CARTESIAN_POINT('Ctrl Pts',(0.478979150907185,-3.44485891466822, 18.3118000436121)); #585549=CARTESIAN_POINT('Ctrl Pts',(0.367332431792537,-3.4643817509362, 18.3117302854009)); #585550=CARTESIAN_POINT('Ctrl Pts',(0.278305826030826,-3.47994917523279, 18.3116746605083)); #585551=CARTESIAN_POINT('Ctrl Pts',(0.188740568735725,-3.49207878378274, 18.3116179775015)); #585552=CARTESIAN_POINT('Ctrl Pts',(0.0988340980891243,-3.50066939859337, 18.311560189113)); #585553=CARTESIAN_POINT('Ctrl Pts',(3.14224514149666,-0.00224864387512761, 17.5386609023379)); #585554=CARTESIAN_POINT('Ctrl Pts',(3.1358724250832,0.790392762758331,17.5688023569988)); #585555=CARTESIAN_POINT('Ctrl Pts',(2.82855141249783,1.58743419427067,17.5989438116597)); #585556=CARTESIAN_POINT('Ctrl Pts',(2.28554840513132,2.16160057454006,17.6290852663207)); #585557=CARTESIAN_POINT('Ctrl Pts',(1.60017762368978,2.88630532825992,17.6671293877243)); #585558=CARTESIAN_POINT('Ctrl Pts',(0.539338688588343,3.25594484220722, 17.7051735091278)); #585559=CARTESIAN_POINT('Ctrl Pts',(-0.447971487214078,3.11384764984124, 17.7432176305314)); #585560=CARTESIAN_POINT('Ctrl Pts',(-1.4352816630165,2.97175045747527,17.781261751935)); #585561=CARTESIAN_POINT('Ctrl Pts',(-2.3490630795199,2.31791655879604,17.8193058733385)); #585562=CARTESIAN_POINT('Ctrl Pts',(-2.80230059032711,1.42951527138491, 17.8573499947421)); #585563=CARTESIAN_POINT('Ctrl Pts',(-3.25553810113433,0.541113983973781, 17.8953941161457)); #585564=CARTESIAN_POINT('Ctrl Pts',(-3.24823170624534,-0.58185469216925, 17.9334382375492)); #585565=CARTESIAN_POINT('Ctrl Pts',(-2.78383794849732,-1.46475945197708, 17.9714823589528)); #585566=CARTESIAN_POINT('Ctrl Pts',(-2.3194441907493,-2.34766421178491, 18.0095264803564)); #585567=CARTESIAN_POINT('Ctrl Pts',(-1.39796307014224,-2.99050505525754, 18.04757060176)); #585568=CARTESIAN_POINT('Ctrl Pts',(-0.408736062842915,-3.1196117406376, 18.0856147231635)); #585569=CARTESIAN_POINT('Ctrl Pts',(0.580490944456428,-3.24871842601765, 18.1236588445671)); #585570=CARTESIAN_POINT('Ctrl Pts',(1.63746383844805,-2.86409095330511, 18.1617029659707)); #585571=CARTESIAN_POINT('Ctrl Pts',(2.31275770694711,-2.13196498864707, 18.1997470873743)); #585572=CARTESIAN_POINT('Ctrl Pts',(2.83883945847313,-1.56160869223786, 18.2293850256955)); #585573=CARTESIAN_POINT('Ctrl Pts',(3.13327822285973,-0.780353752402233, 18.2590229640167)); #585574=CARTESIAN_POINT('Ctrl Pts',(3.13843734257202,-7.23637237550255E-5, 18.2886609023379)); #585575=CARTESIAN_POINT('Ctrl Pts',(-2.37141664527353,2.06051459651724, 16.1240510071513)); #585576=CARTESIAN_POINT('Ctrl Pts',(-3.0275040501623,1.30543308903905,16.1620583405287)); #585577=CARTESIAN_POINT('Ctrl Pts',(-3.55664739305144,-0.866067985367731, 16.2380730072835)); #585578=CARTESIAN_POINT('Ctrl Pts',(-1.34363573278971,-3.40804878944336, 16.3520950074157)); #585579=CARTESIAN_POINT('Ctrl Pts',(2.00525164410097,-3.0628357256803,16.466117007548)); #585580=CARTESIAN_POINT('Ctrl Pts',(3.65920629018368,-0.132399249562929, 16.5801390076802)); #585581=CARTESIAN_POINT('Ctrl Pts',(2.22065744589257,2.91161006550824,16.6941610078124)); #585582=CARTESIAN_POINT('Ctrl Pts',(-1.09474055367461,3.49401474464567, 16.8081830079446)); #585583=CARTESIAN_POINT('Ctrl Pts',(-3.48488840085194,1.12385687717039, 16.9222050080769)); #585584=CARTESIAN_POINT('Ctrl Pts',(-2.92977612431047,-2.1963488282337, 17.0362270082091)); #585585=CARTESIAN_POINT('Ctrl Pts',(0.101459132656836,-3.66018812870091, 17.1502490083413)); #585586=CARTESIAN_POINT('Ctrl Pts',(3.04694130989064,-2.03065952138212, 17.2642710084735)); #585587=CARTESIAN_POINT('Ctrl Pts',(3.41728777814984,1.31513251768073,17.3782930086058)); #585588=CARTESIAN_POINT('Ctrl Pts',(0.899489265700557,3.54939288504627, 17.492315008738)); #585589=CARTESIAN_POINT('Ctrl Pts',(-2.37850861128204,2.78392400966886, 17.6063370088703)); #585590=CARTESIAN_POINT('Ctrl Pts',(-3.64631452325559,-0.334502474205637, 17.7203590090024)); #585591=CARTESIAN_POINT('Ctrl Pts',(-1.83238303248882,-3.17001082279607, 17.8343810091347)); #585592=CARTESIAN_POINT('Ctrl Pts',(1.53012805894512,-3.32678539279723, 17.9484030092669)); #585593=CARTESIAN_POINT('Ctrl Pts',(3.59957987928372,-0.671077454986942, 18.0624250093992)); #585594=CARTESIAN_POINT('Ctrl Pts',(2.6265532000416,2.5501401709025,18.1764470095314)); #585595=CARTESIAN_POINT('Ctrl Pts',(-0.565765764917012,3.61940082596093, 18.2904690096636)); #585596=CARTESIAN_POINT('Ctrl Pts',(-3.28113810609616,1.62294394801373, 18.4044910097958)); #585597=CARTESIAN_POINT('Ctrl Pts',(-3.23971342645715,-0.611713428272497, 18.4805056765507)); #585598=CARTESIAN_POINT('Ctrl Pts',(-2.76464558539531,-1.49200276262688, 18.5185130099281)); #585599=CARTESIAN_POINT('Ctrl Pts',(-2.46160998518763,2.13888324114227, 16.0550667504322)); #585600=CARTESIAN_POINT('Ctrl Pts',(-3.14265071036277,1.35508331816329, 16.0930740838097)); #585601=CARTESIAN_POINT('Ctrl Pts',(-3.69191924269181,-0.89900760846153, 16.1690887505645)); #585602=CARTESIAN_POINT('Ctrl Pts',(-1.39473893608126,-3.53766891683166, 16.2831107506967)); #585603=CARTESIAN_POINT('Ctrl Pts',(2.08151845080485,-3.17932618149904, 16.3971327508289)); #585604=CARTESIAN_POINT('Ctrl Pts',(3.79837883126886,-0.137434860459234, 16.5111547509612)); #585605=CARTESIAN_POINT('Ctrl Pts',(2.30511689571626,3.02234887556209,16.6251767510934)); #585606=CARTESIAN_POINT('Ctrl Pts',(-1.13637739459444,3.62690446079299, 16.7391987512256)); #585607=CARTESIAN_POINT('Ctrl Pts',(-3.61743100267801,1.16660112191301, 16.8532207513578)); #585608=CARTESIAN_POINT('Ctrl Pts',(-3.04120584646803,-2.27988372826091, 16.9672427514901)); #585609=CARTESIAN_POINT('Ctrl Pts',(0.105317988921666,-3.79939800532447, 17.0812647516223)); #585610=CARTESIAN_POINT('Ctrl Pts',(3.16282725220933,-2.10789267211329, 17.1952867517545)); #585611=CARTESIAN_POINT('Ctrl Pts',(3.54725930414312,1.36515165031949,17.3093087518868)); #585612=CARTESIAN_POINT('Ctrl Pts',(0.933700025324052,3.68438882738234, 17.423330752019)); #585613=CARTESIAN_POINT('Ctrl Pts',(-2.46897168370121,2.88980646823094, 17.5373527521512)); #585614=CARTESIAN_POINT('Ctrl Pts',(-3.78499673042221,-0.347224784248795, 17.6513747522834)); #585615=CARTESIAN_POINT('Ctrl Pts',(-1.90207502108186,-3.29057752593357, 17.7653967524157)); #585616=CARTESIAN_POINT('Ctrl Pts',(1.58832423830931,-3.45331478631539, 17.8794187525479)); #585617=CARTESIAN_POINT('Ctrl Pts',(3.73648461745479,-0.696600899807623, 17.9934407526802)); #585618=CARTESIAN_POINT('Ctrl Pts',(2.72645029838694,2.64713100471604,18.1074627528124)); #585619=CARTESIAN_POINT('Ctrl Pts',(-0.587283827105642,3.75705941744894, 18.2214847529446)); #585620=CARTESIAN_POINT('Ctrl Pts',(-3.4059313648841,1.68467023605843,18.3355067530768)); #585621=CARTESIAN_POINT('Ctrl Pts',(-3.36293115843099,-0.63497904957598, 18.4115214198317)); #585622=CARTESIAN_POINT('Ctrl Pts',(-2.86979481017416,-1.5487488951262, 18.4495287532091)); #585623=CARTESIAN_POINT('Ctrl Pts',(-2.55180332510172,2.2172518857673,15.9860824937132)); #585624=CARTESIAN_POINT('Ctrl Pts',(-3.25779737056325,1.40473354728754, 16.0240898270906)); #585625=CARTESIAN_POINT('Ctrl Pts',(-3.82719109233218,-0.931947231555327, 16.1001044938455)); #585626=CARTESIAN_POINT('Ctrl Pts',(-1.44584213937281,-3.66728904421997, 16.2141264939777)); #585627=CARTESIAN_POINT('Ctrl Pts',(2.15778525750874,-3.29581663731778, 16.3281484941099)); #585628=CARTESIAN_POINT('Ctrl Pts',(3.93755137235403,-0.142470471355539, 16.4421704942421)); #585629=CARTESIAN_POINT('Ctrl Pts',(2.38957634553994,3.13308768561594,16.5561924943744)); #585630=CARTESIAN_POINT('Ctrl Pts',(-1.17801423551428,3.75979417694032, 16.6702144945066)); #585631=CARTESIAN_POINT('Ctrl Pts',(-3.74997360450408,1.20934536665562, 16.7842364946389)); #585632=CARTESIAN_POINT('Ctrl Pts',(-3.1526355686256,-2.36341862828811, 16.8982584947711)); #585633=CARTESIAN_POINT('Ctrl Pts',(0.1091768451865,-3.93860788194803,17.0122804949033)); #585634=CARTESIAN_POINT('Ctrl Pts',(3.27871319452803,-2.18512582284446, 17.1263024950355)); #585635=CARTESIAN_POINT('Ctrl Pts',(3.6772308301364,1.41517078295825,17.2403244951678)); #585636=CARTESIAN_POINT('Ctrl Pts',(0.967910784947548,3.8193847697184,17.3543464953)); #585637=CARTESIAN_POINT('Ctrl Pts',(-2.55943475612038,2.99568892679302, 17.4683684954322)); #585638=CARTESIAN_POINT('Ctrl Pts',(-3.92367893758884,-0.359947094291952, 17.5823904955644)); #585639=CARTESIAN_POINT('Ctrl Pts',(-1.97176700967491,-3.41114422907107, 17.6964124956967)); #585640=CARTESIAN_POINT('Ctrl Pts',(1.64652041767351,-3.57984417983355, 17.8104344958289)); #585641=CARTESIAN_POINT('Ctrl Pts',(3.87338935562586,-0.722124344628302, 17.9244564959611)); #585642=CARTESIAN_POINT('Ctrl Pts',(2.82634739673229,2.74412183852958,18.0384784960934)); #585643=CARTESIAN_POINT('Ctrl Pts',(-0.608801889294272,3.89471800893696, 18.1525004962256)); #585644=CARTESIAN_POINT('Ctrl Pts',(-3.53072462367203,1.74639652410312, 18.2665224963578)); #585645=CARTESIAN_POINT('Ctrl Pts',(-3.48614889040482,-0.658244670879463, 18.3425371631126)); #585646=CARTESIAN_POINT('Ctrl Pts',(-2.97494403495301,-1.60549502762552, 18.38054449649)); #585647=CARTESIAN_POINT('Ctrl Pts',(-2.64199666501581,2.29562053039233, 15.9170982369942)); #585648=CARTESIAN_POINT('Ctrl Pts',(-3.37294403076372,1.45438377641179, 15.9551055703716)); #585649=CARTESIAN_POINT('Ctrl Pts',(-3.96246294197255,-0.964886854649123, 16.0311202371264)); #585650=CARTESIAN_POINT('Ctrl Pts',(-1.49694534266436,-3.79690917160828, 16.1451422372587)); #585651=CARTESIAN_POINT('Ctrl Pts',(2.23405206421262,-3.41230709313651, 16.2591642373909)); #585652=CARTESIAN_POINT('Ctrl Pts',(4.0767239134392,-0.147506082251842, 16.3731862375231)); #585653=CARTESIAN_POINT('Ctrl Pts',(2.47403579536363,3.24382649566979,16.4872082376554)); #585654=CARTESIAN_POINT('Ctrl Pts',(-1.21965107643411,3.89268389308764, 16.6012302377876)); #585655=CARTESIAN_POINT('Ctrl Pts',(-3.88251620633015,1.25208961139824, 16.7152522379198)); #585656=CARTESIAN_POINT('Ctrl Pts',(-3.26406529078316,-2.44695352831532, 16.829274238052)); #585657=CARTESIAN_POINT('Ctrl Pts',(0.113035701451331,-4.07781775857158, 16.9432962381843)); #585658=CARTESIAN_POINT('Ctrl Pts',(3.39459913684673,-2.26235897357563, 17.0573182383165)); #585659=CARTESIAN_POINT('Ctrl Pts',(3.80720235612968,1.46518991559702,17.1713402384487)); #585660=CARTESIAN_POINT('Ctrl Pts',(1.00212154457104,3.95438071205446,17.285362238581)); #585661=CARTESIAN_POINT('Ctrl Pts',(-2.64989782853955,3.10157138535509, 17.3993842387132)); #585662=CARTESIAN_POINT('Ctrl Pts',(-4.06236114475546,-0.372669404335105, 17.5134062388454)); #585663=CARTESIAN_POINT('Ctrl Pts',(-2.04145899826795,-3.53171093220858, 17.6274282389777)); #585664=CARTESIAN_POINT('Ctrl Pts',(1.70471659703771,-3.7063735733517,17.7414502391099)); #585665=CARTESIAN_POINT('Ctrl Pts',(4.01029409379693,-0.747647789448987, 17.8554722392421)); #585666=CARTESIAN_POINT('Ctrl Pts',(2.92624449507763,2.84111267234312,17.9694942393743)); #585667=CARTESIAN_POINT('Ctrl Pts',(-0.630319951482903,4.03237660042498, 18.0835162395066)); #585668=CARTESIAN_POINT('Ctrl Pts',(-3.65551788245996,1.80812281214781, 18.1975382396388)); #585669=CARTESIAN_POINT('Ctrl Pts',(-3.60936662237866,-0.681510292182946, 18.2735529063936)); #585670=CARTESIAN_POINT('Ctrl Pts',(-3.08009325973186,-1.66224116012484, 18.311560239771)); #585671=CARTESIAN_POINT('Ctrl Pts',(-3.08011338737932,-1.66219815155173, 18.3115601891121)); #585672=CARTESIAN_POINT('Ctrl Pts',(-3.12755529475444,-1.56002732684,18.3116350166318)); #585673=CARTESIAN_POINT('Ctrl Pts',(-3.16966236113749,-1.45548440299574, 18.3117079746464)); #585674=CARTESIAN_POINT('Ctrl Pts',(-3.20646436546035,-1.3489800124434, 18.3117791689376)); #585675=CARTESIAN_POINT('Ctrl Pts',(-3.2435192771981,-1.24174371202408, 18.3118508524838)); #585676=CARTESIAN_POINT('Ctrl Pts',(-3.27519594764884,-1.13251797657293, 18.3119207479821)); #585677=CARTESIAN_POINT('Ctrl Pts',(-3.30147254460431,-1.02171956270581, 18.3119889634101)); #585678=CARTESIAN_POINT('Ctrl Pts',(-3.30831055542627,-0.992886272216799, 18.3120067152459)); #585679=CARTESIAN_POINT('Ctrl Pts',(-3.31478259704342,-0.9639465073099, 18.3120243533062)); #585680=CARTESIAN_POINT('Ctrl Pts',(-3.32088771173614,-0.934907705704997, 18.3120418794939)); #585681=CARTESIAN_POINT('Ctrl Pts',(-3.34405692378637,-0.824704018152585, 18.3121083922425)); #585682=CARTESIAN_POINT('Ctrl Pts',(-3.36183345093774,-0.713609420112219, 18.3121729814089)); #585683=CARTESIAN_POINT('Ctrl Pts',(-3.37429047554258,-0.602039679005553, 18.3122357413556)); #585684=CARTESIAN_POINT('Ctrl Pts',(-3.38670410958344,-0.490858559912777, 18.3122982826956)); #585685=CARTESIAN_POINT('Ctrl Pts',(-3.39383508984289,-0.379204668740189, 18.312359007537)); #585686=CARTESIAN_POINT('Ctrl Pts',(-3.39570272916448,-0.267484773440434, 18.3124180092597)); #585687=CARTESIAN_POINT('Ctrl Pts',(-3.39758016069397,-0.155179120265728, 18.312477320334)); #585688=CARTESIAN_POINT('Ctrl Pts',(-3.39413886356703,-0.0428058373552128, 18.3125348901733)); #585689=CARTESIAN_POINT('Ctrl Pts',(-3.38534604924066,0.0692126035747402, 18.3125908136341)); #585690=CARTESIAN_POINT('Ctrl Pts',(-3.37655323491429,0.181231044504693, 18.312646737095)); #585691=CARTESIAN_POINT('Ctrl Pts',(-3.3624088228669,0.292895569816155, 18.3127010141773)); #585692=CARTESIAN_POINT('Ctrl Pts',(-3.34282880705117,0.403760517866894, 18.3127537397378)); #585693=CARTESIAN_POINT('Ctrl Pts',(-3.32380264414549,0.511489468752044, 18.3128049738691)); #585694=CARTESIAN_POINT('Ctrl Pts',(-3.29973674333482,0.617938238113554, 18.3128544936923)); #585695=CARTESIAN_POINT('Ctrl Pts',(-3.27065060742202,0.722727622047374, 18.3129023713378)); #585696=CARTESIAN_POINT('Ctrl Pts',(-3.24188900994803,0.826347782844503, 18.3129497147721)); #585697=CARTESIAN_POINT('Ctrl Pts',(-3.20821838125152,0.92834617136316, 18.3129954524706)); #585698=CARTESIAN_POINT('Ctrl Pts',(-3.16960981296821,1.0283400946553,18.313039654176)); #585699=CARTESIAN_POINT('Ctrl Pts',(-3.13205756206422,1.12559821800207, 18.3130826465376)); #585700=CARTESIAN_POINT('Ctrl Pts',(-3.08983359555504,1.22096066180025, 18.3131241858048)); #585701=CARTESIAN_POINT('Ctrl Pts',(-3.04286957845119,1.31404998109596, 18.3131643361512)); #585702=CARTESIAN_POINT('Ctrl Pts',(-3.01672809943572,1.36586608706389, 18.3131866849514)); #585703=CARTESIAN_POINT('Ctrl Pts',(-2.98911726309679,1.41697739499897, 18.313208603416)); #585704=CARTESIAN_POINT('Ctrl Pts',(-2.96001989451247,1.46731424007079, 18.3132301026124)); #585705=CARTESIAN_POINT('Ctrl Pts',(-2.93082365205233,1.51782213150218, 18.3132516748639)); #585706=CARTESIAN_POINT('Ctrl Pts',(-2.90013063407669,1.56755027230487, 18.313272824993)); #585707=CARTESIAN_POINT('Ctrl Pts',(-2.86799153661844,1.61645690652746, 18.3132935641805)); #585708=CARTESIAN_POINT('Ctrl Pts',(-2.80987018561691,1.7049011964658,18.3133310695771)); #585709=CARTESIAN_POINT('Ctrl Pts',(-2.74730008729432,1.79023842234007, 18.3133670505875)); #585710=CARTESIAN_POINT('Ctrl Pts',(-2.68067155680452,1.87229506599523, 18.313401559783)); #585711=CARTESIAN_POINT('Ctrl Pts',(-2.61312363987423,1.95548398384245, 18.3134365451601)); #585712=CARTESIAN_POINT('Ctrl Pts',(-2.54140376442889,2.03530170530408, 18.3134700178238)); #585713=CARTESIAN_POINT('Ctrl Pts',(-2.46587680283621,2.11153238348901, 18.3135020325515)); #585714=CARTESIAN_POINT('Ctrl Pts',(-2.39042328586154,2.18768893274141, 18.3135340161472)); #585715=CARTESIAN_POINT('Ctrl Pts',(-2.31116924700254,2.26026588105439, 18.3135645446412)); #585716=CARTESIAN_POINT('Ctrl Pts',(-2.2284491520542,2.32900575139855,18.3135936726512)); #585717=CARTESIAN_POINT('Ctrl Pts',(-2.15458061278241,2.39039003553442, 18.3136196837862)); #585718=CARTESIAN_POINT('Ctrl Pts',(-2.0779473229637,2.44871415233344,18.3136445781219)); #585719=CARTESIAN_POINT('Ctrl Pts',(-1.99877573807506,2.50376159067402, 18.3136683945524)); #585720=CARTESIAN_POINT('Ctrl Pts',(-1.91140570324188,2.56450935279168, 18.3136946772441)); #585721=CARTESIAN_POINT('Ctrl Pts',(-1.82135885865023,2.62097997071043, 18.3137195209037)); #585722=CARTESIAN_POINT('Ctrl Pts',(-1.72898576782612,2.67296659803936, 18.3137429648443)); #585723=CARTESIAN_POINT('Ctrl Pts',(-1.6370974200304,2.72468041696767,18.313766285759)); #585724=CARTESIAN_POINT('Ctrl Pts',(-1.5429063379098,2.77195758199667,18.3137882216068)); #585725=CARTESIAN_POINT('Ctrl Pts',(-1.44673579099345,2.81454811030857, 18.3138088110851)); #585726=CARTESIAN_POINT('Ctrl Pts',(-1.35270836274651,2.85618952728345, 18.3138289417358)); #585727=CARTESIAN_POINT('Ctrl Pts',(-1.25678794365842,2.89335108274677, 18.3138477853549)); #585728=CARTESIAN_POINT('Ctrl Pts',(-1.15926762608478,2.92575033440832, 18.3138653781101)); #585729=CARTESIAN_POINT('Ctrl Pts',(-1.13275230328174,2.93455954091787, 18.3138701614987)); #585730=CARTESIAN_POINT('Ctrl Pts',(-1.10611868778556,2.94301638965893, 18.3138748524147)); #585731=CARTESIAN_POINT('Ctrl Pts',(-1.07937266295482,2.95111452644881, 18.3138794515849)); #585732=CARTESIAN_POINT('Ctrl Pts',(3.50458162263321,-0.000783563652514622, 16.3774899079731)); #585733=CARTESIAN_POINT('Ctrl Pts',(3.50168224983752,1.06759098399827,16.4140632065891)); #585734=CARTESIAN_POINT('Ctrl Pts',(2.9749570711656,2.1387870268506,16.4506365052051)); #585735=CARTESIAN_POINT('Ctrl Pts',(2.12553435567882,2.78674513904942,16.4872098038211)); #585736=CARTESIAN_POINT('Ctrl Pts',(1.24280587485957,3.46010961304749,16.5252171371985)); #585737=CARTESIAN_POINT('Ctrl Pts',(0.0115778718582153,3.67639524455437, 16.5632244705759)); #585738=CARTESIAN_POINT('Ctrl Pts',(-1.04784664064933,3.34443935445854, 16.6012318039534)); #585739=CARTESIAN_POINT('Ctrl Pts',(-2.10727115315689,3.01248346436271, 16.6392391373308)); #585740=CARTESIAN_POINT('Ctrl Pts',(-2.99489217517063,2.13228605266417, 16.6772464707082)); #585741=CARTESIAN_POINT('Ctrl Pts',(-3.33562761347478,1.07568063468681, 16.7152538040856)); #585742=CARTESIAN_POINT('Ctrl Pts',(-3.67636305177893,0.0190752167094535, 16.753261137463)); #585743=CARTESIAN_POINT('Ctrl Pts',(-3.47021290637349,-1.21393820754672, 16.7912684708404)); #585744=CARTESIAN_POINT('Ctrl Pts',(-2.80428810453562,-2.10225541405051, 16.8292758042178)); #585745=CARTESIAN_POINT('Ctrl Pts',(-2.13836330269776,-2.99057262055429, 16.8672831375952)); #585746=CARTESIAN_POINT('Ctrl Pts',(-1.01266384442748,-3.5341936093057, 16.9052904709726)); #585747=CARTESIAN_POINT('Ctrl Pts',(0.0971127000440298,-3.50342787402743, 16.94329780435)); #585748=CARTESIAN_POINT('Ctrl Pts',(1.20688924451554,-3.47266213874917, 16.9813051377275)); #585749=CARTESIAN_POINT('Ctrl Pts',(2.30074287518827,-2.86750967944123, 17.0193124711049)); #585750=CARTESIAN_POINT('Ctrl Pts',(2.91643684044041,-1.94367578309822, 17.0573198044823)); #585751=CARTESIAN_POINT('Ctrl Pts',(3.29534011533764,-1.37514058778884, 17.0807098389792)); #585752=CARTESIAN_POINT('Ctrl Pts',(3.4931511409019,-0.685911945443126, 17.1040998734762)); #585753=CARTESIAN_POINT('Ctrl Pts',(3.49632362596931,0.00162994858308695, 17.1274899079731)); #585754=CARTESIAN_POINT('Ctrl Pts',(-2.37141664527386,2.06051459651753, 16.124051007151)); #585755=CARTESIAN_POINT('Ctrl Pts',(-2.44214361828451,1.98917395493029, 16.124051007151)); #585756=CARTESIAN_POINT('Ctrl Pts',(-2.50897656225981,1.91442186329908, 16.124051007151)); #585757=CARTESIAN_POINT('Ctrl Pts',(-2.57191359317738,1.83666299234245, 16.124051007151)); #585758=CARTESIAN_POINT('Ctrl Pts',(-2.63650488362648,1.75686027928577, 16.124051007151)); #585759=CARTESIAN_POINT('Ctrl Pts',(-2.69699315915055,1.67389015413741, 16.124051007151)); #585760=CARTESIAN_POINT('Ctrl Pts',(-2.75334507429016,1.58814514530577, 16.124051007151)); #585761=CARTESIAN_POINT('Ctrl Pts',(-2.81120785110125,1.50010121110411, 16.124051007151)); #585762=CARTESIAN_POINT('Ctrl Pts',(-2.86470997243158,1.40913099165824, 16.124051007151)); #585763=CARTESIAN_POINT('Ctrl Pts',(-2.91377601505494,1.31562122259399, 16.124051007151)); #585764=CARTESIAN_POINT('Ctrl Pts',(-2.95589594520226,1.23534931292906, 16.124051007151)); #585765=CARTESIAN_POINT('Ctrl Pts',(-2.99474637506649,1.15320506770804, 16.124051007151)); #585766=CARTESIAN_POINT('Ctrl Pts',(-3.03025341018708,1.06941716329604, 16.124051007151)); #585767=CARTESIAN_POINT('Ctrl Pts',(-3.03617065459227,1.05545391609952, 16.124051007151)); #585768=CARTESIAN_POINT('Ctrl Pts',(-3.04199587107726,1.04144282211957, 16.124051007151)); #585769=CARTESIAN_POINT('Ctrl Pts',(-3.04772575828144,1.02739201903385, 16.124051007151)); #585770=CARTESIAN_POINT('Ctrl Pts',(-3.0881074407653,0.928368242713008, 16.124051007151)); #585771=CARTESIAN_POINT('Ctrl Pts',(-3.12366146677958,0.827615203794612, 16.124051007151)); #585772=CARTESIAN_POINT('Ctrl Pts',(-3.15435207033404,0.725549487208643, 16.124051007151)); #585773=CARTESIAN_POINT('Ctrl Pts',(-3.18544600765309,0.622142430116533, 16.124051007151)); #585774=CARTESIAN_POINT('Ctrl Pts',(-3.21154825408961,0.517387188336046, 16.124051007151)); #585775=CARTESIAN_POINT('Ctrl Pts',(-3.23257843336762,0.41168555540489, 16.124051007151)); #585776=CARTESIAN_POINT('Ctrl Pts',(-3.25347433994412,0.306658802303325, 16.124051007151)); #585777=CARTESIAN_POINT('Ctrl Pts',(-3.2693630133406,0.20069683897191,16.124051007151)); #585778=CARTESIAN_POINT('Ctrl Pts',(-3.28011841366945,0.0941754603226097, 16.124051007151)); #585779=CARTESIAN_POINT('Ctrl Pts',(-3.28759302813405,0.0201469524756768, 16.124051007151)); #585780=CARTESIAN_POINT('Ctrl Pts',(-3.29258742874778,-0.054152129950411, 16.124051007151)); #585781=CARTESIAN_POINT('Ctrl Pts',(-3.29504464812079,-0.128597579162497, 16.124051007151)); #585782=CARTESIAN_POINT('Ctrl Pts',(-3.2956689599147,-0.147512118278049, 16.124051007151)); #585783=CARTESIAN_POINT('Ctrl Pts',(-3.29612942606251,-0.1664361064508, 16.124051007151)); #585784=CARTESIAN_POINT('Ctrl Pts',(-3.29642498069625,-0.185367503336492, 16.124051007151)); #585785=CARTESIAN_POINT('Ctrl Pts',(-3.29667036553421,-0.201085334244317, 16.124051007151)); #585786=CARTESIAN_POINT('Ctrl Pts',(-3.29680200417082,-0.216808537341485, 16.124051007151)); #585787=CARTESIAN_POINT('Ctrl Pts',(-3.29681944812247,-0.23252090230389, 16.124051007151)); #585788=CARTESIAN_POINT('Ctrl Pts',(-3.29694123408776,-0.342217694198129, 16.124051007151)); #585789=CARTESIAN_POINT('Ctrl Pts',(-3.29150461527403,-0.451563983780675, 16.124051007151)); #585790=CARTESIAN_POINT('Ctrl Pts',(-3.28042871482182,-0.560154794449618, 16.124051007151)); #585791=CARTESIAN_POINT('Ctrl Pts',(-3.27243972874173,-0.638480748949061, 16.124051007151)); #585792=CARTESIAN_POINT('Ctrl Pts',(-3.2615158626528,-0.716414191564232, 16.124051007151)); #585793=CARTESIAN_POINT('Ctrl Pts',(-3.24761032991851,-0.793806907459393, 16.124051007151)); #585794=CARTESIAN_POINT('Ctrl Pts',(-3.22810929366584,-0.902341991098639, 16.124051007151)); #585795=CARTESIAN_POINT('Ctrl Pts',(-3.20274693155229,-1.0098142680964, 16.124051007151)); #585796=CARTESIAN_POINT('Ctrl Pts',(-3.17184790309905,-1.11591959799057, 16.124051007151)); #585797=CARTESIAN_POINT('Ctrl Pts',(-3.14481125320246,-1.20876176164797, 16.124051007151)); #585798=CARTESIAN_POINT('Ctrl Pts',(-3.11353442398982,-1.3005576768015, 16.124051007151)); #585799=CARTESIAN_POINT('Ctrl Pts',(-3.0781990472013,-1.3910961524289,16.124051007151)); #585800=CARTESIAN_POINT('Ctrl Pts',(-3.0699456560315,-1.41224349888973, 16.124051007151)); #585801=CARTESIAN_POINT('Ctrl Pts',(-3.06147071152359,-1.43332219805768, 16.124051007151)); #585802=CARTESIAN_POINT('Ctrl Pts',(-3.0527762896075,-1.45432946499559, 16.124051007151)); #585803=CARTESIAN_POINT('Ctrl Pts',(-3.04735999954319,-1.46741618338724, 16.124051007151)); #585804=CARTESIAN_POINT('Ctrl Pts',(-3.04185955101063,-1.48047262629433, 16.124051007151)); #585805=CARTESIAN_POINT('Ctrl Pts',(-3.03627897390358,-1.49349037674851, 16.124051007151)); #585806=CARTESIAN_POINT('Ctrl Pts',(-2.99336942618153,-1.59358500381523, 16.124051007151)); #585807=CARTESIAN_POINT('Ctrl Pts',(-2.94567152017839,-1.69152687830485, 16.124051007151)); #585808=CARTESIAN_POINT('Ctrl Pts',(-2.89352174820976,-1.7870431237112, 16.124051007151)); #585809=CARTESIAN_POINT('Ctrl Pts',(-2.84031657064404,-1.88449242416668, 16.124051007151)); #585810=CARTESIAN_POINT('Ctrl Pts',(-2.78247720068821,-1.97941765392533, 16.124051007151)); #585811=CARTESIAN_POINT('Ctrl Pts',(-2.72030738041635,-2.07151978677124, 16.124051007151)); #585812=CARTESIAN_POINT('Ctrl Pts',(-2.65752011374679,-2.16453664206442, 16.124051007151)); #585813=CARTESIAN_POINT('Ctrl Pts',(-2.59031552259768,-2.2546748406676, 16.124051007151)); #585814=CARTESIAN_POINT('Ctrl Pts',(-2.51895813648399,-2.3416054284758, 16.124051007151)); #585815=CARTESIAN_POINT('Ctrl Pts',(-2.46931710544998,-2.40208023342893, 16.124051007151)); #585816=CARTESIAN_POINT('Ctrl Pts',(-2.41766529711762,-2.4610022789741, 16.124051007151)); #585817=CARTESIAN_POINT('Ctrl Pts',(-2.36407989964532,-2.51825178117521, 16.124051007151)); #585818=CARTESIAN_POINT('Ctrl Pts',(-2.35024748734782,-2.53303003711112, 16.124051007151)); #585819=CARTESIAN_POINT('Ctrl Pts',(-2.33628618422589,-2.54769679752543, 16.124051007151)); #585820=CARTESIAN_POINT('Ctrl Pts',(-2.32219722496809,-2.56224990250384, 16.124051007151)); #585821=CARTESIAN_POINT('Ctrl Pts',(-2.30902190016221,-2.57585927431876, 16.124051007151)); #585822=CARTESIAN_POINT('Ctrl Pts',(-2.29573979124062,-2.58936417922306, 16.124051007151)); #585823=CARTESIAN_POINT('Ctrl Pts',(-2.28235789357924,-2.60275744689703, 16.124051007151)); #585824=CARTESIAN_POINT('Ctrl Pts',(-2.20209920471078,-2.6830843280598, 16.124051007151)); #585825=CARTESIAN_POINT('Ctrl Pts',(-2.11819262881756,-2.7594619539411, 16.124051007151)); #585826=CARTESIAN_POINT('Ctrl Pts',(-2.03092205705493,-2.83156941462679, 16.124051007151)); #585827=CARTESIAN_POINT('Ctrl Pts',(-1.96746939180974,-2.88399728890512, 16.124051007151)); #585828=CARTESIAN_POINT('Ctrl Pts',(-1.90223734389352,-2.9341673433025, 16.124051007151)); #585829=CARTESIAN_POINT('Ctrl Pts',(-1.83532161846428,-2.98194527446114, 16.124051007151)); #585830=CARTESIAN_POINT('Ctrl Pts',(3.13825011965571,0.00146301858357948, 17.3344411119642)); #585831=CARTESIAN_POINT('Ctrl Pts',(3.14002967616399,0.387129026850857, 17.3490584108446)); #585832=CARTESIAN_POINT('Ctrl Pts',(3.07357892954297,0.772203747550687, 17.3636757097251)); #585833=CARTESIAN_POINT('Ctrl Pts',(2.9359302813655,1.12987723906466,17.3782930086055)); #585834=CARTESIAN_POINT('Ctrl Pts',(2.57802160733378,2.05988597346953,17.4163003419829)); #585835=CARTESIAN_POINT('Ctrl Pts',(1.73875543651723,2.80463942925815,17.4543076753603)); #585836=CARTESIAN_POINT('Ctrl Pts',(0.772789371611779,3.0494380112562,17.4923150087377)); #585837=CARTESIAN_POINT('Ctrl Pts',(-0.193176693293666,3.29423659325426, 17.5303223421152)); #585838=CARTESIAN_POINT('Ctrl Pts',(-1.28584265228802,3.03908030146175, 17.5683296754926)); #585839=CARTESIAN_POINT('Ctrl Pts',(-2.04347661711415,2.39176440825301, 17.60633700887)); #585840=CARTESIAN_POINT('Ctrl Pts',(-2.80111058194028,1.74444851504427, 17.6443443422474)); #585841=CARTESIAN_POINT('Ctrl Pts',(-3.22371255259818,0.704973020419295, 17.6823516756248)); #585842=CARTESIAN_POINT('Ctrl Pts',(-3.1326916227993,-0.28734945165833, 17.7203590090022)); #585843=CARTESIAN_POINT('Ctrl Pts',(-3.04167069300042,-1.27967192373596, 17.7583663423796)); #585844=CARTESIAN_POINT('Ctrl Pts',(-2.43702686274474,-2.22484137326624, 17.796373675757)); #585845=CARTESIAN_POINT('Ctrl Pts',(-1.57428643237784,-2.72355519303157, 17.8343810091345)); #585846=CARTESIAN_POINT('Ctrl Pts',(-0.711546002010934,-3.22226901279691, 17.8723883425119)); #585847=CARTESIAN_POINT('Ctrl Pts',(0.409291028467197,-3.2745272027973, 17.9103956758893)); #585848=CARTESIAN_POINT('Ctrl Pts',(1.31461818042941,-2.85803830816239, 17.9484030092667)); #585849=CARTESIAN_POINT('Ctrl Pts',(2.21994533239162,-2.44154941352748, 17.9864103426441)); #585850=CARTESIAN_POINT('Ctrl Pts',(2.90976260583792,-1.55631343425726, 18.0244176760215)); #585851=CARTESIAN_POINT('Ctrl Pts',(3.09250012935403,-0.576825840307164, 18.0624250093989)); #585852=CARTESIAN_POINT('Ctrl Pts',(3.12778426799029,-0.3877000636607,18.069763710254)); #585853=CARTESIAN_POINT('Ctrl Pts',(3.14416326926979,-0.1950603629779,18.0771024111091)); #585854=CARTESIAN_POINT('Ctrl Pts',(3.14262478120164,-0.00216711906965229, 18.0844411119642)); #585855=CARTESIAN_POINT('Ctrl Pts',(-0.0160099836410213,-0.0277297745328858, 18.3149809276045)); #585856=CARTESIAN_POINT('Ctrl Pts',(-0.0714695331047943,0.00428981127916173, 18.3149809276045)); #585857=CARTESIAN_POINT('Ctrl Pts',(-0.0394499472927501,0.0597493607429389, 18.3149809276045)); #585858=CARTESIAN_POINT('Ctrl Pts',(0.0160096021710243,0.0277297749308825, 18.3149809276045)); #585859=CARTESIAN_POINT('Ctrl Pts',(-0.513856556605425,-0.89002533328128, 18.31466996382)); #585860=CARTESIAN_POINT('Ctrl Pts',(-2.29390722356617,0.13768739845968, 18.31466996382)); #585861=CARTESIAN_POINT('Ctrl Pts',(-1.26619449182512,1.91773806542038, 18.31466996382)); #585862=CARTESIAN_POINT('Ctrl Pts',(0.51385617513552,0.890025333679225, 18.31466996382)); #585863=CARTESIAN_POINT('Ctrl Pts',(-1.01170312956983,-1.75232089202967, 18.3143590000356)); #585864=CARTESIAN_POINT('Ctrl Pts',(-4.51634491402755,0.271084985640199, 18.3143590000356)); #585865=CARTESIAN_POINT('Ctrl Pts',(-2.49293903635749,3.77572677009781, 18.3143590000356)); #585866=CARTESIAN_POINT('Ctrl Pts',(1.01170274810002,1.75232089242757,18.3143590000356)); #585867=CARTESIAN_POINT('Ctrl Pts',(-1.50954970253423,-2.61461645077807, 18.3140480362511)); #585868=CARTESIAN_POINT('Ctrl Pts',(-6.73878260448893,0.404482572820717, 18.3140480362511)); #585869=CARTESIAN_POINT('Ctrl Pts',(-3.71968358088986,5.63371547477525, 18.3140480362511)); #585870=CARTESIAN_POINT('Ctrl Pts',(1.50954932106451,2.61461645117591,18.3140480362511)); #585871=CARTESIAN_POINT('Ctrl Pts',(-2.28473192196713,-3.95727143995986, 18.3135638437113)); #585872=CARTESIAN_POINT('Ctrl Pts',(-10.1992748022857,0.612192022504891, 18.3135638437113)); #585873=CARTESIAN_POINT('Ctrl Pts',(-5.62981133982052,8.52673490282321, 18.3135638437113)); #585874=CARTESIAN_POINT('Ctrl Pts',(2.28473154049755,3.95727144035762,18.3135638437113)); #585875=CARTESIAN_POINT('Ctrl Pts',(-2.70202113414801,-4.68003755690754, 18.2536625286325)); #585876=CARTESIAN_POINT('Ctrl Pts',(-12.0620962483621,0.724004329919066, 18.2536625286325)); #585877=CARTESIAN_POINT('Ctrl Pts',(-6.65805436153498,10.0840794441328, 18.2536625286325)); #585878=CARTESIAN_POINT('Ctrl Pts',(2.70202075267851,4.68003755730525,18.2536625286325)); #585879=CARTESIAN_POINT('Ctrl Pts',(-2.8549972718777,-4.944999999801,17.964051007151)); #585880=CARTESIAN_POINT('Ctrl Pts',(-12.7449972718787,0.764994162484998, 17.964051007151)); #585881=CARTESIAN_POINT('Ctrl Pts',(-7.03500310959222,10.6549941624858, 17.964051007151)); #585882=CARTESIAN_POINT('Ctrl Pts',(2.85499689040822,4.9450000001987,17.964051007151)); #585883=CARTESIAN_POINT('',(2.8549968904077,4.945000000199,17.964051007151)); #585884=CARTESIAN_POINT('Ctrl Pts',(1.57077480926503,2.72066210745806,18.313879451585)); #585885=CARTESIAN_POINT('Ctrl Pts',(2.30678911385998,3.99547627811398,18.3102073500071)); #585886=CARTESIAN_POINT('Ctrl Pts',(2.70609827140737,4.6871000269136,18.2459430475382)); #585887=CARTESIAN_POINT('Ctrl Pts',(2.8549968904077,4.945000000199,17.964051007151)); #585888=CARTESIAN_POINT('',(-2.8549972718777,4.945000000199,17.964051007151)); #585889=CARTESIAN_POINT('Ctrl Pts',(-2.8549972718777,4.945000000199,17.964051007151)); #585890=CARTESIAN_POINT('Ctrl Pts',(-2.7281426015286,4.945000000199,18.024090720913)); #585891=CARTESIAN_POINT('Ctrl Pts',(-2.5550038365687,4.945000000199,18.0716790504236)); #585892=CARTESIAN_POINT('Ctrl Pts',(-2.07169385380438,4.945000000199,18.1532971651828)); #585893=CARTESIAN_POINT('Ctrl Pts',(-1.76876011491407,4.945000000199,18.1787158583826)); #585894=CARTESIAN_POINT('Ctrl Pts',(-1.26073358016641,4.945000000199,18.2095277509016)); #585895=CARTESIAN_POINT('Ctrl Pts',(-0.998562559839005,4.945000000199,18.2189048223699)); #585896=CARTESIAN_POINT('Ctrl Pts',(-0.61192287540116,4.945000000199,18.2278311587443)); #585897=CARTESIAN_POINT('Ctrl Pts',(-0.47200982339528,4.945000000199,18.2300277259199)); #585898=CARTESIAN_POINT('Ctrl Pts',(-0.22265469653927,4.945000000199,18.2324209181407)); #585899=CARTESIAN_POINT('Ctrl Pts',(-0.111004396666305,4.945000000199,18.2329436952408)); #585900=CARTESIAN_POINT('Ctrl Pts',(0.120073313138468,4.945000000199,18.2329439902268)); #585901=CARTESIAN_POINT('Ctrl Pts',(0.24107192676755,4.94500000019854,18.2323316632643)); #585902=CARTESIAN_POINT('Ctrl Pts',(0.537252465917842,4.94500000019854, 18.2292453499795)); #585903=CARTESIAN_POINT('Ctrl Pts',(0.716617124004781,4.945000000199,18.2259830650625)); #585904=CARTESIAN_POINT('Ctrl Pts',(1.20600156742045,4.945000000199,18.21205852668)); #585905=CARTESIAN_POINT('Ctrl Pts',(1.55925000827572,4.945000000199,18.196184537705)); #585906=CARTESIAN_POINT('Ctrl Pts',(2.33398025565266,4.945000000199,18.12417643463)); #585907=CARTESIAN_POINT('Ctrl Pts',(2.65367845000837,4.945000000199,18.0593340700712)); #585908=CARTESIAN_POINT('Ctrl Pts',(2.8549968904077,4.945000000199,17.964051007151)); #585909=CARTESIAN_POINT('',(-5.7099943530204,1.99000260714399E-10,17.964051007151)); #585910=CARTESIAN_POINT('Ctrl Pts',(-5.7099943530204,1.98999480088835E-10, 17.964051007151)); #585911=CARTESIAN_POINT('Ctrl Pts',(-5.62768981485035,0.142555642003016, 18.0419597021867)); #585912=CARTESIAN_POINT('Ctrl Pts',(-5.50668270237086,0.352146108894715, 18.0987722309254)); #585913=CARTESIAN_POINT('Ctrl Pts',(-5.21236506922882,0.861919203060151, 18.1731742004074)); #585914=CARTESIAN_POINT('Ctrl Pts',(-5.06589322015699,1.11561588753109, 18.1929508890728)); #585915=CARTESIAN_POINT('Ctrl Pts',(-4.82264920562478,1.53692687933791, 18.2162404241063)); #585916=CARTESIAN_POINT('Ctrl Pts',(-4.70557335225435,1.73970820571497, 18.2231396742222)); #585917=CARTESIAN_POINT('Ctrl Pts',(-4.53308766256014,2.03846218384391, 18.2295574506759)); #585918=CARTESIAN_POINT('Ctrl Pts',(-4.47277170376442,2.14293248898527, 18.2310836298718)); #585919=CARTESIAN_POINT('Ctrl Pts',(-4.36951004689332,2.32178692515974, 18.2326235883654)); #585920=CARTESIAN_POINT('Ctrl Pts',(-4.32593206365621,2.39726620621787, 18.2329437260465)); #585921=CARTESIAN_POINT('Ctrl Pts',(-4.23910709389264,2.547651465214,18.2329439477222)); #585922=CARTESIAN_POINT('Ctrl Pts',(-4.19553156372707,2.62312649742746, 18.232624068288)); #585923=CARTESIAN_POINT('Ctrl Pts',(-4.09228329538639,2.80195774398703, 18.2310849406016)); #585924=CARTESIAN_POINT('Ctrl Pts',(-4.03197858573357,2.90640856504147, 18.2295595424098)); #585925=CARTESIAN_POINT('Ctrl Pts',(-3.85952784795053,3.20510200468441, 18.2231450387213)); #585926=CARTESIAN_POINT('Ctrl Pts',(-3.74247982662443,3.40783512454663, 18.2162494725465)); #585927=CARTESIAN_POINT('Ctrl Pts',(-3.49928714915977,3.82905719794413, 18.1929737061676)); #585928=CARTESIAN_POINT('Ctrl Pts',(-3.35286441602505,4.08266881111659, 18.1732112868476)); #585929=CARTESIAN_POINT('Ctrl Pts',(-3.05843341799641,4.59263825902542, 18.0988323311294)); #585930=CARTESIAN_POINT('Ctrl Pts',(-2.9373422218108,4.80237436316815,18.0419979555783)); #585931=CARTESIAN_POINT('Ctrl Pts',(-2.8549972718777,4.945000000199,17.964051007151)); #585932=CARTESIAN_POINT('',(-2.8549972718777,-4.944999999801,17.964051007151)); #585933=CARTESIAN_POINT('Ctrl Pts',(-2.8549972718777,-4.944999999801,17.964051007151)); #585934=CARTESIAN_POINT('Ctrl Pts',(-2.95563444950019,-4.77069129502851, 18.0593132047767)); #585935=CARTESIAN_POINT('Ctrl Pts',(-3.11543167472747,-4.49391438202633, 18.1241456068853)); #585936=CARTESIAN_POINT('Ctrl Pts',(-3.50272333355143,-3.82310555159561, 18.1961689169884)); #585937=CARTESIAN_POINT('Ctrl Pts',(-3.67938048930847,-3.51712638230381, 18.2120496266348)); #585938=CARTESIAN_POINT('Ctrl Pts',(-3.92410390407261,-3.09325299413057, 18.2259796684665)); #585939=CARTESIAN_POINT('Ctrl Pts',(-4.01380013185172,-2.93789457036893, 18.2292433099049)); #585940=CARTESIAN_POINT('Ctrl Pts',(-4.16190712832726,-2.68136572751687, 18.2323309718999)); #585941=CARTESIAN_POINT('Ctrl Pts',(-4.22241023817219,-2.57657126725046, 18.2329436835386)); #585942=CARTESIAN_POINT('Ctrl Pts',(-4.33795179694437,-2.37644741707135, 18.2329439785315)); #585943=CARTESIAN_POINT('Ctrl Pts',(-4.39377591347312,-2.27975721095591, 18.2324215055073)); #585944=CARTESIAN_POINT('Ctrl Pts',(-4.51844518666628,-2.06382369564268, 18.2300291860773)); #585945=CARTESIAN_POINT('Ctrl Pts',(-4.58839414776669,-1.9426685410801, 18.2278333680434)); #585946=CARTESIAN_POINT('Ctrl Pts',(-4.78167974031375,-1.60788807441755, 18.2189105674925)); #585947=CARTESIAN_POINT('Ctrl Pts',(-4.91273193609658,-1.38089901287822, 18.2095380920163)); #585948=CARTESIAN_POINT('Ctrl Pts',(-5.16669986909313,-0.941013649434943, 18.1787429155285)); #585949=CARTESIAN_POINT('Ctrl Pts',(-5.31812100710871,-0.678744545052054, 18.1533409255178)); #585950=CARTESIAN_POINT('Ctrl Pts',(-5.55987361661817,-0.260016742519312, 18.0717474329886)); #585951=CARTESIAN_POINT('Ctrl Pts',(-5.64652176384888,-0.109937749134022, 18.0241335579172)); #585952=CARTESIAN_POINT('Ctrl Pts',(-5.7099943530204,1.99002116868519E-10, 17.964051007151)); #585953=CARTESIAN_POINT('Ctrl Pts',(-1.75000019073497,-3.03108891304648, 18.3115601891129)); #585954=CARTESIAN_POINT('Ctrl Pts',(-2.37241271887758,-4.10913903505687, 18.2978085079384)); #585955=CARTESIAN_POINT('Ctrl Pts',(-2.71866640546267,-4.70886801253029, 18.222150016796)); #585956=CARTESIAN_POINT('Ctrl Pts',(-2.8549972718777,-4.944999999801,17.964051007151)); #585957=CARTESIAN_POINT('Ctrl Pts',(1.21936042635376,2.11199454174527,18.314051007151)); #585958=CARTESIAN_POINT('Ctrl Pts',(5.4433495094463,-0.326726692432249, 18.314051007151)); #585959=CARTESIAN_POINT('Ctrl Pts',(3.00462827526878,-4.55071577552479, 18.314051007151)); #585960=CARTESIAN_POINT('Ctrl Pts',(-1.21936080782376,-2.11199454134727, 18.314051007151)); #585961=CARTESIAN_POINT('Ctrl Pts',(1.31290688732417,2.27402176501428,18.314051007151)); #585962=CARTESIAN_POINT('Ctrl Pts',(5.86095041695474,-0.35179239110406, 18.314051007151)); #585963=CARTESIAN_POINT('Ctrl Pts',(3.2351362608364,-4.89983592073463,18.314051007151)); #585964=CARTESIAN_POINT('Ctrl Pts',(-1.31290726879417,-2.27402176461628, 18.314051007151)); #585965=CARTESIAN_POINT('Ctrl Pts',(1.40645334829459,2.4360489882833,18.314051007151)); #585966=CARTESIAN_POINT('Ctrl Pts',(6.27855132446319,-0.376858089775873, 18.314051007151)); #585967=CARTESIAN_POINT('Ctrl Pts',(3.46564424640401,-5.24895606594447, 18.314051007151)); #585968=CARTESIAN_POINT('Ctrl Pts',(-1.40645372976459,-2.4360489878853, 18.314051007151)); #585969=CARTESIAN_POINT('Ctrl Pts',(1.499999809265,2.59807621155232,18.314051007151)); #585970=CARTESIAN_POINT('Ctrl Pts',(6.69615223197163,-0.401923788447685, 18.314051007151)); #585971=CARTESIAN_POINT('Ctrl Pts',(3.69615223197163,-5.59807621115432, 18.314051007151)); #585972=CARTESIAN_POINT('Ctrl Pts',(-1.500000190735,-2.59807621115432,18.314051007151)); #585973=CARTESIAN_POINT('Ctrl Pts',(2.28130650317594,3.95133910169973,18.314051007151)); #585974=CARTESIAN_POINT('Ctrl Pts',(10.1839847061774,-0.611274286122152, 18.314051007151)); #585975=CARTESIAN_POINT('Ctrl Pts',(5.62137131835551,-8.5139524891236,18.314051007151)); #585976=CARTESIAN_POINT('Ctrl Pts',(-2.28130688464594,-3.95133910130173, 18.314051007151)); #585977=CARTESIAN_POINT('Ctrl Pts',(2.70139376575453,4.67895158409837,18.25484952838)); #585978=CARTESIAN_POINT('Ctrl Pts',(12.0592969335533,-0.723836328880685, 18.25484952838)); #585979=CARTESIAN_POINT('Ctrl Pts',(6.65650902057421,-10.0817394966794, 18.25484952838)); #585980=CARTESIAN_POINT('Ctrl Pts',(-2.70139414722453,-4.67895158370037, 18.25484952838)); #585981=CARTESIAN_POINT('Ctrl Pts',(2.8549968904077,4.945000000199,17.964051007151)); #585982=CARTESIAN_POINT('Ctrl Pts',(12.7449968904077,-0.764994162086399, 17.964051007151)); #585983=CARTESIAN_POINT('Ctrl Pts',(7.0350027281223,-10.6549941620864,17.964051007151)); #585984=CARTESIAN_POINT('Ctrl Pts',(-2.8549972718777,-4.944999999801,17.964051007151)); #585985=CARTESIAN_POINT('',(2.8549968904077,-4.944999999801,17.964051007151)); #585986=CARTESIAN_POINT('Ctrl Pts',(2.8549968904077,-4.944999999801,17.964051007151)); #585987=CARTESIAN_POINT('Ctrl Pts',(2.72898213136721,-4.944999999801,18.0236931948746)); #585988=CARTESIAN_POINT('Ctrl Pts',(2.55730283851302,-4.944999999801,18.0710439341294)); #585989=CARTESIAN_POINT('Ctrl Pts',(2.07611779359043,-4.944999999801,18.1528369416605)); #585990=CARTESIAN_POINT('Ctrl Pts',(1.77278972118093,-4.944999999801,18.1783982398747)); #585991=CARTESIAN_POINT('Ctrl Pts',(1.2643118118621,-4.944999999801,18.2093799886683)); #585992=CARTESIAN_POINT('Ctrl Pts',(1.00161086232922,-4.944999999801,18.218810719268)); #585993=CARTESIAN_POINT('Ctrl Pts',(0.614117458620587,-4.944999999801,18.2277935323282)); #585994=CARTESIAN_POINT('Ctrl Pts',(0.473747268113343,-4.944999999801,18.2300056708288)); #585995=CARTESIAN_POINT('Ctrl Pts',(0.223521585838776,-4.944999999801,18.2324168627049)); #585996=CARTESIAN_POINT('Ctrl Pts',(0.11143356538113,-4.944999999801,18.2329436946924)); #585997=CARTESIAN_POINT('Ctrl Pts',(-0.120575763743601,-4.944999999801, 18.2329439908677)); #585998=CARTESIAN_POINT('Ctrl Pts',(-0.242087708309884,-4.94499999980053, 18.2323265337874)); #585999=CARTESIAN_POINT('Ctrl Pts',(-0.539453599379372,-4.94499999980053, 18.2292143442289)); #586000=CARTESIAN_POINT('Ctrl Pts',(-0.719545656409636,-4.944999999801, 18.2259247849209)); #586001=CARTESIAN_POINT('Ctrl Pts',(-1.21031694465831,-4.944999999801,18.2118905697928)); #586002=CARTESIAN_POINT('Ctrl Pts',(-1.56483859523592,-4.944999999801,18.1958882785332)); #586003=CARTESIAN_POINT('Ctrl Pts',(-2.33678020218094,-4.944999999801,18.123590334487)); #586004=CARTESIAN_POINT('Ctrl Pts',(-2.65450731472648,-4.944999999801,18.0589419528796)); #586005=CARTESIAN_POINT('Ctrl Pts',(-2.8549972718777,-4.944999999801,17.964051007151)); #586006=CARTESIAN_POINT('',(5.7099939715504,1.99000260714399E-10,17.964051007151)); #586007=CARTESIAN_POINT('Ctrl Pts',(5.7099939715504,1.98999029060731E-10, 17.964051007151)); #586008=CARTESIAN_POINT('Ctrl Pts',(5.62813701343044,-0.1417804102178,18.0415360271574)); #586009=CARTESIAN_POINT('Ctrl Pts',(5.50807636595551,-0.349731551634038, 18.0980939913237)); #586010=CARTESIAN_POINT('Ctrl Pts',(5.21520318777909,-0.857002776409773, 18.1727162489422)); #586011=CARTESIAN_POINT('Ctrl Pts',(5.06836383323483,-1.11133599903101, 18.1926457584467)); #586012=CARTESIAN_POINT('Ctrl Pts',(4.82460221116841,-1.53354351338545, 18.2161116373776)); #586013=CARTESIAN_POINT('Ctrl Pts',(4.70712387992997,-1.73702195187884, 18.223063679812)); #586014=CARTESIAN_POINT('Ctrl Pts',(4.53406833216299,-2.03676295314291, 18.2295305231358)); #586015=CARTESIAN_POINT('Ctrl Pts',(4.47352369285057,-2.14162934455789, 18.2310686841829)); #586016=CARTESIAN_POINT('Ctrl Pts',(4.36986321021144,-2.32117456722598, 18.2326209909357)); #586017=CARTESIAN_POINT('Ctrl Pts',(4.32610744441243,-2.39696177671401, 18.2329437255978)); #586018=CARTESIAN_POINT('Ctrl Pts',(4.23893094806142,-2.54795589761981, 18.2329439481709)); #586019=CARTESIAN_POINT('Ctrl Pts',(4.19517763343399,-2.623738861554,18.2326214727767)); #586020=CARTESIAN_POINT('Ctrl Pts',(4.0915305201623,-2.80326092779842,18.2310699998877)); #586021=CARTESIAN_POINT('Ctrl Pts',(4.03099711509937,-2.9081078609226,18.2295326224881)); #586022=CARTESIAN_POINT('Ctrl Pts',(3.85797641849998,-3.20778849819371, 18.2230690615258)); #586023=CARTESIAN_POINT('Ctrl Pts',(3.74052586740834,-3.4112188200614,18.2161207142477)); #586024=CARTESIAN_POINT('Ctrl Pts',(3.49681519354897,-3.83333808953267, 18.192668637817)); #586025=CARTESIAN_POINT('Ctrl Pts',(3.35002482501104,-4.08758646590215, 18.1727534421261)); #586026=CARTESIAN_POINT('Ctrl Pts',(3.05703583992838,-4.59505827412337, 18.0981534455611)); #586027=CARTESIAN_POINT('Ctrl Pts',(2.93689241584286,-4.80315278883475, 18.0415725346121)); #586028=CARTESIAN_POINT('Ctrl Pts',(2.8549968904077,-4.944999999801,17.964051007151)); #586029=CARTESIAN_POINT('Ctrl Pts',(2.8549968904077,4.945000000199,17.964051007151)); #586030=CARTESIAN_POINT('Ctrl Pts',(2.95522046152023,4.7714076829161,18.0589216887781)); #586031=CARTESIAN_POINT('Ctrl Pts',(3.11403236206139,4.49633740233224,18.1235595891337)); #586032=CARTESIAN_POINT('Ctrl Pts',(3.49992917329062,3.8279445188044,18.1958726097439)); #586033=CARTESIAN_POINT('Ctrl Pts',(3.67722287433591,3.52086282073203,18.2118816420921)); #586034=CARTESIAN_POINT('Ctrl Pts',(3.92263948369249,3.09578878430515,18.2259213744411)); #586035=CARTESIAN_POINT('Ctrl Pts',(4.01269939312664,2.93980044543921,18.2292122955712)); #586036=CARTESIAN_POINT('Ctrl Pts',(4.16139904178455,2.68224509889607,18.2323258394714)); #586037=CARTESIAN_POINT('Ctrl Pts',(4.2221588200621,2.57700607586368,18.2329436828976)); #586038=CARTESIAN_POINT('Ctrl Pts',(4.33816619806183,2.3760754031153,18.2329439790799)); #586039=CARTESIAN_POINT('Ctrl Pts',(4.39420918228793,2.2790061070279,18.2324174522178)); #586040=CARTESIAN_POINT('Ctrl Pts',(4.51931376505235,2.06231861342023,18.2300071355865)); #586041=CARTESIAN_POINT('Ctrl Pts',(4.58949131822066,1.94076752578185,18.2277957479217)); #586042=CARTESIAN_POINT('Ctrl Pts',(4.78320394568619,1.60524741294388,18.2188164739503)); #586043=CARTESIAN_POINT('Ctrl Pts',(4.91452123645619,1.37779919341795,18.2093903412765)); #586044=CARTESIAN_POINT('Ctrl Pts',(5.16871529015023,0.937522177437986, 18.1784253047055)); #586045=CARTESIAN_POINT('Ctrl Pts',(5.32033379133511,0.674911230018326, 18.1528807162269)); #586046=CARTESIAN_POINT('Ctrl Pts',(5.56102341316755,0.258024576150008, 18.0711122794431)); #586047=CARTESIAN_POINT('Ctrl Pts',(5.64694143059258,0.10921020468427,18.023735944263)); #586048=CARTESIAN_POINT('Ctrl Pts',(5.7099939715504,1.99002932188552E-10, 17.964051007151)); #586049=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 16.124051007151)); #586050=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 14.324051007151)); #586051=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 16.124051007151)); #586052=CARTESIAN_POINT('',(2.8549968904077,4.945000000199,16.124051007151)); #586053=CARTESIAN_POINT('',(-2.8549972718777,4.945000000199,16.124051007151)); #586054=CARTESIAN_POINT('',(2.8549968904077,4.945000000199,16.124051007151)); #586055=CARTESIAN_POINT('',(5.7099939715504,1.99000260714399E-10,16.124051007151)); #586056=CARTESIAN_POINT('',(5.7099939715504,1.99000260714399E-10,16.124051007151)); #586057=CARTESIAN_POINT('',(2.8549968904077,-4.944999999801,16.124051007151)); #586058=CARTESIAN_POINT('',(2.8549968904077,-4.944999999801,16.124051007151)); #586059=CARTESIAN_POINT('',(-2.8549972718777,-4.944999999801,16.124051007151)); #586060=CARTESIAN_POINT('',(-2.8549972718777,-4.944999999801,16.124051007151)); #586061=CARTESIAN_POINT('',(-5.7099943530204,1.99000815825912E-10,16.124051007151)); #586062=CARTESIAN_POINT('',(-5.7099943530204,1.99000815825912E-10,16.124051007151)); #586063=CARTESIAN_POINT('',(-2.8549972718777,4.945000000199,16.124051007151)); #586064=CARTESIAN_POINT('Origin',(2.8549968904077,4.945000000199,18.314051007151)); #586065=CARTESIAN_POINT('',(-2.8549972718777,4.945000000199,18.314051007151)); #586066=CARTESIAN_POINT('',(2.8549968904077,4.945000000199,18.314051007151)); #586067=CARTESIAN_POINT('Origin',(-2.8549972718777,4.945000000199,18.314051007151)); #586068=CARTESIAN_POINT('',(-5.7099943530204,1.99000815825912E-10,18.314051007151)); #586069=CARTESIAN_POINT('Origin',(-5.7099943530204,1.99000815825912E-10, 18.314051007151)); #586070=CARTESIAN_POINT('',(-2.8549972718777,-4.944999999801,18.314051007151)); #586071=CARTESIAN_POINT('Origin',(-2.8549972718777,-4.944999999801,18.314051007151)); #586072=CARTESIAN_POINT('',(2.8549968904077,-4.944999999801,18.314051007151)); #586073=CARTESIAN_POINT('Origin',(2.8549968904077,-4.944999999801,18.314051007151)); #586074=CARTESIAN_POINT('',(5.7099939715504,1.99000260714399E-10,18.314051007151)); #586075=CARTESIAN_POINT('Origin',(5.7099939715504,1.99000260714399E-10, 18.314051007151)); #586076=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 13.874051007151)); #586077=CARTESIAN_POINT('',(3.45837177288913,-0.00211939515415836,14.1142108517818)); #586078=CARTESIAN_POINT('',(3.45683895952193,-0.00372587101543185,14.0088911625202)); #586079=CARTESIAN_POINT('',(3.453999809265,1.99000683707404E-10,13.874051007151)); #586080=CARTESIAN_POINT('',(-1.47482563170083,-3.12330048465351,13.874051007151)); #586081=CARTESIAN_POINT('Ctrl Pts',(3.45837177288913,-0.00211939515415836, 14.1142108517818)); #586082=CARTESIAN_POINT('Ctrl Pts',(3.45910212754905,-0.112031653782136, 14.1103984934749)); #586083=CARTESIAN_POINT('Ctrl Pts',(3.45432021762865,-0.221875597891643, 14.106586135168)); #586084=CARTESIAN_POINT('Ctrl Pts',(3.44381872045727,-0.331164983670826, 14.1027737768611)); #586085=CARTESIAN_POINT('Ctrl Pts',(3.33429431258744,-1.47098867438719, 14.0630131332754)); #586086=CARTESIAN_POINT('Ctrl Pts',(2.60263570225897,-2.5504916305097,14.0232524896896)); #586087=CARTESIAN_POINT('Ctrl Pts',(1.58446452383186,-3.07528276307912, 13.9834918461039)); #586088=CARTESIAN_POINT('Ctrl Pts',(0.650295305934962,-3.55677716427495, 13.9470115664529)); #586089=CARTESIAN_POINT('Ctrl Pts',(-0.525060516881966,-3.57131452299401, 13.910531286802)); #586090=CARTESIAN_POINT('Ctrl Pts',(-1.47855217503811,-3.12762804142261, 13.874051007151)); #586091=CARTESIAN_POINT('',(1.47482525023077,-3.12330048465354,13.874051007151)); #586092=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 13.874051007151)); #586093=CARTESIAN_POINT('Ctrl Pts',(1.47482525023077,-3.12330048465354, 13.874051007151)); #586094=CARTESIAN_POINT('Ctrl Pts',(2.51519036007817,-2.6320390964722,13.9138116507367)); #586095=CARTESIAN_POINT('Ctrl Pts',(3.28162781954056,-1.58409225853239, 13.9535722943225)); #586096=CARTESIAN_POINT('Ctrl Pts',(3.4298971908396,-0.450440827480726, 13.9933329379082)); #586097=CARTESIAN_POINT('Ctrl Pts',(3.44923631594518,-0.302575987567105, 13.9985190127789)); #586098=CARTESIAN_POINT('Ctrl Pts',(3.45805879311631,-0.153253089482283, 14.0037050876496)); #586099=CARTESIAN_POINT('Ctrl Pts',(3.45683895952193,-0.00372587101543185, 14.0088911625202)); #586100=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 13.874051007151)); #586101=CARTESIAN_POINT('',(3.44955758497144,-0.00205639974999977,14.8642108517818)); #586102=CARTESIAN_POINT('',(3.44892868033218,-0.00152673186797919,14.7588911625202)); #586103=CARTESIAN_POINT('',(3.453999809265,1.99000683707404E-10,13.874051007151)); #586104=CARTESIAN_POINT('Ctrl Pts',(3.44955758497144,-0.00205639974999977, 14.8642108517818)); #586105=CARTESIAN_POINT('Ctrl Pts',(3.45194694521049,-0.444605157375076, 14.8489623549894)); #586106=CARTESIAN_POINT('Ctrl Pts',(3.37298845123262,-0.886332962300586, 14.833713858197)); #586107=CARTESIAN_POINT('Ctrl Pts',(3.20875794468802,-1.29368006709991, 14.8184653614046)); #586108=CARTESIAN_POINT('Ctrl Pts',(2.78052487191125,-2.35584267041356, 14.7787047178189)); #586109=CARTESIAN_POINT('Ctrl Pts',(1.77251710064383,-3.18424674675501, 14.7389440742331)); #586110=CARTESIAN_POINT('Ctrl Pts',(0.647509424896414,-3.39859130147394, 14.6991834306474)); #586111=CARTESIAN_POINT('Ctrl Pts',(-0.477498250850995,-3.61293585619286, 14.6594227870616)); #586112=CARTESIAN_POINT('Ctrl Pts',(-1.71950583107839,-3.21322088928928, 14.6196621434759)); #586113=CARTESIAN_POINT('Ctrl Pts',(-2.50829266755499,-2.38291351557436, 14.5799014998901)); #586114=CARTESIAN_POINT('Ctrl Pts',(-3.29707950403158,-1.55260614185945, 14.5401408563044)); #586115=CARTESIAN_POINT('Ctrl Pts',(-3.63264559675737,-0.291706361333214, 14.5003802127186)); #586116=CARTESIAN_POINT('Ctrl Pts',(-3.36095140037091,0.820817512842688, 14.4606195691329)); #586117=CARTESIAN_POINT('Ctrl Pts',(-3.08925720398444,1.93334138701859, 14.4208589255471)); #586118=CARTESIAN_POINT('Ctrl Pts',(-2.21030271848572,2.89748935484416, 14.3810982819614)); #586119=CARTESIAN_POINT('Ctrl Pts',(-1.12758018038027,3.27078171125632, 14.3413376383756)); #586120=CARTESIAN_POINT('Ctrl Pts',(-0.0448576422748258,3.64407406766848, 14.3015769947899)); #586121=CARTESIAN_POINT('Ctrl Pts',(1.24163294843734,3.42651081266722,14.2618163512041)); #586122=CARTESIAN_POINT('Ctrl Pts',(2.14118314108782,2.71765697251149,14.2220557076184)); #586123=CARTESIAN_POINT('Ctrl Pts',(2.9544820223881,2.07676996040891,14.1861074223395)); #586124=CARTESIAN_POINT('Ctrl Pts',(3.45148496017217,1.03428823255558,14.1501591370606)); #586125=CARTESIAN_POINT('Ctrl Pts',(3.45837177288913,-0.00211939515415836, 14.1142108517818)); #586126=CARTESIAN_POINT('Ctrl Pts',(3.45683895952193,-0.00372587101543185, 14.0088911625202)); #586127=CARTESIAN_POINT('Ctrl Pts',(3.44870656238504,0.993143479811309, 14.0434657312353)); #586128=CARTESIAN_POINT('Ctrl Pts',(2.99423023330454,1.9990941232629,14.0780402999504)); #586129=CARTESIAN_POINT('Ctrl Pts',(2.23396329742657,2.64262446369856,14.1126148686655)); #586130=CARTESIAN_POINT('Ctrl Pts',(1.35965874957883,3.38268229966265,14.1523755122512)); #586131=CARTESIAN_POINT('Ctrl Pts',(0.0809483707476506,3.64344194742733, 14.192136155837)); #586132=CARTESIAN_POINT('Ctrl Pts',(-1.01413522208485,3.30748013111602, 14.2318967994227)); #586133=CARTESIAN_POINT('Ctrl Pts',(-2.10921881491736,2.97151831480471, 14.2716574430085)); #586134=CARTESIAN_POINT('Ctrl Pts',(-3.02067562175119,2.03883503441741, 14.3114180865942)); #586135=CARTESIAN_POINT('Ctrl Pts',(-3.33054861525846,0.936486357905289, 14.35117873018)); #586136=CARTESIAN_POINT('Ctrl Pts',(-3.64042160876573,-0.165862318606825, 14.3909393737657)); #586137=CARTESIAN_POINT('Ctrl Pts',(-3.34871078894644,-1.43787639124375, 14.4307000173515)); #586138=CARTESIAN_POINT('Ctrl Pts',(-2.58908668266058,-2.29498521494977, 14.4704606609372)); #586139=CARTESIAN_POINT('Ctrl Pts',(-1.82946257637471,-3.15209403865579, 14.510221304523)); #586140=CARTESIAN_POINT('Ctrl Pts',(-0.601925183622274,-3.59429761343091, 14.5499819481087)); #586141=CARTESIAN_POINT('Ctrl Pts',(0.529827295961748,-3.41887899416434, 14.5897425916945)); #586142=CARTESIAN_POINT('Ctrl Pts',(1.66157977554577,-3.24346037489777, 14.6295032352802)); #586143=CARTESIAN_POINT('Ctrl Pts',(2.69754734196138,-2.4504195615895,14.669263878866)); #586144=CARTESIAN_POINT('Ctrl Pts',(3.16218096499044,-1.40368997503667, 14.7090245224517)); #586145=CARTESIAN_POINT('Ctrl Pts',(3.35642427993538,-0.966097397432243, 14.7256467358079)); #586146=CARTESIAN_POINT('Ctrl Pts',(3.45081464015606,-0.48416722378251, 14.7422689491641)); #586147=CARTESIAN_POINT('Ctrl Pts',(3.44892868033218,-0.00152673186797919, 14.7588911625202)); #586148=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 13.874051007151)); #586149=CARTESIAN_POINT('',(3.45090661466127,0.00273453970870585,15.6142108517818)); #586150=CARTESIAN_POINT('',(3.4519468768847,0.00301719107020691,15.5088911625202)); #586151=CARTESIAN_POINT('',(3.453999809265,1.99000683707404E-10,13.874051007151)); #586152=CARTESIAN_POINT('Ctrl Pts',(3.45090661466127,0.00273453970870585, 15.6142108517818)); #586153=CARTESIAN_POINT('Ctrl Pts',(3.44519680944158,-0.770957830861942, 15.5875262165039)); #586154=CARTESIAN_POINT('Ctrl Pts',(3.18808851602794,-1.54809017908061, 15.560841581226)); #586155=CARTESIAN_POINT('Ctrl Pts',(2.71039698391824,-2.15022805919748, 15.5341569459481)); #586156=CARTESIAN_POINT('Ctrl Pts',(1.99862698765062,-3.0474256184478,15.4943963023624)); #586157=CARTESIAN_POINT('Ctrl Pts',(0.797126914298543,-3.55610746426742, 15.4546356587766)); #586158=CARTESIAN_POINT('Ctrl Pts',(-0.342490179508144,-3.44273628477494, 15.4148750151909)); #586159=CARTESIAN_POINT('Ctrl Pts',(-1.48210727331483,-3.32936510528246, 15.3751143716051)); #586160=CARTESIAN_POINT('Ctrl Pts',(-2.55984138757613,-2.59394090047786, 15.3353537280194)); #586161=CARTESIAN_POINT('Ctrl Pts',(-3.08090058663446,-1.57409885028488, 15.2955930844336)); #586162=CARTESIAN_POINT('Ctrl Pts',(-3.60195978569278,-0.554256800091893, 15.2558324408479)); #586163=CARTESIAN_POINT('Ctrl Pts',(-3.56634406954814,0.750003095489476, 15.2160717972621)); #586164=CARTESIAN_POINT('Ctrl Pts',(-2.9904051200017,1.73988869094356,15.1763111536764)); #586165=CARTESIAN_POINT('Ctrl Pts',(-2.41446617045525,2.72977428639764, 15.1365505100906)); #586166=CARTESIAN_POINT('Ctrl Pts',(-1.29820398750701,3.40528558172444, 15.0967898665049)); #586167=CARTESIAN_POINT('Ctrl Pts',(-0.154097967600261,3.45629588267687, 15.0570292229191)); #586168=CARTESIAN_POINT('Ctrl Pts',(0.990008052306499,3.5073061836293,15.0172685793334)); #586169=CARTESIAN_POINT('Ctrl Pts',(2.16195790917177,2.93381549020735,14.9775079357476)); #586170=CARTESIAN_POINT('Ctrl Pts',(2.82370365046134,1.99910957835345,14.9377472921619)); #586171=CARTESIAN_POINT('Ctrl Pts',(3.23166507380689,1.42287020057322,14.9132351453685)); #586172=CARTESIAN_POINT('Ctrl Pts',(3.44571665889726,0.709346190146674, 14.8887229985751)); #586173=CARTESIAN_POINT('Ctrl Pts',(3.44955758497144,-0.00205639974999977, 14.8642108517818)); #586174=CARTESIAN_POINT('Ctrl Pts',(3.44892868033218,-0.00152673186797919, 14.7588911625202)); #586175=CARTESIAN_POINT('Ctrl Pts',(3.44630338917117,0.670317811866395, 14.7820295927498)); #586176=CARTESIAN_POINT('Ctrl Pts',(3.25712195211316,1.34353875052093,14.8051680229794)); #586177=CARTESIAN_POINT('Ctrl Pts',(2.89103175618977,1.90045863823539,14.828306453209)); #586178=CARTESIAN_POINT('Ctrl Pts',(2.26194924471754,2.85745930515725,14.8680670967947)); #586179=CARTESIAN_POINT('Ctrl Pts',(1.11048454213056,3.47104227920359,14.9078277403805)); #586180=CARTESIAN_POINT('Ctrl Pts',(-0.03470272797119,3.45955028305813, 14.9475883839662)); #586181=CARTESIAN_POINT('Ctrl Pts',(-1.17988999807294,3.44805828691267, 14.987349027552)); #586182=CARTESIAN_POINT('Ctrl Pts',(-2.31879983568946,2.81149132057542, 15.0271096711377)); #586183=CARTESIAN_POINT('Ctrl Pts',(-2.92856370531278,1.84207856962227, 15.0668703147235)); #586184=CARTESIAN_POINT('Ctrl Pts',(-3.5383275749361,0.872665818669123, 15.1066309583092)); #586185=CARTESIAN_POINT('Ctrl Pts',(-3.61894547656621,-0.429592716899934, 15.146391601895)); #586186=CARTESIAN_POINT('Ctrl Pts',(-3.13340069088571,-1.46681444699104, 15.1861522454807)); #586187=CARTESIAN_POINT('Ctrl Pts',(-2.64785590520522,-2.50403617708213, 15.2259128890665)); #586188=CARTESIAN_POINT('Ctrl Pts',(-1.59614843221413,-3.27622110169528, 15.2656735326522)); #586189=CARTESIAN_POINT('Ctrl Pts',(-0.461123527339457,-3.42886183236222, 15.305434176238)); #586190=CARTESIAN_POINT('Ctrl Pts',(0.673901377535226,-3.58150256302916, 15.3451948198237)); #586191=CARTESIAN_POINT('Ctrl Pts',(1.8922437142935,-3.11459909974987,15.3849554634094)); #586192=CARTESIAN_POINT('Ctrl Pts',(2.63455923745405,-2.24250568583499, 15.4247161069952)); #586193=CARTESIAN_POINT('Ctrl Pts',(3.15839759237053,-1.62708547027274, 15.4527744588369)); #586194=CARTESIAN_POINT('Ctrl Pts',(3.44518105699584,-0.809886160442538, 15.4808328106786)); #586195=CARTESIAN_POINT('Ctrl Pts',(3.4519468768847,0.00301719107020691, 15.5088911625202)); #586196=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 13.874051007151)); #586197=CARTESIAN_POINT('',(3.45946180716485,0.00087544254832872,16.3642108517818)); #586198=CARTESIAN_POINT('',(3.45972266776172,0.000144265412425977,16.2588911625202)); #586199=CARTESIAN_POINT('',(3.453999809265,1.99000683707404E-10,13.874051007151)); #586200=CARTESIAN_POINT('Ctrl Pts',(3.45946180716485,0.00087544254832872, 16.3642108517818)); #586201=CARTESIAN_POINT('Ctrl Pts',(3.45573915157542,-1.09736159596028, 16.3260900780184)); #586202=CARTESIAN_POINT('Ctrl Pts',(2.8879318138174,-2.19890991951559,16.287969304255)); #586203=CARTESIAN_POINT('Ctrl Pts',(1.98966351544516,-2.8303660031375,16.2498485304916)); #586204=CARTESIAN_POINT('Ctrl Pts',(1.0527537381769,-3.48898590515672,16.2100878869058)); #586205=CARTESIAN_POINT('Ctrl Pts',(-0.243659898865985,-3.63619903043584, 16.1703272433201)); #586206=CARTESIAN_POINT('Ctrl Pts',(-1.30438906743395,-3.20441929344768, 16.1305665997343)); #586207=CARTESIAN_POINT('Ctrl Pts',(-2.36511823600193,-2.77263955645952, 16.0908059561486)); #586208=CARTESIAN_POINT('Ctrl Pts',(-3.19016293609499,-1.76186695720406, 16.0510453125628)); #586209=CARTESIAN_POINT('Ctrl Pts',(-3.400742073603,-0.636150942788447, 16.0112846689771)); #586210=CARTESIAN_POINT('Ctrl Pts',(-3.61132121111102,0.489565071627162, 15.9715240253913)); #586211=CARTESIAN_POINT('Ctrl Pts',(-3.20743478603399,1.73022450120292, 15.9317633818056)); #586212=CARTESIAN_POINT('Ctrl Pts',(-2.37450856526759,2.51623595469587, 15.8920027382198)); #586213=CARTESIAN_POINT('Ctrl Pts',(-1.54158234450119,3.30224740818881, 15.8522420946341)); #586214=CARTESIAN_POINT('Ctrl Pts',(-0.279616328045435,3.63361088559895, 15.8124814510484)); #586215=CARTESIAN_POINT('Ctrl Pts',(0.832016201872743,3.35819623803831, 15.7727208074626)); #586216=CARTESIAN_POINT('Ctrl Pts',(1.94364873179093,3.08278159047768,15.7329601638769)); #586217=CARTESIAN_POINT('Ctrl Pts',(2.90494777517153,2.20058881794627,15.6931995202911)); #586218=CARTESIAN_POINT('Ctrl Pts',(3.27457733727026,1.11663579534006,15.6534388767053)); #586219=CARTESIAN_POINT('Ctrl Pts',(3.39613671833035,0.760158197125051, 15.6403628683975)); #586220=CARTESIAN_POINT('Ctrl Pts',(3.45370453412734,0.381859371656284, 15.6272868600896)); #586221=CARTESIAN_POINT('Ctrl Pts',(3.45090661466127,0.00273453970870585, 15.6142108517818)); #586222=CARTESIAN_POINT('Ctrl Pts',(3.4519468768847,0.00301719107020691, 15.5088911625202)); #586223=CARTESIAN_POINT('Ctrl Pts',(3.45476869616596,0.342054645330194, 15.5205934542643)); #586224=CARTESIAN_POINT('Ctrl Pts',(3.40888222052965,0.680344829521263, 15.5322957460084)); #586225=CARTESIAN_POINT('Ctrl Pts',(3.31117058197678,1.00293738151953,15.5439980377524)); #586226=CARTESIAN_POINT('Ctrl Pts',(2.97917769377611,2.09900367040867,15.5837586813382)); #586227=CARTESIAN_POINT('Ctrl Pts',(2.04890320781195,3.01385288363646,15.6235193249239)); #586228=CARTESIAN_POINT('Ctrl Pts',(0.947439935803248,3.32747510393531, 15.6632799685097)); #586229=CARTESIAN_POINT('Ctrl Pts',(-0.154023336205458,3.64109732423416, 15.7030406120954)); #586230=CARTESIAN_POINT('Ctrl Pts',(-1.42667539425871,3.35349255160407, 15.7428012556812)); #586231=CARTESIAN_POINT('Ctrl Pts',(-2.28623711290949,2.59670081559539, 15.7825618992669)); #586232=CARTESIAN_POINT('Ctrl Pts',(-3.14579883156026,1.83990907958671, 15.8223225428527)); #586233=CARTESIAN_POINT('Ctrl Pts',(-3.59227021080856,0.613930380199436, 15.8620831864384)); #586234=CARTESIAN_POINT('Ctrl Pts',(-3.42067449065201,-0.518383696768789, 15.9018438300242)); #586235=CARTESIAN_POINT('Ctrl Pts',(-3.24907877049545,-1.65069777373702, 15.9416044736099)); #586236=CARTESIAN_POINT('Ctrl Pts',(-2.45941595093402,-2.68934722828621, 15.9813651171957)); #586237=CARTESIAN_POINT('Ctrl Pts',(-1.41422321665755,-3.15748433392406, 16.0211257607814)); #586238=CARTESIAN_POINT('Ctrl Pts',(-0.369030482381066,-3.62562143956191, 16.0608864043672)); #586239=CARTESIAN_POINT('Ctrl Pts',(0.931692166610471,-3.52324619628841, 16.1006470479529)); #586240=CARTESIAN_POINT('Ctrl Pts',(1.89077814370212,-2.89735940571161, 16.1404076915387)); #586241=CARTESIAN_POINT('Ctrl Pts',(2.84344410755899,-2.27566223063848, 16.1799021818659)); #586242=CARTESIAN_POINT('Ctrl Pts',(3.45903184602861,-1.13743870506151, 16.219396672193)); #586243=CARTESIAN_POINT('Ctrl Pts',(3.45972266776172,0.000144265412425977, 16.2588911625202)); #586244=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 13.874051007151)); #586245=CARTESIAN_POINT('',(3.45354995871696,-0.00318586796853315,17.1142108517818)); #586246=CARTESIAN_POINT('',(3.45237964564211,-0.00309158234549324,17.0088911625202)); #586247=CARTESIAN_POINT('',(3.453999809265,1.99000683707404E-10,13.874051007151)); #586248=CARTESIAN_POINT('Ctrl Pts',(3.45354995871696,-0.00318586796853315, 17.1142108517818)); #586249=CARTESIAN_POINT('Ctrl Pts',(3.45613791891426,-0.286667604971698, 17.1044145831186)); #586250=CARTESIAN_POINT('Ctrl Pts',(3.4241692801647,-0.569573345427285, 17.0946183144555)); #586251=CARTESIAN_POINT('Ctrl Pts',(3.35539772522613,-0.843230303958615, 17.0848220457923)); #586252=CARTESIAN_POINT('Ctrl Pts',(3.07627090880514,-1.9539365500879,17.0450614022066)); #586253=CARTESIAN_POINT('Ctrl Pts',(2.19087187178519,-2.91228314280668, 17.0053007586208)); #586254=CARTESIAN_POINT('Ctrl Pts',(1.10569018175771,-3.27828954649469, 16.9655401150351)); #586255=CARTESIAN_POINT('Ctrl Pts',(0.0205084917302364,-3.6442959501827, 16.9257794714493)); #586256=CARTESIAN_POINT('Ctrl Pts',(-1.26445585130476,-3.41796216483997, 16.8860188278636)); #586257=CARTESIAN_POINT('Ctrl Pts',(-2.15927071568023,-2.70319889851528, 16.8462581842778)); #586258=CARTESIAN_POINT('Ctrl Pts',(-3.05408558005571,-1.98843563219059, 16.8064975406921)); #586259=CARTESIAN_POINT('Ctrl Pts',(-3.55875096577166,-0.785242884883928, 16.7667368971063)); #586260=CARTESIAN_POINT('Ctrl Pts',(-3.4415732286445,0.353989087799876, 16.7269762535206)); #586261=CARTESIAN_POINT('Ctrl Pts',(-3.32439549151734,1.49322106048367, 16.6872156099348)); #586262=CARTESIAN_POINT('Ctrl Pts',(-2.58537463154711,2.56849225854461, 16.6474549663491)); #586263=CARTESIAN_POINT('Ctrl Pts',(-1.56379781822791,3.08614166572178, 16.6076943227633)); #586264=CARTESIAN_POINT('Ctrl Pts',(-0.542221004908718,3.60379107289895, 16.5679336791776)); #586265=CARTESIAN_POINT('Ctrl Pts',(0.761911761759431,3.56381868919235, 16.5281730355918)); #586266=CARTESIAN_POINT('Ctrl Pts',(1.74986824497236,2.98457598915815,16.4884123920061)); #586267=CARTESIAN_POINT('Ctrl Pts',(2.7378247281853,2.40533328912395,16.4486517484203)); #586268=CARTESIAN_POINT('Ctrl Pts',(3.40960492794301,1.28682027276215,16.4088911048346)); #586269=CARTESIAN_POINT('Ctrl Pts',(3.45679206907505,0.142550425201595, 16.3691304612488)); #586270=CARTESIAN_POINT('Ctrl Pts',(3.45873823396996,0.0953566822834696, 16.3674905914265)); #586271=CARTESIAN_POINT('Ctrl Pts',(3.45962194742323,0.0481191262264366, 16.3658507216041)); #586272=CARTESIAN_POINT('Ctrl Pts',(3.45946180716485,0.00087544254832872, 16.3642108517818)); #586273=CARTESIAN_POINT('Ctrl Pts',(3.45972266776172,0.000144265412425977, 16.2588911625202)); #586274=CARTESIAN_POINT('Ctrl Pts',(3.45972732320742,0.00781043383156234, 16.2591573157788)); #586275=CARTESIAN_POINT('Ctrl Pts',(3.4597040536736,0.0154765731604767, 16.2594234690374)); #586276=CARTESIAN_POINT('Ctrl Pts',(3.45965277413512,0.023142525122628, 16.2596896222959)); #586277=CARTESIAN_POINT('Ctrl Pts',(3.45199212228471,1.16835932749995,16.2994502658817)); #586278=CARTESIAN_POINT('Ctrl Pts',(2.81922151339213,2.3093945943745,16.3392109094674)); #586279=CARTESIAN_POINT('Ctrl Pts',(1.85184829333964,2.92239480255981,16.3789715530532)); #586280=CARTESIAN_POINT('Ctrl Pts',(0.884475073287143,3.53539501074512, 16.4187321966389)); #586281=CARTESIAN_POINT('Ctrl Pts',(-0.417500757925268,3.62036016024121, 16.4584928402247)); #586282=CARTESIAN_POINT('Ctrl Pts',(-1.45633724949806,3.1382824262132,16.4982534838104)); #586283=CARTESIAN_POINT('Ctrl Pts',(-2.49517374107084,2.65620469218519, 16.5380141273961)); #586284=CARTESIAN_POINT('Ctrl Pts',(-3.27087089300401,1.60708407463308, 16.5777747709819)); #586285=CARTESIAN_POINT('Ctrl Pts',(-3.42730326168641,0.472575759926493, 16.6175354145677)); #586286=CARTESIAN_POINT('Ctrl Pts',(-3.58373563036881,-0.661932554780101, 16.6572960581534)); #586287=CARTESIAN_POINT('Ctrl Pts',(-3.12090321580043,-1.88182856664117, 16.6970567017392)); #586288=CARTESIAN_POINT('Ctrl Pts',(-2.25129408820285,-2.62705348251095, 16.7368173453249)); #586289=CARTESIAN_POINT('Ctrl Pts',(-1.38168496060527,-3.37227839838072, 16.7765779889107)); #586290=CARTESIAN_POINT('Ctrl Pts',(-0.10529911997849,-3.64283221825918, 16.8163386324964)); #586291=CARTESIAN_POINT('Ctrl Pts',(0.991869915198562,-3.31450266686145, 16.8560992760822)); #586292=CARTESIAN_POINT('Ctrl Pts',(2.08903895037561,-2.98617311546373, 16.8958599196679)); #586293=CARTESIAN_POINT('Ctrl Pts',(3.00699118010293,-2.05896019278982, 16.9356205632537)); #586294=CARTESIAN_POINT('Ctrl Pts',(3.32429137477893,-0.958550819911761, 16.9753812068394)); #586295=CARTESIAN_POINT('Ctrl Pts',(3.4134307389191,-0.649412050260517, 16.9865511920663)); #586296=CARTESIAN_POINT('Ctrl Pts',(3.45516540995541,-0.326604260794987, 16.9977211772933)); #586297=CARTESIAN_POINT('Ctrl Pts',(3.45237964564211,-0.00309158234549324, 17.0088911625202)); #586298=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 13.874051007151)); #586299=CARTESIAN_POINT('',(3.44843195761728,0.000643874095852814,17.8642108517818)); #586300=CARTESIAN_POINT('',(3.44875392709393,0.00127338827508482,17.7588911625202)); #586301=CARTESIAN_POINT('',(3.453999809265,1.99000683707404E-10,13.874051007151)); #586302=CARTESIAN_POINT('Ctrl Pts',(3.44843195761728,0.000643874095852814, 17.8642108517818)); #586303=CARTESIAN_POINT('Ctrl Pts',(3.44740059212339,-0.616078933972656, 17.8429784446331)); #586304=CARTESIAN_POINT('Ctrl Pts',(3.28978968777021,-1.23329067940578, 17.8217460374844)); #586305=CARTESIAN_POINT('Ctrl Pts',(2.97870686027894,-1.75982835574425, 17.8005136303358)); #586306=CARTESIAN_POINT('Ctrl Pts',(2.39616088030948,-2.74584358881606, 17.76075298675)); #586307=CARTESIAN_POINT('Ctrl Pts',(1.27542284921421,-3.41388493955066, 17.7209923431643)); #586308=CARTESIAN_POINT('Ctrl Pts',(0.131004888091775,-3.45724956520578, 17.6812316995785)); #586309=CARTESIAN_POINT('Ctrl Pts',(-1.01341307303067,-3.5006141908609, 17.6414710559928)); #586310=CARTESIAN_POINT('Ctrl Pts',(-2.18151096418028,-2.91930209143651, 17.601710412407)); #586311=CARTESIAN_POINT('Ctrl Pts',(-2.83699891757896,-1.98019713951755, 17.5619497688213)); #586312=CARTESIAN_POINT('Ctrl Pts',(-3.49248687097764,-1.04109218759859, 17.5221891252355)); #586313=CARTESIAN_POINT('Ctrl Pts',(-3.63536488662539,0.255805616814941, 17.4824284816498)); #586314=CARTESIAN_POINT('Ctrl Pts',(-3.20004319894693,1.31508634628514, 17.442667838064)); #586315=CARTESIAN_POINT('Ctrl Pts',(-2.76472151126848,2.37436707575534, 17.4029071944783)); #586316=CARTESIAN_POINT('Ctrl Pts',(-1.75120012026379,3.1960307302822,17.3631465508925)); #586317=CARTESIAN_POINT('Ctrl Pts',(-0.624787347669739,3.40284811695249, 17.3233859073068)); #586318=CARTESIAN_POINT('Ctrl Pts',(0.501625424924314,3.60966550362279, 17.2836252637211)); #586319=CARTESIAN_POINT('Ctrl Pts',(1.74092957910774,3.20163662243651,17.2438646201353)); #586320=CARTESIAN_POINT('Ctrl Pts',(2.52415435828844,2.36608923207348,17.2041039765496)); #586321=CARTESIAN_POINT('Ctrl Pts',(3.11440740289584,1.73640487557055,17.174139601627)); #586322=CARTESIAN_POINT('Ctrl Pts',(3.44563402538529,0.863914999040338, 17.1441752267044)); #586323=CARTESIAN_POINT('Ctrl Pts',(3.45354995871696,-0.00318586796853315, 17.1142108517818)); #586324=CARTESIAN_POINT('Ctrl Pts',(3.45237964564211,-0.00309158234549324, 17.0088911625202)); #586325=CARTESIAN_POINT('Ctrl Pts',(3.4452492122412,0.824970431736543,17.037481820879)); #586326=CARTESIAN_POINT('Ctrl Pts',(3.14644155548638,1.65765055118977,17.0660724792378)); #586327=CARTESIAN_POINT('Ctrl Pts',(2.60432362970656,2.27754950826142,17.0946631375966)); #586328=CARTESIAN_POINT('Ctrl Pts',(1.8504075303335,3.13963464047434,17.1344237811824)); #586329=CARTESIAN_POINT('Ctrl Pts',(0.625927171962679,3.59019908181797, 17.1741844247681)); #586330=CARTESIAN_POINT('Ctrl Pts',(-0.506953744413779,3.42238695719357, 17.2139450683539)); #586331=CARTESIAN_POINT('Ctrl Pts',(-1.63983466079024,3.25457483256918, 17.2537057119396)); #586332=CARTESIAN_POINT('Ctrl Pts',(-2.68111613517234,2.46838614197675, 17.2934663555254)); #586333=CARTESIAN_POINT('Ctrl Pts',(-3.1527423769387,1.42476316181263,17.3332269991111)); #586334=CARTESIAN_POINT('Ctrl Pts',(-3.62436861870506,0.381140181648496, 17.3729876426969)); #586335=CARTESIAN_POINT('Ctrl Pts',(-3.52633962785568,-0.919917088087326, 17.4127482862826)); #586336=CARTESIAN_POINT('Ctrl Pts',(-2.90366008253745,-1.88108864993117, 17.4525089298684)); #586337=CARTESIAN_POINT('Ctrl Pts',(-2.28098053721924,-2.842260211775,17.4922695734541)); #586338=CARTESIAN_POINT('Ctrl Pts',(-1.13365043743219,-3.46354606572685, 17.5320302170399)); #586339=CARTESIAN_POINT('Ctrl Pts',(0.0115851503723349,-3.45971110225248, 17.5717908606256)); #586340=CARTESIAN_POINT('Ctrl Pts',(1.15682073817686,-3.45587613877811, 17.6115515042114)); #586341=CARTESIAN_POINT('Ctrl Pts',(2.29996181399887,-2.82692035787752, 17.6513121477971)); #586342=CARTESIAN_POINT('Ctrl Pts',(2.91619106118666,-1.86160059621578, 17.6910727913829)); #586343=CARTESIAN_POINT('Ctrl Pts',(3.26655145128237,-1.3127629520982,17.7136789150953)); #586344=CARTESIAN_POINT('Ctrl Pts',(3.44658490416676,-0.6551939348783,17.7362850388078)); #586345=CARTESIAN_POINT('Ctrl Pts',(3.44875392709393,0.00127338827508482, 17.7588911625202)); #586346=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 13.874051007151)); #586347=CARTESIAN_POINT('',(3.45676666130078,0.00285083838310718,18.6142108517818)); #586348=CARTESIAN_POINT('',(3.45717382987894,0.00256648380479073,18.5088911625202)); #586349=CARTESIAN_POINT('',(3.453999809265,1.99000683707404E-10,13.874051007151)); #586350=CARTESIAN_POINT('Ctrl Pts',(3.45676666130078,0.00285083838310718, 18.6142108517818)); #586351=CARTESIAN_POINT('Ctrl Pts',(3.44827487506845,-0.940853654570495, 18.5815423061476)); #586352=CARTESIAN_POINT('Ctrl Pts',(3.04622530289543,-1.8908152456668,18.5488737605134)); #586353=CARTESIAN_POINT('Ctrl Pts',(2.35729387523107,-2.53200655437074, 18.5162052148793)); #586354=CARTESIAN_POINT('Ctrl Pts',(1.51880056557687,-3.31239572079239, 18.4764445712935)); #586355=CARTESIAN_POINT('Ctrl Pts',(0.255345066464068,-3.63539905840144, 18.4366839277078)); #586356=CARTESIAN_POINT('Ctrl Pts',(-0.854253299070007,-3.35258267089608, 18.396923284122)); #586357=CARTESIAN_POINT('Ctrl Pts',(-1.9638516646041,-3.06976628339071, 18.3571626405363)); #586358=CARTESIAN_POINT('Ctrl Pts',(-2.91959289655946,-2.18113017077092, 18.3174019969505)); #586359=CARTESIAN_POINT('Ctrl Pts',(-3.2820588793649,-1.09472199374446, 18.2776413533648)); #586360=CARTESIAN_POINT('Ctrl Pts',(-3.64452486217034,-0.00831381671800815, 18.237880709779)); #586361=CARTESIAN_POINT('Ctrl Pts',(-3.41371559582586,1.27586642471511, 18.1981200661933)); #586362=CARTESIAN_POINT('Ctrl Pts',(-2.69592237908466,2.16828346616914, 18.1583594226075)); #586363=CARTESIAN_POINT('Ctrl Pts',(-1.97812916234345,3.06070050762317, 18.1185987790218)); #586364=CARTESIAN_POINT('Ctrl Pts',(-0.773351995205526,3.5613543490981, 18.078838135436)); #586365=CARTESIAN_POINT('Ctrl Pts',(0.36545917603066,3.440374089431,18.0390774918503)); #586366=CARTESIAN_POINT('Ctrl Pts',(1.50427034726684,3.31939382976389,17.9993168482645)); #586367=CARTESIAN_POINT('Ctrl Pts',(2.57711552260128,2.57677946895475,17.9595562046788)); #586368=CARTESIAN_POINT('Ctrl Pts',(3.09136114584667,1.55347773084567,17.919795561093)); #586369=CARTESIAN_POINT('Ctrl Pts',(3.33099671597219,1.07662487233299,17.9012673246559)); #586370=CARTESIAN_POINT('Ctrl Pts',(3.44933196785117,0.538820536027425, 17.8827390882188)); #586371=CARTESIAN_POINT('Ctrl Pts',(3.44843195761728,0.000643874095852814, 17.8642108517818)); #586372=CARTESIAN_POINT('Ctrl Pts',(3.44875392709393,0.00127338827508482, 17.7588911625202)); #586373=CARTESIAN_POINT('Ctrl Pts',(3.45039987698711,0.499429634982708, 17.7760456823935)); #586374=CARTESIAN_POINT('Ctrl Pts',(3.34962364114093,0.996951477642622, 17.7932002022668)); #586375=CARTESIAN_POINT('Ctrl Pts',(3.14313151751043,1.44584452207967,17.8103547221401)); #586376=CARTESIAN_POINT('Ctrl Pts',(2.66452530809282,2.48628613629049,17.8501153657259)); #586377=CARTESIAN_POINT('Ctrl Pts',(1.61799547070403,3.26548562228923,17.8898760093116)); #586378=CARTESIAN_POINT('Ctrl Pts',(0.48401909475832,3.42570621149547,17.9296366528974)); #586379=CARTESIAN_POINT('Ctrl Pts',(-0.649957281187394,3.5859268007017, 17.9693972964831)); #586380=CARTESIAN_POINT('Ctrl Pts',(-1.87138019569003,3.12716849311544, 18.0091579400689)); #586381=CARTESIAN_POINT('Ctrl Pts',(-2.61951188508239,2.26005551033554, 18.0489185836546)); #586382=CARTESIAN_POINT('Ctrl Pts',(-3.36764357447474,1.39294252755566, 18.0886792272404)); #586383=CARTESIAN_POINT('Ctrl Pts',(-3.64248403875683,0.117474869582156, 18.1284398708261)); #586384=CARTESIAN_POINT('Ctrl Pts',(-3.31781017411624,-0.980788288476244, 18.1682005144119)); #586385=CARTESIAN_POINT('Ctrl Pts',(-2.99313630947565,-2.07905144653467, 18.2079611579976)); #586386=CARTESIAN_POINT('Ctrl Pts',(-2.06894811591235,-3.00011010467798, 18.2477218015834)); #586387=CARTESIAN_POINT('Ctrl Pts',(-0.969627030318212,-3.32107798746736, 18.2874824451691)); #586388=CARTESIAN_POINT('Ctrl Pts',(0.12969405527592,-3.64204587025674, 18.3272430887549)); #586389=CARTESIAN_POINT('Ctrl Pts',(1.40414803290089,-3.3629229776922,18.3670037323406)); #586390=CARTESIAN_POINT('Ctrl Pts',(2.26878997755794,-2.61190388700964, 18.4067643759264)); #586391=CARTESIAN_POINT('Ctrl Pts',(3.00907899536247,-1.96889646824004, 18.4408066381243)); #586392=CARTESIAN_POINT('Ctrl Pts',(3.44895778174161,-0.979968396387772, 18.4748489003223)); #586393=CARTESIAN_POINT('Ctrl Pts',(3.45717382987894,0.00256648380479073, 18.5088911625202)); #586394=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 12.4876158051006)); #586395=CARTESIAN_POINT('',(3.02617200638231,0.00249572166953512,18.8626158051006)); #586396=CARTESIAN_POINT('',(3.023749809265,1.99075015959625E-10,18.874051007151)); #586397=CARTESIAN_POINT('',(3.023749809265,1.99075015959625E-10,12.4876158051006)); #586398=CARTESIAN_POINT('',(3.00988516885489,0.289230331641654,18.874051007151)); #586399=CARTESIAN_POINT('Ctrl Pts',(3.02617200638231,0.00249572166953493, 18.8626158051006)); #586400=CARTESIAN_POINT('Ctrl Pts',(3.02703939833448,0.098890244567446, 18.8664275391174)); #586401=CARTESIAN_POINT('Ctrl Pts',(3.02321630658325,0.195210194383798, 18.8702392731342)); #586402=CARTESIAN_POINT('Ctrl Pts',(3.01455438768732,0.291017494361003, 18.874051007151)); #586403=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 18.874051007151)); #586404=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 12.4876158051006)); #586405=CARTESIAN_POINT('',(3.01887552107167,0.000563669479750672,18.1126158051006)); #586406=CARTESIAN_POINT('',(3.026528455713,0.00224678792425714,18.2604862092014)); #586407=CARTESIAN_POINT('',(3.023749809265,1.99075015959625E-10,12.4876158051006)); #586408=CARTESIAN_POINT('Ctrl Pts',(3.01887552107166,0.000563669479750686, 18.1126158051006)); #586409=CARTESIAN_POINT('Ctrl Pts',(3.01966342088194,0.471701967544478, 18.1311440415377)); #586410=CARTESIAN_POINT('Ctrl Pts',(2.69375970340913,1.95285343924806,18.1894329215605)); #586411=CARTESIAN_POINT('Ctrl Pts',(0.377684360615742,3.55602121202399, 18.2874824451691)); #586412=CARTESIAN_POINT('Ctrl Pts',(-2.78642533174091,2.24115260765816, 18.4067643759264)); #586413=CARTESIAN_POINT('Ctrl Pts',(-3.39260036281425,-1.13149360400952, 18.5260463066836)); #586414=CARTESIAN_POINT('Ctrl Pts',(-0.882534453614746,-3.46532114790131, 18.6453282374408)); #586415=CARTESIAN_POINT('Ctrl Pts',(2.23839242433519,-2.66745387143649, 18.7575180702465)); #586416=CARTESIAN_POINT('Ctrl Pts',(3.01873800562377,-0.823655540799612, 18.8299472594664)); #586417=CARTESIAN_POINT('Ctrl Pts',(3.02617200638231,0.00249572166953493, 18.8626158051006)); #586418=CARTESIAN_POINT('',(1.25446167448542,-2.75125234940612,18.874051007151)); #586419=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 18.874051007151)); #586420=CARTESIAN_POINT('Ctrl Pts',(3.02652845571301,0.00224678792425687, 18.2604862092014)); #586421=CARTESIAN_POINT('Ctrl Pts',(3.02773666238074,0.146732941569457, 18.2662045905892)); #586422=CARTESIAN_POINT('Ctrl Pts',(2.95254184017693,1.29459396607607,18.3116836155627)); #586423=CARTESIAN_POINT('Ctrl Pts',(1.48293322509107,3.25395540133379,18.396923284122)); #586424=CARTESIAN_POINT('Ctrl Pts',(-1.93311610876594,3.00738972921925, 18.5162052148793)); #586425=CARTESIAN_POINT('Ctrl Pts',(-3.57830962953201,0.000666454663338376, 18.6354871456365)); #586426=CARTESIAN_POINT('Ctrl Pts',(-1.92952695901439,-3.00929427707297, 18.7547690763938)); #586427=CARTESIAN_POINT('Ctrl Pts',(0.338025050216778,-3.1691110737072, 18.8342903635652)); #586428=CARTESIAN_POINT('Ctrl Pts',(1.25446167448542,-2.75125234940612, 18.874051007151)); #586429=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 12.4876158051006)); #586430=CARTESIAN_POINT('',(3.02335599467478,-0.00278901800346786,17.3626158051006)); #586431=CARTESIAN_POINT('',(3.01915738418834,0.00111476777149289,17.5104862092014)); #586432=CARTESIAN_POINT('',(3.023749809265,1.99075015959625E-10,12.4876158051006)); #586433=CARTESIAN_POINT('Ctrl Pts',(3.02335599467478,-0.00278901800346759, 17.3626158051006)); #586434=CARTESIAN_POINT('Ctrl Pts',(3.01642611528517,0.756300804410545, 17.3925801800232)); #586435=CARTESIAN_POINT('Ctrl Pts',(2.34169272875362,2.53362789448903,17.4623051985316)); #586436=CARTESIAN_POINT('Ctrl Pts',(-0.645789270312973,3.51722738742097, 17.5717908606256)); #586437=CARTESIAN_POINT('Ctrl Pts',(-3.30760337516276,1.35928980023891, 17.6910727913829)); #586438=CARTESIAN_POINT('Ctrl Pts',(-2.93236243728067,-2.04675658316766, 17.8103547221401)); #586439=CARTESIAN_POINT('Ctrl Pts',(0.135416368478119,-3.57345819923697, 17.9296366528974)); #586440=CARTESIAN_POINT('Ctrl Pts',(2.62161161335373,-2.09150507237329, 18.0303903472175)); #586441=CARTESIAN_POINT('Ctrl Pts',(3.01797262836404,-0.539336617404203, 18.0913833979519)); #586442=CARTESIAN_POINT('Ctrl Pts',(3.01887552107166,0.000563669479750686, 18.1126158051006)); #586443=CARTESIAN_POINT('Ctrl Pts',(3.01915738418834,0.00111476777149313, 17.5104862092014)); #586444=CARTESIAN_POINT('Ctrl Pts',(3.0205983051465,0.437217822485471,17.5276407290747)); #586445=CARTESIAN_POINT('Ctrl Pts',(2.72789265824333,1.88227529641706,17.5845558925337)); #586446=CARTESIAN_POINT('Ctrl Pts',(0.500280580970817,3.54085599197203, 17.6812316995785)); #586447=CARTESIAN_POINT('Ctrl Pts',(-2.70754588491859,2.33601774712781, 17.8005136303358)); #586448=CARTESIAN_POINT('Ctrl Pts',(-3.42936017606475,-1.01374658187979, 17.919795561093)); #586449=CARTESIAN_POINT('Ctrl Pts',(-1.0021621011195,-3.43272554907215, 18.0390774918503)); #586450=CARTESIAN_POINT('Ctrl Pts',(2.18447976385771,-2.73480729503112, 18.1526410412197)); #586451=CARTESIAN_POINT('Ctrl Pts',(3.01933584553487,-0.857897926604489, 18.2264439470034)); #586452=CARTESIAN_POINT('Ctrl Pts',(3.02652845571301,0.00224678792425687, 18.2604862092014)); #586453=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 12.4876158051006)); #586454=CARTESIAN_POINT('',(3.02853142945889,0.000766392441006698,16.6126158051006)); #586455=CARTESIAN_POINT('',(3.02233146249177,-0.00270647713703234,16.7604862092014)); #586456=CARTESIAN_POINT('',(3.023749809265,1.99075015959625E-10,12.4876158051006)); #586457=CARTESIAN_POINT('Ctrl Pts',(3.02853142945889,0.00076639244100664, 16.6126158051006)); #586458=CARTESIAN_POINT('Ctrl Pts',(3.02867162173054,0.0421251326036163, 16.6142556749229)); #586459=CARTESIAN_POINT('Ctrl Pts',(3.00914031197734,1.08614153397219,16.655656188331)); #586460=CARTESIAN_POINT('Ctrl Pts',(1.80868617856664,3.08489585011528,16.7368173453249)); #586461=CARTESIAN_POINT('Ctrl Pts',(-1.61636140673693,3.18987543485716, 16.8560992760822)); #586462=CARTESIAN_POINT('Ctrl Pts',(-3.55725398809333,0.365887636533355, 16.9753812068394)); #586463=CARTESIAN_POINT('Ctrl Pts',(-2.23184985370544,-2.79406088952494, 17.0946631375966)); #586464=CARTESIAN_POINT('Ctrl Pts',(1.14285564622401,-3.38848195214517, 17.2139450683539)); #586465=CARTESIAN_POINT('Ctrl Pts',(2.88404506019069,-1.50383686853483, 17.3032626241885)); #586466=CARTESIAN_POINT('Ctrl Pts',(3.02562158374444,-0.250958648073935, 17.3528195364374)); #586467=CARTESIAN_POINT('Ctrl Pts',(3.02335599467478,-0.00278901800346759, 17.3626158051006)); #586468=CARTESIAN_POINT('Ctrl Pts',(3.02233146249177,-0.00270647713703213, 16.7604862092014)); #586469=CARTESIAN_POINT('Ctrl Pts',(3.01608923666779,0.722207395208216, 16.7890768675602)); #586470=CARTESIAN_POINT('Ctrl Pts',(2.39071799585677,2.46491441000329,16.8574281695047)); #586471=CARTESIAN_POINT('Ctrl Pts',(-0.523994001003293,3.537422901924,16.9655401150351)); #586472=CARTESIAN_POINT('Ctrl Pts',(-3.25871449159062,1.47265331317572, 17.0848220457923)); #586473=CARTESIAN_POINT('Ctrl Pts',(-3.00126067478619,-1.94431708580838, 17.2041039765496)); #586474=CARTESIAN_POINT('Ctrl Pts',(0.0119756215928765,-3.57600188681032, 17.3233859073068)); #586475=CARTESIAN_POINT('Ctrl Pts',(2.58244417295976,-2.16173107702174, 17.4255133181908)); #586476=CARTESIAN_POINT('Ctrl Pts',(3.01725854716577,-0.573579230023859, 17.487880085489)); #586477=CARTESIAN_POINT('Ctrl Pts',(3.01915738418834,0.00111476777149313, 17.5104862092014)); #586478=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 12.4876158051006)); #586479=CARTESIAN_POINT('',(3.02104192067698,0.00239390982333918,15.8626158051006)); #586480=CARTESIAN_POINT('',(3.02875979576745,0.00012629491215721,16.0104862092014)); #586481=CARTESIAN_POINT('',(3.023749809265,1.99075015959625E-10,12.4876158051006)); #586482=CARTESIAN_POINT('Ctrl Pts',(3.02104192067698,0.00239390982333901, 15.8626158051006)); #586483=CARTESIAN_POINT('Ctrl Pts',(3.02349131528772,0.334292783767024, 15.8756918134085)); #586484=CARTESIAN_POINT('Ctrl Pts',(2.81985116815183,1.67248544251706,15.9285284653021)); #586485=CARTESIAN_POINT('Ctrl Pts',(0.859982567535311,3.47107447314255, 16.0211257607815)); #586486=CARTESIAN_POINT('Ctrl Pts',(-2.45432236204614,2.60081363224597, 16.1404076915386)); #586487=CARTESIAN_POINT('Ctrl Pts',(-3.51505042616889,-0.657533747759548, 16.2596896222959)); #586488=CARTESIAN_POINT('Ctrl Pts',(-1.34823318942332,-3.31212869303924, 16.3789715530531)); #586489=CARTESIAN_POINT('Ctrl Pts',(2.00973329811733,-2.930817723231,16.4966136139881)); #586490=CARTESIAN_POINT('Ctrl Pts',(3.02527248914663,-0.960667957643083, 16.5744950313372)); #586491=CARTESIAN_POINT('Ctrl Pts',(3.02853142945889,0.00076639244100664, 16.6126158051006)); #586492=CARTESIAN_POINT('Ctrl Pts',(3.02875979576745,0.000126294912157171, 16.0104862092014)); #586493=CARTESIAN_POINT('Ctrl Pts',(3.0287638713042,0.00683752153558703, 16.01075236246)); #586494=CARTESIAN_POINT('Ctrl Pts',(3.02570028386496,1.01613527253253,16.0507791593043)); #586495=CARTESIAN_POINT('Ctrl Pts',(1.91409404760184,3.02062457818107,16.1305665997344)); #586496=CARTESIAN_POINT('Ctrl Pts',(-1.50528877199445,3.2437687913734,16.2498485304916)); #586497=CARTESIAN_POINT('Ctrl Pts',(-3.54250440299437,0.488460336836762, 16.3691304612488)); #586498=CARTESIAN_POINT('Ctrl Pts',(-2.32696631942361,-2.71535602031285, 16.4884123920061)); #586499=CARTESIAN_POINT('Ctrl Pts',(1.02520943529142,-3.42591228509932, 16.6076943227633)); #586500=CARTESIAN_POINT('Ctrl Pts',(2.85818096250691,-1.57444896065987, 16.6983855951618)); #586501=CARTESIAN_POINT('Ctrl Pts',(3.02477021606513,-0.285920565574129, 16.7493162239745)); #586502=CARTESIAN_POINT('Ctrl Pts',(3.02233146249177,-0.00270647713703213, 16.7604862092014)); #586503=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 12.4876158051006)); #586504=CARTESIAN_POINT('',(3.01986093384298,-0.00180024280786706,15.1126158051006)); #586505=CARTESIAN_POINT('',(3.02195260188661,0.00264135251424213,15.2604862092014)); #586506=CARTESIAN_POINT('',(3.023749809265,1.99075015959625E-10,12.4876158051006)); #586507=CARTESIAN_POINT('Ctrl Pts',(3.01986093384298,-0.001800242807867, 15.1126158051006)); #586508=CARTESIAN_POINT('Ctrl Pts',(3.01649845549417,0.620985970625849, 15.137127951894)); #586509=CARTESIAN_POINT('Ctrl Pts',(2.52515202809451,2.25885038185616,15.2014007422731)); #586510=CARTESIAN_POINT('Ctrl Pts',(-0.159278116270508,3.5724694722262, 15.3054341762379)); #586511=CARTESIAN_POINT('Ctrl Pts',(-3.09092065450713,1.7983722406879,15.4247161069952)); #586512=CARTESIAN_POINT('Ctrl Pts',(-3.18445831086989,-1.62700922364113, 15.5439980377525)); #586513=CARTESIAN_POINT('Ctrl Pts',(-0.354002148741127,-3.55845589933124, 15.6632799685097)); #586514=CARTESIAN_POINT('Ctrl Pts',(2.45558611215188,-2.3689540638824,15.7694858909591)); #586515=CARTESIAN_POINT('Ctrl Pts',(3.0160433614607,-0.67492291284979,15.8359311698227)); #586516=CARTESIAN_POINT('Ctrl Pts',(3.02104192067698,0.00239390982333901, 15.8626158051006)); #586517=CARTESIAN_POINT('Ctrl Pts',(3.02195260188662,0.00264135251424182, 15.2604862092014)); #586518=CARTESIAN_POINT('Ctrl Pts',(3.02442291921485,0.299446361871114, 15.2721885009455)); #586519=CARTESIAN_POINT('Ctrl Pts',(2.84776549928966,1.60182294007854,15.3236514362753)); #586520=CARTESIAN_POINT('Ctrl Pts',(0.979285904928633,3.43932048797883, 15.4148750151909)); #586521=CARTESIAN_POINT('Ctrl Pts',(-2.3630838060197,2.68398325760225,15.5341569459481)); #586522=CARTESIAN_POINT('Ctrl Pts',(-3.53565285610833,-0.535808079055788, 15.6534388767053)); #586523=CARTESIAN_POINT('Ctrl Pts',(-1.46175915259166,-3.2636160884881, 15.7727208074626)); #586524=CARTESIAN_POINT('Ctrl Pts',(1.94671012053896,-2.99534762149592, 15.8917365849613)); #586525=CARTESIAN_POINT('Ctrl Pts',(3.02815502674155,-0.995752832757384, 15.9709917188742)); #586526=CARTESIAN_POINT('Ctrl Pts',(3.02875979576745,0.000126294912157171, 16.0104862092014)); #586527=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 12.4876158051006)); #586528=CARTESIAN_POINT('',(3.02757717608853,-0.0018553911440936,14.3626158051006)); #586529=CARTESIAN_POINT('',(3.01931036916349,-0.00133655338743016,14.5104862092014)); #586530=CARTESIAN_POINT('',(3.023749809265,1.99075015959625E-10,12.4876158051006)); #586531=CARTESIAN_POINT('Ctrl Pts',(3.02757717608853,-0.00185539114409359, 14.3626158051006)); #586532=CARTESIAN_POINT('Ctrl Pts',(3.02154822416238,0.9054513732703,14.3985640903795)); #586533=CARTESIAN_POINT('Ctrl Pts',(2.10521923484674,2.82748473653963,14.4742730192441)); #586534=CARTESIAN_POINT('Ctrl Pts',(-1.16550790433069,3.38060968572907, 14.5897425916945)); #586535=CARTESIAN_POINT('Ctrl Pts',(-3.47390856344484,0.848465142261291, 14.7090245224517)); #586536=CARTESIAN_POINT('Ctrl Pts',(-2.59261038202073,-2.46303952887323, 14.828306453209)); #586537=CARTESIAN_POINT('Ctrl Pts',(0.669277649866304,-3.51281208055943, 14.9475883839662)); #586538=CARTESIAN_POINT('Ctrl Pts',(2.77259068616694,-1.78426083050036, 15.0423581679301)); #586539=CARTESIAN_POINT('Ctrl Pts',(3.02195266170136,-0.389222595404519, 15.0973673083082)); #586540=CARTESIAN_POINT('Ctrl Pts',(3.01986093384298,-0.001800242807867, 15.1126158051006)); #586541=CARTESIAN_POINT('Ctrl Pts',(3.01931036916349,-0.0013365533874302, 14.5104862092014)); #586542=CARTESIAN_POINT('Ctrl Pts',(3.01701209928853,0.586819190421727, 14.533624639431)); #586543=CARTESIAN_POINT('Ctrl Pts',(2.56680490903003,2.1889262043457,14.5965237132463)); #586544=CARTESIAN_POINT('Ctrl Pts',(-0.0358754609854743,3.57582096398381, 14.6991834306474)); #586545=CARTESIAN_POINT('Ctrl Pts',(-3.02699759893537,1.90400333416712, 14.8184653614046)); #586546=CARTESIAN_POINT('Ctrl Pts',(-3.23872462272288,-1.51612195268313, 14.9377472921619)); #586547=CARTESIAN_POINT('Ctrl Pts',(-0.476622302407161,-3.54411341110727, 15.0570292229191)); #586548=CARTESIAN_POINT('Ctrl Pts',(2.4091998395351,-2.43818422205354,15.1646088619323)); #586549=CARTESIAN_POINT('Ctrl Pts',(3.01602957122972,-0.709002106992609, 15.2324278573597)); #586550=CARTESIAN_POINT('Ctrl Pts',(3.02195260188662,0.00264135251424182, 15.2604862092014)); #586551=CARTESIAN_POINT('Origin',(-4.44428170931488E-7,4.80010000606,1.224050998027)); #586552=CARTESIAN_POINT('',(-1.600000192668,4.80010000606,1.124050997906)); #586553=CARTESIAN_POINT('',(-4.45000048946549,4.80000000609964,1.12405099790598)); #586554=CARTESIAN_POINT('',(-0.800000318548086,4.80010000606,1.124050997906)); #586555=CARTESIAN_POINT('',(-4.45000048946543,4.80000000607335,1.324050998148)); #586556=CARTESIAN_POINT('',(-4.45000048946543,4.80000000606,1.3990509982385)); #586557=CARTESIAN_POINT('',(-1.600000192668,4.80000000606,1.324050998148)); #586558=CARTESIAN_POINT('',(0.374999600083595,4.80000000606,1.324050998148)); #586559=CARTESIAN_POINT('',(-1.600000192668,4.80010000606,1.2740509980875)); #586560=CARTESIAN_POINT('Origin',(-4.44428170931488E-7,4.80010000606,1.224050998027)); #586561=CARTESIAN_POINT('',(4.45000006701829,4.80000000606987,1.324050998148)); #586562=CARTESIAN_POINT('',(1.599999811199,4.80000000606,1.324050998148)); #586563=CARTESIAN_POINT('',(3.399999813374,4.80000000606,1.324050998148)); #586564=CARTESIAN_POINT('',(4.45000006701731,4.80000000634984,1.12405099790602)); #586565=CARTESIAN_POINT('',(4.4500000670183,4.80000000606,1.39905099823851)); #586566=CARTESIAN_POINT('',(1.599999811199,4.80010000606,1.124050997906)); #586567=CARTESIAN_POINT('',(2.22499964104865,4.80010000606,1.124050997906)); #586568=CARTESIAN_POINT('',(1.599999811199,4.80010000606,1.1740509979665)); #586569=CARTESIAN_POINT('Origin',(-4.75010061538184,3.52500000467047,1.22405099802707)); #586570=CARTESIAN_POINT('',(-4.75005067834004,4.50000000605998,1.32405099814807)); #586571=CARTESIAN_POINT('',(-4.75010061538184,4.50000000605997,1.12405099790607)); #586572=CARTESIAN_POINT('',(-4.75010061538184,4.50000000605997,1.27405099808757)); #586573=CARTESIAN_POINT('',(-4.75010061538184,2.55000000328097,1.12405099790607)); #586574=CARTESIAN_POINT('',(-4.75010061538184,4.01250000536522,1.12405099790607)); #586575=CARTESIAN_POINT('',(-4.75000061538183,2.55000000328101,1.32405099814811)); #586576=CARTESIAN_POINT('',(-4.75010061538184,2.55000000328097,1.17405099796657)); #586577=CARTESIAN_POINT('',(-4.75000061538183,-0.0499999998610168,1.32405099814807)); #586578=CARTESIAN_POINT('Origin',(-4.75010061538181,-3.52500000427253,1.22405099802707)); #586579=CARTESIAN_POINT('',(-4.75010061538182,-2.55000000288303,1.12405099790607)); #586580=CARTESIAN_POINT('',(-4.75000061538181,-4.500000005662,1.12405099790598)); #586581=CARTESIAN_POINT('',(-4.75010061538182,-3.03750000357778,1.12405099790607)); #586582=CARTESIAN_POINT('',(-4.75000061538181,-4.500000005662,1.324050998148)); #586583=CARTESIAN_POINT('',(-4.75000061538181,-4.500000005662,1.3990509982385)); #586584=CARTESIAN_POINT('',(-4.75000061538182,-2.55000000288299,1.32405099814811)); #586585=CARTESIAN_POINT('',(-4.75000061538181,-3.57500000433252,1.32405099814807)); #586586=CARTESIAN_POINT('',(-4.75010061538182,-2.55000000288303,1.27405099808757)); #586587=CARTESIAN_POINT('Origin',(4.75009972652544,1.99000815825912E-10, 1.224050998027)); #586588=CARTESIAN_POINT('',(4.75009972652544,2.550000003281,1.124050997906)); #586589=CARTESIAN_POINT('',(4.75000006701829,4.50000000606,1.12405099790602)); #586590=CARTESIAN_POINT('',(4.75009972652544,2.550000003281,1.124050997906)); #586591=CARTESIAN_POINT('',(4.75000006701829,4.50000000606,1.324050998148)); #586592=CARTESIAN_POINT('',(4.7500000670183,4.50000000606,1.3990509982385)); #586593=CARTESIAN_POINT('',(4.74999972652544,2.55000000328099,1.324050998148)); #586594=CARTESIAN_POINT('',(4.74999972652545,0.9750000015885,1.324050998148)); #586595=CARTESIAN_POINT('',(4.75009972652544,2.550000003281,1.324050998148)); #586596=CARTESIAN_POINT('Origin',(4.75009972652547,1.99000815825912E-10, 1.224050998027)); #586597=CARTESIAN_POINT('',(4.75004972652547,-4.500000005662,1.324050998148)); #586598=CARTESIAN_POINT('',(4.75009972652547,-4.500000005662,1.124050997906)); #586599=CARTESIAN_POINT('',(4.75009972652547,-4.500000005662,1.324050998148)); #586600=CARTESIAN_POINT('',(4.75009972652546,-2.550000002883,1.124050997906)); #586601=CARTESIAN_POINT('',(4.75009972652547,-4.500000005662,1.124050997906)); #586602=CARTESIAN_POINT('',(4.74999972652546,-2.55000000288301,1.324050998148)); #586603=CARTESIAN_POINT('',(4.75009972652546,-2.550000002883,1.124050997906)); #586604=CARTESIAN_POINT('',(4.74999972652546,-2.550000002883,1.324050998148)); #586605=CARTESIAN_POINT('Origin',(1.92624008029336,-1.86267068874964,28.5149676238849)); #586606=CARTESIAN_POINT('',(1.92624008029336,-1.86267068874964,28.5149676238849)); #586607=CARTESIAN_POINT('',(1.92624008029336,-1.86267068874963,20.374051007151)); #586608=CARTESIAN_POINT('',(1.92624008029336,-1.86267068874964,28.874051007151)); #586609=CARTESIAN_POINT('',(2.00124008029336,-1.73276687818197,20.374051007151)); #586610=CARTESIAN_POINT('',(1.92624008029336,-1.86267068874964,20.374051007151)); #586611=CARTESIAN_POINT('',(2.00124008029336,-1.73276687818197,28.5149676238849)); #586612=CARTESIAN_POINT('',(2.00124008029336,-1.73276687818197,28.874051007151)); #586613=CARTESIAN_POINT('',(1.92624008029336,-1.86267068874964,28.5149676238849)); #586614=CARTESIAN_POINT('Ctrl Pts',(1.76560378897995,-1.76992728271821, 28.874051007151)); #586615=CARTESIAN_POINT('Ctrl Pts',(1.84060378897995,-1.64002347215055, 28.874051007151)); #586616=CARTESIAN_POINT('Ctrl Pts',(1.81925121761711,-1.80090064008321, 28.7544184441533)); #586617=CARTESIAN_POINT('Ctrl Pts',(1.89425121761711,-1.67099682951555, 28.7544184441533)); #586618=CARTESIAN_POINT('Ctrl Pts',(1.87278956094194,-1.83181101701377, 28.6347194078531)); #586619=CARTESIAN_POINT('Ctrl Pts',(1.94778956094194,-1.70190720644611, 28.6347194078531)); #586620=CARTESIAN_POINT('Ctrl Pts',(1.92624008029336,-1.86267068874964, 28.5149676238849)); #586621=CARTESIAN_POINT('Ctrl Pts',(2.00124008029336,-1.73276687818197, 28.5149676238849)); #586622=CARTESIAN_POINT('',(1.76560378897995,-1.76992728271821,28.874051007151)); #586623=CARTESIAN_POINT('Ctrl Pts',(1.76560378897995,-1.76992728271821, 28.874051007151)); #586624=CARTESIAN_POINT('Ctrl Pts',(1.81925121761711,-1.80090064008321, 28.7544184441533)); #586625=CARTESIAN_POINT('Ctrl Pts',(1.87278956094194,-1.83181101701377, 28.6347194078531)); #586626=CARTESIAN_POINT('Ctrl Pts',(1.92624008029336,-1.86267068874964, 28.5149676238849)); #586627=CARTESIAN_POINT('',(1.84060378897995,-1.64002347215055,28.874051007151)); #586628=CARTESIAN_POINT('Ctrl Pts',(1.84060378897995,-1.64002347215055, 28.874051007151)); #586629=CARTESIAN_POINT('Ctrl Pts',(1.89425121761711,-1.67099682951555, 28.7544184441533)); #586630=CARTESIAN_POINT('Ctrl Pts',(1.94778956094195,-1.70190720644611, 28.6347194078531)); #586631=CARTESIAN_POINT('Ctrl Pts',(2.00124008029336,-1.73276687818197, 28.5149676238849)); #586632=CARTESIAN_POINT('',(1.76560378897995,-1.76992728271821,28.874051007151)); #586633=CARTESIAN_POINT('Ctrl Pts',(-2.57624046176341,0.736837664227892, 28.5149676238849)); #586634=CARTESIAN_POINT('Ctrl Pts',(-2.50124046176341,0.866741474795558, 28.5149676238849)); #586635=CARTESIAN_POINT('Ctrl Pts',(-2.52278932187929,0.705977634227306, 28.6347207981089)); #586636=CARTESIAN_POINT('Ctrl Pts',(-2.44778932187929,0.835881444794972, 28.6347207981089)); #586637=CARTESIAN_POINT('Ctrl Pts',(-2.46925080609658,0.675067157728143, 28.7544202125044)); #586638=CARTESIAN_POINT('Ctrl Pts',(-2.39425080609658,0.804970968295809, 28.7544202125044)); #586639=CARTESIAN_POINT('Ctrl Pts',(-2.41560417044995,0.644094258196443, 28.874051007151)); #586640=CARTESIAN_POINT('Ctrl Pts',(-2.34060417044995,0.773998068764108, 28.874051007151)); #586641=CARTESIAN_POINT('',(-2.57624046176341,0.736837664227892,28.5149676238849)); #586642=CARTESIAN_POINT('',(-2.41560417044995,0.644094258196443,28.874051007151)); #586643=CARTESIAN_POINT('Ctrl Pts',(-2.57624046176341,0.736837664227892, 28.5149676238849)); #586644=CARTESIAN_POINT('Ctrl Pts',(-2.52278932187929,0.705977634227306, 28.6347207981089)); #586645=CARTESIAN_POINT('Ctrl Pts',(-2.46925080609658,0.675067157728143, 28.7544202125044)); #586646=CARTESIAN_POINT('Ctrl Pts',(-2.41560417044995,0.644094258196443, 28.874051007151)); #586647=CARTESIAN_POINT('',(-2.34060417044995,0.773998068764108,28.874051007151)); #586648=CARTESIAN_POINT('',(-2.41560417044995,0.644094258196443,28.874051007151)); #586649=CARTESIAN_POINT('',(-2.50124046176341,0.866741474795558,28.5149676238849)); #586650=CARTESIAN_POINT('Ctrl Pts',(-2.50124046176341,0.866741474795557, 28.5149676238849)); #586651=CARTESIAN_POINT('Ctrl Pts',(-2.44778932187929,0.835881444794971, 28.6347207981089)); #586652=CARTESIAN_POINT('Ctrl Pts',(-2.39425080609658,0.804970968295808, 28.7544202125044)); #586653=CARTESIAN_POINT('Ctrl Pts',(-2.34060417044995,0.773998068764107, 28.874051007151)); #586654=CARTESIAN_POINT('',(-2.57624046176341,0.736837664227892,28.5149676238849)); #586655=CARTESIAN_POINT('Origin',(-2.57624046176339,0.73683766422788,20.374051007151)); #586656=CARTESIAN_POINT('',(-2.57624046176339,0.736837664227881,20.374051007151)); #586657=CARTESIAN_POINT('',(-2.57624046176339,0.73683766422788,28.874051007151)); #586658=CARTESIAN_POINT('',(-2.50124046176339,0.866741474795547,20.374051007151)); #586659=CARTESIAN_POINT('',(-2.50124046176339,0.866741474795544,28.874051007151)); #586660=CARTESIAN_POINT('',(-2.57624046176339,0.73683766422788,20.374051007151)); #586661=CARTESIAN_POINT('Origin',(-7.32542773965386,3.65198729830678,28.874051007151)); #586662=CARTESIAN_POINT('',(-7.32542773965386,3.65198729830678,20.374051007151)); #586663=CARTESIAN_POINT('',(-7.32542773965386,3.65198729830678,28.874051007151)); #586664=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #586665=CARTESIAN_POINT('',(1.94459602586772,-2.28441361260723,20.374051007151)); #586666=CARTESIAN_POINT('',(1.79489676448307,1.15504985387193,20.374051007151)); #586667=CARTESIAN_POINT('',(2.59807602061832,-1.499999999801,20.374051007151)); #586668=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #586669=CARTESIAN_POINT('',(2.95065813900754,-0.541862917078966,20.374051007151)); #586670=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #586671=CARTESIAN_POINT('',(2.57624008029337,-0.736837663829872,20.374051007151)); #586672=CARTESIAN_POINT('',(-0.589125236220423,-2.38517242385953,20.374051007151)); #586673=CARTESIAN_POINT('',(2.50124008029337,-0.866741474397538,20.374051007151)); #586674=CARTESIAN_POINT('',(2.25124008029338,-1.29975417628976,20.374051007151)); #586675=CARTESIAN_POINT('',(-2.00124046176335,1.73276687857996,20.374051007151)); #586676=CARTESIAN_POINT('',(-6.82542773965386,4.51801270209122,20.374051007151)); #586677=CARTESIAN_POINT('',(-1.92624046176335,1.86267068914763,20.374051007151)); #586678=CARTESIAN_POINT('',(-2.25124046176335,1.29975417668774,20.374051007151)); #586679=CARTESIAN_POINT('',(-1.9445964073377,2.28441361300524,20.374051007151)); #586680=CARTESIAN_POINT('',(-1.96189493723401,2.6818615432879,20.374051007151)); #586681=CARTESIAN_POINT('',(-2.95065852047753,0.541862917476987,20.374051007151)); #586682=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #586683=CARTESIAN_POINT('',(-3.78977879690826,0.104898781846103,20.374051007151)); #586684=CARTESIAN_POINT('Origin',(-1.92624046176335,1.86267068914763,28.5149676238849)); #586685=CARTESIAN_POINT('',(-1.92624046176335,1.86267068914763,28.5149676238849)); #586686=CARTESIAN_POINT('',(-1.92624046176335,1.86267068914763,28.874051007151)); #586687=CARTESIAN_POINT('',(-2.00124046176335,1.73276687857996,28.5149676238849)); #586688=CARTESIAN_POINT('',(-2.00124046176335,1.73276687857996,28.874051007151)); #586689=CARTESIAN_POINT('',(-1.92624046176335,1.86267068914763,28.5149676238849)); #586690=CARTESIAN_POINT('Ctrl Pts',(-1.76560417044995,1.76992728311621, 28.874051007151)); #586691=CARTESIAN_POINT('Ctrl Pts',(-1.84060417044995,1.64002347254855, 28.874051007151)); #586692=CARTESIAN_POINT('Ctrl Pts',(-1.81925159908711,1.80090064048121, 28.7544184441533)); #586693=CARTESIAN_POINT('Ctrl Pts',(-1.89425159908711,1.67099682991354, 28.7544184441533)); #586694=CARTESIAN_POINT('Ctrl Pts',(-1.87278994241194,1.83181101741176, 28.6347194078531)); #586695=CARTESIAN_POINT('Ctrl Pts',(-1.94778994241194,1.7019072068441,28.6347194078531)); #586696=CARTESIAN_POINT('Ctrl Pts',(-1.92624046176335,1.86267068914763, 28.5149676238849)); #586697=CARTESIAN_POINT('Ctrl Pts',(-2.00124046176335,1.73276687857996, 28.5149676238849)); #586698=CARTESIAN_POINT('',(-1.76560417044995,1.76992728311621,28.874051007151)); #586699=CARTESIAN_POINT('Ctrl Pts',(-1.76560417044995,1.76992728311621, 28.874051007151)); #586700=CARTESIAN_POINT('Ctrl Pts',(-1.81925159908711,1.80090064048121, 28.7544184441533)); #586701=CARTESIAN_POINT('Ctrl Pts',(-1.87278994241194,1.83181101741176, 28.6347194078531)); #586702=CARTESIAN_POINT('Ctrl Pts',(-1.92624046176335,1.86267068914763, 28.5149676238849)); #586703=CARTESIAN_POINT('',(-1.84060417044995,1.64002347254855,28.874051007151)); #586704=CARTESIAN_POINT('Ctrl Pts',(-1.84060417044995,1.64002347254855, 28.874051007151)); #586705=CARTESIAN_POINT('Ctrl Pts',(-1.89425159908711,1.67099682991354, 28.7544184441533)); #586706=CARTESIAN_POINT('Ctrl Pts',(-1.94778994241194,1.7019072068441,28.6347194078531)); #586707=CARTESIAN_POINT('Ctrl Pts',(-2.00124046176335,1.73276687857996, 28.5149676238849)); #586708=CARTESIAN_POINT('',(-1.76560417044995,1.76992728311621,28.874051007151)); #586709=CARTESIAN_POINT('Ctrl Pts',(2.5762400802934,-0.736837663829886, 28.5149676238849)); #586710=CARTESIAN_POINT('Ctrl Pts',(2.5012400802934,-0.866741474397552, 28.5149676238849)); #586711=CARTESIAN_POINT('Ctrl Pts',(2.52278894040928,-0.705977633829302, 28.6347207981089)); #586712=CARTESIAN_POINT('Ctrl Pts',(2.44778894040929,-0.835881444396968, 28.6347207981089)); #586713=CARTESIAN_POINT('Ctrl Pts',(2.46925042462657,-0.675067157330142, 28.7544202125044)); #586714=CARTESIAN_POINT('Ctrl Pts',(2.39425042462657,-0.804970967897808, 28.7544202125044)); #586715=CARTESIAN_POINT('Ctrl Pts',(2.41560378897995,-0.644094257798444, 28.874051007151)); #586716=CARTESIAN_POINT('Ctrl Pts',(2.34060378897995,-0.77399806836611, 28.874051007151)); #586717=CARTESIAN_POINT('',(2.5762400802934,-0.736837663829886,28.5149676238849)); #586718=CARTESIAN_POINT('',(2.41560378897995,-0.644094257798444,28.874051007151)); #586719=CARTESIAN_POINT('Ctrl Pts',(2.5762400802934,-0.736837663829886, 28.5149676238849)); #586720=CARTESIAN_POINT('Ctrl Pts',(2.52278894040928,-0.705977633829302, 28.6347207981089)); #586721=CARTESIAN_POINT('Ctrl Pts',(2.46925042462657,-0.675067157330142, 28.7544202125044)); #586722=CARTESIAN_POINT('Ctrl Pts',(2.41560378897995,-0.644094257798444, 28.874051007151)); #586723=CARTESIAN_POINT('',(2.34060378897995,-0.77399806836611,28.874051007151)); #586724=CARTESIAN_POINT('',(2.41560378897995,-0.644094257798444,28.874051007151)); #586725=CARTESIAN_POINT('',(2.5012400802934,-0.866741474397552,28.5149676238849)); #586726=CARTESIAN_POINT('Ctrl Pts',(2.5012400802934,-0.866741474397553, 28.5149676238849)); #586727=CARTESIAN_POINT('Ctrl Pts',(2.44778894040928,-0.835881444396969, 28.6347207981089)); #586728=CARTESIAN_POINT('Ctrl Pts',(2.39425042462657,-0.804970967897808, 28.7544202125044)); #586729=CARTESIAN_POINT('Ctrl Pts',(2.34060378897995,-0.773998068366111, 28.874051007151)); #586730=CARTESIAN_POINT('',(2.5762400802934,-0.736837663829886,28.5149676238849)); #586731=CARTESIAN_POINT('Origin',(2.57624008029337,-0.736837663829872,20.374051007151)); #586732=CARTESIAN_POINT('',(2.57624008029337,-0.736837663829873,28.874051007151)); #586733=CARTESIAN_POINT('',(2.50124008029337,-0.866741474397539,28.874051007151)); #586734=CARTESIAN_POINT('Origin',(-6.82542773965386,4.51801270209122,28.874051007151)); #586735=CARTESIAN_POINT('',(-6.82542773965386,4.51801270209122,28.874051007151)); #586736=CARTESIAN_POINT('Origin',(-0.43412063490234,-2.46201938233151,15.751915342762)); #586737=CARTESIAN_POINT('',(-0.43412063490234,-2.46201938233151,20.374051007151)); #586738=CARTESIAN_POINT('',(-1.00606230387483,-2.82627652988517,20.374051007151)); #586739=CARTESIAN_POINT('',(-1.55646407602668,-3.17681538796959,20.374051007151)); #586740=CARTESIAN_POINT('',(-0.43412063490234,-2.46201938233151,28.874051007151)); #586741=CARTESIAN_POINT('',(-0.43412063490234,-2.46201938233151,15.751915342762)); #586742=CARTESIAN_POINT('',(-1.00606230387483,-2.82627652988517,27.874051007151)); #586743=CARTESIAN_POINT('Ctrl Pts',(-0.434120634902071,-2.46201938233134, 28.8740510071514)); #586744=CARTESIAN_POINT('Ctrl Pts',(-0.694094120798766,-2.62759081303762, 28.4576511057424)); #586745=CARTESIAN_POINT('Ctrl Pts',(-1.00606230387483,-2.82627652988517, 27.874051007151)); #586746=CARTESIAN_POINT('',(-1.00606230387483,-2.82627652988517,15.751915342762)); #586747=CARTESIAN_POINT('Origin',(-0.43412063490234,-2.46201938233151,15.751915342762)); #586748=CARTESIAN_POINT('',(-0.0212545508626227,-2.99992470755211,20.374051007151)); #586749=CARTESIAN_POINT('',(-3.17055966245198,1.10316841575079,20.374051007151)); #586750=CARTESIAN_POINT('',(-0.0212545508626227,-2.99992470755211,27.874051007151)); #586751=CARTESIAN_POINT('',(-0.0212545508626227,-2.99992470755211,15.751915342762)); #586752=CARTESIAN_POINT('Ctrl Pts',(-0.0212545508626208,-2.99992470755211, 27.874051007151)); #586753=CARTESIAN_POINT('Ctrl Pts',(-0.246454233066228,-2.70652180288617, 28.4576511057424)); #586754=CARTESIAN_POINT('Ctrl Pts',(-0.434120634902549,-2.46201938233124, 28.8740510071515)); #586755=CARTESIAN_POINT('Origin',(0.434120253432312,-2.46201938233152,15.751915342762)); #586756=CARTESIAN_POINT('',(0.434120253432312,-2.46201938233152,20.374051007151)); #586757=CARTESIAN_POINT('',(0.0212541693925872,-2.99992470755211,20.374051007151)); #586758=CARTESIAN_POINT('',(0.427054330068471,-2.47122526760992,20.374051007151)); #586759=CARTESIAN_POINT('',(0.434120253432312,-2.46201938233152,28.874051007151)); #586760=CARTESIAN_POINT('',(0.434120253432312,-2.46201938233152,15.751915342762)); #586761=CARTESIAN_POINT('',(0.0212541693925886,-2.99992470755211,27.874051007151)); #586762=CARTESIAN_POINT('Ctrl Pts',(0.434120253432504,-2.46201938233127, 28.8740510071514)); #586763=CARTESIAN_POINT('Ctrl Pts',(0.246453851596188,-2.70652180288618, 28.4576511057424)); #586764=CARTESIAN_POINT('Ctrl Pts',(0.0212541693925879,-2.99992470755211, 27.874051007151)); #586765=CARTESIAN_POINT('',(0.0212541693925869,-2.99992470755211,15.751915342762)); #586766=CARTESIAN_POINT('Origin',(0.434120253432312,-2.46201938233152,15.751915342762)); #586767=CARTESIAN_POINT('',(1.0060619224048,-2.82627652988518,20.374051007151)); #586768=CARTESIAN_POINT('',(-3.70844457374861,0.176289608541564,20.374051007151)); #586769=CARTESIAN_POINT('',(1.0060619224048,-2.82627652988518,27.874051007151)); #586770=CARTESIAN_POINT('',(1.0060619224048,-2.82627652988518,15.751915342762)); #586771=CARTESIAN_POINT('Ctrl Pts',(1.0060619224048,-2.82627652988518,27.874051007151)); #586772=CARTESIAN_POINT('Ctrl Pts',(0.694093739328748,-2.62759081303763, 28.4576511057424)); #586773=CARTESIAN_POINT('Ctrl Pts',(0.434120253432062,-2.46201938233136, 28.8740510071514)); #586774=CARTESIAN_POINT('Origin',(1.24999980926499,-2.1650635092621,15.751915342762)); #586775=CARTESIAN_POINT('',(1.24999980926499,-2.1650635092621,20.374051007151)); #586776=CARTESIAN_POINT('',(1.04600705314767,-2.81173769129091,20.374051007151)); #586777=CARTESIAN_POINT('',(1.67437934683947,-0.819744706995834,20.374051007151)); #586778=CARTESIAN_POINT('',(1.24999980926499,-2.1650635092621,28.874051007151)); #586779=CARTESIAN_POINT('',(1.24999980926499,-2.1650635092621,15.751915342762)); #586780=CARTESIAN_POINT('',(1.04600705314767,-2.8117376912909,27.874051007151)); #586781=CARTESIAN_POINT('Ctrl Pts',(1.24999980926508,-2.1650635092618,28.8740510071514)); #586782=CARTESIAN_POINT('Ctrl Pts',(1.15727582921172,-2.45900631927501, 28.4576511057424)); #586783=CARTESIAN_POINT('Ctrl Pts',(1.04600705314767,-2.8117376912909,27.874051007151)); #586784=CARTESIAN_POINT('',(1.04600705314767,-2.81173769129091,15.751915342762)); #586785=CARTESIAN_POINT('Origin',(1.24999980926499,-2.1650635092621,15.751915342762)); #586786=CARTESIAN_POINT('',(1.91203245693211,-2.31173769129091,20.374051007151)); #586787=CARTESIAN_POINT('',(-3.47336509236803,-1.11859595843677,20.374051007151)); #586788=CARTESIAN_POINT('',(1.91203245693211,-2.31173769129091,27.874051007151)); #586789=CARTESIAN_POINT('',(1.91203245693211,-2.31173769129091,15.751915342762)); #586790=CARTESIAN_POINT('Ctrl Pts',(1.9120324569321,-2.31173769129091,27.874051007151)); #586791=CARTESIAN_POINT('Ctrl Pts',(1.55092374002255,-2.23173359200242, 28.4576511057424)); #586792=CARTESIAN_POINT('Ctrl Pts',(1.24999980926463,-2.16506350926202, 28.8740510071515)); #586793=CARTESIAN_POINT('Origin',(1.91511091706243,-1.60696902401735,15.751915342762)); #586794=CARTESIAN_POINT('',(1.94459602586772,-2.28441361260723,27.874051007151)); #586795=CARTESIAN_POINT('Ctrl Pts',(1.92624008029336,-1.86267068874963, 28.5149676238849)); #586796=CARTESIAN_POINT('Ctrl Pts',(1.93490020185357,-2.06164409346862, 28.2258857969732)); #586797=CARTESIAN_POINT('Ctrl Pts',(1.94459602586772,-2.28441361260723, 27.874051007151)); #586798=CARTESIAN_POINT('',(1.94459602586772,-2.28441361260723,15.751915342762)); #586799=CARTESIAN_POINT('Origin',(-2.34923174269976,0.855050358513194,15.751915342762)); #586800=CARTESIAN_POINT('',(-2.95065852047753,0.541862917476987,27.874051007151)); #586801=CARTESIAN_POINT('',(-2.95065852047753,0.541862917476987,15.751915342762)); #586802=CARTESIAN_POINT('Ctrl Pts',(-2.95065852047753,0.541862917476988, 27.874051007151)); #586803=CARTESIAN_POINT('Ctrl Pts',(-2.75288654570759,0.644850847139407, 28.2258857969731)); #586804=CARTESIAN_POINT('Ctrl Pts',(-2.57624046176338,0.736837664227884, 28.5149676238848)); #586805=CARTESIAN_POINT('Origin',(-1.25000019073501,-2.16506350926208,15.751915342762)); #586806=CARTESIAN_POINT('',(-1.25000019073501,-2.16506350926208,20.374051007151)); #586807=CARTESIAN_POINT('',(-1.91203283840214,-2.31173769129089,20.374051007151)); #586808=CARTESIAN_POINT('',(-3.58080893148628,-2.68145717461976,20.374051007151)); #586809=CARTESIAN_POINT('',(-1.25000019073501,-2.16506350926208,28.874051007151)); #586810=CARTESIAN_POINT('',(-1.25000019073501,-2.16506350926208,15.751915342762)); #586811=CARTESIAN_POINT('',(-1.91203283840214,-2.31173769129089,27.874051007151)); #586812=CARTESIAN_POINT('Ctrl Pts',(-1.2500001907347,-2.16506350926202, 28.8740510071514)); #586813=CARTESIAN_POINT('Ctrl Pts',(-1.55092412149262,-2.23173359200241, 28.4576511057424)); #586814=CARTESIAN_POINT('Ctrl Pts',(-1.91203283840214,-2.31173769129089, 27.874051007151)); #586815=CARTESIAN_POINT('',(-1.91203283840214,-2.31173769129089,15.751915342762)); #586816=CARTESIAN_POINT('Origin',(-1.25000019073501,-2.16506350926208,15.751915342762)); #586817=CARTESIAN_POINT('',(-1.0460074346177,-2.81173769129089,20.374051007151)); #586818=CARTESIAN_POINT('',(-2.34413605300257,1.30343913380909,20.374051007151)); #586819=CARTESIAN_POINT('',(-1.0460074346177,-2.81173769129089,27.874051007151)); #586820=CARTESIAN_POINT('',(-1.0460074346177,-2.81173769129089,15.751915342762)); #586821=CARTESIAN_POINT('Ctrl Pts',(-1.04600743461771,-2.81173769129088, 27.874051007151)); #586822=CARTESIAN_POINT('Ctrl Pts',(-1.15727621068175,-2.45900631927497, 28.4576511057424)); #586823=CARTESIAN_POINT('Ctrl Pts',(-1.25000019073512,-2.16506350926175, 28.8740510071515)); #586824=CARTESIAN_POINT('Origin',(-1.91511129853245,-1.60696902401733,15.751915342762)); #586825=CARTESIAN_POINT('',(-1.91511129853245,-1.60696902401733,20.374051007151)); #586826=CARTESIAN_POINT('',(-2.58738401702428,-1.51836916948822,20.374051007151)); #586827=CARTESIAN_POINT('',(-4.94315820060534,-1.20789807014486,20.374051007151)); #586828=CARTESIAN_POINT('',(-1.91511129853245,-1.60696902401733,28.874051007151)); #586829=CARTESIAN_POINT('',(-1.91511129853245,-1.60696902401733,15.751915342762)); #586830=CARTESIAN_POINT('',(-2.58738401702428,-1.51836916948822,27.874051007151)); #586831=CARTESIAN_POINT('Ctrl Pts',(-1.91511129853214,-1.60696902401737, 28.8740510071514)); #586832=CARTESIAN_POINT('Ctrl Pts',(-2.22068980693764,-1.56669636286776, 28.4576511057424)); #586833=CARTESIAN_POINT('Ctrl Pts',(-2.58738401702428,-1.51836916948822, 27.874051007151)); #586834=CARTESIAN_POINT('',(-2.58738401702428,-1.51836916948822,15.751915342762)); #586835=CARTESIAN_POINT('Origin',(-1.91511129853245,-1.60696902401733,15.751915342762)); #586836=CARTESIAN_POINT('',(-1.94459640733774,-2.28441361260721,20.374051007151)); #586837=CARTESIAN_POINT('',(-1.80888956495441,0.833562536448478,20.374051007151)); #586838=CARTESIAN_POINT('',(-1.94459640733774,-2.28441361260721,27.874051007151)); #586839=CARTESIAN_POINT('',(-1.94459640733774,-2.28441361260721,15.751915342762)); #586840=CARTESIAN_POINT('Ctrl Pts',(-1.94459640733774,-2.2844136126072, 27.874051007151)); #586841=CARTESIAN_POINT('Ctrl Pts',(-1.92851362071667,-1.91489838246707, 28.4576511057424)); #586842=CARTESIAN_POINT('Ctrl Pts',(-1.91511129853244,-1.606969024017,28.8740510071515)); #586843=CARTESIAN_POINT('Origin',(-2.34923174269977,-0.85505035811515,15.751915342762)); #586844=CARTESIAN_POINT('',(-2.34923174269977,-0.85505035811515,20.374051007151)); #586845=CARTESIAN_POINT('',(-2.95065852047754,-0.541862917078931,20.374051007151)); #586846=CARTESIAN_POINT('',(-5.23261578132128,0.646445255228196,20.374051007151)); #586847=CARTESIAN_POINT('',(-2.34923174269977,-0.85505035811515,28.874051007151)); #586848=CARTESIAN_POINT('',(-2.34923174269977,-0.85505035811515,15.751915342762)); #586849=CARTESIAN_POINT('',(-2.95065852047754,-0.541862917078932,27.874051007151)); #586850=CARTESIAN_POINT('Ctrl Pts',(-2.34923174269949,-0.855050358115296, 28.8740510071514)); #586851=CARTESIAN_POINT('Ctrl Pts',(-2.62260755078041,-0.712692430371501, 28.4576511057424)); #586852=CARTESIAN_POINT('Ctrl Pts',(-2.95065852047754,-0.541862917078933, 27.874051007151)); #586853=CARTESIAN_POINT('',(-2.95065852047754,-0.541862917078931,15.751915342762)); #586854=CARTESIAN_POINT('Origin',(-2.34923174269977,-0.85505035811515,15.751915342762)); #586855=CARTESIAN_POINT('',(-2.60863837715188,-1.48155553786484,20.374051007151)); #586856=CARTESIAN_POINT('',(-1.94528924220284,0.12053019870786,20.374051007151)); #586857=CARTESIAN_POINT('',(-2.60863837715188,-1.48155553786484,27.874051007151)); #586858=CARTESIAN_POINT('',(-2.60863837715188,-1.48155553786484,15.751915342762)); #586859=CARTESIAN_POINT('Ctrl Pts',(-2.60863837715188,-1.48155553786484, 27.874051007151)); #586860=CARTESIAN_POINT('Ctrl Pts',(-2.46714384926884,-1.13982543981938, 28.4576511057424)); #586861=CARTESIAN_POINT('Ctrl Pts',(-2.34923174269965,-0.855050358114845, 28.8740510071514)); #586862=CARTESIAN_POINT('Origin',(-2.50000019073499,1.99023575397916E-10, 15.751915342762)); #586863=CARTESIAN_POINT('',(-2.50000019073499,1.99023575397916E-10,20.374051007151)); #586864=CARTESIAN_POINT('',(-2.9580400822848,0.500000000199029,20.374051007151)); #586865=CARTESIAN_POINT('',(-4.49514760549644,2.17791883605713,20.374051007151)); #586866=CARTESIAN_POINT('',(-2.50000019073499,1.99023575397916E-10,28.874051007151)); #586867=CARTESIAN_POINT('',(-2.50000019073499,1.99023575397916E-10,15.751915342762)); #586868=CARTESIAN_POINT('',(-2.9580400822848,0.500000000199028,27.874051007151)); #586869=CARTESIAN_POINT('Ctrl Pts',(-2.50000019073478,1.98790006231603E-10, 28.8740510071514)); #586870=CARTESIAN_POINT('Ctrl Pts',(-2.70820014143933,0.227272727471614, 28.4576511057424)); #586871=CARTESIAN_POINT('Ctrl Pts',(-2.9580400822848,0.500000000199028, 27.874051007151)); #586872=CARTESIAN_POINT('',(-2.9580400822848,0.500000000199029,15.751915342762)); #586873=CARTESIAN_POINT('Origin',(-2.50000019073499,1.99023575397916E-10, 15.751915342762)); #586874=CARTESIAN_POINT('',(-2.95804008228481,-0.499999999800972,20.374051007151)); #586875=CARTESIAN_POINT('',(-2.74084917561152,-0.262912673260038,20.374051007151)); #586876=CARTESIAN_POINT('',(-2.95804008228481,-0.499999999800972,27.874051007151)); #586877=CARTESIAN_POINT('',(-2.95804008228481,-0.499999999800972,15.751915342762)); #586878=CARTESIAN_POINT('Ctrl Pts',(-2.95804008228482,-0.499999999800975, 27.874051007151)); #586879=CARTESIAN_POINT('Ctrl Pts',(-2.70820014143932,-0.227272727073556, 28.4576511057424)); #586880=CARTESIAN_POINT('Ctrl Pts',(-2.50000019073477,1.9927169611584E-10, 28.8740510071515)); #586881=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #586882=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #586883=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #586884=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #586885=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #586886=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #586887=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #586888=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #586889=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #586890=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #586891=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #586892=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #586893=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #586894=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #586895=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #586896=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #586897=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #586898=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #586899=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #586900=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #586901=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #586902=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #586903=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #586904=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #586905=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #586906=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #586907=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #586908=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #586909=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #586910=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #586911=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #586912=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #586913=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #586914=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #586915=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #586916=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #586917=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #586918=CARTESIAN_POINT('Origin',(1.249999809265,2.16506350966009,15.751915342762)); #586919=CARTESIAN_POINT('',(1.249999809265,2.16506350966009,20.374051007151)); #586920=CARTESIAN_POINT('',(1.91203245693212,2.3117376916889,20.374051007151)); #586921=CARTESIAN_POINT('',(-2.72955297523715,1.2833884871908,20.374051007151)); #586922=CARTESIAN_POINT('',(1.249999809265,2.16506350966009,28.874051007151)); #586923=CARTESIAN_POINT('',(1.249999809265,2.16506350966009,15.751915342762)); #586924=CARTESIAN_POINT('',(1.91203245693212,2.3117376916889,27.874051007151)); #586925=CARTESIAN_POINT('Ctrl Pts',(1.24999980926469,2.16506350966002,28.8740510071514)); #586926=CARTESIAN_POINT('Ctrl Pts',(1.5509237400226,2.23173359240042,28.4576511057424)); #586927=CARTESIAN_POINT('Ctrl Pts',(1.91203245693212,2.3117376916889,27.874051007151)); #586928=CARTESIAN_POINT('',(1.91203245693212,2.3117376916889,15.751915342762)); #586929=CARTESIAN_POINT('Origin',(1.249999809265,2.16506350966009,15.751915342762)); #586930=CARTESIAN_POINT('',(1.04600705314769,2.8117376916889,20.374051007151)); #586931=CARTESIAN_POINT('',(0.663893034085365,4.02307124794892,20.374051007151)); #586932=CARTESIAN_POINT('',(1.04600705314769,2.8117376916889,27.874051007151)); #586933=CARTESIAN_POINT('',(1.04600705314769,2.8117376916889,15.751915342762)); #586934=CARTESIAN_POINT('Ctrl Pts',(1.04600705314768,2.8117376916889,27.874051007151)); #586935=CARTESIAN_POINT('Ctrl Pts',(1.15727582921173,2.45900631967301,28.4576511057424)); #586936=CARTESIAN_POINT('Ctrl Pts',(1.24999980926509,2.16506350965979,28.8740510071514)); #586937=CARTESIAN_POINT('Origin',(0.434120253432357,2.46201938272951,15.751915342762)); #586938=CARTESIAN_POINT('',(0.434120253432357,2.46201938272951,20.374051007151)); #586939=CARTESIAN_POINT('',(1.00606192240485,2.82627653028316,20.374051007151)); #586940=CARTESIAN_POINT('',(-2.11260221705595,0.84006750715199,20.374051007151)); #586941=CARTESIAN_POINT('',(0.434120253432357,2.46201938272951,28.874051007151)); #586942=CARTESIAN_POINT('',(0.434120253432357,2.46201938272951,15.751915342762)); #586943=CARTESIAN_POINT('',(1.00606192240485,2.82627653028317,27.874051007151)); #586944=CARTESIAN_POINT('Ctrl Pts',(0.43412025343209,2.46201938272934,28.8740510071514)); #586945=CARTESIAN_POINT('Ctrl Pts',(0.694093739328786,2.62759081343562, 28.4576511057424)); #586946=CARTESIAN_POINT('Ctrl Pts',(1.00606192240485,2.82627653028316,27.874051007151)); #586947=CARTESIAN_POINT('',(1.00606192240485,2.82627653028316,15.751915342762)); #586948=CARTESIAN_POINT('Origin',(0.434120253432357,2.46201938272951,15.751915342762)); #586949=CARTESIAN_POINT('',(0.021254169392643,2.99992470795011,20.374051007151)); #586950=CARTESIAN_POINT('',(-1.2741058916772,4.68759318415394,20.374051007151)); #586951=CARTESIAN_POINT('',(0.021254169392643,2.99992470795011,27.874051007151)); #586952=CARTESIAN_POINT('',(0.021254169392643,2.99992470795011,15.751915342762)); #586953=CARTESIAN_POINT('Ctrl Pts',(0.0212541693926478,2.9999247079501, 27.874051007151)); #586954=CARTESIAN_POINT('Ctrl Pts',(0.246453851596229,2.70652180328419, 28.4576511057423)); #586955=CARTESIAN_POINT('Ctrl Pts',(0.434120253432532,2.46201938272928, 28.8740510071514)); #586956=CARTESIAN_POINT('Origin',(-0.434120634902295,2.46201938272952,15.751915342762)); #586957=CARTESIAN_POINT('',(-0.434120634902295,2.46201938272952,20.374051007151)); #586958=CARTESIAN_POINT('',(-0.0212545508625681,2.99992470795011,20.374051007151)); #586959=CARTESIAN_POINT('',(-1.46939944070624,1.11319950079325,20.374051007151)); #586960=CARTESIAN_POINT('',(-0.434120634902295,2.46201938272952,28.874051007151)); #586961=CARTESIAN_POINT('',(-0.434120634902295,2.46201938272952,15.751915342762)); #586962=CARTESIAN_POINT('',(-0.0212545508625678,2.99992470795011,27.874051007151)); #586963=CARTESIAN_POINT('Ctrl Pts',(-0.434120634902487,2.46201938272927, 28.8740510071514)); #586964=CARTESIAN_POINT('Ctrl Pts',(-0.246454233066169,2.70652180328418, 28.4576511057424)); #586965=CARTESIAN_POINT('Ctrl Pts',(-0.021254550862568,2.99992470795011, 27.874051007151)); #586966=CARTESIAN_POINT('',(-0.0212545508625681,2.99992470795011,15.751915342762)); #586967=CARTESIAN_POINT('Origin',(-0.434120634902295,2.46201938272952,15.751915342762)); #586968=CARTESIAN_POINT('',(-1.00606230387478,2.82627653028319,20.374051007151)); #586969=CARTESIAN_POINT('',(-3.15230643271926,4.19317250366315,20.374051007151)); #586970=CARTESIAN_POINT('',(-1.00606230387478,2.82627653028319,27.874051007151)); #586971=CARTESIAN_POINT('',(-1.00606230387478,2.82627653028319,15.751915342762)); #586972=CARTESIAN_POINT('Ctrl Pts',(-1.00606230387478,2.82627653028319, 27.874051007151)); #586973=CARTESIAN_POINT('Ctrl Pts',(-0.694094120798735,2.62759081343564, 28.4576511057423)); #586974=CARTESIAN_POINT('Ctrl Pts',(-0.43412063490205,2.46201938272937, 28.8740510071514)); #586975=CARTESIAN_POINT('Origin',(-1.25000019073497,2.16506350966011,15.751915342762)); #586976=CARTESIAN_POINT('',(-1.25000019073497,2.16506350966011,20.374051007151)); #586977=CARTESIAN_POINT('',(-1.04600743461765,2.81173769168891,20.374051007151)); #586978=CARTESIAN_POINT('',(-1.33364974024846,1.89988740714398,20.374051007151)); #586979=CARTESIAN_POINT('',(-1.25000019073497,2.16506350966011,28.874051007151)); #586980=CARTESIAN_POINT('',(-1.25000019073497,2.16506350966011,15.751915342762)); #586981=CARTESIAN_POINT('',(-1.04600743461765,2.81173769168891,27.874051007151)); #586982=CARTESIAN_POINT('Ctrl Pts',(-1.25000019073507,2.1650635096598,28.8740510071514)); #586983=CARTESIAN_POINT('Ctrl Pts',(-1.1572762106817,2.45900631967302,28.4576511057424)); #586984=CARTESIAN_POINT('Ctrl Pts',(-1.04600743461765,2.81173769168891, 27.874051007151)); #586985=CARTESIAN_POINT('',(-1.04600743461765,2.81173769168891,15.751915342762)); #586986=CARTESIAN_POINT('Origin',(-1.25000019073497,2.16506350966011,15.751915342762)); #586987=CARTESIAN_POINT('',(-1.9120328384021,2.31173769168892,20.374051007151)); #586988=CARTESIAN_POINT('',(-4.32462104861709,2.84624970337385,20.374051007151)); #586989=CARTESIAN_POINT('',(-1.9120328384021,2.31173769168892,27.874051007151)); #586990=CARTESIAN_POINT('',(-1.9120328384021,2.31173769168892,15.751915342762)); #586991=CARTESIAN_POINT('Ctrl Pts',(-1.9120328384021,2.31173769168892,27.874051007151)); #586992=CARTESIAN_POINT('Ctrl Pts',(-1.55092412149258,2.23173359240044, 28.4576511057424)); #586993=CARTESIAN_POINT('Ctrl Pts',(-1.25000019073468,2.16506350966004, 28.8740510071514)); #586994=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #586995=CARTESIAN_POINT('',(-1.9445964073377,2.28441361300524,27.874051007151)); #586996=CARTESIAN_POINT('Ctrl Pts',(-1.92624046176335,1.86267068914763, 28.5149676238849)); #586997=CARTESIAN_POINT('Ctrl Pts',(-1.93490058332356,2.06164409386662, 28.2258857969732)); #586998=CARTESIAN_POINT('Ctrl Pts',(-1.9445964073377,2.28441361300524,27.874051007151)); #586999=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #587000=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #587001=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #587002=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #587003=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #587004=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #587005=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #587006=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #587007=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #587008=CARTESIAN_POINT('',(1.94459602586773,2.28441361300522,27.874051007151)); #587009=CARTESIAN_POINT('',(1.91511091706244,1.60696902441534,28.874051007151)); #587010=CARTESIAN_POINT('Ctrl Pts',(1.94459602586773,2.28441361300523,27.874051007151)); #587011=CARTESIAN_POINT('Ctrl Pts',(1.92851323924666,1.91489838286511,28.4576511057424)); #587012=CARTESIAN_POINT('Ctrl Pts',(1.91511091706243,1.60696902441504,28.8740510071514)); #587013=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #587014=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #587015=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #587016=CARTESIAN_POINT('',(2.58738363555427,1.51836916988624,27.874051007151)); #587017=CARTESIAN_POINT('Ctrl Pts',(1.91511091706213,1.60696902441539,28.8740510071514)); #587018=CARTESIAN_POINT('Ctrl Pts',(2.22068942546763,1.56669636326578,28.4576511057424)); #587019=CARTESIAN_POINT('Ctrl Pts',(2.58738363555427,1.51836916988624,27.874051007151)); #587020=CARTESIAN_POINT('',(2.34923136122977,0.855050358513167,28.874051007151)); #587021=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #587022=CARTESIAN_POINT('',(2.60863799568187,1.48155553826286,27.874051007151)); #587023=CARTESIAN_POINT('Ctrl Pts',(2.60863799568187,1.48155553826286,27.874051007151)); #587024=CARTESIAN_POINT('Ctrl Pts',(2.46714346779884,1.13982544021741,28.4576511057424)); #587025=CARTESIAN_POINT('Ctrl Pts',(2.34923136122965,0.855050358512886, 28.8740510071514)); #587026=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #587027=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #587028=CARTESIAN_POINT('',(2.95065813900754,0.541862917476952,27.874051007151)); #587029=CARTESIAN_POINT('Ctrl Pts',(2.34923136122949,0.855050358513313, 28.8740510071514)); #587030=CARTESIAN_POINT('Ctrl Pts',(2.62260716931041,0.71269243076952,28.4576511057424)); #587031=CARTESIAN_POINT('Ctrl Pts',(2.95065813900754,0.541862917476953, 27.874051007151)); #587032=CARTESIAN_POINT('',(2.499999809265,1.98994154487764E-10,28.874051007151)); #587033=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #587034=CARTESIAN_POINT('',(2.95803970081481,0.500000000198993,27.874051007151)); #587035=CARTESIAN_POINT('Ctrl Pts',(2.95803970081481,0.500000000198993, 27.874051007151)); #587036=CARTESIAN_POINT('Ctrl Pts',(2.70819975996934,0.227272727471589, 28.4576511057424)); #587037=CARTESIAN_POINT('Ctrl Pts',(2.49999980926479,1.98772527880126E-10, 28.8740510071514)); #587038=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #587039=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #587040=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #587041=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #587042=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #587043=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #587044=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #587045=CARTESIAN_POINT('',(2.95803970081481,-0.499999999801007,27.874051007151)); #587046=CARTESIAN_POINT('Ctrl Pts',(2.49999980926478,1.99228409509907E-10, 28.8740510071514)); #587047=CARTESIAN_POINT('Ctrl Pts',(2.70819975996933,-0.227272727073594, 28.4576511057424)); #587048=CARTESIAN_POINT('Ctrl Pts',(2.95803970081481,-0.499999999801006, 27.874051007151)); #587049=CARTESIAN_POINT('',(2.95065813900754,-0.541862917078966,27.874051007151)); #587050=CARTESIAN_POINT('Ctrl Pts',(2.95065813900752,-0.541862917078974, 27.874051007151)); #587051=CARTESIAN_POINT('Ctrl Pts',(2.75288616423757,-0.644850846741403, 28.2258857969732)); #587052=CARTESIAN_POINT('Ctrl Pts',(2.57624008029335,-0.736837663829887, 28.5149676238849)); #587053=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #587054=CARTESIAN_POINT('Origin',(-1.91511129853242,1.60696902441537,15.751915342762)); #587055=CARTESIAN_POINT('',(-1.9445964073377,2.28441361300524,15.751915342762)); #587056=CARTESIAN_POINT('Origin',(2.34923136122976,-0.855050358115177,15.751915342762)); #587057=CARTESIAN_POINT('',(2.95065813900754,-0.541862917078966,15.751915342762)); #587058=CARTESIAN_POINT('Origin',(2.499999809265,1.98994154487764E-10,15.751915342762)); #587059=CARTESIAN_POINT('',(2.95803970081481,0.500000000198993,20.374051007151)); #587060=CARTESIAN_POINT('',(2.499999809265,1.98994154487764E-10,20.374051007151)); #587061=CARTESIAN_POINT('',(1.11843586772553,-1.50812622093081,20.374051007151)); #587062=CARTESIAN_POINT('',(2.95803970081481,0.500000000198993,15.751915342762)); #587063=CARTESIAN_POINT('',(2.499999809265,1.98994154487764E-10,15.751915342762)); #587064=CARTESIAN_POINT('Origin',(2.499999809265,1.98994154487764E-10,15.751915342762)); #587065=CARTESIAN_POINT('',(2.95803970081481,-0.499999999801007,20.374051007151)); #587066=CARTESIAN_POINT('',(-0.635862562159427,3.42313238372786,20.374051007151)); #587067=CARTESIAN_POINT('',(2.95803970081481,-0.499999999801007,15.751915342762)); #587068=CARTESIAN_POINT('Origin',(2.34923136122977,0.855050358513167,15.751915342762)); #587069=CARTESIAN_POINT('',(2.60863799568187,1.48155553826286,20.374051007151)); #587070=CARTESIAN_POINT('',(2.34923136122977,0.855050358513167,20.374051007151)); #587071=CARTESIAN_POINT('',(2.10714055057583,0.27036544031575,20.374051007151)); #587072=CARTESIAN_POINT('',(2.60863799568187,1.48155553826286,15.751915342762)); #587073=CARTESIAN_POINT('',(2.34923136122977,0.855050358513167,15.751915342762)); #587074=CARTESIAN_POINT('Origin',(2.34923136122977,0.855050358513167,15.751915342762)); #587075=CARTESIAN_POINT('',(2.95065813900754,0.541862917476952,20.374051007151)); #587076=CARTESIAN_POINT('',(-2.03196222063346,3.13651646093376,20.374051007151)); #587077=CARTESIAN_POINT('',(2.95065813900754,0.541862917476952,15.751915342762)); #587078=CARTESIAN_POINT('Origin',(1.91511091706244,1.60696902441534,15.751915342762)); #587079=CARTESIAN_POINT('',(1.94459602586773,2.28441361300522,20.374051007151)); #587080=CARTESIAN_POINT('',(1.91511091706244,1.60696902441534,20.374051007151)); #587081=CARTESIAN_POINT('',(1.94790213676269,2.36037422586446,20.374051007151)); #587082=CARTESIAN_POINT('',(1.94459602586773,2.28441361300522,15.751915342762)); #587083=CARTESIAN_POINT('',(1.91511091706244,1.60696902441534,15.751915342762)); #587084=CARTESIAN_POINT('Origin',(1.91511091706244,1.60696902441534,15.751915342762)); #587085=CARTESIAN_POINT('',(2.58738363555427,1.51836916988624,20.374051007151)); #587086=CARTESIAN_POINT('',(-2.78718217860139,2.22669145665039,20.374051007151)); #587087=CARTESIAN_POINT('',(2.58738363555427,1.51836916988624,15.751915342762)); #587088=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #587089=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #587090=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #587091=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #587092=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #587093=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #587094=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #587095=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #587096=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #587097=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #587098=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #587099=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #587100=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #587101=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #587102=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #587103=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #587104=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 32.374051007151)); #587105=CARTESIAN_POINT('',(2.59807602061832,-1.499999999801,18.874051007151)); #587106=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 18.874051007151)); #587107=CARTESIAN_POINT('',(2.59807602061832,-1.499999999801,32.374051007151)); #587108=CARTESIAN_POINT('Origin',(-1.000000191943,-7.75000000765599,8.274051000505)); #587109=CARTESIAN_POINT('',(-1.000000191943,-6.50000000765598,8.274051000505)); #587110=CARTESIAN_POINT('',(0.999999810473996,-6.50000000765598,8.274051000505)); #587111=CARTESIAN_POINT('',(-3.1750001945715,-6.50000000765599,8.274051000505)); #587112=CARTESIAN_POINT('',(-1.000000191943,-8.500000008562,8.274051000505)); #587113=CARTESIAN_POINT('',(-1.000000191943,-7.750000007656,8.274051000505)); #587114=CARTESIAN_POINT('',(0.999999810474,-8.500000008562,8.274051000505)); #587115=CARTESIAN_POINT('',(-1.000000191943,-8.500000008562,8.274051000505)); #587116=CARTESIAN_POINT('',(0.999999810474,-7.750000007656,8.274051000505)); #587117=CARTESIAN_POINT('Origin',(0.999999810474,-7.75000000765599,7.974051000142)); #587118=CARTESIAN_POINT('',(0.999999810473996,-6.50000000765598,7.974051000142)); #587119=CARTESIAN_POINT('',(-1.000000191943,-6.50000000765598,7.974051000142)); #587120=CARTESIAN_POINT('',(-2.175000193363,-6.50000000765599,7.974051000142)); #587121=CARTESIAN_POINT('',(0.999999810474,-8.450000008502,7.974051000142)); #587122=CARTESIAN_POINT('',(0.999999810474,-8.450000008502,7.974051000142)); #587123=CARTESIAN_POINT('',(-1.000000191943,-8.450000008502,7.974051000142)); #587124=CARTESIAN_POINT('',(-1.000000191943,-8.450000008502,7.974051000142)); #587125=CARTESIAN_POINT('',(-1.000000191943,-7.750000007656,7.974051000142)); #587126=CARTESIAN_POINT('Origin',(-1.000000191943,-8.450000008502,7.824050999961)); #587127=CARTESIAN_POINT('',(0.999999810474,-8.600000008683,7.824050999961)); #587128=CARTESIAN_POINT('Origin',(0.999999810474,-8.450000008502,7.824050999961)); #587129=CARTESIAN_POINT('',(-1.000000191943,-8.600000008683,7.824050999961)); #587130=CARTESIAN_POINT('',(-1.000000191943,-8.600000008683,7.824050999961)); #587131=CARTESIAN_POINT('Origin',(-1.000000191943,-8.450000008502,7.824050999961)); #587132=CARTESIAN_POINT('Origin',(-1.000000191943,-8.500000008562,7.874051000021)); #587133=CARTESIAN_POINT('',(0.999999810474,-8.900000009046,7.874051000021)); #587134=CARTESIAN_POINT('Origin',(0.999999810474,-8.500000008562,7.874051000021)); #587135=CARTESIAN_POINT('',(-1.000000191943,-8.900000009046,7.874051000021)); #587136=CARTESIAN_POINT('Origin',(-1.000000191943,-8.500000008562,7.874051000021)); #587137=CARTESIAN_POINT('',(-1.000000191943,-8.900000009046,7.874051000021)); #587138=CARTESIAN_POINT('Origin',(-1.000000191943,-8.600000008683,-4.725949009163)); #587139=CARTESIAN_POINT('',(0.999999810474,-8.600000008683,0.174325933196264)); #587140=CARTESIAN_POINT('',(0.999999810474,-8.600000008683,-4.725949009163)); #587141=CARTESIAN_POINT('',(0.899999810474,-8.60000000868299,0.0743259331962644)); #587142=CARTESIAN_POINT('Origin',(0.899999810474,-8.60000000868299,0.174325933196264)); #587143=CARTESIAN_POINT('',(0.399999809749,-8.600000008683,0.0743259331962647)); #587144=CARTESIAN_POINT('',(-1.90734496894188E-7,-8.600000008683,0.0743259331962641)); #587145=CARTESIAN_POINT('',(0.399999809749008,-8.600000008683,-3.12594903990193)); #587146=CARTESIAN_POINT('',(0.399999809749008,-8.600000008683,-2.575949006565)); #587147=CARTESIAN_POINT('',(0.0999998097490078,-8.600000008683,-3.42594903990193)); #587148=CARTESIAN_POINT('Origin',(0.0999998097490077,-8.600000008683,-3.12594903990193)); #587149=CARTESIAN_POINT('',(-0.100000191217992,-8.600000008683,-3.42594903990193)); #587150=CARTESIAN_POINT('',(-1.000000191943,-8.600000008683,-3.42594903990193)); #587151=CARTESIAN_POINT('',(-0.400000191218,-8.600000008683,-3.12594903990193)); #587152=CARTESIAN_POINT('Origin',(-0.100000191217992,-8.600000008683,-3.12594903990193)); #587153=CARTESIAN_POINT('',(-0.400000191218,-8.600000008683,0.0743260077020698)); #587154=CARTESIAN_POINT('',(-0.400000191218,-8.600000008683,-4.725949009163)); #587155=CARTESIAN_POINT('',(-0.900000191943,-8.600000008683,0.0743260077020695)); #587156=CARTESIAN_POINT('',(-1.000000191943,-8.600000008683,0.0743260077020695)); #587157=CARTESIAN_POINT('',(-1.000000191943,-8.600000008683,0.17432600770207)); #587158=CARTESIAN_POINT('Origin',(-0.900000191943,-8.600000008683,0.17432600770207)); #587159=CARTESIAN_POINT('',(-1.000000191943,-8.600000008683,1.324050998148)); #587160=CARTESIAN_POINT('Origin',(0.999999810474,-7.750000007656,3.274051000505)); #587161=CARTESIAN_POINT('',(0.999999810474,-8.900000009046,0.174325933196265)); #587162=CARTESIAN_POINT('',(0.999999810474,-8.900000009046,2.874051000021)); #587163=CARTESIAN_POINT('',(0.999999810473996,-7.4500000072935,0.174325933196264)); #587164=CARTESIAN_POINT('',(0.999999810473996,-6.50000000765598,8.574051003828)); #587165=CARTESIAN_POINT('Origin',(0.399999809749,-6.400000006025,-4.725949009163)); #587166=CARTESIAN_POINT('',(0.39999980974901,-8.900000009046,-3.12594903990193)); #587167=CARTESIAN_POINT('',(0.399999809749004,-7.6500000075355,-3.12594903990193)); #587168=CARTESIAN_POINT('',(0.399999809749,-8.900000009046,0.0743259331962647)); #587169=CARTESIAN_POINT('',(0.399999809749,-6.400000006025,0.0743259331962647)); #587170=CARTESIAN_POINT('',(0.399999809749008,-8.900000009046,1.224050998027)); #587171=CARTESIAN_POINT('Origin',(-1.000000191943,-6.400000006025,0.0743260077020695)); #587172=CARTESIAN_POINT('',(-0.900000191942999,-8.900000009046,0.0743260077020695)); #587173=CARTESIAN_POINT('',(-0.900000191943004,-7.4500000072935,0.0743260077020695)); #587174=CARTESIAN_POINT('',(-0.400000191218,-8.900000009046,0.0743260077020698)); #587175=CARTESIAN_POINT('',(-0.400000191218,-6.400000006025,0.0743260077020698)); #587176=CARTESIAN_POINT('',(-1.000000191943,-8.900000009046,0.0743260077020695)); #587177=CARTESIAN_POINT('Origin',(-0.400000191218,-6.400000006025,-4.725949009163)); #587178=CARTESIAN_POINT('',(-0.400000191217991,-8.900000009046,-3.12594903990193)); #587179=CARTESIAN_POINT('',(-0.400000191217996,-7.6500000075355,-3.12594903990193)); #587180=CARTESIAN_POINT('',(-0.400000191217991,-8.900000009046,1.224050998027)); #587181=CARTESIAN_POINT('Origin',(-0.900000191943004,-7.4500000072935,0.17432600770207)); #587182=CARTESIAN_POINT('',(-1.000000191943,-8.900000009046,0.17432600770207)); #587183=CARTESIAN_POINT('Origin',(-0.900000191942999,-8.900000009046,0.17432600770207)); #587184=CARTESIAN_POINT('',(-1.000000191943,-7.4500000072935,0.17432600770207)); #587185=CARTESIAN_POINT('Origin',(0.999999810474,-6.400000006025,0.0743259331962644)); #587186=CARTESIAN_POINT('',(0.899999810474001,-8.90000000904599,0.0743259331962647)); #587187=CARTESIAN_POINT('',(0.899999810473996,-7.4500000072935,0.0743259331962644)); #587188=CARTESIAN_POINT('',(-1.90734495316334E-7,-8.900000009046,0.0743259331962644)); #587189=CARTESIAN_POINT('Origin',(0.899999810473996,-7.4500000072935,0.174325933196264)); #587190=CARTESIAN_POINT('Origin',(0.899999810474001,-8.90000000904599,0.174325933196264)); #587191=CARTESIAN_POINT('Origin',(0.0999998097490044,-7.6500000075355,-3.12594903990193)); #587192=CARTESIAN_POINT('',(0.0999998097490087,-8.900000009046,-3.42594903990193)); #587193=CARTESIAN_POINT('Origin',(0.0999998097490087,-8.900000009046,-3.12594903990193)); #587194=CARTESIAN_POINT('',(0.0999998097490044,-7.6500000075355,-3.42594903990193)); #587195=CARTESIAN_POINT('Origin',(-1.000000191943,-8.900000009046,-3.42594903990193)); #587196=CARTESIAN_POINT('',(-0.100000191217991,-8.900000009046,-3.42594903990193)); #587197=CARTESIAN_POINT('',(-0.100000191217996,-7.6500000075355,-3.42594903990193)); #587198=CARTESIAN_POINT('',(-1.000000191943,-8.900000009046,-3.42594903990193)); #587199=CARTESIAN_POINT('Origin',(-1.000000191943,-8.900000009046,2.874051000021)); #587200=CARTESIAN_POINT('',(-1.000000191943,-8.900000009046,2.874051000021)); #587201=CARTESIAN_POINT('Origin',(-0.100000191217991,-8.900000009046,-3.12594903990193)); #587202=CARTESIAN_POINT('Origin',(-0.100000191217996,-7.6500000075355,-3.12594903990193)); #587203=CARTESIAN_POINT('Origin',(-1.000000191943,-7.750000007656,2.974051000142)); #587204=CARTESIAN_POINT('',(-1.000000191943,-6.50000000765598,8.4240510036465)); #587205=CARTESIAN_POINT('Origin',(-3.500000194965,-6.400000009046,2.874051000021)); #587206=CARTESIAN_POINT('',(-3.500000194965,-6.400000009046,1.32405104764897)); #587207=CARTESIAN_POINT('',(-1.500000192548,-6.40000000904599,1.32405104764897)); #587208=CARTESIAN_POINT('',(-1.75000019284992,-6.400000009046,1.324050998148)); #587209=CARTESIAN_POINT('',(-3.500000194965,-6.400000009046,0.174326052827462)); #587210=CARTESIAN_POINT('',(-3.500000194965,-6.400000009046,2.874051000021)); #587211=CARTESIAN_POINT('',(-3.400000194965,-6.400000009046,0.0743260528274618)); #587212=CARTESIAN_POINT('Origin',(-3.400000194965,-6.400000009046,0.174326052827462)); #587213=CARTESIAN_POINT('',(-2.900000194239,-6.400000009046,0.0743260528274615)); #587214=CARTESIAN_POINT('',(-3.3500001947835,-6.400000009046,0.0743260528274618)); #587215=CARTESIAN_POINT('',(-2.90000019423899,-6.400000009046,-3.125949009163)); #587216=CARTESIAN_POINT('',(-2.90000019423899,-6.400000009046,1.224050998027)); #587217=CARTESIAN_POINT('',(-2.60000019423899,-6.400000009046,-3.425949009163)); #587218=CARTESIAN_POINT('Origin',(-2.60000019423899,-6.400000009046,-3.125949009163)); #587219=CARTESIAN_POINT('',(-2.40000019327299,-6.400000009046,-3.425949009163)); #587220=CARTESIAN_POINT('',(-3.500000194965,-6.400000009046,-3.425949009163)); #587221=CARTESIAN_POINT('',(-2.10000019327299,-6.400000009046,-3.125949009163)); #587222=CARTESIAN_POINT('Origin',(-2.40000019327299,-6.400000009046,-3.125949009163)); #587223=CARTESIAN_POINT('',(-2.100000193273,-6.400000009046,0.0743259557589597)); #587224=CARTESIAN_POINT('',(-2.10000019327299,-6.400000009046,1.224050998027)); #587225=CARTESIAN_POINT('',(-1.600000192548,-6.40000000904599,0.0743259557589597)); #587226=CARTESIAN_POINT('',(-2.5000001937565,-6.400000009046,0.0743259557589591)); #587227=CARTESIAN_POINT('',(-1.500000192548,-6.400000009046,0.17432595575896)); #587228=CARTESIAN_POINT('Origin',(-1.600000192548,-6.40000000904599,0.17432595575896)); #587229=CARTESIAN_POINT('',(-1.500000192548,-6.400000009046,2.874051000021)); #587230=CARTESIAN_POINT('Origin',(-3.500000194965,-6.000000008562,2.874051000021)); #587231=CARTESIAN_POINT('',(-3.500000194965,-6.100000008683,1.32405104764897)); #587232=CARTESIAN_POINT('',(-3.50000019496501,-3.00000000418151,1.324050998148)); #587233=CARTESIAN_POINT('',(-3.500000194965,-6.100000008683,0.174326052827461)); #587234=CARTESIAN_POINT('',(-3.500000194965,-6.100000008683,-4.725949009163)); #587235=CARTESIAN_POINT('',(-3.500000194965,-6.12500000871325,0.174326052827462)); #587236=CARTESIAN_POINT('Origin',(-3.500000194965,-6.100000008683,-4.725949009163)); #587237=CARTESIAN_POINT('',(-1.500000192548,-6.10000000868299,1.32405104764897)); #587238=CARTESIAN_POINT('',(-1.75000019284992,-6.10000000868299,1.324050998148)); #587239=CARTESIAN_POINT('',(-1.500000192548,-6.100000008683,0.174325955758959)); #587240=CARTESIAN_POINT('',(-1.500000192548,-6.100000008683,-4.725949009163)); #587241=CARTESIAN_POINT('',(-1.600000192548,-6.10000000868299,0.0743259557589591)); #587242=CARTESIAN_POINT('Origin',(-1.600000192548,-6.10000000868299,0.17432595575896)); #587243=CARTESIAN_POINT('',(-2.100000193273,-6.100000008683,0.0743259557589597)); #587244=CARTESIAN_POINT('',(-2.5000001937565,-6.100000008683,0.0743259557589586)); #587245=CARTESIAN_POINT('',(-2.10000019327299,-6.100000008683,-3.125949009163)); #587246=CARTESIAN_POINT('',(-2.10000019327299,-6.100000008683,-2.575949006565)); #587247=CARTESIAN_POINT('',(-2.40000019327299,-6.100000008683,-3.425949009163)); #587248=CARTESIAN_POINT('Origin',(-2.40000019327299,-6.100000008683,-3.125949009163)); #587249=CARTESIAN_POINT('',(-2.60000019423899,-6.100000008683,-3.425949009163)); #587250=CARTESIAN_POINT('',(-3.500000194965,-6.100000008683,-3.425949009163)); #587251=CARTESIAN_POINT('',(-2.900000194239,-6.100000008683,-3.125949009163)); #587252=CARTESIAN_POINT('Origin',(-2.60000019423899,-6.100000008683,-3.125949009163)); #587253=CARTESIAN_POINT('',(-2.900000194239,-6.100000008683,0.0743260528274615)); #587254=CARTESIAN_POINT('',(-2.900000194239,-6.100000008683,-4.725949009163)); #587255=CARTESIAN_POINT('',(-3.400000194965,-6.100000008683,0.0743260528274613)); #587256=CARTESIAN_POINT('',(-3.3500001947835,-6.100000008683,0.0743260528274613)); #587257=CARTESIAN_POINT('Origin',(-3.400000194965,-6.100000008683,0.174326052827462)); #587258=CARTESIAN_POINT('Origin',(-2.600000194239,-5.1500000075355,-3.125949009163)); #587259=CARTESIAN_POINT('',(-2.900000194239,-5.1500000075355,-3.125949009163)); #587260=CARTESIAN_POINT('',(-2.600000194239,-5.1500000075355,-3.425949009163)); #587261=CARTESIAN_POINT('Origin',(-3.500000194965,-6.400000009046,-3.425949009163)); #587262=CARTESIAN_POINT('',(-2.400000193273,-5.1500000075355,-3.425949009163)); #587263=CARTESIAN_POINT('Origin',(-2.400000193273,-5.1500000075355,-3.125949009163)); #587264=CARTESIAN_POINT('',(-2.100000193273,-5.1500000075355,-3.125949009163)); #587265=CARTESIAN_POINT('Origin',(-1.600000192548,-4.9500000072935,0.17432595575896)); #587266=CARTESIAN_POINT('',(-1.500000192548,-4.9500000072935,0.17432595575896)); #587267=CARTESIAN_POINT('',(-1.600000192548,-4.9500000072935,0.0743259557589594)); #587268=CARTESIAN_POINT('Origin',(-1.500000192548,-3.900000006025,0.0743259557589594)); #587269=CARTESIAN_POINT('',(-2.100000193273,-3.900000006025,0.0743259557589597)); #587270=CARTESIAN_POINT('Origin',(-3.400000194965,-6.12500000871325,0.174326052827462)); #587271=CARTESIAN_POINT('',(-3.400000194965,-6.12500000871325,0.0743260528274615)); #587272=CARTESIAN_POINT('Origin',(-2.900000194239,-3.900000006025,-4.725949009163)); #587273=CARTESIAN_POINT('',(-2.90000019423899,-6.32500000895525,0.0743260528274615)); #587274=CARTESIAN_POINT('Origin',(-3.200000194602,-6.2500000088645,0.0743260528274615)); #587275=CARTESIAN_POINT('Origin',(-2.100000193273,-3.900000006025,-4.725949009163)); #587276=CARTESIAN_POINT('Origin',(-1.500000192548,-6.000000008562,2.874051000021)); #587277=CARTESIAN_POINT('',(-1.50000019254801,-3.0000000041815,1.324050998148)); #587278=CARTESIAN_POINT('Origin',(-3.500000194965,-7.750000007656,8.274051000505)); #587279=CARTESIAN_POINT('',(-3.500000194965,-8.500000008562,8.274051000505)); #587280=CARTESIAN_POINT('',(-3.50000019496501,-6.50000000765599,8.274051000505)); #587281=CARTESIAN_POINT('',(-3.500000194965,-7.750000007656,8.274051000505)); #587282=CARTESIAN_POINT('',(-1.500000192548,-8.500000008562,8.274051000505)); #587283=CARTESIAN_POINT('',(-3.500000194965,-8.500000008562,8.274051000505)); #587284=CARTESIAN_POINT('',(-1.500000192548,-6.50000000765599,8.274051000505)); #587285=CARTESIAN_POINT('',(-1.500000192548,-7.750000007656,8.274051000505)); #587286=CARTESIAN_POINT('',(-4.4250001960825,-6.500000007656,8.274051000505)); #587287=CARTESIAN_POINT('Origin',(-3.500000194965,-8.500000008562,7.874051000021)); #587288=CARTESIAN_POINT('',(-1.500000192548,-8.900000009046,7.874051000021)); #587289=CARTESIAN_POINT('Origin',(-1.500000192548,-8.500000008562,7.874051000021)); #587290=CARTESIAN_POINT('',(-3.500000194965,-8.900000009046,7.874051000021)); #587291=CARTESIAN_POINT('Origin',(-3.500000194965,-8.500000008562,7.874051000021)); #587292=CARTESIAN_POINT('',(-3.500000194965,-8.900000009046,7.874051000021)); #587293=CARTESIAN_POINT('Origin',(-3.500000194965,-8.450000008502,7.824050999961)); #587294=CARTESIAN_POINT('',(-3.500000194965,-8.450000008502,7.974051000142)); #587295=CARTESIAN_POINT('',(-1.500000192548,-8.450000008502,7.974051000142)); #587296=CARTESIAN_POINT('',(-3.500000194965,-8.450000008502,7.974051000142)); #587297=CARTESIAN_POINT('',(-1.500000192548,-8.600000008683,7.824050999961)); #587298=CARTESIAN_POINT('Origin',(-1.500000192548,-8.450000008502,7.824050999961)); #587299=CARTESIAN_POINT('',(-3.500000194965,-8.600000008683,7.824050999961)); #587300=CARTESIAN_POINT('',(-3.500000194965,-8.600000008683,7.824050999961)); #587301=CARTESIAN_POINT('Origin',(-3.500000194965,-8.450000008502,7.824050999961)); #587302=CARTESIAN_POINT('Origin',(-3.500000194965,-8.450000008502,7.974051000142)); #587303=CARTESIAN_POINT('',(-1.500000192548,-6.50000000765599,7.974051000142)); #587304=CARTESIAN_POINT('',(-1.500000192548,-8.450000008502,7.974051000142)); #587305=CARTESIAN_POINT('',(-3.50000019496501,-6.50000000765599,7.974051000142)); #587306=CARTESIAN_POINT('',(-3.500000194965,-7.750000007656,7.974051000142)); #587307=CARTESIAN_POINT('',(-4.4250001960825,-6.500000007656,7.974051000142)); #587308=CARTESIAN_POINT('Origin',(-3.500000194965,-8.600000008683,-4.725949009163)); #587309=CARTESIAN_POINT('',(-1.500000192548,-8.600000008683,0.174325955758959)); #587310=CARTESIAN_POINT('',(-1.500000192548,-8.600000008683,-4.725949009163)); #587311=CARTESIAN_POINT('',(-1.600000192548,-8.60000000868299,0.0743259557589591)); #587312=CARTESIAN_POINT('Origin',(-1.600000192548,-8.60000000868299,0.17432595575896)); #587313=CARTESIAN_POINT('',(-2.100000193273,-8.600000008683,0.0743259557589597)); #587314=CARTESIAN_POINT('',(-2.5000001937565,-8.600000008683,0.0743259557589586)); #587315=CARTESIAN_POINT('',(-2.10000019327299,-8.600000008683,-3.125949009163)); #587316=CARTESIAN_POINT('',(-2.10000019327299,-8.600000008683,-2.575949006565)); #587317=CARTESIAN_POINT('',(-2.40000019327299,-8.600000008683,-3.425949009163)); #587318=CARTESIAN_POINT('Origin',(-2.40000019327299,-8.600000008683,-3.125949009163)); #587319=CARTESIAN_POINT('',(-2.60000019423899,-8.600000008683,-3.425949009163)); #587320=CARTESIAN_POINT('',(-3.500000194965,-8.600000008683,-3.425949009163)); #587321=CARTESIAN_POINT('',(-2.900000194239,-8.600000008683,-3.125949009163)); #587322=CARTESIAN_POINT('Origin',(-2.60000019423899,-8.600000008683,-3.125949009163)); #587323=CARTESIAN_POINT('',(-2.900000194239,-8.600000008683,0.0743260528274615)); #587324=CARTESIAN_POINT('',(-2.900000194239,-8.600000008683,-4.725949009163)); #587325=CARTESIAN_POINT('',(-3.400000194965,-8.600000008683,0.0743260528274613)); #587326=CARTESIAN_POINT('',(-3.3500001947835,-8.600000008683,0.0743260528274613)); #587327=CARTESIAN_POINT('',(-3.500000194965,-8.600000008683,0.174326052827461)); #587328=CARTESIAN_POINT('Origin',(-3.400000194965,-8.600000008683,0.174326052827462)); #587329=CARTESIAN_POINT('',(-3.500000194965,-8.600000008683,1.324050998148)); #587330=CARTESIAN_POINT('Origin',(-1.500000192548,-8.500000008562,2.874051000021)); #587331=CARTESIAN_POINT('',(-1.500000192548,-8.900000009046,0.17432595575896)); #587332=CARTESIAN_POINT('',(-1.500000192548,-8.900000009046,2.874051000021)); #587333=CARTESIAN_POINT('',(-1.500000192548,-7.4500000072935,0.17432595575896)); #587334=CARTESIAN_POINT('',(-1.50000019254801,-6.50000000765599,8.374051003586)); #587335=CARTESIAN_POINT('Origin',(-2.600000194239,-7.6500000075355,-3.125949009163)); #587336=CARTESIAN_POINT('',(-2.60000019423899,-8.900000009046,-3.425949009163)); #587337=CARTESIAN_POINT('',(-2.90000019423899,-8.900000009046,-3.125949009163)); #587338=CARTESIAN_POINT('Origin',(-2.60000019423899,-8.900000009046,-3.125949009163)); #587339=CARTESIAN_POINT('',(-2.900000194239,-7.6500000075355,-3.125949009163)); #587340=CARTESIAN_POINT('',(-2.600000194239,-7.6500000075355,-3.425949009163)); #587341=CARTESIAN_POINT('Origin',(-3.500000194965,-8.900000009046,2.874051000021)); #587342=CARTESIAN_POINT('',(-3.500000194965,-8.900000009046,0.174326052827462)); #587343=CARTESIAN_POINT('',(-3.500000194965,-8.900000009046,2.874051000021)); #587344=CARTESIAN_POINT('',(-3.400000194965,-8.900000009046,0.0743260528274618)); #587345=CARTESIAN_POINT('Origin',(-3.400000194965,-8.900000009046,0.174326052827462)); #587346=CARTESIAN_POINT('',(-2.900000194239,-8.900000009046,0.0743260528274615)); #587347=CARTESIAN_POINT('',(-3.3500001947835,-8.900000009046,0.0743260528274618)); #587348=CARTESIAN_POINT('',(-2.90000019423899,-8.900000009046,1.224050998027)); #587349=CARTESIAN_POINT('',(-2.40000019327299,-8.900000009046,-3.425949009163)); #587350=CARTESIAN_POINT('',(-3.500000194965,-8.900000009046,-3.425949009163)); #587351=CARTESIAN_POINT('',(-2.10000019327299,-8.900000009046,-3.125949009163)); #587352=CARTESIAN_POINT('Origin',(-2.40000019327299,-8.900000009046,-3.125949009163)); #587353=CARTESIAN_POINT('',(-2.100000193273,-8.900000009046,0.0743259557589597)); #587354=CARTESIAN_POINT('',(-2.10000019327299,-8.900000009046,1.224050998027)); #587355=CARTESIAN_POINT('',(-1.600000192548,-8.90000000904599,0.0743259557589597)); #587356=CARTESIAN_POINT('',(-2.5000001937565,-8.900000009046,0.0743259557589591)); #587357=CARTESIAN_POINT('Origin',(-1.600000192548,-8.90000000904599,0.17432595575896)); #587358=CARTESIAN_POINT('Origin',(-3.500000194965,-8.900000009046,-3.425949009163)); #587359=CARTESIAN_POINT('',(-2.400000193273,-7.6500000075355,-3.425949009163)); #587360=CARTESIAN_POINT('Origin',(-2.400000193273,-7.6500000075355,-3.125949009163)); #587361=CARTESIAN_POINT('',(-2.100000193273,-7.6500000075355,-3.125949009163)); #587362=CARTESIAN_POINT('Origin',(-1.600000192548,-7.4500000072935,0.17432595575896)); #587363=CARTESIAN_POINT('',(-1.600000192548,-7.4500000072935,0.0743259557589594)); #587364=CARTESIAN_POINT('Origin',(-1.500000192548,-6.400000006025,0.0743259557589594)); #587365=CARTESIAN_POINT('',(-2.100000193273,-6.400000006025,0.0743259557589597)); #587366=CARTESIAN_POINT('Origin',(-3.400000194965,-8.62500000871325,0.174326052827462)); #587367=CARTESIAN_POINT('',(-3.500000194965,-8.62500000871325,0.174326052827462)); #587368=CARTESIAN_POINT('',(-3.400000194965,-8.62500000871325,0.0743260528274615)); #587369=CARTESIAN_POINT('Origin',(-3.500000194965,-8.500000008562,2.874051000021)); #587370=CARTESIAN_POINT('',(-3.50000019496501,-6.50000000765599,8.374051003586)); #587371=CARTESIAN_POINT('Origin',(-2.900000194239,-6.400000006025,-4.725949009163)); #587372=CARTESIAN_POINT('',(-2.90000019423899,-8.82500000895525,0.0743260528274615)); #587373=CARTESIAN_POINT('Origin',(-3.200000194602,-8.7500000088645,0.0743260528274615)); #587374=CARTESIAN_POINT('Origin',(-2.100000193273,-6.400000006025,-4.725949009163)); #587375=CARTESIAN_POINT('Origin',(1.499999811078,-7.75000000765598,8.274051000505)); #587376=CARTESIAN_POINT('',(1.499999811078,-6.50000000765598,8.274051000505)); #587377=CARTESIAN_POINT('',(3.499999813495,-6.50000000765597,8.274051000505)); #587378=CARTESIAN_POINT('',(-1.925000193061,-6.50000000765599,8.274051000505)); #587379=CARTESIAN_POINT('',(1.499999811078,-8.500000008562,8.274051000505)); #587380=CARTESIAN_POINT('',(1.499999811078,-7.750000007656,8.274051000505)); #587381=CARTESIAN_POINT('',(3.499999813495,-8.500000008562,8.274051000505)); #587382=CARTESIAN_POINT('',(1.499999811078,-8.500000008562,8.274051000505)); #587383=CARTESIAN_POINT('',(3.499999813495,-7.750000007656,8.274051000505)); #587384=CARTESIAN_POINT('Origin',(3.499999813495,-7.75000000765599,7.974051000142)); #587385=CARTESIAN_POINT('',(3.499999813495,-6.50000000765597,7.974051000142)); #587386=CARTESIAN_POINT('',(1.499999811078,-6.50000000765598,7.974051000142)); #587387=CARTESIAN_POINT('',(-0.925000191852502,-6.50000000765598,7.974051000142)); #587388=CARTESIAN_POINT('',(3.499999813495,-8.450000008502,7.974051000142)); #587389=CARTESIAN_POINT('',(3.499999813495,-8.450000008502,7.974051000142)); #587390=CARTESIAN_POINT('',(1.499999811078,-8.450000008502,7.974051000142)); #587391=CARTESIAN_POINT('',(1.499999811078,-8.450000008502,7.974051000142)); #587392=CARTESIAN_POINT('',(1.499999811078,-7.750000007656,7.974051000142)); #587393=CARTESIAN_POINT('Origin',(1.499999811078,-8.450000008502,7.824050999961)); #587394=CARTESIAN_POINT('',(3.499999813495,-8.600000008683,7.824050999961)); #587395=CARTESIAN_POINT('Origin',(3.499999813495,-8.450000008502,7.824050999961)); #587396=CARTESIAN_POINT('',(1.499999811078,-8.600000008683,7.824050999961)); #587397=CARTESIAN_POINT('',(1.499999811078,-8.600000008683,7.824050999961)); #587398=CARTESIAN_POINT('Origin',(1.499999811078,-8.450000008502,7.824050999961)); #587399=CARTESIAN_POINT('Origin',(1.499999811078,-8.500000008562,7.874051000021)); #587400=CARTESIAN_POINT('',(3.499999813495,-8.900000009046,7.874051000021)); #587401=CARTESIAN_POINT('Origin',(3.499999813495,-8.500000008562,7.874051000021)); #587402=CARTESIAN_POINT('',(1.499999811078,-8.900000009046,7.874051000021)); #587403=CARTESIAN_POINT('Origin',(1.499999811078,-8.500000008562,7.874051000021)); #587404=CARTESIAN_POINT('',(1.499999811078,-8.900000009046,7.874051000021)); #587405=CARTESIAN_POINT('Origin',(1.499999811078,-8.600000008683,-4.725949009163)); #587406=CARTESIAN_POINT('',(3.499999813495,-8.600000008683,0.174326059645179)); #587407=CARTESIAN_POINT('',(3.499999813495,-8.600000008683,-4.725949009163)); #587408=CARTESIAN_POINT('',(3.399999813495,-8.60000000868299,0.0743260596451793)); #587409=CARTESIAN_POINT('Origin',(3.399999813495,-8.60000000868299,0.174326059645179)); #587410=CARTESIAN_POINT('',(2.89999981277,-8.600000008683,0.0743260596451796)); #587411=CARTESIAN_POINT('',(2.4999998122865,-8.600000008683,0.0743260596451793)); #587412=CARTESIAN_POINT('',(2.89999981277001,-8.600000008683,-3.12594907064086)); #587413=CARTESIAN_POINT('',(2.89999981277001,-8.600000008683,-2.575949006565)); #587414=CARTESIAN_POINT('',(2.59999981277001,-8.600000008683,-3.42594907064086)); #587415=CARTESIAN_POINT('Origin',(2.59999981277001,-8.600000008683,-3.12594907064086)); #587416=CARTESIAN_POINT('',(2.39999981180301,-8.600000008683,-3.42594907064086)); #587417=CARTESIAN_POINT('',(1.499999811078,-8.600000008683,-3.42594907064086)); #587418=CARTESIAN_POINT('',(2.099999811803,-8.600000008683,-3.12594907064086)); #587419=CARTESIAN_POINT('Origin',(2.39999981180301,-8.600000008683,-3.12594907064086)); #587420=CARTESIAN_POINT('',(2.099999811803,-8.600000008683,0.0743259106335677)); #587421=CARTESIAN_POINT('',(2.099999811803,-8.600000008683,-4.725949009163)); #587422=CARTESIAN_POINT('',(1.599999811078,-8.600000008683,0.0743259106335674)); #587423=CARTESIAN_POINT('',(1.499999811078,-8.600000008683,0.0743259106335674)); #587424=CARTESIAN_POINT('',(1.499999811078,-8.600000008683,0.174325910633567)); #587425=CARTESIAN_POINT('Origin',(1.599999811078,-8.600000008683,0.174325910633567)); #587426=CARTESIAN_POINT('',(1.499999811078,-8.600000008683,1.324050998148)); #587427=CARTESIAN_POINT('Origin',(3.499999813495,-7.750000007656,3.274051000505)); #587428=CARTESIAN_POINT('',(3.499999813495,-8.900000009046,0.174326059645179)); #587429=CARTESIAN_POINT('',(3.499999813495,-8.900000009046,2.874051000021)); #587430=CARTESIAN_POINT('',(3.499999813495,-7.4500000072935,0.174326059645179)); #587431=CARTESIAN_POINT('',(3.499999813495,-6.50000000765597,8.574051003828)); #587432=CARTESIAN_POINT('Origin',(2.89999981277,-6.400000006025,-4.725949009163)); #587433=CARTESIAN_POINT('',(2.89999981277001,-8.900000009046,-3.12594907064086)); #587434=CARTESIAN_POINT('',(2.89999981277,-7.6500000075355,-3.12594907064086)); #587435=CARTESIAN_POINT('',(2.89999981277,-8.900000009046,0.0743260596451796)); #587436=CARTESIAN_POINT('',(2.89999981277,-6.400000006025,0.0743260596451796)); #587437=CARTESIAN_POINT('',(2.89999981277001,-8.900000009046,1.224050998027)); #587438=CARTESIAN_POINT('Origin',(1.499999811078,-6.400000006025,0.0743259106335674)); #587439=CARTESIAN_POINT('',(1.599999811078,-8.900000009046,0.0743259106335674)); #587440=CARTESIAN_POINT('',(1.599999811078,-7.4500000072935,0.0743259106335674)); #587441=CARTESIAN_POINT('',(2.099999811803,-8.900000009046,0.0743259106335677)); #587442=CARTESIAN_POINT('',(2.099999811803,-6.400000006025,0.0743259106335677)); #587443=CARTESIAN_POINT('',(1.499999811078,-8.900000009046,0.0743259106335674)); #587444=CARTESIAN_POINT('Origin',(2.099999811803,-6.400000006025,-4.725949009163)); #587445=CARTESIAN_POINT('',(2.09999981180301,-8.900000009046,-3.12594907064086)); #587446=CARTESIAN_POINT('',(2.099999811803,-7.6500000075355,-3.12594907064086)); #587447=CARTESIAN_POINT('',(2.09999981180301,-8.900000009046,1.224050998027)); #587448=CARTESIAN_POINT('Origin',(1.599999811078,-7.4500000072935,0.174325910633567)); #587449=CARTESIAN_POINT('',(1.499999811078,-8.900000009046,0.174325910633567)); #587450=CARTESIAN_POINT('Origin',(1.599999811078,-8.900000009046,0.174325910633567)); #587451=CARTESIAN_POINT('',(1.499999811078,-7.4500000072935,0.174325910633567)); #587452=CARTESIAN_POINT('Origin',(3.499999813495,-6.400000006025,0.0743260596451793)); #587453=CARTESIAN_POINT('',(3.399999813495,-8.90000000904599,0.0743260596451793)); #587454=CARTESIAN_POINT('',(3.399999813495,-7.4500000072935,0.0743260596451793)); #587455=CARTESIAN_POINT('',(2.4999998122865,-8.900000009046,0.0743260596451793)); #587456=CARTESIAN_POINT('Origin',(3.399999813495,-7.4500000072935,0.174326059645179)); #587457=CARTESIAN_POINT('Origin',(3.399999813495,-8.90000000904599,0.174326059645179)); #587458=CARTESIAN_POINT('Origin',(2.59999981277,-7.6500000075355,-3.12594907064086)); #587459=CARTESIAN_POINT('',(2.59999981277001,-8.900000009046,-3.42594907064086)); #587460=CARTESIAN_POINT('Origin',(2.59999981277001,-8.900000009046,-3.12594907064086)); #587461=CARTESIAN_POINT('',(2.59999981277,-7.6500000075355,-3.42594907064086)); #587462=CARTESIAN_POINT('Origin',(1.499999811078,-8.900000009046,-3.42594907064086)); #587463=CARTESIAN_POINT('',(2.39999981180301,-8.900000009046,-3.42594907064086)); #587464=CARTESIAN_POINT('',(2.399999811803,-7.6500000075355,-3.42594907064086)); #587465=CARTESIAN_POINT('',(1.499999811078,-8.900000009046,-3.42594907064086)); #587466=CARTESIAN_POINT('Origin',(1.499999811078,-8.900000009046,2.874051000021)); #587467=CARTESIAN_POINT('',(1.499999811078,-8.900000009046,2.874051000021)); #587468=CARTESIAN_POINT('Origin',(2.39999981180301,-8.900000009046,-3.12594907064086)); #587469=CARTESIAN_POINT('Origin',(2.399999811803,-7.6500000075355,-3.12594907064086)); #587470=CARTESIAN_POINT('Origin',(1.499999811078,-7.750000007656,2.974051000142)); #587471=CARTESIAN_POINT('',(1.499999811078,-6.50000000765598,8.4240510036465)); #587472=CARTESIAN_POINT('Origin',(1.499999811078,-6.400000009046,2.874051000021)); #587473=CARTESIAN_POINT('',(1.499999811078,-6.400000009046,1.32405104764897)); #587474=CARTESIAN_POINT('',(3.499999813495,-6.40000000904599,1.32405104764897)); #587475=CARTESIAN_POINT('',(0.749999810171579,-6.400000009046,1.324050998148)); #587476=CARTESIAN_POINT('',(1.499999811078,-6.400000009046,0.174325910633567)); #587477=CARTESIAN_POINT('',(1.499999811078,-6.400000009046,2.874051000021)); #587478=CARTESIAN_POINT('',(1.599999811078,-6.400000009046,0.0743259106335674)); #587479=CARTESIAN_POINT('Origin',(1.599999811078,-6.400000009046,0.174325910633567)); #587480=CARTESIAN_POINT('',(2.099999811803,-6.400000009046,0.0743259106335677)); #587481=CARTESIAN_POINT('',(1.499999811078,-6.400000009046,0.0743259106335674)); #587482=CARTESIAN_POINT('',(2.09999981180301,-6.400000009046,-3.12594907064086)); #587483=CARTESIAN_POINT('',(2.09999981180301,-6.400000009046,1.224050998027)); #587484=CARTESIAN_POINT('',(2.39999981180301,-6.400000009046,-3.42594907064086)); #587485=CARTESIAN_POINT('Origin',(2.39999981180301,-6.400000009046,-3.12594907064086)); #587486=CARTESIAN_POINT('',(2.59999981277001,-6.400000009046,-3.42594907064086)); #587487=CARTESIAN_POINT('',(1.499999811078,-6.400000009046,-3.42594907064086)); #587488=CARTESIAN_POINT('',(2.89999981277001,-6.400000009046,-3.12594907064086)); #587489=CARTESIAN_POINT('Origin',(2.59999981277001,-6.400000009046,-3.12594907064086)); #587490=CARTESIAN_POINT('',(2.89999981277,-6.400000009046,0.0743260596451796)); #587491=CARTESIAN_POINT('',(2.89999981277001,-6.400000009046,1.224050998027)); #587492=CARTESIAN_POINT('',(3.399999813495,-6.40000000904599,0.0743260596451793)); #587493=CARTESIAN_POINT('',(2.4999998122865,-6.400000009046,0.0743260596451793)); #587494=CARTESIAN_POINT('',(3.499999813495,-6.400000009046,0.174326059645179)); #587495=CARTESIAN_POINT('Origin',(3.399999813495,-6.40000000904599,0.174326059645179)); #587496=CARTESIAN_POINT('',(3.499999813495,-6.400000009046,2.874051000021)); #587497=CARTESIAN_POINT('Origin',(1.499999811078,-6.000000008562,2.874051000021)); #587498=CARTESIAN_POINT('',(1.499999811078,-6.100000008683,1.32405104764897)); #587499=CARTESIAN_POINT('',(1.49999981107799,-3.0000000041815,1.324050998148)); #587500=CARTESIAN_POINT('',(1.499999811078,-6.100000008683,0.174325910633567)); #587501=CARTESIAN_POINT('',(1.499999811078,-6.100000008683,-4.725949009163)); #587502=CARTESIAN_POINT('',(1.499999811078,-4.9500000072935,0.174325910633567)); #587503=CARTESIAN_POINT('Origin',(1.499999811078,-6.100000008683,-4.725949009163)); #587504=CARTESIAN_POINT('',(3.499999813495,-6.10000000868299,1.32405104764897)); #587505=CARTESIAN_POINT('',(0.749999810171578,-6.100000008683,1.324050998148)); #587506=CARTESIAN_POINT('',(3.499999813495,-6.100000008683,0.174326059645179)); #587507=CARTESIAN_POINT('',(3.499999813495,-6.100000008683,-4.725949009163)); #587508=CARTESIAN_POINT('',(3.399999813495,-6.10000000868299,0.0743260596451793)); #587509=CARTESIAN_POINT('Origin',(3.399999813495,-6.10000000868299,0.174326059645179)); #587510=CARTESIAN_POINT('',(2.89999981277,-6.100000008683,0.0743260596451796)); #587511=CARTESIAN_POINT('',(2.4999998122865,-6.100000008683,0.0743260596451793)); #587512=CARTESIAN_POINT('',(2.89999981277001,-6.100000008683,-3.12594907064086)); #587513=CARTESIAN_POINT('',(2.89999981277001,-6.100000008683,-2.575949006565)); #587514=CARTESIAN_POINT('',(2.59999981277001,-6.100000008683,-3.42594907064086)); #587515=CARTESIAN_POINT('Origin',(2.59999981277001,-6.100000008683,-3.12594907064086)); #587516=CARTESIAN_POINT('',(2.39999981180301,-6.100000008683,-3.42594907064086)); #587517=CARTESIAN_POINT('',(1.499999811078,-6.100000008683,-3.42594907064086)); #587518=CARTESIAN_POINT('',(2.099999811803,-6.100000008683,-3.12594907064086)); #587519=CARTESIAN_POINT('Origin',(2.39999981180301,-6.100000008683,-3.12594907064086)); #587520=CARTESIAN_POINT('',(2.099999811803,-6.100000008683,0.0743259106335677)); #587521=CARTESIAN_POINT('',(2.099999811803,-6.100000008683,-4.725949009163)); #587522=CARTESIAN_POINT('',(1.599999811078,-6.100000008683,0.0743259106335674)); #587523=CARTESIAN_POINT('',(1.499999811078,-6.100000008683,0.0743259106335674)); #587524=CARTESIAN_POINT('Origin',(1.599999811078,-6.100000008683,0.174325910633567)); #587525=CARTESIAN_POINT('Origin',(3.499999813495,-6.000000008562,2.874051000021)); #587526=CARTESIAN_POINT('',(3.49999981349499,-3.00000000418149,1.324050998148)); #587527=CARTESIAN_POINT('',(3.499999813495,-4.9500000072935,0.174326059645179)); #587528=CARTESIAN_POINT('Origin',(2.89999981277,-3.900000006025,-4.725949009163)); #587529=CARTESIAN_POINT('',(2.89999981277,-5.1500000075355,-3.12594907064086)); #587530=CARTESIAN_POINT('',(2.89999981277,-3.900000006025,0.0743260596451796)); #587531=CARTESIAN_POINT('Origin',(1.499999811078,-3.900000006025,0.0743259106335674)); #587532=CARTESIAN_POINT('',(1.599999811078,-4.9500000072935,0.0743259106335674)); #587533=CARTESIAN_POINT('',(2.099999811803,-3.900000006025,0.0743259106335677)); #587534=CARTESIAN_POINT('Origin',(2.099999811803,-3.900000006025,-4.725949009163)); #587535=CARTESIAN_POINT('',(2.099999811803,-5.1500000075355,-3.12594907064086)); #587536=CARTESIAN_POINT('Origin',(1.599999811078,-4.9500000072935,0.174325910633567)); #587537=CARTESIAN_POINT('Origin',(3.499999813495,-3.900000006025,0.0743260596451793)); #587538=CARTESIAN_POINT('',(3.399999813495,-4.9500000072935,0.0743260596451793)); #587539=CARTESIAN_POINT('Origin',(3.399999813495,-4.9500000072935,0.174326059645179)); #587540=CARTESIAN_POINT('Origin',(2.59999981277,-5.1500000075355,-3.12594907064086)); #587541=CARTESIAN_POINT('',(2.59999981277,-5.1500000075355,-3.42594907064086)); #587542=CARTESIAN_POINT('Origin',(1.499999811078,-6.400000009046,-3.42594907064086)); #587543=CARTESIAN_POINT('',(2.399999811803,-5.1500000075355,-3.42594907064086)); #587544=CARTESIAN_POINT('Origin',(2.399999811803,-5.1500000075355,-3.12594907064086)); #587545=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 1.324050998148)); #587546=CARTESIAN_POINT('',(-3.650000195146,2.550000003281,1.324050998148)); #587547=CARTESIAN_POINT('',(-1.90734873895E-7,2.550000003281,1.324050998148)); #587548=CARTESIAN_POINT('',(-3.389333528164,2.398502154223,1.324050998148)); #587549=CARTESIAN_POINT('Ctrl Pts',(-3.650000195146,2.550000003281,1.324050998148)); #587550=CARTESIAN_POINT('Ctrl Pts',(-3.648273641696,2.549990382052,1.324050998148)); #587551=CARTESIAN_POINT('Ctrl Pts',(-3.6465470882458,2.54998076082335,1.324050998148)); #587552=CARTESIAN_POINT('Ctrl Pts',(-3.64482033011994,2.54995528161124, 1.324050998148)); #587553=CARTESIAN_POINT('Ctrl Pts',(-3.59361055352994,2.54919965478658, 1.324050998148)); #587554=CARTESIAN_POINT('Ctrl Pts',(-3.54222076184107,2.53449670986955, 1.324050998148)); #587555=CARTESIAN_POINT('Ctrl Pts',(-3.49701945462989,2.50806374164664, 1.324050998148)); #587556=CARTESIAN_POINT('Ctrl Pts',(-3.45181814701131,2.48163077318549, 1.324050998148)); #587557=CARTESIAN_POINT('Ctrl Pts',(-3.412805323982,2.443467781207,1.324050998148)); #587558=CARTESIAN_POINT('Ctrl Pts',(-3.389333528164,2.398502154223,1.324050998148)); #587559=CARTESIAN_POINT('',(-3.350000194783,2.250000002918,1.324050998148)); #587560=CARTESIAN_POINT('Origin',(-3.650000195146,2.250000002918,1.324050998148)); #587561=CARTESIAN_POINT('',(-3.350000194783,1.800000002314,1.324050998148)); #587562=CARTESIAN_POINT('',(-3.350000194783,2.250000002918,1.324050998148)); #587563=CARTESIAN_POINT('',(-3.650000194783,1.500000002314,1.324050998148)); #587564=CARTESIAN_POINT('Origin',(-3.650000194783,1.800000002314,1.324050998148)); #587565=CARTESIAN_POINT('',(-4.75000019719999,1.500000002314,1.324050998148)); #587566=CARTESIAN_POINT('',(-2.67500019396743,1.50000000231401,1.324050998148)); #587567=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,1.324050998148)); #587568=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 1.324050998148)); #587569=CARTESIAN_POINT('',(1.599999811199,4.550000005758,1.324050998148)); #587570=CARTESIAN_POINT('',(1.599999811199,1.99000260714399E-10,1.324050998148)); #587571=CARTESIAN_POINT('',(1.499999811078,4.550000005758,1.324050998148)); #587572=CARTESIAN_POINT('',(-1.90734707278686E-7,4.55000085684253,1.324050998148)); #587573=CARTESIAN_POINT('',(1.499999811078,4.650000005879,1.324050998148)); #587574=CARTESIAN_POINT('',(1.49999981107801,2.2500000029785,1.324050998148)); #587575=CARTESIAN_POINT('',(-1.500000192548,4.650000005879,1.324050998148)); #587576=CARTESIAN_POINT('',(1.499999811078,4.650000005879,1.324050998148)); #587577=CARTESIAN_POINT('',(-1.500000192548,4.55000085684252,1.324050998148)); #587578=CARTESIAN_POINT('',(-1.500000192548,-0.0499999998009998,1.324050998148)); #587579=CARTESIAN_POINT('',(-1.600000192668,4.55000085684252,1.324050998148)); #587580=CARTESIAN_POINT('',(-1.90734707278686E-7,4.55000085684253,1.324050998148)); #587581=CARTESIAN_POINT('',(-1.60000019266799,2.3000000029785,1.324050998148)); #587582=CARTESIAN_POINT('',(-2.67500019396743,4.80000000606004,1.324050998148)); #587583=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 1.324050998148)); #587584=CARTESIAN_POINT('',(-3.650000195146,-2.550000002883,1.324050998148)); #587585=CARTESIAN_POINT('',(-1.907348530228E-7,-2.550000002883,1.324050998148)); #587586=CARTESIAN_POINT('',(-4.75000019719998,-1.500000001916,1.324050998148)); #587587=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,1.324050998148)); #587588=CARTESIAN_POINT('',(-3.650000194783,-1.50000000191599,1.324050998148)); #587589=CARTESIAN_POINT('',(-2.57500019384693,-1.50000000191599,1.324050998148)); #587590=CARTESIAN_POINT('',(-3.350000194783,-1.80000000191599,1.324050998148)); #587591=CARTESIAN_POINT('Origin',(-3.650000194783,-1.80000000191599,1.324050998148)); #587592=CARTESIAN_POINT('',(-3.350000194783,-2.25000000252,1.324050998148)); #587593=CARTESIAN_POINT('',(-3.350000194783,-2.25000000252,1.324050998148)); #587594=CARTESIAN_POINT('',(-3.501498043841,-2.510666669502,1.324050998148)); #587595=CARTESIAN_POINT('Ctrl Pts',(-3.350000194783,-2.25000000252,1.324050998148)); #587596=CARTESIAN_POINT('Ctrl Pts',(-3.350009816012,-2.25172655597,1.324050998148)); #587597=CARTESIAN_POINT('Ctrl Pts',(-3.35001943724065,-2.2534531094202, 1.324050998148)); #587598=CARTESIAN_POINT('Ctrl Pts',(-3.35004491645276,-2.25517986754606, 1.324050998148)); #587599=CARTESIAN_POINT('Ctrl Pts',(-3.3508005432774,-2.30638964413606, 1.324050998148)); #587600=CARTESIAN_POINT('Ctrl Pts',(-3.36550348819394,-2.35777943582494, 1.324050998148)); #587601=CARTESIAN_POINT('Ctrl Pts',(-3.39193645641686,-2.40298074303611, 1.324050998148)); #587602=CARTESIAN_POINT('Ctrl Pts',(-3.41836942487802,-2.44818205065468, 1.324050998148)); #587603=CARTESIAN_POINT('Ctrl Pts',(-3.456532416857,-2.487194873684,1.324050998148)); #587604=CARTESIAN_POINT('Ctrl Pts',(-3.501498043841,-2.510666669502,1.324050998148)); #587605=CARTESIAN_POINT('Origin',(-3.650000195146,-2.25000000252,1.324050998148)); #587606=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 1.324050998148)); #587607=CARTESIAN_POINT('',(4.74999981573001,1.500000002314,1.324050998148)); #587608=CARTESIAN_POINT('',(3.649999813314,1.50000000231399,1.324050998148)); #587609=CARTESIAN_POINT('',(2.67499981249757,1.50000000231399,1.324050998148)); #587610=CARTESIAN_POINT('',(3.349999813314,1.80000000231399,1.324050998148)); #587611=CARTESIAN_POINT('Origin',(3.649999813314,1.80000000231399,1.324050998148)); #587612=CARTESIAN_POINT('',(3.349999813314,2.250000002918,1.324050998148)); #587613=CARTESIAN_POINT('',(3.34999981331401,0.875000001256503,1.324050998148)); #587614=CARTESIAN_POINT('',(3.649999813676,2.550000003281,1.324050998148)); #587615=CARTESIAN_POINT('Origin',(3.649999813676,2.250000002918,1.324050998148)); #587616=CARTESIAN_POINT('',(-1.907348770036E-7,2.550000003281,1.324050998148)); #587617=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,1.324050998148)); #587618=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 1.324050998148)); #587619=CARTESIAN_POINT('',(4.44999972652547,-4.800000005662,1.324050998148)); #587620=CARTESIAN_POINT('Origin',(4.44999972652547,-4.500000005662,1.32405099814797)); #587621=CARTESIAN_POINT('',(4.74999981573004,-6.20000000765596,1.324050998148)); #587622=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,1.324050998148)); #587623=CARTESIAN_POINT('',(4.44999981573004,-6.50000000765596,1.324050998148)); #587624=CARTESIAN_POINT('Origin',(4.44999981573004,-6.20000000765596,1.324050998148)); #587625=CARTESIAN_POINT('',(-4.45000019719996,-6.500000007656,1.324050998148)); #587626=CARTESIAN_POINT('',(-5.3500001972,-6.500000007656,1.324050998148)); #587627=CARTESIAN_POINT('',(-4.75000019719996,-6.200000007656,1.324050998148)); #587628=CARTESIAN_POINT('Origin',(-4.45000019719996,-6.200000007656,1.324050998148)); #587629=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,1.324050998148)); #587630=CARTESIAN_POINT('',(-4.45000061538181,-4.800000005662,1.324050998148)); #587631=CARTESIAN_POINT('Origin',(-4.45000061538181,-4.500000005662,1.32405099814803)); #587632=CARTESIAN_POINT('',(-1.600000192668,-4.800000005662,1.324050998148)); #587633=CARTESIAN_POINT('',(-2.60000019387693,-4.800000005662,1.324050998148)); #587634=CARTESIAN_POINT('',(-1.600000192668,-4.55000000536,1.324050998148)); #587635=CARTESIAN_POINT('',(-1.600000192668,1.99000260714399E-10,1.324050998148)); #587636=CARTESIAN_POINT('',(-1.500000192548,-4.55000000536,1.324050998148)); #587637=CARTESIAN_POINT('',(-1.90734657457005E-7,-4.55000000535999,1.324050998148)); #587638=CARTESIAN_POINT('',(-1.500000192548,-4.650000005481,1.324050998148)); #587639=CARTESIAN_POINT('',(-1.50000019254801,-2.2500000025805,1.324050998148)); #587640=CARTESIAN_POINT('',(1.499999811078,-4.650000005481,1.324050998148)); #587641=CARTESIAN_POINT('',(-1.500000192548,-4.650000005481,1.324050998148)); #587642=CARTESIAN_POINT('',(1.499999811078,-4.55000000536,1.324050998148)); #587643=CARTESIAN_POINT('',(1.499999811078,0.0500000001990003,1.324050998148)); #587644=CARTESIAN_POINT('',(1.599999811199,-4.55000000536,1.324050998148)); #587645=CARTESIAN_POINT('',(-1.90734657457005E-7,-4.55000000535999,1.324050998148)); #587646=CARTESIAN_POINT('',(1.599999811199,-4.800000005662,1.324050998148)); #587647=CARTESIAN_POINT('',(1.59999981119899,-2.3000000025805,1.324050998148)); #587648=CARTESIAN_POINT('',(2.59999981240707,-4.800000005662,1.324050998148)); #587649=CARTESIAN_POINT('Ctrl Pts',(1.29111255597034,-2.73424430816026, 13.6256460538322)); #587650=CARTESIAN_POINT('Ctrl Pts',(2.20188383590696,-2.30417724894968, 13.6654066974179)); #587651=CARTESIAN_POINT('Ctrl Pts',(3.54381508550129,-0.469360079742655, 13.7449279845894)); #587652=CARTESIAN_POINT('Ctrl Pts',(2.30971875216154,2.73303810618475,13.8642099153467)); #587653=CARTESIAN_POINT('Ctrl Pts',(-1.04856194383506,3.41787190895989, 13.9834918461039)); #587654=CARTESIAN_POINT('Ctrl Pts',(-3.44232354169014,0.96836316338567, 14.1027737768611)); #587655=CARTESIAN_POINT('Ctrl Pts',(-2.67620258793049,-2.37233100465362, 14.2220557076184)); #587656=CARTESIAN_POINT('Ctrl Pts',(0.547682349534934,-3.53369150774533, 14.3413376383756)); #587657=CARTESIAN_POINT('Ctrl Pts',(3.26844690855275,-1.45092616966698, 14.4606195691329)); #587658=CARTESIAN_POINT('Ctrl Pts',(2.98821955445809,1.96436625833303,14.5799014998901)); #587659=CARTESIAN_POINT('Ctrl Pts',(-0.0358754609854743,3.57582096398381, 14.6991834306474)); #587660=CARTESIAN_POINT('Ctrl Pts',(-3.02699759893537,1.90400333416712, 14.8184653614046)); #587661=CARTESIAN_POINT('Ctrl Pts',(-3.23872462272288,-1.51612195268313, 14.9377472921619)); #587662=CARTESIAN_POINT('Ctrl Pts',(-0.476622302407161,-3.54411341110727, 15.0570292229191)); #587663=CARTESIAN_POINT('Ctrl Pts',(2.72311363341172,-2.31788352075631, 15.1763111536763)); #587664=CARTESIAN_POINT('Ctrl Pts',(3.4224684268749,1.03664940358608,15.2955930844336)); #587665=CARTESIAN_POINT('Ctrl Pts',(0.979285904928633,3.43932048797883, 15.4148750151909)); #587666=CARTESIAN_POINT('Ctrl Pts',(-2.3630838060197,2.68398325760225,15.5341569459481)); #587667=CARTESIAN_POINT('Ctrl Pts',(-3.53565285610833,-0.535808079055788, 15.6534388767053)); #587668=CARTESIAN_POINT('Ctrl Pts',(-1.46175915259166,-3.2636160884881, 15.7727208074626)); #587669=CARTESIAN_POINT('Ctrl Pts',(1.9543324309823,-2.99474769661067,15.8920027382198)); #587670=CARTESIAN_POINT('Ctrl Pts',(3.57594129309021,0.0239204193404044, 16.0112846689771)); #587671=CARTESIAN_POINT('Ctrl Pts',(1.91409404760184,3.02062457818107,16.1305665997344)); #587672=CARTESIAN_POINT('Ctrl Pts',(-1.50528877199445,3.2437687913734,16.2498485304916)); #587673=CARTESIAN_POINT('Ctrl Pts',(-3.54250440299437,0.488460336836762, 16.3691304612488)); #587674=CARTESIAN_POINT('Ctrl Pts',(-2.32696631942361,-2.71535602031285, 16.4884123920061)); #587675=CARTESIAN_POINT('Ctrl Pts',(1.02520943529142,-3.42591228509932, 16.6076943227633)); #587676=CARTESIAN_POINT('Ctrl Pts',(3.43602998072104,-0.99077035550878, 16.7269762535206)); #587677=CARTESIAN_POINT('Ctrl Pts',(2.69186223839197,2.35410423124122,16.8462581842778)); #587678=CARTESIAN_POINT('Ctrl Pts',(-0.523994001003293,3.537422901924,16.9655401150351)); #587679=CARTESIAN_POINT('Ctrl Pts',(-3.25871449159062,1.47265331317572, 17.0848220457923)); #587680=CARTESIAN_POINT('Ctrl Pts',(-3.00126067478619,-1.94431708580838, 17.2041039765496)); #587681=CARTESIAN_POINT('Ctrl Pts',(0.0119756215928765,-3.57600188681032, 17.3233859073068)); #587682=CARTESIAN_POINT('Ctrl Pts',(3.01421027244707,-1.92417353490108, 17.442667838064)); #587683=CARTESIAN_POINT('Ctrl Pts',(3.24878486221374,1.49444217107855,17.5619497688213)); #587684=CARTESIAN_POINT('Ctrl Pts',(0.500280580970817,3.54085599197203, 17.6812316995785)); #587685=CARTESIAN_POINT('Ctrl Pts',(-2.70754588491859,2.33601774712781, 17.8005136303358)); #587686=CARTESIAN_POINT('Ctrl Pts',(-3.42936017606475,-1.01374658187979, 17.919795561093)); #587687=CARTESIAN_POINT('Ctrl Pts',(-1.0021621011195,-3.43272554907215, 18.0390774918503)); #587688=CARTESIAN_POINT('Ctrl Pts',(2.34493999631263,-2.6996643043773,18.1583594226075)); #587689=CARTESIAN_POINT('Ctrl Pts',(3.53946108386616,0.51208158836514,18.2776413533648)); #587690=CARTESIAN_POINT('Ctrl Pts',(1.48293322509107,3.25395540133379,18.396923284122)); #587691=CARTESIAN_POINT('Ctrl Pts',(-1.93311610876594,3.00738972921925, 18.5162052148793)); #587692=CARTESIAN_POINT('Ctrl Pts',(-3.57830962953201,0.000666454663338376, 18.6354871456365)); #587693=CARTESIAN_POINT('Ctrl Pts',(-1.92952695901439,-3.00929427707297, 18.7547690763938)); #587694=CARTESIAN_POINT('Ctrl Pts',(0.338025050216778,-3.1691110737072, 18.8342903635652)); #587695=CARTESIAN_POINT('Ctrl Pts',(1.25446167448542,-2.75125234940612, 18.874051007151)); #587696=CARTESIAN_POINT('Ctrl Pts',(1.35235012072381,-2.86392970032469, 13.7084477049384)); #587697=CARTESIAN_POINT('Ctrl Pts',(2.30631934396403,-2.41346453145719, 13.7482083485242)); #587698=CARTESIAN_POINT('Ctrl Pts',(3.71189848333518,-0.49162186002596, 13.8277296356957)); #587699=CARTESIAN_POINT('Ctrl Pts',(2.4192688775777,2.86266628808916,13.9470115664529)); #587700=CARTESIAN_POINT('Ctrl Pts',(-1.09829529858455,3.5799818043706,14.0662934972102)); #587701=CARTESIAN_POINT('Ctrl Pts',(-3.6055931706551,1.01429269360048,14.1855754279674)); #587702=CARTESIAN_POINT('Ctrl Pts',(-2.80313504832938,-2.48485082439598, 14.3048573587247)); #587703=CARTESIAN_POINT('Ctrl Pts',(0.573658969400014,-3.70129473456556, 14.4241392894819)); #587704=CARTESIAN_POINT('Ctrl Pts',(3.42346957516082,-1.51974369587173, 14.5434212202392)); #587705=CARTESIAN_POINT('Ctrl Pts',(3.12995101874023,2.05753628259232,14.6627031509964)); #587706=CARTESIAN_POINT('Ctrl Pts',(-0.0375770274757868,3.74542239373918, 14.7819850817537)); #587707=CARTESIAN_POINT('Ctrl Pts',(-3.17056829039224,1.99431034085747, 14.9012670125109)); #587708=CARTESIAN_POINT('Ctrl Pts',(-3.39233754121402,-1.58803171927841, 15.0205489432682)); #587709=CARTESIAN_POINT('Ctrl Pts',(-0.499228521345782,-3.71221094950766, 15.1398308740254)); #587710=CARTESIAN_POINT('Ctrl Pts',(2.8522711059584,-2.42782089266106,15.2591128047827)); #587711=CARTESIAN_POINT('Ctrl Pts',(3.58479634449669,1.08581775478435,15.3783947355399)); #587712=CARTESIAN_POINT('Ctrl Pts',(1.02573351056835,3.60244769094064,15.4976766662971)); #587713=CARTESIAN_POINT('Ctrl Pts',(-2.47516502145046,2.81128476472616, 15.6169585970544)); #587714=CARTESIAN_POINT('Ctrl Pts',(-3.70334910075784,-0.561221492433139, 15.7362405278116)); #587715=CARTESIAN_POINT('Ctrl Pts',(-1.53109047885197,-3.4184096199372, 15.8555224585689)); #587716=CARTESIAN_POINT('Ctrl Pts',(2.04702655918887,-3.13678878207875, 15.9748043893261)); #587717=CARTESIAN_POINT('Ctrl Pts',(3.74554843911924,0.0250549664354049, 16.0940863200834)); #587718=CARTESIAN_POINT('Ctrl Pts',(2.00487966656774,3.16389300587039,16.2133682508406)); #587719=CARTESIAN_POINT('Ctrl Pts',(-1.57668471104219,3.39762096416136, 16.3326501815979)); #587720=CARTESIAN_POINT('Ctrl Pts',(-3.71052561697531,0.511628043584835, 16.4519321123551)); #587721=CARTESIAN_POINT('Ctrl Pts',(-2.43733448002642,-2.84414553970932, 16.5712140431123)); #587722=CARTESIAN_POINT('Ctrl Pts',(1.07383519707704,-3.58840353611209, 16.6904959738696)); #587723=CARTESIAN_POINT('Ctrl Pts',(3.59900112375744,-1.03776266037783, 16.8097779046268)); #587724=CARTESIAN_POINT('Ctrl Pts',(2.81953745516275,2.46575955387105,16.9290598353841)); #587725=CARTESIAN_POINT('Ctrl Pts',(-0.548847062804906,3.7052031090032, 17.0483417661413)); #587726=CARTESIAN_POINT('Ctrl Pts',(-3.4132755309119,1.54250135924526,17.1676236968986)); #587727=CARTESIAN_POINT('Ctrl Pts',(-3.14361066219289,-2.03653617647997, 17.2869056276558)); #587728=CARTESIAN_POINT('Ctrl Pts',(0.0125436351802004,-3.74561189776644, 17.4061875584131)); #587729=CARTESIAN_POINT('Ctrl Pts',(3.15717447823835,-2.01543721559303, 17.5254694891703)); #587730=CARTESIAN_POINT('Ctrl Pts',(3.40287495663636,1.56532366414962,17.6447514199276)); #587731=CARTESIAN_POINT('Ctrl Pts',(0.524008931752295,3.70879903074401, 17.7640333506848)); #587732=CARTESIAN_POINT('Ctrl Pts',(-2.83596496000994,2.44681522659493, 17.8833152814421)); #587733=CARTESIAN_POINT('Ctrl Pts',(-3.59201495172281,-1.06182865071698, 18.0025972121993)); #587734=CARTESIAN_POINT('Ctrl Pts',(-1.04969470819602,-3.59553995365519, 18.1218791429566)); #587735=CARTESIAN_POINT('Ctrl Pts',(2.45616066771704,-2.82770956462743, 18.2411610737138)); #587736=CARTESIAN_POINT('Ctrl Pts',(3.70733797110851,0.536369652668123, 18.360443004471)); #587737=CARTESIAN_POINT('Ctrl Pts',(1.55326885713287,3.40829072571998,18.4797249352283)); #587738=CARTESIAN_POINT('Ctrl Pts',(-2.02480392728409,3.15003042712868, 18.5990068659855)); #587739=CARTESIAN_POINT('Ctrl Pts',(-3.74802908782523,0.000698064643585057, 18.7182887967428)); #587740=CARTESIAN_POINT('Ctrl Pts',(-2.02104454391749,-3.15202530783458, 18.8375707275)); #587741=CARTESIAN_POINT('Ctrl Pts',(0.354057610218926,-3.31942222592417, 18.9170920146715)); #587742=CARTESIAN_POINT('Ctrl Pts',(1.31396088545536,-2.88174443411524, 18.9568526582573)); #587743=CARTESIAN_POINT('Ctrl Pts',(1.41358768547729,-2.99361509248912, 13.7912493560447)); #587744=CARTESIAN_POINT('Ctrl Pts',(2.4107548520211,-2.5227518139647,13.8310099996305)); #587745=CARTESIAN_POINT('Ctrl Pts',(3.87998188116907,-0.513883640309268, 13.910531286802)); #587746=CARTESIAN_POINT('Ctrl Pts',(2.52881900299385,2.99229446999357,14.0298132175592)); #587747=CARTESIAN_POINT('Ctrl Pts',(-1.14802865333403,3.7420916997813,14.1490951483165)); #587748=CARTESIAN_POINT('Ctrl Pts',(-3.76886279962006,1.06022222381529, 14.2683770790737)); #587749=CARTESIAN_POINT('Ctrl Pts',(-2.93006750872826,-2.59737064413833, 14.387659009831)); #587750=CARTESIAN_POINT('Ctrl Pts',(0.599635589265093,-3.86889796138579, 14.5069409405882)); #587751=CARTESIAN_POINT('Ctrl Pts',(3.5784922417689,-1.58856122207648,14.6262228713455)); #587752=CARTESIAN_POINT('Ctrl Pts',(3.27168248302237,2.15070630685162,14.7455048021027)); #587753=CARTESIAN_POINT('Ctrl Pts',(-0.039278593966097,3.91502382349455, 14.8647867328599)); #587754=CARTESIAN_POINT('Ctrl Pts',(-3.31413898184912,2.08461734754782, 14.9840686636172)); #587755=CARTESIAN_POINT('Ctrl Pts',(-3.54595045970517,-1.6599414858737, 15.1033505943744)); #587756=CARTESIAN_POINT('Ctrl Pts',(-0.521834740284407,-3.88030848790805, 15.2226325251317)); #587757=CARTESIAN_POINT('Ctrl Pts',(2.98142857850509,-2.53775826456582, 15.3419144558889)); #587758=CARTESIAN_POINT('Ctrl Pts',(3.74712426211848,1.13498610598261,15.4611963866461)); #587759=CARTESIAN_POINT('Ctrl Pts',(1.07218111620807,3.76557489390244,15.5804783174034)); #587760=CARTESIAN_POINT('Ctrl Pts',(-2.58724623688121,2.93858627185007, 15.6997602481606)); #587761=CARTESIAN_POINT('Ctrl Pts',(-3.87104534540735,-0.586634905810496, 15.8190421789179)); #587762=CARTESIAN_POINT('Ctrl Pts',(-1.60042180511229,-3.5732031513863, 15.9383241096751)); #587763=CARTESIAN_POINT('Ctrl Pts',(2.13972068739544,-3.27882986754684, 16.0576060404324)); #587764=CARTESIAN_POINT('Ctrl Pts',(3.91515558514826,0.0261895135304076, 16.1768879711896)); #587765=CARTESIAN_POINT('Ctrl Pts',(2.09566528553365,3.30716143355972,16.2961699019469)); #587766=CARTESIAN_POINT('Ctrl Pts',(-1.64808065008993,3.55147313694932, 16.4154518327041)); #587767=CARTESIAN_POINT('Ctrl Pts',(-3.87854683095625,0.534795750332907, 16.5347337634614)); #587768=CARTESIAN_POINT('Ctrl Pts',(-2.54770264062924,-2.97293505910579, 16.6540156942186)); #587769=CARTESIAN_POINT('Ctrl Pts',(1.12246095886266,-3.75089478712486, 16.7732976249759)); #587770=CARTESIAN_POINT('Ctrl Pts',(3.76197226679384,-1.08475496524687, 16.8925795557331)); #587771=CARTESIAN_POINT('Ctrl Pts',(2.94721267193353,2.57741487650089,17.0118614864904)); #587772=CARTESIAN_POINT('Ctrl Pts',(-0.57370012460652,3.8729833160824,17.1311434172476)); #587773=CARTESIAN_POINT('Ctrl Pts',(-3.56783657023317,1.61234940531479, 17.2504253480049)); #587774=CARTESIAN_POINT('Ctrl Pts',(-3.28596064959959,-2.12875526715156, 17.3697072787621)); #587775=CARTESIAN_POINT('Ctrl Pts',(0.0131116487675266,-3.91522190872257, 17.4889892095194)); #587776=CARTESIAN_POINT('Ctrl Pts',(3.30013868402962,-2.10670089628497, 17.6082711402766)); #587777=CARTESIAN_POINT('Ctrl Pts',(3.55696505105898,1.63620515722068,17.7275530710339)); #587778=CARTESIAN_POINT('Ctrl Pts',(0.54773728253377,3.87674206951599,17.8468350017911)); #587779=CARTESIAN_POINT('Ctrl Pts',(-2.9643840351013,2.55761270606205,17.9661169325483)); #587780=CARTESIAN_POINT('Ctrl Pts',(-3.75466972738086,-1.10991071955417, 18.0853988633056)); #587781=CARTESIAN_POINT('Ctrl Pts',(-1.09722731527255,-3.75835435823824, 18.2046807940628)); #587782=CARTESIAN_POINT('Ctrl Pts',(2.56738133912144,-2.95575482487755, 18.3239627248201)); #587783=CARTESIAN_POINT('Ctrl Pts',(3.87521485835085,0.560657716971104, 18.4432446555773)); #587784=CARTESIAN_POINT('Ctrl Pts',(1.62360448917467,3.56262605010618,18.5625265863345)); #587785=CARTESIAN_POINT('Ctrl Pts',(-2.11649174580224,3.29267112503812, 18.6818085170918)); #587786=CARTESIAN_POINT('Ctrl Pts',(-3.91774854611846,0.000729674623835006, 18.801090447849)); #587787=CARTESIAN_POINT('Ctrl Pts',(-2.11256212882059,-3.29475633859619, 18.9203723786063)); #587788=CARTESIAN_POINT('Ctrl Pts',(0.370090170221069,-3.46973337814113, 18.9998936657778)); #587789=CARTESIAN_POINT('Ctrl Pts',(1.3734600964253,-3.01223651882436,19.0396543093636)); #587790=CARTESIAN_POINT('Ctrl Pts',(1.47482525023076,-3.12330048465355, 13.874051007151)); #587791=CARTESIAN_POINT('Ctrl Pts',(2.51519036007817,-2.63203909647221, 13.9138116507367)); #587792=CARTESIAN_POINT('Ctrl Pts',(4.04806527900295,-0.536145420592571, 13.9933329379082)); #587793=CARTESIAN_POINT('Ctrl Pts',(2.63836912841,3.12192265189797,14.1126148686655)); #587794=CARTESIAN_POINT('Ctrl Pts',(-1.19776200808352,3.90420159519201, 14.2318967994227)); #587795=CARTESIAN_POINT('Ctrl Pts',(-3.93213242858503,1.1061517540301,14.35117873018)); #587796=CARTESIAN_POINT('Ctrl Pts',(-3.05699996912714,-2.70989046388068, 14.4704606609372)); #587797=CARTESIAN_POINT('Ctrl Pts',(0.625612209130172,-4.03650118820603, 14.5897425916945)); #587798=CARTESIAN_POINT('Ctrl Pts',(3.73351490837698,-1.65737874828124, 14.7090245224517)); #587799=CARTESIAN_POINT('Ctrl Pts',(3.41341394730451,2.24387633111091,14.828306453209)); #587800=CARTESIAN_POINT('Ctrl Pts',(-0.0409801604564069,4.08462525324992, 14.9475883839662)); #587801=CARTESIAN_POINT('Ctrl Pts',(-3.457709673306,2.17492435423816,15.0668703147235)); #587802=CARTESIAN_POINT('Ctrl Pts',(-3.69956337819631,-1.73185125246899, 15.1861522454807)); #587803=CARTESIAN_POINT('Ctrl Pts',(-0.544440959223032,-4.04840602630844, 15.305434176238)); #587804=CARTESIAN_POINT('Ctrl Pts',(3.11058605105177,-2.64769563647058, 15.4247161069952)); #587805=CARTESIAN_POINT('Ctrl Pts',(3.90945217974027,1.18415445718087,15.5439980377524)); #587806=CARTESIAN_POINT('Ctrl Pts',(1.11862872184779,3.92870209686424,15.6632799685097)); #587807=CARTESIAN_POINT('Ctrl Pts',(-2.69932745231196,3.06588777897398, 15.7825618992669)); #587808=CARTESIAN_POINT('Ctrl Pts',(-4.03874159005686,-0.612048319187848, 15.9018438300242)); #587809=CARTESIAN_POINT('Ctrl Pts',(-1.6697531313726,-3.7279966828354,16.0211257607814)); #587810=CARTESIAN_POINT('Ctrl Pts',(2.232414815602,-3.42087095301492,16.1404076915387)); #587811=CARTESIAN_POINT('Ctrl Pts',(4.08476273117729,0.0273240606254107, 16.2596896222959)); #587812=CARTESIAN_POINT('Ctrl Pts',(2.18645090449955,3.45042986124904,16.3789715530532)); #587813=CARTESIAN_POINT('Ctrl Pts',(-1.71947658913768,3.70532530973729, 16.4982534838104)); #587814=CARTESIAN_POINT('Ctrl Pts',(-4.04656804493718,0.557963457080974, 16.6175354145677)); #587815=CARTESIAN_POINT('Ctrl Pts',(-2.65807080123206,-3.10172457850225, 16.7368173453249)); #587816=CARTESIAN_POINT('Ctrl Pts',(1.17108672064828,-3.91338603813764, 16.8560992760822)); #587817=CARTESIAN_POINT('Ctrl Pts',(3.92494340983024,-1.13174727011591, 16.9753812068394)); #587818=CARTESIAN_POINT('Ctrl Pts',(3.0748878887043,2.68907019913072,17.0946631375966)); #587819=CARTESIAN_POINT('Ctrl Pts',(-0.598553186408135,4.0407635231616, 17.2139450683539)); #587820=CARTESIAN_POINT('Ctrl Pts',(-3.72239760955444,1.68219745138433, 17.3332269991111)); #587821=CARTESIAN_POINT('Ctrl Pts',(-3.42831063700629,-2.22097435782315, 17.4525089298684)); #587822=CARTESIAN_POINT('Ctrl Pts',(0.0136796623548524,-4.08483191967869, 17.5717908606256)); #587823=CARTESIAN_POINT('Ctrl Pts',(3.44310288982089,-2.19796457697692, 17.6910727913829)); #587824=CARTESIAN_POINT('Ctrl Pts',(3.7110551454816,1.70708665029175,17.8103547221401)); #587825=CARTESIAN_POINT('Ctrl Pts',(0.571465633315246,4.04468510828797, 17.9296366528973)); #587826=CARTESIAN_POINT('Ctrl Pts',(-3.09280311019266,2.66841018552918, 18.0489185836546)); #587827=CARTESIAN_POINT('Ctrl Pts',(-3.91732450303892,-1.15799278839136, 18.1682005144119)); #587828=CARTESIAN_POINT('Ctrl Pts',(-1.14475992234908,-3.92116876282127, 18.2874824451691)); #587829=CARTESIAN_POINT('Ctrl Pts',(2.67860201052585,-3.08380008512768, 18.4067643759264)); #587830=CARTESIAN_POINT('Ctrl Pts',(4.0430917455932,0.584945781274094,18.5260463066836)); #587831=CARTESIAN_POINT('Ctrl Pts',(1.69394012121647,3.71696137449236,18.6453282374409)); #587832=CARTESIAN_POINT('Ctrl Pts',(-2.20817956432039,3.43531182294756, 18.7646101681981)); #587833=CARTESIAN_POINT('Ctrl Pts',(-4.08746800441168,0.000761284604081033, 18.8838920989553)); #587834=CARTESIAN_POINT('Ctrl Pts',(-2.20407971372369,-3.4374873693578, 19.0031740297126)); #587835=CARTESIAN_POINT('Ctrl Pts',(0.386122730223216,-3.6200445303581, 19.0826953168841)); #587836=CARTESIAN_POINT('Ctrl Pts',(1.43295930739524,-3.14272860353349, 19.1224559604698)); #587837=CARTESIAN_POINT('',(-3.44227047475243,0.284413944601434,18.874051007151)); #587838=CARTESIAN_POINT('Ctrl Pts',(-3.44788145746671,0.283350141200085, 18.874051007151)); #587839=CARTESIAN_POINT('Ctrl Pts',(-3.45052603118261,0.188742659321764, 18.874051007151)); #587840=CARTESIAN_POINT('Ctrl Pts',(-3.44903228314685,0.0942704795033789, 18.874051007151)); #587841=CARTESIAN_POINT('Ctrl Pts',(-3.4432785832949,0.000144216771737617, 18.874051007151)); #587842=CARTESIAN_POINT('Ctrl Pts',(-3.43662261177385,-0.108742541356898, 18.874051007151)); #587843=CARTESIAN_POINT('Ctrl Pts',(-3.42426705412749,-0.217167066224643, 18.874051007151)); #587844=CARTESIAN_POINT('Ctrl Pts',(-3.40648032165704,-0.324796863864568, 18.874051007151)); #587845=CARTESIAN_POINT('Ctrl Pts',(-3.38836699356445,-0.43440293346891, 18.874051007151)); #587846=CARTESIAN_POINT('Ctrl Pts',(-3.36462081010047,-0.543185645130318, 18.874051007151)); #587847=CARTESIAN_POINT('Ctrl Pts',(-3.33547390972458,-0.650778312614148, 18.874051007151)); #587848=CARTESIAN_POINT('Ctrl Pts',(-3.30633555690453,-0.758339427709174, 18.874051007151)); #587849=CARTESIAN_POINT('Ctrl Pts',(-3.27179910648859,-0.864711988807318, 18.874051007151)); #587850=CARTESIAN_POINT('Ctrl Pts',(-3.23205303322718,-0.969502121161408, 18.874051007151)); #587851=CARTESIAN_POINT('Ctrl Pts',(-3.22618528581481,-0.984972379667684, 18.874051007151)); #587852=CARTESIAN_POINT('Ctrl Pts',(-3.22020325336633,-1.00040978568562, 18.874051007151)); #587853=CARTESIAN_POINT('Ctrl Pts',(-3.21410959547756,-1.01580765290779, 18.874051007151)); #587854=CARTESIAN_POINT('Ctrl Pts',(-3.17353833997847,-1.11832584749881, 18.874051007151)); #587855=CARTESIAN_POINT('Ctrl Pts',(-3.12815483753398,-1.21876316711727, 18.874051007151)); #587856=CARTESIAN_POINT('Ctrl Pts',(-3.07822385054057,-1.31678533290637, 18.874051007151)); #587857=CARTESIAN_POINT('Ctrl Pts',(-3.02812680456193,-1.4151334978868, 18.874051007151)); #587858=CARTESIAN_POINT('Ctrl Pts',(-2.97345142206254,-1.51105120479266, 18.874051007151)); #587859=CARTESIAN_POINT('Ctrl Pts',(-2.91441906812992,-1.60417576084128, 18.874051007151)); #587860=CARTESIAN_POINT('Ctrl Pts',(-2.85605535668426,-1.69624552183932, 18.874051007151)); #587861=CARTESIAN_POINT('Ctrl Pts',(-2.79343221065809,-1.78558572100119, 18.874051007151)); #587862=CARTESIAN_POINT('Ctrl Pts',(-2.72672740251632,-1.87181063195036, 18.874051007151)); #587863=CARTESIAN_POINT('Ctrl Pts',(-2.69330507632008,-1.9150134711499, 18.874051007151)); #587864=CARTESIAN_POINT('Ctrl Pts',(-2.65885753812405,-1.95743360198912, 18.874051007151)); #587865=CARTESIAN_POINT('Ctrl Pts',(-2.62340438432091,-1.99901831806999, 18.874051007151)); #587866=CARTESIAN_POINT('Ctrl Pts',(-2.59329863987682,-2.03433079949441, 18.874051007151)); #587867=CARTESIAN_POINT('Ctrl Pts',(-2.56246772187744,-2.06904083148628, 18.874051007151)); #587868=CARTESIAN_POINT('Ctrl Pts',(-2.53092275631862,-2.10311431156067, 18.874051007151)); #587869=CARTESIAN_POINT('Ctrl Pts',(-2.51987611274631,-2.11504640858414, 18.874051007151)); #587870=CARTESIAN_POINT('Ctrl Pts',(-2.50874699620577,-2.12689487760239, 18.874051007151)); #587871=CARTESIAN_POINT('Ctrl Pts',(-2.49754043197471,-2.13865401325952, 18.874051007151)); #587872=CARTESIAN_POINT('Ctrl Pts',(-2.42345898447109,-2.21638825659318, 18.874051007151)); #587873=CARTESIAN_POINT('Ctrl Pts',(-2.34593744827001,-2.29028509184834, 18.874051007151)); #587874=CARTESIAN_POINT('Ctrl Pts',(-2.26517762416418,-2.36000526041983, 18.874051007151)); #587875=CARTESIAN_POINT('Ctrl Pts',(-2.18531824412579,-2.42894807325948, 18.874051007151)); #587876=CARTESIAN_POINT('Ctrl Pts',(-2.10229181489953,-2.49380742963239, 18.874051007151)); #587877=CARTESIAN_POINT('Ctrl Pts',(-2.01626373507098,-2.55421391287374, 18.874051007151)); #587878=CARTESIAN_POINT('Ctrl Pts',(-1.93023565524243,-2.61462039611509, 18.874051007151)); #587879=CARTESIAN_POINT('Ctrl Pts',(-1.84120543637741,-2.67057466571779, 18.874051007151)); #587880=CARTESIAN_POINT('Ctrl Pts',(-1.7493163905924,-2.72165624275769, 18.874051007151)); #587881=CARTESIAN_POINT('Ctrl Pts',(-1.69267136888381,-2.75314549172762, 18.874051007151)); #587882=CARTESIAN_POINT('Ctrl Pts',(-1.63493945278259,-2.78278184543624, 18.874051007151)); #587883=CARTESIAN_POINT('Ctrl Pts',(-1.57621155526438,-2.81055724860856, 18.874051007151)); #587884=CARTESIAN_POINT('Ctrl Pts',(-1.55561362620986,-2.82029905460163, 18.874051007151)); #587885=CARTESIAN_POINT('Ctrl Pts',(-1.53489317218982,-2.8298118724778, 18.874051007151)); #587886=CARTESIAN_POINT('Ctrl Pts',(-1.51405411156156,-2.83909514997191, 18.874051007151)); #587887=CARTESIAN_POINT('Ctrl Pts',(-1.50011585130095,-2.84530429442868, 18.874051007151)); #587888=CARTESIAN_POINT('Ctrl Pts',(-1.48613383619097,-2.85140659947134, 18.874051007151)); #587889=CARTESIAN_POINT('Ctrl Pts',(-1.4721101406003,-2.85740200142242, 18.874051007151)); #587890=CARTESIAN_POINT('Ctrl Pts',(-1.37726051152792,-2.89795205811209, 18.874051007151)); #587891=CARTESIAN_POINT('Ctrl Pts',(-1.28049440212464,-2.93362090110905, 18.874051007151)); #587892=CARTESIAN_POINT('Ctrl Pts',(-1.18220583252104,-2.96446528592977, 18.874051007151)); #587893=CARTESIAN_POINT('Ctrl Pts',(-1.08159253909976,-2.99603920294659, 18.874051007151)); #587894=CARTESIAN_POINT('Ctrl Pts',(-0.979383026548512,-3.02255788335208, 18.874051007151)); #587895=CARTESIAN_POINT('Ctrl Pts',(-0.875985879952506,-3.04402983919184, 18.874051007151)); #587896=CARTESIAN_POINT('Ctrl Pts',(-0.773033546505806,-3.06540942296319, 18.874051007151)); #587897=CARTESIAN_POINT('Ctrl Pts',(-0.668902835426629,-3.08178552471209, 18.874051007151)); #587898=CARTESIAN_POINT('Ctrl Pts',(-0.563997200585521,-3.09311521527353, 18.874051007151)); #587899=CARTESIAN_POINT('Ctrl Pts',(-0.517905160761508,-3.09809310372864, 18.874051007151)); #587900=CARTESIAN_POINT('Ctrl Pts',(-0.471663613002857,-3.10209615686267, 18.874051007151)); #587901=CARTESIAN_POINT('Ctrl Pts',(-0.425307493830965,-3.10511763962292, 18.874051007151)); #587902=CARTESIAN_POINT('Ctrl Pts',(-0.401226259589197,-3.10668724975006, 18.874051007151)); #587903=CARTESIAN_POINT('Ctrl Pts',(-0.377114116782676,-3.1079919394468, 18.874051007151)); #587904=CARTESIAN_POINT('Ctrl Pts',(-0.352976039803525,-3.10903056442329, 18.874051007151)); #587905=CARTESIAN_POINT('Ctrl Pts',(-0.330585256592553,-3.10999400598933, 18.874051007151)); #587906=CARTESIAN_POINT('Ctrl Pts',(-0.308167569838923,-3.11072850503192, 18.874051007151)); #587907=CARTESIAN_POINT('Ctrl Pts',(-0.285756100805737,-3.1112327034353, 18.874051007151)); #587908=CARTESIAN_POINT('Ctrl Pts',(-0.181241767841306,-3.11358399775398, 18.874051007151)); #587909=CARTESIAN_POINT('Ctrl Pts',(-0.0767509300782069,-3.11093777658052, 18.874051007151)); #587910=CARTESIAN_POINT('Ctrl Pts',(0.0273196291452066,-3.10328119368522, 18.874051007151)); #587911=CARTESIAN_POINT('Ctrl Pts',(0.134337788716679,-3.09540775266429, 18.874051007151)); #587912=CARTESIAN_POINT('Ctrl Pts',(0.240912607728546,-3.0822363007479, 18.874051007151)); #587913=CARTESIAN_POINT('Ctrl Pts',(0.346598055075699,-3.06369648383362, 18.874051007151)); #587914=CARTESIAN_POINT('Ctrl Pts',(0.395455462715738,-3.0551256974549, 18.874051007151)); #587915=CARTESIAN_POINT('Ctrl Pts',(0.444122497300778,-3.0454068290684, 18.874051007151)); #587916=CARTESIAN_POINT('Ctrl Pts',(0.49255307649408,-3.03452885805637, 18.874051007151)); #587917=CARTESIAN_POINT('Ctrl Pts',(0.511687414768208,-3.03023110278382, 18.874051007151)); #587918=CARTESIAN_POINT('Ctrl Pts',(0.530777558104705,-3.02575397477854, 18.874051007151)); #587919=CARTESIAN_POINT('Ctrl Pts',(0.549813636303145,-3.02109896346394, 18.874051007151)); #587920=CARTESIAN_POINT('Ctrl Pts',(0.648295731098954,-2.99701652060039, 18.874051007151)); #587921=CARTESIAN_POINT('Ctrl Pts',(0.745392799814015,-2.96816343540644, 18.874051007151)); #587922=CARTESIAN_POINT('Ctrl Pts',(0.840729054072094,-2.93449379082295, 18.874051007151)); #587923=CARTESIAN_POINT('Ctrl Pts',(0.933414516214888,-2.90176031925235, 18.874051007151)); #587924=CARTESIAN_POINT('Ctrl Pts',(1.02443635149388,-2.86447414717359, 18.874051007151)); #587925=CARTESIAN_POINT('Ctrl Pts',(1.11342557803086,-2.82255042567286, 18.874051007151)); #587926=CARTESIAN_POINT('Ctrl Pts',(1.1610401049372,-2.80011874216361,18.874051007151)); #587927=CARTESIAN_POINT('Ctrl Pts',(1.20807225570432,-2.77635867046522, 18.874051007151)); #587928=CARTESIAN_POINT('Ctrl Pts',(1.25446167448542,-2.75125234940612, 18.874051007151)); #587929=CARTESIAN_POINT('Ctrl Pts',(3.45717382987894,0.00256648380479073, 18.5088911625202)); #587930=CARTESIAN_POINT('Ctrl Pts',(3.45855395251816,0.167611601519721, 18.514609543908)); #587931=CARTESIAN_POINT('Ctrl Pts',(3.4477538877323,0.3324763231389,18.5203279252958)); #587932=CARTESIAN_POINT('Ctrl Pts',(3.42415151901919,0.495490735743511, 18.5260463066836)); #587933=CARTESIAN_POINT('Ctrl Pts',(3.26004120413429,1.62895097901352,18.5658069502693)); #587934=CARTESIAN_POINT('Ctrl Pts',(2.47699066267538,2.67295617675295,18.6055675938551)); #587935=CARTESIAN_POINT('Ctrl Pts',(1.4351121110231,3.14801718369852,18.6453282374409)); #587936=CARTESIAN_POINT('Ctrl Pts',(0.39323355937085,3.62307819064409,18.6850888810266)); #587937=CARTESIAN_POINT('Ctrl Pts',(-0.907473002474765,3.52919500679582, 18.7248495246123)); #587938=CARTESIAN_POINT('Ctrl Pts',(-1.87104102341278,2.90982832514779, 18.7646101681981)); #587939=CARTESIAN_POINT('Ctrl Pts',(-2.75511199652822,2.34156112131633, 18.8010904478491)); #587940=CARTESIAN_POINT('Ctrl Pts',(-3.35537936336973,1.33094131279148, 18.8375707275)); #587941=CARTESIAN_POINT('Ctrl Pts',(-3.4478814574667,0.283350141200158, 18.874051007151)); #587942=CARTESIAN_POINT('',(1.75542330363439,2.4620220183613,13.874051007151)); #587943=CARTESIAN_POINT('Ctrl Pts',(1.75930580668168,2.46517188708212,13.874051007151)); #587944=CARTESIAN_POINT('Ctrl Pts',(1.8305636036385,2.42195635832184,13.874051007151)); #587945=CARTESIAN_POINT('Ctrl Pts',(1.89977393151758,2.37571454899774,13.874051007151)); #587946=CARTESIAN_POINT('Ctrl Pts',(1.96671249322682,2.32648522650389,13.874051007151)); #587947=CARTESIAN_POINT('Ctrl Pts',(2.04624863923931,2.26799113159079,13.874051007151)); #587948=CARTESIAN_POINT('Ctrl Pts',(2.12257884572645,2.20528056801358,13.874051007151)); #587949=CARTESIAN_POINT('Ctrl Pts',(2.1956158585347,2.13874303294729,13.874051007151)); #587950=CARTESIAN_POINT('Ctrl Pts',(2.23936760560832,2.09888470231204,13.874051007151)); #587951=CARTESIAN_POINT('Ctrl Pts',(2.28193714548228,2.05765215050193,13.874051007151)); #587952=CARTESIAN_POINT('Ctrl Pts',(2.32330092538559,2.01512178066122,13.874051007151)); #587953=CARTESIAN_POINT('Ctrl Pts',(2.33974868080312,1.99821014631367,13.874051007151)); #587954=CARTESIAN_POINT('Ctrl Pts',(2.35600574437962,1.98109325262119,13.874051007151)); #587955=CARTESIAN_POINT('Ctrl Pts',(2.37207045786837,1.9637756965071,13.874051007151)); #587956=CARTESIAN_POINT('Ctrl Pts',(2.38299164973497,1.95200279105722,13.874051007151)); #587957=CARTESIAN_POINT('Ctrl Pts',(2.39382283669606,1.94013826298176,13.874051007151)); #587958=CARTESIAN_POINT('Ctrl Pts',(2.40455727611533,1.9281907594835,13.874051007151)); #587959=CARTESIAN_POINT('Ctrl Pts',(2.47208835738465,1.85302821040256,13.874051007151)); #587960=CARTESIAN_POINT('Ctrl Pts',(2.53586359844607,1.77450937615152,13.874051007151)); #587961=CARTESIAN_POINT('Ctrl Pts',(2.59579379682725,1.69303907104409,13.874051007151)); #587962=CARTESIAN_POINT('Ctrl Pts',(2.65143545033711,1.61739869923524,13.874051007151)); #587963=CARTESIAN_POINT('Ctrl Pts',(2.70376265186731,1.53921321948308,13.874051007151)); #587964=CARTESIAN_POINT('Ctrl Pts',(2.75268001175575,1.45877665489729,13.874051007151)); #587965=CARTESIAN_POINT('Ctrl Pts',(2.77408135670695,1.42358565789101,13.874051007151)); #587966=CARTESIAN_POINT('Ctrl Pts',(2.79482971391095,1.38796346313951,13.874051007151)); #587967=CARTESIAN_POINT('Ctrl Pts',(2.81491549954434,1.35193333326369,13.874051007151)); #587968=CARTESIAN_POINT('Ctrl Pts',(2.82699464596725,1.33026561150512,13.874051007151)); #587969=CARTESIAN_POINT('Ctrl Pts',(2.83883415040026,1.30845034333912,13.874051007151)); #587970=CARTESIAN_POINT('Ctrl Pts',(2.85043174477421,1.28649247019822,13.874051007151)); #587971=CARTESIAN_POINT('Ctrl Pts',(2.85892556012431,1.27041102141444,13.874051007151)); #587972=CARTESIAN_POINT('Ctrl Pts',(2.86728587559924,1.25426008210391,13.874051007151)); #587973=CARTESIAN_POINT('Ctrl Pts',(2.8755089762056,1.23804816730777,13.874051007151)); #587974=CARTESIAN_POINT('Ctrl Pts',(2.92317719941747,1.14406984815696,13.874051007151)); #587975=CARTESIAN_POINT('Ctrl Pts',(2.96627215787633,1.04798001322382,13.874051007151)); #587976=CARTESIAN_POINT('Ctrl Pts',(3.00469245358345,0.950199386712016, 13.874051007151)); #587977=CARTESIAN_POINT('Ctrl Pts',(3.04356827565509,0.851259434055117, 13.874051007151)); #587978=CARTESIAN_POINT('Ctrl Pts',(3.07765844272201,0.750587574139373, 13.874051007151)); #587979=CARTESIAN_POINT('Ctrl Pts',(3.10681756191701,0.648585201667409, 13.874051007151)); #587980=CARTESIAN_POINT('Ctrl Pts',(3.13566403253936,0.547676514362981, 13.874051007151)); #587981=CARTESIAN_POINT('Ctrl Pts',(3.15968499405699,0.445464817389076, 13.874051007151)); #587982=CARTESIAN_POINT('Ctrl Pts',(3.17869411265862,0.342317792242397, 13.874051007151)); #587983=CARTESIAN_POINT('Ctrl Pts',(3.19034537522163,0.279095867135685, 13.874051007151)); #587984=CARTESIAN_POINT('Ctrl Pts',(3.2001128598271,0.215522198542376,13.874051007151)); #587985=CARTESIAN_POINT('Ctrl Pts',(3.20794413594448,0.151679636083866, 13.874051007151)); #587986=CARTESIAN_POINT('Ctrl Pts',(3.20993950986582,0.135412838400889, 13.874051007151)); #587987=CARTESIAN_POINT('Ctrl Pts',(3.21180930842358,0.119127041675602, 13.874051007151)); #587988=CARTESIAN_POINT('Ctrl Pts',(3.21355207283853,0.102828411970996, 13.874051007151)); #587989=CARTESIAN_POINT('Ctrl Pts',(3.22501833978566,-0.00440606990278798, 13.874051007151)); #587990=CARTESIAN_POINT('Ctrl Pts',(3.23094856365021,-0.111803217948179, 13.874051007151)); #587991=CARTESIAN_POINT('Ctrl Pts',(3.23120702762746,-0.218953198486653, 13.874051007151)); #587992=CARTESIAN_POINT('Ctrl Pts',(3.23137181622133,-0.287268693801874, 13.874051007151)); #587993=CARTESIAN_POINT('Ctrl Pts',(3.22923017970664,-0.355484276890591, 13.874051007151)); #587994=CARTESIAN_POINT('Ctrl Pts',(3.22473653391617,-0.423497532731337, 13.874051007151)); #587995=CARTESIAN_POINT('Ctrl Pts',(3.21789228163791,-0.52708822566645, 13.874051007151)); #587996=CARTESIAN_POINT('Ctrl Pts',(3.20559457831157,-0.630209765040864, 13.874051007151)); #587997=CARTESIAN_POINT('Ctrl Pts',(3.18810962273481,-0.732583880371323, 13.874051007151)); #587998=CARTESIAN_POINT('Ctrl Pts',(3.17029535326345,-0.836886122912532, 13.874051007151)); #587999=CARTESIAN_POINT('Ctrl Pts',(3.14709639585432,-0.94041324254473, 13.874051007151)); #588000=CARTESIAN_POINT('Ctrl Pts',(3.11874049564278,-1.04286650826626, 13.874051007151)); #588001=CARTESIAN_POINT('Ctrl Pts',(3.1108510715632,-1.07137194295832,13.874051007151)); #588002=CARTESIAN_POINT('Ctrl Pts',(3.10256213786566,-1.09979418672881, 13.874051007151)); #588003=CARTESIAN_POINT('Ctrl Pts',(3.09387791275431,-1.12812662591317, 13.874051007151)); #588004=CARTESIAN_POINT('Ctrl Pts',(3.08981820222252,-1.14137150386982, 13.874051007151)); #588005=CARTESIAN_POINT('Ctrl Pts',(3.08567272494361,-1.1545945904553,13.874051007151)); #588006=CARTESIAN_POINT('Ctrl Pts',(3.08144481563747,-1.16778672883248, 13.874051007151)); #588007=CARTESIAN_POINT('Ctrl Pts',(3.04855412839753,-1.27041392964776, 13.874051007151)); #588008=CARTESIAN_POINT('Ctrl Pts',(3.01063446726139,-1.37131555046669, 13.874051007151)); #588009=CARTESIAN_POINT('Ctrl Pts',(2.96800104949962,-1.47019615390983, 13.874051007151)); #588010=CARTESIAN_POINT('Ctrl Pts',(2.92485430613949,-1.57026732446192, 13.874051007151)); #588011=CARTESIAN_POINT('Ctrl Pts',(2.87687929442161,-1.66826927143432, 13.874051007151)); #588012=CARTESIAN_POINT('Ctrl Pts',(2.82434746222507,-1.76389117462741, 13.874051007151)); #588013=CARTESIAN_POINT('Ctrl Pts',(2.77150476201856,-1.86007894026068, 13.874051007151)); #588014=CARTESIAN_POINT('Ctrl Pts',(2.7140507664457,-1.95385921296044,13.874051007151)); #588015=CARTESIAN_POINT('Ctrl Pts',(2.65221153862091,-2.04489923490228, 13.874051007151)); #588016=CARTESIAN_POINT('Ctrl Pts',(2.61447482899971,-2.10045540843119, 13.874051007151)); #588017=CARTESIAN_POINT('Ctrl Pts',(2.5751041420448,-2.1549907668962,13.874051007151)); #588018=CARTESIAN_POINT('Ctrl Pts',(2.53414268220151,-2.20842474172558, 13.874051007151)); #588019=CARTESIAN_POINT('Ctrl Pts',(2.51831575453143,-2.22907087245394, 13.874051007151)); #588020=CARTESIAN_POINT('Ctrl Pts',(2.50225127250142,-2.24955252586016, 13.874051007151)); #588021=CARTESIAN_POINT('Ctrl Pts',(2.48595150288338,-2.26986486217585, 13.874051007151)); #588022=CARTESIAN_POINT('Ctrl Pts',(2.47572063532411,-2.28261429529882, 13.874051007151)); #588023=CARTESIAN_POINT('Ctrl Pts',(2.46540227247239,-2.29529049982672, 13.874051007151)); #588024=CARTESIAN_POINT('Ctrl Pts',(2.45500040339424,-2.30788873016448, 13.874051007151)); #588025=CARTESIAN_POINT('Ctrl Pts',(2.3835049666118,-2.39448047381326,13.874051007151)); #588026=CARTESIAN_POINT('Ctrl Pts',(2.30802274036302,-2.47744708991297, 13.874051007151)); #588027=CARTESIAN_POINT('Ctrl Pts',(2.22883368777627,-2.55646899209942, 13.874051007151)); #588028=CARTESIAN_POINT('Ctrl Pts',(2.14978619678189,-2.63534963149839, 13.874051007151)); #588029=CARTESIAN_POINT('Ctrl Pts',(2.06704463781916,-2.71030044012597, 13.874051007151)); #588030=CARTESIAN_POINT('Ctrl Pts',(1.98084138799814,-2.78097998659583, 13.874051007151)); #588031=CARTESIAN_POINT('Ctrl Pts',(1.89665534974137,-2.85000558615776, 13.874051007151)); #588032=CARTESIAN_POINT('Ctrl Pts',(1.80916716904201,-2.91495810520366, 13.874051007151)); #588033=CARTESIAN_POINT('Ctrl Pts',(1.71855726137466,-2.97548681217331, 13.874051007151)); #588034=CARTESIAN_POINT('Ctrl Pts',(1.63964791203189,-3.02819937977761, 13.874051007151)); #588035=CARTESIAN_POINT('Ctrl Pts',(1.55837029931223,-3.07755668159628, 13.874051007151)); #588036=CARTESIAN_POINT('Ctrl Pts',(1.47482525023078,-3.12330048465354, 13.874051007151)); #588037=CARTESIAN_POINT('Ctrl Pts',(1.75930580668167,2.46517188708212,13.874051007151)); #588038=CARTESIAN_POINT('Ctrl Pts',(1.00667437435793,2.99542741220337,13.910531286802)); #588039=CARTESIAN_POINT('Ctrl Pts',(-1.11439261210325,3.35050814232816, 13.9867722100387)); #588040=CARTESIAN_POINT('Ctrl Pts',(-3.44232354169014,0.96836316338567, 14.1027737768611)); #588041=CARTESIAN_POINT('Ctrl Pts',(-2.67620258793049,-2.37233100465362, 14.2220557076184)); #588042=CARTESIAN_POINT('Ctrl Pts',(0.547682349534934,-3.53369150774533, 14.3413376383756)); #588043=CARTESIAN_POINT('Ctrl Pts',(2.74067023256937,-1.85494310797244, 14.4374811389033)); #588044=CARTESIAN_POINT('Ctrl Pts',(3.02096140304231,-0.423856584489502, 14.4938639958452)); #588045=CARTESIAN_POINT('Ctrl Pts',(3.01931036916349,-0.0013365533874302, 14.5104862092014)); #588046=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 12.4876158051006)); #588047=CARTESIAN_POINT('',(-1.75542368510434,2.46202201836133,13.874051007151)); #588048=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 13.874051007151)); #588049=CARTESIAN_POINT('Ctrl Pts',(-1.75542368510434,2.46202201836133, 13.874051007151)); #588050=CARTESIAN_POINT('Ctrl Pts',(-2.57551826767638,1.87729398293681, 13.9138116507367)); #588051=CARTESIAN_POINT('Ctrl Pts',(-3.5708897793898,-0.166370465264003, 13.9933329379082)); #588052=CARTESIAN_POINT('Ctrl Pts',(-1.78857716734531,-3.09924089842446, 14.1126148686655)); #588053=CARTESIAN_POINT('Ctrl Pts',(1.63791745792159,-3.17782169404849, 14.2318967994227)); #588054=CARTESIAN_POINT('Ctrl Pts',(2.98037021507756,-1.19714149791972, 14.3152304449011)); #588055=CARTESIAN_POINT('Ctrl Pts',(3.02821655359372,-0.0980763500399707, 14.3588034467937)); #588056=CARTESIAN_POINT('Ctrl Pts',(3.02757717608853,-0.00185539114409359, 14.3626158051006)); #588057=CARTESIAN_POINT('Ctrl Pts',(-2.00520324970207,2.81234363004033, 13.6256460538322)); #588058=CARTESIAN_POINT('Ctrl Pts',(-2.94198925654914,2.14441452401097, 13.6654066974179)); #588059=CARTESIAN_POINT('Ctrl Pts',(-4.07899238228975,-0.190043352495229, 13.7449279845894)); #588060=CARTESIAN_POINT('Ctrl Pts',(-2.0430741476468,-3.54023251368125, 13.8642099153467)); #588061=CARTESIAN_POINT('Ctrl Pts',(1.87097709193051,-3.6299945866322,13.9834918461039)); #588062=CARTESIAN_POINT('Ctrl Pts',(4.0659529229159,-0.391485718264679, 14.1027737768611)); #588063=CARTESIAN_POINT('Ctrl Pts',(2.52812353914951,3.20894755766597,14.2220557076184)); #588064=CARTESIAN_POINT('Ctrl Pts',(-1.331348232987,3.86163732266973,14.3413376383756)); #588065=CARTESIAN_POINT('Ctrl Pts',(-3.96821168948316,0.969193419193027, 14.4606195691329)); #588066=CARTESIAN_POINT('Ctrl Pts',(-2.96151341130579,-2.81350592238569, 14.5799014998901)); #588067=CARTESIAN_POINT('Ctrl Pts',(0.764509329376408,-4.01265082309645, 14.6991834306474)); #588068=CARTESIAN_POINT('Ctrl Pts',(3.78853264317867,-1.52743859407212, 14.8184653614046)); #588069=CARTESIAN_POINT('Ctrl Pts',(3.33390776603703,2.3603247967854,14.9377472921619)); #588070=CARTESIAN_POINT('Ctrl Pts',(-0.181941804558767,4.08079687705125, 15.0570292229191)); #588071=CARTESIAN_POINT('Ctrl Pts',(-3.53072835340351,2.05426299107083, 15.1763111536764)); #588072=CARTESIAN_POINT('Ctrl Pts',(-3.63757550183742,-1.85851669567326, 15.2955930844336)); #588073=CARTESIAN_POINT('Ctrl Pts',(-0.404373159053543,-4.06478931008705, 15.4148750151908)); #588074=CARTESIAN_POINT('Ctrl Pts',(3.2001270610027,-2.53874377262817,15.5341569459481)); #588075=CARTESIAN_POINT('Ctrl Pts',(3.86624681855214,1.31839604541486,15.6534388767053)); #588076=CARTESIAN_POINT('Ctrl Pts',(0.98234968841033,3.96497436300908,15.7727208074626)); #588077=CARTESIAN_POINT('Ctrl Pts',(-2.80354836095696,2.97088393077867, 15.8920027382198)); #588078=CARTESIAN_POINT('Ctrl Pts',(-4.01520763618805,-0.751094357948596, 16.0112846689771)); #588079=CARTESIAN_POINT('Ctrl Pts',(-1.54007353590888,-3.78341215571497, 16.1305665997343)); #588080=CARTESIAN_POINT('Ctrl Pts',(2.34916737521979,-3.34177277987759, 16.2498485304916)); #588081=CARTESIAN_POINT('Ctrl Pts',(4.08138512770073,0.168307256400352, 16.3691304612488)); #588082=CARTESIAN_POINT('Ctrl Pts',(2.06604452842759,3.52384630548575,16.4884123920061)); #588083=CARTESIAN_POINT('Ctrl Pts',(-1.84635377157688,3.64376345660555, 16.6076943227633)); #588084=CARTESIAN_POINT('Ctrl Pts',(-4.06341635148759,0.417949862422698, 16.7269762535206)); #588085=CARTESIAN_POINT('Ctrl Pts',(-2.54942019433977,-3.19162837949723, 16.8462581842778)); #588086=CARTESIAN_POINT('Ctrl Pts',(1.30547283476525,-3.87062973552544, 16.965540115035)); #588087=CARTESIAN_POINT('Ctrl Pts',(3.96166994582509,-0.995589957369134, 17.0848220457923)); #588088=CARTESIAN_POINT('Ctrl Pts',(2.98023373329116,2.79360774125025,17.2041039765496)); #588089=CARTESIAN_POINT('Ctrl Pts',(-0.73767872925911,4.01769438480906, 17.3233859073068)); #588090=CARTESIAN_POINT('Ctrl Pts',(-3.77824290227315,1.55270342122848, 17.442667838064)); #588091=CARTESIAN_POINT('Ctrl Pts',(-3.34960885532988,-2.33798999201213, 17.5619497688213)); #588092=CARTESIAN_POINT('Ctrl Pts',(0.154684818118933,-4.08192629028528, 17.6812316995785)); #588093=CARTESIAN_POINT('Ctrl Pts',(3.51689891140475,-2.07780223808144, 17.8005136303358)); #588094=CARTESIAN_POINT('Ctrl Pts',(3.64996069793572,1.83416510814561,17.919795561093)); #588095=CARTESIAN_POINT('Ctrl Pts',(0.431425171932382,4.06200819057304, 18.0390774918503)); #588096=CARTESIAN_POINT('Ctrl Pts',(-3.18290632948138,2.56004666614822, 18.1583594226075)); #588097=CARTESIAN_POINT('Ctrl Pts',(-3.87533412851482,-1.29249405815113, 18.2776413533647)); #588098=CARTESIAN_POINT('Ctrl Pts',(-1.00811043264874,-3.9584023960105, 18.396923284122)); #588099=CARTESIAN_POINT('Ctrl Pts',(2.78225606468971,-2.98939238318333, 18.5162052148792)); #588100=CARTESIAN_POINT('Ctrl Pts',(4.02284942527646,0.723932602519534, 18.6354871456365)); #588101=CARTESIAN_POINT('Ctrl Pts',(1.5597156287977,3.77380184144178,18.7547690763938)); #588102=CARTESIAN_POINT('Ctrl Pts',(-1.02181763679939,3.49423846089743, 18.8342903635652)); #588103=CARTESIAN_POINT('Ctrl Pts',(-1.96744503378656,2.83888654064929, 18.874051007151)); #588104=CARTESIAN_POINT('Ctrl Pts',(-1.92194339483616,2.69556975948067, 13.7084477049384)); #588105=CARTESIAN_POINT('Ctrl Pts',(-2.81983226025822,2.05537434365292, 13.7482083485242)); #588106=CARTESIAN_POINT('Ctrl Pts',(-3.90962484798977,-0.182152390084822, 13.8277296356957)); #588107=CARTESIAN_POINT('Ctrl Pts',(-1.95824182087964,-3.39323530859566, 13.9470115664529)); #588108=CARTESIAN_POINT('Ctrl Pts',(1.79329054726088,-3.47927028910429, 14.0662934972102)); #588109=CARTESIAN_POINT('Ctrl Pts',(3.89712679599558,-0.375230484683418, 14.1855754279674)); #588110=CARTESIAN_POINT('Ctrl Pts',(2.42315102083424,3.07570593572038,14.3048573587247)); #588111=CARTESIAN_POINT('Ctrl Pts',(-1.27606812343489,3.70129477702284, 14.4241392894819)); #588112=CARTESIAN_POINT('Ctrl Pts',(-3.80344398080372,0.928950660215782, 14.5434212202391)); #588113=CARTESIAN_POINT('Ctrl Pts',(-2.83854573487744,-2.69668379121487, 14.6627031509964)); #588114=CARTESIAN_POINT('Ctrl Pts',(0.732765436206372,-3.84603790891744, 14.7819850817537)); #588115=CARTESIAN_POINT('Ctrl Pts',(3.63122553530458,-1.46401643086181, 14.9012670125109)); #588116=CARTESIAN_POINT('Ctrl Pts',(3.19547755001684,2.26231961016783,15.0205489432682)); #588117=CARTESIAN_POINT('Ctrl Pts',(-0.174387241796012,3.91135440877623, 15.1398308740254)); #588118=CARTESIAN_POINT('Ctrl Pts',(-3.38412578710472,1.96896607427652, 15.2591128047827)); #588119=CARTESIAN_POINT('Ctrl Pts',(-3.48653643818157,-1.78134753832922, 15.3783947355399)); #588120=CARTESIAN_POINT('Ctrl Pts',(-0.387582822282739,-3.89601150650179, 15.4976766662971)); #588121=CARTESIAN_POINT('Ctrl Pts',(3.06725167497104,-2.43333028987784, 15.6169585970544)); #588122=CARTESIAN_POINT('Ctrl Pts',(3.70571286991811,1.26365372747873,15.7362405278116)); #588123=CARTESIAN_POINT('Ctrl Pts',(0.941560648118658,3.80034106638691, 15.8555224585689)); #588124=CARTESIAN_POINT('Ctrl Pts',(-2.68713969465335,2.8475271646011,15.9748043893261)); #588125=CARTESIAN_POINT('Ctrl Pts',(-3.84848856618166,-0.719907487885579, 16.0940863200834)); #588126=CARTESIAN_POINT('Ctrl Pts',(-1.47612675374703,-3.62631766815639, 16.2133682508406)); #588127=CARTESIAN_POINT('Ctrl Pts',(2.25162545809452,-3.20301600139583, 16.3326501815979)); #588128=CARTESIAN_POINT('Ctrl Pts',(3.91191822620903,0.161318818166362, 16.4519321123551)); #588129=CARTESIAN_POINT('Ctrl Pts',(1.98025841180728,3.37752948702892,16.5712140431123)); #588130=CARTESIAN_POINT('Ctrl Pts',(-1.76968964996356,3.49246744935608, 16.6904959738696)); #588131=CARTESIAN_POINT('Ctrl Pts',(-3.8946955636895,0.400595787126251, 16.8097779046269)); #588132=CARTESIAN_POINT('Ctrl Pts',(-2.44356341412833,-3.0591058828398, 16.9290598353841)); #588133=CARTESIAN_POINT('Ctrl Pts',(1.2512671052515,-3.70991380773202,17.0483417661413)); #588134=CARTESIAN_POINT('Ctrl Pts',(3.79717384697786,-0.954251163772945, 17.1676236968986)); #588135=CARTESIAN_POINT('Ctrl Pts',(2.85648873755556,2.67761182052189,17.2869056276558)); #588136=CARTESIAN_POINT('Ctrl Pts',(-0.7070489096104,3.85087205234636,17.4061875584131)); #588137=CARTESIAN_POINT('Ctrl Pts',(-3.62136305990302,1.48823221423196, 17.5254694891703)); #588138=CARTESIAN_POINT('Ctrl Pts',(-3.21052671598014,-2.24091218906397, 17.6447514199276)); #588139=CARTESIAN_POINT('Ctrl Pts',(0.148262001571995,-3.91243692660251, 17.7640333506848)); #588140=CARTESIAN_POINT('Ctrl Pts',(3.37087055407068,-1.99152792684614, 17.8833152814421)); #588141=CARTESIAN_POINT('Ctrl Pts',(3.49840736148035,1.75800707516993,18.0025972121993)); #588142=CARTESIAN_POINT('Ctrl Pts',(0.41351156816017,3.89334586439002,18.1218791429566)); #588143=CARTESIAN_POINT('Ctrl Pts',(-3.05074599690123,2.45374864665153, 18.2411610737138)); #588144=CARTESIAN_POINT('Ctrl Pts',(-3.71442287328129,-1.23882724010393, 18.360443004471)); #588145=CARTESIAN_POINT('Ctrl Pts',(-0.966251772970749,-3.7940419799741, 18.4797249352283)); #588146=CARTESIAN_POINT('Ctrl Pts',(2.66673147929148,-2.86526711075038, 18.5990068659855)); #588147=CARTESIAN_POINT('Ctrl Pts',(3.85581303777511,0.693873540355051, 18.7182887967428)); #588148=CARTESIAN_POINT('Ctrl Pts',(1.49495325364291,3.61710639247491,18.8375707275)); #588149=CARTESIAN_POINT('Ctrl Pts',(-0.979389827865864,3.34915101660911, 18.9170920146715)); #588150=CARTESIAN_POINT('Ctrl Pts',(-1.88575297204916,2.72101055782467, 18.9568526582573)); #588151=CARTESIAN_POINT('Ctrl Pts',(-1.83868353997025,2.578795888921,13.7912493560447)); #588152=CARTESIAN_POINT('Ctrl Pts',(-2.6976752639673,1.96633416329486,13.8310099996305)); #588153=CARTESIAN_POINT('Ctrl Pts',(-3.74025731368979,-0.174261427674412, 13.910531286802)); #588154=CARTESIAN_POINT('Ctrl Pts',(-1.87340949411247,-3.24623810351006, 14.0298132175592)); #588155=CARTESIAN_POINT('Ctrl Pts',(1.71560400259123,-3.32854599157639, 14.1490951483165)); #588156=CARTESIAN_POINT('Ctrl Pts',(3.72830066907527,-0.358975251102156, 14.2683770790737)); #588157=CARTESIAN_POINT('Ctrl Pts',(2.31817850251897,2.9424643137748,14.387659009831)); #588158=CARTESIAN_POINT('Ctrl Pts',(-1.22078801388279,3.54095223137596, 14.5069409405882)); #588159=CARTESIAN_POINT('Ctrl Pts',(-3.63867627212428,0.888707901238535, 14.6262228713454)); #588160=CARTESIAN_POINT('Ctrl Pts',(-2.71557805844908,-2.57986166004405, 14.7455048021027)); #588161=CARTESIAN_POINT('Ctrl Pts',(0.701021543036338,-3.67942499473844, 14.8647867328599)); #588162=CARTESIAN_POINT('Ctrl Pts',(3.47391842743048,-1.40059426765151, 14.9840686636172)); #588163=CARTESIAN_POINT('Ctrl Pts',(3.05704733399665,2.16431442355026,15.1033505943744)); #588164=CARTESIAN_POINT('Ctrl Pts',(-0.166832679033258,3.74191194050122, 15.2226325251317)); #588165=CARTESIAN_POINT('Ctrl Pts',(-3.23752322080592,1.88366915748221, 15.3419144558889)); #588166=CARTESIAN_POINT('Ctrl Pts',(-3.33549737452573,-1.70417838098517, 15.4611963866462)); #588167=CARTESIAN_POINT('Ctrl Pts',(-0.370792485511934,-3.72723370291652, 15.5804783174034)); #588168=CARTESIAN_POINT('Ctrl Pts',(2.93437628893937,-2.32791680712751, 15.6997602481607)); #588169=CARTESIAN_POINT('Ctrl Pts',(3.54517892128408,1.20891140954261,15.8190421789179)); #588170=CARTESIAN_POINT('Ctrl Pts',(0.900771607826986,3.63570776976473, 15.9383241096751)); #588171=CARTESIAN_POINT('Ctrl Pts',(-2.57073102834975,2.72417039842354, 16.0576060404324)); #588172=CARTESIAN_POINT('Ctrl Pts',(-3.68176949617528,-0.688720617822564, 16.1768879711896)); #588173=CARTESIAN_POINT('Ctrl Pts',(-1.41217997158518,-3.46922318059782, 16.2961699019469)); #588174=CARTESIAN_POINT('Ctrl Pts',(2.15408354096926,-3.06425922291407, 16.4154518327041)); #588175=CARTESIAN_POINT('Ctrl Pts',(3.74245132471733,0.154330379932374, 16.5347337634614)); #588176=CARTESIAN_POINT('Ctrl Pts',(1.89447229518696,3.2312126685721,16.6540156942186)); #588177=CARTESIAN_POINT('Ctrl Pts',(-1.69302552835025,3.34117144210662, 16.7732976249759)); #588178=CARTESIAN_POINT('Ctrl Pts',(-3.72597477589141,0.383241711829803, 16.8925795557331)); #588179=CARTESIAN_POINT('Ctrl Pts',(-2.33770663391689,-2.92658338618237, 17.0118614864904)); #588180=CARTESIAN_POINT('Ctrl Pts',(1.19706137573776,-3.5491978799386,17.1311434172476)); #588181=CARTESIAN_POINT('Ctrl Pts',(3.63267774813063,-0.91291237017675, 17.2504253480049)); #588182=CARTESIAN_POINT('Ctrl Pts',(2.73274374181996,2.56161589979352,17.3697072787621)); #588183=CARTESIAN_POINT('Ctrl Pts',(-0.676419089961685,3.68404971988367, 17.4889892095194)); #588184=CARTESIAN_POINT('Ctrl Pts',(-3.46448321753289,1.42376100723544, 17.6082711402766)); #588185=CARTESIAN_POINT('Ctrl Pts',(-3.0714445766304,-2.14383438611581, 17.7275530710338)); #588186=CARTESIAN_POINT('Ctrl Pts',(0.141839185025058,-3.74294756291974, 17.8468350017911)); #588187=CARTESIAN_POINT('Ctrl Pts',(3.22484219673662,-1.90525361561084, 17.9661169325484)); #588188=CARTESIAN_POINT('Ctrl Pts',(3.34685402502497,1.68184904219425,18.0853988633056)); #588189=CARTESIAN_POINT('Ctrl Pts',(0.395597964387955,3.72468353820701, 18.2046807940629)); #588190=CARTESIAN_POINT('Ctrl Pts',(-2.91858566432107,2.34745062715484, 18.3239627248201)); #588191=CARTESIAN_POINT('Ctrl Pts',(-3.55351161804777,-1.18516042205672, 18.4432446555773)); #588192=CARTESIAN_POINT('Ctrl Pts',(-0.924393113292747,-3.62968156393771, 18.5625265863346)); #588193=CARTESIAN_POINT('Ctrl Pts',(2.55120689389325,-2.74114183831743, 18.6818085170918)); #588194=CARTESIAN_POINT('Ctrl Pts',(3.68877665027377,0.66381447819057,18.8010904478491)); #588195=CARTESIAN_POINT('Ctrl Pts',(1.43019087848811,3.46041094350804,18.9203723786063)); #588196=CARTESIAN_POINT('Ctrl Pts',(-0.936962018932338,3.2040635723208, 18.9998936657778)); #588197=CARTESIAN_POINT('Ctrl Pts',(-1.80406091031176,2.60313457500004, 19.0396543093636)); #588198=CARTESIAN_POINT('Ctrl Pts',(-1.75542368510434,2.46202201836133, 13.874051007151)); #588199=CARTESIAN_POINT('Ctrl Pts',(-2.57551826767638,1.87729398293681, 13.9138116507367)); #588200=CARTESIAN_POINT('Ctrl Pts',(-3.5708897793898,-0.166370465264003, 13.9933329379082)); #588201=CARTESIAN_POINT('Ctrl Pts',(-1.78857716734531,-3.09924089842446, 14.1126148686655)); #588202=CARTESIAN_POINT('Ctrl Pts',(1.63791745792159,-3.17782169404849, 14.2318967994227)); #588203=CARTESIAN_POINT('Ctrl Pts',(3.55947454215496,-0.342720017520895, 14.35117873018)); #588204=CARTESIAN_POINT('Ctrl Pts',(2.2132059842037,2.80922269182922,14.4704606609372)); #588205=CARTESIAN_POINT('Ctrl Pts',(-1.16550790433069,3.38060968572907, 14.5897425916945)); #588206=CARTESIAN_POINT('Ctrl Pts',(-3.47390856344484,0.848465142261291, 14.7090245224517)); #588207=CARTESIAN_POINT('Ctrl Pts',(-2.59261038202073,-2.46303952887323, 14.828306453209)); #588208=CARTESIAN_POINT('Ctrl Pts',(0.669277649866304,-3.51281208055943, 14.9475883839662)); #588209=CARTESIAN_POINT('Ctrl Pts',(3.31661131955639,-1.3371721044412,15.0668703147235)); #588210=CARTESIAN_POINT('Ctrl Pts',(2.91861711797646,2.06630923693269,15.1861522454807)); #588211=CARTESIAN_POINT('Ctrl Pts',(-0.159278116270508,3.5724694722262, 15.3054341762379)); #588212=CARTESIAN_POINT('Ctrl Pts',(-3.09092065450713,1.7983722406879,15.4247161069952)); #588213=CARTESIAN_POINT('Ctrl Pts',(-3.18445831086989,-1.62700922364113, 15.5439980377525)); #588214=CARTESIAN_POINT('Ctrl Pts',(-0.354002148741127,-3.55845589933124, 15.6632799685097)); #588215=CARTESIAN_POINT('Ctrl Pts',(2.80150090290771,-2.22250332437718, 15.7825618992669)); #588216=CARTESIAN_POINT('Ctrl Pts',(3.38464497265005,1.15416909160649,15.9018438300242)); #588217=CARTESIAN_POINT('Ctrl Pts',(0.859982567535311,3.47107447314255, 16.0211257607815)); #588218=CARTESIAN_POINT('Ctrl Pts',(-2.45432236204614,2.60081363224597, 16.1404076915386)); #588219=CARTESIAN_POINT('Ctrl Pts',(-3.51505042616889,-0.657533747759548, 16.2596896222959)); #588220=CARTESIAN_POINT('Ctrl Pts',(-1.34823318942332,-3.31212869303924, 16.3789715530531)); #588221=CARTESIAN_POINT('Ctrl Pts',(2.05654162384399,-2.92550244443231, 16.4982534838104)); #588222=CARTESIAN_POINT('Ctrl Pts',(3.57298442322563,0.147341941698386, 16.6175354145676)); #588223=CARTESIAN_POINT('Ctrl Pts',(1.80868617856664,3.08489585011528,16.7368173453249)); #588224=CARTESIAN_POINT('Ctrl Pts',(-1.61636140673693,3.18987543485716, 16.8560992760822)); #588225=CARTESIAN_POINT('Ctrl Pts',(-3.55725398809333,0.365887636533355, 16.9753812068394)); #588226=CARTESIAN_POINT('Ctrl Pts',(-2.23184985370544,-2.79406088952494, 17.0946631375966)); #588227=CARTESIAN_POINT('Ctrl Pts',(1.14285564622401,-3.38848195214517, 17.2139450683539)); #588228=CARTESIAN_POINT('Ctrl Pts',(3.46818164928341,-0.871573576580561, 17.3332269991111)); #588229=CARTESIAN_POINT('Ctrl Pts',(2.60899874608436,2.44561997906516,17.4525089298684)); #588230=CARTESIAN_POINT('Ctrl Pts',(-0.645789270312973,3.51722738742097, 17.5717908606256)); #588231=CARTESIAN_POINT('Ctrl Pts',(-3.30760337516276,1.35928980023891, 17.6910727913829)); #588232=CARTESIAN_POINT('Ctrl Pts',(-2.93236243728067,-2.04675658316766, 17.8103547221401)); #588233=CARTESIAN_POINT('Ctrl Pts',(0.135416368478119,-3.57345819923697, 17.9296366528974)); #588234=CARTESIAN_POINT('Ctrl Pts',(3.07881383940255,-1.81897930437554, 18.0489185836546)); #588235=CARTESIAN_POINT('Ctrl Pts',(3.1953006885696,1.60569100921857,18.1682005144119)); #588236=CARTESIAN_POINT('Ctrl Pts',(0.377684360615742,3.55602121202399, 18.2874824451691)); #588237=CARTESIAN_POINT('Ctrl Pts',(-2.78642533174091,2.24115260765816, 18.4067643759264)); #588238=CARTESIAN_POINT('Ctrl Pts',(-3.39260036281425,-1.13149360400952, 18.5260463066836)); #588239=CARTESIAN_POINT('Ctrl Pts',(-0.882534453614746,-3.46532114790131, 18.6453282374408)); #588240=CARTESIAN_POINT('Ctrl Pts',(2.43568230849502,-2.61701656588447, 18.7646101681981)); #588241=CARTESIAN_POINT('Ctrl Pts',(3.52174026277243,0.633755416026087, 18.8838920989553)); #588242=CARTESIAN_POINT('Ctrl Pts',(1.36542850333331,3.30371549454117,19.0031740297126)); #588243=CARTESIAN_POINT('Ctrl Pts',(-0.894534209998816,3.05897612803249, 19.0826953168841)); #588244=CARTESIAN_POINT('Ctrl Pts',(-1.72236884857437,2.48525859217542, 19.1224559604698)); #588245=CARTESIAN_POINT('',(-1.96744503378654,2.83888654064931,18.874051007151)); #588246=CARTESIAN_POINT('Ctrl Pts',(3.01455438768732,0.291017494361067, 18.874051007151)); #588247=CARTESIAN_POINT('Ctrl Pts',(3.01275646742934,0.374385938534258, 18.874051007151)); #588248=CARTESIAN_POINT('Ctrl Pts',(3.00731059096571,0.457444909350724, 18.874051007151)); #588249=CARTESIAN_POINT('Ctrl Pts',(2.99815154183285,0.539980308279141, 18.874051007151)); #588250=CARTESIAN_POINT('Ctrl Pts',(2.98735633186131,0.637259725453702, 18.874051007151)); #588251=CARTESIAN_POINT('Ctrl Pts',(2.97140482824537,0.733812264071687, 18.874051007151)); #588252=CARTESIAN_POINT('Ctrl Pts',(2.95060508720382,0.829376578283416, 18.874051007151)); #588253=CARTESIAN_POINT('Ctrl Pts',(2.92928076421061,0.927351081850764, 18.874051007151)); #588254=CARTESIAN_POINT('Ctrl Pts',(2.90286038539718,1.02428756003597,18.874051007151)); #588255=CARTESIAN_POINT('Ctrl Pts',(2.87162119421877,1.11990395631697,18.874051007151)); #588256=CARTESIAN_POINT('Ctrl Pts',(2.83868948122113,1.22070079520474,18.874051007151)); #588257=CARTESIAN_POINT('Ctrl Pts',(2.80040265214475,1.32003161051855,18.874051007151)); #588258=CARTESIAN_POINT('Ctrl Pts',(2.75702649485525,1.41755258461383,18.874051007151)); #588259=CARTESIAN_POINT('Ctrl Pts',(2.74947742326982,1.43452487677916,18.874051007151)); #588260=CARTESIAN_POINT('Ctrl Pts',(2.74177282509581,1.4514447360221,18.874051007151)); #588261=CARTESIAN_POINT('Ctrl Pts',(2.73392439989102,1.46828847281467,18.874051007151)); #588262=CARTESIAN_POINT('Ctrl Pts',(2.69021577981198,1.56209283139202,18.874051007151)); #588263=CARTESIAN_POINT('Ctrl Pts',(2.64198948938178,1.65367844106921,18.874051007151)); #588264=CARTESIAN_POINT('Ctrl Pts',(2.58957097971379,1.74275811494202,18.874051007151)); #588265=CARTESIAN_POINT('Ctrl Pts',(2.53626156254492,1.8333517912184,18.874051007151)); #588266=CARTESIAN_POINT('Ctrl Pts',(2.47861586640614,1.92135442648075,18.874051007151)); #588267=CARTESIAN_POINT('Ctrl Pts',(2.41692253305354,2.00645385512586,18.874051007151)); #588268=CARTESIAN_POINT('Ctrl Pts',(2.35500797569304,2.09185843889312,18.874051007151)); #588269=CARTESIAN_POINT('Ctrl Pts',(2.28901622161745,2.17433978844282,18.874051007151)); #588270=CARTESIAN_POINT('Ctrl Pts',(2.21919183505495,2.25355998232678,18.874051007151)); #588271=CARTESIAN_POINT('Ctrl Pts',(2.18494279506838,2.29241768980763,18.874051007151)); #588272=CARTESIAN_POINT('Ctrl Pts',(2.14977097930821,2.33049036877804,18.874051007151)); #588273=CARTESIAN_POINT('Ctrl Pts',(2.11370165890817,2.36773523964651,18.874051007151)); #588274=CARTESIAN_POINT('Ctrl Pts',(2.09850359767242,2.38342862732054,18.874051007151)); #588275=CARTESIAN_POINT('Ctrl Pts',(2.0831461652302,2.39897501780535,18.874051007151)); #588276=CARTESIAN_POINT('Ctrl Pts',(2.06763113956521,2.41437108731053,18.874051007151)); #588277=CARTESIAN_POINT('Ctrl Pts',(2.05276115846103,2.42912705791375,18.874051007151)); #588278=CARTESIAN_POINT('Ctrl Pts',(2.03774275801244,2.4437483019043,18.874051007151)); #588279=CARTESIAN_POINT('Ctrl Pts',(2.02259969880451,2.45821098776065,18.874051007151)); #588280=CARTESIAN_POINT('Ctrl Pts',(1.94475670674435,2.53255651628466,18.874051007151)); #588281=CARTESIAN_POINT('Ctrl Pts',(1.86352818951196,2.60281200254842,18.874051007151)); #588282=CARTESIAN_POINT('Ctrl Pts',(1.77921297744974,2.66865969377782,18.874051007151)); #588283=CARTESIAN_POINT('Ctrl Pts',(1.69578628324256,2.73381347879576,18.874051007151)); #588284=CARTESIAN_POINT('Ctrl Pts',(1.60933700561535,2.79465262816132,18.874051007151)); #588285=CARTESIAN_POINT('Ctrl Pts',(1.5201130826545,2.850841265204,18.874051007151)); #588286=CARTESIAN_POINT('Ctrl Pts',(1.43129107022397,2.90677679966879,18.874051007151)); #588287=CARTESIAN_POINT('Ctrl Pts',(1.33971882159118,2.95810438865128,18.874051007151)); #588288=CARTESIAN_POINT('Ctrl Pts',(1.24560790225141,3.00445342184365,18.874051007151)); #588289=CARTESIAN_POINT('Ctrl Pts',(1.18340092495921,3.0350899651432,18.874051007151)); #588290=CARTESIAN_POINT('Ctrl Pts',(1.1200840655013,3.06355038814261,18.874051007151)); #588291=CARTESIAN_POINT('Ctrl Pts',(1.05576187258497,3.08984912297992,18.874051007151)); #588292=CARTESIAN_POINT('Ctrl Pts',(1.03980498745922,3.09637324586387,18.874051007151)); #588293=CARTESIAN_POINT('Ctrl Pts',(1.02378621331147,3.1027642881897,18.874051007151)); #588294=CARTESIAN_POINT('Ctrl Pts',(1.00770711295334,3.10902238092611,18.874051007151)); #588295=CARTESIAN_POINT('Ctrl Pts',(0.99251951159668,3.11493349632539,18.874051007151)); #588296=CARTESIAN_POINT('Ctrl Pts',(0.977286032831671,3.12072287429125, 18.874051007151)); #588297=CARTESIAN_POINT('Ctrl Pts',(0.962013087463568,3.12638922484964, 18.874051007151)); #588298=CARTESIAN_POINT('Ctrl Pts',(0.864891783784355,3.16242178700909, 18.874051007151)); #588299=CARTESIAN_POINT('Ctrl Pts',(0.766114809122898,3.19350721327191, 18.874051007151)); #588300=CARTESIAN_POINT('Ctrl Pts',(0.666068821134678,3.21977365675568, 18.874051007151)); #588301=CARTESIAN_POINT('Ctrl Pts',(0.563508942007653,3.24670010651106, 18.874051007151)); #588302=CARTESIAN_POINT('Ctrl Pts',(0.459614829202415,3.26856255049949, 18.874051007151)); #588303=CARTESIAN_POINT('Ctrl Pts',(0.354774569724377,3.28545271427892, 18.874051007151)); #588304=CARTESIAN_POINT('Ctrl Pts',(0.247967936764385,3.30265966829071, 18.874051007151)); #588305=CARTESIAN_POINT('Ctrl Pts',(0.140178541937283,3.31470624982639, 18.874051007151)); #588306=CARTESIAN_POINT('Ctrl Pts',(0.0317986121336319,3.32163916121788, 18.874051007151)); #588307=CARTESIAN_POINT('Ctrl Pts',(-0.0569113228350399,3.32731381075674, 18.874051007151)); #588308=CARTESIAN_POINT('Ctrl Pts',(-0.146017445439113,3.32956159835045, 18.874051007151)); #588309=CARTESIAN_POINT('Ctrl Pts',(-0.235307622117931,3.32838262091772, 18.874051007151)); #588310=CARTESIAN_POINT('Ctrl Pts',(-0.252076269591296,3.32816120958577, 18.874051007151)); #588311=CARTESIAN_POINT('Ctrl Pts',(-0.268850362334011,3.32781882661425, 18.874051007151)); #588312=CARTESIAN_POINT('Ctrl Pts',(-0.285615455819773,3.32735597423965, 18.874051007151)); #588313=CARTESIAN_POINT('Ctrl Pts',(-0.39635077744836,3.32429878242555, 18.874051007151)); #588314=CARTESIAN_POINT('Ctrl Pts',(-0.506843127113335,3.31598852782484, 18.874051007151)); #588315=CARTESIAN_POINT('Ctrl Pts',(-0.616679158536743,3.30250198738081, 18.874051007151)); #588316=CARTESIAN_POINT('Ctrl Pts',(-0.726985026199691,3.28895775671105, 18.874051007151)); #588317=CARTESIAN_POINT('Ctrl Pts',(-0.836629862796787,3.27019290600069, 18.874051007151)); #588318=CARTESIAN_POINT('Ctrl Pts',(-0.945200851317636,3.24623329972968, 18.874051007151)); #588319=CARTESIAN_POINT('Ctrl Pts',(-0.993509834811874,3.23557240182645, 18.874051007151)); #588320=CARTESIAN_POINT('Ctrl Pts',(-1.0416062085643,3.22388234089903,18.874051007151)); #588321=CARTESIAN_POINT('Ctrl Pts',(-1.08945324122172,3.21116207382175, 18.874051007151)); #588322=CARTESIAN_POINT('Ctrl Pts',(-1.10788487771372,3.20626197185454, 18.874051007151)); #588323=CARTESIAN_POINT('Ctrl Pts',(-1.12628221242462,3.20120819861313, 18.874051007151)); #588324=CARTESIAN_POINT('Ctrl Pts',(-1.14463401963924,3.19600321346265, 18.874051007151)); #588325=CARTESIAN_POINT('Ctrl Pts',(-1.2529118667041,3.16529318150189,18.874051007151)); #588326=CARTESIAN_POINT('Ctrl Pts',(-1.35946870873621,3.12936393259998, 18.874051007151)); #588327=CARTESIAN_POINT('Ctrl Pts',(-1.46388653929404,3.08826461101665, 18.874051007151)); #588328=CARTESIAN_POINT('Ctrl Pts',(-1.56656193032892,3.04785112136366, 18.874051007151)); #588329=CARTESIAN_POINT('Ctrl Pts',(-1.66716997179659,3.00243852827206, 18.874051007151)); #588330=CARTESIAN_POINT('Ctrl Pts',(-1.76530583349304,2.9520245104835,18.874051007151)); #588331=CARTESIAN_POINT('Ctrl Pts',(-1.83394307678374,2.91676442161896, 18.874051007151)); #588332=CARTESIAN_POINT('Ctrl Pts',(-1.90137085416642,2.87905675067507, 18.874051007151)); #588333=CARTESIAN_POINT('Ctrl Pts',(-1.96744503378656,2.83888654064929, 18.874051007151)); #588334=CARTESIAN_POINT('Ctrl Pts',(-1.4785521750381,-3.12762804142262, 13.874051007151)); #588335=CARTESIAN_POINT('Ctrl Pts',(-1.5617487823287,-3.08264601185184, 13.874051007151)); #588336=CARTESIAN_POINT('Ctrl Pts',(-1.64282085954294,-3.0341209291239, 13.874051007151)); #588337=CARTESIAN_POINT('Ctrl Pts',(-1.7215142842669,-2.98203884280646, 13.874051007151)); #588338=CARTESIAN_POINT('Ctrl Pts',(-1.81368237568455,-2.92103874642273, 13.874051007151)); #588339=CARTESIAN_POINT('Ctrl Pts',(-1.9025886505158,-2.85515962658132, 13.874051007151)); #588340=CARTESIAN_POINT('Ctrl Pts',(-1.98805349990207,-2.7847970624212, 13.874051007151)); #588341=CARTESIAN_POINT('Ctrl Pts',(-2.03629599802861,-2.74507937855411, 13.874051007151)); #588342=CARTESIAN_POINT('Ctrl Pts',(-2.08344130176366,-2.70393242882251, 13.874051007151)); #588343=CARTESIAN_POINT('Ctrl Pts',(-2.12945151615309,-2.66142281956772, 13.874051007151)); #588344=CARTESIAN_POINT('Ctrl Pts',(-2.14786648437636,-2.64440892137144, 13.874051007151)); #588345=CARTESIAN_POINT('Ctrl Pts',(-2.16609957545235,-2.62717670889338, 13.874051007151)); #588346=CARTESIAN_POINT('Ctrl Pts',(-2.18414816250103,-2.60973033957608, 13.874051007151)); #588347=CARTESIAN_POINT('Ctrl Pts',(-2.20076486903208,-2.59366807397069, 13.874051007151)); #588348=CARTESIAN_POINT('Ctrl Pts',(-2.21722671532325,-2.57742258387413, 13.874051007151)); #588349=CARTESIAN_POINT('Ctrl Pts',(-2.23351563052012,-2.56101359333371, 13.874051007151)); #588350=CARTESIAN_POINT('Ctrl Pts',(-2.31215276311336,-2.48179677936832, 13.874051007151)); #588351=CARTESIAN_POINT('Ctrl Pts',(-2.38684659696513,-2.39869314919133, 13.874051007151)); #588352=CARTESIAN_POINT('Ctrl Pts',(-2.45741666454604,-2.31211077557655, 13.874051007151)); #588353=CARTESIAN_POINT('Ctrl Pts',(-2.51916547367934,-2.23635119866362, 13.874051007151)); #588354=CARTESIAN_POINT('Ctrl Pts',(-2.57775660547917,-2.1579272129632, 13.874051007151)); #588355=CARTESIAN_POINT('Ctrl Pts',(-2.63304075069109,-2.07709449098758, 13.874051007151)); #588356=CARTESIAN_POINT('Ctrl Pts',(-2.65722756422131,-2.04173017512325, 13.874051007151)); #588357=CARTESIAN_POINT('Ctrl Pts',(-2.68078103731751,-2.00590464463899, 13.874051007151)); #588358=CARTESIAN_POINT('Ctrl Pts',(-2.70368690439995,-1.96963868045925, 13.874051007151)); #588359=CARTESIAN_POINT('Ctrl Pts',(-2.7185673766978,-1.94607901020582, 13.874051007151)); #588360=CARTESIAN_POINT('Ctrl Pts',(-2.73317451962128,-1.92233345850848, 13.874051007151)); #588361=CARTESIAN_POINT('Ctrl Pts',(-2.74750416457387,-1.89840766800572, 13.874051007151)); #588362=CARTESIAN_POINT('Ctrl Pts',(-2.75623977794478,-1.88382206898236, 13.874051007151)); #588363=CARTESIAN_POINT('Ctrl Pts',(-2.76487210427375,-1.86916961204318, 13.874051007151)); #588364=CARTESIAN_POINT('Ctrl Pts',(-2.77339321307767,-1.85446398729742, 13.874051007151)); #588365=CARTESIAN_POINT('Ctrl Pts',(-2.82853540217298,-1.75930027572479, 13.874051007151)); #588366=CARTESIAN_POINT('Ctrl Pts',(-2.8791022190038,-1.66178265812152, 13.874051007151)); #588367=CARTESIAN_POINT('Ctrl Pts',(-2.92491995925639,-1.56228969761062, 13.874051007151)); #588368=CARTESIAN_POINT('Ctrl Pts',(-2.97054732934766,-1.46321012481456, 13.874051007151)); #588369=CARTESIAN_POINT('Ctrl Pts',(-3.0114653367256,-1.36217071666382, 13.874051007151)); #588370=CARTESIAN_POINT('Ctrl Pts',(-3.0474544543253,-1.25952706966735, 13.874051007151)); #588371=CARTESIAN_POINT('Ctrl Pts',(-3.08242095832824,-1.15979999290209, 13.874051007151)); #588372=CARTESIAN_POINT('Ctrl Pts',(-3.11273479723879,-1.05855776972391, 13.874051007151)); #588373=CARTESIAN_POINT('Ctrl Pts',(-3.13814641042304,-0.95612141906086, 13.874051007151)); #588374=CARTESIAN_POINT('Ctrl Pts',(-3.15444020510521,-0.890439761875513, 13.874051007151)); #588375=CARTESIAN_POINT('Ctrl Pts',(-3.16871757133079,-0.824267093683014, 13.874051007151)); #588376=CARTESIAN_POINT('Ctrl Pts',(-3.18090148993883,-0.757689051163247, 13.874051007151)); #588377=CARTESIAN_POINT('Ctrl Pts',(-3.1843353484104,-0.738925007945097, 13.874051007151)); #588378=CARTESIAN_POINT('Ctrl Pts',(-3.18760286609303,-0.720128775330104, 13.874051007151)); #588379=CARTESIAN_POINT('Ctrl Pts',(-3.19070218547753,-0.701302297674526, 13.874051007151)); #588380=CARTESIAN_POINT('Ctrl Pts',(-3.19338647630634,-0.684996865726389, 13.874051007151)); #588381=CARTESIAN_POINT('Ctrl Pts',(-3.19594470038082,-0.668667566906637, 13.874051007151)); #588382=CARTESIAN_POINT('Ctrl Pts',(-3.1983732532236,-0.652333040376642, 13.874051007151)); #588383=CARTESIAN_POINT('Ctrl Pts',(-3.2138154986814,-0.548467988042042, 13.874051007151)); #588384=CARTESIAN_POINT('Ctrl Pts',(-3.22404656614332,-0.444231464738277, 13.874051007151)); #588385=CARTESIAN_POINT('Ctrl Pts',(-3.22886843972871,-0.339946266860055, 13.874051007151)); #588386=CARTESIAN_POINT('Ctrl Pts',(-3.23173266989217,-0.278000053614086, 13.874051007151)); #588387=CARTESIAN_POINT('Ctrl Pts',(-3.23268734790242,-0.21603652571853, 13.874051007151)); #588388=CARTESIAN_POINT('Ctrl Pts',(-3.23168220375346,-0.154123294481558, 13.874051007151)); #588389=CARTESIAN_POINT('Ctrl Pts',(-3.22997201242647,-0.04878171616421, 13.874051007151)); #588390=CARTESIAN_POINT('Ctrl Pts',(-3.2225909842004,0.05641518036162,13.874051007151)); #588391=CARTESIAN_POINT('Ctrl Pts',(-3.20975168660462,0.161121052215151, 13.874051007151)); #588392=CARTESIAN_POINT('Ctrl Pts',(-3.1968043656933,0.266707865985549, 13.874051007151)); #588393=CARTESIAN_POINT('Ctrl Pts',(-3.17830610249602,0.371796196954084, 13.874051007151)); #588394=CARTESIAN_POINT('Ctrl Pts',(-3.15442657089568,0.47601026349215, 13.874051007151)); #588395=CARTESIAN_POINT('Ctrl Pts',(-3.14988847091943,0.495815252166011, 13.874051007151)); #588396=CARTESIAN_POINT('Ctrl Pts',(-3.14515589461343,0.515588585354135, 13.874051007151)); #588397=CARTESIAN_POINT('Ctrl Pts',(-3.14022983194125,0.535327561350977, 13.874051007151)); #588398=CARTESIAN_POINT('Ctrl Pts',(-3.13625009618872,0.551274558724094, 13.874051007151)); #588399=CARTESIAN_POINT('Ctrl Pts',(-3.13214366765861,0.567200370108993, 13.874051007151)); #588400=CARTESIAN_POINT('Ctrl Pts',(-3.12791585141649,0.583086327370463, 13.874051007151)); #588401=CARTESIAN_POINT('Ctrl Pts',(-3.10099173811805,0.684253286544572, 13.874051007151)); #588402=CARTESIAN_POINT('Ctrl Pts',(-3.06911019254053,0.783965321272058, 13.874051007151)); #588403=CARTESIAN_POINT('Ctrl Pts',(-3.03251056161652,0.881896019871523, 13.874051007151)); #588404=CARTESIAN_POINT('Ctrl Pts',(-2.99572275632469,0.980330222108458, 13.874051007151)); #588405=CARTESIAN_POINT('Ctrl Pts',(-2.95416772922436,1.07696553172073, 13.874051007151)); #588406=CARTESIAN_POINT('Ctrl Pts',(-2.90803983353484,1.17144979951645, 13.874051007151)); #588407=CARTESIAN_POINT('Ctrl Pts',(-2.86234352295976,1.26505004685296, 13.874051007151)); #588408=CARTESIAN_POINT('Ctrl Pts',(-2.81215895076019,1.35654002818082, 13.874051007151)); #588409=CARTESIAN_POINT('Ctrl Pts',(-2.75763546023652,1.44554589350447, 13.874051007151)); #588410=CARTESIAN_POINT('Ctrl Pts',(-2.726224098692,1.49682279064389,13.874051007151)); #588411=CARTESIAN_POINT('Ctrl Pts',(-2.69337196529419,1.54727457230956, 13.874051007151)); #588412=CARTESIAN_POINT('Ctrl Pts',(-2.65910263924961,1.59682354345575, 13.874051007151)); #588413=CARTESIAN_POINT('Ctrl Pts',(-2.6489722792243,1.61147072139972,13.874051007151)); #588414=CARTESIAN_POINT('Ctrl Pts',(-2.63871805547855,1.62603897307154, 13.874051007151)); #588415=CARTESIAN_POINT('Ctrl Pts',(-2.62834052390901,1.64052620331639, 13.874051007151)); #588416=CARTESIAN_POINT('Ctrl Pts',(-2.61938181746909,1.65303272685922, 13.874051007151)); #588417=CARTESIAN_POINT('Ctrl Pts',(-2.61033089709845,1.66547918685731, 13.874051007151)); #588418=CARTESIAN_POINT('Ctrl Pts',(-2.60119739114973,1.67785197107522, 13.874051007151)); #588419=CARTESIAN_POINT('Ctrl Pts',(-2.54005095849339,1.76068452422606, 13.874051007151)); #588420=CARTESIAN_POINT('Ctrl Pts',(-2.4751096588798,1.84035410420507,13.874051007151)); #588421=CARTESIAN_POINT('Ctrl Pts',(-2.40655685723276,1.91653401908126, 13.874051007151)); #588422=CARTESIAN_POINT('Ctrl Pts',(-2.33888068473807,1.9917397719597,13.874051007151)); #588423=CARTESIAN_POINT('Ctrl Pts',(-2.26768422465851,2.06354515119865, 13.874051007151)); #588424=CARTESIAN_POINT('Ctrl Pts',(-2.1931095796491,2.13159953260435,13.874051007151)); #588425=CARTESIAN_POINT('Ctrl Pts',(-2.12089118732712,2.19750367488978, 13.874051007151)); #588426=CARTESIAN_POINT('Ctrl Pts',(-2.04550416963014,2.25989048636808, 13.874051007151)); #588427=CARTESIAN_POINT('Ctrl Pts',(-1.96705333093282,2.31840028396843, 13.874051007151)); #588428=CARTESIAN_POINT('Ctrl Pts',(-1.89880979400732,2.36929732470561, 13.874051007151)); #588429=CARTESIAN_POINT('Ctrl Pts',(-1.82824732573226,2.41726020818069, 13.874051007151)); #588430=CARTESIAN_POINT('Ctrl Pts',(-1.75542368510437,2.46202201836131, 13.874051007151)); #588431=CARTESIAN_POINT('Ctrl Pts',(-1.96744503378654,2.83888654064931, 18.874051007151)); #588432=CARTESIAN_POINT('Ctrl Pts',(-1.02181763679937,3.49423846089743, 18.8342903635652)); #588433=CARTESIAN_POINT('Ctrl Pts',(0.268948995999159,3.6340201511696,18.7945297199795)); #588434=CARTESIAN_POINT('Ctrl Pts',(1.32485461181155,3.19559945648532,18.7547690763938)); #588435=CARTESIAN_POINT('Ctrl Pts',(2.38076022762395,2.75717876180103,18.715008432808)); #588436=CARTESIAN_POINT('Ctrl Pts',(3.20180482645021,1.74055568216028,18.6752477892222)); #588437=CARTESIAN_POINT('Ctrl Pts',(3.40556156576554,0.613356644722763, 18.6354871456365)); #588438=CARTESIAN_POINT('Ctrl Pts',(3.44190561413092,0.412298380482314, 18.6283950476849)); #588439=CARTESIAN_POINT('Ctrl Pts',(3.45861016484752,0.207722055373343, 18.6213029497333)); #588440=CARTESIAN_POINT('Ctrl Pts',(3.45676666130078,0.00285083838310718, 18.6142108517818)); #588441=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 13.874051007151)); #588442=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 18.874051007151)); #588443=CARTESIAN_POINT('Origin',(0.999999809264999,-6.900000007656,13.874051007151)); #588444=CARTESIAN_POINT('',(0.999999809265,-6.50000000765598,13.874051007151)); #588445=CARTESIAN_POINT('',(0.999999809264999,-6.50000000765598,13.074051007151)); #588446=CARTESIAN_POINT('',(0.999999809265,-6.50000000765598,13.874051007151)); #588447=CARTESIAN_POINT('',(0.999999809264999,-6.100000007656,13.874051007151)); #588448=CARTESIAN_POINT('',(0.999999809264999,-3.4500000037285,13.874051007151)); #588449=CARTESIAN_POINT('',(0.999999809264999,-6.100000007656,14.674051007151)); #588450=CARTESIAN_POINT('',(0.999999809264999,-6.100000007656,13.874051007151)); #588451=CARTESIAN_POINT('',(0.999999809264999,-6.900000007656,14.674051007151)); #588452=CARTESIAN_POINT('',(0.999999809264999,-6.900000007656,14.674051007151)); #588453=CARTESIAN_POINT('',(0.999999809264999,-6.900000007656,13.074051007151)); #588454=CARTESIAN_POINT('',(0.999999809264999,-6.900000007656,13.874051007151)); #588455=CARTESIAN_POINT('',(0.999999809264999,-6.900000007656,13.074051007151)); #588456=CARTESIAN_POINT('Origin',(0.999999809264999,-6.100000007656,13.874051007151)); #588457=CARTESIAN_POINT('',(-1.000000190735,-6.100000007656,13.874051007151)); #588458=CARTESIAN_POINT('',(0.499999809265067,-6.100000007656,13.874051007151)); #588459=CARTESIAN_POINT('',(-1.000000190735,-6.100000007656,14.674051007151)); #588460=CARTESIAN_POINT('',(-1.000000190735,-6.100000007656,13.874051007151)); #588461=CARTESIAN_POINT('',(0.999999809264999,-6.100000007656,14.674051007151)); #588462=CARTESIAN_POINT('Origin',(-1.90734499860845E-7,-1.050000000798, 13.074051007151)); #588463=CARTESIAN_POINT('',(-1.000000190735,-6.50000000765598,13.074051007151)); #588464=CARTESIAN_POINT('',(-2.67500019396724,-6.50000000765599,13.074051007151)); #588465=CARTESIAN_POINT('',(-1.000000190735,-6.900000007656,13.074051007151)); #588466=CARTESIAN_POINT('',(-1.000000190735,-6.900000007656,13.074051007151)); #588467=CARTESIAN_POINT('',(-1.000000190735,-6.100000007656,13.074051007151)); #588468=CARTESIAN_POINT('Origin',(-1.000000190735,-6.900000007656,13.874051007151)); #588469=CARTESIAN_POINT('',(-1.000000190735,-6.900000007656,14.674051007151)); #588470=CARTESIAN_POINT('',(-1.000000190735,-6.900000007656,14.674051007151)); #588471=CARTESIAN_POINT('',(-1.000000190735,-6.900000007656,13.874051007151)); #588472=CARTESIAN_POINT('Origin',(-1.000000190735,-6.100000007656,13.874051007151)); #588473=CARTESIAN_POINT('',(-1.000000190735,-6.50000000765598,13.874051007151)); #588474=CARTESIAN_POINT('',(-1.000000190735,-6.50000000765598,13.874051007151)); #588475=CARTESIAN_POINT('',(-1.000000190735,-6.100000007656,14.674051007151)); #588476=CARTESIAN_POINT('',(-1.000000190735,-3.0500000037285,13.874051007151)); #588477=CARTESIAN_POINT('Origin',(-1.90734499860845E-7,-1.050000000798, 14.674051007151)); #588478=CARTESIAN_POINT('Origin',(-5.3500001972,-6.500000007656,13.874051007151)); #588479=CARTESIAN_POINT('',(-2.350000193575,-6.500000007656,13.874051007151)); #588480=CARTESIAN_POINT('',(-5.3500001972,-6.500000007656,13.874051007151)); #588481=CARTESIAN_POINT('',(-2.350000193575,-6.500000007656,13.524051006728)); #588482=CARTESIAN_POINT('',(-2.350000193575,-6.500000007656,13.524051006728)); #588483=CARTESIAN_POINT('',(-4.45000019719996,-6.500000007656,13.524051006728)); #588484=CARTESIAN_POINT('',(-5.3500001972,-6.500000007656,13.524051006728)); #588485=CARTESIAN_POINT('',(-4.45000019719996,-6.50000000765603,12.874051005943)); #588486=CARTESIAN_POINT('',(-4.45000019719996,-6.500000007656,13.874051007151)); #588487=CARTESIAN_POINT('',(4.44999981573004,-6.500000007656,12.874051005943)); #588488=CARTESIAN_POINT('',(5.349999815731,-6.500000007656,12.874051005943)); #588489=CARTESIAN_POINT('',(4.44999981573004,-6.500000007656,13.524051006728)); #588490=CARTESIAN_POINT('',(4.44999981573004,-6.50000000765596,13.874051007151)); #588491=CARTESIAN_POINT('',(2.349999812105,-6.500000007656,13.524051006728)); #588492=CARTESIAN_POINT('',(5.349999815731,-6.500000007656,13.524051006728)); #588493=CARTESIAN_POINT('',(2.349999812105,-6.500000007656,13.874051007151)); #588494=CARTESIAN_POINT('',(2.349999812105,-6.500000007656,13.524051006728)); #588495=CARTESIAN_POINT('',(-5.3500001972,-6.500000007656,13.874051007151)); #588496=CARTESIAN_POINT('Origin',(-1.90734499860845E-7,-0.850000000798, 18.874051007151)); #588497=CARTESIAN_POINT('Origin',(-0.0953906033062,2.45000000316,12.374051005339)); #588498=CARTESIAN_POINT('',(-4.7500001972,2.45000000316,12.274051005218)); #588499=CARTESIAN_POINT('',(-4.7500001972,2.45000000316,10.774051003405)); #588500=CARTESIAN_POINT('',(-4.75000019719999,2.45000000315998,13.124051006245)); #588501=CARTESIAN_POINT('',(-4.650000197079,2.45000000316,10.774051003405)); #588502=CARTESIAN_POINT('',(-5.250000197079,2.45000000316,10.774051003405)); #588503=CARTESIAN_POINT('',(-4.650000197079,2.45000000316,12.274051005218)); #588504=CARTESIAN_POINT('',(-4.650000197079,2.45000000316,12.374051005339)); #588505=CARTESIAN_POINT('',(-1.90734873895E-7,2.45000000316,12.274051005218)); #588506=CARTESIAN_POINT('Origin',(-0.0953906033062,2.45000000316,10.774051003405)); #588507=CARTESIAN_POINT('',(-4.7500001972,-2.450000002762,10.774051003405)); #588508=CARTESIAN_POINT('',(-4.7500001972,3.65000000460999,10.774051003405)); #588509=CARTESIAN_POINT('',(-4.650000197079,-2.450000002762,10.774051003405)); #588510=CARTESIAN_POINT('',(-5.250000197079,-2.450000002762,10.774051003405)); #588511=CARTESIAN_POINT('',(-4.650000197079,2.45000000316,10.774051003405)); #588512=CARTESIAN_POINT('Origin',(4.849999815126,2.350000003039,12.374051005339)); #588513=CARTESIAN_POINT('',(4.749999815731,2.350000003039,12.374051005339)); #588514=CARTESIAN_POINT('',(4.74999981573,2.350000003039,11.024051003707)); #588515=CARTESIAN_POINT('',(4.74999981573001,2.350000003039,13.124051006245)); #588516=CARTESIAN_POINT('',(4.64999981561,2.350000003039,11.024051003707)); #588517=CARTESIAN_POINT('',(5.24999981561,2.350000003039,11.024051003707)); #588518=CARTESIAN_POINT('',(4.64999981561,2.350000003039,12.374051005339)); #588519=CARTESIAN_POINT('',(4.64999981561,2.350000003039,12.274051005218)); #588520=CARTESIAN_POINT('',(4.849999815126,2.350000003039,12.374051005339)); #588521=CARTESIAN_POINT('Origin',(0.0953902218365,-2.450000002762,10.774051003405)); #588522=CARTESIAN_POINT('',(4.74999981573,-2.450000002762,10.774051003405)); #588523=CARTESIAN_POINT('',(4.74999981573,2.45000000316,10.774051003405)); #588524=CARTESIAN_POINT('',(4.74999981573001,1.20000000164901,10.774051003405)); #588525=CARTESIAN_POINT('',(4.64999981561,2.45000000316,10.774051003405)); #588526=CARTESIAN_POINT('',(5.24999981561,2.45000000316,10.774051003405)); #588527=CARTESIAN_POINT('',(4.64999981561,-2.450000002762,10.774051003405)); #588528=CARTESIAN_POINT('',(4.64999981561,-2.450000002762,10.774051003405)); #588529=CARTESIAN_POINT('',(5.24999981561,-2.450000002762,10.774051003405)); #588530=CARTESIAN_POINT('Origin',(0.0953902218365,-2.450000002762,12.374051005339)); #588531=CARTESIAN_POINT('',(4.74999981573,-2.450000002762,12.274051005218)); #588532=CARTESIAN_POINT('',(4.74999981573003,-2.45000000276198,13.124051006245)); #588533=CARTESIAN_POINT('',(4.64999981561,-2.450000002762,12.274051005218)); #588534=CARTESIAN_POINT('',(4.64999981561,-2.450000002762,12.374051005339)); #588535=CARTESIAN_POINT('',(-1.907348561314E-7,-2.450000002762,12.274051005218)); #588536=CARTESIAN_POINT('Origin',(2.349999812105,4.85000000606,13.524051006728)); #588537=CARTESIAN_POINT('',(2.349999812105,4.80000000606,13.524051006728)); #588538=CARTESIAN_POINT('',(2.349999812105,4.80000000606,13.874051007151)); #588539=CARTESIAN_POINT('',(2.349999812105,4.80000000606004,13.6990510069395)); #588540=CARTESIAN_POINT('',(2.34999981210499,3.83764250395735,13.874051007151)); #588541=CARTESIAN_POINT('',(2.349999812105,4.85000000606,13.874051007151)); #588542=CARTESIAN_POINT('',(2.34999981210499,3.83764250395735,13.524051006728)); #588543=CARTESIAN_POINT('',(2.34999981210499,3.83764250395735,13.524051006728)); #588544=CARTESIAN_POINT('',(2.349999812105,4.85000000606,13.524051006728)); #588545=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #588546=CARTESIAN_POINT('',(3.77458587736468,2.45000000315999,13.874051007151)); #588547=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.874051007151)); #588548=CARTESIAN_POINT('',(3.77458587736468,2.45000000315999,13.524051006728)); #588549=CARTESIAN_POINT('',(3.77458587736467,2.45000000316,13.524051006728)); #588550=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #588551=CARTESIAN_POINT('Origin',(5.349999815731,2.45000000316,13.524051006728)); #588552=CARTESIAN_POINT('',(4.749999815731,2.45000000316,13.874051007151)); #588553=CARTESIAN_POINT('',(4.749999815731,2.45000000316,13.524051006728)); #588554=CARTESIAN_POINT('',(4.74999981573001,2.45000000316,13.6990510069395)); #588555=CARTESIAN_POINT('',(5.349999815731,2.45000000316,13.524051006728)); #588556=CARTESIAN_POINT('',(5.349999815731,2.45000000316,13.874051007151)); #588557=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #588558=CARTESIAN_POINT('',(-4.75000019719996,-6.200000007656,13.524051006728)); #588559=CARTESIAN_POINT('Origin',(-4.45000019719996,-6.200000007656,13.524051006728)); #588560=CARTESIAN_POINT('',(-2.35000019357501,-3.8701129942684,13.524051006728)); #588561=CARTESIAN_POINT('',(-2.350000193575,-6.500000007656,13.524051006728)); #588562=CARTESIAN_POINT('',(-2.38041433786562,-3.81885172357083,13.524051006728)); #588563=CARTESIAN_POINT('',(-2.67823442992401,-3.3168932856709,13.524051006728)); #588564=CARTESIAN_POINT('',(-3.77458625883441,-2.45000000276199,13.524051006728)); #588565=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #588566=CARTESIAN_POINT('',(-4.7500001972,-2.450000002762,13.524051006728)); #588567=CARTESIAN_POINT('',(-5.3500001972,-2.450000002762,13.524051006728)); #588568=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,13.524051006728)); #588569=CARTESIAN_POINT('Origin',(-5.3500001972,-2.450000002762,13.524051006728)); #588570=CARTESIAN_POINT('',(-4.7500001972,-2.450000002762,13.874051007151)); #588571=CARTESIAN_POINT('',(-4.75000019719997,-2.450000002762,13.6990510069395)); #588572=CARTESIAN_POINT('',(-3.77458625883441,-2.45000000276199,13.874051007151)); #588573=CARTESIAN_POINT('',(-3.7745862588344,-2.45000000276199,13.524051006728)); #588574=CARTESIAN_POINT('',(-5.3500001972,-2.450000002762,13.874051007151)); #588575=CARTESIAN_POINT('Origin',(-2.350000193575,-6.500000007656,13.524051006728)); #588576=CARTESIAN_POINT('',(-2.35000019357501,-3.8701129942684,13.874051007151)); #588577=CARTESIAN_POINT('',(-2.350000193575,-6.500000007656,13.874051007151)); #588578=CARTESIAN_POINT('',(-2.35000019357501,-3.8701129942684,13.524051006728)); #588579=CARTESIAN_POINT('Origin',(-1.91999095760466,-4.59486854342693,13.524051006728)); #588580=CARTESIAN_POINT('',(-2.38041433786562,-3.81885172357083,13.874051007151)); #588581=CARTESIAN_POINT('',(-2.67823442992401,-3.3168932856709,13.874051007151)); #588582=CARTESIAN_POINT('',(-2.38041433786563,-3.81885172357082,13.524051006728)); #588583=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #588584=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.874051007151)); #588585=CARTESIAN_POINT('Origin',(-5.3500001972,2.45000000316,13.524051006728)); #588586=CARTESIAN_POINT('',(-4.7500001972,2.45000000316,13.524051006728)); #588587=CARTESIAN_POINT('',(-4.7500001972,2.45000000316,13.874051007151)); #588588=CARTESIAN_POINT('',(-4.75000019719999,2.45000000316,13.6990510069395)); #588589=CARTESIAN_POINT('',(-3.7745862588344,2.45000000316,13.874051007151)); #588590=CARTESIAN_POINT('',(-5.3500001972,2.45000000316,13.874051007151)); #588591=CARTESIAN_POINT('',(-3.7745862588344,2.45000000316,13.524051006728)); #588592=CARTESIAN_POINT('',(-3.7745862588344,2.45000000316001,13.524051006728)); #588593=CARTESIAN_POINT('',(-5.3500001972,2.45000000316,13.524051006728)); #588594=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #588595=CARTESIAN_POINT('',(-2.350000193575,3.83764250395719,13.874051007151)); #588596=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.874051007151)); #588597=CARTESIAN_POINT('',(-2.350000193575,3.83764250395719,13.524051006728)); #588598=CARTESIAN_POINT('',(-2.350000193575,3.83764250395718,13.524051006728)); #588599=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #588600=CARTESIAN_POINT('Origin',(-2.350000193575,4.85000000606,13.524051006728)); #588601=CARTESIAN_POINT('',(-2.350000193575,4.80000000606,13.874051007151)); #588602=CARTESIAN_POINT('',(-2.350000193575,4.80000000606,13.524051006728)); #588603=CARTESIAN_POINT('',(-2.350000193575,4.80000000606004,13.6990510069395)); #588604=CARTESIAN_POINT('',(-2.350000193575,4.85000000606,13.524051006728)); #588605=CARTESIAN_POINT('',(-2.350000193575,4.85000000606,13.874051007151)); #588606=CARTESIAN_POINT('Origin',(1.91999057613466,-4.59486854342693,13.524051006728)); #588607=CARTESIAN_POINT('',(2.38041395639546,-3.8188517235711,13.874051007151)); #588608=CARTESIAN_POINT('',(2.34999981210499,-3.87011299426843,13.874051007151)); #588609=CARTESIAN_POINT('',(2.67823404845404,-3.31689328567084,13.874051007151)); #588610=CARTESIAN_POINT('',(2.34999981210499,-3.87011299426843,13.524051006728)); #588611=CARTESIAN_POINT('',(2.34999981210499,-3.87011299426843,13.524051006728)); #588612=CARTESIAN_POINT('',(2.38041395639546,-3.8188517235711,13.524051006728)); #588613=CARTESIAN_POINT('',(2.67823404845404,-3.31689328567084,13.524051006728)); #588614=CARTESIAN_POINT('',(2.38041395639547,-3.81885172357108,13.524051006728)); #588615=CARTESIAN_POINT('Origin',(2.349999812105,-6.500000007656,13.524051006728)); #588616=CARTESIAN_POINT('',(2.349999812105,-6.500000007656,13.874051007151)); #588617=CARTESIAN_POINT('',(2.349999812105,-6.500000007656,13.524051006728)); #588618=CARTESIAN_POINT('Origin',(5.349999815731,-2.450000002762,13.524051006728)); #588619=CARTESIAN_POINT('',(4.749999815731,-2.450000002762,13.524051006728)); #588620=CARTESIAN_POINT('',(4.749999815731,-2.450000002762,13.874051007151)); #588621=CARTESIAN_POINT('',(4.74999981573003,-2.450000002762,13.6990510069395)); #588622=CARTESIAN_POINT('',(3.77458587736468,-2.450000002762,13.874051007151)); #588623=CARTESIAN_POINT('',(5.349999815731,-2.450000002762,13.874051007151)); #588624=CARTESIAN_POINT('',(3.77458587736468,-2.450000002762,13.524051006728)); #588625=CARTESIAN_POINT('',(3.77458587736466,-2.45000000276201,13.524051006728)); #588626=CARTESIAN_POINT('',(5.349999815731,-2.450000002762,13.524051006728)); #588627=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #588628=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.874051007151)); #588629=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #588630=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.874051007151)); #588631=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,13.874051007151)); #588632=CARTESIAN_POINT('',(-2.67500019396743,4.80000000606004,13.874051007151)); #588633=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,13.874051007151)); #588634=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 12.874051005943)); #588635=CARTESIAN_POINT('',(-4.358612360442,-2.450000002762,12.874051005943)); #588636=CARTESIAN_POINT('',(4.358611978972,-2.450000002762,12.874051005943)); #588637=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 12.874051005943)); #588638=CARTESIAN_POINT('',(-4.358612360442,-2.450000002762,12.374051005339)); #588639=CARTESIAN_POINT('',(-4.358612360442,-2.450000002762,12.874051005943)); #588640=CARTESIAN_POINT('',(4.358611978972,-2.450000002762,12.374051005339)); #588641=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 12.374051005339)); #588642=CARTESIAN_POINT('',(4.358611978972,-2.450000002762,12.874051005943)); #588643=CARTESIAN_POINT('Origin',(4.64999981561,-6.500000007656,12.274051005218)); #588644=CARTESIAN_POINT('',(4.64999981561,4.700000005939,12.274051005218)); #588645=CARTESIAN_POINT('',(4.64999981561,4.700000005939,12.374051005339)); #588646=CARTESIAN_POINT('',(4.64999981560996,4.700000005939,12.274051005218)); #588647=CARTESIAN_POINT('',(4.64999981561,-6.500000007656,12.374051005339)); #588648=CARTESIAN_POINT('',(4.64999981561,2.200000002858,10.874051003526)); #588649=CARTESIAN_POINT('Origin',(4.64999981561,2.200000002858,11.024051003707)); #588650=CARTESIAN_POINT('',(4.64999981561,-2.20000000246,10.874051003526)); #588651=CARTESIAN_POINT('',(4.64999981561,-6.500000007656,10.874051003526)); #588652=CARTESIAN_POINT('',(4.64999981561,-2.350000002641,11.024051003707)); #588653=CARTESIAN_POINT('Origin',(4.64999981561,-2.20000000246,11.024051003707)); #588654=CARTESIAN_POINT('',(4.64999981561,-2.350000002641,12.374051005339)); #588655=CARTESIAN_POINT('',(4.64999981561,-2.350000002641,12.274051005218)); #588656=CARTESIAN_POINT('',(4.64999981560999,-2.450000002762,12.374051005339)); #588657=CARTESIAN_POINT('',(4.64999981561,-6.500000007656,12.374051005339)); #588658=CARTESIAN_POINT('',(4.64999981561,-6.400000007535,12.374051005339)); #588659=CARTESIAN_POINT('',(4.64999981560999,-3.25000000372849,12.374051005339)); #588660=CARTESIAN_POINT('',(4.64999981561,-6.400000007535,12.274051005218)); #588661=CARTESIAN_POINT('',(4.64999981561,-6.40000000753497,12.274051005218)); #588662=CARTESIAN_POINT('',(4.64999981561,-6.500000007656,12.274051005218)); #588663=CARTESIAN_POINT('',(4.64999981561,2.45000000316,12.274051005218)); #588664=CARTESIAN_POINT('',(4.64999981561,2.45000000316,12.374051005339)); #588665=CARTESIAN_POINT('',(4.64999981561,-6.500000007656,12.274051005218)); #588666=CARTESIAN_POINT('Origin',(-5.3500001972,-6.400000007535,12.274051005218)); #588667=CARTESIAN_POINT('',(-4.650000197079,-6.400000007535,12.374051005339)); #588668=CARTESIAN_POINT('',(-4.650000197079,-6.400000007535,12.274051005218)); #588669=CARTESIAN_POINT('',(-4.650000197079,-6.400000007535,12.274051005218)); #588670=CARTESIAN_POINT('',(-5.3500001972,-6.400000007535,12.274051005218)); #588671=CARTESIAN_POINT('',(-5.3500001972,-6.400000007535,12.374051005339)); #588672=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 12.374051005339)); #588673=CARTESIAN_POINT('',(-4.65000019707901,-2.450000002762,12.374051005339)); #588674=CARTESIAN_POINT('',(-4.65000019707901,-3.25000000372851,12.374051005339)); #588675=CARTESIAN_POINT('',(4.358611978972,-2.450000002762,12.374051005339)); #588676=CARTESIAN_POINT('',(-5.3500001972,-2.450000002762,12.374051005339)); #588677=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 12.274051005218)); #588678=CARTESIAN_POINT('',(-4.45000019719996,-6.500000007656,12.274051005218)); #588679=CARTESIAN_POINT('',(-4.75000019719996,-6.200000007656,12.274051005218)); #588680=CARTESIAN_POINT('Origin',(-4.45000019719996,-6.200000007656,12.274051005218)); #588681=CARTESIAN_POINT('',(4.44999981573004,-6.50000000765597,12.274051005218)); #588682=CARTESIAN_POINT('',(-5.3500001972,-6.500000007656,12.274051005218)); #588683=CARTESIAN_POINT('',(4.74999981573004,-6.20000000765596,12.274051005218)); #588684=CARTESIAN_POINT('Origin',(4.44999981573004,-6.20000000765596,12.274051005218)); #588685=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,12.274051005218)); #588686=CARTESIAN_POINT('',(-4.650000197079,-2.450000002762,12.274051005218)); #588687=CARTESIAN_POINT('',(-4.650000197079,-6.500000007656,12.274051005218)); #588688=CARTESIAN_POINT('',(-4.7500001972,-2.450000002762,12.274051005218)); #588689=CARTESIAN_POINT('',(-1.907348561314E-7,-2.450000002762,12.274051005218)); #588690=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,12.274051005218)); #588691=CARTESIAN_POINT('Origin',(-0.09539060330618,-2.450000002762,10.774051003405)); #588692=CARTESIAN_POINT('',(-4.75000019719997,-2.45000000276202,12.324051005278)); #588693=CARTESIAN_POINT('',(-4.650000197079,-2.450000002762,10.774051003405)); #588694=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 12.274051005218)); #588695=CARTESIAN_POINT('',(-4.7500001972,4.50000000606004,12.274051005218)); #588696=CARTESIAN_POINT('',(-4.4500001972,4.80000000606004,12.274051005218)); #588697=CARTESIAN_POINT('Origin',(-4.4500001972,4.50000000606004,12.274051005218)); #588698=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,12.274051005218)); #588699=CARTESIAN_POINT('',(-4.650000197079,4.700000005939,12.274051005218)); #588700=CARTESIAN_POINT('',(-4.650000197079,-6.500000007656,12.274051005218)); #588701=CARTESIAN_POINT('',(-5.3500001972,4.700000005939,12.274051005218)); #588702=CARTESIAN_POINT('',(4.74999981573,2.45000000316,12.274051005218)); #588703=CARTESIAN_POINT('',(-1.90734873895E-7,2.45000000316,12.274051005218)); #588704=CARTESIAN_POINT('',(4.74999981573,4.50000000606004,12.274051005218)); #588705=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,12.274051005218)); #588706=CARTESIAN_POINT('',(4.44999981573,4.80000000606004,12.274051005218)); #588707=CARTESIAN_POINT('Origin',(4.44999981573,4.50000000606004,12.274051005218)); #588708=CARTESIAN_POINT('',(-2.67500019396743,4.80000000606004,12.274051005218)); #588709=CARTESIAN_POINT('Origin',(0.09539022183648,2.45000000316,12.374051005339)); #588710=CARTESIAN_POINT('',(4.74999981573001,2.45000000316002,13.124051006245)); #588711=CARTESIAN_POINT('Origin',(-0.3164416377,2.350000003039,12.374051005339)); #588712=CARTESIAN_POINT('',(-4.7500001972,2.350000003039,11.024051003707)); #588713=CARTESIAN_POINT('',(-4.7500001972,2.350000003039,12.374051005339)); #588714=CARTESIAN_POINT('',(-4.75000019719999,2.35000000303898,13.124051006245)); #588715=CARTESIAN_POINT('',(-4.650000197079,2.350000003039,12.374051005339)); #588716=CARTESIAN_POINT('',(-0.3164416377,2.350000003039,12.374051005339)); #588717=CARTESIAN_POINT('',(-4.650000197079,2.350000003039,11.024051003707)); #588718=CARTESIAN_POINT('',(-4.650000197079,2.350000003039,12.374051005339)); #588719=CARTESIAN_POINT('',(-5.250000197079,2.350000003039,11.024051003707)); #588720=CARTESIAN_POINT('Origin',(-0.3164416377,2.200000002858,11.024051003707)); #588721=CARTESIAN_POINT('',(-4.7500001972,2.200000002858,10.874051003526)); #588722=CARTESIAN_POINT('Origin',(-4.75000019719999,2.20000000285798,11.024051003707)); #588723=CARTESIAN_POINT('',(-4.650000197079,2.200000002858,10.874051003526)); #588724=CARTESIAN_POINT('Origin',(-4.650000197079,2.200000002858,11.024051003707)); #588725=CARTESIAN_POINT('',(-5.250000197079,2.200000002858,10.874051003526)); #588726=CARTESIAN_POINT('Origin',(-0.3164416377,2.200000002858,10.874051003526)); #588727=CARTESIAN_POINT('',(-4.7500001972,-2.20000000246,10.874051003526)); #588728=CARTESIAN_POINT('',(-4.7500001972,3.52500000445899,10.874051003526)); #588729=CARTESIAN_POINT('',(-4.650000197079,-2.20000000246,10.874051003526)); #588730=CARTESIAN_POINT('',(-4.650000197079,2.200000002858,10.874051003526)); #588731=CARTESIAN_POINT('',(-5.250000197079,-2.20000000246,10.874051003526)); #588732=CARTESIAN_POINT('Origin',(-0.3164416377,-2.20000000246,11.024051003707)); #588733=CARTESIAN_POINT('',(-4.7500001972,-2.350000002641,11.024051003707)); #588734=CARTESIAN_POINT('Origin',(-4.75000019719997,-2.20000000246002,11.024051003707)); #588735=CARTESIAN_POINT('',(-4.650000197079,-2.350000002641,11.024051003707)); #588736=CARTESIAN_POINT('Origin',(-4.650000197079,-2.20000000246,11.024051003707)); #588737=CARTESIAN_POINT('',(-5.250000197079,-2.350000002641,11.024051003707)); #588738=CARTESIAN_POINT('Origin',(-0.3164416377,-2.350000002641,11.024051003707)); #588739=CARTESIAN_POINT('',(-4.7500001972,-2.350000002641,12.374051005339)); #588740=CARTESIAN_POINT('',(-4.75000019719997,-2.35000000264102,12.449051005429)); #588741=CARTESIAN_POINT('',(-4.650000197079,-2.350000002641,12.374051005339)); #588742=CARTESIAN_POINT('',(-4.650000197079,-2.350000002641,11.024051003707)); #588743=CARTESIAN_POINT('',(-0.3164416377,-2.350000002641,12.374051005339)); #588744=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 12.374051005339)); #588745=CARTESIAN_POINT('',(-4.7500001972,-2.450000002762,12.374051005339)); #588746=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,12.374051005339)); #588747=CARTESIAN_POINT('',(-4.650000197079,-6.500000007656,12.374051005339)); #588748=CARTESIAN_POINT('',(-5.3500001972,-2.450000002762,12.374051005339)); #588749=CARTESIAN_POINT('Origin',(-5.3500001972,-2.450000002762,12.874051005943)); #588750=CARTESIAN_POINT('',(-4.7500001972,-2.450000002762,12.874051005943)); #588751=CARTESIAN_POINT('',(-4.75000019719997,-2.450000002762,13.374051006547)); #588752=CARTESIAN_POINT('',(-5.3500001972,-2.450000002762,12.874051005943)); #588753=CARTESIAN_POINT('Origin',(4.358611978972,-2.450000002762,12.874051005943)); #588754=CARTESIAN_POINT('',(4.749999815731,-2.450000002762,12.374051005339)); #588755=CARTESIAN_POINT('',(4.749999815731,-2.450000002762,12.874051005943)); #588756=CARTESIAN_POINT('',(4.74999981573003,-2.450000002762,13.374051006547)); #588757=CARTESIAN_POINT('',(4.358611978972,-2.450000002762,12.874051005943)); #588758=CARTESIAN_POINT('',(4.358611978972,-2.450000002762,12.374051005339)); #588759=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 12.374051005339)); #588760=CARTESIAN_POINT('',(4.749999815731,-2.350000002641,12.374051005339)); #588761=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,12.374051005339)); #588762=CARTESIAN_POINT('',(4.849999815126,-2.350000002641,12.374051005339)); #588763=CARTESIAN_POINT('Origin',(4.849999815126,-2.350000002641,12.374051005339)); #588764=CARTESIAN_POINT('',(4.74999981573,-2.350000002641,11.024051003707)); #588765=CARTESIAN_POINT('',(4.74999981573003,-2.350000002641,13.124051006245)); #588766=CARTESIAN_POINT('',(4.849999815126,-2.350000002641,11.024051003707)); #588767=CARTESIAN_POINT('Origin',(4.849999815126,-2.20000000246,11.024051003707)); #588768=CARTESIAN_POINT('',(4.74999981573,-2.20000000246,10.874051003526)); #588769=CARTESIAN_POINT('Origin',(4.74999981573003,-2.20000000246,11.024051003707)); #588770=CARTESIAN_POINT('',(5.24999981561,-2.20000000246,10.874051003526)); #588771=CARTESIAN_POINT('Origin',(4.849999815126,-2.20000000246,10.874051003526)); #588772=CARTESIAN_POINT('',(4.74999981573,2.200000002858,10.874051003526)); #588773=CARTESIAN_POINT('',(4.74999981573001,1.3250000018,10.874051003526)); #588774=CARTESIAN_POINT('',(5.24999981561,2.200000002858,10.874051003526)); #588775=CARTESIAN_POINT('Origin',(4.849999815126,2.200000002858,11.024051003707)); #588776=CARTESIAN_POINT('Origin',(4.74999981573001,2.200000002858,11.024051003707)); #588777=CARTESIAN_POINT('Origin',(-4.650000197079,-6.500000007656,12.274051005218)); #588778=CARTESIAN_POINT('',(-4.650000197079,4.700000005939,12.374051005339)); #588779=CARTESIAN_POINT('',(-4.65000019707904,4.700000005939,12.274051005218)); #588780=CARTESIAN_POINT('',(-4.650000197079,-6.500000007656,12.374051005339)); #588781=CARTESIAN_POINT('Origin',(-5.3500001972,4.700000005939,12.274051005218)); #588782=CARTESIAN_POINT('',(-5.3500001972,4.700000005939,12.374051005339)); #588783=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #588784=CARTESIAN_POINT('',(-4.7500001972,4.50000000606004,13.524051006728)); #588785=CARTESIAN_POINT('',(-4.4500001972,4.80000000606004,13.524051006728)); #588786=CARTESIAN_POINT('Origin',(-4.4500001972,4.50000000606004,13.524051006728)); #588787=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,13.524051006728)); #588788=CARTESIAN_POINT('',(-2.67500019396743,4.80000000606004,13.524051006728)); #588789=CARTESIAN_POINT('Origin',(4.44999981573004,-6.20000000765596,13.874051007151)); #588790=CARTESIAN_POINT('',(4.74999981573004,-6.20000000765596,13.874051007151)); #588791=CARTESIAN_POINT('',(4.44999981573004,-6.50000000765596,13.874051007151)); #588792=CARTESIAN_POINT('Origin',(4.74999981573,4.85000000606,13.874051007151)); #588793=CARTESIAN_POINT('',(4.74999981573,4.50000000606004,13.524051006728)); #588794=CARTESIAN_POINT('',(4.74999981573,4.50000000606004,12.374051005339)); #588795=CARTESIAN_POINT('',(4.74999981573,4.50000000606004,13.874051007151)); #588796=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,13.524051006728)); #588797=CARTESIAN_POINT('',(4.74999981573004,-6.20000000765596,13.524051006728)); #588798=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,13.524051006728)); #588799=CARTESIAN_POINT('',(4.74999981573004,-6.20000000765596,12.874051005943)); #588800=CARTESIAN_POINT('',(4.74999981573004,-6.20000000765596,13.874051007151)); #588801=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,12.874051005943)); #588802=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,12.374051005339)); #588803=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #588804=CARTESIAN_POINT('Origin',(4.44999981573004,-6.20000000765596,13.524051006728)); #588805=CARTESIAN_POINT('Origin',(4.44999981573004,-6.20000000765596,13.874051007151)); #588806=CARTESIAN_POINT('Origin',(4.44999981573004,-6.20000000765596,12.874051005943)); #588807=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #588808=CARTESIAN_POINT('',(4.44999981573,4.80000000606004,13.524051006728)); #588809=CARTESIAN_POINT('Origin',(4.44999981573,4.50000000606004,13.524051006728)); #588810=CARTESIAN_POINT('',(-2.67500019396743,4.80000000606004,13.524051006728)); #588811=CARTESIAN_POINT('Origin',(4.44999981573,4.50000000606004,13.874051007151)); #588812=CARTESIAN_POINT('',(4.44999981573,4.80000000606004,12.374051005339)); #588813=CARTESIAN_POINT('Origin',(4.44999981573,4.50000000606004,12.374051005339)); #588814=CARTESIAN_POINT('',(4.44999981573,4.80000000606004,13.874051007151)); #588815=CARTESIAN_POINT('Origin',(4.44999981573,4.50000000606004,13.874051007151)); #588816=CARTESIAN_POINT('',(4.74999981573,4.50000000606004,13.874051007151)); #588817=CARTESIAN_POINT('Origin',(4.4500000670183,4.50000000606,1.32405099814797)); #588818=CARTESIAN_POINT('',(4.44999981573,4.80000000606004,13.874051007151)); #588819=CARTESIAN_POINT('Origin',(-5.3500001972,-6.500000007656,13.874051007151)); #588820=CARTESIAN_POINT('',(-4.45000019719996,-6.500000007656,13.874051007151)); #588821=CARTESIAN_POINT('Origin',(-4.45000019719996,-6.200000007656,13.874051007151)); #588822=CARTESIAN_POINT('',(-4.75000019719996,-6.200000007656,13.874051007151)); #588823=CARTESIAN_POINT('Origin',(-4.7500001972,4.85000000606,13.874051007151)); #588824=CARTESIAN_POINT('',(-4.7500001972,4.50000000606004,12.374051005339)); #588825=CARTESIAN_POINT('',(-4.7500001972,4.50000000606004,13.874051007151)); #588826=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,12.374051005339)); #588827=CARTESIAN_POINT('',(-4.75000019719996,-6.200000007656,12.874051005943)); #588828=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,12.874051005943)); #588829=CARTESIAN_POINT('',(-4.75000019719996,-6.200000007656,13.874051007151)); #588830=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 12.874051005943)); #588831=CARTESIAN_POINT('Origin',(-4.45000019719996,-6.200000007656,12.874051005943)); #588832=CARTESIAN_POINT('Origin',(-4.45000019719996,-6.200000007656,13.874051007151)); #588833=CARTESIAN_POINT('Origin',(-5.3500001972,4.80000000606004,13.874051007151)); #588834=CARTESIAN_POINT('',(-4.4500001972,4.80000000606004,12.374051005339)); #588835=CARTESIAN_POINT('',(-4.4500001972,4.80000000606004,13.874051007151)); #588836=CARTESIAN_POINT('',(-2.67500019396743,4.80000000606004,12.374051005339)); #588837=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 12.374051005339)); #588838=CARTESIAN_POINT('Origin',(-4.4500001972,4.50000000606004,12.374051005339)); #588839=CARTESIAN_POINT('Origin',(-4.4500001972,4.50000000606004,13.874051007151)); #588840=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 1.324050998148)); #588841=CARTESIAN_POINT('',(3.649999813314,-1.50000000191601,1.324050998148)); #588842=CARTESIAN_POINT('',(4.74999981573002,-1.500000001916,1.324050998148)); #588843=CARTESIAN_POINT('',(2.57499981237707,-1.50000000191601,1.324050998148)); #588844=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,1.324050998148)); #588845=CARTESIAN_POINT('',(3.649999813676,-2.550000002883,1.324050998148)); #588846=CARTESIAN_POINT('',(-1.907348561314E-7,-2.550000002883,1.324050998148)); #588847=CARTESIAN_POINT('',(3.349999813314,-2.25000000252,1.324050998148)); #588848=CARTESIAN_POINT('Origin',(3.649999813676,-2.25000000252,1.324050998148)); #588849=CARTESIAN_POINT('',(3.349999813314,-1.80000000191601,1.324050998148)); #588850=CARTESIAN_POINT('',(3.349999813314,-2.150000002399,1.324050998148)); #588851=CARTESIAN_POINT('Origin',(3.649999813314,-1.80000000191601,1.324050998148)); #588852=CARTESIAN_POINT('Origin',(-4.4500001972,4.50000000606004,13.874051007151)); #588853=CARTESIAN_POINT('Origin',(-4.45000048946544,4.50000000606,1.32405099814803)); #588854=CARTESIAN_POINT('',(-4.7500001972,4.50000000606004,13.874051007151)); #588855=CARTESIAN_POINT('',(-4.4500001972,4.80000000606004,13.874051007151)); #588856=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 1.324050998148)); #588857=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,1.324050998148)); #588858=CARTESIAN_POINT('',(-5.000000196777,1.500000002314,1.324050998148)); #588859=CARTESIAN_POINT('',(-2.67500019396743,1.50000000231401,1.324050998148)); #588860=CARTESIAN_POINT('',(-5.000000196777,-1.500000001916,1.324050998148)); #588861=CARTESIAN_POINT('',(-5.000000196777,2.550000003281,1.324050998148)); #588862=CARTESIAN_POINT('',(-2.57500019384693,-1.50000000191599,1.324050998148)); #588863=CARTESIAN_POINT('Origin',(-4.45000048946543,4.50000000606,1.3990509982385)); #588864=CARTESIAN_POINT('Origin',(-4.45000048946544,4.50000000606,1.12405099790603)); #588865=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 1.124050997906)); #588866=CARTESIAN_POINT('',(4.44999972652547,-4.800000005662,1.124050997906)); #588867=CARTESIAN_POINT('Origin',(4.44999972652547,-4.500000005662,1.12405099790597)); #588868=CARTESIAN_POINT('',(1.599999811199,-4.800000005662,1.124050997906)); #588869=CARTESIAN_POINT('',(2.59999981240707,-4.800000005662,1.124050997906)); #588870=CARTESIAN_POINT('',(1.599999811199,-4.55000000536,1.124050997906)); #588871=CARTESIAN_POINT('',(1.599999811199,-4.60000000536,1.124050997906)); #588872=CARTESIAN_POINT('',(1.499999811078,-4.55000000536,1.124050997906)); #588873=CARTESIAN_POINT('',(-1.90734657457005E-7,-4.55000000535999,1.124050997906)); #588874=CARTESIAN_POINT('',(1.499999811078,-4.650000005481,1.124050997906)); #588875=CARTESIAN_POINT('',(1.49999981107799,-2.2500000025805,1.124050997906)); #588876=CARTESIAN_POINT('',(-1.500000192548,-4.650000005481,1.124050997906)); #588877=CARTESIAN_POINT('',(-1.500000192548,-4.650000005481,1.124050997906)); #588878=CARTESIAN_POINT('',(-1.500000192548,-4.55000000536,1.124050997906)); #588879=CARTESIAN_POINT('',(-1.50000019254801,-2.2500000025805,1.124050997906)); #588880=CARTESIAN_POINT('',(-1.600000192668,-4.55000000536,1.124050997906)); #588881=CARTESIAN_POINT('',(-1.90734657457005E-7,-4.55000000535999,1.124050997906)); #588882=CARTESIAN_POINT('',(-1.600000192668,-4.800000005662,1.124050997906)); #588883=CARTESIAN_POINT('',(-1.60000019266801,-2.3000000025805,1.124050997906)); #588884=CARTESIAN_POINT('',(-4.45000061538181,-4.800000005662,1.124050997906)); #588885=CARTESIAN_POINT('',(-2.60000019387693,-4.800000005662,1.124050997906)); #588886=CARTESIAN_POINT('Origin',(-4.45000061538181,-4.500000005662,1.12405099790603)); #588887=CARTESIAN_POINT('',(-3.650000195146,-2.550000002883,1.124050997906)); #588888=CARTESIAN_POINT('',(-4.75000061538182,-2.55000000288303,1.12405099790607)); #588889=CARTESIAN_POINT('',(-3.501498043841,-2.510666669502,1.124050997906)); #588890=CARTESIAN_POINT('Origin',(-3.650000195146,-2.25000000252,1.124050997906)); #588891=CARTESIAN_POINT('',(-3.350000194783,-2.25000000252,1.124050997906)); #588892=CARTESIAN_POINT('Ctrl Pts',(-3.501498043841,-2.510666669502,1.124050997906)); #588893=CARTESIAN_POINT('Ctrl Pts',(-3.456532416857,-2.487194873684,1.124050997906)); #588894=CARTESIAN_POINT('Ctrl Pts',(-3.41836942487802,-2.44818205065468, 1.124050997906)); #588895=CARTESIAN_POINT('Ctrl Pts',(-3.39193645641686,-2.40298074303611, 1.124050997906)); #588896=CARTESIAN_POINT('Ctrl Pts',(-3.36550348819394,-2.35777943582494, 1.124050997906)); #588897=CARTESIAN_POINT('Ctrl Pts',(-3.3508005432774,-2.30638964413606, 1.124050997906)); #588898=CARTESIAN_POINT('Ctrl Pts',(-3.35004491645276,-2.25517986754606, 1.124050997906)); #588899=CARTESIAN_POINT('Ctrl Pts',(-3.35001943724065,-2.2534531094202, 1.124050997906)); #588900=CARTESIAN_POINT('Ctrl Pts',(-3.350009816012,-2.25172655597,1.124050997906)); #588901=CARTESIAN_POINT('Ctrl Pts',(-3.350000194783,-2.25000000252,1.124050997906)); #588902=CARTESIAN_POINT('',(-3.35000019478299,-1.80000000191599,1.124050997906)); #588903=CARTESIAN_POINT('',(-3.350000194783,1.99000260714399E-10,1.124050997906)); #588904=CARTESIAN_POINT('',(-3.650000194783,-1.50000000191599,1.124050997906)); #588905=CARTESIAN_POINT('Origin',(-3.650000194783,-1.80000000191599,1.124050997906)); #588906=CARTESIAN_POINT('',(-5.000000196777,-1.500000001916,1.124050997906)); #588907=CARTESIAN_POINT('',(-2.57500019384693,-1.50000000191599,1.124050997906)); #588908=CARTESIAN_POINT('',(-5.000000196777,1.500000002314,1.124050997906)); #588909=CARTESIAN_POINT('',(-5.000000196777,2.550000003281,1.124050997906)); #588910=CARTESIAN_POINT('',(-3.650000194783,1.500000002314,1.124050997906)); #588911=CARTESIAN_POINT('',(-2.67500019396743,1.50000000231401,1.124050997906)); #588912=CARTESIAN_POINT('',(-3.35000019478301,1.800000002314,1.124050997906)); #588913=CARTESIAN_POINT('Origin',(-3.650000194783,1.800000002314,1.124050997906)); #588914=CARTESIAN_POINT('',(-3.350000194783,2.250000002918,1.124050997906)); #588915=CARTESIAN_POINT('',(-3.350000194783,1.99000260714399E-10,1.124050997906)); #588916=CARTESIAN_POINT('',(-3.389333528164,2.398502154223,1.124050997906)); #588917=CARTESIAN_POINT('Origin',(-3.650000195146,2.250000002918,1.124050997906)); #588918=CARTESIAN_POINT('',(-3.650000195146,2.550000003281,1.124050997906)); #588919=CARTESIAN_POINT('Ctrl Pts',(-3.389333528164,2.398502154223,1.124050997906)); #588920=CARTESIAN_POINT('Ctrl Pts',(-3.412805323982,2.443467781207,1.124050997906)); #588921=CARTESIAN_POINT('Ctrl Pts',(-3.45181814701131,2.48163077318549, 1.124050997906)); #588922=CARTESIAN_POINT('Ctrl Pts',(-3.49701945462989,2.50806374164664, 1.124050997906)); #588923=CARTESIAN_POINT('Ctrl Pts',(-3.54222076184107,2.53449670986955, 1.124050997906)); #588924=CARTESIAN_POINT('Ctrl Pts',(-3.59361055352994,2.54919965478658, 1.124050997906)); #588925=CARTESIAN_POINT('Ctrl Pts',(-3.64482033011994,2.54995528161124, 1.124050997906)); #588926=CARTESIAN_POINT('Ctrl Pts',(-3.6465470882458,2.54998076082335,1.124050997906)); #588927=CARTESIAN_POINT('Ctrl Pts',(-3.648273641696,2.549990382052,1.124050997906)); #588928=CARTESIAN_POINT('Ctrl Pts',(-3.650000195146,2.550000003281,1.124050997906)); #588929=CARTESIAN_POINT('',(-4.75000061538184,2.55000000328097,1.12405099790607)); #588930=CARTESIAN_POINT('',(-1.600000192668,4.55000085684252,1.124050997906)); #588931=CARTESIAN_POINT('',(-1.600000192668,4.80000000606,1.124050997906)); #588932=CARTESIAN_POINT('',(-1.500000192548,4.55000085684252,1.124050997906)); #588933=CARTESIAN_POINT('',(-1.90734707278686E-7,4.55000085684253,1.124050997906)); #588934=CARTESIAN_POINT('',(-1.500000192548,4.650000005879,1.124050997906)); #588935=CARTESIAN_POINT('',(-1.50000019254799,2.2500000029785,1.124050997906)); #588936=CARTESIAN_POINT('',(1.499999811078,4.650000005879,1.124050997906)); #588937=CARTESIAN_POINT('',(1.499999811078,4.650000005879,1.124050997906)); #588938=CARTESIAN_POINT('',(1.499999811078,4.550000005758,1.124050997906)); #588939=CARTESIAN_POINT('',(1.499999811078,4.550000005758,1.124050997906)); #588940=CARTESIAN_POINT('',(1.599999811199,4.550000005758,1.124050997906)); #588941=CARTESIAN_POINT('',(-1.90734707278686E-7,4.55000085684253,1.124050997906)); #588942=CARTESIAN_POINT('',(1.599999811199,4.80000000606,1.124050997906)); #588943=CARTESIAN_POINT('Origin',(4.45000006701829,4.50000000606,1.12405099790597)); #588944=CARTESIAN_POINT('',(3.649999813676,2.550000003281,1.124050997906)); #588945=CARTESIAN_POINT('',(4.74999972652544,2.550000003281,1.124050997906)); #588946=CARTESIAN_POINT('',(3.349999813314,2.250000002918,1.124050997906)); #588947=CARTESIAN_POINT('Origin',(3.649999813676,2.250000002918,1.124050997906)); #588948=CARTESIAN_POINT('',(3.349999813314,1.80000000231399,1.124050997906)); #588949=CARTESIAN_POINT('',(3.34999981331401,0.875000001256503,1.124050997906)); #588950=CARTESIAN_POINT('',(3.649999813314,1.50000000231399,1.124050997906)); #588951=CARTESIAN_POINT('Origin',(3.649999813314,1.80000000231399,1.124050997906)); #588952=CARTESIAN_POINT('',(4.999999815308,1.500000002314,1.124050997906)); #588953=CARTESIAN_POINT('',(2.67499981249757,1.50000000231399,1.124050997906)); #588954=CARTESIAN_POINT('',(4.999999815308,-1.500000001916,1.124050997906)); #588955=CARTESIAN_POINT('',(4.999999815308,-2.550000002883,1.124050997906)); #588956=CARTESIAN_POINT('',(3.649999813314,-1.50000000191601,1.124050997906)); #588957=CARTESIAN_POINT('',(2.57499981237707,-1.50000000191601,1.124050997906)); #588958=CARTESIAN_POINT('',(3.349999813314,-1.80000000191601,1.124050997906)); #588959=CARTESIAN_POINT('Origin',(3.649999813314,-1.80000000191601,1.124050997906)); #588960=CARTESIAN_POINT('',(3.349999813314,-2.25000000252,1.124050997906)); #588961=CARTESIAN_POINT('',(3.349999813314,-2.25000000252,1.124050997906)); #588962=CARTESIAN_POINT('',(3.649999813676,-2.550000002883,1.124050997906)); #588963=CARTESIAN_POINT('Origin',(3.649999813676,-2.25000000252,1.124050997906)); #588964=CARTESIAN_POINT('',(4.74999972652546,-2.550000002883,1.124050997906)); #588965=CARTESIAN_POINT('Origin',(-4.45000061538181,-4.500000005662,1.3990509982385)); #588966=CARTESIAN_POINT('',(-4.45000061538181,-4.800000005662,1.3990509982385)); #588967=CARTESIAN_POINT('Origin',(-5.200000197019,-4.800000005662,1.474050998329)); #588968=CARTESIAN_POINT('',(-1.600000192668,-4.800000005662,1.2990509981175)); #588969=CARTESIAN_POINT('Origin',(4.4500000670183,4.50000000606,1.39905099823851)); #588970=CARTESIAN_POINT('Origin',(5.199999815549,4.80000000606,1.474050998329)); #588971=CARTESIAN_POINT('Origin',(4.44999972652547,-4.500000005662,1.39905099823851)); #588972=CARTESIAN_POINT('',(4.44999972652547,-4.800000005662,1.39905099823851)); #588973=CARTESIAN_POINT('Origin',(4.74999972652546,-2.550000002883,1.324050998148)); #588974=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,1.324050998148)); #588975=CARTESIAN_POINT('Origin',(1.599999811199,4.85000000606,1.124050997906)); #588976=CARTESIAN_POINT('',(1.599999811199,4.550000005758,1.124050997906)); #588977=CARTESIAN_POINT('Origin',(5.199999815549,-4.800000005662,1.474050998329)); #588978=CARTESIAN_POINT('',(1.599999811199,-4.800000005662,1.2990509981175)); #588979=CARTESIAN_POINT('Origin',(1.599999811199,-4.60000000536,1.124050997906)); #588980=CARTESIAN_POINT('',(1.599999811199,-4.55000000535998,1.124050997906)); #588981=CARTESIAN_POINT('Origin',(-1.600000192668,-4.850000005662,1.124050997906)); #588982=CARTESIAN_POINT('',(-1.600000192668,-4.55000000536,1.124050997906)); #588983=CARTESIAN_POINT('Origin',(-1.600000192668,4.600000005758,1.124050997906)); #588984=CARTESIAN_POINT('',(-1.600000192668,4.55000000575798,1.124050997906)); #588985=CARTESIAN_POINT('Origin',(1.599999811199,4.550000005758,1.124050997906)); #588986=CARTESIAN_POINT('',(-1.500000192548,4.55000000575798,1.124050997906)); #588987=CARTESIAN_POINT('Origin',(-1.500000192548,5.000000006302,1.124050997906)); #588988=CARTESIAN_POINT('',(-1.500000192548,4.650000005879,1.124050997906)); #588989=CARTESIAN_POINT('Origin',(-1.500000192548,5.000000006302,1.124050997906)); #588990=CARTESIAN_POINT('',(-1.500000192548,4.80000000606,0.374326052827459)); #588991=CARTESIAN_POINT('',(-1.500000192548,5.000000006302,0.374326052827459)); #588992=CARTESIAN_POINT('',(-1.500000192548,4.9500000062415,0.374326052827459)); #588993=CARTESIAN_POINT('',(-1.500000192548,4.80000000606,0.974050997724999)); #588994=CARTESIAN_POINT('',(-1.500000192548,4.80000000606,1.124050997906)); #588995=CARTESIAN_POINT('',(-1.500000192548,5.000000006302,0.974050997724999)); #588996=CARTESIAN_POINT('',(-1.500000192548,4.80000000606,0.974050997724999)); #588997=CARTESIAN_POINT('',(-1.500000192548,5.000000006302,1.124050997906)); #588998=CARTESIAN_POINT('Origin',(1.499999811078,5.000000006302,-1.22594900493345)); #588999=CARTESIAN_POINT('',(1.499999811078,5.00000000630199,0.374326052827459)); #589000=CARTESIAN_POINT('',(1.499999811078,4.80000000605999,0.374326052827459)); #589001=CARTESIAN_POINT('',(1.499999811078,4.95000000624151,0.374326052827459)); #589002=CARTESIAN_POINT('',(1.499999811078,5.000000006302,0.974050997724999)); #589003=CARTESIAN_POINT('',(1.499999811078,5.000000006302,-1.22594900493345)); #589004=CARTESIAN_POINT('',(1.499999811078,4.80000000606,0.974050997724999)); #589005=CARTESIAN_POINT('',(1.499999811078,4.80000000606,0.974050997724999)); #589006=CARTESIAN_POINT('',(1.499999811078,4.80000000606,-1.22594900493345)); #589007=CARTESIAN_POINT('Origin',(1.499999811078,4.650000005879,0.974050997724999)); #589008=CARTESIAN_POINT('Origin',(1.499999811078,4.650000005879,0.974050997724999)); #589009=CARTESIAN_POINT('Origin',(-1.500000192548,4.650000005879,0.974050997724999)); #589010=CARTESIAN_POINT('',(-1.500000192548,4.80000000606,0.974050997724999)); #589011=CARTESIAN_POINT('Origin',(1.499999811078,4.650000005879,0.974050997724999)); #589012=CARTESIAN_POINT('Origin',(1.499999811078,4.650000005879,0.974050997724999)); #589013=CARTESIAN_POINT('',(-1.907348826657E-7,5.000000006302,0.974050997724999)); #589014=CARTESIAN_POINT('Origin',(-1.500000192548,4.650000005879,0.974050997724999)); #589015=CARTESIAN_POINT('Origin',(1.499999811078,5.000000006302,-1.22594900493345)); #589016=CARTESIAN_POINT('',(1.499999811078,4.650000005879,1.124050997906)); #589017=CARTESIAN_POINT('Origin',(-1.500000192548,5.000000006302,1.124050997906)); #589018=CARTESIAN_POINT('Origin',(1.499999811078,5.000000006302,-1.22594900493345)); #589019=CARTESIAN_POINT('',(1.499999811078,4.550000005758,-0.0509490035137265)); #589020=CARTESIAN_POINT('Origin',(-1.907348818886E-7,4.80000000606,1.324050998148)); #589021=CARTESIAN_POINT('',(-1.200000192548,4.80000000606,0.074326052827459)); #589022=CARTESIAN_POINT('Origin',(-1.200000192548,4.80000000606,0.374326052827459)); #589023=CARTESIAN_POINT('',(1.199999811078,4.80000000606001,0.074326052827459)); #589024=CARTESIAN_POINT('',(-1.90734940500329E-7,4.80000000606,0.074326052827459)); #589025=CARTESIAN_POINT('Origin',(1.199999811078,4.80000000606,0.374326052827459)); #589026=CARTESIAN_POINT('Origin',(1.199999811078,4.95000000624151,0.374326052827459)); #589027=CARTESIAN_POINT('',(1.199999811078,5.00000000630201,0.074326052827459)); #589028=CARTESIAN_POINT('Origin',(1.199999811078,5.000000006302,0.374326052827459)); #589029=CARTESIAN_POINT('',(1.199999811078,4.95000000624151,0.074326052827459)); #589030=CARTESIAN_POINT('Origin',(-1.907348826657E-7,5.000000006302,1.324050998148)); #589031=CARTESIAN_POINT('',(-1.200000192548,5.000000006302,0.074326052827459)); #589032=CARTESIAN_POINT('Origin',(-1.200000192548,5.000000006302,0.374326052827459)); #589033=CARTESIAN_POINT('',(-1.90734941238027E-7,5.000000006302,0.074326052827459)); #589034=CARTESIAN_POINT('Origin',(-1.90734999461206E-7,4.90000000618101, 0.074326052827459)); #589035=CARTESIAN_POINT('',(-1.200000192548,4.9500000062415,0.074326052827459)); #589036=CARTESIAN_POINT('Origin',(-1.200000192548,4.9500000062415,0.374326052827459)); #589037=CARTESIAN_POINT('Origin',(-1.600000192668,-4.55000000536,1.124050997906)); #589038=CARTESIAN_POINT('',(1.499999811078,-4.55000000535998,-0.0509490035137267)); #589039=CARTESIAN_POINT('Origin',(-1.500000192548,-5.000000005904,1.124050997906)); #589040=CARTESIAN_POINT('',(-1.500000192548,-4.55000000536,1.124050997906)); #589041=CARTESIAN_POINT('',(-1.500000192548,-4.650000005481,1.124050997906)); #589042=CARTESIAN_POINT('Origin',(1.499999811078,-5.000000005904,-1.22594900493345)); #589043=CARTESIAN_POINT('',(1.499999811078,-4.80000000566199,0.374326052827461)); #589044=CARTESIAN_POINT('',(1.499999811078,-5.000000005904,0.374326052827461)); #589045=CARTESIAN_POINT('',(1.499999811078,-4.9500000058435,0.374326052827461)); #589046=CARTESIAN_POINT('',(1.499999811078,-4.800000005662,0.974050997724999)); #589047=CARTESIAN_POINT('',(1.499999811078,-4.800000005662,-1.22594900493345)); #589048=CARTESIAN_POINT('',(1.499999811078,-5.000000005904,0.974050997724999)); #589049=CARTESIAN_POINT('',(1.499999811078,-4.800000005662,0.974050997724999)); #589050=CARTESIAN_POINT('',(1.499999811078,-5.000000005904,-1.22594900493345)); #589051=CARTESIAN_POINT('Origin',(-1.500000192548,-5.000000005904,1.124050997906)); #589052=CARTESIAN_POINT('',(-1.500000192548,-5.000000005904,0.374326052827461)); #589053=CARTESIAN_POINT('',(-1.500000192548,-4.800000005662,0.374326052827461)); #589054=CARTESIAN_POINT('',(-1.500000192548,-4.9500000058435,0.374326052827461)); #589055=CARTESIAN_POINT('',(-1.500000192548,-5.000000005904,0.974050997724999)); #589056=CARTESIAN_POINT('',(-1.500000192548,-5.000000005904,1.124050997906)); #589057=CARTESIAN_POINT('',(-1.500000192548,-4.800000005662,0.974050997724999)); #589058=CARTESIAN_POINT('',(-1.500000192548,-4.800000005662,0.974050997724999)); #589059=CARTESIAN_POINT('',(-1.500000192548,-4.800000005662,1.124050997906)); #589060=CARTESIAN_POINT('Origin',(-1.500000192548,-4.650000005481,0.974050997724999)); #589061=CARTESIAN_POINT('Origin',(-1.500000192548,-4.650000005481,0.974050997724999)); #589062=CARTESIAN_POINT('Origin',(1.499999811078,-4.650000005481,0.974050997724999)); #589063=CARTESIAN_POINT('',(1.499999811078,-4.800000005662,0.974050997724999)); #589064=CARTESIAN_POINT('Origin',(-1.500000192548,-4.650000005481,0.974050997724999)); #589065=CARTESIAN_POINT('Origin',(-1.500000192548,-4.650000005481,0.974050997724999)); #589066=CARTESIAN_POINT('',(-1.907348473607E-7,-5.000000005904,0.974050997724999)); #589067=CARTESIAN_POINT('Origin',(1.499999811078,-4.650000005481,0.974050997724999)); #589068=CARTESIAN_POINT('Origin',(-1.500000192548,-5.000000005904,1.124050997906)); #589069=CARTESIAN_POINT('Origin',(1.499999811078,-5.000000005904,-1.22594900493345)); #589070=CARTESIAN_POINT('',(1.499999811078,-4.650000005481,1.124050997906)); #589071=CARTESIAN_POINT('Origin',(1.499999811078,-5.000000005904,-1.22594900493345)); #589072=CARTESIAN_POINT('Origin',(-1.907348481378E-7,-4.800000005662,1.324050998148)); #589073=CARTESIAN_POINT('',(1.199999811078,-4.800000005662,0.0743260528274607)); #589074=CARTESIAN_POINT('Origin',(1.199999811078,-4.800000005662,0.374326052827461)); #589075=CARTESIAN_POINT('',(-1.200000192548,-4.80000000566201,0.0743260528274607)); #589076=CARTESIAN_POINT('',(-1.90734924529189E-7,-4.800000005662,0.0743260528274607)); #589077=CARTESIAN_POINT('Origin',(-1.200000192548,-4.800000005662,0.374326052827461)); #589078=CARTESIAN_POINT('Origin',(-1.200000192548,-4.9500000058435,0.374326052827461)); #589079=CARTESIAN_POINT('',(-1.200000192548,-5.00000000590401,0.0743260528274607)); #589080=CARTESIAN_POINT('Origin',(-1.200000192548,-5.000000005904,0.374326052827461)); #589081=CARTESIAN_POINT('',(-1.200000192548,-4.9500000058435,0.074326052827461)); #589082=CARTESIAN_POINT('Origin',(-1.907348473607E-7,-5.000000005904,1.324050998148)); #589083=CARTESIAN_POINT('',(1.199999811078,-5.000000005904,0.0743260528274607)); #589084=CARTESIAN_POINT('Origin',(1.199999811078,-5.000000005904,0.374326052827461)); #589085=CARTESIAN_POINT('',(-1.90734923791491E-7,-5.000000005904,0.0743260528274607)); #589086=CARTESIAN_POINT('Origin',(-1.90735000571429E-7,-4.900000005783, 0.074326052827461)); #589087=CARTESIAN_POINT('',(1.199999811078,-4.9500000058435,0.074326052827461)); #589088=CARTESIAN_POINT('Origin',(1.199999811078,-4.9500000058435,0.374326052827461)); #589089=CARTESIAN_POINT('Origin',(-1.600000192668,-4.55000000536,1.124050997906)); #589090=CARTESIAN_POINT('Origin',(1.599999811199,4.550000005758,1.124050997906)); #589091=CARTESIAN_POINT('Origin',(4.999999815308,-2.550000002883,1.124050997906)); #589092=CARTESIAN_POINT('',(3.649999813676,-2.550000002883,1.124050997906)); #589093=CARTESIAN_POINT('Origin',(-4.75000061538182,-2.650000003003,1.324050998148)); #589094=CARTESIAN_POINT('Origin',(-5.000000196777,2.550000003281,1.124050997906)); #589095=CARTESIAN_POINT('',(-3.650000195146,2.550000003281,1.324050998148)); #589096=CARTESIAN_POINT('Origin',(-3.650000194783,-1.80000000191599,1.324050998148)); #589097=CARTESIAN_POINT('',(-3.650000194783,-1.50000000191599,1.324050998148)); #589098=CARTESIAN_POINT('',(-3.350000194783,-1.80000000191599,1.324050998148)); #589099=CARTESIAN_POINT('Origin',(-5.150000196959,-1.500000001916,1.324050998148)); #589100=CARTESIAN_POINT('',(-5.3500001972,-1.500000001916,0.974050997724999)); #589101=CARTESIAN_POINT('Origin',(-5.00000019677699,-1.500000001916,0.974050997725)); #589102=CARTESIAN_POINT('',(-5.3500001972,-1.500000001916,0.374326052827459)); #589103=CARTESIAN_POINT('',(-5.3500001972,-1.500000001916,1.324050998148)); #589104=CARTESIAN_POINT('',(-5.150000196959,-1.500000001916,0.374326052827459)); #589105=CARTESIAN_POINT('',(-7.57500019988949,-1.50000000191601,0.374326052827459)); #589106=CARTESIAN_POINT('',(-5.150000196959,-1.500000001916,0.974050997724999)); #589107=CARTESIAN_POINT('',(-5.150000196959,-1.500000001916,1.324050998148)); #589108=CARTESIAN_POINT('Origin',(-5.00000019677699,-1.500000001916,0.974050997725)); #589109=CARTESIAN_POINT('Origin',(-7.57500019988949,-1.20000000191601,0.374326052827459)); #589110=CARTESIAN_POINT('',(-5.3500001972,-1.200000001916,0.0743260528274593)); #589111=CARTESIAN_POINT('Origin',(-5.35000019719999,-1.200000001916,0.374326052827459)); #589112=CARTESIAN_POINT('',(-5.150000196959,-1.200000001916,0.0743260528274593)); #589113=CARTESIAN_POINT('',(-7.57500019988949,-1.20000000191601,0.0743260528274593)); #589114=CARTESIAN_POINT('Origin',(-5.150000196959,-1.200000001916,0.374326052827459)); #589115=CARTESIAN_POINT('Origin',(-10.00000020282,2.550000003281,0.0743260528274585)); #589116=CARTESIAN_POINT('',(-5.3500001972,-0.500000000405,0.0743260528274595)); #589117=CARTESIAN_POINT('',(-5.35000019720001,1.27500000174001,0.0743260528274588)); #589118=CARTESIAN_POINT('',(-5.150000196959,-0.500000000405,0.0743260528274595)); #589119=CARTESIAN_POINT('',(-10.00000020282,-0.500000000405,0.0743260528274592)); #589120=CARTESIAN_POINT('',(-5.15000019695901,1.27500000174001,0.0743260528274588)); #589121=CARTESIAN_POINT('Origin',(-5.3500001972,1.99000260714399E-10,1.324050998148)); #589122=CARTESIAN_POINT('',(-5.3500001972,1.500000002314,0.974050997724999)); #589123=CARTESIAN_POINT('',(-5.3500001972,-2.550000002883,0.974050997724999)); #589124=CARTESIAN_POINT('',(-5.35000019720001,1.500000002314,0.374326052827458)); #589125=CARTESIAN_POINT('',(-5.35000019720002,1.500000002314,-3.6759490078945)); #589126=CARTESIAN_POINT('',(-5.35000019720001,1.200000002314,0.0743260528274588)); #589127=CARTESIAN_POINT('Origin',(-5.35000019720001,1.200000002314,0.374326052827458)); #589128=CARTESIAN_POINT('',(-5.3500001972,0.500000000803,0.0743260528274595)); #589129=CARTESIAN_POINT('',(-5.35000019720001,1.27500000174001,0.0743260528274588)); #589130=CARTESIAN_POINT('',(-5.35000019720001,1.27500000174001,0.0743260528274588)); #589131=CARTESIAN_POINT('Origin',(-3.650000194783,1.80000000231401,-3.6759490078945)); #589132=CARTESIAN_POINT('',(-3.650000194783,1.500000002314,-3.6759490078945)); #589133=CARTESIAN_POINT('',(-3.350000194783,1.80000000231401,-3.6759490078945)); #589134=CARTESIAN_POINT('Origin',(-5.3500001972,1.500000002314,-8.675949013937)); #589135=CARTESIAN_POINT('',(-5.150000196959,1.500000002314,0.974050997724999)); #589136=CARTESIAN_POINT('Origin',(-5.000000196777,1.500000002314,0.974050997724999)); #589137=CARTESIAN_POINT('',(-5.15000019695901,1.500000002314,0.374326052827458)); #589138=CARTESIAN_POINT('',(-5.15000019695902,1.500000002314,-3.6759490078945)); #589139=CARTESIAN_POINT('',(-7.67500020001,1.50000000231399,0.374326052827459)); #589140=CARTESIAN_POINT('Origin',(-5.000000196777,1.500000002314,0.974050997724999)); #589141=CARTESIAN_POINT('Origin',(-7.67500020001,1.20000000231399,0.374326052827458)); #589142=CARTESIAN_POINT('',(-5.15000019695901,1.200000002314,0.0743260528274588)); #589143=CARTESIAN_POINT('Origin',(-5.15000019695901,1.200000002314,0.374326052827458)); #589144=CARTESIAN_POINT('',(-7.67500020001,1.20000000231399,0.0743260528274588)); #589145=CARTESIAN_POINT('Origin',(-5.150000196959,1.99000260714399E-10, 1.324050998148)); #589146=CARTESIAN_POINT('',(-5.150000196959,0.500000000803,0.0743260528274595)); #589147=CARTESIAN_POINT('',(-5.15000019695901,1.27500000174001,0.0743260528274588)); #589148=CARTESIAN_POINT('',(-5.15000019695901,1.27500000174001,0.0743260528274588)); #589149=CARTESIAN_POINT('',(-5.150000196959,-2.550000002883,0.974050997724999)); #589150=CARTESIAN_POINT('Origin',(-2.4250001936655,-1.20000000191603,0.374326052827459)); #589151=CARTESIAN_POINT('',(5.14999981548901,-1.500000001916,0.374326052827459)); #589152=CARTESIAN_POINT('',(5.14999981548901,-1.200000001916,0.0743260528274596)); #589153=CARTESIAN_POINT('Origin',(5.14999981548901,-1.200000001916,0.374326052827459)); #589154=CARTESIAN_POINT('',(5.34999981573001,-1.200000001916,0.0743260528274596)); #589155=CARTESIAN_POINT('',(-2.4250001936655,-1.20000000191603,0.0743260528274596)); #589156=CARTESIAN_POINT('',(5.34999981573001,-1.500000001916,0.374326052827459)); #589157=CARTESIAN_POINT('Origin',(5.34999981573001,-1.200000001916,0.374326052827459)); #589158=CARTESIAN_POINT('',(-2.4250001936655,-1.50000000191603,0.374326052827459)); #589159=CARTESIAN_POINT('Origin',(5.149999815489,1.99000260714399E-10,1.324050998148)); #589160=CARTESIAN_POINT('',(5.149999815489,-1.500000001916,0.974050997724999)); #589161=CARTESIAN_POINT('',(5.14999981548902,-1.500000001916,-3.6759490078945)); #589162=CARTESIAN_POINT('',(5.149999815489,1.500000002314,0.974050997724999)); #589163=CARTESIAN_POINT('',(5.149999815489,2.550000003281,0.974050997724999)); #589164=CARTESIAN_POINT('',(5.149999815489,1.500000002314,0.374326052827459)); #589165=CARTESIAN_POINT('',(5.14999981548899,1.500000002314,1.324050998148)); #589166=CARTESIAN_POINT('',(5.149999815489,1.200000002314,0.0743260528274596)); #589167=CARTESIAN_POINT('Origin',(5.14999981548899,1.200000002314,0.37432605282746)); #589168=CARTESIAN_POINT('',(5.149999815489,0.500000000803,0.0743260528274595)); #589169=CARTESIAN_POINT('',(5.14999981548901,-1.27500000134197,0.0743260528274596)); #589170=CARTESIAN_POINT('',(5.149999815489,-0.500000000405,0.0743260528274595)); #589171=CARTESIAN_POINT('',(5.14999981548901,-1.27500000134197,0.0743260528274596)); #589172=CARTESIAN_POINT('',(5.14999981548901,-1.27500000134197,0.0743260528274596)); #589173=CARTESIAN_POINT('Origin',(5.149999815489,-1.500000001916,-8.675949013937)); #589174=CARTESIAN_POINT('',(5.34999981573,-1.500000001916,0.974050997724999)); #589175=CARTESIAN_POINT('',(5.34999981573002,-1.500000001916,-3.6759490078945)); #589176=CARTESIAN_POINT('',(4.999999815308,-1.500000001916,1.324050998148)); #589177=CARTESIAN_POINT('Origin',(4.999999815308,-1.500000001916,0.974050997724999)); #589178=CARTESIAN_POINT('',(2.57499981237707,-1.50000000191601,1.324050998148)); #589179=CARTESIAN_POINT('',(3.649999813314,-1.50000000191601,-3.7759490080155)); #589180=CARTESIAN_POINT('Origin',(4.999999815308,-1.500000001916,0.974050997724999)); #589181=CARTESIAN_POINT('Origin',(3.649999813314,-1.80000000191601,-3.7759490080155)); #589182=CARTESIAN_POINT('',(3.349999813314,-1.80000000191601,-3.7759490080155)); #589183=CARTESIAN_POINT('Origin',(-2.32500019354501,1.20000000231396,0.37432605282746)); #589184=CARTESIAN_POINT('',(5.34999981573,1.500000002314,0.374326052827459)); #589185=CARTESIAN_POINT('',(5.34999981573,1.200000002314,0.0743260528274596)); #589186=CARTESIAN_POINT('Origin',(5.34999981573,1.200000002314,0.37432605282746)); #589187=CARTESIAN_POINT('',(-2.32500019354501,1.20000000231396,0.0743260528274596)); #589188=CARTESIAN_POINT('',(-2.32500019354501,1.50000000231396,0.37432605282746)); #589189=CARTESIAN_POINT('Origin',(5.34999981573,1.99000260714399E-10,1.324050998148)); #589190=CARTESIAN_POINT('',(5.34999981573,-0.500000000405,0.0743260528274595)); #589191=CARTESIAN_POINT('',(5.34999981573001,-1.27500000134197,0.0743260528274596)); #589192=CARTESIAN_POINT('',(5.34999981573,0.500000000803,0.0743260528274595)); #589193=CARTESIAN_POINT('',(5.34999981573001,-1.27500000134197,0.0743260528274596)); #589194=CARTESIAN_POINT('',(5.34999981573001,-1.27500000134197,0.0743260528274596)); #589195=CARTESIAN_POINT('',(5.34999981573,1.500000002314,0.974050997724999)); #589196=CARTESIAN_POINT('',(5.34999981573,1.500000002314,1.324050998148)); #589197=CARTESIAN_POINT('',(5.34999981573,2.550000003281,0.974050997724999)); #589198=CARTESIAN_POINT('Origin',(5.34999981573,1.500000002314,1.324050998148)); #589199=CARTESIAN_POINT('Origin',(4.99999981530799,1.500000002314,0.974050997724998)); #589200=CARTESIAN_POINT('',(3.649999813314,1.50000000231399,1.224050998027)); #589201=CARTESIAN_POINT('',(4.999999815308,1.500000002314,1.324050998148)); #589202=CARTESIAN_POINT('',(2.67499981249757,1.50000000231399,1.324050998148)); #589203=CARTESIAN_POINT('Origin',(4.99999981530799,1.500000002314,0.974050997724998)); #589204=CARTESIAN_POINT('Origin',(3.649999813314,1.80000000231399,1.224050998027)); #589205=CARTESIAN_POINT('',(3.349999813314,1.80000000231399,1.224050998027)); #589206=CARTESIAN_POINT('Origin',(4.999999815308,-2.550000002883,0.974050997724999)); #589207=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 1.324050998148)); #589208=CARTESIAN_POINT('',(4.999999815308,-2.550000002883,1.324050998148)); #589209=CARTESIAN_POINT('Origin',(4.999999815308,-2.550000002883,0.974050997724999)); #589210=CARTESIAN_POINT('Origin',(-10.00000020282,-2.550000002883,0.0743260528274596)); #589211=CARTESIAN_POINT('',(-10.00000020282,-0.500000000405,0.0743260528274596)); #589212=CARTESIAN_POINT('Origin',(3.349999813314,-2.25000000252,1.124050997906)); #589213=CARTESIAN_POINT('',(3.349999813314,-2.25000000252,1.124050997906)); #589214=CARTESIAN_POINT('Origin',(3.349999813314,2.250000002918,1.124050997906)); #589215=CARTESIAN_POINT('',(3.349999813314,2.250000002918,1.124050997906)); #589216=CARTESIAN_POINT('Origin',(-5.000000196777,2.550000003281,0.974050997724999)); #589217=CARTESIAN_POINT('Origin',(-10.00000020282,2.550000003281,0.0743260528274585)); #589218=CARTESIAN_POINT('',(-10.00000020282,0.500000000803,0.074326052827459)); #589219=CARTESIAN_POINT('Origin',(-5.000000196777,2.550000003281,0.974050997724999)); #589220=CARTESIAN_POINT('Origin',(-3.350000194783,-2.25000000252,1.324050998148)); #589221=CARTESIAN_POINT('',(-3.350000194783,-2.25000000252,1.324050998148)); #589222=CARTESIAN_POINT('Origin',(-3.350000194783,2.250000002918,1.324050998148)); #589223=CARTESIAN_POINT('',(-3.350000194783,2.250000002918,1.324050998148)); #589224=CARTESIAN_POINT('Origin',(-10.00000020282,-2.550000002883,0.0743260528274596)); #589225=CARTESIAN_POINT('',(-10.00000020282,0.500000000803,0.0743260528274596)); #589226=CARTESIAN_POINT('Origin',(4.999999815308,2.550000003281,1.124050997906)); #589227=CARTESIAN_POINT('',(3.649999813676,2.550000003281,1.124050997906)); #589228=CARTESIAN_POINT('Origin',(-5.000000196777,-2.550000002883,1.124050997906)); #589229=CARTESIAN_POINT('',(-3.650000195146,-2.550000002883,1.324050998148)); #589230=CARTESIAN_POINT('Ctrl Pts',(-3.389333528164,2.398502154223,1.324050998148)); #589231=CARTESIAN_POINT('Ctrl Pts',(-3.389333528164,2.398502154223,1.0840509978576)); #589232=CARTESIAN_POINT('Ctrl Pts',(-3.412805323982,2.443467781207,1.324050998148)); #589233=CARTESIAN_POINT('Ctrl Pts',(-3.412805323982,2.443467781207,1.0840509978576)); #589234=CARTESIAN_POINT('Ctrl Pts',(-3.490830969689,2.51979376482,1.324050998148)); #589235=CARTESIAN_POINT('Ctrl Pts',(-3.490830969689,2.51979376482,1.0840509978576)); #589236=CARTESIAN_POINT('Ctrl Pts',(-3.59534338164,2.549695427885,1.324050998148)); #589237=CARTESIAN_POINT('Ctrl Pts',(-3.59534338164,2.549695427885,1.0840509978576)); #589238=CARTESIAN_POINT('Ctrl Pts',(-3.648273641696,2.549990382052,1.324050998148)); #589239=CARTESIAN_POINT('Ctrl Pts',(-3.648273641696,2.549990382052,1.0840509978576)); #589240=CARTESIAN_POINT('Ctrl Pts',(-3.650000195146,2.550000003281,1.324050998148)); #589241=CARTESIAN_POINT('Ctrl Pts',(-3.650000195146,2.550000003281,1.0840509978576)); #589242=CARTESIAN_POINT('',(-3.389333528164,2.398502154223,1.324050998148)); #589243=CARTESIAN_POINT('Origin',(-3.650000195146,2.250000002918,1.324050998148)); #589244=CARTESIAN_POINT('Ctrl Pts',(-3.501498043841,-2.510666669502,1.324050998148)); #589245=CARTESIAN_POINT('Ctrl Pts',(-3.501498043841,-2.510666669502,1.0840509978576)); #589246=CARTESIAN_POINT('Ctrl Pts',(-3.456532416857,-2.487194873684,1.324050998148)); #589247=CARTESIAN_POINT('Ctrl Pts',(-3.456532416857,-2.487194873684,1.0840509978576)); #589248=CARTESIAN_POINT('Ctrl Pts',(-3.380206433243,-2.409169227977,1.324050998148)); #589249=CARTESIAN_POINT('Ctrl Pts',(-3.380206433243,-2.409169227977,1.0840509978576)); #589250=CARTESIAN_POINT('Ctrl Pts',(-3.350304770179,-2.304656816026,1.324050998148)); #589251=CARTESIAN_POINT('Ctrl Pts',(-3.350304770179,-2.304656816026,1.0840509978576)); #589252=CARTESIAN_POINT('Ctrl Pts',(-3.350009816012,-2.25172655597,1.324050998148)); #589253=CARTESIAN_POINT('Ctrl Pts',(-3.350009816012,-2.25172655597,1.0840509978576)); #589254=CARTESIAN_POINT('Ctrl Pts',(-3.350000194783,-2.25000000252,1.324050998148)); #589255=CARTESIAN_POINT('Ctrl Pts',(-3.350000194783,-2.25000000252,1.0840509978576)); #589256=CARTESIAN_POINT('',(-3.501498043841,-2.510666669502,1.324050998148)); #589257=CARTESIAN_POINT('Origin',(-3.650000195146,-2.25000000252,1.324050998148)); #589258=CARTESIAN_POINT('Origin',(3.649999813676,2.250000002918,1.124050997906)); #589259=CARTESIAN_POINT('Origin',(3.649999813676,-2.25000000252,1.124050997906)); #589260=CARTESIAN_POINT('Origin',(-4.760000196487,0.200000000441,-3.199949008801)); #589261=CARTESIAN_POINT('',(-4.960000196729,0.500000000803,-3.199949008801)); #589262=CARTESIAN_POINT('',(-4.960000196729,0.200000000441,-3.499949009163)); #589263=CARTESIAN_POINT('Origin',(-4.960000196729,0.200000000441,-3.199949008801)); #589264=CARTESIAN_POINT('',(-4.760000196487,0.500000000803,-3.199949008801)); #589265=CARTESIAN_POINT('',(-10.00000020282,0.500000000803,-3.199949008801)); #589266=CARTESIAN_POINT('',(-4.760000196487,0.200000000441,-3.499949009163)); #589267=CARTESIAN_POINT('Origin',(-4.760000196487,0.200000000441,-3.199949008801)); #589268=CARTESIAN_POINT('',(-4.760000196487,0.200000000441,-3.499949009163)); #589269=CARTESIAN_POINT('Origin',(-10.00000020282,-0.200000000043,-3.199949008801)); #589270=CARTESIAN_POINT('',(-4.960000196729,-0.200000000043,-3.499949009163)); #589271=CARTESIAN_POINT('',(-4.960000196729,-0.500000000405,-3.199949008801)); #589272=CARTESIAN_POINT('Origin',(-4.960000196729,-0.200000000043,-3.199949008801)); #589273=CARTESIAN_POINT('',(-4.760000196487,-0.200000000043,-3.499949009163)); #589274=CARTESIAN_POINT('',(-4.760000196487,-0.200000000043,-3.499949009163)); #589275=CARTESIAN_POINT('',(-4.760000196487,-0.500000000405,-3.199949008801)); #589276=CARTESIAN_POINT('Origin',(-4.760000196487,-0.200000000043,-3.199949008801)); #589277=CARTESIAN_POINT('',(-10.00000020282,-0.500000000405,-3.199949008801)); #589278=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #589279=CARTESIAN_POINT('',(-4.917905894269,0.500000000803,-1.896273549211)); #589280=CARTESIAN_POINT('',(-5.027674067207,0.500000000803,-2.063458920301)); #589281=CARTESIAN_POINT('',(-4.917905894269,0.500000000803,-1.896273549211)); #589282=CARTESIAN_POINT('',(-4.760000196487,0.500000000803,-2.188847948619)); #589283=CARTESIAN_POINT('Origin',(-5.11000019691,0.500000000803,-2.188847948619)); #589284=CARTESIAN_POINT('',(-4.960000196729,0.500000000803,-2.188847948619)); #589285=CARTESIAN_POINT('',(-4.960000196729,0.500000000803,-2.188847948619)); #589286=CARTESIAN_POINT('Origin',(-5.11000019691,0.500000000803,-2.188847948619)); #589287=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #589288=CARTESIAN_POINT('',(-5.027674067207,-0.500000000405,-2.063458920301)); #589289=CARTESIAN_POINT('',(-4.917905894269,-0.500000000405,-1.896273549211)); #589290=CARTESIAN_POINT('',(-5.027674067207,-0.500000000405,-2.063458920301)); #589291=CARTESIAN_POINT('',(-4.960000196729,-0.500000000405,-2.188847948619)); #589292=CARTESIAN_POINT('Origin',(-5.11000019691,-0.500000000405,-2.188847948619)); #589293=CARTESIAN_POINT('',(-4.760000196487,-0.500000000405,-2.188847948619)); #589294=CARTESIAN_POINT('',(-4.760000196487,-0.500000000405,-2.188847948619)); #589295=CARTESIAN_POINT('Origin',(-5.11000019691,-0.500000000405,-2.188847948619)); #589296=CARTESIAN_POINT('Origin',(-5.500000197382,28.050000034091,0.0743260528274595)); #589297=CARTESIAN_POINT('',(-5.180166154977,0.500000000803,-0.0678224104616007)); #589298=CARTESIAN_POINT('',(-5.180166154977,-0.500000000405,-0.0678224104616007)); #589299=CARTESIAN_POINT('',(-5.180166154977,2.550000003267,-0.0678224104616007)); #589300=CARTESIAN_POINT('Origin',(-5.500000197381,0.500000000803,0.0743260528274595)); #589301=CARTESIAN_POINT('Origin',(-5.500000197381,-0.500000000405,0.0743260528274595)); #589302=CARTESIAN_POINT('Origin',(-5.500000197382,28.050000034091,0.0743260528274595)); #589303=CARTESIAN_POINT('',(-5.362928464923,0.500000000803,0.0134052828464296)); #589304=CARTESIAN_POINT('Origin',(-5.500000197381,0.500000000803,0.0743260528274595)); #589305=CARTESIAN_POINT('',(-5.362928464923,-0.500000000405,0.0134052828464296)); #589306=CARTESIAN_POINT('',(-5.362928464923,2.550000003281,0.0134052828464296)); #589307=CARTESIAN_POINT('Origin',(-5.500000197381,-0.500000000405,0.0743260528274595)); #589308=CARTESIAN_POINT('Origin',(-4.760000196487,2.550000003281,-1.99994900735)); #589309=CARTESIAN_POINT('',(-4.760000196487,-0.500000000405,-1.99994900735)); #589310=CARTESIAN_POINT('',(-4.760000196487,2.550000003281,-3.499949009163)); #589311=CARTESIAN_POINT('',(-4.760000196487,0.500000000803,-1.99994900735)); #589312=CARTESIAN_POINT('',(-4.760000196487,2.550000003281,-2.188847948619)); #589313=CARTESIAN_POINT('Origin',(-5.11000019691,2.550000003281,-2.188847948619)); #589314=CARTESIAN_POINT('',(-4.917905894269,2.550000003281,-1.896273549211)); #589315=CARTESIAN_POINT('Origin',(-5.750000197684,2.550000003281,-1.349949006565)); #589316=CARTESIAN_POINT('',(-5.643521472939,0.500000000803,-1.419859280387)); #589317=CARTESIAN_POINT('',(-5.750000197684,0.500000000803,-1.349949006565)); #589318=CARTESIAN_POINT('',(-5.643521472939,-0.500000000405,-1.419859280387)); #589319=CARTESIAN_POINT('',(-5.643521472939,2.550000003281,-1.419859280387)); #589320=CARTESIAN_POINT('',(-5.750000197684,-0.500000000405,-1.349949006565)); #589321=CARTESIAN_POINT('Origin',(-5.561195343235,2.550000003281,-1.29447025207)); #589322=CARTESIAN_POINT('',(-5.698267075694,0.500000000803,-1.233549482088)); #589323=CARTESIAN_POINT('Origin',(-5.561195343235,0.500000000803,-1.29447025207)); #589324=CARTESIAN_POINT('',(-5.698267075694,-0.500000000405,-1.233549482088)); #589325=CARTESIAN_POINT('',(-5.698267075694,2.550000003281,-1.233549482088)); #589326=CARTESIAN_POINT('Origin',(-5.561195343235,-0.500000000405,-1.29447025207)); #589327=CARTESIAN_POINT('Origin',(-5.698267075694,2.550000003281,-1.233549482088)); #589328=CARTESIAN_POINT('',(-5.698267075694,0.500000000803,-1.233549482088)); #589329=CARTESIAN_POINT('',(-5.698267075694,-0.500000000405,-1.233549482088)); #589330=CARTESIAN_POINT('Origin',(-4.760000196487,2.550000003281,-3.499949009163)); #589331=CARTESIAN_POINT('',(-4.960000196729,2.550000003281,-3.499949009163)); #589332=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #589333=CARTESIAN_POINT('',(-4.960000196729,-0.500000000405,-1.99994900735)); #589334=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #589335=CARTESIAN_POINT('',(-4.960000196729,0.500000000803,-1.99994900735)); #589336=CARTESIAN_POINT('Origin',(-4.960000196729,2.550000003281,-1.99994900735)); #589337=CARTESIAN_POINT('',(-4.960000196729,2.550000003281,-2.188847948619)); #589338=CARTESIAN_POINT('Origin',(-5.11000019691,2.550000003281,-2.188847948619)); #589339=CARTESIAN_POINT('',(-5.027674067207,2.550000003281,-2.063458920301)); #589340=CARTESIAN_POINT('Origin',(-5.859768370622,2.550000003281,-1.517134377655)); #589341=CARTESIAN_POINT('',(-5.753289645877,0.500000000803,-1.587044651477)); #589342=CARTESIAN_POINT('',(-5.753289645877,-0.500000000405,-1.587044651477)); #589343=CARTESIAN_POINT('',(-5.753289645877,2.550000003281,-1.587044651477)); #589344=CARTESIAN_POINT('',(-5.859768370622,0.500000000803,-1.517134377655)); #589345=CARTESIAN_POINT('',(-5.859768370622,-0.500000000405,-1.517134377655)); #589346=CARTESIAN_POINT('Origin',(-5.561195343235,2.550000003281,-1.29447025207)); #589347=CARTESIAN_POINT('',(-5.881029385639,0.500000000803,-1.15232178878)); #589348=CARTESIAN_POINT('',(-5.881029385639,-0.500000000405,-1.15232178878)); #589349=CARTESIAN_POINT('',(-5.881029385639,2.550000003281,-1.15232178878)); #589350=CARTESIAN_POINT('Origin',(-5.561195343235,0.500000000803,-1.29447025207)); #589351=CARTESIAN_POINT('Origin',(-5.561195343235,-0.500000000405,-1.29447025207)); #589352=CARTESIAN_POINT('Origin',(-5.881029385639,2.550000003281,-1.15232178878)); #589353=CARTESIAN_POINT('',(-5.881029385639,0.500000000803,-1.15232178878)); #589354=CARTESIAN_POINT('',(-5.881029385639,-0.500000000405,-1.15232178878)); #589355=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #589356=CARTESIAN_POINT('',(-5.643521472939,-0.500000000405,-1.419859280387)); #589357=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #589358=CARTESIAN_POINT('',(-5.881029385639,-0.500000000405,-1.15232178878)); #589359=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #589360=CARTESIAN_POINT('',(-5.180166154977,-0.500000000405,-0.0678224104616007)); #589361=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #589362=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #589363=CARTESIAN_POINT('',(-5.753289645877,0.500000000803,-1.587044651477)); #589364=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #589365=CARTESIAN_POINT('',(-5.698267075694,0.500000000803,-1.233549482088)); #589366=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #589367=CARTESIAN_POINT('',(-5.362928464923,0.500000000803,0.0134052828464296)); #589368=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #589369=CARTESIAN_POINT('Origin',(4.759999815017,0.200000000441,-3.199949008801)); #589370=CARTESIAN_POINT('',(4.759999815017,0.500000000803,-3.199949008801)); #589371=CARTESIAN_POINT('',(4.759999815017,0.200000000441,-3.499949009163)); #589372=CARTESIAN_POINT('Origin',(4.759999815017,0.200000000441,-3.199949008801)); #589373=CARTESIAN_POINT('',(4.959999815259,0.500000000803,-3.199949008801)); #589374=CARTESIAN_POINT('',(4.759999815017,0.500000000803,-3.199949008801)); #589375=CARTESIAN_POINT('',(4.959999815259,0.200000000441,-3.499949009163)); #589376=CARTESIAN_POINT('Origin',(4.959999815259,0.200000000441,-3.199949008801)); #589377=CARTESIAN_POINT('',(4.959999815259,0.200000000441,-3.499949009163)); #589378=CARTESIAN_POINT('Origin',(-10.00000020282,-0.200000000043,-3.199949008801)); #589379=CARTESIAN_POINT('',(4.759999815017,-0.200000000043,-3.499949009163)); #589380=CARTESIAN_POINT('',(4.759999815017,-0.500000000405,-3.199949008801)); #589381=CARTESIAN_POINT('Origin',(4.759999815017,-0.200000000043,-3.199949008801)); #589382=CARTESIAN_POINT('',(4.959999815259,-0.200000000043,-3.499949009163)); #589383=CARTESIAN_POINT('',(4.759999815017,-0.200000000043,-3.499949009163)); #589384=CARTESIAN_POINT('',(4.959999815259,-0.500000000405,-3.199949008801)); #589385=CARTESIAN_POINT('Origin',(4.959999815259,-0.200000000043,-3.199949008801)); #589386=CARTESIAN_POINT('',(4.959999815259,-0.500000000405,-3.199949008801)); #589387=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #589388=CARTESIAN_POINT('',(5.027673685737,0.500000000803,-2.063458920301)); #589389=CARTESIAN_POINT('',(4.917905512799,0.500000000803,-1.896273549211)); #589390=CARTESIAN_POINT('',(5.027673685737,0.500000000803,-2.063458920301)); #589391=CARTESIAN_POINT('',(4.959999815259,0.500000000803,-2.188847948619)); #589392=CARTESIAN_POINT('Origin',(5.10999981544,0.500000000803,-2.188847948619)); #589393=CARTESIAN_POINT('',(4.759999815017,0.500000000803,-2.188847948619)); #589394=CARTESIAN_POINT('',(4.759999815017,0.500000000803,-2.188847948619)); #589395=CARTESIAN_POINT('Origin',(5.10999981544,0.500000000803,-2.188847948619)); #589396=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #589397=CARTESIAN_POINT('',(4.917905512799,-0.500000000405,-1.896273549211)); #589398=CARTESIAN_POINT('',(5.027673685737,-0.500000000405,-2.063458920301)); #589399=CARTESIAN_POINT('',(4.917905512799,-0.500000000405,-1.896273549211)); #589400=CARTESIAN_POINT('',(4.759999815017,-0.500000000405,-2.188847948619)); #589401=CARTESIAN_POINT('Origin',(5.10999981544,-0.500000000405,-2.188847948619)); #589402=CARTESIAN_POINT('',(4.959999815259,-0.500000000405,-2.188847948619)); #589403=CARTESIAN_POINT('',(4.959999815259,-0.500000000405,-2.188847948619)); #589404=CARTESIAN_POINT('Origin',(5.10999981544,-0.500000000405,-2.188847948619)); #589405=CARTESIAN_POINT('Origin',(5.499999815912,28.050000034091,0.0743260528274595)); #589406=CARTESIAN_POINT('',(5.180165773508,0.500000000803,-0.0678224104616007)); #589407=CARTESIAN_POINT('Origin',(5.499999815912,0.500000000803,0.0743260528274595)); #589408=CARTESIAN_POINT('',(5.180165773508,-0.500000000405,-0.0678224104616007)); #589409=CARTESIAN_POINT('',(5.180165773508,2.550000003267,-0.0678224104616007)); #589410=CARTESIAN_POINT('Origin',(5.499999815912,-0.500000000405,0.0743260528274595)); #589411=CARTESIAN_POINT('Origin',(5.499999815912,28.050000034091,0.0743260528274595)); #589412=CARTESIAN_POINT('',(5.362928083453,-0.500000000405,0.0134052828464395)); #589413=CARTESIAN_POINT('Origin',(5.499999815912,-0.500000000405,0.0743260528274595)); #589414=CARTESIAN_POINT('',(5.362928083453,0.500000000803,0.0134052828464296)); #589415=CARTESIAN_POINT('',(5.362928083453,2.550000003281,0.0134052828464395)); #589416=CARTESIAN_POINT('Origin',(5.499999815912,0.500000000803,0.0743260528274595)); #589417=CARTESIAN_POINT('Origin',(4.759999815017,2.550000003281,-1.99994900735)); #589418=CARTESIAN_POINT('',(4.759999815017,2.550000003281,-3.499949009163)); #589419=CARTESIAN_POINT('',(4.759999815017,-0.500000000405,-1.99994900735)); #589420=CARTESIAN_POINT('',(4.759999815017,2.550000003281,-2.188847948619)); #589421=CARTESIAN_POINT('',(4.759999815017,0.500000000803,-1.99994900735)); #589422=CARTESIAN_POINT('Origin',(5.10999981544,2.550000003281,-2.188847948619)); #589423=CARTESIAN_POINT('',(4.917905512799,2.550000003281,-1.896273549211)); #589424=CARTESIAN_POINT('Origin',(5.749999816214,2.550000003281,-1.349949006565)); #589425=CARTESIAN_POINT('',(5.643521091469,0.500000000803,-1.419859280387)); #589426=CARTESIAN_POINT('',(5.643521091469,-0.500000000405,-1.419859280387)); #589427=CARTESIAN_POINT('',(5.643521091469,2.550000003281,-1.419859280387)); #589428=CARTESIAN_POINT('',(5.749999816214,0.500000000803,-1.349949006565)); #589429=CARTESIAN_POINT('',(5.749999816214,-0.500000000405,-1.349949006565)); #589430=CARTESIAN_POINT('Origin',(5.698266694224,2.550000003281,-1.233549482088)); #589431=CARTESIAN_POINT('',(5.698266694224,0.500000000803,-1.233549482088)); #589432=CARTESIAN_POINT('',(5.698266694224,0.500000000803,-1.233549482088)); #589433=CARTESIAN_POINT('',(5.698266694224,-0.500000000405,-1.233549482088)); #589434=CARTESIAN_POINT('',(5.698266694224,2.550000003281,-1.233549482088)); #589435=CARTESIAN_POINT('',(5.698266694224,-0.500000000405,-1.233549482088)); #589436=CARTESIAN_POINT('Origin',(4.759999815017,2.550000003281,-3.499949009163)); #589437=CARTESIAN_POINT('',(4.959999815259,2.550000003281,-3.499949009163)); #589438=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #589439=CARTESIAN_POINT('',(4.959999815259,-0.500000000405,-1.99994900735)); #589440=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #589441=CARTESIAN_POINT('',(4.959999815259,0.500000000803,-1.99994900735)); #589442=CARTESIAN_POINT('Origin',(4.959999815259,2.550000003281,-1.99994900735)); #589443=CARTESIAN_POINT('',(4.959999815259,2.550000003281,-2.188847948619)); #589444=CARTESIAN_POINT('Origin',(5.10999981544,2.550000003281,-2.188847948619)); #589445=CARTESIAN_POINT('',(5.027673685737,2.550000003281,-2.063458920301)); #589446=CARTESIAN_POINT('Origin',(5.859767989152,2.550000003281,-1.517134377655)); #589447=CARTESIAN_POINT('',(5.753289264407,0.500000000803,-1.587044651477)); #589448=CARTESIAN_POINT('',(5.859767989152,0.500000000803,-1.517134377655)); #589449=CARTESIAN_POINT('',(5.753289264407,-0.500000000405,-1.587044651477)); #589450=CARTESIAN_POINT('',(5.753289264407,2.550000003281,-1.587044651477)); #589451=CARTESIAN_POINT('',(5.859767989152,-0.500000000405,-1.517134377655)); #589452=CARTESIAN_POINT('Origin',(5.881029004169,2.550000003281,-1.15232178878)); #589453=CARTESIAN_POINT('',(5.881029004169,0.500000000803,-1.15232178878)); #589454=CARTESIAN_POINT('',(5.881029004169,-0.500000000405,-1.15232178878)); #589455=CARTESIAN_POINT('',(5.881029004169,2.550000003281,-1.15232178878)); #589456=CARTESIAN_POINT('',(5.881029004169,0.500000000803,-1.15232178878)); #589457=CARTESIAN_POINT('',(5.881029004169,-0.500000000405,-1.15232178878)); #589458=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #589459=CARTESIAN_POINT('',(5.753289264407,-0.500000000405,-1.587044651477)); #589460=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #589461=CARTESIAN_POINT('',(5.698266694224,-0.500000000405,-1.233549482088)); #589462=CARTESIAN_POINT('Origin',(5.561194961766,-0.500000000405,-1.29447025207)); #589463=CARTESIAN_POINT('Origin',(5.561194961766,-0.500000000405,-1.29447025207)); #589464=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #589465=CARTESIAN_POINT('',(5.362928083453,-0.500000000405,0.0134052828464395)); #589466=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #589467=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #589468=CARTESIAN_POINT('',(5.643521091469,0.500000000803,-1.419859280387)); #589469=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #589470=CARTESIAN_POINT('',(5.180165773508,0.500000000803,-0.0678224104616007)); #589471=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #589472=CARTESIAN_POINT('',(5.881029004169,0.500000000803,-1.15232178878)); #589473=CARTESIAN_POINT('Origin',(5.561194961765,2.550000003281,-1.29447025207)); #589474=CARTESIAN_POINT('Origin',(5.561194961766,0.500000000803,-1.29447025207)); #589475=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #589476=CARTESIAN_POINT('Origin',(5.561194961766,0.500000000803,-1.29447025207)); #589477=CARTESIAN_POINT('Origin',(5.561194961765,2.550000003281,-1.29447025207)); #589478=CARTESIAN_POINT('',(0.,0.,0.)); #589479=CARTESIAN_POINT('',(0.,0.,0.)); #589480=CARTESIAN_POINT('',(0.,0.,0.)); #589481=CARTESIAN_POINT('Origin',(-3.25,3.25,0.8)); #589482=CARTESIAN_POINT('',(-3.4,3.25,0.8)); #589483=CARTESIAN_POINT('Origin',(-3.25,3.25,0.8)); #589484=CARTESIAN_POINT('',(-3.4,3.25,0.7)); #589485=CARTESIAN_POINT('',(-3.4,3.25,0.8)); #589486=CARTESIAN_POINT('Origin',(-3.25,3.25,0.7)); #589487=CARTESIAN_POINT('Origin',(-3.25,3.25,0.7)); #589488=CARTESIAN_POINT('Origin',(3.5125,1.775,0.7625)); #589489=CARTESIAN_POINT('',(3.475,3.475,0.8)); #589490=CARTESIAN_POINT('',(3.475,-3.475,0.8)); #589491=CARTESIAN_POINT('',(3.475,1.775,0.8)); #589492=CARTESIAN_POINT('',(3.55,-3.55,0.725)); #589493=CARTESIAN_POINT('',(3.475,-3.475,0.8)); #589494=CARTESIAN_POINT('',(3.55,3.55,0.725)); #589495=CARTESIAN_POINT('',(3.55,1.775,0.725)); #589496=CARTESIAN_POINT('',(3.55,3.55,0.725)); #589497=CARTESIAN_POINT('Origin',(1.775,-3.5125,0.7625)); #589498=CARTESIAN_POINT('',(-3.55,-3.55,0.725)); #589499=CARTESIAN_POINT('',(1.775,-3.55,0.725)); #589500=CARTESIAN_POINT('',(-3.475,-3.475,0.8)); #589501=CARTESIAN_POINT('',(1.775,-3.475,0.8)); #589502=CARTESIAN_POINT('',(-3.55,-3.55,0.725)); #589503=CARTESIAN_POINT('Origin',(-1.775,3.5125,0.7625)); #589504=CARTESIAN_POINT('',(-3.475,3.475,0.8)); #589505=CARTESIAN_POINT('',(-1.775,3.475,0.8)); #589506=CARTESIAN_POINT('',(-3.55,3.55,0.725)); #589507=CARTESIAN_POINT('',(-1.775,3.55,0.725)); #589508=CARTESIAN_POINT('',(-3.55,3.55,0.725)); #589509=CARTESIAN_POINT('Origin',(-3.5125,-1.775,0.7625)); #589510=CARTESIAN_POINT('',(-3.475,-1.775,0.8)); #589511=CARTESIAN_POINT('',(-3.55,-1.775,0.725)); #589512=CARTESIAN_POINT('Origin',(-3.55,3.55,0.8)); #589513=CARTESIAN_POINT('',(3.55,3.55,0.05)); #589514=CARTESIAN_POINT('',(3.55,3.55,0.8)); #589515=CARTESIAN_POINT('',(-3.55,3.55,0.05)); #589516=CARTESIAN_POINT('',(3.55,3.55,0.05)); #589517=CARTESIAN_POINT('',(-3.55,3.55,0.8)); #589518=CARTESIAN_POINT('Origin',(3.55,3.55,0.8)); #589519=CARTESIAN_POINT('',(3.55,-3.55,0.05)); #589520=CARTESIAN_POINT('',(3.55,-3.55,0.8)); #589521=CARTESIAN_POINT('',(3.55,-3.55,0.05)); #589522=CARTESIAN_POINT('Origin',(0.,0.,0.8)); #589523=CARTESIAN_POINT('Origin',(-3.55,-3.55,0.8)); #589524=CARTESIAN_POINT('',(-3.55,-3.55,0.05)); #589525=CARTESIAN_POINT('',(-3.55,3.55,0.05)); #589526=CARTESIAN_POINT('',(-3.55,-3.55,0.8)); #589527=CARTESIAN_POINT('Origin',(3.55,-3.55,0.8)); #589528=CARTESIAN_POINT('',(-3.55,-3.55,0.05)); #589529=CARTESIAN_POINT('Origin',(0.,0.,0.05)); #589530=CARTESIAN_POINT('Origin',(3.15,2.6,0.0499999999999997)); #589531=CARTESIAN_POINT('',(3.3,2.6,0.0499999999999997)); #589532=CARTESIAN_POINT('',(3.3,2.9,0.0499999999999997)); #589533=CARTESIAN_POINT('Origin',(3.3,2.75,0.0500000000000014)); #589534=CARTESIAN_POINT('',(3.55,2.6,0.0499999999999997)); #589535=CARTESIAN_POINT('',(3.15,2.6,0.0499999999999997)); #589536=CARTESIAN_POINT('',(3.55,2.9,0.0499999999999997)); #589537=CARTESIAN_POINT('',(3.55,2.6,0.0499999999999997)); #589538=CARTESIAN_POINT('',(3.15,2.9,0.0499999999999997)); #589539=CARTESIAN_POINT('Origin',(3.3,2.75,0.0375000000000003)); #589540=CARTESIAN_POINT('',(3.3,2.9,-6.07153216591882E-17)); #589541=CARTESIAN_POINT('',(3.3,2.9,0.0375000000000003)); #589542=CARTESIAN_POINT('',(3.3,2.6,-6.07153216591882E-17)); #589543=CARTESIAN_POINT('Origin',(3.3,2.75,-2.84928330929191E-15)); #589544=CARTESIAN_POINT('',(3.3,2.6,0.0375000000000003)); #589545=CARTESIAN_POINT('Origin',(3.55,2.6,0.15)); #589546=CARTESIAN_POINT('',(3.55,2.6,0.15)); #589547=CARTESIAN_POINT('',(3.551,2.6,0.15)); #589548=CARTESIAN_POINT('',(3.55,2.6,0.15)); #589549=CARTESIAN_POINT('',(3.551,2.9,0.15)); #589550=CARTESIAN_POINT('',(3.551,2.6,0.15)); #589551=CARTESIAN_POINT('',(3.55,2.9,0.15)); #589552=CARTESIAN_POINT('',(3.55,2.9,0.15)); #589553=CARTESIAN_POINT('',(3.55,2.6,0.15)); #589554=CARTESIAN_POINT('Origin',(3.55,2.6,0.0499999999999997)); #589555=CARTESIAN_POINT('',(3.55,2.6,-6.07153216591882E-17)); #589556=CARTESIAN_POINT('',(3.55,2.9,-6.07153216591882E-17)); #589557=CARTESIAN_POINT('Origin',(3.551,2.6,-6.07153216591882E-17)); #589558=CARTESIAN_POINT('',(3.551,2.9,-6.93889390390723E-17)); #589559=CARTESIAN_POINT('',(3.551,2.9,-6.07153216591882E-17)); #589560=CARTESIAN_POINT('',(3.551,2.6,-6.93889390390723E-17)); #589561=CARTESIAN_POINT('',(3.551,2.6,-6.93889390390723E-17)); #589562=CARTESIAN_POINT('',(3.551,2.6,-6.07153216591882E-17)); #589563=CARTESIAN_POINT('Origin',(3.551,2.6,0.15)); #589564=CARTESIAN_POINT('',(3.551,2.6,0.15)); #589565=CARTESIAN_POINT('',(3.551,2.9,0.15)); #589566=CARTESIAN_POINT('Origin',(3.3505,2.9,0.0749999999999998)); #589567=CARTESIAN_POINT('Origin',(3.3505,2.6,0.0749999999999998)); #589568=CARTESIAN_POINT('Origin',(-3.15,2.6,0.0499999999999997)); #589569=CARTESIAN_POINT('',(-3.3,2.6,0.0499999999999997)); #589570=CARTESIAN_POINT('',(-3.3,2.9,0.0499999999999997)); #589571=CARTESIAN_POINT('Origin',(-3.3,2.75,0.0500000000000014)); #589572=CARTESIAN_POINT('',(-3.55,2.9,0.0499999999999997)); #589573=CARTESIAN_POINT('',(-3.15,2.9,0.0499999999999997)); #589574=CARTESIAN_POINT('',(-3.55,2.6,0.0499999999999997)); #589575=CARTESIAN_POINT('',(-3.55,2.6,0.0499999999999997)); #589576=CARTESIAN_POINT('',(-3.15,2.6,0.0499999999999997)); #589577=CARTESIAN_POINT('Origin',(-3.3,2.75,0.0375000000000003)); #589578=CARTESIAN_POINT('',(-3.3,2.6,-6.07153216591882E-17)); #589579=CARTESIAN_POINT('',(-3.3,2.6,0.0375000000000003)); #589580=CARTESIAN_POINT('',(-3.3,2.9,-6.07153216591882E-17)); #589581=CARTESIAN_POINT('Origin',(-3.3,2.75,-2.84928330929191E-15)); #589582=CARTESIAN_POINT('',(-3.3,2.9,0.0375000000000003)); #589583=CARTESIAN_POINT('Origin',(-3.55,2.6,0.15)); #589584=CARTESIAN_POINT('',(-3.55,2.6,0.15)); #589585=CARTESIAN_POINT('',(-3.551,2.6,0.15)); #589586=CARTESIAN_POINT('',(-3.55,2.6,0.15)); #589587=CARTESIAN_POINT('',(-3.55,2.9,0.15)); #589588=CARTESIAN_POINT('',(-3.55,2.6,0.15)); #589589=CARTESIAN_POINT('',(-3.551,2.9,0.15)); #589590=CARTESIAN_POINT('',(-3.55,2.9,0.15)); #589591=CARTESIAN_POINT('',(-3.551,2.6,0.15)); #589592=CARTESIAN_POINT('Origin',(-3.55,2.6,0.0499999999999997)); #589593=CARTESIAN_POINT('',(-3.55,2.6,-6.07153216591882E-17)); #589594=CARTESIAN_POINT('',(-3.55,2.9,-6.07153216591882E-17)); #589595=CARTESIAN_POINT('Origin',(-3.551,2.6,-6.07153216591882E-17)); #589596=CARTESIAN_POINT('',(-3.551,2.6,-6.93889390390723E-17)); #589597=CARTESIAN_POINT('',(-3.551,2.6,-6.07153216591882E-17)); #589598=CARTESIAN_POINT('',(-3.551,2.9,-6.93889390390723E-17)); #589599=CARTESIAN_POINT('',(-3.551,2.6,-6.93889390390723E-17)); #589600=CARTESIAN_POINT('',(-3.551,2.9,-6.07153216591882E-17)); #589601=CARTESIAN_POINT('Origin',(-3.551,2.6,0.15)); #589602=CARTESIAN_POINT('',(-3.551,2.6,0.15)); #589603=CARTESIAN_POINT('',(-3.551,2.9,0.15)); #589604=CARTESIAN_POINT('Origin',(-3.3505,2.9,0.0749999999999998)); #589605=CARTESIAN_POINT('Origin',(-3.3505,2.6,0.0749999999999998)); #589606=CARTESIAN_POINT('Origin',(3.15,2.1,0.0499999999999997)); #589607=CARTESIAN_POINT('',(3.3,2.1,0.0499999999999997)); #589608=CARTESIAN_POINT('',(3.3,2.4,0.0499999999999997)); #589609=CARTESIAN_POINT('Origin',(3.3,2.25,0.0500000000000014)); #589610=CARTESIAN_POINT('',(3.55,2.1,0.0499999999999997)); #589611=CARTESIAN_POINT('',(3.15,2.1,0.0499999999999997)); #589612=CARTESIAN_POINT('',(3.55,2.4,0.0499999999999997)); #589613=CARTESIAN_POINT('',(3.55,2.1,0.0499999999999997)); #589614=CARTESIAN_POINT('',(3.15,2.4,0.0499999999999997)); #589615=CARTESIAN_POINT('Origin',(3.3,2.25,0.0375000000000003)); #589616=CARTESIAN_POINT('',(3.3,2.4,-6.07153216591882E-17)); #589617=CARTESIAN_POINT('',(3.3,2.4,0.0375000000000003)); #589618=CARTESIAN_POINT('',(3.3,2.1,-6.07153216591882E-17)); #589619=CARTESIAN_POINT('Origin',(3.3,2.25,-2.84928330929191E-15)); #589620=CARTESIAN_POINT('',(3.3,2.1,0.0375000000000003)); #589621=CARTESIAN_POINT('Origin',(3.55,2.1,0.15)); #589622=CARTESIAN_POINT('',(3.55,2.1,0.15)); #589623=CARTESIAN_POINT('',(3.551,2.1,0.15)); #589624=CARTESIAN_POINT('',(3.55,2.1,0.15)); #589625=CARTESIAN_POINT('',(3.551,2.4,0.15)); #589626=CARTESIAN_POINT('',(3.551,2.1,0.15)); #589627=CARTESIAN_POINT('',(3.55,2.4,0.15)); #589628=CARTESIAN_POINT('',(3.55,2.4,0.15)); #589629=CARTESIAN_POINT('',(3.55,2.1,0.15)); #589630=CARTESIAN_POINT('Origin',(3.55,2.1,0.0499999999999997)); #589631=CARTESIAN_POINT('',(3.55,2.1,-6.07153216591882E-17)); #589632=CARTESIAN_POINT('',(3.55,2.4,-6.07153216591882E-17)); #589633=CARTESIAN_POINT('Origin',(3.551,2.1,-6.07153216591882E-17)); #589634=CARTESIAN_POINT('',(3.551,2.4,-6.93889390390723E-17)); #589635=CARTESIAN_POINT('',(3.551,2.4,-6.07153216591882E-17)); #589636=CARTESIAN_POINT('',(3.551,2.1,-6.93889390390723E-17)); #589637=CARTESIAN_POINT('',(3.551,2.1,-6.93889390390723E-17)); #589638=CARTESIAN_POINT('',(3.551,2.1,-6.07153216591882E-17)); #589639=CARTESIAN_POINT('Origin',(3.551,2.1,0.15)); #589640=CARTESIAN_POINT('',(3.551,2.1,0.15)); #589641=CARTESIAN_POINT('',(3.551,2.4,0.15)); #589642=CARTESIAN_POINT('Origin',(3.3505,2.4,0.0749999999999998)); #589643=CARTESIAN_POINT('Origin',(3.3505,2.1,0.0749999999999998)); #589644=CARTESIAN_POINT('Origin',(3.15,1.6,0.0499999999999997)); #589645=CARTESIAN_POINT('',(3.3,1.6,0.0499999999999997)); #589646=CARTESIAN_POINT('',(3.3,1.9,0.0499999999999997)); #589647=CARTESIAN_POINT('Origin',(3.3,1.75,0.0500000000000014)); #589648=CARTESIAN_POINT('',(3.55,1.6,0.0499999999999997)); #589649=CARTESIAN_POINT('',(3.15,1.6,0.0499999999999997)); #589650=CARTESIAN_POINT('',(3.55,1.9,0.0499999999999997)); #589651=CARTESIAN_POINT('',(3.55,1.6,0.0499999999999997)); #589652=CARTESIAN_POINT('',(3.15,1.9,0.0499999999999997)); #589653=CARTESIAN_POINT('Origin',(3.3,1.75,0.0375000000000003)); #589654=CARTESIAN_POINT('',(3.3,1.9,-6.07153216591882E-17)); #589655=CARTESIAN_POINT('',(3.3,1.9,0.0375000000000003)); #589656=CARTESIAN_POINT('',(3.3,1.6,-6.07153216591882E-17)); #589657=CARTESIAN_POINT('Origin',(3.3,1.75,-2.84928330929191E-15)); #589658=CARTESIAN_POINT('',(3.3,1.6,0.0375000000000003)); #589659=CARTESIAN_POINT('Origin',(3.55,1.6,0.15)); #589660=CARTESIAN_POINT('',(3.55,1.6,0.15)); #589661=CARTESIAN_POINT('',(3.551,1.6,0.15)); #589662=CARTESIAN_POINT('',(3.55,1.6,0.15)); #589663=CARTESIAN_POINT('',(3.551,1.9,0.15)); #589664=CARTESIAN_POINT('',(3.551,1.6,0.15)); #589665=CARTESIAN_POINT('',(3.55,1.9,0.15)); #589666=CARTESIAN_POINT('',(3.55,1.9,0.15)); #589667=CARTESIAN_POINT('',(3.55,1.6,0.15)); #589668=CARTESIAN_POINT('Origin',(3.55,1.6,0.0499999999999997)); #589669=CARTESIAN_POINT('',(3.55,1.6,-6.07153216591882E-17)); #589670=CARTESIAN_POINT('',(3.55,1.9,-6.07153216591882E-17)); #589671=CARTESIAN_POINT('Origin',(3.551,1.6,-6.07153216591882E-17)); #589672=CARTESIAN_POINT('',(3.551,1.9,-6.93889390390723E-17)); #589673=CARTESIAN_POINT('',(3.551,1.9,-6.07153216591882E-17)); #589674=CARTESIAN_POINT('',(3.551,1.6,-6.93889390390723E-17)); #589675=CARTESIAN_POINT('',(3.551,1.6,-6.93889390390723E-17)); #589676=CARTESIAN_POINT('',(3.551,1.6,-6.07153216591882E-17)); #589677=CARTESIAN_POINT('Origin',(3.551,1.6,0.15)); #589678=CARTESIAN_POINT('',(3.551,1.6,0.15)); #589679=CARTESIAN_POINT('',(3.551,1.9,0.15)); #589680=CARTESIAN_POINT('Origin',(3.3505,1.9,0.0749999999999998)); #589681=CARTESIAN_POINT('Origin',(3.3505,1.6,0.0749999999999998)); #589682=CARTESIAN_POINT('Origin',(3.15,1.1,0.0499999999999997)); #589683=CARTESIAN_POINT('',(3.3,1.1,0.0499999999999997)); #589684=CARTESIAN_POINT('',(3.3,1.4,0.0499999999999997)); #589685=CARTESIAN_POINT('Origin',(3.3,1.25,0.0500000000000014)); #589686=CARTESIAN_POINT('',(3.55,1.1,0.0499999999999997)); #589687=CARTESIAN_POINT('',(3.15,1.1,0.0499999999999997)); #589688=CARTESIAN_POINT('',(3.55,1.4,0.0499999999999997)); #589689=CARTESIAN_POINT('',(3.55,1.1,0.0499999999999997)); #589690=CARTESIAN_POINT('',(3.15,1.4,0.0499999999999997)); #589691=CARTESIAN_POINT('Origin',(3.3,1.25,0.0375000000000003)); #589692=CARTESIAN_POINT('',(3.3,1.4,-6.07153216591882E-17)); #589693=CARTESIAN_POINT('',(3.3,1.4,0.0375000000000003)); #589694=CARTESIAN_POINT('',(3.3,1.1,-6.07153216591882E-17)); #589695=CARTESIAN_POINT('Origin',(3.3,1.25,-2.84928330929191E-15)); #589696=CARTESIAN_POINT('',(3.3,1.1,0.0375000000000003)); #589697=CARTESIAN_POINT('Origin',(3.55,1.1,0.15)); #589698=CARTESIAN_POINT('',(3.55,1.1,0.15)); #589699=CARTESIAN_POINT('',(3.551,1.1,0.15)); #589700=CARTESIAN_POINT('',(3.55,1.1,0.15)); #589701=CARTESIAN_POINT('',(3.551,1.4,0.15)); #589702=CARTESIAN_POINT('',(3.551,1.1,0.15)); #589703=CARTESIAN_POINT('',(3.55,1.4,0.15)); #589704=CARTESIAN_POINT('',(3.55,1.4,0.15)); #589705=CARTESIAN_POINT('',(3.55,1.1,0.15)); #589706=CARTESIAN_POINT('Origin',(3.55,1.1,0.0499999999999997)); #589707=CARTESIAN_POINT('',(3.55,1.1,-6.07153216591882E-17)); #589708=CARTESIAN_POINT('',(3.55,1.4,-6.07153216591882E-17)); #589709=CARTESIAN_POINT('Origin',(3.551,1.1,-6.07153216591882E-17)); #589710=CARTESIAN_POINT('',(3.551,1.4,-6.93889390390723E-17)); #589711=CARTESIAN_POINT('',(3.551,1.4,-6.07153216591882E-17)); #589712=CARTESIAN_POINT('',(3.551,1.1,-6.93889390390723E-17)); #589713=CARTESIAN_POINT('',(3.551,1.1,-6.93889390390723E-17)); #589714=CARTESIAN_POINT('',(3.551,1.1,-6.07153216591882E-17)); #589715=CARTESIAN_POINT('Origin',(3.551,1.1,0.15)); #589716=CARTESIAN_POINT('',(3.551,1.1,0.15)); #589717=CARTESIAN_POINT('',(3.551,1.4,0.15)); #589718=CARTESIAN_POINT('Origin',(3.3505,1.4,0.0749999999999998)); #589719=CARTESIAN_POINT('Origin',(3.3505,1.1,0.0749999999999998)); #589720=CARTESIAN_POINT('Origin',(3.15,0.6,0.0499999999999997)); #589721=CARTESIAN_POINT('',(3.3,0.6,0.0499999999999997)); #589722=CARTESIAN_POINT('',(3.3,0.9,0.0499999999999997)); #589723=CARTESIAN_POINT('Origin',(3.3,0.75,0.0500000000000014)); #589724=CARTESIAN_POINT('',(3.55,0.6,0.0499999999999997)); #589725=CARTESIAN_POINT('',(3.15,0.6,0.0499999999999997)); #589726=CARTESIAN_POINT('',(3.55,0.9,0.0499999999999997)); #589727=CARTESIAN_POINT('',(3.55,0.6,0.0499999999999997)); #589728=CARTESIAN_POINT('',(3.15,0.9,0.0499999999999997)); #589729=CARTESIAN_POINT('Origin',(3.3,0.75,0.0375000000000003)); #589730=CARTESIAN_POINT('',(3.3,0.9,-6.07153216591882E-17)); #589731=CARTESIAN_POINT('',(3.3,0.9,0.0375000000000003)); #589732=CARTESIAN_POINT('',(3.3,0.6,-6.07153216591882E-17)); #589733=CARTESIAN_POINT('Origin',(3.3,0.75,-2.84928330929191E-15)); #589734=CARTESIAN_POINT('',(3.3,0.6,0.0375000000000003)); #589735=CARTESIAN_POINT('Origin',(3.55,0.6,0.15)); #589736=CARTESIAN_POINT('',(3.55,0.6,0.15)); #589737=CARTESIAN_POINT('',(3.551,0.6,0.15)); #589738=CARTESIAN_POINT('',(3.55,0.6,0.15)); #589739=CARTESIAN_POINT('',(3.551,0.9,0.15)); #589740=CARTESIAN_POINT('',(3.551,0.6,0.15)); #589741=CARTESIAN_POINT('',(3.55,0.9,0.15)); #589742=CARTESIAN_POINT('',(3.55,0.9,0.15)); #589743=CARTESIAN_POINT('',(3.55,0.6,0.15)); #589744=CARTESIAN_POINT('Origin',(3.55,0.6,0.0499999999999997)); #589745=CARTESIAN_POINT('',(3.55,0.6,-6.07153216591882E-17)); #589746=CARTESIAN_POINT('',(3.55,0.9,-6.07153216591882E-17)); #589747=CARTESIAN_POINT('Origin',(3.551,0.6,-6.07153216591882E-17)); #589748=CARTESIAN_POINT('',(3.551,0.9,-6.93889390390723E-17)); #589749=CARTESIAN_POINT('',(3.551,0.9,-6.07153216591882E-17)); #589750=CARTESIAN_POINT('',(3.551,0.6,-6.93889390390723E-17)); #589751=CARTESIAN_POINT('',(3.551,0.6,-6.93889390390723E-17)); #589752=CARTESIAN_POINT('',(3.551,0.6,-6.07153216591882E-17)); #589753=CARTESIAN_POINT('Origin',(3.551,0.6,0.15)); #589754=CARTESIAN_POINT('',(3.551,0.6,0.15)); #589755=CARTESIAN_POINT('',(3.551,0.9,0.15)); #589756=CARTESIAN_POINT('Origin',(3.3505,0.9,0.0749999999999998)); #589757=CARTESIAN_POINT('Origin',(3.3505,0.6,0.0749999999999998)); #589758=CARTESIAN_POINT('Origin',(3.15,0.1,0.0499999999999997)); #589759=CARTESIAN_POINT('',(3.3,0.1,0.0499999999999997)); #589760=CARTESIAN_POINT('',(3.3,0.4,0.0499999999999997)); #589761=CARTESIAN_POINT('Origin',(3.3,0.25,0.0500000000000014)); #589762=CARTESIAN_POINT('',(3.55,0.1,0.0499999999999997)); #589763=CARTESIAN_POINT('',(3.15,0.1,0.0499999999999997)); #589764=CARTESIAN_POINT('',(3.55,0.4,0.0499999999999997)); #589765=CARTESIAN_POINT('',(3.55,0.1,0.0499999999999997)); #589766=CARTESIAN_POINT('',(3.15,0.4,0.0499999999999997)); #589767=CARTESIAN_POINT('Origin',(3.3,0.25,0.0375000000000003)); #589768=CARTESIAN_POINT('',(3.3,0.4,-6.07153216591882E-17)); #589769=CARTESIAN_POINT('',(3.3,0.4,0.0375000000000003)); #589770=CARTESIAN_POINT('',(3.3,0.1,-6.07153216591882E-17)); #589771=CARTESIAN_POINT('Origin',(3.3,0.25,-2.84928330929191E-15)); #589772=CARTESIAN_POINT('',(3.3,0.1,0.0375000000000003)); #589773=CARTESIAN_POINT('Origin',(3.55,0.1,0.15)); #589774=CARTESIAN_POINT('',(3.55,0.1,0.15)); #589775=CARTESIAN_POINT('',(3.551,0.1,0.15)); #589776=CARTESIAN_POINT('',(3.55,0.1,0.15)); #589777=CARTESIAN_POINT('',(3.551,0.4,0.15)); #589778=CARTESIAN_POINT('',(3.551,0.1,0.15)); #589779=CARTESIAN_POINT('',(3.55,0.4,0.15)); #589780=CARTESIAN_POINT('',(3.55,0.4,0.15)); #589781=CARTESIAN_POINT('',(3.55,0.1,0.15)); #589782=CARTESIAN_POINT('Origin',(3.55,0.1,0.0499999999999997)); #589783=CARTESIAN_POINT('',(3.55,0.1,-6.07153216591882E-17)); #589784=CARTESIAN_POINT('',(3.55,0.4,-6.07153216591882E-17)); #589785=CARTESIAN_POINT('Origin',(3.551,0.1,-6.07153216591882E-17)); #589786=CARTESIAN_POINT('',(3.551,0.4,-6.93889390390723E-17)); #589787=CARTESIAN_POINT('',(3.551,0.4,-6.07153216591882E-17)); #589788=CARTESIAN_POINT('',(3.551,0.1,-6.93889390390723E-17)); #589789=CARTESIAN_POINT('',(3.551,0.1,-6.93889390390723E-17)); #589790=CARTESIAN_POINT('',(3.551,0.1,-6.07153216591882E-17)); #589791=CARTESIAN_POINT('Origin',(3.551,0.1,0.15)); #589792=CARTESIAN_POINT('',(3.551,0.1,0.15)); #589793=CARTESIAN_POINT('',(3.551,0.4,0.15)); #589794=CARTESIAN_POINT('Origin',(3.3505,0.4,0.0749999999999998)); #589795=CARTESIAN_POINT('Origin',(3.3505,0.1,0.0749999999999998)); #589796=CARTESIAN_POINT('Origin',(3.15,-0.4,0.0499999999999997)); #589797=CARTESIAN_POINT('',(3.3,-0.4,0.0499999999999997)); #589798=CARTESIAN_POINT('',(3.3,-0.1,0.0499999999999997)); #589799=CARTESIAN_POINT('Origin',(3.3,-0.25,0.0500000000000014)); #589800=CARTESIAN_POINT('',(3.55,-0.4,0.0499999999999997)); #589801=CARTESIAN_POINT('',(3.15,-0.4,0.0499999999999997)); #589802=CARTESIAN_POINT('',(3.55,-0.1,0.0499999999999997)); #589803=CARTESIAN_POINT('',(3.55,-0.4,0.0499999999999997)); #589804=CARTESIAN_POINT('',(3.15,-0.1,0.0499999999999997)); #589805=CARTESIAN_POINT('Origin',(3.3,-0.25,0.0375000000000003)); #589806=CARTESIAN_POINT('',(3.3,-0.1,-6.07153216591882E-17)); #589807=CARTESIAN_POINT('',(3.3,-0.1,0.0375000000000003)); #589808=CARTESIAN_POINT('',(3.3,-0.4,-6.07153216591882E-17)); #589809=CARTESIAN_POINT('Origin',(3.3,-0.25,-2.84928330929191E-15)); #589810=CARTESIAN_POINT('',(3.3,-0.4,0.0375000000000003)); #589811=CARTESIAN_POINT('Origin',(3.55,-0.4,0.15)); #589812=CARTESIAN_POINT('',(3.55,-0.4,0.15)); #589813=CARTESIAN_POINT('',(3.551,-0.4,0.15)); #589814=CARTESIAN_POINT('',(3.55,-0.4,0.15)); #589815=CARTESIAN_POINT('',(3.551,-0.1,0.15)); #589816=CARTESIAN_POINT('',(3.551,-0.4,0.15)); #589817=CARTESIAN_POINT('',(3.55,-0.1,0.15)); #589818=CARTESIAN_POINT('',(3.55,-0.1,0.15)); #589819=CARTESIAN_POINT('',(3.55,-0.4,0.15)); #589820=CARTESIAN_POINT('Origin',(3.55,-0.4,0.0499999999999997)); #589821=CARTESIAN_POINT('',(3.55,-0.4,-6.07153216591882E-17)); #589822=CARTESIAN_POINT('',(3.55,-0.1,-6.07153216591882E-17)); #589823=CARTESIAN_POINT('Origin',(3.551,-0.4,-6.07153216591882E-17)); #589824=CARTESIAN_POINT('',(3.551,-0.1,-6.93889390390723E-17)); #589825=CARTESIAN_POINT('',(3.551,-0.1,-6.07153216591882E-17)); #589826=CARTESIAN_POINT('',(3.551,-0.4,-6.93889390390723E-17)); #589827=CARTESIAN_POINT('',(3.551,-0.4,-6.93889390390723E-17)); #589828=CARTESIAN_POINT('',(3.551,-0.4,-6.07153216591882E-17)); #589829=CARTESIAN_POINT('Origin',(3.551,-0.4,0.15)); #589830=CARTESIAN_POINT('',(3.551,-0.4,0.15)); #589831=CARTESIAN_POINT('',(3.551,-0.1,0.15)); #589832=CARTESIAN_POINT('Origin',(3.3505,-0.1,0.0749999999999998)); #589833=CARTESIAN_POINT('Origin',(3.3505,-0.4,0.0749999999999998)); #589834=CARTESIAN_POINT('Origin',(3.15,-0.9,0.0499999999999997)); #589835=CARTESIAN_POINT('',(3.3,-0.9,0.0499999999999997)); #589836=CARTESIAN_POINT('',(3.3,-0.6,0.0499999999999997)); #589837=CARTESIAN_POINT('Origin',(3.3,-0.75,0.0500000000000014)); #589838=CARTESIAN_POINT('',(3.55,-0.9,0.0499999999999997)); #589839=CARTESIAN_POINT('',(3.15,-0.9,0.0499999999999997)); #589840=CARTESIAN_POINT('',(3.55,-0.6,0.0499999999999997)); #589841=CARTESIAN_POINT('',(3.55,-0.9,0.0499999999999997)); #589842=CARTESIAN_POINT('',(3.15,-0.6,0.0499999999999997)); #589843=CARTESIAN_POINT('Origin',(3.3,-0.75,0.0375000000000003)); #589844=CARTESIAN_POINT('',(3.3,-0.6,-6.07153216591882E-17)); #589845=CARTESIAN_POINT('',(3.3,-0.6,0.0375000000000003)); #589846=CARTESIAN_POINT('',(3.3,-0.9,-6.07153216591882E-17)); #589847=CARTESIAN_POINT('Origin',(3.3,-0.75,-2.84928330929191E-15)); #589848=CARTESIAN_POINT('',(3.3,-0.9,0.0375000000000003)); #589849=CARTESIAN_POINT('Origin',(3.55,-0.9,0.15)); #589850=CARTESIAN_POINT('',(3.55,-0.9,0.15)); #589851=CARTESIAN_POINT('',(3.551,-0.9,0.15)); #589852=CARTESIAN_POINT('',(3.55,-0.9,0.15)); #589853=CARTESIAN_POINT('',(3.551,-0.6,0.15)); #589854=CARTESIAN_POINT('',(3.551,-0.9,0.15)); #589855=CARTESIAN_POINT('',(3.55,-0.6,0.15)); #589856=CARTESIAN_POINT('',(3.55,-0.6,0.15)); #589857=CARTESIAN_POINT('',(3.55,-0.9,0.15)); #589858=CARTESIAN_POINT('Origin',(3.55,-0.9,0.0499999999999997)); #589859=CARTESIAN_POINT('',(3.55,-0.9,-6.07153216591882E-17)); #589860=CARTESIAN_POINT('',(3.55,-0.6,-6.07153216591882E-17)); #589861=CARTESIAN_POINT('Origin',(3.551,-0.9,-6.07153216591882E-17)); #589862=CARTESIAN_POINT('',(3.551,-0.6,-6.93889390390723E-17)); #589863=CARTESIAN_POINT('',(3.551,-0.6,-6.07153216591882E-17)); #589864=CARTESIAN_POINT('',(3.551,-0.9,-6.93889390390723E-17)); #589865=CARTESIAN_POINT('',(3.551,-0.9,-6.93889390390723E-17)); #589866=CARTESIAN_POINT('',(3.551,-0.9,-6.07153216591882E-17)); #589867=CARTESIAN_POINT('Origin',(3.551,-0.9,0.15)); #589868=CARTESIAN_POINT('',(3.551,-0.9,0.15)); #589869=CARTESIAN_POINT('',(3.551,-0.6,0.15)); #589870=CARTESIAN_POINT('Origin',(3.3505,-0.6,0.0749999999999998)); #589871=CARTESIAN_POINT('Origin',(3.3505,-0.9,0.0749999999999998)); #589872=CARTESIAN_POINT('Origin',(3.15,-1.4,0.0499999999999997)); #589873=CARTESIAN_POINT('',(3.3,-1.4,0.0499999999999997)); #589874=CARTESIAN_POINT('',(3.3,-1.1,0.0499999999999997)); #589875=CARTESIAN_POINT('Origin',(3.3,-1.25,0.0500000000000014)); #589876=CARTESIAN_POINT('',(3.55,-1.4,0.0499999999999997)); #589877=CARTESIAN_POINT('',(3.15,-1.4,0.0499999999999997)); #589878=CARTESIAN_POINT('',(3.55,-1.1,0.0499999999999997)); #589879=CARTESIAN_POINT('',(3.55,-1.4,0.0499999999999997)); #589880=CARTESIAN_POINT('',(3.15,-1.1,0.0499999999999997)); #589881=CARTESIAN_POINT('Origin',(3.3,-1.25,0.0375000000000003)); #589882=CARTESIAN_POINT('',(3.3,-1.1,-6.07153216591882E-17)); #589883=CARTESIAN_POINT('',(3.3,-1.1,0.0375000000000003)); #589884=CARTESIAN_POINT('',(3.3,-1.4,-6.07153216591882E-17)); #589885=CARTESIAN_POINT('Origin',(3.3,-1.25,-2.84928330929191E-15)); #589886=CARTESIAN_POINT('',(3.3,-1.4,0.0375000000000003)); #589887=CARTESIAN_POINT('Origin',(3.55,-1.4,0.15)); #589888=CARTESIAN_POINT('',(3.55,-1.4,0.15)); #589889=CARTESIAN_POINT('',(3.551,-1.4,0.15)); #589890=CARTESIAN_POINT('',(3.55,-1.4,0.15)); #589891=CARTESIAN_POINT('',(3.551,-1.1,0.15)); #589892=CARTESIAN_POINT('',(3.551,-1.4,0.15)); #589893=CARTESIAN_POINT('',(3.55,-1.1,0.15)); #589894=CARTESIAN_POINT('',(3.55,-1.1,0.15)); #589895=CARTESIAN_POINT('',(3.55,-1.4,0.15)); #589896=CARTESIAN_POINT('Origin',(3.55,-1.4,0.0499999999999997)); #589897=CARTESIAN_POINT('',(3.55,-1.4,-6.07153216591882E-17)); #589898=CARTESIAN_POINT('',(3.55,-1.1,-6.07153216591882E-17)); #589899=CARTESIAN_POINT('Origin',(3.551,-1.4,-6.07153216591882E-17)); #589900=CARTESIAN_POINT('',(3.551,-1.1,-6.93889390390723E-17)); #589901=CARTESIAN_POINT('',(3.551,-1.1,-6.07153216591882E-17)); #589902=CARTESIAN_POINT('',(3.551,-1.4,-6.93889390390723E-17)); #589903=CARTESIAN_POINT('',(3.551,-1.4,-6.93889390390723E-17)); #589904=CARTESIAN_POINT('',(3.551,-1.4,-6.07153216591882E-17)); #589905=CARTESIAN_POINT('Origin',(3.551,-1.4,0.15)); #589906=CARTESIAN_POINT('',(3.551,-1.4,0.15)); #589907=CARTESIAN_POINT('',(3.551,-1.1,0.15)); #589908=CARTESIAN_POINT('Origin',(3.3505,-1.1,0.0749999999999998)); #589909=CARTESIAN_POINT('Origin',(3.3505,-1.4,0.0749999999999998)); #589910=CARTESIAN_POINT('Origin',(3.15,-1.9,0.0499999999999997)); #589911=CARTESIAN_POINT('',(3.3,-1.9,0.0499999999999997)); #589912=CARTESIAN_POINT('',(3.3,-1.6,0.0499999999999997)); #589913=CARTESIAN_POINT('Origin',(3.3,-1.75,0.0500000000000014)); #589914=CARTESIAN_POINT('',(3.55,-1.9,0.0499999999999997)); #589915=CARTESIAN_POINT('',(3.15,-1.9,0.0499999999999997)); #589916=CARTESIAN_POINT('',(3.55,-1.6,0.0499999999999997)); #589917=CARTESIAN_POINT('',(3.55,-1.9,0.0499999999999997)); #589918=CARTESIAN_POINT('',(3.15,-1.6,0.0499999999999997)); #589919=CARTESIAN_POINT('Origin',(3.3,-1.75,0.0375000000000003)); #589920=CARTESIAN_POINT('',(3.3,-1.6,-6.07153216591882E-17)); #589921=CARTESIAN_POINT('',(3.3,-1.6,0.0375000000000003)); #589922=CARTESIAN_POINT('',(3.3,-1.9,-6.07153216591882E-17)); #589923=CARTESIAN_POINT('Origin',(3.3,-1.75,-2.84928330929191E-15)); #589924=CARTESIAN_POINT('',(3.3,-1.9,0.0375000000000003)); #589925=CARTESIAN_POINT('Origin',(3.55,-1.9,0.15)); #589926=CARTESIAN_POINT('',(3.55,-1.9,0.15)); #589927=CARTESIAN_POINT('',(3.551,-1.9,0.15)); #589928=CARTESIAN_POINT('',(3.55,-1.9,0.15)); #589929=CARTESIAN_POINT('',(3.551,-1.6,0.15)); #589930=CARTESIAN_POINT('',(3.551,-1.9,0.15)); #589931=CARTESIAN_POINT('',(3.55,-1.6,0.15)); #589932=CARTESIAN_POINT('',(3.55,-1.6,0.15)); #589933=CARTESIAN_POINT('',(3.55,-1.9,0.15)); #589934=CARTESIAN_POINT('Origin',(3.55,-1.9,0.0499999999999997)); #589935=CARTESIAN_POINT('',(3.55,-1.9,-6.07153216591882E-17)); #589936=CARTESIAN_POINT('',(3.55,-1.6,-6.07153216591882E-17)); #589937=CARTESIAN_POINT('Origin',(3.551,-1.9,-6.07153216591882E-17)); #589938=CARTESIAN_POINT('',(3.551,-1.6,-6.93889390390723E-17)); #589939=CARTESIAN_POINT('',(3.551,-1.6,-6.07153216591882E-17)); #589940=CARTESIAN_POINT('',(3.551,-1.9,-6.93889390390723E-17)); #589941=CARTESIAN_POINT('',(3.551,-1.9,-6.93889390390723E-17)); #589942=CARTESIAN_POINT('',(3.551,-1.9,-6.07153216591882E-17)); #589943=CARTESIAN_POINT('Origin',(3.551,-1.9,0.15)); #589944=CARTESIAN_POINT('',(3.551,-1.9,0.15)); #589945=CARTESIAN_POINT('',(3.551,-1.6,0.15)); #589946=CARTESIAN_POINT('Origin',(3.3505,-1.6,0.0749999999999998)); #589947=CARTESIAN_POINT('Origin',(3.3505,-1.9,0.0749999999999998)); #589948=CARTESIAN_POINT('Origin',(3.15,-2.4,0.0499999999999997)); #589949=CARTESIAN_POINT('',(3.3,-2.4,0.0499999999999997)); #589950=CARTESIAN_POINT('',(3.3,-2.1,0.0499999999999997)); #589951=CARTESIAN_POINT('Origin',(3.3,-2.25,0.0500000000000014)); #589952=CARTESIAN_POINT('',(3.55,-2.4,0.0499999999999997)); #589953=CARTESIAN_POINT('',(3.15,-2.4,0.0499999999999997)); #589954=CARTESIAN_POINT('',(3.55,-2.1,0.0499999999999997)); #589955=CARTESIAN_POINT('',(3.55,-2.4,0.0499999999999997)); #589956=CARTESIAN_POINT('',(3.15,-2.1,0.0499999999999997)); #589957=CARTESIAN_POINT('Origin',(3.3,-2.25,0.0375000000000003)); #589958=CARTESIAN_POINT('',(3.3,-2.1,-6.07153216591882E-17)); #589959=CARTESIAN_POINT('',(3.3,-2.1,0.0375000000000003)); #589960=CARTESIAN_POINT('',(3.3,-2.4,-6.07153216591882E-17)); #589961=CARTESIAN_POINT('Origin',(3.3,-2.25,-2.84928330929191E-15)); #589962=CARTESIAN_POINT('',(3.3,-2.4,0.0375000000000003)); #589963=CARTESIAN_POINT('Origin',(3.55,-2.4,0.15)); #589964=CARTESIAN_POINT('',(3.55,-2.4,0.15)); #589965=CARTESIAN_POINT('',(3.551,-2.4,0.15)); #589966=CARTESIAN_POINT('',(3.55,-2.4,0.15)); #589967=CARTESIAN_POINT('',(3.551,-2.1,0.15)); #589968=CARTESIAN_POINT('',(3.551,-2.4,0.15)); #589969=CARTESIAN_POINT('',(3.55,-2.1,0.15)); #589970=CARTESIAN_POINT('',(3.55,-2.1,0.15)); #589971=CARTESIAN_POINT('',(3.55,-2.4,0.15)); #589972=CARTESIAN_POINT('Origin',(3.55,-2.4,0.0499999999999997)); #589973=CARTESIAN_POINT('',(3.55,-2.4,-6.07153216591882E-17)); #589974=CARTESIAN_POINT('',(3.55,-2.1,-6.07153216591882E-17)); #589975=CARTESIAN_POINT('Origin',(3.551,-2.4,-6.07153216591882E-17)); #589976=CARTESIAN_POINT('',(3.551,-2.1,-6.93889390390723E-17)); #589977=CARTESIAN_POINT('',(3.551,-2.1,-6.07153216591882E-17)); #589978=CARTESIAN_POINT('',(3.551,-2.4,-6.93889390390723E-17)); #589979=CARTESIAN_POINT('',(3.551,-2.4,-6.93889390390723E-17)); #589980=CARTESIAN_POINT('',(3.551,-2.4,-6.07153216591882E-17)); #589981=CARTESIAN_POINT('Origin',(3.551,-2.4,0.15)); #589982=CARTESIAN_POINT('',(3.551,-2.4,0.15)); #589983=CARTESIAN_POINT('',(3.551,-2.1,0.15)); #589984=CARTESIAN_POINT('Origin',(3.3505,-2.1,0.0749999999999998)); #589985=CARTESIAN_POINT('Origin',(3.3505,-2.4,0.0749999999999998)); #589986=CARTESIAN_POINT('Origin',(3.15,-2.9,0.0499999999999997)); #589987=CARTESIAN_POINT('',(3.3,-2.9,0.0499999999999997)); #589988=CARTESIAN_POINT('',(3.3,-2.6,0.0499999999999997)); #589989=CARTESIAN_POINT('Origin',(3.3,-2.75,0.0500000000000014)); #589990=CARTESIAN_POINT('',(3.55,-2.9,0.0499999999999997)); #589991=CARTESIAN_POINT('',(3.15,-2.9,0.0499999999999997)); #589992=CARTESIAN_POINT('',(3.55,-2.6,0.0499999999999997)); #589993=CARTESIAN_POINT('',(3.55,-2.9,0.0499999999999997)); #589994=CARTESIAN_POINT('',(3.15,-2.6,0.0499999999999997)); #589995=CARTESIAN_POINT('Origin',(3.3,-2.75,0.0375000000000003)); #589996=CARTESIAN_POINT('',(3.3,-2.6,-6.07153216591882E-17)); #589997=CARTESIAN_POINT('',(3.3,-2.6,0.0375000000000003)); #589998=CARTESIAN_POINT('',(3.3,-2.9,-6.07153216591882E-17)); #589999=CARTESIAN_POINT('Origin',(3.3,-2.75,-2.84928330929191E-15)); #590000=CARTESIAN_POINT('',(3.3,-2.9,0.0375000000000003)); #590001=CARTESIAN_POINT('Origin',(3.55,-2.9,0.15)); #590002=CARTESIAN_POINT('',(3.55,-2.9,0.15)); #590003=CARTESIAN_POINT('',(3.551,-2.9,0.15)); #590004=CARTESIAN_POINT('',(3.55,-2.9,0.15)); #590005=CARTESIAN_POINT('',(3.551,-2.6,0.15)); #590006=CARTESIAN_POINT('',(3.551,-2.9,0.15)); #590007=CARTESIAN_POINT('',(3.55,-2.6,0.15)); #590008=CARTESIAN_POINT('',(3.55,-2.6,0.15)); #590009=CARTESIAN_POINT('',(3.55,-2.9,0.15)); #590010=CARTESIAN_POINT('Origin',(3.55,-2.9,0.0499999999999997)); #590011=CARTESIAN_POINT('',(3.55,-2.9,-6.07153216591882E-17)); #590012=CARTESIAN_POINT('',(3.55,-2.6,-6.07153216591882E-17)); #590013=CARTESIAN_POINT('Origin',(3.551,-2.9,-6.07153216591882E-17)); #590014=CARTESIAN_POINT('',(3.551,-2.6,-6.93889390390723E-17)); #590015=CARTESIAN_POINT('',(3.551,-2.6,-6.07153216591882E-17)); #590016=CARTESIAN_POINT('',(3.551,-2.9,-6.93889390390723E-17)); #590017=CARTESIAN_POINT('',(3.551,-2.9,-6.93889390390723E-17)); #590018=CARTESIAN_POINT('',(3.551,-2.9,-6.07153216591882E-17)); #590019=CARTESIAN_POINT('Origin',(3.551,-2.9,0.15)); #590020=CARTESIAN_POINT('',(3.551,-2.9,0.15)); #590021=CARTESIAN_POINT('',(3.551,-2.6,0.15)); #590022=CARTESIAN_POINT('Origin',(3.3505,-2.6,0.0749999999999998)); #590023=CARTESIAN_POINT('Origin',(3.3505,-2.9,0.0749999999999998)); #590024=CARTESIAN_POINT('Origin',(-3.15,2.1,0.0499999999999997)); #590025=CARTESIAN_POINT('',(-3.3,2.1,0.0499999999999997)); #590026=CARTESIAN_POINT('',(-3.3,2.4,0.0499999999999997)); #590027=CARTESIAN_POINT('Origin',(-3.3,2.25,0.0500000000000014)); #590028=CARTESIAN_POINT('',(-3.55,2.4,0.0499999999999997)); #590029=CARTESIAN_POINT('',(-3.15,2.4,0.0499999999999997)); #590030=CARTESIAN_POINT('',(-3.55,2.1,0.0499999999999997)); #590031=CARTESIAN_POINT('',(-3.55,2.1,0.0499999999999997)); #590032=CARTESIAN_POINT('',(-3.15,2.1,0.0499999999999997)); #590033=CARTESIAN_POINT('Origin',(-3.3,2.25,0.0375000000000003)); #590034=CARTESIAN_POINT('',(-3.3,2.1,-6.07153216591882E-17)); #590035=CARTESIAN_POINT('',(-3.3,2.1,0.0375000000000003)); #590036=CARTESIAN_POINT('',(-3.3,2.4,-6.07153216591882E-17)); #590037=CARTESIAN_POINT('Origin',(-3.3,2.25,-2.84928330929191E-15)); #590038=CARTESIAN_POINT('',(-3.3,2.4,0.0375000000000003)); #590039=CARTESIAN_POINT('Origin',(-3.55,2.1,0.15)); #590040=CARTESIAN_POINT('',(-3.55,2.1,0.15)); #590041=CARTESIAN_POINT('',(-3.551,2.1,0.15)); #590042=CARTESIAN_POINT('',(-3.55,2.1,0.15)); #590043=CARTESIAN_POINT('',(-3.55,2.4,0.15)); #590044=CARTESIAN_POINT('',(-3.55,2.1,0.15)); #590045=CARTESIAN_POINT('',(-3.551,2.4,0.15)); #590046=CARTESIAN_POINT('',(-3.55,2.4,0.15)); #590047=CARTESIAN_POINT('',(-3.551,2.1,0.15)); #590048=CARTESIAN_POINT('Origin',(-3.55,2.1,0.0499999999999997)); #590049=CARTESIAN_POINT('',(-3.55,2.1,-6.07153216591882E-17)); #590050=CARTESIAN_POINT('',(-3.55,2.4,-6.07153216591882E-17)); #590051=CARTESIAN_POINT('Origin',(-3.551,2.1,-6.07153216591882E-17)); #590052=CARTESIAN_POINT('',(-3.551,2.1,-6.93889390390723E-17)); #590053=CARTESIAN_POINT('',(-3.551,2.1,-6.07153216591882E-17)); #590054=CARTESIAN_POINT('',(-3.551,2.4,-6.93889390390723E-17)); #590055=CARTESIAN_POINT('',(-3.551,2.1,-6.93889390390723E-17)); #590056=CARTESIAN_POINT('',(-3.551,2.4,-6.07153216591882E-17)); #590057=CARTESIAN_POINT('Origin',(-3.551,2.1,0.15)); #590058=CARTESIAN_POINT('',(-3.551,2.1,0.15)); #590059=CARTESIAN_POINT('',(-3.551,2.4,0.15)); #590060=CARTESIAN_POINT('Origin',(-3.3505,2.4,0.0749999999999998)); #590061=CARTESIAN_POINT('Origin',(-3.3505,2.1,0.0749999999999998)); #590062=CARTESIAN_POINT('Origin',(-3.15,1.6,0.0499999999999997)); #590063=CARTESIAN_POINT('',(-3.3,1.6,0.0499999999999997)); #590064=CARTESIAN_POINT('',(-3.3,1.9,0.0499999999999997)); #590065=CARTESIAN_POINT('Origin',(-3.3,1.75,0.0500000000000014)); #590066=CARTESIAN_POINT('',(-3.55,1.9,0.0499999999999997)); #590067=CARTESIAN_POINT('',(-3.15,1.9,0.0499999999999997)); #590068=CARTESIAN_POINT('',(-3.55,1.6,0.0499999999999997)); #590069=CARTESIAN_POINT('',(-3.55,1.6,0.0499999999999997)); #590070=CARTESIAN_POINT('',(-3.15,1.6,0.0499999999999997)); #590071=CARTESIAN_POINT('Origin',(-3.3,1.75,0.0375000000000003)); #590072=CARTESIAN_POINT('',(-3.3,1.6,-6.07153216591882E-17)); #590073=CARTESIAN_POINT('',(-3.3,1.6,0.0375000000000003)); #590074=CARTESIAN_POINT('',(-3.3,1.9,-6.07153216591882E-17)); #590075=CARTESIAN_POINT('Origin',(-3.3,1.75,-2.84928330929191E-15)); #590076=CARTESIAN_POINT('',(-3.3,1.9,0.0375000000000003)); #590077=CARTESIAN_POINT('Origin',(-3.55,1.6,0.15)); #590078=CARTESIAN_POINT('',(-3.55,1.6,0.15)); #590079=CARTESIAN_POINT('',(-3.551,1.6,0.15)); #590080=CARTESIAN_POINT('',(-3.55,1.6,0.15)); #590081=CARTESIAN_POINT('',(-3.55,1.9,0.15)); #590082=CARTESIAN_POINT('',(-3.55,1.6,0.15)); #590083=CARTESIAN_POINT('',(-3.551,1.9,0.15)); #590084=CARTESIAN_POINT('',(-3.55,1.9,0.15)); #590085=CARTESIAN_POINT('',(-3.551,1.6,0.15)); #590086=CARTESIAN_POINT('Origin',(-3.55,1.6,0.0499999999999997)); #590087=CARTESIAN_POINT('',(-3.55,1.6,-6.07153216591882E-17)); #590088=CARTESIAN_POINT('',(-3.55,1.9,-6.07153216591882E-17)); #590089=CARTESIAN_POINT('Origin',(-3.551,1.6,-6.07153216591882E-17)); #590090=CARTESIAN_POINT('',(-3.551,1.6,-6.93889390390723E-17)); #590091=CARTESIAN_POINT('',(-3.551,1.6,-6.07153216591882E-17)); #590092=CARTESIAN_POINT('',(-3.551,1.9,-6.93889390390723E-17)); #590093=CARTESIAN_POINT('',(-3.551,1.6,-6.93889390390723E-17)); #590094=CARTESIAN_POINT('',(-3.551,1.9,-6.07153216591882E-17)); #590095=CARTESIAN_POINT('Origin',(-3.551,1.6,0.15)); #590096=CARTESIAN_POINT('',(-3.551,1.6,0.15)); #590097=CARTESIAN_POINT('',(-3.551,1.9,0.15)); #590098=CARTESIAN_POINT('Origin',(-3.3505,1.9,0.0749999999999998)); #590099=CARTESIAN_POINT('Origin',(-3.3505,1.6,0.0749999999999998)); #590100=CARTESIAN_POINT('Origin',(-3.15,1.1,0.0499999999999997)); #590101=CARTESIAN_POINT('',(-3.3,1.1,0.0499999999999997)); #590102=CARTESIAN_POINT('',(-3.3,1.4,0.0499999999999997)); #590103=CARTESIAN_POINT('Origin',(-3.3,1.25,0.0500000000000014)); #590104=CARTESIAN_POINT('',(-3.55,1.4,0.0499999999999997)); #590105=CARTESIAN_POINT('',(-3.15,1.4,0.0499999999999997)); #590106=CARTESIAN_POINT('',(-3.55,1.1,0.0499999999999997)); #590107=CARTESIAN_POINT('',(-3.55,1.1,0.0499999999999997)); #590108=CARTESIAN_POINT('',(-3.15,1.1,0.0499999999999997)); #590109=CARTESIAN_POINT('Origin',(-3.3,1.25,0.0375000000000003)); #590110=CARTESIAN_POINT('',(-3.3,1.1,-6.07153216591882E-17)); #590111=CARTESIAN_POINT('',(-3.3,1.1,0.0375000000000003)); #590112=CARTESIAN_POINT('',(-3.3,1.4,-6.07153216591882E-17)); #590113=CARTESIAN_POINT('Origin',(-3.3,1.25,-2.84928330929191E-15)); #590114=CARTESIAN_POINT('',(-3.3,1.4,0.0375000000000003)); #590115=CARTESIAN_POINT('Origin',(-3.55,1.1,0.15)); #590116=CARTESIAN_POINT('',(-3.55,1.1,0.15)); #590117=CARTESIAN_POINT('',(-3.551,1.1,0.15)); #590118=CARTESIAN_POINT('',(-3.55,1.1,0.15)); #590119=CARTESIAN_POINT('',(-3.55,1.4,0.15)); #590120=CARTESIAN_POINT('',(-3.55,1.1,0.15)); #590121=CARTESIAN_POINT('',(-3.551,1.4,0.15)); #590122=CARTESIAN_POINT('',(-3.55,1.4,0.15)); #590123=CARTESIAN_POINT('',(-3.551,1.1,0.15)); #590124=CARTESIAN_POINT('Origin',(-3.55,1.1,0.0499999999999997)); #590125=CARTESIAN_POINT('',(-3.55,1.1,-6.07153216591882E-17)); #590126=CARTESIAN_POINT('',(-3.55,1.4,-6.07153216591882E-17)); #590127=CARTESIAN_POINT('Origin',(-3.551,1.1,-6.07153216591882E-17)); #590128=CARTESIAN_POINT('',(-3.551,1.1,-6.93889390390723E-17)); #590129=CARTESIAN_POINT('',(-3.551,1.1,-6.07153216591882E-17)); #590130=CARTESIAN_POINT('',(-3.551,1.4,-6.93889390390723E-17)); #590131=CARTESIAN_POINT('',(-3.551,1.1,-6.93889390390723E-17)); #590132=CARTESIAN_POINT('',(-3.551,1.4,-6.07153216591882E-17)); #590133=CARTESIAN_POINT('Origin',(-3.551,1.1,0.15)); #590134=CARTESIAN_POINT('',(-3.551,1.1,0.15)); #590135=CARTESIAN_POINT('',(-3.551,1.4,0.15)); #590136=CARTESIAN_POINT('Origin',(-3.3505,1.4,0.0749999999999998)); #590137=CARTESIAN_POINT('Origin',(-3.3505,1.1,0.0749999999999998)); #590138=CARTESIAN_POINT('Origin',(-3.15,0.6,0.0499999999999997)); #590139=CARTESIAN_POINT('',(-3.3,0.6,0.0499999999999997)); #590140=CARTESIAN_POINT('',(-3.3,0.9,0.0499999999999997)); #590141=CARTESIAN_POINT('Origin',(-3.3,0.75,0.0500000000000014)); #590142=CARTESIAN_POINT('',(-3.55,0.9,0.0499999999999997)); #590143=CARTESIAN_POINT('',(-3.15,0.9,0.0499999999999997)); #590144=CARTESIAN_POINT('',(-3.55,0.6,0.0499999999999997)); #590145=CARTESIAN_POINT('',(-3.55,0.6,0.0499999999999997)); #590146=CARTESIAN_POINT('',(-3.15,0.6,0.0499999999999997)); #590147=CARTESIAN_POINT('Origin',(-3.3,0.75,0.0375000000000003)); #590148=CARTESIAN_POINT('',(-3.3,0.6,-6.07153216591882E-17)); #590149=CARTESIAN_POINT('',(-3.3,0.6,0.0375000000000003)); #590150=CARTESIAN_POINT('',(-3.3,0.9,-6.07153216591882E-17)); #590151=CARTESIAN_POINT('Origin',(-3.3,0.75,-2.84928330929191E-15)); #590152=CARTESIAN_POINT('',(-3.3,0.9,0.0375000000000003)); #590153=CARTESIAN_POINT('Origin',(-3.55,0.6,0.15)); #590154=CARTESIAN_POINT('',(-3.55,0.6,0.15)); #590155=CARTESIAN_POINT('',(-3.551,0.6,0.15)); #590156=CARTESIAN_POINT('',(-3.55,0.6,0.15)); #590157=CARTESIAN_POINT('',(-3.55,0.9,0.15)); #590158=CARTESIAN_POINT('',(-3.55,0.6,0.15)); #590159=CARTESIAN_POINT('',(-3.551,0.9,0.15)); #590160=CARTESIAN_POINT('',(-3.55,0.9,0.15)); #590161=CARTESIAN_POINT('',(-3.551,0.6,0.15)); #590162=CARTESIAN_POINT('Origin',(-3.55,0.6,0.0499999999999997)); #590163=CARTESIAN_POINT('',(-3.55,0.6,-6.07153216591882E-17)); #590164=CARTESIAN_POINT('',(-3.55,0.9,-6.07153216591882E-17)); #590165=CARTESIAN_POINT('Origin',(-3.551,0.6,-6.07153216591882E-17)); #590166=CARTESIAN_POINT('',(-3.551,0.6,-6.93889390390723E-17)); #590167=CARTESIAN_POINT('',(-3.551,0.6,-6.07153216591882E-17)); #590168=CARTESIAN_POINT('',(-3.551,0.9,-6.93889390390723E-17)); #590169=CARTESIAN_POINT('',(-3.551,0.6,-6.93889390390723E-17)); #590170=CARTESIAN_POINT('',(-3.551,0.9,-6.07153216591882E-17)); #590171=CARTESIAN_POINT('Origin',(-3.551,0.6,0.15)); #590172=CARTESIAN_POINT('',(-3.551,0.6,0.15)); #590173=CARTESIAN_POINT('',(-3.551,0.9,0.15)); #590174=CARTESIAN_POINT('Origin',(-3.3505,0.9,0.0749999999999998)); #590175=CARTESIAN_POINT('Origin',(-3.3505,0.6,0.0749999999999998)); #590176=CARTESIAN_POINT('Origin',(-3.15,0.1,0.0499999999999997)); #590177=CARTESIAN_POINT('',(-3.3,0.1,0.0499999999999997)); #590178=CARTESIAN_POINT('',(-3.3,0.4,0.0499999999999997)); #590179=CARTESIAN_POINT('Origin',(-3.3,0.25,0.0500000000000014)); #590180=CARTESIAN_POINT('',(-3.55,0.4,0.0499999999999997)); #590181=CARTESIAN_POINT('',(-3.15,0.4,0.0499999999999997)); #590182=CARTESIAN_POINT('',(-3.55,0.1,0.0499999999999997)); #590183=CARTESIAN_POINT('',(-3.55,0.1,0.0499999999999997)); #590184=CARTESIAN_POINT('',(-3.15,0.1,0.0499999999999997)); #590185=CARTESIAN_POINT('Origin',(-3.3,0.25,0.0375000000000003)); #590186=CARTESIAN_POINT('',(-3.3,0.1,-6.07153216591882E-17)); #590187=CARTESIAN_POINT('',(-3.3,0.1,0.0375000000000003)); #590188=CARTESIAN_POINT('',(-3.3,0.4,-6.07153216591882E-17)); #590189=CARTESIAN_POINT('Origin',(-3.3,0.25,-2.84928330929191E-15)); #590190=CARTESIAN_POINT('',(-3.3,0.4,0.0375000000000003)); #590191=CARTESIAN_POINT('Origin',(-3.55,0.1,0.15)); #590192=CARTESIAN_POINT('',(-3.55,0.1,0.15)); #590193=CARTESIAN_POINT('',(-3.551,0.1,0.15)); #590194=CARTESIAN_POINT('',(-3.55,0.1,0.15)); #590195=CARTESIAN_POINT('',(-3.55,0.4,0.15)); #590196=CARTESIAN_POINT('',(-3.55,0.1,0.15)); #590197=CARTESIAN_POINT('',(-3.551,0.4,0.15)); #590198=CARTESIAN_POINT('',(-3.55,0.4,0.15)); #590199=CARTESIAN_POINT('',(-3.551,0.1,0.15)); #590200=CARTESIAN_POINT('Origin',(-3.55,0.1,0.0499999999999997)); #590201=CARTESIAN_POINT('',(-3.55,0.1,-6.07153216591882E-17)); #590202=CARTESIAN_POINT('',(-3.55,0.4,-6.07153216591882E-17)); #590203=CARTESIAN_POINT('Origin',(-3.551,0.1,-6.07153216591882E-17)); #590204=CARTESIAN_POINT('',(-3.551,0.1,-6.93889390390723E-17)); #590205=CARTESIAN_POINT('',(-3.551,0.1,-6.07153216591882E-17)); #590206=CARTESIAN_POINT('',(-3.551,0.4,-6.93889390390723E-17)); #590207=CARTESIAN_POINT('',(-3.551,0.1,-6.93889390390723E-17)); #590208=CARTESIAN_POINT('',(-3.551,0.4,-6.07153216591882E-17)); #590209=CARTESIAN_POINT('Origin',(-3.551,0.1,0.15)); #590210=CARTESIAN_POINT('',(-3.551,0.1,0.15)); #590211=CARTESIAN_POINT('',(-3.551,0.4,0.15)); #590212=CARTESIAN_POINT('Origin',(-3.3505,0.4,0.0749999999999998)); #590213=CARTESIAN_POINT('Origin',(-3.3505,0.1,0.0749999999999998)); #590214=CARTESIAN_POINT('Origin',(-3.15,-0.4,0.0499999999999997)); #590215=CARTESIAN_POINT('',(-3.3,-0.4,0.0499999999999997)); #590216=CARTESIAN_POINT('',(-3.3,-0.1,0.0499999999999997)); #590217=CARTESIAN_POINT('Origin',(-3.3,-0.25,0.0500000000000014)); #590218=CARTESIAN_POINT('',(-3.55,-0.1,0.0499999999999997)); #590219=CARTESIAN_POINT('',(-3.15,-0.1,0.0499999999999997)); #590220=CARTESIAN_POINT('',(-3.55,-0.4,0.0499999999999997)); #590221=CARTESIAN_POINT('',(-3.55,-0.4,0.0499999999999997)); #590222=CARTESIAN_POINT('',(-3.15,-0.4,0.0499999999999997)); #590223=CARTESIAN_POINT('Origin',(-3.3,-0.25,0.0375000000000003)); #590224=CARTESIAN_POINT('',(-3.3,-0.4,-6.07153216591882E-17)); #590225=CARTESIAN_POINT('',(-3.3,-0.4,0.0375000000000003)); #590226=CARTESIAN_POINT('',(-3.3,-0.1,-6.07153216591882E-17)); #590227=CARTESIAN_POINT('Origin',(-3.3,-0.25,-2.84928330929191E-15)); #590228=CARTESIAN_POINT('',(-3.3,-0.1,0.0375000000000003)); #590229=CARTESIAN_POINT('Origin',(-3.55,-0.4,0.15)); #590230=CARTESIAN_POINT('',(-3.55,-0.4,0.15)); #590231=CARTESIAN_POINT('',(-3.551,-0.4,0.15)); #590232=CARTESIAN_POINT('',(-3.55,-0.4,0.15)); #590233=CARTESIAN_POINT('',(-3.55,-0.1,0.15)); #590234=CARTESIAN_POINT('',(-3.55,-0.4,0.15)); #590235=CARTESIAN_POINT('',(-3.551,-0.1,0.15)); #590236=CARTESIAN_POINT('',(-3.55,-0.1,0.15)); #590237=CARTESIAN_POINT('',(-3.551,-0.4,0.15)); #590238=CARTESIAN_POINT('Origin',(-3.55,-0.4,0.0499999999999997)); #590239=CARTESIAN_POINT('',(-3.55,-0.4,-6.07153216591882E-17)); #590240=CARTESIAN_POINT('',(-3.55,-0.1,-6.07153216591882E-17)); #590241=CARTESIAN_POINT('Origin',(-3.551,-0.4,-6.07153216591882E-17)); #590242=CARTESIAN_POINT('',(-3.551,-0.4,-6.93889390390723E-17)); #590243=CARTESIAN_POINT('',(-3.551,-0.4,-6.07153216591882E-17)); #590244=CARTESIAN_POINT('',(-3.551,-0.1,-6.93889390390723E-17)); #590245=CARTESIAN_POINT('',(-3.551,-0.4,-6.93889390390723E-17)); #590246=CARTESIAN_POINT('',(-3.551,-0.1,-6.07153216591882E-17)); #590247=CARTESIAN_POINT('Origin',(-3.551,-0.4,0.15)); #590248=CARTESIAN_POINT('',(-3.551,-0.4,0.15)); #590249=CARTESIAN_POINT('',(-3.551,-0.1,0.15)); #590250=CARTESIAN_POINT('Origin',(-3.3505,-0.1,0.0749999999999998)); #590251=CARTESIAN_POINT('Origin',(-3.3505,-0.4,0.0749999999999998)); #590252=CARTESIAN_POINT('Origin',(-3.15,-0.9,0.0499999999999997)); #590253=CARTESIAN_POINT('',(-3.3,-0.9,0.0499999999999997)); #590254=CARTESIAN_POINT('',(-3.3,-0.6,0.0499999999999997)); #590255=CARTESIAN_POINT('Origin',(-3.3,-0.75,0.0500000000000014)); #590256=CARTESIAN_POINT('',(-3.55,-0.6,0.0499999999999997)); #590257=CARTESIAN_POINT('',(-3.15,-0.6,0.0499999999999997)); #590258=CARTESIAN_POINT('',(-3.55,-0.9,0.0499999999999997)); #590259=CARTESIAN_POINT('',(-3.55,-0.9,0.0499999999999997)); #590260=CARTESIAN_POINT('',(-3.15,-0.9,0.0499999999999997)); #590261=CARTESIAN_POINT('Origin',(-3.3,-0.75,0.0375000000000003)); #590262=CARTESIAN_POINT('',(-3.3,-0.9,-6.07153216591882E-17)); #590263=CARTESIAN_POINT('',(-3.3,-0.9,0.0375000000000003)); #590264=CARTESIAN_POINT('',(-3.3,-0.6,-6.07153216591882E-17)); #590265=CARTESIAN_POINT('Origin',(-3.3,-0.75,-2.84928330929191E-15)); #590266=CARTESIAN_POINT('',(-3.3,-0.6,0.0375000000000003)); #590267=CARTESIAN_POINT('Origin',(-3.55,-0.9,0.15)); #590268=CARTESIAN_POINT('',(-3.55,-0.9,0.15)); #590269=CARTESIAN_POINT('',(-3.551,-0.9,0.15)); #590270=CARTESIAN_POINT('',(-3.55,-0.9,0.15)); #590271=CARTESIAN_POINT('',(-3.55,-0.6,0.15)); #590272=CARTESIAN_POINT('',(-3.55,-0.9,0.15)); #590273=CARTESIAN_POINT('',(-3.551,-0.6,0.15)); #590274=CARTESIAN_POINT('',(-3.55,-0.6,0.15)); #590275=CARTESIAN_POINT('',(-3.551,-0.9,0.15)); #590276=CARTESIAN_POINT('Origin',(-3.55,-0.9,0.0499999999999997)); #590277=CARTESIAN_POINT('',(-3.55,-0.9,-6.07153216591882E-17)); #590278=CARTESIAN_POINT('',(-3.55,-0.6,-6.07153216591882E-17)); #590279=CARTESIAN_POINT('Origin',(-3.551,-0.9,-6.07153216591882E-17)); #590280=CARTESIAN_POINT('',(-3.551,-0.9,-6.93889390390723E-17)); #590281=CARTESIAN_POINT('',(-3.551,-0.9,-6.07153216591882E-17)); #590282=CARTESIAN_POINT('',(-3.551,-0.6,-6.93889390390723E-17)); #590283=CARTESIAN_POINT('',(-3.551,-0.9,-6.93889390390723E-17)); #590284=CARTESIAN_POINT('',(-3.551,-0.6,-6.07153216591882E-17)); #590285=CARTESIAN_POINT('Origin',(-3.551,-0.9,0.15)); #590286=CARTESIAN_POINT('',(-3.551,-0.9,0.15)); #590287=CARTESIAN_POINT('',(-3.551,-0.6,0.15)); #590288=CARTESIAN_POINT('Origin',(-3.3505,-0.6,0.0749999999999998)); #590289=CARTESIAN_POINT('Origin',(-3.3505,-0.9,0.0749999999999998)); #590290=CARTESIAN_POINT('Origin',(-3.15,-1.4,0.0499999999999997)); #590291=CARTESIAN_POINT('',(-3.3,-1.4,0.0499999999999997)); #590292=CARTESIAN_POINT('',(-3.3,-1.1,0.0499999999999997)); #590293=CARTESIAN_POINT('Origin',(-3.3,-1.25,0.0500000000000014)); #590294=CARTESIAN_POINT('',(-3.55,-1.1,0.0499999999999997)); #590295=CARTESIAN_POINT('',(-3.15,-1.1,0.0499999999999997)); #590296=CARTESIAN_POINT('',(-3.55,-1.4,0.0499999999999997)); #590297=CARTESIAN_POINT('',(-3.55,-1.4,0.0499999999999997)); #590298=CARTESIAN_POINT('',(-3.15,-1.4,0.0499999999999997)); #590299=CARTESIAN_POINT('Origin',(-3.3,-1.25,0.0375000000000003)); #590300=CARTESIAN_POINT('',(-3.3,-1.4,-6.07153216591882E-17)); #590301=CARTESIAN_POINT('',(-3.3,-1.4,0.0375000000000003)); #590302=CARTESIAN_POINT('',(-3.3,-1.1,-6.07153216591882E-17)); #590303=CARTESIAN_POINT('Origin',(-3.3,-1.25,-2.84928330929191E-15)); #590304=CARTESIAN_POINT('',(-3.3,-1.1,0.0375000000000003)); #590305=CARTESIAN_POINT('Origin',(-3.55,-1.4,0.15)); #590306=CARTESIAN_POINT('',(-3.55,-1.4,0.15)); #590307=CARTESIAN_POINT('',(-3.551,-1.4,0.15)); #590308=CARTESIAN_POINT('',(-3.55,-1.4,0.15)); #590309=CARTESIAN_POINT('',(-3.55,-1.1,0.15)); #590310=CARTESIAN_POINT('',(-3.55,-1.4,0.15)); #590311=CARTESIAN_POINT('',(-3.551,-1.1,0.15)); #590312=CARTESIAN_POINT('',(-3.55,-1.1,0.15)); #590313=CARTESIAN_POINT('',(-3.551,-1.4,0.15)); #590314=CARTESIAN_POINT('Origin',(-3.55,-1.4,0.0499999999999997)); #590315=CARTESIAN_POINT('',(-3.55,-1.4,-6.07153216591882E-17)); #590316=CARTESIAN_POINT('',(-3.55,-1.1,-6.07153216591882E-17)); #590317=CARTESIAN_POINT('Origin',(-3.551,-1.4,-6.07153216591882E-17)); #590318=CARTESIAN_POINT('',(-3.551,-1.4,-6.93889390390723E-17)); #590319=CARTESIAN_POINT('',(-3.551,-1.4,-6.07153216591882E-17)); #590320=CARTESIAN_POINT('',(-3.551,-1.1,-6.93889390390723E-17)); #590321=CARTESIAN_POINT('',(-3.551,-1.4,-6.93889390390723E-17)); #590322=CARTESIAN_POINT('',(-3.551,-1.1,-6.07153216591882E-17)); #590323=CARTESIAN_POINT('Origin',(-3.551,-1.4,0.15)); #590324=CARTESIAN_POINT('',(-3.551,-1.4,0.15)); #590325=CARTESIAN_POINT('',(-3.551,-1.1,0.15)); #590326=CARTESIAN_POINT('Origin',(-3.3505,-1.1,0.0749999999999998)); #590327=CARTESIAN_POINT('Origin',(-3.3505,-1.4,0.0749999999999998)); #590328=CARTESIAN_POINT('Origin',(-3.15,-1.9,0.0499999999999997)); #590329=CARTESIAN_POINT('',(-3.3,-1.9,0.0499999999999997)); #590330=CARTESIAN_POINT('',(-3.3,-1.6,0.0499999999999997)); #590331=CARTESIAN_POINT('Origin',(-3.3,-1.75,0.0500000000000014)); #590332=CARTESIAN_POINT('',(-3.55,-1.6,0.0499999999999997)); #590333=CARTESIAN_POINT('',(-3.15,-1.6,0.0499999999999997)); #590334=CARTESIAN_POINT('',(-3.55,-1.9,0.0499999999999997)); #590335=CARTESIAN_POINT('',(-3.55,-1.9,0.0499999999999997)); #590336=CARTESIAN_POINT('',(-3.15,-1.9,0.0499999999999997)); #590337=CARTESIAN_POINT('Origin',(-3.3,-1.75,0.0375000000000003)); #590338=CARTESIAN_POINT('',(-3.3,-1.9,-6.07153216591882E-17)); #590339=CARTESIAN_POINT('',(-3.3,-1.9,0.0375000000000003)); #590340=CARTESIAN_POINT('',(-3.3,-1.6,-6.07153216591882E-17)); #590341=CARTESIAN_POINT('Origin',(-3.3,-1.75,-2.84928330929191E-15)); #590342=CARTESIAN_POINT('',(-3.3,-1.6,0.0375000000000003)); #590343=CARTESIAN_POINT('Origin',(-3.55,-1.9,0.15)); #590344=CARTESIAN_POINT('',(-3.55,-1.9,0.15)); #590345=CARTESIAN_POINT('',(-3.551,-1.9,0.15)); #590346=CARTESIAN_POINT('',(-3.55,-1.9,0.15)); #590347=CARTESIAN_POINT('',(-3.55,-1.6,0.15)); #590348=CARTESIAN_POINT('',(-3.55,-1.9,0.15)); #590349=CARTESIAN_POINT('',(-3.551,-1.6,0.15)); #590350=CARTESIAN_POINT('',(-3.55,-1.6,0.15)); #590351=CARTESIAN_POINT('',(-3.551,-1.9,0.15)); #590352=CARTESIAN_POINT('Origin',(-3.55,-1.9,0.0499999999999997)); #590353=CARTESIAN_POINT('',(-3.55,-1.9,-6.07153216591882E-17)); #590354=CARTESIAN_POINT('',(-3.55,-1.6,-6.07153216591882E-17)); #590355=CARTESIAN_POINT('Origin',(-3.551,-1.9,-6.07153216591882E-17)); #590356=CARTESIAN_POINT('',(-3.551,-1.9,-6.93889390390723E-17)); #590357=CARTESIAN_POINT('',(-3.551,-1.9,-6.07153216591882E-17)); #590358=CARTESIAN_POINT('',(-3.551,-1.6,-6.93889390390723E-17)); #590359=CARTESIAN_POINT('',(-3.551,-1.9,-6.93889390390723E-17)); #590360=CARTESIAN_POINT('',(-3.551,-1.6,-6.07153216591882E-17)); #590361=CARTESIAN_POINT('Origin',(-3.551,-1.9,0.15)); #590362=CARTESIAN_POINT('',(-3.551,-1.9,0.15)); #590363=CARTESIAN_POINT('',(-3.551,-1.6,0.15)); #590364=CARTESIAN_POINT('Origin',(-3.3505,-1.6,0.0749999999999998)); #590365=CARTESIAN_POINT('Origin',(-3.3505,-1.9,0.0749999999999998)); #590366=CARTESIAN_POINT('Origin',(-3.15,-2.4,0.0499999999999997)); #590367=CARTESIAN_POINT('',(-3.3,-2.4,0.0499999999999997)); #590368=CARTESIAN_POINT('',(-3.3,-2.1,0.0499999999999997)); #590369=CARTESIAN_POINT('Origin',(-3.3,-2.25,0.0500000000000014)); #590370=CARTESIAN_POINT('',(-3.55,-2.1,0.0499999999999997)); #590371=CARTESIAN_POINT('',(-3.15,-2.1,0.0499999999999997)); #590372=CARTESIAN_POINT('',(-3.55,-2.4,0.0499999999999997)); #590373=CARTESIAN_POINT('',(-3.55,-2.4,0.0499999999999997)); #590374=CARTESIAN_POINT('',(-3.15,-2.4,0.0499999999999997)); #590375=CARTESIAN_POINT('Origin',(-3.3,-2.25,0.0375000000000003)); #590376=CARTESIAN_POINT('',(-3.3,-2.4,-6.07153216591882E-17)); #590377=CARTESIAN_POINT('',(-3.3,-2.4,0.0375000000000003)); #590378=CARTESIAN_POINT('',(-3.3,-2.1,-6.07153216591882E-17)); #590379=CARTESIAN_POINT('Origin',(-3.3,-2.25,-2.84928330929191E-15)); #590380=CARTESIAN_POINT('',(-3.3,-2.1,0.0375000000000003)); #590381=CARTESIAN_POINT('Origin',(-3.55,-2.4,0.15)); #590382=CARTESIAN_POINT('',(-3.55,-2.4,0.15)); #590383=CARTESIAN_POINT('',(-3.551,-2.4,0.15)); #590384=CARTESIAN_POINT('',(-3.55,-2.4,0.15)); #590385=CARTESIAN_POINT('',(-3.55,-2.1,0.15)); #590386=CARTESIAN_POINT('',(-3.55,-2.4,0.15)); #590387=CARTESIAN_POINT('',(-3.551,-2.1,0.15)); #590388=CARTESIAN_POINT('',(-3.55,-2.1,0.15)); #590389=CARTESIAN_POINT('',(-3.551,-2.4,0.15)); #590390=CARTESIAN_POINT('Origin',(-3.55,-2.4,0.0499999999999997)); #590391=CARTESIAN_POINT('',(-3.55,-2.4,-6.07153216591882E-17)); #590392=CARTESIAN_POINT('',(-3.55,-2.1,-6.07153216591882E-17)); #590393=CARTESIAN_POINT('Origin',(-3.551,-2.4,-6.07153216591882E-17)); #590394=CARTESIAN_POINT('',(-3.551,-2.4,-6.93889390390723E-17)); #590395=CARTESIAN_POINT('',(-3.551,-2.4,-6.07153216591882E-17)); #590396=CARTESIAN_POINT('',(-3.551,-2.1,-6.93889390390723E-17)); #590397=CARTESIAN_POINT('',(-3.551,-2.4,-6.93889390390723E-17)); #590398=CARTESIAN_POINT('',(-3.551,-2.1,-6.07153216591882E-17)); #590399=CARTESIAN_POINT('Origin',(-3.551,-2.4,0.15)); #590400=CARTESIAN_POINT('',(-3.551,-2.4,0.15)); #590401=CARTESIAN_POINT('',(-3.551,-2.1,0.15)); #590402=CARTESIAN_POINT('Origin',(-3.3505,-2.1,0.0749999999999998)); #590403=CARTESIAN_POINT('Origin',(-3.3505,-2.4,0.0749999999999998)); #590404=CARTESIAN_POINT('Origin',(-3.15,-2.9,0.0499999999999997)); #590405=CARTESIAN_POINT('',(-3.3,-2.9,0.0499999999999997)); #590406=CARTESIAN_POINT('',(-3.3,-2.6,0.0499999999999997)); #590407=CARTESIAN_POINT('Origin',(-3.3,-2.75,0.0500000000000014)); #590408=CARTESIAN_POINT('',(-3.55,-2.6,0.0499999999999997)); #590409=CARTESIAN_POINT('',(-3.15,-2.6,0.0499999999999997)); #590410=CARTESIAN_POINT('',(-3.55,-2.9,0.0499999999999997)); #590411=CARTESIAN_POINT('',(-3.55,-2.9,0.0499999999999997)); #590412=CARTESIAN_POINT('',(-3.15,-2.9,0.0499999999999997)); #590413=CARTESIAN_POINT('Origin',(-3.3,-2.75,0.0375000000000003)); #590414=CARTESIAN_POINT('',(-3.3,-2.9,-6.07153216591882E-17)); #590415=CARTESIAN_POINT('',(-3.3,-2.9,0.0375000000000003)); #590416=CARTESIAN_POINT('',(-3.3,-2.6,-6.07153216591882E-17)); #590417=CARTESIAN_POINT('Origin',(-3.3,-2.75,-2.84928330929191E-15)); #590418=CARTESIAN_POINT('',(-3.3,-2.6,0.0375000000000003)); #590419=CARTESIAN_POINT('Origin',(-3.55,-2.9,0.15)); #590420=CARTESIAN_POINT('',(-3.55,-2.9,0.15)); #590421=CARTESIAN_POINT('',(-3.551,-2.9,0.15)); #590422=CARTESIAN_POINT('',(-3.55,-2.9,0.15)); #590423=CARTESIAN_POINT('',(-3.55,-2.6,0.15)); #590424=CARTESIAN_POINT('',(-3.55,-2.9,0.15)); #590425=CARTESIAN_POINT('',(-3.551,-2.6,0.15)); #590426=CARTESIAN_POINT('',(-3.55,-2.6,0.15)); #590427=CARTESIAN_POINT('',(-3.551,-2.9,0.15)); #590428=CARTESIAN_POINT('Origin',(-3.55,-2.9,0.0499999999999997)); #590429=CARTESIAN_POINT('',(-3.55,-2.9,-6.07153216591882E-17)); #590430=CARTESIAN_POINT('',(-3.55,-2.6,-6.07153216591882E-17)); #590431=CARTESIAN_POINT('Origin',(-3.551,-2.9,-6.07153216591882E-17)); #590432=CARTESIAN_POINT('',(-3.551,-2.9,-6.93889390390723E-17)); #590433=CARTESIAN_POINT('',(-3.551,-2.9,-6.07153216591882E-17)); #590434=CARTESIAN_POINT('',(-3.551,-2.6,-6.93889390390723E-17)); #590435=CARTESIAN_POINT('',(-3.551,-2.9,-6.93889390390723E-17)); #590436=CARTESIAN_POINT('',(-3.551,-2.6,-6.07153216591882E-17)); #590437=CARTESIAN_POINT('Origin',(-3.551,-2.9,0.15)); #590438=CARTESIAN_POINT('',(-3.551,-2.9,0.15)); #590439=CARTESIAN_POINT('',(-3.551,-2.6,0.15)); #590440=CARTESIAN_POINT('Origin',(-3.3505,-2.6,0.0749999999999998)); #590441=CARTESIAN_POINT('Origin',(-3.3505,-2.9,0.0749999999999998)); #590442=CARTESIAN_POINT('Origin',(2.6,3.15,0.)); #590443=CARTESIAN_POINT('',(2.6,3.3,0.)); #590444=CARTESIAN_POINT('',(2.9,3.3,0.)); #590445=CARTESIAN_POINT('Origin',(2.75,3.3,0.)); #590446=CARTESIAN_POINT('',(2.6,3.551,-1.76027756664041E-18)); #590447=CARTESIAN_POINT('',(2.6,3.55,0.)); #590448=CARTESIAN_POINT('',(2.9,3.551,-1.76027756664041E-18)); #590449=CARTESIAN_POINT('',(2.6,3.551,-1.76027756664041E-18)); #590450=CARTESIAN_POINT('',(2.9,3.55,0.)); #590451=CARTESIAN_POINT('Origin',(2.75,3.3,0.0625)); #590452=CARTESIAN_POINT('',(2.9,3.3,0.05)); #590453=CARTESIAN_POINT('',(2.6,3.3,0.05)); #590454=CARTESIAN_POINT('Origin',(2.75,3.3,0.05)); #590455=CARTESIAN_POINT('',(2.6,3.3,0.0625)); #590456=CARTESIAN_POINT('',(2.9,3.3,0.0625)); #590457=CARTESIAN_POINT('Origin',(2.6,3.55,0.05)); #590458=CARTESIAN_POINT('',(2.9,3.55,0.05)); #590459=CARTESIAN_POINT('',(2.9,3.15,0.05)); #590460=CARTESIAN_POINT('',(2.6,3.55,0.05)); #590461=CARTESIAN_POINT('',(2.6,3.55,0.05)); #590462=CARTESIAN_POINT('',(2.6,3.15,0.05)); #590463=CARTESIAN_POINT('Origin',(2.6,3.55,0.15)); #590464=CARTESIAN_POINT('',(2.6,3.55,0.15)); #590465=CARTESIAN_POINT('',(2.6,3.55,0.15)); #590466=CARTESIAN_POINT('',(2.9,3.55,0.15)); #590467=CARTESIAN_POINT('',(2.9,3.55,0.15)); #590468=CARTESIAN_POINT('',(2.6,3.55,0.15)); #590469=CARTESIAN_POINT('Origin',(2.6,3.551,0.15)); #590470=CARTESIAN_POINT('',(2.6,3.551,0.15)); #590471=CARTESIAN_POINT('',(2.6,3.551,0.15)); #590472=CARTESIAN_POINT('',(2.9,3.551,0.15)); #590473=CARTESIAN_POINT('',(2.9,3.551,0.15)); #590474=CARTESIAN_POINT('',(2.6,3.551,0.15)); #590475=CARTESIAN_POINT('Origin',(2.6,3.551,-1.76027756664041E-18)); #590476=CARTESIAN_POINT('',(2.6,3.551,-1.76027756664041E-18)); #590477=CARTESIAN_POINT('',(2.9,3.551,-1.76027756664041E-18)); #590478=CARTESIAN_POINT('Origin',(2.9,3.3505,0.075)); #590479=CARTESIAN_POINT('Origin',(2.6,3.3505,0.075)); #590480=CARTESIAN_POINT('Origin',(2.6,-3.15,0.)); #590481=CARTESIAN_POINT('',(2.6,-3.3,0.)); #590482=CARTESIAN_POINT('',(2.9,-3.3,0.)); #590483=CARTESIAN_POINT('Origin',(2.75,-3.3,0.)); #590484=CARTESIAN_POINT('',(2.9,-3.551,-1.76027756664041E-18)); #590485=CARTESIAN_POINT('',(2.9,-3.55,0.)); #590486=CARTESIAN_POINT('',(2.6,-3.551,-1.76027756664041E-18)); #590487=CARTESIAN_POINT('',(2.6,-3.551,-1.76027756664041E-18)); #590488=CARTESIAN_POINT('',(2.6,-3.55,0.)); #590489=CARTESIAN_POINT('Origin',(2.75,-3.3,0.0625)); #590490=CARTESIAN_POINT('',(2.9,-3.3,0.05)); #590491=CARTESIAN_POINT('',(2.6,-3.3,0.05)); #590492=CARTESIAN_POINT('Origin',(2.75,-3.3,0.05)); #590493=CARTESIAN_POINT('',(2.9,-3.3,0.0625)); #590494=CARTESIAN_POINT('',(2.6,-3.3,0.0625)); #590495=CARTESIAN_POINT('Origin',(2.6,-3.55,0.05)); #590496=CARTESIAN_POINT('',(2.6,-3.55,0.05)); #590497=CARTESIAN_POINT('',(2.6,-3.15,0.05)); #590498=CARTESIAN_POINT('',(2.9,-3.55,0.05)); #590499=CARTESIAN_POINT('',(2.6,-3.55,0.05)); #590500=CARTESIAN_POINT('',(2.9,-3.15,0.05)); #590501=CARTESIAN_POINT('Origin',(2.6,-3.55,0.15)); #590502=CARTESIAN_POINT('',(2.6,-3.55,0.15)); #590503=CARTESIAN_POINT('',(2.6,-3.55,0.15)); #590504=CARTESIAN_POINT('',(2.9,-3.55,0.15)); #590505=CARTESIAN_POINT('',(2.6,-3.55,0.15)); #590506=CARTESIAN_POINT('',(2.9,-3.55,0.15)); #590507=CARTESIAN_POINT('Origin',(2.6,-3.551,0.15)); #590508=CARTESIAN_POINT('',(2.6,-3.551,0.15)); #590509=CARTESIAN_POINT('',(2.6,-3.551,0.15)); #590510=CARTESIAN_POINT('',(2.9,-3.551,0.15)); #590511=CARTESIAN_POINT('',(2.6,-3.551,0.15)); #590512=CARTESIAN_POINT('',(2.9,-3.551,0.15)); #590513=CARTESIAN_POINT('Origin',(2.6,-3.551,-1.76027756664041E-18)); #590514=CARTESIAN_POINT('',(2.6,-3.551,-1.76027756664041E-18)); #590515=CARTESIAN_POINT('',(2.9,-3.551,-1.76027756664041E-18)); #590516=CARTESIAN_POINT('Origin',(2.9,-3.3505,0.075)); #590517=CARTESIAN_POINT('Origin',(2.6,-3.3505,0.075)); #590518=CARTESIAN_POINT('Origin',(2.1,3.15,0.)); #590519=CARTESIAN_POINT('',(2.1,3.3,0.)); #590520=CARTESIAN_POINT('',(2.4,3.3,0.)); #590521=CARTESIAN_POINT('Origin',(2.25,3.3,0.)); #590522=CARTESIAN_POINT('',(2.1,3.551,-1.76027756664041E-18)); #590523=CARTESIAN_POINT('',(2.1,3.55,0.)); #590524=CARTESIAN_POINT('',(2.4,3.551,-1.76027756664041E-18)); #590525=CARTESIAN_POINT('',(2.1,3.551,-1.76027756664041E-18)); #590526=CARTESIAN_POINT('',(2.4,3.55,0.)); #590527=CARTESIAN_POINT('Origin',(2.25,3.3,0.0625)); #590528=CARTESIAN_POINT('',(2.4,3.3,0.05)); #590529=CARTESIAN_POINT('',(2.1,3.3,0.05)); #590530=CARTESIAN_POINT('Origin',(2.25,3.3,0.05)); #590531=CARTESIAN_POINT('',(2.1,3.3,0.0625)); #590532=CARTESIAN_POINT('',(2.4,3.3,0.0625)); #590533=CARTESIAN_POINT('Origin',(2.1,3.55,0.05)); #590534=CARTESIAN_POINT('',(2.4,3.55,0.05)); #590535=CARTESIAN_POINT('',(2.4,3.15,0.05)); #590536=CARTESIAN_POINT('',(2.1,3.55,0.05)); #590537=CARTESIAN_POINT('',(2.1,3.55,0.05)); #590538=CARTESIAN_POINT('',(2.1,3.15,0.05)); #590539=CARTESIAN_POINT('Origin',(2.1,3.55,0.15)); #590540=CARTESIAN_POINT('',(2.1,3.55,0.15)); #590541=CARTESIAN_POINT('',(2.1,3.55,0.15)); #590542=CARTESIAN_POINT('',(2.4,3.55,0.15)); #590543=CARTESIAN_POINT('',(2.4,3.55,0.15)); #590544=CARTESIAN_POINT('',(2.1,3.55,0.15)); #590545=CARTESIAN_POINT('Origin',(2.1,3.551,0.15)); #590546=CARTESIAN_POINT('',(2.1,3.551,0.15)); #590547=CARTESIAN_POINT('',(2.1,3.551,0.15)); #590548=CARTESIAN_POINT('',(2.4,3.551,0.15)); #590549=CARTESIAN_POINT('',(2.4,3.551,0.15)); #590550=CARTESIAN_POINT('',(2.1,3.551,0.15)); #590551=CARTESIAN_POINT('Origin',(2.1,3.551,-1.76027756664041E-18)); #590552=CARTESIAN_POINT('',(2.1,3.551,-1.76027756664041E-18)); #590553=CARTESIAN_POINT('',(2.4,3.551,-1.76027756664041E-18)); #590554=CARTESIAN_POINT('Origin',(2.4,3.3505,0.075)); #590555=CARTESIAN_POINT('Origin',(2.1,3.3505,0.075)); #590556=CARTESIAN_POINT('Origin',(1.6,3.15,0.)); #590557=CARTESIAN_POINT('',(1.6,3.3,0.)); #590558=CARTESIAN_POINT('',(1.9,3.3,0.)); #590559=CARTESIAN_POINT('Origin',(1.75,3.3,0.)); #590560=CARTESIAN_POINT('',(1.6,3.551,-1.76027756664041E-18)); #590561=CARTESIAN_POINT('',(1.6,3.55,0.)); #590562=CARTESIAN_POINT('',(1.9,3.551,-1.76027756664041E-18)); #590563=CARTESIAN_POINT('',(1.6,3.551,-1.76027756664041E-18)); #590564=CARTESIAN_POINT('',(1.9,3.55,0.)); #590565=CARTESIAN_POINT('Origin',(1.75,3.3,0.0625)); #590566=CARTESIAN_POINT('',(1.9,3.3,0.05)); #590567=CARTESIAN_POINT('',(1.6,3.3,0.05)); #590568=CARTESIAN_POINT('Origin',(1.75,3.3,0.05)); #590569=CARTESIAN_POINT('',(1.6,3.3,0.0625)); #590570=CARTESIAN_POINT('',(1.9,3.3,0.0625)); #590571=CARTESIAN_POINT('Origin',(1.6,3.55,0.05)); #590572=CARTESIAN_POINT('',(1.9,3.55,0.05)); #590573=CARTESIAN_POINT('',(1.9,3.15,0.05)); #590574=CARTESIAN_POINT('',(1.6,3.55,0.05)); #590575=CARTESIAN_POINT('',(1.6,3.55,0.05)); #590576=CARTESIAN_POINT('',(1.6,3.15,0.05)); #590577=CARTESIAN_POINT('Origin',(1.6,3.55,0.15)); #590578=CARTESIAN_POINT('',(1.6,3.55,0.15)); #590579=CARTESIAN_POINT('',(1.6,3.55,0.15)); #590580=CARTESIAN_POINT('',(1.9,3.55,0.15)); #590581=CARTESIAN_POINT('',(1.9,3.55,0.15)); #590582=CARTESIAN_POINT('',(1.6,3.55,0.15)); #590583=CARTESIAN_POINT('Origin',(1.6,3.551,0.15)); #590584=CARTESIAN_POINT('',(1.6,3.551,0.15)); #590585=CARTESIAN_POINT('',(1.6,3.551,0.15)); #590586=CARTESIAN_POINT('',(1.9,3.551,0.15)); #590587=CARTESIAN_POINT('',(1.9,3.551,0.15)); #590588=CARTESIAN_POINT('',(1.6,3.551,0.15)); #590589=CARTESIAN_POINT('Origin',(1.6,3.551,-1.76027756664041E-18)); #590590=CARTESIAN_POINT('',(1.6,3.551,-1.76027756664041E-18)); #590591=CARTESIAN_POINT('',(1.9,3.551,-1.76027756664041E-18)); #590592=CARTESIAN_POINT('Origin',(1.9,3.3505,0.075)); #590593=CARTESIAN_POINT('Origin',(1.6,3.3505,0.075)); #590594=CARTESIAN_POINT('Origin',(1.1,3.15,0.)); #590595=CARTESIAN_POINT('',(1.1,3.3,0.)); #590596=CARTESIAN_POINT('',(1.4,3.3,0.)); #590597=CARTESIAN_POINT('Origin',(1.25,3.3,0.)); #590598=CARTESIAN_POINT('',(1.1,3.551,-1.76027756664041E-18)); #590599=CARTESIAN_POINT('',(1.1,3.55,0.)); #590600=CARTESIAN_POINT('',(1.4,3.551,-1.76027756664041E-18)); #590601=CARTESIAN_POINT('',(1.1,3.551,-1.76027756664041E-18)); #590602=CARTESIAN_POINT('',(1.4,3.55,0.)); #590603=CARTESIAN_POINT('Origin',(1.25,3.3,0.0625)); #590604=CARTESIAN_POINT('',(1.4,3.3,0.05)); #590605=CARTESIAN_POINT('',(1.1,3.3,0.05)); #590606=CARTESIAN_POINT('Origin',(1.25,3.3,0.05)); #590607=CARTESIAN_POINT('',(1.1,3.3,0.0625)); #590608=CARTESIAN_POINT('',(1.4,3.3,0.0625)); #590609=CARTESIAN_POINT('Origin',(1.1,3.55,0.05)); #590610=CARTESIAN_POINT('',(1.4,3.55,0.05)); #590611=CARTESIAN_POINT('',(1.4,3.15,0.05)); #590612=CARTESIAN_POINT('',(1.1,3.55,0.05)); #590613=CARTESIAN_POINT('',(1.1,3.55,0.05)); #590614=CARTESIAN_POINT('',(1.1,3.15,0.05)); #590615=CARTESIAN_POINT('Origin',(1.1,3.55,0.15)); #590616=CARTESIAN_POINT('',(1.1,3.55,0.15)); #590617=CARTESIAN_POINT('',(1.1,3.55,0.15)); #590618=CARTESIAN_POINT('',(1.4,3.55,0.15)); #590619=CARTESIAN_POINT('',(1.4,3.55,0.15)); #590620=CARTESIAN_POINT('',(1.1,3.55,0.15)); #590621=CARTESIAN_POINT('Origin',(1.1,3.551,0.15)); #590622=CARTESIAN_POINT('',(1.1,3.551,0.15)); #590623=CARTESIAN_POINT('',(1.1,3.551,0.15)); #590624=CARTESIAN_POINT('',(1.4,3.551,0.15)); #590625=CARTESIAN_POINT('',(1.4,3.551,0.15)); #590626=CARTESIAN_POINT('',(1.1,3.551,0.15)); #590627=CARTESIAN_POINT('Origin',(1.1,3.551,-1.76027756664041E-18)); #590628=CARTESIAN_POINT('',(1.1,3.551,-1.76027756664041E-18)); #590629=CARTESIAN_POINT('',(1.4,3.551,-1.76027756664041E-18)); #590630=CARTESIAN_POINT('Origin',(1.4,3.3505,0.075)); #590631=CARTESIAN_POINT('Origin',(1.1,3.3505,0.075)); #590632=CARTESIAN_POINT('Origin',(0.6,3.15,0.)); #590633=CARTESIAN_POINT('',(0.6,3.3,0.)); #590634=CARTESIAN_POINT('',(0.9,3.3,0.)); #590635=CARTESIAN_POINT('Origin',(0.75,3.3,0.)); #590636=CARTESIAN_POINT('',(0.6,3.551,-1.76027756664041E-18)); #590637=CARTESIAN_POINT('',(0.6,3.55,0.)); #590638=CARTESIAN_POINT('',(0.9,3.551,-1.76027756664041E-18)); #590639=CARTESIAN_POINT('',(0.6,3.551,-1.76027756664041E-18)); #590640=CARTESIAN_POINT('',(0.9,3.55,0.)); #590641=CARTESIAN_POINT('Origin',(0.75,3.3,0.0625)); #590642=CARTESIAN_POINT('',(0.9,3.3,0.05)); #590643=CARTESIAN_POINT('',(0.6,3.3,0.05)); #590644=CARTESIAN_POINT('Origin',(0.75,3.3,0.05)); #590645=CARTESIAN_POINT('',(0.6,3.3,0.0625)); #590646=CARTESIAN_POINT('',(0.9,3.3,0.0625)); #590647=CARTESIAN_POINT('Origin',(0.6,3.55,0.05)); #590648=CARTESIAN_POINT('',(0.9,3.55,0.05)); #590649=CARTESIAN_POINT('',(0.9,3.15,0.05)); #590650=CARTESIAN_POINT('',(0.6,3.55,0.05)); #590651=CARTESIAN_POINT('',(0.6,3.55,0.05)); #590652=CARTESIAN_POINT('',(0.6,3.15,0.05)); #590653=CARTESIAN_POINT('Origin',(0.6,3.55,0.15)); #590654=CARTESIAN_POINT('',(0.6,3.55,0.15)); #590655=CARTESIAN_POINT('',(0.6,3.55,0.15)); #590656=CARTESIAN_POINT('',(0.9,3.55,0.15)); #590657=CARTESIAN_POINT('',(0.9,3.55,0.15)); #590658=CARTESIAN_POINT('',(0.6,3.55,0.15)); #590659=CARTESIAN_POINT('Origin',(0.6,3.551,0.15)); #590660=CARTESIAN_POINT('',(0.6,3.551,0.15)); #590661=CARTESIAN_POINT('',(0.6,3.551,0.15)); #590662=CARTESIAN_POINT('',(0.9,3.551,0.15)); #590663=CARTESIAN_POINT('',(0.9,3.551,0.15)); #590664=CARTESIAN_POINT('',(0.6,3.551,0.15)); #590665=CARTESIAN_POINT('Origin',(0.6,3.551,-1.76027756664041E-18)); #590666=CARTESIAN_POINT('',(0.6,3.551,-1.76027756664041E-18)); #590667=CARTESIAN_POINT('',(0.9,3.551,-1.76027756664041E-18)); #590668=CARTESIAN_POINT('Origin',(0.9,3.3505,0.075)); #590669=CARTESIAN_POINT('Origin',(0.6,3.3505,0.075)); #590670=CARTESIAN_POINT('Origin',(0.1,3.15,0.)); #590671=CARTESIAN_POINT('',(0.1,3.3,0.)); #590672=CARTESIAN_POINT('',(0.4,3.3,0.)); #590673=CARTESIAN_POINT('Origin',(0.25,3.3,0.)); #590674=CARTESIAN_POINT('',(0.1,3.551,-1.76027756664041E-18)); #590675=CARTESIAN_POINT('',(0.1,3.55,0.)); #590676=CARTESIAN_POINT('',(0.4,3.551,-1.76027756664041E-18)); #590677=CARTESIAN_POINT('',(0.1,3.551,-1.76027756664041E-18)); #590678=CARTESIAN_POINT('',(0.4,3.55,0.)); #590679=CARTESIAN_POINT('Origin',(0.25,3.3,0.0625)); #590680=CARTESIAN_POINT('',(0.4,3.3,0.05)); #590681=CARTESIAN_POINT('',(0.1,3.3,0.05)); #590682=CARTESIAN_POINT('Origin',(0.25,3.3,0.05)); #590683=CARTESIAN_POINT('',(0.1,3.3,0.0625)); #590684=CARTESIAN_POINT('',(0.4,3.3,0.0625)); #590685=CARTESIAN_POINT('Origin',(0.1,3.55,0.05)); #590686=CARTESIAN_POINT('',(0.4,3.55,0.05)); #590687=CARTESIAN_POINT('',(0.4,3.15,0.05)); #590688=CARTESIAN_POINT('',(0.1,3.55,0.05)); #590689=CARTESIAN_POINT('',(0.1,3.55,0.05)); #590690=CARTESIAN_POINT('',(0.1,3.15,0.05)); #590691=CARTESIAN_POINT('Origin',(0.1,3.55,0.15)); #590692=CARTESIAN_POINT('',(0.1,3.55,0.15)); #590693=CARTESIAN_POINT('',(0.1,3.55,0.15)); #590694=CARTESIAN_POINT('',(0.4,3.55,0.15)); #590695=CARTESIAN_POINT('',(0.4,3.55,0.15)); #590696=CARTESIAN_POINT('',(0.1,3.55,0.15)); #590697=CARTESIAN_POINT('Origin',(0.1,3.551,0.15)); #590698=CARTESIAN_POINT('',(0.1,3.551,0.15)); #590699=CARTESIAN_POINT('',(0.1,3.551,0.15)); #590700=CARTESIAN_POINT('',(0.4,3.551,0.15)); #590701=CARTESIAN_POINT('',(0.4,3.551,0.15)); #590702=CARTESIAN_POINT('',(0.1,3.551,0.15)); #590703=CARTESIAN_POINT('Origin',(0.1,3.551,-1.76027756664041E-18)); #590704=CARTESIAN_POINT('',(0.1,3.551,-1.76027756664041E-18)); #590705=CARTESIAN_POINT('',(0.4,3.551,-1.76027756664041E-18)); #590706=CARTESIAN_POINT('Origin',(0.4,3.3505,0.075)); #590707=CARTESIAN_POINT('Origin',(0.1,3.3505,0.075)); #590708=CARTESIAN_POINT('Origin',(-0.4,3.15,0.)); #590709=CARTESIAN_POINT('',(-0.4,3.3,0.)); #590710=CARTESIAN_POINT('',(-0.1,3.3,0.)); #590711=CARTESIAN_POINT('Origin',(-0.25,3.3,0.)); #590712=CARTESIAN_POINT('',(-0.4,3.551,-1.76027756664041E-18)); #590713=CARTESIAN_POINT('',(-0.4,3.55,0.)); #590714=CARTESIAN_POINT('',(-0.1,3.551,-1.76027756664041E-18)); #590715=CARTESIAN_POINT('',(-0.4,3.551,-1.76027756664041E-18)); #590716=CARTESIAN_POINT('',(-0.1,3.55,0.)); #590717=CARTESIAN_POINT('Origin',(-0.25,3.3,0.0625)); #590718=CARTESIAN_POINT('',(-0.1,3.3,0.05)); #590719=CARTESIAN_POINT('',(-0.4,3.3,0.05)); #590720=CARTESIAN_POINT('Origin',(-0.25,3.3,0.05)); #590721=CARTESIAN_POINT('',(-0.4,3.3,0.0625)); #590722=CARTESIAN_POINT('',(-0.1,3.3,0.0625)); #590723=CARTESIAN_POINT('Origin',(-0.4,3.55,0.05)); #590724=CARTESIAN_POINT('',(-0.1,3.55,0.05)); #590725=CARTESIAN_POINT('',(-0.1,3.15,0.05)); #590726=CARTESIAN_POINT('',(-0.4,3.55,0.05)); #590727=CARTESIAN_POINT('',(-0.4,3.55,0.05)); #590728=CARTESIAN_POINT('',(-0.4,3.15,0.05)); #590729=CARTESIAN_POINT('Origin',(-0.4,3.55,0.15)); #590730=CARTESIAN_POINT('',(-0.4,3.55,0.15)); #590731=CARTESIAN_POINT('',(-0.4,3.55,0.15)); #590732=CARTESIAN_POINT('',(-0.1,3.55,0.15)); #590733=CARTESIAN_POINT('',(-0.1,3.55,0.15)); #590734=CARTESIAN_POINT('',(-0.4,3.55,0.15)); #590735=CARTESIAN_POINT('Origin',(-0.4,3.551,0.15)); #590736=CARTESIAN_POINT('',(-0.4,3.551,0.15)); #590737=CARTESIAN_POINT('',(-0.4,3.551,0.15)); #590738=CARTESIAN_POINT('',(-0.1,3.551,0.15)); #590739=CARTESIAN_POINT('',(-0.1,3.551,0.15)); #590740=CARTESIAN_POINT('',(-0.4,3.551,0.15)); #590741=CARTESIAN_POINT('Origin',(-0.4,3.551,-1.76027756664041E-18)); #590742=CARTESIAN_POINT('',(-0.4,3.551,-1.76027756664041E-18)); #590743=CARTESIAN_POINT('',(-0.1,3.551,-1.76027756664041E-18)); #590744=CARTESIAN_POINT('Origin',(-0.1,3.3505,0.075)); #590745=CARTESIAN_POINT('Origin',(-0.4,3.3505,0.075)); #590746=CARTESIAN_POINT('Origin',(-0.9,3.15,0.)); #590747=CARTESIAN_POINT('',(-0.9,3.3,0.)); #590748=CARTESIAN_POINT('',(-0.6,3.3,0.)); #590749=CARTESIAN_POINT('Origin',(-0.75,3.3,0.)); #590750=CARTESIAN_POINT('',(-0.9,3.551,-1.76027756664041E-18)); #590751=CARTESIAN_POINT('',(-0.9,3.55,0.)); #590752=CARTESIAN_POINT('',(-0.6,3.551,-1.76027756664041E-18)); #590753=CARTESIAN_POINT('',(-0.9,3.551,-1.76027756664041E-18)); #590754=CARTESIAN_POINT('',(-0.6,3.55,0.)); #590755=CARTESIAN_POINT('Origin',(-0.75,3.3,0.0625)); #590756=CARTESIAN_POINT('',(-0.6,3.3,0.05)); #590757=CARTESIAN_POINT('',(-0.9,3.3,0.05)); #590758=CARTESIAN_POINT('Origin',(-0.75,3.3,0.05)); #590759=CARTESIAN_POINT('',(-0.9,3.3,0.0625)); #590760=CARTESIAN_POINT('',(-0.6,3.3,0.0625)); #590761=CARTESIAN_POINT('Origin',(-0.9,3.55,0.05)); #590762=CARTESIAN_POINT('',(-0.6,3.55,0.05)); #590763=CARTESIAN_POINT('',(-0.6,3.15,0.05)); #590764=CARTESIAN_POINT('',(-0.9,3.55,0.05)); #590765=CARTESIAN_POINT('',(-0.9,3.55,0.05)); #590766=CARTESIAN_POINT('',(-0.9,3.15,0.05)); #590767=CARTESIAN_POINT('Origin',(-0.9,3.55,0.15)); #590768=CARTESIAN_POINT('',(-0.9,3.55,0.15)); #590769=CARTESIAN_POINT('',(-0.9,3.55,0.15)); #590770=CARTESIAN_POINT('',(-0.6,3.55,0.15)); #590771=CARTESIAN_POINT('',(-0.6,3.55,0.15)); #590772=CARTESIAN_POINT('',(-0.9,3.55,0.15)); #590773=CARTESIAN_POINT('Origin',(-0.9,3.551,0.15)); #590774=CARTESIAN_POINT('',(-0.9,3.551,0.15)); #590775=CARTESIAN_POINT('',(-0.9,3.551,0.15)); #590776=CARTESIAN_POINT('',(-0.6,3.551,0.15)); #590777=CARTESIAN_POINT('',(-0.6,3.551,0.15)); #590778=CARTESIAN_POINT('',(-0.9,3.551,0.15)); #590779=CARTESIAN_POINT('Origin',(-0.9,3.551,-1.76027756664041E-18)); #590780=CARTESIAN_POINT('',(-0.9,3.551,-1.76027756664041E-18)); #590781=CARTESIAN_POINT('',(-0.6,3.551,-1.76027756664041E-18)); #590782=CARTESIAN_POINT('Origin',(-0.6,3.3505,0.075)); #590783=CARTESIAN_POINT('Origin',(-0.9,3.3505,0.075)); #590784=CARTESIAN_POINT('Origin',(-1.4,3.15,0.)); #590785=CARTESIAN_POINT('',(-1.4,3.3,0.)); #590786=CARTESIAN_POINT('',(-1.1,3.3,0.)); #590787=CARTESIAN_POINT('Origin',(-1.25,3.3,0.)); #590788=CARTESIAN_POINT('',(-1.4,3.551,-1.76027756664041E-18)); #590789=CARTESIAN_POINT('',(-1.4,3.55,0.)); #590790=CARTESIAN_POINT('',(-1.1,3.551,-1.76027756664041E-18)); #590791=CARTESIAN_POINT('',(-1.4,3.551,-1.76027756664041E-18)); #590792=CARTESIAN_POINT('',(-1.1,3.55,0.)); #590793=CARTESIAN_POINT('Origin',(-1.25,3.3,0.0625)); #590794=CARTESIAN_POINT('',(-1.1,3.3,0.05)); #590795=CARTESIAN_POINT('',(-1.4,3.3,0.05)); #590796=CARTESIAN_POINT('Origin',(-1.25,3.3,0.05)); #590797=CARTESIAN_POINT('',(-1.4,3.3,0.0625)); #590798=CARTESIAN_POINT('',(-1.1,3.3,0.0625)); #590799=CARTESIAN_POINT('Origin',(-1.4,3.55,0.05)); #590800=CARTESIAN_POINT('',(-1.1,3.55,0.05)); #590801=CARTESIAN_POINT('',(-1.1,3.15,0.05)); #590802=CARTESIAN_POINT('',(-1.4,3.55,0.05)); #590803=CARTESIAN_POINT('',(-1.4,3.55,0.05)); #590804=CARTESIAN_POINT('',(-1.4,3.15,0.05)); #590805=CARTESIAN_POINT('Origin',(-1.4,3.55,0.15)); #590806=CARTESIAN_POINT('',(-1.4,3.55,0.15)); #590807=CARTESIAN_POINT('',(-1.4,3.55,0.15)); #590808=CARTESIAN_POINT('',(-1.1,3.55,0.15)); #590809=CARTESIAN_POINT('',(-1.1,3.55,0.15)); #590810=CARTESIAN_POINT('',(-1.4,3.55,0.15)); #590811=CARTESIAN_POINT('Origin',(-1.4,3.551,0.15)); #590812=CARTESIAN_POINT('',(-1.4,3.551,0.15)); #590813=CARTESIAN_POINT('',(-1.4,3.551,0.15)); #590814=CARTESIAN_POINT('',(-1.1,3.551,0.15)); #590815=CARTESIAN_POINT('',(-1.1,3.551,0.15)); #590816=CARTESIAN_POINT('',(-1.4,3.551,0.15)); #590817=CARTESIAN_POINT('Origin',(-1.4,3.551,-1.76027756664041E-18)); #590818=CARTESIAN_POINT('',(-1.4,3.551,-1.76027756664041E-18)); #590819=CARTESIAN_POINT('',(-1.1,3.551,-1.76027756664041E-18)); #590820=CARTESIAN_POINT('Origin',(-1.1,3.3505,0.075)); #590821=CARTESIAN_POINT('Origin',(-1.4,3.3505,0.075)); #590822=CARTESIAN_POINT('Origin',(-1.9,3.15,0.)); #590823=CARTESIAN_POINT('',(-1.9,3.3,0.)); #590824=CARTESIAN_POINT('',(-1.6,3.3,0.)); #590825=CARTESIAN_POINT('Origin',(-1.75,3.3,0.)); #590826=CARTESIAN_POINT('',(-1.9,3.551,-1.76027756664041E-18)); #590827=CARTESIAN_POINT('',(-1.9,3.55,0.)); #590828=CARTESIAN_POINT('',(-1.6,3.551,-1.76027756664041E-18)); #590829=CARTESIAN_POINT('',(-1.9,3.551,-1.76027756664041E-18)); #590830=CARTESIAN_POINT('',(-1.6,3.55,0.)); #590831=CARTESIAN_POINT('Origin',(-1.75,3.3,0.0625)); #590832=CARTESIAN_POINT('',(-1.6,3.3,0.05)); #590833=CARTESIAN_POINT('',(-1.9,3.3,0.05)); #590834=CARTESIAN_POINT('Origin',(-1.75,3.3,0.05)); #590835=CARTESIAN_POINT('',(-1.9,3.3,0.0625)); #590836=CARTESIAN_POINT('',(-1.6,3.3,0.0625)); #590837=CARTESIAN_POINT('Origin',(-1.9,3.55,0.05)); #590838=CARTESIAN_POINT('',(-1.6,3.55,0.05)); #590839=CARTESIAN_POINT('',(-1.6,3.15,0.05)); #590840=CARTESIAN_POINT('',(-1.9,3.55,0.05)); #590841=CARTESIAN_POINT('',(-1.9,3.55,0.05)); #590842=CARTESIAN_POINT('',(-1.9,3.15,0.05)); #590843=CARTESIAN_POINT('Origin',(-1.9,3.55,0.15)); #590844=CARTESIAN_POINT('',(-1.9,3.55,0.15)); #590845=CARTESIAN_POINT('',(-1.9,3.55,0.15)); #590846=CARTESIAN_POINT('',(-1.6,3.55,0.15)); #590847=CARTESIAN_POINT('',(-1.6,3.55,0.15)); #590848=CARTESIAN_POINT('',(-1.9,3.55,0.15)); #590849=CARTESIAN_POINT('Origin',(-1.9,3.551,0.15)); #590850=CARTESIAN_POINT('',(-1.9,3.551,0.15)); #590851=CARTESIAN_POINT('',(-1.9,3.551,0.15)); #590852=CARTESIAN_POINT('',(-1.6,3.551,0.15)); #590853=CARTESIAN_POINT('',(-1.6,3.551,0.15)); #590854=CARTESIAN_POINT('',(-1.9,3.551,0.15)); #590855=CARTESIAN_POINT('Origin',(-1.9,3.551,-1.76027756664041E-18)); #590856=CARTESIAN_POINT('',(-1.9,3.551,-1.76027756664041E-18)); #590857=CARTESIAN_POINT('',(-1.6,3.551,-1.76027756664041E-18)); #590858=CARTESIAN_POINT('Origin',(-1.6,3.3505,0.075)); #590859=CARTESIAN_POINT('Origin',(-1.9,3.3505,0.075)); #590860=CARTESIAN_POINT('Origin',(-2.4,3.15,0.)); #590861=CARTESIAN_POINT('',(-2.4,3.3,0.)); #590862=CARTESIAN_POINT('',(-2.1,3.3,0.)); #590863=CARTESIAN_POINT('Origin',(-2.25,3.3,0.)); #590864=CARTESIAN_POINT('',(-2.4,3.551,-1.76027756664041E-18)); #590865=CARTESIAN_POINT('',(-2.4,3.55,0.)); #590866=CARTESIAN_POINT('',(-2.1,3.551,-1.76027756664041E-18)); #590867=CARTESIAN_POINT('',(-2.4,3.551,-1.76027756664041E-18)); #590868=CARTESIAN_POINT('',(-2.1,3.55,0.)); #590869=CARTESIAN_POINT('Origin',(-2.25,3.3,0.0625)); #590870=CARTESIAN_POINT('',(-2.1,3.3,0.05)); #590871=CARTESIAN_POINT('',(-2.4,3.3,0.05)); #590872=CARTESIAN_POINT('Origin',(-2.25,3.3,0.05)); #590873=CARTESIAN_POINT('',(-2.4,3.3,0.0625)); #590874=CARTESIAN_POINT('',(-2.1,3.3,0.0625)); #590875=CARTESIAN_POINT('Origin',(-2.4,3.55,0.05)); #590876=CARTESIAN_POINT('',(-2.1,3.55,0.05)); #590877=CARTESIAN_POINT('',(-2.1,3.15,0.05)); #590878=CARTESIAN_POINT('',(-2.4,3.55,0.05)); #590879=CARTESIAN_POINT('',(-2.4,3.55,0.05)); #590880=CARTESIAN_POINT('',(-2.4,3.15,0.05)); #590881=CARTESIAN_POINT('Origin',(-2.4,3.55,0.15)); #590882=CARTESIAN_POINT('',(-2.4,3.55,0.15)); #590883=CARTESIAN_POINT('',(-2.4,3.55,0.15)); #590884=CARTESIAN_POINT('',(-2.1,3.55,0.15)); #590885=CARTESIAN_POINT('',(-2.1,3.55,0.15)); #590886=CARTESIAN_POINT('',(-2.4,3.55,0.15)); #590887=CARTESIAN_POINT('Origin',(-2.4,3.551,0.15)); #590888=CARTESIAN_POINT('',(-2.4,3.551,0.15)); #590889=CARTESIAN_POINT('',(-2.4,3.551,0.15)); #590890=CARTESIAN_POINT('',(-2.1,3.551,0.15)); #590891=CARTESIAN_POINT('',(-2.1,3.551,0.15)); #590892=CARTESIAN_POINT('',(-2.4,3.551,0.15)); #590893=CARTESIAN_POINT('Origin',(-2.4,3.551,-1.76027756664041E-18)); #590894=CARTESIAN_POINT('',(-2.4,3.551,-1.76027756664041E-18)); #590895=CARTESIAN_POINT('',(-2.1,3.551,-1.76027756664041E-18)); #590896=CARTESIAN_POINT('Origin',(-2.1,3.3505,0.075)); #590897=CARTESIAN_POINT('Origin',(-2.4,3.3505,0.075)); #590898=CARTESIAN_POINT('Origin',(-2.9,3.15,0.)); #590899=CARTESIAN_POINT('',(-2.9,3.3,0.)); #590900=CARTESIAN_POINT('',(-2.6,3.3,0.)); #590901=CARTESIAN_POINT('Origin',(-2.75,3.3,0.)); #590902=CARTESIAN_POINT('',(-2.9,3.551,-1.76027756664041E-18)); #590903=CARTESIAN_POINT('',(-2.9,3.55,0.)); #590904=CARTESIAN_POINT('',(-2.6,3.551,-1.76027756664041E-18)); #590905=CARTESIAN_POINT('',(-2.9,3.551,-1.76027756664041E-18)); #590906=CARTESIAN_POINT('',(-2.6,3.55,0.)); #590907=CARTESIAN_POINT('Origin',(-2.75,3.3,0.0625)); #590908=CARTESIAN_POINT('',(-2.6,3.3,0.05)); #590909=CARTESIAN_POINT('',(-2.9,3.3,0.05)); #590910=CARTESIAN_POINT('Origin',(-2.75,3.3,0.05)); #590911=CARTESIAN_POINT('',(-2.9,3.3,0.0625)); #590912=CARTESIAN_POINT('',(-2.6,3.3,0.0625)); #590913=CARTESIAN_POINT('Origin',(-2.9,3.55,0.05)); #590914=CARTESIAN_POINT('',(-2.6,3.55,0.05)); #590915=CARTESIAN_POINT('',(-2.6,3.15,0.05)); #590916=CARTESIAN_POINT('',(-2.9,3.55,0.05)); #590917=CARTESIAN_POINT('',(-2.9,3.55,0.05)); #590918=CARTESIAN_POINT('',(-2.9,3.15,0.05)); #590919=CARTESIAN_POINT('Origin',(-2.9,3.55,0.15)); #590920=CARTESIAN_POINT('',(-2.9,3.55,0.15)); #590921=CARTESIAN_POINT('',(-2.9,3.55,0.15)); #590922=CARTESIAN_POINT('',(-2.6,3.55,0.15)); #590923=CARTESIAN_POINT('',(-2.6,3.55,0.15)); #590924=CARTESIAN_POINT('',(-2.9,3.55,0.15)); #590925=CARTESIAN_POINT('Origin',(-2.9,3.551,0.15)); #590926=CARTESIAN_POINT('',(-2.9,3.551,0.15)); #590927=CARTESIAN_POINT('',(-2.9,3.551,0.15)); #590928=CARTESIAN_POINT('',(-2.6,3.551,0.15)); #590929=CARTESIAN_POINT('',(-2.6,3.551,0.15)); #590930=CARTESIAN_POINT('',(-2.9,3.551,0.15)); #590931=CARTESIAN_POINT('Origin',(-2.9,3.551,-1.76027756664041E-18)); #590932=CARTESIAN_POINT('',(-2.9,3.551,-1.76027756664041E-18)); #590933=CARTESIAN_POINT('',(-2.6,3.551,-1.76027756664041E-18)); #590934=CARTESIAN_POINT('Origin',(-2.6,3.3505,0.075)); #590935=CARTESIAN_POINT('Origin',(-2.9,3.3505,0.075)); #590936=CARTESIAN_POINT('Origin',(2.1,-3.15,0.)); #590937=CARTESIAN_POINT('',(2.1,-3.3,0.)); #590938=CARTESIAN_POINT('',(2.4,-3.3,0.)); #590939=CARTESIAN_POINT('Origin',(2.25,-3.3,0.)); #590940=CARTESIAN_POINT('',(2.4,-3.551,-1.76027756664041E-18)); #590941=CARTESIAN_POINT('',(2.4,-3.55,0.)); #590942=CARTESIAN_POINT('',(2.1,-3.551,-1.76027756664041E-18)); #590943=CARTESIAN_POINT('',(2.1,-3.551,-1.76027756664041E-18)); #590944=CARTESIAN_POINT('',(2.1,-3.55,0.)); #590945=CARTESIAN_POINT('Origin',(2.25,-3.3,0.0625)); #590946=CARTESIAN_POINT('',(2.4,-3.3,0.05)); #590947=CARTESIAN_POINT('',(2.1,-3.3,0.05)); #590948=CARTESIAN_POINT('Origin',(2.25,-3.3,0.05)); #590949=CARTESIAN_POINT('',(2.4,-3.3,0.0625)); #590950=CARTESIAN_POINT('',(2.1,-3.3,0.0625)); #590951=CARTESIAN_POINT('Origin',(2.1,-3.55,0.05)); #590952=CARTESIAN_POINT('',(2.1,-3.55,0.05)); #590953=CARTESIAN_POINT('',(2.1,-3.15,0.05)); #590954=CARTESIAN_POINT('',(2.4,-3.55,0.05)); #590955=CARTESIAN_POINT('',(2.1,-3.55,0.05)); #590956=CARTESIAN_POINT('',(2.4,-3.15,0.05)); #590957=CARTESIAN_POINT('Origin',(2.1,-3.55,0.15)); #590958=CARTESIAN_POINT('',(2.1,-3.55,0.15)); #590959=CARTESIAN_POINT('',(2.1,-3.55,0.15)); #590960=CARTESIAN_POINT('',(2.4,-3.55,0.15)); #590961=CARTESIAN_POINT('',(2.1,-3.55,0.15)); #590962=CARTESIAN_POINT('',(2.4,-3.55,0.15)); #590963=CARTESIAN_POINT('Origin',(2.1,-3.551,0.15)); #590964=CARTESIAN_POINT('',(2.1,-3.551,0.15)); #590965=CARTESIAN_POINT('',(2.1,-3.551,0.15)); #590966=CARTESIAN_POINT('',(2.4,-3.551,0.15)); #590967=CARTESIAN_POINT('',(2.1,-3.551,0.15)); #590968=CARTESIAN_POINT('',(2.4,-3.551,0.15)); #590969=CARTESIAN_POINT('Origin',(2.1,-3.551,-1.76027756664041E-18)); #590970=CARTESIAN_POINT('',(2.1,-3.551,-1.76027756664041E-18)); #590971=CARTESIAN_POINT('',(2.4,-3.551,-1.76027756664041E-18)); #590972=CARTESIAN_POINT('Origin',(2.4,-3.3505,0.075)); #590973=CARTESIAN_POINT('Origin',(2.1,-3.3505,0.075)); #590974=CARTESIAN_POINT('Origin',(1.6,-3.15,0.)); #590975=CARTESIAN_POINT('',(1.6,-3.3,0.)); #590976=CARTESIAN_POINT('',(1.9,-3.3,0.)); #590977=CARTESIAN_POINT('Origin',(1.75,-3.3,0.)); #590978=CARTESIAN_POINT('',(1.9,-3.551,-1.76027756664041E-18)); #590979=CARTESIAN_POINT('',(1.9,-3.55,0.)); #590980=CARTESIAN_POINT('',(1.6,-3.551,-1.76027756664041E-18)); #590981=CARTESIAN_POINT('',(1.6,-3.551,-1.76027756664041E-18)); #590982=CARTESIAN_POINT('',(1.6,-3.55,0.)); #590983=CARTESIAN_POINT('Origin',(1.75,-3.3,0.0625)); #590984=CARTESIAN_POINT('',(1.9,-3.3,0.05)); #590985=CARTESIAN_POINT('',(1.6,-3.3,0.05)); #590986=CARTESIAN_POINT('Origin',(1.75,-3.3,0.05)); #590987=CARTESIAN_POINT('',(1.9,-3.3,0.0625)); #590988=CARTESIAN_POINT('',(1.6,-3.3,0.0625)); #590989=CARTESIAN_POINT('Origin',(1.6,-3.55,0.05)); #590990=CARTESIAN_POINT('',(1.6,-3.55,0.05)); #590991=CARTESIAN_POINT('',(1.6,-3.15,0.05)); #590992=CARTESIAN_POINT('',(1.9,-3.55,0.05)); #590993=CARTESIAN_POINT('',(1.6,-3.55,0.05)); #590994=CARTESIAN_POINT('',(1.9,-3.15,0.05)); #590995=CARTESIAN_POINT('Origin',(1.6,-3.55,0.15)); #590996=CARTESIAN_POINT('',(1.6,-3.55,0.15)); #590997=CARTESIAN_POINT('',(1.6,-3.55,0.15)); #590998=CARTESIAN_POINT('',(1.9,-3.55,0.15)); #590999=CARTESIAN_POINT('',(1.6,-3.55,0.15)); #591000=CARTESIAN_POINT('',(1.9,-3.55,0.15)); #591001=CARTESIAN_POINT('Origin',(1.6,-3.551,0.15)); #591002=CARTESIAN_POINT('',(1.6,-3.551,0.15)); #591003=CARTESIAN_POINT('',(1.6,-3.551,0.15)); #591004=CARTESIAN_POINT('',(1.9,-3.551,0.15)); #591005=CARTESIAN_POINT('',(1.6,-3.551,0.15)); #591006=CARTESIAN_POINT('',(1.9,-3.551,0.15)); #591007=CARTESIAN_POINT('Origin',(1.6,-3.551,-1.76027756664041E-18)); #591008=CARTESIAN_POINT('',(1.6,-3.551,-1.76027756664041E-18)); #591009=CARTESIAN_POINT('',(1.9,-3.551,-1.76027756664041E-18)); #591010=CARTESIAN_POINT('Origin',(1.9,-3.3505,0.075)); #591011=CARTESIAN_POINT('Origin',(1.6,-3.3505,0.075)); #591012=CARTESIAN_POINT('Origin',(1.1,-3.15,0.)); #591013=CARTESIAN_POINT('',(1.1,-3.3,0.)); #591014=CARTESIAN_POINT('',(1.4,-3.3,0.)); #591015=CARTESIAN_POINT('Origin',(1.25,-3.3,0.)); #591016=CARTESIAN_POINT('',(1.4,-3.551,-1.76027756664041E-18)); #591017=CARTESIAN_POINT('',(1.4,-3.55,0.)); #591018=CARTESIAN_POINT('',(1.1,-3.551,-1.76027756664041E-18)); #591019=CARTESIAN_POINT('',(1.1,-3.551,-1.76027756664041E-18)); #591020=CARTESIAN_POINT('',(1.1,-3.55,0.)); #591021=CARTESIAN_POINT('Origin',(1.25,-3.3,0.0625)); #591022=CARTESIAN_POINT('',(1.4,-3.3,0.05)); #591023=CARTESIAN_POINT('',(1.1,-3.3,0.05)); #591024=CARTESIAN_POINT('Origin',(1.25,-3.3,0.05)); #591025=CARTESIAN_POINT('',(1.4,-3.3,0.0625)); #591026=CARTESIAN_POINT('',(1.1,-3.3,0.0625)); #591027=CARTESIAN_POINT('Origin',(1.1,-3.55,0.05)); #591028=CARTESIAN_POINT('',(1.1,-3.55,0.05)); #591029=CARTESIAN_POINT('',(1.1,-3.15,0.05)); #591030=CARTESIAN_POINT('',(1.4,-3.55,0.05)); #591031=CARTESIAN_POINT('',(1.1,-3.55,0.05)); #591032=CARTESIAN_POINT('',(1.4,-3.15,0.05)); #591033=CARTESIAN_POINT('Origin',(1.1,-3.55,0.15)); #591034=CARTESIAN_POINT('',(1.1,-3.55,0.15)); #591035=CARTESIAN_POINT('',(1.1,-3.55,0.15)); #591036=CARTESIAN_POINT('',(1.4,-3.55,0.15)); #591037=CARTESIAN_POINT('',(1.1,-3.55,0.15)); #591038=CARTESIAN_POINT('',(1.4,-3.55,0.15)); #591039=CARTESIAN_POINT('Origin',(1.1,-3.551,0.15)); #591040=CARTESIAN_POINT('',(1.1,-3.551,0.15)); #591041=CARTESIAN_POINT('',(1.1,-3.551,0.15)); #591042=CARTESIAN_POINT('',(1.4,-3.551,0.15)); #591043=CARTESIAN_POINT('',(1.1,-3.551,0.15)); #591044=CARTESIAN_POINT('',(1.4,-3.551,0.15)); #591045=CARTESIAN_POINT('Origin',(1.1,-3.551,-1.76027756664041E-18)); #591046=CARTESIAN_POINT('',(1.1,-3.551,-1.76027756664041E-18)); #591047=CARTESIAN_POINT('',(1.4,-3.551,-1.76027756664041E-18)); #591048=CARTESIAN_POINT('Origin',(1.4,-3.3505,0.075)); #591049=CARTESIAN_POINT('Origin',(1.1,-3.3505,0.075)); #591050=CARTESIAN_POINT('Origin',(0.6,-3.15,0.)); #591051=CARTESIAN_POINT('',(0.6,-3.3,0.)); #591052=CARTESIAN_POINT('',(0.9,-3.3,0.)); #591053=CARTESIAN_POINT('Origin',(0.75,-3.3,0.)); #591054=CARTESIAN_POINT('',(0.9,-3.551,-1.76027756664041E-18)); #591055=CARTESIAN_POINT('',(0.9,-3.55,0.)); #591056=CARTESIAN_POINT('',(0.6,-3.551,-1.76027756664041E-18)); #591057=CARTESIAN_POINT('',(0.6,-3.551,-1.76027756664041E-18)); #591058=CARTESIAN_POINT('',(0.6,-3.55,0.)); #591059=CARTESIAN_POINT('Origin',(0.75,-3.3,0.0625)); #591060=CARTESIAN_POINT('',(0.9,-3.3,0.05)); #591061=CARTESIAN_POINT('',(0.6,-3.3,0.05)); #591062=CARTESIAN_POINT('Origin',(0.75,-3.3,0.05)); #591063=CARTESIAN_POINT('',(0.9,-3.3,0.0625)); #591064=CARTESIAN_POINT('',(0.6,-3.3,0.0625)); #591065=CARTESIAN_POINT('Origin',(0.6,-3.55,0.05)); #591066=CARTESIAN_POINT('',(0.6,-3.55,0.05)); #591067=CARTESIAN_POINT('',(0.6,-3.15,0.05)); #591068=CARTESIAN_POINT('',(0.9,-3.55,0.05)); #591069=CARTESIAN_POINT('',(0.6,-3.55,0.05)); #591070=CARTESIAN_POINT('',(0.9,-3.15,0.05)); #591071=CARTESIAN_POINT('Origin',(0.6,-3.55,0.15)); #591072=CARTESIAN_POINT('',(0.6,-3.55,0.15)); #591073=CARTESIAN_POINT('',(0.6,-3.55,0.15)); #591074=CARTESIAN_POINT('',(0.9,-3.55,0.15)); #591075=CARTESIAN_POINT('',(0.6,-3.55,0.15)); #591076=CARTESIAN_POINT('',(0.9,-3.55,0.15)); #591077=CARTESIAN_POINT('Origin',(0.6,-3.551,0.15)); #591078=CARTESIAN_POINT('',(0.6,-3.551,0.15)); #591079=CARTESIAN_POINT('',(0.6,-3.551,0.15)); #591080=CARTESIAN_POINT('',(0.9,-3.551,0.15)); #591081=CARTESIAN_POINT('',(0.6,-3.551,0.15)); #591082=CARTESIAN_POINT('',(0.9,-3.551,0.15)); #591083=CARTESIAN_POINT('Origin',(0.6,-3.551,-1.76027756664041E-18)); #591084=CARTESIAN_POINT('',(0.6,-3.551,-1.76027756664041E-18)); #591085=CARTESIAN_POINT('',(0.9,-3.551,-1.76027756664041E-18)); #591086=CARTESIAN_POINT('Origin',(0.9,-3.3505,0.075)); #591087=CARTESIAN_POINT('Origin',(0.6,-3.3505,0.075)); #591088=CARTESIAN_POINT('Origin',(0.1,-3.15,0.)); #591089=CARTESIAN_POINT('',(0.1,-3.3,0.)); #591090=CARTESIAN_POINT('',(0.4,-3.3,0.)); #591091=CARTESIAN_POINT('Origin',(0.25,-3.3,0.)); #591092=CARTESIAN_POINT('',(0.4,-3.551,-1.76027756664041E-18)); #591093=CARTESIAN_POINT('',(0.4,-3.55,0.)); #591094=CARTESIAN_POINT('',(0.1,-3.551,-1.76027756664041E-18)); #591095=CARTESIAN_POINT('',(0.1,-3.551,-1.76027756664041E-18)); #591096=CARTESIAN_POINT('',(0.1,-3.55,0.)); #591097=CARTESIAN_POINT('Origin',(0.25,-3.3,0.0625)); #591098=CARTESIAN_POINT('',(0.4,-3.3,0.05)); #591099=CARTESIAN_POINT('',(0.1,-3.3,0.05)); #591100=CARTESIAN_POINT('Origin',(0.25,-3.3,0.05)); #591101=CARTESIAN_POINT('',(0.4,-3.3,0.0625)); #591102=CARTESIAN_POINT('',(0.1,-3.3,0.0625)); #591103=CARTESIAN_POINT('Origin',(0.1,-3.55,0.05)); #591104=CARTESIAN_POINT('',(0.1,-3.55,0.05)); #591105=CARTESIAN_POINT('',(0.1,-3.15,0.05)); #591106=CARTESIAN_POINT('',(0.4,-3.55,0.05)); #591107=CARTESIAN_POINT('',(0.1,-3.55,0.05)); #591108=CARTESIAN_POINT('',(0.4,-3.15,0.05)); #591109=CARTESIAN_POINT('Origin',(0.1,-3.55,0.15)); #591110=CARTESIAN_POINT('',(0.1,-3.55,0.15)); #591111=CARTESIAN_POINT('',(0.1,-3.55,0.15)); #591112=CARTESIAN_POINT('',(0.4,-3.55,0.15)); #591113=CARTESIAN_POINT('',(0.1,-3.55,0.15)); #591114=CARTESIAN_POINT('',(0.4,-3.55,0.15)); #591115=CARTESIAN_POINT('Origin',(0.1,-3.551,0.15)); #591116=CARTESIAN_POINT('',(0.1,-3.551,0.15)); #591117=CARTESIAN_POINT('',(0.1,-3.551,0.15)); #591118=CARTESIAN_POINT('',(0.4,-3.551,0.15)); #591119=CARTESIAN_POINT('',(0.1,-3.551,0.15)); #591120=CARTESIAN_POINT('',(0.4,-3.551,0.15)); #591121=CARTESIAN_POINT('Origin',(0.1,-3.551,-1.76027756664041E-18)); #591122=CARTESIAN_POINT('',(0.1,-3.551,-1.76027756664041E-18)); #591123=CARTESIAN_POINT('',(0.4,-3.551,-1.76027756664041E-18)); #591124=CARTESIAN_POINT('Origin',(0.4,-3.3505,0.075)); #591125=CARTESIAN_POINT('Origin',(0.1,-3.3505,0.075)); #591126=CARTESIAN_POINT('Origin',(-0.4,-3.15,0.)); #591127=CARTESIAN_POINT('',(-0.4,-3.3,0.)); #591128=CARTESIAN_POINT('',(-0.1,-3.3,0.)); #591129=CARTESIAN_POINT('Origin',(-0.25,-3.3,0.)); #591130=CARTESIAN_POINT('',(-0.1,-3.551,-1.76027756664041E-18)); #591131=CARTESIAN_POINT('',(-0.1,-3.55,0.)); #591132=CARTESIAN_POINT('',(-0.4,-3.551,-1.76027756664041E-18)); #591133=CARTESIAN_POINT('',(-0.4,-3.551,-1.76027756664041E-18)); #591134=CARTESIAN_POINT('',(-0.4,-3.55,0.)); #591135=CARTESIAN_POINT('Origin',(-0.25,-3.3,0.0625)); #591136=CARTESIAN_POINT('',(-0.1,-3.3,0.05)); #591137=CARTESIAN_POINT('',(-0.4,-3.3,0.05)); #591138=CARTESIAN_POINT('Origin',(-0.25,-3.3,0.05)); #591139=CARTESIAN_POINT('',(-0.1,-3.3,0.0625)); #591140=CARTESIAN_POINT('',(-0.4,-3.3,0.0625)); #591141=CARTESIAN_POINT('Origin',(-0.4,-3.55,0.05)); #591142=CARTESIAN_POINT('',(-0.4,-3.55,0.05)); #591143=CARTESIAN_POINT('',(-0.4,-3.15,0.05)); #591144=CARTESIAN_POINT('',(-0.1,-3.55,0.05)); #591145=CARTESIAN_POINT('',(-0.4,-3.55,0.05)); #591146=CARTESIAN_POINT('',(-0.1,-3.15,0.05)); #591147=CARTESIAN_POINT('Origin',(-0.4,-3.55,0.15)); #591148=CARTESIAN_POINT('',(-0.4,-3.55,0.15)); #591149=CARTESIAN_POINT('',(-0.4,-3.55,0.15)); #591150=CARTESIAN_POINT('',(-0.1,-3.55,0.15)); #591151=CARTESIAN_POINT('',(-0.4,-3.55,0.15)); #591152=CARTESIAN_POINT('',(-0.1,-3.55,0.15)); #591153=CARTESIAN_POINT('Origin',(-0.4,-3.551,0.15)); #591154=CARTESIAN_POINT('',(-0.4,-3.551,0.15)); #591155=CARTESIAN_POINT('',(-0.4,-3.551,0.15)); #591156=CARTESIAN_POINT('',(-0.1,-3.551,0.15)); #591157=CARTESIAN_POINT('',(-0.4,-3.551,0.15)); #591158=CARTESIAN_POINT('',(-0.1,-3.551,0.15)); #591159=CARTESIAN_POINT('Origin',(-0.4,-3.551,-1.76027756664041E-18)); #591160=CARTESIAN_POINT('',(-0.4,-3.551,-1.76027756664041E-18)); #591161=CARTESIAN_POINT('',(-0.1,-3.551,-1.76027756664041E-18)); #591162=CARTESIAN_POINT('Origin',(-0.1,-3.3505,0.075)); #591163=CARTESIAN_POINT('Origin',(-0.4,-3.3505,0.075)); #591164=CARTESIAN_POINT('Origin',(-0.9,-3.15,0.)); #591165=CARTESIAN_POINT('',(-0.9,-3.3,0.)); #591166=CARTESIAN_POINT('',(-0.6,-3.3,0.)); #591167=CARTESIAN_POINT('Origin',(-0.75,-3.3,0.)); #591168=CARTESIAN_POINT('',(-0.6,-3.551,-1.76027756664041E-18)); #591169=CARTESIAN_POINT('',(-0.6,-3.55,0.)); #591170=CARTESIAN_POINT('',(-0.9,-3.551,-1.76027756664041E-18)); #591171=CARTESIAN_POINT('',(-0.9,-3.551,-1.76027756664041E-18)); #591172=CARTESIAN_POINT('',(-0.9,-3.55,0.)); #591173=CARTESIAN_POINT('Origin',(-0.75,-3.3,0.0625)); #591174=CARTESIAN_POINT('',(-0.6,-3.3,0.05)); #591175=CARTESIAN_POINT('',(-0.9,-3.3,0.05)); #591176=CARTESIAN_POINT('Origin',(-0.75,-3.3,0.05)); #591177=CARTESIAN_POINT('',(-0.6,-3.3,0.0625)); #591178=CARTESIAN_POINT('',(-0.9,-3.3,0.0625)); #591179=CARTESIAN_POINT('Origin',(-0.9,-3.55,0.05)); #591180=CARTESIAN_POINT('',(-0.9,-3.55,0.05)); #591181=CARTESIAN_POINT('',(-0.9,-3.15,0.05)); #591182=CARTESIAN_POINT('',(-0.6,-3.55,0.05)); #591183=CARTESIAN_POINT('',(-0.9,-3.55,0.05)); #591184=CARTESIAN_POINT('',(-0.6,-3.15,0.05)); #591185=CARTESIAN_POINT('Origin',(-0.9,-3.55,0.15)); #591186=CARTESIAN_POINT('',(-0.9,-3.55,0.15)); #591187=CARTESIAN_POINT('',(-0.9,-3.55,0.15)); #591188=CARTESIAN_POINT('',(-0.6,-3.55,0.15)); #591189=CARTESIAN_POINT('',(-0.9,-3.55,0.15)); #591190=CARTESIAN_POINT('',(-0.6,-3.55,0.15)); #591191=CARTESIAN_POINT('Origin',(-0.9,-3.551,0.15)); #591192=CARTESIAN_POINT('',(-0.9,-3.551,0.15)); #591193=CARTESIAN_POINT('',(-0.9,-3.551,0.15)); #591194=CARTESIAN_POINT('',(-0.6,-3.551,0.15)); #591195=CARTESIAN_POINT('',(-0.9,-3.551,0.15)); #591196=CARTESIAN_POINT('',(-0.6,-3.551,0.15)); #591197=CARTESIAN_POINT('Origin',(-0.9,-3.551,-1.76027756664041E-18)); #591198=CARTESIAN_POINT('',(-0.9,-3.551,-1.76027756664041E-18)); #591199=CARTESIAN_POINT('',(-0.6,-3.551,-1.76027756664041E-18)); #591200=CARTESIAN_POINT('Origin',(-0.6,-3.3505,0.075)); #591201=CARTESIAN_POINT('Origin',(-0.9,-3.3505,0.075)); #591202=CARTESIAN_POINT('Origin',(-1.4,-3.15,0.)); #591203=CARTESIAN_POINT('',(-1.4,-3.3,0.)); #591204=CARTESIAN_POINT('',(-1.1,-3.3,0.)); #591205=CARTESIAN_POINT('Origin',(-1.25,-3.3,0.)); #591206=CARTESIAN_POINT('',(-1.1,-3.551,-1.76027756664041E-18)); #591207=CARTESIAN_POINT('',(-1.1,-3.55,0.)); #591208=CARTESIAN_POINT('',(-1.4,-3.551,-1.76027756664041E-18)); #591209=CARTESIAN_POINT('',(-1.4,-3.551,-1.76027756664041E-18)); #591210=CARTESIAN_POINT('',(-1.4,-3.55,0.)); #591211=CARTESIAN_POINT('Origin',(-1.25,-3.3,0.0625)); #591212=CARTESIAN_POINT('',(-1.1,-3.3,0.05)); #591213=CARTESIAN_POINT('',(-1.4,-3.3,0.05)); #591214=CARTESIAN_POINT('Origin',(-1.25,-3.3,0.05)); #591215=CARTESIAN_POINT('',(-1.1,-3.3,0.0625)); #591216=CARTESIAN_POINT('',(-1.4,-3.3,0.0625)); #591217=CARTESIAN_POINT('Origin',(-1.4,-3.55,0.05)); #591218=CARTESIAN_POINT('',(-1.4,-3.55,0.05)); #591219=CARTESIAN_POINT('',(-1.4,-3.15,0.05)); #591220=CARTESIAN_POINT('',(-1.1,-3.55,0.05)); #591221=CARTESIAN_POINT('',(-1.4,-3.55,0.05)); #591222=CARTESIAN_POINT('',(-1.1,-3.15,0.05)); #591223=CARTESIAN_POINT('Origin',(-1.4,-3.55,0.15)); #591224=CARTESIAN_POINT('',(-1.4,-3.55,0.15)); #591225=CARTESIAN_POINT('',(-1.4,-3.55,0.15)); #591226=CARTESIAN_POINT('',(-1.1,-3.55,0.15)); #591227=CARTESIAN_POINT('',(-1.4,-3.55,0.15)); #591228=CARTESIAN_POINT('',(-1.1,-3.55,0.15)); #591229=CARTESIAN_POINT('Origin',(-1.4,-3.551,0.15)); #591230=CARTESIAN_POINT('',(-1.4,-3.551,0.15)); #591231=CARTESIAN_POINT('',(-1.4,-3.551,0.15)); #591232=CARTESIAN_POINT('',(-1.1,-3.551,0.15)); #591233=CARTESIAN_POINT('',(-1.4,-3.551,0.15)); #591234=CARTESIAN_POINT('',(-1.1,-3.551,0.15)); #591235=CARTESIAN_POINT('Origin',(-1.4,-3.551,-1.76027756664041E-18)); #591236=CARTESIAN_POINT('',(-1.4,-3.551,-1.76027756664041E-18)); #591237=CARTESIAN_POINT('',(-1.1,-3.551,-1.76027756664041E-18)); #591238=CARTESIAN_POINT('Origin',(-1.1,-3.3505,0.075)); #591239=CARTESIAN_POINT('Origin',(-1.4,-3.3505,0.075)); #591240=CARTESIAN_POINT('Origin',(-1.9,-3.15,0.)); #591241=CARTESIAN_POINT('',(-1.9,-3.3,0.)); #591242=CARTESIAN_POINT('',(-1.6,-3.3,0.)); #591243=CARTESIAN_POINT('Origin',(-1.75,-3.3,0.)); #591244=CARTESIAN_POINT('',(-1.6,-3.551,-1.76027756664041E-18)); #591245=CARTESIAN_POINT('',(-1.6,-3.55,0.)); #591246=CARTESIAN_POINT('',(-1.9,-3.551,-1.76027756664041E-18)); #591247=CARTESIAN_POINT('',(-1.9,-3.551,-1.76027756664041E-18)); #591248=CARTESIAN_POINT('',(-1.9,-3.55,0.)); #591249=CARTESIAN_POINT('Origin',(-1.75,-3.3,0.0625)); #591250=CARTESIAN_POINT('',(-1.6,-3.3,0.05)); #591251=CARTESIAN_POINT('',(-1.9,-3.3,0.05)); #591252=CARTESIAN_POINT('Origin',(-1.75,-3.3,0.05)); #591253=CARTESIAN_POINT('',(-1.6,-3.3,0.0625)); #591254=CARTESIAN_POINT('',(-1.9,-3.3,0.0625)); #591255=CARTESIAN_POINT('Origin',(-1.9,-3.55,0.05)); #591256=CARTESIAN_POINT('',(-1.9,-3.55,0.05)); #591257=CARTESIAN_POINT('',(-1.9,-3.15,0.05)); #591258=CARTESIAN_POINT('',(-1.6,-3.55,0.05)); #591259=CARTESIAN_POINT('',(-1.9,-3.55,0.05)); #591260=CARTESIAN_POINT('',(-1.6,-3.15,0.05)); #591261=CARTESIAN_POINT('Origin',(-1.9,-3.55,0.15)); #591262=CARTESIAN_POINT('',(-1.9,-3.55,0.15)); #591263=CARTESIAN_POINT('',(-1.9,-3.55,0.15)); #591264=CARTESIAN_POINT('',(-1.6,-3.55,0.15)); #591265=CARTESIAN_POINT('',(-1.9,-3.55,0.15)); #591266=CARTESIAN_POINT('',(-1.6,-3.55,0.15)); #591267=CARTESIAN_POINT('Origin',(-1.9,-3.551,0.15)); #591268=CARTESIAN_POINT('',(-1.9,-3.551,0.15)); #591269=CARTESIAN_POINT('',(-1.9,-3.551,0.15)); #591270=CARTESIAN_POINT('',(-1.6,-3.551,0.15)); #591271=CARTESIAN_POINT('',(-1.9,-3.551,0.15)); #591272=CARTESIAN_POINT('',(-1.6,-3.551,0.15)); #591273=CARTESIAN_POINT('Origin',(-1.9,-3.551,-1.76027756664041E-18)); #591274=CARTESIAN_POINT('',(-1.9,-3.551,-1.76027756664041E-18)); #591275=CARTESIAN_POINT('',(-1.6,-3.551,-1.76027756664041E-18)); #591276=CARTESIAN_POINT('Origin',(-1.6,-3.3505,0.075)); #591277=CARTESIAN_POINT('Origin',(-1.9,-3.3505,0.075)); #591278=CARTESIAN_POINT('Origin',(-2.4,-3.15,0.)); #591279=CARTESIAN_POINT('',(-2.4,-3.3,0.)); #591280=CARTESIAN_POINT('',(-2.1,-3.3,0.)); #591281=CARTESIAN_POINT('Origin',(-2.25,-3.3,0.)); #591282=CARTESIAN_POINT('',(-2.1,-3.551,-1.76027756664041E-18)); #591283=CARTESIAN_POINT('',(-2.1,-3.55,0.)); #591284=CARTESIAN_POINT('',(-2.4,-3.551,-1.76027756664041E-18)); #591285=CARTESIAN_POINT('',(-2.4,-3.551,-1.76027756664041E-18)); #591286=CARTESIAN_POINT('',(-2.4,-3.55,0.)); #591287=CARTESIAN_POINT('Origin',(-2.25,-3.3,0.0625)); #591288=CARTESIAN_POINT('',(-2.1,-3.3,0.05)); #591289=CARTESIAN_POINT('',(-2.4,-3.3,0.05)); #591290=CARTESIAN_POINT('Origin',(-2.25,-3.3,0.05)); #591291=CARTESIAN_POINT('',(-2.1,-3.3,0.0625)); #591292=CARTESIAN_POINT('',(-2.4,-3.3,0.0625)); #591293=CARTESIAN_POINT('Origin',(-2.4,-3.55,0.05)); #591294=CARTESIAN_POINT('',(-2.4,-3.55,0.05)); #591295=CARTESIAN_POINT('',(-2.4,-3.15,0.05)); #591296=CARTESIAN_POINT('',(-2.1,-3.55,0.05)); #591297=CARTESIAN_POINT('',(-2.4,-3.55,0.05)); #591298=CARTESIAN_POINT('',(-2.1,-3.15,0.05)); #591299=CARTESIAN_POINT('Origin',(-2.4,-3.55,0.15)); #591300=CARTESIAN_POINT('',(-2.4,-3.55,0.15)); #591301=CARTESIAN_POINT('',(-2.4,-3.55,0.15)); #591302=CARTESIAN_POINT('',(-2.1,-3.55,0.15)); #591303=CARTESIAN_POINT('',(-2.4,-3.55,0.15)); #591304=CARTESIAN_POINT('',(-2.1,-3.55,0.15)); #591305=CARTESIAN_POINT('Origin',(-2.4,-3.551,0.15)); #591306=CARTESIAN_POINT('',(-2.4,-3.551,0.15)); #591307=CARTESIAN_POINT('',(-2.4,-3.551,0.15)); #591308=CARTESIAN_POINT('',(-2.1,-3.551,0.15)); #591309=CARTESIAN_POINT('',(-2.4,-3.551,0.15)); #591310=CARTESIAN_POINT('',(-2.1,-3.551,0.15)); #591311=CARTESIAN_POINT('Origin',(-2.4,-3.551,-1.76027756664041E-18)); #591312=CARTESIAN_POINT('',(-2.4,-3.551,-1.76027756664041E-18)); #591313=CARTESIAN_POINT('',(-2.1,-3.551,-1.76027756664041E-18)); #591314=CARTESIAN_POINT('Origin',(-2.1,-3.3505,0.075)); #591315=CARTESIAN_POINT('Origin',(-2.4,-3.3505,0.075)); #591316=CARTESIAN_POINT('Origin',(-2.9,-3.15,0.)); #591317=CARTESIAN_POINT('',(-2.9,-3.3,0.)); #591318=CARTESIAN_POINT('',(-2.6,-3.3,0.)); #591319=CARTESIAN_POINT('Origin',(-2.75,-3.3,0.)); #591320=CARTESIAN_POINT('',(-2.6,-3.551,-1.76027756664041E-18)); #591321=CARTESIAN_POINT('',(-2.6,-3.55,0.)); #591322=CARTESIAN_POINT('',(-2.9,-3.551,-1.76027756664041E-18)); #591323=CARTESIAN_POINT('',(-2.9,-3.551,-1.76027756664041E-18)); #591324=CARTESIAN_POINT('',(-2.9,-3.55,0.)); #591325=CARTESIAN_POINT('Origin',(-2.75,-3.3,0.0625)); #591326=CARTESIAN_POINT('',(-2.6,-3.3,0.05)); #591327=CARTESIAN_POINT('',(-2.9,-3.3,0.05)); #591328=CARTESIAN_POINT('Origin',(-2.75,-3.3,0.05)); #591329=CARTESIAN_POINT('',(-2.6,-3.3,0.0625)); #591330=CARTESIAN_POINT('',(-2.9,-3.3,0.0625)); #591331=CARTESIAN_POINT('Origin',(-2.9,-3.55,0.05)); #591332=CARTESIAN_POINT('',(-2.9,-3.55,0.05)); #591333=CARTESIAN_POINT('',(-2.9,-3.15,0.05)); #591334=CARTESIAN_POINT('',(-2.6,-3.55,0.05)); #591335=CARTESIAN_POINT('',(-2.9,-3.55,0.05)); #591336=CARTESIAN_POINT('',(-2.6,-3.15,0.05)); #591337=CARTESIAN_POINT('Origin',(-2.9,-3.55,0.15)); #591338=CARTESIAN_POINT('',(-2.9,-3.55,0.15)); #591339=CARTESIAN_POINT('',(-2.9,-3.55,0.15)); #591340=CARTESIAN_POINT('',(-2.6,-3.55,0.15)); #591341=CARTESIAN_POINT('',(-2.9,-3.55,0.15)); #591342=CARTESIAN_POINT('',(-2.6,-3.55,0.15)); #591343=CARTESIAN_POINT('Origin',(-2.9,-3.551,0.15)); #591344=CARTESIAN_POINT('',(-2.9,-3.551,0.15)); #591345=CARTESIAN_POINT('',(-2.9,-3.551,0.15)); #591346=CARTESIAN_POINT('',(-2.6,-3.551,0.15)); #591347=CARTESIAN_POINT('',(-2.9,-3.551,0.15)); #591348=CARTESIAN_POINT('',(-2.6,-3.551,0.15)); #591349=CARTESIAN_POINT('Origin',(-2.9,-3.551,-1.76027756664041E-18)); #591350=CARTESIAN_POINT('',(-2.9,-3.551,-1.76027756664041E-18)); #591351=CARTESIAN_POINT('',(-2.6,-3.551,-1.76027756664041E-18)); #591352=CARTESIAN_POINT('Origin',(-2.6,-3.3505,0.075)); #591353=CARTESIAN_POINT('Origin',(-2.9,-3.3505,0.075)); #591354=CARTESIAN_POINT('Origin',(-2.7,-2.7,0.)); #591355=CARTESIAN_POINT('',(-2.7,-2.7,0.)); #591356=CARTESIAN_POINT('',(2.7,-2.7,0.)); #591357=CARTESIAN_POINT('',(-2.7,-2.7,0.)); #591358=CARTESIAN_POINT('',(2.7,-2.7,0.05)); #591359=CARTESIAN_POINT('',(2.7,-2.7,0.)); #591360=CARTESIAN_POINT('',(-2.7,-2.7,0.05)); #591361=CARTESIAN_POINT('',(-2.7,-2.7,0.05)); #591362=CARTESIAN_POINT('',(-2.7,-2.7,0.)); #591363=CARTESIAN_POINT('Origin',(-2.7,2.7,0.)); #591364=CARTESIAN_POINT('',(-2.7,2.7,0.)); #591365=CARTESIAN_POINT('',(-2.7,2.7,0.)); #591366=CARTESIAN_POINT('',(-2.7,2.7,0.05)); #591367=CARTESIAN_POINT('',(-2.7,2.7,0.05)); #591368=CARTESIAN_POINT('',(-2.7,2.7,0.)); #591369=CARTESIAN_POINT('Origin',(2.7,2.7,0.)); #591370=CARTESIAN_POINT('',(2.7,2.7,0.)); #591371=CARTESIAN_POINT('',(2.7,2.7,0.)); #591372=CARTESIAN_POINT('',(2.7,2.7,0.05)); #591373=CARTESIAN_POINT('',(2.7,2.7,0.05)); #591374=CARTESIAN_POINT('',(2.7,2.7,0.)); #591375=CARTESIAN_POINT('Origin',(2.7,-2.7,0.)); #591376=CARTESIAN_POINT('',(2.7,-2.7,0.)); #591377=CARTESIAN_POINT('',(2.7,-2.7,0.05)); #591378=CARTESIAN_POINT('Origin',(0.,0.,0.05)); #591379=CARTESIAN_POINT('Origin',(0.,0.,0.)); #591380=CARTESIAN_POINT('',(0.,0.,0.)); #591381=CARTESIAN_POINT('',(0.,0.,0.)); #591382=CARTESIAN_POINT('',(0.,0.,0.)); #591383=CARTESIAN_POINT('Origin',(-1.56,-0.81,0.51)); #591384=CARTESIAN_POINT('',(-1.6,-0.81,0.51)); #591385=CARTESIAN_POINT('',(-1.56,-0.81,0.55)); #591386=CARTESIAN_POINT('Origin',(-1.56,-0.81,0.51)); #591387=CARTESIAN_POINT('',(-1.56,-0.85,0.51)); #591388=CARTESIAN_POINT('Origin',(-1.56,-0.81,0.51)); #591389=CARTESIAN_POINT('Origin',(-1.56,-0.81,0.51)); #591390=CARTESIAN_POINT('Origin',(-0.99,-0.81,0.51)); #591391=CARTESIAN_POINT('',(-0.99,-0.85,0.51)); #591392=CARTESIAN_POINT('',(-0.99,-0.81,0.55)); #591393=CARTESIAN_POINT('Origin',(-0.99,-0.81,0.51)); #591394=CARTESIAN_POINT('',(-0.95,-0.81,0.51)); #591395=CARTESIAN_POINT('Origin',(-0.99,-0.81,0.51)); #591396=CARTESIAN_POINT('Origin',(-0.99,-0.81,0.51)); #591397=CARTESIAN_POINT('Origin',(-1.56,-0.81,0.04)); #591398=CARTESIAN_POINT('',(-1.6,-0.81,0.04)); #591399=CARTESIAN_POINT('',(-1.56,-0.85,0.04)); #591400=CARTESIAN_POINT('Origin',(-1.56,-0.81,0.04)); #591401=CARTESIAN_POINT('',(-1.56,-0.81,0.)); #591402=CARTESIAN_POINT('Origin',(-1.56,-0.81,0.04)); #591403=CARTESIAN_POINT('Origin',(-1.56,-0.81,0.04)); #591404=CARTESIAN_POINT('Origin',(-1.56,0.81,0.51)); #591405=CARTESIAN_POINT('',(-1.56,0.85,0.51)); #591406=CARTESIAN_POINT('',(-1.56,0.81,0.55)); #591407=CARTESIAN_POINT('Origin',(-1.56,0.81,0.51)); #591408=CARTESIAN_POINT('',(-1.6,0.81,0.51)); #591409=CARTESIAN_POINT('Origin',(-1.56,0.81,0.51)); #591410=CARTESIAN_POINT('Origin',(-1.56,0.81,0.51)); #591411=CARTESIAN_POINT('Origin',(-0.99,-0.81,0.04)); #591412=CARTESIAN_POINT('',(-0.99,-0.85,0.04)); #591413=CARTESIAN_POINT('',(-0.95,-0.81,0.04)); #591414=CARTESIAN_POINT('Origin',(-0.99,-0.81,0.04)); #591415=CARTESIAN_POINT('',(-0.99,-0.81,0.)); #591416=CARTESIAN_POINT('Origin',(-0.99,-0.81,0.04)); #591417=CARTESIAN_POINT('Origin',(-0.99,-0.81,0.04)); #591418=CARTESIAN_POINT('Origin',(-1.4375,-0.81,0.04)); #591419=CARTESIAN_POINT('',(-1.4375,-0.85,0.04)); #591420=CARTESIAN_POINT('',(-1.4375,-0.81,0.)); #591421=CARTESIAN_POINT('Origin',(-0.99,0.81,0.51)); #591422=CARTESIAN_POINT('',(-0.95,0.81,0.51)); #591423=CARTESIAN_POINT('',(-0.99,0.81,0.55)); #591424=CARTESIAN_POINT('Origin',(-0.99,0.81,0.51)); #591425=CARTESIAN_POINT('',(-0.99,0.85,0.51)); #591426=CARTESIAN_POINT('Origin',(-0.99,0.81,0.51)); #591427=CARTESIAN_POINT('Origin',(-0.99,0.81,0.51)); #591428=CARTESIAN_POINT('Origin',(-1.56,0.81,0.04)); #591429=CARTESIAN_POINT('',(-1.56,0.81,0.)); #591430=CARTESIAN_POINT('',(-1.56,0.85,0.04)); #591431=CARTESIAN_POINT('Origin',(-1.56,0.81,0.04)); #591432=CARTESIAN_POINT('',(-1.6,0.81,0.04)); #591433=CARTESIAN_POINT('Origin',(-1.56,0.81,0.04)); #591434=CARTESIAN_POINT('Origin',(-1.56,0.81,0.04)); #591435=CARTESIAN_POINT('Origin',(-1.56,0.425,0.04)); #591436=CARTESIAN_POINT('',(-1.6,0.425,0.04)); #591437=CARTESIAN_POINT('',(-1.56,0.425,0.)); #591438=CARTESIAN_POINT('Origin',(-1.56,0.81,0.)); #591439=CARTESIAN_POINT('',(-1.56,0.85,0.)); #591440=CARTESIAN_POINT('',(-1.6,0.81,0.)); #591441=CARTESIAN_POINT('Origin',(-0.99,0.81,0.04)); #591442=CARTESIAN_POINT('',(-0.95,0.81,0.04)); #591443=CARTESIAN_POINT('',(-0.99,0.85,0.04)); #591444=CARTESIAN_POINT('Origin',(-0.99,0.81,0.04)); #591445=CARTESIAN_POINT('',(-0.99,0.81,0.)); #591446=CARTESIAN_POINT('Origin',(-0.99,0.81,0.04)); #591447=CARTESIAN_POINT('Origin',(-0.99,0.81,0.04)); #591448=CARTESIAN_POINT('Origin',(-0.99,-0.425,0.04)); #591449=CARTESIAN_POINT('',(-0.99,-0.425,0.)); #591450=CARTESIAN_POINT('',(-0.95,-0.425,0.04)); #591451=CARTESIAN_POINT('Origin',(-1.1125,0.81,0.04)); #591452=CARTESIAN_POINT('',(-1.1125,0.85,0.04)); #591453=CARTESIAN_POINT('',(-1.1125,0.81,0.)); #591454=CARTESIAN_POINT('Origin',(-0.99,0.81,0.)); #591455=CARTESIAN_POINT('',(-0.95,0.81,0.)); #591456=CARTESIAN_POINT('',(-0.99,0.85,0.)); #591457=CARTESIAN_POINT('Origin',(-1.1125,0.81,0.51)); #591458=CARTESIAN_POINT('',(-1.1125,0.81,0.55)); #591459=CARTESIAN_POINT('',(-1.1125,0.85,0.51)); #591460=CARTESIAN_POINT('Origin',(-0.99,-0.425,0.51)); #591461=CARTESIAN_POINT('',(-0.99,-0.425,0.55)); #591462=CARTESIAN_POINT('',(-0.95,-0.425,0.51)); #591463=CARTESIAN_POINT('Origin',(-0.99,-0.81,0.)); #591464=CARTESIAN_POINT('',(-0.99,-0.85,0.)); #591465=CARTESIAN_POINT('',(-0.95,-0.81,0.)); #591466=CARTESIAN_POINT('Origin',(-1.56,0.425,0.51)); #591467=CARTESIAN_POINT('',(-1.56,0.425,0.55)); #591468=CARTESIAN_POINT('',(-1.6,0.425,0.51)); #591469=CARTESIAN_POINT('Origin',(-1.56,-0.81,0.)); #591470=CARTESIAN_POINT('',(-1.6,-0.81,0.)); #591471=CARTESIAN_POINT('',(-1.56,-0.85,0.)); #591472=CARTESIAN_POINT('Origin',(-1.4375,-0.81,0.51)); #591473=CARTESIAN_POINT('',(-1.4375,-0.81,0.55)); #591474=CARTESIAN_POINT('',(-1.4375,-0.85,0.51)); #591475=CARTESIAN_POINT('Origin',(-1.6,-0.85,0.)); #591476=CARTESIAN_POINT('Origin',(-1.275,0.,0.)); #591477=CARTESIAN_POINT('Origin',(-1.6,0.85,0.)); #591478=CARTESIAN_POINT('Origin',(-0.95,0.85,0.)); #591479=CARTESIAN_POINT('Origin',(-0.95,-0.85,0.)); #591480=CARTESIAN_POINT('Origin',(-1.275,0.,0.55)); #591481=CARTESIAN_POINT('Origin',(0.99,-0.81,0.51)); #591482=CARTESIAN_POINT('',(0.95,-0.81,0.51)); #591483=CARTESIAN_POINT('',(0.99,-0.81,0.55)); #591484=CARTESIAN_POINT('Origin',(0.99,-0.81,0.51)); #591485=CARTESIAN_POINT('',(0.99,-0.85,0.51)); #591486=CARTESIAN_POINT('Origin',(0.99,-0.81,0.51)); #591487=CARTESIAN_POINT('Origin',(0.99,-0.81,0.51)); #591488=CARTESIAN_POINT('Origin',(1.56,-0.81,0.51)); #591489=CARTESIAN_POINT('',(1.56,-0.85,0.51)); #591490=CARTESIAN_POINT('',(1.56,-0.81,0.55)); #591491=CARTESIAN_POINT('Origin',(1.56,-0.81,0.51)); #591492=CARTESIAN_POINT('',(1.6,-0.81,0.51)); #591493=CARTESIAN_POINT('Origin',(1.56,-0.81,0.51)); #591494=CARTESIAN_POINT('Origin',(1.56,-0.81,0.51)); #591495=CARTESIAN_POINT('Origin',(0.99,-0.81,0.04)); #591496=CARTESIAN_POINT('',(0.95,-0.81,0.04)); #591497=CARTESIAN_POINT('',(0.99,-0.85,0.04)); #591498=CARTESIAN_POINT('Origin',(0.99,-0.81,0.04)); #591499=CARTESIAN_POINT('',(0.99,-0.81,0.)); #591500=CARTESIAN_POINT('Origin',(0.99,-0.81,0.04)); #591501=CARTESIAN_POINT('Origin',(0.99,-0.81,0.04)); #591502=CARTESIAN_POINT('Origin',(0.99,0.81,0.51)); #591503=CARTESIAN_POINT('',(0.99,0.85,0.51)); #591504=CARTESIAN_POINT('',(0.99,0.81,0.55)); #591505=CARTESIAN_POINT('Origin',(0.99,0.81,0.51)); #591506=CARTESIAN_POINT('',(0.95,0.81,0.51)); #591507=CARTESIAN_POINT('Origin',(0.99,0.81,0.51)); #591508=CARTESIAN_POINT('Origin',(0.99,0.81,0.51)); #591509=CARTESIAN_POINT('Origin',(1.56,-0.81,0.04)); #591510=CARTESIAN_POINT('',(1.56,-0.85,0.04)); #591511=CARTESIAN_POINT('',(1.6,-0.81,0.04)); #591512=CARTESIAN_POINT('Origin',(1.56,-0.81,0.04)); #591513=CARTESIAN_POINT('',(1.56,-0.81,0.)); #591514=CARTESIAN_POINT('Origin',(1.56,-0.81,0.04)); #591515=CARTESIAN_POINT('Origin',(1.56,-0.81,0.04)); #591516=CARTESIAN_POINT('Origin',(1.1125,-0.81,0.04)); #591517=CARTESIAN_POINT('',(1.1125,-0.85,0.04)); #591518=CARTESIAN_POINT('',(1.1125,-0.81,0.)); #591519=CARTESIAN_POINT('Origin',(1.56,0.81,0.51)); #591520=CARTESIAN_POINT('',(1.6,0.81,0.51)); #591521=CARTESIAN_POINT('',(1.56,0.81,0.55)); #591522=CARTESIAN_POINT('Origin',(1.56,0.81,0.51)); #591523=CARTESIAN_POINT('',(1.56,0.85,0.51)); #591524=CARTESIAN_POINT('Origin',(1.56,0.81,0.51)); #591525=CARTESIAN_POINT('Origin',(1.56,0.81,0.51)); #591526=CARTESIAN_POINT('Origin',(0.99,0.81,0.04)); #591527=CARTESIAN_POINT('',(0.99,0.81,0.)); #591528=CARTESIAN_POINT('',(0.99,0.85,0.04)); #591529=CARTESIAN_POINT('Origin',(0.99,0.81,0.04)); #591530=CARTESIAN_POINT('',(0.95,0.81,0.04)); #591531=CARTESIAN_POINT('Origin',(0.99,0.81,0.04)); #591532=CARTESIAN_POINT('Origin',(0.99,0.81,0.04)); #591533=CARTESIAN_POINT('Origin',(0.99,0.425,0.04)); #591534=CARTESIAN_POINT('',(0.95,0.425,0.04)); #591535=CARTESIAN_POINT('',(0.99,0.425,0.)); #591536=CARTESIAN_POINT('Origin',(0.99,0.81,0.)); #591537=CARTESIAN_POINT('',(0.99,0.85,0.)); #591538=CARTESIAN_POINT('',(0.95,0.81,0.)); #591539=CARTESIAN_POINT('Origin',(1.56,0.81,0.04)); #591540=CARTESIAN_POINT('',(1.6,0.81,0.04)); #591541=CARTESIAN_POINT('',(1.56,0.85,0.04)); #591542=CARTESIAN_POINT('Origin',(1.56,0.81,0.04)); #591543=CARTESIAN_POINT('',(1.56,0.81,0.)); #591544=CARTESIAN_POINT('Origin',(1.56,0.81,0.04)); #591545=CARTESIAN_POINT('Origin',(1.56,0.81,0.04)); #591546=CARTESIAN_POINT('Origin',(1.56,-0.425,0.04)); #591547=CARTESIAN_POINT('',(1.6,-0.425,0.04)); #591548=CARTESIAN_POINT('',(1.56,-0.425,0.)); #591549=CARTESIAN_POINT('Origin',(1.4375,0.81,0.04)); #591550=CARTESIAN_POINT('',(1.4375,0.85,0.04)); #591551=CARTESIAN_POINT('',(1.4375,0.81,0.)); #591552=CARTESIAN_POINT('Origin',(1.56,0.81,0.)); #591553=CARTESIAN_POINT('',(1.6,0.81,0.)); #591554=CARTESIAN_POINT('',(1.56,0.85,0.)); #591555=CARTESIAN_POINT('Origin',(1.4375,0.81,0.51)); #591556=CARTESIAN_POINT('',(1.4375,0.81,0.55)); #591557=CARTESIAN_POINT('',(1.4375,0.85,0.51)); #591558=CARTESIAN_POINT('Origin',(1.56,-0.425,0.51)); #591559=CARTESIAN_POINT('',(1.56,-0.425,0.55)); #591560=CARTESIAN_POINT('',(1.6,-0.425,0.51)); #591561=CARTESIAN_POINT('Origin',(1.56,-0.81,0.)); #591562=CARTESIAN_POINT('',(1.56,-0.85,0.)); #591563=CARTESIAN_POINT('',(1.6,-0.81,0.)); #591564=CARTESIAN_POINT('Origin',(0.99,0.425,0.51)); #591565=CARTESIAN_POINT('',(0.99,0.425,0.55)); #591566=CARTESIAN_POINT('',(0.95,0.425,0.51)); #591567=CARTESIAN_POINT('Origin',(0.99,-0.81,0.)); #591568=CARTESIAN_POINT('',(0.95,-0.81,0.)); #591569=CARTESIAN_POINT('',(0.99,-0.85,0.)); #591570=CARTESIAN_POINT('Origin',(1.1125,-0.81,0.51)); #591571=CARTESIAN_POINT('',(1.1125,-0.81,0.55)); #591572=CARTESIAN_POINT('',(1.1125,-0.85,0.51)); #591573=CARTESIAN_POINT('Origin',(0.95,-0.85,0.)); #591574=CARTESIAN_POINT('Origin',(1.275,0.,0.)); #591575=CARTESIAN_POINT('Origin',(0.95,0.85,0.)); #591576=CARTESIAN_POINT('Origin',(1.6,0.85,0.)); #591577=CARTESIAN_POINT('Origin',(1.6,-0.85,0.)); #591578=CARTESIAN_POINT('Origin',(1.275,0.,0.55)); #591579=CARTESIAN_POINT('Origin',(0.95,-0.85,0.)); #591580=CARTESIAN_POINT('',(0.95,0.85,0.)); #591581=CARTESIAN_POINT('',(0.95,-0.85,0.)); #591582=CARTESIAN_POINT('',(0.95,0.85,0.)); #591583=CARTESIAN_POINT('',(0.95,0.85,0.55)); #591584=CARTESIAN_POINT('',(0.95,0.85,0.)); #591585=CARTESIAN_POINT('',(0.95,-0.85,0.55)); #591586=CARTESIAN_POINT('',(0.95,0.85,0.55)); #591587=CARTESIAN_POINT('',(0.95,-0.85,0.)); #591588=CARTESIAN_POINT('Origin',(-0.95,-0.85,0.)); #591589=CARTESIAN_POINT('',(-0.95,-0.85,0.)); #591590=CARTESIAN_POINT('',(-1.6,-0.85,0.)); #591591=CARTESIAN_POINT('',(-0.95,-0.85,0.55)); #591592=CARTESIAN_POINT('',(-1.6,-0.85,0.55)); #591593=CARTESIAN_POINT('',(-0.95,-0.85,0.)); #591594=CARTESIAN_POINT('Origin',(-0.95,0.85,0.)); #591595=CARTESIAN_POINT('',(-0.95,0.85,0.)); #591596=CARTESIAN_POINT('',(-0.95,0.85,0.)); #591597=CARTESIAN_POINT('',(-0.95,0.85,0.55)); #591598=CARTESIAN_POINT('',(-0.95,0.85,0.55)); #591599=CARTESIAN_POINT('',(-0.95,0.85,0.)); #591600=CARTESIAN_POINT('Origin',(0.95,0.85,0.)); #591601=CARTESIAN_POINT('',(1.6,0.85,0.)); #591602=CARTESIAN_POINT('',(1.6,0.85,0.55)); #591603=CARTESIAN_POINT('Origin',(0.,0.,0.55)); #591604=CARTESIAN_POINT('Origin',(0.,0.,0.)); #591605=CARTESIAN_POINT('',(0.,0.,0.)); #591606=CARTESIAN_POINT('',(0.,0.,0.)); #591607=CARTESIAN_POINT('',(0.,0.,0.)); #591608=CARTESIAN_POINT('Origin',(-1.4225,0.475,0.9)); #591609=CARTESIAN_POINT('',(-1.395,-0.895,1.1)); #591610=CARTESIAN_POINT('',(-1.395,0.895,1.1)); #591611=CARTESIAN_POINT('',(-1.395,0.475,1.1)); #591612=CARTESIAN_POINT('',(-1.45,0.95,0.7)); #591613=CARTESIAN_POINT('',(-1.395,0.895,1.1)); #591614=CARTESIAN_POINT('',(-1.45,-0.95,0.7)); #591615=CARTESIAN_POINT('',(-1.45,0.475,0.7)); #591616=CARTESIAN_POINT('',(-1.395,-0.895,1.1)); #591617=CARTESIAN_POINT('Origin',(0.725,0.9225,0.9)); #591618=CARTESIAN_POINT('',(1.395,0.895,1.1)); #591619=CARTESIAN_POINT('',(0.725,0.895,1.1)); #591620=CARTESIAN_POINT('',(1.45,0.95,0.7)); #591621=CARTESIAN_POINT('',(1.395,0.895,1.1)); #591622=CARTESIAN_POINT('',(0.725,0.95,0.7)); #591623=CARTESIAN_POINT('Origin',(1.4225,-0.475,0.9)); #591624=CARTESIAN_POINT('',(1.395,-0.895,1.1)); #591625=CARTESIAN_POINT('',(1.395,-0.475,1.1)); #591626=CARTESIAN_POINT('',(1.45,-0.95,0.7)); #591627=CARTESIAN_POINT('',(1.395,-0.895,1.1)); #591628=CARTESIAN_POINT('',(1.45,-0.475,0.7)); #591629=CARTESIAN_POINT('Origin',(-0.725,-0.9225,0.9)); #591630=CARTESIAN_POINT('',(-0.725,-0.95,0.7)); #591631=CARTESIAN_POINT('',(-0.725,-0.895,1.1)); #591632=CARTESIAN_POINT('Origin',(-1.45,-0.95,0.15)); #591633=CARTESIAN_POINT('',(-1.45,-0.95,0.15)); #591634=CARTESIAN_POINT('',(-1.45,-0.95,0.15)); #591635=CARTESIAN_POINT('',(1.45,-0.95,0.15)); #591636=CARTESIAN_POINT('',(-1.45,-0.95,0.15)); #591637=CARTESIAN_POINT('',(1.45,-0.95,0.15)); #591638=CARTESIAN_POINT('Origin',(-1.45,0.95,0.15)); #591639=CARTESIAN_POINT('',(-1.45,0.95,0.15)); #591640=CARTESIAN_POINT('',(-1.45,0.95,0.15)); #591641=CARTESIAN_POINT('',(-1.45,0.95,0.15)); #591642=CARTESIAN_POINT('Origin',(0.,0.,1.1)); #591643=CARTESIAN_POINT('Origin',(1.45,0.95,0.15)); #591644=CARTESIAN_POINT('',(1.45,0.95,0.15)); #591645=CARTESIAN_POINT('',(1.45,0.95,0.15)); #591646=CARTESIAN_POINT('',(1.45,0.95,0.15)); #591647=CARTESIAN_POINT('Origin',(1.45,-0.95,0.15)); #591648=CARTESIAN_POINT('',(1.45,-0.95,0.15)); #591649=CARTESIAN_POINT('Origin',(0.,0.,0.15)); #591650=CARTESIAN_POINT('Origin',(1.45,-0.55,0.)); #591651=CARTESIAN_POINT('',(1.45,0.55,0.)); #591652=CARTESIAN_POINT('',(1.45,-0.55,0.)); #591653=CARTESIAN_POINT('',(1.45,0.,0.)); #591654=CARTESIAN_POINT('',(1.45,0.55,0.15)); #591655=CARTESIAN_POINT('',(1.45,0.55,0.)); #591656=CARTESIAN_POINT('',(1.45,-0.55,0.15)); #591657=CARTESIAN_POINT('',(1.45,0.,0.15)); #591658=CARTESIAN_POINT('',(1.45,-0.55,0.)); #591659=CARTESIAN_POINT('Origin',(0.7,-0.55,0.)); #591660=CARTESIAN_POINT('',(0.7,-0.55,0.)); #591661=CARTESIAN_POINT('',(0.7,-0.55,0.)); #591662=CARTESIAN_POINT('',(0.7,-0.55,0.15)); #591663=CARTESIAN_POINT('',(0.7,-0.55,0.15)); #591664=CARTESIAN_POINT('',(0.7,-0.55,0.)); #591665=CARTESIAN_POINT('Origin',(0.7,0.55,0.)); #591666=CARTESIAN_POINT('',(0.7,0.55,0.)); #591667=CARTESIAN_POINT('',(0.7,0.55,0.)); #591668=CARTESIAN_POINT('',(0.7,0.55,0.15)); #591669=CARTESIAN_POINT('',(0.7,0.55,0.15)); #591670=CARTESIAN_POINT('',(0.7,0.55,0.)); #591671=CARTESIAN_POINT('Origin',(1.45,0.55,0.)); #591672=CARTESIAN_POINT('',(1.6,0.55,0.)); #591673=CARTESIAN_POINT('',(1.6,0.55,0.15)); #591674=CARTESIAN_POINT('Origin',(1.075,0.,0.15)); #591675=CARTESIAN_POINT('Origin',(1.075,0.,0.)); #591676=CARTESIAN_POINT('Origin',(1.6,0.55,0.)); #591677=CARTESIAN_POINT('',(1.6,0.55,0.)); #591678=CARTESIAN_POINT('',(1.45,0.55,0.)); #591679=CARTESIAN_POINT('',(1.6,0.55,0.)); #591680=CARTESIAN_POINT('',(1.45,0.55,0.7)); #591681=CARTESIAN_POINT('',(1.45,0.55,0.)); #591682=CARTESIAN_POINT('',(1.6,0.55,0.7)); #591683=CARTESIAN_POINT('',(1.6,0.55,0.7)); #591684=CARTESIAN_POINT('',(1.6,0.55,0.)); #591685=CARTESIAN_POINT('Origin',(1.6,-0.55,0.)); #591686=CARTESIAN_POINT('',(1.6,-0.55,0.)); #591687=CARTESIAN_POINT('',(1.6,-0.55,0.)); #591688=CARTESIAN_POINT('',(1.6,-0.55,0.7)); #591689=CARTESIAN_POINT('',(1.6,-0.55,0.7)); #591690=CARTESIAN_POINT('',(1.6,-0.55,0.)); #591691=CARTESIAN_POINT('Origin',(1.45,-0.55,0.)); #591692=CARTESIAN_POINT('',(1.45,-0.55,0.)); #591693=CARTESIAN_POINT('',(0.7,-0.55,0.)); #591694=CARTESIAN_POINT('',(1.45,-0.55,0.7)); #591695=CARTESIAN_POINT('',(0.7,-0.55,0.7)); #591696=CARTESIAN_POINT('',(1.45,-0.55,0.)); #591697=CARTESIAN_POINT('Origin',(1.45,0.55,0.)); #591698=CARTESIAN_POINT('',(1.45,0.,0.)); #591699=CARTESIAN_POINT('',(1.45,0.,0.7)); #591700=CARTESIAN_POINT('Origin',(1.525,0.,0.7)); #591701=CARTESIAN_POINT('Origin',(1.525,0.,0.)); #591702=CARTESIAN_POINT('Origin',(-0.7,0.55,0.)); #591703=CARTESIAN_POINT('',(-1.45,0.55,0.)); #591704=CARTESIAN_POINT('',(-0.7,0.55,0.)); #591705=CARTESIAN_POINT('',(-1.6,0.55,0.)); #591706=CARTESIAN_POINT('',(-1.45,0.55,0.15)); #591707=CARTESIAN_POINT('',(-1.45,0.55,0.)); #591708=CARTESIAN_POINT('',(-0.7,0.55,0.15)); #591709=CARTESIAN_POINT('',(-1.6,0.55,0.15)); #591710=CARTESIAN_POINT('',(-0.7,0.55,0.)); #591711=CARTESIAN_POINT('Origin',(-0.7,-0.55,0.)); #591712=CARTESIAN_POINT('',(-0.7,-0.55,0.)); #591713=CARTESIAN_POINT('',(-0.7,0.55,0.)); #591714=CARTESIAN_POINT('',(-0.7,-0.55,0.15)); #591715=CARTESIAN_POINT('',(-0.7,0.55,0.15)); #591716=CARTESIAN_POINT('',(-0.7,-0.55,0.)); #591717=CARTESIAN_POINT('Origin',(-1.45,-0.55,0.)); #591718=CARTESIAN_POINT('',(-1.45,-0.55,0.)); #591719=CARTESIAN_POINT('',(-0.7,-0.55,0.)); #591720=CARTESIAN_POINT('',(-1.45,-0.55,0.15)); #591721=CARTESIAN_POINT('',(-0.7,-0.55,0.15)); #591722=CARTESIAN_POINT('',(-1.45,-0.55,0.)); #591723=CARTESIAN_POINT('Origin',(-1.45,0.55,0.)); #591724=CARTESIAN_POINT('',(-1.45,0.,0.)); #591725=CARTESIAN_POINT('',(-1.45,0.,0.15)); #591726=CARTESIAN_POINT('Origin',(-1.075,0.,0.15)); #591727=CARTESIAN_POINT('Origin',(-1.075,0.,0.)); #591728=CARTESIAN_POINT('Origin',(-1.6,-0.55,0.)); #591729=CARTESIAN_POINT('',(-1.45,-0.55,0.)); #591730=CARTESIAN_POINT('',(-1.6,-0.55,0.)); #591731=CARTESIAN_POINT('',(-0.7,-0.55,0.)); #591732=CARTESIAN_POINT('',(-1.45,-0.55,0.7)); #591733=CARTESIAN_POINT('',(-1.45,-0.55,0.)); #591734=CARTESIAN_POINT('',(-1.6,-0.55,0.7)); #591735=CARTESIAN_POINT('',(-0.7,-0.55,0.7)); #591736=CARTESIAN_POINT('',(-1.6,-0.55,0.)); #591737=CARTESIAN_POINT('Origin',(-1.6,0.55,0.)); #591738=CARTESIAN_POINT('',(-1.6,0.55,0.)); #591739=CARTESIAN_POINT('',(-1.6,-0.55,0.)); #591740=CARTESIAN_POINT('',(-1.6,0.55,0.7)); #591741=CARTESIAN_POINT('',(-1.6,-0.55,0.7)); #591742=CARTESIAN_POINT('',(-1.6,0.55,0.)); #591743=CARTESIAN_POINT('Origin',(-1.45,0.55,0.)); #591744=CARTESIAN_POINT('',(-1.45,0.55,0.)); #591745=CARTESIAN_POINT('',(-1.6,0.55,0.)); #591746=CARTESIAN_POINT('',(-1.45,0.55,0.7)); #591747=CARTESIAN_POINT('',(-1.6,0.55,0.7)); #591748=CARTESIAN_POINT('',(-1.45,0.55,0.)); #591749=CARTESIAN_POINT('Origin',(-1.45,-0.55,0.)); #591750=CARTESIAN_POINT('',(-1.45,0.,0.)); #591751=CARTESIAN_POINT('',(-1.45,0.,0.7)); #591752=CARTESIAN_POINT('Origin',(-1.525,0.,0.7)); #591753=CARTESIAN_POINT('Origin',(-1.525,0.,0.)); #591754=CARTESIAN_POINT('Origin',(-0.105,-0.895,1.1)); #591755=CARTESIAN_POINT('',(-0.105,0.895,1.1)); #591756=CARTESIAN_POINT('',(-0.105,-0.895,1.1)); #591757=CARTESIAN_POINT('',(-0.105,0.,1.1)); #591758=CARTESIAN_POINT('',(-0.105,0.895,1.102)); #591759=CARTESIAN_POINT('',(-0.105,0.895,1.1)); #591760=CARTESIAN_POINT('',(-0.105,-0.895,1.102)); #591761=CARTESIAN_POINT('',(-0.105,0.,1.102)); #591762=CARTESIAN_POINT('',(-0.105,-0.895,1.1)); #591763=CARTESIAN_POINT('Origin',(-1.39499999999999,-0.895000000000007, 1.1)); #591764=CARTESIAN_POINT('',(-1.39499999999999,-0.895000000000007,1.1)); #591765=CARTESIAN_POINT('',(-1.39499999999999,-0.895000000000007,1.1)); #591766=CARTESIAN_POINT('',(-1.39499999999999,-0.895000000000007,1.102)); #591767=CARTESIAN_POINT('',(-1.39499999999999,-0.895000000000007,1.102)); #591768=CARTESIAN_POINT('',(-1.39499999999999,-0.895000000000007,1.1)); #591769=CARTESIAN_POINT('Origin',(-1.39499999999999,0.894999999999993,1.1)); #591770=CARTESIAN_POINT('',(-1.39499999999999,0.894999999999993,1.1)); #591771=CARTESIAN_POINT('',(-1.39499999999999,0.894999999999993,1.1)); #591772=CARTESIAN_POINT('',(-1.39499999999999,0.894999999999993,1.102)); #591773=CARTESIAN_POINT('',(-1.39499999999999,0.894999999999993,1.102)); #591774=CARTESIAN_POINT('',(-1.39499999999999,0.894999999999993,1.1)); #591775=CARTESIAN_POINT('Origin',(-0.105,0.894999999999993,1.1)); #591776=CARTESIAN_POINT('',(1.39500000000001,0.894999999999993,1.1)); #591777=CARTESIAN_POINT('',(1.39500000000001,0.894999999999993,1.102)); #591778=CARTESIAN_POINT('Origin',(-0.749999999999996,-3.60822483003176E-15, 1.102)); #591779=CARTESIAN_POINT('Origin',(-0.749999999999996,-3.60822483003176E-15, 1.1)); #591780=CARTESIAN_POINT('',(0.,0.,0.)); #591781=CARTESIAN_POINT('',(0.,0.,0.)); #591782=CARTESIAN_POINT('',(0.,0.,0.)); #591783=CARTESIAN_POINT('Origin',(-1.421,1.951,1.75)); #591784=CARTESIAN_POINT('',(-1.6205,1.951,1.75)); #591785=CARTESIAN_POINT('Origin',(-1.421,1.951,1.75)); #591786=CARTESIAN_POINT('',(-1.6205,1.951,1.575)); #591787=CARTESIAN_POINT('',(-1.6205,1.951,1.75)); #591788=CARTESIAN_POINT('Origin',(-1.421,1.951,1.575)); #591789=CARTESIAN_POINT('Origin',(-1.421,1.951,1.575)); #591790=CARTESIAN_POINT('Origin',(-1.85,1.2625,1.3875)); #591791=CARTESIAN_POINT('',(-1.705,-2.235,1.75)); #591792=CARTESIAN_POINT('',(-1.705,2.235,1.75)); #591793=CARTESIAN_POINT('',(-1.705,1.2625,1.75)); #591794=CARTESIAN_POINT('',(-1.995,2.525,1.025)); #591795=CARTESIAN_POINT('',(-1.705,2.235,1.75)); #591796=CARTESIAN_POINT('',(-1.995,-2.525,1.025)); #591797=CARTESIAN_POINT('',(-1.995,1.2625,1.025)); #591798=CARTESIAN_POINT('',(-1.705,-2.235,1.75)); #591799=CARTESIAN_POINT('Origin',(0.9975,2.38,1.3875)); #591800=CARTESIAN_POINT('',(1.705,2.235,1.75)); #591801=CARTESIAN_POINT('',(0.9975,2.235,1.75)); #591802=CARTESIAN_POINT('',(1.995,2.525,1.025)); #591803=CARTESIAN_POINT('',(1.705,2.235,1.75)); #591804=CARTESIAN_POINT('',(0.9975,2.525,1.025)); #591805=CARTESIAN_POINT('Origin',(1.85,-1.2625,1.3875)); #591806=CARTESIAN_POINT('',(1.705,-2.235,1.75)); #591807=CARTESIAN_POINT('',(1.705,-1.2625,1.75)); #591808=CARTESIAN_POINT('',(1.995,-2.525,1.025)); #591809=CARTESIAN_POINT('',(1.705,-2.235,1.75)); #591810=CARTESIAN_POINT('',(1.995,-1.2625,1.025)); #591811=CARTESIAN_POINT('Origin',(-0.9975,-2.38,1.3875)); #591812=CARTESIAN_POINT('',(-0.9975,-2.525,1.025)); #591813=CARTESIAN_POINT('',(-0.9975,-2.235,1.75)); #591814=CARTESIAN_POINT('Origin',(-1.995,-2.525,0.1)); #591815=CARTESIAN_POINT('',(-1.995,-2.525,0.825)); #591816=CARTESIAN_POINT('',(-1.995,-2.525,0.1)); #591817=CARTESIAN_POINT('',(1.995,-2.525,0.825)); #591818=CARTESIAN_POINT('',(-0.9975,-2.525,0.825)); #591819=CARTESIAN_POINT('',(1.995,-2.525,0.1)); #591820=CARTESIAN_POINT('Origin',(-1.995,2.525,0.1)); #591821=CARTESIAN_POINT('',(-1.995,2.525,0.825)); #591822=CARTESIAN_POINT('',(-1.995,2.525,0.1)); #591823=CARTESIAN_POINT('',(-1.995,1.2625,0.825)); #591824=CARTESIAN_POINT('Origin',(0.,0.,1.75)); #591825=CARTESIAN_POINT('Origin',(1.85,-1.2625,0.4625)); #591826=CARTESIAN_POINT('',(1.995,2.525,0.825)); #591827=CARTESIAN_POINT('',(1.995,-1.2625,0.825)); #591828=CARTESIAN_POINT('',(1.705,-2.235,0.1)); #591829=CARTESIAN_POINT('',(1.995,-2.525,0.825)); #591830=CARTESIAN_POINT('',(1.705,2.235,0.1)); #591831=CARTESIAN_POINT('',(1.705,-1.2625,0.1)); #591832=CARTESIAN_POINT('',(1.705,2.235,0.1)); #591833=CARTESIAN_POINT('Origin',(-0.9975,-2.38,0.4625)); #591834=CARTESIAN_POINT('',(-1.705,-2.235,0.1)); #591835=CARTESIAN_POINT('',(-0.9975,-2.235,0.1)); #591836=CARTESIAN_POINT('',(-1.705,-2.235,0.1)); #591837=CARTESIAN_POINT('Origin',(0.9975,2.38,0.4625)); #591838=CARTESIAN_POINT('',(0.9975,2.525,0.825)); #591839=CARTESIAN_POINT('',(-1.705,2.235,0.1)); #591840=CARTESIAN_POINT('',(0.9975,2.235,0.1)); #591841=CARTESIAN_POINT('',(-1.705,2.235,0.1)); #591842=CARTESIAN_POINT('Origin',(-1.85,1.2625,0.4625)); #591843=CARTESIAN_POINT('',(-1.705,1.2625,0.1)); #591844=CARTESIAN_POINT('Origin',(1.995,-2.525,0.1)); #591845=CARTESIAN_POINT('',(1.995,2.525,0.1)); #591846=CARTESIAN_POINT('Origin',(0.,0.,0.1)); #591847=CARTESIAN_POINT('Origin',(1.995,2.525,0.1)); #591848=CARTESIAN_POINT('Origin',(-2.5475,1.65,0.5125)); #591849=CARTESIAN_POINT('',(-2.465,1.65,-1.04083408558608E-16)); #591850=CARTESIAN_POINT('',(-2.26887159177804,1.65,0.160838188393282)); #591851=CARTESIAN_POINT('Origin',(-2.465,1.65,0.200000000000001)); #591852=CARTESIAN_POINT('',(-2.14428436552253,1.65,0.784790282736416)); #591853=CARTESIAN_POINT('',(-2.26887159177804,1.65,0.160838188393282)); #591854=CARTESIAN_POINT('',(-2.09525234833659,1.65,0.824999829833981)); #591855=CARTESIAN_POINT('Origin',(-2.09525226346787,1.65,0.774999829834903)); #591856=CARTESIAN_POINT('',(-1.995,1.65,0.825)); #591857=CARTESIAN_POINT('',(-2.09525234833659,1.65,0.824999829833981)); #591858=CARTESIAN_POINT('',(-1.99500033947489,1.65,1.025)); #591859=CARTESIAN_POINT('',(-1.995,1.65,0.825)); #591860=CARTESIAN_POINT('',(-2.14815615444089,1.65,1.02499973984031)); #591861=CARTESIAN_POINT('',(-1.99500033947489,1.65,1.025)); #591862=CARTESIAN_POINT('',(-2.34428421365623,1.65,0.864161599183795)); #591863=CARTESIAN_POINT('Origin',(-2.148155814966,1.65,0.824999739840563)); #591864=CARTESIAN_POINT('',(-2.46887159177804,1.65,0.240209535164483)); #591865=CARTESIAN_POINT('',(-2.34428421365623,1.65,0.864161599183795)); #591866=CARTESIAN_POINT('',(-2.51790369145059,1.65,0.200000000000288)); #591867=CARTESIAN_POINT('Origin',(-2.51790369145059,1.65,0.25000000000029)); #591868=CARTESIAN_POINT('',(-3.1,1.65,0.2)); #591869=CARTESIAN_POINT('',(-2.51790369145059,1.65,0.200000000000288)); #591870=CARTESIAN_POINT('',(-3.1,1.65,0.)); #591871=CARTESIAN_POINT('',(-3.1,1.65,0.2)); #591872=CARTESIAN_POINT('',(-3.1,1.65,0.)); #591873=CARTESIAN_POINT('Origin',(-2.14428436552253,1.905,0.784790282736416)); #591874=CARTESIAN_POINT('',(-2.26887159177804,2.16,0.160838188393282)); #591875=CARTESIAN_POINT('',(-2.26887159177804,1.905,0.160838188393282)); #591876=CARTESIAN_POINT('',(-2.14428436552253,2.16,0.784790282736416)); #591877=CARTESIAN_POINT('',(-2.26887159177804,2.16,0.160838188393282)); #591878=CARTESIAN_POINT('',(-2.14428436552253,1.905,0.784790282736416)); #591879=CARTESIAN_POINT('Origin',(-2.09525226346787,1.905,0.774999829834903)); #591880=CARTESIAN_POINT('',(-2.09525234833659,2.16,0.824999829833981)); #591881=CARTESIAN_POINT('Origin',(-2.09525226346787,2.16,0.774999829834903)); #591882=CARTESIAN_POINT('',(-2.09525234833659,1.905,0.824999829833981)); #591883=CARTESIAN_POINT('Origin',(-1.995,1.905,0.825)); #591884=CARTESIAN_POINT('',(-1.995,2.16,0.825)); #591885=CARTESIAN_POINT('',(-2.09525234833659,2.16,0.824999829833981)); #591886=CARTESIAN_POINT('',(-1.995,1.905,0.825)); #591887=CARTESIAN_POINT('Origin',(-1.99500033947489,1.905,1.025)); #591888=CARTESIAN_POINT('',(-1.99500033947489,2.16,1.025)); #591889=CARTESIAN_POINT('',(-1.995,2.16,0.825)); #591890=CARTESIAN_POINT('',(-1.99500033947489,1.905,1.025)); #591891=CARTESIAN_POINT('Origin',(-2.14815615444089,1.905,1.02499974003724)); #591892=CARTESIAN_POINT('',(-2.14815615444089,2.16,1.02499973984031)); #591893=CARTESIAN_POINT('',(-1.99500033947489,2.16,1.025)); #591894=CARTESIAN_POINT('',(-2.14815615444089,1.905,1.02499973984031)); #591895=CARTESIAN_POINT('Origin',(-2.148155814966,1.905,0.824999739840563)); #591896=CARTESIAN_POINT('',(-2.34428421365623,2.16,0.864161599183795)); #591897=CARTESIAN_POINT('Origin',(-2.148155814966,2.16,0.824999739840563)); #591898=CARTESIAN_POINT('',(-2.34428421365623,1.905,0.864161599183795)); #591899=CARTESIAN_POINT('Origin',(-2.46887159177804,1.905,0.240209535164483)); #591900=CARTESIAN_POINT('',(-2.46887159177804,2.16,0.240209535164483)); #591901=CARTESIAN_POINT('',(-2.34428421365623,2.16,0.864161599183795)); #591902=CARTESIAN_POINT('',(-2.46887159177804,1.905,0.240209535164483)); #591903=CARTESIAN_POINT('Origin',(-2.51790369145059,1.905,0.25000000000029)); #591904=CARTESIAN_POINT('',(-2.51790369145059,2.16,0.200000000000288)); #591905=CARTESIAN_POINT('Origin',(-2.51790369145059,2.16,0.25000000000029)); #591906=CARTESIAN_POINT('',(-2.51790369145059,1.905,0.200000000000288)); #591907=CARTESIAN_POINT('Origin',(-3.1,1.905,0.2)); #591908=CARTESIAN_POINT('',(-3.1,2.16,0.2)); #591909=CARTESIAN_POINT('',(-2.51790369145059,2.16,0.200000000000288)); #591910=CARTESIAN_POINT('',(-3.1,1.905,0.2)); #591911=CARTESIAN_POINT('Origin',(-3.1,1.905,0.)); #591912=CARTESIAN_POINT('',(-3.1,2.16,0.)); #591913=CARTESIAN_POINT('',(-3.1,2.16,0.2)); #591914=CARTESIAN_POINT('',(-3.1,1.905,0.)); #591915=CARTESIAN_POINT('Origin',(-2.465,1.905,0.)); #591916=CARTESIAN_POINT('',(-2.465,2.16,-1.04083408558608E-16)); #591917=CARTESIAN_POINT('',(-3.1,2.16,0.)); #591918=CARTESIAN_POINT('',(-2.465,1.905,-1.04083408558608E-16)); #591919=CARTESIAN_POINT('Origin',(-2.465,1.905,0.200000000000001)); #591920=CARTESIAN_POINT('Origin',(-2.465,2.16,0.200000000000001)); #591921=CARTESIAN_POINT('Origin',(-2.5475,2.16,0.5125)); #591922=CARTESIAN_POINT('Origin',(2.5475,1.65,0.5125)); #591923=CARTESIAN_POINT('',(2.465,1.65,-1.04083408558608E-16)); #591924=CARTESIAN_POINT('',(2.26887159177804,1.65,0.160838188393282)); #591925=CARTESIAN_POINT('Origin',(2.465,1.65,0.200000000000001)); #591926=CARTESIAN_POINT('',(3.1,1.65,0.)); #591927=CARTESIAN_POINT('',(3.1,1.65,0.)); #591928=CARTESIAN_POINT('',(3.1,1.65,0.2)); #591929=CARTESIAN_POINT('',(3.1,1.65,0.2)); #591930=CARTESIAN_POINT('',(2.51790369145059,1.65,0.200000000000288)); #591931=CARTESIAN_POINT('',(2.51790369145059,1.65,0.200000000000288)); #591932=CARTESIAN_POINT('',(2.46887159177804,1.65,0.240209535164483)); #591933=CARTESIAN_POINT('Origin',(2.51790369145059,1.65,0.25000000000029)); #591934=CARTESIAN_POINT('',(2.34428421365623,1.65,0.864161599183795)); #591935=CARTESIAN_POINT('',(2.34428421365623,1.65,0.864161599183795)); #591936=CARTESIAN_POINT('',(2.14815615444089,1.65,1.02499973984031)); #591937=CARTESIAN_POINT('Origin',(2.148155814966,1.65,0.824999739840563)); #591938=CARTESIAN_POINT('',(1.99500033947489,1.65,1.025)); #591939=CARTESIAN_POINT('',(1.99500033947489,1.65,1.025)); #591940=CARTESIAN_POINT('',(1.995,1.65,0.825)); #591941=CARTESIAN_POINT('',(1.995,1.65,0.825)); #591942=CARTESIAN_POINT('',(2.09525234833659,1.65,0.824999829833981)); #591943=CARTESIAN_POINT('',(2.09525234833659,1.65,0.824999829833981)); #591944=CARTESIAN_POINT('',(2.14428436552253,1.65,0.784790282736416)); #591945=CARTESIAN_POINT('Origin',(2.09525226346787,1.65,0.774999829834903)); #591946=CARTESIAN_POINT('',(2.26887159177804,1.65,0.160838188393282)); #591947=CARTESIAN_POINT('Origin',(2.14428436552253,1.905,0.784790282736416)); #591948=CARTESIAN_POINT('',(2.26887159177804,2.16,0.160838188393282)); #591949=CARTESIAN_POINT('',(2.26887159177804,1.905,0.160838188393282)); #591950=CARTESIAN_POINT('',(2.14428436552253,2.16,0.784790282736416)); #591951=CARTESIAN_POINT('',(2.14428436552253,1.905,0.784790282736416)); #591952=CARTESIAN_POINT('',(2.26887159177804,2.16,0.160838188393282)); #591953=CARTESIAN_POINT('Origin',(2.09525226346787,1.905,0.774999829834903)); #591954=CARTESIAN_POINT('',(2.09525234833659,2.16,0.824999829833981)); #591955=CARTESIAN_POINT('',(2.09525234833659,1.905,0.824999829833981)); #591956=CARTESIAN_POINT('Origin',(2.09525226346787,2.16,0.774999829834903)); #591957=CARTESIAN_POINT('Origin',(1.995,1.905,0.825)); #591958=CARTESIAN_POINT('',(1.995,2.16,0.825)); #591959=CARTESIAN_POINT('',(1.995,1.905,0.825)); #591960=CARTESIAN_POINT('',(2.09525234833659,2.16,0.824999829833981)); #591961=CARTESIAN_POINT('Origin',(1.99500033947489,1.905,1.025)); #591962=CARTESIAN_POINT('',(1.99500033947489,2.16,1.025)); #591963=CARTESIAN_POINT('',(1.99500033947489,1.905,1.025)); #591964=CARTESIAN_POINT('',(1.995,2.16,0.825)); #591965=CARTESIAN_POINT('Origin',(2.14815615444089,1.905,1.02499974003724)); #591966=CARTESIAN_POINT('',(2.14815615444089,2.16,1.02499973984031)); #591967=CARTESIAN_POINT('',(2.14815615444089,1.905,1.02499973984031)); #591968=CARTESIAN_POINT('',(1.99500033947489,2.16,1.025)); #591969=CARTESIAN_POINT('Origin',(2.148155814966,1.905,0.824999739840563)); #591970=CARTESIAN_POINT('',(2.34428421365623,2.16,0.864161599183795)); #591971=CARTESIAN_POINT('',(2.34428421365623,1.905,0.864161599183795)); #591972=CARTESIAN_POINT('Origin',(2.148155814966,2.16,0.824999739840563)); #591973=CARTESIAN_POINT('Origin',(2.46887159177804,1.905,0.240209535164483)); #591974=CARTESIAN_POINT('',(2.46887159177804,2.16,0.240209535164483)); #591975=CARTESIAN_POINT('',(2.46887159177804,1.905,0.240209535164483)); #591976=CARTESIAN_POINT('',(2.34428421365623,2.16,0.864161599183795)); #591977=CARTESIAN_POINT('Origin',(2.51790369145059,1.905,0.25000000000029)); #591978=CARTESIAN_POINT('',(2.51790369145059,2.16,0.200000000000288)); #591979=CARTESIAN_POINT('',(2.51790369145059,1.905,0.200000000000288)); #591980=CARTESIAN_POINT('Origin',(2.51790369145059,2.16,0.25000000000029)); #591981=CARTESIAN_POINT('Origin',(3.1,1.905,0.2)); #591982=CARTESIAN_POINT('',(3.1,2.16,0.2)); #591983=CARTESIAN_POINT('',(3.1,1.905,0.2)); #591984=CARTESIAN_POINT('',(2.51790369145059,2.16,0.200000000000288)); #591985=CARTESIAN_POINT('Origin',(3.1,1.905,0.)); #591986=CARTESIAN_POINT('',(3.1,2.16,0.)); #591987=CARTESIAN_POINT('',(3.1,1.905,0.)); #591988=CARTESIAN_POINT('',(3.1,2.16,0.2)); #591989=CARTESIAN_POINT('Origin',(2.465,1.905,0.)); #591990=CARTESIAN_POINT('',(2.465,2.16,-1.04083408558608E-16)); #591991=CARTESIAN_POINT('',(2.465,1.905,-1.04083408558608E-16)); #591992=CARTESIAN_POINT('',(3.1,2.16,0.)); #591993=CARTESIAN_POINT('Origin',(2.465,1.905,0.200000000000001)); #591994=CARTESIAN_POINT('Origin',(2.465,2.16,0.200000000000001)); #591995=CARTESIAN_POINT('Origin',(2.5475,2.16,0.5125)); #591996=CARTESIAN_POINT('Origin',(-2.5475,0.38,0.5125)); #591997=CARTESIAN_POINT('',(-2.465,0.38,-1.04083408558608E-16)); #591998=CARTESIAN_POINT('',(-2.26887159177804,0.38,0.160838188393282)); #591999=CARTESIAN_POINT('Origin',(-2.465,0.38,0.200000000000001)); #592000=CARTESIAN_POINT('',(-2.14428436552253,0.38,0.784790282736416)); #592001=CARTESIAN_POINT('',(-2.26887159177804,0.38,0.160838188393282)); #592002=CARTESIAN_POINT('',(-2.09525234833659,0.38,0.824999829833981)); #592003=CARTESIAN_POINT('Origin',(-2.09525226346787,0.38,0.774999829834903)); #592004=CARTESIAN_POINT('',(-1.995,0.38,0.825)); #592005=CARTESIAN_POINT('',(-2.09525234833659,0.38,0.824999829833981)); #592006=CARTESIAN_POINT('',(-1.99500033947489,0.38,1.025)); #592007=CARTESIAN_POINT('',(-1.995,0.38,0.825)); #592008=CARTESIAN_POINT('',(-2.14815615444089,0.38,1.02499973984031)); #592009=CARTESIAN_POINT('',(-1.99500033947489,0.38,1.025)); #592010=CARTESIAN_POINT('',(-2.34428421365623,0.38,0.864161599183795)); #592011=CARTESIAN_POINT('Origin',(-2.148155814966,0.38,0.824999739840563)); #592012=CARTESIAN_POINT('',(-2.46887159177804,0.38,0.240209535164483)); #592013=CARTESIAN_POINT('',(-2.34428421365623,0.38,0.864161599183795)); #592014=CARTESIAN_POINT('',(-2.51790369145059,0.38,0.200000000000288)); #592015=CARTESIAN_POINT('Origin',(-2.51790369145059,0.38,0.25000000000029)); #592016=CARTESIAN_POINT('',(-3.1,0.38,0.2)); #592017=CARTESIAN_POINT('',(-2.51790369145059,0.38,0.200000000000288)); #592018=CARTESIAN_POINT('',(-3.1,0.38,0.)); #592019=CARTESIAN_POINT('',(-3.1,0.38,0.2)); #592020=CARTESIAN_POINT('',(-3.1,0.38,0.)); #592021=CARTESIAN_POINT('Origin',(-2.14428436552253,0.635,0.784790282736416)); #592022=CARTESIAN_POINT('',(-2.26887159177804,0.89,0.160838188393282)); #592023=CARTESIAN_POINT('',(-2.26887159177804,0.635,0.160838188393282)); #592024=CARTESIAN_POINT('',(-2.14428436552253,0.89,0.784790282736416)); #592025=CARTESIAN_POINT('',(-2.26887159177804,0.89,0.160838188393282)); #592026=CARTESIAN_POINT('',(-2.14428436552253,0.635,0.784790282736416)); #592027=CARTESIAN_POINT('Origin',(-2.09525226346787,0.635,0.774999829834903)); #592028=CARTESIAN_POINT('',(-2.09525234833659,0.89,0.824999829833981)); #592029=CARTESIAN_POINT('Origin',(-2.09525226346787,0.89,0.774999829834903)); #592030=CARTESIAN_POINT('',(-2.09525234833659,0.635,0.824999829833981)); #592031=CARTESIAN_POINT('Origin',(-1.995,0.635,0.825)); #592032=CARTESIAN_POINT('',(-1.995,0.89,0.825)); #592033=CARTESIAN_POINT('',(-2.09525234833659,0.89,0.824999829833981)); #592034=CARTESIAN_POINT('',(-1.995,0.635,0.825)); #592035=CARTESIAN_POINT('Origin',(-1.99500033947489,0.635,1.025)); #592036=CARTESIAN_POINT('',(-1.99500033947489,0.89,1.025)); #592037=CARTESIAN_POINT('',(-1.995,0.89,0.825)); #592038=CARTESIAN_POINT('',(-1.99500033947489,0.635,1.025)); #592039=CARTESIAN_POINT('Origin',(-2.14815615444089,0.635,1.02499974003724)); #592040=CARTESIAN_POINT('',(-2.14815615444089,0.89,1.02499973984031)); #592041=CARTESIAN_POINT('',(-1.99500033947489,0.89,1.025)); #592042=CARTESIAN_POINT('',(-2.14815615444089,0.635,1.02499973984031)); #592043=CARTESIAN_POINT('Origin',(-2.148155814966,0.635,0.824999739840563)); #592044=CARTESIAN_POINT('',(-2.34428421365623,0.89,0.864161599183795)); #592045=CARTESIAN_POINT('Origin',(-2.148155814966,0.89,0.824999739840563)); #592046=CARTESIAN_POINT('',(-2.34428421365623,0.635,0.864161599183795)); #592047=CARTESIAN_POINT('Origin',(-2.46887159177804,0.635,0.240209535164483)); #592048=CARTESIAN_POINT('',(-2.46887159177804,0.89,0.240209535164483)); #592049=CARTESIAN_POINT('',(-2.34428421365623,0.89,0.864161599183795)); #592050=CARTESIAN_POINT('',(-2.46887159177804,0.635,0.240209535164483)); #592051=CARTESIAN_POINT('Origin',(-2.51790369145059,0.635,0.25000000000029)); #592052=CARTESIAN_POINT('',(-2.51790369145059,0.89,0.200000000000288)); #592053=CARTESIAN_POINT('Origin',(-2.51790369145059,0.89,0.25000000000029)); #592054=CARTESIAN_POINT('',(-2.51790369145059,0.635,0.200000000000288)); #592055=CARTESIAN_POINT('Origin',(-3.1,0.635,0.2)); #592056=CARTESIAN_POINT('',(-3.1,0.89,0.2)); #592057=CARTESIAN_POINT('',(-2.51790369145059,0.89,0.200000000000288)); #592058=CARTESIAN_POINT('',(-3.1,0.635,0.2)); #592059=CARTESIAN_POINT('Origin',(-3.1,0.635,0.)); #592060=CARTESIAN_POINT('',(-3.1,0.89,0.)); #592061=CARTESIAN_POINT('',(-3.1,0.89,0.2)); #592062=CARTESIAN_POINT('',(-3.1,0.635,0.)); #592063=CARTESIAN_POINT('Origin',(-2.465,0.635,0.)); #592064=CARTESIAN_POINT('',(-2.465,0.89,-1.04083408558608E-16)); #592065=CARTESIAN_POINT('',(-3.1,0.89,0.)); #592066=CARTESIAN_POINT('',(-2.465,0.635,-1.04083408558608E-16)); #592067=CARTESIAN_POINT('Origin',(-2.465,0.635,0.200000000000001)); #592068=CARTESIAN_POINT('Origin',(-2.465,0.89,0.200000000000001)); #592069=CARTESIAN_POINT('Origin',(-2.5475,0.89,0.5125)); #592070=CARTESIAN_POINT('Origin',(-2.5475,-0.89,0.5125)); #592071=CARTESIAN_POINT('',(-2.465,-0.89,-1.04083408558608E-16)); #592072=CARTESIAN_POINT('',(-2.26887159177804,-0.89,0.160838188393282)); #592073=CARTESIAN_POINT('Origin',(-2.465,-0.89,0.200000000000001)); #592074=CARTESIAN_POINT('',(-2.14428436552253,-0.89,0.784790282736416)); #592075=CARTESIAN_POINT('',(-2.26887159177804,-0.89,0.160838188393282)); #592076=CARTESIAN_POINT('',(-2.09525234833659,-0.89,0.824999829833981)); #592077=CARTESIAN_POINT('Origin',(-2.09525226346787,-0.89,0.774999829834903)); #592078=CARTESIAN_POINT('',(-1.995,-0.89,0.825)); #592079=CARTESIAN_POINT('',(-2.09525234833659,-0.89,0.824999829833981)); #592080=CARTESIAN_POINT('',(-1.99500033947489,-0.89,1.025)); #592081=CARTESIAN_POINT('',(-1.995,-0.89,0.825)); #592082=CARTESIAN_POINT('',(-2.14815615444089,-0.89,1.02499973984031)); #592083=CARTESIAN_POINT('',(-1.99500033947489,-0.89,1.025)); #592084=CARTESIAN_POINT('',(-2.34428421365623,-0.89,0.864161599183795)); #592085=CARTESIAN_POINT('Origin',(-2.148155814966,-0.89,0.824999739840563)); #592086=CARTESIAN_POINT('',(-2.46887159177804,-0.89,0.240209535164483)); #592087=CARTESIAN_POINT('',(-2.34428421365623,-0.89,0.864161599183795)); #592088=CARTESIAN_POINT('',(-2.51790369145059,-0.89,0.200000000000288)); #592089=CARTESIAN_POINT('Origin',(-2.51790369145059,-0.89,0.25000000000029)); #592090=CARTESIAN_POINT('',(-3.1,-0.89,0.2)); #592091=CARTESIAN_POINT('',(-2.51790369145059,-0.89,0.200000000000288)); #592092=CARTESIAN_POINT('',(-3.1,-0.89,0.)); #592093=CARTESIAN_POINT('',(-3.1,-0.89,0.2)); #592094=CARTESIAN_POINT('',(-3.1,-0.89,0.)); #592095=CARTESIAN_POINT('Origin',(-2.14428436552253,-0.635,0.784790282736416)); #592096=CARTESIAN_POINT('',(-2.26887159177804,-0.38,0.160838188393282)); #592097=CARTESIAN_POINT('',(-2.26887159177804,-0.635,0.160838188393282)); #592098=CARTESIAN_POINT('',(-2.14428436552253,-0.38,0.784790282736416)); #592099=CARTESIAN_POINT('',(-2.26887159177804,-0.38,0.160838188393282)); #592100=CARTESIAN_POINT('',(-2.14428436552253,-0.635,0.784790282736416)); #592101=CARTESIAN_POINT('Origin',(-2.09525226346787,-0.635,0.774999829834903)); #592102=CARTESIAN_POINT('',(-2.09525234833659,-0.38,0.824999829833981)); #592103=CARTESIAN_POINT('Origin',(-2.09525226346787,-0.38,0.774999829834903)); #592104=CARTESIAN_POINT('',(-2.09525234833659,-0.635,0.824999829833981)); #592105=CARTESIAN_POINT('Origin',(-1.995,-0.635,0.825)); #592106=CARTESIAN_POINT('',(-1.995,-0.38,0.825)); #592107=CARTESIAN_POINT('',(-2.09525234833659,-0.38,0.824999829833981)); #592108=CARTESIAN_POINT('',(-1.995,-0.635,0.825)); #592109=CARTESIAN_POINT('Origin',(-1.99500033947489,-0.635,1.025)); #592110=CARTESIAN_POINT('',(-1.99500033947489,-0.38,1.025)); #592111=CARTESIAN_POINT('',(-1.995,-0.38,0.825)); #592112=CARTESIAN_POINT('',(-1.99500033947489,-0.635,1.025)); #592113=CARTESIAN_POINT('Origin',(-2.14815615444089,-0.635,1.02499974003724)); #592114=CARTESIAN_POINT('',(-2.14815615444089,-0.38,1.02499973984031)); #592115=CARTESIAN_POINT('',(-1.99500033947489,-0.38,1.025)); #592116=CARTESIAN_POINT('',(-2.14815615444089,-0.635,1.02499973984031)); #592117=CARTESIAN_POINT('Origin',(-2.148155814966,-0.635,0.824999739840563)); #592118=CARTESIAN_POINT('',(-2.34428421365623,-0.38,0.864161599183795)); #592119=CARTESIAN_POINT('Origin',(-2.148155814966,-0.38,0.824999739840563)); #592120=CARTESIAN_POINT('',(-2.34428421365623,-0.635,0.864161599183795)); #592121=CARTESIAN_POINT('Origin',(-2.46887159177804,-0.635,0.240209535164483)); #592122=CARTESIAN_POINT('',(-2.46887159177804,-0.38,0.240209535164483)); #592123=CARTESIAN_POINT('',(-2.34428421365623,-0.38,0.864161599183795)); #592124=CARTESIAN_POINT('',(-2.46887159177804,-0.635,0.240209535164483)); #592125=CARTESIAN_POINT('Origin',(-2.51790369145059,-0.635,0.25000000000029)); #592126=CARTESIAN_POINT('',(-2.51790369145059,-0.38,0.200000000000288)); #592127=CARTESIAN_POINT('Origin',(-2.51790369145059,-0.38,0.25000000000029)); #592128=CARTESIAN_POINT('',(-2.51790369145059,-0.635,0.200000000000288)); #592129=CARTESIAN_POINT('Origin',(-3.1,-0.635,0.2)); #592130=CARTESIAN_POINT('',(-3.1,-0.38,0.2)); #592131=CARTESIAN_POINT('',(-2.51790369145059,-0.38,0.200000000000288)); #592132=CARTESIAN_POINT('',(-3.1,-0.635,0.2)); #592133=CARTESIAN_POINT('Origin',(-3.1,-0.635,0.)); #592134=CARTESIAN_POINT('',(-3.1,-0.38,0.)); #592135=CARTESIAN_POINT('',(-3.1,-0.38,0.2)); #592136=CARTESIAN_POINT('',(-3.1,-0.635,0.)); #592137=CARTESIAN_POINT('Origin',(-2.465,-0.635,0.)); #592138=CARTESIAN_POINT('',(-2.465,-0.38,-1.04083408558608E-16)); #592139=CARTESIAN_POINT('',(-3.1,-0.38,0.)); #592140=CARTESIAN_POINT('',(-2.465,-0.635,-1.04083408558608E-16)); #592141=CARTESIAN_POINT('Origin',(-2.465,-0.635,0.200000000000001)); #592142=CARTESIAN_POINT('Origin',(-2.465,-0.38,0.200000000000001)); #592143=CARTESIAN_POINT('Origin',(-2.5475,-0.38,0.5125)); #592144=CARTESIAN_POINT('Origin',(-2.5475,-2.16,0.5125)); #592145=CARTESIAN_POINT('',(-2.465,-2.16,-1.04083408558608E-16)); #592146=CARTESIAN_POINT('',(-2.26887159177804,-2.16,0.160838188393282)); #592147=CARTESIAN_POINT('Origin',(-2.465,-2.16,0.200000000000001)); #592148=CARTESIAN_POINT('',(-2.14428436552253,-2.16,0.784790282736416)); #592149=CARTESIAN_POINT('',(-2.26887159177804,-2.16,0.160838188393282)); #592150=CARTESIAN_POINT('',(-2.09525234833659,-2.16,0.824999829833981)); #592151=CARTESIAN_POINT('Origin',(-2.09525226346787,-2.16,0.774999829834903)); #592152=CARTESIAN_POINT('',(-1.995,-2.16,0.825)); #592153=CARTESIAN_POINT('',(-2.09525234833659,-2.16,0.824999829833981)); #592154=CARTESIAN_POINT('',(-1.99500033947489,-2.16,1.025)); #592155=CARTESIAN_POINT('',(-1.995,-2.16,0.825)); #592156=CARTESIAN_POINT('',(-2.14815615444089,-2.16,1.02499973984031)); #592157=CARTESIAN_POINT('',(-1.99500033947489,-2.16,1.025)); #592158=CARTESIAN_POINT('',(-2.34428421365623,-2.16,0.864161599183795)); #592159=CARTESIAN_POINT('Origin',(-2.148155814966,-2.16,0.824999739840563)); #592160=CARTESIAN_POINT('',(-2.46887159177804,-2.16,0.240209535164483)); #592161=CARTESIAN_POINT('',(-2.34428421365623,-2.16,0.864161599183795)); #592162=CARTESIAN_POINT('',(-2.51790369145059,-2.16,0.200000000000288)); #592163=CARTESIAN_POINT('Origin',(-2.51790369145059,-2.16,0.25000000000029)); #592164=CARTESIAN_POINT('',(-3.1,-2.16,0.2)); #592165=CARTESIAN_POINT('',(-2.51790369145059,-2.16,0.200000000000288)); #592166=CARTESIAN_POINT('',(-3.1,-2.16,0.)); #592167=CARTESIAN_POINT('',(-3.1,-2.16,0.2)); #592168=CARTESIAN_POINT('',(-3.1,-2.16,0.)); #592169=CARTESIAN_POINT('Origin',(-2.14428436552253,-1.905,0.784790282736416)); #592170=CARTESIAN_POINT('',(-2.26887159177804,-1.65,0.160838188393282)); #592171=CARTESIAN_POINT('',(-2.26887159177804,-1.905,0.160838188393282)); #592172=CARTESIAN_POINT('',(-2.14428436552253,-1.65,0.784790282736416)); #592173=CARTESIAN_POINT('',(-2.26887159177804,-1.65,0.160838188393282)); #592174=CARTESIAN_POINT('',(-2.14428436552253,-1.905,0.784790282736416)); #592175=CARTESIAN_POINT('Origin',(-2.09525226346787,-1.905,0.774999829834903)); #592176=CARTESIAN_POINT('',(-2.09525234833659,-1.65,0.824999829833981)); #592177=CARTESIAN_POINT('Origin',(-2.09525226346787,-1.65,0.774999829834903)); #592178=CARTESIAN_POINT('',(-2.09525234833659,-1.905,0.824999829833981)); #592179=CARTESIAN_POINT('Origin',(-1.995,-1.905,0.825)); #592180=CARTESIAN_POINT('',(-1.995,-1.65,0.825)); #592181=CARTESIAN_POINT('',(-2.09525234833659,-1.65,0.824999829833981)); #592182=CARTESIAN_POINT('',(-1.995,-1.905,0.825)); #592183=CARTESIAN_POINT('Origin',(-1.99500033947489,-1.905,1.025)); #592184=CARTESIAN_POINT('',(-1.99500033947489,-1.65,1.025)); #592185=CARTESIAN_POINT('',(-1.995,-1.65,0.825)); #592186=CARTESIAN_POINT('',(-1.99500033947489,-1.905,1.025)); #592187=CARTESIAN_POINT('Origin',(-2.14815615444089,-1.905,1.02499974003724)); #592188=CARTESIAN_POINT('',(-2.14815615444089,-1.65,1.02499973984031)); #592189=CARTESIAN_POINT('',(-1.99500033947489,-1.65,1.025)); #592190=CARTESIAN_POINT('',(-2.14815615444089,-1.905,1.02499973984031)); #592191=CARTESIAN_POINT('Origin',(-2.148155814966,-1.905,0.824999739840563)); #592192=CARTESIAN_POINT('',(-2.34428421365623,-1.65,0.864161599183795)); #592193=CARTESIAN_POINT('Origin',(-2.148155814966,-1.65,0.824999739840563)); #592194=CARTESIAN_POINT('',(-2.34428421365623,-1.905,0.864161599183795)); #592195=CARTESIAN_POINT('Origin',(-2.46887159177804,-1.905,0.240209535164483)); #592196=CARTESIAN_POINT('',(-2.46887159177804,-1.65,0.240209535164483)); #592197=CARTESIAN_POINT('',(-2.34428421365623,-1.65,0.864161599183795)); #592198=CARTESIAN_POINT('',(-2.46887159177804,-1.905,0.240209535164483)); #592199=CARTESIAN_POINT('Origin',(-2.51790369145059,-1.905,0.25000000000029)); #592200=CARTESIAN_POINT('',(-2.51790369145059,-1.65,0.200000000000288)); #592201=CARTESIAN_POINT('Origin',(-2.51790369145059,-1.65,0.25000000000029)); #592202=CARTESIAN_POINT('',(-2.51790369145059,-1.905,0.200000000000288)); #592203=CARTESIAN_POINT('Origin',(-3.1,-1.905,0.2)); #592204=CARTESIAN_POINT('',(-3.1,-1.65,0.2)); #592205=CARTESIAN_POINT('',(-2.51790369145059,-1.65,0.200000000000288)); #592206=CARTESIAN_POINT('',(-3.1,-1.905,0.2)); #592207=CARTESIAN_POINT('Origin',(-3.1,-1.905,0.)); #592208=CARTESIAN_POINT('',(-3.1,-1.65,0.)); #592209=CARTESIAN_POINT('',(-3.1,-1.65,0.2)); #592210=CARTESIAN_POINT('',(-3.1,-1.905,0.)); #592211=CARTESIAN_POINT('Origin',(-2.465,-1.905,0.)); #592212=CARTESIAN_POINT('',(-2.465,-1.65,-1.04083408558608E-16)); #592213=CARTESIAN_POINT('',(-3.1,-1.65,0.)); #592214=CARTESIAN_POINT('',(-2.465,-1.905,-1.04083408558608E-16)); #592215=CARTESIAN_POINT('Origin',(-2.465,-1.905,0.200000000000001)); #592216=CARTESIAN_POINT('Origin',(-2.465,-1.65,0.200000000000001)); #592217=CARTESIAN_POINT('Origin',(-2.5475,-1.65,0.5125)); #592218=CARTESIAN_POINT('Origin',(2.5475,0.38,0.5125)); #592219=CARTESIAN_POINT('',(2.465,0.38,-1.04083408558608E-16)); #592220=CARTESIAN_POINT('',(2.26887159177804,0.38,0.160838188393282)); #592221=CARTESIAN_POINT('Origin',(2.465,0.38,0.200000000000001)); #592222=CARTESIAN_POINT('',(3.1,0.38,0.)); #592223=CARTESIAN_POINT('',(3.1,0.38,0.)); #592224=CARTESIAN_POINT('',(3.1,0.38,0.2)); #592225=CARTESIAN_POINT('',(3.1,0.38,0.2)); #592226=CARTESIAN_POINT('',(2.51790369145059,0.38,0.200000000000288)); #592227=CARTESIAN_POINT('',(2.51790369145059,0.38,0.200000000000288)); #592228=CARTESIAN_POINT('',(2.46887159177804,0.38,0.240209535164483)); #592229=CARTESIAN_POINT('Origin',(2.51790369145059,0.38,0.25000000000029)); #592230=CARTESIAN_POINT('',(2.34428421365623,0.38,0.864161599183795)); #592231=CARTESIAN_POINT('',(2.34428421365623,0.38,0.864161599183795)); #592232=CARTESIAN_POINT('',(2.14815615444089,0.38,1.02499973984031)); #592233=CARTESIAN_POINT('Origin',(2.148155814966,0.38,0.824999739840563)); #592234=CARTESIAN_POINT('',(1.99500033947489,0.38,1.025)); #592235=CARTESIAN_POINT('',(1.99500033947489,0.38,1.025)); #592236=CARTESIAN_POINT('',(1.995,0.38,0.825)); #592237=CARTESIAN_POINT('',(1.995,0.38,0.825)); #592238=CARTESIAN_POINT('',(2.09525234833659,0.38,0.824999829833981)); #592239=CARTESIAN_POINT('',(2.09525234833659,0.38,0.824999829833981)); #592240=CARTESIAN_POINT('',(2.14428436552253,0.38,0.784790282736416)); #592241=CARTESIAN_POINT('Origin',(2.09525226346787,0.38,0.774999829834903)); #592242=CARTESIAN_POINT('',(2.26887159177804,0.38,0.160838188393282)); #592243=CARTESIAN_POINT('Origin',(2.14428436552253,0.635,0.784790282736416)); #592244=CARTESIAN_POINT('',(2.26887159177804,0.89,0.160838188393282)); #592245=CARTESIAN_POINT('',(2.26887159177804,0.635,0.160838188393282)); #592246=CARTESIAN_POINT('',(2.14428436552253,0.89,0.784790282736416)); #592247=CARTESIAN_POINT('',(2.14428436552253,0.635,0.784790282736416)); #592248=CARTESIAN_POINT('',(2.26887159177804,0.89,0.160838188393282)); #592249=CARTESIAN_POINT('Origin',(2.09525226346787,0.635,0.774999829834903)); #592250=CARTESIAN_POINT('',(2.09525234833659,0.89,0.824999829833981)); #592251=CARTESIAN_POINT('',(2.09525234833659,0.635,0.824999829833981)); #592252=CARTESIAN_POINT('Origin',(2.09525226346787,0.89,0.774999829834903)); #592253=CARTESIAN_POINT('Origin',(1.995,0.635,0.825)); #592254=CARTESIAN_POINT('',(1.995,0.89,0.825)); #592255=CARTESIAN_POINT('',(1.995,0.635,0.825)); #592256=CARTESIAN_POINT('',(2.09525234833659,0.89,0.824999829833981)); #592257=CARTESIAN_POINT('Origin',(1.99500033947489,0.635,1.025)); #592258=CARTESIAN_POINT('',(1.99500033947489,0.89,1.025)); #592259=CARTESIAN_POINT('',(1.99500033947489,0.635,1.025)); #592260=CARTESIAN_POINT('',(1.995,0.89,0.825)); #592261=CARTESIAN_POINT('Origin',(2.14815615444089,0.635,1.02499974003724)); #592262=CARTESIAN_POINT('',(2.14815615444089,0.89,1.02499973984031)); #592263=CARTESIAN_POINT('',(2.14815615444089,0.635,1.02499973984031)); #592264=CARTESIAN_POINT('',(1.99500033947489,0.89,1.025)); #592265=CARTESIAN_POINT('Origin',(2.148155814966,0.635,0.824999739840563)); #592266=CARTESIAN_POINT('',(2.34428421365623,0.89,0.864161599183795)); #592267=CARTESIAN_POINT('',(2.34428421365623,0.635,0.864161599183795)); #592268=CARTESIAN_POINT('Origin',(2.148155814966,0.89,0.824999739840563)); #592269=CARTESIAN_POINT('Origin',(2.46887159177804,0.635,0.240209535164483)); #592270=CARTESIAN_POINT('',(2.46887159177804,0.89,0.240209535164483)); #592271=CARTESIAN_POINT('',(2.46887159177804,0.635,0.240209535164483)); #592272=CARTESIAN_POINT('',(2.34428421365623,0.89,0.864161599183795)); #592273=CARTESIAN_POINT('Origin',(2.51790369145059,0.635,0.25000000000029)); #592274=CARTESIAN_POINT('',(2.51790369145059,0.89,0.200000000000288)); #592275=CARTESIAN_POINT('',(2.51790369145059,0.635,0.200000000000288)); #592276=CARTESIAN_POINT('Origin',(2.51790369145059,0.89,0.25000000000029)); #592277=CARTESIAN_POINT('Origin',(3.1,0.635,0.2)); #592278=CARTESIAN_POINT('',(3.1,0.89,0.2)); #592279=CARTESIAN_POINT('',(3.1,0.635,0.2)); #592280=CARTESIAN_POINT('',(2.51790369145059,0.89,0.200000000000288)); #592281=CARTESIAN_POINT('Origin',(3.1,0.635,0.)); #592282=CARTESIAN_POINT('',(3.1,0.89,0.)); #592283=CARTESIAN_POINT('',(3.1,0.635,0.)); #592284=CARTESIAN_POINT('',(3.1,0.89,0.2)); #592285=CARTESIAN_POINT('Origin',(2.465,0.635,0.)); #592286=CARTESIAN_POINT('',(2.465,0.89,-1.04083408558608E-16)); #592287=CARTESIAN_POINT('',(2.465,0.635,-1.04083408558608E-16)); #592288=CARTESIAN_POINT('',(3.1,0.89,0.)); #592289=CARTESIAN_POINT('Origin',(2.465,0.635,0.200000000000001)); #592290=CARTESIAN_POINT('Origin',(2.465,0.89,0.200000000000001)); #592291=CARTESIAN_POINT('Origin',(2.5475,0.89,0.5125)); #592292=CARTESIAN_POINT('Origin',(2.5475,-0.89,0.5125)); #592293=CARTESIAN_POINT('',(2.465,-0.89,-1.04083408558608E-16)); #592294=CARTESIAN_POINT('',(2.26887159177804,-0.89,0.160838188393282)); #592295=CARTESIAN_POINT('Origin',(2.465,-0.89,0.200000000000001)); #592296=CARTESIAN_POINT('',(3.1,-0.89,0.)); #592297=CARTESIAN_POINT('',(3.1,-0.89,0.)); #592298=CARTESIAN_POINT('',(3.1,-0.89,0.2)); #592299=CARTESIAN_POINT('',(3.1,-0.89,0.2)); #592300=CARTESIAN_POINT('',(2.51790369145059,-0.89,0.200000000000288)); #592301=CARTESIAN_POINT('',(2.51790369145059,-0.89,0.200000000000288)); #592302=CARTESIAN_POINT('',(2.46887159177804,-0.89,0.240209535164483)); #592303=CARTESIAN_POINT('Origin',(2.51790369145059,-0.89,0.25000000000029)); #592304=CARTESIAN_POINT('',(2.34428421365623,-0.89,0.864161599183795)); #592305=CARTESIAN_POINT('',(2.34428421365623,-0.89,0.864161599183795)); #592306=CARTESIAN_POINT('',(2.14815615444089,-0.89,1.02499973984031)); #592307=CARTESIAN_POINT('Origin',(2.148155814966,-0.89,0.824999739840563)); #592308=CARTESIAN_POINT('',(1.99500033947489,-0.89,1.025)); #592309=CARTESIAN_POINT('',(1.99500033947489,-0.89,1.025)); #592310=CARTESIAN_POINT('',(1.995,-0.89,0.825)); #592311=CARTESIAN_POINT('',(1.995,-0.89,0.825)); #592312=CARTESIAN_POINT('',(2.09525234833659,-0.89,0.824999829833981)); #592313=CARTESIAN_POINT('',(2.09525234833659,-0.89,0.824999829833981)); #592314=CARTESIAN_POINT('',(2.14428436552253,-0.89,0.784790282736416)); #592315=CARTESIAN_POINT('Origin',(2.09525226346787,-0.89,0.774999829834903)); #592316=CARTESIAN_POINT('',(2.26887159177804,-0.89,0.160838188393282)); #592317=CARTESIAN_POINT('Origin',(2.14428436552253,-0.635,0.784790282736416)); #592318=CARTESIAN_POINT('',(2.26887159177804,-0.38,0.160838188393282)); #592319=CARTESIAN_POINT('',(2.26887159177804,-0.635,0.160838188393282)); #592320=CARTESIAN_POINT('',(2.14428436552253,-0.38,0.784790282736416)); #592321=CARTESIAN_POINT('',(2.14428436552253,-0.635,0.784790282736416)); #592322=CARTESIAN_POINT('',(2.26887159177804,-0.38,0.160838188393282)); #592323=CARTESIAN_POINT('Origin',(2.09525226346787,-0.635,0.774999829834903)); #592324=CARTESIAN_POINT('',(2.09525234833659,-0.38,0.824999829833981)); #592325=CARTESIAN_POINT('',(2.09525234833659,-0.635,0.824999829833981)); #592326=CARTESIAN_POINT('Origin',(2.09525226346787,-0.38,0.774999829834903)); #592327=CARTESIAN_POINT('Origin',(1.995,-0.635,0.825)); #592328=CARTESIAN_POINT('',(1.995,-0.38,0.825)); #592329=CARTESIAN_POINT('',(1.995,-0.635,0.825)); #592330=CARTESIAN_POINT('',(2.09525234833659,-0.38,0.824999829833981)); #592331=CARTESIAN_POINT('Origin',(1.99500033947489,-0.635,1.025)); #592332=CARTESIAN_POINT('',(1.99500033947489,-0.38,1.025)); #592333=CARTESIAN_POINT('',(1.99500033947489,-0.635,1.025)); #592334=CARTESIAN_POINT('',(1.995,-0.38,0.825)); #592335=CARTESIAN_POINT('Origin',(2.14815615444089,-0.635,1.02499974003724)); #592336=CARTESIAN_POINT('',(2.14815615444089,-0.38,1.02499973984031)); #592337=CARTESIAN_POINT('',(2.14815615444089,-0.635,1.02499973984031)); #592338=CARTESIAN_POINT('',(1.99500033947489,-0.38,1.025)); #592339=CARTESIAN_POINT('Origin',(2.148155814966,-0.635,0.824999739840563)); #592340=CARTESIAN_POINT('',(2.34428421365623,-0.38,0.864161599183795)); #592341=CARTESIAN_POINT('',(2.34428421365623,-0.635,0.864161599183795)); #592342=CARTESIAN_POINT('Origin',(2.148155814966,-0.38,0.824999739840563)); #592343=CARTESIAN_POINT('Origin',(2.46887159177804,-0.635,0.240209535164483)); #592344=CARTESIAN_POINT('',(2.46887159177804,-0.38,0.240209535164483)); #592345=CARTESIAN_POINT('',(2.46887159177804,-0.635,0.240209535164483)); #592346=CARTESIAN_POINT('',(2.34428421365623,-0.38,0.864161599183795)); #592347=CARTESIAN_POINT('Origin',(2.51790369145059,-0.635,0.25000000000029)); #592348=CARTESIAN_POINT('',(2.51790369145059,-0.38,0.200000000000288)); #592349=CARTESIAN_POINT('',(2.51790369145059,-0.635,0.200000000000288)); #592350=CARTESIAN_POINT('Origin',(2.51790369145059,-0.38,0.25000000000029)); #592351=CARTESIAN_POINT('Origin',(3.1,-0.635,0.2)); #592352=CARTESIAN_POINT('',(3.1,-0.38,0.2)); #592353=CARTESIAN_POINT('',(3.1,-0.635,0.2)); #592354=CARTESIAN_POINT('',(2.51790369145059,-0.38,0.200000000000288)); #592355=CARTESIAN_POINT('Origin',(3.1,-0.635,0.)); #592356=CARTESIAN_POINT('',(3.1,-0.38,0.)); #592357=CARTESIAN_POINT('',(3.1,-0.635,0.)); #592358=CARTESIAN_POINT('',(3.1,-0.38,0.2)); #592359=CARTESIAN_POINT('Origin',(2.465,-0.635,0.)); #592360=CARTESIAN_POINT('',(2.465,-0.38,-1.04083408558608E-16)); #592361=CARTESIAN_POINT('',(2.465,-0.635,-1.04083408558608E-16)); #592362=CARTESIAN_POINT('',(3.1,-0.38,0.)); #592363=CARTESIAN_POINT('Origin',(2.465,-0.635,0.200000000000001)); #592364=CARTESIAN_POINT('Origin',(2.465,-0.38,0.200000000000001)); #592365=CARTESIAN_POINT('Origin',(2.5475,-0.38,0.5125)); #592366=CARTESIAN_POINT('Origin',(2.5475,-2.16,0.5125)); #592367=CARTESIAN_POINT('',(2.465,-2.16,-1.04083408558608E-16)); #592368=CARTESIAN_POINT('',(2.26887159177804,-2.16,0.160838188393282)); #592369=CARTESIAN_POINT('Origin',(2.465,-2.16,0.200000000000001)); #592370=CARTESIAN_POINT('',(3.1,-2.16,0.)); #592371=CARTESIAN_POINT('',(3.1,-2.16,0.)); #592372=CARTESIAN_POINT('',(3.1,-2.16,0.2)); #592373=CARTESIAN_POINT('',(3.1,-2.16,0.2)); #592374=CARTESIAN_POINT('',(2.51790369145059,-2.16,0.200000000000288)); #592375=CARTESIAN_POINT('',(2.51790369145059,-2.16,0.200000000000288)); #592376=CARTESIAN_POINT('',(2.46887159177804,-2.16,0.240209535164483)); #592377=CARTESIAN_POINT('Origin',(2.51790369145059,-2.16,0.25000000000029)); #592378=CARTESIAN_POINT('',(2.34428421365623,-2.16,0.864161599183795)); #592379=CARTESIAN_POINT('',(2.34428421365623,-2.16,0.864161599183795)); #592380=CARTESIAN_POINT('',(2.14815615444089,-2.16,1.02499973984031)); #592381=CARTESIAN_POINT('Origin',(2.148155814966,-2.16,0.824999739840563)); #592382=CARTESIAN_POINT('',(1.99500033947489,-2.16,1.025)); #592383=CARTESIAN_POINT('',(1.99500033947489,-2.16,1.025)); #592384=CARTESIAN_POINT('',(1.995,-2.16,0.825)); #592385=CARTESIAN_POINT('',(1.995,-2.16,0.825)); #592386=CARTESIAN_POINT('',(2.09525234833659,-2.16,0.824999829833981)); #592387=CARTESIAN_POINT('',(2.09525234833659,-2.16,0.824999829833981)); #592388=CARTESIAN_POINT('',(2.14428436552253,-2.16,0.784790282736416)); #592389=CARTESIAN_POINT('Origin',(2.09525226346787,-2.16,0.774999829834903)); #592390=CARTESIAN_POINT('',(2.26887159177804,-2.16,0.160838188393282)); #592391=CARTESIAN_POINT('Origin',(2.14428436552253,-1.905,0.784790282736416)); #592392=CARTESIAN_POINT('',(2.26887159177804,-1.65,0.160838188393282)); #592393=CARTESIAN_POINT('',(2.26887159177804,-1.905,0.160838188393282)); #592394=CARTESIAN_POINT('',(2.14428436552253,-1.65,0.784790282736416)); #592395=CARTESIAN_POINT('',(2.14428436552253,-1.905,0.784790282736416)); #592396=CARTESIAN_POINT('',(2.26887159177804,-1.65,0.160838188393282)); #592397=CARTESIAN_POINT('Origin',(2.09525226346787,-1.905,0.774999829834903)); #592398=CARTESIAN_POINT('',(2.09525234833659,-1.65,0.824999829833981)); #592399=CARTESIAN_POINT('',(2.09525234833659,-1.905,0.824999829833981)); #592400=CARTESIAN_POINT('Origin',(2.09525226346787,-1.65,0.774999829834903)); #592401=CARTESIAN_POINT('Origin',(1.995,-1.905,0.825)); #592402=CARTESIAN_POINT('',(1.995,-1.65,0.825)); #592403=CARTESIAN_POINT('',(1.995,-1.905,0.825)); #592404=CARTESIAN_POINT('',(2.09525234833659,-1.65,0.824999829833981)); #592405=CARTESIAN_POINT('Origin',(1.99500033947489,-1.905,1.025)); #592406=CARTESIAN_POINT('',(1.99500033947489,-1.65,1.025)); #592407=CARTESIAN_POINT('',(1.99500033947489,-1.905,1.025)); #592408=CARTESIAN_POINT('',(1.995,-1.65,0.825)); #592409=CARTESIAN_POINT('Origin',(2.14815615444089,-1.905,1.02499974003724)); #592410=CARTESIAN_POINT('',(2.14815615444089,-1.65,1.02499973984031)); #592411=CARTESIAN_POINT('',(2.14815615444089,-1.905,1.02499973984031)); #592412=CARTESIAN_POINT('',(1.99500033947489,-1.65,1.025)); #592413=CARTESIAN_POINT('Origin',(2.148155814966,-1.905,0.824999739840563)); #592414=CARTESIAN_POINT('',(2.34428421365623,-1.65,0.864161599183795)); #592415=CARTESIAN_POINT('',(2.34428421365623,-1.905,0.864161599183795)); #592416=CARTESIAN_POINT('Origin',(2.148155814966,-1.65,0.824999739840563)); #592417=CARTESIAN_POINT('Origin',(2.46887159177804,-1.905,0.240209535164483)); #592418=CARTESIAN_POINT('',(2.46887159177804,-1.65,0.240209535164483)); #592419=CARTESIAN_POINT('',(2.46887159177804,-1.905,0.240209535164483)); #592420=CARTESIAN_POINT('',(2.34428421365623,-1.65,0.864161599183795)); #592421=CARTESIAN_POINT('Origin',(2.51790369145059,-1.905,0.25000000000029)); #592422=CARTESIAN_POINT('',(2.51790369145059,-1.65,0.200000000000288)); #592423=CARTESIAN_POINT('',(2.51790369145059,-1.905,0.200000000000288)); #592424=CARTESIAN_POINT('Origin',(2.51790369145059,-1.65,0.25000000000029)); #592425=CARTESIAN_POINT('Origin',(3.1,-1.905,0.2)); #592426=CARTESIAN_POINT('',(3.1,-1.65,0.2)); #592427=CARTESIAN_POINT('',(3.1,-1.905,0.2)); #592428=CARTESIAN_POINT('',(2.51790369145059,-1.65,0.200000000000288)); #592429=CARTESIAN_POINT('Origin',(3.1,-1.905,0.)); #592430=CARTESIAN_POINT('',(3.1,-1.65,0.)); #592431=CARTESIAN_POINT('',(3.1,-1.905,0.)); #592432=CARTESIAN_POINT('',(3.1,-1.65,0.2)); #592433=CARTESIAN_POINT('Origin',(2.465,-1.905,0.)); #592434=CARTESIAN_POINT('',(2.465,-1.65,-1.04083408558608E-16)); #592435=CARTESIAN_POINT('',(2.465,-1.905,-1.04083408558608E-16)); #592436=CARTESIAN_POINT('',(3.1,-1.65,0.)); #592437=CARTESIAN_POINT('Origin',(2.465,-1.905,0.200000000000001)); #592438=CARTESIAN_POINT('Origin',(2.465,-1.65,0.200000000000001)); #592439=CARTESIAN_POINT('Origin',(2.5475,-1.65,0.5125)); #592440=CARTESIAN_POINT('',(0.,0.,0.)); #592441=CARTESIAN_POINT('',(0.,0.,0.)); #592442=CARTESIAN_POINT('',(0.,0.,0.)); #592443=CARTESIAN_POINT('Origin',(-0.57,1.22,1.1)); #592444=CARTESIAN_POINT('',(-0.655,1.22,1.1)); #592445=CARTESIAN_POINT('Origin',(-0.57,1.22,1.1)); #592446=CARTESIAN_POINT('',(-0.655,1.22,0.99)); #592447=CARTESIAN_POINT('',(-0.655,1.22,1.1)); #592448=CARTESIAN_POINT('Origin',(-0.57,1.22,0.99)); #592449=CARTESIAN_POINT('Origin',(-0.57,1.22,0.99)); #592450=CARTESIAN_POINT('Origin',(-0.795,0.75,0.8825)); #592451=CARTESIAN_POINT('',(-0.74,-1.39,1.1)); #592452=CARTESIAN_POINT('',(-0.74,1.39,1.1)); #592453=CARTESIAN_POINT('',(-0.74,0.75,1.1)); #592454=CARTESIAN_POINT('',(-0.85,1.5,0.665)); #592455=CARTESIAN_POINT('',(-0.74,1.39,1.1)); #592456=CARTESIAN_POINT('',(-0.85,-1.5,0.665)); #592457=CARTESIAN_POINT('',(-0.85,0.75,0.665)); #592458=CARTESIAN_POINT('',(-0.74,-1.39,1.1)); #592459=CARTESIAN_POINT('Origin',(0.425,1.445,0.8825)); #592460=CARTESIAN_POINT('',(0.74,1.39,1.1)); #592461=CARTESIAN_POINT('',(0.425,1.39,1.1)); #592462=CARTESIAN_POINT('',(0.85,1.5,0.665)); #592463=CARTESIAN_POINT('',(0.74,1.39,1.1)); #592464=CARTESIAN_POINT('',(0.425,1.5,0.665)); #592465=CARTESIAN_POINT('Origin',(0.795,-0.75,0.8825)); #592466=CARTESIAN_POINT('',(0.74,-1.39,1.1)); #592467=CARTESIAN_POINT('',(0.74,-0.75,1.1)); #592468=CARTESIAN_POINT('',(0.85,-1.5,0.665)); #592469=CARTESIAN_POINT('',(0.74,-1.39,1.1)); #592470=CARTESIAN_POINT('',(0.85,-0.75,0.665)); #592471=CARTESIAN_POINT('Origin',(-0.425,-1.445,0.8825)); #592472=CARTESIAN_POINT('',(-0.425,-1.5,0.665)); #592473=CARTESIAN_POINT('',(-0.425,-1.39,1.1)); #592474=CARTESIAN_POINT('Origin',(-0.85,-1.5,0.1)); #592475=CARTESIAN_POINT('',(-0.85,-1.5,0.535)); #592476=CARTESIAN_POINT('',(-0.85,-1.5,0.1)); #592477=CARTESIAN_POINT('',(0.85,-1.5,0.535)); #592478=CARTESIAN_POINT('',(-0.425,-1.5,0.535)); #592479=CARTESIAN_POINT('',(0.85,-1.5,0.1)); #592480=CARTESIAN_POINT('Origin',(-0.85,1.5,0.1)); #592481=CARTESIAN_POINT('',(-0.85,1.5,0.535)); #592482=CARTESIAN_POINT('',(-0.85,1.5,0.1)); #592483=CARTESIAN_POINT('',(-0.85,0.75,0.535)); #592484=CARTESIAN_POINT('Origin',(0.,0.,1.1)); #592485=CARTESIAN_POINT('Origin',(0.795,-0.75,0.3175)); #592486=CARTESIAN_POINT('',(0.85,1.5,0.535)); #592487=CARTESIAN_POINT('',(0.85,-0.75,0.535)); #592488=CARTESIAN_POINT('',(0.74,-1.39,0.1)); #592489=CARTESIAN_POINT('',(0.85,-1.5,0.535)); #592490=CARTESIAN_POINT('',(0.74,1.39,0.1)); #592491=CARTESIAN_POINT('',(0.74,-0.75,0.1)); #592492=CARTESIAN_POINT('',(0.74,1.39,0.1)); #592493=CARTESIAN_POINT('Origin',(-0.425,-1.445,0.3175)); #592494=CARTESIAN_POINT('',(-0.74,-1.39,0.1)); #592495=CARTESIAN_POINT('',(-0.425,-1.39,0.1)); #592496=CARTESIAN_POINT('',(-0.74,-1.39,0.1)); #592497=CARTESIAN_POINT('Origin',(0.425,1.445,0.3175)); #592498=CARTESIAN_POINT('',(0.425,1.5,0.535)); #592499=CARTESIAN_POINT('',(-0.74,1.39,0.1)); #592500=CARTESIAN_POINT('',(0.425,1.39,0.1)); #592501=CARTESIAN_POINT('',(-0.74,1.39,0.1)); #592502=CARTESIAN_POINT('Origin',(-0.795,0.75,0.3175)); #592503=CARTESIAN_POINT('',(-0.74,0.75,0.1)); #592504=CARTESIAN_POINT('Origin',(0.85,-1.5,0.1)); #592505=CARTESIAN_POINT('',(0.85,1.5,0.1)); #592506=CARTESIAN_POINT('Origin',(0.,0.,0.1)); #592507=CARTESIAN_POINT('Origin',(0.85,1.5,0.1)); #592508=CARTESIAN_POINT('Origin',(1.11875,0.695,0.3325)); #592509=CARTESIAN_POINT('',(0.87,0.695,0.13)); #592510=CARTESIAN_POINT('',(1.,0.695,1.73472347597681E-17)); #592511=CARTESIAN_POINT('Origin',(1.,0.695,0.13)); #592512=CARTESIAN_POINT('',(1.4,0.695,0.)); #592513=CARTESIAN_POINT('',(1.4,0.695,0.)); #592514=CARTESIAN_POINT('',(1.4,0.695,0.13)); #592515=CARTESIAN_POINT('',(1.4,0.695,0.13)); #592516=CARTESIAN_POINT('',(1.0325,0.695,0.13)); #592517=CARTESIAN_POINT('',(1.0325,0.695,0.13)); #592518=CARTESIAN_POINT('',(1.,0.695,0.1625)); #592519=CARTESIAN_POINT('Origin',(1.0325,0.695,0.1625)); #592520=CARTESIAN_POINT('',(1.,0.695,0.535)); #592521=CARTESIAN_POINT('',(1.,0.695,0.535)); #592522=CARTESIAN_POINT('',(0.87,0.695,0.665)); #592523=CARTESIAN_POINT('Origin',(0.87,0.695,0.535)); #592524=CARTESIAN_POINT('',(0.85,0.695,0.665)); #592525=CARTESIAN_POINT('',(0.85,0.695,0.665)); #592526=CARTESIAN_POINT('',(0.85,0.695,0.535)); #592527=CARTESIAN_POINT('',(0.85,0.695,0.535)); #592528=CARTESIAN_POINT('',(0.8375,0.695,0.535)); #592529=CARTESIAN_POINT('',(0.8375,0.695,0.535)); #592530=CARTESIAN_POINT('',(0.87,0.695,0.5025)); #592531=CARTESIAN_POINT('Origin',(0.8375,0.695,0.5025)); #592532=CARTESIAN_POINT('',(0.87,0.695,0.13)); #592533=CARTESIAN_POINT('Origin',(1.4,0.95,0.)); #592534=CARTESIAN_POINT('',(1.,1.205,1.73472347597681E-17)); #592535=CARTESIAN_POINT('',(1.,0.95,1.73472347597681E-17)); #592536=CARTESIAN_POINT('',(1.4,1.205,0.)); #592537=CARTESIAN_POINT('',(1.4,1.205,0.)); #592538=CARTESIAN_POINT('',(1.4,0.95,0.)); #592539=CARTESIAN_POINT('Origin',(1.4,0.95,0.13)); #592540=CARTESIAN_POINT('',(1.4,1.205,0.13)); #592541=CARTESIAN_POINT('',(1.4,1.205,0.13)); #592542=CARTESIAN_POINT('',(1.4,0.95,0.13)); #592543=CARTESIAN_POINT('Origin',(1.0325,0.95,0.13)); #592544=CARTESIAN_POINT('',(1.0325,1.205,0.13)); #592545=CARTESIAN_POINT('',(1.0325,1.205,0.13)); #592546=CARTESIAN_POINT('',(1.0325,0.95,0.13)); #592547=CARTESIAN_POINT('Origin',(1.0325,0.95,0.1625)); #592548=CARTESIAN_POINT('',(1.,1.205,0.1625)); #592549=CARTESIAN_POINT('Origin',(1.0325,1.205,0.1625)); #592550=CARTESIAN_POINT('',(1.,0.95,0.1625)); #592551=CARTESIAN_POINT('Origin',(1.,0.95,0.535)); #592552=CARTESIAN_POINT('',(1.,1.205,0.535)); #592553=CARTESIAN_POINT('',(1.,1.205,0.535)); #592554=CARTESIAN_POINT('',(1.,0.95,0.535)); #592555=CARTESIAN_POINT('Origin',(0.87,0.95,0.535)); #592556=CARTESIAN_POINT('',(0.87,1.205,0.665)); #592557=CARTESIAN_POINT('Origin',(0.87,1.205,0.535)); #592558=CARTESIAN_POINT('',(0.87,0.95,0.665)); #592559=CARTESIAN_POINT('Origin',(0.85,0.95,0.665)); #592560=CARTESIAN_POINT('',(0.85,1.205,0.665)); #592561=CARTESIAN_POINT('',(0.85,1.205,0.665)); #592562=CARTESIAN_POINT('',(0.85,0.95,0.665)); #592563=CARTESIAN_POINT('Origin',(0.85,0.95,0.535)); #592564=CARTESIAN_POINT('',(0.85,1.205,0.535)); #592565=CARTESIAN_POINT('',(0.85,1.205,0.535)); #592566=CARTESIAN_POINT('',(0.85,0.95,0.535)); #592567=CARTESIAN_POINT('Origin',(0.8375,0.95,0.535)); #592568=CARTESIAN_POINT('',(0.8375,1.205,0.535)); #592569=CARTESIAN_POINT('',(0.8375,1.205,0.535)); #592570=CARTESIAN_POINT('',(0.8375,0.95,0.535)); #592571=CARTESIAN_POINT('Origin',(0.8375,0.95,0.5025)); #592572=CARTESIAN_POINT('',(0.87,1.205,0.5025)); #592573=CARTESIAN_POINT('Origin',(0.8375,1.205,0.5025)); #592574=CARTESIAN_POINT('',(0.87,0.95,0.5025)); #592575=CARTESIAN_POINT('Origin',(0.87,0.95,0.13)); #592576=CARTESIAN_POINT('',(0.87,1.205,0.13)); #592577=CARTESIAN_POINT('',(0.87,1.205,0.13)); #592578=CARTESIAN_POINT('',(0.87,0.95,0.13)); #592579=CARTESIAN_POINT('Origin',(1.,0.95,0.13)); #592580=CARTESIAN_POINT('Origin',(1.,1.205,0.13)); #592581=CARTESIAN_POINT('Origin',(1.11875,1.205,0.3325)); #592582=CARTESIAN_POINT('Origin',(1.11875,-1.205,0.3325)); #592583=CARTESIAN_POINT('',(0.87,-1.205,0.13)); #592584=CARTESIAN_POINT('',(1.,-1.205,1.73472347597681E-17)); #592585=CARTESIAN_POINT('Origin',(1.,-1.205,0.13)); #592586=CARTESIAN_POINT('',(1.4,-1.205,0.)); #592587=CARTESIAN_POINT('',(1.4,-1.205,0.)); #592588=CARTESIAN_POINT('',(1.4,-1.205,0.13)); #592589=CARTESIAN_POINT('',(1.4,-1.205,0.13)); #592590=CARTESIAN_POINT('',(1.0325,-1.205,0.13)); #592591=CARTESIAN_POINT('',(1.0325,-1.205,0.13)); #592592=CARTESIAN_POINT('',(1.,-1.205,0.1625)); #592593=CARTESIAN_POINT('Origin',(1.0325,-1.205,0.1625)); #592594=CARTESIAN_POINT('',(1.,-1.205,0.535)); #592595=CARTESIAN_POINT('',(1.,-1.205,0.535)); #592596=CARTESIAN_POINT('',(0.87,-1.205,0.665)); #592597=CARTESIAN_POINT('Origin',(0.87,-1.205,0.535)); #592598=CARTESIAN_POINT('',(0.85,-1.205,0.665)); #592599=CARTESIAN_POINT('',(0.85,-1.205,0.665)); #592600=CARTESIAN_POINT('',(0.85,-1.205,0.535)); #592601=CARTESIAN_POINT('',(0.85,-1.205,0.535)); #592602=CARTESIAN_POINT('',(0.8375,-1.205,0.535)); #592603=CARTESIAN_POINT('',(0.8375,-1.205,0.535)); #592604=CARTESIAN_POINT('',(0.87,-1.205,0.5025)); #592605=CARTESIAN_POINT('Origin',(0.8375,-1.205,0.5025)); #592606=CARTESIAN_POINT('',(0.87,-1.205,0.13)); #592607=CARTESIAN_POINT('Origin',(1.4,-0.95,0.)); #592608=CARTESIAN_POINT('',(1.,-0.695,1.73472347597681E-17)); #592609=CARTESIAN_POINT('',(1.,-0.95,1.73472347597681E-17)); #592610=CARTESIAN_POINT('',(1.4,-0.695,0.)); #592611=CARTESIAN_POINT('',(1.4,-0.695,0.)); #592612=CARTESIAN_POINT('',(1.4,-0.95,0.)); #592613=CARTESIAN_POINT('Origin',(1.4,-0.95,0.13)); #592614=CARTESIAN_POINT('',(1.4,-0.695,0.13)); #592615=CARTESIAN_POINT('',(1.4,-0.695,0.13)); #592616=CARTESIAN_POINT('',(1.4,-0.95,0.13)); #592617=CARTESIAN_POINT('Origin',(1.0325,-0.95,0.13)); #592618=CARTESIAN_POINT('',(1.0325,-0.695,0.13)); #592619=CARTESIAN_POINT('',(1.0325,-0.695,0.13)); #592620=CARTESIAN_POINT('',(1.0325,-0.95,0.13)); #592621=CARTESIAN_POINT('Origin',(1.0325,-0.95,0.1625)); #592622=CARTESIAN_POINT('',(1.,-0.695,0.1625)); #592623=CARTESIAN_POINT('Origin',(1.0325,-0.695,0.1625)); #592624=CARTESIAN_POINT('',(1.,-0.95,0.1625)); #592625=CARTESIAN_POINT('Origin',(1.,-0.95,0.535)); #592626=CARTESIAN_POINT('',(1.,-0.695,0.535)); #592627=CARTESIAN_POINT('',(1.,-0.695,0.535)); #592628=CARTESIAN_POINT('',(1.,-0.95,0.535)); #592629=CARTESIAN_POINT('Origin',(0.87,-0.95,0.535)); #592630=CARTESIAN_POINT('',(0.87,-0.695,0.665)); #592631=CARTESIAN_POINT('Origin',(0.87,-0.695,0.535)); #592632=CARTESIAN_POINT('',(0.87,-0.95,0.665)); #592633=CARTESIAN_POINT('Origin',(0.85,-0.95,0.665)); #592634=CARTESIAN_POINT('',(0.85,-0.695,0.665)); #592635=CARTESIAN_POINT('',(0.85,-0.695,0.665)); #592636=CARTESIAN_POINT('',(0.85,-0.95,0.665)); #592637=CARTESIAN_POINT('Origin',(0.85,-0.95,0.535)); #592638=CARTESIAN_POINT('',(0.85,-0.695,0.535)); #592639=CARTESIAN_POINT('',(0.85,-0.695,0.535)); #592640=CARTESIAN_POINT('',(0.85,-0.95,0.535)); #592641=CARTESIAN_POINT('Origin',(0.8375,-0.95,0.535)); #592642=CARTESIAN_POINT('',(0.8375,-0.695,0.535)); #592643=CARTESIAN_POINT('',(0.8375,-0.695,0.535)); #592644=CARTESIAN_POINT('',(0.8375,-0.95,0.535)); #592645=CARTESIAN_POINT('Origin',(0.8375,-0.95,0.5025)); #592646=CARTESIAN_POINT('',(0.87,-0.695,0.5025)); #592647=CARTESIAN_POINT('Origin',(0.8375,-0.695,0.5025)); #592648=CARTESIAN_POINT('',(0.87,-0.95,0.5025)); #592649=CARTESIAN_POINT('Origin',(0.87,-0.95,0.13)); #592650=CARTESIAN_POINT('',(0.87,-0.695,0.13)); #592651=CARTESIAN_POINT('',(0.87,-0.695,0.13)); #592652=CARTESIAN_POINT('',(0.87,-0.95,0.13)); #592653=CARTESIAN_POINT('Origin',(1.,-0.95,0.13)); #592654=CARTESIAN_POINT('Origin',(1.,-0.695,0.13)); #592655=CARTESIAN_POINT('Origin',(1.11875,-0.695,0.3325)); #592656=CARTESIAN_POINT('Origin',(-1.11875,1.205,0.3325)); #592657=CARTESIAN_POINT('',(-0.87,1.205,0.13)); #592658=CARTESIAN_POINT('',(-1.,1.205,1.73472347597681E-17)); #592659=CARTESIAN_POINT('Origin',(-1.,1.205,0.13)); #592660=CARTESIAN_POINT('',(-1.4,1.205,0.)); #592661=CARTESIAN_POINT('',(-1.4,1.205,0.)); #592662=CARTESIAN_POINT('',(-1.4,1.205,0.13)); #592663=CARTESIAN_POINT('',(-1.4,1.205,0.13)); #592664=CARTESIAN_POINT('',(-1.0325,1.205,0.13)); #592665=CARTESIAN_POINT('',(-1.0325,1.205,0.13)); #592666=CARTESIAN_POINT('',(-1.,1.205,0.1625)); #592667=CARTESIAN_POINT('Origin',(-1.0325,1.205,0.1625)); #592668=CARTESIAN_POINT('',(-1.,1.205,0.535)); #592669=CARTESIAN_POINT('',(-1.,1.205,0.535)); #592670=CARTESIAN_POINT('',(-0.87,1.205,0.665)); #592671=CARTESIAN_POINT('Origin',(-0.87,1.205,0.535)); #592672=CARTESIAN_POINT('',(-0.85,1.205,0.665)); #592673=CARTESIAN_POINT('',(-0.85,1.205,0.665)); #592674=CARTESIAN_POINT('',(-0.85,1.205,0.535)); #592675=CARTESIAN_POINT('',(-0.85,1.205,0.535)); #592676=CARTESIAN_POINT('',(-0.8375,1.205,0.535)); #592677=CARTESIAN_POINT('',(-0.8375,1.205,0.535)); #592678=CARTESIAN_POINT('',(-0.87,1.205,0.5025)); #592679=CARTESIAN_POINT('Origin',(-0.8375,1.205,0.5025)); #592680=CARTESIAN_POINT('',(-0.87,1.205,0.13)); #592681=CARTESIAN_POINT('Origin',(-1.4,0.95,0.)); #592682=CARTESIAN_POINT('',(-1.,0.695,1.73472347597681E-17)); #592683=CARTESIAN_POINT('',(-1.,0.95,1.73472347597681E-17)); #592684=CARTESIAN_POINT('',(-1.4,0.695,0.)); #592685=CARTESIAN_POINT('',(-1.4,0.695,0.)); #592686=CARTESIAN_POINT('',(-1.4,0.95,0.)); #592687=CARTESIAN_POINT('Origin',(-1.4,0.95,0.13)); #592688=CARTESIAN_POINT('',(-1.4,0.695,0.13)); #592689=CARTESIAN_POINT('',(-1.4,0.695,0.13)); #592690=CARTESIAN_POINT('',(-1.4,0.95,0.13)); #592691=CARTESIAN_POINT('Origin',(-1.0325,0.95,0.13)); #592692=CARTESIAN_POINT('',(-1.0325,0.695,0.13)); #592693=CARTESIAN_POINT('',(-1.0325,0.695,0.13)); #592694=CARTESIAN_POINT('',(-1.0325,0.95,0.13)); #592695=CARTESIAN_POINT('Origin',(-1.0325,0.95,0.1625)); #592696=CARTESIAN_POINT('',(-1.,0.695,0.1625)); #592697=CARTESIAN_POINT('Origin',(-1.0325,0.695,0.1625)); #592698=CARTESIAN_POINT('',(-1.,0.95,0.1625)); #592699=CARTESIAN_POINT('Origin',(-1.,0.95,0.535)); #592700=CARTESIAN_POINT('',(-1.,0.695,0.535)); #592701=CARTESIAN_POINT('',(-1.,0.695,0.535)); #592702=CARTESIAN_POINT('',(-1.,0.95,0.535)); #592703=CARTESIAN_POINT('Origin',(-0.87,0.95,0.535)); #592704=CARTESIAN_POINT('',(-0.87,0.695,0.665)); #592705=CARTESIAN_POINT('Origin',(-0.87,0.695,0.535)); #592706=CARTESIAN_POINT('',(-0.87,0.95,0.665)); #592707=CARTESIAN_POINT('Origin',(-0.85,0.95,0.665)); #592708=CARTESIAN_POINT('',(-0.85,0.695,0.665)); #592709=CARTESIAN_POINT('',(-0.85,0.695,0.665)); #592710=CARTESIAN_POINT('',(-0.85,0.95,0.665)); #592711=CARTESIAN_POINT('Origin',(-0.85,0.95,0.535)); #592712=CARTESIAN_POINT('',(-0.85,0.695,0.535)); #592713=CARTESIAN_POINT('',(-0.85,0.695,0.535)); #592714=CARTESIAN_POINT('',(-0.85,0.95,0.535)); #592715=CARTESIAN_POINT('Origin',(-0.8375,0.95,0.535)); #592716=CARTESIAN_POINT('',(-0.8375,0.695,0.535)); #592717=CARTESIAN_POINT('',(-0.8375,0.695,0.535)); #592718=CARTESIAN_POINT('',(-0.8375,0.95,0.535)); #592719=CARTESIAN_POINT('Origin',(-0.8375,0.95,0.5025)); #592720=CARTESIAN_POINT('',(-0.87,0.695,0.5025)); #592721=CARTESIAN_POINT('Origin',(-0.8375,0.695,0.5025)); #592722=CARTESIAN_POINT('',(-0.87,0.95,0.5025)); #592723=CARTESIAN_POINT('Origin',(-0.87,0.95,0.13)); #592724=CARTESIAN_POINT('',(-0.87,0.695,0.13)); #592725=CARTESIAN_POINT('',(-0.87,0.695,0.13)); #592726=CARTESIAN_POINT('',(-0.87,0.95,0.13)); #592727=CARTESIAN_POINT('Origin',(-1.,0.95,0.13)); #592728=CARTESIAN_POINT('Origin',(-1.,0.695,0.13)); #592729=CARTESIAN_POINT('Origin',(-1.11875,0.695,0.3325)); #592730=CARTESIAN_POINT('Origin',(-1.11875,0.255,0.3325)); #592731=CARTESIAN_POINT('',(-0.87,0.255,0.13)); #592732=CARTESIAN_POINT('',(-1.,0.255,1.73472347597681E-17)); #592733=CARTESIAN_POINT('Origin',(-1.,0.255,0.13)); #592734=CARTESIAN_POINT('',(-1.4,0.255,0.)); #592735=CARTESIAN_POINT('',(-1.4,0.255,0.)); #592736=CARTESIAN_POINT('',(-1.4,0.255,0.13)); #592737=CARTESIAN_POINT('',(-1.4,0.255,0.13)); #592738=CARTESIAN_POINT('',(-1.0325,0.255,0.13)); #592739=CARTESIAN_POINT('',(-1.0325,0.255,0.13)); #592740=CARTESIAN_POINT('',(-1.,0.255,0.1625)); #592741=CARTESIAN_POINT('Origin',(-1.0325,0.255,0.1625)); #592742=CARTESIAN_POINT('',(-1.,0.255,0.535)); #592743=CARTESIAN_POINT('',(-1.,0.255,0.535)); #592744=CARTESIAN_POINT('',(-0.87,0.255,0.665)); #592745=CARTESIAN_POINT('Origin',(-0.87,0.255,0.535)); #592746=CARTESIAN_POINT('',(-0.85,0.255,0.665)); #592747=CARTESIAN_POINT('',(-0.85,0.255,0.665)); #592748=CARTESIAN_POINT('',(-0.85,0.255,0.535)); #592749=CARTESIAN_POINT('',(-0.85,0.255,0.535)); #592750=CARTESIAN_POINT('',(-0.8375,0.255,0.535)); #592751=CARTESIAN_POINT('',(-0.8375,0.255,0.535)); #592752=CARTESIAN_POINT('',(-0.87,0.255,0.5025)); #592753=CARTESIAN_POINT('Origin',(-0.8375,0.255,0.5025)); #592754=CARTESIAN_POINT('',(-0.87,0.255,0.13)); #592755=CARTESIAN_POINT('Origin',(-1.4,0.,0.)); #592756=CARTESIAN_POINT('',(-1.,-0.255,1.73472347597681E-17)); #592757=CARTESIAN_POINT('',(-1.,0.,1.73472347597681E-17)); #592758=CARTESIAN_POINT('',(-1.4,-0.255,0.)); #592759=CARTESIAN_POINT('',(-1.4,-0.255,0.)); #592760=CARTESIAN_POINT('',(-1.4,0.,0.)); #592761=CARTESIAN_POINT('Origin',(-1.4,0.,0.13)); #592762=CARTESIAN_POINT('',(-1.4,-0.255,0.13)); #592763=CARTESIAN_POINT('',(-1.4,-0.255,0.13)); #592764=CARTESIAN_POINT('',(-1.4,0.,0.13)); #592765=CARTESIAN_POINT('Origin',(-1.0325,0.,0.13)); #592766=CARTESIAN_POINT('',(-1.0325,-0.255,0.13)); #592767=CARTESIAN_POINT('',(-1.0325,-0.255,0.13)); #592768=CARTESIAN_POINT('',(-1.0325,0.,0.13)); #592769=CARTESIAN_POINT('Origin',(-1.0325,0.,0.1625)); #592770=CARTESIAN_POINT('',(-1.,-0.255,0.1625)); #592771=CARTESIAN_POINT('Origin',(-1.0325,-0.255,0.1625)); #592772=CARTESIAN_POINT('',(-1.,0.,0.1625)); #592773=CARTESIAN_POINT('Origin',(-1.,0.,0.535)); #592774=CARTESIAN_POINT('',(-1.,-0.255,0.535)); #592775=CARTESIAN_POINT('',(-1.,-0.255,0.535)); #592776=CARTESIAN_POINT('',(-1.,0.,0.535)); #592777=CARTESIAN_POINT('Origin',(-0.87,0.,0.535)); #592778=CARTESIAN_POINT('',(-0.87,-0.255,0.665)); #592779=CARTESIAN_POINT('Origin',(-0.87,-0.255,0.535)); #592780=CARTESIAN_POINT('',(-0.87,0.,0.665)); #592781=CARTESIAN_POINT('Origin',(-0.85,0.,0.665)); #592782=CARTESIAN_POINT('',(-0.85,-0.255,0.665)); #592783=CARTESIAN_POINT('',(-0.85,-0.255,0.665)); #592784=CARTESIAN_POINT('',(-0.85,0.,0.665)); #592785=CARTESIAN_POINT('Origin',(-0.85,0.,0.535)); #592786=CARTESIAN_POINT('',(-0.85,-0.255,0.535)); #592787=CARTESIAN_POINT('',(-0.85,-0.255,0.535)); #592788=CARTESIAN_POINT('',(-0.85,0.,0.535)); #592789=CARTESIAN_POINT('Origin',(-0.8375,0.,0.535)); #592790=CARTESIAN_POINT('',(-0.8375,-0.255,0.535)); #592791=CARTESIAN_POINT('',(-0.8375,-0.255,0.535)); #592792=CARTESIAN_POINT('',(-0.8375,0.,0.535)); #592793=CARTESIAN_POINT('Origin',(-0.8375,0.,0.5025)); #592794=CARTESIAN_POINT('',(-0.87,-0.255,0.5025)); #592795=CARTESIAN_POINT('Origin',(-0.8375,-0.255,0.5025)); #592796=CARTESIAN_POINT('',(-0.87,0.,0.5025)); #592797=CARTESIAN_POINT('Origin',(-0.87,0.,0.13)); #592798=CARTESIAN_POINT('',(-0.87,-0.255,0.13)); #592799=CARTESIAN_POINT('',(-0.87,-0.255,0.13)); #592800=CARTESIAN_POINT('',(-0.87,0.,0.13)); #592801=CARTESIAN_POINT('Origin',(-1.,0.,0.13)); #592802=CARTESIAN_POINT('Origin',(-1.,-0.255,0.13)); #592803=CARTESIAN_POINT('Origin',(-1.11875,-0.255,0.3325)); #592804=CARTESIAN_POINT('Origin',(-1.11875,-0.695,0.3325)); #592805=CARTESIAN_POINT('',(-0.87,-0.695,0.13)); #592806=CARTESIAN_POINT('',(-1.,-0.695,1.73472347597681E-17)); #592807=CARTESIAN_POINT('Origin',(-1.,-0.695,0.13)); #592808=CARTESIAN_POINT('',(-1.4,-0.695,0.)); #592809=CARTESIAN_POINT('',(-1.4,-0.695,0.)); #592810=CARTESIAN_POINT('',(-1.4,-0.695,0.13)); #592811=CARTESIAN_POINT('',(-1.4,-0.695,0.13)); #592812=CARTESIAN_POINT('',(-1.0325,-0.695,0.13)); #592813=CARTESIAN_POINT('',(-1.0325,-0.695,0.13)); #592814=CARTESIAN_POINT('',(-1.,-0.695,0.1625)); #592815=CARTESIAN_POINT('Origin',(-1.0325,-0.695,0.1625)); #592816=CARTESIAN_POINT('',(-1.,-0.695,0.535)); #592817=CARTESIAN_POINT('',(-1.,-0.695,0.535)); #592818=CARTESIAN_POINT('',(-0.87,-0.695,0.665)); #592819=CARTESIAN_POINT('Origin',(-0.87,-0.695,0.535)); #592820=CARTESIAN_POINT('',(-0.85,-0.695,0.665)); #592821=CARTESIAN_POINT('',(-0.85,-0.695,0.665)); #592822=CARTESIAN_POINT('',(-0.85,-0.695,0.535)); #592823=CARTESIAN_POINT('',(-0.85,-0.695,0.535)); #592824=CARTESIAN_POINT('',(-0.8375,-0.695,0.535)); #592825=CARTESIAN_POINT('',(-0.8375,-0.695,0.535)); #592826=CARTESIAN_POINT('',(-0.87,-0.695,0.5025)); #592827=CARTESIAN_POINT('Origin',(-0.8375,-0.695,0.5025)); #592828=CARTESIAN_POINT('',(-0.87,-0.695,0.13)); #592829=CARTESIAN_POINT('Origin',(-1.4,-0.95,0.)); #592830=CARTESIAN_POINT('',(-1.,-1.205,1.73472347597681E-17)); #592831=CARTESIAN_POINT('',(-1.,-0.95,1.73472347597681E-17)); #592832=CARTESIAN_POINT('',(-1.4,-1.205,0.)); #592833=CARTESIAN_POINT('',(-1.4,-1.205,0.)); #592834=CARTESIAN_POINT('',(-1.4,-0.95,0.)); #592835=CARTESIAN_POINT('Origin',(-1.4,-0.95,0.13)); #592836=CARTESIAN_POINT('',(-1.4,-1.205,0.13)); #592837=CARTESIAN_POINT('',(-1.4,-1.205,0.13)); #592838=CARTESIAN_POINT('',(-1.4,-0.95,0.13)); #592839=CARTESIAN_POINT('Origin',(-1.0325,-0.95,0.13)); #592840=CARTESIAN_POINT('',(-1.0325,-1.205,0.13)); #592841=CARTESIAN_POINT('',(-1.0325,-1.205,0.13)); #592842=CARTESIAN_POINT('',(-1.0325,-0.95,0.13)); #592843=CARTESIAN_POINT('Origin',(-1.0325,-0.95,0.1625)); #592844=CARTESIAN_POINT('',(-1.,-1.205,0.1625)); #592845=CARTESIAN_POINT('Origin',(-1.0325,-1.205,0.1625)); #592846=CARTESIAN_POINT('',(-1.,-0.95,0.1625)); #592847=CARTESIAN_POINT('Origin',(-1.,-0.95,0.535)); #592848=CARTESIAN_POINT('',(-1.,-1.205,0.535)); #592849=CARTESIAN_POINT('',(-1.,-1.205,0.535)); #592850=CARTESIAN_POINT('',(-1.,-0.95,0.535)); #592851=CARTESIAN_POINT('Origin',(-0.87,-0.95,0.535)); #592852=CARTESIAN_POINT('',(-0.87,-1.205,0.665)); #592853=CARTESIAN_POINT('Origin',(-0.87,-1.205,0.535)); #592854=CARTESIAN_POINT('',(-0.87,-0.95,0.665)); #592855=CARTESIAN_POINT('Origin',(-0.85,-0.95,0.665)); #592856=CARTESIAN_POINT('',(-0.85,-1.205,0.665)); #592857=CARTESIAN_POINT('',(-0.85,-1.205,0.665)); #592858=CARTESIAN_POINT('',(-0.85,-0.95,0.665)); #592859=CARTESIAN_POINT('Origin',(-0.85,-0.95,0.535)); #592860=CARTESIAN_POINT('',(-0.85,-1.205,0.535)); #592861=CARTESIAN_POINT('',(-0.85,-1.205,0.535)); #592862=CARTESIAN_POINT('',(-0.85,-0.95,0.535)); #592863=CARTESIAN_POINT('Origin',(-0.8375,-0.95,0.535)); #592864=CARTESIAN_POINT('',(-0.8375,-1.205,0.535)); #592865=CARTESIAN_POINT('',(-0.8375,-1.205,0.535)); #592866=CARTESIAN_POINT('',(-0.8375,-0.95,0.535)); #592867=CARTESIAN_POINT('Origin',(-0.8375,-0.95,0.5025)); #592868=CARTESIAN_POINT('',(-0.87,-1.205,0.5025)); #592869=CARTESIAN_POINT('Origin',(-0.8375,-1.205,0.5025)); #592870=CARTESIAN_POINT('',(-0.87,-0.95,0.5025)); #592871=CARTESIAN_POINT('Origin',(-0.87,-0.95,0.13)); #592872=CARTESIAN_POINT('',(-0.87,-1.205,0.13)); #592873=CARTESIAN_POINT('',(-0.87,-1.205,0.13)); #592874=CARTESIAN_POINT('',(-0.87,-0.95,0.13)); #592875=CARTESIAN_POINT('Origin',(-1.,-0.95,0.13)); #592876=CARTESIAN_POINT('Origin',(-1.,-1.205,0.13)); #592877=CARTESIAN_POINT('Origin',(-1.11875,-1.205,0.3325)); #592878=CARTESIAN_POINT('',(0.,0.,0.)); #592879=CARTESIAN_POINT('',(0.,0.,0.)); #592880=CARTESIAN_POINT('',(0.,0.,0.)); #592881=CARTESIAN_POINT('Origin',(-1.71,-0.91,1.56)); #592882=CARTESIAN_POINT('',(-1.75,-0.91,1.56)); #592883=CARTESIAN_POINT('',(-1.71,-0.91,1.6)); #592884=CARTESIAN_POINT('Origin',(-1.71,-0.91,1.56)); #592885=CARTESIAN_POINT('',(-1.71,-0.95,1.56)); #592886=CARTESIAN_POINT('Origin',(-1.71,-0.91,1.56)); #592887=CARTESIAN_POINT('Origin',(-1.71,-0.91,1.56)); #592888=CARTESIAN_POINT('Origin',(-0.89,-0.91,1.56)); #592889=CARTESIAN_POINT('',(-0.89,-0.95,1.56)); #592890=CARTESIAN_POINT('',(-0.89,-0.91,1.6)); #592891=CARTESIAN_POINT('Origin',(-0.89,-0.91,1.56)); #592892=CARTESIAN_POINT('',(-0.85,-0.91,1.56)); #592893=CARTESIAN_POINT('Origin',(-0.89,-0.91,1.56)); #592894=CARTESIAN_POINT('Origin',(-0.89,-0.91,1.56)); #592895=CARTESIAN_POINT('Origin',(-1.71,-0.91,0.04)); #592896=CARTESIAN_POINT('',(-1.75,-0.91,0.04)); #592897=CARTESIAN_POINT('',(-1.71,-0.95,0.04)); #592898=CARTESIAN_POINT('Origin',(-1.71,-0.91,0.04)); #592899=CARTESIAN_POINT('',(-1.71,-0.91,0.)); #592900=CARTESIAN_POINT('Origin',(-1.71,-0.91,0.04)); #592901=CARTESIAN_POINT('Origin',(-1.71,-0.91,0.04)); #592902=CARTESIAN_POINT('Origin',(-1.71,0.91,1.56)); #592903=CARTESIAN_POINT('',(-1.71,0.95,1.56)); #592904=CARTESIAN_POINT('',(-1.71,0.91,1.6)); #592905=CARTESIAN_POINT('Origin',(-1.71,0.91,1.56)); #592906=CARTESIAN_POINT('',(-1.75,0.91,1.56)); #592907=CARTESIAN_POINT('Origin',(-1.71,0.91,1.56)); #592908=CARTESIAN_POINT('Origin',(-1.71,0.91,1.56)); #592909=CARTESIAN_POINT('Origin',(-0.89,-0.91,0.04)); #592910=CARTESIAN_POINT('',(-0.89,-0.95,0.04)); #592911=CARTESIAN_POINT('',(-0.85,-0.91,0.04)); #592912=CARTESIAN_POINT('Origin',(-0.89,-0.91,0.04)); #592913=CARTESIAN_POINT('',(-0.89,-0.91,0.)); #592914=CARTESIAN_POINT('Origin',(-0.89,-0.91,0.04)); #592915=CARTESIAN_POINT('Origin',(-0.89,-0.91,0.04)); #592916=CARTESIAN_POINT('Origin',(-1.525,-0.91,0.04)); #592917=CARTESIAN_POINT('',(-1.525,-0.95,0.04)); #592918=CARTESIAN_POINT('',(-1.525,-0.91,0.)); #592919=CARTESIAN_POINT('Origin',(-0.89,0.91,1.56)); #592920=CARTESIAN_POINT('',(-0.85,0.91,1.56)); #592921=CARTESIAN_POINT('',(-0.89,0.91,1.6)); #592922=CARTESIAN_POINT('Origin',(-0.89,0.91,1.56)); #592923=CARTESIAN_POINT('',(-0.89,0.95,1.56)); #592924=CARTESIAN_POINT('Origin',(-0.89,0.91,1.56)); #592925=CARTESIAN_POINT('Origin',(-0.89,0.91,1.56)); #592926=CARTESIAN_POINT('Origin',(-1.71,0.91,0.04)); #592927=CARTESIAN_POINT('',(-1.71,0.91,0.)); #592928=CARTESIAN_POINT('',(-1.71,0.95,0.04)); #592929=CARTESIAN_POINT('Origin',(-1.71,0.91,0.04)); #592930=CARTESIAN_POINT('',(-1.75,0.91,0.04)); #592931=CARTESIAN_POINT('Origin',(-1.71,0.91,0.04)); #592932=CARTESIAN_POINT('Origin',(-1.71,0.91,0.04)); #592933=CARTESIAN_POINT('Origin',(-1.71,0.475,0.04)); #592934=CARTESIAN_POINT('',(-1.75,0.475,0.04)); #592935=CARTESIAN_POINT('',(-1.71,0.475,0.)); #592936=CARTESIAN_POINT('Origin',(-1.71,0.91,0.)); #592937=CARTESIAN_POINT('',(-1.71,0.95,0.)); #592938=CARTESIAN_POINT('',(-1.75,0.91,0.)); #592939=CARTESIAN_POINT('Origin',(-0.89,0.91,0.04)); #592940=CARTESIAN_POINT('',(-0.85,0.91,0.04)); #592941=CARTESIAN_POINT('',(-0.89,0.95,0.04)); #592942=CARTESIAN_POINT('Origin',(-0.89,0.91,0.04)); #592943=CARTESIAN_POINT('',(-0.89,0.91,0.)); #592944=CARTESIAN_POINT('Origin',(-0.89,0.91,0.04)); #592945=CARTESIAN_POINT('Origin',(-0.89,0.91,0.04)); #592946=CARTESIAN_POINT('Origin',(-0.89,-0.475,0.04)); #592947=CARTESIAN_POINT('',(-0.89,-0.475,0.)); #592948=CARTESIAN_POINT('',(-0.85,-0.475,0.04)); #592949=CARTESIAN_POINT('Origin',(-1.075,0.91,0.04)); #592950=CARTESIAN_POINT('',(-1.075,0.95,0.04)); #592951=CARTESIAN_POINT('',(-1.075,0.91,0.)); #592952=CARTESIAN_POINT('Origin',(-0.89,0.91,0.)); #592953=CARTESIAN_POINT('',(-0.85,0.91,0.)); #592954=CARTESIAN_POINT('',(-0.89,0.95,0.)); #592955=CARTESIAN_POINT('Origin',(-1.075,0.91,1.56)); #592956=CARTESIAN_POINT('',(-1.075,0.91,1.6)); #592957=CARTESIAN_POINT('',(-1.075,0.95,1.56)); #592958=CARTESIAN_POINT('Origin',(-0.89,-0.475,1.56)); #592959=CARTESIAN_POINT('',(-0.89,-0.475,1.6)); #592960=CARTESIAN_POINT('',(-0.85,-0.475,1.56)); #592961=CARTESIAN_POINT('Origin',(-0.89,-0.91,0.)); #592962=CARTESIAN_POINT('',(-0.89,-0.95,0.)); #592963=CARTESIAN_POINT('',(-0.85,-0.91,0.)); #592964=CARTESIAN_POINT('Origin',(-1.71,0.475,1.56)); #592965=CARTESIAN_POINT('',(-1.71,0.475,1.6)); #592966=CARTESIAN_POINT('',(-1.75,0.475,1.56)); #592967=CARTESIAN_POINT('Origin',(-1.71,-0.91,0.)); #592968=CARTESIAN_POINT('',(-1.75,-0.91,0.)); #592969=CARTESIAN_POINT('',(-1.71,-0.95,0.)); #592970=CARTESIAN_POINT('Origin',(-1.525,-0.91,1.56)); #592971=CARTESIAN_POINT('',(-1.525,-0.91,1.6)); #592972=CARTESIAN_POINT('',(-1.525,-0.95,1.56)); #592973=CARTESIAN_POINT('Origin',(-1.75,-0.95,0.)); #592974=CARTESIAN_POINT('Origin',(-1.3,0.,0.)); #592975=CARTESIAN_POINT('Origin',(-1.75,0.95,0.)); #592976=CARTESIAN_POINT('Origin',(-0.85,0.95,0.)); #592977=CARTESIAN_POINT('Origin',(-0.85,-0.95,0.)); #592978=CARTESIAN_POINT('Origin',(-1.3,0.,1.6)); #592979=CARTESIAN_POINT('Origin',(0.89,-0.91,1.56)); #592980=CARTESIAN_POINT('',(0.85,-0.91,1.56)); #592981=CARTESIAN_POINT('',(0.89,-0.91,1.6)); #592982=CARTESIAN_POINT('Origin',(0.89,-0.91,1.56)); #592983=CARTESIAN_POINT('',(0.89,-0.95,1.56)); #592984=CARTESIAN_POINT('Origin',(0.89,-0.91,1.56)); #592985=CARTESIAN_POINT('Origin',(0.89,-0.91,1.56)); #592986=CARTESIAN_POINT('Origin',(1.71,-0.91,1.56)); #592987=CARTESIAN_POINT('',(1.71,-0.95,1.56)); #592988=CARTESIAN_POINT('',(1.71,-0.91,1.6)); #592989=CARTESIAN_POINT('Origin',(1.71,-0.91,1.56)); #592990=CARTESIAN_POINT('',(1.75,-0.91,1.56)); #592991=CARTESIAN_POINT('Origin',(1.71,-0.91,1.56)); #592992=CARTESIAN_POINT('Origin',(1.71,-0.91,1.56)); #592993=CARTESIAN_POINT('Origin',(0.89,-0.91,0.04)); #592994=CARTESIAN_POINT('',(0.85,-0.91,0.04)); #592995=CARTESIAN_POINT('',(0.89,-0.95,0.04)); #592996=CARTESIAN_POINT('Origin',(0.89,-0.91,0.04)); #592997=CARTESIAN_POINT('',(0.89,-0.91,0.)); #592998=CARTESIAN_POINT('Origin',(0.89,-0.91,0.04)); #592999=CARTESIAN_POINT('Origin',(0.89,-0.91,0.04)); #593000=CARTESIAN_POINT('Origin',(0.89,0.91,1.56)); #593001=CARTESIAN_POINT('',(0.89,0.95,1.56)); #593002=CARTESIAN_POINT('',(0.89,0.91,1.6)); #593003=CARTESIAN_POINT('Origin',(0.89,0.91,1.56)); #593004=CARTESIAN_POINT('',(0.85,0.91,1.56)); #593005=CARTESIAN_POINT('Origin',(0.89,0.91,1.56)); #593006=CARTESIAN_POINT('Origin',(0.89,0.91,1.56)); #593007=CARTESIAN_POINT('Origin',(1.71,-0.91,0.04)); #593008=CARTESIAN_POINT('',(1.71,-0.95,0.04)); #593009=CARTESIAN_POINT('',(1.75,-0.91,0.04)); #593010=CARTESIAN_POINT('Origin',(1.71,-0.91,0.04)); #593011=CARTESIAN_POINT('',(1.71,-0.91,0.)); #593012=CARTESIAN_POINT('Origin',(1.71,-0.91,0.04)); #593013=CARTESIAN_POINT('Origin',(1.71,-0.91,0.04)); #593014=CARTESIAN_POINT('Origin',(1.075,-0.91,0.04)); #593015=CARTESIAN_POINT('',(1.075,-0.95,0.04)); #593016=CARTESIAN_POINT('',(1.075,-0.91,0.)); #593017=CARTESIAN_POINT('Origin',(1.71,0.91,1.56)); #593018=CARTESIAN_POINT('',(1.75,0.91,1.56)); #593019=CARTESIAN_POINT('',(1.71,0.91,1.6)); #593020=CARTESIAN_POINT('Origin',(1.71,0.91,1.56)); #593021=CARTESIAN_POINT('',(1.71,0.95,1.56)); #593022=CARTESIAN_POINT('Origin',(1.71,0.91,1.56)); #593023=CARTESIAN_POINT('Origin',(1.71,0.91,1.56)); #593024=CARTESIAN_POINT('Origin',(0.89,0.91,0.04)); #593025=CARTESIAN_POINT('',(0.89,0.91,0.)); #593026=CARTESIAN_POINT('',(0.89,0.95,0.04)); #593027=CARTESIAN_POINT('Origin',(0.89,0.91,0.04)); #593028=CARTESIAN_POINT('',(0.85,0.91,0.04)); #593029=CARTESIAN_POINT('Origin',(0.89,0.91,0.04)); #593030=CARTESIAN_POINT('Origin',(0.89,0.91,0.04)); #593031=CARTESIAN_POINT('Origin',(0.89,0.475,0.04)); #593032=CARTESIAN_POINT('',(0.85,0.475,0.04)); #593033=CARTESIAN_POINT('',(0.89,0.475,0.)); #593034=CARTESIAN_POINT('Origin',(0.89,0.91,0.)); #593035=CARTESIAN_POINT('',(0.89,0.95,0.)); #593036=CARTESIAN_POINT('',(0.85,0.91,0.)); #593037=CARTESIAN_POINT('Origin',(1.71,0.91,0.04)); #593038=CARTESIAN_POINT('',(1.75,0.91,0.04)); #593039=CARTESIAN_POINT('',(1.71,0.95,0.04)); #593040=CARTESIAN_POINT('Origin',(1.71,0.91,0.04)); #593041=CARTESIAN_POINT('',(1.71,0.91,0.)); #593042=CARTESIAN_POINT('Origin',(1.71,0.91,0.04)); #593043=CARTESIAN_POINT('Origin',(1.71,0.91,0.04)); #593044=CARTESIAN_POINT('Origin',(1.71,-0.475,0.04)); #593045=CARTESIAN_POINT('',(1.75,-0.475,0.04)); #593046=CARTESIAN_POINT('',(1.71,-0.475,0.)); #593047=CARTESIAN_POINT('Origin',(1.525,0.91,0.04)); #593048=CARTESIAN_POINT('',(1.525,0.95,0.04)); #593049=CARTESIAN_POINT('',(1.525,0.91,0.)); #593050=CARTESIAN_POINT('Origin',(1.71,0.91,0.)); #593051=CARTESIAN_POINT('',(1.75,0.91,0.)); #593052=CARTESIAN_POINT('',(1.71,0.95,0.)); #593053=CARTESIAN_POINT('Origin',(1.525,0.91,1.56)); #593054=CARTESIAN_POINT('',(1.525,0.91,1.6)); #593055=CARTESIAN_POINT('',(1.525,0.95,1.56)); #593056=CARTESIAN_POINT('Origin',(1.71,-0.475,1.56)); #593057=CARTESIAN_POINT('',(1.71,-0.475,1.6)); #593058=CARTESIAN_POINT('',(1.75,-0.475,1.56)); #593059=CARTESIAN_POINT('Origin',(1.71,-0.91,0.)); #593060=CARTESIAN_POINT('',(1.71,-0.95,0.)); #593061=CARTESIAN_POINT('',(1.75,-0.91,0.)); #593062=CARTESIAN_POINT('Origin',(0.89,0.475,1.56)); #593063=CARTESIAN_POINT('',(0.89,0.475,1.6)); #593064=CARTESIAN_POINT('',(0.85,0.475,1.56)); #593065=CARTESIAN_POINT('Origin',(0.89,-0.91,0.)); #593066=CARTESIAN_POINT('',(0.85,-0.91,0.)); #593067=CARTESIAN_POINT('',(0.89,-0.95,0.)); #593068=CARTESIAN_POINT('Origin',(1.075,-0.91,1.56)); #593069=CARTESIAN_POINT('',(1.075,-0.91,1.6)); #593070=CARTESIAN_POINT('',(1.075,-0.95,1.56)); #593071=CARTESIAN_POINT('Origin',(0.85,-0.95,0.)); #593072=CARTESIAN_POINT('Origin',(1.3,0.,0.)); #593073=CARTESIAN_POINT('Origin',(0.85,0.95,0.)); #593074=CARTESIAN_POINT('Origin',(1.75,0.95,0.)); #593075=CARTESIAN_POINT('Origin',(1.75,-0.95,0.)); #593076=CARTESIAN_POINT('Origin',(1.3,0.,1.6)); #593077=CARTESIAN_POINT('Origin',(0.85,-0.95,0.)); #593078=CARTESIAN_POINT('',(0.85,0.95,0.)); #593079=CARTESIAN_POINT('',(0.85,-0.95,0.)); #593080=CARTESIAN_POINT('',(0.85,0.95,0.)); #593081=CARTESIAN_POINT('',(0.85,0.95,1.6)); #593082=CARTESIAN_POINT('',(0.85,0.95,0.)); #593083=CARTESIAN_POINT('',(0.85,-0.95,1.6)); #593084=CARTESIAN_POINT('',(0.85,0.95,1.6)); #593085=CARTESIAN_POINT('',(0.85,-0.95,0.)); #593086=CARTESIAN_POINT('Origin',(-0.85,-0.95,0.)); #593087=CARTESIAN_POINT('',(-0.85,-0.95,0.)); #593088=CARTESIAN_POINT('',(-1.75,-0.95,0.)); #593089=CARTESIAN_POINT('',(-0.85,-0.95,1.6)); #593090=CARTESIAN_POINT('',(-1.75,-0.95,1.6)); #593091=CARTESIAN_POINT('',(-0.85,-0.95,0.)); #593092=CARTESIAN_POINT('Origin',(-0.85,0.95,0.)); #593093=CARTESIAN_POINT('',(-0.85,0.95,0.)); #593094=CARTESIAN_POINT('',(-0.85,0.95,0.)); #593095=CARTESIAN_POINT('',(-0.85,0.95,1.6)); #593096=CARTESIAN_POINT('',(-0.85,0.95,1.6)); #593097=CARTESIAN_POINT('',(-0.85,0.95,0.)); #593098=CARTESIAN_POINT('Origin',(0.85,0.95,0.)); #593099=CARTESIAN_POINT('',(1.75,0.95,0.)); #593100=CARTESIAN_POINT('',(1.75,0.95,1.6)); #593101=CARTESIAN_POINT('Origin',(0.,0.,1.6)); #593102=CARTESIAN_POINT('Origin',(0.,0.,0.)); #593103=CARTESIAN_POINT('',(0.,0.,0.)); #593104=CARTESIAN_POINT('',(34.,45.,1.5525)); #593105=CARTESIAN_POINT('',(30.,66.,-0.0524999999999998)); #593106=CARTESIAN_POINT('',(34.,66.,-0.0524999999999998)); #593107=CARTESIAN_POINT('',(38.,66.,-0.0524999999999998)); #593108=CARTESIAN_POINT('',(17.,50.5,1.5525)); #593109=CARTESIAN_POINT('',(51.,50.5,1.5525)); #593110=CARTESIAN_POINT('',(37.75,53.,1.5525)); #593111=CARTESIAN_POINT('',(30.25,53.,1.5525)); #593112=CARTESIAN_POINT('',(48.,41.,1.5525)); #593113=CARTESIAN_POINT('',(44.,41.,1.5525)); #593114=CARTESIAN_POINT('',(20.,41.,1.5525)); #593115=CARTESIAN_POINT('',(24.,41.,1.5525)); #593116=CARTESIAN_POINT('',(23.,26.,1.5525)); #593117=CARTESIAN_POINT('',(21.,15.,1.5525)); #593118=CARTESIAN_POINT('',(25.,15.,1.5525)); #593119=CARTESIAN_POINT('',(21.,18.,1.5525)); #593120=CARTESIAN_POINT('',(25.,18.,1.5525)); #593121=CARTESIAN_POINT('',(21.,21.,1.5525)); #593122=CARTESIAN_POINT('',(25.,21.,1.5525)); #593123=CARTESIAN_POINT('',(45.,26.,1.5525)); #593124=CARTESIAN_POINT('',(30.,60.25,1.5525)); #593125=CARTESIAN_POINT('',(34.,60.25,1.5525)); #593126=CARTESIAN_POINT('',(38.,60.25,1.5525)); #593127=CARTESIAN_POINT('',(27.,19.,-0.0524999999999998)); #593128=CARTESIAN_POINT('',(27.,23.,-0.0524999999999998)); #593129=CARTESIAN_POINT('',(46.75,27.,-0.0524999999999998)); #593130=CARTESIAN_POINT('',(34.,2.5,-0.0524999999999998)); #593131=CARTESIAN_POINT('',(23.,34.,1.5525)); #593132=CARTESIAN_POINT('',(45.,34.,1.5525)); #593133=CARTESIAN_POINT('',(41.,23.,-0.0525)); #593134=CARTESIAN_POINT('',(49.25,27.,-0.0525)); #593135=CARTESIAN_POINT('',(55.,50.5,1.5525)); #593136=CARTESIAN_POINT('',(37.75,57.,1.5525)); #593137=CARTESIAN_POINT('',(30.25,57.,1.5525)); #593138=CARTESIAN_POINT('',(38.,38.,1.5525)); #593139=CARTESIAN_POINT('',(30.,38.,1.5525)); #593140=CARTESIAN_POINT('',(13.,50.5,1.5525)); #593141=CARTESIAN_POINT('',(54.,45.,-0.0525)); #593142=CARTESIAN_POINT('',(54.,42.,-0.0525)); #593143=CARTESIAN_POINT('',(14.,45.,-0.0525)); #593144=CARTESIAN_POINT('',(14.,42.,-0.0525)); #593145=CARTESIAN_POINT('',(21.,29.,1.5525)); #593146=CARTESIAN_POINT('',(25.,29.,1.5525)); #593147=CARTESIAN_POINT('',(20.,26.,-0.0525)); #593148=CARTESIAN_POINT('',(20.,20.,-0.0525)); #593149=CARTESIAN_POINT('',(54.,26.,-0.0525)); #593150=CARTESIAN_POINT('',(54.,26.,1.5525)); #593151=CARTESIAN_POINT('',(54.,21.,1.5525)); #593152=CARTESIAN_POINT('',(14.,26.,-0.0525)); #593153=CARTESIAN_POINT('',(14.,26.,1.5525)); #593154=CARTESIAN_POINT('',(14.,21.,1.5525)); #593155=CARTESIAN_POINT('',(54.,34.,1.5525)); #593156=CARTESIAN_POINT('',(14.,34.,1.5525)); #593157=CARTESIAN_POINT('',(45.,17.,1.5525)); #593158=CARTESIAN_POINT('',(46.,47.,-0.0525)); #593159=CARTESIAN_POINT('',(46.,56.,-0.0525)); #593160=CARTESIAN_POINT('',(22.,47.,-0.0525)); #593161=CARTESIAN_POINT('',(22.,56.,-0.0525)); #593162=CARTESIAN_POINT('',(34.,55.,-0.0525)); #593163=CARTESIAN_POINT('',(34.,34.,-0.0525)); #593164=CARTESIAN_POINT('',(34.,17.,1.5525)); #593165=CARTESIAN_POINT('',(53.,47.,1.5525)); #593166=CARTESIAN_POINT('',(15.,47.,1.5525)); #593167=CARTESIAN_POINT('',(38.,31.,1.5525)); #593168=CARTESIAN_POINT('',(30.,31.,1.5525)); #593169=CARTESIAN_POINT('',(48.,21.,-0.0525)); #593170=CARTESIAN_POINT('',(20.,23.,-0.0525)); #593171=CARTESIAN_POINT('',(45.,12.25,1.5525)); #593172=CARTESIAN_POINT('',(0.,0.,0.)); #593173=CARTESIAN_POINT('',(0.,0.,1.5)); #593174=CARTESIAN_POINT('',(0.,0.,-0.0349999999999995)); #593175=CARTESIAN_POINT('',(0.,0.,1.5)); #593176=CARTESIAN_POINT('',(0.,0.,0.)); #593177=CARTESIAN_POINT('',(0.,0.,0.)); #593178=CARTESIAN_POINT('',(0.,0.,0.)); #593179=CARTESIAN_POINT('',(0.,0.,0.)); #593180=CARTESIAN_POINT('Origin',(39.75,8.5,0.697)); #593181=CARTESIAN_POINT('',(38.75,8.5,1.5)); #593182=CARTESIAN_POINT('Origin',(39.75,8.5,1.5)); #593183=CARTESIAN_POINT('',(38.75,8.5,0.)); #593184=CARTESIAN_POINT('',(38.75,8.5,0.697)); #593185=CARTESIAN_POINT('Origin',(39.75,8.5,0.)); #593186=CARTESIAN_POINT('Origin',(3.75,8.5,0.697)); #593187=CARTESIAN_POINT('',(2.75,8.5,1.5)); #593188=CARTESIAN_POINT('Origin',(3.75,8.5,1.5)); #593189=CARTESIAN_POINT('',(2.75,8.5,0.)); #593190=CARTESIAN_POINT('',(2.75,8.5,0.697)); #593191=CARTESIAN_POINT('Origin',(3.75,8.5,0.)); #593192=CARTESIAN_POINT('Origin',(1.,11.,0.)); #593193=CARTESIAN_POINT('',(1.,11.,0.)); #593194=CARTESIAN_POINT('',(0.,11.,0.)); #593195=CARTESIAN_POINT('',(1.,11.,0.)); #593196=CARTESIAN_POINT('',(0.,11.,1.5)); #593197=CARTESIAN_POINT('',(0.,11.,0.)); #593198=CARTESIAN_POINT('',(1.,11.,1.5)); #593199=CARTESIAN_POINT('',(1.,11.,1.5)); #593200=CARTESIAN_POINT('',(1.,11.,0.)); #593201=CARTESIAN_POINT('Origin',(0.999999999999996,17.,0.)); #593202=CARTESIAN_POINT('',(0.999999999999996,17.,0.)); #593203=CARTESIAN_POINT('',(0.999999999999996,17.,0.)); #593204=CARTESIAN_POINT('',(0.999999999999996,17.,1.5)); #593205=CARTESIAN_POINT('',(0.999999999999996,17.,1.5)); #593206=CARTESIAN_POINT('',(0.999999999999996,17.,0.)); #593207=CARTESIAN_POINT('Origin',(42.5,17.,0.)); #593208=CARTESIAN_POINT('',(42.5,17.,0.)); #593209=CARTESIAN_POINT('',(42.5,17.,0.)); #593210=CARTESIAN_POINT('',(42.5,17.,1.5)); #593211=CARTESIAN_POINT('',(42.5,17.,1.5)); #593212=CARTESIAN_POINT('',(42.5,17.,0.)); #593213=CARTESIAN_POINT('Origin',(42.5,11.,0.)); #593214=CARTESIAN_POINT('',(42.5,11.,0.)); #593215=CARTESIAN_POINT('',(42.5,11.,0.)); #593216=CARTESIAN_POINT('',(42.5,11.,1.5)); #593217=CARTESIAN_POINT('',(42.5,11.,1.5)); #593218=CARTESIAN_POINT('',(42.5,11.,0.)); #593219=CARTESIAN_POINT('Origin',(43.5,11.,0.)); #593220=CARTESIAN_POINT('',(43.5,11.,0.)); #593221=CARTESIAN_POINT('',(43.5,11.,0.)); #593222=CARTESIAN_POINT('',(43.5,11.,1.5)); #593223=CARTESIAN_POINT('',(43.5,11.,1.5)); #593224=CARTESIAN_POINT('',(43.5,11.,0.)); #593225=CARTESIAN_POINT('Origin',(43.5,6.,0.)); #593226=CARTESIAN_POINT('',(43.5,6.,0.)); #593227=CARTESIAN_POINT('',(43.5,6.,0.)); #593228=CARTESIAN_POINT('',(43.5,6.,1.5)); #593229=CARTESIAN_POINT('',(43.5,6.,1.5)); #593230=CARTESIAN_POINT('',(43.5,6.,0.)); #593231=CARTESIAN_POINT('Origin',(42.5,6.,0.)); #593232=CARTESIAN_POINT('',(42.5,6.,0.)); #593233=CARTESIAN_POINT('',(42.5,6.,0.)); #593234=CARTESIAN_POINT('',(42.5,6.,1.5)); #593235=CARTESIAN_POINT('',(42.5,6.,1.5)); #593236=CARTESIAN_POINT('',(42.5,6.,0.)); #593237=CARTESIAN_POINT('Origin',(42.5,0.,0.)); #593238=CARTESIAN_POINT('',(42.5,0.,0.)); #593239=CARTESIAN_POINT('',(42.5,0.,0.)); #593240=CARTESIAN_POINT('',(42.5,0.,1.5)); #593241=CARTESIAN_POINT('',(42.5,0.,1.5)); #593242=CARTESIAN_POINT('',(42.5,0.,0.)); #593243=CARTESIAN_POINT('Origin',(1.,0.,0.)); #593244=CARTESIAN_POINT('',(1.,0.,0.)); #593245=CARTESIAN_POINT('',(1.,0.,0.)); #593246=CARTESIAN_POINT('',(1.,0.,1.5)); #593247=CARTESIAN_POINT('',(1.,0.,1.5)); #593248=CARTESIAN_POINT('',(1.,0.,0.)); #593249=CARTESIAN_POINT('Origin',(1.,6.,0.)); #593250=CARTESIAN_POINT('',(1.,6.,0.)); #593251=CARTESIAN_POINT('',(1.,6.,0.)); #593252=CARTESIAN_POINT('',(1.,6.,1.5)); #593253=CARTESIAN_POINT('',(1.,6.,1.5)); #593254=CARTESIAN_POINT('',(1.,6.,0.)); #593255=CARTESIAN_POINT('Origin',(0.,6.,0.)); #593256=CARTESIAN_POINT('',(0.,6.,0.)); #593257=CARTESIAN_POINT('',(0.,6.,0.)); #593258=CARTESIAN_POINT('',(0.,6.,1.5)); #593259=CARTESIAN_POINT('',(0.,6.,1.5)); #593260=CARTESIAN_POINT('',(0.,6.,0.)); #593261=CARTESIAN_POINT('Origin',(0.,11.,0.)); #593262=CARTESIAN_POINT('',(0.,11.,0.)); #593263=CARTESIAN_POINT('',(0.,11.,1.5)); #593264=CARTESIAN_POINT('Origin',(21.75,8.5,1.5)); #593265=CARTESIAN_POINT('Origin',(21.75,8.5,0.)); #593266=CARTESIAN_POINT('',(0.,0.,0.)); #593267=CARTESIAN_POINT('Origin',(39.75,8.5,0.803)); #593268=CARTESIAN_POINT('',(40.75,8.5,0.)); #593269=CARTESIAN_POINT('Origin',(39.75,8.5,0.)); #593270=CARTESIAN_POINT('',(40.75,8.5,0.0525)); #593271=CARTESIAN_POINT('',(40.75,8.5,0.803)); #593272=CARTESIAN_POINT('Origin',(39.75,8.5,0.0525)); #593273=CARTESIAN_POINT('Origin',(3.75,8.5,0.803)); #593274=CARTESIAN_POINT('',(4.75,8.5,0.)); #593275=CARTESIAN_POINT('Origin',(3.75,8.5,0.)); #593276=CARTESIAN_POINT('',(4.75,8.5,0.0525)); #593277=CARTESIAN_POINT('',(4.75,8.5,0.803)); #593278=CARTESIAN_POINT('Origin',(3.75,8.5,0.0525)); #593279=CARTESIAN_POINT('Origin',(1.,11.,0.)); #593280=CARTESIAN_POINT('',(1.,11.,0.)); #593281=CARTESIAN_POINT('',(0.,11.,0.)); #593282=CARTESIAN_POINT('',(1.,11.,0.)); #593283=CARTESIAN_POINT('',(0.,11.,0.0525)); #593284=CARTESIAN_POINT('',(0.,11.,0.)); #593285=CARTESIAN_POINT('',(1.,11.,0.0525)); #593286=CARTESIAN_POINT('',(1.,11.,0.0525)); #593287=CARTESIAN_POINT('',(1.,11.,0.)); #593288=CARTESIAN_POINT('Origin',(0.999999999999996,17.,0.)); #593289=CARTESIAN_POINT('',(0.999999999999996,17.,0.)); #593290=CARTESIAN_POINT('',(0.999999999999996,17.,0.)); #593291=CARTESIAN_POINT('',(0.999999999999996,17.,0.0525)); #593292=CARTESIAN_POINT('',(0.999999999999996,17.,0.0525)); #593293=CARTESIAN_POINT('',(0.999999999999996,17.,0.)); #593294=CARTESIAN_POINT('Origin',(42.5,17.,0.)); #593295=CARTESIAN_POINT('',(42.5,17.,0.)); #593296=CARTESIAN_POINT('',(42.5,17.,0.)); #593297=CARTESIAN_POINT('',(42.5,17.,0.0525)); #593298=CARTESIAN_POINT('',(42.5,17.,0.0525)); #593299=CARTESIAN_POINT('',(42.5,17.,0.)); #593300=CARTESIAN_POINT('Origin',(42.5,11.,0.)); #593301=CARTESIAN_POINT('',(42.5,11.,0.)); #593302=CARTESIAN_POINT('',(42.5,11.,0.)); #593303=CARTESIAN_POINT('',(42.5,11.,0.0525)); #593304=CARTESIAN_POINT('',(42.5,11.,0.0525)); #593305=CARTESIAN_POINT('',(42.5,11.,0.)); #593306=CARTESIAN_POINT('Origin',(43.5,11.,0.)); #593307=CARTESIAN_POINT('',(43.5,11.,0.)); #593308=CARTESIAN_POINT('',(43.5,11.,0.)); #593309=CARTESIAN_POINT('',(43.5,11.,0.0525)); #593310=CARTESIAN_POINT('',(43.5,11.,0.0525)); #593311=CARTESIAN_POINT('',(43.5,11.,0.)); #593312=CARTESIAN_POINT('Origin',(43.5,6.,0.)); #593313=CARTESIAN_POINT('',(43.5,6.,0.)); #593314=CARTESIAN_POINT('',(43.5,6.,0.)); #593315=CARTESIAN_POINT('',(43.5,6.,0.0525)); #593316=CARTESIAN_POINT('',(43.5,6.,0.0525)); #593317=CARTESIAN_POINT('',(43.5,6.,0.)); #593318=CARTESIAN_POINT('Origin',(42.5,6.,0.)); #593319=CARTESIAN_POINT('',(42.5,6.,0.)); #593320=CARTESIAN_POINT('',(42.5,6.,0.)); #593321=CARTESIAN_POINT('',(42.5,6.,0.0525)); #593322=CARTESIAN_POINT('',(42.5,6.,0.0525)); #593323=CARTESIAN_POINT('',(42.5,6.,0.)); #593324=CARTESIAN_POINT('Origin',(42.5,0.,0.)); #593325=CARTESIAN_POINT('',(42.5,0.,0.)); #593326=CARTESIAN_POINT('',(42.5,0.,0.)); #593327=CARTESIAN_POINT('',(42.5,0.,0.0525)); #593328=CARTESIAN_POINT('',(42.5,0.,0.0525)); #593329=CARTESIAN_POINT('',(42.5,0.,0.)); #593330=CARTESIAN_POINT('Origin',(1.,0.,0.)); #593331=CARTESIAN_POINT('',(1.,0.,0.)); #593332=CARTESIAN_POINT('',(1.,0.,0.)); #593333=CARTESIAN_POINT('',(1.,0.,0.0525)); #593334=CARTESIAN_POINT('',(1.,0.,0.0525)); #593335=CARTESIAN_POINT('',(1.,0.,0.)); #593336=CARTESIAN_POINT('Origin',(1.,6.,0.)); #593337=CARTESIAN_POINT('',(1.,6.,0.)); #593338=CARTESIAN_POINT('',(1.,6.,0.)); #593339=CARTESIAN_POINT('',(1.,6.,0.0525)); #593340=CARTESIAN_POINT('',(1.,6.,0.0525)); #593341=CARTESIAN_POINT('',(1.,6.,0.)); #593342=CARTESIAN_POINT('Origin',(0.,6.,0.)); #593343=CARTESIAN_POINT('',(0.,6.,0.)); #593344=CARTESIAN_POINT('',(0.,6.,0.)); #593345=CARTESIAN_POINT('',(0.,6.,0.0525)); #593346=CARTESIAN_POINT('',(0.,6.,0.0525)); #593347=CARTESIAN_POINT('',(0.,6.,0.)); #593348=CARTESIAN_POINT('Origin',(0.,11.,0.)); #593349=CARTESIAN_POINT('',(0.,11.,0.)); #593350=CARTESIAN_POINT('',(0.,11.,0.0525)); #593351=CARTESIAN_POINT('Origin',(21.75,8.5,0.0525)); #593352=CARTESIAN_POINT('Origin',(21.75,8.5,0.)); #593353=CARTESIAN_POINT('',(0.,0.,0.)); #593354=CARTESIAN_POINT('Origin',(39.75,8.5,0.697)); #593355=CARTESIAN_POINT('',(38.75,8.5,0.)); #593356=CARTESIAN_POINT('Origin',(39.75,8.5,0.)); #593357=CARTESIAN_POINT('',(38.75,8.5,-0.0525)); #593358=CARTESIAN_POINT('',(38.75,8.5,0.697)); #593359=CARTESIAN_POINT('Origin',(39.75,8.5,-0.0525)); #593360=CARTESIAN_POINT('Origin',(3.75,8.5,0.697)); #593361=CARTESIAN_POINT('',(2.75,8.5,0.)); #593362=CARTESIAN_POINT('Origin',(3.75,8.5,0.)); #593363=CARTESIAN_POINT('',(2.75,8.5,-0.0525)); #593364=CARTESIAN_POINT('',(2.75,8.5,0.697)); #593365=CARTESIAN_POINT('Origin',(3.75,8.5,-0.0525)); #593366=CARTESIAN_POINT('Origin',(1.,6.,0.)); #593367=CARTESIAN_POINT('',(0.,6.,0.)); #593368=CARTESIAN_POINT('',(1.,6.,0.)); #593369=CARTESIAN_POINT('',(0.,6.,0.)); #593370=CARTESIAN_POINT('',(0.,6.,-0.0525)); #593371=CARTESIAN_POINT('',(0.,6.,0.)); #593372=CARTESIAN_POINT('',(1.,6.,-0.0525)); #593373=CARTESIAN_POINT('',(0.,6.,-0.0525)); #593374=CARTESIAN_POINT('',(1.,6.,0.)); #593375=CARTESIAN_POINT('Origin',(1.,0.,0.)); #593376=CARTESIAN_POINT('',(1.,0.,0.)); #593377=CARTESIAN_POINT('',(1.,6.,0.)); #593378=CARTESIAN_POINT('',(1.,0.,-0.0525)); #593379=CARTESIAN_POINT('',(1.,6.,-0.0525)); #593380=CARTESIAN_POINT('',(1.,0.,0.)); #593381=CARTESIAN_POINT('Origin',(42.5,0.,0.)); #593382=CARTESIAN_POINT('',(42.5,0.,0.)); #593383=CARTESIAN_POINT('',(1.,0.,0.)); #593384=CARTESIAN_POINT('',(42.5,0.,-0.0525)); #593385=CARTESIAN_POINT('',(1.,0.,-0.0525)); #593386=CARTESIAN_POINT('',(42.5,0.,0.)); #593387=CARTESIAN_POINT('Origin',(42.5,6.,0.)); #593388=CARTESIAN_POINT('',(42.5,6.,0.)); #593389=CARTESIAN_POINT('',(42.5,0.,0.)); #593390=CARTESIAN_POINT('',(42.5,6.,-0.0525)); #593391=CARTESIAN_POINT('',(42.5,0.,-0.0525)); #593392=CARTESIAN_POINT('',(42.5,6.,0.)); #593393=CARTESIAN_POINT('Origin',(43.5,6.,0.)); #593394=CARTESIAN_POINT('',(43.5,6.,0.)); #593395=CARTESIAN_POINT('',(42.5,6.,0.)); #593396=CARTESIAN_POINT('',(43.5,6.,-0.0525)); #593397=CARTESIAN_POINT('',(42.5,6.,-0.0525)); #593398=CARTESIAN_POINT('',(43.5,6.,0.)); #593399=CARTESIAN_POINT('Origin',(43.5,11.,0.)); #593400=CARTESIAN_POINT('',(43.5,11.,0.)); #593401=CARTESIAN_POINT('',(43.5,6.,0.)); #593402=CARTESIAN_POINT('',(43.5,11.,-0.0525)); #593403=CARTESIAN_POINT('',(43.5,6.,-0.0525)); #593404=CARTESIAN_POINT('',(43.5,11.,0.)); #593405=CARTESIAN_POINT('Origin',(42.5,11.,0.)); #593406=CARTESIAN_POINT('',(42.5,11.,0.)); #593407=CARTESIAN_POINT('',(43.5,11.,0.)); #593408=CARTESIAN_POINT('',(42.5,11.,-0.0525)); #593409=CARTESIAN_POINT('',(43.5,11.,-0.0525)); #593410=CARTESIAN_POINT('',(42.5,11.,0.)); #593411=CARTESIAN_POINT('Origin',(42.5,17.,0.)); #593412=CARTESIAN_POINT('',(42.5,17.,0.)); #593413=CARTESIAN_POINT('',(42.5,11.,0.)); #593414=CARTESIAN_POINT('',(42.5,17.,-0.0525)); #593415=CARTESIAN_POINT('',(42.5,11.,-0.0525)); #593416=CARTESIAN_POINT('',(42.5,17.,0.)); #593417=CARTESIAN_POINT('Origin',(0.999999999999996,17.,0.)); #593418=CARTESIAN_POINT('',(0.999999999999996,17.,0.)); #593419=CARTESIAN_POINT('',(42.5,17.,0.)); #593420=CARTESIAN_POINT('',(0.999999999999996,17.,-0.0525)); #593421=CARTESIAN_POINT('',(42.5,17.,-0.0525)); #593422=CARTESIAN_POINT('',(0.999999999999996,17.,0.)); #593423=CARTESIAN_POINT('Origin',(1.,11.,0.)); #593424=CARTESIAN_POINT('',(1.,11.,0.)); #593425=CARTESIAN_POINT('',(0.999999999999996,17.,0.)); #593426=CARTESIAN_POINT('',(1.,11.,-0.0525)); #593427=CARTESIAN_POINT('',(0.999999999999996,17.,-0.0525)); #593428=CARTESIAN_POINT('',(1.,11.,0.)); #593429=CARTESIAN_POINT('Origin',(0.,11.,0.)); #593430=CARTESIAN_POINT('',(0.,11.,0.)); #593431=CARTESIAN_POINT('',(1.,11.,0.)); #593432=CARTESIAN_POINT('',(0.,11.,-0.0525)); #593433=CARTESIAN_POINT('',(1.,11.,-0.0525)); #593434=CARTESIAN_POINT('',(0.,11.,0.)); #593435=CARTESIAN_POINT('Origin',(0.,6.,0.)); #593436=CARTESIAN_POINT('',(0.,11.,0.)); #593437=CARTESIAN_POINT('',(0.,11.,-0.0525)); #593438=CARTESIAN_POINT('Origin',(21.75,8.5,0.)); #593439=CARTESIAN_POINT('Origin',(21.75,8.5,-0.0525)); #593440=CARTESIAN_POINT('Origin',(21.7499999999988,8.50000000000044,7.55250000000002)); #593441=CARTESIAN_POINT('',(6.09999999999878,18.5000000000004,7.55249999999999)); #593442=CARTESIAN_POINT('',(37.3999999999988,18.5000000000004,7.55250000000002)); #593443=CARTESIAN_POINT('',(29.5749999999988,18.5000000000004,7.55250000000002)); #593444=CARTESIAN_POINT('',(6.09999999999878,-1.49999999999956,7.55250000000002)); #593445=CARTESIAN_POINT('',(6.09999999999878,13.5000000000004,7.55250000000002)); #593446=CARTESIAN_POINT('',(37.3999999999988,-1.49999999999956,7.55250000000002)); #593447=CARTESIAN_POINT('',(13.9249999999988,-1.49999999999956,7.55250000000002)); #593448=CARTESIAN_POINT('',(37.3999999999988,3.50000000000044,7.55250000000002)); #593449=CARTESIAN_POINT('Origin',(6.09999999999878,18.5000000000004,1.55249999999999)); #593450=CARTESIAN_POINT('',(6.09999999999878,18.5000000000004,1.55249999999999)); #593451=CARTESIAN_POINT('',(6.09999999999878,18.5000000000004,1.55249999999999)); #593452=CARTESIAN_POINT('',(6.09999999999878,-1.49999999999957,1.55250000000001)); #593453=CARTESIAN_POINT('',(6.09999999999878,-1.49999999999957,1.55250000000001)); #593454=CARTESIAN_POINT('',(6.09999999999878,-1.49999999999957,1.55250000000001)); #593455=CARTESIAN_POINT('Origin',(37.3999999999988,18.5000000000004,1.55250000000001)); #593456=CARTESIAN_POINT('',(37.3999999999988,18.5000000000004,1.55250000000001)); #593457=CARTESIAN_POINT('',(37.3999999999988,18.5000000000004,1.55250000000001)); #593458=CARTESIAN_POINT('',(6.09999999999878,18.5000000000004,1.55249999999999)); #593459=CARTESIAN_POINT('Origin',(37.3999999999988,-1.49999999999956,1.55250000000001)); #593460=CARTESIAN_POINT('',(37.3999999999988,-1.49999999999956,1.55250000000001)); #593461=CARTESIAN_POINT('',(37.3999999999988,-1.49999999999956,1.55250000000001)); #593462=CARTESIAN_POINT('',(37.3999999999988,18.5000000000004,1.55250000000001)); #593463=CARTESIAN_POINT('Origin',(6.09999999999878,-1.49999999999957,1.55250000000001)); #593464=CARTESIAN_POINT('',(37.3999999999988,-1.49999999999957,1.55250000000001)); #593465=CARTESIAN_POINT('Origin',(21.7499999999988,8.50000000000044,1.55249994039536)); #593466=CARTESIAN_POINT('',(0.,0.,0.)); #593467=CARTESIAN_POINT('',(0.,0.,1.49999999999999)); #593468=CARTESIAN_POINT('',(0.,0.,0.)); #593469=CARTESIAN_POINT('Origin',(0.,0.,-3.5)); #593470=CARTESIAN_POINT('',(-28.,-3.42901103761259E-15,-2.25)); #593471=CARTESIAN_POINT('Origin',(0.,0.,-2.25)); #593472=CARTESIAN_POINT('',(-30.5,3.73517273739943E-15,-4.75)); #593473=CARTESIAN_POINT('',(-29.25,-3.58209188750601E-15,-3.5)); #593474=CARTESIAN_POINT('Origin',(0.,0.,-4.75)); #593475=CARTESIAN_POINT('Origin',(0.,0.,-4.75)); #593476=CARTESIAN_POINT('',(-4.71999999999999,-33.9232088694452,-4.75)); #593477=CARTESIAN_POINT('',(4.72,-33.9232088694452,-4.75)); #593478=CARTESIAN_POINT('Origin',(0.,0.,-4.75)); #593479=CARTESIAN_POINT('',(4.72,-34.3391646153845,-4.75)); #593480=CARTESIAN_POINT('',(4.72,-16.8353912933439,-4.75)); #593481=CARTESIAN_POINT('',(-4.72,-34.3391646153845,-4.75)); #593482=CARTESIAN_POINT('',(2.36,-34.3391646153845,-4.75)); #593483=CARTESIAN_POINT('',(-4.72,-17.0445823076923,-4.75)); #593484=CARTESIAN_POINT('Origin',(0.,0.,0.)); #593485=CARTESIAN_POINT('',(28.,-3.42901103761259E-15,0.)); #593486=CARTESIAN_POINT('',(-28.,-3.42901103761259E-15,0.)); #593487=CARTESIAN_POINT('Origin',(0.,0.,0.)); #593488=CARTESIAN_POINT('',(-28.,-3.42901103761259E-15,0.)); #593489=CARTESIAN_POINT('Origin',(0.,0.,0.)); #593490=CARTESIAN_POINT('Origin',(-4.72,-34.0891646153845,0.)); #593491=CARTESIAN_POINT('',(-4.72,-34.3391646153845,0.)); #593492=CARTESIAN_POINT('',(-4.72,-34.3391646153845,0.)); #593493=CARTESIAN_POINT('',(-4.71999999999999,-33.9232088694452,0.)); #593494=CARTESIAN_POINT('',(-4.72,-34.9671474024472,0.)); #593495=CARTESIAN_POINT('',(-4.72,-33.9232088694451,0.)); #593496=CARTESIAN_POINT('Origin',(4.72,-34.3391646153845,0.)); #593497=CARTESIAN_POINT('',(4.72,-34.3391646153845,0.)); #593498=CARTESIAN_POINT('',(4.72,-34.3391646153845,0.)); #593499=CARTESIAN_POINT('',(2.36,-34.3391646153845,0.)); #593500=CARTESIAN_POINT('Origin',(4.72,-33.6707825866878,0.)); #593501=CARTESIAN_POINT('',(4.72,-33.9232088694452,0.)); #593502=CARTESIAN_POINT('',(4.72,-33.9232088694451,0.)); #593503=CARTESIAN_POINT('',(4.72,-34.9671474024472,0.)); #593504=CARTESIAN_POINT('Origin',(0.,0.,0.)); #593505=CARTESIAN_POINT('Origin',(0.,0.,0.)); #593506=CARTESIAN_POINT('Origin',(0.,0.,14.75)); #593507=CARTESIAN_POINT('',(28.6,-3.50248984556143E-15,15.)); #593508=CARTESIAN_POINT('Origin',(0.,0.,15.)); #593509=CARTESIAN_POINT('',(29.1,3.5637221855188E-15,14.5)); #593510=CARTESIAN_POINT('',(28.85,3.53310601554011E-15,14.75)); #593511=CARTESIAN_POINT('Origin',(0.,0.,14.5)); #593512=CARTESIAN_POINT('Origin',(0.,0.,15.)); #593513=CARTESIAN_POINT('',(27.,3.30654635769785E-15,15.)); #593514=CARTESIAN_POINT('Origin',(0.,0.,15.)); #593515=CARTESIAN_POINT('Origin',(0.,0.,0.)); #593516=CARTESIAN_POINT('',(29.1,-3.5637221855188E-15,2.5)); #593517=CARTESIAN_POINT('',(29.1,3.5637221855188E-15,0.)); #593518=CARTESIAN_POINT('Origin',(0.,0.,2.5)); #593519=CARTESIAN_POINT('',(14.7722761232297,25.0716943611527,4.75)); #593520=CARTESIAN_POINT('',(-10.1941980189573,27.2559778168072,4.75)); #593521=CARTESIAN_POINT('Origin',(0.,0.,4.75)); #593522=CARTESIAN_POINT('',(14.7722761232297,25.0716943611527,10.25)); #593523=CARTESIAN_POINT('',(14.7722761232297,25.0716943611527,0.)); #593524=CARTESIAN_POINT('',(-10.1941980189573,27.2559778168072,10.25)); #593525=CARTESIAN_POINT('Origin',(0.,0.,10.25)); #593526=CARTESIAN_POINT('',(-10.1941980189573,27.2559778168072,0.)); #593527=CARTESIAN_POINT('',(14.3265861710625,-25.3290135750116,10.25)); #593528=CARTESIAN_POINT('',(14.3265861710625,-25.3290135750116,4.75)); #593529=CARTESIAN_POINT('',(14.3265861710625,-25.3290135750116,0.)); #593530=CARTESIAN_POINT('',(28.7014682038188,-4.79955445277756,10.25)); #593531=CARTESIAN_POINT('Origin',(0.,0.,10.25)); #593532=CARTESIAN_POINT('',(28.7014682038188,-4.79955445277756,4.75000000000001)); #593533=CARTESIAN_POINT('',(28.7014682038188,-4.79955445277756,0.)); #593534=CARTESIAN_POINT('Origin',(0.,0.,4.75)); #593535=CARTESIAN_POINT('',(-29.0988622942922,0.257319213858891,10.25)); #593536=CARTESIAN_POINT('',(-29.0988622942922,0.257319213858891,4.75)); #593537=CARTESIAN_POINT('',(-29.0988622942922,0.257319213858891,0.)); #593538=CARTESIAN_POINT('',(-18.5072701848615,-22.4564233640296,10.25)); #593539=CARTESIAN_POINT('Origin',(0.,0.,10.25)); #593540=CARTESIAN_POINT('',(-18.5072701848615,-22.4564233640296,4.75)); #593541=CARTESIAN_POINT('',(-18.5072701848615,-22.4564233640296,0.)); #593542=CARTESIAN_POINT('Origin',(0.,0.,4.75)); #593543=CARTESIAN_POINT('Origin',(0.,0.,14.75)); #593544=CARTESIAN_POINT('',(26.5,-3.24531401774049E-15,14.5)); #593545=CARTESIAN_POINT('',(26.75,3.27593018771917E-15,14.75)); #593546=CARTESIAN_POINT('Origin',(0.,0.,14.5)); #593547=CARTESIAN_POINT('Origin',(0.,0.,0.)); #593548=CARTESIAN_POINT('',(26.5,3.24531401774049E-15,1.5)); #593549=CARTESIAN_POINT('',(26.5,3.24531401774049E-15,0.)); #593550=CARTESIAN_POINT('Origin',(0.,0.,1.5)); #593551=CARTESIAN_POINT('Origin',(0.,0.,0.75)); #593552=CARTESIAN_POINT('',(27.25,3.33716252767654E-15,0.75)); #593553=CARTESIAN_POINT('Origin',(-9.58400896476488,28.5240533978666,5.)); #593554=CARTESIAN_POINT('',(-9.12972672292138,29.4681283756667,6.5)); #593555=CARTESIAN_POINT('Ctrl Pts',(-10.1941980189573,27.2559778168072, 4.75)); #593556=CARTESIAN_POINT('Ctrl Pts',(-9.67749891820397,28.3297655354148, 5.57473543386429)); #593557=CARTESIAN_POINT('Ctrl Pts',(-9.12972672292138,29.4681283756667, 6.5)); #593558=CARTESIAN_POINT('',(-9.12972672292138,29.4681283756667,8.5)); #593559=CARTESIAN_POINT('Ctrl Pts',(-9.12972672292138,29.4681283756667, 8.5)); #593560=CARTESIAN_POINT('Ctrl Pts',(-9.67749891820398,28.3297655354148, 9.42526456613572)); #593561=CARTESIAN_POINT('Ctrl Pts',(-10.1941980189573,27.2559778168072, 10.25)); #593562=CARTESIAN_POINT('',(-9.12972672292138,29.4681283756667,5.)); #593563=CARTESIAN_POINT('Origin',(-19.9105503790739,-22.5620219325175,5.)); #593564=CARTESIAN_POINT('',(-20.9552844138478,-22.6406394594929,6.5)); #593565=CARTESIAN_POINT('Ctrl Pts',(-18.5072701848615,-22.4564233640296, 4.75)); #593566=CARTESIAN_POINT('Ctrl Pts',(-19.6955471778244,-22.5458426759685, 5.57473543386445)); #593567=CARTESIAN_POINT('Ctrl Pts',(-20.9552844138478,-22.6406394594929, 6.5)); #593568=CARTESIAN_POINT('',(-20.9552844138478,-22.6406394594929,8.5)); #593569=CARTESIAN_POINT('Ctrl Pts',(-20.9552844138478,-22.6406394594929, 8.5)); #593570=CARTESIAN_POINT('Ctrl Pts',(-19.6955471778244,-22.5458426759685, 9.42526456613553)); #593571=CARTESIAN_POINT('Ctrl Pts',(-18.5072701848615,-22.4564233640296, 10.25)); #593572=CARTESIAN_POINT('',(-20.9552844138478,-22.6406394594929,5.)); #593573=CARTESIAN_POINT('Origin',(15.6902103869891,-25.6766849124465,5.)); #593574=CARTESIAN_POINT('',(16.7054207739782,-25.9355242238197,6.5)); #593575=CARTESIAN_POINT('Ctrl Pts',(16.7054207739782,-25.9355242238197, 6.5)); #593576=CARTESIAN_POINT('Ctrl Pts',(15.4812830658913,-25.6234165410694, 5.57473543386404)); #593577=CARTESIAN_POINT('Ctrl Pts',(14.3265861710625,-25.3290135750116, 4.75)); #593578=CARTESIAN_POINT('',(16.7054207739782,-25.9355242238197,8.5)); #593579=CARTESIAN_POINT('',(16.7054207739782,-25.9355242238197,5.)); #593580=CARTESIAN_POINT('Ctrl Pts',(14.3265861710625,-25.3290135750116, 10.25)); #593581=CARTESIAN_POINT('Ctrl Pts',(15.4812830658913,-25.6234165410694, 9.42526456613596)); #593582=CARTESIAN_POINT('Ctrl Pts',(16.7054207739782,-25.9355242238197, 8.5)); #593583=CARTESIAN_POINT('Origin',(14.3915562256527,26.4264632420783,5.)); #593584=CARTESIAN_POINT('',(14.1081124513054,27.4350808830833,6.5)); #593585=CARTESIAN_POINT('Ctrl Pts',(14.1081124513054,27.4350808830833,6.5)); #593586=CARTESIAN_POINT('Ctrl Pts',(14.4498881233706,26.2188926887754,5.57473543386475)); #593587=CARTESIAN_POINT('Ctrl Pts',(14.7722761232297,25.0716943611527,4.75)); #593588=CARTESIAN_POINT('',(14.1081124513054,27.4350808830833,8.5)); #593589=CARTESIAN_POINT('',(14.1081124513054,27.4350808830833,5.)); #593590=CARTESIAN_POINT('Ctrl Pts',(14.7722761232297,25.0716943611527,10.25)); #593591=CARTESIAN_POINT('Ctrl Pts',(14.4498881233706,26.2188926887754,9.42526456613525)); #593592=CARTESIAN_POINT('Ctrl Pts',(14.1081124513054,27.4350808830833,8.5)); #593593=CARTESIAN_POINT('Origin',(-30.0817666126418,-0.749778329631792, 5.)); #593594=CARTESIAN_POINT('',(-30.8135332252836,-1.49955665926359,6.5)); #593595=CARTESIAN_POINT('Ctrl Pts',(-30.8135332252836,-1.49955665926358, 6.5)); #593596=CARTESIAN_POINT('Ctrl Pts',(-29.9311711892622,-0.595476147705055, 5.57473543386407)); #593597=CARTESIAN_POINT('Ctrl Pts',(-29.0988622942922,0.257319213858892, 4.75)); #593598=CARTESIAN_POINT('',(-30.8135332252836,-1.49955665926359,8.5)); #593599=CARTESIAN_POINT('',(-30.8135332252836,-1.49955665926359,5.)); #593600=CARTESIAN_POINT('Ctrl Pts',(-29.0988622942922,0.257319213858892, 10.25)); #593601=CARTESIAN_POINT('Ctrl Pts',(-29.9311711892622,-0.595476147705055, 9.42526456613592)); #593602=CARTESIAN_POINT('Ctrl Pts',(-30.8135332252836,-1.49955665926358, 8.5)); #593603=CARTESIAN_POINT('Origin',(29.4945593438387,-5.9620314653491,5.)); #593604=CARTESIAN_POINT('',(30.0850111367692,-6.82748891617378,6.5)); #593605=CARTESIAN_POINT('Ctrl Pts',(30.0850111367692,-6.82748891617378, 6.5)); #593606=CARTESIAN_POINT('Ctrl Pts',(29.3730461750986,-5.78392297534423, 5.57473553349054)); #593607=CARTESIAN_POINT('Ctrl Pts',(28.7014682038188,-4.79955445277756, 4.75000000000001)); #593608=CARTESIAN_POINT('',(30.0850111367692,-6.82748891617378,8.5)); #593609=CARTESIAN_POINT('Ctrl Pts',(28.7014682038188,-4.79955445277756, 10.25)); #593610=CARTESIAN_POINT('Ctrl Pts',(29.3730460960282,-5.78392285944644, 9.42526456613562)); #593611=CARTESIAN_POINT('Ctrl Pts',(30.0850111367692,-6.82748891617378, 8.49999999999999)); #593612=CARTESIAN_POINT('',(30.0850111367692,-6.82748891617378,5.)); #593613=CARTESIAN_POINT('Origin',(0.,0.,5.75)); #593614=CARTESIAN_POINT('Origin',(0.,0.,6.5)); #593615=CARTESIAN_POINT('Origin',(0.,0.,9.25)); #593616=CARTESIAN_POINT('Origin',(0.,0.,8.5)); #593617=CARTESIAN_POINT('Origin',(0.,0.,5.)); #593618=CARTESIAN_POINT('Origin',(0.,0.,5.75)); #593619=CARTESIAN_POINT('Origin',(0.,0.,6.5)); #593620=CARTESIAN_POINT('Origin',(0.,0.,9.25)); #593621=CARTESIAN_POINT('Origin',(0.,0.,8.5)); #593622=CARTESIAN_POINT('Origin',(0.,0.,5.)); #593623=CARTESIAN_POINT('Origin',(0.,0.,5.75)); #593624=CARTESIAN_POINT('Origin',(0.,0.,6.5)); #593625=CARTESIAN_POINT('Origin',(0.,0.,9.25)); #593626=CARTESIAN_POINT('Origin',(0.,0.,8.5)); #593627=CARTESIAN_POINT('Origin',(0.,0.,5.)); #593628=CARTESIAN_POINT('Origin',(0.,0.,1.25)); #593629=CARTESIAN_POINT('',(31.6,3.86988388530564E-15,0.)); #593630=CARTESIAN_POINT('Origin',(0.,0.,0.)); #593631=CARTESIAN_POINT('',(30.35,-3.71680303541222E-15,1.25)); #593632=CARTESIAN_POINT('Origin',(0.,0.,0.)); #593633=CARTESIAN_POINT('Origin',(-7.30433253796581,34.219364473282,0.)); #593634=CARTESIAN_POINT('',(-7.10866507593162,34.0607679067277,-7.)); #593635=CARTESIAN_POINT('',(-7.10866507593162,34.0607679067277,-8.7)); #593636=CARTESIAN_POINT('',(-7.10866507593162,34.0607679067277,0.)); #593637=CARTESIAN_POINT('',(-7.5,34.3779610398363,-9.09096058495001)); #593638=CARTESIAN_POINT('Ctrl Pts',(-7.10866507593162,34.0607679067277, -8.7)); #593639=CARTESIAN_POINT('Ctrl Pts',(-7.23928360596305,34.1666396248764, -8.83001632453604)); #593640=CARTESIAN_POINT('Ctrl Pts',(-7.36973830881302,34.2723785543221, -8.96035275815181)); #593641=CARTESIAN_POINT('Ctrl Pts',(-7.5,34.3779610398363,-9.09096058495001)); #593642=CARTESIAN_POINT('',(-7.5,34.3779610398363,-6.60903941504999)); #593643=CARTESIAN_POINT('',(-7.5,34.3779610398363,0.)); #593644=CARTESIAN_POINT('Ctrl Pts',(-7.10866507593162,34.0607679067277, -7.)); #593645=CARTESIAN_POINT('Ctrl Pts',(-7.23928360596323,34.1666396248765, -6.86998367546378)); #593646=CARTESIAN_POINT('Ctrl Pts',(-7.36973830881338,34.2723785543224, -6.73964724184783)); #593647=CARTESIAN_POINT('Ctrl Pts',(-7.5,34.3779610398363,-6.60903941504999)); #593648=CARTESIAN_POINT('Origin',(0.,-0.499999999999998,-8.9)); #593649=CARTESIAN_POINT('',(7.5,34.3872070315529,-9.1)); #593650=CARTESIAN_POINT('',(-7.5,34.3872070315529,-9.1)); #593651=CARTESIAN_POINT('Origin',(0.,-0.499999999999998,-9.1)); #593652=CARTESIAN_POINT('Ctrl Pts',(-7.5,34.3779610398363,-9.09096058495001)); #593653=CARTESIAN_POINT('Ctrl Pts',(-7.5,34.3825834500798,-9.09547969347726)); #593654=CARTESIAN_POINT('Ctrl Pts',(-7.5,34.3872070315529,-9.1)); #593655=CARTESIAN_POINT('',(7.10866507593162,34.0607679067277,-8.7)); #593656=CARTESIAN_POINT('Origin',(0.,-0.499999999999998,-8.7)); #593657=CARTESIAN_POINT('',(7.5,34.3779610398363,-9.09096058495001)); #593658=CARTESIAN_POINT('Ctrl Pts',(7.10866507593162,34.0607679067277,-8.7)); #593659=CARTESIAN_POINT('Ctrl Pts',(7.23928360596333,34.1666396248766,-8.83001632453632)); #593660=CARTESIAN_POINT('Ctrl Pts',(7.36973830881358,34.2723785543226,-8.96035275815238)); #593661=CARTESIAN_POINT('Ctrl Pts',(7.49999999999999,34.3779610398363,-9.09096058495001)); #593662=CARTESIAN_POINT('Ctrl Pts',(7.5,34.3872070315529,-9.1)); #593663=CARTESIAN_POINT('Ctrl Pts',(7.5,34.3825834500798,-9.09547969347726)); #593664=CARTESIAN_POINT('Ctrl Pts',(7.5,34.3779610398363,-9.09096058495001)); #593665=CARTESIAN_POINT('Origin',(7.30433253796581,34.219364473282,0.)); #593666=CARTESIAN_POINT('',(7.5,34.3779610398363,-6.60903941504999)); #593667=CARTESIAN_POINT('',(7.5,34.3779610398363,0.)); #593668=CARTESIAN_POINT('',(7.10866507593162,34.0607679067277,-7.)); #593669=CARTESIAN_POINT('',(7.10866507593162,34.0607679067277,0.)); #593670=CARTESIAN_POINT('Ctrl Pts',(7.10866507593162,34.0607679067277,-7.)); #593671=CARTESIAN_POINT('Ctrl Pts',(7.23928360596296,34.1666396248763,-6.86998367546405)); #593672=CARTESIAN_POINT('Ctrl Pts',(7.36973830881283,34.272378554322,-6.73964724184838)); #593673=CARTESIAN_POINT('Ctrl Pts',(7.5,34.3779610398363,-6.60903941504999)); #593674=CARTESIAN_POINT('Origin',(0.,-0.499999999999998,-6.8)); #593675=CARTESIAN_POINT('',(-7.5,34.3872070315529,-6.6)); #593676=CARTESIAN_POINT('',(7.5,34.3872070315529,-6.6)); #593677=CARTESIAN_POINT('Origin',(0.,-0.499999999999998,-6.6)); #593678=CARTESIAN_POINT('Ctrl Pts',(7.5,34.3779610398363,-6.60903941504999)); #593679=CARTESIAN_POINT('Ctrl Pts',(7.5,34.3825834500798,-6.60452030652273)); #593680=CARTESIAN_POINT('Ctrl Pts',(7.5,34.3872070315529,-6.6)); #593681=CARTESIAN_POINT('Origin',(0.,-0.499999999999998,-7.)); #593682=CARTESIAN_POINT('Ctrl Pts',(-7.5,34.3872070315529,-6.6)); #593683=CARTESIAN_POINT('Ctrl Pts',(-7.5,34.3825834500798,-6.60452030652274)); #593684=CARTESIAN_POINT('Ctrl Pts',(-7.5,34.3779610398363,-6.60903941504999)); #593685=CARTESIAN_POINT('Origin',(7.5,34.4779610398363,-9.1)); #593686=CARTESIAN_POINT('',(7.5,34.4779610398363,-6.6)); #593687=CARTESIAN_POINT('',(7.5,34.4779610398363,-6.6)); #593688=CARTESIAN_POINT('',(7.5,34.4779610398363,-9.1)); #593689=CARTESIAN_POINT('',(7.5,34.4779610398363,0.)); #593690=CARTESIAN_POINT('',(7.5,34.4779610398363,-9.1)); #593691=CARTESIAN_POINT('Origin',(7.5,34.4779610398363,-6.6)); #593692=CARTESIAN_POINT('',(-7.5,34.4779610398363,-6.6)); #593693=CARTESIAN_POINT('',(-7.5,34.4779610398363,-6.6)); #593694=CARTESIAN_POINT('Origin',(0.,0.,-6.6)); #593695=CARTESIAN_POINT('Origin',(0.,-0.499999999999998,0.)); #593696=CARTESIAN_POINT('Origin',(-7.5,34.4779610398363,-9.1)); #593697=CARTESIAN_POINT('',(-7.5,34.4779610398363,-9.1)); #593698=CARTESIAN_POINT('Origin',(0.,0.,-9.1)); #593699=CARTESIAN_POINT('',(-7.5,34.4779610398363,-9.1)); #593700=CARTESIAN_POINT('Origin',(-7.5,34.4779610398363,-6.6)); #593701=CARTESIAN_POINT('',(-7.5,34.4779610398363,0.)); #593702=CARTESIAN_POINT('Origin',(4.72,-34.0891646153845,0.)); #593703=CARTESIAN_POINT('',(-3.19,-34.0891646153845,-10.21023)); #593704=CARTESIAN_POINT('',(-4.72,-34.0891646153845,-8.68023000000001)); #593705=CARTESIAN_POINT('Origin',(-3.19,-34.0891646153845,-8.68023000000001)); #593706=CARTESIAN_POINT('',(-4.72,-34.0891646153845,-10.21023)); #593707=CARTESIAN_POINT('',(2.36000000000001,-34.0891646153845,-10.21023)); #593708=CARTESIAN_POINT('',(-4.72,-34.0891646153845,0.)); #593709=CARTESIAN_POINT('Origin',(4.72,-34.3391646153845,0.)); #593710=CARTESIAN_POINT('',(3.19,-34.3391646153845,-6.55023)); #593711=CARTESIAN_POINT('',(4.72,-34.3391646153845,-8.08023)); #593712=CARTESIAN_POINT('Origin',(3.19,-34.3391646153845,-8.08023)); #593713=CARTESIAN_POINT('',(-3.19,-34.3391646153845,-6.55023)); #593714=CARTESIAN_POINT('',(3.95500000000001,-34.3391646153845,-6.55023)); #593715=CARTESIAN_POINT('',(-4.72,-34.3391646153845,-8.08023000000001)); #593716=CARTESIAN_POINT('Origin',(-3.19,-34.3391646153845,-8.08023)); #593717=CARTESIAN_POINT('',(-4.72,-34.3391646153845,0.)); #593718=CARTESIAN_POINT('',(-4.72,-34.3391646153845,-4.040115)); #593719=CARTESIAN_POINT('',(4.72,-34.3391646153845,0.)); #593720=CARTESIAN_POINT('',(2.36,-34.3391646153845,0.)); #593721=CARTESIAN_POINT('',(4.72,-34.3391646153845,-4.340115)); #593722=CARTESIAN_POINT('Origin',(0.,0.,0.)); #593723=CARTESIAN_POINT('',(4.72,-33.6707825866878,-8.08023)); #593724=CARTESIAN_POINT('',(3.57706478411244,-33.81130887041,-6.6)); #593725=CARTESIAN_POINT('Ctrl Pts',(4.72,-33.6707825866878,-8.08023)); #593726=CARTESIAN_POINT('Ctrl Pts',(4.72,-33.6707825866878,-7.88810308660226)); #593727=CARTESIAN_POINT('Ctrl Pts',(4.68159357169547,-33.6762358892441, -7.68331486317009)); #593728=CARTESIAN_POINT('Ctrl Pts',(4.52578998975574,-33.6975282029626, -7.30688781622238)); #593729=CARTESIAN_POINT('Ctrl Pts',(4.40840493998704,-33.7132692224772, -7.13523844662714)); #593730=CARTESIAN_POINT('Ctrl Pts',(4.13726205178507,-33.7476200128736, -6.86339640371185)); #593731=CARTESIAN_POINT('Ctrl Pts',(3.96505905430126,-33.7685408953815, -6.7453457553292)); #593732=CARTESIAN_POINT('Ctrl Pts',(3.7114639214966,-33.7968830107251,-6.6401210481162)); #593733=CARTESIAN_POINT('Ctrl Pts',(3.64466159210861,-33.8041574713817, -6.61767586381422)); #593734=CARTESIAN_POINT('Ctrl Pts',(3.57706478411244,-33.81130887041,-6.6)); #593735=CARTESIAN_POINT('',(4.72,-33.6707825866878,-6.6)); #593736=CARTESIAN_POINT('',(4.72,-33.6707825866878,0.)); #593737=CARTESIAN_POINT('Origin',(0.,0.,-6.6)); #593738=CARTESIAN_POINT('Origin',(0.,0.,0.)); #593739=CARTESIAN_POINT('',(-3.57706478411242,-33.81130887041,-6.6)); #593740=CARTESIAN_POINT('',(-4.72,-33.6707825866878,-8.08023000000001)); #593741=CARTESIAN_POINT('Ctrl Pts',(-3.57706478411242,-33.81130887041,-6.6)); #593742=CARTESIAN_POINT('Ctrl Pts',(-3.64466159210859,-33.8041574713817, -6.61767586381423)); #593743=CARTESIAN_POINT('Ctrl Pts',(-3.71146392149659,-33.7968830107251, -6.6401210481162)); #593744=CARTESIAN_POINT('Ctrl Pts',(-3.96505905430125,-33.7685408953815, -6.7453457553292)); #593745=CARTESIAN_POINT('Ctrl Pts',(-4.13726205178506,-33.7476200128736, -6.86339640371186)); #593746=CARTESIAN_POINT('Ctrl Pts',(-4.40840493998703,-33.7132692224772, -7.13523844662714)); #593747=CARTESIAN_POINT('Ctrl Pts',(-4.52578998975573,-33.6975282029626, -7.30688781622238)); #593748=CARTESIAN_POINT('Ctrl Pts',(-4.68159357169546,-33.6762358892441, -7.68331486317009)); #593749=CARTESIAN_POINT('Ctrl Pts',(-4.72,-33.6707825866878,-7.88810308660227)); #593750=CARTESIAN_POINT('Ctrl Pts',(-4.72,-33.6707825866878,-8.08023)); #593751=CARTESIAN_POINT('',(-4.72,-33.6707825866878,-6.6)); #593752=CARTESIAN_POINT('Origin',(0.,0.,-6.6)); #593753=CARTESIAN_POINT('',(-4.72,-33.6707825866878,0.)); #593754=CARTESIAN_POINT('Origin',(0.,0.,0.)); #593755=CARTESIAN_POINT('',(-4.72,-33.6707825866878,-8.68023000000001)); #593756=CARTESIAN_POINT('',(-3.19,-33.8500206794619,-10.21023)); #593757=CARTESIAN_POINT('Ctrl Pts',(-4.72,-33.6707825866878,-8.68023000000001)); #593758=CARTESIAN_POINT('Ctrl Pts',(-4.72,-33.6707825866878,-8.87235691339774)); #593759=CARTESIAN_POINT('Ctrl Pts',(-4.68159357169546,-33.6762358892441, -9.07714513682992)); #593760=CARTESIAN_POINT('Ctrl Pts',(-4.52578998975573,-33.6975282029626, -9.45357218377763)); #593761=CARTESIAN_POINT('Ctrl Pts',(-4.40840493998703,-33.7132692224772, -9.62522155337287)); #593762=CARTESIAN_POINT('Ctrl Pts',(-4.13726205178506,-33.7476200128736, -9.89706359628815)); #593763=CARTESIAN_POINT('Ctrl Pts',(-3.96505905430125,-33.7685408953815, -10.0151142446708)); #593764=CARTESIAN_POINT('Ctrl Pts',(-3.5876849329814,-33.8107167082839, -10.1716988012689)); #593765=CARTESIAN_POINT('Ctrl Pts',(-3.38250238219211,-33.8318794025331, -10.21023)); #593766=CARTESIAN_POINT('Ctrl Pts',(-3.19,-33.8500206794619,-10.21023)); #593767=CARTESIAN_POINT('',(-4.72,-33.6707825866878,-10.21023)); #593768=CARTESIAN_POINT('',(-4.72,-33.6707825866878,0.)); #593769=CARTESIAN_POINT('Origin',(0.,0.,-10.21023)); #593770=CARTESIAN_POINT('Origin',(0.,0.,0.)); #593771=CARTESIAN_POINT('',(3.19000000000001,-33.8500206794619,-10.21023)); #593772=CARTESIAN_POINT('',(4.72,-33.6707825866878,-8.68023)); #593773=CARTESIAN_POINT('Ctrl Pts',(3.19,-33.8500206794619,-10.21023)); #593774=CARTESIAN_POINT('Ctrl Pts',(3.38250238219211,-33.8318794025331, -10.21023)); #593775=CARTESIAN_POINT('Ctrl Pts',(3.58768493298141,-33.8107167082839, -10.1716988012689)); #593776=CARTESIAN_POINT('Ctrl Pts',(3.96505905430126,-33.7685408953815, -10.0151142446708)); #593777=CARTESIAN_POINT('Ctrl Pts',(4.13726205178506,-33.7476200128736, -9.89706359628815)); #593778=CARTESIAN_POINT('Ctrl Pts',(4.40840493998704,-33.7132692224772, -9.62522155337286)); #593779=CARTESIAN_POINT('Ctrl Pts',(4.52578998975574,-33.6975282029626, -9.45357218377763)); #593780=CARTESIAN_POINT('Ctrl Pts',(4.68159357169547,-33.6762358892441, -9.07714513682992)); #593781=CARTESIAN_POINT('Ctrl Pts',(4.72,-33.6707825866878,-8.87235691339774)); #593782=CARTESIAN_POINT('Ctrl Pts',(4.72,-33.6707825866878,-8.68023)); #593783=CARTESIAN_POINT('',(4.72,-33.6707825866878,-10.21023)); #593784=CARTESIAN_POINT('Origin',(0.,0.,-10.21023)); #593785=CARTESIAN_POINT('',(4.72,-33.6707825866878,0.)); #593786=CARTESIAN_POINT('Origin',(4.72,-34.0891646153845,0.)); #593787=CARTESIAN_POINT('',(4.72,-34.0891646153845,-8.68023)); #593788=CARTESIAN_POINT('',(3.19000000000001,-34.0891646153845,-10.21023)); #593789=CARTESIAN_POINT('Origin',(3.19,-34.0891646153845,-8.68023)); #593790=CARTESIAN_POINT('',(4.72,-34.0891646153845,-10.21023)); #593791=CARTESIAN_POINT('',(4.72,-34.0891646153845,0.)); #593792=CARTESIAN_POINT('',(2.36000000000001,-34.0891646153845,-10.21023)); #593793=CARTESIAN_POINT('Origin',(3.19,0.,-8.68023)); #593794=CARTESIAN_POINT('',(4.72,-32.6607042328159,-8.68023)); #593795=CARTESIAN_POINT('',(4.72,0.,-8.68023)); #593796=CARTESIAN_POINT('',(3.19000000000001,-32.8454547994616,-10.21023)); #593797=CARTESIAN_POINT('Ctrl Pts',(3.19,-32.8454547994616,-10.21023)); #593798=CARTESIAN_POINT('Ctrl Pts',(3.3825268504127,-32.8267563020115,-10.21023)); #593799=CARTESIAN_POINT('Ctrl Pts',(3.58772604612706,-32.8049432698458, -10.171690179174)); #593800=CARTESIAN_POINT('Ctrl Pts',(3.96512576231016,-32.7614691186149, -10.0150781259204)); #593801=CARTESIAN_POINT('Ctrl Pts',(4.13733842004438,-32.7399029765916, -9.89700879140725)); #593802=CARTESIAN_POINT('Ctrl Pts',(4.40844767571539,-32.7044974316585, -9.62515708016694)); #593803=CARTESIAN_POINT('Ctrl Pts',(4.52581012925083,-32.6882736026602, -9.45353230472788)); #593804=CARTESIAN_POINT('Ctrl Pts',(4.68159272142762,-32.6663263630593, -9.07713841132946)); #593805=CARTESIAN_POINT('Ctrl Pts',(4.72,-32.6607042328159,-8.87235807224775)); #593806=CARTESIAN_POINT('Ctrl Pts',(4.72,-32.6607042328159,-8.68023)); #593807=CARTESIAN_POINT('',(3.19000000000001,0.,-10.21023)); #593808=CARTESIAN_POINT('Origin',(-3.19,0.,-10.21023)); #593809=CARTESIAN_POINT('',(-3.19,-35.1397737252884,-10.2102300000001)); #593810=CARTESIAN_POINT('',(-3.19,0.,-10.21023)); #593811=CARTESIAN_POINT('',(3.19000000000001,-35.1397737252884,-10.2102300000001)); #593812=CARTESIAN_POINT('Origin',(0.,0.,-10.21023)); #593813=CARTESIAN_POINT('',(3.19000000000001,0.,-10.21023)); #593814=CARTESIAN_POINT('',(4.72,-33.775378093862,-10.21023)); #593815=CARTESIAN_POINT('',(-3.19,-32.8454547994616,-10.21023)); #593816=CARTESIAN_POINT('Origin',(0.,0.,-10.21023)); #593817=CARTESIAN_POINT('',(-3.19,0.,-10.21023)); #593818=CARTESIAN_POINT('',(-4.72,-33.9845691082104,-10.21023)); #593819=CARTESIAN_POINT('Origin',(-3.19,0.,-8.68023000000001)); #593820=CARTESIAN_POINT('',(-4.72,-32.6607042328159,-8.68023000000001)); #593821=CARTESIAN_POINT('Ctrl Pts',(-4.72,-32.6607042328159,-8.68023000000001)); #593822=CARTESIAN_POINT('Ctrl Pts',(-4.72,-32.6607042328159,-8.87235807224775)); #593823=CARTESIAN_POINT('Ctrl Pts',(-4.68159272142761,-32.6663263630593, -9.07713841132947)); #593824=CARTESIAN_POINT('Ctrl Pts',(-4.52581012925083,-32.6882736026602, -9.45353230472788)); #593825=CARTESIAN_POINT('Ctrl Pts',(-4.40844767571538,-32.7044974316585, -9.62515708016695)); #593826=CARTESIAN_POINT('Ctrl Pts',(-4.13733842004438,-32.7399029765916, -9.89700879140725)); #593827=CARTESIAN_POINT('Ctrl Pts',(-3.96512576231016,-32.7614691186149, -10.0150781259204)); #593828=CARTESIAN_POINT('Ctrl Pts',(-3.58772604612706,-32.8049432698458, -10.171690179174)); #593829=CARTESIAN_POINT('Ctrl Pts',(-3.38252685041269,-32.8267563020115, -10.21023)); #593830=CARTESIAN_POINT('Ctrl Pts',(-3.19,-32.8454547994616,-10.21023)); #593831=CARTESIAN_POINT('',(-4.72,0.,-8.68023000000001)); #593832=CARTESIAN_POINT('Origin',(-3.19,0.,-8.68023000000001)); #593833=CARTESIAN_POINT('',(-4.72,-34.9671474024472,-8.68022999999994)); #593834=CARTESIAN_POINT('',(-4.72,0.,-8.68023000000001)); #593835=CARTESIAN_POINT('Ctrl Pts',(-3.19,-35.1397737252884,-10.21023)); #593836=CARTESIAN_POINT('Ctrl Pts',(-3.38247400838932,-35.1223008726866, -10.21023)); #593837=CARTESIAN_POINT('Ctrl Pts',(-3.58763725272356,-35.1019182677708, -10.1717087972238)); #593838=CARTESIAN_POINT('Ctrl Pts',(-3.9649816616323,-35.0612995702986, -10.0151561422169)); #593839=CARTESIAN_POINT('Ctrl Pts',(-4.13717342906647,-35.0411524152778, -9.89712718378023)); #593840=CARTESIAN_POINT('Ctrl Pts',(-4.40835534481956,-35.008066912888, -9.62529637262965)); #593841=CARTESIAN_POINT('Ctrl Pts',(-4.52576661229338,-34.9929051344165, -9.45361846905656)); #593842=CARTESIAN_POINT('Ctrl Pts',(-4.68159455341119,-34.9723983396781, -9.07715295901106)); #593843=CARTESIAN_POINT('Ctrl Pts',(-4.72,-34.9671474024472,-8.87235558675612)); #593844=CARTESIAN_POINT('Ctrl Pts',(-4.72,-34.9671474024472,-8.68023000000001)); #593845=CARTESIAN_POINT('Origin',(0.,0.,0.)); #593846=CARTESIAN_POINT('',(-35.2842712474619,-4.32107698434512E-15,0.)); #593847=CARTESIAN_POINT('Origin',(0.,0.,0.)); #593848=CARTESIAN_POINT('',(4.72,-33.9232088694452,0.)); #593849=CARTESIAN_POINT('',(4.72,-16.8353912933439,0.)); #593850=CARTESIAN_POINT('',(-4.71999999999999,-33.9232088694452,0.)); #593851=CARTESIAN_POINT('',(-4.72,-17.0445823076923,0.)); #593852=CARTESIAN_POINT('Origin',(0.,0.,0.)); #593853=CARTESIAN_POINT('Origin',(3.19,0.,-8.08023)); #593854=CARTESIAN_POINT('',(3.57706478411244,-32.8055575857771,-6.6)); #593855=CARTESIAN_POINT('',(3.57706478411244,0.,-6.6)); #593856=CARTESIAN_POINT('',(4.72,-32.6607042328159,-8.08023)); #593857=CARTESIAN_POINT('Ctrl Pts',(4.72,-32.6607042328159,-8.08023)); #593858=CARTESIAN_POINT('Ctrl Pts',(4.72,-32.6607042328159,-7.88810192775226)); #593859=CARTESIAN_POINT('Ctrl Pts',(4.68159272142762,-32.6663263630593, -7.68332158867054)); #593860=CARTESIAN_POINT('Ctrl Pts',(4.52581012925083,-32.6882736026602, -7.30692769527212)); #593861=CARTESIAN_POINT('Ctrl Pts',(4.40844767571539,-32.7044974316585, -7.13530291983306)); #593862=CARTESIAN_POINT('Ctrl Pts',(4.13733842004438,-32.7399029765916, -6.86345120859275)); #593863=CARTESIAN_POINT('Ctrl Pts',(3.96512576231016,-32.7614691186149, -6.74538187407965)); #593864=CARTESIAN_POINT('Ctrl Pts',(3.71150086485332,-32.7906851637658, -6.64013347498519)); #593865=CARTESIAN_POINT('Ctrl Pts',(3.64468042968475,-32.7981848854656, -6.6176807896449)); #593866=CARTESIAN_POINT('Ctrl Pts',(3.57706478411244,-32.8055575857771, -6.6)); #593867=CARTESIAN_POINT('',(4.72,0.,-8.08023)); #593868=CARTESIAN_POINT('Origin',(3.19,0.,-8.08023)); #593869=CARTESIAN_POINT('',(4.72,-34.9671474024472,-8.08022999999991)); #593870=CARTESIAN_POINT('',(4.72,0.,-8.08023)); #593871=CARTESIAN_POINT('',(3.19,-35.1397737252884,-6.55023)); #593872=CARTESIAN_POINT('Ctrl Pts',(3.19,-35.1397737252884,-6.55023)); #593873=CARTESIAN_POINT('Ctrl Pts',(3.38247400838932,-35.1223008726866, -6.55023)); #593874=CARTESIAN_POINT('Ctrl Pts',(3.58763725272356,-35.1019182677708, -6.58875120277616)); #593875=CARTESIAN_POINT('Ctrl Pts',(3.96498166163231,-35.0612995702986, -6.74530385778312)); #593876=CARTESIAN_POINT('Ctrl Pts',(4.13717342906648,-35.0411524152778, -6.86333281621978)); #593877=CARTESIAN_POINT('Ctrl Pts',(4.40835534481957,-35.008066912888,-7.13516362737036)); #593878=CARTESIAN_POINT('Ctrl Pts',(4.52576661229339,-34.9929051344165, -7.30684153094345)); #593879=CARTESIAN_POINT('Ctrl Pts',(4.6815945534112,-34.9723983396781,-7.68330704098895)); #593880=CARTESIAN_POINT('Ctrl Pts',(4.72,-34.9671474024472,-7.88810441324389)); #593881=CARTESIAN_POINT('Ctrl Pts',(4.72,-34.9671474024472,-8.08023)); #593882=CARTESIAN_POINT('',(3.19,0.,-6.55023)); #593883=CARTESIAN_POINT('Origin',(-4.72,0.,-8.08023000000001)); #593884=CARTESIAN_POINT('',(-4.72,-34.9671474024472,-8.08022999999991)); #593885=CARTESIAN_POINT('',(-4.72,0.,-8.08023000000001)); #593886=CARTESIAN_POINT('',(-4.72,-34.9671474024472,0.)); #593887=CARTESIAN_POINT('',(-4.72,-32.6607042328159,-8.08023000000001)); #593888=CARTESIAN_POINT('',(-4.72,-32.6607042328159,-6.6)); #593889=CARTESIAN_POINT('',(-4.72,0.,-8.08023000000001)); #593890=CARTESIAN_POINT('',(-4.72,-33.9232088694451,-6.6)); #593891=CARTESIAN_POINT('',(-4.72,0.,-6.6)); #593892=CARTESIAN_POINT('',(-4.72,-33.9232088694451,0.)); #593893=CARTESIAN_POINT('Origin',(-3.19,0.,-8.08023)); #593894=CARTESIAN_POINT('',(-3.57706478411241,-32.8055575857771,-6.6)); #593895=CARTESIAN_POINT('Ctrl Pts',(-3.57706478411241,-32.8055575857771, -6.6)); #593896=CARTESIAN_POINT('Ctrl Pts',(-3.64468042968473,-32.7981848854656, -6.6176807896449)); #593897=CARTESIAN_POINT('Ctrl Pts',(-3.7115008648533,-32.7906851637658, -6.64013347498519)); #593898=CARTESIAN_POINT('Ctrl Pts',(-3.96512576231016,-32.7614691186149, -6.74538187407965)); #593899=CARTESIAN_POINT('Ctrl Pts',(-4.13733842004437,-32.7399029765916, -6.86345120859276)); #593900=CARTESIAN_POINT('Ctrl Pts',(-4.40844767571538,-32.7044974316585, -7.13530291983306)); #593901=CARTESIAN_POINT('Ctrl Pts',(-4.52581012925083,-32.6882736026602, -7.30692769527213)); #593902=CARTESIAN_POINT('Ctrl Pts',(-4.68159272142761,-32.6663263630593, -7.68332158867054)); #593903=CARTESIAN_POINT('Ctrl Pts',(-4.72,-32.6607042328159,-7.88810192775226)); #593904=CARTESIAN_POINT('Ctrl Pts',(-4.72,-32.6607042328159,-8.08023)); #593905=CARTESIAN_POINT('',(-3.57706478411241,0.,-6.6)); #593906=CARTESIAN_POINT('Origin',(3.19,0.,-8.68023)); #593907=CARTESIAN_POINT('',(4.72,-34.9671474024472,-8.68022999999994)); #593908=CARTESIAN_POINT('Ctrl Pts',(4.72,-34.9671474024472,-8.68023)); #593909=CARTESIAN_POINT('Ctrl Pts',(4.72,-34.9671474024472,-8.87235558675611)); #593910=CARTESIAN_POINT('Ctrl Pts',(4.6815945534112,-34.9723983396781,-9.07715295901106)); #593911=CARTESIAN_POINT('Ctrl Pts',(4.52576661229339,-34.9929051344165, -9.45361846905655)); #593912=CARTESIAN_POINT('Ctrl Pts',(4.40835534481957,-35.008066912888,-9.62529637262964)); #593913=CARTESIAN_POINT('Ctrl Pts',(4.13717342906648,-35.0411524152778, -9.89712718378022)); #593914=CARTESIAN_POINT('Ctrl Pts',(3.96498166163231,-35.0612995702986, -10.0151561422169)); #593915=CARTESIAN_POINT('Ctrl Pts',(3.58763725272356,-35.1019182677708, -10.1717087972238)); #593916=CARTESIAN_POINT('Ctrl Pts',(3.38247400838933,-35.1223008726866, -10.21023)); #593917=CARTESIAN_POINT('Ctrl Pts',(3.19,-35.1397737252884,-10.21023)); #593918=CARTESIAN_POINT('',(4.72,0.,-8.68023)); #593919=CARTESIAN_POINT('Origin',(4.72,0.,-8.68023)); #593920=CARTESIAN_POINT('',(4.72,-34.9671474024472,0.)); #593921=CARTESIAN_POINT('',(4.72,-33.9232088694451,-6.6)); #593922=CARTESIAN_POINT('',(4.72,-33.9232088694451,0.)); #593923=CARTESIAN_POINT('',(4.72,0.,-6.6)); #593924=CARTESIAN_POINT('',(4.72,-32.6607042328159,-6.6)); #593925=CARTESIAN_POINT('Origin',(-3.19,0.,-8.08023)); #593926=CARTESIAN_POINT('',(-3.19,-35.1397737252884,-6.55023)); #593927=CARTESIAN_POINT('',(-3.19,0.,-6.55023)); #593928=CARTESIAN_POINT('Ctrl Pts',(-4.72,-34.9671474024472,-8.08023)); #593929=CARTESIAN_POINT('Ctrl Pts',(-4.72,-34.9671474024472,-7.88810441324389)); #593930=CARTESIAN_POINT('Ctrl Pts',(-4.68159455341119,-34.9723983396781, -7.68330704098895)); #593931=CARTESIAN_POINT('Ctrl Pts',(-4.52576661229338,-34.9929051344165, -7.30684153094345)); #593932=CARTESIAN_POINT('Ctrl Pts',(-4.40835534481956,-35.008066912888, -7.13516362737036)); #593933=CARTESIAN_POINT('Ctrl Pts',(-4.13717342906648,-35.0411524152778, -6.86333281621978)); #593934=CARTESIAN_POINT('Ctrl Pts',(-3.9649816616323,-35.0612995702986, -6.74530385778313)); #593935=CARTESIAN_POINT('Ctrl Pts',(-3.58763725272356,-35.1019182677708, -6.58875120277616)); #593936=CARTESIAN_POINT('Ctrl Pts',(-3.38247400838932,-35.1223008726866, -6.55023)); #593937=CARTESIAN_POINT('Ctrl Pts',(-3.19,-35.1397737252884,-6.55023)); #593938=CARTESIAN_POINT('Origin',(3.19,0.,-6.55023)); #593939=CARTESIAN_POINT('Origin',(0.,0.,-6.55023)); #593940=CARTESIAN_POINT('Origin',(0.,0.,-6.6)); #593941=CARTESIAN_POINT('',(-15.7480157518085,-29.0000000149012,-20.4)); #593942=CARTESIAN_POINT('',(-15.7480157518085,-29.0000000149012,-21.9)); #593943=CARTESIAN_POINT('',(-15.7480157518085,-29.0000000149012,-6.6)); #593944=CARTESIAN_POINT('',(-33.0000000149012,4.04133443901113E-15,-21.9)); #593945=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #593946=CARTESIAN_POINT('',(-33.0000000149012,4.04133443901113E-15,-6.6)); #593947=CARTESIAN_POINT('',(-33.0000000149012,4.04133443901113E-15,-6.6)); #593948=CARTESIAN_POINT('Origin',(0.,0.,-6.6)); #593949=CARTESIAN_POINT('',(7.5,32.1364279437444,-6.6)); #593950=CARTESIAN_POINT('Origin',(0.,0.,-6.6)); #593951=CARTESIAN_POINT('',(7.5,32.1364279437444,-9.1)); #593952=CARTESIAN_POINT('',(7.5,32.1364279437444,-6.6)); #593953=CARTESIAN_POINT('',(-7.5,32.1364279437444,-9.1)); #593954=CARTESIAN_POINT('Origin',(0.,0.,-9.1)); #593955=CARTESIAN_POINT('',(-7.5,32.1364279437444,-6.6)); #593956=CARTESIAN_POINT('',(-7.5,32.1364279437444,-6.6)); #593957=CARTESIAN_POINT('Origin',(0.,0.,-6.6)); #593958=CARTESIAN_POINT('',(-15.7480157518085,29.0000000149012,-21.9)); #593959=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #593960=CARTESIAN_POINT('',(-15.7480157518085,29.0000000149012,-20.4)); #593961=CARTESIAN_POINT('',(-15.7480157518085,29.0000000149012,-6.6)); #593962=CARTESIAN_POINT('',(-13.7477270881192,30.0000000149012,-19.4)); #593963=CARTESIAN_POINT('Origin',(0.,0.,-49.400000014901)); #593964=CARTESIAN_POINT('',(13.7477270881192,30.0000000149012,-19.4)); #593965=CARTESIAN_POINT('Origin',(0.,0.,-19.4)); #593966=CARTESIAN_POINT('',(15.7480157518085,29.0000000149012,-20.4)); #593967=CARTESIAN_POINT('Origin',(0.,0.,-49.400000014901)); #593968=CARTESIAN_POINT('',(15.7480157518085,29.0000000149012,-21.9)); #593969=CARTESIAN_POINT('',(15.7480157518085,29.0000000149012,-6.6)); #593970=CARTESIAN_POINT('',(15.7480157518085,-29.0000000149012,-21.9)); #593971=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #593972=CARTESIAN_POINT('',(15.7480157518085,-29.0000000149012,-20.4)); #593973=CARTESIAN_POINT('',(15.7480157518085,-29.0000000149012,-6.6)); #593974=CARTESIAN_POINT('',(13.7477270881192,-30.0000000149012,-19.4)); #593975=CARTESIAN_POINT('Origin',(0.,0.,-49.400000014901)); #593976=CARTESIAN_POINT('',(-13.7477270881192,-30.0000000149012,-19.4)); #593977=CARTESIAN_POINT('Origin',(0.,0.,-19.4)); #593978=CARTESIAN_POINT('Origin',(0.,0.,-49.400000014901)); #593979=CARTESIAN_POINT('Origin',(0.,0.,-6.6)); #593980=CARTESIAN_POINT('',(7.5,33.4187447400407,-6.6)); #593981=CARTESIAN_POINT('Origin',(0.,0.,-6.6)); #593982=CARTESIAN_POINT('',(7.5,0.,-6.6)); #593983=CARTESIAN_POINT('Origin',(7.5,0.,-9.1)); #593984=CARTESIAN_POINT('',(7.5,33.4538675636995,-9.1)); #593985=CARTESIAN_POINT('',(7.5,0.,-9.1)); #593986=CARTESIAN_POINT('',(-7.5,33.4538675636995,-9.1)); #593987=CARTESIAN_POINT('Origin',(0.,0.,-9.1)); #593988=CARTESIAN_POINT('',(-7.5,0.,-9.1)); #593989=CARTESIAN_POINT('Origin',(-7.5,0.,-9.1)); #593990=CARTESIAN_POINT('',(-7.5,33.4538675636995,-6.6)); #593991=CARTESIAN_POINT('',(-7.5,33.4538675636995,0.)); #593992=CARTESIAN_POINT('',(-7.5,33.4187447400407,-6.6)); #593993=CARTESIAN_POINT('',(-7.5,0.,-6.6)); #593994=CARTESIAN_POINT('',(-7.5,0.,-6.6)); #593995=CARTESIAN_POINT('Origin',(-7.5,0.,-6.6)); #593996=CARTESIAN_POINT('',(7.5,33.4538675636995,-6.6)); #593997=CARTESIAN_POINT('Origin',(0.,0.,-6.6)); #593998=CARTESIAN_POINT('',(7.5,0.,-6.6)); #593999=CARTESIAN_POINT('Origin',(0.,0.,-6.6)); #594000=CARTESIAN_POINT('Origin',(7.5,0.,-6.6)); #594001=CARTESIAN_POINT('',(7.5,33.4538675636995,0.)); #594002=CARTESIAN_POINT('Origin',(0.,0.,0.)); #594003=CARTESIAN_POINT('Origin',(0.,0.,-31.9)); #594004=CARTESIAN_POINT('',(-31.0000000149012,3.79640507918166E-15,-31.9)); #594005=CARTESIAN_POINT('Origin',(0.,0.,-31.9)); #594006=CARTESIAN_POINT('',(-29.2000000149012,3.57596865533514E-15,-31.9)); #594007=CARTESIAN_POINT('Origin',(0.,0.,-31.9)); #594008=CARTESIAN_POINT('Origin',(0.,0.,-31.9)); #594009=CARTESIAN_POINT('',(-29.2000000149012,-3.57596865533514E-15,-33.8)); #594010=CARTESIAN_POINT('Origin',(0.,0.,-33.8)); #594011=CARTESIAN_POINT('',(-29.2000000149012,3.57596865533514E-15,-31.9)); #594012=CARTESIAN_POINT('Origin',(0.,0.,-33.8)); #594013=CARTESIAN_POINT('',(-35.2842712474619,4.32107698434512E-15,-33.8)); #594014=CARTESIAN_POINT('Origin',(0.,0.,-33.8)); #594015=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #594016=CARTESIAN_POINT('',(10.9544511528239,29.0000000149012,-21.9)); #594017=CARTESIAN_POINT('',(15.7480157518085,29.0000000149012,-21.9)); #594018=CARTESIAN_POINT('',(10.9544511528239,-29.0000000149012,-21.9)); #594019=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #594020=CARTESIAN_POINT('',(15.7480157518085,-29.0000000149012,-21.9)); #594021=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #594022=CARTESIAN_POINT('',(-10.9544511528239,29.0000000149012,-21.9)); #594023=CARTESIAN_POINT('',(15.7480157518085,29.0000000149012,-21.9)); #594024=CARTESIAN_POINT('',(-10.9544511528239,-29.0000000149012,-21.9)); #594025=CARTESIAN_POINT('',(15.7480157518085,-29.0000000149012,-21.9)); #594026=CARTESIAN_POINT('',(-31.0000000149012,3.79640507918166E-15,-21.9)); #594027=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #594028=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #594029=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #594030=CARTESIAN_POINT('',(-31.0000000149012,3.79640507918166E-15,-21.9)); #594031=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #594032=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #594033=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #594034=CARTESIAN_POINT('',(15.7480157518085,-29.0000000149012,-21.9)); #594035=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #594036=CARTESIAN_POINT('',(15.7480157518085,29.0000000149012,-21.9)); #594037=CARTESIAN_POINT('Origin',(-7.87400787590426,29.5000000149012,-19.9)); #594038=CARTESIAN_POINT('',(-7.87400787590426,29.0000000149012,-20.4)); #594039=CARTESIAN_POINT('',(-7.87400787590426,30.0000000149012,-19.4)); #594040=CARTESIAN_POINT('Origin',(-15.7480157518085,29.0000000149012,-21.9)); #594041=CARTESIAN_POINT('Origin',(7.87400787590427,-29.5000000149012,-19.9)); #594042=CARTESIAN_POINT('',(7.87400787590427,-29.0000000149012,-20.4)); #594043=CARTESIAN_POINT('',(7.87400787590427,-30.0000000149012,-19.4)); #594044=CARTESIAN_POINT('Origin',(15.7480157518085,-29.0000000149012,-21.9)); #594045=CARTESIAN_POINT('Origin',(2.22044604925031E-15,-31.0000000149012, -19.4)); #594046=CARTESIAN_POINT('Origin',(2.22044604925031E-15,31.0000000149012, -19.4)); #594047=CARTESIAN_POINT('Origin',(0.,0.,-6.6)); #594048=CARTESIAN_POINT('Origin',(0.,0.,-6.6)); #594049=CARTESIAN_POINT('Origin',(0.,0.,0.)); #594050=CARTESIAN_POINT('Origin',(0.,0.,0.)); #594051=CARTESIAN_POINT('',(-35.2842712474619,4.32107698434512E-15,0.)); #594052=CARTESIAN_POINT('Origin',(-18.8584624794272,-11.0944687749765,-20.9)); #594053=CARTESIAN_POINT('',(-18.2123151937553,-10.,-27.9)); #594054=CARTESIAN_POINT('',(-19.5046097650991,-12.188937549953,-27.9)); #594055=CARTESIAN_POINT('',(-13.9928836809891,-2.85296689891295,-27.9)); #594056=CARTESIAN_POINT('',(-19.5046097650991,-12.188937549953,-31.9)); #594057=CARTESIAN_POINT('',(-19.5046097650991,-12.188937549953,-20.9)); #594058=CARTESIAN_POINT('',(-18.2123151937553,-10.,-31.9)); #594059=CARTESIAN_POINT('',(-13.9928836809891,-2.85296689891295,-31.9)); #594060=CARTESIAN_POINT('',(-18.2123151937553,-10.,-20.9)); #594061=CARTESIAN_POINT('Origin',(0.,0.,-20.9)); #594062=CARTESIAN_POINT('',(19.5046097650991,-12.188937549953,-27.9)); #594063=CARTESIAN_POINT('Origin',(0.,0.,-27.9)); #594064=CARTESIAN_POINT('',(19.5046097650991,-12.188937549953,-31.9)); #594065=CARTESIAN_POINT('',(19.5046097650991,-12.188937549953,-20.9)); #594066=CARTESIAN_POINT('Origin',(0.,0.,-31.9)); #594067=CARTESIAN_POINT('Origin',(-18.8584624794272,11.0944687749765,-20.9)); #594068=CARTESIAN_POINT('',(-19.5046097650991,12.188937549953,-27.9)); #594069=CARTESIAN_POINT('',(-18.2123151937553,10.,-27.9)); #594070=CARTESIAN_POINT('',(-13.9928836809891,2.85296689891295,-27.9)); #594071=CARTESIAN_POINT('',(-18.2123151937553,10.,-31.9)); #594072=CARTESIAN_POINT('',(-18.2123151937553,10.,-20.9)); #594073=CARTESIAN_POINT('',(-19.5046097650991,12.188937549953,-31.9)); #594074=CARTESIAN_POINT('',(-13.9928836809891,2.85296689891295,-31.9)); #594075=CARTESIAN_POINT('',(-19.5046097650991,12.188937549953,-20.9)); #594076=CARTESIAN_POINT('Origin',(20.7123151937553,10.,-20.9)); #594077=CARTESIAN_POINT('',(18.2123151937553,10.,-27.9)); #594078=CARTESIAN_POINT('',(10.3561575968777,10.,-27.9)); #594079=CARTESIAN_POINT('',(18.2123151937553,10.,-31.9)); #594080=CARTESIAN_POINT('',(18.2123151937553,10.,-20.9)); #594081=CARTESIAN_POINT('',(10.3561575968777,10.,-31.9)); #594082=CARTESIAN_POINT('Origin',(18.8584624794272,-11.0944687749765,-20.9)); #594083=CARTESIAN_POINT('',(18.2123151937553,-10.,-27.9)); #594084=CARTESIAN_POINT('',(13.9928836809891,-2.85296689891295,-27.9)); #594085=CARTESIAN_POINT('',(18.2123151937553,-10.,-31.9)); #594086=CARTESIAN_POINT('',(18.2123151937553,-10.,-20.9)); #594087=CARTESIAN_POINT('',(13.9928836809891,-2.85296689891295,-31.9)); #594088=CARTESIAN_POINT('Origin',(-20.7123151937553,-10.,-20.9)); #594089=CARTESIAN_POINT('',(-10.3561575968777,-10.,-31.9)); #594090=CARTESIAN_POINT('',(-10.3561575968777,-10.,-27.9)); #594091=CARTESIAN_POINT('Origin',(18.8584624794272,11.0944687749765,-20.9)); #594092=CARTESIAN_POINT('',(19.5046097650991,12.188937549953,-27.9)); #594093=CARTESIAN_POINT('',(13.9928836809891,2.85296689891295,-27.9)); #594094=CARTESIAN_POINT('',(19.5046097650991,12.188937549953,-31.9)); #594095=CARTESIAN_POINT('',(19.5046097650991,12.188937549953,-20.9)); #594096=CARTESIAN_POINT('',(13.9928836809891,2.85296689891295,-31.9)); #594097=CARTESIAN_POINT('Origin',(0.,0.,-20.9)); #594098=CARTESIAN_POINT('Origin',(0.,0.,-31.9)); #594099=CARTESIAN_POINT('Origin',(0.,0.,-27.9)); #594100=CARTESIAN_POINT('Origin',(17.9999999999988,4.35207425653061E-13, -28.025)); #594101=CARTESIAN_POINT('',(15.6499999999988,4.34919633655262E-13,-27.9)); #594102=CARTESIAN_POINT('Origin',(17.9999999999988,4.35207425653061E-13, -27.9)); #594103=CARTESIAN_POINT('',(15.8999999999988,4.35464601480882E-13,-28.15)); #594104=CARTESIAN_POINT('',(15.7749999999988,4.34934941740251E-13,-28.025)); #594105=CARTESIAN_POINT('Origin',(17.9999999999988,4.35207425653061E-13, -28.15)); #594106=CARTESIAN_POINT('Origin',(0.,2.22044604925031E-15,-27.9)); #594107=CARTESIAN_POINT('',(10.9178752540377,28.8000000148847,-27.9)); #594108=CARTESIAN_POINT('',(-10.9178752540378,28.8000000148847,-27.9)); #594109=CARTESIAN_POINT('',(5.47722557641194,28.8000000149012,-27.9)); #594110=CARTESIAN_POINT('',(-10.9178752540378,-28.8000000148847,-27.9)); #594111=CARTESIAN_POINT('Origin',(0.,0.,-27.9)); #594112=CARTESIAN_POINT('',(10.9178752540377,-28.8000000148847,-27.9)); #594113=CARTESIAN_POINT('',(-5.47722557641193,-28.8000000149012,-27.9)); #594114=CARTESIAN_POINT('Origin',(0.,0.,-27.9)); #594115=CARTESIAN_POINT('',(-20.3499999999988,4.34919633655262E-13,-27.9)); #594116=CARTESIAN_POINT('Origin',(-17.9999999999988,4.35207425653061E-13, -27.9)); #594117=CARTESIAN_POINT('',(2.82327553997289,1.80322386383482,-27.9)); #594118=CARTESIAN_POINT('',(-2.97327515850289,-1.54341624230148,-27.9)); #594119=CARTESIAN_POINT('Origin',(1.90735002050167E-7,1.99000260714399E-10, -27.9)); #594120=CARTESIAN_POINT('',(1.2561180703637,0.898425076893424,-27.9)); #594121=CARTESIAN_POINT('',(-2.82327515850289,-1.80322386343682,-27.9)); #594122=CARTESIAN_POINT('',(2.97327553997289,1.54341624269949,-27.9)); #594123=CARTESIAN_POINT('Origin',(1.90735002050167E-7,1.99000260714399E-10, -27.9)); #594124=CARTESIAN_POINT('',(-1.25611783203112,-0.898425159135848,-27.9)); #594125=CARTESIAN_POINT('Origin',(17.9999999999988,4.35207425653061E-13, -27.9)); #594126=CARTESIAN_POINT('',(15.8999999999988,4.35464601480882E-13,-30.9)); #594127=CARTESIAN_POINT('',(15.8999999999988,4.35464601480882E-13,-27.9)); #594128=CARTESIAN_POINT('Origin',(17.9999999999988,4.35207425653061E-13, -30.9)); #594129=CARTESIAN_POINT('Origin',(-17.9999999999988,4.35207425653061E-13, -28.025)); #594130=CARTESIAN_POINT('',(-20.0999999999988,4.35464601480882E-13,-28.15)); #594131=CARTESIAN_POINT('',(-20.2249999999988,4.34934941740251E-13,-28.025)); #594132=CARTESIAN_POINT('Origin',(-17.9999999999988,4.35207425653061E-13, -28.15)); #594133=CARTESIAN_POINT('Origin',(-17.9999999999988,4.35207425653061E-13, -27.9)); #594134=CARTESIAN_POINT('',(-20.0999999999988,4.35464601480882E-13,-30.9)); #594135=CARTESIAN_POINT('',(-20.0999999999988,4.35464601480882E-13,-27.9)); #594136=CARTESIAN_POINT('Origin',(-17.9999999999988,4.35207425653061E-13, -30.9)); #594137=CARTESIAN_POINT('Origin',(17.9999999999988,4.35207425653061E-13, -31.775)); #594138=CARTESIAN_POINT('',(16.3499999999988,4.35409492374921E-13,-31.9)); #594139=CARTESIAN_POINT('Origin',(17.9999999999988,4.35207425653061E-13, -31.9)); #594140=CARTESIAN_POINT('',(16.5999999999988,4.35035975101181E-13,-31.65)); #594141=CARTESIAN_POINT('',(16.4749999999988,4.35394184289931E-13,-31.775)); #594142=CARTESIAN_POINT('Origin',(17.9999999999988,4.35207425653061E-13, -31.65)); #594143=CARTESIAN_POINT('Origin',(0.,2.22044604925031E-15,-31.9)); #594144=CARTESIAN_POINT('',(2.22044604925031E-15,27.0000000149012,-31.9)); #594145=CARTESIAN_POINT('',(2.22044604925031E-15,-27.0000000149012,-31.9)); #594146=CARTESIAN_POINT('Origin',(0.,0.,-31.9)); #594147=CARTESIAN_POINT('Origin',(0.,0.,-31.9)); #594148=CARTESIAN_POINT('',(-19.6499999999988,4.35409492374921E-13,-31.9)); #594149=CARTESIAN_POINT('Origin',(-17.9999999999988,4.35207425653061E-13, -31.9)); #594150=CARTESIAN_POINT('',(2.82327553997289,1.80322386383482,-31.9)); #594151=CARTESIAN_POINT('',(-2.97327515850289,-1.54341624230148,-31.9)); #594152=CARTESIAN_POINT('',(1.2561180703637,0.898425076893424,-31.9)); #594153=CARTESIAN_POINT('Origin',(1.90735002050167E-7,1.99000260714399E-10, -31.9)); #594154=CARTESIAN_POINT('',(-2.82327515850289,-1.80322386343682,-31.9)); #594155=CARTESIAN_POINT('',(2.97327553997289,1.54341624269949,-31.9)); #594156=CARTESIAN_POINT('',(-1.25611783203112,-0.898425159135848,-31.9)); #594157=CARTESIAN_POINT('Origin',(1.90735002050167E-7,1.99000260714399E-10, -31.9)); #594158=CARTESIAN_POINT('Origin',(17.9999999999988,4.35207425653061E-13, -31.9)); #594159=CARTESIAN_POINT('',(16.5999999999988,4.35035975101181E-13,-30.9)); #594160=CARTESIAN_POINT('',(16.5999999999988,4.35035975101181E-13,-31.9)); #594161=CARTESIAN_POINT('Origin',(17.9999999999988,4.35207425653061E-13, -30.9)); #594162=CARTESIAN_POINT('Origin',(-17.9999999999988,4.35207425653061E-13, -31.775)); #594163=CARTESIAN_POINT('',(-19.3999999999988,4.35035975101181E-13,-31.65)); #594164=CARTESIAN_POINT('',(-19.5249999999988,4.35394184289931E-13,-31.775)); #594165=CARTESIAN_POINT('Origin',(-17.9999999999988,4.35207425653061E-13, -31.65)); #594166=CARTESIAN_POINT('Origin',(-17.9999999999988,4.35207425653061E-13, -31.9)); #594167=CARTESIAN_POINT('',(-19.3999999999988,4.35035975101181E-13,-30.9)); #594168=CARTESIAN_POINT('',(-19.3999999999988,4.35035975101181E-13,-31.9)); #594169=CARTESIAN_POINT('Origin',(-17.9999999999988,4.35207425653061E-13, -30.9)); #594170=CARTESIAN_POINT('Origin',(-1.19361783203112,-1.0066783346089,-31.775)); #594171=CARTESIAN_POINT('',(2.86223609312983,1.19063245357917,-31.65)); #594172=CARTESIAN_POINT('',(-2.46223571165983,-1.88345277620871,-31.65)); #594173=CARTESIAN_POINT('',(-1.13111783203112,-1.11493151008196,-31.65)); #594174=CARTESIAN_POINT('Ctrl Pts',(-2.46223571165983,-1.88345277620871, -31.65)); #594175=CARTESIAN_POINT('Ctrl Pts',(-2.58394833936924,-1.85914729636703, -31.7319054768488)); #594176=CARTESIAN_POINT('Ctrl Pts',(-2.70443218316488,-1.83223164315748, -31.8154570381855)); #594177=CARTESIAN_POINT('Ctrl Pts',(-2.82327515850289,-1.80322386343682, -31.9)); #594178=CARTESIAN_POINT('Ctrl Pts',(2.97327553997289,1.54341624269949,-31.9)); #594179=CARTESIAN_POINT('Ctrl Pts',(2.93897552644939,1.42599131713524,-31.8154570381856)); #594180=CARTESIAN_POINT('Ctrl Pts',(2.90204324399049,1.30819142105778,-31.7319054768488)); #594181=CARTESIAN_POINT('Ctrl Pts',(2.86223609312983,1.19063245357917,-31.65)); #594182=CARTESIAN_POINT('Origin',(1.90735002050167E-7,1.99000260714399E-10, -31.775)); #594183=CARTESIAN_POINT('Origin',(1.90735002050167E-7,1.99000260714399E-10, -31.65)); #594184=CARTESIAN_POINT('Origin',(-2.46223571165983,-1.88345277620872,-31.9)); #594185=CARTESIAN_POINT('',(2.86223609312983,1.19063245357917,-28.15)); #594186=CARTESIAN_POINT('',(2.86223609312983,1.19063245357917,-31.9)); #594187=CARTESIAN_POINT('',(-2.46223571165983,-1.88345277620871,-28.15)); #594188=CARTESIAN_POINT('',(-1.13111783203112,-1.11493151008196,-28.15)); #594189=CARTESIAN_POINT('',(-2.46223571165983,-1.88345277620871,-31.9)); #594190=CARTESIAN_POINT('Origin',(1.90735002050167E-7,1.99000260714399E-10, -28.025)); #594191=CARTESIAN_POINT('Ctrl Pts',(2.97327553997289,1.54341624269949,-27.9)); #594192=CARTESIAN_POINT('Ctrl Pts',(2.93897552644938,1.42599131713518,-27.9845429618144)); #594193=CARTESIAN_POINT('Ctrl Pts',(2.90204324399048,1.30819142105775,-28.0680945231512)); #594194=CARTESIAN_POINT('Ctrl Pts',(2.86223609312983,1.19063245357917,-28.15)); #594195=CARTESIAN_POINT('Origin',(1.90735002050167E-7,1.99000260714399E-10, -28.15)); #594196=CARTESIAN_POINT('Ctrl Pts',(-2.82327515850288,-1.80322386343682, -27.9)); #594197=CARTESIAN_POINT('Ctrl Pts',(-2.70443218316483,-1.83223164315749, -27.9845429618145)); #594198=CARTESIAN_POINT('Ctrl Pts',(-2.58394833936922,-1.85914729636704, -28.0680945231512)); #594199=CARTESIAN_POINT('Ctrl Pts',(-2.46223571165982,-1.88345277620872, -28.15)); #594200=CARTESIAN_POINT('Origin',(-1.19361783203112,-1.0066783346089,-28.025)); #594201=CARTESIAN_POINT('Origin',(1.90735002050167E-7,1.99000260714399E-10, -31.9)); #594202=CARTESIAN_POINT('Origin',(1.90735002050167E-7,1.99000260714399E-10, -28.025)); #594203=CARTESIAN_POINT('',(-2.86223571165983,-1.19063245318116,-28.15)); #594204=CARTESIAN_POINT('Ctrl Pts',(-2.97327515850289,-1.54341624230148, -27.9)); #594205=CARTESIAN_POINT('Ctrl Pts',(-2.93897514497932,-1.42599131673699, -27.9845429618146)); #594206=CARTESIAN_POINT('Ctrl Pts',(-2.90204286252045,-1.30819142065966, -28.0680945231513)); #594207=CARTESIAN_POINT('Ctrl Pts',(-2.86223571165983,-1.19063245318116, -28.15)); #594208=CARTESIAN_POINT('',(2.46223609312983,1.88345277660672,-28.15)); #594209=CARTESIAN_POINT('Origin',(1.90735002050167E-7,1.99000260714399E-10, -28.15)); #594210=CARTESIAN_POINT('Ctrl Pts',(2.82327553997289,1.80322386383482,-27.9)); #594211=CARTESIAN_POINT('Ctrl Pts',(2.70443256463484,1.83223164355549,-27.9845429618145)); #594212=CARTESIAN_POINT('Ctrl Pts',(2.58394872083923,1.85914729676504,-28.0680945231512)); #594213=CARTESIAN_POINT('Ctrl Pts',(2.46223609312983,1.88345277660672,-28.15)); #594214=CARTESIAN_POINT('Origin',(1.1936180703637,1.00667825236648,-28.025)); #594215=CARTESIAN_POINT('',(1.1311180703637,1.11493142783953,-28.15)); #594216=CARTESIAN_POINT('Origin',(1.90735002050167E-7,1.99000260714399E-10, -31.9)); #594217=CARTESIAN_POINT('',(-2.86223571165983,-1.19063245318116,-31.65)); #594218=CARTESIAN_POINT('',(-2.86223571165983,-1.19063245318116,-31.9)); #594219=CARTESIAN_POINT('',(2.46223609312983,1.88345277660672,-31.65)); #594220=CARTESIAN_POINT('Origin',(1.90735002050167E-7,1.99000260714399E-10, -31.65)); #594221=CARTESIAN_POINT('',(2.46223609312983,1.88345277660672,-31.9)); #594222=CARTESIAN_POINT('Origin',(1.1936180703637,1.00667825236648,-31.775)); #594223=CARTESIAN_POINT('',(1.1311180703637,1.11493142783953,-31.65)); #594224=CARTESIAN_POINT('Ctrl Pts',(2.46223609312983,1.88345277660672,-31.65)); #594225=CARTESIAN_POINT('Ctrl Pts',(2.58394872083927,1.85914729676503,-31.7319054768488)); #594226=CARTESIAN_POINT('Ctrl Pts',(2.70443256463495,1.83223164355546,-31.8154570381856)); #594227=CARTESIAN_POINT('Ctrl Pts',(2.82327553997289,1.80322386383482,-31.9)); #594228=CARTESIAN_POINT('Ctrl Pts',(-2.97327515850289,-1.54341624230148, -31.9)); #594229=CARTESIAN_POINT('Ctrl Pts',(-2.93897514497933,-1.425991316737,-31.8154570381854)); #594230=CARTESIAN_POINT('Ctrl Pts',(-2.90204286252045,-1.30819142065967, -31.7319054768487)); #594231=CARTESIAN_POINT('Ctrl Pts',(-2.86223571165983,-1.19063245318116, -31.65)); #594232=CARTESIAN_POINT('Origin',(1.90735002050167E-7,1.99000260714399E-10, -31.775)); #594233=CARTESIAN_POINT('Origin',(2.46223609312983,1.88345277660672,-31.9)); #594234=CARTESIAN_POINT('Origin',(0.,4.35207425653061E-13,-30.9)); #594235=CARTESIAN_POINT('Origin',(0.,4.35207425653061E-13,-30.9)); #594236=CARTESIAN_POINT('Origin',(0.,0.,-43.9)); #594237=CARTESIAN_POINT('',(2.22044604925031E-15,27.0000000149012,-43.9)); #594238=CARTESIAN_POINT('',(10.5830052470744,27.0000000149012,-43.9)); #594239=CARTESIAN_POINT('',(1.11022302462516E-15,27.0000000149012,-43.9)); #594240=CARTESIAN_POINT('',(10.5830052470744,-27.0000000149012,-43.9)); #594241=CARTESIAN_POINT('Origin',(0.,0.,-43.9)); #594242=CARTESIAN_POINT('',(2.22044604925031E-15,-27.0000000149012,-43.9)); #594243=CARTESIAN_POINT('',(5.29150262353721,-27.0000000149012,-43.9)); #594244=CARTESIAN_POINT('Origin',(0.,0.,-43.9)); #594245=CARTESIAN_POINT('Origin',(0.,0.,-43.9)); #594246=CARTESIAN_POINT('',(-10.5830052470744,-27.0000000149012,-43.9)); #594247=CARTESIAN_POINT('',(-10.5830052470744,27.0000000149012,-43.9)); #594248=CARTESIAN_POINT('Origin',(0.,0.,-43.9)); #594249=CARTESIAN_POINT('',(-5.29150262353721,27.0000000149012,-43.9)); #594250=CARTESIAN_POINT('Origin',(0.,0.,-43.9)); #594251=CARTESIAN_POINT('',(1.11022302462516E-15,-27.0000000149012,-43.9)); #594252=CARTESIAN_POINT('Origin',(0.,0.,-31.9)); #594253=CARTESIAN_POINT('',(2.22044604925031E-15,27.0000000149012,-31.9)); #594254=CARTESIAN_POINT('',(2.22044604925031E-15,-27.0000000149012,-31.9)); #594255=CARTESIAN_POINT('Origin',(10.5830052470744,-27.0000000149012,-31.9)); #594256=CARTESIAN_POINT('',(10.5830052470744,-27.0000000149012,-31.9)); #594257=CARTESIAN_POINT('',(5.29150262353721,-27.0000000149012,-31.9)); #594258=CARTESIAN_POINT('',(10.5830052470744,-27.0000000149012,-31.9)); #594259=CARTESIAN_POINT('Origin',(0.,0.,-31.9)); #594260=CARTESIAN_POINT('',(10.5830052470744,27.0000000149012,-31.9)); #594261=CARTESIAN_POINT('Origin',(0.,0.,-31.9)); #594262=CARTESIAN_POINT('',(10.5830052470744,27.0000000149012,-31.9)); #594263=CARTESIAN_POINT('Origin',(2.22044604925031E-15,27.0000000149012, -31.9)); #594264=CARTESIAN_POINT('',(1.11022302462516E-15,27.0000000149012,-31.9)); #594265=CARTESIAN_POINT('Origin',(2.22044604925031E-15,-27.0000000149012, -31.9)); #594266=CARTESIAN_POINT('',(-10.5830052470744,-27.0000000149012,-31.9)); #594267=CARTESIAN_POINT('',(1.11022302462516E-15,-27.0000000149012,-31.9)); #594268=CARTESIAN_POINT('',(-10.5830052470744,-27.0000000149012,-31.9)); #594269=CARTESIAN_POINT('',(2.22044604925031E-15,-27.0000000149012,-31.9)); #594270=CARTESIAN_POINT('Origin',(0.,0.,-31.9)); #594271=CARTESIAN_POINT('',(2.22044604925031E-15,27.0000000149012,-31.9)); #594272=CARTESIAN_POINT('Origin',(-10.5830052470744,27.0000000149012,-31.9)); #594273=CARTESIAN_POINT('',(-10.5830052470744,27.0000000149012,-31.9)); #594274=CARTESIAN_POINT('',(-5.29150262353721,27.0000000149012,-31.9)); #594275=CARTESIAN_POINT('',(-10.5830052470744,27.0000000149012,-31.9)); #594276=CARTESIAN_POINT('Origin',(0.,0.,-31.9)); #594277=CARTESIAN_POINT('Origin',(0.,0.,-31.9)); #594278=CARTESIAN_POINT('Origin',(0.,2.22044604925031E-15,-31.9)); #594279=CARTESIAN_POINT('',(-10.9178752540378,28.8000000148847,-31.9)); #594280=CARTESIAN_POINT('',(10.9178752540378,28.8000000148847,-31.9)); #594281=CARTESIAN_POINT('',(5.47722557641194,28.8000000149012,-31.9)); #594282=CARTESIAN_POINT('',(10.9178752540378,-28.8000000148847,-31.9)); #594283=CARTESIAN_POINT('Origin',(0.,0.,-31.9)); #594284=CARTESIAN_POINT('',(-10.9178752540378,-28.8000000148847,-31.9)); #594285=CARTESIAN_POINT('',(-5.47722557641193,-28.8000000149012,-31.9)); #594286=CARTESIAN_POINT('Origin',(0.,0.,-31.9)); #594287=CARTESIAN_POINT('Origin',(0.,0.,-31.9)); #594288=CARTESIAN_POINT('',(10.9178752538946,28.8000000149012,-31.9)); #594289=CARTESIAN_POINT('',(10.9178752538946,-28.8000000149012,-31.9)); #594290=CARTESIAN_POINT('Origin',(-10.9544511528239,-28.8000000149012,-31.9)); #594291=CARTESIAN_POINT('',(-10.9178752538946,-28.8000000149012,-31.9)); #594292=CARTESIAN_POINT('Origin',(0.,0.,-31.9)); #594293=CARTESIAN_POINT('',(-10.9178752538946,28.8000000149012,-31.9)); #594294=CARTESIAN_POINT('Origin',(10.9544511528239,28.8000000149012,-31.9)); #594295=CARTESIAN_POINT('Origin',(0.,4.35207425653061E-13,-51.5)); #594296=CARTESIAN_POINT('',(17.9999999881658,-1.39999999999957,-51.5)); #594297=CARTESIAN_POINT('',(16.5999999999988,4.35207425653061E-13,-51.5)); #594298=CARTESIAN_POINT('Origin',(17.9999999999988,4.35207425653061E-13, -51.5)); #594299=CARTESIAN_POINT('',(16.5999999999988,-1.39999999999957,-51.5)); #594300=CARTESIAN_POINT('',(8.29999999999939,-1.39999999999956,-51.5)); #594301=CARTESIAN_POINT('',(16.5999999999988,4.35207425653061E-13,-51.5)); #594302=CARTESIAN_POINT('Origin',(17.9999999881658,-1.39999999999957,-51.7)); #594303=CARTESIAN_POINT('',(16.5999999999988,-1.39999999999957,-51.7)); #594304=CARTESIAN_POINT('',(19.3999999999988,-1.39999999999957,-51.7)); #594305=CARTESIAN_POINT('',(8.99999999408288,-1.39999999999956,-51.7)); #594306=CARTESIAN_POINT('',(16.5999999999988,-1.39999999999957,-51.7)); #594307=CARTESIAN_POINT('',(19.3999999999988,-1.39999999999957,-51.5)); #594308=CARTESIAN_POINT('',(8.99999999408288,-1.39999999999956,-51.5)); #594309=CARTESIAN_POINT('',(19.3999999999988,-1.39999999999957,-51.7)); #594310=CARTESIAN_POINT('Origin',(0.,4.35207425653061E-13,-51.5)); #594311=CARTESIAN_POINT('',(19.3999999999988,4.35207425653061E-13,-51.5)); #594312=CARTESIAN_POINT('Origin',(17.9999999999988,4.35207425653061E-13, -51.5)); #594313=CARTESIAN_POINT('',(19.3999999999988,-0.699999999999565,-51.5)); #594314=CARTESIAN_POINT('Origin',(0.,4.35207425653061E-13,-51.5)); #594315=CARTESIAN_POINT('',(18.0000000118318,1.40000000000044,-51.5)); #594316=CARTESIAN_POINT('Origin',(17.9999999999988,4.35207425653061E-13, -51.5)); #594317=CARTESIAN_POINT('',(19.3999999999988,1.40000000000044,-51.5)); #594318=CARTESIAN_POINT('',(9.69999999999939,1.40000000000044,-51.5)); #594319=CARTESIAN_POINT('',(19.3999999999988,4.35207425653061E-13,-51.5)); #594320=CARTESIAN_POINT('Origin',(18.0000000118318,1.40000000000044,-51.7)); #594321=CARTESIAN_POINT('',(19.3999999999988,1.40000000000044,-51.7)); #594322=CARTESIAN_POINT('',(16.5999999999988,1.40000000000044,-51.7)); #594323=CARTESIAN_POINT('',(9.69999999999939,1.40000000000044,-51.7)); #594324=CARTESIAN_POINT('',(19.3999999999988,1.40000000000044,-51.7)); #594325=CARTESIAN_POINT('',(16.5999999999988,1.40000000000044,-51.5)); #594326=CARTESIAN_POINT('',(9.0000000059159,1.40000000000044,-51.5)); #594327=CARTESIAN_POINT('',(16.5999999999988,1.40000000000044,-51.7)); #594328=CARTESIAN_POINT('Origin',(0.,4.35207425653061E-13,-51.5)); #594329=CARTESIAN_POINT('Origin',(17.9999999999988,4.35207425653061E-13, -51.5)); #594330=CARTESIAN_POINT('',(16.5999999999988,0.700000000000436,-51.5)); #594331=CARTESIAN_POINT('Origin',(0.,4.35207425653061E-13,-51.5)); #594332=CARTESIAN_POINT('',(-17.9999999881658,-1.39999999999957,-51.5)); #594333=CARTESIAN_POINT('',(-16.5999999999988,-1.39999999999957,-51.5)); #594334=CARTESIAN_POINT('',(-8.99999999408288,-1.39999999999956,-51.5)); #594335=CARTESIAN_POINT('',(-16.5999999999988,4.35207425653061E-13,-51.5)); #594336=CARTESIAN_POINT('Origin',(-17.9999999999988,4.35207425653061E-13, -51.5)); #594337=CARTESIAN_POINT('',(-16.5999999999988,-0.699999999999565,-51.5)); #594338=CARTESIAN_POINT('Origin',(0.,4.35207425653061E-13,-51.5)); #594339=CARTESIAN_POINT('',(-16.5999999999988,1.40000000000044,-51.5)); #594340=CARTESIAN_POINT('',(-16.5999999999988,4.35207425653061E-13,-51.5)); #594341=CARTESIAN_POINT('',(-17.9999999881658,1.40000000000044,-51.5)); #594342=CARTESIAN_POINT('Origin',(-17.9999999999988,4.35207425653061E-13, -51.5)); #594343=CARTESIAN_POINT('',(-8.29999999999939,1.40000000000044,-51.5)); #594344=CARTESIAN_POINT('Origin',(-17.9999999881658,1.40000000000044,-51.7)); #594345=CARTESIAN_POINT('',(-16.5999999999988,1.40000000000044,-51.7)); #594346=CARTESIAN_POINT('',(-19.3999999999988,1.40000000000044,-51.7)); #594347=CARTESIAN_POINT('',(-8.29999999999939,1.40000000000044,-51.7)); #594348=CARTESIAN_POINT('',(-16.5999999999988,1.40000000000044,-51.7)); #594349=CARTESIAN_POINT('',(-19.3999999999988,1.40000000000044,-51.5)); #594350=CARTESIAN_POINT('',(-8.99999999408288,1.40000000000044,-51.5)); #594351=CARTESIAN_POINT('',(-19.3999999999988,1.40000000000044,-51.7)); #594352=CARTESIAN_POINT('Origin',(0.,4.35207425653061E-13,-51.5)); #594353=CARTESIAN_POINT('',(-19.3999999999988,4.35035975101181E-13,-51.5)); #594354=CARTESIAN_POINT('Origin',(-17.9999999999988,4.35207425653061E-13, -51.5)); #594355=CARTESIAN_POINT('',(-19.3999999999988,0.700000000000436,-51.5)); #594356=CARTESIAN_POINT('Origin',(-19.3999999999988,-1.39999999999957,-51.7)); #594357=CARTESIAN_POINT('',(-19.3999999999988,-1.39999999999957,-51.7)); #594358=CARTESIAN_POINT('',(-16.5999999999988,-1.39999999999957,-51.7)); #594359=CARTESIAN_POINT('',(-9.69999999999939,-1.39999999999956,-51.7)); #594360=CARTESIAN_POINT('',(-19.3999999999988,-1.39999999999957,-51.5)); #594361=CARTESIAN_POINT('',(-19.3999999999988,-1.39999999999957,-51.7)); #594362=CARTESIAN_POINT('',(-9.69999999999939,-1.39999999999956,-51.5)); #594363=CARTESIAN_POINT('',(-16.5999999999988,-1.39999999999957,-51.7)); #594364=CARTESIAN_POINT('Origin',(0.,4.35207425653061E-13,-51.5)); #594365=CARTESIAN_POINT('',(-19.3999999999988,4.35207425653061E-13,-51.5)); #594366=CARTESIAN_POINT('Origin',(-17.9999999999988,4.35207425653061E-13, -51.5)); #594367=CARTESIAN_POINT('Origin',(0.,4.35207425653061E-13,-51.7)); #594368=CARTESIAN_POINT('',(19.3999999999988,-3.49999999999956,-51.7)); #594369=CARTESIAN_POINT('',(19.3999999999988,-1.74999999999956,-51.7)); #594370=CARTESIAN_POINT('',(16.5999999999988,-3.49999999999957,-51.7)); #594371=CARTESIAN_POINT('',(8.29999999999939,-3.49999999999957,-51.7)); #594372=CARTESIAN_POINT('',(16.5999999999988,4.35207425653061E-13,-51.7)); #594373=CARTESIAN_POINT('Origin',(0.,4.35207425653061E-13,-51.7)); #594374=CARTESIAN_POINT('',(-16.5999999999988,-3.49999999999957,-51.7)); #594375=CARTESIAN_POINT('',(-16.5999999999988,-1.74999999999957,-51.7)); #594376=CARTESIAN_POINT('',(-19.3999999999988,-3.49999999999956,-51.7)); #594377=CARTESIAN_POINT('',(-9.69999999999939,-3.49999999999957,-51.7)); #594378=CARTESIAN_POINT('',(-19.3999999999988,4.35207425653061E-13,-51.7)); #594379=CARTESIAN_POINT('Origin',(19.3999999999988,-3.49999999999956,-51.9)); #594380=CARTESIAN_POINT('',(19.3999999999988,-3.49999999999956,-51.9)); #594381=CARTESIAN_POINT('',(19.3999999999988,3.50000000000044,-51.9)); #594382=CARTESIAN_POINT('',(19.3999999999988,-1.74999999999979,-51.9)); #594383=CARTESIAN_POINT('',(19.3999999999988,-3.49999999999956,-51.9)); #594384=CARTESIAN_POINT('',(19.3999999999988,3.50000000000044,-51.7)); #594385=CARTESIAN_POINT('',(19.3999999999988,4.35207425653061E-13,-51.7)); #594386=CARTESIAN_POINT('',(19.3999999999988,3.50000000000044,-51.9)); #594387=CARTESIAN_POINT('Origin',(16.5999999999988,-3.49999999999957,-51.9)); #594388=CARTESIAN_POINT('',(16.5999999999988,-3.49999999999957,-51.9)); #594389=CARTESIAN_POINT('',(10.0500000000012,-3.49999999999957,-51.9)); #594390=CARTESIAN_POINT('',(16.5999999999988,-3.49999999999957,-51.9)); #594391=CARTESIAN_POINT('Origin',(16.5999999999988,3.50000000000044,-51.9)); #594392=CARTESIAN_POINT('',(16.5999999999988,3.50000000000044,-51.9)); #594393=CARTESIAN_POINT('',(16.5999999999988,2.08721928629529E-13,-51.9)); #594394=CARTESIAN_POINT('',(16.5999999999988,3.50000000000044,-51.7)); #594395=CARTESIAN_POINT('',(16.5999999999988,3.50000000000044,-51.9)); #594396=CARTESIAN_POINT('',(16.5999999999988,1.75000000000043,-51.7)); #594397=CARTESIAN_POINT('Origin',(19.3999999999988,3.50000000000044,-51.9)); #594398=CARTESIAN_POINT('',(7.95,3.50000000000043,-51.9)); #594399=CARTESIAN_POINT('',(9.69999999999939,3.50000000000043,-51.7)); #594400=CARTESIAN_POINT('Origin',(0.,4.35207425653061E-13,-51.7)); #594401=CARTESIAN_POINT('Origin',(-16.5999999999988,3.50000000000044,-51.9)); #594402=CARTESIAN_POINT('',(-16.5999999999988,3.50000000000043,-51.9)); #594403=CARTESIAN_POINT('',(-19.3999999999988,3.50000000000044,-51.9)); #594404=CARTESIAN_POINT('',(-10.0500000000012,3.50000000000043,-51.9)); #594405=CARTESIAN_POINT('',(-16.5999999999988,3.50000000000043,-51.7)); #594406=CARTESIAN_POINT('',(-16.5999999999988,3.50000000000043,-51.9)); #594407=CARTESIAN_POINT('',(-19.3999999999988,3.50000000000044,-51.7)); #594408=CARTESIAN_POINT('',(-8.29999999999939,3.50000000000043,-51.7)); #594409=CARTESIAN_POINT('',(-19.3999999999988,3.50000000000044,-51.9)); #594410=CARTESIAN_POINT('Origin',(0.,4.35207425653061E-13,-51.7)); #594411=CARTESIAN_POINT('',(-19.3999999999988,1.75000000000044,-51.7)); #594412=CARTESIAN_POINT('',(-16.5999999999988,4.35207425653061E-13,-51.7)); #594413=CARTESIAN_POINT('Origin',(-16.5999999999988,-3.49999999999957,-51.9)); #594414=CARTESIAN_POINT('',(-16.5999999999988,-3.49999999999957,-51.9)); #594415=CARTESIAN_POINT('',(-16.5999999999988,2.08721928629529E-13,-51.9)); #594416=CARTESIAN_POINT('',(-16.5999999999988,-3.49999999999957,-51.9)); #594417=CARTESIAN_POINT('Origin',(-19.3999999999988,-3.49999999999956,-51.9)); #594418=CARTESIAN_POINT('',(-19.3999999999988,-3.49999999999956,-51.9)); #594419=CARTESIAN_POINT('',(-7.95,-3.49999999999957,-51.9)); #594420=CARTESIAN_POINT('',(-19.3999999999988,-3.49999999999956,-51.9)); #594421=CARTESIAN_POINT('Origin',(-19.3999999999988,4.35035975101181E-13, -51.9)); #594422=CARTESIAN_POINT('',(-19.3999999999988,2.08636203353589E-13,-51.9)); #594423=CARTESIAN_POINT('Origin',(-2.22044604925031E-15,-1.77635683940025E-14, -51.9)); #594424=CARTESIAN_POINT('',(15.9,-3.49999999999957,-51.9)); #594425=CARTESIAN_POINT('',(10.0500000000012,-3.49999999999957,-51.9)); #594426=CARTESIAN_POINT('',(15.9000000000001,3.50000000000043,-51.9)); #594427=CARTESIAN_POINT('',(15.9000000000001,15.7493634590772,-51.9)); #594428=CARTESIAN_POINT('',(7.95,3.50000000000043,-51.9)); #594429=CARTESIAN_POINT('Origin',(-2.22044604925031E-15,-1.77635683940025E-14, -51.9)); #594430=CARTESIAN_POINT('',(-20.1000000000024,-3.49999999999957,-51.9)); #594431=CARTESIAN_POINT('',(-7.95,-3.49999999999957,-51.9)); #594432=CARTESIAN_POINT('',(-20.1000000000024,3.50000000000043,-51.9)); #594433=CARTESIAN_POINT('',(-20.1000000000024,14.4997396309759,-51.9)); #594434=CARTESIAN_POINT('',(-10.0500000000012,3.50000000000043,-51.9)); #594435=CARTESIAN_POINT('Origin',(-2.22044604925031E-15,-1.77635683940025E-14, -51.9)); #594436=CARTESIAN_POINT('',(-15.9,3.50000000000043,-51.9)); #594437=CARTESIAN_POINT('',(-10.0500000000012,3.50000000000043,-51.9)); #594438=CARTESIAN_POINT('',(-15.9,-3.49999999999957,-51.9)); #594439=CARTESIAN_POINT('',(-15.9,-15.7493634590773,-51.9)); #594440=CARTESIAN_POINT('',(-7.95,-3.49999999999957,-51.9)); #594441=CARTESIAN_POINT('Origin',(10.0500000000012,-4.24999999999956,-52.65)); #594442=CARTESIAN_POINT('',(15.9,-4.99999999999956,-53.4)); #594443=CARTESIAN_POINT('',(15.9,-6.81249999999968,-55.2125000000001)); #594444=CARTESIAN_POINT('',(20.1000000000024,-3.49999999999957,-51.9)); #594445=CARTESIAN_POINT('',(10.0500000000012,-3.49999999999957,-51.9)); #594446=CARTESIAN_POINT('',(20.1000000000024,-4.99999999999957,-53.4)); #594447=CARTESIAN_POINT('',(20.1000000000024,-11.4998698154876,-59.899869815488)); #594448=CARTESIAN_POINT('',(10.0500000000012,-4.99999999999957,-53.4)); #594449=CARTESIAN_POINT('Origin',(-2.22044604925031E-15,-1.77635683940025E-14, -51.9)); #594450=CARTESIAN_POINT('',(20.1000000000024,3.50000000000043,-51.9)); #594451=CARTESIAN_POINT('',(7.95,3.50000000000043,-51.9)); #594452=CARTESIAN_POINT('',(20.1000000000024,-14.4997396309759,-51.9)); #594453=CARTESIAN_POINT('Origin',(7.95,4.25000000000043,-52.65)); #594454=CARTESIAN_POINT('',(20.1000000000024,5.00000000000044,-53.4)); #594455=CARTESIAN_POINT('',(20.1000000000024,-2.99986981548759,-45.4001301845119)); #594456=CARTESIAN_POINT('',(15.9,5.00000000000044,-53.4)); #594457=CARTESIAN_POINT('',(15.9,1.68750000000034,-50.0874999999999)); #594458=CARTESIAN_POINT('',(7.95,5.00000000000043,-53.4)); #594459=CARTESIAN_POINT('Origin',(-7.95,-4.24999999999956,-52.65)); #594460=CARTESIAN_POINT('',(-20.1000000000024,-4.99999999999957,-53.4)); #594461=CARTESIAN_POINT('',(-20.1000000000024,2.99986981548824,-45.4001301845122)); #594462=CARTESIAN_POINT('',(-15.9,-4.99999999999956,-53.4)); #594463=CARTESIAN_POINT('',(-15.9,-1.68749999999969,-50.0875000000001)); #594464=CARTESIAN_POINT('',(-7.95,-4.99999999999957,-53.4)); #594465=CARTESIAN_POINT('Origin',(-10.0500000000012,4.25000000000043,-52.65)); #594466=CARTESIAN_POINT('',(-15.9,5.00000000000044,-53.4)); #594467=CARTESIAN_POINT('',(-15.9,6.81250000000033,-55.2124999999999)); #594468=CARTESIAN_POINT('',(-20.1000000000024,5.00000000000044,-53.4)); #594469=CARTESIAN_POINT('',(-20.1000000000024,11.4998698154883,-59.8998698154878)); #594470=CARTESIAN_POINT('',(-10.0500000000012,5.00000000000043,-53.4)); #594471=CARTESIAN_POINT('Origin',(7.95,4.25000000000043,-55.15)); #594472=CARTESIAN_POINT('',(20.1000000000024,3.50000000000044,-55.9)); #594473=CARTESIAN_POINT('',(20.1000000000024,5.00000000000044,-54.4)); #594474=CARTESIAN_POINT('',(20.1000000000024,-4.49986981548759,-63.8998698154881)); #594475=CARTESIAN_POINT('',(15.9,5.00000000000044,-54.4)); #594476=CARTESIAN_POINT('',(7.95,5.00000000000043,-54.4)); #594477=CARTESIAN_POINT('',(15.9,3.50000000000044,-55.9)); #594478=CARTESIAN_POINT('',(15.9,0.187500000000335,-59.2125000000001)); #594479=CARTESIAN_POINT('',(7.95,3.50000000000043,-55.9)); #594480=CARTESIAN_POINT('Origin',(15.9,5.00000000000044,-56.9)); #594481=CARTESIAN_POINT('',(15.9,5.00000000000044,-56.9)); #594482=CARTESIAN_POINT('',(20.1000000000024,5.00000000000044,-56.9)); #594483=CARTESIAN_POINT('Origin',(10.0500000000012,-4.24999999999956,-55.15)); #594484=CARTESIAN_POINT('',(20.1000000000024,-4.99999999999957,-54.4)); #594485=CARTESIAN_POINT('',(20.1000000000024,-3.49999999999957,-55.9)); #594486=CARTESIAN_POINT('',(20.1000000000024,-9.99986981548759,-49.400130184512)); #594487=CARTESIAN_POINT('',(15.9,-3.49999999999957,-55.9)); #594488=CARTESIAN_POINT('',(10.0500000000012,-3.49999999999957,-55.9)); #594489=CARTESIAN_POINT('',(15.9,-4.99999999999956,-54.4)); #594490=CARTESIAN_POINT('',(15.9,-5.31249999999967,-54.0874999999999)); #594491=CARTESIAN_POINT('',(10.0500000000012,-4.99999999999957,-54.4)); #594492=CARTESIAN_POINT('Origin',(0.,0.,-55.9)); #594493=CARTESIAN_POINT('',(15.9,-5.125,-55.9)); #594494=CARTESIAN_POINT('',(20.1000000000024,-18.0274790474033,-55.9)); #594495=CARTESIAN_POINT('',(20.1000000000024,-14.4997396309759,-55.9)); #594496=CARTESIAN_POINT('',(20.1000000000024,18.0274790474033,-55.9)); #594497=CARTESIAN_POINT('Origin',(0.,0.,-55.9)); #594498=CARTESIAN_POINT('',(20.1000000000024,2.50000000000022,-55.9)); #594499=CARTESIAN_POINT('Origin',(-7.95,-4.24999999999956,-55.15)); #594500=CARTESIAN_POINT('',(-20.1000000000024,-3.49999999999957,-55.9)); #594501=CARTESIAN_POINT('',(-20.1000000000024,-4.99999999999957,-54.4)); #594502=CARTESIAN_POINT('',(-20.1000000000024,4.49986981548824,-63.8998698154878)); #594503=CARTESIAN_POINT('',(-15.9,-4.99999999999956,-54.4)); #594504=CARTESIAN_POINT('',(-7.95,-4.99999999999957,-54.4)); #594505=CARTESIAN_POINT('',(-15.9,-3.49999999999957,-55.9)); #594506=CARTESIAN_POINT('',(-15.9,-0.187499999999683,-59.2124999999999)); #594507=CARTESIAN_POINT('',(-7.95,-3.49999999999957,-55.9)); #594508=CARTESIAN_POINT('Origin',(-15.9,-4.99999999999957,-56.9)); #594509=CARTESIAN_POINT('',(-15.9,-4.99999999999956,-56.9)); #594510=CARTESIAN_POINT('',(-20.1000000000024,-4.99999999999957,-56.9)); #594511=CARTESIAN_POINT('Origin',(-10.0500000000012,4.25000000000043,-55.15)); #594512=CARTESIAN_POINT('',(-20.1000000000024,5.00000000000044,-54.4)); #594513=CARTESIAN_POINT('',(-20.1000000000024,3.50000000000044,-55.9)); #594514=CARTESIAN_POINT('',(-20.1000000000024,9.99986981548825,-49.4001301845122)); #594515=CARTESIAN_POINT('',(-15.9,3.50000000000044,-55.9)); #594516=CARTESIAN_POINT('',(-10.0500000000012,3.50000000000043,-55.9)); #594517=CARTESIAN_POINT('',(-15.9,5.00000000000044,-54.4)); #594518=CARTESIAN_POINT('',(-15.9,5.31250000000032,-54.0875000000001)); #594519=CARTESIAN_POINT('',(-10.0500000000012,5.00000000000043,-54.4)); #594520=CARTESIAN_POINT('Origin',(0.,0.,-55.9)); #594521=CARTESIAN_POINT('',(-15.9,5.125,-55.9)); #594522=CARTESIAN_POINT('',(-20.1000000000024,18.0274790474033,-55.9)); #594523=CARTESIAN_POINT('',(-20.1000000000024,14.4997396309759,-55.9)); #594524=CARTESIAN_POINT('',(-27.0000000149012,3.30654635952272E-15,-55.9)); #594525=CARTESIAN_POINT('Origin',(0.,0.,-55.9)); #594526=CARTESIAN_POINT('',(-20.1000000000024,-18.0274790474033,-55.9)); #594527=CARTESIAN_POINT('Origin',(0.,0.,-55.9)); #594528=CARTESIAN_POINT('',(-20.1000000000024,-2.49999999999978,-55.9)); #594529=CARTESIAN_POINT('Origin',(-17.9999999999988,4.35207425653061E-13, -50.9)); #594530=CARTESIAN_POINT('',(-19.3999999999988,4.35378876204942E-13,-50.9)); #594531=CARTESIAN_POINT('Origin',(-17.9999999999988,4.35207425653061E-13, -50.9)); #594532=CARTESIAN_POINT('',(-19.3999999999988,4.35378876204942E-13,-50.9)); #594533=CARTESIAN_POINT('Origin',(17.9999999999988,4.35207425653061E-13, -50.9)); #594534=CARTESIAN_POINT('',(16.5999999999988,4.35378876204942E-13,-50.9)); #594535=CARTESIAN_POINT('Origin',(17.9999999999988,4.35207425653061E-13, -50.9)); #594536=CARTESIAN_POINT('',(16.5999999999988,4.35378876204942E-13,-50.9)); #594537=CARTESIAN_POINT('Origin',(-20.1000000000024,5.00000000000044,-56.9)); #594538=CARTESIAN_POINT('',(-20.1000000000024,5.00000000000044,-56.9)); #594539=CARTESIAN_POINT('',(-15.9,5.00000000000044,-56.9)); #594540=CARTESIAN_POINT('Origin',(-20.1000000000024,28.9994792619518,-56.9)); #594541=CARTESIAN_POINT('',(-20.1000000000024,18.0274790474033,-50.9)); #594542=CARTESIAN_POINT('',(-20.1000000000024,18.0274790474033,-43.9)); #594543=CARTESIAN_POINT('',(-20.1000000000024,-18.0274790474033,-50.9)); #594544=CARTESIAN_POINT('',(-20.1000000000024,-18.0274790474033,-43.9)); #594545=CARTESIAN_POINT('',(-20.1000000000024,-2.49999999999979,-50.9)); #594546=CARTESIAN_POINT('Origin',(-15.9,10.25,-56.9)); #594547=CARTESIAN_POINT('',(-15.9,-21.8217781311391,-50.9)); #594548=CARTESIAN_POINT('',(-15.9,21.8217781311391,-50.9)); #594549=CARTESIAN_POINT('',(-15.9,2.50000000000021,-50.9)); #594550=CARTESIAN_POINT('',(-15.9,-21.8217781311391,-55.9)); #594551=CARTESIAN_POINT('',(-15.9,-21.8217781311391,-43.9)); #594552=CARTESIAN_POINT('',(-15.9,-10.25,-55.9)); #594553=CARTESIAN_POINT('',(-15.9,-15.7493634590772,-55.9)); #594554=CARTESIAN_POINT('',(-15.9,-10.25,-56.9)); #594555=CARTESIAN_POINT('',(-15.9,-10.25,-56.9)); #594556=CARTESIAN_POINT('',(-15.9,10.25,-56.9)); #594557=CARTESIAN_POINT('',(-15.9,5.125,-56.9)); #594558=CARTESIAN_POINT('',(-15.9,10.25,-55.9)); #594559=CARTESIAN_POINT('',(-15.9,10.25,-56.9)); #594560=CARTESIAN_POINT('',(-15.9,21.8217781311391,-55.9)); #594561=CARTESIAN_POINT('',(-15.9,2.50000000000022,-55.9)); #594562=CARTESIAN_POINT('',(-15.9,21.8217781311391,-43.9)); #594563=CARTESIAN_POINT('Origin',(20.1000000000024,-4.99999999999957,-56.9)); #594564=CARTESIAN_POINT('',(20.1000000000024,-4.99999999999957,-56.9)); #594565=CARTESIAN_POINT('',(15.9,-4.99999999999956,-56.9)); #594566=CARTESIAN_POINT('Origin',(15.9,-10.25,-56.9)); #594567=CARTESIAN_POINT('',(15.9,21.8217781311391,-50.9)); #594568=CARTESIAN_POINT('',(15.9,-21.8217781311392,-50.9)); #594569=CARTESIAN_POINT('',(15.9,-2.49999999999982,-50.9)); #594570=CARTESIAN_POINT('',(15.9,21.8217781311391,-55.9)); #594571=CARTESIAN_POINT('',(15.9,21.8217781311391,-43.9)); #594572=CARTESIAN_POINT('',(15.9,10.25,-55.9)); #594573=CARTESIAN_POINT('',(15.9,15.7493634590772,-55.9)); #594574=CARTESIAN_POINT('',(15.9,10.25,-56.9)); #594575=CARTESIAN_POINT('',(15.9,10.25,-56.9)); #594576=CARTESIAN_POINT('',(15.9,-10.25,-56.9)); #594577=CARTESIAN_POINT('',(15.9,-5.125,-56.9)); #594578=CARTESIAN_POINT('',(15.9,-10.25,-55.9)); #594579=CARTESIAN_POINT('',(15.9,-10.25,-56.9)); #594580=CARTESIAN_POINT('',(15.9,-21.8217781311392,-55.9)); #594581=CARTESIAN_POINT('',(15.9,-2.49999999999981,-55.9)); #594582=CARTESIAN_POINT('',(15.9,-21.8217781311392,-43.9)); #594583=CARTESIAN_POINT('Origin',(-2.22044604925031E-15,-1.99840144432528E-14, -50.9)); #594584=CARTESIAN_POINT('Origin',(0.,0.,-50.9)); #594585=CARTESIAN_POINT('Origin',(0.,0.,-50.9)); #594586=CARTESIAN_POINT('Origin',(0.,0.,-56.9)); #594587=CARTESIAN_POINT('',(-35.2842712474619,4.32107698434512E-15,-56.9)); #594588=CARTESIAN_POINT('Origin',(0.,0.,-56.9)); #594589=CARTESIAN_POINT('',(-7.95,-10.25,-56.9)); #594590=CARTESIAN_POINT('',(7.95,10.25,-56.9)); #594591=CARTESIAN_POINT('Origin',(20.1000000000024,-28.9994792619517,-56.9)); #594592=CARTESIAN_POINT('',(20.1000000000024,-18.0274790474033,-50.9)); #594593=CARTESIAN_POINT('',(20.1000000000024,-18.0274790474033,-43.9)); #594594=CARTESIAN_POINT('',(20.1000000000024,18.0274790474033,-50.9)); #594595=CARTESIAN_POINT('',(20.1000000000024,18.0274790474033,-43.9)); #594596=CARTESIAN_POINT('',(20.1000000000024,2.50000000000021,-50.9)); #594597=CARTESIAN_POINT('Origin',(-2.22044604925031E-15,-1.99840144432528E-14, -50.9)); #594598=CARTESIAN_POINT('Origin',(0.,0.,-50.9)); #594599=CARTESIAN_POINT('Origin',(0.,0.,-50.9)); #594600=CARTESIAN_POINT('Origin',(0.,0.,-43.9)); #594601=CARTESIAN_POINT('Origin',(0.,0.,-55.9)); #594602=CARTESIAN_POINT('Origin',(0.,0.,-55.9)); #594603=CARTESIAN_POINT('',(-27.0000000149012,3.30654635952272E-15,-43.9)); #594604=CARTESIAN_POINT('',(-27.0000000149012,3.30654635952272E-15,-43.9)); #594605=CARTESIAN_POINT('',(2.22044604925031E-15,-27.0000000149012,-43.9)); #594606=CARTESIAN_POINT('Origin',(0.,0.,-43.9)); #594607=CARTESIAN_POINT('',(2.22044604925031E-15,27.0000000149012,-43.9)); #594608=CARTESIAN_POINT('Origin',(0.,0.,-43.9)); #594609=CARTESIAN_POINT('Origin',(0.,0.,-43.9)); #594610=CARTESIAN_POINT('Origin',(0.,0.,-55.9)); #594611=CARTESIAN_POINT('',(-7.95,-10.25,-55.9)); #594612=CARTESIAN_POINT('Origin',(0.,0.,-55.9)); #594613=CARTESIAN_POINT('',(7.95,10.25,-55.9)); #594614=CARTESIAN_POINT('Origin',(0.,0.,-33.9)); #594615=CARTESIAN_POINT('',(-35.2842712474619,-4.32107698434512E-15,-33.9)); #594616=CARTESIAN_POINT('',(-35.2842712474619,4.32107698434512E-15,-33.9)); #594617=CARTESIAN_POINT('Origin',(0.,0.,-33.9)); #594618=CARTESIAN_POINT('Origin',(15.9,10.25,-56.9)); #594619=CARTESIAN_POINT('Origin',(-15.9,-10.25,-56.9)); #594620=CARTESIAN_POINT('Origin',(10.5830052470744,-27.0000000149012,-55.9)); #594621=CARTESIAN_POINT('',(-10.5830052470744,-27.0000000149012,-43.9)); #594622=CARTESIAN_POINT('',(1.11022302462516E-15,-27.0000000149012,-43.9)); #594623=CARTESIAN_POINT('',(-10.5830052470744,-27.0000000149012,-33.9)); #594624=CARTESIAN_POINT('',(-10.5830052470744,-27.0000000149012,-33.9)); #594625=CARTESIAN_POINT('',(10.5830052470744,-27.0000000149012,-33.9)); #594626=CARTESIAN_POINT('',(5.29150262353721,-27.0000000149012,-33.9)); #594627=CARTESIAN_POINT('',(10.5830052470744,-27.0000000149012,-43.9)); #594628=CARTESIAN_POINT('',(10.5830052470744,-27.0000000149012,-33.9)); #594629=CARTESIAN_POINT('',(5.29150262353721,-27.0000000149012,-43.9)); #594630=CARTESIAN_POINT('Origin',(0.,0.,-33.9)); #594631=CARTESIAN_POINT('',(10.5830052470744,27.0000000149012,-33.9)); #594632=CARTESIAN_POINT('Origin',(0.,0.,-33.9)); #594633=CARTESIAN_POINT('',(10.5830052470744,27.0000000149012,-43.9)); #594634=CARTESIAN_POINT('',(10.5830052470744,27.0000000149012,-33.9)); #594635=CARTESIAN_POINT('Origin',(0.,0.,-43.9)); #594636=CARTESIAN_POINT('Origin',(0.,0.,-33.9)); #594637=CARTESIAN_POINT('',(-10.5830052470744,27.0000000149012,-43.9)); #594638=CARTESIAN_POINT('Origin',(0.,0.,-43.9)); #594639=CARTESIAN_POINT('',(-10.5830052470744,27.0000000149012,-33.9)); #594640=CARTESIAN_POINT('',(-10.5830052470744,27.0000000149012,-33.9)); #594641=CARTESIAN_POINT('Origin',(0.,0.,-33.9)); #594642=CARTESIAN_POINT('Origin',(-10.5830052470744,27.0000000149012,-55.9)); #594643=CARTESIAN_POINT('',(-5.29150262353721,27.0000000149012,-43.9)); #594644=CARTESIAN_POINT('',(1.11022302462516E-15,27.0000000149012,-43.9)); #594645=CARTESIAN_POINT('',(-5.29150262353721,27.0000000149012,-33.9)); #594646=CARTESIAN_POINT('Origin',(0.,0.,-43.9)); #594647=CARTESIAN_POINT('Origin',(0.,0.,-43.9)); #594648=CARTESIAN_POINT('Origin',(0.,0.,-33.9)); #594649=CARTESIAN_POINT('Origin',(18.8584624794272,11.0944687749765,-20.9)); #594650=CARTESIAN_POINT('',(18.2123151937553,10.,-20.9)); #594651=CARTESIAN_POINT('',(19.5046097650991,12.188937549953,-20.9)); #594652=CARTESIAN_POINT('',(13.9928836809891,2.85296689891295,-20.9)); #594653=CARTESIAN_POINT('',(19.5046097650991,12.188937549953,-21.9)); #594654=CARTESIAN_POINT('',(19.5046097650991,12.188937549953,-20.9)); #594655=CARTESIAN_POINT('',(18.2123151937553,10.,-21.9)); #594656=CARTESIAN_POINT('',(13.9928836809891,2.85296689891295,-21.9)); #594657=CARTESIAN_POINT('',(18.2123151937553,10.,-20.9)); #594658=CARTESIAN_POINT('Origin',(0.,0.,-20.9)); #594659=CARTESIAN_POINT('',(-19.5046097650991,12.188937549953,-20.9)); #594660=CARTESIAN_POINT('Origin',(0.,0.,-20.9)); #594661=CARTESIAN_POINT('',(-19.5046097650991,12.188937549953,-21.9)); #594662=CARTESIAN_POINT('',(-19.5046097650991,12.188937549953,-20.9)); #594663=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #594664=CARTESIAN_POINT('Origin',(18.8584624794272,-11.0944687749765,-20.9)); #594665=CARTESIAN_POINT('',(19.5046097650991,-12.188937549953,-20.9)); #594666=CARTESIAN_POINT('',(18.2123151937553,-10.,-20.9)); #594667=CARTESIAN_POINT('',(13.9928836809891,-2.85296689891295,-20.9)); #594668=CARTESIAN_POINT('',(18.2123151937553,-10.,-21.9)); #594669=CARTESIAN_POINT('',(18.2123151937553,-10.,-20.9)); #594670=CARTESIAN_POINT('',(19.5046097650991,-12.188937549953,-21.9)); #594671=CARTESIAN_POINT('',(13.9928836809891,-2.85296689891295,-21.9)); #594672=CARTESIAN_POINT('',(19.5046097650991,-12.188937549953,-20.9)); #594673=CARTESIAN_POINT('Origin',(-20.7123151937553,-10.,-20.9)); #594674=CARTESIAN_POINT('',(-18.2123151937553,-10.,-20.9)); #594675=CARTESIAN_POINT('',(-10.3561575968777,-10.,-20.9)); #594676=CARTESIAN_POINT('',(-18.2123151937553,-10.,-21.9)); #594677=CARTESIAN_POINT('',(-18.2123151937553,-10.,-20.9)); #594678=CARTESIAN_POINT('',(-10.3561575968777,-10.,-21.9)); #594679=CARTESIAN_POINT('Origin',(-18.8584624794272,-11.0944687749765,-20.9)); #594680=CARTESIAN_POINT('',(-19.5046097650991,-12.188937549953,-20.9)); #594681=CARTESIAN_POINT('',(-13.9928836809891,-2.85296689891295,-20.9)); #594682=CARTESIAN_POINT('',(-19.5046097650991,-12.188937549953,-21.9)); #594683=CARTESIAN_POINT('',(-19.5046097650991,-12.188937549953,-20.9)); #594684=CARTESIAN_POINT('',(-13.9928836809891,-2.85296689891295,-21.9)); #594685=CARTESIAN_POINT('Origin',(0.,0.,-20.9)); #594686=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #594687=CARTESIAN_POINT('Origin',(0.,0.,-20.9)); #594688=CARTESIAN_POINT('Origin',(-18.8584624794272,11.0944687749765,-20.9)); #594689=CARTESIAN_POINT('',(-18.2123151937553,10.,-20.9)); #594690=CARTESIAN_POINT('',(-13.9928836809891,2.85296689891295,-20.9)); #594691=CARTESIAN_POINT('',(-18.2123151937553,10.,-21.9)); #594692=CARTESIAN_POINT('',(-18.2123151937553,10.,-20.9)); #594693=CARTESIAN_POINT('',(-13.9928836809891,2.85296689891295,-21.9)); #594694=CARTESIAN_POINT('Origin',(20.7123151937553,10.,-20.9)); #594695=CARTESIAN_POINT('',(10.3561575968777,10.,-21.9)); #594696=CARTESIAN_POINT('',(10.3561575968777,10.,-20.9)); #594697=CARTESIAN_POINT('Origin',(-1.199999809265,-5.900000007656,-21.9)); #594698=CARTESIAN_POINT('',(1.200000190735,-5.900000007656,-21.9)); #594699=CARTESIAN_POINT('',(-1.199999809265,-5.900000007656,-21.9)); #594700=CARTESIAN_POINT('',(-1.199999809265,-5.900000007656,-21.9)); #594701=CARTESIAN_POINT('',(1.200000190735,-5.900000007656,-20.9)); #594702=CARTESIAN_POINT('',(1.200000190735,-5.900000007656,-21.9)); #594703=CARTESIAN_POINT('',(-1.199999809265,-5.900000007656,-20.9)); #594704=CARTESIAN_POINT('',(-1.199999809265,-5.900000007656,-20.9)); #594705=CARTESIAN_POINT('',(-1.199999809265,-5.900000007656,-21.9)); #594706=CARTESIAN_POINT('Origin',(-1.199999809265,-7.100000007656,-21.9)); #594707=CARTESIAN_POINT('',(-1.199999809265,-7.100000007656,-21.9)); #594708=CARTESIAN_POINT('',(-1.199999809265,-7.100000007656,-21.9)); #594709=CARTESIAN_POINT('',(-1.199999809265,-7.100000007656,-20.9)); #594710=CARTESIAN_POINT('',(-1.199999809265,-7.100000007656,-20.9)); #594711=CARTESIAN_POINT('',(-1.199999809265,-7.100000007656,-21.9)); #594712=CARTESIAN_POINT('Origin',(1.200000190735,-7.100000007656,-21.9)); #594713=CARTESIAN_POINT('',(1.200000190735,-7.100000007656,-21.9)); #594714=CARTESIAN_POINT('',(1.200000190735,-7.100000007656,-21.9)); #594715=CARTESIAN_POINT('',(1.200000190735,-7.100000007656,-20.9)); #594716=CARTESIAN_POINT('',(1.200000190735,-7.100000007656,-20.9)); #594717=CARTESIAN_POINT('',(1.200000190735,-7.100000007656,-21.9)); #594718=CARTESIAN_POINT('Origin',(1.200000190735,-5.900000007656,-21.9)); #594719=CARTESIAN_POINT('',(1.200000190735,-5.900000007656,-21.9)); #594720=CARTESIAN_POINT('',(1.200000190735,-5.900000007656,-20.9)); #594721=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #594722=CARTESIAN_POINT('',(-3.75,4.59242549680257E-16,-20.9)); #594723=CARTESIAN_POINT('Origin',(0.,0.,-20.9)); #594724=CARTESIAN_POINT('',(-3.75,4.59242549680257E-16,-21.9)); #594725=CARTESIAN_POINT('',(-3.75,-4.59242549680257E-16,-21.9)); #594726=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #594727=CARTESIAN_POINT('Origin',(-15.7480157518085,-28.8000000149012,-21.9)); #594728=CARTESIAN_POINT('',(15.6971335002295,-28.8000000148779,-21.9)); #594729=CARTESIAN_POINT('',(-15.697133500076,-28.8000000149012,-21.9)); #594730=CARTESIAN_POINT('',(-7.87400787590426,-28.8000000149012,-21.9)); #594731=CARTESIAN_POINT('',(15.6971335002295,-28.8000000148779,-20.9)); #594732=CARTESIAN_POINT('',(15.697133500076,-28.8000000149012,-21.9)); #594733=CARTESIAN_POINT('',(-15.6971335002295,-28.8000000148779,-20.9)); #594734=CARTESIAN_POINT('',(-7.87400787590426,-28.8000000149012,-20.9)); #594735=CARTESIAN_POINT('',(-15.697133500076,-28.8000000149012,-21.9)); #594736=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #594737=CARTESIAN_POINT('',(-15.6971335002295,28.8000000148779,-21.9)); #594738=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #594739=CARTESIAN_POINT('',(-15.6971335002295,28.8000000148779,-20.9)); #594740=CARTESIAN_POINT('Origin',(0.,0.,-20.9)); #594741=CARTESIAN_POINT('',(-15.697133500076,28.8000000149012,-21.9)); #594742=CARTESIAN_POINT('Origin',(15.7480157518085,28.8000000149012,-21.9)); #594743=CARTESIAN_POINT('',(15.6971335002295,28.8000000148779,-21.9)); #594744=CARTESIAN_POINT('',(7.87400787590426,28.8000000149012,-21.9)); #594745=CARTESIAN_POINT('',(15.6971335002295,28.8000000148779,-20.9)); #594746=CARTESIAN_POINT('',(7.87400787590426,28.8000000149012,-20.9)); #594747=CARTESIAN_POINT('',(15.697133500076,28.8000000149012,-21.9)); #594748=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #594749=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #594750=CARTESIAN_POINT('Origin',(0.,0.,-20.9)); #594751=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #594752=CARTESIAN_POINT('Origin',(0.,0.,-20.9)); #594753=CARTESIAN_POINT('',(-34.,-34.,-6.5475)); #594754=CARTESIAN_POINT('',(-21.7499999999988,8.50000000000044,-49.3475)); #594755=CARTESIAN_POINT('',(0.,0.,0.)); #594756=CARTESIAN_POINT('',(0.,0.,0.)); #594757=CARTESIAN_POINT('Ctrl Pts',(21.4668975939166,-14.3112650626111, 20.)); #594758=CARTESIAN_POINT('Ctrl Pts',(21.4668975939166,-14.3112650626111, 33.3333333333353)); #594759=CARTESIAN_POINT('Ctrl Pts',(14.9999999999983,-10.0000000000007, 46.6666666666647)); #594760=CARTESIAN_POINT('Ctrl Pts',(14.9999999999983,-10.0000000000007, 60.)); #594761=CARTESIAN_POINT('Ctrl Pts',(21.9663323460348,-13.5621129344337, 20.)); #594762=CARTESIAN_POINT('Ctrl Pts',(21.9663323460348,-13.5621129344337, 33.3333333333353)); #594763=CARTESIAN_POINT('Ctrl Pts',(15.747998250853,-10.0000000000007,46.6666666666647)); #594764=CARTESIAN_POINT('Ctrl Pts',(15.747998250853,-10.0000000000007,60.)); #594765=CARTESIAN_POINT('Ctrl Pts',(22.8866550308112,-12.0114611503695, 20.)); #594766=CARTESIAN_POINT('Ctrl Pts',(22.8866550308112,-12.0114611503695, 33.3333333333353)); #594767=CARTESIAN_POINT('Ctrl Pts',(17.2408715019581,-9.65768677379021, 46.6666666666647)); #594768=CARTESIAN_POINT('Ctrl Pts',(17.2408715019581,-9.65768677379021, 60.)); #594769=CARTESIAN_POINT('Ctrl Pts',(24.2049568659046,-9.14440342204999, 20.)); #594770=CARTESIAN_POINT('Ctrl Pts',(24.2049568659046,-9.14440342204999, 33.3333333333353)); #594771=CARTESIAN_POINT('Ctrl Pts',(19.3438921787835,-7.98503018329485, 46.6666666666647)); #594772=CARTESIAN_POINT('Ctrl Pts',(19.3438921787835,-7.98503018329485, 60.)); #594773=CARTESIAN_POINT('Ctrl Pts',(24.8724599099136,-6.98746964150807, 20.)); #594774=CARTESIAN_POINT('Ctrl Pts',(24.8724599099136,-6.98746964150807, 33.3333333333353)); #594775=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,-6.1219973762828,46.6666666666647)); #594776=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,-6.1219973762828,60.)); #594777=CARTESIAN_POINT('Ctrl Pts',(25.1692640563909,-5.66993358512092, 20.)); #594778=CARTESIAN_POINT('Ctrl Pts',(25.1692640563909,-5.66993358512092, 33.3333333333353)); #594779=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,-5.00000000000072, 46.6666666666647)); #594780=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,-5.00000000000072, 60.)); #594781=CARTESIAN_POINT('',(25.1692640563909,-5.66993358512092,20.)); #594782=CARTESIAN_POINT('',(21.4668975939166,-14.3112650626111,20.)); #594783=CARTESIAN_POINT('Origin',(0.,0.,20.)); #594784=CARTESIAN_POINT('',(14.9999999999983,-10.0000000000007,60.)); #594785=CARTESIAN_POINT('Ctrl Pts',(21.4668975939166,-14.3112650626111, 20.)); #594786=CARTESIAN_POINT('Ctrl Pts',(21.4668975939166,-14.3112650626111, 33.3333333333353)); #594787=CARTESIAN_POINT('Ctrl Pts',(14.9999999999983,-10.0000000000007, 46.6666666666647)); #594788=CARTESIAN_POINT('Ctrl Pts',(14.9999999999983,-10.0000000000007, 60.)); #594789=CARTESIAN_POINT('',(19.9999999999983,-5.00000000000073,60.)); #594790=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,-5.00000000000072, 60.)); #594791=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,-6.1219973762828,60.)); #594792=CARTESIAN_POINT('Ctrl Pts',(19.3438921787835,-7.98503018329485, 60.)); #594793=CARTESIAN_POINT('Ctrl Pts',(17.2408715019581,-9.65768677379021, 60.)); #594794=CARTESIAN_POINT('Ctrl Pts',(15.747998250853,-10.0000000000007,60.)); #594795=CARTESIAN_POINT('Ctrl Pts',(14.9999999999983,-10.0000000000007, 60.)); #594796=CARTESIAN_POINT('Ctrl Pts',(25.1692640563909,-5.66993358512092, 20.)); #594797=CARTESIAN_POINT('Ctrl Pts',(25.1692640563909,-5.66993358512092, 33.3333333333353)); #594798=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,-5.00000000000073, 46.6666666666647)); #594799=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,-5.00000000000073, 60.)); #594800=CARTESIAN_POINT('Ctrl Pts',(25.1692640563909,-5.66993358512092, 20.)); #594801=CARTESIAN_POINT('Ctrl Pts',(25.1692640563909,-5.66993358512092, 33.3333333333353)); #594802=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,-5.00000000000073, 46.6666666666647)); #594803=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,-5.00000000000073, 60.)); #594804=CARTESIAN_POINT('Ctrl Pts',(25.3671334873757,-4.79157621419616, 20.)); #594805=CARTESIAN_POINT('Ctrl Pts',(25.3671334873757,-4.79157621419616, 33.3333333333353)); #594806=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,-4.25200174914601, 46.6666666666647)); #594807=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,-4.25200174914601, 60.)); #594808=CARTESIAN_POINT('Ctrl Pts',(25.7304181324269,-2.7233896366877,20.)); #594809=CARTESIAN_POINT('Ctrl Pts',(25.7304181324269,-2.7233896366877,33.3333333333353)); #594810=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,-2.40563490196303, 46.6666666666647)); #594811=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,-2.40563490196303, 60.)); #594812=CARTESIAN_POINT('Ctrl Pts',(25.90055778964,1.52598098426995,20.)); #594813=CARTESIAN_POINT('Ctrl Pts',(25.90055778964,1.52598098426995,33.3333333333353)); #594814=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,1.35106259881751,46.6666666666647)); #594815=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,1.35106259881751,60.)); #594816=CARTESIAN_POINT('Ctrl Pts',(25.4369714424914,4.62823937097106,20.)); #594817=CARTESIAN_POINT('Ctrl Pts',(25.4369714424914,4.62823937097106,33.3333333333353)); #594818=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,3.8780026237172,46.6666666666647)); #594819=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,3.8780026237172,60.)); #594820=CARTESIAN_POINT('Ctrl Pts',(25.0296765037498,6.25741912593742,20.)); #594821=CARTESIAN_POINT('Ctrl Pts',(25.0296765037498,6.25741912593742,33.3333333333353)); #594822=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,4.99999999999927,46.6666666666647)); #594823=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,4.99999999999927,60.)); #594824=CARTESIAN_POINT('',(25.0296765037498,6.25741912593743,20.)); #594825=CARTESIAN_POINT('',(25.8000000000002,3.1595887418002E-15,20.)); #594826=CARTESIAN_POINT('Origin',(0.,0.,20.)); #594827=CARTESIAN_POINT('Origin',(0.,0.,20.)); #594828=CARTESIAN_POINT('',(19.9999999999983,4.99999999999927,60.)); #594829=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,4.99999999999927,60.)); #594830=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,3.8780026237172,60.)); #594831=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,1.35106259881751,60.)); #594832=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,-2.40563490196303, 60.)); #594833=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,-4.25200174914601, 60.)); #594834=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,-5.00000000000073, 60.)); #594835=CARTESIAN_POINT('Ctrl Pts',(25.0296765037498,6.25741912593743,20.)); #594836=CARTESIAN_POINT('Ctrl Pts',(25.0296765037498,6.25741912593743,33.3333333333353)); #594837=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,4.99999999999927,46.6666666666647)); #594838=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,4.99999999999927,60.)); #594839=CARTESIAN_POINT('Ctrl Pts',(25.0296765037498,6.25741912593743,20.)); #594840=CARTESIAN_POINT('Ctrl Pts',(25.0296765037498,6.25741912593743,33.3333333333353)); #594841=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,4.99999999999927,46.6666666666647)); #594842=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,4.99999999999927,60.)); #594843=CARTESIAN_POINT('Ctrl Pts',(24.7581465445887,7.34353896258167,20.)); #594844=CARTESIAN_POINT('Ctrl Pts',(24.7581465445887,7.34353896258167,33.3333333333353)); #594845=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,5.74799825085398,46.6666666666647)); #594846=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,5.74799825085398,60.)); #594847=CARTESIAN_POINT('Ctrl Pts',(24.0734115522096,9.48031755840652,20.)); #594848=CARTESIAN_POINT('Ctrl Pts',(24.0734115522096,9.48031755840652,33.3333333333353)); #594849=CARTESIAN_POINT('Ctrl Pts',(19.6577178728909,7.24092512658547,46.6666666666647)); #594850=CARTESIAN_POINT('Ctrl Pts',(19.6577178728909,7.24092512658547,60.)); #594851=CARTESIAN_POINT('Ctrl Pts',(22.4001118577364,13.0327017617292,20.)); #594852=CARTESIAN_POINT('Ctrl Pts',(22.4001118577364,13.0327017617292,33.3333333333353)); #594853=CARTESIAN_POINT('Ctrl Pts',(17.9850764081673,9.34402082442854,46.6666666666647)); #594854=CARTESIAN_POINT('Ctrl Pts',(17.9850764081673,9.34402082442854,60.)); #594855=CARTESIAN_POINT('Ctrl Pts',(20.8054456629504,15.3490568637668,20.)); #594856=CARTESIAN_POINT('Ctrl Pts',(20.8054456629504,15.3490568637668,33.3333333333353)); #594857=CARTESIAN_POINT('Ctrl Pts',(16.1219973762804,9.99999999999927,46.6666666666647)); #594858=CARTESIAN_POINT('Ctrl Pts',(16.1219973762804,9.99999999999927,60.)); #594859=CARTESIAN_POINT('Ctrl Pts',(19.7228170847198,16.6328135395879,20.)); #594860=CARTESIAN_POINT('Ctrl Pts',(19.7228170847198,16.6328135395879,33.3333333333353)); #594861=CARTESIAN_POINT('Ctrl Pts',(14.9999999999983,9.99999999999927,46.6666666666647)); #594862=CARTESIAN_POINT('Ctrl Pts',(14.9999999999983,9.99999999999927,60.)); #594863=CARTESIAN_POINT('',(19.7228170847198,16.6328135395879,20.)); #594864=CARTESIAN_POINT('Origin',(0.,0.,20.)); #594865=CARTESIAN_POINT('',(14.9999999999983,9.99999999999927,60.)); #594866=CARTESIAN_POINT('Ctrl Pts',(14.9999999999983,9.99999999999927,60.)); #594867=CARTESIAN_POINT('Ctrl Pts',(16.1219973762804,9.99999999999927,60.)); #594868=CARTESIAN_POINT('Ctrl Pts',(17.9850764081673,9.34402082442854,60.)); #594869=CARTESIAN_POINT('Ctrl Pts',(19.6577178728909,7.24092512658547,60.)); #594870=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,5.74799825085398,60.)); #594871=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,4.99999999999927,60.)); #594872=CARTESIAN_POINT('Ctrl Pts',(19.7228170847198,16.6328135395879,20.)); #594873=CARTESIAN_POINT('Ctrl Pts',(19.7228170847198,16.6328135395879,33.3333333333353)); #594874=CARTESIAN_POINT('Ctrl Pts',(14.9999999999983,9.99999999999927,46.6666666666647)); #594875=CARTESIAN_POINT('Ctrl Pts',(14.9999999999983,9.99999999999927,60.)); #594876=CARTESIAN_POINT('Ctrl Pts',(19.7228170847198,16.6328135395879,20.)); #594877=CARTESIAN_POINT('Ctrl Pts',(19.7228170847198,16.6328135395879,33.3333333333353)); #594878=CARTESIAN_POINT('Ctrl Pts',(14.9999999999983,9.99999999999927,46.6666666666647)); #594879=CARTESIAN_POINT('Ctrl Pts',(14.9999999999983,9.99999999999927,60.)); #594880=CARTESIAN_POINT('Ctrl Pts',(19.3619408919762,17.0607324315282,20.)); #594881=CARTESIAN_POINT('Ctrl Pts',(19.3619408919762,17.0607324315282,33.3333333333353)); #594882=CARTESIAN_POINT('Ctrl Pts',(14.6260008745709,9.99999999999927,46.6666666666647)); #594883=CARTESIAN_POINT('Ctrl Pts',(14.6260008745709,9.99999999999927,60.)); #594884=CARTESIAN_POINT('Ctrl Pts',(17.7621973719906,18.9542417952754,20.)); #594885=CARTESIAN_POINT('Ctrl Pts',(17.7621973719906,18.9542417952754,33.3333333333353)); #594886=CARTESIAN_POINT('Ctrl Pts',(12.9740835067356,9.99999999999927,46.6666666666647)); #594887=CARTESIAN_POINT('Ctrl Pts',(12.9740835067356,9.99999999999927,60.)); #594888=CARTESIAN_POINT('Ctrl Pts',(12.4831559335026,23.0145160656382,20.)); #594889=CARTESIAN_POINT('Ctrl Pts',(12.4831559335026,23.0145160656382,33.3333333333353)); #594890=CARTESIAN_POINT('Ctrl Pts',(8.55923791859551,9.99999999999927,46.6666666666647)); #594891=CARTESIAN_POINT('Ctrl Pts',(8.55923791859551,9.99999999999927,60.)); #594892=CARTESIAN_POINT('Ctrl Pts',(4.53445680001578,25.9184692915386,20.)); #594893=CARTESIAN_POINT('Ctrl Pts',(4.53445680001578,25.9184692915386,33.3333333333353)); #594894=CARTESIAN_POINT('Ctrl Pts',(2.98221060862317,9.99999999999927,46.6666666666647)); #594895=CARTESIAN_POINT('Ctrl Pts',(2.98221060862317,9.99999999999927,60.)); #594896=CARTESIAN_POINT('Ctrl Pts',(-4.5340259777047,25.919159369579,20.)); #594897=CARTESIAN_POINT('Ctrl Pts',(-4.5340259777047,25.919159369579,33.3333333333353)); #594898=CARTESIAN_POINT('Ctrl Pts',(-2.98221060862657,9.99999999999927, 46.6666666666647)); #594899=CARTESIAN_POINT('Ctrl Pts',(-2.98221060862657,9.99999999999927, 60.)); #594900=CARTESIAN_POINT('Ctrl Pts',(-12.4819766283742,23.0153539441278, 20.)); #594901=CARTESIAN_POINT('Ctrl Pts',(-12.4819766283742,23.0153539441278, 33.3333333333353)); #594902=CARTESIAN_POINT('Ctrl Pts',(-8.5592379185989,9.99999999999927,46.6666666666647)); #594903=CARTESIAN_POINT('Ctrl Pts',(-8.5592379185989,9.99999999999927,60.)); #594904=CARTESIAN_POINT('Ctrl Pts',(-17.7621117742187,18.953413372125,20.)); #594905=CARTESIAN_POINT('Ctrl Pts',(-17.7621117742187,18.953413372125,33.3333333333353)); #594906=CARTESIAN_POINT('Ctrl Pts',(-12.974083506739,9.99999999999927,46.6666666666647)); #594907=CARTESIAN_POINT('Ctrl Pts',(-12.974083506739,9.99999999999927,60.)); #594908=CARTESIAN_POINT('Ctrl Pts',(-19.3619408919762,17.0607324315282, 20.)); #594909=CARTESIAN_POINT('Ctrl Pts',(-19.3619408919762,17.0607324315282, 33.3333333333353)); #594910=CARTESIAN_POINT('Ctrl Pts',(-14.6260008745743,9.99999999999927, 46.6666666666647)); #594911=CARTESIAN_POINT('Ctrl Pts',(-14.6260008745743,9.99999999999927, 60.)); #594912=CARTESIAN_POINT('Ctrl Pts',(-19.7228170847198,16.6328135395879, 20.)); #594913=CARTESIAN_POINT('Ctrl Pts',(-19.7228170847198,16.6328135395879, 33.3333333333353)); #594914=CARTESIAN_POINT('Ctrl Pts',(-15.0000000000017,9.99999999999927, 46.6666666666647)); #594915=CARTESIAN_POINT('Ctrl Pts',(-15.0000000000017,9.99999999999927, 60.)); #594916=CARTESIAN_POINT('',(-19.7228170847198,16.6328135395879,20.)); #594917=CARTESIAN_POINT('Origin',(0.,0.,20.)); #594918=CARTESIAN_POINT('',(-15.0000000000017,9.99999999999927,60.)); #594919=CARTESIAN_POINT('Ctrl Pts',(-15.0000000000017,9.99999999999927, 60.)); #594920=CARTESIAN_POINT('Ctrl Pts',(-14.6260008745743,9.99999999999927, 60.)); #594921=CARTESIAN_POINT('Ctrl Pts',(-12.974083506739,9.99999999999927,60.)); #594922=CARTESIAN_POINT('Ctrl Pts',(-8.5592379185989,9.99999999999927,60.)); #594923=CARTESIAN_POINT('Ctrl Pts',(-2.98221060862657,9.99999999999927, 60.)); #594924=CARTESIAN_POINT('Ctrl Pts',(2.98221060862317,9.99999999999927,60.)); #594925=CARTESIAN_POINT('Ctrl Pts',(8.55923791859551,9.99999999999927,60.)); #594926=CARTESIAN_POINT('Ctrl Pts',(12.9740835067356,9.99999999999927,60.)); #594927=CARTESIAN_POINT('Ctrl Pts',(14.6260008745709,9.99999999999927,60.)); #594928=CARTESIAN_POINT('Ctrl Pts',(14.9999999999983,9.99999999999927,60.)); #594929=CARTESIAN_POINT('Ctrl Pts',(-19.7228170847198,16.6328135395879, 20.)); #594930=CARTESIAN_POINT('Ctrl Pts',(-19.7228170847198,16.6328135395879, 33.3333333333353)); #594931=CARTESIAN_POINT('Ctrl Pts',(-15.0000000000017,9.99999999999927, 46.6666666666647)); #594932=CARTESIAN_POINT('Ctrl Pts',(-15.0000000000017,9.99999999999927, 60.)); #594933=CARTESIAN_POINT('Ctrl Pts',(-19.7228170847198,16.6328135395879, 20.)); #594934=CARTESIAN_POINT('Ctrl Pts',(-19.7228170847198,16.6328135395879, 33.3333333333353)); #594935=CARTESIAN_POINT('Ctrl Pts',(-15.0000000000017,9.99999999999927, 46.6666666666647)); #594936=CARTESIAN_POINT('Ctrl Pts',(-15.0000000000017,9.99999999999927, 60.)); #594937=CARTESIAN_POINT('Ctrl Pts',(-20.4445694702069,15.7769757557072, 20.)); #594938=CARTESIAN_POINT('Ctrl Pts',(-20.4445694702069,15.7769757557072, 33.3333333333353)); #594939=CARTESIAN_POINT('Ctrl Pts',(-15.7479982508564,9.99999999999927, 46.6666666666647)); #594940=CARTESIAN_POINT('Ctrl Pts',(-15.7479982508564,9.99999999999927, 60.)); #594941=CARTESIAN_POINT('Ctrl Pts',(-21.7764031920567,13.9711785038798, 20.)); #594942=CARTESIAN_POINT('Ctrl Pts',(-21.7764031920567,13.9711785038798, 33.3333333333353)); #594943=CARTESIAN_POINT('Ctrl Pts',(-17.2409251265879,9.65771787289189, 46.6666666666647)); #594944=CARTESIAN_POINT('Ctrl Pts',(-17.2409251265879,9.65771787289189, 60.)); #594945=CARTESIAN_POINT('Ctrl Pts',(-23.6774928068005,10.5353054456523, 20.)); #594946=CARTESIAN_POINT('Ctrl Pts',(-23.6774928068005,10.5353054456523, 33.3333333333353)); #594947=CARTESIAN_POINT('Ctrl Pts',(-19.344020824431,7.98507640816824,46.6666666666647)); #594948=CARTESIAN_POINT('Ctrl Pts',(-19.344020824431,7.98507640816824,60.)); #594949=CARTESIAN_POINT('Ctrl Pts',(-24.6223815650082,7.88659888090382, 20.)); #594950=CARTESIAN_POINT('Ctrl Pts',(-24.6223815650082,7.88659888090382, 33.3333333333353)); #594951=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,6.12199737628133, 46.6666666666647)); #594952=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,6.12199737628133, 60.)); #594953=CARTESIAN_POINT('Ctrl Pts',(-25.0296765037498,6.25741912593747, 20.)); #594954=CARTESIAN_POINT('Ctrl Pts',(-25.0296765037498,6.25741912593747, 33.3333333333353)); #594955=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,4.99999999999926, 46.6666666666647)); #594956=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,4.99999999999926, 60.)); #594957=CARTESIAN_POINT('',(-25.0296765037498,6.25741912593746,20.)); #594958=CARTESIAN_POINT('Origin',(0.,0.,20.)); #594959=CARTESIAN_POINT('',(-20.0000000000017,4.99999999999926,60.)); #594960=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,4.99999999999926, 60.)); #594961=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,6.12199737628133, 60.)); #594962=CARTESIAN_POINT('Ctrl Pts',(-19.344020824431,7.98507640816824,60.)); #594963=CARTESIAN_POINT('Ctrl Pts',(-17.2409251265879,9.65771787289189, 60.)); #594964=CARTESIAN_POINT('Ctrl Pts',(-15.7479982508564,9.99999999999927, 60.)); #594965=CARTESIAN_POINT('Ctrl Pts',(-15.0000000000017,9.99999999999927, 60.)); #594966=CARTESIAN_POINT('Ctrl Pts',(-25.0296765037498,6.25741912593746, 20.)); #594967=CARTESIAN_POINT('Ctrl Pts',(-25.0296765037498,6.25741912593746, 33.3333333333353)); #594968=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,4.99999999999926, 46.6666666666647)); #594969=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,4.99999999999926, 60.)); #594970=CARTESIAN_POINT('Ctrl Pts',(-25.0296765037498,6.25741912593746, 20.)); #594971=CARTESIAN_POINT('Ctrl Pts',(-25.0296765037498,6.25741912593746, 33.3333333333353)); #594972=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,4.99999999999926, 46.6666666666647)); #594973=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,4.99999999999926, 60.)); #594974=CARTESIAN_POINT('Ctrl Pts',(-25.5727364220719,4.08517945264897, 20.)); #594975=CARTESIAN_POINT('Ctrl Pts',(-25.5727364220719,4.08517945264897, 33.3333333333353)); #594976=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,3.50400349828984, 46.6666666666647)); #594977=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,3.50400349828984, 60.)); #594978=CARTESIAN_POINT('Ctrl Pts',(-26.085009740894,-0.235562376406404, 20.)); #594979=CARTESIAN_POINT('Ctrl Pts',(-26.085009740894,-0.235562376406404, 33.3333333333353)); #594980=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,-0.340286588859092, 46.6666666666647)); #594981=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,-0.340286588859092, 60.)); #594982=CARTESIAN_POINT('Ctrl Pts',(-25.4578564792458,-4.54469922395352, 20.)); #594983=CARTESIAN_POINT('Ctrl Pts',(-25.4578564792458,-4.54469922395352, 33.3333333333353)); #594984=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,-3.87800262371867, 46.6666666666647)); #594985=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,-3.87800262371867, 60.)); #594986=CARTESIAN_POINT('Ctrl Pts',(-25.0296765037498,-6.25741912593742, 20.)); #594987=CARTESIAN_POINT('Ctrl Pts',(-25.0296765037498,-6.25741912593742, 33.3333333333353)); #594988=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,-5.00000000000074, 46.6666666666647)); #594989=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,-5.00000000000074, 60.)); #594990=CARTESIAN_POINT('',(-25.0296765037498,-6.25741912593742,20.)); #594991=CARTESIAN_POINT('Origin',(0.,0.,20.)); #594992=CARTESIAN_POINT('',(-20.0000000000017,-5.00000000000072,60.)); #594993=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,-5.00000000000074, 60.)); #594994=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,-3.87800262371867, 60.)); #594995=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,-0.340286588859092, 60.)); #594996=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,3.50400349828984, 60.)); #594997=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,4.99999999999926, 60.)); #594998=CARTESIAN_POINT('Ctrl Pts',(-25.0296765037498,-6.25741912593742, 20.)); #594999=CARTESIAN_POINT('Ctrl Pts',(-25.0296765037498,-6.25741912593742, 33.3333333333353)); #595000=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,-5.00000000000072, 46.6666666666647)); #595001=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,-5.00000000000072, 60.)); #595002=CARTESIAN_POINT('Ctrl Pts',(-25.0296765037498,-6.25741912593742, 20.)); #595003=CARTESIAN_POINT('Ctrl Pts',(-25.0296765037498,-6.25741912593742, 33.3333333333353)); #595004=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,-5.00000000000072, 46.6666666666647)); #595005=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,-5.00000000000072, 60.)); #595006=CARTESIAN_POINT('Ctrl Pts',(-24.7442231867525,-7.39923239392669, 20.)); #595007=CARTESIAN_POINT('Ctrl Pts',(-24.7442231867525,-7.39923239392669, 33.3333333333353)); #595008=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,-5.74799825085544, 46.6666666666647)); #595009=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,-5.74799825085544, 60.)); #595010=CARTESIAN_POINT('Ctrl Pts',(-24.0167085098817,-9.64365294207598, 20.)); #595011=CARTESIAN_POINT('Ctrl Pts',(-24.0167085098817,-9.64365294207598, 33.3333333333353)); #595012=CARTESIAN_POINT('Ctrl Pts',(-19.6577253913525,-7.24093791036117, 46.6666666666647)); #595013=CARTESIAN_POINT('Ctrl Pts',(-19.6577253913525,-7.24093791036117, 60.)); #595014=CARTESIAN_POINT('Ctrl Pts',(-22.2199224831595,-13.3612393508721, 20.)); #595015=CARTESIAN_POINT('Ctrl Pts',(-22.2199224831595,-13.3612393508721, 33.3333333333353)); #595016=CARTESIAN_POINT('Ctrl Pts',(-17.9850876181601,-9.34405216832648, 46.6666666666647)); #595017=CARTESIAN_POINT('Ctrl Pts',(-17.9850876181601,-9.34405216832648, 60.)); #595018=CARTESIAN_POINT('Ctrl Pts',(-20.4982294656895,-15.7663989650782, 20.)); #595019=CARTESIAN_POINT('Ctrl Pts',(-20.4982294656895,-15.7663989650782, 33.3333333333353)); #595020=CARTESIAN_POINT('Ctrl Pts',(-16.1219973762838,-10.0000000000007, 46.6666666666647)); #595021=CARTESIAN_POINT('Ctrl Pts',(-16.1219973762838,-10.0000000000007, 60.)); #595022=CARTESIAN_POINT('Ctrl Pts',(-19.3288689375398,-17.0890264671637, 20.)); #595023=CARTESIAN_POINT('Ctrl Pts',(-19.3288689375398,-17.0890264671637, 33.3333333333353)); #595024=CARTESIAN_POINT('Ctrl Pts',(-15.0000000000017,-10.0000000000007, 46.6666666666647)); #595025=CARTESIAN_POINT('Ctrl Pts',(-15.0000000000017,-10.0000000000007, 60.)); #595026=CARTESIAN_POINT('',(-19.3288689375398,-17.0890264671637,20.)); #595027=CARTESIAN_POINT('Origin',(0.,0.,20.)); #595028=CARTESIAN_POINT('',(-15.0000000000017,-10.0000000000007,60.)); #595029=CARTESIAN_POINT('Ctrl Pts',(-15.0000000000017,-10.0000000000007, 60.)); #595030=CARTESIAN_POINT('Ctrl Pts',(-16.1219973762838,-10.0000000000007, 60.)); #595031=CARTESIAN_POINT('Ctrl Pts',(-17.9850876181601,-9.34405216832648, 60.)); #595032=CARTESIAN_POINT('Ctrl Pts',(-19.6577253913525,-7.24093791036117, 60.)); #595033=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,-5.74799825085544, 60.)); #595034=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,-5.00000000000072, 60.)); #595035=CARTESIAN_POINT('Ctrl Pts',(-19.3288689375398,-17.0890264671637, 20.)); #595036=CARTESIAN_POINT('Ctrl Pts',(-19.3288689375398,-17.0890264671637, 33.3333333333353)); #595037=CARTESIAN_POINT('Ctrl Pts',(-15.0000000000017,-10.0000000000007, 46.6666666666647)); #595038=CARTESIAN_POINT('Ctrl Pts',(-15.0000000000017,-10.0000000000007, 60.)); #595039=CARTESIAN_POINT('Ctrl Pts',(-19.3288689375398,-17.0890264671637, 20.)); #595040=CARTESIAN_POINT('Ctrl Pts',(-19.3288689375398,-17.0890264671637, 33.3333333333353)); #595041=CARTESIAN_POINT('Ctrl Pts',(-15.0000000000017,-10.0000000000007, 46.6666666666647)); #595042=CARTESIAN_POINT('Ctrl Pts',(-15.0000000000017,-10.0000000000007, 60.)); #595043=CARTESIAN_POINT('Ctrl Pts',(-18.9390820948232,-17.5299023011922, 20.)); #595044=CARTESIAN_POINT('Ctrl Pts',(-18.9390820948232,-17.5299023011922, 33.3333333333353)); #595045=CARTESIAN_POINT('Ctrl Pts',(-14.6260008745743,-10.0000000000007, 46.6666666666647)); #595046=CARTESIAN_POINT('Ctrl Pts',(-14.6260008745743,-10.0000000000007, 60.)); #595047=CARTESIAN_POINT('Ctrl Pts',(-17.185782119583,-19.512073186988,20.)); #595048=CARTESIAN_POINT('Ctrl Pts',(-17.185782119583,-19.512073186988,33.3333333333353)); #595049=CARTESIAN_POINT('Ctrl Pts',(-12.974083506739,-10.0000000000007, 46.6666666666647)); #595050=CARTESIAN_POINT('Ctrl Pts',(-12.974083506739,-10.0000000000007, 60.)); #595051=CARTESIAN_POINT('Ctrl Pts',(-11.3684785840023,-23.6493293858297, 20.)); #595052=CARTESIAN_POINT('Ctrl Pts',(-11.3684785840023,-23.6493293858297, 33.3333333333353)); #595053=CARTESIAN_POINT('Ctrl Pts',(-8.5592379185989,-10.0000000000007, 46.6666666666647)); #595054=CARTESIAN_POINT('Ctrl Pts',(-8.5592379185989,-10.0000000000007, 60.)); #595055=CARTESIAN_POINT('Ctrl Pts',(-2.68813845944093,-26.2449052785482, 20.)); #595056=CARTESIAN_POINT('Ctrl Pts',(-2.68813845944093,-26.2449052785482, 33.3333333333353)); #595057=CARTESIAN_POINT('Ctrl Pts',(-2.98221060862657,-10.0000000000007, 46.6666666666647)); #595058=CARTESIAN_POINT('Ctrl Pts',(-2.98221060862657,-10.0000000000007, 60.)); #595059=CARTESIAN_POINT('Ctrl Pts',(6.94425787571402,-25.4454353187816, 20.)); #595060=CARTESIAN_POINT('Ctrl Pts',(6.94425787571402,-25.4454353187816, 33.3333333333353)); #595061=CARTESIAN_POINT('Ctrl Pts',(2.98221060862317,-10.0000000000007, 46.6666666666647)); #595062=CARTESIAN_POINT('Ctrl Pts',(2.98221060862317,-10.0000000000007, 60.)); #595063=CARTESIAN_POINT('Ctrl Pts',(14.9718028318939,-21.5242743278342, 20.)); #595064=CARTESIAN_POINT('Ctrl Pts',(14.9718028318939,-21.5242743278342, 33.3333333333353)); #595065=CARTESIAN_POINT('Ctrl Pts',(8.5592379185955,-10.0000000000007,46.6666666666647)); #595066=CARTESIAN_POINT('Ctrl Pts',(8.5592379185955,-10.0000000000007,60.)); #595067=CARTESIAN_POINT('Ctrl Pts',(19.8995517945983,-16.6995195883103, 20.)); #595068=CARTESIAN_POINT('Ctrl Pts',(19.8995517945983,-16.6995195883103, 33.3333333333353)); #595069=CARTESIAN_POINT('Ctrl Pts',(12.9740835067356,-10.0000000000007, 46.6666666666647)); #595070=CARTESIAN_POINT('Ctrl Pts',(12.9740835067356,-10.0000000000007, 60.)); #595071=CARTESIAN_POINT('Ctrl Pts',(21.2171802178574,-14.6858411266997, 20.)); #595072=CARTESIAN_POINT('Ctrl Pts',(21.2171802178574,-14.6858411266997, 33.3333333333353)); #595073=CARTESIAN_POINT('Ctrl Pts',(14.6260008745709,-10.0000000000007, 46.6666666666647)); #595074=CARTESIAN_POINT('Ctrl Pts',(14.6260008745709,-10.0000000000007, 60.)); #595075=CARTESIAN_POINT('Ctrl Pts',(21.4668975939166,-14.3112650626111, 20.)); #595076=CARTESIAN_POINT('Ctrl Pts',(21.4668975939166,-14.3112650626111, 33.3333333333353)); #595077=CARTESIAN_POINT('Ctrl Pts',(14.9999999999983,-10.0000000000007, 46.6666666666647)); #595078=CARTESIAN_POINT('Ctrl Pts',(14.9999999999983,-10.0000000000007, 60.)); #595079=CARTESIAN_POINT('Origin',(0.,0.,20.)); #595080=CARTESIAN_POINT('Ctrl Pts',(14.9999999999983,-10.0000000000007, 60.)); #595081=CARTESIAN_POINT('Ctrl Pts',(14.6260008745709,-10.0000000000007, 60.)); #595082=CARTESIAN_POINT('Ctrl Pts',(12.9740835067356,-10.0000000000007, 60.)); #595083=CARTESIAN_POINT('Ctrl Pts',(8.5592379185955,-10.0000000000007,60.)); #595084=CARTESIAN_POINT('Ctrl Pts',(2.98221060862317,-10.0000000000007, 60.)); #595085=CARTESIAN_POINT('Ctrl Pts',(-2.98221060862657,-10.0000000000007, 60.)); #595086=CARTESIAN_POINT('Ctrl Pts',(-8.5592379185989,-10.0000000000007, 60.)); #595087=CARTESIAN_POINT('Ctrl Pts',(-12.974083506739,-10.0000000000007, 60.)); #595088=CARTESIAN_POINT('Ctrl Pts',(-14.6260008745743,-10.0000000000007, 60.)); #595089=CARTESIAN_POINT('Ctrl Pts',(-15.0000000000017,-10.0000000000007, 60.)); #595090=CARTESIAN_POINT('Origin',(-1.6991963391888E-12,-7.3302475200876E-13, 60.)); #595091=CARTESIAN_POINT('',(-22.0000000000017,4.99999999999926,60.)); #595092=CARTESIAN_POINT('',(-22.0000000000017,-5.00000000000072,60.)); #595093=CARTESIAN_POINT('',(-22.0000000000017,4.99999999999926,60.)); #595094=CARTESIAN_POINT('',(-15.0000000000017,-12.0000000000007,60.)); #595095=CARTESIAN_POINT('Origin',(-15.0000000000017,-5.00000000000073,60.)); #595096=CARTESIAN_POINT('',(14.9999999999983,-12.0000000000007,60.)); #595097=CARTESIAN_POINT('',(-15.0000000000017,-12.0000000000007,60.)); #595098=CARTESIAN_POINT('',(21.9999999999983,-5.00000000000073,60.)); #595099=CARTESIAN_POINT('Origin',(14.9999999999983,-5.00000000000073,60.)); #595100=CARTESIAN_POINT('',(21.9999999999983,4.99999999999926,60.)); #595101=CARTESIAN_POINT('',(21.9999999999983,-5.00000000000073,60.)); #595102=CARTESIAN_POINT('',(14.9999999999983,11.9999999999993,60.)); #595103=CARTESIAN_POINT('Origin',(14.9999999999983,4.99999999999926,60.)); #595104=CARTESIAN_POINT('',(-15.0000000000017,11.9999999999993,60.)); #595105=CARTESIAN_POINT('',(14.9999999999983,11.9999999999993,60.)); #595106=CARTESIAN_POINT('Origin',(-15.0000000000017,4.99999999999926,60.)); #595107=CARTESIAN_POINT('Ctrl Pts',(-22.0000000000017,4.99999999999926, 60.)); #595108=CARTESIAN_POINT('Ctrl Pts',(-26.1356173953538,5.93991304439784, 46.6666666666667)); #595109=CARTESIAN_POINT('Ctrl Pts',(-30.2712347907059,6.87982608879643, 33.3333333333333)); #595110=CARTESIAN_POINT('Ctrl Pts',(-34.406852186058,7.81973913319501,20.)); #595111=CARTESIAN_POINT('Ctrl Pts',(-22.0000000000017,1.66666666666593, 60.)); #595112=CARTESIAN_POINT('Ctrl Pts',(-26.5782699830767,1.78030294247011, 46.6666666666667)); #595113=CARTESIAN_POINT('Ctrl Pts',(-31.1495468664065,1.89385833832749, 33.3333333333333)); #595114=CARTESIAN_POINT('Ctrl Pts',(-35.7278168494815,2.00749461413167, 20.)); #595115=CARTESIAN_POINT('Ctrl Pts',(-22.0000000000017,-1.6666666666674, 60.)); #595116=CARTESIAN_POINT('Ctrl Pts',(-26.5169461737114,-2.49132373226303, 46.6666666666667)); #595117=CARTESIAN_POINT('Ctrl Pts',(-31.0269165952773,-3.3154819315016, 33.3333333333333)); #595118=CARTESIAN_POINT('Ctrl Pts',(-35.543862768987,-4.14013899709722, 20.)); #595119=CARTESIAN_POINT('Ctrl Pts',(-22.0000000000017,-5.00000000000074, 60.)); #595120=CARTESIAN_POINT('Ctrl Pts',(-25.9592453414063,-6.62100051265796, 46.6666666666667)); #595121=CARTESIAN_POINT('Ctrl Pts',(-29.9184906828108,-8.24200102531517, 33.3333333333333)); #595122=CARTESIAN_POINT('Ctrl Pts',(-33.8777360242154,-9.86300153797239, 20.)); #595123=CARTESIAN_POINT('',(-34.406852186058,7.81973913319501,20.)); #595124=CARTESIAN_POINT('',(-22.0000000000017,4.99999999999926,60.)); #595125=CARTESIAN_POINT('',(-33.8777360242154,-9.8630015379724,20.)); #595126=CARTESIAN_POINT('Origin',(0.,0.,20.)); #595127=CARTESIAN_POINT('',(-22.0000000000017,-5.00000000000072,60.)); #595128=CARTESIAN_POINT('Ctrl Pts',(-22.0000000000017,-5.00000000000072, 60.)); #595129=CARTESIAN_POINT('Ctrl Pts',(-25.9592453414063,-6.62100051265795, 46.6666666666667)); #595130=CARTESIAN_POINT('Ctrl Pts',(-29.9184906828108,-8.24200102531517, 33.3333333333333)); #595131=CARTESIAN_POINT('Ctrl Pts',(-33.8777360242154,-9.8630015379724, 20.)); #595132=CARTESIAN_POINT('Ctrl Pts',(-22.0000000000017,-5.95238095238167, 60.)); #595133=CARTESIAN_POINT('Ctrl Pts',(-25.8003218456238,-7.80177467643246, 46.6666666666666)); #595134=CARTESIAN_POINT('Ctrl Pts',(-29.6013779658014,-9.6487113970288, 33.3333333333333)); #595135=CARTESIAN_POINT('Ctrl Pts',(-33.4016998114235,-11.4981051210796, 20.)); #595136=CARTESIAN_POINT('Ctrl Pts',(-21.598844209623,-7.97524362567598, 60.)); #595137=CARTESIAN_POINT('Ctrl Pts',(-25.1173169042978,-10.2788565161713, 46.6666666666667)); #595138=CARTESIAN_POINT('Ctrl Pts',(-28.6359799735502,-12.5828048625884, 33.3333333333333)); #595139=CARTESIAN_POINT('Ctrl Pts',(-32.1544526682249,-14.8864177530837, 20.)); #595140=CARTESIAN_POINT('Ctrl Pts',(-19.9454529003293,-10.1595657192485, 60.)); #595141=CARTESIAN_POINT('Ctrl Pts',(-23.2308791398054,-13.1265916941952, 46.6666666666667)); #595142=CARTESIAN_POINT('Ctrl Pts',(-26.5169376261091,-16.0943764084425, 33.3333333333334)); #595143=CARTESIAN_POINT('Ctrl Pts',(-29.8023638655852,-19.0614023833892, 20.)); #595144=CARTESIAN_POINT('Ctrl Pts',(-17.6580080328824,-11.6327444599583, 60.)); #595145=CARTESIAN_POINT('Ctrl Pts',(-20.7475701141506,-15.4036880161089, 46.6666666666667)); #595146=CARTESIAN_POINT('Ctrl Pts',(-23.8365955612785,-19.1742365503365, 33.3333333333333)); #595147=CARTESIAN_POINT('Ctrl Pts',(-26.9261576425467,-22.945180106487, 20.)); #595148=CARTESIAN_POINT('Ctrl Pts',(-16.0471975511983,-12.0000000000007, 60.)); #595149=CARTESIAN_POINT('Ctrl Pts',(-19.0086620304492,-16.3446179526614, 46.6666666666667)); #595150=CARTESIAN_POINT('Ctrl Pts',(-21.9703564661091,-20.6931632867577, 33.3333333333333)); #595151=CARTESIAN_POINT('Ctrl Pts',(-24.93182094536,-25.0377812394184,20.)); #595152=CARTESIAN_POINT('Ctrl Pts',(-15.0000000000017,-12.0000000000007, 60.)); #595153=CARTESIAN_POINT('Ctrl Pts',(-17.8455924238759,-16.7622924012847, 46.6666666666667)); #595154=CARTESIAN_POINT('Ctrl Pts',(-20.69118484775,-21.5245848025686,33.3333333333333)); #595155=CARTESIAN_POINT('Ctrl Pts',(-23.5367772716242,-26.2868772038525, 20.)); #595156=CARTESIAN_POINT('',(-23.5367772716242,-26.2868772038525,20.)); #595157=CARTESIAN_POINT('Origin',(0.,0.,20.)); #595158=CARTESIAN_POINT('',(-15.0000000000017,-12.0000000000007,60.)); #595159=CARTESIAN_POINT('Ctrl Pts',(-15.0000000000017,-12.0000000000007, 60.)); #595160=CARTESIAN_POINT('Ctrl Pts',(-17.8455924238759,-16.7622924012847, 46.6666666666667)); #595161=CARTESIAN_POINT('Ctrl Pts',(-20.69118484775,-21.5245848025686,33.3333333333333)); #595162=CARTESIAN_POINT('Ctrl Pts',(-23.5367772716242,-26.2868772038525, 20.)); #595163=CARTESIAN_POINT('Ctrl Pts',(-14.4764012244034,-12.0000000000007, 60.)); #595164=CARTESIAN_POINT('Ctrl Pts',(-17.2629131942844,-16.9692092517754, 46.6666666666667)); #595165=CARTESIAN_POINT('Ctrl Pts',(-20.0527434648754,-21.9422159342949, 33.3333333333333)); #595166=CARTESIAN_POINT('Ctrl Pts',(-22.8392554347563,-26.9114251860696, 20.)); #595167=CARTESIAN_POINT('Ctrl Pts',(-12.6535128278013,-12.0000000000007, 60.)); #595168=CARTESIAN_POINT('Ctrl Pts',(-15.2262569944359,-17.6842388565352, 46.6666666666667)); #595169=CARTESIAN_POINT('Ctrl Pts',(-17.7987736486694,-23.3677342914725, 33.3333333333333)); #595170=CARTESIAN_POINT('Ctrl Pts',(-20.371517815304,-29.051973148007,20.)); #595171=CARTESIAN_POINT('Ctrl Pts',(-8.2569855641719,-12.0000000000007, 60.)); #595172=CARTESIAN_POINT('Ctrl Pts',(-10.0106462933812,-19.0007875992244, 46.6666666666667)); #595173=CARTESIAN_POINT('Ctrl Pts',(-11.7651347162732,-26.0016549571698, 33.3333333333333)); #595174=CARTESIAN_POINT('Ctrl Pts',(-13.5187954454825,-33.0024425563934, 20.)); #595175=CARTESIAN_POINT('Ctrl Pts',(-2.86314149930684,-12.0000000000007, 60.)); #595176=CARTESIAN_POINT('Ctrl Pts',(-3.28033238302889,-19.8461252440095, 46.6666666666667)); #595177=CARTESIAN_POINT('Ctrl Pts',(-3.69841218963968,-27.6923601259736, 33.3333333333333)); #595178=CARTESIAN_POINT('Ctrl Pts',(-4.11560307336172,-35.5384853699824, 20.)); #595179=CARTESIAN_POINT('Ctrl Pts',(2.86314149930344,-12.0000000000007, 60.)); #595180=CARTESIAN_POINT('Ctrl Pts',(3.98615532089529,-19.7426166844902, 46.6666666666667)); #595181=CARTESIAN_POINT('Ctrl Pts',(5.10957256266421,-27.486846695691,33.3333333333333)); #595182=CARTESIAN_POINT('Ctrl Pts',(6.23258638425606,-35.2294633801805, 20.)); #595183=CARTESIAN_POINT('Ctrl Pts',(8.25698556416849,-12.0000000000007, 60.)); #595184=CARTESIAN_POINT('Ctrl Pts',(10.6603680734124,-18.7118764848778, 46.6666666666667)); #595185=CARTESIAN_POINT('Ctrl Pts',(13.0633202435823,-25.4239052976496, 33.3333333333333)); #595186=CARTESIAN_POINT('Ctrl Pts',(15.4667027528262,-32.1357817825266, 20.)); #595187=CARTESIAN_POINT('Ctrl Pts',(12.6535128277979,-12.0000000000007, 60.)); #595188=CARTESIAN_POINT('Ctrl Pts',(15.7927226298775,-17.2605221542473, 46.6666666666667)); #595189=CARTESIAN_POINT('Ctrl Pts',(18.9318097429983,-22.520731033807,33.3333333333333)); #595190=CARTESIAN_POINT('Ctrl Pts',(22.0710195450779,-27.7812531880536, 20.)); #595191=CARTESIAN_POINT('Ctrl Pts',(14.4764012244,-12.0000000000007,60.)); #595192=CARTESIAN_POINT('Ctrl Pts',(17.7858794478086,-16.4982955757691, 46.6666666666667)); #595193=CARTESIAN_POINT('Ctrl Pts',(21.0980013604753,-20.9993775479062, 33.3333333333333)); #595194=CARTESIAN_POINT('Ctrl Pts',(24.4074795838839,-25.4976731236746, 20.)); #595195=CARTESIAN_POINT('Ctrl Pts',(14.9999999999983,-12.0000000000007, 60.)); #595196=CARTESIAN_POINT('Ctrl Pts',(18.3554701703544,-16.2775121165402, 46.6666666666667)); #595197=CARTESIAN_POINT('Ctrl Pts',(21.7109403407106,-20.5550242330796, 33.3333333333333)); #595198=CARTESIAN_POINT('Ctrl Pts',(25.0664105110667,-24.8325363496191, 20.)); #595199=CARTESIAN_POINT('',(25.0664105110667,-24.8325363496191,20.)); #595200=CARTESIAN_POINT('Origin',(0.,0.,20.)); #595201=CARTESIAN_POINT('',(14.9999999999983,-12.0000000000007,60.)); #595202=CARTESIAN_POINT('Ctrl Pts',(14.9999999999983,-12.0000000000007, 60.)); #595203=CARTESIAN_POINT('Ctrl Pts',(18.3554701703544,-16.2775121165402, 46.6666666666667)); #595204=CARTESIAN_POINT('Ctrl Pts',(21.7109403407106,-20.5550242330796, 33.3333333333333)); #595205=CARTESIAN_POINT('Ctrl Pts',(25.0664105110667,-24.8325363496191, 20.)); #595206=CARTESIAN_POINT('Ctrl Pts',(16.0471975511949,-12.0000000000007, 60.)); #595207=CARTESIAN_POINT('Ctrl Pts',(19.4936251753888,-15.8338610973433, 46.6666666666667)); #595208=CARTESIAN_POINT('Ctrl Pts',(22.9378447412383,-19.6684017041656, 33.3333333333333)); #595209=CARTESIAN_POINT('Ctrl Pts',(26.3842723654323,-23.5022628015081, 20.)); #595210=CARTESIAN_POINT('Ctrl Pts',(18.2001250296007,-11.5136613076308, 60.)); #595211=CARTESIAN_POINT('Ctrl Pts',(21.7839250667211,-14.4998462489099, 46.6666666666666)); #595212=CARTESIAN_POINT('Ctrl Pts',(25.3680415597191,-17.4863972508208, 33.3333333333334)); #595213=CARTESIAN_POINT('Ctrl Pts',(28.9518415968395,-20.4725821921,20.)); #595214=CARTESIAN_POINT('Ctrl Pts',(20.5549168003235,-9.56672530534793, 60.)); #595215=CARTESIAN_POINT('Ctrl Pts',(24.285404864669,-11.6059498776684,46.6666666666667)); #595216=CARTESIAN_POINT('Ctrl Pts',(28.0156131785342,-13.6453513118608, 33.3333333333333)); #595217=CARTESIAN_POINT('Ctrl Pts',(31.7461012428797,-15.6845758841813, 20.)); #595218=CARTESIAN_POINT('Ctrl Pts',(21.7770613531258,-7.20584123329743, 60.)); #595219=CARTESIAN_POINT('Ctrl Pts',(25.6838962189001,-8.5786088443566,46.6666666666666)); #595220=CARTESIAN_POINT('Ctrl Pts',(29.5907279803283,-9.95117584066216, 33.3333333333334)); #595221=CARTESIAN_POINT('Ctrl Pts',(33.4975628461026,-11.3239434517213, 20.)); #595222=CARTESIAN_POINT('Ctrl Pts',(21.9999999999983,-5.71428571428644, 60.)); #595223=CARTESIAN_POINT('Ctrl Pts',(26.0523308362197,-6.78223744378911, 46.6666666666667)); #595224=CARTESIAN_POINT('Ctrl Pts',(30.1048743893662,-7.85023402976214, 33.3333333333333)); #595225=CARTESIAN_POINT('Ctrl Pts',(34.1572052255876,-8.91818575926481, 20.)); #595226=CARTESIAN_POINT('Ctrl Pts',(21.9999999999983,-5.00000000000072, 60.)); #595227=CARTESIAN_POINT('Ctrl Pts',(26.1356173953515,-5.93991304439883, 46.6666666666667)); #595228=CARTESIAN_POINT('Ctrl Pts',(30.2712347907048,-6.87982608879694, 33.3333333333333)); #595229=CARTESIAN_POINT('Ctrl Pts',(34.406852186058,-7.81973913319504,20.)); #595230=CARTESIAN_POINT('',(34.406852186058,-7.81973913319501,20.)); #595231=CARTESIAN_POINT('Origin',(0.,0.,20.)); #595232=CARTESIAN_POINT('',(21.9999999999983,-5.00000000000073,60.)); #595233=CARTESIAN_POINT('Ctrl Pts',(21.9999999999983,-5.00000000000073, 60.)); #595234=CARTESIAN_POINT('Ctrl Pts',(26.1356173953515,-5.93991304439882, 46.6666666666667)); #595235=CARTESIAN_POINT('Ctrl Pts',(30.2712347907048,-6.87982608879692, 33.3333333333333)); #595236=CARTESIAN_POINT('Ctrl Pts',(34.406852186058,-7.81973913319501,20.)); #595237=CARTESIAN_POINT('Ctrl Pts',(21.9999999999983,-1.6666666666674,60.)); #595238=CARTESIAN_POINT('Ctrl Pts',(26.5254125618948,-2.00904889102685, 46.6666666666667)); #595239=CARTESIAN_POINT('Ctrl Pts',(31.0464587730234,-2.3512726538433,33.3333333333333)); #595240=CARTESIAN_POINT('Ctrl Pts',(35.5718713349199,-2.69365487820275, 20.)); #595241=CARTESIAN_POINT('Ctrl Pts',(21.9999999999983,1.66666666666594,60.)); #595242=CARTESIAN_POINT('Ctrl Pts',(26.5254125618948,2.00904889102587,46.6666666666667)); #595243=CARTESIAN_POINT('Ctrl Pts',(31.0464587730234,2.35127265384279,33.3333333333333)); #595244=CARTESIAN_POINT('Ctrl Pts',(35.5718713349199,2.69365487820272,20.)); #595245=CARTESIAN_POINT('Ctrl Pts',(21.9999999999983,4.99999999999927,60.)); #595246=CARTESIAN_POINT('Ctrl Pts',(26.1356173953515,5.93991304439785,46.6666666666667)); #595247=CARTESIAN_POINT('Ctrl Pts',(30.2712347907048,6.87982608879643,33.3333333333333)); #595248=CARTESIAN_POINT('Ctrl Pts',(34.406852186058,7.819739133195,20.)); #595249=CARTESIAN_POINT('',(35.2842712474619,4.32107698434512E-15,20.)); #595250=CARTESIAN_POINT('Origin',(0.,0.,20.)); #595251=CARTESIAN_POINT('',(34.406852186058,7.81973913319496,20.)); #595252=CARTESIAN_POINT('Origin',(0.,0.,20.)); #595253=CARTESIAN_POINT('',(21.9999999999983,4.99999999999926,60.)); #595254=CARTESIAN_POINT('Ctrl Pts',(21.9999999999983,4.99999999999926,60.)); #595255=CARTESIAN_POINT('Ctrl Pts',(26.1356173953515,5.93991304439783,46.6666666666667)); #595256=CARTESIAN_POINT('Ctrl Pts',(30.2712347907048,6.8798260887964,33.3333333333333)); #595257=CARTESIAN_POINT('Ctrl Pts',(34.406852186058,7.81973913319496,20.)); #595258=CARTESIAN_POINT('Ctrl Pts',(21.9999999999983,5.95238095238022,60.)); #595259=CARTESIAN_POINT('Ctrl Pts',(26.0243983545267,7.06358002646577,46.6666666666667)); #595260=CARTESIAN_POINT('Ctrl Pts',(30.0495912175691,8.17313556053577,33.3333333333333)); #595261=CARTESIAN_POINT('Ctrl Pts',(34.0739895720975,9.28433463462133,20.)); #595262=CARTESIAN_POINT('Ctrl Pts',(21.5990168526932,7.97460060698393,60.)); #595263=CARTESIAN_POINT('Ctrl Pts',(25.4361035181147,9.52779009949138,46.6666666666666)); #595264=CARTESIAN_POINT('Ctrl Pts',(29.2733242940109,11.0813593930759,33.3333333333334)); #595265=CARTESIAN_POINT('Ctrl Pts',(33.1104109594324,12.6345488855834,20.)); #595266=CARTESIAN_POINT('Ctrl Pts',(19.7802683549405,10.3798288174624,60.)); #595267=CARTESIAN_POINT('Ctrl Pts',(23.4280203674086,12.7984469114415,46.6666666666667)); #595268=CARTESIAN_POINT('Ctrl Pts',(27.0758157414574,15.2169166977041,33.3333333333333)); #595269=CARTESIAN_POINT('Ctrl Pts',(30.7235677539255,17.6355347916832,20.)); #595270=CARTESIAN_POINT('Ctrl Pts',(17.3871946527495,11.7304483876741,60.)); #595271=CARTESIAN_POINT('Ctrl Pts',(20.8549539288606,15.1215834086324,46.6666666666666)); #595272=CARTESIAN_POINT('Ctrl Pts',(24.3225716004489,18.5126788384457,33.3333333333334)); #595273=CARTESIAN_POINT('Ctrl Pts',(27.7903308765599,21.9038138594041,20.)); #595274=CARTESIAN_POINT('Ctrl Pts',(15.7853981633957,11.9999999999993,60.)); #595275=CARTESIAN_POINT('Ctrl Pts',(19.1149767272813,16.0466517398003,46.6666666666667)); #595276=CARTESIAN_POINT('Ctrl Pts',(22.4442987988544,20.0935631211147,33.3333333333333)); #595277=CARTESIAN_POINT('Ctrl Pts',(25.77387736274,24.1402148609158,20.)); #595278=CARTESIAN_POINT('Ctrl Pts',(14.9999999999983,11.9999999999993,60.)); #595279=CARTESIAN_POINT('Ctrl Pts',(18.2500695277141,16.3825677089434,46.6666666666667)); #595280=CARTESIAN_POINT('Ctrl Pts',(21.5001390554298,20.7651354178875,33.3333333333333)); #595281=CARTESIAN_POINT('Ctrl Pts',(24.7502085831456,25.1477031268316,20.)); #595282=CARTESIAN_POINT('',(24.7502085831456,25.1477031268316,20.)); #595283=CARTESIAN_POINT('Origin',(0.,0.,20.)); #595284=CARTESIAN_POINT('',(14.9999999999983,11.9999999999993,60.)); #595285=CARTESIAN_POINT('Ctrl Pts',(14.9999999999983,11.9999999999993,60.)); #595286=CARTESIAN_POINT('Ctrl Pts',(18.2500695277141,16.3825677089434,46.6666666666667)); #595287=CARTESIAN_POINT('Ctrl Pts',(21.5001390554298,20.7651354178875,33.3333333333333)); #595288=CARTESIAN_POINT('Ctrl Pts',(24.7502085831456,25.1477031268316,20.)); #595289=CARTESIAN_POINT('Ctrl Pts',(14.4764012244,11.9999999999993,60.)); #595290=CARTESIAN_POINT('Ctrl Pts',(17.6723007515746,16.6051838303809,46.6666666666667)); #595291=CARTESIAN_POINT('Ctrl Pts',(20.871863202908,21.2141781403937,33.3333333333333)); #595292=CARTESIAN_POINT('Ctrl Pts',(24.0677627300826,25.8193619707754,20.)); #595293=CARTESIAN_POINT('Ctrl Pts',(12.6535128277979,11.9999999999993,60.)); #595294=CARTESIAN_POINT('Ctrl Pts',(15.6520917865839,17.3750811211744,46.6666666666667)); #595295=CARTESIAN_POINT('Ctrl Pts',(18.6503992260831,22.7494029347515,33.3333333333333)); #595296=CARTESIAN_POINT('Ctrl Pts',(21.6489781848691,28.1244840559266,20.)); #595297=CARTESIAN_POINT('Ctrl Pts',(8.25698556416851,11.9999999999993,60.)); #595298=CARTESIAN_POINT('Ctrl Pts',(10.4432295853954,18.8199225305484,46.6666666666667)); #595299=CARTESIAN_POINT('Ctrl Pts',(12.6303505133919,25.6398725291631,33.3333333333333)); #595300=CARTESIAN_POINT('Ctrl Pts',(14.8165945346188,32.4597950597122,20.)); #595301=CARTESIAN_POINT('Ctrl Pts',(2.86314149930345,11.9999999999993,60.)); #595302=CARTESIAN_POINT('Ctrl Pts',(3.67408894241186,19.8015488052068,46.6666666666667)); #595303=CARTESIAN_POINT('Ctrl Pts',(4.48598431017906,27.6031891791324,33.3333333333333)); #595304=CARTESIAN_POINT('Ctrl Pts',(5.29693175328747,35.4047379843399,20.)); #595305=CARTESIAN_POINT('Ctrl Pts',(-2.86314149930684,11.9999999999993, 60.)); #595306=CARTESIAN_POINT('Ctrl Pts',(-3.67407071217291,19.8012272850542, 46.6666666666667)); #595307=CARTESIAN_POINT('Ctrl Pts',(-4.48538392373378,27.6041538961078, 33.3333333333333)); #595308=CARTESIAN_POINT('Ctrl Pts',(-5.29631313659986,35.4053811811628, 20.)); #595309=CARTESIAN_POINT('Ctrl Pts',(-8.25698556417189,11.9999999999993, 60.)); #595310=CARTESIAN_POINT('Ctrl Pts',(-10.4432500079437,18.8201249353681, 46.6666666666667)); #595311=CARTESIAN_POINT('Ctrl Pts',(-12.6290597287073,25.6404047297433, 33.3333333333333)); #595312=CARTESIAN_POINT('Ctrl Pts',(-14.8153241724792,32.4605296651121, 20.)); #595313=CARTESIAN_POINT('Ctrl Pts',(-12.6535128278013,11.9999999999993, 60.)); #595314=CARTESIAN_POINT('Ctrl Pts',(-15.6516504588585,17.3746894170849, 46.6666666666667)); #595315=CARTESIAN_POINT('Ctrl Pts',(-18.649663994009,22.7490424965113,33.3333333333333)); #595316=CARTESIAN_POINT('Ctrl Pts',(-21.6478016250662,28.1237319135969, 20.)); #595317=CARTESIAN_POINT('Ctrl Pts',(-14.4764012244034,11.9999999999993, 60.)); #595318=CARTESIAN_POINT('Ctrl Pts',(-17.6726106023314,16.6054689841763, 46.6666666666667)); #595319=CARTESIAN_POINT('Ctrl Pts',(-20.8715533521545,21.2138929865984, 33.3333333333333)); #595320=CARTESIAN_POINT('Ctrl Pts',(-24.0677627300826,25.8193619707754, 20.)); #595321=CARTESIAN_POINT('Ctrl Pts',(-15.0000000000017,11.9999999999993, 60.)); #595322=CARTESIAN_POINT('Ctrl Pts',(-18.2500695277163,16.3825677089434, 46.6666666666667)); #595323=CARTESIAN_POINT('Ctrl Pts',(-21.5001390554309,20.7651354178875, 33.3333333333333)); #595324=CARTESIAN_POINT('Ctrl Pts',(-24.7502085831455,25.1477031268316, 20.)); #595325=CARTESIAN_POINT('',(-24.7502085831455,25.1477031268316,20.)); #595326=CARTESIAN_POINT('Origin',(0.,0.,20.)); #595327=CARTESIAN_POINT('',(-15.0000000000017,11.9999999999993,60.)); #595328=CARTESIAN_POINT('Ctrl Pts',(-15.0000000000017,11.9999999999993, 60.)); #595329=CARTESIAN_POINT('Ctrl Pts',(-18.2500695277163,16.3825677089434, 46.6666666666667)); #595330=CARTESIAN_POINT('Ctrl Pts',(-21.5001390554309,20.7651354178875, 33.3333333333333)); #595331=CARTESIAN_POINT('Ctrl Pts',(-24.7502085831455,25.1477031268316, 20.)); #595332=CARTESIAN_POINT('Ctrl Pts',(-16.0471975511983,11.9999999999993, 60.)); #595333=CARTESIAN_POINT('Ctrl Pts',(-19.4039372257401,15.934413766303,46.6666666666667)); #595334=CARTESIAN_POINT('Ctrl Pts',(-22.7583606147296,19.8699716726402, 33.3333333333333)); #595335=CARTESIAN_POINT('Ctrl Pts',(-26.1151002892714,23.8043854389439, 20.)); #595336=CARTESIAN_POINT('Ctrl Pts',(-18.2001250534598,11.5136610664805, 60.)); #595337=CARTESIAN_POINT('Ctrl Pts',(-21.7029270047964,14.6106346214445, 46.6666666666666)); #595338=CARTESIAN_POINT('Ctrl Pts',(-25.2063225050892,17.7080486257152, 33.3333333333334)); #595339=CARTESIAN_POINT('Ctrl Pts',(-28.7091244564258,20.8050221806792, 20.)); #595340=CARTESIAN_POINT('Ctrl Pts',(-20.554916775284,9.56672524554455,60.)); #595341=CARTESIAN_POINT('Ctrl Pts',(-24.2177302175639,11.741459029866,46.6666666666667)); #595342=CARTESIAN_POINT('Ctrl Pts',(-27.8803435430286,13.9164858783523, 33.3333333333333)); #595343=CARTESIAN_POINT('Ctrl Pts',(-31.5431569853084,16.0912196626737, 20.)); #595344=CARTESIAN_POINT('Ctrl Pts',(-21.7770613405661,7.20584118008683, 60.)); #595345=CARTESIAN_POINT('Ctrl Pts',(-25.6481698419861,8.69019365032926, 46.6666666666666)); #595346=CARTESIAN_POINT('Ctrl Pts',(-29.5191857989587,10.1742948198866, 33.3333333333334)); #595347=CARTESIAN_POINT('Ctrl Pts',(-33.3902943003786,11.658647290129,20.)); #595348=CARTESIAN_POINT('Ctrl Pts',(-22.0000000000017,5.71428571428498, 60.)); #595349=CARTESIAN_POINT('Ctrl Pts',(-26.0411371099308,6.83123029494962, 46.6666666666667)); #595350=CARTESIAN_POINT('Ctrl Pts',(-30.082651219681,7.94827552090109,33.3333333333333)); #595351=CARTESIAN_POINT('Ctrl Pts',(-34.1237883296101,9.06522010156573, 20.)); #595352=CARTESIAN_POINT('Ctrl Pts',(-22.0000000000017,4.99999999999926, 60.)); #595353=CARTESIAN_POINT('Ctrl Pts',(-26.1356173953538,5.93991304439785, 46.6666666666667)); #595354=CARTESIAN_POINT('Ctrl Pts',(-30.2712347907059,6.87982608879643, 33.3333333333333)); #595355=CARTESIAN_POINT('Ctrl Pts',(-34.406852186058,7.81973913319501,20.)); #595356=CARTESIAN_POINT('Origin',(0.,0.,20.)); #595357=CARTESIAN_POINT('Origin',(0.,0.,5.375)); #595358=CARTESIAN_POINT('',(33.7842712474619,-4.13737996447302E-15,5.75)); #595359=CARTESIAN_POINT('Origin',(0.,0.,5.75)); #595360=CARTESIAN_POINT('',(33.0342712474619,4.04553145453697E-15,5.)); #595361=CARTESIAN_POINT('',(33.4092712474619,4.09145570950499E-15,5.375)); #595362=CARTESIAN_POINT('Origin',(0.,0.,5.)); #595363=CARTESIAN_POINT('Origin',(0.,0.,5.)); #595364=CARTESIAN_POINT('',(33.7842712474619,4.13737996447302E-15,10.)); #595365=CARTESIAN_POINT('Origin',(0.,0.,10.)); #595366=CARTESIAN_POINT('',(33.7842712474619,4.13737996447302E-15,5.)); #595367=CARTESIAN_POINT('Origin',(0.,0.,5.)); #595368=CARTESIAN_POINT('',(25.8000000000002,3.1595887418002E-15,5.)); #595369=CARTESIAN_POINT('Origin',(0.,0.,5.)); #595370=CARTESIAN_POINT('Origin',(0.,0.,10.)); #595371=CARTESIAN_POINT('',(35.2842712474619,4.32107698434512E-15,10.)); #595372=CARTESIAN_POINT('',(35.2842712474619,4.32107698434512E-15,10.)); #595373=CARTESIAN_POINT('Origin',(0.,0.,10.)); #595374=CARTESIAN_POINT('Origin',(0.,0.,10.)); #595375=CARTESIAN_POINT('Origin',(0.,0.,5.)); #595376=CARTESIAN_POINT('',(25.8000000000002,3.1595887418002E-15,5.)); #595377=CARTESIAN_POINT('Origin',(29.5500000000002,0.,5.2)); #595378=CARTESIAN_POINT('',(32.6750000000002,3.82702124733548E-16,5.2)); #595379=CARTESIAN_POINT('Origin',(29.5500000000002,0.,5.2)); #595380=CARTESIAN_POINT('',(32.6750000000002,3.82702124733548E-16,7.2)); #595381=CARTESIAN_POINT('',(32.6750000000002,3.82702124733548E-16,5.2)); #595382=CARTESIAN_POINT('Origin',(29.5500000000002,0.,7.2)); #595383=CARTESIAN_POINT('Origin',(0.,0.,7.2)); #595384=CARTESIAN_POINT('Origin',(0.,0.,5.2)); #595385=CARTESIAN_POINT('Origin',(1.94289029309402E-15,29.5500000000002, 5.2)); #595386=CARTESIAN_POINT('',(3.125,29.5500000000002,5.2)); #595387=CARTESIAN_POINT('Origin',(1.94289029309402E-15,29.5500000000002, 5.2)); #595388=CARTESIAN_POINT('',(3.125,29.5500000000002,7.2)); #595389=CARTESIAN_POINT('',(3.125,29.5500000000002,5.2)); #595390=CARTESIAN_POINT('Origin',(1.94289029309402E-15,29.5500000000002, 7.2)); #595391=CARTESIAN_POINT('Origin',(0.,0.,7.2)); #595392=CARTESIAN_POINT('Origin',(0.,0.,5.2)); #595393=CARTESIAN_POINT('Origin',(-5.27355936696949E-15,-29.5500000000002, 5.2)); #595394=CARTESIAN_POINT('',(3.12499999999999,-29.5500000000002,5.2)); #595395=CARTESIAN_POINT('Origin',(-5.27355936696949E-15,-29.5500000000002, 5.2)); #595396=CARTESIAN_POINT('',(3.12499999999999,-29.5500000000002,7.2)); #595397=CARTESIAN_POINT('',(3.12499999999999,-29.5500000000002,5.2)); #595398=CARTESIAN_POINT('Origin',(-5.27355936696949E-15,-29.5500000000002, 7.2)); #595399=CARTESIAN_POINT('Origin',(0.,0.,7.2)); #595400=CARTESIAN_POINT('Origin',(0.,0.,5.2)); #595401=CARTESIAN_POINT('Origin',(-29.5500000000002,3.60822483003176E-15, 5.2)); #595402=CARTESIAN_POINT('',(-26.4250000000002,3.99092695476531E-15,5.2)); #595403=CARTESIAN_POINT('Origin',(-29.5500000000002,3.60822483003176E-15, 5.2)); #595404=CARTESIAN_POINT('',(-26.4250000000002,3.99092695476531E-15,7.2)); #595405=CARTESIAN_POINT('',(-26.4250000000002,3.99092695476531E-15,5.2)); #595406=CARTESIAN_POINT('Origin',(-29.5500000000002,3.60822483003176E-15, 7.2)); #595407=CARTESIAN_POINT('Origin',(0.,0.,7.2)); #595408=CARTESIAN_POINT('Origin',(0.,0.,5.2)); #595409=CARTESIAN_POINT('',(0.,0.,169.399999999996)); #595410=CARTESIAN_POINT('',(0.,0.,169.399999999996)); #595411=CARTESIAN_POINT('',(0.,0.,0.)); #595412=CARTESIAN_POINT('',(0.,0.,0.)); #595413=CARTESIAN_POINT('Origin',(0.,0.,0.)); #595414=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595415=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595416=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595417=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595418=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595419=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595420=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595421=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595422=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595423=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595424=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595425=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595426=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595427=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595428=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595429=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595430=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595431=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595432=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595433=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595434=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595435=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595436=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595437=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595438=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595439=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595440=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595441=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595442=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595443=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595444=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595445=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595446=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595447=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595448=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595449=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595450=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595451=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595452=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595453=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595454=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595455=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595456=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595457=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595458=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595459=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595460=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595461=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595462=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595463=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595464=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595465=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595466=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595467=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595468=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595469=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595470=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595471=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595472=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595473=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595474=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595475=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595476=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595477=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595478=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595479=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595480=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595481=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595482=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595483=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595484=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595485=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595486=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595487=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595488=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595489=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595490=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595491=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595492=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595493=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595494=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595495=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595496=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595497=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595498=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595499=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595500=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595501=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595502=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595503=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595504=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595505=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595506=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595507=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595508=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595509=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595510=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595511=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595512=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#595612, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #595513=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595414)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595514=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595415)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595515=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595416)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595516=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595417)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595517=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595418)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595518=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595419)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595519=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595420)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595520=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595421)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595521=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595422)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595522=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595423)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595523=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595424)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595524=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595425)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595525=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595426)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595526=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595427)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595527=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595428)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595528=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595429)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595529=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595430)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595530=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595431)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595531=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595432)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595532=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595433)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595533=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595434)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595534=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595435)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595535=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595436)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595536=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595437)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595537=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595438)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595538=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595439)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595539=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595440)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595540=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595441)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595541=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595442)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595542=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595443)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595543=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595444)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595544=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595445)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595545=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595446)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595546=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595447)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595547=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595448)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595548=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595449)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595549=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595450)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595550=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595451)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595551=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595452)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595552=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595453)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595553=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595454)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595554=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595455)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595555=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595456)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595556=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595457)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595557=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595458)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595558=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595459)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595559=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595460)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595560=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595461)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595561=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595462)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595562=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595463)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595563=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595464)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595564=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595465)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595565=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595466)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595566=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595467)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595567=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595468)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595568=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595469)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595569=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595470)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595570=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595471)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595571=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595472)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595572=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595473)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595573=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595474)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595574=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595475)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595575=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595476)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595576=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595477)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595577=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595478)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595578=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595479)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595579=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595480)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595580=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595481)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595581=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595482)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595582=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595483)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595583=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595484)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595584=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595485)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595585=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595486)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595586=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595487)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595587=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595488)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595588=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595489)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595589=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595490)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595590=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595491)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595591=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595492)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595592=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595493)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595593=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595494)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595594=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595495)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595595=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595496)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595596=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595497)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595597=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595498)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595598=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595499)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595599=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595500)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595600=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595501)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595601=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595502)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595602=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595503)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595603=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595504)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595604=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595505)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595605=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595506)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595606=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595507)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595607=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595508)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595608=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595509)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595609=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595510)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595610=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595511)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595611=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#595512)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#595612,#595614,#595615)) REPRESENTATION_CONTEXT('','3D') ); #595612=( LENGTH_UNIT() NAMED_UNIT(*) SI_UNIT(.MILLI.,.METRE.) ); #595613=( LENGTH_UNIT() NAMED_UNIT(*) SI_UNIT($,.METRE.) ); #595614=( NAMED_UNIT(*) PLANE_ANGLE_UNIT() SI_UNIT($,.RADIAN.) ); #595615=( NAMED_UNIT(*) SI_UNIT($,.STERADIAN.) SOLID_ANGLE_UNIT() ); #595616=SHAPE_DEFINITION_REPRESENTATION(#595714,#595960); #595617=SHAPE_DEFINITION_REPRESENTATION(#595715,#595961); #595618=SHAPE_DEFINITION_REPRESENTATION(#595716,#595962); #595619=SHAPE_DEFINITION_REPRESENTATION(#595717,#595963); #595620=SHAPE_DEFINITION_REPRESENTATION(#595720,#595964); #595621=SHAPE_DEFINITION_REPRESENTATION(#595721,#595965); #595622=SHAPE_DEFINITION_REPRESENTATION(#595722,#595966); #595623=SHAPE_DEFINITION_REPRESENTATION(#595723,#595967); #595624=SHAPE_DEFINITION_REPRESENTATION(#595724,#595968); #595625=SHAPE_DEFINITION_REPRESENTATION(#595725,#595969); #595626=SHAPE_DEFINITION_REPRESENTATION(#595726,#595970); #595627=SHAPE_DEFINITION_REPRESENTATION(#595727,#595971); #595628=SHAPE_DEFINITION_REPRESENTATION(#595728,#595972); #595629=SHAPE_DEFINITION_REPRESENTATION(#595729,#595973); #595630=SHAPE_DEFINITION_REPRESENTATION(#595731,#595974); #595631=SHAPE_DEFINITION_REPRESENTATION(#595732,#595975); #595632=SHAPE_DEFINITION_REPRESENTATION(#595733,#595976); #595633=SHAPE_DEFINITION_REPRESENTATION(#595734,#595977); #595634=SHAPE_DEFINITION_REPRESENTATION(#595738,#595978); #595635=SHAPE_DEFINITION_REPRESENTATION(#595739,#595979); #595636=SHAPE_DEFINITION_REPRESENTATION(#595740,#595980); #595637=SHAPE_DEFINITION_REPRESENTATION(#595741,#595981); #595638=SHAPE_DEFINITION_REPRESENTATION(#595742,#595982); #595639=SHAPE_DEFINITION_REPRESENTATION(#595743,#595983); #595640=SHAPE_DEFINITION_REPRESENTATION(#595744,#595984); #595641=SHAPE_DEFINITION_REPRESENTATION(#595745,#595985); #595642=SHAPE_DEFINITION_REPRESENTATION(#595746,#595986); #595643=SHAPE_DEFINITION_REPRESENTATION(#595747,#595987); #595644=SHAPE_DEFINITION_REPRESENTATION(#595748,#595988); #595645=SHAPE_DEFINITION_REPRESENTATION(#595749,#595989); #595646=SHAPE_DEFINITION_REPRESENTATION(#595750,#595990); #595647=SHAPE_DEFINITION_REPRESENTATION(#595751,#595991); #595648=SHAPE_DEFINITION_REPRESENTATION(#595752,#595992); #595649=SHAPE_DEFINITION_REPRESENTATION(#595753,#595993); #595650=SHAPE_DEFINITION_REPRESENTATION(#595754,#595994); #595651=SHAPE_DEFINITION_REPRESENTATION(#595755,#595995); #595652=SHAPE_DEFINITION_REPRESENTATION(#595756,#595996); #595653=SHAPE_DEFINITION_REPRESENTATION(#595757,#595997); #595654=SHAPE_DEFINITION_REPRESENTATION(#595758,#595998); #595655=SHAPE_DEFINITION_REPRESENTATION(#595759,#595999); #595656=SHAPE_DEFINITION_REPRESENTATION(#595760,#596000); #595657=SHAPE_DEFINITION_REPRESENTATION(#595761,#596001); #595658=SHAPE_DEFINITION_REPRESENTATION(#595762,#596002); #595659=SHAPE_DEFINITION_REPRESENTATION(#595763,#596003); #595660=SHAPE_DEFINITION_REPRESENTATION(#595764,#596004); #595661=SHAPE_DEFINITION_REPRESENTATION(#595765,#596005); #595662=SHAPE_DEFINITION_REPRESENTATION(#595766,#596006); #595663=SHAPE_DEFINITION_REPRESENTATION(#595767,#596007); #595664=SHAPE_DEFINITION_REPRESENTATION(#595768,#596008); #595665=SHAPE_DEFINITION_REPRESENTATION(#595769,#596009); #595666=SHAPE_DEFINITION_REPRESENTATION(#595770,#596010); #595667=SHAPE_DEFINITION_REPRESENTATION(#595771,#596011); #595668=SHAPE_DEFINITION_REPRESENTATION(#595772,#596012); #595669=SHAPE_DEFINITION_REPRESENTATION(#595773,#596013); #595670=SHAPE_DEFINITION_REPRESENTATION(#595774,#596014); #595671=SHAPE_DEFINITION_REPRESENTATION(#595775,#596015); #595672=SHAPE_DEFINITION_REPRESENTATION(#595776,#596016); #595673=SHAPE_DEFINITION_REPRESENTATION(#595777,#596017); #595674=SHAPE_DEFINITION_REPRESENTATION(#595778,#596018); #595675=SHAPE_DEFINITION_REPRESENTATION(#595779,#596019); #595676=SHAPE_DEFINITION_REPRESENTATION(#595780,#596020); #595677=SHAPE_DEFINITION_REPRESENTATION(#595802,#596021); #595678=SHAPE_DEFINITION_REPRESENTATION(#595803,#596022); #595679=SHAPE_DEFINITION_REPRESENTATION(#595804,#596023); #595680=SHAPE_DEFINITION_REPRESENTATION(#595807,#596024); #595681=SHAPE_DEFINITION_REPRESENTATION(#595808,#596025); #595682=SHAPE_DEFINITION_REPRESENTATION(#595832,#596026); #595683=SHAPE_DEFINITION_REPRESENTATION(#595833,#596027); #595684=SHAPE_DEFINITION_REPRESENTATION(#595835,#596028); #595685=SHAPE_DEFINITION_REPRESENTATION(#595836,#596029); #595686=SHAPE_DEFINITION_REPRESENTATION(#595838,#596030); #595687=SHAPE_DEFINITION_REPRESENTATION(#595839,#596031); #595688=SHAPE_DEFINITION_REPRESENTATION(#595841,#596032); #595689=SHAPE_DEFINITION_REPRESENTATION(#595842,#596033); #595690=SHAPE_DEFINITION_REPRESENTATION(#595844,#596034); #595691=SHAPE_DEFINITION_REPRESENTATION(#595845,#596035); #595692=SHAPE_DEFINITION_REPRESENTATION(#595847,#596036); #595693=SHAPE_DEFINITION_REPRESENTATION(#595848,#596037); #595694=SHAPE_DEFINITION_REPRESENTATION(#595850,#596038); #595695=SHAPE_DEFINITION_REPRESENTATION(#595851,#596039); #595696=SHAPE_DEFINITION_REPRESENTATION(#595853,#596040); #595697=SHAPE_DEFINITION_REPRESENTATION(#595854,#596041); #595698=SHAPE_DEFINITION_REPRESENTATION(#595856,#596042); #595699=SHAPE_DEFINITION_REPRESENTATION(#595857,#596043); #595700=SHAPE_DEFINITION_REPRESENTATION(#595859,#596044); #595701=SHAPE_DEFINITION_REPRESENTATION(#595860,#596045); #595702=SHAPE_DEFINITION_REPRESENTATION(#595862,#596046); #595703=SHAPE_DEFINITION_REPRESENTATION(#595863,#596047); #595704=SHAPE_DEFINITION_REPRESENTATION(#595865,#596048); #595705=SHAPE_DEFINITION_REPRESENTATION(#595866,#596049); #595706=SHAPE_DEFINITION_REPRESENTATION(#595868,#596050); #595707=SHAPE_DEFINITION_REPRESENTATION(#595869,#596051); #595708=SHAPE_DEFINITION_REPRESENTATION(#595945,#596052); #595709=SHAPE_DEFINITION_REPRESENTATION(#595946,#596053); #595710=SHAPE_DEFINITION_REPRESENTATION(#595947,#596054); #595711=SHAPE_DEFINITION_REPRESENTATION(#595948,#596055); #595712=SHAPE_DEFINITION_REPRESENTATION(#595954,#596056); #595713=SHAPE_DEFINITION_REPRESENTATION(#595955,#596057); #595714=PRODUCT_DEFINITION_SHAPE('',$,#596059); #595715=PRODUCT_DEFINITION_SHAPE('',$,#596060); #595716=PRODUCT_DEFINITION_SHAPE('',$,#596061); #595717=PRODUCT_DEFINITION_SHAPE('',$,#596062); #595718=PRODUCT_DEFINITION_SHAPE($,$,#567); #595719=PRODUCT_DEFINITION_SHAPE($,$,#568); #595720=PRODUCT_DEFINITION_SHAPE('',$,#596063); #595721=PRODUCT_DEFINITION_SHAPE('',$,#596064); #595722=PRODUCT_DEFINITION_SHAPE('',$,#596065); #595723=PRODUCT_DEFINITION_SHAPE('',$,#596066); #595724=PRODUCT_DEFINITION_SHAPE('',$,#596067); #595725=PRODUCT_DEFINITION_SHAPE('',$,#596068); #595726=PRODUCT_DEFINITION_SHAPE('',$,#596069); #595727=PRODUCT_DEFINITION_SHAPE('',$,#596070); #595728=PRODUCT_DEFINITION_SHAPE('',$,#596071); #595729=PRODUCT_DEFINITION_SHAPE('',$,#596072); #595730=PRODUCT_DEFINITION_SHAPE($,$,#569); #595731=PRODUCT_DEFINITION_SHAPE('',$,#596073); #595732=PRODUCT_DEFINITION_SHAPE('',$,#596074); #595733=PRODUCT_DEFINITION_SHAPE('',$,#596075); #595734=PRODUCT_DEFINITION_SHAPE('',$,#596076); #595735=PRODUCT_DEFINITION_SHAPE($,$,#570); #595736=PRODUCT_DEFINITION_SHAPE($,$,#571); #595737=PRODUCT_DEFINITION_SHAPE($,$,#572); #595738=PRODUCT_DEFINITION_SHAPE('',$,#596077); #595739=PRODUCT_DEFINITION_SHAPE('',$,#596078); #595740=PRODUCT_DEFINITION_SHAPE('',$,#596079); #595741=PRODUCT_DEFINITION_SHAPE('',$,#596080); #595742=PRODUCT_DEFINITION_SHAPE('',$,#596081); #595743=PRODUCT_DEFINITION_SHAPE('',$,#596082); #595744=PRODUCT_DEFINITION_SHAPE('',$,#596083); #595745=PRODUCT_DEFINITION_SHAPE('',$,#596084); #595746=PRODUCT_DEFINITION_SHAPE('',$,#596085); #595747=PRODUCT_DEFINITION_SHAPE('',$,#596086); #595748=PRODUCT_DEFINITION_SHAPE('',$,#596087); #595749=PRODUCT_DEFINITION_SHAPE('',$,#596088); #595750=PRODUCT_DEFINITION_SHAPE('',$,#596089); #595751=PRODUCT_DEFINITION_SHAPE('',$,#596090); #595752=PRODUCT_DEFINITION_SHAPE('',$,#596091); #595753=PRODUCT_DEFINITION_SHAPE('',$,#596092); #595754=PRODUCT_DEFINITION_SHAPE('',$,#596093); #595755=PRODUCT_DEFINITION_SHAPE('',$,#596094); #595756=PRODUCT_DEFINITION_SHAPE('',$,#596095); #595757=PRODUCT_DEFINITION_SHAPE('',$,#596096); #595758=PRODUCT_DEFINITION_SHAPE('',$,#596097); #595759=PRODUCT_DEFINITION_SHAPE('',$,#596098); #595760=PRODUCT_DEFINITION_SHAPE('',$,#596099); #595761=PRODUCT_DEFINITION_SHAPE('',$,#596100); #595762=PRODUCT_DEFINITION_SHAPE('',$,#596101); #595763=PRODUCT_DEFINITION_SHAPE('',$,#596102); #595764=PRODUCT_DEFINITION_SHAPE('',$,#596103); #595765=PRODUCT_DEFINITION_SHAPE('',$,#596104); #595766=PRODUCT_DEFINITION_SHAPE('',$,#596105); #595767=PRODUCT_DEFINITION_SHAPE('',$,#596106); #595768=PRODUCT_DEFINITION_SHAPE('',$,#596107); #595769=PRODUCT_DEFINITION_SHAPE('',$,#596108); #595770=PRODUCT_DEFINITION_SHAPE('',$,#596109); #595771=PRODUCT_DEFINITION_SHAPE('',$,#596110); #595772=PRODUCT_DEFINITION_SHAPE('',$,#596111); #595773=PRODUCT_DEFINITION_SHAPE('',$,#596112); #595774=PRODUCT_DEFINITION_SHAPE('',$,#596113); #595775=PRODUCT_DEFINITION_SHAPE('',$,#596114); #595776=PRODUCT_DEFINITION_SHAPE('',$,#596115); #595777=PRODUCT_DEFINITION_SHAPE('',$,#596116); #595778=PRODUCT_DEFINITION_SHAPE('',$,#596117); #595779=PRODUCT_DEFINITION_SHAPE('',$,#596118); #595780=PRODUCT_DEFINITION_SHAPE('',$,#596119); #595781=PRODUCT_DEFINITION_SHAPE($,$,#573); #595782=PRODUCT_DEFINITION_SHAPE($,$,#574); #595783=PRODUCT_DEFINITION_SHAPE($,$,#575); #595784=PRODUCT_DEFINITION_SHAPE($,$,#576); #595785=PRODUCT_DEFINITION_SHAPE($,$,#577); #595786=PRODUCT_DEFINITION_SHAPE($,$,#578); #595787=PRODUCT_DEFINITION_SHAPE($,$,#579); #595788=PRODUCT_DEFINITION_SHAPE($,$,#580); #595789=PRODUCT_DEFINITION_SHAPE($,$,#581); #595790=PRODUCT_DEFINITION_SHAPE($,$,#582); #595791=PRODUCT_DEFINITION_SHAPE($,$,#583); #595792=PRODUCT_DEFINITION_SHAPE($,$,#584); #595793=PRODUCT_DEFINITION_SHAPE($,$,#585); #595794=PRODUCT_DEFINITION_SHAPE($,$,#586); #595795=PRODUCT_DEFINITION_SHAPE($,$,#587); #595796=PRODUCT_DEFINITION_SHAPE($,$,#588); #595797=PRODUCT_DEFINITION_SHAPE($,$,#589); #595798=PRODUCT_DEFINITION_SHAPE($,$,#590); #595799=PRODUCT_DEFINITION_SHAPE($,$,#591); #595800=PRODUCT_DEFINITION_SHAPE($,$,#592); #595801=PRODUCT_DEFINITION_SHAPE($,$,#593); #595802=PRODUCT_DEFINITION_SHAPE('',$,#596120); #595803=PRODUCT_DEFINITION_SHAPE('',$,#596121); #595804=PRODUCT_DEFINITION_SHAPE('',$,#596122); #595805=PRODUCT_DEFINITION_SHAPE($,$,#594); #595806=PRODUCT_DEFINITION_SHAPE($,$,#595); #595807=PRODUCT_DEFINITION_SHAPE('',$,#596123); #595808=PRODUCT_DEFINITION_SHAPE('',$,#596124); #595809=PRODUCT_DEFINITION_SHAPE($,$,#596); #595810=PRODUCT_DEFINITION_SHAPE($,$,#597); #595811=PRODUCT_DEFINITION_SHAPE($,$,#598); #595812=PRODUCT_DEFINITION_SHAPE($,$,#599); #595813=PRODUCT_DEFINITION_SHAPE($,$,#600); #595814=PRODUCT_DEFINITION_SHAPE($,$,#601); #595815=PRODUCT_DEFINITION_SHAPE($,$,#602); #595816=PRODUCT_DEFINITION_SHAPE($,$,#603); #595817=PRODUCT_DEFINITION_SHAPE($,$,#604); #595818=PRODUCT_DEFINITION_SHAPE($,$,#605); #595819=PRODUCT_DEFINITION_SHAPE($,$,#606); #595820=PRODUCT_DEFINITION_SHAPE($,$,#607); #595821=PRODUCT_DEFINITION_SHAPE($,$,#608); #595822=PRODUCT_DEFINITION_SHAPE($,$,#609); #595823=PRODUCT_DEFINITION_SHAPE($,$,#610); #595824=PRODUCT_DEFINITION_SHAPE($,$,#611); #595825=PRODUCT_DEFINITION_SHAPE($,$,#612); #595826=PRODUCT_DEFINITION_SHAPE($,$,#613); #595827=PRODUCT_DEFINITION_SHAPE($,$,#614); #595828=PRODUCT_DEFINITION_SHAPE($,$,#615); #595829=PRODUCT_DEFINITION_SHAPE($,$,#616); #595830=PRODUCT_DEFINITION_SHAPE($,$,#617); #595831=PRODUCT_DEFINITION_SHAPE($,$,#618); #595832=PRODUCT_DEFINITION_SHAPE('',$,#596125); #595833=PRODUCT_DEFINITION_SHAPE('',$,#596126); #595834=PRODUCT_DEFINITION_SHAPE($,$,#619); #595835=PRODUCT_DEFINITION_SHAPE('',$,#596127); #595836=PRODUCT_DEFINITION_SHAPE('',$,#596128); #595837=PRODUCT_DEFINITION_SHAPE($,$,#620); #595838=PRODUCT_DEFINITION_SHAPE('',$,#596129); #595839=PRODUCT_DEFINITION_SHAPE('',$,#596130); #595840=PRODUCT_DEFINITION_SHAPE($,$,#621); #595841=PRODUCT_DEFINITION_SHAPE('',$,#596131); #595842=PRODUCT_DEFINITION_SHAPE('',$,#596132); #595843=PRODUCT_DEFINITION_SHAPE($,$,#622); #595844=PRODUCT_DEFINITION_SHAPE('',$,#596133); #595845=PRODUCT_DEFINITION_SHAPE('',$,#596134); #595846=PRODUCT_DEFINITION_SHAPE($,$,#623); #595847=PRODUCT_DEFINITION_SHAPE('',$,#596135); #595848=PRODUCT_DEFINITION_SHAPE('',$,#596136); #595849=PRODUCT_DEFINITION_SHAPE($,$,#624); #595850=PRODUCT_DEFINITION_SHAPE('',$,#596137); #595851=PRODUCT_DEFINITION_SHAPE('',$,#596138); #595852=PRODUCT_DEFINITION_SHAPE($,$,#625); #595853=PRODUCT_DEFINITION_SHAPE('',$,#596139); #595854=PRODUCT_DEFINITION_SHAPE('',$,#596140); #595855=PRODUCT_DEFINITION_SHAPE($,$,#626); #595856=PRODUCT_DEFINITION_SHAPE('',$,#596141); #595857=PRODUCT_DEFINITION_SHAPE('',$,#596142); #595858=PRODUCT_DEFINITION_SHAPE($,$,#627); #595859=PRODUCT_DEFINITION_SHAPE('',$,#596143); #595860=PRODUCT_DEFINITION_SHAPE('',$,#596144); #595861=PRODUCT_DEFINITION_SHAPE($,$,#628); #595862=PRODUCT_DEFINITION_SHAPE('',$,#596145); #595863=PRODUCT_DEFINITION_SHAPE('',$,#596146); #595864=PRODUCT_DEFINITION_SHAPE($,$,#629); #595865=PRODUCT_DEFINITION_SHAPE('',$,#596147); #595866=PRODUCT_DEFINITION_SHAPE('',$,#596148); #595867=PRODUCT_DEFINITION_SHAPE($,$,#630); #595868=PRODUCT_DEFINITION_SHAPE('',$,#596149); #595869=PRODUCT_DEFINITION_SHAPE('',$,#596150); #595870=PRODUCT_DEFINITION_SHAPE($,$,#631); #595871=PRODUCT_DEFINITION_SHAPE($,$,#632); #595872=PRODUCT_DEFINITION_SHAPE($,$,#633); #595873=PRODUCT_DEFINITION_SHAPE($,$,#634); #595874=PRODUCT_DEFINITION_SHAPE($,$,#635); #595875=PRODUCT_DEFINITION_SHAPE($,$,#636); #595876=PRODUCT_DEFINITION_SHAPE($,$,#637); #595877=PRODUCT_DEFINITION_SHAPE($,$,#638); #595878=PRODUCT_DEFINITION_SHAPE($,$,#639); #595879=PRODUCT_DEFINITION_SHAPE($,$,#640); #595880=PRODUCT_DEFINITION_SHAPE($,$,#641); #595881=PRODUCT_DEFINITION_SHAPE($,$,#642); #595882=PRODUCT_DEFINITION_SHAPE($,$,#643); #595883=PRODUCT_DEFINITION_SHAPE($,$,#644); #595884=PRODUCT_DEFINITION_SHAPE($,$,#645); #595885=PRODUCT_DEFINITION_SHAPE($,$,#646); #595886=PRODUCT_DEFINITION_SHAPE($,$,#647); #595887=PRODUCT_DEFINITION_SHAPE($,$,#648); #595888=PRODUCT_DEFINITION_SHAPE($,$,#649); #595889=PRODUCT_DEFINITION_SHAPE($,$,#650); #595890=PRODUCT_DEFINITION_SHAPE($,$,#651); #595891=PRODUCT_DEFINITION_SHAPE($,$,#652); #595892=PRODUCT_DEFINITION_SHAPE($,$,#653); #595893=PRODUCT_DEFINITION_SHAPE($,$,#654); #595894=PRODUCT_DEFINITION_SHAPE($,$,#655); #595895=PRODUCT_DEFINITION_SHAPE($,$,#656); #595896=PRODUCT_DEFINITION_SHAPE($,$,#657); #595897=PRODUCT_DEFINITION_SHAPE($,$,#658); #595898=PRODUCT_DEFINITION_SHAPE($,$,#659); #595899=PRODUCT_DEFINITION_SHAPE($,$,#660); #595900=PRODUCT_DEFINITION_SHAPE($,$,#661); #595901=PRODUCT_DEFINITION_SHAPE($,$,#662); #595902=PRODUCT_DEFINITION_SHAPE($,$,#663); #595903=PRODUCT_DEFINITION_SHAPE($,$,#664); #595904=PRODUCT_DEFINITION_SHAPE($,$,#665); #595905=PRODUCT_DEFINITION_SHAPE($,$,#666); #595906=PRODUCT_DEFINITION_SHAPE($,$,#667); #595907=PRODUCT_DEFINITION_SHAPE($,$,#668); #595908=PRODUCT_DEFINITION_SHAPE($,$,#669); #595909=PRODUCT_DEFINITION_SHAPE($,$,#670); #595910=PRODUCT_DEFINITION_SHAPE($,$,#671); #595911=PRODUCT_DEFINITION_SHAPE($,$,#672); #595912=PRODUCT_DEFINITION_SHAPE($,$,#673); #595913=PRODUCT_DEFINITION_SHAPE($,$,#674); #595914=PRODUCT_DEFINITION_SHAPE($,$,#675); #595915=PRODUCT_DEFINITION_SHAPE($,$,#676); #595916=PRODUCT_DEFINITION_SHAPE($,$,#677); #595917=PRODUCT_DEFINITION_SHAPE($,$,#678); #595918=PRODUCT_DEFINITION_SHAPE($,$,#679); #595919=PRODUCT_DEFINITION_SHAPE($,$,#680); #595920=PRODUCT_DEFINITION_SHAPE($,$,#681); #595921=PRODUCT_DEFINITION_SHAPE($,$,#682); #595922=PRODUCT_DEFINITION_SHAPE($,$,#683); #595923=PRODUCT_DEFINITION_SHAPE($,$,#684); #595924=PRODUCT_DEFINITION_SHAPE($,$,#685); #595925=PRODUCT_DEFINITION_SHAPE($,$,#686); #595926=PRODUCT_DEFINITION_SHAPE($,$,#687); #595927=PRODUCT_DEFINITION_SHAPE($,$,#688); #595928=PRODUCT_DEFINITION_SHAPE($,$,#689); #595929=PRODUCT_DEFINITION_SHAPE($,$,#690); #595930=PRODUCT_DEFINITION_SHAPE($,$,#691); #595931=PRODUCT_DEFINITION_SHAPE($,$,#692); #595932=PRODUCT_DEFINITION_SHAPE($,$,#693); #595933=PRODUCT_DEFINITION_SHAPE($,$,#694); #595934=PRODUCT_DEFINITION_SHAPE($,$,#695); #595935=PRODUCT_DEFINITION_SHAPE($,$,#696); #595936=PRODUCT_DEFINITION_SHAPE($,$,#697); #595937=PRODUCT_DEFINITION_SHAPE($,$,#698); #595938=PRODUCT_DEFINITION_SHAPE($,$,#699); #595939=PRODUCT_DEFINITION_SHAPE($,$,#700); #595940=PRODUCT_DEFINITION_SHAPE($,$,#701); #595941=PRODUCT_DEFINITION_SHAPE($,$,#702); #595942=PRODUCT_DEFINITION_SHAPE($,$,#703); #595943=PRODUCT_DEFINITION_SHAPE($,$,#704); #595944=PRODUCT_DEFINITION_SHAPE($,$,#705); #595945=PRODUCT_DEFINITION_SHAPE('',$,#596151); #595946=PRODUCT_DEFINITION_SHAPE('',$,#596152); #595947=PRODUCT_DEFINITION_SHAPE('',$,#596153); #595948=PRODUCT_DEFINITION_SHAPE('',$,#596154); #595949=PRODUCT_DEFINITION_SHAPE($,$,#706); #595950=PRODUCT_DEFINITION_SHAPE($,$,#707); #595951=PRODUCT_DEFINITION_SHAPE($,$,#708); #595952=PRODUCT_DEFINITION_SHAPE($,$,#709); #595953=PRODUCT_DEFINITION_SHAPE($,$,#710); #595954=PRODUCT_DEFINITION_SHAPE('',$,#596155); #595955=PRODUCT_DEFINITION_SHAPE('',$,#596156); #595956=PRODUCT_DEFINITION_SHAPE($,$,#711); #595957=PRODUCT_DEFINITION_SHAPE($,$,#712); #595958=PRODUCT_DEFINITION_SHAPE($,$,#713); #595959=PRODUCT_DEFINITION_SHAPE($,$,#714); #595960=SHAPE_REPRESENTATION('',(#350179,#377016,#377017,#377018),#595513); #595961=SHAPE_REPRESENTATION('',(#350180,#351678,#351679),#595514); #595962=SHAPE_REPRESENTATION('',(#350181),#595515); #595963=SHAPE_REPRESENTATION('',(#350835),#595516); #595964=SHAPE_REPRESENTATION('',(#351680,#376957,#376958),#595517); #595965=SHAPE_REPRESENTATION('',(#351681,#376520,#376521,#376522,#376523, #376524,#376525),#595518); #595966=SHAPE_REPRESENTATION('',(#351682),#595519); #595967=SHAPE_REPRESENTATION('',(#355249),#595520); #595968=SHAPE_REPRESENTATION('',(#363537),#595521); #595969=SHAPE_REPRESENTATION('',(#369877),#595522); #595970=SHAPE_REPRESENTATION('',(#370864),#595523); #595971=SHAPE_REPRESENTATION('',(#371851,#376452,#376453,#376454,#376455, #376456,#376457,#376458,#376459,#376460,#376461,#376462,#376463,#376464, #376465,#376466,#376467,#376468,#376469,#376470,#376471,#376472,#376473, #376474,#376475,#376476,#376477,#376478,#376479,#376480,#376481,#376482, #376483,#376484,#376485,#376486,#376487,#376488,#376489,#376490,#376491, #376492,#376493,#376494,#376495,#376496,#376497,#376498,#376499,#376500, #376501,#376502,#376503,#376504,#376505,#376506,#376507,#376508,#376509, #376510,#376511,#376512,#376513,#376514,#376515,#376516,#376517,#376518, #376519),#595524); #595972=SHAPE_REPRESENTATION('',(#371852,#371958),#595525); #595973=SHAPE_REPRESENTATION('',(#371853),#595526); #595974=SHAPE_REPRESENTATION('',(#371959,#372081),#595527); #595975=SHAPE_REPRESENTATION('',(#371960,#372082),#595528); #595976=SHAPE_REPRESENTATION('',(#371961,#372083),#595529); #595977=SHAPE_REPRESENTATION('',(#371962),#595530); #595978=SHAPE_REPRESENTATION('',(#372084,#373928),#595531); #595979=SHAPE_REPRESENTATION('',(#372085,#373929),#595532); #595980=SHAPE_REPRESENTATION('',(#372086,#373930),#595533); #595981=SHAPE_REPRESENTATION('',(#372087,#373931),#595534); #595982=SHAPE_REPRESENTATION('',(#372088,#373932),#595535); #595983=SHAPE_REPRESENTATION('',(#372089,#373933),#595536); #595984=SHAPE_REPRESENTATION('',(#372090,#373934),#595537); #595985=SHAPE_REPRESENTATION('',(#372091,#373935),#595538); #595986=SHAPE_REPRESENTATION('',(#372092,#373936),#595539); #595987=SHAPE_REPRESENTATION('',(#372093,#373937),#595540); #595988=SHAPE_REPRESENTATION('',(#372094,#373938),#595541); #595989=SHAPE_REPRESENTATION('',(#372095,#373939),#595542); #595990=SHAPE_REPRESENTATION('',(#372096,#373940),#595543); #595991=SHAPE_REPRESENTATION('',(#372097,#373941),#595544); #595992=SHAPE_REPRESENTATION('',(#372098,#373942),#595545); #595993=SHAPE_REPRESENTATION('',(#372099,#373943),#595546); #595994=SHAPE_REPRESENTATION('',(#372100,#373944),#595547); #595995=SHAPE_REPRESENTATION('',(#372101,#373945),#595548); #595996=SHAPE_REPRESENTATION('',(#372102,#373946),#595549); #595997=SHAPE_REPRESENTATION('',(#372103,#373947),#595550); #595998=SHAPE_REPRESENTATION('',(#372104,#373948),#595551); #595999=SHAPE_REPRESENTATION('',(#372105,#373949),#595552); #596000=SHAPE_REPRESENTATION('',(#372106,#373725),#595553); #596001=SHAPE_REPRESENTATION('',(#372107,#373724),#595554); #596002=SHAPE_REPRESENTATION('',(#372108,#373705,#373706,#373707,#373708, #373709,#373710,#373711,#373712,#373713,#373714,#373715,#373716,#373717, #373718,#373719,#373720,#373721,#373722,#373723),#595555); #596003=SHAPE_REPRESENTATION('',(#372109),#595556); #596004=SHAPE_REPRESENTATION('',(#372415),#595557); #596005=SHAPE_REPRESENTATION('',(#372444),#595558); #596006=SHAPE_REPRESENTATION('',(#372473),#595559); #596007=SHAPE_REPRESENTATION('',(#372502),#595560); #596008=SHAPE_REPRESENTATION('',(#372531),#595561); #596009=SHAPE_REPRESENTATION('',(#372560),#595562); #596010=SHAPE_REPRESENTATION('',(#372589),#595563); #596011=SHAPE_REPRESENTATION('',(#372618),#595564); #596012=SHAPE_REPRESENTATION('',(#372647),#595565); #596013=SHAPE_REPRESENTATION('',(#372676),#595566); #596014=SHAPE_REPRESENTATION('',(#372705),#595567); #596015=SHAPE_REPRESENTATION('',(#372734),#595568); #596016=SHAPE_REPRESENTATION('',(#372763),#595569); #596017=SHAPE_REPRESENTATION('',(#372792),#595570); #596018=SHAPE_REPRESENTATION('',(#372821),#595571); #596019=SHAPE_REPRESENTATION('',(#372884),#595572); #596020=SHAPE_REPRESENTATION('',(#373676),#595573); #596021=SHAPE_REPRESENTATION('',(#373726,#373818),#595574); #596022=SHAPE_REPRESENTATION('',(#373727,#373819),#595575); #596023=SHAPE_REPRESENTATION('',(#373728),#595576); #596024=SHAPE_REPRESENTATION('',(#373820,#373950),#595577); #596025=SHAPE_REPRESENTATION('',(#373821),#595578); #596026=SHAPE_REPRESENTATION('',(#373951,#374059),#595579); #596027=SHAPE_REPRESENTATION('',(#373952),#595580); #596028=SHAPE_REPRESENTATION('',(#374060,#374168),#595581); #596029=SHAPE_REPRESENTATION('',(#374061),#595582); #596030=SHAPE_REPRESENTATION('',(#374169,#374351),#595583); #596031=SHAPE_REPRESENTATION('',(#374170),#595584); #596032=SHAPE_REPRESENTATION('',(#374352,#374518),#595585); #596033=SHAPE_REPRESENTATION('',(#374353),#595586); #596034=SHAPE_REPRESENTATION('',(#374519,#374685),#595587); #596035=SHAPE_REPRESENTATION('',(#374520),#595588); #596036=SHAPE_REPRESENTATION('',(#374686,#374722),#595589); #596037=SHAPE_REPRESENTATION('',(#374687),#595590); #596038=SHAPE_REPRESENTATION('',(#374723,#375359),#595591); #596039=SHAPE_REPRESENTATION('',(#374724),#595592); #596040=SHAPE_REPRESENTATION('',(#375360,#375862),#595593); #596041=SHAPE_REPRESENTATION('',(#375361),#595594); #596042=SHAPE_REPRESENTATION('',(#375863,#375971),#595595); #596043=SHAPE_REPRESENTATION('',(#375864),#595596); #596044=SHAPE_REPRESENTATION('',(#375972,#376014),#595597); #596045=SHAPE_REPRESENTATION('',(#375973),#595598); #596046=SHAPE_REPRESENTATION('',(#376015,#376211),#595599); #596047=SHAPE_REPRESENTATION('',(#376016),#595600); #596048=SHAPE_REPRESENTATION('',(#376212,#376342),#595601); #596049=SHAPE_REPRESENTATION('',(#376213),#595602); #596050=SHAPE_REPRESENTATION('',(#376343,#376451),#595603); #596051=SHAPE_REPRESENTATION('',(#376344),#595604); #596052=SHAPE_REPRESENTATION('',(#376526,#376596,#376597,#376598),#595605); #596053=SHAPE_REPRESENTATION('',(#376527),#595606); #596054=SHAPE_REPRESENTATION('',(#376548),#595607); #596055=SHAPE_REPRESENTATION('',(#376569),#595608); #596056=SHAPE_REPRESENTATION('',(#376959,#377015),#595609); #596057=SHAPE_REPRESENTATION('',(#376960),#595610); #596058=PRODUCT_DEFINITION_CONTEXT('part definition',#596354,'design'); #596059=PRODUCT_DEFINITION('Cylinder Fan','Cylinder Fan v31',#596157,#596058); #596060=PRODUCT_DEFINITION('Master','Master',#596158,#596058); #596061=PRODUCT_DEFINITION('PFB0512EHF','PFB0512EHF v3',#596159,#596058); #596062=PRODUCT_DEFINITION('Battery Pack','Battery Pack v7',#596160,#596058); #596063=PRODUCT_DEFINITION('Electronic','Electronic',#596161,#596058); #596064=PRODUCT_DEFINITION('PCB','PCB v18',#596162,#596058); #596065=PRODUCT_DEFINITION('Board','Board',#596163,#596058); #596066=PRODUCT_DEFINITION('1-copper','1-copper',#596164,#596058); #596067=PRODUCT_DEFINITION('16-copper','16-copper',#596165,#596058); #596068=PRODUCT_DEFINITION('1-soldermask','1-soldermask',#596166,#596058); #596069=PRODUCT_DEFINITION('16-soldermask','16-soldermask',#596167,#596058); #596070=PRODUCT_DEFINITION('Packages','Packages',#596168,#596058); #596071=PRODUCT_DEFINITION('2510-2.54-4P','2510-2.54-4P',#596169,#596058); #596072=PRODUCT_DEFINITION('Model','Model',#596170,#596058); #596073=PRODUCT_DEFINITION('LED0603','LED0603',#596171,#596058); #596074=PRODUCT_DEFINITION('LED0603_1','LED0603',#596172,#596058); #596075=PRODUCT_DEFINITION('LED0603_2','LED0603',#596173,#596058); #596076=PRODUCT_DEFINITION('Model (7)','Model (7)',#596174,#596058); #596077=PRODUCT_DEFINITION('R0603','R0603',#596175,#596058); #596078=PRODUCT_DEFINITION('R0603_1','R0603',#596176,#596058); #596079=PRODUCT_DEFINITION('R0603_2','R0603',#596177,#596058); #596080=PRODUCT_DEFINITION('R0603_3','R0603',#596178,#596058); #596081=PRODUCT_DEFINITION('R0603_4','R0603',#596179,#596058); #596082=PRODUCT_DEFINITION('R0603_5','R0603',#596180,#596058); #596083=PRODUCT_DEFINITION('R0603_6','R0603',#596181,#596058); #596084=PRODUCT_DEFINITION('R0603_7','R0603',#596182,#596058); #596085=PRODUCT_DEFINITION('R0603_8','R0603',#596183,#596058); #596086=PRODUCT_DEFINITION('R0603_9','R0603',#596184,#596058); #596087=PRODUCT_DEFINITION('R0603_10','R0603',#596185,#596058); #596088=PRODUCT_DEFINITION('R0603_11','R0603',#596186,#596058); #596089=PRODUCT_DEFINITION('R0603_12','R0603',#596187,#596058); #596090=PRODUCT_DEFINITION('R0603_13','R0603',#596188,#596058); #596091=PRODUCT_DEFINITION('R0603_14','R0603',#596189,#596058); #596092=PRODUCT_DEFINITION('R0603_15','R0603',#596190,#596058); #596093=PRODUCT_DEFINITION('R0603_16','R0603',#596191,#596058); #596094=PRODUCT_DEFINITION('R0603_17','R0603',#596192,#596058); #596095=PRODUCT_DEFINITION('R0603_18','R0603',#596193,#596058); #596096=PRODUCT_DEFINITION('R0603_19','R0603',#596194,#596058); #596097=PRODUCT_DEFINITION('R0603_20','R0603',#596195,#596058); #596098=PRODUCT_DEFINITION('R0603_21','R0603',#596196,#596058); #596099=PRODUCT_DEFINITION('TYPE-C-16P-FH','TYPE-C-16P-FH',#596197,#596058); #596100=PRODUCT_DEFINITION('Model (19)','Model (19)',#596198,#596058); #596101=PRODUCT_DEFINITION('34707316.f3d ','34707316.f3d ',#596199,#596058); #596102=PRODUCT_DEFINITION('629722000214_Housing', '629722000214_Housing',#596200,#596058); #596103=PRODUCT_DEFINITION('629722000214_Pin1_Top', '629722000214_Pin1_Top',#596201,#596058); #596104=PRODUCT_DEFINITION('629722000214_Pin4_Bottom', '629722000214_Pin4_Bottom',#596202,#596058); #596105=PRODUCT_DEFINITION('629722000214_Pin1_Bottom', '629722000214_Pin1_Bottom',#596203,#596058); #596106=PRODUCT_DEFINITION('629722000214_Pin3_Bottom', '629722000214_Pin3_Bottom',#596204,#596058); #596107=PRODUCT_DEFINITION('629722000214_Pin3_Top', '629722000214_Pin3_Top',#596205,#596058); #596108=PRODUCT_DEFINITION('629722000214_Pin2_Top', '629722000214_Pin2_Top',#596206,#596058); #596109=PRODUCT_DEFINITION('629722000214_Pin2_Bottom', '629722000214_Pin2_Bottom',#596207,#596058); #596110=PRODUCT_DEFINITION('629722000214_Pin1_Top_mir', '629722000214_Pin1_Top_mir',#596208,#596058); #596111=PRODUCT_DEFINITION('629722000214_Pin1_Bottom_mir', '629722000214_Pin1_Bottom_mir',#596209,#596058); #596112=PRODUCT_DEFINITION('629722000214_Pin2_Top_mir', '629722000214_Pin2_Top_mir',#596210,#596058); #596113=PRODUCT_DEFINITION('629722000214_Pin2_Bottom_mir', '629722000214_Pin2_Bottom_mir',#596211,#596058); #596114=PRODUCT_DEFINITION('629722000214_Pin3_Top_mir', '629722000214_Pin3_Top_mir',#596212,#596058); #596115=PRODUCT_DEFINITION('629722000214_Pin3_Bottom_mir', '629722000214_Pin3_Bottom_mir',#596213,#596058); #596116=PRODUCT_DEFINITION('629722000214_Pin4_Bottom_mir', '629722000214_Pin4_Bottom_mir',#596214,#596058); #596117=PRODUCT_DEFINITION('629722000214_Shielding2', '629722000214_Shielding2',#596215,#596058); #596118=PRODUCT_DEFINITION('629722000214_Shielding', '629722000214_Shielding',#596216,#596058); #596119=PRODUCT_DEFINITION('629722000214_Pin4_Top', '629722000214_Pin4_Top',#596217,#596058); #596120=PRODUCT_DEFINITION('XH-2.50-2P','XH-2.50-2P',#596218,#596058); #596121=PRODUCT_DEFINITION('XH-2.50-2P_1','XH-2.50-2P',#596219,#596058); #596122=PRODUCT_DEFINITION('Model (20)','Model (20)',#596220,#596058); #596123=PRODUCT_DEFINITION('R0603_22','R0603',#596221,#596058); #596124=PRODUCT_DEFINITION('Model (10)','Model (10)',#596222,#596058); #596125=PRODUCT_DEFINITION('C0603','C0603',#596223,#596058); #596126=PRODUCT_DEFINITION('Model (1)','Model (1)',#596224,#596058); #596127=PRODUCT_DEFINITION('C1210','C1210',#596225,#596058); #596128=PRODUCT_DEFINITION('Model (2)','Model (2)',#596226,#596058); #596129=PRODUCT_DEFINITION('CAP-PTH(D8.0-P3.50)','CAP-PTH(D8.0-P3.50)', #596227,#596058); #596130=PRODUCT_DEFINITION('Model (3)','Model (3)',#596228,#596058); #596131=PRODUCT_DEFINITION('DFN-8(3X3)','DFN-8(3X3)',#596229,#596058); #596132=PRODUCT_DEFINITION('Model (4)','Model (4)',#596230,#596058); #596133=PRODUCT_DEFINITION('DFN-8(5X6)','DFN-8(5X6)',#596231,#596058); #596134=PRODUCT_DEFINITION('Model (5)','Model (5)',#596232,#596058); #596135=PRODUCT_DEFINITION('L12XX','L12XX',#596233,#596058); #596136=PRODUCT_DEFINITION('Model (6)','Model (6)',#596234,#596058); #596137=PRODUCT_DEFINITION('POT-R1S1-RV097NS','POT-R1S1-RV097NS',#596235, #596058); #596138=PRODUCT_DEFINITION('Model (8)','Model (8)',#596236,#596058); #596139=PRODUCT_DEFINITION('QFN-48(7X7)','QFN-48(7X7)',#596237,#596058); #596140=PRODUCT_DEFINITION('Model (9)','Model (9)',#596238,#596058); #596141=PRODUCT_DEFINITION('R1206','R1206',#596239,#596058); #596142=PRODUCT_DEFINITION('Model (11)','Model (11)',#596240,#596058); #596143=PRODUCT_DEFINITION('SOD-123FL','SOD-123FL',#596241,#596058); #596144=PRODUCT_DEFINITION('Model (12)','Model (12)',#596242,#596058); #596145=PRODUCT_DEFINITION('SOP-8(L5.0-W3.9-P1.27)', 'SOP-8(L5.0-W3.9-P1.27)',#596243,#596058); #596146=PRODUCT_DEFINITION('Model (13)','Model (13)',#596244,#596058); #596147=PRODUCT_DEFINITION('SOT23-5','SOT23-5',#596245,#596058); #596148=PRODUCT_DEFINITION('Model (14)','Model (14)',#596246,#596058); #596149=PRODUCT_DEFINITION('C1206','C1206',#596247,#596058); #596150=PRODUCT_DEFINITION('Model (15)','Model (15)',#596248,#596058); #596151=PRODUCT_DEFINITION('Power Display','Power Display v5',#596249,#596058); #596152=PRODUCT_DEFINITION('Board_1','Board',#596250,#596058); #596153=PRODUCT_DEFINITION('1-soldermask_1','1-soldermask',#596251,#596058); #596154=PRODUCT_DEFINITION('16-soldermask_1','16-soldermask',#596252,#596058); #596155=PRODUCT_DEFINITION('Nozzle','Nozzle',#596253,#596058); #596156=PRODUCT_DEFINITION('Flat Nozzle','Flat Nozzle',#596254,#596058); #596157=PRODUCT_DEFINITION_FORMATION('',$,#596356); #596158=PRODUCT_DEFINITION_FORMATION('',$,#596357); #596159=PRODUCT_DEFINITION_FORMATION('',$,#596358); #596160=PRODUCT_DEFINITION_FORMATION('',$,#596359); #596161=PRODUCT_DEFINITION_FORMATION('',$,#596360); #596162=PRODUCT_DEFINITION_FORMATION('',$,#596361); #596163=PRODUCT_DEFINITION_FORMATION('',$,#596362); #596164=PRODUCT_DEFINITION_FORMATION('',$,#596363); #596165=PRODUCT_DEFINITION_FORMATION('',$,#596364); #596166=PRODUCT_DEFINITION_FORMATION('',$,#596365); #596167=PRODUCT_DEFINITION_FORMATION('',$,#596366); #596168=PRODUCT_DEFINITION_FORMATION('',$,#596367); #596169=PRODUCT_DEFINITION_FORMATION('',$,#596368); #596170=PRODUCT_DEFINITION_FORMATION('',$,#596369); #596171=PRODUCT_DEFINITION_FORMATION('',$,#596370); #596172=PRODUCT_DEFINITION_FORMATION('',$,#596371); #596173=PRODUCT_DEFINITION_FORMATION('',$,#596372); #596174=PRODUCT_DEFINITION_FORMATION('',$,#596373); #596175=PRODUCT_DEFINITION_FORMATION('',$,#596374); #596176=PRODUCT_DEFINITION_FORMATION('',$,#596375); #596177=PRODUCT_DEFINITION_FORMATION('',$,#596376); #596178=PRODUCT_DEFINITION_FORMATION('',$,#596377); #596179=PRODUCT_DEFINITION_FORMATION('',$,#596378); #596180=PRODUCT_DEFINITION_FORMATION('',$,#596379); #596181=PRODUCT_DEFINITION_FORMATION('',$,#596380); #596182=PRODUCT_DEFINITION_FORMATION('',$,#596381); #596183=PRODUCT_DEFINITION_FORMATION('',$,#596382); #596184=PRODUCT_DEFINITION_FORMATION('',$,#596383); #596185=PRODUCT_DEFINITION_FORMATION('',$,#596384); #596186=PRODUCT_DEFINITION_FORMATION('',$,#596385); #596187=PRODUCT_DEFINITION_FORMATION('',$,#596386); #596188=PRODUCT_DEFINITION_FORMATION('',$,#596387); #596189=PRODUCT_DEFINITION_FORMATION('',$,#596388); #596190=PRODUCT_DEFINITION_FORMATION('',$,#596389); #596191=PRODUCT_DEFINITION_FORMATION('',$,#596390); #596192=PRODUCT_DEFINITION_FORMATION('',$,#596391); #596193=PRODUCT_DEFINITION_FORMATION('',$,#596392); #596194=PRODUCT_DEFINITION_FORMATION('',$,#596393); #596195=PRODUCT_DEFINITION_FORMATION('',$,#596394); #596196=PRODUCT_DEFINITION_FORMATION('',$,#596395); #596197=PRODUCT_DEFINITION_FORMATION('',$,#596396); #596198=PRODUCT_DEFINITION_FORMATION('',$,#596397); #596199=PRODUCT_DEFINITION_FORMATION('',$,#596398); #596200=PRODUCT_DEFINITION_FORMATION('',$,#596399); #596201=PRODUCT_DEFINITION_FORMATION('',$,#596400); #596202=PRODUCT_DEFINITION_FORMATION('',$,#596401); #596203=PRODUCT_DEFINITION_FORMATION('',$,#596402); #596204=PRODUCT_DEFINITION_FORMATION('',$,#596403); #596205=PRODUCT_DEFINITION_FORMATION('',$,#596404); #596206=PRODUCT_DEFINITION_FORMATION('',$,#596405); #596207=PRODUCT_DEFINITION_FORMATION('',$,#596406); #596208=PRODUCT_DEFINITION_FORMATION('',$,#596407); #596209=PRODUCT_DEFINITION_FORMATION('',$,#596408); #596210=PRODUCT_DEFINITION_FORMATION('',$,#596409); #596211=PRODUCT_DEFINITION_FORMATION('',$,#596410); #596212=PRODUCT_DEFINITION_FORMATION('',$,#596411); #596213=PRODUCT_DEFINITION_FORMATION('',$,#596412); #596214=PRODUCT_DEFINITION_FORMATION('',$,#596413); #596215=PRODUCT_DEFINITION_FORMATION('',$,#596414); #596216=PRODUCT_DEFINITION_FORMATION('',$,#596415); #596217=PRODUCT_DEFINITION_FORMATION('',$,#596416); #596218=PRODUCT_DEFINITION_FORMATION('',$,#596417); #596219=PRODUCT_DEFINITION_FORMATION('',$,#596418); #596220=PRODUCT_DEFINITION_FORMATION('',$,#596419); #596221=PRODUCT_DEFINITION_FORMATION('',$,#596420); #596222=PRODUCT_DEFINITION_FORMATION('',$,#596421); #596223=PRODUCT_DEFINITION_FORMATION('',$,#596422); #596224=PRODUCT_DEFINITION_FORMATION('',$,#596423); #596225=PRODUCT_DEFINITION_FORMATION('',$,#596424); #596226=PRODUCT_DEFINITION_FORMATION('',$,#596425); #596227=PRODUCT_DEFINITION_FORMATION('',$,#596426); #596228=PRODUCT_DEFINITION_FORMATION('',$,#596427); #596229=PRODUCT_DEFINITION_FORMATION('',$,#596428); #596230=PRODUCT_DEFINITION_FORMATION('',$,#596429); #596231=PRODUCT_DEFINITION_FORMATION('',$,#596430); #596232=PRODUCT_DEFINITION_FORMATION('',$,#596431); #596233=PRODUCT_DEFINITION_FORMATION('',$,#596432); #596234=PRODUCT_DEFINITION_FORMATION('',$,#596433); #596235=PRODUCT_DEFINITION_FORMATION('',$,#596434); #596236=PRODUCT_DEFINITION_FORMATION('',$,#596435); #596237=PRODUCT_DEFINITION_FORMATION('',$,#596436); #596238=PRODUCT_DEFINITION_FORMATION('',$,#596437); #596239=PRODUCT_DEFINITION_FORMATION('',$,#596438); #596240=PRODUCT_DEFINITION_FORMATION('',$,#596439); #596241=PRODUCT_DEFINITION_FORMATION('',$,#596440); #596242=PRODUCT_DEFINITION_FORMATION('',$,#596441); #596243=PRODUCT_DEFINITION_FORMATION('',$,#596442); #596244=PRODUCT_DEFINITION_FORMATION('',$,#596443); #596245=PRODUCT_DEFINITION_FORMATION('',$,#596444); #596246=PRODUCT_DEFINITION_FORMATION('',$,#596445); #596247=PRODUCT_DEFINITION_FORMATION('',$,#596446); #596248=PRODUCT_DEFINITION_FORMATION('',$,#596447); #596249=PRODUCT_DEFINITION_FORMATION('',$,#596448); #596250=PRODUCT_DEFINITION_FORMATION('',$,#596449); #596251=PRODUCT_DEFINITION_FORMATION('',$,#596450); #596252=PRODUCT_DEFINITION_FORMATION('',$,#596451); #596253=PRODUCT_DEFINITION_FORMATION('',$,#596452); #596254=PRODUCT_DEFINITION_FORMATION('',$,#596453); #596255=PRODUCT_RELATED_PRODUCT_CATEGORY('Cylinder Fan v31', 'Cylinder Fan v31',(#596356)); #596256=PRODUCT_RELATED_PRODUCT_CATEGORY('Master','Master',(#596357)); #596257=PRODUCT_RELATED_PRODUCT_CATEGORY('PFB0512EHF v3', 'PFB0512EHF v3',(#596358)); #596258=PRODUCT_RELATED_PRODUCT_CATEGORY('Battery Pack v7', 'Battery Pack v7',(#596359)); #596259=PRODUCT_RELATED_PRODUCT_CATEGORY('Electronic','Electronic',(#596360)); #596260=PRODUCT_RELATED_PRODUCT_CATEGORY('PCB v18','PCB v18',(#596361)); #596261=PRODUCT_RELATED_PRODUCT_CATEGORY('Board','Board',(#596362)); #596262=PRODUCT_RELATED_PRODUCT_CATEGORY('1-copper','1-copper',(#596363)); #596263=PRODUCT_RELATED_PRODUCT_CATEGORY('16-copper','16-copper',(#596364)); #596264=PRODUCT_RELATED_PRODUCT_CATEGORY('1-soldermask','1-soldermask', (#596365)); #596265=PRODUCT_RELATED_PRODUCT_CATEGORY('16-soldermask', '16-soldermask',(#596366)); #596266=PRODUCT_RELATED_PRODUCT_CATEGORY('Packages','Packages',(#596367)); #596267=PRODUCT_RELATED_PRODUCT_CATEGORY('2510-2.54-4P','2510-2.54-4P', (#596368)); #596268=PRODUCT_RELATED_PRODUCT_CATEGORY('Model','Model',(#596369)); #596269=PRODUCT_RELATED_PRODUCT_CATEGORY('LED0603','LED0603',(#596370)); #596270=PRODUCT_RELATED_PRODUCT_CATEGORY('LED0603','LED0603',(#596371)); #596271=PRODUCT_RELATED_PRODUCT_CATEGORY('LED0603','LED0603',(#596372)); #596272=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (7)','Model (7)',(#596373)); #596273=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#596374)); #596274=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#596375)); #596275=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#596376)); #596276=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#596377)); #596277=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#596378)); #596278=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#596379)); #596279=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#596380)); #596280=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#596381)); #596281=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#596382)); #596282=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#596383)); #596283=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#596384)); #596284=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#596385)); #596285=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#596386)); #596286=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#596387)); #596287=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#596388)); #596288=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#596389)); #596289=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#596390)); #596290=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#596391)); #596291=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#596392)); #596292=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#596393)); #596293=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#596394)); #596294=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#596395)); #596295=PRODUCT_RELATED_PRODUCT_CATEGORY('TYPE-C-16P-FH', 'TYPE-C-16P-FH',(#596396)); #596296=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (19)','Model (19)',(#596397)); #596297=PRODUCT_RELATED_PRODUCT_CATEGORY('34707316.f3d ', '34707316.f3d ',(#596398)); #596298=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Housing', '629722000214_Housing',(#596399)); #596299=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin1_Top', '629722000214_Pin1_Top',(#596400)); #596300=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin4_Bottom', '629722000214_Pin4_Bottom',(#596401)); #596301=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin1_Bottom', '629722000214_Pin1_Bottom',(#596402)); #596302=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin3_Bottom', '629722000214_Pin3_Bottom',(#596403)); #596303=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin3_Top', '629722000214_Pin3_Top',(#596404)); #596304=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin2_Top', '629722000214_Pin2_Top',(#596405)); #596305=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin2_Bottom', '629722000214_Pin2_Bottom',(#596406)); #596306=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin1_Top_mir', '629722000214_Pin1_Top_mir',(#596407)); #596307=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin1_Bottom_mir', '629722000214_Pin1_Bottom_mir',(#596408)); #596308=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin2_Top_mir', '629722000214_Pin2_Top_mir',(#596409)); #596309=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin2_Bottom_mir', '629722000214_Pin2_Bottom_mir',(#596410)); #596310=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin3_Top_mir', '629722000214_Pin3_Top_mir',(#596411)); #596311=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin3_Bottom_mir', '629722000214_Pin3_Bottom_mir',(#596412)); #596312=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin4_Bottom_mir', '629722000214_Pin4_Bottom_mir',(#596413)); #596313=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Shielding2', '629722000214_Shielding2',(#596414)); #596314=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Shielding', '629722000214_Shielding',(#596415)); #596315=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin4_Top', '629722000214_Pin4_Top',(#596416)); #596316=PRODUCT_RELATED_PRODUCT_CATEGORY('XH-2.50-2P','XH-2.50-2P',(#596417)); #596317=PRODUCT_RELATED_PRODUCT_CATEGORY('XH-2.50-2P','XH-2.50-2P',(#596418)); #596318=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (20)','Model (20)',(#596419)); #596319=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#596420)); #596320=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (10)','Model (10)',(#596421)); #596321=PRODUCT_RELATED_PRODUCT_CATEGORY('C0603','C0603',(#596422)); #596322=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (1)','Model (1)',(#596423)); #596323=PRODUCT_RELATED_PRODUCT_CATEGORY('C1210','C1210',(#596424)); #596324=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (2)','Model (2)',(#596425)); #596325=PRODUCT_RELATED_PRODUCT_CATEGORY('CAP-PTH(D8.0-P3.50)', 'CAP-PTH(D8.0-P3.50)',(#596426)); #596326=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (3)','Model (3)',(#596427)); #596327=PRODUCT_RELATED_PRODUCT_CATEGORY('DFN-8(3X3)','DFN-8(3X3)',(#596428)); #596328=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (4)','Model (4)',(#596429)); #596329=PRODUCT_RELATED_PRODUCT_CATEGORY('DFN-8(5X6)','DFN-8(5X6)',(#596430)); #596330=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (5)','Model (5)',(#596431)); #596331=PRODUCT_RELATED_PRODUCT_CATEGORY('L12XX','L12XX',(#596432)); #596332=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (6)','Model (6)',(#596433)); #596333=PRODUCT_RELATED_PRODUCT_CATEGORY('POT-R1S1-RV097NS', 'POT-R1S1-RV097NS',(#596434)); #596334=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (8)','Model (8)',(#596435)); #596335=PRODUCT_RELATED_PRODUCT_CATEGORY('QFN-48(7X7)','QFN-48(7X7)',(#596436)); #596336=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (9)','Model (9)',(#596437)); #596337=PRODUCT_RELATED_PRODUCT_CATEGORY('R1206','R1206',(#596438)); #596338=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (11)','Model (11)',(#596439)); #596339=PRODUCT_RELATED_PRODUCT_CATEGORY('SOD-123FL','SOD-123FL',(#596440)); #596340=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (12)','Model (12)',(#596441)); #596341=PRODUCT_RELATED_PRODUCT_CATEGORY('SOP-8(L5.0-W3.9-P1.27)', 'SOP-8(L5.0-W3.9-P1.27)',(#596442)); #596342=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (13)','Model (13)',(#596443)); #596343=PRODUCT_RELATED_PRODUCT_CATEGORY('SOT23-5','SOT23-5',(#596444)); #596344=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (14)','Model (14)',(#596445)); #596345=PRODUCT_RELATED_PRODUCT_CATEGORY('C1206','C1206',(#596446)); #596346=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (15)','Model (15)',(#596447)); #596347=PRODUCT_RELATED_PRODUCT_CATEGORY('Power Display v5', 'Power Display v5',(#596448)); #596348=PRODUCT_RELATED_PRODUCT_CATEGORY('Board','Board',(#596449)); #596349=PRODUCT_RELATED_PRODUCT_CATEGORY('1-soldermask','1-soldermask', (#596450)); #596350=PRODUCT_RELATED_PRODUCT_CATEGORY('16-soldermask', '16-soldermask',(#596451)); #596351=PRODUCT_RELATED_PRODUCT_CATEGORY('Nozzle','Nozzle',(#596452)); #596352=PRODUCT_RELATED_PRODUCT_CATEGORY('Flat Nozzle','Flat Nozzle',(#596453)); #596353=APPLICATION_PROTOCOL_DEFINITION('international standard', 'automotive_design',2009,#596354); #596354=APPLICATION_CONTEXT( 'Core Data for Automotive Mechanical Design Process'); #596355=PRODUCT_CONTEXT('part definition',#596354,'mechanical'); #596356=PRODUCT('Cylinder Fan','Cylinder Fan v31',$,(#596355)); #596357=PRODUCT('Master','Master',$,(#596355)); #596358=PRODUCT('PFB0512EHF','PFB0512EHF v3',$,(#596355)); #596359=PRODUCT('Battery Pack','Battery Pack v7',$,(#596355)); #596360=PRODUCT('Electronic','Electronic',$,(#596355)); #596361=PRODUCT('PCB','PCB v18',$,(#596355)); #596362=PRODUCT('Board','Board',$,(#596355)); #596363=PRODUCT('1-copper','1-copper',$,(#596355)); #596364=PRODUCT('16-copper','16-copper',$,(#596355)); #596365=PRODUCT('1-soldermask','1-soldermask',$,(#596355)); #596366=PRODUCT('16-soldermask','16-soldermask',$,(#596355)); #596367=PRODUCT('Packages','Packages',$,(#596355)); #596368=PRODUCT('2510-2.54-4P','2510-2.54-4P',$,(#596355)); #596369=PRODUCT('Model','Model',$,(#596355)); #596370=PRODUCT('LED0603','LED0603',$,(#596355)); #596371=PRODUCT('LED0603_1','LED0603',$,(#596355)); #596372=PRODUCT('LED0603_2','LED0603',$,(#596355)); #596373=PRODUCT('Model (7)','Model (7)',$,(#596355)); #596374=PRODUCT('R0603','R0603',$,(#596355)); #596375=PRODUCT('R0603_1','R0603',$,(#596355)); #596376=PRODUCT('R0603_2','R0603',$,(#596355)); #596377=PRODUCT('R0603_3','R0603',$,(#596355)); #596378=PRODUCT('R0603_4','R0603',$,(#596355)); #596379=PRODUCT('R0603_5','R0603',$,(#596355)); #596380=PRODUCT('R0603_6','R0603',$,(#596355)); #596381=PRODUCT('R0603_7','R0603',$,(#596355)); #596382=PRODUCT('R0603_8','R0603',$,(#596355)); #596383=PRODUCT('R0603_9','R0603',$,(#596355)); #596384=PRODUCT('R0603_10','R0603',$,(#596355)); #596385=PRODUCT('R0603_11','R0603',$,(#596355)); #596386=PRODUCT('R0603_12','R0603',$,(#596355)); #596387=PRODUCT('R0603_13','R0603',$,(#596355)); #596388=PRODUCT('R0603_14','R0603',$,(#596355)); #596389=PRODUCT('R0603_15','R0603',$,(#596355)); #596390=PRODUCT('R0603_16','R0603',$,(#596355)); #596391=PRODUCT('R0603_17','R0603',$,(#596355)); #596392=PRODUCT('R0603_18','R0603',$,(#596355)); #596393=PRODUCT('R0603_19','R0603',$,(#596355)); #596394=PRODUCT('R0603_20','R0603',$,(#596355)); #596395=PRODUCT('R0603_21','R0603',$,(#596355)); #596396=PRODUCT('TYPE-C-16P-FH','TYPE-C-16P-FH',$,(#596355)); #596397=PRODUCT('Model (19)','Model (19)',$,(#596355)); #596398=PRODUCT('34707316.f3d ','34707316.f3d ',$,(#596355)); #596399=PRODUCT('629722000214_Housing','629722000214_Housing',$,(#596355)); #596400=PRODUCT('629722000214_Pin1_Top','629722000214_Pin1_Top',$,(#596355)); #596401=PRODUCT('629722000214_Pin4_Bottom','629722000214_Pin4_Bottom',$, (#596355)); #596402=PRODUCT('629722000214_Pin1_Bottom','629722000214_Pin1_Bottom',$, (#596355)); #596403=PRODUCT('629722000214_Pin3_Bottom','629722000214_Pin3_Bottom',$, (#596355)); #596404=PRODUCT('629722000214_Pin3_Top','629722000214_Pin3_Top',$,(#596355)); #596405=PRODUCT('629722000214_Pin2_Top','629722000214_Pin2_Top',$,(#596355)); #596406=PRODUCT('629722000214_Pin2_Bottom','629722000214_Pin2_Bottom',$, (#596355)); #596407=PRODUCT('629722000214_Pin1_Top_mir','629722000214_Pin1_Top_mir', $,(#596355)); #596408=PRODUCT('629722000214_Pin1_Bottom_mir', '629722000214_Pin1_Bottom_mir',$,(#596355)); #596409=PRODUCT('629722000214_Pin2_Top_mir','629722000214_Pin2_Top_mir', $,(#596355)); #596410=PRODUCT('629722000214_Pin2_Bottom_mir', '629722000214_Pin2_Bottom_mir',$,(#596355)); #596411=PRODUCT('629722000214_Pin3_Top_mir','629722000214_Pin3_Top_mir', $,(#596355)); #596412=PRODUCT('629722000214_Pin3_Bottom_mir', '629722000214_Pin3_Bottom_mir',$,(#596355)); #596413=PRODUCT('629722000214_Pin4_Bottom_mir', '629722000214_Pin4_Bottom_mir',$,(#596355)); #596414=PRODUCT('629722000214_Shielding2','629722000214_Shielding2',$,(#596355)); #596415=PRODUCT('629722000214_Shielding','629722000214_Shielding',$,(#596355)); #596416=PRODUCT('629722000214_Pin4_Top','629722000214_Pin4_Top',$,(#596355)); #596417=PRODUCT('XH-2.50-2P','XH-2.50-2P',$,(#596355)); #596418=PRODUCT('XH-2.50-2P_1','XH-2.50-2P',$,(#596355)); #596419=PRODUCT('Model (20)','Model (20)',$,(#596355)); #596420=PRODUCT('R0603_22','R0603',$,(#596355)); #596421=PRODUCT('Model (10)','Model (10)',$,(#596355)); #596422=PRODUCT('C0603','C0603',$,(#596355)); #596423=PRODUCT('Model (1)','Model (1)',$,(#596355)); #596424=PRODUCT('C1210','C1210',$,(#596355)); #596425=PRODUCT('Model (2)','Model (2)',$,(#596355)); #596426=PRODUCT('CAP-PTH(D8.0-P3.50)','CAP-PTH(D8.0-P3.50)',$,(#596355)); #596427=PRODUCT('Model (3)','Model (3)',$,(#596355)); #596428=PRODUCT('DFN-8(3X3)','DFN-8(3X3)',$,(#596355)); #596429=PRODUCT('Model (4)','Model (4)',$,(#596355)); #596430=PRODUCT('DFN-8(5X6)','DFN-8(5X6)',$,(#596355)); #596431=PRODUCT('Model (5)','Model (5)',$,(#596355)); #596432=PRODUCT('L12XX','L12XX',$,(#596355)); #596433=PRODUCT('Model (6)','Model (6)',$,(#596355)); #596434=PRODUCT('POT-R1S1-RV097NS','POT-R1S1-RV097NS',$,(#596355)); #596435=PRODUCT('Model (8)','Model (8)',$,(#596355)); #596436=PRODUCT('QFN-48(7X7)','QFN-48(7X7)',$,(#596355)); #596437=PRODUCT('Model (9)','Model (9)',$,(#596355)); #596438=PRODUCT('R1206','R1206',$,(#596355)); #596439=PRODUCT('Model (11)','Model (11)',$,(#596355)); #596440=PRODUCT('SOD-123FL','SOD-123FL',$,(#596355)); #596441=PRODUCT('Model (12)','Model (12)',$,(#596355)); #596442=PRODUCT('SOP-8(L5.0-W3.9-P1.27)','SOP-8(L5.0-W3.9-P1.27)',$,(#596355)); #596443=PRODUCT('Model (13)','Model (13)',$,(#596355)); #596444=PRODUCT('SOT23-5','SOT23-5',$,(#596355)); #596445=PRODUCT('Model (14)','Model (14)',$,(#596355)); #596446=PRODUCT('C1206','C1206',$,(#596355)); #596447=PRODUCT('Model (15)','Model (15)',$,(#596355)); #596448=PRODUCT('Power Display','Power Display v5',$,(#596355)); #596449=PRODUCT('Board_1','Board',$,(#596355)); #596450=PRODUCT('1-soldermask_1','1-soldermask',$,(#596355)); #596451=PRODUCT('16-soldermask_1','16-soldermask',$,(#596355)); #596452=PRODUCT('Nozzle','Nozzle',$,(#596355)); #596453=PRODUCT('Flat Nozzle','Flat Nozzle',$,(#596355)); #596454=PRESENTATION_STYLE_ASSIGNMENT((#596487)); #596455=PRESENTATION_STYLE_ASSIGNMENT((#596488)); #596456=PRESENTATION_STYLE_ASSIGNMENT((#596489)); #596457=PRESENTATION_STYLE_ASSIGNMENT((#596490)); #596458=PRESENTATION_STYLE_ASSIGNMENT((#596491)); #596459=PRESENTATION_STYLE_ASSIGNMENT((#596492)); #596460=PRESENTATION_STYLE_ASSIGNMENT((#596493)); #596461=PRESENTATION_STYLE_ASSIGNMENT((#596494)); #596462=PRESENTATION_STYLE_ASSIGNMENT((#596495)); #596463=PRESENTATION_STYLE_ASSIGNMENT((#596496)); #596464=PRESENTATION_STYLE_ASSIGNMENT((#596497)); #596465=PRESENTATION_STYLE_ASSIGNMENT((#596498)); #596466=PRESENTATION_STYLE_ASSIGNMENT((#596499)); #596467=PRESENTATION_STYLE_ASSIGNMENT((#596500)); #596468=PRESENTATION_STYLE_ASSIGNMENT((#596501)); #596469=PRESENTATION_STYLE_ASSIGNMENT((#596502)); #596470=PRESENTATION_STYLE_ASSIGNMENT((#596503)); #596471=PRESENTATION_STYLE_ASSIGNMENT((#596504)); #596472=PRESENTATION_STYLE_ASSIGNMENT((#596505)); #596473=PRESENTATION_STYLE_ASSIGNMENT((#596506)); #596474=PRESENTATION_STYLE_ASSIGNMENT((#596507)); #596475=PRESENTATION_STYLE_ASSIGNMENT((#596508)); #596476=PRESENTATION_STYLE_ASSIGNMENT((#596509)); #596477=PRESENTATION_STYLE_ASSIGNMENT((#596510)); #596478=PRESENTATION_STYLE_ASSIGNMENT((#596511)); #596479=PRESENTATION_STYLE_ASSIGNMENT((#596512)); #596480=PRESENTATION_STYLE_ASSIGNMENT((#596513)); #596481=PRESENTATION_STYLE_ASSIGNMENT((#596514)); #596482=PRESENTATION_STYLE_ASSIGNMENT((#596515)); #596483=PRESENTATION_STYLE_ASSIGNMENT((#596516)); #596484=PRESENTATION_STYLE_ASSIGNMENT((#596517)); #596485=PRESENTATION_STYLE_ASSIGNMENT((#596518)); #596486=PRESENTATION_STYLE_ASSIGNMENT((NULL_STYLE(.NULL.))); #596487=SURFACE_STYLE_USAGE(.BOTH.,#596519); #596488=SURFACE_STYLE_USAGE(.BOTH.,#596520); #596489=SURFACE_STYLE_USAGE(.BOTH.,#596521); #596490=SURFACE_STYLE_USAGE(.BOTH.,#596522); #596491=SURFACE_STYLE_USAGE(.BOTH.,#596523); #596492=SURFACE_STYLE_USAGE(.BOTH.,#596524); #596493=SURFACE_STYLE_USAGE(.BOTH.,#596525); #596494=SURFACE_STYLE_USAGE(.BOTH.,#596526); #596495=SURFACE_STYLE_USAGE(.BOTH.,#596527); #596496=SURFACE_STYLE_USAGE(.BOTH.,#596528); #596497=SURFACE_STYLE_USAGE(.BOTH.,#596529); #596498=SURFACE_STYLE_USAGE(.BOTH.,#596530); #596499=SURFACE_STYLE_USAGE(.BOTH.,#596531); #596500=SURFACE_STYLE_USAGE(.BOTH.,#596532); #596501=SURFACE_STYLE_USAGE(.BOTH.,#596533); #596502=SURFACE_STYLE_USAGE(.BOTH.,#596534); #596503=SURFACE_STYLE_USAGE(.BOTH.,#596535); #596504=SURFACE_STYLE_USAGE(.BOTH.,#596536); #596505=SURFACE_STYLE_USAGE(.BOTH.,#596537); #596506=SURFACE_STYLE_USAGE(.BOTH.,#596538); #596507=SURFACE_STYLE_USAGE(.BOTH.,#596539); #596508=SURFACE_STYLE_USAGE(.BOTH.,#596540); #596509=SURFACE_STYLE_USAGE(.BOTH.,#596541); #596510=SURFACE_STYLE_USAGE(.BOTH.,#596542); #596511=SURFACE_STYLE_USAGE(.BOTH.,#596543); #596512=SURFACE_STYLE_USAGE(.BOTH.,#596544); #596513=SURFACE_STYLE_USAGE(.BOTH.,#596545); #596514=SURFACE_STYLE_USAGE(.BOTH.,#596546); #596515=SURFACE_STYLE_USAGE(.BOTH.,#596547); #596516=SURFACE_STYLE_USAGE(.BOTH.,#596548); #596517=SURFACE_STYLE_USAGE(.BOTH.,#596549); #596518=SURFACE_STYLE_USAGE(.BOTH.,#596550); #596519=SURFACE_SIDE_STYLE('',(#596551)); #596520=SURFACE_SIDE_STYLE('',(#596552)); #596521=SURFACE_SIDE_STYLE('',(#596553)); #596522=SURFACE_SIDE_STYLE('',(#596554)); #596523=SURFACE_SIDE_STYLE('',(#596555)); #596524=SURFACE_SIDE_STYLE('',(#596556)); #596525=SURFACE_SIDE_STYLE('',(#596557)); #596526=SURFACE_SIDE_STYLE('',(#596558)); #596527=SURFACE_SIDE_STYLE('',(#596559)); #596528=SURFACE_SIDE_STYLE('',(#596560)); #596529=SURFACE_SIDE_STYLE('',(#596561)); #596530=SURFACE_SIDE_STYLE('',(#596562)); #596531=SURFACE_SIDE_STYLE('',(#596563)); #596532=SURFACE_SIDE_STYLE('',(#596564)); #596533=SURFACE_SIDE_STYLE('',(#596565)); #596534=SURFACE_SIDE_STYLE('',(#596566)); #596535=SURFACE_SIDE_STYLE('',(#596567)); #596536=SURFACE_SIDE_STYLE('',(#596568)); #596537=SURFACE_SIDE_STYLE('',(#596569)); #596538=SURFACE_SIDE_STYLE('',(#596570)); #596539=SURFACE_SIDE_STYLE('',(#596571)); #596540=SURFACE_SIDE_STYLE('',(#596572)); #596541=SURFACE_SIDE_STYLE('',(#596573)); #596542=SURFACE_SIDE_STYLE('',(#596574)); #596543=SURFACE_SIDE_STYLE('',(#596575)); #596544=SURFACE_SIDE_STYLE('',(#596576)); #596545=SURFACE_SIDE_STYLE('',(#596577)); #596546=SURFACE_SIDE_STYLE('',(#596578)); #596547=SURFACE_SIDE_STYLE('',(#596579)); #596548=SURFACE_SIDE_STYLE('',(#596580)); #596549=SURFACE_SIDE_STYLE('',(#596581)); #596550=SURFACE_SIDE_STYLE('',(#596582)); #596551=SURFACE_STYLE_FILL_AREA(#596583); #596552=SURFACE_STYLE_FILL_AREA(#596584); #596553=SURFACE_STYLE_FILL_AREA(#596585); #596554=SURFACE_STYLE_FILL_AREA(#596586); #596555=SURFACE_STYLE_FILL_AREA(#596587); #596556=SURFACE_STYLE_FILL_AREA(#596588); #596557=SURFACE_STYLE_FILL_AREA(#596589); #596558=SURFACE_STYLE_FILL_AREA(#596590); #596559=SURFACE_STYLE_FILL_AREA(#596591); #596560=SURFACE_STYLE_FILL_AREA(#596592); #596561=SURFACE_STYLE_FILL_AREA(#596593); #596562=SURFACE_STYLE_FILL_AREA(#596594); #596563=SURFACE_STYLE_FILL_AREA(#596595); #596564=SURFACE_STYLE_FILL_AREA(#596596); #596565=SURFACE_STYLE_FILL_AREA(#596597); #596566=SURFACE_STYLE_FILL_AREA(#596598); #596567=SURFACE_STYLE_FILL_AREA(#596599); #596568=SURFACE_STYLE_FILL_AREA(#596600); #596569=SURFACE_STYLE_FILL_AREA(#596601); #596570=SURFACE_STYLE_FILL_AREA(#596602); #596571=SURFACE_STYLE_FILL_AREA(#596603); #596572=SURFACE_STYLE_FILL_AREA(#596604); #596573=SURFACE_STYLE_FILL_AREA(#596605); #596574=SURFACE_STYLE_FILL_AREA(#596606); #596575=SURFACE_STYLE_FILL_AREA(#596607); #596576=SURFACE_STYLE_FILL_AREA(#596608); #596577=SURFACE_STYLE_FILL_AREA(#596609); #596578=SURFACE_STYLE_FILL_AREA(#596610); #596579=SURFACE_STYLE_FILL_AREA(#596611); #596580=SURFACE_STYLE_FILL_AREA(#596612); #596581=SURFACE_STYLE_FILL_AREA(#596613); #596582=SURFACE_STYLE_FILL_AREA(#596614); #596583=FILL_AREA_STYLE('Opaque(255,191,0)',(#596615)); #596584=FILL_AREA_STYLE('Steel - Satin',(#596616)); #596585=FILL_AREA_STYLE('Opaque(120,110,70)',(#596617)); #596586=FILL_AREA_STYLE('Transparent(54,155,54)',(#596618)); #596587=FILL_AREA_STYLE('ABS (White)',(#596619)); #596588=FILL_AREA_STYLE('Opaque(255,255,255)',(#596620)); #596589=FILL_AREA_STYLE('Opaque(215,208,192)',(#596621)); #596590=FILL_AREA_STYLE('Opaque(170,170,170)',(#596622)); #596591=FILL_AREA_STYLE('Silver - Polished',(#596623)); #596592=FILL_AREA_STYLE('Paint - Enamel Glossy (White)',(#596624)); #596593=FILL_AREA_STYLE('Chip LED Case',(#596625)); #596594=FILL_AREA_STYLE('Chip LED',(#596626)); #596595=FILL_AREA_STYLE('Opaque(51,51,51)',(#596627)); #596596=FILL_AREA_STYLE('Opaque(222,161,44)',(#596628)); #596597=FILL_AREA_STYLE('Opaque(170,175,178)',(#596629)); #596598=FILL_AREA_STYLE('Opaque(165,173,178)',(#596630)); #596599=FILL_AREA_STYLE('Opaque(128,64,64)',(#596631)); #596600=FILL_AREA_STYLE('Opaque(192,192,192)',(#596632)); #596601=FILL_AREA_STYLE('Chip Body',(#596633)); #596602=FILL_AREA_STYLE('Chip Body',(#596634)); #596603=FILL_AREA_STYLE('ECap Body',(#596635)); #596604=FILL_AREA_STYLE('Aluminum - Polished',(#596636)); #596605=FILL_AREA_STYLE('ECap Band',(#596637)); #596606=FILL_AREA_STYLE('Nickel - Polished',(#596638)); #596607=FILL_AREA_STYLE('Plastic - Matte (Black)',(#596639)); #596608=FILL_AREA_STYLE('Plastic - Matte (Black)',(#596640)); #596609=FILL_AREA_STYLE('Aluminum - Satin',(#596641)); #596610=FILL_AREA_STYLE('Opaque(165,158,150)',(#596642)); #596611=FILL_AREA_STYLE('Opaque(0,227,223)',(#596643)); #596612=FILL_AREA_STYLE('ABS (White)',(#596644)); #596613=FILL_AREA_STYLE('ABS (Black)',(#596645)); #596614=FILL_AREA_STYLE('Opaque(55,155,55)',(#596646)); #596615=FILL_AREA_STYLE_COLOUR('Opaque(255,191,0)',#596647); #596616=FILL_AREA_STYLE_COLOUR('Steel - Satin',#596648); #596617=FILL_AREA_STYLE_COLOUR('Opaque(120,110,70)',#596649); #596618=FILL_AREA_STYLE_COLOUR('Transparent(54,155,54)',#596650); #596619=FILL_AREA_STYLE_COLOUR('ABS (White)',#596651); #596620=FILL_AREA_STYLE_COLOUR('Opaque(255,255,255)',#596652); #596621=FILL_AREA_STYLE_COLOUR('Opaque(215,208,192)',#596653); #596622=FILL_AREA_STYLE_COLOUR('Opaque(170,170,170)',#596654); #596623=FILL_AREA_STYLE_COLOUR('Silver - Polished',#596655); #596624=FILL_AREA_STYLE_COLOUR('Paint - Enamel Glossy (White)',#596656); #596625=FILL_AREA_STYLE_COLOUR('Chip LED Case',#596657); #596626=FILL_AREA_STYLE_COLOUR('Chip LED',#596658); #596627=FILL_AREA_STYLE_COLOUR('Opaque(51,51,51)',#596659); #596628=FILL_AREA_STYLE_COLOUR('Opaque(222,161,44)',#596660); #596629=FILL_AREA_STYLE_COLOUR('Opaque(170,175,178)',#596661); #596630=FILL_AREA_STYLE_COLOUR('Opaque(165,173,178)',#596662); #596631=FILL_AREA_STYLE_COLOUR('Opaque(128,64,64)',#596663); #596632=FILL_AREA_STYLE_COLOUR('Opaque(192,192,192)',#596664); #596633=FILL_AREA_STYLE_COLOUR('Chip Body',#596665); #596634=FILL_AREA_STYLE_COLOUR('Chip Body',#596666); #596635=FILL_AREA_STYLE_COLOUR('ECap Body',#596667); #596636=FILL_AREA_STYLE_COLOUR('Aluminum - Polished',#596668); #596637=FILL_AREA_STYLE_COLOUR('ECap Band',#596669); #596638=FILL_AREA_STYLE_COLOUR('Nickel - Polished',#596670); #596639=FILL_AREA_STYLE_COLOUR('Plastic - Matte (Black)',#596671); #596640=FILL_AREA_STYLE_COLOUR('Plastic - Matte (Black)',#596672); #596641=FILL_AREA_STYLE_COLOUR('Aluminum - Satin',#596673); #596642=FILL_AREA_STYLE_COLOUR('Opaque(165,158,150)',#596674); #596643=FILL_AREA_STYLE_COLOUR('Opaque(0,227,223)',#596675); #596644=FILL_AREA_STYLE_COLOUR('ABS (White)',#596676); #596645=FILL_AREA_STYLE_COLOUR('ABS (Black)',#596677); #596646=FILL_AREA_STYLE_COLOUR('Opaque(55,155,55)',#596678); #596647=COLOUR_RGB('Opaque(255,191,0)',1.,0.749019607843137,0.); #596648=COLOUR_RGB('Steel - Satin',0.627450980392157,0.627450980392157, 0.627450980392157); #596649=COLOUR_RGB('Opaque(120,110,70)',0.470588235294118,0.431372549019608, 0.274509803921569); #596650=COLOUR_RGB('Transparent(54,155,54)',0.215686274509804,0.607843137254902, 0.215686274509804); #596651=COLOUR_RGB('ABS (White)',0.0980392156862745,0.0980392156862745, 0.0980392156862745); #596652=COLOUR_RGB('Opaque(255,255,255)',1.,1.,1.); #596653=COLOUR_RGB('Opaque(215,208,192)',0.843137254901961,0.815686274509804, 0.752941176470588); #596654=COLOUR_RGB('Opaque(170,170,170)',0.666666666666667,0.666666666666667, 0.666666666666667); #596655=COLOUR_RGB('Silver - Polished',0.984313725490196,0.980392156862745, 0.96078431372549); #596656=COLOUR_RGB('Paint - Enamel Glossy (White)',0.964705882352941,0.964705882352941, 0.952941176470588); #596657=COLOUR_RGB('Chip LED Case',1.,1.,1.); #596658=COLOUR_RGB('Chip LED',1.,1.,1.); #596659=COLOUR_RGB('Opaque(51,51,51)',0.2,0.2,0.2); #596660=COLOUR_RGB('Opaque(222,161,44)',0.870588235294118,0.631372549019608, 0.172549019607843); #596661=COLOUR_RGB('Opaque(170,175,178)',0.666666666666667,0.686274509803922, 0.698039215686274); #596662=COLOUR_RGB('Opaque(165,173,178)',0.647058823529412,0.67843137254902, 0.698039215686274); #596663=COLOUR_RGB('Opaque(128,64,64)',0.501960784313725,0.250980392156863, 0.250980392156863); #596664=COLOUR_RGB('Opaque(192,192,192)',0.752941176470588,0.752941176470588, 0.752941176470588); #596665=COLOUR_RGB('Chip Body',0.0392156862745098,0.0392156862745098,0.0392156862745098); #596666=COLOUR_RGB('Chip Body',0.627450980392157,0.529411764705882,0.509803921568627); #596667=COLOUR_RGB('ECap Body',0.0392156862745098,0.0392156862745098,0.0392156862745098); #596668=COLOUR_RGB('Aluminum - Polished',0.96078431372549,0.96078431372549, 0.964705882352941); #596669=COLOUR_RGB('ECap Band',0.745098039215686,0.745098039215686,0.745098039215686); #596670=COLOUR_RGB('Nickel - Polished',0.819607843137255,0.8,0.752941176470588); #596671=COLOUR_RGB('Plastic - Matte (Black)',0.0980392156862745,0.0980392156862745, 0.0980392156862745); #596672=COLOUR_RGB('Plastic - Matte (Black)',0.0980392156862745,0.0980392156862745, 0.0980392156862745); #596673=COLOUR_RGB('Aluminum - Satin',0.96078431372549,0.96078431372549, 0.964705882352941); #596674=COLOUR_RGB('Opaque(165,158,150)',0.647058823529412,0.619607843137255, 0.588235294117647); #596675=COLOUR_RGB('Opaque(0,227,223)',0.,0.898039215686275,0.537254901960784); #596676=COLOUR_RGB('ABS (White)',1.,1.,1.); #596677=COLOUR_RGB('ABS (Black)',0.,0.,0.); #596678=COLOUR_RGB('Opaque(55,155,55)',0.215686274509804,0.607843137254902, 0.215686274509804); ENDSEC; END-ISO-10303-21;